>KQL31563 pep chromosome:Setaria_italica_v2.0:I:38432711:38438967:1 gene:SETIT_016676mg transcript:KQL31563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAQSGGAGGGGTIASNPRVWIVAGIAVAGVIVLAEAARRRRRWLRGKAGAPPDFGAFCDRFELSPPPQPPPPAARHLLSGLTFAASDNFEIEGYVAGFGNPDWKRTHEAPRHTAVTVTLLQKQGATCVGRTVMDELGFGVTGENLHCGTPINPASSSLVPGGSCSGSAVAVAAQLVDFALGTDTVGDVRIPASFCGLLCFRPSYGVVSTLGTIANSQSLDTVGWFARDPCVLHRVGEVLLPATAGGLKQTRQFVFADDCFQLLKVSNQKTVHAIKNAVQTLPGYQPPKHINIGQYLYSNVPSLKEFCEPATKLQEGMSALKALSTVMLLLQRYEFKENHENWVNTVKPKLGLDISTCVLRAVNFAHDNIKSLYAIRNELRAALKNLLKDSGILVLPTTAGYPLKRNSKERLSSGFEDRMYKFVGIAALSGCCEVTIPWSNLDHHVSLSFVAAHGSDKSLLRTIVDTYSLIQDQVVLASKLVAAPVVNGDVDIDESELLKEKGNSAFKRRQWSKAAEFYSEAISLSDTNATYYCNRAAAYLELGRFKQAEADCDQALLLDRKNVKAYLRRGCAREVTLNYKEALQDFRHALALEPQNKTALAAERRLQKLLK >KQL29988 pep chromosome:Setaria_italica_v2.0:I:27312237:27316024:-1 gene:SETIT_016658mg transcript:KQL29988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSAGIAGDKSPTELSFRAMGFVVEQEFRAFSASGKNRTAPVEEAAEPELVSDQWSRLSEKGSPPPSTGNKARHSSKEPPHLMRAESGKSKAGKPRRSTSSKAGPSKVSDIGLALGRKSTSGLGKAVEVLDNLSSSMSSLSPGGGFVSAPTTKGNKISILAFEVANTIVKGMSLMQSLSKESLKYLKDTVLLSEGVQRLVSSDMDDLMRIAAADKRQELRVFSREVIRFGNRCKDPQWHNLDRYFSKLESEITPQPELKEMAKADMQRLMTLVRYTGDLYHELHALDRFEQDYRRKLEEEKRSVTFERGDTVQIIRQELKSQRKHVHSLKKKSLWSKILDDVMEKLVDIVHFLHVEIQDTFGHCDGESNESQESRQTLGSAGLSLHYANIISQIDNIVSRSSVPPQSTRDALYQALPPNVKSALRTRLLTSSESEEVPITQIRCSMEKTLQWIVPIANSTARAHHGFGWVGEWANTGYSRPNKGGRSPSRSPVRSPAQSSHAAPRSPVSAAASRGAAGLTREDREMLLDVYTRRRNPGKSKSQELSTAARGGGRSALSRNDRLSKSSSSHCPSREQGGRVFPLTPSRSPAVSPVVHFDIDRIKALDVDAMDKTDVQKQP >KQL28785 pep chromosome:Setaria_italica_v2.0:I:8099841:8100336:1 gene:SETIT_020609mg transcript:KQL28785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFMRRGCTRSKRVFNLKLDIAQSTFFSPTMSHGSLIVIRYKHQTTL >KQL30868 pep chromosome:Setaria_italica_v2.0:I:33893316:33895003:1 gene:SETIT_016858mg transcript:KQL30868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMCKAFTARHATHLQHGHLASSSLAAPRLPLLPCRPSLAVTVASPPRLLPVCPRASTTCVSDLSPTPPSERTMTAWELASLWAGLVVGVPAYYLAGSLVDLGMSALQGVATVTFANLVVVVTLVLTAAPAVTHGLPFPVLARAAFGVHGAHVPAVIRALVGCGWFGIESWIGGRAIFLLLPSKLKSYPPLLAPVPGIGAAPLELACLLAFSAAQIAVIMCGMEGIRKLEKYAAPVLIVLTSALLAWAYTSAGGFGRFLTQTPRLTSGEFWKVFFPSLTANISFWATVSINIPDFARYARSQADQVLGQVGLPVFMGLYTFAGLAITSATETIFGHVISDPIELLGRIGGPATTLLAIFGITLAIITTNIPANVVAPANALVSMSPRRFTFAKGALVTALISIVFQPWRLVSSSESFVNIWLLSNSALAGPIGGVLLTDHYIVRRTYLDVDALYSEDSGSPYYFQGGFNVAAMVAMATGVAPIVPGFLHKVGVLTNVSKAFVTAYNNAWFVSFFIAGAVYCLLCGQREIQAKLQYN >KQL29757 pep chromosome:Setaria_italica_v2.0:I:24199537:24202943:-1 gene:SETIT_019882mg transcript:KQL29757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKHMLAALLAVVLLAASASPALAASPSNGTDHAALLAFKAELSDQLSVLRKNWTGSASVCGWLGVSCSRRHPGRVTALVLPDVPLQGEIAPSIGNLSFLTVLNLTNASLTGLIPPALGRLRRLRYLNLHQNSLSGSIPATIGNLTSLRLLDLHHNELSGEIPPELQNLHDLRYIRLDDNYLSGPIAYSMFNNTPYLSMINLGDNSLSGPMPASVGSLSGLKNLFLHANRLSGLVPPAIFNKSTLQDLVLANNNELKGSIPDNDTFNLQMLQIFSISGNKFSGKIPSGLSTCRFLQKLSMYINFFDDFIPAWLPTLSQLDFVSLGGNELVGSIPTGMSNLTRLNQLIVLQSNLSGGIPAELGQLRQLSWLNLAENRLTGSIPASLGNLSMIDTLDLSHNQLDGTIPETFGHLRFLRFFNVEGNNLGGGLHFLGALSKCMNLQVLDIAMNSFMGSIPDGVGNFSNKLQKLYAHDNQISGSLPAMMANISGLISIFLSGNQLSQTIPWEIMLMENLQSLNLQNNLMVGVIPTEVGMLRSLVELHLDTNKFIGPIPDGIGNLSNLQRLTLSQNNLSSSIPNSLWHLENLIHLNLSRNSLSGMLPIGIGSVKVIDQVDLSTNHLSGAIPTSLGQLQMLTYLNLSHNMFQDSIPDSLSKLASLVTLDLSDNSLSGTIPNSLARLTYLRNLNFSFNKLEGQIPTGGIFSNITLDSLMGNVALCGLPHLGFSPCARYSRSTKFHILKYVLPSIMAFVIATIFLSLIFKAKFKTPKEGSTQPPMMADAVNNMFVSYHEIIRATRNFSGENLIGVGGFGKVFKGQLSDGLMVAIKVLNLESERASKSFDVECQALRMARHRNLVRIISTYSNPDFKALVLQYMCNGSLETLLHSGGRPNLGFLKRLDIILDVAMALEYLHHHHSDVILHCDLKPSNVLLDEEFIGHLADFSIAKMLLGDETSVISASMPGTIGYMAPEYGSIGKASRKSDVFSYGIMLLEVFTGKRPTDPKFVGELSLRQWVLDAFPTRIAEVIDPVLLQEEKAYGFGAMGTCSNAGSTSTNTLDRCMVSMVELGLLCSSESPDIRIPMNEVVNKLKKIRTGYNSLSDE >KQL29483 pep chromosome:Setaria_italica_v2.0:I:17360438:17364411:-1 gene:SETIT_020184mg transcript:KQL29483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREDTPEKVKLLLGVLLLQCCLAGFHVVTRAALNMGISKIVFTVYRNSIALALLAPFAYLLEKKNRPPFTFSLLVQFFLLAFCGITCNQGFYLLGLHYLSPTYASAIQNTVPAITFVLAACLRLEQVSINKRYGTAKVIGTVVSIGGATIITLYKGMPLMNFKSFHMLGSSIASSNHVWDWTVGCLFLLGNCFAWSGWMVLQAPVLKKYPARLSVLALTLVFGLVQLLAIAAFCENDSDKWKLHSGGELFTVLYAGLVASGVALSLQIWCIDRGGALFTAIFQPVQTVMVAIMAAVMLGDELYTGGVIGAVLIVIGLYFVLWGKNEEKRSSNQGNEPELSRHLLREESSPEPKSMTNDIP >KQL30413 pep chromosome:Setaria_italica_v2.0:I:30398795:30400222:1 gene:SETIT_020045mg transcript:KQL30413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFRRRRRNSPSPIPSRSPSRSRSRSRSRSKTPPPNLRPNAAALSSTPTSAGADFAAASDSDADAGGGRGRVSSPRRRDRKGAPRDRLDSDADADASAGGRAPSPRRRRKRSPSFHSDSDADAGGRVPSPRRNRERTPRLHSDSDSDNSAAAAGSEDDGAGAGDASSLPRARRSSRIETSNIKPVSTRPMEAPRRAPAGSSQRRSKRRHSSPGRASPEHQKRPPRVWSPEDEITILSALVECRAKKGQLPASIQDTGKVHSQISGQLTANASTTQLSDKVRRLKHKYKLLFTRARNGRDPDLPTQHERDVYELSKKVWGFKSGDILGGSHAYEDTGDAESNEEQEIEESDDAMENGWEHHERPGKKPKAFRFENGNGNALAAVGRASHGNGSGRDDAEKGKQMYPYLWEAVAELSKEHPSGPIFRKAFGVLEKSKAQAMEEKLRKFRMSEIRQQLHRMDLMKETMRMVLDALEGSY >KQL28698 pep chromosome:Setaria_italica_v2.0:I:7558348:7558835:1 gene:SETIT_019206mg transcript:KQL28698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLNPFPPSWHRDVKPHDILMDAAKKDDEEDGVEGEGEAAPCTRLADFESTAWGLMGTPHYVAPEVVSGGEYSTKADVWSAGAVMYALLSDGALPFSGKSAAEVPAVVMRGSVRFLPRLFGGVSPAAKDLMRRMIYRDEWRRFTAEQVLDE >KQL30181 pep chromosome:Setaria_italica_v2.0:I:28676701:28677269:1 gene:SETIT_020084mg transcript:KQL30181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATQFISYFIAYMSCDECHMADGGGYRVFLSQELGFLLPILEEEHYSIYCINFIHDRIDLLDSSPDDHIDYHQVLGDQIIQRLNLFF >KQL31400 pep chromosome:Setaria_italica_v2.0:I:37282143:37286084:1 gene:SETIT_019785mg transcript:KQL31400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRRALPSSCPGAAAPLLLLLAASFGGGGHAAAGGAPGSGAGMTELQKHVAFFDRDHDGIVTFDETYQGLKDVGLGAVAAKASAALINAALGPKTRPDNANSSSSMDIYIQNIQKGKHGSDTGAYDAQGRFVPAKLDEMFTKHAKTVPNALTQDEVEEMLKANRQSNDVTGWLGAKAEWEMLYSLAKDKDGRLPKDTVRVVYDGTLFYQLAQGKKG >KQL29071 pep chromosome:Setaria_italica_v2.0:I:10140971:10141319:1 gene:SETIT_020471mg transcript:KQL29071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDHTSSGCCVACLDFCSGSGTLLDGAASESDASLVFCPEDEV >KQL31247 pep chromosome:Setaria_italica_v2.0:I:36457249:36457735:-1 gene:SETIT_020450mg transcript:KQL31247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFADLCRMVLPLISASSKPPYVHELPLPSAKGSQEILELCV >KQL31651 pep chromosome:Setaria_italica_v2.0:I:39040529:39040988:-1 gene:SETIT_020645mg transcript:KQL31651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANYQQLVLVFNLFLMVIGQRSLYLSIYVNHCHRLDASV >KQL31213 pep chromosome:Setaria_italica_v2.0:I:36287117:36291272:-1 gene:SETIT_017073mg transcript:KQL31213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPRGGGADPNPDGDDPHDLRLAEEANGDEARHTSDKEAAPVSEETAARGGDTTPDAEPESDDGEGGVGSPDQAEPNADGERAAPAAVAEEREGIVGGAKVETNGEDAISHDADGEEDEDDDGDEEDDDDDDDSTPDASPRAEVKVEGESSTGMAQSGASHRAEPEPDPFLDGDDSGTEEEQAAFMAELERFHREHSLEFKPPKFYGKGLNCLKLWRQVAHLGGHEQVTICKLWRQVGETFRPPKTCTTVSWSFRIFYEKALLEYEKYKVRTGQLQIPPPGLPQPSGTDREVVVNQSSSARVRRDAATRAMQGWHAHRLLANGTYGDNILKDKDSMPLSSRDKNLKGFGVLKRKKASSPEYALKSSRTKVNKSQEDSMVIDVGEPADWVKINVRQTKDCFEVYALVPGLLREEVHVQSDPAGRLVITGDPEQPDNPWGITPFKKVVNLPSRIDPHQTSAVVTLHGQLFVRAPFGHADM >KQL30881 pep chromosome:Setaria_italica_v2.0:I:34000720:34003619:-1 gene:SETIT_017497mg transcript:KQL30881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHYAGQAAQHHGPAMATVPQVRVLLLRALLAAATFACAAAAAGSNKVPAIYVFGDSTADVGNNNYLPGSAVPRANFPHNGVDFPTSRPTGRFSNGYNGVDFLAMNMGFKRSPPPFLAVANKTNKQVFRGLLGVNFASAGSGILDTTGSSIIPLSQQVEQFDALQRNISARITQGAADAVLSRSVFLVSTGGNDLFAFFSRNSTPSDADKQRFVGNLVSLYQNHVKALYVLGARKFAVIDVPPIGCCPYPRSLHPLGACIDVLNELARGFNKGVRDAMHGLGASFQGLKYSVGSSHAVVQSIMKHPQRLGFKDVTNACCGSGRFNGKSGCTPNATLCDNRHEYLFWDLLHPTHAASKIAAAAIYDGSLHFAAPINFRQLVEDQC >KQL28346 pep chromosome:Setaria_italica_v2.0:I:5305498:5307619:1 gene:SETIT_0168361mg transcript:KQL28346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPRKFTSKAEGKMGYEDFVHFILSEEDKSTGPSQEYWFKCIDLDGNGILTHNELQFFFEEQLHRMECMAQEPVLFEDILCQLIDMIGPENESYLTLKDFRRCKLSGHFFNILFNLNKFMAFEARDPFLIRQMREEPSLTDWDRFARREYVRLAMEEDGEDASNASGDVWDESLESPF >KQL30438 pep chromosome:Setaria_italica_v2.0:I:30576531:30581362:-1 gene:SETIT_017927mg transcript:KQL30438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGDGKMDRFLANNAVGAEDENGGHGPGRVFIAPGSSGGGGTWSTGPHGARIRHGIGRGCRGPRSRSANRPRPPSPSRSGCHREGAREGDRWALRGDASPFCLSLARGCWGSVPPGFVAASPPWTAGGGGDWWLRVAAGQRLASAGGAQGRAAGRWRRRPRHFRLYLVGSVFSSPSSSRFRLAKAIEVDPTGVRKPSGHHLPRPPRAAGNPPPSPAPLRTTMAEIEIKTAPADFRFPTTNQTRHCFTRYVEYHRCVNAKGDDAGDCDKFAKYYRSLCPGEWVEKWNEQRENGTFPGPL >KQL30437 pep chromosome:Setaria_italica_v2.0:I:30577132:30581362:-1 gene:SETIT_017927mg transcript:KQL30437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGDGKMDRFLANNAVGAEDENGGHGPGRVFIAPGSSGGGGTWSTGPHGARIRHGIGRGCRGPRSRSANRPRPPSPSRSGCHREGAREGDRWALRGDASPFCLSLARGCWGSVPPGFVAASPPWTAGGGGDWWLRVAAGQRLASAGGAQGRAAGRWRRRPRHFRLYLVGSVFSSPSSSRFRLAKAIEVDPTGVRKPSGHHLPRPPRAAGNPPPSPAPLRTTMAEIEIKTAPADFRFPTTNQTRHCFTRYVEYHRCVNAKGDDAGDCDKFAKYYRSLCPGEWLTVC >KQL31915 pep chromosome:Setaria_italica_v2.0:I:40407601:40408246:1 gene:SETIT_020083mg transcript:KQL31915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTASEMTEFVTLWDLIQQVNLSNSQDEIVWRWTAHGEYTAKSAYAIQFRGAYCSFDAQAIWKAQVWPLVHSWTSNLVPLPMTTDLQEWWWVSIHSVPKEKRRAVAAVMIYTCSNLWNERNRRIFQGVLSTPQRVFTMIKEEIALRQSACGAPVIN >KQL31046 pep chromosome:Setaria_italica_v2.0:I:35259698:35263493:-1 gene:SETIT_019389mg transcript:KQL31046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASWKAATGKKDAAPSAPRPHCARDVLVFAAGVAAAVLAFLGLSSFVLVPGWRGGGGFAAFPVPGPADGPRTFYDDPDLSYALDCRITGWDAKRAAWLWSRGLGAGAAAVQEGRRGRPLVTCSFLKNKLDYCRLHGTELLYDNVLLEPSMATYWAKIPAVRAAILAHPDAEGPTLSSPAWSSPSRSLPSTAATTSSYLRLGQGGVGEEAVAGAQRRRVPHLNCQWSLDFLDECWPSGNGRLDSASLSSAATAYASPGTGLPWPPPPLPRPCPHPRCQTPARGPPALAPPLDARWPAGGAGEAAGRRAGRREERKRKGATR >KQL27783 pep chromosome:Setaria_italica_v2.0:I:636241:641241:-1 gene:SETIT_017897mg transcript:KQL27783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHFFPATSKTPVPVRLLKPSPKPPLPCPHTLCTAALHHGGGNAYSWRHPQLRFRRKVLAPAAPADAPPVGPDGGSGGGGAGGGGGGEDEEEEGEKKEKGLLPEWMNVTTEDAKTVLVAVAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDNDVFIKRVVAKEGDVVEVHEGKLVVNGEARSEEFILEPPTYDMNPVQVPENSVFVMGDNRNNSYDSHVWGPLPAKNILGRSIFRYWPPERIGGTTKGCLNPELNPETKPGPLIDVKLTK >KQL27784 pep chromosome:Setaria_italica_v2.0:I:637533:641241:-1 gene:SETIT_017897mg transcript:KQL27784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFHFFPATSKTPVPVRLLKPSPKPPLPCPHTLCTAALHHGGGNAYSWRHPQLRFRRKVLAPAAPADAPPVGPDGGSGGGGAGGGGGGEDEEEEGEKKEKGLLPEWMNVTTEDAKTVLVAVAISLAFRSFVAEPRFIPSLSMFPTFDVGDRIVAEKVTYYFRKPCVNDIVIFKSPPVLQEVGYTDNDVFIKRVVAKEGDVVEVHEGKLVVNGEARSEEFILEPPTYDMNPVVRFF >KQL30456 pep chromosome:Setaria_italica_v2.0:I:30666843:30671208:1 gene:SETIT_018530mg transcript:KQL30456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMAAAVGVLLPFPFYYALWTHPQRWVDLCGRGADSCRRMAQVSHVLKALQLLALASVASFSWPPPLYCPVLLAVGQYLNFKVYQLLGESGTYYGVRFGKKIPWVTEFPFGYIKDPQYVGSMLSLAALLCWVPLPYVLLWCLGYVFMMWIEHKEDPATRAKPLS >KQL28133 pep chromosome:Setaria_italica_v2.0:I:3672490:3675028:-1 gene:SETIT_016376mg transcript:KQL28133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEWMAGDGMAADAVLVRTARRCCGCDGREDAAVEALLQWQKVSDLLIAASLLSIPLELLYFATCAALAPLRRALLQLGAFIVACGVTYLLNALSYDRPGSRRVLAALTSAKALAALATTAAAASLPVCFPRLLRIEARVSLLRAKARQLDRDLAAVRRREEAVWRVVRAVTRRARDSAGAPRAILRTTMLQQAAALGLHNCAVWVPVAAAPHHGGGMLQLTHQLLLPDEDDKALDGGTRAISVRHPDVAAVLASRDAMALRPSSVLATASGGGLPLASAAAAIRIPNFHGGGNASEPESYAILVLVRRADDDHRSSPPGWSSQDLEIVQAVADPVAAALSHAVALEESQLIRQRLAEQHGVLLHARSELEAATRARDATHAAVRDAVARPAHAVVGLLSVMQQEAAAAVLRPEQRLDLDAVARTSALLSSTLADTAMATLSTKDSHDPPILAARRPFELQSLVRDAASVAGCLARCRGLGFSHQLEGSGGALPEWVVGDDKRVFHLLQHMVGAVLSRCRRRLAAGGVLSFSVCSCNSIAGDDQDRIPGPERAKIFAGGNQVFVKFQVGLNTRSAESDHGSLPASWPPPSGHAPDSGDDVRLSAAVCKRIAQVMNGNMWWAPESEEGLGETMTLLLRFQLQPPLNPHVPGSGTYRIGASPRTLPQHHHFSGLRVLLADGDAVSAEVTRKLLERWWSSVLDLDAAGTTAAMDGFEVALRIRELSNACWLLVLVAVAASGIDDRVRDMCRRAGVNGLIEKPVTLPALGAQLQRVLQSN >KQL32192 pep chromosome:Setaria_italica_v2.0:I:41729879:41732428:1 gene:SETIT_020592mg transcript:KQL32192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAAAFGRGPPGHDGAGQHDLRPHGADGRHPARRPLRRVGRRRQRPALCRGAPPGGPPGPVRPGAAPPAAGLPRRLLGARRRVSRVPTAVRADGGGAHPGAVWPVGRVLRRLRAAAPPGCAGVGVAAAPRGGAGPRGARAVRARQRRRPRLRLLRQGQGGQRGDAPGHDRRVGALHGGAAGRIRRRIRGRGHAGGRRGQLRGVPGDDHAQGRHHQGGDQLRPARRRRRRAAHRRGAACGWRHVQVHPLRRCHLHEVGPDDVDQRGVHGHPRQLLQRAAGRRQGHRLRACGAGGDGRQHQDQGAARERHLRHDHLPDPGEGALRGRVPQPRHRRRLHRLPRHLPRPLICRPRVHQEQVINLHSSLNFIK >KQL32191 pep chromosome:Setaria_italica_v2.0:I:41729879:41730893:1 gene:SETIT_020592mg transcript:KQL32191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELANMISVPMALTAVIRLGVPSAVWAGGANAPLSAAELLPEGHPDPSVLERLLRLLASRGVFSEHGGGSAGSPRRFALTAVGRTLVPSGPSGASYADYVLQHHQDALVSAWPRLHEAVLDPAGPEPFARANAGVPAYAYYGRDREANEVMLRAMTGVSEPFMEALLDGYAAGFEGVATLVDVGGSSGACLEMIMRRVDTIREGINFDLPDVVAAAPPIVGTEYSVACSSITLSAFRSHPITLVAW >KQL31347 pep chromosome:Setaria_italica_v2.0:I:37023185:37025835:-1 gene:SETIT_016807mg transcript:KQL31347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCTNQTRRNCNIRIVGCGRSFQQIVIHVLVEDTTPLNRSLLPGALLHRFSTAPAAAASPTTEEPIQPAVEIKHTQLLINGNFVDAASGKTFPTLDPRTGEVIARVAEGDSEDIDRAVAAARKAFDEGPWPRMTAYERCRVLLRFADLIERHADEIAALETWDNGKTLAQSAGAEVPMLARCMRYYAGWADKIHGLVVPADGAHHVQVLHEPVGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALYVASLLHEAGLPDGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGQIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHHAVFFNQGQCCCAGSRTFVHERVYDEFVEKSKARAQKRVVGDPFRNGVEQGPQIDEEQFKKILRYVQSGVDSGATLVAGGDRAGSRGFYIQPTVFADAKDEMKIAREEIFGPVQTILKFSGVEEVIRRANATQYGLAAGVFTRSLDAANTLSRALRAGTVWVNCYDVFDAGIPFGGYKMSGVGREKGVYALRNYLQTKAVVTPIRNAAWL >KQL31350 pep chromosome:Setaria_italica_v2.0:I:37023868:37026408:-1 gene:SETIT_016807mg transcript:KQL31350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRAASSILSRCLIARSSPASASSPAGKSALLGAGALLHRFSTAPAAAASPTTEEPIQPAVEIKHTQLLINGNFVDAASGKTFPTLDPRTGEVIARVAEGDSEDIDRAVAAARKAFDEGPWPRMTAYERCRVLLRFADLIERHADEIAALETWDNGKTLAQSAGAEVPMLARCMRYYAGWADKIHGLVVPADGAHHVQVLHEPVGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALYVASLLHEAGLPDGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGQIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHHAVFFNQVLFLTNYVVLGQLLPIMKFFANARANAAARGRGRSCTSACTTSSWRSPRPAPRSASSATPSGMASSRGLRSTRSNSRKSCGTSSPASTAAPPSSPAATGRAAGASTSSRPCSPTPRTK >KQL31348 pep chromosome:Setaria_italica_v2.0:I:37023185:37026623:-1 gene:SETIT_016807mg transcript:KQL31348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAYERCRVLLRFADLIERHADEIAALETWDNGKTLAQSAGAEVPMLARCMRYYAGWADKIHGLVVPADGAHHVQVLHEPVGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALYVASLLHEAGLPDGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGQIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHHAVFFNQGQCCCAGSRTFVHERVYDEFVEKSKARAQKRVVGDPFRNGVEQGPQIDEEQFKKILRYVQSGVDSGATLVAGGDRAGSRGFYIQPTVFADAKDEMKIAREEIFGPVQTILKFSGVEEVIRRANATQYGLAAGVFTRSLDAANTLSRALRAGTVWVNCYDVFDAGIPFGGYKMSGVGREKGVYALRNYLQTKAVVTPIRNAAWL >KQL31349 pep chromosome:Setaria_italica_v2.0:I:37023185:37026623:-1 gene:SETIT_016807mg transcript:KQL31349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRAASSILSRCLIARSSPASASSPAGKSALLGAGALLHRFSTAPAAAASPTTEEPIQPAVEIKHTQLLINGNFVDAASGKTFPTLDPRTGEVIARVAEGDSEDIDRAVAAARKAFDEGPWPRMTAYERCRVLLRFADLIERHADEIAALETWDNGKTLAQSAGAEVPMLARCMRYYAGWADKIHGLVVPADGAHHVQVLHEPVGVAGQIIPWNFPLLMFAWKVGPALACGNAVVLKTAEQTPLSALYVASLLHEAGLPDGVLNVVSGFGPTAGAALCSHMGVDKLAFTGSTGTGQIVLELAARSNLKPVTLELGGKSPFIVMDDADVDQAVELAHHAVFFNQGQCCCAGSRTFVHERVYDEFVEKSKARAQKRVVGDPFRNGVEQGPQIDEEQFKKILRYVQSGVDSGATLVAGGDRAGSRGFYIQPTVFADAKDEMKIAREEIFGPVQTILKFSGVEEVIRRANATQYGLAAGVFTRSLDAANTLSRALRAGTVWVNCYDVFDAGIPFGGYKMSGVGREKGVYALRNYLQTKAVVTPIRNAAWL >KQL30164 pep chromosome:Setaria_italica_v2.0:I:28523468:28526574:-1 gene:SETIT_019137mg transcript:KQL30164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAEDPESFFATAPPLGDAGAVAARLQEFLARNSSHASSEGGGRRRIVCVTSGGTTVPLEQRCVRYIDNFSSGHRGAASTEYFLKAGYAVIFVHRRGSCQPFCRFLPDDSFLNFFDVTTESKVRVVESHTTVVKKAIGEYSKAIEGGSLLKLPFTTIFEYLQLLKMVATSMSSVGLQGMFYLAAAVSDFYVPWDSMAKHKIQSAGGPLDMKLSQVPKMLSVLRNQWAPLAFCISFKLETDSDILIQKAEMALNKYKMNVVVANLLATYKEEVVIVSNGERSTIRRCSKDEDLEEHIIKLLEKSHSKYIYSSTDGCNKNNDYETLIPLGIENLA >KQL29216 pep chromosome:Setaria_italica_v2.0:I:11601791:11602805:1 gene:SETIT_018490mg transcript:KQL29216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPQLMVYSSADEERSQLLLTNDDIFRQSPLLNSSDSLVFPSAEPGVAEQGQELEQQVPSTEEEQVMVPQLMDQQSSSMAEPEQLSAGELELWSFIGVDVQSSNCAEQEEFRGSIAVDSNTVVPRIGNMEGDHQDQQDFWSLSNVDGVQSNCTVPDMAVGALGGAHWGGYCITC >KQL28842 pep chromosome:Setaria_italica_v2.0:I:8420521:8422771:1 gene:SETIT_017066mg transcript:KQL28842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAVGGFPKWVLLEPYVFRRDDDESFPDESEAPIRASGTTSWGADFRIAFSLEEPPHISRLYAQLPGFPGPHEEEPLIMLATHRHLALLVVATTITTTNTTIITPRPSKPLVQNFFIFRANENNPSSSSLRLLPPCTEPKFDYCRSDHRLSRRPSNVTPTPRLLNMISLGLWCGDKEEFVVAELTLYVPTIDRSQTKAFADICLLRSSSTDDQLGSKWDSWRVTIMSPHNPSADDLLELSRWQTTAVIPFKKWLCWIDYNRGILFCDVSDKVRAPTVSFLWFPEDKSPLTRARKATSGTIGGVSVIDHGRLLKFVNVARHDGRAYGALQPGTGFTITCHTLVLGGSMAWKEDYTVTSDDLPDRYRRGIPIHPQVDIDWPHVVHFLFIEFGKAYEKMSVLSIDMSTKTVKSFYLYVDGNEILHDDKECLQPDDDIDFIRSTSLYPTPLPFLPCEFPTFCYLSRFVKKEVRRVSCCFKYNL >KQL28841 pep chromosome:Setaria_italica_v2.0:I:8420748:8422175:1 gene:SETIT_017066mg transcript:KQL28841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAVGGFPKWVLLEPYVFRRDDDESFPDESEAPIRASGTTSWGADFRIAFSLEEPPHISRLYAQLPGFPGPHEEEPLIMLATHRHLALLVVATTITTTNTTIITPRPSKPLVQNFFIFRANENNPSSSSLRLLPPCTEPKFDYCRSDHRLSRRPSNVTPTPRLLNMISLGLWCGDKEEFVVAELTLYVPTIDRSQTKAFADICLLRSSSTDDQLGSKWDSWRVTIMSPHNPSADDLLELSRWQTTAVIPFKKWLCWIDYNRGILFCDVSDKVRAPTVSFLWFPEDKSPLTRARKATSGTIGGVSVIDHGRLLKFVNVARHDGRAYGALQPGTGFTITCHTLVLGGSMAWKEDYTVTSDDLPDRYRRGIPIHPQVDIDWPHVVHFLFIEFGKAYEKMSVLSIDMSTKTVKSFYLYVDGNEILHDDKECLQPDDDIDFIRSTSLYPTPLPFLPCEFPTFCYLSRYVILLLPFYK >KQL31920 pep chromosome:Setaria_italica_v2.0:I:40427233:40431808:1 gene:SETIT_017062mg transcript:KQL31920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWTRCAYIDPGYWDGHESLHSWWTALASAKSPPTGTARAPTPGPISSPASRKKGAREARDPMAAPEGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSISVTLDPDHLSATTTVAVSPSFPSDRMWLNGKEISLSGGRFQSCLREIRKRACEFEDEKKGIKIKKEDWEKLHVHIASYNNFPTAAGLASSAAGFACLVFTLGKLMNVKEDYGELSSIARQGSGSACRSLYGGFVKWCMGKKDDGSDSFAVQLADETHWNDLVIIIAVVSSKQKETSSTSGMRDTVETSPLLQYRAQTVVPSRVLKMEEAIKNQDFESFAKVTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNHSEGTPQVAYTFDAGPNAVLIARNRKTAALLLQKLMYYFPPQDKDLSSYLVGDKSILGDAGLHSIEDVDALPAPPEMKVPDQKFKGDVSYFICSRLGAGPKVVADENQALIDSVTGLPKGV >KQL32156 pep chromosome:Setaria_italica_v2.0:I:41569909:41570556:-1 gene:SETIT_019578mg transcript:KQL32156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATASGGAAAAAAAAAAGATGAGSPCGACKFLRRRCVPECVFAPYFSSDQGAARFAAIHKVFGASNASKLLSHLPAADRCEAVVTITYEAQARLRDPVYGCVAQIFALQQQVAILQAQLMQAKAQLACGVQQGTSPVSHHQWPADLTALLRQDAARRPGPGAGSLDDCFVPELMAAGFRDDVAAAAAQHCAKADAGDLQYLAQAIMRSPNYSL >KQL30937 pep chromosome:Setaria_italica_v2.0:I:34523813:34524309:1 gene:SETIT_020521mg transcript:KQL30937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIRCFRRWQWNNSLFTVAATAGTEAQREGIVAVAEAT >KQL28099 pep chromosome:Setaria_italica_v2.0:I:3300226:3306225:-1 gene:SETIT_016301mg transcript:KQL28099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMKHLLRKLHLAGAAPAGGGAAGAAPDHHRPRHRRSGHPTAATTAPPPPPPVVVAAAGAPEPPQPAAVAPAAAEEPRGLGAEAATTRLEEDYQVQLALAISASDHAGLVDADSVQIRAAELISLGATVGAGGHDRTPAEALSARYWNHSAVNYDEQLPDGFYDVCGAQLHPGFQAKFPSLDYLRAVPPGRDVAFLAVLVDRERDTTLKRLEDRAAAIAVQTRAQHGPAASAELAQKIAGLVVDAMGGLVEDADGMNMDWSIKRRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKVDFDSTEYIIDLMGAPGTLIPSDISGSQFQDSNNSQLRGDAIEESVAELCLALEQINGGCENRNDIGGSSSDHSSILALTSNLADLSQAELKQNVISDKDLEGDIKVNDVSKYVVPDVVNPQFAQNLHDLLLESGALLPADLLPDQNSRNIHDKESAGWLLVAQTRQNLPNGFAAKDSSSLYENAQHPVENAEDIIRDLDLRGHTASAISNEDQRVAEDYLVGMSGGSNGNSDKLSWSSTKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQSPNLSILTEYLPRGSLYRLLHRPNSQVDEVRRLKMALDVAKGMNYLHSSHPTIVHRDLKSPNLLVDKNWVVKVTLPSITSLSMHTYFLVIVLLYFIWERPIGSHYALVPRQIISR >KQL28097 pep chromosome:Setaria_italica_v2.0:I:3298864:3306225:-1 gene:SETIT_016301mg transcript:KQL28097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMKHLLRKLHLAGAAPAGGGAAGAAPDHHRPRHRRSGHPTAATTAPPPPPPVVVAAAGAPEPPQPAAVAPAAAEEPRGLGAEAATTRLEEDYQVQLALAISASDHAGLVDADSVQIRAAELISLGATVGAGGHDRTPAEALSARYWNHSAVNYDEQLPDGFYDVCGAQLHPGFQAKFPSLDYLRAVPPGRDVAFLAVLVDRERDTTLKRLEDRAAAIAVQTRAQHGPAASAELAQKIAGLVVDAMGGLVEDADGMNMDWSIKRRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKVDFDSTEYIIDLMGAPGTLIPSDISGSQFQDSNNSQLRGDAIEESVAELCLALEQINGGCENRNDIGGSSSDHSSILALTSNLADLSQAELKQNVISDKDLEGDIKVNDVSKYVVPDVVNPQFAQNLHDLLLESGALLPADLLPDQNSRNIHDKESAGWLLVAQTRQNLPNGFAAKDSSSLYENAQHPVENAEDIIRDLDLRGHTASAISNEDQRVAEDYLVGMSGGSNGNSDKLSWSSTKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQSPNLSILTEYLPRGSLYRLLHRPNSQVDEVRRLKMALDVAKGMNYLHSSHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEK >KQL28095 pep chromosome:Setaria_italica_v2.0:I:3298526:3306225:-1 gene:SETIT_016301mg transcript:KQL28095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMKHLLRKLHLAGAAPAGGGAAGAAPDHHRPRHRRSGHPTAATTAPPPPPPVVVAAAGAPEPPQPAAVAPAAAEEPRGLGAEAATTRLEEDYQVQLALAISASDHAGLVDADSVQIRAAELISLGATVGAGGHDRTPAEALSARYWNHSAVNYDEQLPDGFYDVCGAQLHPGFQAKFPSLDYLRAVPPGRDVAFLAVLVDRERDTTLKRLEDRAAAIAVQTRAQHGPAASAELAQKIAGLVVDAMGGLVEDADGMNMDWSIKRRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKVDFDSTEYIIDLMGAPGTLIPSDISGSQFQDSNNSQLRGDAIEESVAELCLALEQINGGCENRNDIGGSSSDHSSILALTSNLADLSQAELKQNVISDKDLEGDIKVNDVSKYVVPDVVNPQFAQNLHDLLLESGALLPADLLPDQNSRNIHDKESAGWLLVAQTRQNLPNGFAAKDSSSLYENAQHPVENAEDIIRDLDLRGHTASAISNEDQRVAEDYLVGMSGGSNGNSDKLSWSSTKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQSPNLSILTEYLPRGSLYRLLHRPNSQVDEVRRLKMALDVAKGMNYLHSSHPTIVHRDLKSPNLLVDKNWVVKVTLPSITSLSMHTYFLVIVLLYFIWERPIGSHYALVPRQIISR >KQL28100 pep chromosome:Setaria_italica_v2.0:I:3299498:3306225:-1 gene:SETIT_016301mg transcript:KQL28100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMKHLLRKLHLAGAAPAGGGAAGAAPDHHRPRHRRSGHPTAATTAPPPPPPVVVAAAGAPEPPQPAAVAPAAAEEPRGLGAEAATTRLEEDYQVQLALAISASDHAGLVDADSVQIRAAELISLGATVGAGGHDRTPAEALSARYWNHSAVNYDEQLPDGFYDVCGAQLHPGFQAKFPSLDYLRAVPPGRDVAFLAVLVDRERDTTLKRLEDRAAAIAVQTRAQHGPAASAELAQKIAGLVVDAMGGLVEDADGMNMDWSIKRRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKVDFDSTEYIIDLMGAPGTLIPSDISGSQFQDSNNSQLRGDAIEESVAELCLALEQINGGCENRNDIGGSSSDHSSILALTSNLADLSQAELKQNVISDKDLEGDIKVNDVSKYVVPDVVNPQFAQNLHDLLLESGALLPADLLPDQNSRNIHDKESAGWLLVAQTRQNLPNGFAAKDSSSLYENAQHPVENAEDIIRDLDLRGHTASAISNEDQRVAEDYLVGMSGGSNGNSDKLSWSSTKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQSPNLSILTEYLPRGSLYRLLHRPNSQVDEVRRLKMALDVAKGMNYLHSSHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTVIPLIL >KQL28096 pep chromosome:Setaria_italica_v2.0:I:3297889:3306225:-1 gene:SETIT_016301mg transcript:KQL28096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMKHLLRKLHLAGAAPAGGGAAGAAPDHHRPRHRRSGHPTAATTAPPPPPPVVVAAAGAPEPPQPAAVAPAAAEEPRGLGAEAATTRLEEDYQVQLALAISASDHAGLVDADSVQIRAAELISLGATVGAGGHDRTPAEALSARYWNHSAVNYDEQLPDGFYDVCGAQLHPGFQAKFPSLDYLRAVPPGRDVAFLAVLVDRERDTTLKRLEDRAAAIAVQTRAQHGPAASAELAQKIAGLVVDAMGGLVEDADGMNMDWSIKRRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKVDFDSTEYIIDLMGAPGTLIPSDISGSQFQDSNNSQLRGDAIEESVAELCLALEQINGGCENRNDIGGSSSDHSSILALTSNLADLSQAELKQNVISDKDLEGDIKVNDVSKYVVPDVVNPQFAQNLHDLLLESGALLPADLLPDQNSRNIHDKESAGWLLVAQTRQNLPNGFAAKDSSSLYENAQHPVENAEDIIRDLDLRGHTASAISNEDQRVAEDYLVGMSGGSNGNSDKLSWSSTKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQSPNLSILTEYLPRGSLYRLLHRPNSQVDEVRRLKMALDVAKGMNYLHSSHPTIVHRDLKSPNLLVDKNWVVKVSDFGMSRLKHHTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATMRVPWSGLNPMQVVGAVGFQNRRLDIPKEVDPQVASIISSCWDNDPSKRPSFSQLLSPLKQLQRLVVTESC >KQL28098 pep chromosome:Setaria_italica_v2.0:I:3297889:3306225:-1 gene:SETIT_016301mg transcript:KQL28098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMKHLLRKLHLAGAAPAGGGAAGAAPDHHRPRHRRSGHPTAATTAPPPPPPVVVAAAGAPEPPQPAAVAPAAAEEPRGLGAEAATTRLEEDYQVQLALAISASDHAGLVDADSVQIRAAELISLGATVGAGGHDRTPAEALSARYWNHSAVNYDEQLPDGFYDVCGAQLHPGFQAKFPSLDYLRAVPPGRDVAFLAVLVDRERDTTLKRLEDRAAAIAVQTRAQHGPAASAELAQKIAGLVVDAMGGLVEDADGMNMDWSIKRRELSLQLNSVVLPLGSLRVGLSRHRSLLFKVLADRVNLPCKLVKGICYTGTDEGAVNLVKVDFDSTEYIIDLMGAPGTLIPSDISGSQFQDSNNSQLRGDAIEESVAELCLALEQINGGCENRNDIGGSSSDHSSILALTSNLADLSQAELKQNVISDKDLEGDIKVNDVSKYVVPDVVNPQFAQNLHDLLLESGALLPADLLPDQNSRNIHDKESAGWLLVAQTRQNLPNGFAAKDSSSLYENAQHPVENAEDIIRDLDLRGHTASAISNEDQRVAEDYLVGMSGGSNGNSDKLSWSSTKTISSVIDDVAEYEIPWEDLDIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDLSGVSLEQFKCEVRIMSRLRHPNVVLFLGYVTQSPNLSILTEYLPRGSLYRLLHRPNSQVDEVRRLKMALDVAKGMNYLHSSHPTIVHRDLKSPNLLVDKNWVVKVTLPSITSLSMHTYFLVIVLLYFIWERPIGSHYALVPRQIISR >KQL30125 pep chromosome:Setaria_italica_v2.0:I:28256342:28257747:-1 gene:SETIT_018609mg transcript:KQL30125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVGGPLLTIGDLLSDLAVDGGDDPLAAGGDASIPSSLSAEQQAGEADPSDLSRLFEEHYNHLMKALQENDPSWPSLMLKLCAALKTADKLVSCANTNAERLVEKVKALEGVLEKGDRAVAEIVESLQRSGVAKDHRSSQSKSASK >KQL29226 pep chromosome:Setaria_italica_v2.0:I:11756491:11764274:1 gene:SETIT_017803mg transcript:KQL29226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNTNASGGGSDKEEKKEDKGKGKDSSEPSFKEGDRVLAYHGPLLYEAKVQRIENLEDEWRYFVHYLGWNKNWDEWVANDRLLKLTEENVRKQQELEKNQVVDKSMKSGRSTQHKLKGANADAKADKDDTKSLVKGKKRKSQPGTEEKERRSSESLLVSQFPLTLKKQLVDDWENVTQLGKLVKLPRSPTVDDILKRYLEHRAKKDNKINDSYAEVLKGLRCYFDKALPAMLLYKKERDQYTEEVKGDVSPSTVYGAEHLLRLFVKLPELLAFVNMEEDALNKLQQKLLDILKFLQKNQSTFFASVYDDRKSADGSKSK >KQL29225 pep chromosome:Setaria_italica_v2.0:I:11756491:11764274:1 gene:SETIT_017803mg transcript:KQL29225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSNTNASGGGSDKEEKKEDKGKGKDSSEPSFKEGDRVLAYHGPLLYEAKVQRIENLEDEWRYFVHYLGWNKNWDEWVANDRLLKLTEENVRKQQELEKNQVVDKSMKSGRSTQHKLKGANDAKADKDDTKSLVKGKKRKSQPGTEEKERRSSESLLVSQFPLTLKKQLVDDWENVTQLGKLVKLPRSPTVDDILKRYLEHRAKKDNKINDSYAEVLKGLRCYFDKALPAMLLYKKERDQYTEEVKGDVSPSTVYGAEHLLRLFVKLPELLAFVNMEEDALNKLQQKLLDILKFLQKNQSTFFASVYDDRKSADGSKSK >KQL28029 pep chromosome:Setaria_italica_v2.0:I:2801730:2802379:1 gene:SETIT_018833mg transcript:KQL28029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKTKMVAIGIMMAILFVGIASATPAQEVCVDTTKEVPRDDTCICSKNCACAGMCILQGEGDVKTCFVDCVLKNDCQCPPNDQGAEKKTDG >KQL31474 pep chromosome:Setaria_italica_v2.0:I:37795643:37796278:-1 gene:SETIT_020086mg transcript:KQL31474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPQEPQKDPPENSSRPAAAAAEGSPGPTPRDPSPRRAMDTDLGGASAAGMDEAEAAFFARRGRRCCCFPWPAPSSSTTSHQRVGTAGLAAEEESWWQRAADAVLKVREWSELVAGPRWKTFIRRFGRSGPPTRPHHHFGGRKLNYDALSYALNFDEGHGASPEGDYTGYRDFSARFVGPPASAKSSMDLGGRDAPPLFNPPPPSHDGAGRA >KQL32000 pep chromosome:Setaria_italica_v2.0:I:40822190:40822746:-1 gene:SETIT_019259mg transcript:KQL32000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSILHRALSFSNVPVHRFDVSPLKATSAASNRHEGLALLTTIAEDTGFCNPSPLLPNPSTLEKRLISKILHLREALDLPCRILLDTLEALKISYPNCSSGLSGNHTSSVQQVCHLNGTPFI >KQL28241 pep chromosome:Setaria_italica_v2.0:I:4537694:4543291:1 gene:SETIT_017174mg transcript:KQL28241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSEIDLQEIVRVQKNDRNMSQHRRMKRREEPARFSSCDGSKKLDGPRLREDEMADGEDAAAARRRSAITDYRKKLLNCRELESRVGTVRESLKNAKKDFAKTEDDLKSLQSVGQIIGEVLRPLDNERFIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKIVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQARMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVVHEDFMKAVRKLNDAKKLESSAHYSADFGKE >KQL30600 pep chromosome:Setaria_italica_v2.0:I:32068240:32068918:-1 gene:SETIT_0198812mg transcript:KQL30600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAMEAAGALAYLHSAATIPIFHRDVKSSNILLDDNFTTKVSDFGASRSISLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLIRKKPIFINDLGAKQSLSHYFIEGLHAGSLVEILDKQVVEEADQEEINEIASLTEACLRVKGGDRPTMKEVDMRLQFLRTKRLRKGLAEKDADIEPLLCPQDKNLYGHIDLVNAGSSGCYSLEQEFASLPR >KQL31687 pep chromosome:Setaria_italica_v2.0:I:39201311:39201522:1 gene:SETIT_019725mg transcript:KQL31687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFINVDRLLNLMINDQLPCLKVSNDKHLGTEGIFT >KQL28021 pep chromosome:Setaria_italica_v2.0:I:2647241:2649685:1 gene:SETIT_020163mg transcript:KQL28021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSFLIRSPKEEESDAAVREAVFLGARNAAIAGSVVAVPTLIGCRVLPWAKANLNYTAQALIISAACIAGFFITADKTILRNARQNTIGKLDKST >KQL28605 pep chromosome:Setaria_italica_v2.0:I:6981812:6984290:-1 gene:SETIT_017448mg transcript:KQL28605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFSIFCKKRRTTRQPSSNHNEDVPGGPSIKKYTYRELVRATGNFNKSNKIGEGGFGSVYKGQLKNGTIIAVKVLSSESRQGVREFQNELVAISDISHDNLVKLYGYCAEGDQRILVYNHLENNSLSQTLLGSNHSNIQFNWKTRVNICLGIARGLAYLHHGVNPHIVHRDIKASNILLDRDLTPKISDFGLAKLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTRKSDVYSFGVLLLEIVSGRSNSDTRLAYEDQILLEKTWIYYEQGNLEKIIDSSLGDDLDVTQACRFLKIGLLCTQDVTRHRPDMSKVITMLTGEKDVESEKISKPAIISDFMDLKVRSMRKPNDIATSSTFLSSLMAHSSPLLSNETTQASMISTGQVSMISTGVSDRE >KQL28850 pep chromosome:Setaria_italica_v2.0:I:8459446:8461884:1 gene:SETIT_017226mg transcript:KQL28850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRKNPDAAKRIHQEEVFAKQKDLILVGETLLLSTIRFDFNIQHPYEPLKLALKNLGICQKEVRQRAMSFINDTLPTTLVVQFKPHFIAAGSLFHAAKFHNFVLPSQNGKVWWNEFDVAPKQLQAVIQQMSELLFKKRDPCSMVSANKPVPTPIPTPTPMDKHQIKPPPTPALMDKQTIKPIPTPTLTDRQQKIRTPAPALRHTQSSMRSFSSSNTKASSCVTVGSSFDKLTSSSASNEENRYRWTDEENQYRQRTDKENQYRRTNEQNQYRWTDEQNQHRRTDEQNQYRRRTNEENQHRRTYEQNQHRRTYEENQHRQTYEENQHRRMNEEKQCWRVNEEKQCRQTNEENQYRRTNEENQYQRTHTNHNLVPVDQRIEKPSYRGTLKVDRGYRATIIMDLTRKKRRIQEVGRFPAPVYISDTNDWRIGSLKKQKLERR >KQL29084 pep chromosome:Setaria_italica_v2.0:I:10227241:10227840:1 gene:SETIT_020623mg transcript:KQL29084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVARRQPVGSKSTLPMASDAPGRAVDGTVAASRNSHSHRGGDSSPLSSLSSLSAAAAAAGGRVIMPAAWLAPAACSCCCNCKVVVSWCCAAAREEAGEEGLLMD >KQL29521 pep chromosome:Setaria_italica_v2.0:I:19578867:19579962:-1 gene:SETIT_018345mg transcript:KQL29521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVARRARWRWRRRRSASQMDEVAATQMQAAAVAPARTRTQHDGSIELATLVTCRSAEAAPTGNEFLDLMDDSFNNPAAPLPPRSLALNVASIAAVSYLKNLPEPLHRIVHGGAVHRTPGKKARGAPRRRGRGSYGSRKPRASAPRRSTAQLAWSATRASRRRRGGPVEWRPQRGRDRGIRKAALVPLHRALKLPIEVFISAPELCISEET >KQL29188 pep chromosome:Setaria_italica_v2.0:I:11153405:11155547:-1 gene:SETIT_017648mg transcript:KQL29188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALPTMAGRGAVACAAAPVPRRSLLLSTAAAGAALQLNAEQLAGTRPLRLTRNATGGAAAKIRASADAAQAATFSGADEAAAWAKKDNRRFLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEEKYTNAFLGYGPEDSHFVVELTYNYGVDKYDIGAGFGHFGIGVDDVAKTVELVRAKGGKVTREPGPVKGGTTVIAFVEDPNGYKFEILERPGTPEPLCQVMLRVGDLDRAISFYEKACGMELLRKRDNPEYKYTVAMMGYGPEEKNAVLELTYNYGVTEYEKGNAYAQIALGTDDVYKTAEVVKLFGGKVVREPGPLPGINTKITSILDPDGWKSVFVDNIDFAKELE >KQL30031 pep chromosome:Setaria_italica_v2.0:I:27631492:27635634:1 gene:SETIT_017258mg transcript:KQL30031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIRRMGSTPRTVRHELQFPNAMQCKHVRRTSARALQESHSGSGAPGEININRPHLTSPPLTRVPEHDSRSYSLLASSRPPRRRFFASNFVRRKALPSTLAMGGNQYDDDWVLPSADITLVLVGKLGCGKSATGNSILGQEVFESEYSHVSVTNTCQMGSTTLHDGRTINVIDTPGLFEVKVTTEDAGKEIVKCLNMAKDGIHAVLMVFPATHRFSQESESTIESIKAFFGEKIVNHMILVFTYGDVIGESKLKKMQNNAPECLKKVVELCQNRVVLFDNMTNDRRLQEQQLDKLLDLVDSISANNGGKPFSDQMFTRIKEVHDREKEMRTIGYSEEQISELKKEIHRTRDEQLAHITSMVEEKLNYSVEKLQQQLMEEQNARLEAEKVALEARMKSEDEIQKLKESLRKAQMENEEFRRLAAERKCAIL >KQL30991 pep chromosome:Setaria_italica_v2.0:I:34906950:34907456:-1 gene:SETIT_020056mg transcript:KQL30991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIAIILLAVAIAPALAVGSSSVINATCAALKPLQPYDYCVGVLSADPAAAAATDVRGVAAAAVNITAVKVASTLRVISYLVDELTTCRGYYTNMLQSLADARVDFGAGRFENASFGISANASARPMDCDILLFEGNAHKDPFTKENGENDSLVRLAGAIIDLLTSK >KQL29212 pep chromosome:Setaria_italica_v2.0:I:11541040:11546100:-1 gene:SETIT_016681mg transcript:KQL29212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLGFAVLILVVSLPCFSASDRQGDALYDMKQKLNATGGQLSDWNQNQVNPCTWNSVICDSSNNVVQVTLAARGFTGVLSPRIGELQYLSVLSLAGNRITGSIPEEFGNLSSLTSLDLEDNLLAGEIPASLGNLSKLQLLILSQNGFNGPIPDSIANISSLTDIRLAYNNLTGQIPDSLFQVARYNFSGNHLNCGPNFPQSCASSMSYQSGSHSSKVGLILGTVGGVIGLLIVGVLFLICNARRRSHLREVFVDVAGEDDRRIAFGQLKRFAWRELQIATDNFNERNVLGQGGFGKVYKGVLPDATKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWPERKQVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGQLDSIVDGNLNQNYDNEELEMIIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQRRFDWGEDSVYNQEAIELSAGR >KQL29328 pep chromosome:Setaria_italica_v2.0:I:13766165:13768633:1 gene:SETIT_019230mg transcript:KQL29328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSVVDKVKQYVLYVDHDDNIVELSWDDTIANLVASLPKVLSPRKVKIIEKNHEEKLPVFYSNLKIVVGMLFESVQLLRKAITKYRLKERVEIKLSRNEKKRLRVHCANGCPWGLYASHDGRAKGLVVNTYCGKHNYQKHRVLKRCTSKWLADNNFARIVQKEWNMTPSRSKLARARRLSMKEVLGDEVDQYKLLWDYGHELRRSNHGSTFFLNLNGNRFSTMYMSLDACKRGFLSACRPIICLDGWHIKTKFGGQILTAVGIDPNDCIYPIAIGIVEALKDNLGIDNTYPWTIMTYKQKGLIQAIQQLFPDSEHRFYARYLYSNFQMHFKGENLKNQLWACARSSNIVQWNQDMDMMRELNPDAHKWLEEMPPQTWVRTFFSTYPKCDMLLNNTCEIKTQLITRHYNKEKEVGDVWQGPICSKIRKKLQKNIELANICYALPASKGVFEVRGKTNKYIVDISLKNCDCRRWDLTGIPCSHAISCLRHERIPQELVLPYCYSTISFLLAYEVFPRVYEKKVGRPPKSRRRQPYEIEGPNGLKLTKHGVIINCRYCGSSSHNRAGCPLRKQGQAPTQVPTQVPVEEYQASAQSESTHQVGETMDDDPFTSQ >KQL30753 pep chromosome:Setaria_italica_v2.0:I:33149836:33153442:1 gene:SETIT_019832mg transcript:KQL30753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVSIYYGGTVERDEYGCVKFVGMQCEVVIFDEKPSFSELVARAREELHCHENDEITVEGILHLGSPLNIQRKIVPIRCAGQWEKYVRTVMNGHSPSVEVVVRPVLPLLRSRAHDGFLALQYDDRYTPLLQMAGLDVISYQVRRGMPKFNSAAITALVDRYC >KQL31140 pep chromosome:Setaria_italica_v2.0:I:35854442:35858027:-1 gene:SETIT_017630mg transcript:KQL31140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCQGTVESLCQGLLDLDDDKFGAMCSAFGYLQEWPDLGAMCGASLGAPAAAAPGAGDGNDTSSCSGSAGAGGFRKRRPDAYLDAKGDGNDMSKRPRGKQQQLGGLGEIAAPGKGKQERPKAGTKKKAEAASTAAAAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCSKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVSFDIVEDLFGRQLKQACNPAALPAMALPAGQLEPACLQMNPMQQVHPSAAAGSTCFGLDMVVNNLYPPPAAARCPVSAATPVTAAAGPSIEPCLNVNGAAAAAWDVGSQNLFGGFDAQFQSVESDCLLDNLKMEM >KQL31139 pep chromosome:Setaria_italica_v2.0:I:35855957:35857403:-1 gene:SETIT_017630mg transcript:KQL31139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCQGTVESLCQGLLDLDDDKFGAMCSAFGYLQEWPDLGAMCGASLGAPAAAAPGAGDGNDTSSCSGSAGAGGFRKRRPDAYLDAKGDGNDMSKRPRGKQQQLGGLGEIAAPGKGKQERPKAGTKKKAEAASTAAAAGQKTDYIHVRARRGQATDSHSLAERVRRERISERMRYLQELVPGCSKVTGKAGMLDEIINYVQSLQKQVEFLSMKIAASNPVVSFDIVEDLFGRQLKQACNPAALPAMALPAGQLEPACLQMNPMQQVHPSAAAGSTCFGLDMVVNNLYPPPAAARCPVSAATPVTAAAGPSIEPCLNVNGAAAAAWDVGSQNLFGGFDAQFQSVESE >KQL28216 pep chromosome:Setaria_italica_v2.0:I:4345802:4364789:1 gene:SETIT_016074mg transcript:KQL28216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPRELLAAIEAALLGAAPPSPAQRVELLHAVRDAAPAFRALLSYPGPKASDRTQVESKEVRLPDMPPITLDDTDVQTALKLSDELNLNEIECVRLLVDANREWVLYGREPLEIYRLAAGLWYMERRDLITSLYILLRSVALDQGLDADLMSEIEEQMQPLFNDGLRQRIIALVKELNREEPAGIGRPSSERYVLDFRGALVERRAIVSRERLSLSHCLALSALIKLMSPKEVKDVFSILKDCAADANQNTSVELQITYGVLFSLVATFISDALSTSHEKGSLSSSDSSFRHEFHELVMRTGNNTTVEGFVGVVRLAWSVHLMLTQDRSNSRETSDIWSCLEIICCQNSFEFLRERVLKTAAYQNDDEDIVYMYTGYTHKLMMCFISHPTSRDKIKEIKEKAMTALSPYGPPRDHREDPARNGEQVGQATNEPFVSLLELIREIYQKEPELVHGNEELWTFVIYAGEDHTNTQTLVAFLGLLSILASTEVGAAKVYELLQGKIYRSVGWNTLFDCLSIYEEKFKKSIQSSASILPDFPEGDAQALVAYLSVLQKEWFPDIEPLFKLLSYENVPPYLKGALRNSIAAFIKVSPLLKDTIWNYLEQYDLPVVTAPLGHHTATQIYDMRFELNEVEARRESYPSTISFLKLINALIAEERNISDKGRRFMGIFKFVYEDVFGPFSQRAYADPQEKWELALACLEHFRMVLSMYDINDDDIYASVNTSAPSSIERQLPLLELLKDFMSGKAAFRNIMNIILVGVDSLINERTTQTYGILLEKTVHLSLEIFILVMERDLALADVFRPLYQPLDVVLAQNHRQIVALLEFVRYDYLPQIQQCSIKIMAILSSRIVGLVQLLLKADVGKTVIEDYAACLEFRFDDFQVIEDTKDDVGVLILQLLLDNICHPAPNITHLLLRFDVNGSIERTVLKPKSHYSCLKVILDNLEKVTKPDINALLHEFSFQLLYELCLDPLTCGPVMDLLSTKKYQFFSKHVGTIGVAPLPKRNTNQSLRISMLHERAWLLKMLALALHLSDVSSSVYREACVAILYHTFGQCANNFQSTSLFHSRDASTGISNESGNRNKVLDLLEVLQFRCPDTSMKYPQLLSNLGVEPKIEEILRNSATSEFGGVYYYSERGDRLIDLDAFHEKLLQITQELNSQLSESEKGEVKESVHHLLKWAWRYNKNLEEQAAQLHMLTGWSQIVEVAVSRRMSLLEDRSKLLFELLDASLSATTSPDCSVKMAYVLTNVSLTCMAKLRDERFICPAGADSDAVTCLDIISSKQLPNAACNSLLFKLVMAILRNESSETLRRRQYALLLSYFQYCRSILDSDVPPSVLRFLLLEEQEADDDDFTLQKVLKEHNELARGNFSIIRKEAQAIVDLVTKDAIHGSEAGKAISFYVLDALISVDHEKYFLNQLQSRGILRSCLSDVTTYLSKDSSFTSESSQRFCKVDAQLSLLLRISHHYGKHGSQILLSMGALQNLSSCNLMGVQKKGNSRAISNIVKERAGEIDKKRSLIAPVLRIVTSFTSLVDSADFLEVKNKIVREIVDFTKQHQSVFNSILRENMSGANLFTLERLSLVVSVLSKVWAYEENEECSYIQDLFALMHSLFSLDFGSLNFMQSPNMIENQKSELRAFGLCFSLISYLYVLATKKNMRFQVSYDHNSDQQQPTLQMVSDLLSSITLAIERVAEEKYMLLNKVRDLNELSRKEVDEIIKLCMKQDCISPNDNIRKRRYIAMIDLCCMAGNRDQLITLLLQIAECAIIILLVHFQDESCAKDLSPFSDDLLPVLERLEHLKEDKVGRSSLKLFHRSVTTLKEMTIRCMTV >KQL28503 pep chromosome:Setaria_italica_v2.0:I:6317195:6319158:-1 gene:SETIT_018863mg transcript:KQL28503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLETAHYCELVVESSEIDDLPLLPPSLLSHSFTERCLLLLSGASSYCRGHCWSMLQQGITLTFLQFKIPIMILIFSE >KQL28504 pep chromosome:Setaria_italica_v2.0:I:6317195:6319702:-1 gene:SETIT_018863mg transcript:KQL28504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLVGLQSAEKTSLVNAIDVESSEIDDLPLLPPSLLSHSFTERCLLLLSGASSYCRGHCWSMLQQGITLTFLQFKIPIMILIFSE >KQL31761 pep chromosome:Setaria_italica_v2.0:I:39627777:39628415:-1 gene:SETIT_018855mg transcript:KQL31761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVVQRERHARCAGRCSTSAHPDEGYFPFSISICNALWSPRLPTVLGCSCFQGLPSPSVRLMVAVWCGNLRSWSFTNFLRVVAANHGC >KQL29745 pep chromosome:Setaria_italica_v2.0:I:24050821:24053384:1 gene:SETIT_018788mg transcript:KQL29745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPVVIASAGLGMLAGLAMANRSLGDGIPAASRWDARPRCATCGGSGRVECLCNRWSDGDSGCRTCAGSGRMPCRSCGGSGTGRPLPARLTIQHQKPRPPAGYN >KQL29744 pep chromosome:Setaria_italica_v2.0:I:24050821:24053384:1 gene:SETIT_018788mg transcript:KQL29744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPVVIASAGLGMLAGLAMANRSLGDGIPAASRWDARPRCATCGGSGRVECLCNRWSDGDSGCRTCAGSGRMPCRSCGGSGTGRPLPARLTIQHQKPRPPAGYN >KQL29746 pep chromosome:Setaria_italica_v2.0:I:24050821:24053384:1 gene:SETIT_018788mg transcript:KQL29746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPVVIASAGLGMLAGLAMANRSLGDGIPAASRWDARPRCATCGGSGRVECLCNRWSDGDSGCRTCAGSGRMPCRSCGGSGTGRPLPARLTIQHQKPRPPAGYN >KQL28915 pep chromosome:Setaria_italica_v2.0:I:8841849:8846958:-1 gene:SETIT_016899mg transcript:KQL28915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACFSSASAAPAGAAERRPSKEDKKRRRGGASPEGATKAAAAAAAPVRVEFGYERDFEARYEVGRLLGHGQFGYTFAATDRQSGDRVAVKRIDKAKMTRPVAVEDVKREVKILKALKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSNKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWSSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDIDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFKEFVAATLHIHQMAELDNERWGIRCQAAFSKFDLDGDGYITPEELRMVIFYSYFVFLLLHVYE >KQL28913 pep chromosome:Setaria_italica_v2.0:I:8840815:8847062:-1 gene:SETIT_016899mg transcript:KQL28913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACFSSASAAPAGAAERRPSKEDKKRRRGGASPEGATKAAAAAAAPVRVEFGYERDFEARYEVGRLLGHGQFGYTFAATDRQSGDRVAVKRIDKAKMTRPVAVEDVKREVKILKALKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSNKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWSSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDIDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFKEFVAATLHIHQMAELDNERWGIRCQAAFSKFDLDGDGYITPEELRMHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNVPSPRGPPNPQAL >KQL28914 pep chromosome:Setaria_italica_v2.0:I:8841321:8846958:-1 gene:SETIT_016899mg transcript:KQL28914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACFSSASAAPAGAAERRPSKEDKKRRRGGASPEGATKAAAAAAAPVRVEFGYERDFEARYEVGRLLGHGQFGYTFAATDRQSGDRVAVKRIDKAKMTRPVAVEDVKREVKILKALKGHENIVHFYNAFEDDSYVYIVMELCEGGELLDRILAKKNSRYSEKDAAVVVRQMLKVAAECHLRGLVHRDMKPENFLFKSNKEDSPLKATDFGLSDFIKPGKKFHDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRKRPWSSISPGAKDFVKRLLVKNPRARLTAAQALSHPWVREGGEASEIPVDISVLSNMRQFVKYSRFKQFALRALASTLNEEELADLKDQFDAIDIDKSGSISIEEMRHALAKDLPWRLKGPRVLEIIQAIDSNTDGLVDFKEFVAATLHIHQMAELDNERWGIRCQAAFSKFDLDGDGYITPEELRMMQHTGLKGSIEPLLEEADIDKDGRISLSEFRKLLRTASMSNVPSPRGPPNPQAL >KQL32225 pep chromosome:Setaria_italica_v2.0:I:41859911:41862508:-1 gene:SETIT_017211mg transcript:KQL32225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNSKPNDAGAIRRRPGNIGEVAVFIPGLRVPESLELSQPLSDGLPRRLTERLSALRSRIVVMAAHEALSVTRPRKRTFTQHGGSTSADLLQALEEYLPVLLGLVKEGSNLEDKIQFSWMNQEDDAEETALPSSWYEVLSVLHMMAMLRLSQANSLLLPKTSLEGYHAKVSEDNKRASVEIFLKASGFLECAIQHVLPRISPENRKGLPVDLSEGVLKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESMADLPLIDSWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHRMAVAALQSAEELLKESKDVAEAFHAAPPVSRQRAIHPSLNFFTCCDVSFKLEALLVLGCAEALLFADR >KQL32226 pep chromosome:Setaria_italica_v2.0:I:41859660:41863769:-1 gene:SETIT_017211mg transcript:KQL32226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNSKPNDAGAIRRRPGNIGEVAVFIPGLRVPESLELSQPLSDGLPRRLTERLSALRSRIVVMAAHEALSVTRPRKRTFTQHGGSTSADLLQALEEYLPVLLGLVKEGKSCILLLFHLSLNRSNRSVSCFSAFTLTQCLTGSNLEDKIQFSWMNQEDDAEETALPSSWYEVLSVLHMMAMLRLSQANSLLLPKTSLEGYHAKVSEDNKRASVEIFLKASGFLECAIQHVLPRISPENRKGLPVDLSEGVLKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESMADLPLIDSWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHRMAVAALQSAEELLKESKDVAEAFHAAPPVSRSPPVCGSMKYLHDKIQKDSSCKVRINKDLYSNDSIHEAVPALPDFAVALKPEEYRLPAVTVDAANG >KQL32227 pep chromosome:Setaria_italica_v2.0:I:41859518:41862508:-1 gene:SETIT_017211mg transcript:KQL32227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFNSKPNDAGAIRRRPGNIGEVAVFIPGLRVPESLELSQPLSDGLPRRLTERLSALRSRIVVMAAHEALSVTRPRKRTFTQHGGSTSADLLQALEEYLPVLLGLVKEGSNLEDKIQFSWMNQEDDAEETALPSSWYEVLSVLHMMAMLRLSQANSLLLPKTSLEGYHAKVSEDNKRASVEIFLKASGFLECAIQHVLPRISPENRKGLPVDLSEGVLKAICMQALGQAIDVQLGLAIDSPKATLAVKRRLACEMVKCWQQAHESMADLPLIDSWGEKHRLFVKWKYIEAKAAAYYYHGLILDEGNTEKSHRMAVAALQSAEELLKESKDVAEAFHAAPPVSRSPPVCGSMKYLHDKIQKDSSCKVRINKDLYSNDSIHEAVPALPDFAVALKPEEYRLPAVTVDAANG >KQL28266 pep chromosome:Setaria_italica_v2.0:I:4654934:4657803:-1 gene:SETIT_017787mg transcript:KQL28266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGHGDTGASAMMAKLKELLHRSENRICADCSAPDPKWASANIGVFICVKCSGVHRSLGTHISKVLSVTLDKWTDNEVESMIEVGGNSNANAIYEAFLPEGYQKPHPDSSQEEREKFIRSKYELQEFLKPSLRIVSHHTSDDCGKHAGSASHSDGSKSEIGMIEFIGILNVKVIGGTNLAIRDMSSSDPYVVLTLGHQKVQSSVIKGNLNPVWNEELKLSVPREYGPLKLQVFDHDMMSKDDLMGEAEIDLQTMINAAAAFGNPELLGDMQIGRWLKSEDNALVRDSAVVVAGGKVKQGVSLKLQHTESGELELEMEWMPLNM >KQL28319 pep chromosome:Setaria_italica_v2.0:I:5008597:5011971:-1 gene:SETIT_018318mg transcript:KQL28319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVVQGIIETQHVEALEVLLQGLSGVPKERVRVHELCLKSGPNLGVVPSEVRLLCDLAQPTPSWTIRHVGGAMRGAGAEQISVLVRTIVESKASKNVLQYFYTLGYKLDHELLKIGFAFRFHRGAQITVTVTSANKMPRLHATDEAVPVTPGIQLVEITAPAAADNYNDVVSAVTAFCEYLAPLLHLSKPGHSTGIVATAGAAAASLMSSGGGKTL >KQL31698 pep chromosome:Setaria_italica_v2.0:I:39261950:39265383:-1 gene:SETIT_016274mg transcript:KQL31698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVERHLTPHPWPSNAPPKSFDMFKPGGPGKRRTGSDSDSDDDDNIPPDWRSLYHPRLEVEPPVHDPRDEATSDAWVRRHPALVRLTGKHPFNSEPPVPRLMAHGFITPAPLHYVRNHGAVPKADWSTWTVEVTGLVRRPARLTMEQLVTEFEAVEIPVTLVCAGNRRKEQNMVRQTVGFNWGPGAISTSVWRGVRLRDVLRRCGVMGAGAGAANVCFEGAEDLPGGGGCKYGTSLRREVAMDPARDVILAYMQNGEPLAPDHGFPVRVIVPGFIGGRMVKWLKRIIVASNESESYYHYRDNRVLPSHVDAELANAEAWWYKPEYMINELNINSVITTPGHDEVLPINALTTQRPYTIKGYAYSGGGRKVTRVEVTLDGGETWQVCSLDHPERPTKYGKYWCWCFWSVDVEVLDVLGAKEIAVRAWDEAMNAQPEKLIWNLMGMMNNCWFRVKVNACRPHKGEIGLVFEHPTQPGNQAGGWMARQKHLETSESAQGTLKKSTSTPFMNTATAQYTMSEVRRHTSPESAWIIVHGHIYDCTGFLKDHPGGADSILINAGTDCTEEFDAIHSDKARGLLEMYRVGELVVTGSDYSPQSSHADLKAIVEAPAPAPAVPLPVSTVALANPREKVRCRLVDKKSMSYNVRLFRFALPSPDQKLGLPVGKHVYVCASIGGKLCMRAYTPTSSVDEVGHIELLIKIYFKDEDPKFPNGGLMSQYLDSLPLGSTIDIKGPIGHIEYAGRGGFVVNGERRSARRLAMIAGGTGITPVYQVIQAVLRDQPDDDTEMHLVYANRTEEDMLLREEIDRWAAAHPARLKVWYVVSKVARPEDGWEHGVGRVDEQVMREHLPPGDGETLALVCGPPAMIECTVRPALEKMGYDLDKSCLVF >KQL31029 pep chromosome:Setaria_italica_v2.0:I:35125300:35126450:-1 gene:SETIT_019378mg transcript:KQL31029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHTAEMMDIVKTLQKDRFTPRYYVAALTDNMSIQKAEVYEQSLIQSGGKKTAETTHFMQIYRSREVGQSYITSIATTLLATLHAMWLVIRIRPQVIFCNGPGTCFPLCVSAFLLKVLGLGWSSIFYIESIARVKKLSLSGLLFYKLRITDQFFVQWPQLQQKYPRACYAGRLM >KQL31965 pep chromosome:Setaria_italica_v2.0:I:40690819:40694346:1 gene:SETIT_016808mg transcript:KQL31965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTKRGGGDLARSSHKNRRACETEWVSGCLLDEDSNQDVCTELSDVVKSHFRKSVASISLFLTSASLARAFDGKTNEHYYDLKVCHEGKEVYRGFLAEYDLDHNFAVVNVRRFIDVHVGIFECVLESVPYGEAYVVGRGVSGDLMARSVELGGDLRVSKEDKDLDSKTSEAWEGGSVFSFDGKFIGMNLFLVPGRAVFLPWSTISKRLECYWTSWQKVTGQVPFGAPVGGKSNSYQEAHRGLHNKEQLDLDSMGYPKLPSTMLGARMILVNTFEETFGDMCGKGVWSKLSGKAAFSIRRNVVALASFNGGKRIFACTGFFIKWNGSSIILTSASLIGNSGDVDEIVENLRIEVLLPDKKCVEGKLEHHDPHYNVALVSVSVEDRRDLRPANTRLSWSNCYKVAAVGRCFKSGALMAMGGELVSWTGTLDCDLLVRSSCKITKAGIGGPLVTLDGDVLGMNFYDKEIGTPFLLWGNICEILESFKLRSKAGETESDPSGTPFWKTDKDPATRLNRWVYCYILSSSICDTLIIFSLN >KQL31964 pep chromosome:Setaria_italica_v2.0:I:40690819:40694587:1 gene:SETIT_016808mg transcript:KQL31964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTKRGGGDLARSSHKNRRACETEWVSGCLLDEDSNQDVCTELSDVVKSHFRKSVASISLFLTSASLARAFDGKTNEHYYDLKVCHEGKEVYRGFLAEYDLDHNFAVVNVRRFIDVHVGIFECVLESVPYGEAYVVGRGVSGDLMARSVELGGDLRVSKEDKDLDSKTSEAWEGGSVFSFDGKFIGMNLFLVPGRAVFLPWSTISKRLECYWTSWQKVTGQVPFGAPVGGKSNSYQEAHRGLHNKEQLDLDSMGYPKLPSTMLGARMILVNTFEETFGDMCGKGVWSKLSGKAAFSIRRNVVALASFNGGKRIFACTGFFIKWNGSSIILTSASLIGNSGDVDEIVENLRIEVLLPDKKCVEGKLEHHDPHYNVALVSVSVEDRRDLRPANTRLSWSNCYKVAAVGRCFKSGALMAMGGELVSWTGTLDCDLLVRSSCKITKAGIGGPLVTLDGDVLGMNFYDKEIGTPFLLWGNICEILESFKLRSKAGETESDPSGTPFWKTDKDPATRLNRWPVTMPCWRLSDDVEKDKPDDDVGVYSEYSYDKGKKILKL >KQL29323 pep chromosome:Setaria_italica_v2.0:I:13655900:13657969:1 gene:SETIT_019178mg transcript:KQL29323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNHAAGATPRAWRICRQSVGVPLSAAGAMSIRAVLDRVFSAVDSSGPRPVLAIGNGDPTASASFRPPLEAEEAVVEALRSKKHNGYSPTVGFLQARRG >KQL28772 pep chromosome:Setaria_italica_v2.0:I:8014441:8021664:1 gene:SETIT_016147mg transcript:KQL28772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSPASSGVAPAAAAASAGGAPPEGERKAPAINADLWYACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKDIDAHVPSYPNLPSKLICLLHSVTLHADPDTDEVYAQMTLQPVNTYGKEALQLSELALKHARPQMEFFCKTLTASDTSTHGGFSVPRRAAEKILPPLDFNMQPPAQELQARDIHDTVWTFRHIFRGQPKRHLLTTGWSLFVGGKRLFAGDSVIFVRDERQQLLLGIRRASRQPTNISSSVLSSDSMHIGVLAAAAHAAANNSPFTIFYNPRASPTEFVIPFAKYQKALYSNQISLGMRFRMMFETEELGMRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSMWEIEPIAAPFFICPQPFFGVKRPRQLDDESSEMENLFKRAMPWLGEEICIKDAQTQNTTMPGLSLVQWMNMNRQQNSTLANTGIQSEYLRSLSNPAMQNLGATELARQLYVQNHLLQQNSAQLNASKLPQQMQPINELSKGVLSFNQLDVITNQEQKQEAGNQQRQQQASNQAIPLSQAQASLAQAQVIIQNQMQQQQQQHLSPTKNEQGTSDQQLLLSQQQQDQNLQLQQHQQLLLQQLQRQQQHNQQLNKLPGQLVNLAGQQTQLSDQELQLQLLQKLQQQALISQPAVTLSRLPLIQEQQKLLLDMQQLSGSRSLAQQRIMPQQDSKVSLQASPSPPPMKPEQQQKLSQKQVALADMSDVAFPSITPTNVVSKAGSSLMIPGAAQSVLTEELPSCSTSPSTANGNHLAHPNIGRNDHCKVNTEKVPQSSALMSIPTSVEAVTAAPVMAKELLNHNVKENVITSKSPTCGTGPDNLLNIVPSTDNLETASSATSLWPTQTDGLLHQGFPTSNFNQQQMFKDALPDVEIQDVDPTNNAFFGISNDGPLGFPMETEGLLVSALNPVKCQTHMSTDVENNYRIQKDAQQEISTSMVSQSFGQSDIAFNSIDSAINDGALLNRNSWPPAPPPQRMRTFTKVYKRGAVGRSIDIGRYAGYEELKHALARMFGIEGQLEDRQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVKCIRILSPQEVQQMSLDGDLGNNVLSNQACSSSDGGNAWRPRCDQNPGNPSIGFYDQFE >KQL31227 pep chromosome:Setaria_italica_v2.0:I:36359506:36361021:-1 gene:SETIT_017855mg transcript:KQL31227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLLFLRDRLSESEPRPRARRSIRRAQGWAGRDREFQGSSDGVRSVEVGVGVSPVVGRGAEWEREWLTSGDGAVCAGGGGEGWIQGRTAGGFGVEMGESRRGRLVGVSRERWVVPGRGVGVGYGPGLARGLIRRCCYRPRTARGRAAPRRPPSARRMRRTDGHPSRSRRVPNDSALERAGGRGRPRRGQTGRRGAIGRPTMSREAPSGEASARPHAAAARRQRAAAGHHLGRVAKVAQLFAERALCRPRPVSGLNRGEEGWMDCCELVDKVIQDKKSIANEWSPVVVPCHSIPHVRDSGSRYVLVFYTRP >KQL30008 pep chromosome:Setaria_italica_v2.0:I:27449388:27452821:-1 gene:SETIT_018438mg transcript:KQL30008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRKILESQLQRIEVLGVDDTISNHPAFDTNYKILWANHGDAISIQYSGTPALKGDFVRYGKRTTQGILNDLRNALGRYYLNNFVDGTKQDAMDLLQGHYMTSVSRDMAVPRKGGLLENYASFRLAFALVMGVMMFMMMSLRHARNDIRHLLLSLLWAGLCIGITHFVKANGRTFTNRPRFHQSRH >KQL31038 pep chromosome:Setaria_italica_v2.0:I:35191120:35191317:1 gene:SETIT_020510mg transcript:KQL31038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKKMAFLADRLNLVDTLDKRFIYLLFRLD >KQL29005 pep chromosome:Setaria_italica_v2.0:I:9652692:9654689:-1 gene:SETIT_019762mg transcript:KQL29005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAFGARAAAVPARMTTVSRHYFGGDASESDHDLRVDIIENIEEDYGMFVWPCSIILAEYVWQQRSRFSGSKVVELGAGTSLPGLVAAKVGADVTLTDIAHNTEVLNNIRRICTLNDASCTVSGLTWGDWDEPIFDLHPDIILGADVLYDSANFDDLFATVTFLLENSPGAVFITTYHNRSGHHLIEFLMVKWGLKCLKLLDGFSFLPSCKAASLQGNIQLVEIALDKEKRK >KQL30269 pep chromosome:Setaria_italica_v2.0:I:29550682:29553320:-1 gene:SETIT_016680mg transcript:KQL30269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIQSDCPYPGCFFCVMKEANPSKRRASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMAALIWKGLKNRRWLAHDQNIYIPYYAAHIIGSYTMNVEEFAERAVRAGVIPPLVELLRGRLTWVEQRVAVRALGHLATYPSTFPAVAEHGEVLELAIQLASSSLEIVYSHFYQFVDRRLGYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLHDICKADFLNKLPGMWGGLVNENSPAGVGLLRTICQNKVGRGHVANIPGTIDALCNIARSSDDWQYMAVDCLIWLVQDANTCHMVIDKVAPTLIDLTNISTLGDYKRLGDTIVTVLQECMQQSGNSRSTIRTQTKSEIDELLRSKQSLKLEKSMPREDLHIKQAAALVVKLEGNSLFSSGNIAGAAEKYSEALALCPMKSKKERVVLYSNRAQCYLLLQQPLAAISDATRALCLHSPVNRHAKSLWRRAQAYDMLGFAKESLLDAILFINECSQSNDPDLSLKQNKVPDYAERLVKKQMRAAWLFREASLKHGGVHCEGDASDAFGQEADDSEWETASESDAENDVRGEGDDETEWKNDGHQEDFCEKS >KQL27966 pep chromosome:Setaria_italica_v2.0:I:2133531:2139011:-1 gene:SETIT_016723mg transcript:KQL27966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSSCCGAAVSSVPVVLARPRVRFTASCSTRTDQKVLFLGSKRFPRFTYSPSRRASSRLSRREIVESIISSFTGSASTEVPKKMETSDVVLVTGATGGVGRRVVDILRKKGVPVRVLARNQDKARSMLGTDVDLIIGDVTKEDTLDPKLFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYIGMQNLINAIKNSVGLSEGKLLFGLKGNLSGKIVWGALDDVVMGGVSESTFQILPTGSETGGPAGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGIELRVKGDGRRYKLIIRTSYEWDTVGYTASFDTTKGEWQSVKVPFYSLRPVFRARTMTDAPPFDASNITSLQLMFSKFEYDGKLNPTFAEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVACICVAALASPNAVGKTFEVKSTVPFSEAYVIDPSNPPPEKDYEVYFKELKEGITGKEALEATPA >KQL27965 pep chromosome:Setaria_italica_v2.0:I:2134126:2138877:-1 gene:SETIT_016723mg transcript:KQL27965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSSCCGAAVSSVPVVLARPRVRFTASCSTRTDQKVLFLGSKRFPRFTYSPSRRASSRLSRREVIAFAGQQSWDIGRFVRTLYFFNGPPNPLKIVESIISSFTGSASTEVPKKMETSDVVLVTGATGGVGRRVVDILRKKGVPVRVLARNQDKARSMLGTDVDLIIGDVTKEDTLDPKLFKGIKKVVNAVSVIVGPKEGDTPDRQKYKQGIKFFEPEIKGPSPEMVEYIGMQNLINAIKNSVGLSEGKLLFGLKGNLSGKIVWGALDDVVMGGVSESTFQILPTGSETGGPAGLFKGTVSTSNNGGFTSIRTKNFTVPEDLSAYDGIELRVKGDGRRYKLIIRTSYEWDTVGYTASFDTTKGEWQSVKVPFYSLRPVFRARTMTDAPPFDASNITSLQLMFSKFEYDGKLNPTFAEGPFELPFSSIRAYINEPITPRFVHVSSAGVTRPERPGLDLSKQPPAVRLNKELGSILTYKLKGEDLIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVACICVAALASPNAVGKTFEVKSTVPFSEAYVIDPSNPPPEKDYEVYFKELKEGITGKEALEATPA >KQL30902 pep chromosome:Setaria_italica_v2.0:I:34231250:34231852:-1 gene:SETIT_019942mg transcript:KQL30902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAVPADDHHRDDAWQAAGPPVHHHPHHAVFTNPAYYRALYDFSTSPEILFEEQALRNGRTWSEDLTLCTGVGYLTGAVAGAAAGLRRAAVEAEPGESFKLRVSRALNNCSSVGRAGGNRLGVIAMLFSGTRSVVSHYRSGADDWINTAAAGVSTGALYRMPGGPRAAVVGGIVGGIMAGAAIVAGKPLLEEYAPNLGI >KQL29507 pep chromosome:Setaria_italica_v2.0:I:18791897:18797661:-1 gene:SETIT_016988mg transcript:KQL29507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSTVLGFFGFGVGVTLGLGIGYYLFIYYQPTDVKHPVITALVELDTKSLENMLPEIPLWIKNPDFDRIDWLNKFIETMWSYLDKAICKMAKEIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYTTDEQELIMEPSVKWAGNPNITVVVKAYGLKATAQVIDLQVFALPRITLRPLVPSFPCFAKILVSLMEKPHVDFGLKLLGADLMAIPGLYGFVQETIKTQVANMYLWPKVLEVQIMDPAKAQKKPVGILFVNVVQAVKLTKKDLLGKSDPYVKLKLTEDKLPSKKTSVKRSNLNPEWNEEFKIVVKDPESQALELTVYDWEQVGKHDRIGMNVIPLKDITPDETKSITLNLLKSMDANDPANEKFRGQLTVDLTYKPFKDGDSDVETSDESGVIEKAPDGMPEGGGLLVVIVHEAQDVEGKHHTNPYVRILFKGEERKTKHIKKNRDPRWEQEFEFVCEEPPINDKMQVEVISRPPSLGIHSKVLVL >KQL29038 pep chromosome:Setaria_italica_v2.0:I:9869018:9870454:-1 gene:SETIT_018310mg transcript:KQL29038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALPSRTFHRLRLPSSATSHPSRETCLPSAVSRRRAAVQLLSAGFLTAVSPPPPSLAARRGRIVVPPEDYLTAPDGLKYYDLIEGKGPTAEKGSAVQVHFDCIYRGITAVSSRESKLLAGNRSIAQPYEFIVGSPPGKERKRDFTDNANGLYSAQAAPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKRGMSEIPPDSPFELDIELLEVITPAEK >KQL29037 pep chromosome:Setaria_italica_v2.0:I:9866238:9870454:-1 gene:SETIT_018310mg transcript:KQL29037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALPSRTFHRLRLPSSATSHPSRETCLPSAVSRRRAAVQLLSAGFLTAVSPPPPSLAARRGRIVVPPEDYLTAPDGLKYYDLIEGKGPTAEKGSAVQVHFDCIYRGITAVSSRESKLLAGNRSIAQPYEFIVGSPPGKERKRDFTDNANGLYSAQAAPKPPAAMYTITEGMKVGGKRRVIVPPELGYGKRGMSEIPGPKRRNVNT >KQL29721 pep chromosome:Setaria_italica_v2.0:I:23853605:23854123:-1 gene:SETIT_020625mg transcript:KQL29721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLQSIPFKPTSSNLDSTSIQTQPLATICWHAY >KQL30182 pep chromosome:Setaria_italica_v2.0:I:28684959:28688290:-1 gene:SETIT_019334mg transcript:KQL30182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEEGRVFKDLPTLKRWLQAFAVIRKRPYKVLHSYAECRYTVVCDKERCPWRVCARNQNITGKWKITKFVGPHNCADHELTVRHPQLTSTLIVKRLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWRMIYGDWESGYEQLPVLFNAIKADGRQIFGRAFWCFPQSVEAFRHCRPVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLTFALVEKENNDSWGWFLRLVRIHVVGPGREVGVISDRHQGILHAVQEQIEGYAPLHHRWCTRHLAENLLRKDGVKDNFDLFQVAARQLEDYYFQRKLEQVRIATNAEGRQWLAGLMRDLDKWTRAHDAGGWRYEFQCSNMSESFNKLLLGIRGMPVNAIVEFTFYRLVAWFNERHAKAEALQIAGERWAEKPKRHPSLQMKGLPHMRCNVEHKGGTTSDGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAARHRNFDIESMIPHEFSVDTLVQTWSPRFVPFRDPREWPPYDGPKYVADPAYRWNKRGTRKRTRHNMTMDQLSGRTRRGRATPFLADPEQTECGKMAHFHLLDPAYDQTHRGRLIAAGWRPETHSFHLPFGEMTVTLHDCQKMLGLSIWGQAVTGPCVSEGWRARVAAFLGREVEEQGTRTSGVLISWLQEHFSQCPQDADIETVGHYCRVWILHLFACILFPDATGDTASWMWIHCLTDWHQAGLYSWGSAVLCFLYRQLCEACRRTTGSPSVGGCVYLLQLWMWSRLPVGRPEIMPRRPWFPGETPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSVNWQPYDGDDPLPFPLSFMCGPDDDLYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPPATSTSVELHK >KQL29646 pep chromosome:Setaria_italica_v2.0:I:22520187:22520462:-1 gene:SETIT_019442mg transcript:KQL29646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLESSSVTFHVKCANKSNWSSVVRMKPRTLFSMPELEETDQQGHIDIDLLDVGVEVMNISSQHEELTNWARNDLQGVTRDAKIIEKAIPVP >KQL27689 pep chromosome:Setaria_italica_v2.0:I:120962:123970:-1 gene:SETIT_017480mg transcript:KQL27689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPISIEVWNPSGKYRVVSTKSMPGTRWIRLLTDNDCRLEICTEAKTILSVDDILALIGDRCDGVIGQLTEDWGEVLFSALKRAGGTAFSNMAVGYNNVDVAAANKNGIAVGNTPGVLTETTAELAASLTLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMIEGFKMNLIYYDLYQATRLEKFVTAYGQFLKANGEQPVTWKRAATMEDVLREADVISLHPVLDKTTYHLINPERLAIMKKEAILVNASRGPVIDEAALVEHLKANPMFRVGLDVFEDEPYMKPGLADMKNAVLVPHIASASKWTREGMSTLAALNVLGGIKGYPVWGNPNQVEPFLNEKMTPPPACPSIVNAKQLGLPSSKL >KQL30119 pep chromosome:Setaria_italica_v2.0:I:28229105:28231880:1 gene:SETIT_017166mg transcript:KQL30119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSSASPLLRPQQPPGGKPSPAAARFARCSSHARDELRSFRACLAWLCIDHSSSPRLAAAGSWAVFLLLAVAAPAAALLLSGPDGGRPYDGQVQVSLTLAATLAYVSLRALLRRSGGLRRLLYLDSLRRDSEDVQVGYAAQLARSFRVLACFVLPCALAEAAYKAYWYYCAAAPTTAAGPPLRSPWWAAAACALEVASWVYRVALFFMVCVLFRVICYLQILRMVGFAREFGRFADVGTVLQHHRRIREQLRKISHRYRKFIVCSLVLVSATQFAALLAATRPHSVVNLATAGELALSSISLVAGLLVCLHSAAKITHKTQAMTSVAAAWHADATVQAFDNDLENPDRDPDLPPTAGYLAPANAYRVAAGEESGSDDDDDCGSETSSLDDPKYVPFQANNICFQKRQALVTYLENNRAGITVYGFVVDRAWLHALFMIEFSLVMWLLGKTVGIS >KQL28762 pep chromosome:Setaria_italica_v2.0:I:7951142:7955998:-1 gene:SETIT_016115mg transcript:KQL28762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDAEISRTQEERRKLEEALAAGAPMAVSSVTFDTDLYGGGGADPNRFAGYDTSIPASEDDAAEDDTEAANPAPRRLAAYTGHAIAAADLPRSADDDALPKRSQRIIDREDDYRRRRLNQIISPERHDPFAAGEATPDPSVRTYADVMRDAALAKKKEDLMREIAKKKKEEEEKEKEKKAAAPEQPAATTKRRNRWDQSQDSDAAAGAKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGVTPGATPSGAWDATPKLPGGVTPTPGKKQRSRWDETPASMGSATPGGLGAATPAGYTPGPTPFGAENLATPTPSQIARGPMTPEQYQLMRWERDIEERNRPLTDEELDAMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELGGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALEDDGDNIFSRPELAMFV >KQL28764 pep chromosome:Setaria_italica_v2.0:I:7951167:7955998:-1 gene:SETIT_016115mg transcript:KQL28764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDAEISRTQEERRKLEEALAAGAPMAVSSVTFDTDLYGGGGADPNRFAGYDTSIPASEDDAAEDDTEAANPAPRRLAAYTGHAIAAADLPRSADDDALPKRSQRIIDREDDYRRRRLNQIISPERHDPFAAGEATPDPSVRTYADVMRDAALAKKKEDLMREIAKKKKEEEEKEKEKKAAAPEQPAATTKRRNRWDQSQDSDAAAGAKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGVTPGATPSGAWDATPKLPGGVTPTPGKKQRSRWDETPASMGSATPGGLGAATPAGYTPGPTPFGAENLATPTPSQIARGPMTPEQYQLMRWERDIEERNRPLTDEELDAMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELGGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALEDDGDNIFSRPELAMFV >KQL28763 pep chromosome:Setaria_italica_v2.0:I:7951140:7955998:-1 gene:SETIT_016115mg transcript:KQL28763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDAEISRTQEERRKLEEALAAGAPMAVSSVTFDTDLYGGGGADPNRFAGYDTSIPASEDDAAEDDTEAANPAPRRLAAYTGHAIAAADLPRSADDDALPKRSQRIIDREDDYRRRRLNQIISPERHDPFAAGEATPDPSVRTYADVMRDAALAKKKEDLMREIAKKKKEEEEKEKEKKAAAPEQPAATTKRRNRWDQSQDSDAAAGAKKAKTSSDWDAPDATPGIGRWDATPGRVGDATPSVRRNRWDETPTPGRMADADATPAAGGVTPGATPSGAWDATPKLPGGVTPTPGKKQRSRWDETPASMGSATPGGLGAATPAGYTPGPTPFGAENLATPTPSQIARGPMTPEQYQLMRWERDIEERNRPLTDEELDAMFPQEGYKILEPPASYQPIRTPARKLLATPTPLGTPLYAIPEENRGQQFDVPKELGGGLPLMKPEDYQYFGTLLNEEEEEQLSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNKILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPFVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILMGCAVLPHLKSLVEIIEHGLSDENQKVRTITALSLAALAEAAAPYGIESFDTVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDALYASYYTKEVMQVLIREFQSPDEEMKKIVLKVVKQCVSTEGVEADYIRNDILPDFFKHFWVRRMALDRRNYKQLVETTVEMANKVGVADIVGRVVEDLKDESEPYRRMVMETIEKVVANLGASDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNALGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAIVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVILNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPALEDDGDNIFSRPELAMFV >KQL29346 pep chromosome:Setaria_italica_v2.0:I:14086449:14086978:1 gene:SETIT_019588mg transcript:KQL29346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAAKSTDKDKDKKKAPVSRSSRAGLQFPVCRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNAIKDLKVKRITPATSSSPSAGTRRWTIAGGGVIPHIHKSLINKTAKE >KQL29279 pep chromosome:Setaria_italica_v2.0:I:12689396:12690390:1 gene:SETIT_018083mg transcript:KQL29279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLQPALPFLLLLLLIAGAAAGRAGGVRTLIFILAGQSNMSGRGGATSGVWDGVVPPECAPSPRILRLSPALRWEEAHEPLHADIDVGNVLGVGPGMPFAHAVLAAGKGAGATVGLVPCAQGGTPLANWTRGTELYERMVTRARAAMAGCNGGGELAAILWYQGETDAMKREDAELYQGRMEALVRDVRRDLGRPDLLVIQVGIATAQYNGKFLGRVREAQKAVTATVPNVKYVDAMGLPIASDKTHLTTEAQVQLGNMLAKSYLETL >KQL30308 pep chromosome:Setaria_italica_v2.0:I:29811840:29821879:-1 gene:SETIT_016696mg transcript:KQL30308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFERVELRCPRALDPHQSWTLGDVLAELDALDATRRAAPPTPLKQAPDWASDGGAREKAFVMRIDDEDDTEDEDGISVGESQALVVNGARFFCNDLESSDSEDELDGQIEPYHLMEKRNLEKSILLELEREHHLKVQEDVRSKLAALEVGHQNEIQRTISAFARLQKYAESRKEIDRRLDVHFQRRIAEVLDKHLSMVQRDHEQKSQIVERRIRDDAALEEAKRKEQAIKEEKLRQERARQEAEARQKEAAKLAAEARKTAFEAAQKEAAEKEAAEKEAAKSREAAASQSGQNSQNNIAGIKVFADKYALEAESRRRALVHDQVPENIHLSKEFGKYDRQIAKSISKLMPTTDSVRARASELIKALDGQDCPRPIACFIFANKIISIVKSRNTKDKTFGNLAFACGYVMLLVTNQVPDAMDYLLAEFNRVCIYTVPKHLHALNAQARSRDYYRLIGYQEENGQLESTESYLTYVVAYVKLYAAMIQTEIKGVRHPHGLAEGWRWLAMFLNALPATTATAYALHAFLKMAGFALHKKYGSQFLKILDVISRCFLPALKDQGNKMQSEAVNNLKNYLNDKIYLQEPEGQYLVQQLLSKELFM >KQL30309 pep chromosome:Setaria_italica_v2.0:I:29815135:29821879:-1 gene:SETIT_016696mg transcript:KQL30309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFERVELRCPRALDPHQSWTLGDVLAELDALDATRRAAPPTPLKQAPDWASDGGAREKAFVMRIDDEDDTEDEDGISVGESQALVVNGARFFCNDLESSDSEDELDGQIEPYHLMEKRNLEKSILLELEREHHLKVQEDVRSKLAALEVGHQNEIQRTISAFARLQKYAESRKEIDRRLDVHFQRRIAEVLDKHLSMVQRDHEQKSQIVERRIRDDAALEEAKRKEQAIKEEKLRQERARQEAEARQKEAAKLAAEARKTAFEAAQKEAAEKEAAEKEAAKSREAAASQSGQNSQNNIAGIKVFADKYALEAESRRRALVHDQVPENIHLSKEFGKYDRQIAKSISKLMPTTDSVRARASELIKALDGQDCPRPIACFIFANKVATF >KQL31231 pep chromosome:Setaria_italica_v2.0:I:36380096:36384311:-1 gene:SETIT_016948mg transcript:KQL31231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMESGRRQGVVVAIECVAGGSRAEEWGPGSSETVQTGDVVEELLIGVGGRGGPAAHAAPFKGGRAALQKLLHAAFKRGDTSVEVRVRRHAQGGQQQRLVAAGGDDSGELAAPGAAATAARMQACIVPQESVGGGGGGGGGGMIGRSRQYVLRSIRDPNYAVGLVDSMESECIAIRGSRSSRVVCALSKAQLQDGYVSYPWEKKMREVLPIPNSSSFLSLLILPTALDRAGSRYNSVEDTLARANAWMLSSQAAGVPIVFLNVQTEALLTKISGETASATVNSGSLADLPNLANASLYGFEDYHGVDIGVVKAVRVWYTAAGGEMPVEITLEESDTRLGFAISRTEEGFIYVSSVMEDDGDLQLPSTRSGLRDLYREAKRASKLLIISRVSGHKVLPWMVSTSGAIRCFDTVSLSQKLSLHRHALRPIFLHVFMWDGNSDAKARPGREPCPLPLPLPSPAFDELPRQNSFAHVEQRVQTEVDPGIMHERDTAGDVSFRFHNFSLPNNWV >KQL29489 pep chromosome:Setaria_italica_v2.0:I:17627789:17629646:1 gene:SETIT_018362mg transcript:KQL29489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPDEIKQKVEAKFNELGDLCERGEMEPEQAYELFKDFEDKMVAECTELMAKEMPTEADELTETGIKKVELHDPPGEGPVLRWESRIVFAPSGDAYHPKNRKVKLSVTVKELGLSRHACRRLREIVGKRYNSGKDELTITSERFEHREENRKDCLRTLYTLIEDAMKADKLVEDARNAYVKGRLKTNPQFMERLKRKMEKLWAAA >KQL30611 pep chromosome:Setaria_italica_v2.0:I:32200059:32200363:-1 gene:SETIT_020413mg transcript:KQL30611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPSELLTKKKKKPSELSQCISGGRCSGRKLHM >KQL32273 pep chromosome:Setaria_italica_v2.0:I:42017703:42033495:1 gene:SETIT_016067mg transcript:KQL32273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGGRRMAPGGDSSSASPASAGAGAGPRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQSILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKEHEKKPDADLLGWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDRALDTVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGEEEAFLKKVVTPIYKVIEKEAERSKIVKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNDDRRHPVNGEDRPVANGNWMGKVNFVEVRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDRGVFKQVLSIFITAAILKLGQAILDIILSWKARKNMSLVVKLRYILKLLSAAAWVVILPVTYAYTWQNPTGLARTIKSWLGDGQNQPSLYILAVVVYLAPNILSAVLFLFPVIRRALERSNLKVVTFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLVVSFYVEIKPLVQPTQDIMKEPIRTFKWHEFFPHANNNIGVVIALWAPIILVYFMDTQIWYAIFSTIVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQCLIPSDTSKRRGFRAAFSSKPSKTPEGTKEEEKIAARFAQIWNLIITSFREEDLINNREKDLLLVPYCKDRDMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIIYALVIGPRERDVIQKIFDVVDEHIAGDTLITELNMSNLPTLSKKFIELLDLLNNIEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESIHGGNNRRYEGITPLDQQVQLFTKAIDFPVKETQAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMSMPRAPKVRQMLPFSVLTPYYKEDVLFSSHALEEPNEDGVSILFYLQKIYPDEWNNFLQRVDCKNEEELRETEQSEEELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDEDLMEGFRAADLLSDESQLLTQCKAIADMKFTYVVSCQQYGIQKRSGDPRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITISMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPLRLSGKRGIILEIVLALRFFIYQYGLVYHLNITTHTKSVLVYCLSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDMFVCILAFMPTGWGLLLIARAIKPAITKFQLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >KQL32274 pep chromosome:Setaria_italica_v2.0:I:42017703:42033495:1 gene:SETIT_016067mg transcript:KQL32274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGGRRMAPGGDSSSASPASAGAGAGPRRILRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVKQSDAREMQSFYQHYYKKYIQALQNAADKADRAQLTKAYQTAAVLFEVLKAVNVSQKIEVDQSILETHNQVEEKKKLYLPYNILPLDPDSANQAIMRYPEIQAAFHALRNTRGLPWPKEHEKKPDADLLGWLQAMFGFQKDNVSNQREHLILLLANVHIRQIPKPDQQPKLDDRALDTVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPTTGENVKPAYGGEEEAFLKKVVTPIYKVIEKEAERSKIVKSKHSHWRNYDDLNEYFWSRDCFRLGWPMRADADFFKTPNDDRRHPVNGEDRPVANGNWMGKVNFVEVRSFWHIFRSFDRMWSFLILSLQAMIIIAWNGGTPSDIFDRGVFKQVLSIFITAAILKLGQAILDIILSWKARKNMSLVVKLRYILKLLSAAAWVVILPVTYAYTWQNPTGLARTIKSWLGDGQNQPSLYILAVVVYLAPNILSAVLFLFPVIRRALERSNLKVVTFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLATKLVVSFYVEIKPLVQPTQDIMKEPIRTFKWHEFFPHANNNIGVVIALWAPIILVYFMDTQIWYAIFSTIVGGIYGACRRLGEIRTLGMLRSRFESLPKAFNQCLIPSDTSKRRGFRAAFSSKPSKTPEGTKEEEKIAARFAQIWNLIITSFREEDLINNREKDLLLVPYCKDRDMDIIQWPPFLLASKIPIALDMAADSGGKDRDLKKRMKSDPYFTYAIKECYASFKNIIYALVIGPRERDVIQKIFDVVDEHIAGDTLITELNMSNLPTLSKKFIELLDLLQKNNIEDQGQVIILFQDMLEVVTRDIMDEQLSGLLESIHGGNNRRYEGITPLDQQVQLFTKAIDFPVKETQAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMSMPRAPKVRQMLPFSVLTPYYKEDVLFSSHALEEPNEDGVSILFYLQKIYPDEWNNFLQRVDCKNEEELRETEQSEEELRLWASYRGQTLTRTVRGMMYYRQALVLQAFLDMARDEDLMEGFRAADLLSDESQLLTQCKAIADMKFTYVVSCQQYGIQKRSGDPRAQDILRLMTTYPSLRVAYIDEVEEPSKDRNKKIEKVYYSALVKAAVTKPDDPGQKLDQDIYRIKLPGNAMLGEGKPENQNHAIIFTRGEGLQTIDMNQEHYMEETLKMRNLLQEFLKKHDGVRYPSILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDVYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGKRFIHNEPLQVALASQSFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGTTLLHGGAEYRATGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIVYEIFGQSYRGAIAYIFITISMWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEKEQEPLRLSGKRGIILEIVLALRFFIYQYGLVYHLNITTHTKSVLVYCLSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFISIIIILIAIPHMTVQDMFVCILAFMPTGWGLLLIARAIKPAITKFQLWGSIKALARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >KQL28334 pep chromosome:Setaria_italica_v2.0:I:5162858:5165483:-1 gene:SETIT_016532mg transcript:KQL28334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPPRPPGLPAAALPLLLLLLLLAAAAPAPARAQQEYEANKQNACYATNASSVLGYVCNATTSSAPSCDSYLVFRSNPPYNTPVLISYLLNSSPDAVASANAVPTVSTLAASSLVLVPVPCGCTPGGYYQHNSSHKIEVLGETYFIIANITYQGLTTCQALIAQNPLHDSRGLVQGNNLTVPLRCACPSSAQAARGVRHLLSYLVMWGDDVTSIAARFRVAARDVLEANSLDADQIIFPFTTLLIPLRTPPTTDMLASPAPPPAPTPPQTAPPSGGSGSGKWVGVGVGVGCGALALAGILGLLFLRARRRRRQRRGDGESGRQGKVVIDVSSSADYDALASGKQTTNTTTSSSSSAARSLVASDVRGAVEALTVYKYSELEKATAGFAEERQVPGTSVYRAVINGDAAAVKRVVGDVSGQVGILMRVNHSCLVRLSGLCVHRGDTYLVFEFAENGALSDWLHGRGGGGGSGSTLRWRQRVQVAFDVADGLNYLHHYTNPPCVHKNLKSSNVLLDGDLRAKVSSFGLARAVAAADGGAQLTRHVVGTQGYLAPEYLEHGLITPKLDVFAFGVILLELLSGKEAAFADAETGEETLLWEAAEEALVADGGEDVDRAKVRAFVDPRLHGDYPMDLALAVAALALRCVAREPRARPAMDEVFVSLSAVYNSTLDWDPSDYGTSGSSMVGR >KQL29470 pep chromosome:Setaria_italica_v2.0:I:16853124:16853612:-1 gene:SETIT_0167771mg transcript:KQL29470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPERNGYLGKESVEVLEPQPLEVIVPEVKAKKRNPAPGVRVVGRRIYDPENGKTCHQ >KQL29469 pep chromosome:Setaria_italica_v2.0:I:16853124:16853303:-1 gene:SETIT_0167771mg transcript:KQL29469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPERNGYLGKESVEVLEPQPLEVIVPEVKAKKRNPAPGVRVVGRRIYDPENGKTCHQ >KQL31732 pep chromosome:Setaria_italica_v2.0:I:39435452:39437343:1 gene:SETIT_018440mg transcript:KQL31732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAPSPRALCVREAAAAASPHPHSSAACSTVGGGAAGRVLWLWNGVGRERGRRERGQRVRAGAYFWDVSKPVEMEEIDSMDKLDDALRWSVENNHAVVIDWMASWCRKCIYLKPRLEKIAGEYPGVRFYFVDVNQVPQTVVKRGNITKMPTIQLWKDGEWKAEVIGGHKAWLVMDEVREMIQANK >KQL28372 pep chromosome:Setaria_italica_v2.0:I:5447079:5448641:1 gene:SETIT_018649mg transcript:KQL28372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATTSLLSTLLQLPLAPFSGKSSPPSVVHVPRRAPTAVVAAKGYNVQILVDENEGEESIFRRFRREVMRAGVLQEIKRRRRYESKKDEKKRKAREAGRRNRRRRMMDEPRFPEEDAGAAKARDDDDDNWEIDGLL >KQL32284 pep chromosome:Setaria_italica_v2.0:I:42054981:42057304:-1 gene:SETIT_018228mg transcript:KQL32284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHCVVVIWMLLLLLLLGAAKIHGDGNPTNPAAAAPAGNPANELVALVNGRRAASKLPLLRNSAGLGCMALQYISECMAMAAAACSSDNTVACQPPEAHITEVYATNCGVELPTVDIISGRLVGCCNHREDVMVASAMANGNGSTQSQMMLGKEHSQVGAALDRHGRDGPFFWCLLFSSGSANSTFLLDAGGKGIHQTHGCFSAPDTTTCNAAAPVAGAGALLLLFLFHFLPILLLS >KQL32285 pep chromosome:Setaria_italica_v2.0:I:42057734:42060493:1 gene:SETIT_019802mg transcript:KQL32285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPTPFLKLKPKPPIGGCSRPRPSSTAAAKRRLTRLRCALNSDNNVPPMTVSITGATGFIGRRLVQKLLSDDHKVCVLTRSATKAKSVFPASTYPGVTIADQGEWEACVRGSSAVVNLAGMPISTRWSPEIKQEIKRSRVNVTSKVVKYINHAGNADAQPSVFVSATAIGYYGTSEIHSFDESSPSGNDYLAEVCREWEATARQVNQENVRLVLLRIGVVLGKDGGALAKMIPLFMMFAGGPLGTGRQWFSWIHLDDLVNLIYESLTNPAYKGIINGTAPNPVRLSELCERLGRIVGRPSWLPVPEFALKAVLGEGASVVLEGQKVVPVKAKDLGFSYRYPYVEDALKAIAQDL >KQL32286 pep chromosome:Setaria_italica_v2.0:I:42061163:42061795:1 gene:SETIT_018722mg transcript:KQL32286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSATPAVCLVVVVVAAAAASTATAHFTVGDVDEYLSKRTQEARHRNRAGAAALNDLIAGATRFHANVDARVYGGRRSNLQEEDAEEAPAAAASSNKKQPAAEEQPQAAATTKMHGFGGH >KQL31194 pep chromosome:Setaria_italica_v2.0:I:36188443:36190219:-1 gene:SETIT_018067mg transcript:KQL31194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCYPPLEKIVSKALGKCNGRDRWRSDRWRKERLDYALAYPPGAPTEIHYVRPVVSNNSIYVIPPSPPPQPQQKQSPPEPPQQPQPPPQQEPEPEQHHDAPQPQPQAEPEQPAAEAEPPAQTQDPPPPAEPKPPKAPKRGKKKHSGRVRFGPEPPPPQAQQQGEQPQQEEHDDAQGPGDSGSAPGQQQGPHGAAPSPSPAPAQGQQGYLHLLRYTPSPLPRWEATPRRHEYFSGEYRSYYPTPVREGIYRIATDANRLTTIFSEENPNACTIV >KQL30225 pep chromosome:Setaria_italica_v2.0:I:29054772:29055490:-1 gene:SETIT_019791mg transcript:KQL30225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEIIIRMHVKSDKCQAKAMKVAAAVSGVESVSLAGGDKSLLLVIGTGVDSNKLVKKLKKKVGEAEIVELRTHDTFEPAALPLPGTKQEVAAMAAARSPYSTPHHHHQWQYNSYAAAPASPYAYHYHPSPVGYGYAATVRGRGQRLLAGSARSHRPTTCRWWRGTTTSPWTA >KQL28680 pep chromosome:Setaria_italica_v2.0:I:7426779:7427646:-1 gene:SETIT_020292mg transcript:KQL28680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCGYGVIMSMVSWELEIPNQDHNISVLRGYLISYWLTLLLEVGIQLH >KQL27979 pep chromosome:Setaria_italica_v2.0:I:2260882:2261391:1 gene:SETIT_020369mg transcript:KQL27979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRMPRGSRGRAATPPRRSRGCGRPASPRRRGWRTRRRRGPRAGRAWRRRRPGAGGCCAPSGSSTG >KQL31721 pep chromosome:Setaria_italica_v2.0:I:39369689:39372382:-1 gene:SETIT_020067mg transcript:KQL31721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSYSYDCCVLPPLDWDWDWDELHTTLGLGGAGTGGGGAAQEPSFFFPATPGVESPGSSEASSGYLQDAVADWSGRCSKRQRMAAATPPPPRRPATVGEDLQCLLESFWDSSAEGKGDGDGDGDLLPHDLNTTIPEAEIRCSFVSGEDGAGASGREEQRGPSAQVLPAPAAQRGGGGEEAARAAAAVVVPPPRRPRFPAAVRAAAPLQKGNAGADHAAARRDDRSRPGGVGCCEGSRAGAGAAATTAPGCACPALLAGEEDKRGVGVLYPFAVVKPLGLDDGRMTTLDDVNKRILKRPTRPVRHPVGPFACGPAVMAHGLGLSGKAVVSLTKIRTGGKGTITIIRTRG >KQL30224 pep chromosome:Setaria_italica_v2.0:I:29049277:29052348:-1 gene:SETIT_018231mg transcript:KQL30224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAPKVLVLVRDTAGYGAAIADALRPPPGLTRESSPLELPLGKYGLDGEKASVELLSFSDSSGSPQVTIFVVPDYKPPLAACAMNEVLELISSEATSTEQVLIVPFITRSSSYHHGMENATKASPVLHGAEIGATTDFTHLFVDGTTKPPTSLQIRTEPILCLLEMVRVLKMPTVLFVASGGQQQGKSSTVSDLEILQCVGEHLARHTNLEFSKESILERGIEKSPIIQEPWRELYG >KQL28126 pep chromosome:Setaria_italica_v2.0:I:3509484:3510035:1 gene:SETIT_020561mg transcript:KQL28126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMHSYLICSLELEFSGRVASNGGTHHGPRTLTITGRHASTRFEL >KQL30511 pep chromosome:Setaria_italica_v2.0:I:31350452:31354284:-1 gene:SETIT_019064mg transcript:KQL30511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLQRRNPSSRPHSTSMKPPRHPRGPTIQHPPASRPLSEPSSPDGRQRKRVRFSNEGEGGSHHIGGRQVAYIREVAKNKPRVCDAKTAEYKFFKKLCEQSGHSTRLYKHPHQILEPKISKQKRESHNVTTLRKFSVHGNTVVYDDPPATPAKNEEIPSEQVNVQSSHSECDDKDTPQFNPHGCLPSIHVLTPIAQTSFDVTGTSGNIGREPVSGQIFSEKRSKLLMIAAKTVSMGSAELFQRRSEFVGDILQRLGAKNIIRKQEGSMRHSKIDHREAPAIPKGQFDYLLDYRRRDFNPSTKLRRTGKSSSSDASDDAREFMALPWGYNQGLPSFIDWKHDVPCGDSKARECMALPWVCVNDISSSDWKRGNQVSNLLLEDVEPCVHRRTTSANELSLNVQTASNDQHGWNPMLSVKLTESFRDRLSFPYQIEEQHHAVPYAVLNTSWQPDYHSSTKQCVSSSVRMEREDPKEAGSFDNSDARFATTFDQLPAKSAALSFLDSGNEILENNDFRFISNSHVSQSKKMVFSANTGCLNSMFSILEHPYELGAKSLHDSAIGVSCLAGLEEKYSREVELSDDSDRLLQVLDQLPVKFTPSSFSNDEFRIRDDHLLRYITSCPQEDNSSILSLDANDSGLNSLSSYSAQPCKPDWNSLHDSSTELWSSVHRLQSHADWGPMLGFMPNGNAYSDLVEGHHSLMLVQDNLKNDILGTTDLSFFGSCSALDNIREAPMLSSDGITL >KQL31197 pep chromosome:Setaria_italica_v2.0:I:36212340:36212616:-1 gene:SETIT_020285mg transcript:KQL31197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGMEAILQGWMIGKVKRLMSYMIKGMSNGMGSTQMVGNARYMML >KQL28864 pep chromosome:Setaria_italica_v2.0:I:8533957:8545477:1 gene:SETIT_016059mg transcript:KQL28864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLYLKHLLESKLLWRSIWTTLMPFQILQVETQKTDESAKAEGTTAISEPQMTETEEEPKDIEATEAQEITEHGHVTPSKELSAEDDSTADETHNDDIQHTLGQDSVEVKETVFTSEDTVLDNVTAEEPTCDSQEVDNAESTEETKGNTAENIEEVSDVVIADEAVKEQDVLQTEDIAETHMQELEPEETKNTEPVESEEASDQMNAVLFDDLAQEDTQEIDMQQAESATETTETEAAPQESKDCVSDEPSAEDHKIESEKDCDTQGVSITESLEVIDGDKDIITGGISGQSNMVSAGESAQENYVPESKSTADIQPVQEPEPEEIKNTELTEVKETSYEMNTTVFQTPTKEDNLATIDLHESARELSNIEATEAGTPHQSDAAQSEEQAPEGIASEPQVLEPESVEEMNDTTATETTNISNAKLTSSSGESVPEEIATEDNTSTEPDVDHQQVQDQESSDFMETEADKPQGIASSCILSTSEQSTSEDNATAIAEDNATAMEPNSDTQAESLQLAEVTEGTENVKSNTALGEAAAPEENVETGATADMPSVQEPELEETKGAEPAGTEDIMTSIDLPAGNMDMETMGTEAVPHESHVESIKELTEDGTEPVLELESFENTKCTDTMEHPGEPHGSTSDETTPTEENTTVTERAFDTQQVQNLTSQEIKDSEDAKTDEFSDLSSFPTKEADQESNLPRTEPATDVQQVQETRDIESEGTENHQQHGVSTFEEPAVDSEPNVDDQQVHEDKVPEVKDNQAMEAEEVIQQSNIDIPDDATGERSELTSEPDSYVQPAQHVDSENSQLEKAEETAGTVAIEETPTEDSIACEIDPPVDIKQEHELEPVEEIKATDANEAEEEFHTSQVDAIEKSASEGNMASIEPTSDIKQEPTSDIKQENELEATKEINGIEAVNDGEQTENATSEDPSPTDNETTPEGHSAELNEEITGNETDDVKDEIQTFVELKDGACDLGETVLPTQGSENMTDEDAVQSPGDDRLETSNTDHVKEEKKDGCEHNSSEMSGVHKEENTIHVQERDISGQLLTKKGTEEATQASFESDPQGAQDVTKEDDTTEDGEQTSDLNKQPDDVALQLQTCEADALSIGRQDEVVQKVDLDQQQKKDEEIENQKEEIQADEQKHEDKGDDFTMEPLVEPDDIENGAKNRTEDTDAEQTEALITEILKHEEAPHVSEESTPSSTDMEVDNVKGTDEGTEEDSGAKNDNKDEKESAENNDIVANDSTDEHDETIYEINNEEVEPGLASSGQEASEPAPSNDAILENDSAAVTQNVESGEHREDKECTDKVNDDVHTIQASEKEIAGEINENKEIQNEDNAIHHDESQTKSEKDEAPQLHASEPCNMDAKIDDTTTPGKEIIDGNASIKPREIEEIGENKGLDSTSKPFVESSIQNNVEQDLSIHHKVEDENLSMMEQNDVDIEAMQEKADESASDINLTKQCQEEISEDDVQQLEIEESSFDKIDETVSHEKTETSTTEAVIVNDTITNKASGDDGEPSDGSLKTFNDTGRDLDVSSVITASKEESVNENMEDHKLALPAHTAQDENTPAHQEKTEREMPSSEKPLPTEPEENQIPNEINEGELQDENQIPNEKNEQDIQDTEIEDAQNDVEPDLPVSHFLMNLILGKKNGVADENSEPGAEGKQGETTEDDSCVLISKEEESVGPLCTENKVDDNLTFEQEKHDAKCSEETQEMVKEQSDDLKLDTERSLHTDEESNKNTQGNPQDKISDELLSEEAASVSTNMKARDIEISNFELDDKAVDTVCQEDTEESTKVENESLKSNINDLTNTEASEEDTLGEQQTGLLHESLPEDNSADAVSAPLLIESGVVDAKDSSYDAEAAQNLTCAKEDDPTESSIIEATSSPHIQLECEEVEKKEEEQHASKGTENVPKEAVESSNDNPQKSTSSEVTPHEQEPHITEPVSDTEKILAPEKEICEGSTCMDEKENSNFSIKGVENFQTEVEIQADSPNMQINQDKKDEVADNKTAMGPEKLGEYDFQEQQETDRKHEVADNKTAMGPEKLGESDFQEQQETDTEQKSPKVSDEGDQQFLVKKETMIKEQVVPGTVESHEQTVSIKSNEKQELFDSKVQERDLNVVSPREASEAEENFVGVTKPEFSTDEEQSPKADADEKTYDEKIKDVEGTKDFTDGAATKTEAPGATKKAPKKLNLLSGVGSKVKHQLAKVKKAIVGKPGNTKPDSPKS >KQL28863 pep chromosome:Setaria_italica_v2.0:I:8533957:8545477:1 gene:SETIT_016059mg transcript:KQL28863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLYLKHLLESKLLWRSIWTTLMPFQILQVETQKTDESAKAEGTTAISEPQMTETEEEPKDIEATEAQEITEHGHVTPSKELSAEDDSTADETHNDDIQHTLGQDSVEVKETVFTSEDTVLDNVTAEEPTCDSQEVDNAESTEETKGNTAENIEEVSDVVIADEAVKEQDVLQTEDIAETHMQELEPEETKNTEPVESEEASDQMNAVLFDDLAQEDTQEIDMQQAESATETTETEAAPQESKDCVSDEPSAEDHKIESEKDCDTQGVSITESLEVIDGDKDIITGGISGQSNMVSAGESAQENYVPESKSTADIQPVQEPEPEEIKNTELTEVKETSYEMNTTVFQTPTKEDNLATIDLHESARELSNIEATEAGTPHQSDAAQSEEQAPEGIASEPQVLEPESVEEMNDTTATETTNISNAKLTSSSGESVPEEIATEDNTSTEPDVDHQQVQDQESSDFMETEADKPQGIASSCILSTSEQSTSEDNATAIAEDNATAMEPNSDTQAESLQLAEVTEGTENVKSNTALGEAAAPEENVETGATADMPSVQEPELEETKGAEPAGTEDIMTSIDLPAGNMDMETMGTEAVPHESHVESIKELTEDGTEPVLELESFENTKCTDTMEHPGEPHGSTSDETTPTEENTTVTERAFDTQQVQNLTSQEIKDSEDAKTDEFSDLSSFPTKEADQESNLPRTEPATDVQQVQETRDIESEGTENHQQHGVSTFEEPAVDSEPNVDDQQVHEDKVPEVKDNQAMEAEEVIQQSNIDIPDDATGERSELTSEPDSYVQPAQHVDSENSQLEKAEETAGTVAIEETPTEDSIACEIDPPVDIKQEHELEPVEEIKATDANEAEEEFHTSQVDAIEKSASEGNMASIEPTSDIKQEPTSDIKQENELEATKEINGIEAVNDGEQTENATSEDPSPTDNETTPEGHSAELNEEITGNETDDVKDEIQTFVELKDGACDLGETVLPTQGSENMTDEDAVQSPGDDRLETSNTDHVKEEKKDGCEHNSSEMSGVHKEENTIHVQERDISGQLLTKKGTEEATQASFESDPQGAQDVTKEDDTTEDGEQTSDLNKQPDDVALQLQTCEADALSIGRQDEVVQKVDLDQQQKKDEEIENQKEEIQADEQKHEDKGDDFTMEPLVEPDDIENGAKNRTEDTDVCEAEQTEALITEILKHEEAPHVSEESTPSSTDMEVDNVKGTDEGTEEDSGAKNDNKDEKESAENNDIVANDSTDEHDETIYEINNEEVEPGLASSGQEASEPAPSNDAILENDSAAVTQNVESGEHREDKECTDKVNDDVHTIQASEKEIAGEINENKEIQNEDNAIHHDESQTKSEKDEAPQLHASEPCNMDAKIDDTTTPGKEIIDGNASIKPREIEEIGENKGLDSTSKPFVESSIQNNVEQDLSIHHKVEDENLSMMEQNDVDIEAMQEKADESASDINLTKQCQEEISEDDVQQLEIEESSFDKIDETVSHEKTETSTTEAVIVNDTITNKASGDDGEPSDGSLKTFNDTGRDLDVSSVITASKEESVNENMEDHKLALPAHTAQDENTPAHQEKTEREMPSSEKPLPTEPEENQIPNEINEGELQDENQIPNEKNEQDIQDTEIEDAQNDVEPDLPVSHFLMNLILGKKNGVADENSEPGAEGKQGETTEDDSCVLISKEEESVGPLCTENKVDDNLTFEQEKHDAKCSEETQEMVKEQSDDLKLDTERSLHTDEESNKNTQGNPQDKISDELLSEEAASVSTNMKARDIEISNFELDDKAVDTVCQEDTEESTKVENESLKSNINDLTNTEASEEDTLGEQQTGLLHESLPEDNSADAVSAPLLIESGVVDAKDSSYDAEAAQNLTCAKEDDPTESSIIEATSSPHIQLECEEVEKKEEEQHASKGTENVPKEAVESSNDNPQKSTSSEVTPHEQEPHITEPVSDTEKILAPEKEICEGSTCMDEKENSNFSIKGVENFQTEVEIQADSPNMQINQDKKDEVADNKTAMGPEKLGEYDFQEQQETDRKHEVADNKTAMGPEKLGESDFQEQQETDTEQKSPKVSDEGDQQFLVKKETMIKEQVVPGTVESHEQTVSIKSNEKQELFDSKVQERDLNVVSPREASEAEENFVGVTKPEFSTDEEQSPKADADEKTYDEKIKDVEGTKDFTDGAATKTEAPGATKKAPKKLNLLSGVGSKVKHQLAKVKKAIVGKPGNTKPDSPKS >KQL28865 pep chromosome:Setaria_italica_v2.0:I:8533957:8545735:1 gene:SETIT_016059mg transcript:KQL28865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLYLKHLLESKLLWRSIWTTLMPFQILQVETQKTDESAKAEGTTAISEPQMTETEEEPKDIEATEAQEITEHGHVTPSKELSAEDDSTADETHNDDIQHTLGQDSVEVKETVFTSEDTVLDNVTAEEPTCDSQEVDNAESTEETKGNTAENIEEVSDVVIADEAVKEQDVLQTEDIAETHMQELEPEETKNTEPVESEEASDQMNAVLFDDLAQEDTQEIDMQQAESATETTETEAAPQESKDCVSDEPSAEDHKIESEKDCDTQGVSITESLEVIDGDKDIITGGISGQSNMVSAGESAQENYVPESKSTADIQPVQEPEPEEIKNTELTEVKETSYEMNTTVFQTPTKEDNLATIDLHESARELSNIEATEAGTPHQSDAAQSEEQAPEGIASEPQVLEPESVEEMNDTTATETTNISNAKLTSSSGESVPEEIATEDNTSTEPDVDHQQVQDQESSDFMETEADKPQGIASSCILSTSEQSTSEDNATAIAEDNATAMEPNSDTQAESLQLAEVTEGTENVKSNTALGEAAAPEENVETGATADMPSVQEPELEETKGAEPAGTEDIMTSIDLPAGNMDMETMGTEAVPHESHVESIKELTEDGTEPVLELESFENTKCTDTMEHPGEPHGSTSDETTPTEENTTVTERAFDTQQVQNLTSQEIKDSEDAKTDEFSDLSSFPTKEADQESNLPRTEPATDVQQVQETRDIESEGTENHQQHGVSTFEEPAVDSEPNVDDQQVHEDKVPEVKDNQAMEAEEVIQQSNIDIPDDATGERSELTSEPDSYVQPAQHVDSENSQLEKAEETAGTVAIEETPTEDSIACEIDPPVDIKQEHELEPVEEIKATDANEAEEEFHTSQVDAIEKSASEGNMASIEPTSDIKQEPTSDIKQENELEATKEINGIEAVNDGEQTENATSEDPSPTDNETTPEGHSAELNEEITGNETDDVKDEIQTFVELKDGACDLGETVLPTQGSENMTDEDAVQSPGDDRLETSNTDHVKEEKKDGCEHNSSEMSGVHKEENTIHVQERDISGQLLTKKGTEEATQASFESDPQGAQDVTKEDDTTEDGEQTSDLNKQPDDVALQLQTCEADALSIGRQDEVVQKVDLDQQQKKDEEIENQKEEIQADEQKHEDKGDDFTMEPLVEPDDIENGAKNRTEDTDVCEAEQTEALITEILKHEEAPHVSEESTPSSTDMEVDNVKGTDEGTEEDSGAKNDNKDEKESAENNDIVANDSTDEHDETIYEINNEEVEPGLASSGQEASEPAPSNDAILENDSAAVTQNVESGEHREDKECTDKVNDDVHTIQASEKEIAGEINENKEIQNEDNAIHHDESQTKSEKDEAPQLHASEPCNMDAKIDDTTTPGKEIIDGNASIKPREIEEIGENKGLDSTSKPFVESSIQNNVEQDLSIHHKVEDENLSMMEQNDVDIEAMQEKADESASDINLTKQCQEEISEDDVQQLEIEESSFDKIDETVSHEKTETSTTEAVIVNDTITNKASGDDGEPSDGSLKTFNDTGRDLDVSSVITASKEESVNENMEDHKLALPAHTAQDENTPAHQEKTEREMPSSEKPLPTEPEENQIPNEINEGELQDENQIPNEKNEQDIQDTEIEDAQNDVEPDLPVSHFLMNLILGKKNGVADENSEPGAEGKQGETTEDDSCVLISKEEESVGPLCTENKVDDNLTFEQEKHDAKCSEETQEMVKEQSDDLKLDTERSLHTDEESNKNTQGNPQDKISDELLSEEAASVSTNMKARDIEISNFELDDKAVDTVCQEDTEESTKVENESLKSNINDLTNTEASEEDTLGEQQTGLLHESLPEDNSADAVSAPLLIESGVVDAKDSSYDAEAAQNLTCAKEDDPTESSIIEATSSPHIQLECEEVEKKEEEQHASKGTENVPKEAVESSNDNPQKSTSSEVTPHEQEPHITEPVSDTEKILAPEKEICEGSTCMDEKENSNFSIKGVENFQTEVEIQADSPNMQINQDKKDEVADNKTAMGPEKLGEYDFQEQQETDRKHEVADNKTAMGPEKLGESDFQEQQETDTEQKSPKVSDEGDQQFLVKKETMIKEQVVPGTVESHEQTVSIKSNEKQELFDSKVQERDLNVVSPREASEAEENFVGVTKPEFSTDEEQSPKADADEKTYDEKIKDVEGTKDFTDGAATKTEAPGATKKAPKKLNLLSGVGSKVKHQLAKVKKAIVGPGVQQSPKCWWAKFSPRSVEMRYTVDTLV >KQL31098 pep chromosome:Setaria_italica_v2.0:I:35610413:35610920:1 gene:SETIT_020107mg transcript:KQL31098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIEQTTDFKNHTLPLARIKKIMKADKDVRMISAEVPVVFAKACKVFILELTLRSWMHTEENKRRTLQKYDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGLPAAMGPQLIIQGHPMTYMWQKPQGQEEEPPKSSSSSLPESSQG >KQL31249 pep chromosome:Setaria_italica_v2.0:I:36506992:36514022:1 gene:SETIT_017189mg transcript:KQL31249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARAFGVVGKAWKRTRHISIQASISPRRCSHMSPQLCHLHSRLAQPENFPEEQNALQNNSGGAPPMLNYIYEQNRSKQPDNGNLENSAVAAVDSIRDAVEGSFDQRFATLKSIGEDRVNDRELELLLKKKPAPICYVWCDPSPWMHISEGIIKTLCVNKMVKSGCKVKIVMADWFARMNPYIGGSLNKMRAIGLYNIEVWKAAGMALDSVELVWLSDEINQHANVYWPLAMDVSRRTTLRRIKGFYGSRHPAVQPFTAADVFYPSLQCATILFQKVDIWLLGKAQHEANLLAREYRKRAGRGNKPIAVSHKILPNLLLRPEEEHRRNKFLAIYMRDIEFDINRKIIHAFCPPKLVEGNPCLEYIKYIILPWYGKFEVVRKIEDGGDKTFLSMEELTADYLSGALQPDDLKLALAKSLNKIMQPIRGHFRSNAEAENTVERIKEYYKPR >KQL27867 pep chromosome:Setaria_italica_v2.0:I:1330396:1334696:-1 gene:SETIT_017220mg transcript:KQL27867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQMAGVVKWKPLSCEQMEHTRVTPERLSLRSNSPKNHNSRHIGHFAKGGNFICHSLKPVSQPKAGQYVIVKVRNKDIDESCGSEFAQEDSEIISSPSQRKEGNQLRALESYFSKLNSTQQLCSFPQKNKQKNGPSSSNEVDAIIANVKNMIDSLQVQFDRGNTGTKSYRNTSIEDYKEYLIFDEKSFLDMHTDDQTSGFCLTNLLAGINIAVLLFEIASPVKNSENEYLSLPLLYGAKINNLILSGEWWRLLTPMCLHSGFLHVALGCWVLLTFGPRVCRAYGQMAFFLIYILGGICGNVTSFVHTTEITVCGTGPVFSLIGAWLVYQSQNKQVIDKDVSESMFSQAVIAAALSFLLSIFGGIDNWAHLVATISGLLFGYLTCPSIELHNAAKNGQKEAVALVRRQAHPCKSAAVFGISILAFAALAFAYGTQFNNMDLE >KQL27868 pep chromosome:Setaria_italica_v2.0:I:1330396:1335006:-1 gene:SETIT_017220mg transcript:KQL27868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVKWKPLSCEQMEHTRVTPERLSLRSNSPKNHNSRHIGHFAKGGNFICHSLKPVSQPKAGQYVIVKVRNKDIDESCGSEFAQEDSEIISSPSQRKEGNQLRALESYFSKLNSTQQLCSFPQKNKQKNGPSSSNEVDAIIANVKNMIDSLQVQFDRGNTGTKSYRNTSIEDYKEYLIFDEKSFLDMHTDDQTSGFCLTNLLAGINIAVLLFEIASPVKNSENEYLSLPLLYGAKINNLILSGEWWRLLTPMCLHSGFLHVALGCWVLLTFGPRVCRAYGQMAFFLIYILGGICGNVTSFVHTTEITVCGTGPVFSLIGAWLVYQSQNKQVIDKDVSESMFSQAVIAAALSFLLSIFGGIDNWAHLVATISGLLFGYLTCPSIELHNAAKNGQKEAVALVRRQAHPCKSAAVFGISILAFAALAFAYGTQFNNMDLE >KQL30003 pep chromosome:Setaria_italica_v2.0:I:27419348:27421121:1 gene:SETIT_019946mg transcript:KQL30003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAWGKNAKTKRQPVVVSTKPGLPFGVESDTEEAEKDETAGANTNCPGAKPLDTAESLQHQGDKLAEEGKYHEALSRWEAALTLAPDNAVLYEQKAQPDHDDAKADRETAARLVKKRGQLHSSGLSANKRRFTVGEKENSDNGAEGEEKADETAVQSG >KQL31210 pep chromosome:Setaria_italica_v2.0:I:36271384:36276819:1 gene:SETIT_017464mg transcript:KQL31210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVWTFQKKLALWGEHSRPARQRNAKTPGPNRNPLSPRRRRRRLVVHLFPHLPPADSPRSRLPPAPPIPSRRREEEAGVAAEKIPSDSSAPKPSDPLMMALQQQQQHAGSASGSASASSSSSGLHPLASPSSFADTTHTKVFVGGLAWETNSDRLRRFYERFGDILEAVVITDRHSGRSKGYGFACEDPTPVIDGRRANCNLASLGRAQHPVPLGRPRSAGSYFGVSVPRGFYLGGYGQHRPLPLGYYQGFPVPQYSYTSYGTEYIYPQGTLNPYTGQQYIPIYGVSAAANATNQPFSQLSPSISGGGNGYLSVHGYNMPGNQYVQLTGSNFSNASPTARPSIQTPFLVAAPIPAHPQLMIPAHSPQFTQASGSDQRAS >KQL31211 pep chromosome:Setaria_italica_v2.0:I:36271384:36276819:1 gene:SETIT_017464mg transcript:KQL31211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVWTFQKKLALWGEHSRPARQRNAKTPGPNRNPLSPRRRRRRLVVHLFPHLPPADSPRSRLPPAPPIPSRRREEEAGVAAEKIPSDSSAPKPSDPLMMALQQQQQHAGSASGSASASSSSSGLHPLASPSSFADTTHTKVFVGGLAWETNSDRLRRFYERFGDILEAVVITDRHSGRSKGYGFVTFREPDSARKACEDPTPVIDGRRANCNLASLGRAQHPVPLGRPRSAGSYFGVSVPRGFYLGGYGQHRPLPLGYYQGFPVPQYSYTSYGTEYIYPQGTLNPYTGQQYIPIYGVSAAANATNQPFSQLSPSISGGGNGYLSVHGYNMPGNQYVQLTGSNFSNASPTARPSIQTPFLVAPIPAHPQLMIPAHSPQFTQASGSDQRAS >KQL31209 pep chromosome:Setaria_italica_v2.0:I:36271384:36276819:1 gene:SETIT_017464mg transcript:KQL31209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCVWTFQKKLALWGEHSRPARQRNAKTPGPNRNPLSPRRRRRRLVVHLFPHLPPADSPRSRLPPAPPIPSRRREEEAGVAAEKIPSDSSAPKPSDPLMMALQQQQQHAGSASGSASASSSSSGLHPLASPSSFADTTHTKVFVGGLAWETNSDRLRRFYERFGDILEAVVITDRHSGRSKGYGFVTFREPDSARKACEDPTPVIDGRRANCNLASLGRAQHPVPLGRPRSAGSYFGVSVPRGFYLGGYGQHRPLPLGYYQGFPVPQYSYTSYGTEYIYPQGTLNPYTGQQYIPIYGVSAAANATNQPFSQLSPSISGGGNGYLSVHGYNMPGNQYVQLTGSNFSNASPTARPSIQTPFLVAAPIPAHPQLMIPAHSPQFTQASGSDQRAS >KQL31078 pep chromosome:Setaria_italica_v2.0:I:35464991:35467739:1 gene:SETIT_018413mg transcript:KQL31078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWKHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIINDPLMLNSVILVFANKQDMKGAMTPMEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKELQASGRLPSGGTLLF >KQL31079 pep chromosome:Setaria_italica_v2.0:I:35465172:35467382:1 gene:SETIT_018413mg transcript:KQL31079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWKHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIINDPLMLNSVILVFANKQDMKGAMTPMEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKELQASGRLPSGGTLLF >KQL31080 pep chromosome:Setaria_italica_v2.0:I:35464991:35467739:1 gene:SETIT_018413mg transcript:KQL31080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDAFFGTSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVMFTVWDVGGQEKLRPLWKHYFNNTDGLIYVVDSLDRERIGKAKAEFQAIINDPLMLNSVILVFANKQDMKGAMTPMEVCEGLGLYDLKNRIWHIQGSCALKGDGLYEGLDWLASTLKELQASGRLPSGGTLLF >KQL31872 pep chromosome:Setaria_italica_v2.0:I:40212484:40212636:-1 gene:SETIT_019698mg transcript:KQL31872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIQEPLLLLLLTDSSSATQAQQRRRRAAGPETQGINPHRARAGFLLSSD >KQL32057 pep chromosome:Setaria_italica_v2.0:I:41149885:41150151:-1 gene:SETIT_019047mg transcript:KQL32057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRRLAPVAAMVVVALAVAACSASRDAPGNDVVTIQPQDDPKCEVMVPCSLRRCVAYCARIGLQPKGFCNGKPDMQVYCCCLVPPP >KQL29780 pep chromosome:Setaria_italica_v2.0:I:24396985:24402799:1 gene:SETIT_016246mg transcript:KQL29780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPSAAAERLAAHWVADALAADETIDFSVIKALVGVSSDYLVGAPDATRERVALRSLQELATFAVADEGGAAAAAEAPSGMLRVDAARSSEDLLVELTGKVGSSISFDKDKILPFRQDIQNFICIKKPTLPETSLELLREVDPEIQSVAAPSLVDQNSIKKHDNNQSMCNVNHLHSNVEKPRPPPVSAELQPENLTNVVNENEIGNFQQSPIEPTVAVDEPCASESRFYNQPQEDAINTASGGARSPEKNLSNVDSDTSSAAVPVSASWNVNLQGNIVEPISKKDMIDKTTMVQPQPCKGKSPNPNDDGTCDQSLKDPRHESQTVQATMAPAFVRSNDVLPANASEASHLVNQDLDGNASIPPVEKDPVHEGLTLRGSSGIPSVTCNGAIQEDESGTNHPSTECTIMFEEQIGDKCQLEKNHSLYDDGTMLEKNKVCGGLNVQTAPESRSCNVTFHDRISEGNPLSEQNIETNTTDIQKRSCSTPVPNSLQDGDRKGAKDSNKQTVAKTVAETSHAHTSDDSFSGFAAACLLSLTGKMPFCSQDQEANDSLGVSQEQDLCIKCGKDGQLLQCSSCLLSAHDSCFGSSVTFEDSGKFYCPVCICTKATEAYQKAKKTYIEARKKLAAFLGTEPLLKQHDEQQTGVLPRAANGEGQLNGCDSSKRQKSCSQTKADDLAHQGEESDPQRKKQKINVTSDTYNEVAIEKASSGKNSGVAAMNKQSVLQNNSNQPHDAEEDHHVGDAEAREDDGNGHSSHERRNSSQNRCSPAANLEVEADKEDGPTNSHHSEDSDEIEASSSNETGKRSSPPWRCMKHHKAKSQEREATVSSNSRKAFGQQDQHMPSPSRKRKYAYPPKRYSNPAAPTGRRSKLCWTEEEEAALREAMEKFTPRDNGAIPWVQILEHGRNVFHRTRLPCDLRVKWRNMRKKAGS >KQL29779 pep chromosome:Setaria_italica_v2.0:I:24396985:24402799:1 gene:SETIT_016246mg transcript:KQL29779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVDAARSSEDLLVELTGKVGSSISFDKDKILPFRQDIQNFICIKKPTLPETSLELLREVDPEIQSVAAPSLVDQNSIKKHDNNQSMCNVNHLHSNVEKPRPPPVSAELQPENLTNVVNENEIGNFQQSPIEPTVAVDEPCASESRFYNQPQEDAINTASGGARSPEKNLSNVDSDTSSAAVPVSASWNVNLQGNIVEPISKKDMIDKTTMVQPQPCKGKSPNPNDDGTCDQSLKDPRHESQTVQATMAPAFVRSNDVLPANASEASHLVNQDLDGNASIPPVEKDPVHEGLTLRGSSGIPSVTCNGAIQEDESGTNHPSTECTIMFEEQIGDKCQLEKNHSLYDDGTMLEKNKVCGGLNVQTAPESRSCNVTFHDRISEGNPLSEQNIETNTTDIQKRSCSTPVPNSLQDGDRKGAKDSNKQTVAKTVAETSHAHTSDDSFSGFAAACLLSLTGKMPFCSQDQEANDSLGVSQEQDLCIKCGKDGQLLQCSSCLLSAHDSCFGSSVTFEDSGKFYCPVCICTKATEAYQKAKKTYIEARKKLAAFLGTEPLLKQHDEQQTGVLPRAANGEGQLNGCDSSKRQKSCSQTKADDLAHQGEESDPQRKKQKINVTSDTYNEVAIEKASSGKNSGVAAMNKQSVLQNNSNQPHDAEEDHHVGDAEAREDDGNGHSSHERRNSSQNRCSPAANLEVEADKEDGPTNSHHSEDSDEIEASSSNETGKRSSPPWRCMKHHKAKSQEREATVSSNSRKAFGQQDQHMPSPSRKRKYAYPPKRYSNPAAPTGRRSKLCWTEEEEAALREAMEKFTPRDNGAIPWVQILEHGRNVFHRTRLPCDLRVKWRNMRKKAGS >KQL29781 pep chromosome:Setaria_italica_v2.0:I:24398972:24402498:1 gene:SETIT_016246mg transcript:KQL29781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNVNHLHSNVEKPRPPPVSAELQPENLTNVVNENEIGNFQQSPIEPTVAVDEPCASESRFYNQPQEDAINTASGGARSPEKNLSNVDSDTSSAAVPVSASWNVNLQGNIVEPISKKDMIDKTTMVQPQPCKGKSPNPNDDGTCDQSLKDPRHESQTVQATMAPAFVRSNDVLPANASEASHLVNQDLDGNASIPPVEKDPVHEGLTLRGSSGIPSVTCNGAIQEDESGTNHPSTECTIMFEEQIGDKCQLEKNHSLYDDGTMLEKNKVCGGLNVQTAPESRSCNVTFHDRISEGNPLSEQNIETNTTDIQKRSCSTPVPNSLQDGDRKGAKDSNKQTVAKTVAETSHAHTSDDSFSGFAAACLLSLTGKMPFCSQDQEANDSLGVSQEQDLCIKCGKDGQLLQCSSCLLSAHDSCFGSSVTFEDSGKFYCPVCICTKATEAYQKAKKTYIEARKKLAAFLGTEPLLKQHDEQQTGVLPRAANGEGQLNGCDSSKRQKSCSQTKADDLAHQGEESDPQRKKQKINVTSDTYNEVAIEKASSGKNSGVAAMNKQSVLQNNSNQPHDAEEDHHVGDAEAREDDGNGHSSHERRNSSQNRCSPAANLEVEADKEDGPTNSHHSEDSDEIEASSSNETGKRSSPPWRCMKHHKAKSQEREATVSSNSRKAFGQQDQHMPSPSRKRKYAYPPKRYSNPAAPTGRRSKLCWTEEEEAALREAMEKFTPRDNGAIPWVQILEHGRNVFHRTRLPCDLRVKWRNMRKKAGS >KQL30810 pep chromosome:Setaria_italica_v2.0:I:33500670:33502376:1 gene:SETIT_019899mg transcript:KQL30810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVMENQQPLARMPLVPAPPVPPPPPPLPQAYKHRCKVCKKGFMCGRALGGHMRAHGVTDDGLSADDALDDDSVPCGGGDSSEAGSASTATATVKRMYALRTNPGRLRNCRVCENCGKEFTSWKSLLDHGRCNYDEEEGDLDGNIGDADNDGEEGEELALASGWSKGKRTRRAKVMVVGNGFITDEQLPAPSSEEEDLANFLVKLSSSSSATQPHVIIEDDQESCVVSKDAQMNQLLVPQPISMIAPVPQLKLLAPPQVLPHHVSTVPRGMFECKACKKVFTSHQALGGHRASHKKVKGCFAAKLESNRNDPPQPIVSSASDKVIVDAIPAMVGTERNPTSVNGNAEGDAVNIGTSVVAMATAAPEMAVDEVPAPSTAAPFKKKGKVHECSICHRVFMSGQALGGHKRCHWLTTGAGDPNAAVAKLQPFVTQDHVMHAMCQQLTLGRPMFDASDTFLDLNVPSNPSAEPAAARQAAELNDSVLSLNAPASLYMHSWAGHSNASNMNNRATSGHYDAAEATATEDEADSRGAKRAKIRDLKDMNMGGESSPWLQVGIGLPSKIDEKATQ >KQL32026 pep chromosome:Setaria_italica_v2.0:I:40949325:40950038:1 gene:SETIT_019102mg transcript:KQL32026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRFFPNRPAHSPIVLPCDEGLFEHIFRYLSSPSKSSRFVTLEDLKSGALSCCCADSLPLLHGIFADKAVW >KQL32119 pep chromosome:Setaria_italica_v2.0:I:41414599:41415260:1 gene:SETIT_018276mg transcript:KQL32119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACINTLQSCSIARGAKINTGTRRSAAKRSPTFQCRASTFVDASVRLELDENPEAIISGEWPENSFLLSYDDLRAYLESQEAVQEGDQRVALLSETMSTPVLVATAEQTLEEVECHFEAVSGLPVVDSSLRCVGVVVKNDRAKASHGVSSPFPSFSLSLTQLGRKHTHNKLLVSYKSEGENPFRLLIYCCL >KQL32115 pep chromosome:Setaria_italica_v2.0:I:41414336:41416320:1 gene:SETIT_018276mg transcript:KQL32115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACINTLQSCSIARGAKINTGTRRSAAKRSPTFQCRASTFVDASVRLELDENPEAIISGEWPENSFLLSYDDLRAYLESQEAVQEGDQRVALLSETMSTPVLVATAEQTLEEVECHFEAVSGLPVVDSSLRCVGVVVKNDRAKASHGPQTKIEEVMTSPAITLASDKTVMGNCCFHITFFFPRML >KQL32116 pep chromosome:Setaria_italica_v2.0:I:41414336:41416320:1 gene:SETIT_018276mg transcript:KQL32116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACINTLQSCSIARGAKINTGTRRSAAKRSPTFQCRASTFVDASVRLELDENPEAIISGEWPENSFLLSYDDLRAYLESQEAVQEGDQRVALLSETMSTPVLVATAEQTLEEVECHFEAVSGLPVVDSSLRCVGVVVKNDRAKASHGPQTKIEEVMTSPAITLASDKTVMDAAVLMLKKKIHRVPIVNQDEQVIGEFQNGEQLRDKRTACIITRADVLRELEGLLKI >KQL32117 pep chromosome:Setaria_italica_v2.0:I:41414336:41416320:1 gene:SETIT_018276mg transcript:KQL32117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACINTLQSCSIARGAKINTGTRRSAAKRSPTFQCRASTFVDASVRLELDENPEAIISGEWPENSFLLSYDDLRAYLESQEAVQEGDQPQTKIEEVMTSPAITLASDKTVMDAAVLMLKKKIHRVPIVNQDEQVIGIITRADVLRELEGLLKI >KQL32118 pep chromosome:Setaria_italica_v2.0:I:41414336:41416320:1 gene:SETIT_018276mg transcript:KQL32118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACINTLQSCSIARGAKINTGTRRSAAKRSPTFQCRASTFVDASVRLELDENPEAIISGEWPENSFLLSYDDLRAYLESQEAVQEGDQRVALLSETMSTPVLVATAEQTLEEVECHFEAVSGLPVVDSSLRCVGVVVKNDRAKASHGPQTKIEEVMTSPAITLASDKTVMDAAVLMLKKKIHRVPIVNQDEQVIGIITRADVLRELEGLLKI >KQL28364 pep chromosome:Setaria_italica_v2.0:I:5420480:5422391:1 gene:SETIT_019718mg transcript:KQL28364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAAAAPAPDDIFSFPPRRPHAHGMARPRTRARTTRRRRVASSRAAPMCPSVHPGHGDSIWAWHDRGDRAGASGQCKAWSSLKVSAKRFATRRQAEILRGKRIGDQLFHSFCDNDAVTQRVYNYWSVLAGNCLPLLRTGVRSAVMCSVFLCVKGNSIQFGCDLLLNPCALTLQAGNAVVPKFGSWDAENIGYTVFFEKVRDNKPAPAPATTAPPKPPTSGNGGGGGGGYDFDPYEHYENLSRKVPSRPPSSHGSHAPAPAPKAPAGGYDYDPYEHYESISRKVPSRPPSSHGGPKAPGGGGGYDPYEHYDNLSSRNVPSRPPSSHGHGHPPAGYDFDPYEHYENISSRNVPSRPPSSHGHGHAPPQPHHRPQNHQQQHQHPGGHGYHHRRTGSNGSSAASETSSRGSKFSPPRPYQPRYSSNTTNNSYPASQPQAAGHGHGHGAAHAHAHQQQHHHHHHGAPRAAAASPSPSPPRHQPPPPPRRPKPSAVPRFGVWDEQNAAAAAQGFTVQFEKVKRHREEARTAPAPPVPAPQQMMPHDHAAAAAAAGARRYGKRKPKTKRSFMSKMYRCLFPRVRECE >KQL30727 pep chromosome:Setaria_italica_v2.0:I:32934113:32939336:1 gene:SETIT_016322mg transcript:KQL30727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAETLASFPIASPSRSLLRPLPRRPAAAGRGALSFRISAVPPRGLGVALVHRRGRRCPPAARANVERDGDGAAASGNGEASSAGEGDRDASAEPGGDSTSTSTTSAAATPPPQPSSKRGENKWRRKLHKGGGVGRWLWEPIVQGREMGFLLLQLGFAIFALRMLRPEIALPGSEPRPQTTYLSVPYSDFLASIDKDQVKKVEVDGVHIMFRLRPEVEARAVKQIPAQRGTDAVADNAGVSRRIVFTTTRPVDIKTPYEKMVENMVEFGSPDKRSGGMLNSALVALIYVVLIAVVLQRLPISFSQHSAGQLRNRKNSNSSGAKVSESTDIVTFADVAGVDEAKEELEEIVEFLRNPERYIRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGAARVRDLFARAKKESPSIIFIDEIDAVAKSRDGRYRIVSNDEREQTLNQLLTEMDGFDTNSAVIVLGATNRADVLDPALRRPGRFDRVVMVEAPDRFGRESILKVHVNRRELPLSKDVDLADIAAMTTGFTGADLANLVNEAALLAGRLNKEIVEKVDFIRAVERSIAGIEKKHAKLKGNEKAVVARHEVGHALVGTAVANLLPGQPRVEKLSILPRSGGALGFTYTPPTTEDRYLLFVDELRGRLVTLLGGRAAEEIVLAGRVSTGALDDIRRATDMAYKAVAEYGLNQRIGPISVATLSNGGLDDSGGSPWGRDQGHLVDLVQREVKSLLQSALEVALSVIRANPAVLEGLGAYLEENEKVEGEELQEWLKSVVAPKELTSFIRGQQEQVLQLELEAGS >KQL27880 pep chromosome:Setaria_italica_v2.0:I:1394650:1397085:-1 gene:SETIT_016549mg transcript:KQL27880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPPGDGDGGEEPTPGPAPAAEAPAAPAAPAMRRWPAEIKVYARKYPRKNPKPPPPEPAPAPSSAPAPAPAPAPDPNPNPLSETLSSIRRSIRRAEAGGAAARPDPVAAASTLAPARPGGRGAASGDPSSGKDRDSSGGGGVPNGHGDDRAAEKARKRRVRSELRRRLAGELDQVRVLSRRLKEAAETLAQQEASAPASLPLVVVPQHQVVDVGYPQQPQFSAGDMVTHMSAQLTPVVPPVRSLLPRRPLTVSVVHNEAFEKEKRTPKANQLYQNSEFLLAKDRIPASDSHGRKKSKHHKKKHRSQESRGADFDAERRLYSHAFKKSSSLLSRLMKHKFGWVFNKPVDPVALGLHDYFTIIKHPMDLGTIRARLSHGQYRNPKEFADDVRLTFHNAMTYNPKGQDVHFMAEQLSGIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDLRFLERSDSMRRQMALDSSRPISHTPTYSRTPSMKKPRAKDPNKRDMTIDEKRKLSENLQNLPPEKLDAVVQVIKNKNLSVRQHDDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAQHSIQQPQQLQQPQPTQVTQEPNVGEKSPKQMEKDSTAGEQLAASVPEQNDENRQNASSSSNSSSSSSDSGSSSSDSDSDSSSSDGSDAGNSS >KQL29166 pep chromosome:Setaria_italica_v2.0:I:10874531:10880053:1 gene:SETIT_016996mg transcript:KQL29166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLRLLSRSVERLRPLLVQGPPTRGFSAFAREPLHVCVVGSGPAGFYTADRMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFFGNVTLGTDISLAELRKTYHVVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCDLSPDLKNTESAIILGQGNVALDVARILLRCKTELATTDIADYALDALRGSTIRKVYLVGRRGPVQAACTAKELREILGLKNVRICINEAVLATSPADEEEMRNSRIQRRVYELLSKAASAHKDNSYNDQKELHFVFFRRPIKFIPSENGSTVGAVQLEKTALKGDEVTGKQVAVGTGEFEDLKFWS >KQL29167 pep chromosome:Setaria_italica_v2.0:I:10874531:10880053:1 gene:SETIT_016996mg transcript:KQL29167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLRLLSRSVERLRPLLVQGPPTRGFSAFAREPLHVCVVGSGPAGFYTADRMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFFGNVTLGTDISLAELRKTYHVVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCDLSPDLKNTESAIILGQGNVALDVARILLRCKTELATTDIADYALDALRGSTIRKVYLVGRRGPVQAACTAKELREILGLKNVRICINEAVLATSPADEEEMRNSRIQRRVYELLSKAASAHKDNSYNDQKELHFVFFRRPIKFIPSENGSTVGAVQLEKTALKGDEVTGKQVAVGTGEFEDLKCGSCAKFERQSPEQRIRDNHCGIRVVRGRMVKERTNWDRCDKSPLCGRNSRWLASSKMISRVC >KQL29168 pep chromosome:Setaria_italica_v2.0:I:10874531:10880053:1 gene:SETIT_016996mg transcript:KQL29168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLRLLSRSVERLRPLLVQGPPTRGFSAFAREPLHVCVVGSGPAGFYTADRMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFFGNVTLGTDISLAELRKTYHVVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCDLSPDLKNTESAIILGQGNVALDVARILLRCKTELATTDIADYALDALRGSTIRKVYLVGRRGPVQAACTAKELREILGLKNVRICINEAVLATSPADEEEMRNSRIQRRVYELLSKAASAHKDNSYNDQKELHFVFFRRPIKFIPSENGSTVGAVQLEKTALKGDEVTGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVQGLPFDKNRGVVPNLRGRVLSSESETTTVESGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDIKGVLRPPSDSKKHGRTGLVEILKQNNVRFVPFSGWEKIDSKEKMAGQLRNKPREKITTWDELQKAANE >KQL29165 pep chromosome:Setaria_italica_v2.0:I:10874531:10880053:1 gene:SETIT_016996mg transcript:KQL29165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLRLLSRSVERLRPLLVQGPPTRGFSAFAREPLHVCVVGSGPAGFYTADRMLKGHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGRCSFFGNVTLGTDISLAELRKTYHVVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCDLSPDLKNTESAIILGQGNVALDVARILLRCKTELATTDIADYALDALRGSTIRKVYLVGRRGPVQAACTAKELREILGLKNVRICINEAVLATSPADEEEMRNSRIQRRVYELLSKAASAHKDNSYNDQKELHFVFFRRPIKFIPSENGSTVGAVQLEKTALKGDEVTGKQVAVGTGEFEDLKFWS >KQL27871 pep chromosome:Setaria_italica_v2.0:I:1350076:1352637:-1 gene:SETIT_018288mg transcript:KQL27871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALISSLNKMEVETSQAPEPVIQQKPGPKVDQNPVETDINPEKETDELSGSKVNEEPMETCLDTVVETDIIPDKKADREAGLEVNQKPTETCQETSAESDVNPEKRTSDPGVIYRCKKCRRMLATQEFVVTHEVGAGGKCFNRGKRSVDDDDKKPECPCIYVEPMKWMQTVEEGYVANKLFCMGCKARLGQFNWAGMQCCCGAWVIPAFQLTKSKIDECSM >KQL30896 pep chromosome:Setaria_italica_v2.0:I:34185196:34192680:1 gene:SETIT_016736mg transcript:KQL30896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSAAAVAALFGIRDGGDHQDQIKPLLTQQQLPPAPLLSAAASSSAGSGQAPAASPPVKKKRNLPDPDAEVIALSPKTLMATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKDPLQAQRRRVYLCPEPTCAHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPPGLTASHLYGATSAANMALSLSQVGSHLASTLGGDAHGHHQDLLRLGGGGGAAGRFDHLLGPSGASAFRPLPPPPSSAFLMGAPQEFGDGGDGTGPHVFLQGKPFHGLMHLPDLQGNGAGGGPSASSAPGLFNLGYIANSANSSGTSSHGHTSQGHLTSDQFSEGGGGGGGGGSESSAAMLFSGGGSFAGGDHQVAPGGGVYNDQAVMLPQMSATALLQKASQMGSSSSAPGGASVFGGLGGSSAPPSAAHGRAPMLDQSQMHLQSLMNSLAAGGMFGGGANSGGMIDPRMYDMDQDVKFSQGRGGAEMTRDFLGVGGSGGVMRGMPVVRGEHRDGASDMSSLEAEMKSASSSFTGGRMP >KQL31060 pep chromosome:Setaria_italica_v2.0:I:35344218:35345801:1 gene:SETIT_020216mg transcript:KQL31060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPAHPDLQLQISPPPATKPMELGFWKRALDTTSPATTTAAAAAVAATTAPSLSFASSAPTTAVGFHAAAGGHHHHQAPGSHFGLPFLHHTQPILPEGGGGGGLRDLASMRPIRGIPVYNISQPLPFLQSHPHHHHHHQHCYDAIAGMGHSGGGPRSPKAAALRLAGAPAKRGARAPRMRWTTSLHARFVHAVELLGGHEKKASEMACGRRGTVLATTVAVAFPNTPAAQLFNDKTPVERSPAAQAGGHKSTQCGDMPLKAPPDGAWRGAARWRRRSRGGPAGCAGGFARDRSVRHAYAHAGPPQQQH >KQL31005 pep chromosome:Setaria_italica_v2.0:I:34982676:34984734:1 gene:SETIT_019497mg transcript:KQL31005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQMVNFGRTLSQIGVLSVDPKKGWQQKLNEQRSLELYRKIPNNSEYSEAEEMENHLQTAKLHSKSQSLSSAEIFYLLSVNDKRLRTAQMHAALSVARLSTVVAGTIGNCSFGSNNLSGIAMTNRREDTDKKMHAAITSAAALVAASCAEAVKSAGASREQVSSDINMGLETRELGDLLTLTTNTAACLRGFDGLKMRTISNCSLEGQMNNQKDAILLVGTPQGIAN >KQL31607 pep chromosome:Setaria_italica_v2.0:I:38830629:38831177:1 gene:SETIT_018941mg transcript:KQL31607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSNIQECTEQCDVPHGRLISRITQPNTHFPLQTRRPQRNPHPWPSNEKCAILYRITNGTIAASKHLN >KQL30381 pep chromosome:Setaria_italica_v2.0:I:30222717:30223919:1 gene:SETIT_019609mg transcript:KQL30381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMARTLLVAFMALLLVVGPCHARPAPQQPPNKLSAKEKAAAADGVTAIYNFGDSLSDTGNLLREGATGMLRHSMGPPYGSAIGGATGRCSDGYLMIDFLAKDLGLLLLNPYLDKGADFTHGVNFAVAGATALDAAALARRGVVVPHTNTSLAVQLQQFKDFMSANTRSPQEIREKLARSLVMVGEIGGNDYNYAFSENRPVAGGARNFYNFGRMATGVVEAMALVPDVVRSVTDAARELLDMGATRLVIPGNFPLGCVPSYMSAVNETDPAAYDANGCLMSLNLFAQMHNVLLQQEIRELRRSYPSATIAYADYFYAYVRMLRDAGKTGFDEGAVTKACCGAGGGEYNFNMERMCGAPGASVCARPEERISWDGVHLTQRAYRVMTDLLYHKGFASPAP >KQL30803 pep chromosome:Setaria_italica_v2.0:I:33429289:33431898:1 gene:SETIT_019241mg transcript:KQL30803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GACGYQNAVEQPPFSSMVTAGGLSIFQNGKGCGSCFQVKCTEHASCSGSPVTVVLTDECPDGACQQEPVHFDLSGTAFGAMAKPGQDDLLLNAGRLRVQYTRVPCNWHGMDVAFKVDAGSNPYYLAVLIECESGDGDLRSVEVIQSGGAWAPMQQSWGAVWKYNSGPALQAPISLRLISGSGRVLIADKVIPPGWTPGRTYRSIVNFNFS >KQL29324 pep chromosome:Setaria_italica_v2.0:I:13658117:13661996:1 gene:SETIT_020059mg transcript:KQL29324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGGASATTWRISRPPGRGAPWLDAGSMSIRVVLARVFSSVDASGPRPVLTLGSGDPTACASFRPPPEAEDAIVDALRSGKHNGYSPTVGVLPARRAIAEYLSQDLPYQLSPDDIYLTAGCCQAIDVMISILAQPGSNILLPKPGFPLYESRTMFSNLEERHFNLIPDRGWEADLESMEALADENTVAMVIINPSNPCGSVYSHDHLAKIAETARKLGIIIIADEVYDHLAFGNKPFIPMGVFADIVPVITLGSISKRWLVPGWRLGWIATCDPNGILKEAKVDKSIESYINITNDPATFIQGAVPKIIANTKEDYFNKILNLLRNSADLCYGKIKEIRGITCPHKPEGSMFVMVKLDLCYLDGILDDLDFCCRLAKEESVIVLPGSALGMKDWIRITFACDIPTLENALERIKSFCQRHANKLEA >KQL29373 pep chromosome:Setaria_italica_v2.0:I:14549071:14549865:1 gene:SETIT_020100mg transcript:KQL29373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSSGGKAPRKQLASARKTAPITGGVKKPRRYRPGTVAIREIRKYQKATELLIRKLPFQRLVREIAQLYKSDLRFQSHAVLALQEAAESYLVSLFEDTNLCAIHAKRVTIMPKDVHLATRIRGERP >KQL32080 pep chromosome:Setaria_italica_v2.0:I:41230595:41233217:1 gene:SETIT_017905mg transcript:KQL32080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVLRRPLLAALLPAGAGATGPSQFRIRRRRPPHPVLAVSSDSPKPVASTSGGGGNPDEEPPVLPLLQELADCLVLPPKFLSQLPRDLRLDLNDAAFDLSNGPVLDECGQEVGDLLLNLAKSWELADTSTSNSLAKQLPSMEPYLTRSAKSAFGKRLVSTGRRFQSMGQYGQGEFKKIAETMIKNGKLLSTCPVVQSDVQAMKEKRKLKFGELEFELTAEKANIGAAAGAVFGFISWQLAQGVQGIPDSTKQYANDSALQLAKSLKVALLVLGYTSTGLSLFTALGLLLLAQQINSEDKSE >KQL29205 pep chromosome:Setaria_italica_v2.0:I:11314944:11315295:1 gene:SETIT_020512mg transcript:KQL29205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEYFEACMLTELIRKNWSLLVPCWSLGQK >KQL31669 pep chromosome:Setaria_italica_v2.0:I:39108137:39109380:-1 gene:SETIT_020605mg transcript:KQL31669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSWGSWVSEIRAPNQKTRIWLGSYSTAEAAARAYDAALLCLKGSAADLNFPVPLPFHIPAAAMSPKSIQRVAAAAAANAGCSPLQPGAGGPYYSGAAAINATTPPCSYGDASSGASSPETGNTGQYYEMAHDDIDMTGDADLAALADIEAFFQSPKCMEYAMMDPCSSFFAPAPMATDAANEWEEEGEINLWSFSSVN >KQL30206 pep chromosome:Setaria_italica_v2.0:I:28883885:28885527:1 gene:SETIT_020196mg transcript:KQL30206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPAELSREENIYMAKLAEQAERYEEMVEFMEKVAKAVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRSKIEVELTKICDGILKLLDSHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGSERKDAAENTMVAYKAAQDIALAELSPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDITEDAAEEIKEAPKGESGDGQ >KQL30278 pep chromosome:Setaria_italica_v2.0:I:29589271:29593188:1 gene:SETIT_017960mg transcript:KQL30278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPQESAAGGRKRRRRGGSRNRGKPSSSSQQAPRPAVAPPPPSSPPAKRRRKDGAGQAAVTPKRDNTSIKLDKMRARLYGGHFRMLNETLYTCSGQDAFDYFQKDPSLFDVYHTGYQEQMSRWPEQPVNVIINWLKSHKTSWTVADFGCGNAAVAKNVKNKVFSIDLVSDDPSVIACDMAHTPLESSSVDVAIFCLSLMGTNYPNYLEEANRVLKPSGWLVIAEVRSRLDPNNGGADPEKFSKAIIQLGFSLVSKEKG >KQL30279 pep chromosome:Setaria_italica_v2.0:I:29589271:29593188:1 gene:SETIT_017960mg transcript:KQL30279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPQESAAGGRKRRRRGGSRNRGKPSSSSQQAPRPAVAPPPPSSPPAKRRRKDGAGQAAVTPKRDNTSIKLDKMRARLYGGHFRMLNETLYTCSGQDAFDYFQKDPSLFDVYHTGYQEQMSRWPEQPVNVIINWLKSHKTSWTVADFGCGNAAVAKNVKNKVFSIDLVSDDPSVIACDMAHTPLESSSVDVAIFCLSLMGTNYPNYLEEANRVLKPSGWLVIAEVRSRLDPNNGGADPEKFSKAIIQLGFSLVSKDMKNKMFVLFYFRKKEKSKVAKRIDWPQLKACMYKRR >KQL29041 pep chromosome:Setaria_italica_v2.0:I:9880816:9886766:-1 gene:SETIT_017696mg transcript:KQL29041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHERAANLALAALSLAPLVVNVNPNVNVILTACLTVYVGCYRSVKPTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIVALSATLLPSIKRFLPKEWNDNLIVWQAPLIHSLSVEFTKSQIVASVPGFFFCMWYASKKHWLANNVLGIAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTAHAARPFSMLGLGDIVIPGIFVALALRFDVSRGIKNRYFNSAFLGYTLGLTVTIIVMNWFQAAQPALLYIVPGVIGFVAVHCLWNGEVKQLLEFDESKAEAEEAGEEEQDDKSEENKKVD >KQL29042 pep chromosome:Setaria_italica_v2.0:I:9882646:9886766:-1 gene:SETIT_017696mg transcript:KQL29042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHERAANLALAALSLAPLVVNVNPNVNVILTACLTVYVGCYRSVKPTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTAYFFILGIVALSATLLPSIKRFLPKEWNDNLIVWQAPLIHSLSVEFTKSQIVASVPGFFFCMWYASKKHWLANNVLGIAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTAHAARPFSMLGLGDIVIPGQ >KQL29342 pep chromosome:Setaria_italica_v2.0:I:14066405:14070148:-1 gene:SETIT_017185mg transcript:KQL29342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHQNGGARAAEDVNGNGNGHAAAPAAEWQFARAVKDGALAAAGDRMSIRAVRFKISASVDARDPRPVLPLAHGDPSTFPAFRTAAEAEAAVAAALRTGKLNCYPAGVGLPDARRALAKHLSSDLPYELSSDDIFLTAGGTQAIEVVISVLAQPGTNILLPRPGYPNYEARAALNNLEVRHFDLIPERGWEIDIDSLESIADKNTTAMVIINPNNPCGSVYTREHLAKVAEVARKLGILVIADEVYGNLVFGDSPFIPMGVFGHIAPVLTIGSLSKRWIVPGWRLGWVAACDPKKILQETKILTSITNFLNVSTDPATFIQGALPQILENTKEEFFKRIIGLLEETSEICYREIKDIKCITCPHKPEGSMFVMVKLNLYLLEGIHDDIDFCCKLAKEESVILCPGSVLGMENWVRITFAIDSSSLLDGLERIKSFCQRHKKKNLLSGF >KQL29341 pep chromosome:Setaria_italica_v2.0:I:14066301:14070310:-1 gene:SETIT_017185mg transcript:KQL29341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHQNGGARAAEDVNGNGNGHAAAPAAEWQFARAVKDGALAAAGDRMSIRAVRFKISASVDARDPRPVLPLAHGDPSTFPAFRTAAEAEAAVAAALRTGKLNCYPAGVGLPDARRALAKHLSSDLPYELSSDDIFLTAGGTQAIEVVISVLAQPGTNILLPRPGYPNYEARAALNNLEVRHFDLIPERGWEIDIDSLESIADKNTTAMVIINPNNPCGSVYTREHLAKVAEVARKLGILVIADEVYGNLVFGDSPFIPMGVFGHIAPVLTIGSLSKRWIVPGWRLGWVAACDPKKILQETKILTSITNFLNVSTDPATFIQGALPQILENTKEEFFKRIIGLLEETSEICYREIKDIKCITCPHKPEGEAEFISFGGDP >KQL31056 pep chromosome:Setaria_italica_v2.0:I:35308998:35312028:-1 gene:SETIT_016746mg transcript:KQL31056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLCKCQAGNSRPLTSNGQQLDVPVSRDIGTLVIGGAGSMRPSTAEVVVARGPAVCAARDSLKLGHRLVATRNPLAEERNGSLRSDSDPQFFPNHLVVSRVAVPRDASGSSLDSDLRPSKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGLVN >KQL31054 pep chromosome:Setaria_italica_v2.0:I:35308648:35312028:-1 gene:SETIT_016746mg transcript:KQL31054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLCKCQAGNSRPLTSNGQQLDVPVSRDIGTLVIGGAGSMRPSTAEVVVARGPAVCAARDSLKLGHRLVATRNPLAEERNGSLRSDSDPQFFPNHLVVSRVAVPRDASGSSLDSDLRPSKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGLVN >KQL31055 pep chromosome:Setaria_italica_v2.0:I:35308648:35312028:-1 gene:SETIT_016746mg transcript:KQL31055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLCKCQAGNSRPLTSNGQQLDVPVSRDIGTLVIGGAGSMRPSTAEVVVARGPAVCAARDSLKLGHRLVATRNPLAEERNGSLRSDSDPQFFPNHLVVSRVAVPRDASGSSLDSDLRPSKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGLVN >KQL30136 pep chromosome:Setaria_italica_v2.0:I:28335992:28337455:-1 gene:SETIT_017795mg transcript:KQL30136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPDLPPPSLYPPSPPPSSAASHGQSTFVTALIIAGSVIAVLVFFLSVFLFVRRRRQQRRQREALLEAALAPAALPATPPGDDGGPDPPGEEEVVHHAWHIRTVGLDEAAIESIALTRYRAGGALGASDCTVCLGEFQDGELLRLLPKCAHAFHVQCIDTWLRAHVSCPLCRANVMDPAAAAAEQPDPTHPAPGADADAEQEQDAGNTGAPEHEQPGQHANGQPDISSEQPRQRPGPRGRNFRRVASMDSPPSPIASAGEAPDPGHEQAGGEKQGTGSAVCCEVSPGSDHLNRAAMKRSLSAGSRWTLLSRPCRSRSSLLPL >KQL28738 pep chromosome:Setaria_italica_v2.0:I:7840871:7841131:1 gene:SETIT_019700mg transcript:KQL28738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLYPIPFHHLVKVMPHIIWQLHPSGRHTYLRLETGVNDSVKINT >KQL31770 pep chromosome:Setaria_italica_v2.0:I:39680445:39682891:1 gene:SETIT_016368mg transcript:KQL31770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVGVLCALLAAATVAAAAAAETEVQSSYIVHVAGEHAPRSSHPRLLARGYTSLLRDSLPASISRPAPRLLYSYAHAATGFAARLTERQAAHLASQPSVLAVAADGIRQLHTTLTPSFLRLSTSSGLLPASNGATDVVIGVLDTGIYPEDRASFAADPSMPPPPRRFRGSCVSTPSFNASAYCNNKLVGAKFFHEGYEAAYGNRLDETEDPRSPLDSNGHGTHTASTAAGSAVAGAAFYNYAKGKAVGMAPGARIAAYKVCWTNGCADSDILKAFDEAIKDGVDVISLSLGAVGEPPNFHEDQIAIGAFNAVRKGIVVSASAGNSGPGEYTAKNIAPWFITVGASTINRRFPATVVLGNGETFTGFSLYAGAPLSEAKIPLVYGGDVGSEVCEAGKLNASLVAGKIVVCDPGVNGRVEKGEVVRLAGGAGAILVGNEVFGEQVITSPHILPATAVTFAAAEKIKKYMRTKNASPVATIVFQGTVVGRKPSSPRMASFSSRGPNFRAPVILKPDVTAPGVDILAAWTGANSPTELDSDKRRVHFNIISGTSMSCPHVSGIAALLRQAHPDWSPAAIKSALMTTAYNVDNAGDIIRDMSTGQASTPFVRGAGHVDPNRAADPGLVYDAGADDYMSFLCAIGYTDEQIAKFTTKGDPAVNCSTRRATAGDLNYPAFSVVFVNSTKNEVTQRRVVRNVGSNVRAKYRVAVTRPAGVRVTVKPRILRFNRRQRTQEYAVTFMPLVGANVTEKYTDGSIVWSDGKHRVTSPLAITWPVSQVAAM >KQL28283 pep chromosome:Setaria_italica_v2.0:I:4801999:4808814:1 gene:SETIT_016588mg transcript:KQL28283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCRDVRFVSSGVKLPSAPSPSPGPAPALLSAALPFAHIGRAIDSAARRLGACLPRVPVARADPAPPPPARRHGKDAGGGAEERVLISEVAVRGKDGEPLERPELEAAAAAALRACRPNAALTVREVQEDVHRVVESGLFRSCMPVAVDTRDGIRLVFEVEPNQDFHGLVCEGANMLPSKFLEDAFRDRHGKIINIRHLDQVIKSVNGWYQHRGLTGLVSYAEILSGGILRLQISEAEVNNINIRFLDRKTGEPTVGKTQPETILRQLTTKKGQAYNRAQVKRDVETILTMGIMEDVTIIPQPVGDSNKVDLVMNLVERPSGGFSAGGGISSGITNGPLSGLIGSFAYSHRNVFGRNKKLNLSLERGQIDSIFRLNFTDPWIDGDNKRTSRTVMIQNSRTPGTLVHGGDHPNHEPITIGRVTAGVEYSRPFRPKWSGTLGLIFQHAGARDDKGNPVIRDFYNSQLTASGNAYDDTLLAKFESVYTDSGDHSSTMFVFNVEQGLPVLPEWLSFNRVTARLRQGYEIGPARLLLSASGGHVEGNFPPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEVSCRMFGPLEGVVFGDYGSDVGSGPKVPGDPAGARGKPGSGYGYGVGIRVDSPLGPLRLEYAFNDKQARRFHFGVGYRN >KQL29831 pep chromosome:Setaria_italica_v2.0:I:25297898:25297948:1 gene:SETIT_0199812mg transcript:KQL29831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAQGTSPCFQEIKYGM >KQL32235 pep chromosome:Setaria_italica_v2.0:I:41886545:41889715:-1 gene:SETIT_017380mg transcript:KQL32235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPALLRATAAELRRRGRRSPLPLPALSSLLSSPSPQSPASSCPDPSPLAYRRHLITLRRCPRPASASAFATEFPYSRILLPSHFSPASPLSTSSSSSEPADKASPPPAPLTWVDKWIPEAARPYAMLARLDKPIGTWLLAWPCMWSITIAAMPGQLPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGALTPSQGVGFLGFQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAVVLPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKYWISGFGAACICSLALSGYNADLGWPYYPFLSAAAVQLAWQISTVELSNRSDCNRKFVSNKWFGALVFSGILFGRLVS >KQL32236 pep chromosome:Setaria_italica_v2.0:I:41886487:41889715:-1 gene:SETIT_017380mg transcript:KQL32236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPALLRATAAELRRRGRRSPLPLPALSSLLSSPSPQSPASSCPDPSPLAYRRHLITLRRCPRPASASAFATEFPYSRILLPSHFSPASPLSTSSSSSEPADKASPPPAPLTWVDKWIPEAARPYAMLARLDKPIGTWLLAWPCMWSITIAAMPGQLPDLKMLALFGCGAVLLRGAGCTVNDLLDRDIDNKVERTKSRPFASGALTPSQGVGFLGFQLLLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWAAIKESLDPAVVLPLYTAGICWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDLTKYWISGFGAACICSLALSGYNADLGWPYYPFLSAAAVQLAWQISTVELSNRSDCNRKFVSNKWFGALVFSGILFGRLVS >KQL32085 pep chromosome:Setaria_italica_v2.0:I:41248522:41252733:-1 gene:SETIT_016545mg transcript:KQL32085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEPPGIAARHHRHKHAHRRESNQGPSPSSSPPPEGCSSYTCTEPLTATPIGSPCGCVEPISVIIDLDVAPYLLFMRIAELEVEVAAGTFLKQSQVKIMAAVASIQDDQKTRVTIYLVPLREHFDTYTASLISDRFRDKKVQINSSIFGDYKVINISYHGLQSLSPSLPGGLDPSGTEDPITAAVPNQKKKHKKSDIWIIVIVSGSSLGLLLTCAVILILIIKWKKVGRLHDAMSSATTPAANRRYGARSALSTSMVSSASASMLSTVATCTSSVKTFSLAQLQKATDGFDSKRVLGQGGFGRVYHGTMEDGNEIAVKLLTREDRSGDREFIAEVEMLSRLHHRNLVKLIGICIERNKRCLVYELIRNGSVESHLHGADKAKRTLNWDVRMKIALGAARGLAYLHEDSNPHVIHRDFKASNILLEEDFTPKVTDFGLAREASNATQPISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVSISESKDPENLVTWARPLLSHKEGLEKLIDPSLDGKFNFDNVAKVASIASMCVHTDPSQRPFMGEVVQALKLIYNDPDEACNDSYSPRNSSDQDGDYEGGLAFESGSWGIGASGCLDYRNSLPFVTMDYSSGRLEGPREPRTTLSTGSHVQSPVLQNRSGPLRMKKKLASFYRSRGSISEHGHLPRH >KQL29371 pep chromosome:Setaria_italica_v2.0:I:14520972:14524098:-1 gene:SETIT_019335mg transcript:KQL29371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTLQQETSTQDHAIGYVIAWPHSHVKKATSTNLKQLGDITFQGRSEAAMERGKNGQ >KQL29794 pep chromosome:Setaria_italica_v2.0:I:24665553:24670907:1 gene:SETIT_016892mg transcript:KQL29794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARVNADKDAEDELEMKVEKYSRGKGADLKALRDKKLKGQLAVKEKLYGQSAKAAAKAEQWLMPTEEGFLEPDEDLEKTYRVQQELILKEVDLLSSRKPFDMILPVLGPYTIEYTSNGRYMLVGGRKGHLAMMDMLNMDLIKEFQVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGKALKLQFLTKQFLLTSINSFGQLHYQDVSTGEMIANYRTGLGRTDVMRVNPYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHHGPVTAVAFDRSGHLMVTAGVDRKIKIWDLRKYEVVNSYPVRAQSLDFSQKGLLACSNGSLVEIYRDFGGHDYRLYMKHRMMKGYQVGKVLFRPYEDILGIGHSMGLSSILVPGSGEPNFDTFIENPMETTKQKREKEVHALLDKLPPDTIMLNPSLIATVRAPKKKEKKTKKEIEEEVEEAVDAAKNMERKKKTKGRSKPSKRAKKKEEDVFRAKRPFLEQSKEADGRPDKKQRIGEEGELPKALQRFAKKPQS >KQL28048 pep chromosome:Setaria_italica_v2.0:I:2913118:2914505:-1 gene:SETIT_017919mg transcript:KQL28048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNGAPLVVFDFDKTIVDCDSDNWVVDSLGATRRFDDLLRHLPWNHAIDAMMGELHAEGRTAEDVAACLRAAPLSPHVAAAIESAHARGCELRVLSDANAFFIETVLAHHGLAGYFSGTDTNPAHVDGAGRLRIRPYHEFAAPAPGHGCALPSCPPNMCKGKVMERILQEEEEAAVAARRRRRAVVYLGDGRGDYCPSLKLREGDYVMPRAGYPVCDLIGGAPPAAAVREWDGFEDLARVLLGIVDDEIARAAVTTTTEQDDAAAMNAAVVGAVVVPDCRALPARQEAALLPQAVRVPN >KQL29791 pep chromosome:Setaria_italica_v2.0:I:24657945:24660823:1 gene:SETIT_017495mg transcript:KQL29791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKAARVADVPNLDVVAPGLVVAAGESDAAAIAAAGKRGPAGGGGGRFSVIGHRGKGMNALASADRRLQEVRENTVRSFNDAARFPVDYVEFDVQVTKDGCPIIFHDNFIYTEEDGKISQKRVTDLQLEDFVQYGPQNEKGKIGKPLLRKMKDGRIINWNVQSEDALCTLQEAFEKVNTRLGFNVELKFDDNLEYQEEELTRILQAILKVIFEHAKDRPILFSSFQPDAALLMRKLQSKYPVSMLNTAQAFVFMFMNSSTALIISFMLFNQVYFLTNGGTEIYIDVRRNSLEEAIKLCLSSGLQGIVSEARGIFRHPAAIPKIKEANLSLLTYGTLK >KQL29792 pep chromosome:Setaria_italica_v2.0:I:24657837:24661500:1 gene:SETIT_017495mg transcript:KQL29792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKAARVADVPNLDVVAPGLVVAAGESDAAAIAAAGKRGPAGGGGGRFSVIGHRGKGMNALASADRRLQEVRENTVRSFNDAARFPVDYVEFDVQVTKDGCPIIFHDNFIYTEEDGKISQKRVTDLQLEDFVQYGPQNEKGKIGKPLLRKMKDGRIINWNVQSEDALCTLQEAFEKVNTRLGFNVELKFDDNLEYQEEELTRILQAILKVIFEHAKDRPILFSSFQPDAALLMRKLQSKYPVYFLTNGGTEIYIDVRRNSLEEAIKLCLSSGLQGIVSEARGIFRHPAAIPKIKEANLSLLTYGTLNNVPEAVYMQHLMGVNGVIVDLVPEITEAVSELIALPEPDPEVESLSNNQAAKGTATPNFSQREISFLLRLIPELVQ >KQL29793 pep chromosome:Setaria_italica_v2.0:I:24657837:24661500:1 gene:SETIT_017495mg transcript:KQL29793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKAARVADVPNLDVVAPGLVVAAGESDAAAIAAAGKRGPAGGGGGRFSVIGHRGKGMNALASADRRLQEVRENTVRSFNDAARFPVDYVEFDVQVTKDGCPIIFHDNFIYTEEDGKISQKRVTDLQLEDFVQYGPQNEKGKIGKPLLRKMKDGRIINWNVQSEDALCTLQEAFEKVNTRLGFNVELKFDDNLEYQEEELTRILQAILKVIFEHAKDRPILFSSFQPDAALLMRKLQSKYPVYFLTNGGTEIYIDVRRNSLEEAIKLCLSSGLQGIVSEARGIFRHPAAIPKIKEANLSLLTYGTLNT >KQL32194 pep chromosome:Setaria_italica_v2.0:I:41734690:41741160:1 gene:SETIT_016139mg transcript:KQL32194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPPPPPATPSAAVRVLSRTPLPASPNPSSAPSAVPGAGAASHDGVVAVGFVGAAGTACLADRILDAHVFSPGGSARSLAGSVRYHRDGDKRMVFLHLTHPPTPMETGGIGGAGELPEMLFMFSVCHIVIFLQEGFRFDTQTLKKFRLLQSSKHAFAPFVKSLLAAAMPAKTVASDTPTRATHRASSISPPARRGGHSGRQSSAISLMSGTTSNPSVLPGQCIPVLLFVFEDDTVDVSSAATSLDDIGDAFSSNQGSTSDGSSKQSSASKGSGSVVMLARAANKSESSSGKKLHSSLEGQIRVLLKKCRVLAGMEPGHIGPRGVNNMSHHVPLFSLDTSRVVALLERSINKKQEPLDIIAGLFEDSFSSKSPLDILSLENNYHPTNHDDSQLIKDFIFRQSDALRGRGGYSSNATAGSVAGVGMVAAAAAAAAVSAAAGKPVNAPDLPSFDKWLSISTSILTALLSGRNTLSGLSESKTHTSPGEKNDQLPAGGSNAIDITLSCLESNNGLNMKFSSSWCQRVLPAAKEMYLKGLPAFYPTSTHEVQLQKALRSFCSMVKGPAVRVFSKKLEDECQTIWESGRQQCDAVSLTGRPCKHRRHVDFSSSDAVEQHSSGYVFLHACACGRSRRLRDDPFDFQTANVSFNCFSNCEDLLPTLVLPRGRDAGSFSVSSWRLVRLGGARYYKPTKGLLQSGFSPKERYLLRWMISVGKGQVRNGNRSNTVTSSTRSSTNPQTPPVVTGEVKSAVTQVTPQIKSAKLENTGKQPEMESMSNSGINFGKGLPNFTMKKPFAEVVAGTTAKDSEFPALQQMRPPKPGGRKDERQMNIADQTNGRGHVALSQVPLAESEPAKVSRNKSSESADGRPFFQIGSNIGPVIVGNETRETNQPVQQFVVYVGFEHECPYGHRFLLSEKHMKEIDSSCLQYQRPYVNKEAESKHAQKLLLNASSLTASTVDINNGRKNSKPLELSGRNSQQQSMQIRVNAETSQPSPWLSDLQNDKRGEHYFRSIAVDDGGEAFSLMNRNLPIYMHCPHCKMSERKEHQDVKFAGAVSQLQRIFIVTPDFPVLLASCPLVQFEGSCLPSNVSDHEREGLFSIGCRVILPPESFLTMRLPFVYSVETKEGSTFPLKHFEQQPELTAWLVGGTALQIVSIGNATEKETIMK >KQL30196 pep chromosome:Setaria_italica_v2.0:I:28799430:28801087:1 gene:SETIT_017567mg transcript:KQL30196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRLDGKHAGTSNRSSLIPSIRAGASKDEWVLCRVFKKSIEPPLVAAGGKRSSGPCVEVADVVGPSSMSMADDLAACALPPLMDVSGGSGGGAMSLSAVAGIELPPPAQHVTCFSNALQGQFLNPPFLLPSAGPAAAADHHLAMASSASPFLASMMQAAQYDGAAGVGGMVHELLQEGGGWYSKLGERERLSGGGGASQDTGLTSEVNPGEISSRQHMDHAASLWGY >KQL30197 pep chromosome:Setaria_italica_v2.0:I:28799119:28801087:1 gene:SETIT_017567mg transcript:KQL30197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDVHHHQLLQQQQTMELPPGFRFHPTDEELITHYLTRKAADPRFAPRAVGEADLNKCEPWDLPARATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRLDGKHAGTSNRSSLIPSIRAGASKDEWVLCRVFKKSIEPPLVAAGGKRSSGPCVEVADVVGPSSMSMADDLAACALPPLMDVSGGSGGGAMSLSAVAGIELPPPAQHVTCFSNALQGQFLNPPFLLPSAGPAAAADHHLAMASSASPFLASMMQAAQYDGAAGVGGMVHELLQEGGGWYSKLGERERLSGGGGASQDTGLTSEVNPGEISSRQHMDHAASLWGY >KQL30195 pep chromosome:Setaria_italica_v2.0:I:28799119:28801087:1 gene:SETIT_017567mg transcript:KQL30195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDVHHHQLLQQQQTMELPPGFRFHPTDEELITHYLTRKAADPRFAPRAVGEADLNKCEPWDLPARATMGEKEWYFFCVKDRKYPTGLRTNRATESGYWKATGKDREIFRGKALVGMKKTLVFYTGRAPRGGKTGWVMHEYRLDGKHAGTSNRSSLIPSIRAGASKDEWVLCRVFKKSIEPPLVAAGGKRSSGPCVEVADVVGPSSMSMADDLAACALPPLMDVSGGSGGGAMSLSAVAGIELPPPAQHVTCFSNALQGQFLNPPFLLPSAGPAAAADHHLAMASSASPFLASMMQAAQYDGAAGVGGMVHELLQEGGGWYSKLGERERLSGGGGASQDTGLTSEVNPGEISSRQHMDHAASLWGY >KQL29731 pep chromosome:Setaria_italica_v2.0:I:23915063:23918200:1 gene:SETIT_017330mg transcript:KQL29731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLPPHKRHPSSTASAPTPNPPPPSLSSSLRSLSLSSPRGRGRVGGGRHPLPSNKIIHAAGCISRWSPLPPFFPSPEDSDGEEPTLRLEPFPCDPIERKTGAKPLALVASSPGQGSSGSTATAVTAIAERFLPDLLAAAKRAKASYAPKEEELVKLNLVARVGKVLFQTQPGRSPVSLETLRQAAKAGEGGSKSQLHKSFYTNVPNECLDDMEQSVVKRMALEFDSSKEHYHVKVFDKHHSDSTISCKCTVEEDGSLAIHKVEWNKVRHLVEDISCLFKDLDLRLMLCTKRILKTLDPEVENALKSLVSSAVIDPDVKGGLRWPLGKESIGERFSIVGVWHTNYKAFRNETLRLKLRHGDRFDHQTSAGEVSNEVTFKLIGMSRRLEDVDPEETSLKEMLEPVVQMVWDNALNYKIVP >KQL28544 pep chromosome:Setaria_italica_v2.0:I:6578991:6582303:1 gene:SETIT_018151mg transcript:KQL28544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSQPQPVTGVGSQAYPAAYVPPAMVPGAPSVVPPGSQPAAPFPNPAQLSAQHQMVYQQAQQFHQQLQQQQQQQLREFWTTQMDEIDQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGLPPAMGASADSYPPYYYMPAQQMPPGGGMMYGGQQGHQVTYMWQQPQGQEEEPPEEQQQQQRSA >KQL28545 pep chromosome:Setaria_italica_v2.0:I:6578991:6582303:1 gene:SETIT_018151mg transcript:KQL28545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSQPQPVTGVGSQAYPAAYVPPAMVPGAPSVVPPGSQPAAPFPNPAQLSAQHQMVYQQAQQFHQQLQQQQQQQLREFWTTQMDEIDQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGLPPAMGASADSYPPYYYMPAQQMPPGGGMMYGGQQGHQVTYMWQQPQGQEEEPPEEQQQQQRSA >KQL28546 pep chromosome:Setaria_italica_v2.0:I:6578991:6582303:1 gene:SETIT_018151mg transcript:KQL28546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSQPQPVTGVGSQAYPAAYVPPAMVPGAPSVVPPGSQPAAPFPNPAQLSAQHQMVYQQAQQFHQQLQQQQQQQLREFWTTQMDEIDQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGLPPAMGASADSYPPYYYMPAQQMPPGGGMMYGGQQGHQVTYMWQQPQGQEEEPPEEQQQQQRSA >KQL28543 pep chromosome:Setaria_italica_v2.0:I:6578991:6582303:1 gene:SETIT_018151mg transcript:KQL28543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSQPQPVTGVGSQAYPAAYVPPAMVPGAPSVVPPGSQPAAPFPNPAQLSAQHQMVYQQAQQFHQQLQQQQQQQLREFWTTQMDEIDQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEVFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIIPRDEMKEEGLGLPRVGLPPAMGASADSYPPYYYMPAQQMPPGGGMMYGGQQGHQVTYMWQQPQGQEEEPPEEQQQQQRSA >KQL31587 pep chromosome:Setaria_italica_v2.0:I:38572788:38573986:1 gene:SETIT_018171mg transcript:KQL31587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHRRRNPRTSQRKRKQIKPREEERGSVMASTVASKRVVPLVRALEKLLAAPSAPFAGSALRPVAVAGGLRGYNTGAPLRRYEGAESDEDGVREYENCRGGRDYAVPSLFSDVFRDPFSAPQSLGRLLSLMDDMATASPVRAGAVRRGWNAREDEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEAGEDEAAPPPRYTGRIELSPEVYRMDTIKAEMKNGVLKVVVPKVKEQQRKDVFQVNVE >KQL31586 pep chromosome:Setaria_italica_v2.0:I:38572788:38573986:1 gene:SETIT_018171mg transcript:KQL31586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHRRRNPRTSQRKRKQIKPREEERGSVMASTVASKRVVPLVRALEKLLAAPSAPFAGSALRPVAVAGGLRGYNTGAPLRRYEGAESDEDGVREYENCRGGRDYAVPSLFSGNVFRDPFSAPQSLGRLLSLMDDMATASPVRAAPPPRYTGRIELSPEVYRMDTIKAEMKNGVLKVVVPKVKEQQRKDVFQVNVE >KQL31588 pep chromosome:Setaria_italica_v2.0:I:38572788:38573986:1 gene:SETIT_018171mg transcript:KQL31588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHRRRNPRTSQRKRKQIKPREEERGSVMASTVASKRVVPLVRALEKLLAAPSAPFAGSALRPVAVAGGLRGYNTGAPLRRYEGAESDEDGVREYENCRGGRDYAVPSLFSGNVFRDPFSAPQSLGRLLSLMDDMATASPVRAGAVRRGWNAREDEEALHLRVDMPGLGKEHVKVWAEQNSLVIKGEGEKEAGEDEAAPPPRYTGRIELSPEVYRMDTIKAEMKNGVLKVVVPKVKEQQRKDVFQVNVE >KQL29008 pep chromosome:Setaria_italica_v2.0:I:9665305:9671698:1 gene:SETIT_016766mg transcript:KQL29008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEDPPAPAPPRQSEAGAGGRRADKHGRRRLEVYNEVLARLRSSSAAAAPAEISPAFEEALWAHFHRLPARYALDVNAERADDVVTHQRLLEEARDPERRPALSVRVVQVSRIIDEDMGDSIDPDMEMVASNHLPSQLVHPPPAFGSSSNLEALGLETSEGDVRSTNDTDHSVHLISRPMHEITFATVDKPKLLSQLTCLLAELGLDIQEAHAFSTIDGYSLDVFVVTGWHLGSTEELQGKLLQKFHKIEAQACPVSNRSSPSSQVQGGESMPSTSVEIPTDGADVWEIDLKLLKFGNKVASGSNGDLYRGSYCSQDVAIKVVMPERISADMYQDFAQEVYIMRKVRHRNVVQFIGACTRQPNLYIVTDFMSGGSVYDYLHKKNSAFKLPEILRVATDISKGMNYLHQNNIIHRDLKTVNLLMDENKVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHKPYGHKADVFSFAIVLWELLTGKIPYEYLTPLQAAIGVVQKGIRPTIPKDTHPKLVELLQKCWHRDPAERPDFFEILEILQRLSKEVGTDTEGRHKAKTGFLSALKRGR >KQL29009 pep chromosome:Setaria_italica_v2.0:I:9665305:9671698:1 gene:SETIT_016766mg transcript:KQL29009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEDPPAPAPPRQSEAGAGGRRADKHGRRRLEVYNEVLARLRSSSAAAAPAEISPAFEEALWAHFHRLPARYALDVNAERADDVVTHQRLLEEARDPERRPALSVRVVQVSRIIDEDMGDSIDPDMEMVASNHLPSQLVHPPPAFGSSSNLEALGLETSEGDVRSTNDTDHSVHLISRPMHEITFATVDKPKLLSQLTCLLAELGLDIQEAHAFSTIDGYSLDVFVVTGWHLGSTEELQGKLLQKFHKIEAQACPVSNRSSPSSQVQGGESMPSTSVEIPTDGADVWEIDLKLLKFGNKVASGSNGDLYRGSYCSQDVAIKVVMPERISADMYQDFAQEVYIMRKVRHRNVVQFIGACTRQPNLYIVTGMNYLHQNNIIHRDLKTVNLLMDENKVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHKPYGHKADVFSFAIVLWELLTGKIPYEYLTPLQAAIGVVQKGIRPTIPKDTHPKLVELLQKCWHRDPAERPDFFEILEILQRLSKEVGTDTEGRHKAKTGFLSALKRGR >KQL29007 pep chromosome:Setaria_italica_v2.0:I:9665305:9669596:1 gene:SETIT_016766mg transcript:KQL29007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEDPPAPAPPRQSEAGAGGRRADKHGRRRLEVYNEVLARLRSSSAAAAPAEISPAFEEALWAHFHRLPARYALDVNAERADDVVTHQRLLEEARDPERRPALSVRVVQVSRIIDEDMGDSIDPDMEMVASNHLPSQLVHPPPAFGSSSNLEALGLETSEGDVRSTNDTDHSVHLISRPMHEITFATVDKPKLLSQLTCLLAELGLDIQEAHAFSTIDGYSLDVFVVTGWHLGSTEELQGKLLQKFHKIEAQACPVSNRSSPSSQVQGGESMPSTSVEIPTDGADVWEIDLKLLKFGNKVASGSNGDLYRGSYCSQDVAIKVVMPERISADMYQDFAQEVYIMRKVRHRNVVQFIGACTRQPNLYIVTDFMSGGSVYDYLHKKNSAFKLPEILRVATDISKGMNYLHQNNIIHRDLKTVNLLMDENKVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVYIVHMHIS >KQL31554 pep chromosome:Setaria_italica_v2.0:I:38364190:38367508:-1 gene:SETIT_019559mg transcript:KQL31554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESRDPPTGCAMFGIYSGMFRRRRSASMTSLHRINATEAEAAAPAAQANPAHRKAAAVPHDSSLRPARSGRRTAPGPAPRRRPRTRGAADPVPAKAANGVGGARTAADPASEYTGMAAELDKMILDHQRVKGTTQLVRATSGNMMLHRNLGNLNAGAGGASARSSLERGVKQANERKAPNGYAFSGMGNIVKESNKPAAGGDLCRALSHRTDPEKLKEMGNEEYRQGHYAEAVALYDQAIMMDARRPAYWSNKAAALAALGRLIEAVADCKEAVRIDPSYERAHHRLGGLYLRLGEPDKAIYHFKQSSKESTVADVSRAQSVKSRIAKSSDARRLKDWITVLQEAQAAVSDGADCAPQVMALQAEALLRLQRHDEADAVLAGAGAPRFGVDESTKFFGTFGHAYVLIVRAQVDMAAGRFEDAVATAQTAFQLDPSNREVTIVQRRAKAAAAARLRGNDLFKAGKFAEACAAYGEGLDREPGNAVLLCNRAACHAKLGRHEKAVEDCSAALAARPSYSKARLRRADCNVKLERWEASLRDYQVLIQELPENEDVKKALSEVETKLKSQRNGGAPARPQH >KQL29302 pep chromosome:Setaria_italica_v2.0:I:12962135:12968801:-1 gene:SETIT_017143mg transcript:KQL29302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAALRCCFPGSAIGSSFVRPSSCRRGRHAAAVASSSREAEPAASLGHLTRVDFPILHQEFDGAKLVYFDNGATSQKPFSVMKTLDEYYRSYNSNVHRGIHALSAKATDAYEGARRKVANFVNAADSREIIFTRNATEAINLVAYSWGLSNIKQGDEILLTVAEHHSSIVPWQIVSQKTGATLKYVGLTKEEVPDIEQLKGLLSNKTKMVVVHHVSNVLGSMLPIEEIVTWSKRVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGVGFMHGTFEMLSSMEPFLGGGEMIADVFYEKSTYAEPPSRFEAGTPAIGEAIGFGAAIDYLSCIGMDQIHEYEKELAMYLYESLLSVPNVRIYGPAPSQTDHRAPLCSFNVENVHPTDIAGILDLQHNVAIRSGHHCAQILHRTLGIDASARASLHFYNTKEEVDIFIHALKDTIDLLTSQH >KQL29299 pep chromosome:Setaria_italica_v2.0:I:12961459:12968801:-1 gene:SETIT_017143mg transcript:KQL29299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAALRCCFPGSAIGSSFVRPSSCRRGRHAAAVASSSREAEPAASLGHLTRVDFPILHQEFDGAKLVYFDNGATSQKPFSVMKTLDEYYRSYNSNVHRGIHALSAKATDAYEGARRKVANFVNAADSREIIFTRNATEAINLVAYSWGLSNIKQGDEILLTVAEHHSSIVPWQIVSQKTGATLKYVGLTKEEVPDIEQLKGLLSNKTKMVVVHHVSNVLGSMLPIEEIVTWSKRVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGVGFMHGTFEMLSSMEPFLGGGEMIADVFYEKSTYAEPPSRFEAGTPAIGEAIGFGAAIDYLSCIGMDQIHEYEKELAMYLYESLLSVPNVRIYGPAPSQTDHRAPLCSFNVENVHPTDIAGILDLQHNVAIRSGHHCAQILHRTLGIDASARASLHFYNTKEEVDIFIHALKDTIDLLTSQH >KQL29300 pep chromosome:Setaria_italica_v2.0:I:12961290:12968801:-1 gene:SETIT_017143mg transcript:KQL29300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAALRCCFPGSAIGSSFVRPSSCRRGRHAAAVASSSREAEPAASLGHLTRVDFPILHQEFDGAKLVYFDNGATSQKPFSVMKTLDEYYRSYNSNVHRGIHALSAKATDAYEGARRKVANFVNAADSREIIFTRNATEAINLVAYSWGLSNIKQGDEILLTVAEHHSSIVPWQIVSQKTGATLKYVGLTKEEVPDIEQLKGLLSNKTKMVVVHHVSNVLGSMLPIEEIVTWSKRVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGVGFMHGTFEMLSSMEPFLGGGEMIADVFYEKSTYAEPPSRFEAGTPAIGEAIGFGAAIDYLSCIGMDQIHEYEKELAMYLYESLLSVPNVRIYGPAPSQTDHRAPLCSFNVENVHPTDIAGILDLQHNVAIRSGHHCAQILHRTLGIDASARASLHFYNTKEEVDIFIHALKDTIDLLTSQH >KQL29301 pep chromosome:Setaria_italica_v2.0:I:12962137:12968801:-1 gene:SETIT_017143mg transcript:KQL29301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAALRCCFPGSAIGSSFVRPSSCRRGRHAAAVASSSREAEPAASLGHLTRVDFPILHQEFDGAKLVYFDNGATSQKPFSVMKTLDEYYRSYNSNVHRGIHALSAKATDAYEGARRKVANFVNAADSREIIFTRNATEAINLVAYSWGLSNIKQGDEILLTVAEHHSSIVPWQIVSQKTGATLKYVGLTKEEVPDIEQLKGLLSNKTKMVVVHHVSNVLGSMLPIEEIVTWSKRVGAKVLVDACQSVPHMPVDVQKLGADFLVASSHKMCGPTGVGFMHGTFEMLSSMEPFLGGGEMIADVFYEKSTYAEPPSRFEAGTPAIGEAIGFGAAIDYLSCIGMDQIHEYEKELAMYLYESLLSVPNVRIYGPAPSQTDHRAPLCSFNVENVHPTDIAGILDLQHNVAIRSGHHCAQILHRTLGIDASARASLHFYNTKEEVDIFIHALKDTIDLLTSQH >KQL29355 pep chromosome:Setaria_italica_v2.0:I:14262186:14266300:1 gene:SETIT_017826mg transcript:KQL29355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTILTSSQGILTTLSQSNGKYKYDYATIPFLAELLKLSFSSFFLWKECHSSSPPRMTKEWRSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIVGNLKIVTTGILFRKLSNLQWMAIVLLAVGATTSQVKGCGDAPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNSDSLYWQNVQLYTFGVIFNMGWLIYGDFKTGFELGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVISIYLFSVKATIQLFLGIMVCIISLQMYFMPVHMLVELPQTLPVTSK >KQL29354 pep chromosome:Setaria_italica_v2.0:I:14262186:14265525:1 gene:SETIT_017826mg transcript:KQL29354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTILTSSQGILTTLSQSNGKYKYDYATIPFLAELLKLSFSSFFLWKECHSSSPPRMTKEWRSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIVGNLKIVTTGILFRKLSNLQWMAIVLLAVGATTSQVKGCGDAPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNSDSLYWQNVQLYTFGVIFNMGWLIYGDFKTGFELGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVRLHEFSFLLAWIMIVTLVDPLLENFGIM >KQL29356 pep chromosome:Setaria_italica_v2.0:I:14262309:14266114:1 gene:SETIT_017826mg transcript:KQL29356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTILTSSQGILTTLSQSNGKYKYDYATIPFLAELLKLSFSSFFLWKECHSSSPPRMTKEWRSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIVGNLKIVTTGILFRKLSNLQWMAIVLLAVGATTSQVKGCGDAPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNSDSLYWQNVQLYTFGVIFNMGWLIYGDFKTGFELGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVISIYLFSVKATIQVLAVFLVLLLD >KQL29616 pep chromosome:Setaria_italica_v2.0:I:22029209:22048505:1 gene:SETIT_016232mg transcript:KQL29616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCRRPSHLLALPLLLLPLLLAQAPSLEAAESTPGTRTRKIGGSGASSVFSLFNLKAKSKFWTESVIRTEFDDLESSASRDSSNKGMLNFTRAGNIASYMNLAEVDSIYLPIPVNFIFIGFDGKGGHEFKLGPEELERWFTKIDHIFEYTRIPPVGEVLTPFYKTTVKKLRQYDLPLVSNVNHNFSVHAIHMGEDVLSVFEHAIKVLSRREDLADSRENEEGTLQVDSAQMEHIFSTLVDHLQIQEAYNIFILNPKPISKSINYGYRKGFSEAEISLLRENKTLQARILQSKRDEKLFLDIEKGVNRKPLYESHPLSSFSWATTDSMDMGDWSKKCKEALNKFELLKEGKSKDDIVYDKAVQILHGTKDEMHDILENALKSSGLKGLHAECLTDIWIGRERFAFVDLSAGPFAWGPSVGGDGVRTELSLPNVAKTVGAVAEVTEEEAEEKLQDTIKERFSSFGEDYHAVDILLAEIDVYELFAFKHCVGRRVQLSLCKELDERMRDLKNELEGYNTGDSDEINKKKALDALKRMENWNLFRDTKEEHHSYTVAHDSFLAQLGAMLWGSMRHVIAPSVSHRAHHYYEKLSFQLYFVTQEKVRSIKQLPVNVKSITESLNSVLLRHQKSMFSQHMLSLSEEPALMMAFSMARRAAAVPLLLVNGTYKSTISTYLDSAILQHQLQRLSEHNSLKGRHSNHRSTLEVPIFWFIHSEPLLLDKHYQAKALSNMVLVVQSDDDSWESHLQCNGRSILWDLRKPVKAAIAATAEYISGLLPSHLVYSHAHETAVEDWTWSVGCSPLSITSHGWQLSEFQQDAIGRNYIITSVEESIQIVNSAIQRLVTERATEKGFKIFKAHESVMIEKYNAVVSLWRRVSAMSKGLKYGDVVKLMSMLEDASHGFSSAVNSTISSLHPVQCTRERKLDVQLDLTTLPAFLAVFLLLWFLLRPRRPKPKIN >KQL28681 pep chromosome:Setaria_italica_v2.0:I:7438180:7444525:1 gene:SETIT_016496mg transcript:KQL28681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQQQQQLPPPPQHQPPQGGGGGEFYRGPPMRQLSAASSTNLTPEYPAHPGPPQQQQHQPPYDAYGDNFGAKRMRKPVQRRTVDYTSSVVRYVQARMWQRDARDRFTLQPTPAAVLDMLPSVAYPDNPSTSYAAKFVHSSINKNRCSINRVLWTPTGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQPVRSMVWSHNENWMVTGDDGGAIKYWQSNMNNVKVNKTAHRESVRGLSFSRTDLKFCSCSDDRTVKVWDFARCQEEKSLTGHGWDVKTVDWHPTKSLLVSGGKDYLVKLWDAKSGRELNSFHGHKNIVHCVKWNQNGNWVLTASKDQIIKLYDIRSMKELESFRGHTKDVTALAWHPFHEEYFVSGSFDGAIFHWLVGHEAPQIEINNAHDNSVWDLAWHPVGYLLCSGGNDHATKFWCRNRPGDITRDRYNSGQTQGYVDQHPAFGARAMGGFQMPEPPTPGPFAPGLSRNEGTIPGIGVAMTLDGSDQGEQRPSIPGLPPGQPPLPPGPHPSQLLGQQQQYQQMPQQQHSQFRPPPPNMPQLQPPAHMLSHSQGSRPTLPQLPPMGGPSMPSPVNPPLPPMPHPTAMQGSQNQMMPQMPQHMMGLNQMHPGSVPPGNVPPMGGFPSAMGNIQGASGSSGIQNFPMGGIYNRPQGQMAPQGQMTSIPGLSSYQGMGNVGLTQPPPPPQHPPPRG >KQL30650 pep chromosome:Setaria_italica_v2.0:I:32396043:32398070:1 gene:SETIT_018618mg transcript:KQL30650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREGSRAAGGEGPPVAAAGPAPSSRLPPSPGIEALAAGDSSIDVTVQKALECPCLDDLKRGPCGSQFIDAFSCYLKSTKEEKGSDCVDPFIALQNCIRGNKEAFIKEILEEEENDEEAEKSNLKVLPPAWSREPKSKIRGPSK >KQL29240 pep chromosome:Setaria_italica_v2.0:I:11964266:11964694:1 gene:SETIT_019859mg transcript:KQL29240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQFKRAAAYEDSSILAKETTFSVNEVEALRELYKKISHSIFKDGLIHKEEFQLALFRNSNKKNLFADRVSS >KQL28333 pep chromosome:Setaria_italica_v2.0:I:5159216:5159839:1 gene:SETIT_020008mg transcript:KQL28333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVSCIDAPSVSGDVQQPARPPAPPSRVIAADGSLKELPGPQLPTVSDVLSSSGGASPSFFVCNSDALYFDERPPALAAAERLRPGQMYFVLPVAMLGRPLSSADMAALAARAIAALPAEEPRGRAGEKRKRKQDGEGGVDESVFHETLNEKTLGELAAATSQARGGDKVAAAATGKTRPSALKRALSMIREDAE >KQL28483 pep chromosome:Setaria_italica_v2.0:I:6167184:6169040:1 gene:SETIT_019179mg transcript:KQL28483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGTGMCVASDSYQLNLSGKEIVLKDSGNGSFAVVSEIDPKEAVKQLLMQDTYSRSECDELIKIIQERMVDSDPGVDAPEIVLPIAWHASTQQHPVAYSSSPNTSLLAASGIPDYTPVFDNTVEEEWLKRSSTTTKGLCTKNRDRSQPAMKRSYSSTGAAFEESRRVRLKRNDCSTSGKND >KQL32177 pep chromosome:Setaria_italica_v2.0:I:41651673:41654994:1 gene:SETIT_017763mg transcript:KQL32177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDVDSLSELRPIYGLIFLFKWIPGEKDERPVVRDPNPNLFFAHQVINNACATQAILSVLMNRPEIDIGPELSQLKEFTGAFTPDLKGLAIGNSESIRTAHNSFARPEPFISDEQRAATKDDDVYHFISYLPFEGVLYELDGLKEGPVNLGQCGGLDDLDWLQMVQPVIQERIERYSQSEIRFNLMAIIKNRKEVYSAELEDLEKRREQILQEMNKTSATESLNNSLTEVISAIETVSEKIIMEEEKFKKWKTENIRRKHNYIPFLFNLLKMLAEKQQLKPLVEKAKQQKSSSPSTR >KQL27946 pep chromosome:Setaria_italica_v2.0:I:1965785:1967281:-1 gene:SETIT_017824mg transcript:KQL27946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAGGHCFLLALLLLSSAAYGQLSENFYAATNCSNLDEIVKREVSRTLFTVPPPDGGRRMGASLLRLFFHDSFVQGCDASVLLDINTDVRKGRIILSSEKQASPNFNSLRGFDVIDRIKDEVERNCPGVVSCADILALAARAAVVALEGPTWPLLLGRRDSTTANMTEANNALPGPNSNLDELIGKFHNKSFNARELVALSGAHTIGQAQCQFADPKQQKARCPNGVVGKTASLDVHTPEVFDNRYYGNLTKDGLLHSDQVLTSRDDVKAFVEEYKSNQDTFFRDFASAMKRMSELGVLTGTNGQIRTDCSRVLN >KQL29062 pep chromosome:Setaria_italica_v2.0:I:10058242:10059950:1 gene:SETIT_016894mg transcript:KQL29062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHEVTGREPTFSFSTEDAGRPDAENAAAARFHLPVDSEHKAKSIRIFSMANPHMRTFHLSWMSFFTCVVSTFAAAPLIPIIRQNLNLTKSDIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFVMMLAAPPVFCMAVIDSAAGYITIRFLIGVSLATFVSCQYWTSTMFNIKIIGTVNALASGWGDMGGGATQLIMPFVYEGILKCGVSPFEAWRIAYFVPGLMHVVMGILVLTTGQDLPDGNLRNLQKQGDANKDNFSKVFMHAVTNYRTWVFVFIYGYSMGVQLTTTNIIAEYYYDQFDLNIRVAGIIAACFGMANLVSRPLGGVLSDLGARYWGMRARLWNIWILQTAGGAFCLWLGSAKALPASITAMVLFSFCAQAACGATFGVIPFVSRRSLGIISGMTGAGGNVGAGVTQLIFFTLSNYSTGKGIQNMGIMAMVCTLPLVLIHFPQWGSMLLPPSADADEERYYASEWSEEEKSLGRHSASLKFAENCRSERGRRNAVLAAAATPPGDTPEHV >KQL29686 pep chromosome:Setaria_italica_v2.0:I:23168593:23169374:1 gene:SETIT_019551mg transcript:KQL29686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRVFLLAAALLAVTCFHATASDPSLLQDFCVVDKMSKVRVNGLPCKDANDVVAEDFFFSGLHMAGNRTNKQGSAVTPVNVAQIAGLNTMGISLARIDYAPYGLNPPHTHPRGTEILTVLEGSLYVGFVTSNPDNKLFTKVINKGDVFVFPQGLIHFQFNYGTKNAVALAALSSQNPGVITVANAVFGSKPSIRVDILAKAFQVDKMTVDRMQAQF >KQL30475 pep chromosome:Setaria_italica_v2.0:I:30771283:30777582:1 gene:SETIT_017005mg transcript:KQL30475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDLDGATRGGWVPLVQQPSDAAVRSPPPRGTAAAASQQAGRQRHRILTPALPPRVPTRRGGRLAGPVPASEIPEETDAGSVGVGEGKKKKRMDAVPCRAHCTHKRNPERGAVRWLAPSEVASMPAKWSSAYATWLALRAIRPRAPRFLSLSSLETARLCHLQERKAGLGGAAFHQKRKRGRSPGWPRSRCRDNKRCPAHFAPPATRLPCSAALLCSARDIYTRGRTSPASNNKGWVEEEEGEELLGWTERAESQRERRAEREGEELMGEEASCNTVSVMEAATNAKPQPQQPKENGRAAAAAVAAEATAPVAEAAADPRLQGISDAIRVVPHFPKEGIMFNDITTLLLRPGVFKDAVDLFVERYRGMGIDAVAGIEARGFIFGPAIALAIGAKFIPLRKPRKLPGEVISEKYVLEYGTDCLEMHVGAIEPAEHVIIVDDLVATGGTLCAAIRLLERAGAEVVECACLIGLPKFKNFYKLNGKPVYVLVESRESDK >KQL30474 pep chromosome:Setaria_italica_v2.0:I:30771283:30777689:1 gene:SETIT_017005mg transcript:KQL30474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDLDGATRGGWVPLVQQPSDAAVRSPPPRGTAAAASQQAGRQRHRILTPALPPRVPTRRGGRLAGPVPASEIPEETDAGSVGVGEGKKKKRMDAVPCRAHCTHKRNPERGAVRWLAPSEVASMPAKWSSAYATWLALRAIRPRAPRFLSLSSLETARLCHLQERKAGLGGAAFHQKRKRGRSPGWPRSRCRDNKRCPAHFAPPATRLPCSAALLCSARDIYTRGRTSPASNNKGWVEEEEGEELLGWTERAESQRERRAEREGEELMGEEASCNTVSVMEAATNAKPQPQQPKENGRAAAAAVAAEATAPVAEAAADPRLQGISDAIRVVPHFPKEGIMFNDITTLLLRPGVFKDAVDLFVERYRGMGIDAVAGIEARGFIFGPAIALAIGAKFIPLRKPRKLPGEVISEKYVLEYGTDCLEMHVGAIEPAEHVIIVDDLVATGGTLCAAIRLLERAGAEVVECACLIGLPKFKNFYKLNGKPVYVLVESRESDK >KQL28248 pep chromosome:Setaria_italica_v2.0:I:4572459:4573987:-1 gene:SETIT_020011mg transcript:KQL28248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVVSAVVADAVGRAITFLAGRLRDRRGVEGKLLRLRHLVVKLESAAEAADARRIASRALLEWLSDLADGVHRGRYFLDVFGGGEAIEEADEDEGDGHGDGGLRRGLFRPSSFNPAKRLRVAARRMLFRGGGGGAGELDGVLASVEGVSCDLAEFIMLLQCCPPALHRPLATNIYVDCQMFGRHLERRRVIDFLLQDDDGGDGGGDLGTTLVQHVCDEPAVRRRFSLILMLDFHCMSLTVASETVQLLRSLFTVAGTSSASLSGAGAGELLGLLELKLRGERFLTVFDNVDTRRRRAIDAIMPVLRRGRRGSRVLVTGNGKHIVDLGTTEPVVVRPLPPAEYWFFFKAHALGGADDAEADPRLAAVGQSIAERLHGSFFGAKVVGALLRSRCPDHRLWRRVLAASHAEPSWLGNGGYVAAAAGSLLPPHVTVRGVAVSGSPVRGLVGLQDASLTTPVRDTGSDRSELPVLLCKSIFPSYCLNYTAYCTIEREDKQ >KQL28853 pep chromosome:Setaria_italica_v2.0:I:8493281:8495752:-1 gene:SETIT_018014mg transcript:KQL28853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWALFIMQLASHGTRSEETMQFVPHCDHEEVSDLQPISSQLNTVEGSTEHLASCEIKPVSIDDDNENIDANEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQVPDLDPSHVTELKVLGLY >KQL28855 pep chromosome:Setaria_italica_v2.0:I:8491619:8496959:-1 gene:SETIT_018014mg transcript:KQL28855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWALFIMQLASHGTRSEETMQFVPHCDHEEVSDLQPISSQLNTVEGSTEHLASCEIKPVSIDDDNENIDANEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKEYIVEDLEGADQVPDLDPSHVTELKINIDDESGKAYWKQILG >KQL28854 pep chromosome:Setaria_italica_v2.0:I:8493442:8495752:-1 gene:SETIT_018014mg transcript:KQL28854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWALFIMQLASHGTRSEETMQFVPHCDHEEVSDLQPISSQLNTVEGSTEHLASCEIKPVSIDDDNENIDANEETHLVIQDVPQCRICLDNEGDDLIAPCRCKGTQKYVHRSCLDNWRSTKEGFAFSHCTECRAAFLLRANVPPDRWWLRLKFQLLVVRDHTLIFFIVQLVVAFLGMVVYRFYGDELREMFGYEEHPYAFYAMAILAIVLVGLLYGFFIAIICGQRITERHYHVLAKQELTKVCERKRKLQQVGLIYLSPYGLL >KQL28491 pep chromosome:Setaria_italica_v2.0:I:6225746:6228940:1 gene:SETIT_018812mg transcript:KQL28491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTAISSPPRPGRHPDLIPPGTDPGKAMTRGGAGGAARWRHGTSPMATTATVTATTTASRLTSTLSVPFLPMIQSYSWIMTWSKLKIRRVVQGATATA >KQL28490 pep chromosome:Setaria_italica_v2.0:I:6225746:6228006:1 gene:SETIT_018812mg transcript:KQL28490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTAISSPPRPGRHPDLIPPGTDPGKAMTRGGAGGAARWRHGTSPMATTATVTATTTASRLTSTLSVPFLPGFAGLPPASN >KQL28924 pep chromosome:Setaria_italica_v2.0:I:8900046:8900558:1 gene:SETIT_020419mg transcript:KQL28924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVWVILWRTCKLFLVCARVCVGVSYVLGLGPNWTHCTLFSILMKWHAAPLLVKKNRWERKFPIGPACLLWLW >KQL30862 pep chromosome:Setaria_italica_v2.0:I:33826548:33833410:-1 gene:SETIT_016275mg transcript:KQL30862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWWGKSSSKEVKKTAKENLIDTFQRLISSNEQKGSRKSRGSRRHGKDTAGDKGCWSTAQSRSTSPSKEVSRCQSFAVDRPHAQPLPLPGSRARVTRTISDITDSKSTLEKRGKGHLLPLPRPQKRPEATEPVAEEATASVSSNCSIDSDDPGDSRLQSPVGNETENATRITATNSSSVVHRELSSAITRKSTKDVAKPNNTFLSNQILSTSPRGTVADSYQSNLQGPRQITLESAPNSLMSSPTRSPRIICPDQIPTSAFWAVKPHADVTFLGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRAGGVAPESPTSRHAEGKKKQTHRLPLPPLSISNSSTFLPNNSTPTSPISRSPGRTENPPSPGSRWKKGKLIGRGTFGHVYVGFNSDKGEMCAMKEVTLFSDDPKSKESAKQLCQEISLLSRLQHPNIVRYYGSETVNDKLYIYLEYVSGGSIHKLLQEYGQFGEQAIRSYTKQILLGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHINGQQCPFSFKGSPYWMAPEVIKNSSGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAMFKIGNSKELPPIPDHLSEEGKDFIRQCLQRDPSSRPTAVDLLQHPFVRNAPPLEKSAASDPLEQLTVMSCKTNSKVVGHARNMSSLGLEGQSIFQRRAAKFSLANSDIHIRSNISCPVSPCGSPLLRSRSPQHQNGRMSPSPISSPRTTSGASTPLTGGSGAIPLNHVRQPAYRNEGFTVTSRGLDDHLPSRPADPVHGRFVRVQHSAGIQERVVSEADILSSQFGKMIHANVWDSHDRPLPSERSSQQSFGDYVKLKPSLDLRSGPPGRNHGH >KQL30771 pep chromosome:Setaria_italica_v2.0:I:33243870:33246424:1 gene:SETIT_018355mg transcript:KQL30771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRNSAAATPVLLNVYDLTAANDYLYWLGFGVFHSGIEVHGTEYGFGAHDFPSSGVFEVESKTCPGFVYRRTVWLGTTDMSQEEFRTFIEKLAGKYHGNTYHLISKNCNHFTDDVCQNLTGKPIPSWVNRLARVGSVFDCLLPESVQVSPVGRVPTLRQNSDDELHSIHSPIIEDSDNDEDEAKHLLPTPANDMHSVDVPPKLAKDLL >KQL31261 pep chromosome:Setaria_italica_v2.0:I:36575950:36577647:-1 gene:SETIT_019237mg transcript:KQL31261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNRQPAAVLFKLPLFHTYKPRWSTHHCASVACGGLEGLAPYGWGRAFPPEQSAQGFRLQSTAACRRGSDGRLTVVPDQGGAVLHAADPEELYRLWVDPPAFCRPGPSPVIIDGPDGTPYAGGTFPIDIRFTADHPIEPPNIAFKTKMVLDIFREKWSPALTIEKLLLSIVSVLHDPMLDHPINGHISDIKLYERKARAWTRRYASTPVASYYLEEKGDENWEDYCDAIAAHNAELEKKERRREADRLRAAAAAASAEARRRKVASPREKGVSLLWRRTVAFLQGRRPVASPSTVKAV >KQL28755 pep chromosome:Setaria_italica_v2.0:I:7916851:7922031:-1 gene:SETIT_017556mg transcript:KQL28755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDEDPDWLTAFQAPSTAPVMLSSGSDASPEASPTRTTSSREQGNGEKQASSDHAGDREDPAQTKRKTLTFARRKTLSSKKEGPTTDEKRDVTTRRSTPKKDVFTLSSGSDASPGNSPSRAGDANHEEDSHSTPRRKGVQETKHKKPKVVGAKSDPDQIGDALEHQEGVAEDEMQDKLTGNSVSQRLPLMFPDKVQRSKALIECDGDSIDLSGDIGAVGRIVVSNGPTGSQDLLLDLKGTIYKTTIVPSRTFCVVGVGQSEAKIEAIMNDFIQLEPPSNLFESETMMEGTLDGFTFDSDEEGDKLPESQAYQNDPNNEDDGQPKAKTKRKAEKQVGKAPKKAKVAGKAPKKGARKTQPAKRTRKAKK >KQL28756 pep chromosome:Setaria_italica_v2.0:I:7916529:7922031:-1 gene:SETIT_017556mg transcript:KQL28756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEDEDPDWLTAFQAPSTAPVMLSSGSDASPEASPTRTTSSREQGNGEKQASSDHAGDREDPAQTKRKTLTFARRKTLSSKKEGPTTDEKRDVTTRRSTPKKDVFTLSSGSDASPGNSPSRAGDANHEEDSHSTPRRKGVQETKHKKPKVVGAKSDPDQIDALEHQEGVAEDEMQDKLTGNSVSQRLPLMFPDKVQRSKALIECDGDSIDLSGDIGAVGRIVVSNGPTGSQDLLLDLKGTIYKTTIVPSRTFCVVGVGQSEAKIEAIMNDFIQLEPPSNLFESETMMEGTLDGFTFDSDEEGDKLPESQAYQNDPNNEDDGQPKAKTKRKAEKQVGKAPKKAKVAGKAPKKGARKTQPAKRTRKAKK >KQL29906 pep chromosome:Setaria_italica_v2.0:I:26214747:26216279:1 gene:SETIT_018810mg transcript:KQL29906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKELSVPLKGWNAILRRNGVFQMCDVGKFRGHAYLPRINKVERSGEICFCTAGSSAMLVSLHHGRVAQLPSMFCSSAPGFIRAGVLQMSLSGGTSVME >KQL31702 pep chromosome:Setaria_italica_v2.0:I:39281365:39284961:-1 gene:SETIT_018319mg transcript:KQL31702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVPARQRSQDAEQNDKAAGVLGIEPWNHPTTKLPFPEAGAGDDALVPPVNFAMVDDGIFRSGLPDAANFSFLLSLNLRSIVYLCPEPYPEENARFLEQNGIELHQFGIEGSKSGLTMPETLLLGEPLVYIPEETIREALKVILDVRNQPVLIHCKRGKHRTGCVVGCLRKLQKWCLSSVFDEYLHFAAAKARSTDQRFMELFDASSLMHLTASQ >KQL30721 pep chromosome:Setaria_italica_v2.0:I:32878219:32880907:-1 gene:SETIT_016578mg transcript:KQL30721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHHGGAGSPYTAPPTAGTAPFPPTPTGLVSATAIPPPPMQLQPAGTTPSANFEELPAGGSGAGAVANLQEEDVPADVVGGSGVGASGSGGHRWPREETLALIRIRTEMDADFRNAPLKAPLWEDVARKLAGLGYHRSAKKCKEKFENVDKYYRRTKDARAGRQDGKSYRFFSQLEALHAAAQRQQQATGMATVQADHQPLRTMAAWTATPATQQLGPPGGSALPDLSFSSMSGSESDSESDDDLDDGVLEAGLGKGESGGDDREMMAIFEGMMKQVTEKQDAMQRVFLETLERWEAERTAREEAWRRQEVARMNHEREQLARERAAAASRDAALIAFLQRIGGGQQQGEPVRLPPPIAVAMPVPAPMPDRTPPSPRHDASLQPVPAPPKPEEARAWAGGEGSGSSLPSRWPKEEVQALIQLRTEKDEQYHDVVAKGPLWEDIAAGMRRIGYHRSAKRCKEKWENINKYYKKVKESNKRRPEDSKTCPYFHQLDAMYRKKRFAGGGISTAPGAYTAAVAVTVLENPNLNPRELEGKSSHDAGKSKNDGQGNVQGPPGNNGETAPATTVPDDGDKNKDSELTLHRGQRTT >KQL30720 pep chromosome:Setaria_italica_v2.0:I:32877622:32880945:-1 gene:SETIT_016578mg transcript:KQL30720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHHGGAGSPYTAPPTAGTAPFPPTPTGLVSATAIPPPPMQLQPAGTTPSANFEELPAGGSGAGAVANLQEEDVPADVVGGSGVGASGSGGHRWPREETLALIRIRTEMDADFRNAPLKAPLWEDVARKLAGLGYHRSAKKCKEKFENVDKYYRRTKDARAGRQDGKSYRFFSQLEALHAAAQRQQQATGMATVQADHQPLRTMAAWTATPATQQLGPPGGSALPDLSFSSMSGSESDSESDDDLDDGVLEAGLGKGESGGDDREMMAIFEGMMKQVTEKQDAMQRVFLETLERWEAERTAREEAWRRQEVARMNHEREQLARERAAAASRDAALIAFLQRIGGGQQQGEPVRLPPPIAVAMPVPAPMPDRTPPSPRHDASLQPVPAPPKPEEARAWAGGEGSGSSLPSRWPKEEVQALIQLRTEKDEQYHDVVAKGPLWEDIAAGMRRIGYHRSAKRCKEKWENINKYYKKVKESNKRRPEDSKTCPYFHQLDAMYRKKRFAGGGISTAPGAYTAAVAVTVLENPNLNPRELEGKSSHDAGKSKNDGQGNVQGPPGNNGETAPATTVPDDGDKNKRAEDNMKETDVQLLQQQFGADETESDDNDMGGDYTEEGGNDEDKTKYKTSFQKPNVIGSSGDATAPPATAAATANSAGPTSSTFLAVQ >KQL30528 pep chromosome:Setaria_italica_v2.0:I:31475884:31476472:-1 gene:SETIT_019149mg transcript:KQL30528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSRANDGVLVAAAAVHMSRSTSFAVDDSTKEPRYYAAIASVSCLDAARDLAGRATTLDPAFNLTLRIASRRRAYGAALDVSYRGLPLAGPPAPHLCAGRMEAAVTATPVVAWGAAVRVPGFVMGSLAGEMARVDAAFDVTLTVPSVHDDSRQGELVRCMARRVGDVVGALRAP >KQL30013 pep chromosome:Setaria_italica_v2.0:I:27474875:27477996:-1 gene:SETIT_019729mg transcript:KQL30013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLRLPFAFLAALLLLLLSSSTARAEEEAVLTLDAGNFSEVVAEHQFVVVEFYAPWCGHCKQLAPEYEKAASILSKHDPPIVLAKVDANKKNKDLSGKYDVQGFPTIMILRNQGDSVREYNGPRDADGIVEYLKKQVGPASVEIKSVEDATKLIGDKGVAIVGVFPAFGGSEYENFMAVAEKMRNDYDFLHTLDASILPQGDKAVKGPVVRLFKPFDDLFADSQDFDKDALQKFIEVSGFPTVVNFDTNPTNHKYLLKYFENDGTKAMLFLSFDDDRIDAFKSQFYEAAKQYGVKNISFLIGDVTDAQGAFQYFGLKESEVPLFFIQESTAKFIKPTVEPDQILPWLKDYTDGTLLPHVKSDPIPVVNDQPVKVVVADNLNDVVFNSGKNVLLEIYAPWCGHCQKLAPILDEVAISLQNDEDVIIAKMDATTNDIPPDFAVEGYPTMYFYSSAGNLLSYERGRTAEEIIDFIKKNKGSKPGEAAVEDDAAENDAMKEQEPSSESVKDEL >KQL30808 pep chromosome:Setaria_italica_v2.0:I:33479416:33480833:-1 gene:SETIT_019361mg transcript:KQL30808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYFHAVISCPHARALRQELRKHVALPREEDITYMGPKWLLLLLARYDIDTTSNFLMLIWRCWSARNAVLQAGEQISIAGSVVFLTKYLNALSQIRPQQPSDDGRGKQKKSPKKWSPPSGETLKINVDGAFIIETGAAAVGVVIRDCTGKPLLMTWRWLRHCRDAKEAEALACLEGIRMVAWWADRDTVLEADCSTVIDKLRKGGMDRSQVAPVIMDAMCEAEQMETWSWDPLTPAVASEPLSLAAKSP >KQL30891 pep chromosome:Setaria_italica_v2.0:I:34126874:34129066:1 gene:SETIT_017299mg transcript:KQL30891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGMGNNGASSSSSRLDPAPLLPHHGVASAEIGLSSQPKTFANVFIAVVGAGVLGLPYTFSHTGWAAGSLLLSAVAGLTFYCMMLLVACRRRLADEHPKIASFGDLGDAVFGAHGRFAVDVMLVLSQVSFCVGYLIFISNTLAHLYPIFAPSSSALLSPKALFIWAMLPFQLGLNSIKTLTLLAPLSIFADVVDLGAMGVVLGQDVAAWLAKPPPVVAFGGPGALLYGLGVSVYAFEGIGMVLPLEAEAANKKKFGVTLGLSMAFIGVMYGLFGVMGYVAFGDATRDIITTNLGAGWLSAAVQLGLCINLFFTMPVMMNPVYEVAERLLHGKRYCWWLRWLLVAVVGLSAMYVPNFTDFLALVGSSVCVLLGFVLPASFHLKVFGAEMAWPGVLSDVLLVVLGLALAVFGTYTSLLQIFHSSSV >KQL31204 pep chromosome:Setaria_italica_v2.0:I:36250157:36251919:-1 gene:SETIT_017788mg transcript:KQL31204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMDPGGGGSSRYFHQLLRPQQPSPLSPNSHVKMEHHKMSPDKSPAGEGAEAGGSGSGGGAGSDQPSSSAMVPAEGGSGGSGSGGPTRRPRGRPPGSKNKPKPPIIVTRDSPNALHSHVLEVAAGADVVDCVAEYARRRGRGVCVLSGGGAVVNVALRQPGASPPGSMVATLRGRFEILSLTGTVLPPPAPPGVSGLTVFLSGGQGQVIGGSVVGPLVAAGPVVLMAASFANAVYERLPLEGEEEETAAAAGGAEPQDQVAQSAGPQGQQPTASQSSGVTGGDAGGGGMSLYNLAGNVGAYQLPGDNFGGWSGGGGGGVRPQF >KQL29511 pep chromosome:Setaria_italica_v2.0:I:18875077:18875976:-1 gene:SETIT_020593mg transcript:KQL29511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFESGYKGGGVQRLQIDPEYIYLTRGATFVVIINNLLSPKNLCQLYIHPFKFLCSSGDGSASSLACCC >KQL31257 pep chromosome:Setaria_italica_v2.0:I:36549285:36554326:-1 gene:SETIT_016384mg transcript:KQL31257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACDDDHASLLRSHAPSPPCPSPRGAGPQQHHHADVEADEATVTASPRRASGGGGVRGLLRHLERRMSARGSGAGRRQHQHGYQQLDCSVVVEQPSQRQRERAEAGEDDELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQLQLVKSGQRQGINFLGAIFPTVKAVQAAVTLGTGCSLGPEGPSVDIGKSCANACSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVVSSTVSNVLLGARPAFIVPAYELKSAAELPLYLILGMLCGVVSVVFDRLVAWFSRLFGRIKEKFNFPIIVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDSEPSGFRTPRRGYSSISSEDRNGSSKRADGADDLELSIIQSDVNNYGTYNEEMLLDDLKVSQAMSRIYVKVLPSATVTEAFQLLHDNQQNCALVVDTEDFLEGIITLGDIRRMGFELHGESFIDGDCPKTDNSPSISSCVTRGFQYRGSERGLLTCFPDTDLTTAKNLMEAKGIKQLPVVKRGVGHRTAGKRKPIALLHYDSIGCCLREEVENWKTICQRMAC >KQL31258 pep chromosome:Setaria_italica_v2.0:I:36549896:36554326:-1 gene:SETIT_016384mg transcript:KQL31258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACDDDHASLLRSHAPSPPCPSPRGAGPQQHHHADVEADEATVTASPRRASGGGGVRGLLRHLERRMSARGSGAGRRQHQHGYQQLDCSVVVEQPSQRQRERAEAGEDDELGDGAPPEWALLLIGCLLGLATGICVAAFNRGVHVIHEWAWAGTPNEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQLQLVKSGQRQGINFLGAIFPTVKAVQAAVTLGTGCSLGPEGPSVDIGKSCANACSEMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLKAENSPPFTTAMIILASVVSSTVSNVLLGARPAFIVPAYELKSAAELPLYLILGMLCGVVSVVFDRLVAWFSRLFGRIKEKFNFPIIVYPALGGLGAGLIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAYLINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQPNDSEPSGFRTPRRGYSSISSEDRNGSSKRADGADDLELSIIQSDVNNYGTYNEEMLLDDLKVSQAMSRIYVKVLPSATVTEAFQLLHDNQQNCALVVDTEDFLEGIITLGDIRRMGFELHGESFIDGDCPKTDNSPSISSCVTRGFQYRGSERGLLTCFPDTDLTTAKNLMEAKGIKQLPVVKRGVGHRTAGKRKPIALLHYDSIGCCLREEVENWKTICQRMAC >KQL31584 pep chromosome:Setaria_italica_v2.0:I:38561752:38564533:1 gene:SETIT_017784mg transcript:KQL31584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECEDAEEYRCWEELLPDALGLIFRNLPLQEVLTVVPRVCKSWGRVVAGPYCWQEIDIEEWSQQQSKPEQIGRMVEMLVGRSGGSCRRISVSGLPCDPLLSFIGDRARALRALEIPRSEISDSIVETVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLVGLRRVMHPIDLVDKECQHDEAHAIARSMPKLRHLEMGYMLIRTEAVAEILGQCRDLKFLDLRGCWAVDDKLLRERHPGLRVLGPRVEDCYENTYWEECSDYSDDDSSIYSWEFMDDVDGYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESLAGFDWPPSP >KQL31583 pep chromosome:Setaria_italica_v2.0:I:38562318:38564533:1 gene:SETIT_017784mg transcript:KQL31583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECEDAEEYRCWEELLPDALGLIFRNLPLQEVLTVVPRVCKSWGRVVAGPYCWQEIDIEEWSQQQSKPEQIGRMVEMLVGRSGGSCRRISVSGLPCDPLLSFIGDRARALRALEIPRSEISDSIVETVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLVGLRRVMHPIDLVDKECQHDEAHAIARSMPKLRHLEMGYMLIRTEAVAEILGQCRDLKFLDLRGCWAVDDKLLRERHPGLRVLGPRVEDCYENTYWEECSDYSDDDSSIYSWEFMDDVDGYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESLAGFDWPPSP >KQL31582 pep chromosome:Setaria_italica_v2.0:I:38561371:38564533:1 gene:SETIT_017784mg transcript:KQL31582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECEDAEEYRCWEELLPDALGLIFRNLPLQEVLTVVPRVCKSWGRVVAGPYCWQEIDIEEWSQQQSKPEQIGRMVEMLVGRSGGSCRRISVSGLPCDPLLSFIGDRARALRALEIPRSEISDSIVETVAPRLSNVTFLDISSCTKIGARALEAFGKHCKSLVGLRRVMHPIDLVDKECQHDEAHAIARSMPKLRHLEMGYMLIRTEAVAEILGQCRDLKFLDLRGCWAVDDKLLRERHPGLRVLGPRVEDCYENTYWEECSDYSDDDSSIYSWEFMDDVDGYYAVGSDDEAIWDDGQGLENLEVRFYGGGFSESLAGFDWPPSP >KQL28790 pep chromosome:Setaria_italica_v2.0:I:8118552:8121049:-1 gene:SETIT_017520mg transcript:KQL28790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFGMDWSQKGSVLWDWENLPPIGANGNLVPQAEAKFAGVEITRHGSVHSSCGTFSSSSEMGYGSSKSSISASIDSSPKAGNNMELNFAPARVPDKYIRKNTDLRTSPSSVIAVSSGEPVLSLKLGKRTYFEDACGGQSVKSSPSDSSIVTPPAAPVKKAKAAQNVQNSYCQVEGCKIDLSSAKDYHRKHKVCEAHSKAPKVVVAGLERRFCQQCSRFHGLDVFDQKKRSCRRRLNDHNARRRKPQPEAISFGSSRLPAMFYDPRQQTSLLFGQAPYGQIRSRASSSWDNPGGAFKFAETKASWLKPAGATGLDALHLSSQQVWNNIMPHGAHQDFAGFMAFKGTSAKVLNQGSFSSLL >KQL28791 pep chromosome:Setaria_italica_v2.0:I:8118552:8120468:-1 gene:SETIT_017520mg transcript:KQL28791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFGMDWSQKGSVLWDWENLPPIGANGNLVPQAEAKFAGVEITRHGSVHSSCGTFSSSSEMGYGSSKSSISASIDSSPKAGNNMELNFAPARVPDKYIRKNTDLRTSPSSVIAVSSGEPVLSLKLGKRTYFEDACGGQSVKSSPSDSSIVTPPAAPVKKAKAAQNVQNSYCQVEGCKIDLSSAKDYHRKHKVCEAHSKAPKVVVAGLERRFCQQCSRFHGLDVFDQKKRSCRRRLNDHNARRRKPQPEAISFGSSRLPAMFYGTDPRQQTSLLFGQAPYGQIRSRASSSWDNPGGAFKFAETKASWLKPAGATGLDALHLSSQQVWNNIMPHGAHQDFAGFMAFKGTSAKVLNQGSFSSLL >KQL28792 pep chromosome:Setaria_italica_v2.0:I:8118552:8121049:-1 gene:SETIT_017520mg transcript:KQL28792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDDPSTRRTAGIFGSGMGSFGMDWSQKGSVLWDWENLPPIGANGNLVPQAEAKFAGVEITRHGSVHSSCGTFSSSSEMGYGSSKSSISASIDSSPKAGNNMELNFAPARVPDKYIRKNTDLRTSPSSVIAVSSGEPVLSLKLGKRTYFEDACGGQSVKSSPSDSSIVTPPAAPVKKAKAAQNVQNSYCQVEGCKIDLSSAKDYHRKHKVCEAHSKAPKVVVAGLERRFCQQCSRFHGLDVFDQKKRSCRRRLNDHNARRRKPQPEAISFGSSRLPAMFYGTDPRQQTSLLFGQAPYGQIRSRASSSWDNPGGAFKFAETKASWLKPAGATGLDALHLSSQQVWNNIMPHGAHQDFAGFMAFKGTSAKVLNQGSFSSLL >KQL30162 pep chromosome:Setaria_italica_v2.0:I:28517279:28518255:1 gene:SETIT_018229mg transcript:KQL30162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRNWTSSVSWRSSLASSSAAALGVRCTLQSTLTPLAPVASGRLLPNSSMSQALLPPSGDAVLEGLAVPVAADGDPLPRAVPRAAALDGAAHPGAGVAILPPGEADPHPDLERQRDQARAKEQSEILRLKREAKALMKRTAAEEPLPSAGKKRKKVITTPVTKELIEFMIAKPHKPLDGFPEEKLATFSQEIREFYAKRKAIADKVLEYEQALIKQFEKRDYAEDYTEVEVTDNEDN >KQL31412 pep chromosome:Setaria_italica_v2.0:I:37342681:37348401:1 gene:SETIT_016702mg transcript:KQL31412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADGGGEEGNASALKGSARRRGAVQAGLDADDLLTLMHGSDPVKVELNRLENEVRDKDRELGEAHAEIKALRLSERAREKAVEELTAELEKVDEKLKLTESLLETKNLELKKTNDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEIAKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDMQNKNQELMKQIEICQEENKILDRLHRQKVAEVEKLSQTVRELEEAVLQGGAAANAVRDYQRKFQEMNEEKKILDRELARAKVTANRVAVVVANEWKDANDKVMPVKQWLEERRFMQGEMQQLRDKLAIAERTARSEAQLKEKYQLRLKVLEDGLRGPPSGSSRPPTEGKSISNGPPRRLSLGGADSMSKASPNGVLMRRSPSFNSRSSLTTSSSLVLKHAKGTSRSFDGGTRSLDRGKVLGNGPHSLNRSTDAVKDRETDDNWKADAEEKNNETTKNDSSDMVSSVFYDMLQKEVVSLRKACHEKDQSLKDKDDAIEMLAKKVDTLNKAMEVEAKKMRREIAAMEKEFAAVRLEKEQDNKAKRLGNLKGPGTSSHTASGRTAPRGGLARNLQ >KQL32172 pep chromosome:Setaria_italica_v2.0:I:41637313:41640660:1 gene:SETIT_017869mg transcript:KQL32172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDQSGTEQTSPRGNDWEVVQLTASAYAAAPAPRRSEPSEEAEAKKYGTKGDDSAAALLMSGHFSVSQSEVESLLIGADIKGPHKELCSQDAVSNEGDEEKYQETCKHKLEGDLPSIPSLDKGKNVSLGDMEFDDGKALQGMSLVGEESVGFSSPIYSSIEAEKDLGQSATESRNEKKTEESTLHIVNPKTGSSKVVSSGEQNKPDGSGPRDAWWKKKILSLYKNAKESNNFWPIVAAAAAAAAGLVGLAYFGHRWHKGKLQLQLGKQPPSCNKEKINDAVGPLNRIKDILVAGNHPSPGIHGHARASGM >KQL32173 pep chromosome:Setaria_italica_v2.0:I:41637313:41640660:1 gene:SETIT_017869mg transcript:KQL32173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDQSGTEQTSPRGNDWEVVQLTASAYAAAPAPRRSEPSEEAEAKKYGTKGDDSAAALLMSGHFSVSQSEVESLLIGADIKGPHKELCSQDAVSNEGDEEKYQETCKHKLEGDLPSIPSLDKGKNVSLGDMEFDDGKALQGMSLVGEESVGFSSPIYSSIEAEKDLGQSATESRNEKKTEESTLHIVNPKTGSSKVVSSGEQNKPDGSGPRDAWWKKKILSLYKNAKESNNFWPIVAAAAAAAAGLVGLAYFGHRWHKGKLQLQLGKQPPSCNKEAAEC >KQL32171 pep chromosome:Setaria_italica_v2.0:I:41638428:41639899:1 gene:SETIT_017869mg transcript:KQL32171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDQSGTEQTSPRGNDWEVVQLTASAYAAAPAPRRSEPSEEAEAKKYGTKGDDSAAALLMSGHFSVSQSEVESLLIGADIKGPHKELCSQDAVSNEGDEEKYQETCKHKLEGDLPSIPSLDKGKNVSLGDMEFDDGKALQGMSLVGEESVGFSSPIYSSIEAEKDLGQSATESRNEKKTEESTLHIVNPKTGSSKVVSSGEQNKPDGSGPRDAWWKKKILSLYKNAKESNNFWPIVAAAAAAAAGLVGLAYFGHRWHKGKLQLQLGKQPPSCNKEAAEC >KQL27974 pep chromosome:Setaria_italica_v2.0:I:2188876:2193253:1 gene:SETIT_017933mg transcript:KQL27974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSVEELASNLSTYKDQLREVKKLIKEKKDDPGISEYLDMEKELQEVIMLTEELLATAKQAEGAQNVAGLSPPNYSAGMQSEGLGDLSHSHKFAVGTRVQAVWSEDGEWYNATVEALTPNGYYVAYDGWGNREEVDPDNVRLLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQARSLPAKLRIEPSDPEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTDFQRREKHLHLKGGSADALDDEE >KQL27973 pep chromosome:Setaria_italica_v2.0:I:2188876:2193253:1 gene:SETIT_017933mg transcript:KQL27973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSVEELASNLSTYKDQLREVKKLIKEKKDDPGISEYLDMEKELQEVIMLTEELLATAKQAEGAQNVAGLSPPNYSAGMQSEGLGDLSHSHKFAVGTRVQAVWSEDGEWYNATVEALTPNGYYVAYDGWGNREEVDPDNVRLLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQARSLPAKLRIEPSDPEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGSSPVARRRASSSHPMTTEVRWVSLGVAKA >KQL27975 pep chromosome:Setaria_italica_v2.0:I:2191449:2192871:1 gene:SETIT_017933mg transcript:KQL27975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCRYNATVEALTPNGYYVAYDGWGNREEVDPDNVRLLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQARSLPAKLRIEPSDPEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTDFQRREKHLHLKGGSADALDDEE >KQL27976 pep chromosome:Setaria_italica_v2.0:I:2190723:2193253:1 gene:SETIT_017933mg transcript:KQL27976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTEELLATAKQAEGAQNVAGLSPPNYSAGMQSEGLGDLSHSHKFAVGTRVQAVWSEDGEWYNATVEALTPNGYYVAYDGWGNREEVDPDNVRLLEEEAADALRQAEKEAEATKMAIKRKIEQAATSDFQARSLPAKLRIEPSDPEDVKAAKRKKIHAFKSKARFEQLEFAQNKRQNAWQQFQTTKGKAKKVGFFSGRKKESIFKSPDDHRGKVGVTGSGKGLTDFQRREKHLHLKGGSADALDDEE >KQL31985 pep chromosome:Setaria_italica_v2.0:I:40773725:40776200:1 gene:SETIT_018875mg transcript:KQL31985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKAEANWAHNNRSLLFLVSVAAGVALAPAAAGRRVGAARRPRRPRLLFELQPLRRNLVMRADYDKQHKPADVFCSPPSEARS >KQL31986 pep chromosome:Setaria_italica_v2.0:I:40773725:40776200:1 gene:SETIT_018875mg transcript:KQL31986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKAEANWAHNNRSLLFLVSVAAGVALAPAAAGRRVGAARRPRRPRLLFELQPLRRNLVMRADYDKQHKPADVFCSPPSEARS >KQL31987 pep chromosome:Setaria_italica_v2.0:I:40773725:40776200:1 gene:SETIT_018875mg transcript:KQL31987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKAEANWAHNNRSLLFLVSVAAGVALAPAAAGRRVGAARRPRRPRLLFELQPLRRNLVMRADYDKQHKPADVFCSPPSEARS >KQL31195 pep chromosome:Setaria_italica_v2.0:I:36190883:36202553:-1 gene:SETIT_016159mg transcript:KQL31195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPAKEEAGSELAMEIESSVTAEDWRRALSRVVPSVVVLRTTAPRAFDTEVAGASYATGFVVDKSRGIILTNRHVVKPGPVVAEAMFVNREEIPVYPLYRDPVHDFGFFRYDPGAIKFLKYDEIPLAPEAASVGLEIRVVGNDSGEKVSILAGTLARLDREAPYYKKDGYNDFNTFYMQAASGTKGGSSGSPVVDCQGRAVALNAGSKSSSASAFFLPLERVVRALNLIRDSWDAFGTKPESIYIPRGTLQVTFQHKGFEETRRLGLRNETEQMVRLVSPAGETGMLVVDSVVPEGPAHKHLEPGDVLVCINEEVVTQFLRLETLLDDSVGREIDLQIERGGVPLTVKLQVEDLHSITPNHFLEVSGAVIHPLSYQQARNFRFKCGLVYVAEAGYTLSRASVPRHAIIKKLAGEDIVHLDDLIAVLSKLSRGSRVPLEYVKYTDRHRNKSVLVTIDQHEWYAPPQLYTRNDATGLWTAKPAIPSESPFLASAHHASHVDTNSNSVSSLSESSPMDLKCQYDSENLADGCIKVQTDDEIVVDGSHSSEDSVIEKKRRRVDEEIAAEGTLPSYGDLEDVKSGVLRHPSNAEGSDLARTISSNASLAEQVIEPALVMFEVHVPPVCMLDGVHSQHFFGTGVIIYHSDNLGLVAVDRNTVAVSISDIMLSFAAYPIEIPAEVVFLHPVHNFALVAYDPSALGVGASVVRAAKLLPEPALRRGDSVYLVGLSRSLQATSRKSIITNPCTAVNIGSADCPRYRAINMEVIELDTDFGSAFSGILTDEQGRVQALWASFSTQLKYGCSSSEDHQFVRGIPIYAISQVLEKIISRTQGPFRLINGIKRPMPFVRLLEVELYPTLLSKARSYGLSDNWVQDLAKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAINKEPITCFLDIEKACQELDQSIGSDGVLNMTIFRQGKEIELIVGTDVRDGNGTTRMVNWCGCIIQDPHSAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIIEINGQPTPDLETFIQVVKGLEDGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELSFEPETATWRRRTIKALQSTAA >KQL30334 pep chromosome:Setaria_italica_v2.0:I:29967987:29968448:-1 gene:SETIT_020538mg transcript:KQL30334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELKGSRPQVKMGARSTLVLRAQAASSRASGDGRSELLKARRRGRYAPGHRGGALPRRLDPEKKVRSEQPWRKGKRTADGSSWRRRSSNYIAHIC >KQL28227 pep chromosome:Setaria_italica_v2.0:I:4449375:4450846:1 gene:SETIT_018602mg transcript:KQL28227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLSSSSMGGSLALAAATAVAFSGSLVIFSLCRAHHAHAAAEPEGSTDHALLRPCLSSSEKRRRGGKAKRSSKAEKRVRFAADVVDNEGAPRPTRHPGAAASSSAATSTCRGAAAAAEPDERMMPANREALYRGMLRDRSSHRVTCSY >KQL28233 pep chromosome:Setaria_italica_v2.0:I:4483373:4485456:-1 gene:SETIT_017733mg transcript:KQL28233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAAAPVPPNQREEATPPPVRSARSPASVAADFAMGGAAAAVAKTGAAPVERVKLLLQNQGEMLRRGTLTRPYEGIAHAFARVLREEGAAALWRGNQANVIRYFPTQAFNFAFKGYFKSFFGYDREKNGKWKWLAGNVASGSAAGATTSLLLYHLDYARTRLATDAIESRGNKRQFRGLLDVYKKTLTTDGISGLYRGFSVSIVGITLYRGLYFGIYDTMKPLVLVGPLEGNFFASFALGWAITTFSGACAYPFDTVRRRMMLTSGQPFKYRNGFHAVKQIVLTEGFFTLFRGVGANILSGMAGAGVLSGYDQLQQFASRHGHNFERKMKGVLK >KQL29955 pep chromosome:Setaria_italica_v2.0:I:26940352:26943559:-1 gene:SETIT_019229mg transcript:KQL29955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPKGKAKRPVPPLPRRGAGGRWRPVAAGGGLGLAAAAYVGVDYLRHLSPSWHGRLRPALWAALALATAARAPFYRRWDAELRAAPRFLAALVFMLAALLCEAISVRFINTVLGLQWHRSTAPLPDTGQWILLALNEKLPQTVVYLLRARIIALHHYLMLFIMLGFSALFDCIKGPGLGIGSRYMFTMAVGRLLRTITFLATILPSARPWCAEARYQIPDYPHPWAQKYYAPYASDRYMIWRVMKEGMPYATPQDYPDEYKPEWGLMSFLVNILRPSTGEGPSWYHLLKKSSGGCSDLLYSGHMLVAVLTAMAWMEAYGGWSSVVIWFLVVHSAQREIRERHHYSADCIVAIYVGMLLWRMTGFLWSARETNRSRRIAKLDEVHNRLFHSAKDSDIIEIRSLLSEVESAGQEKKGFSQRIIFSFAAATIVFILLFVLLAFTLTNDG >KQL28974 pep chromosome:Setaria_italica_v2.0:I:9319627:9327150:1 gene:SETIT_016423mg transcript:KQL28974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTESTSGAMWLKSMVPKQIGIKSNLDQLEDAKDLDKIGGSQVLLKTLQSLSSLRSFLMKGLESGLRNDAPDTAIAMRQKWRLCEIGLEDYSFVLLSRYINALEALGGSASLAQGLARNTSIWDDALDALAIGINQVSFSGWKPEECIAIGNELLSWKQKGLSETEGSEDGKYIWALRLKATLDRTRRLTEEYSEALLSIFPEKVEVLGKALGIPENSVRTYTEAEIRAGVIFQVSKLCTVLLKATRAVLGSSVWDVLVPGVAHGALIQVERIVPGSLPSSIKEPVVLVVNKADGDEEVKAAGNNIVGVILLQELPHLSHLGVRARQEKVVFVTCEDDETIANVRLLEGKHVRLGASSSNVDLSVVSNDDGSATISSDPSSGGNLFARELPKEFSPPLATDKLLDVSKPKSYTSGVSVMAGVLELSEASVESSGAKAAACGTLSVLASLSNKVYNDQGIPAAFRVPAGAVIPFGSMEDSLKKSGSLESYTNLIEKIEAAQIENGELDSLSSELQAMISLLSPSKEIIESLKNTFDQNARLIVRSTANVEDLAGMSAAGLYESIPNVSLSDPSSFGAAVGQVWASLYTRRAVLSRRAAGVPQRDAKMAILVQEMLQPDLSFVLHTVSPSDHDPKLVEAEVAPGLGETLASGTRGTPWRLSCDKFDGRVTTLAFANFSEEMVVLTSGPADGEVVRLTVDYSKKTLSVDATFRRQFGQRLAAIGQYLEQKFGSAQDVEGCLVGKDIFIVQSRPQP >KQL30130 pep chromosome:Setaria_italica_v2.0:I:28282147:28287749:1 gene:SETIT_016784mg transcript:KQL30130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSRPRRGREEEEEVEEEQGGGPAGGEGSTPAKRPRCSCPCCFRHQCSKDFYEGQIDILKKEMQCMSKGFIEERKVFQREMQEFYQNSQLQLQEQISEQNRSMELIREQLNTLISDIRTPGDHVPENSNLRTLPHQRKVRTCRLKFESKCCEDKYSGHVITADDGNPITVAIYDHDNKIIRNGPLSSLQVKIVVLDGEFNKENKEQWSRESFLNNIRVYGRTGKPPLLASELYVRLENGVANLCGIKFQDNVPSRKFRLGVMEADDRISETILEGISEPFTIKSGRGFSYRKDPHPSLSDPIYKLHKIQENGDRHRLLEKMHINQVHDFLRFYNKDANSLRAACKNISDHDWNTIVNHALSCKPGHRHYSYHIPAKDATMFFNSLYKIVGAEFNGKYTSYEELNDTQKGLVEVSKKKAYDNLKLVQYEGKNSVHEHQVIFGDKGNNYLPGLSSMPQSPSPLTRFHDGISPHGEVSESAPAQESPRPRQRWAKIVTVVTTLRFLNKKPQACPGEVSPTPTPTPETGFGMTYLSDNLMLGADIEMGPIPLIESSPWMTEWPLNFYEWE >KQL27696 pep chromosome:Setaria_italica_v2.0:I:153843:155214:1 gene:SETIT_018637mg transcript:KQL27696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGGGGGGMEEAAAIPMVDADEACALLSAATHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPHGKEKNPHFVEQVSALYPNDQHLIVGCRSGIRSKLATADLLAAGFKNVRNLDGGYLSLLRTTTNQHHHNRPSPA >KQL27700 pep chromosome:Setaria_italica_v2.0:I:153843:157806:1 gene:SETIT_018637mg transcript:KQL27700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGGGGGGMEEAAAIPMVDADEACALLSAATHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPHGKEKNPHFVEQVSALYPNDQHLIVGCRSGIRSKLATADLLAAGFKNGQGQRRAAGQRQRKLSLLIDHGAGDLRQV >KQL27699 pep chromosome:Setaria_italica_v2.0:I:153843:157806:1 gene:SETIT_018637mg transcript:KQL27699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGGGGGGMEEAAAIPMVDADEACALLSAATHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPHGKEKNPHFVEQVSALYPNDQHLIVGCRSGIRSKLATADLLAAGFKNGQGQRRAAGQRQRKLSLLIDHGAGDLRQV >KQL27697 pep chromosome:Setaria_italica_v2.0:I:154068:154554:1 gene:SETIT_018637mg transcript:KQL27697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGGGGGGMEEAAAIPMVDADEACALLSAATHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPHGKEKNPHFVEQVSALYPNDQHLIVVSMHLIDLILCF >KQL27698 pep chromosome:Setaria_italica_v2.0:I:153843:155214:1 gene:SETIT_018637mg transcript:KQL27698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGGGGGGMEEAAAIPMVDADEACALLSAATHQYLDVRMWEDFDKGHVAGARNVPYYLSVTPHAGKEKNPHFVEQVSALYPNDQHLIVGCRSGIRSKLATADLLAAGFKNVRNLDGGYLSLLRTTTNQHHHNRPSPA >KQL28513 pep chromosome:Setaria_italica_v2.0:I:6361572:6363385:-1 gene:SETIT_018332mg transcript:KQL28513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWSHEPATEEPKVSPARRSSVAKLTTASSSLANLLSVFLGATSPEPRPRRSFDAGGVGLGIVADMSRPCLAGAEPIAIGPAARRRAREEDELSESYTCVITHVAGAGGGSVRKRVYFGFGDSGGGGWLVKADDEVPAERADFLSRCYLCDKRLDGLDIYMYRGENAFCSSECRCHQMLMDDRPENCRSEVHRASDCSVSPHTAPMAYSPSVAAA >KQL30762 pep chromosome:Setaria_italica_v2.0:I:33209294:33210587:-1 gene:SETIT_017941mg transcript:KQL30762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNPACEAAALDSIRHHVLDEPAAAAAAARPVYRRSTSFGSLVADQWSESLPFRPDDADDMVVFGALRDAFSRGWLPDGSFAAVKPEPPASPDSPYEFGSCLGVGGLLASHEEEPETPTAGAASPATAPGSSEEAAAVARGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYDNAEDAAVAYDRAAYRMRGSRALLNFPLRIGSEIAAAAAAAATATAVGEKRPSPEPAASSDSSSPSSSSSSGTPKRRKRGEAAAATMAMALVPPPPAQAPVQLTLPAHPWFAAGPVQQLVS >KQL29267 pep chromosome:Setaria_italica_v2.0:I:12407911:12415684:-1 gene:SETIT_016611mg transcript:KQL29267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVRSRAAAAALSAARRIHAGRAAEQKQQGSGVPGALRRPWTLFRRQQQQSHLPRAAAAAPPALDAVADRGAGGSDGGEPPEIWRQPGEAPGERAGAAAAVGRIDLVRVAGPGGEGFDGKDGAGETGGWGGSNLGRRFPTPKEICRGLDKFVIGQQRAKKVLSVAVYNHYKRIYCESLSSRSAGNCSESDSCMSDTDMVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDVAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVGLEKTISERRHDSSIGFRAQVRSNMRTGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTEPKNALGRQYTKLFEMNDVKLHFTEKALRLIAKRAIVKNTGARGLRSILESILTEAMYEIPETRTGQDKIDAVVVEESVGSANQHGIGAKILCGEGALELYLAKHDNKESMVFVVFVNFTAIDCIVWLKETEKPGHLTNWLLGIWCFTADFRDFDISSCLTIFNDSLEACWTFWRQSISIITSNIKECPYLCFIGHEIPLCIGVGMCIDTCKEQSGYCA >KQL29266 pep chromosome:Setaria_italica_v2.0:I:12407908:12415684:-1 gene:SETIT_016611mg transcript:KQL29266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVRSRAAAAALSAARRIHAGRAAEQKQQGSGVPGALRRPWTLFRRQQQQSHLPRAAAAAPPALDAVADRGAGGSDGGEPPEIWRQPGEAPGERAGAAAAVGRIDLVRVAGPGGEGFDGKDGAGETGGWGGSNLGRRFPTPKEICRGLDKFVIGQQRAKKVLSVAVYNHYKRIYCESLSSRSAGNCSESDSCMSDTDMVELEKSNILVMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLAAADFDVAAAQQGIVYIDEVDKITKKAESINLSRDVSGEGVQQALLKMLEGTVVSVPEKGARRHPRGDNIQIDTKNILFICGGAFVGLEKTISERRHDSSIGFRAQVRSNMRTGGVINAEITSSLLESVESGDLIAYGLIPEFVGRFPILVSLSSLSEDQLVEVLTEPKNALGRQYTKLFEMNDVKLHFTEKALRLIAKRAIVKNTGARGLRSILESILTEAMYEIPETRTGQDKIDAVVVEESVGSANQHGIGAKILCGEGALELYLAKHDNKESMHQQLEKSNGESEIDAEAPSRVASM >KQL28236 pep chromosome:Setaria_italica_v2.0:I:4512467:4515587:1 gene:SETIT_019990mg transcript:KQL28236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGIFNPILYDKRLFQQFAIDTYVKIESSRLNYIRNNQDLLKADLYQGLVDNWRTRVEDADEVGKRTMLSPTFIGWPRNMRCRYMDAMALDEIKNELYPGQSPQDHPDLVTRVFRAKLEKLKRMLMEKDILGKVRAFVYVMEFQKRSLPHAHFLLIMQMKYKITCPEQYDLLISAELPNKKKYPDLYKMVTKHMMHGPCGTLNPLCQCTRGRTSCKNRYPRPSCDSTSQGHILDNQWVIPYNPCLLHTLNCHINVEACSSIKSVKYLFKYIYKGHDRASVAVREAGKKDKGNVDEITQYREARWVTPPEAMWRIYGFDLSKNHPPVQQLQLHLPDMHMVVKRPGADESMLTVYFEYNRLHEEARGILYRDFPEHYTWESNGKFWKPRKNAVYLVGRLISAHPAKGGHYFLRVLLNHVAGATSYRDLRTVDGVLLPLFREAAERRGLIEEDNTLDECLTENSLFHMPSSLRRLFTTILVFCESNDVFGLWTKHLDAILVEQMVLIDIRNMLQSTGKDIRSFPLLGIDDSYDDASGIPREIFEEASIDQNPEDVGLSNSLNEEQRATYEEIMSKVDTEQGGLFFNPSCQNKPAVATATSGVAASIMPGGRTAHSRFKIPLTLEDGSCCSFTKQSGTTKLLHQASLIIWDEASMAKRQAMEAIDNDLRDIMGRQDLPFSGNTVVFGGDFRQVLPVVRKGSKAQIVDASLRRLYLWESMRHLKLVRNMRTQSDPWFAEYLLRIGGGTEEVNGDGDVCLPDDICVPSSGDSEKDLDSLIECIFRNLNANMTNKDYITSRAILSTRNDWGEEMVYHSFGSAIDDPHNYYPSEFLNTLTPNGLPPHLLKLKIGCPVILLRNIDPAIGLCNGTRLVVRGFQRNSIDAEIVLGLHAGKRVFLPRILLCPSDDEMFPFQFKRKQIPVRLSFAMTVNKSQGQTIPNVGVYLPTPVFSH >KQL30924 pep chromosome:Setaria_italica_v2.0:I:34429595:34430607:1 gene:SETIT_018262mg transcript:KQL30924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGAFSSDYSSGTPSPVAGGGGGGADGDDAGGGSSSYMTVSSAPPKRRAGRTKFKETRHPVYKGVRRRNPGRWVCEVREPHGKQRIWLGTFETAEMAARAHDVAALTLRGRAACLNFADSPRLLRVPPMGAGHDEIRRAAAVAADQFRPPPDQGNVAAEEAAAAQPEALPSATQNFDDPYCIIDDKLDFGMQGYLDMAQGMLIDPPPMAGSSTSGADDDDNGEVKLWSY >KQL29654 pep chromosome:Setaria_italica_v2.0:I:22637989:22639653:1 gene:SETIT_018869mg transcript:KQL29654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRKKGD >KQL30954 pep chromosome:Setaria_italica_v2.0:I:34604216:34606820:-1 gene:SETIT_016627mg transcript:KQL30954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAGAPWCDPRRGYGYGYGGGSVARAPGVRRQPQQPPRQDAAAVATGGVLKRSLGEVERWQQALYLRAVRQRVTAQAQAAHPPIDIGAVLARAASRSSGFSGPPSAGFAGLSPQPSSTLSSLTTGSHMATPQPQPPMQQLLRRQMAAAPPAPPQAAQAVVARGPAARPATAREMVLLHELEKKLLGDDDDGDAEAAGSACGSTVTSSAWGDTMQELNSITAASLPSLPMASATNNYNNTVPITRSPTNSASSSTASSTASSSPPTSAASSRQLLSETAAAVADGNRTAAAAHLAALKTTVNPRGDAEQRLVAMMAAALSSRIGPPSSQQLAELCGPEQRAACQLLHDVSPCFGLALHGANLAILDAVAGQRAIHLIDFDVSVAQHIALIQALASRRVAGTCLKVTAVADPASPFTPALTQALAATGQRLKRHAQQAGLEFRFNAVSCRAGEIDASRLGCEPGEALAVNLAFALSRVADESVSPANPRDELLRRVRALGPRVVALVEQELNTNTAPLAARFADACAHYGAVLESLDATLARDSPQRARAEEALANKATNALAREGPDRMERCEVFGKWRARFGMAGLRPVAIGQGIADRVKARLGHARPGFDVKLDSGRLGVGWMGRVVTVASAWR >KQL32262 pep chromosome:Setaria_italica_v2.0:I:41985547:41988787:-1 gene:SETIT_018114mg transcript:KQL32262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSTAPPPQMEDASRVPPLPGGAEEYVRDSIHSSLGLPVSDRSLRLKLLASEDQRHRLQDHVFSLEEDLRAAHRRIDLLKAEAAMNAAGLRRCVEDKEAMAAAYAELNAKCAKECDLYERDLERAMESCDDLARENNDLRARLNQNADLAALTARVQELERDKEALKMNLDTAEAEVITLSEDNRVLDEENKRLLGLLEKERQRRSERKKSASTSTKNKRKSSSLRDGSPAGRALDFGGADSSRHPLSPLPHNSPDYRAHKK >KQL29378 pep chromosome:Setaria_italica_v2.0:I:14630130:14630783:1 gene:SETIT_019408mg transcript:KQL29378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRLERVVNAGDPGLFVPHPGHSGAPTRSITDIGQEMAAFFAEANLDTVAQSPYIGLIRAIDHLEEVSLANGGQGGEQAAGSTGEAGPANPVDAPQVAIHHDAPRPFETPQAMDHFAGSPLDPFAIHPLDIIPLALALGLVILISFFFLREVWKNWIPVYKTICYEGVGDGLQMQK >KQL31502 pep chromosome:Setaria_italica_v2.0:I:37971693:37974831:-1 gene:SETIT_016445mg transcript:KQL31502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGPPRKRNFKIEAFKHRVELDPKYAERTWKVLEHAIHEIYNHNASGLSFEELYRSAYNMVLHKYGEKLYDGLQSTMTRRLKEISKSIETAQGGLFLEELNAKWMDHNKALQMIRDILMYMDRTYVPTAHRTPVHELGLNLWRDHIIHSPSIHSRLLDTLLDLIDRERMGEVINRGLMRSITKMLMDLGPAVYQDDFEKPFLEVSASFYSGESQEFIECCDCGNYLKKAERRLNEEMERVSHYLDAGSEAKITSVVEKEMIANHMHRLVHMENSGLVNMLVDDKYEDLGCMYALFRRVPDGLSTIRDVMTSYLRETGKQLVTDPERLKDPVEFVQRLLNEKDKHDKIISVAFGNDKTFQNALNSSFEYFINLNNRSPEFISLFVDDKLRKGLKGATEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSMIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQDFYAKKSEELGDGPTLDVHILTTGSWPTQPSPPCNLPTEILAVCEKFRSYYLGTHNGRRLTWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNNADGLTYKDIERDTEIPASDLKRCLQSLACVKGKNVLRKEPMSKDISEDDAFYFNDKFTSKLVKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIVAEVTKQLQARFLPNPVVIKKRIESLIEREFLERDKVDRKLYRYLA >KQL31831 pep chromosome:Setaria_italica_v2.0:I:39978309:39987241:1 gene:SETIT_016152mg transcript:KQL31831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAYGWPQSIPLDPDDSDRVVLLRVLGRLLLAVCPASLHLWSAAQHRVRLARFDRSADSLAAHGQNAHAVWSPDAKTVAVLTSSFYLHIYRVQLSGKPLIVGGKQLPGLCLASISQIITEKVPLANGVAITSNFVCDSKSMLLGLSNGHVQVVSWNAEFSDSFKLGCSVCSSEKPTAVIDALVFDPPSLRENSDARPAPCCTGNSSIVHVELSVKLRLLVALYSGCHIALCTVGKKGLKQPGSIRVERWLNTDDAMCTSVASEQQILAVGCSRGVVELYDLAENTRHIRTISLYDWGYSVEDTGPVACISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDNGYKLFAVEESLSERVLAFSFAKCCLNRGLSGTTYSHQVLYGDDRILLVQPDDADELKLLHLNVPVSYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDYSSLLYRKPLLGRPIAMDVFQNYILVTYSPFDVHIFHVVISGELSPASNPVLQLSTVRELSIMSPKSPPVSMRFIPDQNDKGALKQNASGSSDLLSEQPSRCLILRTNGELSVLDMDDGHEHALTNSVELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSHGADPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSASKNQVLQKVNSPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENATSDSEKLSPRFLGYFLFRSPYKRQSSDLKSNSMKELSPHIASVMNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSITLQSHDVFREYLDLLNMLEEQLSSVSGLTLQNGPLS >KQL31830 pep chromosome:Setaria_italica_v2.0:I:39978309:39985932:1 gene:SETIT_016152mg transcript:KQL31830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAYGWPQSIPLDPDDSDRVVLLRVLGRLLLAVCPASLHLWSAAQHRVRLARFDRSADSLAAHGQNAHAVWSPDAKTVAVLTSSFYLHIYRVQLSGKPLIVGGKQLPGLCLASISQIITEKVPLANGVAITSNFVCDSKSMLLGLSNGHVQVVSWNAEFSDSFKLGCSVCSSEKPTAVIDALVFDPPSLRENSDARPAPCCTGNSSIVHVELSVKLRLLVALYSGCHIALCTVGKKGLKQPGSIRVERWLNTDDAMCTSVASEQQILAVGCSRGVVELYDLAENTRHIRTISLYDWGYSVEDTGPVACISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDNGYKLFAVEESLSERVLAFSFAKCCLNRGLSGTTYSHQVLYGDDRILLVQPDDADELKLLHLNVPVSYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDYSSLLYRKPLLGRPIAMDVFQNYILVTYSPFDVHIFHVVISGELSPASNPVLQLSTVRELSIMSPKSPPVSMRFIPDQNDKGALKQNASGSSDLLSEQPSRCLILRTNGELSVLDMDDGHEHALTNSVELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSHGADPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSASKNQVLQKVNSPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENATSDSEKLSPRFLGYFLFRSPYKRQSSDLKSNSMKELSPHIASVMNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVKLVEFYHFTQLEIFYMMLIFWFIWTLGYLSPLTEDPII >KQL31832 pep chromosome:Setaria_italica_v2.0:I:39978309:39987684:1 gene:SETIT_016152mg transcript:KQL31832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLAYGWPQSIPLDPDDSDRVVLLRVLGRLLLAVCPASLHLWSAAQHRVRLARFDRSADSLAAHGQNAHAVWSPDAKTVAVLTSSFYLHIYRVQLSGKPLIVGGKQLPGLCLASISQIITEKVPLANGVAITSNFVCDSKSMLLGLSNGHVQVVSWNAEFSDSFKLGCSVCSSEKPTAVIDALVFDPPSLRENSDARPAPCCTGNSSIVHVELSVKLRLLVALYSGCHIALCTVGKKGLKQPGSIRVERWLNTDDAMCTSVASEQQILAVGCSRGVVELYDLAENTRHIRTISLYDWGYSVEDTGPVACISWTPDNCAFAVGWKFRGLTVWSVSGCRLMCTIRQTGSNSASSPMVKPSALKFEPLMGGTSHIQWDDNGYKLFAVEESLSERVLAFSFAKCCLNRGLSGTTYSHQVLYGDDRILLVQPDDADELKLLHLNVPVSYISQNWPVLHVVASNDGMYLAVAGSHGLVLYDLRNKRWRFFGDVTQEQKIQCKGLLWLGKIVIVCNYVESSNTYELLFFPRYHLDYSSLLYRKPLLGRPIAMDVFQNYILVTYSPFDVHIFHVVISGELSPASNPVLQLSTVRELSIMSPKSPPVSMRFIPDQNDKGALKQNASGSSDLLSEQPSRCLILRTNGELSVLDMDDGHEHALTNSVELFWVTCSQFEEKGSLIKEVSWLDYGHRGMQVWYPSHGADPFKQEDFLQLDPELEFDREVYPLGLLPNVGVVVGVSQRMSFSTAEFPCFEPSPQAQTILHCLLRHLLQRDKIEEALRLANLSAEKPHFSHCLEWLLFTVFDADISRPSASKNQVLQKVNSPKKSLLEKTCDLLRNFPEYMDVVVSVARKTDGRHWADLFSAAGRSTEMFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDFENATSDSEKLSPRFLGYFLFRSPYKRQSSDLKSNSMKELSPHIASVMNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERLGSARLENFASALELIGQKLQMDTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLVDLFRHDLRLWKAYSITLQSHDVFREYLDLLNMLEEQLSSVSGLTLQNGPLS >KQL29284 pep chromosome:Setaria_italica_v2.0:I:12737194:12737559:-1 gene:SETIT_020364mg transcript:KQL29284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQNFFNNSNIHYLSFLNNIANMAMLEQINRGTNLPYLVDKALSWPMMTISNSNF >KQL30967 pep chromosome:Setaria_italica_v2.0:I:34755591:34757004:-1 gene:SETIT_017676mg transcript:KQL30967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGEDGCADGFSKYEALASSLPTSRQGLGSLPYRKHDGFWYPEHLMAPALAMRDAFAARPTDVILATMPKSGTTWLKALVYSVVHRGRHAPADERHPLLASSPHELVPFLHSLYESRSPSAPPGQLLEEMPSPRVLAVHSPFTALPASVRESGCRVVYLCRDPKDAFVSLRHYLDEIKPEGSAMTPSAEAFDLFCDGVSPFGPVWDHMAEYWKESVARPGEVIFLRYEHLKEDAVGSVMRLAKFLGCPFTGEEVARGVPEAAVALCSMDRMRSVEANRSGVHGTASWSFKNSAFFRKGEVGDWKSHMTPEVAQRLDGIVEEKLRGSGLSLAIHRGSASRRFGSGLS >KQL29147 pep chromosome:Setaria_italica_v2.0:I:10703971:10711122:1 gene:SETIT_016862mg transcript:KQL29147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKLNSSAYKRVPSREATMEPDVETPMRTTDAGAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISADLGFSGNTFAEGLVVSICLGGAFIGCLFSGSVADGIGRRRAFQLSALPMIIGAAISALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPTVRGTYGSFVQIATCLGIIVSLLIGTPVKDIDRWWRVCFWVAAIPATLQALAMEFCAESPQWLYKCGRTIEAEMQFEKLLGPLHVKSAMAELSRSERDDGESVKYSELFNGRHFNVVFIGSVLFALQQLSGINSVFYFSSTVFRGVGVPSNFANICMGISNLAGSIIAMVLMDKLGRKMLLSGSFLGMAFSMGLQAIGANRHLGSTSVYLSVGGILLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAVALCMSVHWIVNFFVSLLFLRLLEQLGPQVLYTIFSSVCVVAAIFVRRHILETKGKTLQEIEVSLLQAQ >KQL29145 pep chromosome:Setaria_italica_v2.0:I:10703971:10711122:1 gene:SETIT_016862mg transcript:KQL29145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKLNSSAYKRVPSREATMEPDVETPMRTTDAGAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISADLGFSGNTFAEGLVVSICLGGAFIGCLFSGSVADGIGRRRAFQLSALPMIIGAAISLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPTVRGTYGSFVQIATCLGIIVSLLIGTPVKDIDRWWRVCFWVAAIPATLQALAMEFCAESPQWLYKCGRTIEAEMQFEKLLGPLHVKSAMAELSRSERDDGESVKYSELFNGRHFNVVFIGSVLFALQQLSGINSVFYFSSTVFRGVGVPSNFANICMGISNLAGSIIAMVLMDKLGRKMLLSGSFLGMAFSMGLQAIGANRHLGSTSVYLSVGGILLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAVALCMSVHWIVNFFVSLLFLRLLEQLGPQVLYTIFSSVCVVAAIFVRRHILETKGKTLQEIEVSLLQAQ >KQL29148 pep chromosome:Setaria_italica_v2.0:I:10703971:10711122:1 gene:SETIT_016862mg transcript:KQL29148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKLNSSAYKRVPSREATMEPDVETPMRTTDAGAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISADLGFSGNTFAEGLVVSICLGGAFIGCLFSGSVADGIGRRRAFQLSALPMIIGAAISALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPTVRGTYGSFVQIATCLGIIVSLLIGTPVKDIDRWWRVCFWVAAIPATLQALAMEFCAESPQWLYKCGRTIEAEMQFEKLLGPLHVKSAMAELSRSERDDGESVKYSELFNGRHFNVVFIGSVLFALQQLSGINSVFYFSSTVFRGVGVPSNFANICMGISNLAGSIIAMVLMDKLGRKMLLSGSFLGMAFSMGLQAIGANRHLGSTSVYLSVGGILLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAVALCMSVHWVRSLLISGTCKLLYEEISISVWDNYTLYISALVCLICFSSIGRKPINKHRMIKPYRLNVFKLRCVNLGLHFHRFKDSYRNNFDLVLQNYNYSHQSINDQLRLVPTLQNCKSLHPQ >KQL29149 pep chromosome:Setaria_italica_v2.0:I:10704172:10711122:1 gene:SETIT_016862mg transcript:KQL29149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKLNSSAYKRVPSREATMEPDVETPMRTTDAGAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISADLGFSGNTFAEGLVVSICLGGAFIGCLFSGSVADGIGRRRAFQLSALPMIIGAAISALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPTVRGTYGSFVQIATCLGIIVSLLIGTPVKDIDRWWRVCFWVAAIPATLQALAMEFCAESPQWLYKCGRTIEAEMQFEKLLGPLHVKSAMAELSRSERDDGESVKYSELFNGRHFNVVFIGSVLFALQQLSGINSVFYFSSTVFRGVGVPSNFANICMGISNLAGSIIAMVLMDKLGRKMLLSGSFLGMAFSMGLQAIGANRHLGSTSVYLSVGGILLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAVALCMSVHWIVNFFVSLLFLRLLEQLGPQVLYTIFSSVCVVAAIFVRRHILETKGKTLQEIEVSLLQAQ >KQL29146 pep chromosome:Setaria_italica_v2.0:I:10703971:10711122:1 gene:SETIT_016862mg transcript:KQL29146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKLNSSAYKRVPSREATMEPDVETPMRTTDAGAGPSWRMSLPHVCVATLTSFLFGYHSGVVNEPLESISADLGFSGNTFAEGLVVSICLGGAFIGCLFSGSVADGIGRRRAFQLSALPMIIGAAISALTNSLEGMLLGRFLVGTGMGLGPPVASLYITEVSPPTVRGTYGSFVQIATCLGIIVSLLIGTPVKDIDRWWRVCFWVAAIPATLQALAMEFCAESPQWLYKCGRTIEAEMQFEKLLGPLHVKSAMAELSRSERDDGESVKYSELFNGRHFNVVFIGSVLFALQQLSGINSVFYFSSTVFRGVGVPSNFANICMGISNLAGSIIAMVLMDKLGRKMLLSGSFLGMAFSMGLQAIGANRHLGSTSVYLSVGGILLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAVALCMSVHWIVNFFVSLLFLRLLEQLGPQVLYTIFSSVCVVAAIFVRRHILETKGKTLQEIEVSLLQAQ >KQL29150 pep chromosome:Setaria_italica_v2.0:I:10707081:10711122:1 gene:SETIT_016862mg transcript:KQL29150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGRFLVGTGMGLGPPVASLYITEVSPPTVRGTYGSFVQIATCLGIIVSLLIGTPVKDIDRWWRVCFWVAAIPATLQALAMEFCAESPQWLYKCGRTIEAEMQFEKLLGPLHVKSAMAELSRSERDDGESVKYSELFNGRHFNVVFIGSVLFALQQLSGINSVFYFSSTVFRGVGVPSNFANICMGISNLAGSIIAMVLMDKLGRKMLLSGSFLGMAFSMGLQAIGANRHLGSTSVYLSVGGILLFVLSFSLGAGPVPGLLLPEIFPNKIRAKAVALCMSVHWIVNFFVSLLFLRLLEQLGPQVLYTIFSSVCVVAAIFVRRHILETKGKTLQEIEVSLLQAQ >KQL32032 pep chromosome:Setaria_italica_v2.0:I:41007797:41012039:-1 gene:SETIT_016449mg transcript:KQL32032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVALVSGGKDSCFAMMRCLDYGHKVVALANLIPLDDAVDELDSYMYQTVGHQIVVSYAKCMGLPLFRRRISGSTRDQGLKYNVTAGDEVEDMFALLSEVKRQIPSINAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQTLLLEEMIRRGIVAITVKVAALGLKPSSHLGKELAELKCHLLRMNESYGINVCGEGGEYETLTLDCPLFRNARIILDDYEVILHSADSIASVGILHPRVFHLQHKPDSSDRIGDGSVTQEISSCVYEVDEVITHTDMEEKQTLSPGVDAYTDIDLCISKTGKNLRSIGCWIQDRSRASEGLKADLIAVLNRIDNQLKEEGLGWVNVLYVHLYISNMKEFGLANEVYVSFITEKKCYLGVPSRSTIELPLVQVGLGKAYVEVLVSNELKKRVLHVQSISCWAPSCIGPYSQATLYGEILYMAGQLGLDPPTMKLCPDGPTAELELALQNSEAVANAFSCSIYSSAIHFLVYCSAQLTSDEKEEVEQTLQSSYITRLDCSKTGSYPTVLYVFAPDLPKGARVEIKPILYVPTNDDGVATEETETGMTQSAPSQAWSAQYSDLHDSCCQIHTIGGRICSAVVSVTTDIATKICSTAGQLYYTEENLKAMARFCAFQITKILADNNFSWDSTTMLRFYYSVDNSVAADAMSRAFSEAFAELAEDNSSSRTDESPFYNIVPVSGSGCSACTNDIITCELLASKPSLHTHLNCSP >KQL28152 pep chromosome:Setaria_italica_v2.0:I:3835829:3836444:-1 gene:SETIT_020636mg transcript:KQL28152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVVAVMVTVISFTSAEKEKEYAPSTTSRYCESPNPSRRPWLPWGGGQWRCPDP >KQL31893 pep chromosome:Setaria_italica_v2.0:I:40318207:40321295:-1 gene:SETIT_017854mg transcript:KQL31893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAAGFAFAPAVSRVLYRPGAACHASGPSSSARFPARPWSWERARAPARLVVVARYSPSYESEEEEDEEELGGGGWGRRDRGPDPDYDPALDIERVESSTVRLLDEQKRMVGVVPVNEAVQIAEDNDLILAILSLDGDPPVLRLFEERDYKKHRYEQQKKKKIQQKRSVAKRMSLKELKMGYNIDVHDYSVRLKAARKFLKAGDKVKIIVNLKGRENLYKKEAIELLRRFQTDVGELATEESKNFAERNIYLVLVPNKIAIQKEQDGLNRKDTAKDEKDLSDSDLSDSDEPLTEQLEESKEPEAEVSANV >KQL31892 pep chromosome:Setaria_italica_v2.0:I:40318810:40321206:-1 gene:SETIT_017854mg transcript:KQL31892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAAGFAFAPAVSRVLYRPGAACHASGPSSSARFPARPWSWERARAPARLVVVARYSPSYESEEEEDEEELGGGGWGRRDRGPDPDYDPALDIERVESSTVRLLDEQKRMVGVVPVNEAVQIAEDNDLILAILSLDGDPPVLRLFEERDYKKHRYEQQKKKKIQQKRSVAKRMSLKELKMGYNIDVHDYSVRLKAARKFLKAGDKVKIIVNLKGRENLYKKEAIELLRRFQTDVGELATEESKNFAERNIYLVLVPNKIAIQKEQDGLNRKDTAKDEKDLSDSDLSDSDEPLTEQLEESKEPEAEVSANV >KQL31894 pep chromosome:Setaria_italica_v2.0:I:40318810:40321206:-1 gene:SETIT_017854mg transcript:KQL31894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAAGFAFAPAVSRVLYRPGAACHASGPSSSARFPARPWSWERARAPARLVVVARYSPSYESEEEEDEEELGGGGWGRRDRGPDPDYDPALDIERVESSTVRLLDEQKRMVGVVPVNEAVQIAEDNDLILAILSLDGDPPVLRLFEERDYKKHRYEQQKKKKIQQKRSVAKRMSLKELKMGYNIDVHDYSVRLKAARKFLKAGDKVKIIVNLKGRENLYKKEAIELLRRFQTDVGELATEESKNFAERNIYLVLVPNKIAIQKEQDGLNRKDTAKDEKDLSDSDLSDSDEPLTEQLEESKEPEAEVSANV >KQL30027 pep chromosome:Setaria_italica_v2.0:I:27584238:27589382:1 gene:SETIT_016160mg transcript:KQL30027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPEAVALEIPAAAEEGSPPPAARVPPRIRRRLLRAREGGGTAPTAEEIEAKLREAHLRRQQFHETLSSKARRSIRSPSGSSQKEDRGQLLEAKLVAAKQKRLSLLAKEQSRLAKLDELRQAAKNNAETRFEREREELGMRVESRVRQAEKNRMELLHARLQRQAALEERTKKFFVQRLTWENKYRERVRSAILQKRTAAEKRRSGLLESEKRQAQGRLLQVQLAAKTASSQRETERSKLKEQLEDKLQRQAKQQRVEYLKQRGSPYGSIRSSSVKSGEFLSRKLARCWRRFITSRKTTVVLARAFDSLGINQQSVVSMPFEELAICIESPTVLQTTKALLDRLESRFVLSQSFSSSKPENIDHLLKHLGSPKRRILPSSAGRSKVTPKKAVRNNDSSKVPRYSQRIALCAYMILGHPKSVLSGQGEREKLLMDSATNFVKEFELLVKTILDALDGACILKQSELDVASPGCSSYEESSSIVADRKKFRTQLVAFDKAWCAYLYHFVVWKAKDAESLEEDLIRAACRLELSMIQTCKITNEGQSDNFRGNLKAIQKQVAEDQKLLRERIQHLGGEAGIERMESALSETRSKFFQAKEGTSSIATTVANIASPSVACSPGQSTVSEIRENSNMDAEKTNRVVNCLFGSSSSPSDSSKGGKLTLSCAVPEKMPTENEQIVNEILHGIHGSFADISDGTGTVEGDFKAKVKETMEKAFWDVVEDSMRGDMPDYSYLVSLVKEVKEALQELAPTGWKEEISENINLEILTQALKAEVSRARIQLLEPIIKGSGGVEYLQKSFADRYGSPSDALASLPSTACWISSVKDIVEEQWNEHVSSLSILPAANHVQPLVATLRTGLAVPGHLQSAISAAGSTELPECTGEGLGRLIRIGLLQLISSTEGLQSQTVPETFMLNWMRLRSVQSKFQQVIVIATSMLVMHQVLVNENPNISPPELENVTLELFNMLTSLLDNFVDVGTEKIIEAMMHSSTSTSSSSNKMIETRKQILTRVFLKSLQTDDTVFKKVSQSVYCAFRAVTLGGSGEKGRKLADASLRRIGATKLTERVVKAAEVLMKAAMVSEQVHGPWYRQLL >KQL31440 pep chromosome:Setaria_italica_v2.0:I:37536306:37542978:1 gene:SETIT_019841mg transcript:KQL31440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANGPSPGRLASVYSEVQTSRLHHALQLPSVLCSQFTLVDGPPSSATGNPDEIAKLFPNLFGQPSAALVPAKEAVEGKPLKVGVVLSGGQAPGGHNVICGIFDFLQQRAKGSTMYGFKGGPAGVMNCKYVELNTDFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLELDGLVVIGGDDSNTNACLIAEYFRSKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSSGKYYHFVRLMGRAASHITLECALQTHPNIALIGEEVAEKKQTLKNVTDYITDIVCKRAELGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDTVDEAGVWKNKLEPESKKLFEFLPPSIQEQLLLERDPHGNVQVAKIETEKMLIAMVETELEKRKAEGKYKGTFIGQSHFFGYEGRCGFPTNFDASYCYALGYGAGALLQCGKTGLISSVSNLAAPVTEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPYKKFSSLRDEWAVKNRYISPGPIQFIGSGADAVSHTLLLELGVHA >KQL28578 pep chromosome:Setaria_italica_v2.0:I:6792884:6794593:-1 gene:SETIT_020370mg transcript:KQL28578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEVAVSSSSGSESKSGSDDEDTERERELERVLADEPFGELQRAGADGSLALQTASAAKAAADKKARRKEGSRCPAAGDGDKHENAATETQGGDPDYGDEFLLALR >KQL28932 pep chromosome:Setaria_italica_v2.0:I:9001060:9005609:-1 gene:SETIT_016949mg transcript:KQL28932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVSAAAKASAAFAHKKELAAAAPAPTQHRAGSGRRSKPCRVRAVASPARAPRAPASTGSVKTAMTMTEKILARASERAGLEPGENVWVDVDVLMTHDVCGPGTIGIFKKEFGEDAKVWDREKVVIIPDHYIFTNDERANRNVDILRDFCMEQNIKYFYDIKDLSNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCNAGAFGQFATGIGNTDAGFVLGTGKALLKVPPTIRFVLDGEMPPYLLAKDLILQIIGEISVSGATYKSMEFVGSTIESLNMEERMTLCNMVVEAGGKNGVVPADETTFKYLEGKTSVDYEPVYSDAQARFFSDYRFDVSKLEPVVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFLAAAKVFLASGKKVKVPTFLVPATQKVWMDLYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPRDTYARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPRDFLM >KQL29160 pep chromosome:Setaria_italica_v2.0:I:10791411:10795771:-1 gene:SETIT_018217mg transcript:KQL29160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHLALLSCLLVLLLSLDKFLLHYLKKRLFSGPRIPSGGTSKSRRPMAAAAKWSETAMLVIDMQKDFVDPAMRSPMLVAGGEAVVPAVAEAVAVARERGIFLVWVVREHDPSGRDVELFRRHHYSGGKGPTVKGLKGAELADGLVIKEGEYKLVKTRFSAFFATHLDSVLKTAGIKNLVIVGVQTPNCIRQTVFDAVALDYEKITVLIDATAAARPEIHLSNIRDMKNIGVETPTLDEWRR >KQL29778 pep chromosome:Setaria_italica_v2.0:I:24385143:24386448:-1 gene:SETIT_018569mg transcript:KQL29778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGGEKKNILAKTLQRCRTSLAAAHRRRPPPAQAPDRSASWGGAAVPAAGYFTVLVGPEKERFGVRARCANHPLFRALLDEAETEYGFAGCDGPLELPCAVDDFMQVMWEMEQGDPTASPGCGRFAAGSSRGHHLHQVTGYQMVSPARFLVAGRS >KQL31785 pep chromosome:Setaria_italica_v2.0:I:39752067:39752723:-1 gene:SETIT_019636mg transcript:KQL31785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPETEGSSKKFKGVRKRKWGKWVSEIRLPNSRERIWLGSYDAPEKAARAFDAAFVCLRGPGAAGADLNFPDSPPPCRAGGCSSDPQEVQAAALSHANRAAVTAQQAAAALMDADDAPALPWDSAVAHGTAGVLGAGGGAEVVAPVRADGSIDWRPVMAHPPPLFSPTGWGSNAYDFLQLLPPPPVAADEDMEDYNIHGASASLWSFDLRDSYFRY >KQL30737 pep chromosome:Setaria_italica_v2.0:I:33026492:33029818:-1 gene:SETIT_017807mg transcript:KQL30737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSGRMLACSGLGPGRLRPPRAHAERLRPLPPARRWRVAASAAASGGSPDLPSSSSSSPPTPPFGVGEDQAAGSPGFCIIEGPETVQDFAKLDLQEIQDNIRSRRNKIFLHMEEIRRLRIQQRIKNAELGISVDEPDRELPDFPSFIPFLPPLSAANLKVYYATCFTLIAGIMVFGGFLAPILELKLGLGGTSYEDFIRSVHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSSTGALVLTEPVSTFSDGDQPLSAPRTERCPNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFI >KQL29498 pep chromosome:Setaria_italica_v2.0:I:18120890:18121294:1 gene:SETIT_020616mg transcript:KQL29498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIFNYFLEYLHEERASKFEDTNNIHSSVKSSIPMWK >KQL30047 pep chromosome:Setaria_italica_v2.0:I:27719837:27724111:-1 gene:SETIT_0164621mg transcript:KQL30047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSDPGLVEEQRWCISASLNDLKGTVRNNRLQSPSRKRCKGSSASSGGNSRYRRDRIVKPRRDNFSTPVTPRSQALRGGVQRSTPCPAFSRSLTRGPTEWWAPGSATALQLGARVKRAAWVAKPSKNAGTNRCGLVFSRLLVFLPFPPPSVLHLRRASRHASRNPPTAPRPPAFSLSRHLPPLPLPLLWAAPPLSRAVPDEGRRERMWSSSQASTRGVVEMGRVEAGPSHFPKRPAPRNPTRVNLSRTHAVKPCSAGDRAGISVKCNLGWSSQPSPDLKHHFIVHSSASEAVLTSQSDMRKLFVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAKFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALREALCEKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIENELTKFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEDLEKKRNNESMYTCLSGLLQFSQSTKYGGCSSLTNQSSESTEPSRTSGMAETIH >KQL30049 pep chromosome:Setaria_italica_v2.0:I:27719970:27724111:-1 gene:SETIT_0164621mg transcript:KQL30049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSDPGLVEEQRWCISASLNDLKGTVRNNRLQSPSRKRCKGSSASSGGNSRYRRDRIVKPRRDNFSTPVTPRSQALRGGVQRSTPCPAFSRSLTRGPTEWWAPGSATALQLGARVKRAAWVAKPSKNAGTNRCGLVFSRLLVFLPFPPPSVLHLRRASRHASRNPPTAPRPPAFSLSRHLPPLPLPLLWAAPPLSRAVPDEVRFRPAASCSSESRARPPTPFPLANCDVGLLLYTGKFYCYEQGRRERMWSSSQASTRGVVEMGRVEAGPSHFPKRPAPRNPTRVNLSRTHAVKPCSAGDRAGISVKCNLGWSSQPSPDLKHHFIVHSSASEAVLTSQSDMRKLFVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAKFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALREALCEKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIENELTKFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEDLEKKRNNES >KQL30048 pep chromosome:Setaria_italica_v2.0:I:27719806:27724111:-1 gene:SETIT_0164621mg transcript:KQL30048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSDPGLVEEQRWCISASLNDLKGTVRNNRLQSPSRKRCKGSSASSGGNSRYRRDRIVKPRRDNFSTPVTPRSQALRGGVQRSTPCPAFSRSLTRGPTEWWAPGSATALQLGARVKRAAWVAKPSKNAGTNRCGLVFSRLLVFLPFPPPSVLHLRRASRHASRNPPTAPRPPAFSLSRHLPPLPLPLLWAAPPLSRAVPDEGRRERMWSSSQASTRGVVEMGRVEAGPSHFPKRPAPRNPTRVNLSRTHAVKPCSAGDRAGISVKCNLGWSSQPSPDLKHHFIVHSSASEAVLTSQSDMRKLFVGNEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQKPLAKFISVVRAPKSKEGYASIGGGSPLRQITDAQAEALREALCEKDVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMATLIENELTKFSEPQKVMIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEDLEKKRNNESMYTCLSEPSRTSGMAETIH >KQL30543 pep chromosome:Setaria_italica_v2.0:I:31560684:31561351:1 gene:SETIT_020608mg transcript:KQL30543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAPNPLAVACLLLLVVAAGAARTEPDAKAAEMLRRCHSDKGWSGRLCRYVCQASGFAGYDFAQPNAANGDLARCCCCPKDHACVQVDA >KQL29404 pep chromosome:Setaria_italica_v2.0:I:15138455:15140757:-1 gene:SETIT_018032mg transcript:KQL29404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYCRSPPRRREDPRRRRLTGMCPRRVPPVSQTPGQAGLDGVAREIGAGRAALFLPRQDRGKGDCALRHARGGCPAQHNPTSPRRRGCPVPVHEDDPSTDAAAALPPPHARRIILFVLSCSLARFDCFCLLARLGCLLGRFVLGSAAKGNLATGERTNRSIQSGAGRWLFSRYIKPRAPPLPAHSIHSIPSLPRFHCSVLLCSQLSFHRRRCCLNPHSLICGRGGSGGAGCRRCPAAARRWCFSASSCLELRRYHTTGRCRGHVLWCKLARPNTSASF >KQL28562 pep chromosome:Setaria_italica_v2.0:I:6716728:6717297:1 gene:SETIT_018611mg transcript:KQL28562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSHGGSRACAMTAFLILLFGCFALCVHCRSPALKDGGGTEKSYLRNDSHLTTPCPSSLSAASSSTSPVNDESKIELVMCVYKGLQCVEGEPCHCCVLEQPEPPCYFTHSECVAKCPFCYPPPCSPPGPTVEGRALQAGSNNATM >KQL30831 pep chromosome:Setaria_italica_v2.0:I:33651073:33651248:1 gene:SETIT_019507mg transcript:KQL30831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RYSVVKGLVDAEAAACLCTAVKVAVGVPRPAPSGSVPLGFDFVLNNCGRKAPADFTC >KQL27692 pep chromosome:Setaria_italica_v2.0:I:135769:136391:-1 gene:SETIT_020367mg transcript:KQL27692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKEFTGAADLDVDTKREGRGKSSSSVASGRSDELIRLLKTRPVRRA >KQL28437 pep chromosome:Setaria_italica_v2.0:I:5877131:5879314:-1 gene:SETIT_017443mg transcript:KQL28437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSHAQAFFLLLQLLPRSPVSFYIERSAALLTCSETSKGISNRQMDPWIGSQPSLSLDLHVGLPPLSRHQAPVAMAKPKVLVEENFLQPLKKEPEVAALETELQRMGEENRRLAEALTAVAAKYEALRSQYTGMVAAGVTNNPSSTSEGGSVSPSRKRKTSESLDTAAAPGAQAQHPPQHGLQHGGQQQPGLADQMECTSGEPCKRIREECKPKVSKLYVHADPSDLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSADDNTILVATYEGEHNHGQPPPAPSSQQQPHDAKNAAAKPPSPPRPAAPAAAPQSPDHPQQLLHHHQQKQQPQQDAVAASELIRRNLAEQMAMTLTRDPSFKAALVTALSGRILELSPTKD >KQL29135 pep chromosome:Setaria_italica_v2.0:I:10601141:10603455:1 gene:SETIT_020146mg transcript:KQL29135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLGTCLLLGAAIYGTSTRRFGAMSMRCKATQTQSVQKKSSSATVQRDKKGKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGRFLLLSCVLLLDYLKEFEKYLLTRKHRGGDDTSNGLLQP >KQL27793 pep chromosome:Setaria_italica_v2.0:I:704830:705471:-1 gene:SETIT_019455mg transcript:KQL27793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSAGAMGTSAAAAKPPSLRLRCVLISCGIGSILVAAALLAVTLTVYRVREPVMTMNAISLKDPAAAAAYSSSPSTPPPLLTLTVVADVSVRNPNAASLRYGATETSVYYRARRVGEARGPPGTAPARRTVRMNVTVDVAVGALLRDPAFLGDVAAGGAVEVATATRVRGRVAVLGGLVRRRVVLEMNCTATIAVADMSISDQSCEQRVWLQ >KQL31171 pep chromosome:Setaria_italica_v2.0:I:36056627:36057215:-1 gene:SETIT_018513mg transcript:KQL31171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCAAETGLVAMDCLVVCCCCPCLVLQVTVFLFVRLPKRVVVKTKRIILRRWHRRRPSSPMAASKGGAGCAAAAAGLKLEELLDLDDGFEAAFGIRDGGADGWKERCFAVDGNDDHDGVWEAIIEQEGLFWFGSFWGRPEQEGPAAGGDDQMGGRSFRLPVSLERVCE >KQL28473 pep chromosome:Setaria_italica_v2.0:I:6104121:6106008:-1 gene:SETIT_017487mg transcript:KQL28473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLAARYWGVGGRRCGACGGSPAAVHCRTCPGGGAYLCAGCDAGHAAAGHERVWVCEVCERAPAAVTCRADAAALCAACDADIHDANPLARRHERVPVQPIGAAAAPHAADPMLFVAAAAVGEKDDDAAAAGMLGGAAKVDAKQLEFLFAEVMDPFLGQEEFARFPHADSVVPNNGSSGGALDLDFGGGVAAGAAKPSYSSYTAASVAHSGSSSEVGLVPDAICGRGGSVTGGVIELDFAQSKAAYLPYAATPTHSVSSLNVGAVPERGDGGVMAGRVAVATAAGESREARLMRYREKRKNRRFEKTIRYASRKAYAESRPRVKGRFAKRADDNDADAAAADDFVTSSTAAAPPPPQQQQPAAYPSYVLNFSPAAAGYGVVPTF >KQL31104 pep chromosome:Setaria_italica_v2.0:I:35650012:35656388:1 gene:SETIT_017154mg transcript:KQL31104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFKLIKEVGDGTFGSVWRAINKQNGEVVAVKKMKKKYYSFEECMSLREVKSLRRMNHPNIVKLKEVIRENDILYFIMEYMECNLYQLMKDRVKPFPESDVRNWCFQIFQALAYMHQRGYFHRDLKPENLLVNKDVIKLADFGLAREVSSVPPYTEYVSTRWYRAPEVLLQSSAYDSAVDMWAMGAIMAELLTLHPLFPGTSEADEIHKICNVIGSPDEQSWPQGLSLAEAMKYQFPQIKGNKLSEVMTSASSEAIDLISSLCSWDPCKRPKATEVLQHTFFQGCTYVPPPVRSKASAPPKTPPCVGAKGVSENNVARRYSTGTLSTMKSHSTTSTKLNSLSKTGVQRKLHMDRPAPQKSTRPPENSNKLTMNRVPARNSPGNPVLRHSRSLPETGRGTIQKVSSITEKLSHMSVTSRTRSTLKPAVPMLKAGHGKSDFLGKSDDIPPAKRLTRKLVS >KQL28178 pep chromosome:Setaria_italica_v2.0:I:4027720:4034313:-1 gene:SETIT_016096mg transcript:KQL28178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVRMGSIAGGSLRRTASSWRASGRSDAFGRSTREEDDEEALRWAAIEKLPTYDRMRKGILTGAAAGGVEEVDIQGLGMQERKNLIERLIRTAEEDNERFLLKLRDRMERVGIDNPTIEVRFEHLNIDAEAYVGNRGVPTFTNFFSNKVMDALSALRIVSSGKRPISILHDISGIIRPGRMSLLLGPPGSGKTSLLLALAGKLDSTLKVSGRVTYNGHDMDEFVPQRTSAYIGQHDVHVGEMTVRETLAFSARCQGVGTRYDMLTELSRREKEANIKPDPDIDVYMKAISVEGQESVVTDYILKILGLEICADTMVGDSMIRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSLRQSVHILGGTALIALLQPAPETYELFDDIVLLSEGQIVYQGPRENVLEFFEAMGFKCPERKGVADFLQEVTSRKDQHQYWCRRDEPYRYVSVNDFAEAFKAFHVGRKLGSDLKVPFDRTRNHPAALTTSKYGISKMELLKACCSREWLLMKRNSFVYIFKVVQLIILGTIAMTVFLRTTMHRRSVEDGVIFLGAMFLGLVTHLFNGFAELAMSIAKLPIFYKQRDLLFYPSWAYALPTWVLKIPISFLECAVWLGMTYYVIGFDPNIERFFRHYLLLVLISQMASGLFRLLAALGREMVVADTFGSFAQLVLLILGGFLIARDNIKKWWIWGYWSSPLMYAQNAIANNEFLGHSWQMVVDPKISNDTLGVQILKARGIFVDPNWYWIGVGALLGYIMLFNVLFVLFLDWLDPLGKGQTVVSEEELQEKHVNRTGQNVELLQLGTDSQISPNGRGEIVGADTRKRGMVLPFTPLSITFDNVKYSVDMPQEMKDKGITEDRLLLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPPEVDSEARKMFVEEVMELVELTPLRGALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGRNSCDLIDYFEGIQGVRKIKDGYNPATWMLEVTTLAQEDILGINFAEVYRNSDLYRRNKALISELSTPPPGSKDLYFPTQYSQSFLTQCMACLWKQHKSYWRNPSYTATRIFFTTVIALIFGTIFLNLGKKIGNRQDLFNSLGSMYAAVLFIGIQNGQTVQPIVDVERTVFYREKAAGMYSALPYAFAQVLIEIPHIFLQTAIYGLIVYSLIGFDWTVVKFFWYIFFMFFTFMYFTFYGMMAVAMTPNSDIAAIVSTAFYAIWNIFAGFLIPRPRIPIWWRWYSWACPVAWTLYGLVASQFGDITHVTLEDDGETVKDFVNRFFGFHHDQLGYVATAVVGFTVLFAFVFAFSIKVFNFQRR >KQL28794 pep chromosome:Setaria_italica_v2.0:I:8122802:8127839:-1 gene:SETIT_017029mg transcript:KQL28794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSGHGAAAAAAVVLVSWLCAAVVGVGAIGANWGTQTSHPLPPDTVVRMLKDNGFQKVKLFDAEDGTMNALKKSGLEVMVGIPNDMLLTMATNMKAAEKWVDTNVSSYLNDGVSVRYVAVGNEPFLETYNGSFLQTTYPAIKNIQSALIKAGLGNQVKVTCPLNADVYASPTSKPSDGDFRTDIHDLMIAIVKLLSDNGGAFTVNIYPFISLYIDPNFPVDYAFFEGASTPIVDGSITYTNMFDANHDTLIWALKKNGFGNLPVIVGEIGWPTDGDRNANAQMAQRFNQGFMTHIASGRGTPMRPGPVDAYLFSLIDEDEKSIQPGNFERHWGIFTYDGQPKYQLNLGTANSGGLVRARGVKYLEKKWCVLKPSVSLTEPRLADNVAYACSMADCTSLGYKTSCGMLDVRGNISYAFNNYYQKNDQDDVACGFQNLATTTGQDPSTGTCRFGIMIEVDSAFSWRLQRLGSNFLLMLLLVLLQLCLSFL >KQL30369 pep chromosome:Setaria_italica_v2.0:I:30152965:30154845:-1 gene:SETIT_020105mg transcript:KQL30369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDPLVVGNVVGDILDPFIKSASLRILYNNRELTNGSELKPSQVVNEPRIEIAGRDMRTLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNVSFGNEVVSYESPKPTAGIHRFVFILFRQSVRQTIYAPGWRPNFNTRDFSALYNLGPPVASVFFNCQRENGCGGRRYIR >KQL30994 pep chromosome:Setaria_italica_v2.0:I:34917562:34918929:1 gene:SETIT_019219mg transcript:KQL30994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAGVAAALVLLLCQLSPSASTACLLPPSFPPPETSSTAVFCVDKSGCCNYTTVQAAVDAVPDNSQKRTIVWINAGIYVEKVTLKKPNVTFQVQGMKATTIVWNDTANFAKGTRNSASVHIDAPGFVAKNISFKVIFPPAPKPGTEGAQAVAIRISGDKAAFSGCGFFGAQDTLLDEQHRHYFKECFIEGSVDFIFGDGRSLYENSTLNSVAEELPQGQHSINGAITAQARQFPENNTGFSFIGCTIQGTGWILLGRAWQAYSRVVFAYTYMPGIHGCLGDGANVAGRVAYARSVDDSQVRPFLDASYIDGEEWLKPFDDALIA >KQL28035 pep chromosome:Setaria_italica_v2.0:I:2844969:2850000:-1 gene:SETIT_019381mg transcript:KQL28035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRHHLLSRALASHHLLPSPATSSLRPTPRRPLPLHSPPPFSPPHGPALLPFVAAASRQYAASSFRRRRSSPPPMLLRRRRARRPTRKGPGELIVQIGIEEDLPDDPETMSIAEALQTDVGKAAKVAFDDLEGLEYKTRDPSISTLNKYDSVEVSLLLCDDIFIRRLNKEWRDEDCATDVLSMSQHIPGLDIPILQLGDIVISVETARRQAEERGHTLLDEIRILMVHGLLHLLGFDHELSEEAEVEMEREEEHVLNTLEWKGKGLIKSAYDTATNMEHLQNSVEANNNIEKLRVREETRTKLSHIICDIDESLREAIVTGVNVIMVTGKSRASIIRTLKLLDFHDKGDFVSETSPGVFLQGSLVYGRHGQEVYKAELDVDICKEAFLYSLKHKIPVVAYCKEQCLTLFEHPFVNLLHTVHHENKVKVMHSIEDLLEYSSIQKLLLFDSAEEDSSVLRQHCSELTEGKARVLKMQPNMIDIVPLSASKGGGIRILLDHLGITEDCDLDAIGDYARWLSN >KQL27835 pep chromosome:Setaria_italica_v2.0:I:1004439:1009706:1 gene:SETIT_016628mg transcript:KQL27835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRHGHSAFTISFPNPNGFVNQCAAVIPAASHPAAGSSQPDVLAPRGYKRKSTELALGLGDSSSSDSSRQSMGTGCTVSSARGSDDGSCMDYDIFKLSLGNEGTSKLHKQACDSRRPFEKPGLDLKLSLAPSQSGVTDVDLIRTTALQETFVQPHIMALVPTVDEGSTSARRPHGGMVLSFLNQADRFSGVSLSQAFPVSSNQVQAPAPPTPTVLQLPKSPAACSSGFVHSQQRSSSTKVCSYSGCVKGARGSSGRCIAHGGGRRCQKDGCNKGAEGKTIFCKAHGGGRRCDYLGCTKSAEGRTDYCIAHGGGRRCSQEGCKRAARGKSGRCIKHGGGKRCQKLNCTKSAEGRSGMCIAHGGGRRCQHTDCGKGAQGSTNFCKAHGGGKRCTHPDCSKGAEGSTPFCKNHGGGKRCSAEGCTKSVHGGTQFCVAHGGGKRCIVEGCRKSARGRTDRCVGHGGGKRCQSTGCGKSAQGSTDFCKAHGGGRRCLWGQPGSDLGSGGAPCDRLARGKRCLCDQHNALVDDNSVHGGASFGVFSMVSDALSHGASPPSAGTSMHSFFMHPVEAPRRAPASAHEGRVHGGNFMPMLDGGVSLGKKPTNNVDAGSSTSAARSWKSSGDIEKPSSSARRSWL >KQL28693 pep chromosome:Setaria_italica_v2.0:I:7504925:7507009:1 gene:SETIT_019741mg transcript:KQL28693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLICNSKASRLAIPILGFALVLILSLASPTTSCTEQEKSSLLQFVAELSDHGGLTSSWKNDTDCCKWEGVACSSNRTVTDVSLAARGLQGHISPSLGNLTGLLRLNLSNNMLSGGLPEELVYSNSIIVLDVSFNQLNGGLQELQSSTLRPLQVLNISSNFFTGRFPSTTWEVMKSLVALNASNNSFTGQIPTMYCVSAPSFALLDLSYNQFSGNVPPGLRNCSMLTSLSAGSNNLSGNLPDELFNLILLEHLSFPNNQLEGSLSGISKLKNLVTLDLGGNNLNGNVPDSIGELKRLEELHLDHNNISGELPSTLSNCTNLMIIDLKSNSFCGELSNVNFSNLPNLKILDLLRNNFNGPIPESIYSCSNLTALRLSSNMLHGQLSESIGNLKSLTFLSLVNSSISNITGALQILGSCRNLTTLFIGHNFFNEAMPEDDTIDGFENLQVLALNHCSLSGNIPFWLSKLRNLEVLLLYGNQLTGSVPDWINSLNFLFHVNLSNNSLIGEIPTALVDMPMLKADKIASKAFELPVYKSQSRQFRMPISFSTTLNLGMNNFSGVIPEEIGQLKALLSLFLGYNKLTGPIPQSICNLTNLEALDLSSNHLTGAIPTALNNLHFLSKFNISNNDLEGPIPTTGQLSTFPSSSFDGNPKLCGPMLAHHCDLAEAIFSAKHKGDKVEKVIFAIAFGAFFCVGV >KQL30976 pep chromosome:Setaria_italica_v2.0:I:34812674:34814409:-1 gene:SETIT_0174372mg transcript:KQL30976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YAVFHEQKWTTQYSCPVTEWILIIGRAISIRGLAQENGTISENVVRSAFAATEEGFLTLVRRTHFIKPLIAAVGSCCLVGVIWRGTLYVANLGDSRAVIGRLGRSNKIVAEPLTRDHNASMEEVRHELISRHPDDSQIVVLKHGVWRIKGIIQVSRTIGDAYLKRREFALDPSITRFRLSEPLRRPVLTAEPSIYTRALHPQDKFIIFASDGLWEHLTNQQAVEIVQSNPRRGIAKRLVRTALKQAARKREMRYDDLKKVEKGVRRFFHDDITVVVVYIDHGLLQQRDASLPELSVRGFVDSVGPSRFSGVTAIS >KQL32278 pep chromosome:Setaria_italica_v2.0:I:42039018:42041501:-1 gene:SETIT_016314mg transcript:KQL32278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADAPAVHDSGGDSELEDQSYQQEPAFEAFMCPLTKQVMQDPVTIETGQTFEREAIHKWFRECRDSGRTPTCPLTQAELRTTDMTPSIALRNVIDEWRARNQDKELDKACASLTQLDMPSEDDALRALLYISQVCHRSGANKNLVRRQGIIPAIAAMLKSSSRRVRLKSLEVLRVVVEDNDDNKEELGKGDTIRTIIKFLSNDHFQERELAVSLLYELSKLDPICQRIGAVYGAILLLVGMGSSKSENLVAVEKAENTLKNLEKHETNVKQMAENGRLQPLLTKLIEGTPQVQVAMAEYLGELALANDVKVVVAEQVGELLVSIMKTGSLPAREATLKALREISSNESSARILLQAGILPPLVKDLLSVGAGHLPMRLKEVSAAILANLVASGAGFRSILVDDESGETLVSEDVVHSLLHLISNTGPAIECKLLSVLVGLTGSAATVADVVSAIRSSGATISLIQFVEAAHREIRLESLKLLRNVSPYMGAELADAIGGHLGSLVRAVSDERGGVTEEQATAAGLLGDLPERDWNLTRQLQDLGAFRALASRLAELRRGTIRGSNRHLAPFTEGAVKVLYRVTCALGEAEEEDLYVEVARELGLAPLFVELLQQMSSAVLLYSAMALENLSLQSGRLTAVPEPPAPRGGLLMCACFGKQPPPAPPGALGTCRVHGGFCSLRESFCLAEGGCKAVERLVACLEHADAPVVEAALVALSTLLGDGVANTAEGVLVLGEAEGLRPVVELLVENRTEALQRRAVWAVERILRVQDIAEEVAADQTVASALVEAYRNGDARTRHTAERALRHLDRIPNFSTAFHQAKARGA >KQL32279 pep chromosome:Setaria_italica_v2.0:I:42038655:42041771:-1 gene:SETIT_016314mg transcript:KQL32279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADAPAVHDSGGDSELEDQSYQQEPAFEAFMCPLTKQVMQDPVTIETGQTFEREAIHKWFRECRDSGRTPTCPLTQAELRTTDMTPSIALRNVIDEWRARNQDKELDKACASLTQLDMPSEDDALRALLYISQVCHRSGANKNLVRRQGIIPAIAAMLKSSSRRVRLKSLEVLRVVVEDNDDNKEELGKGDTIRTIIKFLSNDHFQERELAVSLLYELSKLDPICQRIGAVYGAILLLVGMGSSKSENLVAVEKAENTLKNLEKHETNVKQMAENGRLQPLLTKLIEGTPQVQVAMAEYLGELALANDVKVVVAEQVGELLVSIMKTGSLPAREATLKALREISSNESSARILLQAGILPPLVKDLLSVGAGHLPMRLKEVSAAILANLVASGAGFRSILVDDESGETLVSEDVVHSLLHLISNTGPAIECKLLSVLVGLTGSAATVADVVSAIRSSGATISLIQFVEAAHREIRLESLKLLRNVSPYMGAELADAIGGHLGSLVRAVSDERGGVTEEQATAAGLLGDLPERDWNLTRQLQDLGAFRALASRLAELRRGTIRGSNRHLAPFTEGAVKVLYRVTCALGEAEEEDLYVEVARELGLAPLFVELLQQMSSAVLLYSAMALENLSLQSGRLTAVPEPPAPRGGLLMCACFGKQPPPAPPGALGTCRVHGGFCSLRESFCLAEGGCKAVERLVACLEHADAPVVEAALVALSTLLGDGVANTAEGVLVLGEAEGLRPVVELLVENRTEALQRRAVWAVERILRVQDIAEEVAADQTVASALVEAYRNGDARTRHTAERALRHLDRIPNFSTAFHQAKARGA >KQL30427 pep chromosome:Setaria_italica_v2.0:I:30522916:30526482:-1 gene:SETIT_019368mg transcript:KQL30427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAPRAPGVAGAGGGILSVPSATSLAHCPTRCGDAEFLYPFGTEPGCFRQGFELTCDTTTQPPKLFWANTLYQMVGTDRTDHWFAYASIGFSITMTPGTSTYTRSLESPARGFIIDSDTHMFAVGCDVEVLLFDTGTNLTIGSCTSSCPGDRATMSNESVAVAGNCNGLGCCSIALPDYLQGFRFILSRRDGVIRARSDAQASINVKVFLTQDYEFETSDLYSSWINRSVHTSLEIFATDQPSCEIASANKETYACSPGSSCQTGKWGGYFCYCNPGVNGNNPYILDGCIEGYNPHPKGNCKRSCGNMSIPFPFGLEEGCFAHQKFRLSCVSDKFVVLNREDGTKYKVTTLQVDDGYLGVTSMLNDSSSSDDEVVVVHTTDGDFDYRVPREAMRNLIEFSQEFDIRMRWAVSNLTCGTASQRSTTYACISAHSQCVNVTHGTLYLGYRCKCLPGFYGNPYVQDGCKDIDECLEPNNCNGLCHNTPGGYYCSSCPHGKVFVPTKRKCVTSAKQHNLLFGIAIGIGCGLSSIILALCATIIARKWKQGRRKRMRRAYFKKNQGLLLEQLISDESTTNKTKIFSLEELEKATNNFDAARVLGRGGHGTVYKGILSDQNVVAIKISKIAEQIEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYSLLHSNASAKCLLSWDDRIRIAVESSGALAYLHSAAAIPIFHRDVKSSNILLDASFSTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTGKSDVYSFGVILVELLTRKKPIFINDSGMKQSLSHYFSEKLQEGAIMEIIDSQIVEEADQGEIGDLISLAQACLRTKGGERPTMKEVEMKLQFLRTRRPRKVHDLPGTNGEIGHLFCPQDTNSHTRLDLVNAARLPSEDTRGYSLEKEFASSISLPR >KQL31057 pep chromosome:Setaria_italica_v2.0:I:35318954:35321276:1 gene:SETIT_017659mg transcript:KQL31057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKAALLLAAATWVCLSAAAASAFEFEDVPTVAFDERFSPLFGDGNLVRSSDDRSVRLLLDRRSGSGFISSDYYLHGFFSASIKLPKDYTAGVVVAFYLSNGDVYEKTHDELDFEFLGSRWGGQWRVQTNVYGNGSTSHGREERYLLPFDPTLEAHRYSVLWAPTHIIFYIDDTPIREVIRHPDMGGDFPAKPMAVYATIWDGSAWATEGGKYKVNYKYAPFASEFSDLAVVGPRADPVLRFPRRAGAGADQDLLGLMTADYAVMTPQKRAAMRAFRARQMTYTVCYDAVRYASGPFPECDNSDEERENFSAWGESKTVVMRPRARGRRRGRKAGAGAGAKGRTGVASS >KQL31161 pep chromosome:Setaria_italica_v2.0:I:36003519:36009579:1 gene:SETIT_016597mg transcript:KQL31161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHDSLADSPRRRYNLLRDKVQLVKRKDSNRYEIVRFHDPLSFEKGFFVVIRACQLLVQHNEGIIFVGVAGPSGAGKTVFTEKVVNFMPNVAVISMDNYNDATRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKASCRTGYRTVDAPSSRIVIIEGIYALSEKLRPFMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFSGFQNPMYILKSSRSLTPEKIKAVLGDDHTESNEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATIKIDWLEQLNRQYIQVQGRDRLYVKYVAEQLGLDGSYIPRTYIEQIQLEKLINDVMWSISFIFNAWRQKYSEIE >KQL31162 pep chromosome:Setaria_italica_v2.0:I:36003519:36009579:1 gene:SETIT_016597mg transcript:KQL31162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHDSLADSPRRRYNLLRDKVQLVKRKDSNRYEIVRFHDPLSFEKGFFVVIRACQLLVQHNEGIIFVGVAGPSGAGKTVFTEKVVNFMPNVAVISMDNYNDATRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKASCRTGYRTVDAPSSRIVIIEGIYALSEKLRPFMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFSGFQNPMYILKSSRSLTPEKIKAVLGDDHTESNEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATIKIDWLEQLNRQYIQVQGRDRLYVKYVAEQLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEAFSRVSADRRNKLMKSGLSHSYSTHGDKNIVKLSKLTDSNRRFGGGRAPEPPAINQGAITQLSEQISTLNERMDEFTSRVEELNSKLTVKKHLPSQQNLALPNDACNGSTPTNLFVSQLGNGTLIPHSSSSNQLAKDSPMIEEIMNISRGQRQVIHQLDNLTNLLHEHLVLTRQANAASRNGVLDIDSVICPLICLTVASVGYFMFKGLSRG >KQL31160 pep chromosome:Setaria_italica_v2.0:I:36004417:36007276:1 gene:SETIT_016597mg transcript:KQL31160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHDSLADSPRRRYNLLRDKVQLVKRKDSNRYEIVRFHDPLSFEKGFFVVIRACQLLVQHNEGIIFVGVAGPSGAGKTVFTEKVVNFMPNVAVISMDNYNDATRIVDGNFDDPRLTDYDTLLENIHGLKEGRSVQVPIYDFKASCRTGYRTVDAPSSRIVIIEGIYALSEKLRPFMDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLETAHIKIINKFNPFSGFQNPMYILKSSRSLTPEKIKAVLGDDHTESNEETYDIYLLPPGEDPEACQSYLRMRNREGKYNLMFEEWVTDNPFIISPRITFEVSVRLLGGLMALGYTIAAILKRSSRVFSDGKATIKIDWLEQLNRQYIQVQGRDRLYVKYVAEQLGLDGSYIPRTYIEQIQLEKLINDVMALPEDLKTKLSIDDELVSSPKEAFSRVSADRRNKLMKRFLNNIPVSILNGCSCKVKY >KQL29693 pep chromosome:Setaria_italica_v2.0:I:23418804:23420110:1 gene:SETIT_020053mg transcript:KQL29693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGCEYKRCRWYVRYVGESNGDGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPMPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRRWVPPPPNPPRMTEEEKQEAACRRVRDPPMCKCGVPAKLMRPYLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEQVQEFESGKAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCSRYDLLLKVGNTLEPWKSRKHKKLKKRLGRRKIYQDMVHETGVEPEGLYARETIIKYWRQNRSKEKIGGSCRRRGSWRNKG >KQL31364 pep chromosome:Setaria_italica_v2.0:I:37099718:37101166:-1 gene:SETIT_020237mg transcript:KQL31364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLVLSMLSPTTSGFPPSPRPSKPVSNATAPRCRAALRAFRAHHLAGRALDANPALLPALAACARLPAAAAEAEQIHALLVKCGVPRAVSDVRACTSLVRAYARLGRVGDARKVFDGMSDRTVVTWNVLLDALVRVDDLDAAWKVFVEMPQRNVVSWNTIITGFARQGWAQEAVDLFVEMTVVYGMAADEATMVGFISAVRDIGLLGLGRSAHGYVIRRELSLDGALGVALINMYTRCGSMDAAHSCFSSVSNKNVEHWTSVITGFAAHGLPEMALSLFGEMRQLGIEPNGVTFVGVLNACSHGGLVDEGFKYFSLMRSMGIKPTIQHYGCLVDLLGRAGFLEEAFDLASNLPEDPGFVIWSSLLAACRSHGNVEMAELAASRLADAKPSHGSSYVLLSNTYARAKQWEDLKKTRRRMEEHRVTKKPGLSWIEVDGSVHSFATADKLHTESESIYQMLEDLKHNLTSSAYESEPFPLSEEL >KQL30586 pep chromosome:Setaria_italica_v2.0:I:31947998:31948405:-1 gene:SETIT_020629mg transcript:KQL30586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASPVARAAPNRIDIRALSRGQPNKSVSAPACRPDTAAGGAPRGLAGRSHSQFATFAGARLRRPGLQVYSPRRIVHHQCCAAASGACGRKRQDPCSG >KQL31654 pep chromosome:Setaria_italica_v2.0:I:39044586:39044842:-1 gene:SETIT_020649mg transcript:KQL31654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIAKRPCHLCCAIWVLSSFFFILHKMQLRKNQLISLYQSFS >KQL29306 pep chromosome:Setaria_italica_v2.0:I:13018053:13019771:-1 gene:SETIT_019998mg transcript:KQL29306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYFYQSLLLSVAAVALLQLVKLALRPRPRLPPGPWKLPVIGSMHHLVNVLPHRALRDLAAAHGPLMMLRLGETPLVVASSKETARAVLKTHDTNFATRPKLLAGEIVGYDWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVALKLAEIRAAGPSAPVNLSVMFHSLTNSIVSRAAFGKKRKNAPEFMAAIKAGVGLSSGFSIPDLFPTWTTVLAKITGMKRSLQDIHRTVDSILQEIIDERKAILDEKVKSGAENAEENLVDVLIGLQEKGGFGFHLNNSRIKAIILDMFAGGTGTSASAMEWGMSELMRNPSVMKKLQGQIREAFHGKSVVTEADLQASNLRYLKLVIKEALRLHPPAPLLVPRESIEPCELDGYTIPAKSRVVINAFAIGRDPKYWDDAEEFKPERFEDGGVDFMGSSYEFLPFGSGRRMCPGFNYGLASMELALVGMLYHFDWSLPEGVAEVDMEEAPGLGVRRRSPLMLCATPFVPVVAVSTK >KQL27852 pep chromosome:Setaria_italica_v2.0:I:1212344:1216862:-1 gene:SETIT_017753mg transcript:KQL27852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGGSGREPGSGAGTAPVCSFVRKPPKNIRKRPAAPAGSDDDDGGGGGALAALRSKKGPSSAGKLVFSSADASSEPRRFQYESSRTIQSTDSRATATLETETEFDRDARAIRERQLKQAEEALKKNPSGAASASASGAGEVYKGIHGYTDHKAGFRREHTVSSEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEKEWEEAEKARKRRIAMGGGGSDDEAAEDEDDDDEEALPFACFICRQPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKATLGIFNAAQEIRKKMAQDKKQQQEQ >KQL27851 pep chromosome:Setaria_italica_v2.0:I:1212105:1216862:-1 gene:SETIT_017753mg transcript:KQL27851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGGSGREPGSGAGTAPVCSFVRKPPKNIRKRPAAPAGSDDDDGGGGGALAALRSKKGPSSAGKLVFSSADASSEPRRFQYESSRTIQSTDSRATATLETETEFDRDARAIRERQLKQAEEALKKNPSGAASASASGAGEVYKGIHGYTDHKAGFRREHTVSSEKAGGSHGPLRASAHIRLSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEKEWEEAEKARKRRIAMGGGGSDDEAAEDEDDDDEEALPFACFICRQPFVDPVVTKCKHYFCEHCALKHHSKNKKCFVCNKATLGIFNAAQEIRKKMAQDKKQQQEQ >KQL31310 pep chromosome:Setaria_italica_v2.0:I:36805873:36806058:1 gene:SETIT_019975mg transcript:KQL31310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRRCRWRGAARTRAPARCGCSSWTALPCGWRRPWAPATPSSRSPAAPWQPAERNPSAII >KQL31553 pep chromosome:Setaria_italica_v2.0:I:38359014:38359494:1 gene:SETIT_018954mg transcript:KQL31553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEQRGLSNSNLVHRRKKNRGMRRLGPLRQRKKEIRKKVAPYSAVKKNTYGSRLCFFICFFSDIK >KQL30441 pep chromosome:Setaria_italica_v2.0:I:30581314:30584007:1 gene:SETIT_017413mg transcript:KQL30441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQGAAAAPLPVSAIGFEGYEKRLEISFSEASVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNEDFDSYVLSESSLFVYPYKVVIKTCGTTKLLLAIPRILELAEELSLPLAAVKYSRGTFIFPDAQPSPHKNFADEVAFLNRYFGGLKSGGNAYVIGDPAKPGQKWHIYYATEHPEEPVVTLEMCMTGLDKKKASVFFKTSADGHISCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFNPASFDYDDLVKRVLRCFGPSEFSVAVTIFGERDNAKTWGKKLDVEAYACSNMVEQELPAGGLLIYQSFTATGKAAVGSPRSVLHGFGCDSVENGSENGELDAPLCWEEDAADETEERVAKKLKC >KQL30439 pep chromosome:Setaria_italica_v2.0:I:30581314:30584007:1 gene:SETIT_017413mg transcript:KQL30439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQGAAAAPLPVSAIGFEGYEKRLEISFSEASVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNEDFDSYVLSESSLFVYPYKVVIKTCGTTKLLLAIPRILELAEELSLPLAAVKYSRGTFIFPDAQPSPHKNFADEVAFLNRYFGGLKSGGNAYVIGDPAKPGQKWHIYYATEHPEEPVVTLEMCMTGLDKKKASVFFKTSADGHISCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFNPASFDYDDLVKRVLRCFGPSEFSVAVTIFGERDNAKTWGKKLDVEAYACSNMVEQELPAGGLLIYQSFTATGKAAVGSPRSVLHGFGCDSVENGSENGELDAPLCWEEDAADETEERVAKKLKC >KQL30440 pep chromosome:Setaria_italica_v2.0:I:30581314:30584007:1 gene:SETIT_017413mg transcript:KQL30440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQGAAAAPLPVSAIGFEGYEKRLEISFSEASVFADPNGRGLRALSRAQIDSVLDLARCTIVSELSNEDFDSYVLSESSLFVYPYKVVIKTCGTTKLLLAIPRILELAEELSLPLAAVKYSRGTFIFPDAQPSPHKNFADEVAFLNRYFGGLKSGGNAYVIGDPAKPGQKWHIYYATEHPEEPVVTLEMCMTGLDKKKASVFFKTSADGHISCAKEMTKLSGISDIIPEMEICDFDFEPCGYSMNAIHGPAFSTIHVTPEDGFSYASYEVMGFNPASFDYDDLVKRVLRCFGPSEFSVAVTIFGERDNAKTWGKKLDVEAYACSNMVEQELPAGGLLIYQSFTATGKAAVGSPRSVLHGFGCDSVENGSENGELDAPLCWEEDAADETEERVAKKLKC >KQL28174 pep chromosome:Setaria_italica_v2.0:I:3969907:3971235:-1 gene:SETIT_019049mg transcript:KQL28174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAVFAATSARATAPLLHRSRTRNYSCGLATAGPSPSPSSCASANVRRRRPIPASSCGVHGRGPLVPASDHWGNWTFLLTTAALGIWSEKRTPVGKALSGALVSVLLGLAASSAGVVAADAPAYRVVLDYLLPLAIPLLLFRADLRRVLRSTGALLLAFLLGSAATAAGTVVAFLLVPMRSLGPDNWKIAAALMSRHIGGAVNYVAVSEALGVSPSVLAAGLAADNIICALYFTSLFALAAKIPKEDPTGSDGESVAGDDSSSSSSSSHSAVAMAAAFAICKAGKLAAAALGIQGGSLPCITVVSVALATLFPSQIGKLAPSGEAMAVVLMQVFFAVVGTNGSVGNVLDTTPAIFAFAFVQIAVHLLLTLGVGKLLGIDRKLLLIASNANVGGPTTACGMATAKGWSSLVVPGILAGILGIAIATFAGIAFGVLVLKRM >KQL28005 pep chromosome:Setaria_italica_v2.0:I:2482563:2487164:1 gene:SETIT_018860mg transcript:KQL28005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRSFARPAAAAFLRSAASRSPAACLPRSLASVPRAPAVVRQMALARSLQPLHSAVSAARLTSRLGAEVARAVSQGTLCSSYPGV >KQL28004 pep chromosome:Setaria_italica_v2.0:I:2482563:2487164:1 gene:SETIT_018860mg transcript:KQL28004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRSFARPAAAAFLRSAASRSPAACLPRSLASVPRAPAVVRQMALARSLQPLHSAVSAARLTSRLGAEVARAVSQETGLSVPR >KQL30614 pep chromosome:Setaria_italica_v2.0:I:32213065:32217457:-1 gene:SETIT_017989mg transcript:KQL30614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGGGGHYDGGGGAANANSLFGGGGFMPSQSTAAPESSGGGSLSKVGPERADAAAAHREADHGRGAASDDKSNFAINSVEVSTIRLVGRMLGKVERVTDVAFILDDGTGKIDVNRWENESSDTKEMADVNDGNYVIVNGGLKGFQGKRHVVAYSVRRVTNFNDITHHFLHCIYVHLELTKSNSRLPSQINASTGIPGHANQVRLPNNQEILLEMMYLAWS >KQL30615 pep chromosome:Setaria_italica_v2.0:I:32213366:32217457:-1 gene:SETIT_017989mg transcript:KQL30615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGGGGHYDGGGGAANANSLFGGGGFMPSQSTAAPESSGGGSLSKVGPERADAAAAHREADHGRGAASDDKSNFAINSVEVSTIRLVGRMLGKVERVTDVAFILDDGTGKIDVNRWENESSDTKEMADVNDGNYVIVNGGLKGFQGKRHVVAYSVRRVTNFNDITHHFLHCIYVHLELTKSNSRLPSQINASTGIPGHANQVRLPNNQATTFSASGNTAGNDVSSLVMSVFHDPAIIDREDGITVAYIIDRLKLPEEVIKEVMQKHIDDGNIYNTIDDLHYRSAMNG >KQL29695 pep chromosome:Setaria_italica_v2.0:I:23447729:23452648:-1 gene:SETIT_020079mg transcript:KQL29695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAADVQGVEKETPLMIAAADGLTDNLECLVQARADPNPLDCLGQTPLEIAARFSSRKDVEILFPVTSPIVGVSDWSVDGIIDYMKSVPLAKVDDVHNARLAGGKFQGREAVKNKDYLAATIIYTEAMDLDPDDATLFSNRSLCWFHLSEGKKALLDAQACRAMRSGWAEAFYREGAALMLLKDYEKACGAFLDALKLEPGNTKTKNSLREALESLKLSCAVKE >KQL28817 pep chromosome:Setaria_italica_v2.0:I:8272938:8275892:-1 gene:SETIT_017759mg transcript:KQL28817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGEDVRKVSRQDIQLVQNLIERCLQLYMNQKEVVDTLSLQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLMNQIVAFNKLLEQQYQIMSKDHPSGVPSMPPTAPNGSNSSTLNQNVSFLPDTIPSAAMQDSLLHNGGSNGIVNGASSSDQFMYPSKVVHGLPSGMDASSSLLAAHNSTVGQFNGHNGATIKTESGYSSNSDFGFGNENVFLEQSVGDVSGGSFSSSELNGPQLGDPIMDMDSSSYGFLSQIPRNFSFSDLTEDFSQSAGIFYLSCAEILENYGRSPFIPSEANNFPESAAGEHAEIGNRRLDTISEGVNYEDFGSD >KQL28818 pep chromosome:Setaria_italica_v2.0:I:8271779:8276351:-1 gene:SETIT_017759mg transcript:KQL28818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGEDVRKVSRQDIQLVQNLIERCLQLYMNQKEVVDTLSLQAKIEPSFTELVWQKLEEENREFFKAYYVRLMLMNQIVAFNKLLEQQYQIMSKDHPSGVPSMPPTAPNGSNSSTLNQNVSFLPDTIPSAAMQDSLLHNGGSNGIVNGASSSDQFMYPSKVVHGLPSGMDASSSLLAAHNSTVGQFNGHNGATIKTESGYSSNSDFGFGNENVFLEQSVGDVSGGSFSSSELNGPQLGDPIMDMDSSSYGFLSQIPRNFSFSDLTEDFSQSAEILENYGRSPFIPSEANNFPESAAGEHAEIGNRRLDTISEGVNYEDFGSD >KQL32205 pep chromosome:Setaria_italica_v2.0:I:41785396:41788144:1 gene:SETIT_018691mg transcript:KQL32205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRANIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTAREDIAIVLISQYVANMIRFLVDGYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >KQL32206 pep chromosome:Setaria_italica_v2.0:I:41785374:41788144:1 gene:SETIT_018691mg transcript:KQL32206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRANIPTNSSALIAIIADEDTVTGFLLAGVGNVDLRKKTNYLIVDNKTTVKQIEDAFKEFTAREDIAIVLISQYVANMIRFLVDGYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSAESVASDRR >KQL28121 pep chromosome:Setaria_italica_v2.0:I:3497742:3499514:1 gene:SETIT_020520mg transcript:KQL28121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPLICGGASSCGSTTRTVAISSFHIHSLLTSSTTSFFFFDGTTTSPFHSAP >KQL31441 pep chromosome:Setaria_italica_v2.0:I:37551402:37555465:-1 gene:SETIT_016391mg transcript:KQL31441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDENGLDAIYWREFEKDGDGRGRKSWRPPQPPPPRQQQQQRVEMWPEPQQTSSPPSPPRRSRRNKIDQEPLIPVGSLDSASDVFNESLRPPSAGSSSSSFSVDATRPYARPPAPAVAMNSVPRPSPPPAPAAPPSASPGLPPPPGRASPPPAPSNAAASAAPPPPPPPKPAASPPPPPPPRGPSPPPPPPPKGGPPPPPPKGGPPPPPPPKGPSPPPPPPPGGKKGGPPPPPPKGGASASSSRPPTAPGMPSGAGEQQAKLKPLHWDKVNVQATDHSMVWDKITGGSFNLDEGIIEALFGTAAANRKPKSADSKDSAEASAGLGRSNTPEQIFLLEPRKSHNISIILKSLTVGRDEIIDALRDGHTELSTEVLEKLSRLNISKEEESTILKFSGNPDRLAPAEAFLLRLLLDVPNPFARVNALLFKVNYGAEVAQLKHSLRTLELASQELRTKGLFFKLLEAVLKAGNRMNAGTARGNAQAFNLTALRKLSDVKSTDGSTSLLHFVVEEVVRSEGKRLAINRNYSIRRSGSLARSGHEGSSSAAGQGPSREERQNEYMNLGLPIVGGLSTEFANVKRAAMVDYDAVVSECSILGSRLTDIKRLLETCSDDGLARGLRGFVKAAEQELKSLRGEQERVLELVQKTTEYYHAGATKDRNAHPLQLFIVVRDFLGMVDQACVDIKRKLQQQKKPSPPASQPTTAAAPAAASSTAAAVTKEATDGQPATAQKPPEEADSKRKRVMPRFPNLPAHFMKDSADSDSSSDEE >KQL31510 pep chromosome:Setaria_italica_v2.0:I:38070366:38074673:-1 gene:SETIT_016358mg transcript:KQL31510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAPPPPLPLLPDFNPGVRCFLDARFRSAVDLATAADVEAEIRGRCAELEALVSDLSVRIYEAAAAYSSCREAAGSALRGVRGGLGALKASISTGAGEEVEVGTEQMQFEQLPALASEVARVEMVREYAEMALKLDSLVGDVEDAVSSSVTGKLKSVRDNSEKTHHVTIGYLKNIEDLLALVTATRPQWTHLLSAVDHRVDRSLAILRPQAIVDHRALLSSLGWPPSLSGSKFSSIDSGKQAEIVNPLFSMAGDLKSKYSESFLSLCNLQELQKRRKARQLKGHNVGNQLRQPLWVIEELVNPISTAAQHHFSKWNDKPEFVFALAYKIIRDFVDSMDEILQPLVDMAKLIGYSCREEWISGIVIALSTYLAKEIFPKQIELLQESNSSDTGCTPYQARVSWLSLVDLMISFDKRTQDLISGTGLLLTVKDDDNWQRISVLSVFCDRPDWLEVWAEIERQEALDKLKSAMESEKNWSARIEGTMLEYGSDDYKSPAITTAVQQSLSLLIDRARPIPSITLKAGFIRMSASPIISEFHGYMLRRCQEAEGLTALADDNALLKVSHSINAARYFESTLTEWGEDVFFLEMENLSVNGEGGCIFQQEINHLKEFRVEWVDKITTVLLRAFDSRSRDYLKNKRQWLEKSEGPAVSRAFIESLDYMQGQLSKLEGGLNALDFVTVWRSVACGVDQLLFAGIFTGGTKISNGGVERLQGDLSVLFAVFSAWCLRPESFFPRLSEGLRLLKVDEQQLRDGVFTDKNWLREYGIRHLTAADSERIIKNRVYEA >KQL31509 pep chromosome:Setaria_italica_v2.0:I:38070366:38072658:-1 gene:SETIT_016358mg transcript:KQL31509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKLDSLVGDVEDAVSSSVTGKLKSVRDNSEKTHHVTIGYLKNIEDLLALVTATRPQWTHLLSAVDHRVDRSLAILRPQAIVDHRALLSSLGWPPSLSGSKFSSIDSGKQAEIVNPLFSMAGDLKSKYSESFLSLCNLQELQKRRKARQLKGHNVGNQLRQPLWVIEELVNPISTAAQHHFSKWNDKPEFVFALAYKIIRDFVDSMDEILQPLVDMAKLIGYSCREEWISGIVIALSTYLAKEIFPKQIELLQESNSSDTGCTPYQARVSWLSLVDLMISFDKRTQDLISGTGLLLTVKDDDNWQRISVLSVFCDRPDWLEVWAEIERQEALDKLKSAMESEKNWSARIEGTMLEYGSDDYKSPAITTAVQQSLSLLIDRARPIPSITLKAGFIRMSASPIISEFHGYMLRRCQEAEGLTALADDNALLKVSHSINAARYFESTLTEWGEDVFFLEMENLSVNGEGGCIFQQEINHLKEFRVEWVDKITTVLLRAFDSRSRDYLKNKRQWLEKSEGPAVSRAFIESLDYMQGQLSKLEGGLNALDFVTVWRSVACGVDQLLFAGIFTGGTKISNGGVERLQGDLSVLFAVFSAWCLRPESFFPRLSEGLRLLKVDEQQLRDGVFTDKNWLREYGIRHLTAADSERIIKNRVYEA >KQL30679 pep chromosome:Setaria_italica_v2.0:I:32539381:32541408:-1 gene:SETIT_019754mg transcript:KQL30679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CYRTPIMRRVPLLLLFLTLHRLRLAPAADQFTFDGFAGANLTLNGTAAVTADGLLMLTNGTTLLKGHAFYPSPLRFHRGAAGGGGAVMRSFSAAYVFGIASEYADLSSPGLAFVVARSTDFSTALQSQYMGLANAANNGNATNHFLAVELDTIVNAEFGDMSDNHVGVNVNGLVSQVASDAGYYDDATSAFRNMSLLNRTAAQVWVDFDARASLVNVTMAPLELPKPKKPLLSTTVNLSAIIDGGEAFVGFSSSTGVVASRHYVLAWSFKMDGGPAPSLNISKLPALPETTVPKPDPSKTLKIVLPIASAAFVFALAIIALLIRWRWHKYAELKEDWEVTFGPHRFSYKDLHHATKGFRDERLLGIGGFGRVYRGVLPVSGVEVAVKKVSHESRQGMKEFVAEVVTIGQLRHRNLVQLLGYCRRHGELLLVYDYMPNGSLDKLLHHQDGPVLNWGQRFRIIKGVACGVLYLHEDWEQVVLHRDIKASNVLLDAEMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYLAPELGHTGRASKASDVFAFGVFMLEVACGRRPVVQDEHGDHHLLVDWVAERWRGGTVTDAVDPRLRGDFVVEEASLVMKLSLLCSHPLPGARPGVQQIVQFLDGSAALPELSEAHLGFNLQTLMRNQVLNSLSSSSTVAGNISDIPAAR >KQL29589 pep chromosome:Setaria_italica_v2.0:I:21653576:21654005:1 gene:SETIT_020522mg transcript:KQL29589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVQMLLSQPLRRISISLSYHLAPSPNHECSGRPL >KQL29405 pep chromosome:Setaria_italica_v2.0:I:15146427:15147100:1 gene:SETIT_020408mg transcript:KQL29405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNTRSPPHVATVTSFVFEVITTRTTRETAWHPKKKKQTRFTNEHTCSTKDTCSFAVQPMLLPCIYTLAPNNLHELSYRSHLKL >KQL30267 pep chromosome:Setaria_italica_v2.0:I:29538514:29539051:-1 gene:SETIT_020094mg transcript:KQL30267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSVVLETTHKNHPGKKPPAQIISKATLLIHGPKQKHVSPPPPASSFLQRCCLCHRELAEGRDIYMYRGDRAFCSEECRRQQIFMDEDAGSSCCANGAGAATARGSRRVAGGGGSVAY >KQL31341 pep chromosome:Setaria_italica_v2.0:I:36995276:37000943:-1 gene:SETIT_016336mg transcript:KQL31341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPPPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNALYICGTDEYGTATETKAMEERCSPKEICDKYHAIHNEVYKWFDIKFDKFGRTSSPQQTEICQAIFHKLMKNNCLTENTMQQLYCDTCQRFLADRLVEGACPTEGCTNQAARGDQCDNCSHMLNPTELIDPKCKVCKNTPHVRETDHFFLDLPLLKDKLVNYINDTSVAGMWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPIEKYKDKVFYVWFDAPIGYVSITASYTPEWEKWWKNPDNVELFQFMGKDNVPFHTIMFPSTLLGTGENWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDAKNTNIPPEVWRYYLLTNRPEASDTLFTWTDLQAKSNSELLKNLGNFINRVLSFIAKPAGAGYDSTIPDAPGAESHPPTIELAKKTSKWVEQYIDAMEKVKLKQGLKIAMGISSEGNAYLQNSVFWQLYKEDPVSCAIVMKTSVGLVYLLACLLEPFMPSFSNKVLHQLNLSPEEHLSFSEEKGESVKAKTPWDFLPAGHKIGRPVPLFEELEDEKVSEHREKYAGSQAERSSKAVADAEATKIANQLKSTTLSEGGSKKEQKKQSGNSKSKAAEAEVSVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEEAPRTVVSGLVKYIPLEEMQNRKVCVLCNLKPVVMRGIKSHAMVLAASSDDHTKVELVEPPADAAVGERVTFAGYSGEPESSLSGKSKVWEKLAADLHSNGELVACYKDVPFTTSAGVCKVKTIANGEIR >KQL29578 pep chromosome:Setaria_italica_v2.0:I:21447334:21449003:1 gene:SETIT_020119mg transcript:KQL29578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFGLPSFYSYGFCLLLALLARALFSALHKPGPRMPPGPWQLPVIGSLHHLLLRRGLPHHTMRELSQRYGPLMLLRICERAAVVVSSAEAVREVFKGHDATFSQRPSSPGIDELLRHGQGVIFAPYGDHWRLLRRILMTELLSPRRVEAFRRIREEEAARLVASLALAPPAGQPVDVDERLKEFNADSSVRAIMGDRLRNRAAFLRLVEEGQDPSSLFDLRDLFPSSWLVRMLPRSGKAERHRQKIFRLMEDVLLNHRERTTTTTTTNQDTEGELDNMADVLLRIQKEGDMRVSLNHGVIRAVLIDAVGAALDTSSTTLQWAMAELVANPRVMEKAQLEVRKVMAPQQRVTEAALSDLHYLKAVIKETLRLHPPAPFVPRVCLDDCMVQGYHVPQGTIAITNVWTISRDPKYWEEPDMFRPERFESGQCFDYKGFDFEFTPFGVGRRMCPGINFSHANVEIALASLLYHFDWKLPDGAKPEDMDMTEVWGVTVRRKAKLLLHPIPCIPLVDEP >KQL28979 pep chromosome:Setaria_italica_v2.0:I:9374600:9378116:1 gene:SETIT_018590mg transcript:KQL28979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMGDMPMRPARPGPPLQHRGPPPMARLRPEPIDREKTCPLLLRVFTRVAGHHQNEEFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVALPARKRNARLSFAFVYPDKNGRFVVRQVGSTFAYGHGRGDDAKTLAELGFQIGDYLSVAIM >KQL27822 pep chromosome:Setaria_italica_v2.0:I:910038:913480:-1 gene:SETIT_018269mg transcript:KQL27822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPPLPPKPKPPLPPKPRPPPPKAPAAAVRPTPTPAPATNGSRPAPPFKKPSLAPPPQPLSPVRLRLGNSSSAGVSAMDATVGVRAVSWNPNERVAFRYGGGEGRVALADADGDVALGWAPLAGFEHAPRTVAAVAFVATAKGVVVDEAVAARVRDRYRRRQQGFKVVVDTHVGVRVGALRTGMVPVRLLCDGGAMAPRGGSDGDVVGPMSKCQVYLFRVRWFSLN >KQL31839 pep chromosome:Setaria_italica_v2.0:I:40015327:40015968:1 gene:SETIT_019620mg transcript:KQL31839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAPLLLCSLLCLHPLLATIPPASSSKAAPASYAAATGRQGEPQPPPYARNATVYEASAALCPGCGAWAESLEFLYYHNLVRLARWEVPLAWSPQMASYARWWAAQRRGDCALRHSFPEGQFALGENIFWGGAGGGAWRPGDAVQDWAAEGVDYSYAANACAPGKECGHYTQIVWRDTTSVGCARVVCDDGGVFMTCNYYPPGNVVGQRPY >KQL28499 pep chromosome:Setaria_italica_v2.0:I:6306986:6309163:-1 gene:SETIT_018844mg transcript:KQL28499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRALYNEIRGMKVREVPAYLKPRLTWENVKKSADQAVDRYIEKYIETSKPDPLFHVCFGGMAFSYLVALPWERAHLAHLEEMERTGGKHH >KQL31052 pep chromosome:Setaria_italica_v2.0:I:35282836:35289754:-1 gene:SETIT_016420mg transcript:KQL31052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVGTAERGQAAGKEEEGIVGDGEEEESSPVEQVRLTVPSTDDPSLPVWTFRMWSIGLLSCALMSFLNQFFVYRTEPLVVTQITVQVASLPVGHFMARVLPRTRHRAPKLLGGGEWSLNPGPFNMKEHVLISIFANAGFAFGGGSAYAVGIIDIIRAFYHRHISFFTAWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPSTLVQVSLFRALHEKDEASKGSRQISRSKFFLVALACSFAWYAVPGYLFPALTSISWVCWVFSKSVTAQQLGSGMKGLGLGAFTLDWSTVSSFLFSPLISPFFATVNIFFGYVFFVYLIMPIAYWGFNLYNAKTFPIFSSHLFMSNGTKYDIPSIVNSQFELDKDAYNQRGRVNLSIFFALSYGFSFATIAATITHVGLFYGKEIYRRFKASQKEKPDIHTKLMEKYDDIPAWWFYSLMALSVVVSLLLCTVLKREVQLPWWGLIFACGMAFIFTLPISIITATTNQTPGLNVITEYAMGLIMPGYPIANVCFKVYGYMSMSQAVAFLSDFKLGHYMKIPPKSMFLVQFIGTIVAGTVNLGTAWWLLGSIKDICSDSLPPESPWTCPSDRVFFDASVIWGLVGPRRIFGSAGNYGALNWFFLIGAAGPVIVYALHRMYPNQRWIPLINLPVLLGATASMPPATAVNYNSWLLIGTIFNFFVFRYQKKWWTRYNYILSAALDAGVAFMGVVLYFSLTMENKTIDWWGTAGEHCPLASCPTAKGMDLGPDSVCPVF >KQL31594 pep chromosome:Setaria_italica_v2.0:I:38610625:38611376:-1 gene:SETIT_020176mg transcript:KQL31594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCWVSRLPLAVLLLVATCSSTAAASSYTVGDGSGWTTGVDYTSWTASKTFKVGDSLVFNYAKGLHTVVEVSAAEYMACTAANPLGSDSSGATTVPLKTPGTHYFVCSITGHCGAGMKLAVTVGGSSSPASPTPTTTPRTSPTPTTPYTTPMPTTPTTTTPTPYTTPTTPTCSGGGGGGTTATPGTTPFMSYPSAAGLGSASLAGFGLVCCMMFQLVLL >KQL32151 pep chromosome:Setaria_italica_v2.0:I:41549041:41550296:1 gene:SETIT_019112mg transcript:KQL32151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPLSNAAAHQSVPEAYVFPAHKRPGSSPSPGGAAIPVVDLGGDDPDRIGKQIIDAGREFGLFQVINHGVPEQVMGEMMASAEEFFRLPREEKMALYSTDSKKLPRFHTSLGNEQEELLYWRDCLKLGCYPLEQFRHHWPQNPASLRAALEAYTTAVRAVALRLLRLTAAGLGLDEGHFEGDLTAGAVIMNVNHYTPCPDPTVTLGLVPHCDPNVVTVLTDNGVRGLQTRRRGGGGWDDVVPLPGALVVNFGHQMEVVSNGTLRGGEHRVVTNARAGRTSLATFLMPAMDCAVSPAPGLVADGEASLYRPYTYQEFLGAYTAAVGDRDTVLACFQLNKD >KQL27938 pep chromosome:Setaria_italica_v2.0:I:1864051:1866143:-1 gene:SETIT_016955mg transcript:KQL27938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAREEQVMKEIKDKGVLVEILEDDEERSIDNKLLDLNEGLDVESEEGEVGDDEEDEGEEGDEGGSTIDVGGSGSSSNNSSTNNNSESKKGDTKGGSKSEGSGEQRVPTVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDQDGRPRGAISSVYSPVDFHFMRGDRRFQEMSFFQRAAVLSSSKLEHGSFFASRNCSAPELSRLYGLLQHRPTPQTFDFRNSRNHEWASSHREAMISRKDVTPPSTAPHQTHPLAGSALLRSERRWWPFTDAGAAAATGERRAETGREADFANSIGSSSRPHLCATAVSGDPRLPFRWRPAGGSGRDVVGYPGNNAKITTRSSDPVVIDEALDPQQLERQRDSAMPAYNDEACRKRSLPAETQDETSDLQLSLSPTTVMEAKKRKTTALSGEQEIMDTRELSISLSLSPPAAASMQKRQQEKTRRSNDSRSSGEAVLGQSTLDLTMSIRALE >KQL29709 pep chromosome:Setaria_italica_v2.0:I:23687568:23695875:1 gene:SETIT_016216mg transcript:KQL29709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAALLCSPAQRRRWLLAPEKRRVTASPQCRRPSRNSLSPCRRIWAKWTVLSDAGGAAPVPTPEKVEPTPRRRWKKSTKGVLRFKVVKDKVVKPKVTPKTATPRKVKKDKKQQMPEDGSHSAGAASSNSARRKLDLDSSQSKTCFSRAELMNNLKCLAKSHALNAEPTRRKRSKRGRKRKQMILAHQGTLTGASSSALVPLWGSAQLDIARYGNHGKRLWNKVLGLTEETLRVCDVLAKWDGSDSESFEGFDIGSGPEWDQTRHVFERLVDIFIAAMLDLLGPRKFSPWGGSLIDSVVGTFLTQNVADNLSSHAFMNLAAKFPPRKRCHKAEDCSNTAPLVDGVDENLNPNEAYDTFDSVDSDFGEYIDSEEEDGHDTEAKGHYGEEYNRLIENFIDNLKENGISTWDSDLMNLVKDKSGNPICTERTLRKFVASLRPVPSSIWKELREEAYRKGYSDTSRTSDAVDWESVLHAPIAEVAKCIELRGQHYILALRIQVFLMNVKKAQDGSFDLDWLRYISREKAKNFLLSIHGIGVKSADCIRLLSLRHKAFPVDVNVARIVTRLGWVKLQPINGAEFHLINSYPIMRDVQRYLWPRLCTIDKEKLYELHCLMITFGKVMCTKINPNCSACPFSAQCKYSNSSLARKSLPPPEKHEHEHGEQQSSMVASGRFLLSNDSCMPSAQHMYQHQIEISRTAETPPIHNCEPIVEMPQSPEYEYEAPNEQEDFYEDHICDLEDIIPGVQYDGEIDLCSSKHVLNSRSWTPKCGKDLVVMNPKSSFGPNKKLKNVGRLRTEHNAYVLPDDHVILEEFEERVPEDLCPYLLVIISCPNDYTVEGTVLIPCRTANRGKFPLNGTYFQANEVFADHSSSRHPITIPRECIGMLDRSIVYFGSSIHSIARGQTRHDIEECFKKGYVCVRGFHRRTRTPMRLCSTLHANTIKKEAIKKEAMKKEGGETPTKRARTSPEGKSKEKKASSANQVPMAA >KQL30628 pep chromosome:Setaria_italica_v2.0:I:32279293:32283563:1 gene:SETIT_018239mg transcript:KQL30628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKEHIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGIIRADREFKVLSPSEIKDFLEEVE >KQL30126 pep chromosome:Setaria_italica_v2.0:I:28258120:28259823:-1 gene:SETIT_018389mg transcript:KQL30126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSYLPLRSFSVSPTTIAGAAYRSPTAATVPFPRATLSHHHRRRLASSANGSLPGLPLVEEDDDEVCPVDCVTEFKTDEEFVRHLERSKATGALVVVDFYRPSCGSCKYIEKRFMRLCKGSADDGAPVVFLKHNVIDEYDEKSEVAERLRIKVVPLFHFYKDGVLVESFATRDKERIIAAIQKYSSPEPETTEEEVQE >KQL30374 pep chromosome:Setaria_italica_v2.0:I:30182914:30189833:1 gene:SETIT_017194mg transcript:KQL30374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPAGQVDVHGLDCEIVLLATRAFAETMDGRVHKSSCRTNSDVVHTRATETRLSYLLDMEHLGSRTTDRGNPHRATATATFSASHRAPLHPSCRSSTRKRTRAPPAKKPTTSRPGHRPPARAPPPPPLPVGGNSASSMRRFLPARGGGGEPSSSSSSGGHQRRELAGEAGLRYDGGGDISLGHGHDAGGGHRRHHHHQLGGGGGGQAAERQQQDDGSMDMLARHSSSPAGFFSNLVVDNGYPGSKGAGGSGGAEASGSGRKMKPSQFNFTRPQPGGAAGHLSQISEDGAFPPGLVGDRAGESSSSGGGAAAARSFSGGFSIVGPWEESRDIIATLGAYDSQFSGAMAGTALEMAGMDRYMQLQPDQMPFKVRAKRGCATHPRSIAERERRTRISEKLRKLQELVPHMDKQTSTADMLDLAVEHIKGLQSELQALKHEQEKCTCCRKR >KQL27824 pep chromosome:Setaria_italica_v2.0:I:920254:920549:1 gene:SETIT_020586mg transcript:KQL27824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHSQLAYMLAKVANSSPAKLPLTFGNGISISPCSV >KQL32199 pep chromosome:Setaria_italica_v2.0:I:41755814:41757189:-1 gene:SETIT_020192mg transcript:KQL32199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPQALTPTLHASFLCSLALVLLRAGRLSAASQIVSSFPASPPASLLRRLIPALASSGLAAAAVRFRPLPVDPHTLNSIILSYCNLRLLRPALSLLRPREEMCKRGVPFDGVTVNTVLVGLCRSGLVDEAARLTEMLVGGRGIGSLDVVGWNALIDGYCKVQDMAAALAVAERMRKQGVPLDVVRYNSLVAGFCHSGDASAAWDVVEAMKADGVEPNVVTYTAFIGEYCKRKGIEEAFNLYEGMVRLGVLPDVVTLSALVDGLCRDGWFSEAYALFREMDNIGAPPNHVTYLKARRVKESFGLLGEMVSRGVVIDLVMYTAMMDCLGKEGEIEEVKDMLQHALLDNLTPNCVTYTVLIDAHCRTGNVDGAEQVLLQMEEKSVSPNVITFSSILNGLVKRGCLNKAADYMRKMKDSGIAPNVF >KQL28117 pep chromosome:Setaria_italica_v2.0:I:3453482:3453925:1 gene:SETIT_018705mg transcript:KQL28117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQEKRSMAEALLEQTLIQPAPEDHEGAWAPSLLTLVGFKFLTFSSAMAVSSWCRDYGAVAFVTFSYLDLVMLFYCLRLYERTPPPESPRRELLKMVMWILATMHTIAVFKLLEFNLVPNATP >KQL28435 pep chromosome:Setaria_italica_v2.0:I:5866911:5869507:-1 gene:SETIT_017870mg transcript:KQL28435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFEGYGYRGSTFEQTYRCYPASFIDKPQLEAGDKIIMPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAVPANTEPTGEAPAEEEPKFIPFTGSGRRLDGKPSKDKDMLASCPAKRQANATNSVQPSTASTSQGGSSRKTTGKLVFGSGGNRADKAPEKEAKEEPKKEEPKFSAFTGKKYSLKG >KQL28434 pep chromosome:Setaria_italica_v2.0:I:5866664:5870660:-1 gene:SETIT_017870mg transcript:KQL28434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFEGYGYRGSTFEQTYRCYPASFIDKPQLEAGDKTSLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAVPANTEPTGEAPAEEEPKFIPFTGSGRRLDGKPSKDKDMLASCPAKRQANATNSVQPSTASTSQGGSSRKTTGKLVFGSGGNRADKAPEKEAKEEPKKEEPKFSAFTGKKYSLKG >KQL28433 pep chromosome:Setaria_italica_v2.0:I:5866664:5869068:-1 gene:SETIT_017870mg transcript:KQL28433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSALDRLASLHIEYPMLFEVHNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAVPANTEPTGEAPAEEEPKFIPFTGSGRRLDGKPSKDKDMLASCPAKRQANATNSVQPSTASTSQGGSSRKTTGKLVFGSGGNRADKAPEKEAKEEPKKEEPKFSAFTGKKYSLKG >KQL31766 pep chromosome:Setaria_italica_v2.0:I:39663226:39665216:1 gene:SETIT_017395mg transcript:KQL31766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDWSIFSKLDNNGGYLHKFPVGSPISHDIGLGLISQVGNLVECSFQHPRHICATGSGAVQEAFSSFNKFAGAFYFWFSRASNPKLLQRLSAAAGSSSRACRSHIKQVTSCLQHLPGLQFGSQLREEHAVQVLLARLASATFGRLWTEVEEHHACNVLMLAAATVIPPFENISPKMLAESLALGKDGGHIREPADQPYSEENRSGCACVAVPRLILPEDATEPKTGIKFPTILEDNSNLSTEVLVGIGFRSMRIMRVKNLNLYAFGLYIQPDSICKKLGPKYACIPDAELKDHPDFYEDLLRENIDMTVRLVVSYNGLSIGTVRDAFEKSLCFRLQKMNPNTDYHCLKTFGSYFSEDIRIPAHNCRVLRSTSGKHLMGS >KQL31767 pep chromosome:Setaria_italica_v2.0:I:39663226:39666022:1 gene:SETIT_017395mg transcript:KQL31767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDWSIFSKLDNNGGYLHKFPVGSPISHDIGLGLISQVGNLVECSFQHPRHICATGSGAVQEAFSSFNKFAGAFYFWFSRASNPKLLQRLSAAAGSSSRACRSHIKQVTSCLQHLPGLQFGSQLREEHAVQVLLARLASATFGRLWTEVEEHHACNVLMLAAATVIPPFENISPKMLAESLALGKDGGHIREPADQPYSEENRSGCACVAVPRLILPEDATEPKTGIKFPTILEDNSNLSTEVLVGIGFRSMRIMRVKNLNLYAFGLYIQPDSICKKLGPKYACIPDAELKDHPDFYEDLLSAFEKSLCFRLQKMNPNTDYHCLKTFGSYFSEDIRIPAGTKIDFRQTSDGQLITEIDGRQIGTVQSKDLCRAFFDMYIGDPPVSVETKQDIAQNVAGLIRRC >KQL30889 pep chromosome:Setaria_italica_v2.0:I:34116166:34118447:-1 gene:SETIT_017140mg transcript:KQL30889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCFGSDVPEVGAVKAMAHAHHAHPQVAMAKRVMAASNAAHAAVSPGMPGRSPPSAPSTSRGGAGTGIKRPAGGGATTSGGGGEASLNGRILEVPNLRVFTFAELRAVTRNFKADTVLGEGGFGRVYKGWVDERTMSPARNGAGSMPVAVKKLNPESLQGVQEWQTEVNFLGRLSHPNLVRLLGYCWEEKELLLVYEYMAKGSLENHLFRSEPRKGGAAVQPLPWSVRLRIAIGAARGLAFLHSSEKHVIYRDFKASNILLDTHFNAKLSDFGLAKDGPAGGSSHVTTRVMGTYGYAAPEYMATGHLYVKSDVYGFGVVLLEILTGMRALDTDRPAAQHNLVDWAKPFLADRKKLARLVDPRLEGQYSSRGAQRAAQLTLRCLAADHKNRPSMREVVAVLEEIESMSRAAATRMDGSASPRPAAAGRNGHAAQRHGSGSSSDWAGPAGGRRTHPSPRAVG >KQL28532 pep chromosome:Setaria_italica_v2.0:I:6507233:6510845:-1 gene:SETIT_018139mg transcript:KQL28532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRPGWVAGLVAESFFVACPAHEAHKKNERNIFCLACCASICPHCAAAHRHHPLLQVRRYVYHDVVRLGDLEKLIDCSYVQTYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCSLSCKVDHVMMQGGDLSNIVQYYGGVGGATTDPDHLAFPRFENLRVDGSDLDDDTDGGQVTPNSILEDPTQHYGNGGGGGGGSSDNGDTRVGNGGNARRGQAAKRKKGGGFFPQIVLSLGNRRKGAPHRSPLA >KQL28857 pep chromosome:Setaria_italica_v2.0:I:8503775:8507587:1 gene:SETIT_016291mg transcript:KQL28857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPSAANLLALLRRNAASPAVALRLFLHLSSAASPPPPRSTSFLARLLAAQPAADALLPRLLRHVLSFPDPSPHLLALLSCSDALPLRLALPAFRSLLALASAPPPPTPVYNRLILAALRESRLDLVEALYKDLLLAGAEPDVFTRNLLLQALCDAGRMELAQRVFDAMPARNEFSFGILTRGYCRAGRSVDALKVLDRMPTINLVVCNTVVAGFCREGQVEEAERLVERMRAQGLAPNVITFNARISALCKAGRVLDAYSIFKDMQEEWQQGLPRPDQVTFDVMLSGFCDAGFVDEARVLVDIMRCGGFLRRVESYNRWLAGLVRNGKIGEAQELLREMTHEGIQPNSYTYNIIVSGLCKEGKAFDVRRVEDFIRSGVMTPDVVTYTSLLHAYCSKGNTAAANRVLDEMAQKGCAPNSFTYNVLLQSLWRAGRITEAESLLERMSEKGYSLDTASCNIIIDGLCRNSRLDVAMGIVDGMWQEGNVALGRLGNSFLAVTSDSSSRQRCLPDRITYSILISALCKEGRFDEAKKKLLEMIVKDISPDSVIYDTFIHGYCRHGKTSLAIKVLRDMEKKGCNPSTRTYNLLIRGFEEKHNSDEIIKLMSEMKDKGISPNVMTYNSLIKSFCERGMVNKAMPLLDEMLQNEIVPNITSFDLVIKAFCKIADFPSAHMVFDAALRTCGQKEVLFCLMCTEVSTYGRWIEAKNILETALEMRISIQSFPYKQIIAGLCEAGEMDHAHNLLKLLISKGYTFDPAAFMPVIDALSNKGKKQDADMLSEKMMEMADFNDGLGTYSGKITPGSRRHEHAKNGQSDWRALLHRDDSARTIMKITRRVRTGWGQRGNVYEHKQQQNDDFYVLENTG >KQL28356 pep chromosome:Setaria_italica_v2.0:I:5342369:5342444:1 gene:SETIT_020188mg transcript:KQL28356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEIMAKGTVPLGKMIGNLIQDRDG >KQL30490 pep chromosome:Setaria_italica_v2.0:I:30972478:30973077:-1 gene:SETIT_020339mg transcript:KQL30490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLIFSALLHPFLPLSISSPLSIHSSTTGGQQVVQDLVSVNTSQHRRMIDGDCGTGNPVDDC >KQL30578 pep chromosome:Setaria_italica_v2.0:I:31893408:31896769:1 gene:SETIT_018209mg transcript:KQL30578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKVVEEAPAVVAVPSRFRRICVFCGSSHGKKKSYQDAAIELGKELVARNIDLVYGGGSVGLMGLVSQAVYNGGRHVIGVIPKTLMPREISGETVGEVKAVADMHQRKAEMARRSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNPLLSFIDKAVEEGFIKPTARSIIVLAPTPKELIQKLEEYSPQHEEIIPKMKWEMEQVDYPQNYEIPRPKEGNMVVEAQHGSRLWM >KQL30782 pep chromosome:Setaria_italica_v2.0:I:33277718:33278666:-1 gene:SETIT_017883mg transcript:KQL30782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAAPAPTQQTSHVSRAIPLGLVPVAVLLAAAVGLLALLPSLAQAVWEVPQLFLLGLVISYGVFAQRNADADGNAAKERSLAWNSRYHPDGPLVVVADHAAAPSDDDEDGQHGARERPLSLPVRRLKSAAAQESETGGDAGDAFGEETDSCASSSGFWAGARAVPSPPSVLDADLGLSPCSQPESSRPFFVHGANKSHGFDAATLSTMSRVPHDQPWSDDGEGTDWEEDAEGSDEMTTSSERSVRGDLAAAACVYDHSEGDGDGDTSVDEELLELAAKAEPDGEEEVDRKADEFIAKFREQIRLQRH >KQL32248 pep chromosome:Setaria_italica_v2.0:I:41922697:41923535:-1 gene:SETIT_018480mg transcript:KQL32248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVPETTTMMEAKVGAGVIPTAAASAAVAAAYGGPIPLLGIAGNHSCSTRRAQPHLGSARQPRSHPCPGPGTRPTMPPIILVAPPVAATPPEAMPSSPSATRSSPRKRRRFTPGPLAAAGRWVPDESARASMVNAMTVFIGAAAAAVMVHIDSGKYVEEGWFSLGLLPPFLDP >KQL29236 pep chromosome:Setaria_italica_v2.0:I:11890794:11896261:1 gene:SETIT_017203mg transcript:KQL29236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMPATSSASPCQAPLPAGLGLPLLTSPSARAGTLAFGRRGLRLRLRGAAAVPPAGHLFLGLPKPRCSYIAAIGDVAAVSDDYIDSSPSSSGYPNSSMGSLSHEDNQPERVVKMNQKSKDSNKMIKICDKLIGVFMVDKPTPTDWRKLLAFSREWDNIRPHFFKRCQERADAEPNPEMKHKLLRLSRKLKEIDEDVQRHNELLEVVKSTPSDKIGAIVAKRRKDFTVEFFNHLYYVAESYHDEPEKQTELAKLGNDCVDALQAHDDTTGSLEALNAAELKLKDILNSSSVDAACRKIDDLAEKKELDSALVLMLSKAWSAAKGTDITKSEAKDIMFHLYMTAVANLQRQMPKDIRILKHLIMIEDPEERLSALNDAFTPGPELQGDNVDTLYTSPEALHTWASAIVDAYYNSREGTLLGQARDLMNPKIIRRVEEIVKIIKDKYL >KQL29332 pep chromosome:Setaria_italica_v2.0:I:13833545:13833739:-1 gene:SETIT_019611mg transcript:KQL29332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDLLYMRGAQAGTSSSSESCDIWRPPDDGWVKINIDASFLAEGAKVGGGVVIRNHQGNLISA >KQL29963 pep chromosome:Setaria_italica_v2.0:I:27059324:27062317:1 gene:SETIT_017908mg transcript:KQL29963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDGPHGHQDTSSSNERSFVPPTAVNFVGPAENNNGGSKIGSPVTMDAGKGKEVIPNAIQGGEHGSPSGGEANAGRSGGKNAGNGLAKGESSMAADDGNLKVNIIIERERRRKMKGMFNSLLDLMPHVPKKVDQVTLVDETINFIKSLEQTKAQLEKKKQEQVLVRQAAASSMSMPRTAHGMAALSDGWDPLPQQKPAASAAAAAGPLEFQTWSAPNVVLSVLTNDEAVINICAPRQPHMLTLVLSVLSKYKIDVTSMQVVADTAESLLTINTRVNGASGENPSAEDIYKLAVSEIVVMLSS >KQL30696 pep chromosome:Setaria_italica_v2.0:I:32683056:32686873:1 gene:SETIT_016818mg transcript:KQL30696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAAVIPDGRRWGKGAGSPSSPVTTAIFLFLFVVVVGVLVSARWITTTTHLAITNLDQWRSKPAILTATQTTSIPAIPTAPPPPRATYSLSCSAPPLPRDPDIPSNISQTLDLVLSSNASSTSTCAAIPDPPPLPATANASSTCPAYFRYIHEDLHPWRAAGGITRAMVDRARATANFRLVVIRGRAYIERIAPAFQTRDLFTIWGILQLLRRYPGRVPDLDLMFDCVDWPVVHADQYEGENATVLPPVFRYCGDNETLDVVFPDWSFWGWPEINIKPWDALQKELDSGNKRVRWMDREPYAYWKGNPDVAATRQELVKCNVSSEHEWNARIYKQDWLKEIKAGYKQSDLASQCTHRYKIYIEGSAWSVSEKYILACDSMTLVVRPKYYDFYSRMLMPMQHYWPVRDDNKCISIKYAVDWGNSHKQKAQRIGKQASNFIQKELSMDYVYDYMFHLFTEYAKLLRFKPTKPPEAVEVCSESLACQAVGNEKKFMEDSMVRSTSDAGPCDLPPPFSPEEFKALQRRREKSMKQIETWEQKASKPVDSKP >KQL31691 pep chromosome:Setaria_italica_v2.0:I:39222961:39224597:-1 gene:SETIT_017009mg transcript:KQL31691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAPSLALPTPPRPTANPPPARRRAPRDVVSWTSAIARAARQGDLPAAAAALSAMLSSPAAPAPNDVTLLTVLSACAGSPSSPLARPLALSLHAHALKLFPAHLLLSTCLARFYLSSRLPHVALQLFDSMPVRSIVTYNTMISGLMRNGLVDAAFEVFDGMPEPDKVSWTALIDGCVKNGRHDDAIDCFRAMLLNGVEPDYVTLIAVVSACAEVGALGLGMWVHRLVVRQGLERNVRVANSLIDMYARCGQVELAAQVFRSMRKRTVVSWNSMIVGFAANGRCTDAIELFEEMQRQGFKPDAVTLTGVLTACSHAGLTDQGLRYYDLMTTEHGVASRMEHYGCVVDLLGRAGRLDEALRVVETMPMRPNEVVLGALLAGCRMHGDLDMAEQLMQHLLELDPGGDANYVLLSNIYAAVGKWDGAGKVRSLMKARGLKKRPGYSAVEVDGDVHEFVSGDRSHLQAESIGEMLGLLRHEMARYGYDEHGGSCFVGD >KQL28592 pep chromosome:Setaria_italica_v2.0:I:6868676:6869302:-1 gene:SETIT_019244mg transcript:KQL28592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AMASPLALAYDPSPLQDFCVADTSSDAVFVNGHVCKDPSQVTAGDFAFAGLHVAGDTSNAFGSRVTLVDARAVPGLNSLGGVSMARLDLAPGGLNPPHTHPRAAEVLTVVEGEMRAGFLAADGTLFARVLSVGDAFVFPRGLVHFEFNCGDRPAVGIAGLSSQNPGLVRVADSLFGANPAVDDDVLAKAFRIDAATLQRIKAQFATKK >KQL28719 pep chromosome:Setaria_italica_v2.0:I:7730181:7731012:-1 gene:SETIT_019771mg transcript:KQL28719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVAAAALPLPPVSLLNQPPPLLKNMLINTAEASYLAGAGAICLRPEPPARLAQRRLPPAGSSSTARAASNGLRTHCMKTWNPFTNRRYEALSYLPPLTAESVAKEVDFILAKGWVPCLEFDKAGEIHRSNSRMPGYYDGRYWTLWKLPMFGCAGAAEVRRELDECRREYPDAYIRLIAFDSSRQCQCMSFVVHKPAHAPPAAASPAASN >KQL31846 pep chromosome:Setaria_italica_v2.0:I:40056674:40058815:1 gene:SETIT_018730mg transcript:KQL31846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRESGEGDSFLPRSRPPQSRSPRPRAAAARAQCPPTRPASLWGRIWWLPRQARVGWPSSTFVSREQAPGARHRPSLSPVATACRPRELSRATGGPPPPLRPPANYLENNYLGSILGV >KQL31901 pep chromosome:Setaria_italica_v2.0:I:40360210:40364115:-1 gene:SETIT_016718mg transcript:KQL31901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASTSAAAAVLASRLLRRSPRLLRRLSLSRAAPAALSSSSRPLAPLARQPLGHRARMGHTAAAAAAAGPALGLTKPNAVEPPQITFSAKDFEFSEWKGDILAVAVTEKDLSKDSDSKFENAVLKKLDSQLGGLLSEAAAEEDFTGKAGQSVVLRVAGQGFKRVGLIGLGQNAPSTAAACRGLGESVASVAKAAQASSAAIVFASPSGIQEEFKLTAAAAIASGTVLGSYEDSRYKSESKKVHLKQVDIIGLGSGPEVDQKLKYANDLSSGVIFGRELVNSPANVLTPAVLAEEASKIASTYSDVFTATILDEEKCKELKMGSYLAVAAASANPPHFIHLCYKPTDGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPNIAGIFTPSDELAKEVTAASEVSGEKFWRLPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQFVDEKVQWMHIDMAGPVWNDKKRAATGFGVSTLVEWVLKNSSS >KQL31902 pep chromosome:Setaria_italica_v2.0:I:40360848:40364115:-1 gene:SETIT_016718mg transcript:KQL31902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASTSAAAAVLASRLLRRSPRLLRRLSLSRAAPAALSSSSRPLAPLARQPLGHRARMGHTAAAAAAAGPALGLTKPNAVEPPQITFSAKDFEFSEWKGDILAVAVTEKDLSKDSDSKFENAVLKKLDSQLGGLLSEAAAEEDFTGKAGQSVVLRVAGQGFKRVGLIGLGQNAPSTAAACRGLGESVASVAKAAQASSAAIVFASPSGIQEEFKLTAAAAIASGTVLGSYEDSRYKSESKKVHLKQVDIIGLGSGPEVDQKLKYANDLSSGVIFGRELVNSPANVLTPAVLAEEASKIASTYSDVFTATILDEEKCKELKMGSYLAVAAASANPPHFIHLCYKPTDGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPNIAGIFTPSDELAKEVTAASEVSGEKFWRLPLEESYWESMKSGVADMVNTGGRQGGSITAALFLKQVHMSSSCLLLASPPE >KQL31903 pep chromosome:Setaria_italica_v2.0:I:40362170:40364115:-1 gene:SETIT_016718mg transcript:KQL31903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASTSAAAAVLASRLLRRSPRLLRRLSLSRAAPAALSSSSRPLAPLARQPLGHRARMGHTAAAAAAAGPALGLTKPNAVEPPQITFSAKDFEFSEWKGDILAVAVTEKDLSKDSDSKFENAVLKKLDSQLGGLLSEAAAEEDFTGKAGQSVVLRVAGQGFKRVGLIGLGQNAPSTAAACRGLGESVASVAKAAQASSAAIVFASPSGIQEEFKLTAAAAIASGTVLGSYEDSRYKSESKKVHLKQVDIIGLGSGPEVDQKLKYANDLSSGVIFGRELVNSPANVLTPAVLAEEASKIASTYSDVFTATILDEEKCKELKMGSYLAVAAASANPPHFIHLCYKPTDGNVKRKLAIVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGSAAVFGAAKALGQIKPPGVEVLQLQILI >KQL31939 pep chromosome:Setaria_italica_v2.0:I:40544767:40545239:-1 gene:SETIT_020555mg transcript:KQL31939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLKKFNQSHVLLRRAYLVIRHTNRSHEII >KQL27816 pep chromosome:Setaria_italica_v2.0:I:864484:867020:1 gene:SETIT_017757mg transcript:KQL27816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACSWRTSSRYSRPTTSTLTPTCSPSAAAWTRRASKEISDLEGELLSVRNLQSTQSALIHGLSEGVQIDSLSTGHEGSAEEDISTVEDQEPSEIWKWSTDFPDMLDVLLAERRVDEALDALDEAEQIAADAKQKGTLTTADILALKRAISENRQKLADQLAEAACQSSTCGVELRTAASALKRLGDGPRAHSLLLSAHNQRLQLNMQTIQPSSTSYGGAYTASLAQLVFRVIAQALSDSAEVFGDEPAYMSELVTWATKQAMSFSLLVKRHALASCAAGGGLRAAAECVKIALGYSELLEARGLSLSAVLMKQFSPSVEQAYVSLGGLFS >KQL28627 pep chromosome:Setaria_italica_v2.0:I:7120301:7123365:1 gene:SETIT_017023mg transcript:KQL28627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCRKLDGASSWRRGFFGSAVSRARRRPAGSEGLRWATRLTGLDEAGGAVTDSRHRIASPPCLAPWPGNINRHPSSTPFPISSRVVRRAQPKSKSSPSREPPRSLARFKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL28625 pep chromosome:Setaria_italica_v2.0:I:7120301:7123365:1 gene:SETIT_017023mg transcript:KQL28625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCRKLDGASSWRRGFFGSAVSRARRRPAGSEGLRWATRLTGLDEAGGAVTDSRHRIASPPCLAPWPGNINRHPSSTPFPISSRVVRRAQPKSKSSPSREPPRSLARFKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL28623 pep chromosome:Setaria_italica_v2.0:I:7120301:7123365:1 gene:SETIT_017023mg transcript:KQL28623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCRKLDGASSWRRGFFGSAVSRARRRPAGSEGLRWATRLTGLDEAGGAVTDSRHRIASPPCLAPWPGNINRHPSSTPFPISSRVVRRAQPKSKSSPSREPPRSLARFKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL28626 pep chromosome:Setaria_italica_v2.0:I:7120301:7123365:1 gene:SETIT_017023mg transcript:KQL28626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCRKLDGASSWRRGFFGSAVSRARRRPAGSEGLRWATRLTGLDEAGGAVTDSRHRIASPPCLAPWPGNINRHPSSTPFPISSRVVRRAQPKSKSSPSREPPRSLARFKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL28624 pep chromosome:Setaria_italica_v2.0:I:7120301:7123365:1 gene:SETIT_017023mg transcript:KQL28624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCRKLDGASSWRRGFFGSAVSRARRRPAGSEGLRWATRLTGLDEAGGAVTDSRHRIASPPCLAPWPGNINRHPSSTPFPISSRVVRRAQPKSKSSPSREPPRSLARFKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL31812 pep chromosome:Setaria_italica_v2.0:I:39895602:39897810:-1 gene:SETIT_019555mg transcript:KQL31812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFGGATSPERQAKMRLPVVAWSCAMATVLALGTVVPVAEARKGDDNVAAVSRICRSTPYPSACETALTSAEARRARDPFAASVQFAMARARTARSLARNLSASAPPTAMHDCAELLDISLAQLRDALAGRAADAAGATTWLSAALTNQGTCNDSLAAAATPKALAAGRGAVRKQVAALAQFISTALALHVKRVKGGRGVPPSAATAAPSPKSSTFPSWLSEHDRKLLESPATDVITADAVVALDGSGTHRSINEAIAAVTSPVDTEAGGGREAGRARRKVIKVKAGRYKESVSISYQQENVMLVGEGKGKTIIDGNKSVAGGYTTYSSATFAAMGAGFIAKGVSFVNSAGPGKGQAVALLVGGDRSVVYQCEIKAFQDTLFTHSNRQFYAESDISGTVDFVFGNSAAVFQSCELQARKPIPGQQDVVTAQGRVDPNQNTGISIHRCRITAASDLGNTPVYLGRPWKQYSRVVVMETTMDGSVAPDGWLAWPDQPTPSTTLYYGEYRNSGAGAGTKGRVTWTGVHTSMSTADATGFTVAKFIMGDSWLGATGVRYTSGL >KQL31963 pep chromosome:Setaria_italica_v2.0:I:40668476:40672573:-1 gene:SETIT_016983mg transcript:KQL31963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMASPRGRSIRETVLETVAAYHNQQRMRRKLRKSLTYAGELSSAGRARGDGASSSASVSSLCGPEDDDEPFWEEEEGTVELVQLGANRAKNVLILMSDTGGGHRASAEAIKDAFRIEFGDEYRVFVKDLCKDHAGWPLNNMESSYKFMVKHVQLWKVAFHSTSPRWVHCFYLAALASFYAKKVEAGLKKYKPDIIISVHPLMQHIPLWVLKWQGLQNRVVFVTVITDLNTCHPTWFHANVNRCYCPSEEVAKRAALDDLQPSQIRVFGLPIRPSFCRAVLVKDELRKELELDPELPAVLLMGGGEGMGPVKKTAKALGESLFGREHGKPIGQLIVICGRNKTLSSSLQALEWKIPVKIRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKSPKETASLVARWFGPDSEELKRMSENALKLAQPEAVFDIVRDIHELSREQGVISQISSSLTSSFFIPSPETTPLQLI >KQL28679 pep chromosome:Setaria_italica_v2.0:I:7424673:7425460:-1 gene:SETIT_020167mg transcript:KQL28679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPLGICPLKLLLLTLSTTRLFINSHGEDGNLPVNLLLEIFSTCSSRRVEDCSSCRSPLSWLKLTSRTRMLLENISSSGMLPDNLLEARKIPVTVISELQLIPSHLQQSVVFSQEPLRPPS >KQL29605 pep chromosome:Setaria_italica_v2.0:I:21992542:21993582:1 gene:SETIT_016880mg transcript:KQL29605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRAQPLAQSFEYNYGDFIPILRPSTRLPQQMQGLQTRRLAFFNNNYVEKRRKVMDTPGDKDKLRCAIDHILQAEKNGEITPDNVIYIVENINVAAIETTLWSIEWALAEVVNHPDVQRRVRDEIRGVIADDEPITESNIHKLPYLQAVIKETLRLHSPIPLLVPHMNLEEAKLGGYTIPKGSKVVVNAWWLANNPELWEKPEEFRPERFLGEEKAVDATVGGKVDFRFLPFGVGRRSCPGIILALPILALIVGKLVRSFEMVPPGVEKLDVSEKGGQFSLHIANHSVIAFHPISA >KQL28422 pep chromosome:Setaria_italica_v2.0:I:5773240:5774035:1 gene:SETIT_018405mg transcript:KQL28422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEVDKERGGTWPNGAGGNGHRGHGRGAAGAAPVELNLLAGMVGVNAVRAAELPVVVVVPDVARSAAAAEPEPAQLFACHYCRRQFYSSQALGGHQNAHKRERTLARHRADAEPPLVLAHHARAHGGAPPFAVHGAFVPPPAISAPALEWMRAPSGRPLPVAVAGGERWLTGGYVDSPGAGQEEMPKLDLTLKL >KQL28318 pep chromosome:Setaria_italica_v2.0:I:5005299:5008429:1 gene:SETIT_017847mg transcript:KQL28318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGFRGGGEYDLPLEEVDAVLASFSGDPAAVFAPLPAPEAVAGASRELLAPGEGLREGLGEVEKFLMEDYENEAGVDGVDEFLNGVFVGDGEDDGSPKTTGERSADGASAGEDEEVAGADGGDDPDGKKKRRRMRNRDSAMKSREKKKLYVKDLEMKSKYLEAECCRLSYALQCCAAENMALRQSLLKDRPVGAPTAMQESAVLTETLPLVSLLWLVSIVCLFLTPGVPNRSLVAPSSLGRDLAKLARTSTKGVKMFRVATNRDDPRSLELVRLGRRCRCARARIKSPWLPWYAVAATAGRRSSCKPSLSCC >KQL29020 pep chromosome:Setaria_italica_v2.0:I:9746316:9748637:-1 gene:SETIT_016755mg transcript:KQL29020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTVLCAANHAPLTPISFLERTALVYPDRPAAVDASGPAAAPSRTWRETRARCLRLAAALAGLGVARHDVVAVFAQNIPAFCELHFGIPMAGAVICALNSRHDAGMVSVLLQHSEAKVLFVDAALLDTAQEALRLMSKAGARSPTVVLIKEVLDEPAVPADHHQYHEYEALLSSGGGGGSPEFVIRWPADENEPIALNYTSGTTSRPKGVVYSHRGAYLNTLASVLLNDMAAMPVYLWTVPMFHCNGWCLVWGVAAQGGTNVCLRKVSSAGIFDAVARHGVTHMGGAPTVLSMVVNATAEERRPLPGGRPVTVMTGGAPPPPQVLFRMEELGFLVIHSYGLTETYGPATVCTWKPEWDALPAAERAAIKSRQGLHHLGLEVDVKDPATMRSVPADGRTMGEVMFRGNTVMSGYYKDAAATAEAMAGGWLRSGDLAVRHADGYVKIRDRSKDIIISGGENISTIEVEAALFAHPAVAEAAVVGRPDEYWGETPCAFVTLKDGAAAAGVGAEEVMAFCRARLPRYMAPRTVVFVAELPKTATGKVQKFTLREQAKAMGSIPRSSSSSKKGGGSGTRSKL >KQL29021 pep chromosome:Setaria_italica_v2.0:I:9746520:9747986:-1 gene:SETIT_016755mg transcript:KQL29021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVICALNSRHDAGMVSVLLQHSEAKVLFVDAALLDTAQEALRLMSKAGARSPTVVLIKEVLDEPAVPADHHQYHEYEALLSSGGGGGSPEFVIRWPADENEPIALNYTSGTTSRPKGVVYSHRGAYLNTLASVLLNDMAAMPVYLWTVPMFHCNGWCLVWGVAAQGGTNVCLRKVSSAGIFDAVARHGVTHMGGAPTVLSMVVNATAEERRPLPGGRPVTVMTGGAPPPPQVLFRMEELGFLVIHSYGLTETYGPATVCTWKPEWDALPAAERAAIKSRQGLHHLGLEVDVKDPATMRSVPADGRTMGEVMFRGNTVMSGYYKDAAATAEAMAGGWLRSGDLAVRHADGYVKIRDRSKDIIISGGENISTIEVEAALFAHPAVAEAAVVGRPDEYWGETPCAFVTLKDGAAAAGVGAEEVMAFCRARLPRYMAPRTVVFVAELPKTATGKVQKFTLREQAKAMGSIPRSSSSSKKGGGSGTRSKL >KQL32076 pep chromosome:Setaria_italica_v2.0:I:41220793:41222347:1 gene:SETIT_020648mg transcript:KQL32076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTEAVSRKKGAAAGTN >KQL32077 pep chromosome:Setaria_italica_v2.0:I:41220842:41221963:1 gene:SETIT_020648mg transcript:KQL32077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGEFLLAPCYAF >KQL28374 pep chromosome:Setaria_italica_v2.0:I:5453717:5454153:1 gene:SETIT_018935mg transcript:KQL28374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPTIEGLNINNHTIVILISLFQLACVHKDRKLNVTAAAAACIIFMTYTYSIHWCEIVSLPPHFFEQYF >KQL31935 pep chromosome:Setaria_italica_v2.0:I:40529409:40530077:1 gene:SETIT_019892mg transcript:KQL31935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVLRSHDVLNNRMHLDAFTPSPTKPRRRRSPKPAAAVASPPPKAAAVASPAPKTAALASPPVKGAASGRRSPPARPAARKQPSPTKEKPKQRLVMEEVRILKRGEEPPAPAPTPAPAPVVAAPVAKAAAAVDKRVPCSTGRIGPQAPTVVPTKKIVSAASAPDAARYAGPAFSAAAPEPSSLPMPAFFLRRAESEATRGLRCLLRIGELA >KQL31659 pep chromosome:Setaria_italica_v2.0:I:39063114:39064817:-1 gene:SETIT_019677mg transcript:KQL31659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQVILSSLAILALAAAAFVETVEAAAGGTAFARANGTRFTLGGRPFYSNGFNAYWLMYMASDPADRSKAAAALEEAARLGATLVRTWAFSDGGYRALQVSPGVYDEEVFGGLDYVIAEAKKRGVHLILSLVNNWDVYGGKKQYVQWARDQGHSLNSDDDFFTNSVTKGFYKNHVKAVLTRVNKFTRVAYKDDPTIFAWELMNEPRCRSDLSGKTLQSWIAEMVANVKSVDPNHMVEIGLEGFYGESTPDRTRRFNPGGYSVGTDFISNNLIAGIDFATIHSYPDQWLPGASNEDQVAFMRRWMASHAGDSAAALRKPLLVAEFGWSARSNAYTVSARDAYFGIVYDAIYASARGGGPLAGGLFWQVMEAGMEGWTDGYDVVLGRSPSTAAVVSRECARITSLNNQVS >KQL29473 pep chromosome:Setaria_italica_v2.0:I:17047659:17048028:1 gene:SETIT_020619mg transcript:KQL29473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFRAFTRWYKTTAAAAINCPARLLFRLAKWAAWVRLLVCFRPAACM >KQL30112 pep chromosome:Setaria_italica_v2.0:I:28126664:28127143:-1 gene:SETIT_020515mg transcript:KQL30112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFALCQLRYNDTQTQCHHISVEEKLILTV >KQL29547 pep chromosome:Setaria_italica_v2.0:I:20828639:20829507:-1 gene:SETIT_019092mg transcript:KQL29547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRWDMVDSISDCGVVTNKLRIEVDSSSSDDSDREEHDAQEVGAVREAPPHLAACNQFDHPPPAGAGAGFGNNRRRLLSKQLSMKETTREAKWKKRQRQILRRSGLVSVVREQQERNDDGGGGGNKSAILDEGHHVVRSSSERAMRCLTDEDLDELRGSFELGFGFDEETGAAHLRDTLPALDFYFAVNRQLSDPKMRSLSAASPTSTLSSSTLPDTPSPRSPNDAPGGDPWKLFSPGDNPQLVKTRLRHWAQVVACNIKHGC >KQL29294 pep chromosome:Setaria_italica_v2.0:I:12894376:12898199:1 gene:SETIT_017392mg transcript:KQL29294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPNGIGRSSMPRSNEGMRLIFSAVVGVMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANNRKKNNSDSNSDEIPKIYVPTNPKGAERLPPGIVVSESDLYPRRLWGDPSEDLTSEPRYLVTFTVGIGQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVMKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVEHFNAEKYIELVRKHGLEISQPGLQPDRGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGKSDNGRAPWEGVRARCRKEWGIFQTRLADAEKAYYLERGITPPNSTAV >KQL29295 pep chromosome:Setaria_italica_v2.0:I:12894376:12898199:1 gene:SETIT_017392mg transcript:KQL29295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPPNGIGRSSSMPRSNEGMRLIFSAVVGVMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWASANNRKKNNSDSNSDEIPKIYVPTNPKGAERLPPGIVVSESDLYPRRLWGDPSEDLTSEPRYLVTFTVGIGQKANIDAAVKKFSDKFTIMLFHYDGRTTEWDEFEWSKRAIHVSVMKQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVEHFNAEKYIELVRKHGLEISQPGLQPDRGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDAQWIVHQAVPSLGNQGKSDNGRAPWEGVRARCRKEWGIFQTRLADAEKAYYLERGITPPNSTAV >KQL30818 pep chromosome:Setaria_italica_v2.0:I:33603179:33604236:-1 gene:SETIT_019720mg transcript:KQL30818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCAQQRFNPPVSKTKQPPASAGAARAAWPRSGLPATAGRIGESRPSSRALQLDAPTTPRPSQVICTKNIIQDTRLPRPGKFFELEMTVRDCELDKYEVVNNAIYAGYIETARQEMIASLGVCTGSIARTGRAMALSELNVKYFAPLKRGAKFVVMVRIVRIKGVRMLMEHLIATLPERQLVLEAMATVVCLNEDYRPTRMFPEMANLLHFLSHPD >KQL27826 pep chromosome:Setaria_italica_v2.0:I:922290:927175:-1 gene:SETIT_016848mg transcript:KQL27826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDFSPGGGGPSFEFAFNEVNFSDRELRIEVVAGDDDAPGSSGGGAGGGGLADWARHRKRRREELLKEKESTTHMSDQTNCNEVEAEECDAYEENQEEPVAMIEESPPDVGQDAGDDGPGIDSSWTVVGTPVLRVKTIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRITDSEETALMELLSFMYSGKLTTTEPNLLLDILMAADKFEVVSCMRYCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAVKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAADAVTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWQTFMADDSLFFIDGMLHLRAELTIKQP >KQL27825 pep chromosome:Setaria_italica_v2.0:I:921981:927269:-1 gene:SETIT_016848mg transcript:KQL27825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDFSPGGGGPSFEFAFNEVNFSDRELRIEVVAGDDDAPGSSGGGAGGGGLADWARHRKRRREELLKEKESTTHMSDQTNCNEVEAEECDAYEENQEEPVAMIEESPPDVGQDGDDGPGIDSSWTVVGTPVLRVKTIYISSAILAAKSPFFFKLFSNGMKESDQRHATLRITDSEETALMELLSFMYSGKLTTTEPNLLLDILMAADKFEVVSCMRYCSQLLTSLPMTTESALLYLDLPCSISMAAAVQPLTDAAKDFLAVKYKDLTKFQDEVMNIPLAGIEAILSSNDLQVASEDTIYDFLLRWARAQYPKSEERREILSSRLLPLVRFSHMTCRKLRKVLTCTDIDHEQATKCVTEALLYKADAPHRQRALAADAVTCRKFAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMEQQSTFYCFGLFLGMQEKGSMSVTVDYEFAARTRPSGEFVSKYKGNYTFTGGKAVGYRNLFAIPWQTFMADDSLFFIDGMLHLRAELTIKQP >KQL31788 pep chromosome:Setaria_italica_v2.0:I:39763604:39767048:-1 gene:SETIT_018134mg transcript:KQL31788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPASPSTASPPAEEAAARRRLPEELKLRRRTLETVLEQCQRTLEMIREADLGELEEGAESKGEVQQQEGGGEADGHGGGDEGAQPPPPSDADYETDELCDLLQSRVQSPEFLEKLDSMQKSVYQHGAVDETVSWDFVSAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKLLARHFLLKRGKASFRRHGMEQKLFTM >KQL31789 pep chromosome:Setaria_italica_v2.0:I:39763604:39767048:-1 gene:SETIT_018134mg transcript:KQL31789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPASPSTASPPAEEAAARRRLPEELKLRRRTLETVLEQCQRTLEMIREADLGELEEGAESKGEVQQQEGGGEADGHGGGDEGAQPPPPSDADYETDELCDLLQSRVQSPEFLEKLDSMQKSVYQHGAAVDETVSWDFVSAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPDQLQQALSKTFSAKKRKGKLQKAWDGTKVIYNVASWSATAIGIYQNPAIVQAATAAFWTSCRVISKFL >KQL31790 pep chromosome:Setaria_italica_v2.0:I:39764599:39766922:-1 gene:SETIT_018134mg transcript:KQL31790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPASPSTASPPAEEAAARRRLPEELKLRRRTLETVLEQCQRTLEMIREADLGELEEGAESKGEVQQQEGGGEADGHGGGDEGAQPPPPSDADYETDELCDLLQSRVQSPEFLEKLDSMQKSVYQHGAVDETVSWDFVSAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPDQLQQALSKTFSAKKRKGKLQKAWDGTKVIYNVASWSATAIGRLLGRPHYAPCDYA >KQL31791 pep chromosome:Setaria_italica_v2.0:I:39763604:39767048:-1 gene:SETIT_018134mg transcript:KQL31791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPASPSTASPPAEEAAARRRLPEELKLRRRTLETVLEQCQRTLEMIREADLGELEEGAESKGEVQQQEGGGEADGHGGGDEGAQPPPPSDADYETDELCDLLQSRVQSPEFLEKLDSMQKSVYQHGAVDETVSWDFVSAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPDQLQQALSKTFSAKKRKGKLQKAWDGTKVIYNVASWSATAIGIYQNPAIVQAATAAFWTSCRVISKFL >KQL31792 pep chromosome:Setaria_italica_v2.0:I:39764934:39766922:-1 gene:SETIT_018134mg transcript:KQL31792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPASPSTASPPAEEAAARRRLPEELKLRRRTLETVLEQCQRTLEMIREADLGELEEGAESKGEVQQQEGGGEADGHGGGDEGAQPPPPSDADYETDELCDLLQSRVQSPEFLEKLDSMQKSVYQHGAVDETVSWDFVSAADIWDDKSMNVSDDSEDGYVLVKQEDIVDGIACFMAAYLLSLKQTKDLTPDQLQQALSKTFSAKKRKGKLQKAWDGTKVIYNVASWSATAIG >KQL28553 pep chromosome:Setaria_italica_v2.0:I:6643887:6646214:1 gene:SETIT_016621mg transcript:KQL28553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNRSVILRTIHGYYKEALDLLPLEDKPELTPRLLAAGVCFGFADPVTNIVANTICFLPDNDGEPEPDGATGNTLCFLPDNNGELEADGAKKRKREMMTKTKKAAVSREEVLSEIVARDVPSRTIAERSLEGLITFLTSYFCHLRAMDALRYLCLAKADLLVAVRLIELDRCYRNKDEFCISSHAAKTALKYAALSARQPNVDGFHTSSLSLAYHLKSITQTVPAGLTLSLRAVLIDRIHARYLKAISRLPTQDVRARYHRSLVNAGYCYGPLDPVTNIIINTIWYDTAFPPSENLEVDMICTTTFVRVESRSLSGLIKLLLTCIPDISEHEAMVYLLKNNMKVNKAIQMARSEGCDVHDWDINTYKAAGRESFHPELEAYVDFVMQSLPLVQPAIKSLLKVSDSLSSSEVLQLASLLSPSNCNPAKPLQATLEPSIELSNDALEMFASFKENFVGKQSFFRKKIETALRNEGYLYELHVICGINECVGSQKSFIDFTCPYSHVNFFAKPKTGGGLKLFFAELSNGDDDQSFCRTVSNKSIHARCCYCEYDGIRIVHPDENYCGGDIDFTKMACGEHEITNERIIAGGKLAIGKIGMCCEDYIYLDPTRDTKLIQGMNLTASRANESWSDIMRRAQA >KQL31283 pep chromosome:Setaria_italica_v2.0:I:36693103:36695989:-1 gene:SETIT_018302mg transcript:KQL31283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLPNGKHKGSINRAGVVVLSDSDSGSDSEGFVEELTPVHSKSNGKASSESLKTGGKGSSFSKGEASQGKAYSGGKGGKGTSSNVVPTKSDAELKLELDIPPNSRMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKDGNQFTTASSVKQALEPLKKCGVNDGEICMIANIGPETIEEVYALVPSLKAKRTLNEGPITEILAALANIKAAK >KQL31284 pep chromosome:Setaria_italica_v2.0:I:36692742:36696103:-1 gene:SETIT_018302mg transcript:KQL31284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLPNGKHKGSINRAGVVVLSDSDSGSDSEGFVEELTPVHSKSNGKASSESLKTGGKGSSFSKGEASQGKAYSGGKGGKGTSSNVVPTKSDAELKLELDIPPNSRMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKDGNQFTTASSVKQALEPLKKCGVNDGEICMIANIGPETIEEVYALVPSLKAKRTLNEGPITEILAALANIKAAK >KQL31285 pep chromosome:Setaria_italica_v2.0:I:36692742:36697028:-1 gene:SETIT_018302mg transcript:KQL31285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLPNGKHKGSINRAGVVVLSDSDSGSDSEGFVEELTPVHSKSNGKASSESLKTGGKGSSFSKGEASQGKAYSGGKGGKGTSSNVVPTKSDAELKLELDIPPNSRMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKDGNQFTTASSVKQALEPLKKCGVNDGEICMIANIGPETIEEVYALVPSLKAKRTLNEGPITEILAALANIKAAK >KQL31282 pep chromosome:Setaria_italica_v2.0:I:36692742:36697028:-1 gene:SETIT_018302mg transcript:KQL31282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLPNGKHKGSINRAGVVVLSDSDSGSDSEGFVEELTPVHSKSNGKASSESLKTGGKGSSFSKGEASQGKAYSGGKGGKGTSSNVVPTKSDAELKLELDIPPNSRMLMNCEAAELLQEIHEHMAILSEDPKIKIPESFDKAFQYAKDGNQFTTASSVKQALEPLKKCGVNDGEICMIANIGPETIEEVYALVPSLKAKRTLNEGPITEILAALANIKAAK >KQL31401 pep chromosome:Setaria_italica_v2.0:I:37295549:37298673:1 gene:SETIT_017829mg transcript:KQL31401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRPGWPRPNSLAAARAAGARGHATPRCSCRACGKGSGAWSRVRVGDVTVQDRGTSNRPTSTSRAATAELNKPPRRKGRGEGPVRARGTDSIERESPGMGGAPRRPAAMAAVPSSLLLFAVLFVGRAAAYGDGATALHRHAAFFDRDGDGVVTLSETYGAFRALGFGFGLSSVSAAFINGALGSKCRPENATSSKLDIYIEDIQNGKHGSDTGSYDTEGRFIPEKFEEIFAKHAKTVPDALTSDEIDQLLQANRQPGDYAGWAGAEAEWKILYSLGKDKDGLLHKDVARSVYDGSLFHRLAPNWNSPDKEKLRREN >KQL31420 pep chromosome:Setaria_italica_v2.0:I:37387279:37393116:-1 gene:SETIT_016564mg transcript:KQL31420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSALSLVAAAVCLALAVLAPAVSGDGATLESVPDLVKAMYRNIESFPCVRLLNLSGEIGCSNPGSEKIIAPIVRFKKGSDHLVQPSTVLLPLDQMSDFFLRVSNNPEFHQKVSGVLIESNGANNNLQELSPDRKFPQDAFAPYSNRSHDWNPAGSGIMWNRYDFPVFLLSEESTQTLREVSEKNEKTDNGYKANVAEFDLIMQTTKAQTHDSASCLKEHSCLPLGGHSVWASLPPIKNGSAEHQKPIILAITSQDSASFFRDRSIGSDSPISGLIALLTAVDALSHIPDLSNLKKQLVFAVFNGEAWGYLGSRRFLHELDEGAHSVNGINSLMIDQVLEIGSVGQAVIEEYPSFYAHAAGNSSASKKILDALQSASKSLGSDNVKVKQAASSNPGVPPSSLMSFIRKNMSTSGVVLEDFDSHFSNRFYHSHLDNPANINSSSIAAAAALAARSLYILASADSVVDLMTLNTIKVNVSLVKELVGCLLSCNPGFSCDLVKSFISPSSSSCPSHYVGVFLDDPSGTQFPSYADDTSRFVWNFLADRTSTSVGNKSSCTGKCGDEGEVCIRAEVEGGGRCVVSTTRYVPAYSTRVKFEDNAWHVLPANSSDPMGVVDPVWTESYWNTIGLRVYAVQDSTYDWLILLAGLSVTTASYCAVHVGRAYISKVVKRD >KQL31523 pep chromosome:Setaria_italica_v2.0:I:38183241:38184600:-1 gene:SETIT_017489mg transcript:KQL31523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRGLRLRSHLLSAVRTPSPLPAASSLHRLLLLSTATASPAPFVVEDFLVTSCGLVPALALRASRRIAYLKSPSKPEAVLAFFADSGLAKAAVAAAVAREPRLLCSKLEKSLKPRLALLRDIGLSPPQISSLITIAPDVFLCPTKIPRLAFYLSFLGSYDKVYTALRRSPLLLGHDLQSVVKPNMAFLGQCGLTESDIAKFFCSSPSRMFILGPERLKEIVVCVDKLGVPRCSPMFKYALIAIHQISPRRIDAKLDFLKKALGCSDTELGIAIRKLPTILSVSEVRLSRGVEFLKMEVGLKAEYIVHRPALCTYSMKRRLIPRHYVLQVLKEKGLMKKDHDFYAVVSVNEKKFVKRYLDPYKESAPGLADAYAAACADAEQAPS >KQL31668 pep chromosome:Setaria_italica_v2.0:I:39102892:39107403:-1 gene:SETIT_017421mg transcript:KQL31668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKSTSYPGPIRDHQTQHTHQIPSLSPRLHGPRGPESRLVDRPVSRIRRIRISSSKQSTSSRPPPTRPPPPSPSPAMGYTKDQLLARLQELKIDFTCYDHPVVLTVEEQAKHVGHLGGALSKNLLLKDKKHRLYVVSALAGTKVDMKILSQRLGLGKGGLRMAPEENLLQVLQVPLGCVTPFALINESASTVSLLLDQGFKSKQSCYFHPLTNDVTIALSSSNLDKFLISIGKQPAYVNLEDTPAVGKDSPPDLAHLVPSGIPNSSEQPVENTTPTNVPHQNNVPKETEVKVKPKVQSKGPETSQNKVDKPTNATSVDKFVNDVFDIISPLLLSEALKKLDVKKEELSSIIDGIRGRAAPDLESITTSLKNASYTSGFHAGSESMLNHVLNARPSRN >KQL30666 pep chromosome:Setaria_italica_v2.0:I:32499202:32501993:1 gene:SETIT_018077mg transcript:KQL30666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSSAASAVLLASLVVCGACLFGSAEASGAAHRVVDPEWHPATATWYGSAEGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKSGEGCGACYKVRCLDHNICSRRAVTVIVTDECPGGVCGGGRTHFDLSGAAFGRLAVAGAGGQLRNRGEINVVFRRTACRYGGKNIAFHVNEGSTSFWLSLLVEFEDGDGDIGSMQLKQGVGDLFS >KQL30665 pep chromosome:Setaria_italica_v2.0:I:32498956:32500888:1 gene:SETIT_018077mg transcript:KQL30665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSSAASAVLLASLVVCGACLFGSAEASGAAHRVVDPEWHPATATWYGSAEGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKSGEGCGACYKVRCLDHNICSRRAVTVIVTDECPGGVCGGGRTHFDLSGAAFGRLAVAGAGGQLRNRGEINVVFRRYGGDNETIH >KQL30667 pep chromosome:Setaria_italica_v2.0:I:32499202:32503205:1 gene:SETIT_018077mg transcript:KQL30667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSSAASAVLLASLVVCGACLFGSAEASGAAHRVVDPEWHPATATWYGSAEGDGSDGGACGYGTLVDVVPMKARVGAVSPVLFKSGEGCGACYKVRCLDHNICSRRAVTVIVTDECPGGVCGGGRTHFDLSGAAFGRLAVAGAGGQLRNRGEINVVFRRTACRYGGKNIAFHVNEGSTSFWLSLLVEFEDGDGDIGSMQLKQANSAQWQDMQHIWGATWSLTPGPLVGPFSVRLTTLTGKQTLTAQDVIPKNWTPKATYTSRLNFG >KQL31904 pep chromosome:Setaria_italica_v2.0:I:40364987:40374525:-1 gene:SETIT_016292mg transcript:KQL31904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYKKPPDGLLLITDNIYVFDHCFSMKEMEEDHFEAHIRGIAGDLLENFRDHSFMISNFGTRKEESPIYHILSDYGMTVLDYPGHYEGCPLLTMEMIHCILKSSESWLSLGQHNLLLMHCEQGSWPVLAFMLAALLIYLGHYSNEQKTLDMLYKQSSLELLEMFSPLNPMPSQIRYLRYISTRNVMPEWPPADRALTLDCVILRMVPNFQGQGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNLVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREEMIFRIIHVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILHGQINSSTVVLKLGSEFGMLVSTEPRHIRLDSSSANAQSKSQTTALSPSRTQPTTALLSPSKVKIPKTTASSRELAPEIDISELESLFSVMMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQFFMEMMKVPRVESKLRILSFKIKFVTQVADLKNSLSTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSDKLPEVLDFNTDLAHLEPASKVALN >KQL31905 pep chromosome:Setaria_italica_v2.0:I:40364987:40374677:-1 gene:SETIT_016292mg transcript:KQL31905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFYKKPPDGLLLITDNIYVFDHCFSMKEMEEDHFEAHIRGIAGDLLENFRDHSFMISNFGTRKEESPIYHILSDYGMTVLDYPGHYEGCPLLTMEMIHCILKSSESWLSLGQHNLLLMHCEQGSWPVLAFMLAALLIYLGHYSNEQKTLDMLYKQSSLELLEMFSPLNPMPSQIRYLRYISTRNVMPEWPPADRALTLDCVILRMVPNFQGQGGFRPIFRIYGPDPLMPPDQTPKVLFSTPKKSNLVRFYSQADELVKINLQCHVQGDVVLECINLYEDLDREEMIFRIMFNTAFIRSNILMLNRDHVDMLWNAKDQFPKDFRFEVIFSDMDAVTSHVTTEPVIHQEKQGLGVEEFAKVLDIFNHLDWLDGKRDTVPHTTQLKISAVTDEPETFFDTREELESEILHGQINSSTVVLKLGSEFGMLVSTEPRHIRLDSSSANAQSKSQTTALSPSRTQPTTALLSPSKVKIPKTTASSRELAPEIDISELESLFSVMMPNMEAKRQRQHPSVATKQEKVLLIDLQRSKNCEIMLRNIKMPLPDLMGSVLALDDSIVDGDQVDYLIKFCPTKEEMELLKGYTGKKENLGNCEQFFMEMMKVPRVESKLRILSFKIKFVTQVADLKNSLSTINSVAEEVRSSVKLKRVMQTILSLGNALNQGTARGAAVGFRLDSLLKLSDIRARNNRMTLMHYLCKVLSDKLPEVLDFNTDLAHLEPASKIQLKELAEEMQAITKGLEKVEQELATSEKDPPETEIFYRKLKEFLADAQAEGRSLALLYSTAGKSADSLAHYFGEDPVRCPFEQVVSTLLSFVKTFERAHAENVKQMELEKKRAQAEAEKEKAKLGAHKKGESPKPGVPDR >KQL31575 pep chromosome:Setaria_italica_v2.0:I:38512568:38513748:-1 gene:SETIT_017879mg transcript:KQL31575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCRVLVAAVVLMSLAQLSVGSRRLMELYIPPASDQLTYHHGTVLSGDIPVSVLWYGRFTPAQKSIVSDFLFSLTTAPGAATPSVGQWWGTIDQLYLSSAATNGAGPATPTRVLLDAQVSDEARSLGNSLTLAQIEELAARAGGKKGGIALVFTDKDVAVEGFCSSRCGKHGADTKSAYIWVGNSVKQCPGQCAWPFAQPQYGPQGKPLVAPNGDVGMDGLVMVLATMVAGTVTNPYGDAFYQGPKEAPLEACTACPGVYGSGAYPGFPGNLLVDQTTGASYNANGVNGRKYLLPALYNPATSTCSTLV >KQL31541 pep chromosome:Setaria_italica_v2.0:I:38282631:38288603:1 gene:SETIT_016272mg transcript:KQL31541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTSQHALSSIKWWSNSSFGLEKRTCHVHSVSVGCRCPNARNLGLVCASNTQSSVVEPVQLPGSPKSGITPKKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICNIPVDVIYTSSLIRAQMTAMLAMMQHRRKKVPIIVHNESEQAHRWSQIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADRFGKEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKDQIIPQLVSGKHVMIAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPAGPAEAGVYAYTKVRICMSNHNAPRDIPKPASVDEFLVKNGKKKKSFMSGLFRKKGRSVEKRLFSRRERDIVFDFEGRSGDSMELLEASPAAVRKSFSDRHCTTRIENLSLSCLSSPRGPNVDTREYRVFVGTWNVGGKPPDSSVNLEEFLQIEGLPDIYVLGFQEIVPLNAGNVLVAEDNEPAAKWLGLIYQALNRPPAQEGQSSGDELSPPASTSSSSQTQSTRPSARDPANAIPKSSSGGLLFPPKPSFKAFNKSYRVDNALVKTCTCMSDPSTMQRRAREMREFLYRVEAAAAAGDDDGEGGDQRHGGGGAMNYCLVARKQMVGIFLSVWVRRELVQYVGHLRVDCVGRGIMGRLGNKGCIAMSMTLHHTSICFVCCHLASGEKEGDEVRRNSDVAEILKSAQFPRICKVPGQRIPERIIDHDRIIWLGDLNYRVSMSYEETKMLLEDNDWNTLLEKDQLALERQAGRVFKGWKEGKIYFAPTYKYRQNSDSYVWETAKSKKKRRTPAWCDRILWHGQGIEQLQYIRGEFRLSDHRPVCSVFVIEADVDSGSKIRKGYSTLDARIHCESPAIPKRHSFYDDF >KQL31944 pep chromosome:Setaria_italica_v2.0:I:40559034:40565007:1 gene:SETIT_016644mg transcript:KQL31944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAPPLDDCLRLLRGERDEQKLAGLLVAANVCRAGDAGAVRKVYDAVGPRFLRRLLNTGLGKVEGGKEEEREAYLRLALTVLAGLARVPEVAADEGVVSTVPLVAEVVSKSADPAITEECFELLSLIAIASEDGAYKFCEPGVIDMIFLQISSLPDGSKCIELAINLMRLLVHKLKVDNMSVEKLQGMASMVTCLARLFAVLHTAVKFDALHMLTTLLSQKESPLHDLLRSMPASIWESHIRVGITAILQNRVVSSEKLHALLLAECMMSILGEDWLSEDCKIQNTQNVLPVDKFVLLVLESARIEVAVLLNELAYLKYESLKTSQTDEAVCQKQRNLAILFSLIERIIKMISNASSSEGAPSQTIRESTIMQAITGLNETISLVLDFLQDAKEHGQRKGDDLLAAARIVGSYLAEAPYACKEKTRNLLEFIFSIEGQDESSPFYSICFMLPMLSQITMEADGCRTLASFGGYKAVIDCLVKMTEQDGIDNGSMFLACDTIINFMSNRKSVNIPVDSCFIRLLKALVTWAGTTNASSVTMTASCLCAMLIDLTSEEFLLSCSDFDTKTLGSLSELIVRSLQQDVPDDDGEQFNQKQMIVSGYRRWANRFPHVKNVVEQHVSL >KQL29102 pep chromosome:Setaria_italica_v2.0:I:10295963:10299628:1 gene:SETIT_016866mg transcript:KQL29102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYERIEKPFPTQGGGFSPKRLRAMLLGADGVVGRGQMRVSHTSKLYFGNCGLLLVNLAVVGPLFFSLSICGYSGSTSQQSYVHPPNFLIFSGGTMCEEYKDVDVVSTISESSTSLETGGGHRSRDTHSMGSRVRVPEEDSCDSESVASNFEFHKERGASARSAAASVVPPFSKPAPSKWDDAQKWIASPTTNRPSRAAGGAVPRKMEKPSSGIGRLPATKVVLEATEEIDTKRIDPSQEKREIGWQKAVNWAPPDPYPEVEPYSKTTIAAESTIVDSAVTFDRNDSSTPLQSATTCIPPPSTVRSVSMRDMGTEMTPIASQEPSRTGTPVRATSPNCSRPTTPRRMLGPNAIGAVISHGECSNAELSEQDLQTKTRKEIMLLGTQLGKTNIAAWASKKEEEKDASLALKTVPMDQSTQNITEVRAAAWEEAEKAKYLARFKREEIKIQAWEDHQKAKIEAEMRKIEVEVERMRARAQDKLMTQLASARHNADEKRASAELKRNRAAARTAEQVEHIRRTGRVPPSFGCWNWCS >KQL30996 pep chromosome:Setaria_italica_v2.0:I:34943216:34944366:1 gene:SETIT_018713mg transcript:KQL30996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLGSTLAQAEYLLLTLIHGAEHTDWLATRTDHQPRGSQAGGDSRTGTGVSARVCSASAPQERKAPVGVERPGPAVGAPRLHEPRGRRGVAEASRNDRSGSLPARHARSGSINDRAGHGLTT >KQL27968 pep chromosome:Setaria_italica_v2.0:I:2145778:2148374:-1 gene:SETIT_016453mg transcript:KQL27968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAASASSPVEFLLRRPPPRQRRRPPLAGAFFAPTGLSGAPLLRALASLAADLLASPRPPSQRRNLDALMRRLALLSALLDSLLLVLGDEGEAAFSDAANLCFRELYVVLFRADLLVSYVASAGRSWALLRGPHLAASFRDLDAELAVVLDVLPAASLCLSRDAAEHLDLLRARCRRRAPGQYHDLAEAALRDRLLAALRHFELGQPPDPSKLKSLLAQIGISDAASCRVEIDYLEEQILSQEEDTDLPLIGGVVALLRYCLFSLFDPGNTKVLRVWPSMGDRQRLLSWSSSDDSSFSVPKEFSCPISLDLMRDPVVVSTGQTYDRPSIIQWIEEGHSTCPNSGQALTDKRLVPNRALRSLISQWCGVHGFQFDSPESNEGMIECVAASCSSKAAIEANKATARILVRMLREGSDSEKPVAAREIRLLAKTGKQNRAFIAELGAIPLLCRLLLSSDWMAQENAVTALLNLSIYEPNKMRIMEQEGCLRLIVSVLKNGWTTEAKENAAATLFSLSVVHDYKKKIMNEPGAVEELASMLTRGTPRGKKDAVMALFNLSTHPESSGRMLESSAVVALIESLRNDTVSEEAAGALALLMKQPTIVHLVGSSETVITSLVGLMRRGTPKGKENAVSALYEICRRGGSTLAQRVARIPGLNTVIQNITLTGTKRAKKKASLIVKMCQRSQMPSALGLGSTLTVVDHSLVGNSTLRRAASFGSGELSNPVSISVPVP >KQL32162 pep chromosome:Setaria_italica_v2.0:I:41609045:41611583:1 gene:SETIT_019927mg transcript:KQL32162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWPRFRCPSRRHFPPISMRRLLLLVLAAAPAAATAGEMGYTHCACDGGGGGGGGGFWSLDNIFKWQKVSDLLIAAAYFSIPLELLYFVAGLRHLLPFRWVLVQFGAFIVLCGLTHLLAAFTYEPHPFMVVLLLTAAKFLTALVSFLTAITLLTLIPQLLRVKVRESLLWIKAHELDREVVLMKRQEEASWHVRMLTQEIRRSLDRHTVLYTTLIELSRVLALNNCAVWMPSEDKSGMCLTHELRRGSDGEAIVSADDADVLKVKGSDGVKLLPPESVLGSASGGGKEGTGTVAAIRMPMLKVADFKGGTPEVIQTSYAVLVLVPPSDRNWAPHELEIVEVVADQVAVALSHASLLEESQAMRDRLAEQNRELLQARRDTLMANEARDAFQRVMSQGMRKPIHSILGLVSVVQEEGLTSEQKLVIDTMARTATVVSTLINDVMEMSAVNQERFPLETRPFHLHSMIRDAACVARCLCDFRGFGFTVHVENTLPDLVIGDERRIFHVLLHMVGNLIGRINAGNVTFRVRADDEAMEDQRWDPWRPSYSGGHSSVKFVIGVKRQESADSSSSLAQFLRKPSTEGFDLRLSFSMCRKLVQMMQGNIWAILDGQGLPESMTLVLRFQLQPSLSGSSLRGSFDLQYPSPSSQIAGLKVLLIDDDDINLVVARKLLEKLGCTVSSLPSGSGFMNSVGPTSTLFQLVVVNLEITAVNPLDVASRIRQYRSTQWPLVMTMTSEHNVWEKCAQSGINGVLKKPLVLQEIKDELTRILQNT >KQL29703 pep chromosome:Setaria_italica_v2.0:I:23608766:23616184:-1 gene:SETIT_016334mg transcript:KQL29703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPCFSTQLIDGDGVFNVSGLDNFMKEVKLGECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFKGRSQTTKGIWLAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSKTPLENLEPILREDIQKIWDAVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVSQLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFTADEEWQQFEEAVQHDYVPGFGKKLSSLLDRCLSEYDMEAIYFDEGVRTSKRQQLESKLLQLVNPAYQSLLGHLRTRTLEVFKESFDKALTKEGFAVAARDCTQTFLEKFDKGSEDAAIQQVKWDPSKVKDKLKRDIESHVASVRAAKLSDLCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKAAISGLESTLSAFELDEATEKELLTKLENHGRSVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLATLAAIRLDEDGDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLISPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKNPLYLGVIFVVFLVGKAIWVQLDIAAEFQNGFLPALLSLSTKFVPTIMNILKRLADEGQRPAAPERQREMELQPTNVSSHSNVTSAGSSSVTRTENGPEYSSPVAK >KQL29704 pep chromosome:Setaria_italica_v2.0:I:23609630:23616184:-1 gene:SETIT_016334mg transcript:KQL29704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPCFSTQLIDGDGVFNVSGLDNFMKEVKLGECGLSYAVVSIMGPQSSGKSTLLNHLFGTNFREMDAFKGRSQTTKGIWLAKAQNIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSKTPLENLEPILREDIQKIWDAVPKPHAHKETPLSEFFNVEVVALSSYEEKEELFKEQVSQLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFTADEEWQQFEEAVQHDYVPGFGKKLSSLLDRCLSEYDMEAIYFDEGVRTSKRQQLESKLLQLVNPAYQSLLGHLRTRTLEVFKESFDKALTKEGFAVAARDCTQTFLEKFDKGSEDAAIQQVKWDPSKVKDKLKRDIESHVASVRAAKLSDLCAKYEAQLTKALAEPVEALLDSASEDTWPAIRKLLQRETKAAISGLESTLSAFELDEATEKELLTKLENHGRSVVESKAKEEAGRVLIRMKDRFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLATLAAIRLDEDGDNIENTLSLALVDTARPGTTDRSIQSFDPLASSSWERVPEEKTLISPVQCKSLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLKYFLLFAESYMNAD >KQL30794 pep chromosome:Setaria_italica_v2.0:I:33356407:33358546:-1 gene:SETIT_017792mg transcript:KQL30794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPPGRHLLRLSNRAVRSSSSSSGLGANAGASTSVASPRPLPVAGGRPLRASSPPPPSAVAAAAYWESRALRRDGEDGDWEEVVAGAPGPGEMEEEEEYRVVFWSPPTIDEVTGAVTSIQEVFENPSGVYSDTTDRQTALLSTSGHSSGNSSGSDDWIEPAAYVLNSTALLSREHRNVLDAFRLLQKDPTVQKMVMSLSCDKAVWNAVMNNEAVQDFRRSLHHGKENDRKGNTGGPAEVLKWILDSAQAKIVEFLENVMKIFNMLIHPQEDEEKPDAYIDAVKVSFMLTVFVFIVVAIARIK >KQL30792 pep chromosome:Setaria_italica_v2.0:I:33355905:33358677:-1 gene:SETIT_017792mg transcript:KQL30792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPPGRHLLRLSNRAVRSSSSSSGLGANAGASTSVASPRPLPVAGGRPLRASSPPPPSAVAAAAYWESRALRRDGEDGDWEEVVAGAPGPGEMEEEEEYRVVFWSPPTIDEVTGAVTSIQEVFENPSGVYSDTTDRQTALLSTSGHSSGNSSGSDDWIEPAAYVLNSTALLSREHRNVLDAFRLLQKDPTVQKMVMSLSCDKAVWNAVMNNEAVQDFRRSLHHGKENDRKGNTGGPAEVLKWILDSAQAKIVEFLENVMKIFNMLIHPQEDEEKPDAYIDAVKVSFMLTVFVFIVVAIARINSEHWDFKVW >KQL30793 pep chromosome:Setaria_italica_v2.0:I:33355925:33358677:-1 gene:SETIT_017792mg transcript:KQL30793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPPGRHLLRLSNRAVRSSSSSSGLGANAGASTSVASPRPLPVAGGRPLRASSPPPPSAVAAAAYWESRALRRDGEDGDWEEVVAGAPGPGEMEEEEEYRVVFWSPPTIDEVTGAVTSIQEVFENPSGVYSDTTDRQTALLSTSGHSSGNSSGSDDWIEPAAYVLNSTALLSREHRNVLDAFRLLQKDPTVQKMVMSLSCDKAVWNAVMNNEAVQDFRRSLHHGKENDRKGNTGGPAEVLKWILDSAQAKIVEFLENVMKIFNMLIHPQEDEEKPDAYIDAVKVSFMLTVFVFIVVAIARINVLMLFPGGFVNSSEHWDFKVW >KQL30109 pep chromosome:Setaria_italica_v2.0:I:28081216:28082956:-1 gene:SETIT_017444mg transcript:KQL30109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGAASPSPSPSPSPSRSPSPLPVADPVTVAAAPPGHVAVAIPLRKPSPSSGGGGGGGREDAWSDGATSTLIDAWGERFVALGRGSLRHPQWQEVAEVVSSRDGYSKPPKSDVQCKNRIDTLKKKYKIEKSKRGSSWPYFDRLDDLLAPVHKPNSSSSSAAAAARSAPPMVPPRINFPQRTRTPLQPSAGSKRRMPSPPPQASASSESSDGFPPETRSALPNGKRQRVEEHTPAAAANGAESSDSRAQGLRDLAQAIRRLGEVYERVENAKREQEFRMERERLDAARELEDQRAQFFLKMQMELTKATGGGASAAAAPVAVPIPADGNGTRRTGMAAEVATSSNHRVRYRIKGSIHQHAAQQPHYQNNATGNGSDSDNKEAEEDAEDEEEESQ >KQL31955 pep chromosome:Setaria_italica_v2.0:I:40602650:40603180:-1 gene:SETIT_019377mg transcript:KQL31955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATTRRPSGPVLSAAHYRSASPTRIKLAGAGARSPAGQSVSVSSPAGGARSRRTCMCSPTNHPGSFRCSLHKERKAPHGGHKPTSPPSPPSLAGSSSSMTTSRLGASASRRMGSALVRIGAVEGGEWARRALAATQSQHRRRVGGFRPRPSRLSAVSMAGDNDQ >KQL30776 pep chromosome:Setaria_italica_v2.0:I:33247150:33251569:-1 gene:SETIT_017543mg transcript:KQL30776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLGGGDRREAAAEEDPAAAPAPAGAGPDHLVVMVHGIVGSTADWKFGAEQFDKLLSDKVIVHCSNRNMRKLTLDGIDVMGERLAQEVIEEINRRPYIKKISFVAHSVGGLVARYAIGRLYRPPKQTSENAPQSLSDNNRGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIENFACCIIHLIFGRTGKHLFLTDYDDGKPPLLQRMVDNWGDLQFMYAYLPQLLLCAWIMNIFSLPICWCRSALQAFRRRVAYSNACYDHIVGWRTSSIRQDSELPKWVDSTNKIYPHIVYEELSKAEAPNQCVDTDNCTLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHCEGADVIQHMIDHFTL >KQL30774 pep chromosome:Setaria_italica_v2.0:I:33246767:33251710:-1 gene:SETIT_017543mg transcript:KQL30774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLGGGDRREAAAEEDPAAAPAPAGAGPDHLVVMVHGIVGSTADWKFGAEQFDKLLSDKVIVHCSNRNMRKLTLDGIDVMGERLAQEVIEEINRRPYIKKISFVAHSVGGLVARYAIGRLYRPPKQTSENAPQSLSDNNRGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIENFACCIIHLIFGRTGKHLFLTDYDDGKPPLLQRMVDNWGDLQFMSALQAFRRRVAYSNACYDHIVGWRTSSIRQDSELPKWVDSTNKIYPHIVYEELSKAEAPNQCVDTDNCTLEERLLRGLKRVSWEKVDVSFHNSKVKDPVMHCEGADVIQHMIDHFTL >KQL30775 pep chromosome:Setaria_italica_v2.0:I:33247150:33251569:-1 gene:SETIT_017543mg transcript:KQL30775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLGGGDRREAAAEEDPAAAPAPAGAGPDHLVVMVHGIVGSTADWKFGAEQFDKLLSDKVIVHCSNRNMRKLTLDGIDVMGERLAQEVIEEINRRPYIKKISFVAHSVGGLVARYAIGRLYRPPKQTSENAPQSLSDNNRGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIENFACCIIHLIFGRTGKHLFLTDYDDGKPPLLQRMVDNWGDLQFMSALQAFRRRVAYSNACYDHIVGWRTSSIRQDSELPKWVDSTNKIYPHIVYEELSKAEAPNQCVDTDNCTLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHCEGADVIQHMIDHFTL >KQL30777 pep chromosome:Setaria_italica_v2.0:I:33246767:33251710:-1 gene:SETIT_017543mg transcript:KQL30777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLGGGDRREAAAEEDPAAAPAPAGAGPDHLVVMVHGIVGSTADWKFGAEQFDKLLSDKVIVHCSNRNMRKLTLDGIDVMGERLAQEVIEEINRRPYIKKISFVAHSVGGLVARYAIGRLYRPPKQTSENAPQSLSDNNRGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIENFACCIIHLIFGRTGKHLFLTDYDDGKPPLLQRMVDNWGDLQFIQDSELPKWVDSTNKIYPHIVYEELSKAEAPNQCVDTDNCTLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHCEGADVIQHMIDHFTL >KQL30773 pep chromosome:Setaria_italica_v2.0:I:33246767:33251710:-1 gene:SETIT_017543mg transcript:KQL30773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLGGGDRREAAAEEDPAAAPAPAGAGPDHLVVMVHGIVGSTADWKFGAEQFDKLLSDKVIVHCSNRNMRKLTLDGIDVMGERLAQEVIEEINRRPYIKKISFVAHSVGGLVARYAIGRLYRPPKQTSENAPQSLSDNNRGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIENFACCIIHLIFGRTGKHLFLTDYDDGKPPLLQRMVDNWGDLQFMSALQAFRRRVAYSNACYDHIVGWRTSSIRQDSELPKWVDSTNKIYPHIVYEELSKAEAPNQCVDTDNCTLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQT >KQL30772 pep chromosome:Setaria_italica_v2.0:I:33246767:33251710:-1 gene:SETIT_017543mg transcript:KQL30772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLTLDGIDVMGERLAQEVIEEINRRPYIKKISFVAHSVGGLVARYAIGRLYRPPKQTSENAPQSLSDNNRGTIHGLEAVNFITVASPHLGSRGNKQVPFLFGVTAIENFACCIIHLIFGRTGKHLFLTDYDDGKPPLLQRMVDNWGDLQFMSALQAFRRRVAYSNACYDHIVGWRTSSIRQDSELPKWVDSTNKIYPHIVYEELSKAEAPNQCVDTDNCTLEERLLRGLKRVSWEKVDVSFHNSKVRSAAHSVIQVKDPVMHCEGADVIQHMIDHFTL >KQL32234 pep chromosome:Setaria_italica_v2.0:I:41880610:41885924:1 gene:SETIT_016434mg transcript:KQL32234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTEGIEVDAGTMEEVPAAVGGEETKRKRGRPKGSLKMKKKKTPKPKARTKGSRRTGDHALASPAISPGDLGHGVAAGPRVLRERRPATNAFYERDTDTEDDEETTDDQVIQRPKSSDSGKKRGRPKSSDSGKKRGRPRKTKLGQLDSKAQFSNVKSSGEMNGAANRKESSGNFLYCPVATGIQDDDLAHFQMHWAKGEPVVVSDVLQLTSGLSWEPMVEINIHMFFSGYTTGRAHPRTHWPEMLKLKDWPPSSSFDKRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPAGVLKPDLGPKTYIAYGFHKELGRGDSVTKLHCDMSDAVNILTHTAEVTCQTNLCQIEKIQKAMRAQDVQELYGGLESSMELRLSQSPIEYRDKAVDEARDSREDNDANKCSFTGLDINALPPDDSEGDTRDEVLYPESVSRSKLEQCPDRSNEVNTSHKTHNGCHFSSDDIGMGQHGKRFRGGTLNEVGTESQEEKPEKVDCNGTDKKQISKGIQEKAVVGEGSEQQNTGGALWDIFHREDSEKLQDYLRKHSSEFRHIHCNPVKQVIHPIHDQTFYLTAEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENIGECVKLTGEFRRLPSSHKANEDKLEIKKMALHALNEVVNFLDPCTSEGLESGAGEASNVAKSVDEKPPPLKRQGGRQSGEVSQGDDPKSEEGGGKGSDEAAAVNNEPKRRSRKRGG >KQL30760 pep chromosome:Setaria_italica_v2.0:I:33196895:33201185:1 gene:SETIT_017988mg transcript:KQL30760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARPWRVTTVPTPGGGARAHGAGGSYTPPHPSCIRFASHAASPLLSPVATRSALPPPRAEMGKRWIPLEANPDVMNQFMWGLGVPEGDVQFCDVYGLDDELLAMVPQPVLAVLFLYPLTSLDEEKEEPGASSASTAEGKDLSKKVYFTKQTVGNACGTVGVIHAIGNATSQIKLVEGSYFEKFYKKTADMDPVQRAAFLEEDDEMEDAHSVAATAGDTDANVDVNEHFVCFSCVDGELYELDGRKSEPISHGPSSPETLLQDAAKVIKARIAENPNSMNFNVMALSKQ >KQL29110 pep chromosome:Setaria_italica_v2.0:I:10337575:10338152:-1 gene:SETIT_019909mg transcript:KQL29110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAAVDTKRTLMSKKDNIRNACVIAPSDHDKAILMDSLMAAAGTANEAPSDFRLTRAGALAQEVLKQKTFE >KQL32138 pep chromosome:Setaria_italica_v2.0:I:41517383:41521144:-1 gene:SETIT_017945mg transcript:KQL32138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCEKDQNLPWGYDLFRDPFAPPGYYGPPPGYCDGNCCNLHYGRGSADAHEHETVYIEPSNSSPGSSGDGNFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELIEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRLQTTKKRRWWPFSQHHHH >KQL32141 pep chromosome:Setaria_italica_v2.0:I:41518331:41520705:-1 gene:SETIT_017945mg transcript:KQL32141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCEKDQNLPWGYDLFRDPFAPPGYYGPPPGYCDGNCCNLHYGRAHPDETQLHSSQLTYDLYNPSVGIYHPGSADAHEHETVYIEPSNSSPGSSGDGNFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELIEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKSS >KQL32140 pep chromosome:Setaria_italica_v2.0:I:41517802:41520705:-1 gene:SETIT_017945mg transcript:KQL32140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCEKDQNLPWGYDLFRDPFAPPGYYGPPPGYCDGNCCNLHYGRGSADAHEHETVYIEPSNSSPGSSGDGNFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELIEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQVLNRIDFCFTMPSAHSFISSLINHPFQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRLQTTKKRRWWPFSQHHHH >KQL32136 pep chromosome:Setaria_italica_v2.0:I:41518367:41520705:-1 gene:SETIT_017945mg transcript:KQL32136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCEKDQNLPWGYDLFRDPFAPPGYYGPPPGYCDGNCCNLHYGRAHPDETQLHSSQLTYDLYNPSVGIYHPGSADAHEHETVYIEPSNSSPGSSGDGNFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELIEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKVSPYTIHIPVVIPVISCCV >KQL32137 pep chromosome:Setaria_italica_v2.0:I:41517383:41521144:-1 gene:SETIT_017945mg transcript:KQL32137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELIEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRLQTTKKRRWWPFSQHHHH >KQL32143 pep chromosome:Setaria_italica_v2.0:I:41517383:41521144:-1 gene:SETIT_017945mg transcript:KQL32143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCEKDQNLPWGYDLFRDPFAPPGYYGPPPGYCDGNCCNLHYGRAHPDETQLHSSQLTYDLYNPSVGIYHPGSADAHEHETVYIEPSNSSPGSSGDGNFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELIEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNDAPRLQTTKKRRWWPFSQHHHH >KQL32139 pep chromosome:Setaria_italica_v2.0:I:41518367:41520705:-1 gene:SETIT_017945mg transcript:KQL32139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCEKDQNLPWGYDLFRDPFAPPGYYGPPPGYCDGNCCNLHYGRAHPDETQLHSSQLTYDLYNPSVGIYHPGSADAHEHETVYIEPSNSSPGSSGDGNFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELIEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKVSPYTIHIPVVIPVISCCV >KQL32142 pep chromosome:Setaria_italica_v2.0:I:41518030:41520705:-1 gene:SETIT_017945mg transcript:KQL32142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCEKDQNLPWGYDLFRDPFAPPGYYGPPPGYCDGNCCNLHYGRAHPDETQLHSSQLTYDLYNPSVGIYHPGSADAHEHETVYIEPSNSSPGSSGDGNFEMEEEVGKRFYPMVPVPHVPKINGEIPSVDEATMDHERLTERLKLYELIEHKVKGDGNCQFRALSDQLYQTPDHHEFVREQIINQLKTNRDAYDGYVPMAYDDYLEKVARNGEWGDHVTLQAAADKYGVKIFVMTSFKDTCYIEIQPKVQKSNKVVLLSFWAEVHYNSIYPQNGE >KQL30166 pep chromosome:Setaria_italica_v2.0:I:28538421:28538965:1 gene:SETIT_019022mg transcript:KQL30166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHKSTNVTSHVRLIFFIEKYIGHSRQRDQKFKTTTRIKKTKRIATTFRRKTT >KQL30030 pep chromosome:Setaria_italica_v2.0:I:27602271:27603418:1 gene:SETIT_019051mg transcript:KQL30030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKRPADERAGGRASKIPSRRRKHLYLVVDDWERGYSIRKLDLSSDSDSDDVDEANGPDGRTGTELRLPPAVFRLEAPRARSGLFAAFGTKIVATQLTPRGTIPMFDVRTRAFTFGPRQEGQPNPCCTDFVQVGDNLYFIDDSCFMMLDPPPPPPKFAHPYIQIDWTWRGLPVPPFDDCPVSYAVHPDGRTIFFSTQGQTKKHTEVATFTFDTVCSQWTRHGAWRLPFRGRGYFDCELNAWVGLSGQPDNLGHLCTCEVVSADANTTDGHPPPTCKLSKEKLFCVDPAEKHIGATLVYIGGRSKFCLVQCIATDDRKGGVWEEVLPECLCYLYRVTTFSLNAVHHRLRSYRLPKIATEYCDHLEKPVAFWM >KQL31593 pep chromosome:Setaria_italica_v2.0:I:38597924:38598281:-1 gene:SETIT_020575mg transcript:KQL31593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSAYSGIRSPKSNETSKTKMDAYYEQRTNPQQNKEQQQPTASATLSNLEAAHRDGSESRCQGDEACGAGCRCRGALWRHARSGRGSRPGHRGP >KQL27797 pep chromosome:Setaria_italica_v2.0:I:720231:720647:1 gene:SETIT_020507mg transcript:KQL27797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGQQTLINITGAALKYKSKILVNQELTFLYNYLMSKYNV >KQL31426 pep chromosome:Setaria_italica_v2.0:I:37412747:37413073:1 gene:SETIT_020127mg transcript:KQL31426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSKHTLAHCKVIRASSEARETEQEKGESSERDKLEIMAATRNFFLPAIMVFLVLSAVAVGAARPLAGEELSGEATAGESIVRFLRQIYRQRLNGPGHSCETWNPNGGC >KQL28428 pep chromosome:Setaria_italica_v2.0:I:5806490:5806991:1 gene:SETIT_018927mg transcript:KQL28428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRCPRALCYRGGDRETACERSGFGGFTPLGGGWCCSGTIAEGPAWRWSFRCGVVCFYRFPIDTQGHAWRFR >KQL28628 pep chromosome:Setaria_italica_v2.0:I:7132503:7136040:1 gene:SETIT_016868mg transcript:KQL28628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL28630 pep chromosome:Setaria_italica_v2.0:I:7132503:7136040:1 gene:SETIT_016868mg transcript:KQL28630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL28629 pep chromosome:Setaria_italica_v2.0:I:7132503:7136040:1 gene:SETIT_016868mg transcript:KQL28629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL29700 pep chromosome:Setaria_italica_v2.0:I:23543688:23545301:-1 gene:SETIT_019775mg transcript:KQL29700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGLPPGILFAPEDEVAVEHYLLPRLLGWPLPADGLILDDDPLSAPPQELLERNGRKEEAFFFAEGQPRCGKGTQQKRTCAGGGWWEGQKTCAEGDKLRVPGGGEAAWRKKALNFHCGGGGKKGSTGWVMHEYAVTAPEDLARSPLRLCHIRLSSYGRKQSGAMEVPRALGLPPGFLFAPEDCDAVAHYLLPRVLRQPLPLDGLILDDDPLSAPPWELLERNGRKEDAFFFALGQAKSGKGSRQRRTCAGGGFWNGERTCVDGEELRAPGLAGGAWRKKAFSFQDGCEKGSTGWVMHEYAITAPDHLAASQLRLYRLRFSGQGRKRKREEADNEAAPTTARRRVAEDALLDMPSLHPICSSVALADQANVNYVNGAEHHAAAALMSSIGDGGDLPSANLGVLPAVMSPGACVDADAAVSGLFYQAPAYPGVGTGPVAPSAPGMYRGCMEPPGSFFSPVSHHFYAAC >KQL30814 pep chromosome:Setaria_italica_v2.0:I:33595343:33596053:-1 gene:SETIT_020005mg transcript:KQL30814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTSNLLQMIFDLLTAIENVECDIDDGRITEATITLITGMLSHMSERARSHIISNLALPTSHQRDPQLAHPPQPNNYWRMWRSFMTTIGFSIASNVVLYFLSVGMRLLEQTDEGSNIHCGEPPSAEPQQDVWSKWVIKFTGWLVERVAAPFILIWFVVLIRERVINNENHMLEYEQNGTANVLVNGLSRAFFLAIRGFPGIRDPELWARLASVLVDVLGAAFLWWMGGHWDPDIADG >KQL32121 pep chromosome:Setaria_italica_v2.0:I:41435769:41436101:1 gene:SETIT_020445mg transcript:KQL32121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLVSHFPTTKRITSAIDFEQEPCRPVFYNSVINHPGIIIM >KQL28009 pep chromosome:Setaria_italica_v2.0:I:2517685:2521836:-1 gene:SETIT_016433mg transcript:KQL28009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPTVEGLIVAMSLIGATIITTFSGPVSDLIGRRPMLILSSILYFCSSLIMLWSPNVYVLLLARLVDGFGIGLAVTLVPLYISETAPPEIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPSPDWRIMLGVLAIPSLFFFGLTIFYLPESPRWLVSKGRMAEAKKVLQKLRGKDDVSSEMALLVEGLEVGGDTSIEEYIIGPATEPADDHVADGDKEHITLYGPEEGQSWIARPSKGPSMLGSVLSLASRHGSMVNQSVPLMDPIVTLFGSVHENMPQAGGSMRSTLFPNFGSMFSVTDQHTKNEQWDEENLHRDDEEYASDGAGGDYEDNVHSPLLSRQTTSAEGKDIAHHGHRGSSLSMRRPSLLGEGGEGVSSTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEGVPGSRRGSIVSLPGGGDVPEGGEFIHAAALVSQPALYSKDLTERRMSGPAMIHPSEAAAKGPSWKDLFEPGVRRALLVGVGIQILQQFAGINGVLYYTPQILEQAGVAVLLSNLGLSSASASILISSLTTLLMLPSIGLAMRLMDLSGRRFLLLGTIPILIASLVVLVISNVVDLGTVPHAALSTVSVIIYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWIGDIIVTYSLPVMLNAIGLAGVFGIYAVVCMISFVFVFLKVPETKGMPLEVISEFFAVGAKQAAAKA >KQL30190 pep chromosome:Setaria_italica_v2.0:I:28761333:28761995:1 gene:SETIT_018725mg transcript:KQL30190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSRLLDLERHDVLFFYGAYHHGEQPASVATHHTLVFWPVFLVAALHLHLVAPFPHAAVDAAACAGLYVACCFLLDRAARRPSSAGPTAVASRSTAQCTTKEGYTIEQHSEVRGDQDQ >KQL28734 pep chromosome:Setaria_italica_v2.0:I:7807801:7808768:1 gene:SETIT_018539mg transcript:KQL28734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVSCGGRRAATSGARGRGSITTKFIRKKKKWAALNVVVEHNDVVATGVSASWLGIDQRNIGRLCRCKGRVRRCSRERNCSKVMCLSIHAWTRGGQWRGVADLLWSLPGPVCRRLRFILVLSCLELFLFGRNLTLPPCLETFRRSGSCPSSSSMHVTMQMAR >KQL29330 pep chromosome:Setaria_italica_v2.0:I:13785997:13786751:1 gene:SETIT_018632mg transcript:KQL29330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIESHRAGAEVVNGDAICRKKSIELLEELGLPKGLLPLEDIEEFGYNREDGFMWLVQRKKKVEHTFKKIKQIVSYAGEVTAFVEKGKLKNISGVKTKELFLWLSVVEVYVPESSPEKVTFKTGTGLSDTFDATAFALGE >KQL30524 pep chromosome:Setaria_italica_v2.0:I:31451696:31453450:-1 gene:SETIT_018967mg transcript:KQL30524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQYYINEKGDKVYTTKKESPLGVPTQSAHPARFSPDDKYARQRYLLKKRFGLLPTQKPALKY >KQL30523 pep chromosome:Setaria_italica_v2.0:I:31451696:31453346:-1 gene:SETIT_018967mg transcript:KQL30523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQYYINEKGDKVYTTKKESPLGVPTQSAHPARFSPDDKYARQRYLLKKRFGLLPTQKPALKY >KQL30905 pep chromosome:Setaria_italica_v2.0:I:34260775:34263027:1 gene:SETIT_017010mg transcript:KQL30905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAREAQGGLEWRVTVPEGASVTVEHEAAGGAAARAWAWLLACVAAAWGRVAGFARKVWRIGADDPRKVVHGLKVGLSLALVSIFYYTRPLYDGVGGAAMWAIMTVVVIFEYTVGGSVYKSFNRVVATASAGVLALGVHWVAGKTGEFEPYILTGSLFLLAAAATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVDELAVLAQQRVSTIAIGIFMCLAVAIFVCPVWAGQELHLLTTRNMDKLAAALEGCVEDYFAEGPAAQPQARSDGYRCVLNSKASEDAQANLARWEPAHGRFGFRHPYGQYGKVGAAMRACACCVEALSSCASAEARAPEHVKRLLRDACTRAGARCARVLREASCSVATMTASSRALDLAVADMNTAVHDLQGDMRSLPSMLAVKLAETSLVDTMPVFTVASLLVEIAARVEGVVDAVDELATLANFKQVDDDDDDDDDDDDKKGESEMTTTKVHPLNEPDADEASSSPENQASKV >KQL27750 pep chromosome:Setaria_italica_v2.0:I:391162:391636:-1 gene:SETIT_020478mg transcript:KQL27750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDNCQVNEHLVDMCVASPRVFWFRSVGPASMLLGPAR >KQL27878 pep chromosome:Setaria_italica_v2.0:I:1382879:1385620:-1 gene:SETIT_019868mg transcript:KQL27878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGAMLAVVAAVLAAAAAGAAAESREAAAKGMYHALFNFGDSLADAGNLIQNGTPEFLATARLPYGQTYFGKATGRCSDGRLVIDHLAQEFGLPLLPPSKAKNASFAHGANFAITGATALDTPYFVAKGSATSSGTPWFRELKPFFCNSTQECKKFFAKALFVVGEFGGNDYNAPLFAGKGITEAYKFMPDVIQGISDGIEALIAEGAVDLIVPGVMPTGCFPVYLNMLDEPKDGYGERSGCVRRFNTFSWVHNAHLKAMLEKLRAKHPNVRIIYGDYYTPVIQFMLRPEKFGFAKQLPRACCGAPSTPERAAYNFNVTAKCGEPGATACADPTTHWSWDGIHLTEAAYRHIAKGWLYGPFADQPIIQSS >KQL29089 pep chromosome:Setaria_italica_v2.0:I:10246643:10250209:-1 gene:SETIT_016582mg transcript:KQL29089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVRGSAFLLGVLLAGSLFAFSVAKEETKKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNPERTIFDVKRLIGRKFQDKEVQRDMKLVPYNIVNKEGKPYIQVKIKDGENKVFSPEEISAMILGKMKDTAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPSKGVNPDEAVAYGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTIGDKDKLADKLESEEKEKVEEALKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIISAVYQKSGGAPGGGADEEGGVDDDHDEL >KQL29088 pep chromosome:Setaria_italica_v2.0:I:10246401:10249574:-1 gene:SETIT_016582mg transcript:KQL29088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVPYNIVNKEGKPYIQVKIKDGENKVFSPEEISAMILGKMKDTAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPSKGVNPDEAVAYGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTIGDKDKLADKLESEEKEKVEEALKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIISAVYQKSGGAPGGGADEEGGVDDDHDEL >KQL29087 pep chromosome:Setaria_italica_v2.0:I:10246401:10250296:-1 gene:SETIT_016582mg transcript:KQL29087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVPYNIVNKEGKPYIQVKIKDGENKVFSPEEISAMILGKMKDTAEAYLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKYSKDISKDNRALGKLRREAERAKRALSNQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKSQIHEIVLVGGSTRIPKVQQLLRDYFDGKEPSKGVNPDEAVAYGAAVQGSILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSMTKDCRLLGKFDLSGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNEKGRLSQEEIDRMVREAEEFAEEDKKVKEKIDARNQLETYVYNMKNTIGDKDKLADKLESEEKEKVEEALKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIISAVYQKSGGAPGGGADEEGGVDDDHDEL >KQL28313 pep chromosome:Setaria_italica_v2.0:I:4969576:4970977:1 gene:SETIT_019243mg transcript:KQL28313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRDDAAAKRDRLSALPDDLLHRILRPLEARQAARHLSLLSRRWRRVWASSPFVTLKDKDSAGSERFVNNLLLLRDPLDLQLALRGANAIGLPDRVFTCATLEEISLSSRARDFIAPKSAVCLPRLKKLHLENVQIDPSAVEKLNSGWPSLEDLNLYQCWLGSFKISSESLKTLSITACVYDEIHVSAPNAGSLKITVSRRVYLSAMPSLVSAWVHCVGAADHLAPCAYDLIAALCNAQRLELFRFDVLLQDIVHKPATEGLSLSNLKSLYVGEWLVTDFYNALAFFIQRLKLLPPLPRNLETLWIRLSKGDDVEEFRKMRSALKEKTKPREMEVVWF >KQL30297 pep chromosome:Setaria_italica_v2.0:I:29763409:29764020:-1 gene:SETIT_019276mg transcript:KQL30297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPSLNPDFRYLDEGLGGKRGKRKRREEEEEAEAAAADSMDLDADAPRPSKLRAMPSLSDTSKPASFGQPTYDGVIAGRVSGRRWKEPRTRRASAVVVSRKPTPLEQRVREKSLKRAYQARKAELKETRQNKKCKQENVLRTGTKLQRVTNPKTIQKIAKSKKCKQLKVVPDEFLGGKKSEASRRMQVPGLEN >KQL29927 pep chromosome:Setaria_italica_v2.0:I:26539112:26543247:-1 gene:SETIT_017809mg transcript:KQL29927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPHLPPRCPPLGPQITRRDDSLFTQSCRFPSGDPFYGEPPCWLDDLLADSGKASNLPPLRRACSDSDAILDALSVFQSPIHPIEEGDLSPGGEAKDLLDAVEGGESSSLVEASCVYGPNSPRQKSSLTTSESSMVNAVLENVPSNPLQYLTIDTSSGVNGNVANGTADATDAFGHPDQDKSFKRRPGQRSRVRKLQYIAELERTVDSLQNMGADLAVRVASLFQLHNALSMENEQLRIQISNLQHAKLIKDGQTQALKNEAERLKQMSARHRRSRSVTSCYNMSPYGDAPAVNWQMPDMAKLSLNDSSVSPRGGGYGL >KQL28109 pep chromosome:Setaria_italica_v2.0:I:3414924:3415360:-1 gene:SETIT_020341mg transcript:KQL28109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSQQGTYMLALFPCLLLDAFGCNSLMLSMFSVVLFDCQRQYLLCCSTLCCICTMDVACMFPCVSLPFFVTPNGFR >KQL31708 pep chromosome:Setaria_italica_v2.0:I:39318178:39320080:-1 gene:SETIT_017035mg transcript:KQL31708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVHAALARLGLDKAVKVSSPVALTALANSYPSSAGVFREDLAQPVMKPMLDFLAQTGSYLMVNAYPFFAYSANAGDISLDYALFRPNAGVQDAGNGLKYYSLLDAQLDAVFAAVNRLGNYNGVRVVVSETGWPSKGDASEVGASPANAAAYNGNLARRVLSGNAGTPLRPNADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGAGGAAGGGSQGNGGLGWQENGGPSSGSGSTSGNPPSGVKVTTGEAWCVANAAVGEQRLLAALNYACGEGGANCKDIQPGAACFEPNTMVAHASYAFNDYFQRKGRTIGTCDFAGAAYVVNQAPSSGLQAC >KQL31710 pep chromosome:Setaria_italica_v2.0:I:39317728:39320671:-1 gene:SETIT_017035mg transcript:KQL31710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVHAALARLGLDKAVKVSSPVALTALANSYPSSAGVFREDLAQPVMKPMLDFLAQTGSYLMVNAYPFFAYSANAGDISLDYALFRPNAGVQDAGNGLKYYSLLDAQLDAVFAAVNRLGNYNGVRVVVSETGWPSKGDASEVGASPANAAAYNGNLARRVLSGNAGTPLRPNADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGAGGAAGGGSQGNGGLGWQENGGPSSGSGSTSGNPPSGVKVTTGEAWCVANAAVGEQRLLAALNYACGEGGANCKDIQPGAACFEPNTMVAHASYAFNDYFQRKGRTIGTCDFAGAAYVVNQAPKMGKCDLPSTV >KQL31712 pep chromosome:Setaria_italica_v2.0:I:39317430:39320671:-1 gene:SETIT_017035mg transcript:KQL31712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRRALLVAGLLAAALPLLLVCPAEAGTVGVNYGRVANNLPNPSAVVQLLKQQGITQVKLYDTDPTVLRALANTGVKVVVALPNEQVAAAASRASYALLWVRRNVAAYYPATQIQGIAVGNEVFATAKNVTAQLVPAMVNVHAALARLGLDKAVKVSSPVALTALANSYPSSAGVFREDLAQPVMKPMLDFLAQTGSYLMVNAYPFFAYSANAGDISLDYALFRPNAGVQDAGNGLKYYSLLDAQLDAVFAAVNRLGNYNGVRVVVSETGWPSKGDASEVGASPANAAAYNGNLARRVLSGNAGTPLRPNADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGAGGAAGGGSQGNGGLGWQENGGPSSGSGSTSGNPPSGVKVTTGEAWCVANAAVGEQRLLAALNYACGEGGANCKDIQPGAACFEPNTMVAHASYAFNDYFQRKGRTIGTCDFAGAAYVVNQAPKMGKCDLPSTV >KQL31709 pep chromosome:Setaria_italica_v2.0:I:39318178:39320080:-1 gene:SETIT_017035mg transcript:KQL31709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVHAALARLGLDKAVKVSSPVALTALANSYPSSAGVFREDLAQPVMKPMLDFLAQTGSYLMVNAYPFFAYSANAGDISLDYALFRPNAGVQDAGNGLKYYSLLDAQLDAVFAAVNRLGNYNGVRVVVSETGWPSKGDASEVGASPANAAAYNGNLARRVLSGNAGTPLRPNADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGAGGAAGGGSQGNGGLGWQENGGPSSGSGSTSGNPPSGVKVTTGEAWCVANAAVGEQRLLAALNYACGEGGANCKDIQPGAACFEPNTMVAHASYAFNDYFQRKGRTIGTCDFAGAAYVVNQAPSSGLQAC >KQL31711 pep chromosome:Setaria_italica_v2.0:I:39318178:39320080:-1 gene:SETIT_017035mg transcript:KQL31711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVHAALARLGLDKAVKVSSPVALTALANSYPSSAGVFREDLAQPVMKPMLDFLAQTGSYLMVNAYPFFAYSANAGDISLDYALFRPNAGVQDAGNGLKYYSLLDAQLDAVFAAVNRLGNYNGVRVVVSETGWPSKGDASEVGASPANAAAYNGNLARRVLSGNAGTPLRPNADMDVYLFALFNENQKPGPTSERNYGVFYPNQQKVYDVEFVLGAGGAAGGGSQGNGGLGWQENGGPSSGSGSTSGNPPSGVKVTTGEAWCVANAAVGEQRLLAALNYACGEGGANCKDIQPGAACFEPNTMVAHASYAFNDYFQRKGRTIGTCDFAGAAYVVNQAPSSGLQAC >KQL30397 pep chromosome:Setaria_italica_v2.0:I:30271400:30276342:-1 gene:SETIT_017358mg transcript:KQL30397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIRKQLDQLMGANRNGDVREVSRKFYDRDVCRLYLAGLCPHDLFQLTKMDMGPCPKLHSLQLRKEYEEAKAKGTDNYDRELEETIERLIVECERKIQRALKRLEEDDAKAAIAISVTEVTQTKEVMELSKQIRQKMKDIDAFDLEGNTEGKIRATEELDKLKEQRAEEQAKMLLEAFNKDRASLINSIQNATQTTTPVPPAAPDARTQEMINEKLKKAEELGESGMIDEAQKLLDEAEALKKLSARPQSVPDSSKMTAHVQITDQKLRLCDICGAFLSVYDSDRRLADHFGGKLHMGYMLIRERLSELQEEKNKKRKLDRPEYDRRSRERSGERERASSRDHRRGDRSSSRDRDYDRRRSHDRYHDRERERESGRSRSYDSRSHRRSRSRSPRGSSRDYDRYGRHERRDRY >KQL31699 pep chromosome:Setaria_italica_v2.0:I:39271603:39278334:-1 gene:SETIT_016507mg transcript:KQL31699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSVAGALVPSVPKPEAAPSGDASTAAAATTAALALALAEEAGARAASASASPQGPAAEGEGPADRDLLCPICMAVIKDAFLTACGHSFCYMCIVTHLSRKSDCPCCRHYLTKAQLYPNFLLDKVLKKISARQIAKAASPIDQFRYALQQGNEMGVKELDSLMTLISEKKRQMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDISAVERHRLELHRTKERYSMKLRVLLDDPAAQKMWPSPIEKASSLFLPNPRTPLSASCPGSLQNKKLDLKAQVSHQGFQRRDALTCSDPPNSPIQSGNVIARKRRVQAQFNELQEYYLQRRRTGAQSRRQEERDIVAMNREGYHAGLQDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSSVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVINIDMKANICSVKYNPGSNFYVAVGSADHHIHYFDLRNPSAPVHIFGGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDNCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKHFQGPLPGFCSGEDVALCKFLL >KQL31700 pep chromosome:Setaria_italica_v2.0:I:39272124:39278450:-1 gene:SETIT_016507mg transcript:KQL31700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSVAGALVPSVPKPEAAPSGDASTAAAATTAALALALAEEAGARAASASASPQGPAAEGEGPADRDLLCPICMAVIKDAFLTACGHSFCYMCIVTHLSRKSDCPCCRHYLTKAQLYPNFLLDKVLKKISARQIAKAASPIDQFRYALQQGNEMGVKELDSLMTLISEKKRQMEQQESETNMQILLVFLHCLRKQKLEELNEIQTDLQYIKEDISAVERHRLELHRTKERYSMKLRVLLDDPAAQKMWPSPIEKASSLFLPNPRTPLSASCPGSLQNKKLDLKAQVSHQGFQRRDALTCSDPPNSPIQSGNVIARKRRVQAQFNELQEYYLQRRRTGAQSRRQEERDIVAMNREGYHAGLQDFQSVLTTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSKRIKVFEFSSVVNEPSDVHCPVVEMATRSKLSCLSWNKYSKNVIASSDYEGIVTVWDVQTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVINIDMKANICSVKYNPGSNFYVAVGSADHHIHYFDLRNPSAPVHIFGGHKKAVSYVKFLSNNELASASTDSTLRLWDVKDNCPVRTFRGHKNEKNFVGLSVNNEYIACGSETNEVFVYHKAISKPAASHRFVSSDLDDADDDPGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAP >KQL28338 pep chromosome:Setaria_italica_v2.0:I:5200778:5211050:-1 gene:SETIT_016072mg transcript:KQL28338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFMPQFDLTHCLGIRQKFTSIDRDINADGNVPQRSIHLRRKRDGPQTSTLPKGSENHEFVNAGIFMEPSFFTKATEQSTSEYKSLLKPPKFLVEKSSHQPHHCPVVLPVQYSDFFITSLGEIDNRTSYHNSYQIWPVGFTSYWHDRVTGSLFECEVCDGGNFGPMFKVRRVPCSAFQLPDASTTVCPNIVRKADTIETMESSGVIEDTANDTDDNISMLLSDFSETNQDFLSCLSKDMEGKGSLGCSSVQTSNMAVPAVVLHSGSSSRAPTKDANLHDKIGDFTFEGTTPSSAWRMISCAMMEACEKMYKEHGHLALSCTHNIEKSSFDYGSGSQNTDGPCNLLARFCSSNGPRIPRFIEKEDDVESACALLKEWLYQDRIGFDLEFVQEIVESLPKSRACSNYQFLRDRTGFNSSLTIASGTLLAVNKSSPSNGDVMSYGRHGSIVTGPQDHAQPSSFSIRELPLGNPFSRKLPPELAGDVFQVLEFLGRFAEIIGLKELPSVEQVEDELINPWPICANQKDIQHYRDHTPPMNSPANVSTSYSNGESGLTTNEETASVFIPVETSTCEAAEDKLAAQTLGRCSGVVLPEIHLALLKVLFTELVPRVAIFVDPRIDSKESKSKRGRKRDTDTLTRELKIDMLTANKLTWPELARRYILVVSSLSGCMDLSDISSREGVKLFRCLQGDGGILCGALPGVVGMEKDALLLAEAETLICNSSANEGNKVFTMDYKDSDIVHSPEQPACDATLPDWVKSLEPVRKLPTNVGTRIRKCVYEALERKPPEWARKILDHSISKEVYKGNASGPTKKLVLSVLAEACRKKVPQNPEKPRKERNIISISEAILKKCRIVLRRAISSDESKPFGNLLGTTLTNSNENEDEGILGFPGMVSRPLDFRTIDIRLAMGAYRGSWEAFLDDVQEVIHNLQTAFADRPEVLVMVVALSQSFESLYKSEVQDLVKKFDKYLSNENGNSEIHNQLQDALMAANNKLPKAPWEDGVCKVCGIDRDDESVLLCDNCDSEYHTYCLNPPLARIPLGNWYCPSCLSGQNRTNIDDNTHVLMQEEKKCVGEEAHVFLEKLNKLAMAMDEKEYWELSVPERIYLLKFLCDELLNTALIREHLDQCSDKSNDLQQKLRYLNYELKELKYQVEIRSTYATQSRWMKNDHVSNSSGPVENQQRSMPTALEHLEEAERVNVGVNLNTAAEGAPTGPLNVGKPYSTDNDISSTSVTEGNRSLGLSKQASDIVTDRIDGSSIGEGSQSCEKSVGGRSGTCDNLNMGEDHSAAVISTPNGELPDDNARTPSQDNLEASTTKLADHDADNNETNNLLDRISQVQDSISTSELQLSMASFRRECLGRDSVGRLYWVTGRPGKRPRLVADGSMLIPKDRDISMVTSYPQSTFDCRGWNSASIVIYESDEEIKCLVDWLRDTDPREKDLKDSILHWQKSLYHQASFSVSDSPASKFSKSEPLTDLPDTKAFIVLEQKYGLQLDQDTTELSKKRGRKTKSGSEEGIYRCDCLEPVWPSRHHCLTCHETYLTSTEYEGHDGGKCNSSDDSPNQSKESDESKLKGTKSDIKEKDPVDHSCSVEPSNSGNLDPCPVDFEEICKKFITNDSNKEIVKEIGLLGSNGVPSFVPSPAFFLDPPALLSENKRNDDIPNDWSSSLEECQAMSAKKSGQEGVQADQDCPGNTGDEQMPKSKKPVRDSASAKEASSLTDKPTRLLTVNGGLVPEGSLMPLIGRNFHILKQLKINLLDVEAALPEEALIASKSQQIRRRSWRAFVKGAESISHVVLATNFLQSMIKAEFLKKDWWYWSSFTAAIKTTTVSALALRIYTLDDCIMYTKDPAPNPDPADNARSGNKGKRKRDADS >KQL30386 pep chromosome:Setaria_italica_v2.0:I:30243624:30247999:1 gene:SETIT_017114mg transcript:KQL30386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLDESKFEQRLELWALRIPRELASAVTRLLRSGYLLDKPRVKPVVEDPESDKNRLVVLSERIQKPDLSDMPQQMHDSLKQLCNVDVVPYALTLGYSYWSAGHVAHLNISDDLLPYKDVIAKVIYDKNYPRIQTVVNKVGTITNEFRVPKFEILAGKNDMVTEVKQYGATFRLDYGLVYWNSRLEHEHIRLVSLFKKGDVICDMFAGIGPFSIPAAQKGCIVYANDLNPDSVHYLRTNAKINKVEDYIFSYNMDARVFMKSLMSVPGSETRSDSQVAADECYPEGGVPANEYSSSNGNHNDVQKDGQNSANDSSLASTTAKKRQQTSKEGEPDCQDGDASQTKQRNNKRVKGSGPPPTKPWEHIDHVLMNLPASALQFLDCFDGLVQKKHWTGSLPWIHCYCFIRSTESEESILSEAQNKLNAKIAEPIFHRVRDVAPNKAMYCLSFKLPMECLKDDTENHIQSVA >KQL32093 pep chromosome:Setaria_italica_v2.0:I:41314301:41318622:-1 gene:SETIT_016374mg transcript:KQL32093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAPHLLHCGGFGRVAHLPALPGRRRRRGQQLPRVRAVATEPKPSTSTSSSRPRTRNDLSNTRFGGVSKEIQRVRKQMEQDEQLATLMRGLRGQNLRDEQFADDNVRLRLVEVETAGNNEGLPLVYSPEIISAYWGKRPRAVATRVVQLLSVAGGFISHLISDLINKKLKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMTELQKLCDKVPSFPDDIAMALLEEELGQPWQAIYSELSPSPIAAASLGQVYKGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGAYFAEMMKEDLPQVVVPKTYDKYTSRKVLTTQWIEGEKLSQSTEDDVGSLVSVGVICYLKQLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDPILPVLAKVFDQALEGGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGNMAELADIGAQPSTSLVPVFPMAIAQPEQPVKARAALAFLLSERGNFFREFILDEIVKAIDAVSREQLIQIAASFGIGNATPVFSMVPVRARALLPTITEEDRVILNNVEKVVKFLTSGTATPTVSGDVNMVSVVQELLPVLPGISSKILPDVLSRLSSRVFARLIREAFL >KQL29254 pep chromosome:Setaria_italica_v2.0:I:12204198:12206430:-1 gene:SETIT_019810mg transcript:KQL29254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPLLTVKDTEQRVEAHAEGSFWSEVKTQLYLAGPLVAGYLLMNITQMISIMFVGHLGKLELASVSIATSFAAVTGLCVLAGMATGLDTLCGQAFGAGQHHLLGVHKHIPVAVLWAYASKILSWCVQDPEIATAAGSYVRWLIPSLFVFPWGLGHPAVCWLLVNRLGLGSKGVALANAVSYLVNLSLMALYIRLSPSCKATWTGFSGEAFRGIPGFLKLAVPSAAMVCMEWWSFELLVLLAGLLPSPKLETAVLSICLHTITITFASMVPIGLGAALSTRVSNEHGAGRPHVAHLATQVVMFLAFSVCVSEGILMVLARNLLGYAYSNDEDVAKYAARVMPVLAVCILFDGLQTVLSGVVRGCGRQKLGAVINLVAYYVAGIPAAFFFAFVCHLGGMGLWFGLLCGLVVQMLLLLSISICTNWNGEVLRAKDGVFSSNLLVDTWQNMKCQ >KQL32145 pep chromosome:Setaria_italica_v2.0:I:41525744:41530364:-1 gene:SETIT_017278mg transcript:KQL32145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLPFWHGEVKEEDPVTMSASVRSISTTSTERDVRSGSDFTSLNVSDMSAESIRRTQYPSFTDRPSNLRVFSFAELKSATRNFSRSLMVGEGGFGCVYRGIIKTSDEPNERIEIAVKQLNRKGLQGQKEWLTEMNVLGIVDHPNLVKLIGYCAEDDERGVQRLLVYEYMLNGSVDDHLSSRSTSTLSWPMRLKVALDSARGLKYLHEEMEFQVIFRDLKTSNILLDENWNAKLSDFGLARHGPTEGLTHVSTAVVGTLGYAAPEYMQTGRLTAKSDIWSYGVLLYELITGRRPIDRNRPKSEQKLLEWVKPYVGDKKRFPIIIDPRLEGHYNLKSMLKLSSVANRCLVRMPKSRPKMSEVYEMVQKIVDSVETGPPQPPLHYHGSVSEPGAKRTKKGSLKRRLQEFKFGCRNIVWRGWKPEIVKTF >KQL30676 pep chromosome:Setaria_italica_v2.0:I:32531233:32533618:1 gene:SETIT_018093mg transcript:KQL30676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGRAVTLSERGDSGTRLDEDVAPEAGDEEAESTARVLYRASFQELMPGYLQYDTIIWAAISLLLVLAWGIGLLLLLYLPYKRYVLKRDIMSRQLYVTENNIVYKVIIREASRRTQEVQSWRTRLYSGEGPSYVPPTSGLHSPSAKVKASSIRAFLDPKGKIPDSILLHKLEEVSRSVKNLESLLIGSHTRE >KQL30677 pep chromosome:Setaria_italica_v2.0:I:32531233:32533618:1 gene:SETIT_018093mg transcript:KQL30677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGRAVTLSERGDSGTRLDEDVAPEAGDEEAESTARVLYRASFQELMPGYLQYDTIIWAAISLLLVLAWGIGLLLLLYLPYKRYVLKRDIMSRQLYVTENNIVYKATRPSYLPFMGIVKKEIKVPLHLIVDIVVEQGCLQSAYSLYTFRIESIAHGKPAPVDELQFHGVHDPDLLRKVIIREASRRTQEVQSWRTRLYSGEGPSYVPPTSGLHSPSAKCVQVKASSIRAFLDPKGKIPDSILLHKLEEVSRSVKNLESLLIGSHTRE >KQL30675 pep chromosome:Setaria_italica_v2.0:I:32531233:32533618:1 gene:SETIT_018093mg transcript:KQL30675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGRAVTLSERGDSGTRLDEDVAPEAGDEEAESTARVLYRASFQELMPGYLQYDTIIWAAISLLLVLAWGIGLLLLLYLPYKRYVLKRDIMSRQLYVTENNIVYKATRPSYLPFMGIVKKEIKVPLHLIVDIVVEQGCLQSAYSLYTFRIESIAHGKPAPVDELQFHGVHDPDLLRKVIIREASRRTQEVQSWRTRLYSGEGPSYVPPTSGLHSPSAKVKASSIRAFLDPKGKIPDSILLHKLEEVSRSVKNLESLLIGSHTRE >KQL31321 pep chromosome:Setaria_italica_v2.0:I:36886422:36887410:1 gene:SETIT_017765mg transcript:KQL31321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSLAHHLLRPLAAGCCSDLDEYDDEEEPASVAFPSFWPPFPALSSDSDSDAASFVRPRMDRPGRAGETAASSFFGLGFHDGDDDEWAPAHEDGGEVELPLCWDCLQLEDHDGDQRWDVGVSDADEWEQVAGREEEEAATAAAASAVRSLEWEVLLAANSLGSLVVDDAGDDADLDAGIETYFLDDADDLLFGQLAAVEADHGPPGKCGRPAAKAAVEALPTVVVAEADAARGDAQCAVCKDGVEAEERARRLPCAHLYHDACILPWLAIRNTCPLCRHELPTDDPEYEKWKARRAAGDADPRGAATGMMSSSGYLDEWIGGGGTE >KQL31883 pep chromosome:Setaria_italica_v2.0:I:40264270:40273990:-1 gene:SETIT_016077mg transcript:KQL31883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAGKAKPKPKGDKKKKEEKVLPIVLDVTVETPDYTQLTLKGISTDRILDIRKLLAVHVDTCHLTSYSLSHEVRGAQLKDTVEVASLKPCHLSIVEEDYTEELAVAHVRRLVDIVACTTAFGAKKPEQKPASPDAAAAAAEAAKPGSPGKTAPGGGGGGEEPMYPPPKLGQFYDFFTFSHLTPPLHYIRRSTRPFVDDKREDDFFQIDVRVCNGKPVTIVASQAGFYPAGKRALISRSLVGLLQQTSRAFDGAYKALMKAFVEHNKFGNLPYGFRSNTWVVPPVVADSPSVFPPLPTEDETWGGNGGGQGRDGKHDHRPWVKEFAILAAMPCKTTEDRQVRDRKAFLLHSLFVDVAVLKAVASIQQLISNHRSSHETANGTTGPVLYTEQVGDMKIMITKDKADASFKLDVKLDGSQAPGMSPDELARRNLLKGITADESATVHDTATLGVVIVKHCGYTAVVQVPVDPDLTTTSLAQQDIHIEDQPEGGSDALNVNSLRMLLHKSCAPSYGGVQRLQGCDPQDNETTQSFVRKILTDSLEKLESEAPMVTRPIRWELGACWVQHLQNPTSEKTETKKSEETKDVPTVKGLGKQFGQLKEIKKKTDDKSGKGAYAKENTSPNTDNASTDNTTSAKEDKETVLQRLLSEAAFERLKESETGLHAKSLDELIEMAHKYYDDTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGRVVELSDKLPHIQSLCIHEMVVRAFKHILRAVIAAVDDVNDVADSVASCLNILLGPFPEENNDGNCGEDHNLRKRWLEVFLFKRFGWKWKDEYSLDLRKYAILRGLCHKVGLELLTKDYDMDMPHPFRKSDIISVVPIYKHVACSSADGRTLLESSKTFLDKGKLEDAVNYGAKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEKTTLRILQAKLGSEDLRTQDATAWLEYFESKALEQQEAARNGTPKPDASIASRGHLSVSDLLDYINPDDELKVKEMQKKQARAKIKGRTGQNPSDLVDDEDQRSPPPNNDNLLTEKEDSGVKENGTFVEHVKVKDEIPSDTANHIPQDDFTEEYASDEGWQAAVPKGRSTGSRKTGPGTRKQNLAKINTNVFHSENGRYKGRGPSNFSSPRVSPNETAAPVASGPLAKKLAKNSSFNSKAVSPAVSSSSGENSSNPNSKPASPAITAAAAKVIPSTAPAASQTVRKSLSYKEVAIAAPGTLVKALSEVHTEEKDTIDKGASVESAKPPKESNDNPSGEKDGATEVSKKGDTSQVSKSTDGGKSEPTDVLLGSNQPETEHKKTSDAAETSVVKKNTDLAASVTSSATQTEADVPNAGAPTVIEANDSSSNDERDVGEDTPEQLSSGGENEKSSASESEKKETTSKLSAAAAPFNPSTVPAFGSMAVPGFREHGGLLPSPANVPPMLSIPLRKHPHQSATARVPYGPRLAGGYNRSGHRGPRNKPMLPSGEAPTETNTSAPRVMNPNAPEFVPGQSRSPNGQPASPNGPLTSPGGITSSPQGLPSSPDSTVESPVTASPQVSECSQTSPEGNDASCGVNVEAGGEKQNTDDTNHTESKDGKVEPEQTTAPEVAEEAVTAKDVTEEPIATEQPKSWADYSDGEVEAVEVAS >KQL29389 pep chromosome:Setaria_italica_v2.0:I:14715813:14716292:1 gene:SETIT_019774mg transcript:KQL29389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAHPLLSSASPRLRLTSAAGSRRNARSRALSVRCEQGAKGGGGGGLDVWLSRGGMLGFMGVVAVELTTGKGVLQNVSLTAPLPTVALGLTGVVGIFTAFIIFQSGSQD >KQL28498 pep chromosome:Setaria_italica_v2.0:I:6303101:6306748:1 gene:SETIT_017047mg transcript:KQL28498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLLASYASSDDEADEAPPAPAPAAARGGEAGAKPPTASSGGGGGIFSSLPQPKSAALFSSLPPPKSAPAPSATRDGEAAGKPPTSSSAGGGIFSSLPQPKSAALFSSLPAPKSTPAPVPAAVPTFSSIPAPKSSTGKPKRVVQYRPQPIRQPTGDSSDDEEDDAKKRRASAAEARLPPVSAGSGPVSSFLPPPKHSLGLGSGLGAGARRSAIDTAAPERSNLGAPVPSGSIANTGAPEKPDVASDDDDSEDSGSEEDMPVPEQLEEGQEEQQGLEAAAGEQQQQGYYAAAGSTSGYEAYAWDPNYYAQYGANYGWDPSANPNYVAGAQYAASGGEQSGGYVHSHGGEHGGGYEYVAAAPYGVDYTGGYVHEVAAPTQEPVLPPEMGRIGGKRGRNDMPAQILEVDQAELMKNRPKQDTSKLTGLAFGPSYQPAPSAKGKPSKLHKRKHQIGSLYFDMKSKEMELAERRSKGILTKAETQAKYGW >KQL29164 pep chromosome:Setaria_italica_v2.0:I:10840511:10841881:-1 gene:SETIT_019349mg transcript:KQL29164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRAPSSEADASKMKKTKIERPTTQELPWSLVDNDLLDIMVERGLVLGWRLVCPPRSERVPTPKPNEVVVFIEQYECGLHFPCMKKEVCYGLVSGLEEDLAIVPAKAYKDHRETAWLSRWLYQEVPSSSRMRSTCQKLEFISLHSFTMDDSLRTRIAFVGSITRRFVMWHLAEEFLMAEIWPLARGWEFLPISDSRTPSVGMATPEHPAPPEKAPKGERGCGRGTSTASRDLAGGMAPSSRRMEGVADEEGESDDEAHADESPWSTPSPRPAGMDPPLRADPLCSTPPASHVGGSGSTSHRPCPSF >KQL27937 pep chromosome:Setaria_italica_v2.0:I:1863479:1863878:1 gene:SETIT_020652mg transcript:KQL27937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIHKNTVMTTTVLTPTYSHSKFKKGTKNI >KQL31302 pep chromosome:Setaria_italica_v2.0:I:36758308:36760337:1 gene:SETIT_016914mg transcript:KQL31302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVPNIRSRGRPILSRRIQNRHFCALIKAATSVRRRPHALGPIGSSANCYISACEWEARSRAMASVPKLLLLLFFCSCHSLIAHAGDDRSYTVLSLDSLKSDAVCSERKAVPLPGAATVPLHHRHGPCSPLNITKMPTLKEMLRRDQLRAAYIQRKLSAGAKGGAGDVQQSDATVPTKLGTYLDTLEYLITVVIGSPGVTQTMLIDTGSDVSWVQCKPCSQCHSQADPLFDPSSSSTYSPFSCSSATCAQLGQEGNGCSNSQCQYIVRYGDGSSTTGTYSSDTLALGSNTVTNFQFGCSQVGSGFDDQTAGLMGLGGGTQSLVSQTAGTFGTAFSYCLPATSSSSGFLTLGAGTGSSGFVRTPMLRSSQVLTFYGVRLQAIRVGGRQLNIPSSAFSAGEIMDSGTVITRLQRTAYSALSSAFKAGMKQYPRAPSSGILDTCFDFSGQRSITIPTVALVFSGGAVVNLDANGIILGSCLAFAGNSDDSSFGIIGNVQQRTFEVLYDVGGGSVGFRAGAC >KQL31303 pep chromosome:Setaria_italica_v2.0:I:36758308:36760337:1 gene:SETIT_016914mg transcript:KQL31303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVPNIRSRGRPILSRRIQNRHFCALIKAATSVRRRPHALGPIGSSANCYISACEWEARSRAMASVPKLLLLLFFCSCHSLIAHAGDDRSYTVLSLDSLKSDAVCSERKVPLPGAATVPLHHRHGPCSPLNITKMPTLKEMLRRDQLRAAYIQRKLSAGAKGGAGDVQQSDATVPTKLGTYLDTLEYLITVVIGSPGVTQTMLIDTGSDVSWVQCKPCSQCHSQADPLFDPSSSSTYSPFSCSSATCAQLGQEGNGCSNSQCQYIVRYGDGSSTTGTYSSDTLALGSNTVTNFQFGCSQVGSGFDDQTAGLMGLGGGTQSLVSQTAGTFGTAFSYCLPATSSSSGFLTLGAGTGSSGFVRTPMLRSSQVLTFYGVRLQAIRVGGRQLNIPSSAFSAGEIMDSGTVITRLQRTAYSALSSAFKAGMKQYPRAPSSGILDTCFDFSGQRSITIPTVALVFSGGAVVNLDANGIILGSCLAFAGNSDDSSFGIIGNVQQRTFEVLYDVGGGSVGFRAGAC >KQL30415 pep chromosome:Setaria_italica_v2.0:I:30412612:30413183:-1 gene:SETIT_020641mg transcript:KQL30415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYVHTRQCLEKYNVKKKSMNKVRGVEQENVSKKLKKGQYLRPTSISAWKQPTPEQWPAT >KQL30670 pep chromosome:Setaria_italica_v2.0:I:32505951:32513770:1 gene:SETIT_016981mg transcript:KQL30670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSHSLTSSSDNETRALNTLLDVFGCAFSLDDIADAYIKAKGDVNKAGDFLTDLQLSLPHINAVEPSVETNLPQADKAVEENYMENSSQPRTLSQVDQAVEEKHTENSDQMPEKLQKTRAAFGTVSSILGKESARATATANVSSKRDKPLKVELPEYMRDDFKVKSDESDSVPRRETLNNRDVEEFLFCMLGEGFKLSMDVIREVLGSCGYDIKKSMEELLSFSEKGLDKMAESKHNAVQDVAAECSVSKGKCLGSQSTPSTHSSQEGMQRPKQQISPGELIEAIFTVPGRLEEEPKLRRYELGANRSRVPDQKPVLKPLEDLSTYSTDFPVKVIVASKAINEEDYQNYRRAAKQHWDMMKQYYEKAADAFREGNKKEVDYLIQEGKRCYQMARLADEKSAGEIIKSKKVESRNELCLDLRKQDAANIPNLLRFHLKQLANIPSIDYLLVIVGIDDGSFKMGQKRRKVMKYLEKNSIKWTEEEPHSGNILISINQVGNQHG >KQL30669 pep chromosome:Setaria_italica_v2.0:I:32505951:32511609:1 gene:SETIT_016981mg transcript:KQL30669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSHSLTSSSDNETRALNTLLDVFGCAFSLDDIADAYIKAKGDVNKAGDFLTDLQLSLPHINAVEPSVETNLPQADKAVEENYMENSSQPRTLSQVDQAVEEKHTENSDQMPEKLQKTRAAFGTVSSILGKESARATATANVSSKRDKPLKVELPEYMRDDFKVKSDESDSVPRRETLNNRDVEEFLFCMLGEGFKLSMDVIREVLGSCGYDIKKSMEELLSFSEKGLDKMAESKHNAVQDVAAECSVSKGKCLGSQSTPSTHSSQEGMQRPKQQISPGELIEAIFTVPGRLEEEPKLRRYELGANRSRVPDQKPVLKPLEDLSTYSTDFPVKVIVASKAINEEDYQNYRRAAKQHWDMMKQYYEKAADAFREGNKKEVDYLIQEGKRCYQMARLADEKSAGEIIKSKKVESRNELCLDLRKQDAANIPNLLRFHLKQLANIPSIDYLLVIVGIDDGSFKMGQKRRKVSFYQIIC >KQL29995 pep chromosome:Setaria_italica_v2.0:I:27364323:27366630:1 gene:SETIT_016732mg transcript:KQL29995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAHSHRLRFLAALVGLRPAAAGFSTAPSRGCPLHAALARRGGPVAAALTLYSRIRAAASPTPYTFSLLLASLASSSSPRSPSPDAGVSDRHAAAGLAHAQALKWGALAHTVVTNCLLKLYCSLGLLPAARRVFDTTGAAALDTVSWNTMVSGYGKSGDLEAAREVFVRMPERSLVSWSAMVDACVRAEDFSEALRVFDRMMEEGFRPDAVVLVSVLKACAHLGAVERGQWVHRYLEAEGFGRRSGNVMLETALVDMYCKCGCMEEAWRVFNGVRNHDVVLWNAMIGGLAMHGHGNHAIELFRRMLEKGFVPNESTFVVVLCACTHTGRVDEGKEIFRSMRDHGVEPRREHYGCLADLLGRAGLMEEAEVVLLDMPMEPHASQWGALMSSCLMHNNIVVGKRVGTKLIELEPDDGGRYVVLFNLYAVNGLWEDAKAVRRMMEERGAKKETGLSFIEWNGLVHEFRSGDTRHPQTRMIYALLEDMEQRLQLIGYVKDTSQVLMDMYDEEDKGSNLSYHSERLALAFGILNIPHDTPIRIVKNLRVCRDCHVHAKLVSKLYQREIIVRDRHRFHLFRDGVCSCNDYW >KQL30481 pep chromosome:Setaria_italica_v2.0:I:30817433:30821600:-1 gene:SETIT_017735mg transcript:KQL30481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGSKAERKAALDAGAWMFNVVTSVGIIMVNKALMATHGFSFATTLTGLHFATTTLMTLVMKWLGYIQPSYLPLPELVKFVFFANLSIVGMNVSLMWNSVGFYQIAKLCIIPVLCFLEILLDKVRYSRDTKLSIVLVLVGVAVCTVTDVSVNSQGLLAAAIAVWSTALQQHYVHHLQRKYSLGSFNLLGHTAPGQAASLLVLGPFVDFWLTNKRVDTFNYTSIVTFFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFLFFGKEGLNFHVALGMLLAVIGMIWYGNASSKPGGKERQVYSTPSEKTQKHGILSSQSELDQKV >KQL28615 pep chromosome:Setaria_italica_v2.0:I:7027010:7030622:-1 gene:SETIT_017391mg transcript:KQL28615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRRGGGGGVDGGVSRRWAVLLCVGSFCLGLLFTNRMWTLPEASEIARPNAKVEEGNMPVAAECGSKKVQEKQDYRDILQVQDTHHDVQSLDKTIASLETELSAARSLQESLLNGAPVAEEFKVSESIGRRKYLMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGNILSKLALKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGESGNKYFRHATGQLYAISKDLATYISINKHILHKYINEDVSLGSWFIGLDVEHIDDKRLCCGTPPDCEWKAQAGNICAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >KQL28614 pep chromosome:Setaria_italica_v2.0:I:7027010:7030018:-1 gene:SETIT_017391mg transcript:KQL28614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTLPEASEIARPNAKVEEGNMPVAAECGSKKVQEKQDYRDILQVQDTHHDVQSLDKTIASLETELSAARSLQESLLNGAPVAEEFKVSESIGRRKYLMVIGINTAFSSRKRRDSIRYTWMPQGEKRKKLEEEKGIIIRFVIGHSAISGGIVDRAIEAEDRKHGDFMRIDHVEGYLALSGKTKTYFATAVSLWDADFYVKVDDDVHVNIATLGNILSKLALKPRVYIGCMKSGPVLSEKGVRYYEPEHWKFGESGNKYFRHATGQLYAISKDLATYISINKHILHKYINEDVSLGSWFIGLDVEHIDDKRLCCGTPPDCEWKAQAGNICAASFDWRCSGICNSEGRIWEVHNKCAEGEKALWNATF >KQL29860 pep chromosome:Setaria_italica_v2.0:I:25655985:25657502:-1 gene:SETIT_018279mg transcript:KQL29860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLAPLVDQKAGPRQRHPGILPALAQRSASFHGLGAAEQQQHQRDDLLRQRPRTHPDLLAGVRDRSFRRTGTGRGGDAAAAARVPWAGAGGRAVAPSKVLVTVAVQRSMWPLHVMARAEWRVADLVAAAVGLYVRDGRRPLLPSGDPSAFGLHYSQFSLQGLDPDEKVVELGSRSFFLYPRAAAAAAASSFSYPSTEASKTMATPAERPNMLPPCLGFMHFWPLL >KQL28595 pep chromosome:Setaria_italica_v2.0:I:6885633:6888219:-1 gene:SETIT_017528mg transcript:KQL28595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPVRLTPPSTGAAAAAAAVGAVLAAVALRRYLSTSRPRPSASVTMSALSSCSSTAGAATTLVAYGRSPQDQELLASAAGSVALGEGVSAGEFAVALSYEGAGFDAGAYMGALRARRFGRWMLWSPRIGSTQDLIAQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMQDARKLPLMQYVVCLSMTEAIKELCRAKGLPELDVRIKWPNDLYLKGLKVGGILCTSSYEPKVYNICTGIGLNVDNEKPTTCLNAALQEANVISPRLKREDILAYFFNKFENLFEVFSNQGFQALEEQYYNSWLHSGQRVVVQDAHEGQSVDSVVTIQGLTPTGYLYAIGEDGKNYELHPDGNSFDFFTGLVRRKMEA >KQL28596 pep chromosome:Setaria_italica_v2.0:I:6885044:6888875:-1 gene:SETIT_017528mg transcript:KQL28596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPVRLTPPSTGAAAAAAAVGAVLAAVALRRYLSTSRPRPSASVTMSALSSCSSTAGAATTLVAYGRSPQDQELLASAAGSVALGEGVSAGEFAVALSYEGAGFDAGAYMGALRARRFGRWMLWSPRIGSTQDLIAQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSQMQDARKLPLMQYVVCLSMTEAIKELCRAKGLPELDVRIKWPNDLYLKGLKVGGILCTSSYEPKVYNICTGIGLNVDNEKPTTCLNAALQEANVISPRLKREDILAYFFNKFENLFEVFSNQGFQALEEQYYNSWLHSGQRVVVQDAHEGQSVDSVVTIQGLTPTGYLYAIGEDGKNYELHPDGNSFDFFTGLVRRKMEA >KQL31375 pep chromosome:Setaria_italica_v2.0:I:37160025:37161529:-1 gene:SETIT_017124mg transcript:KQL31375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKAAAAGAAVGGKAARACDSCLRRRARWYCAADDAFLCQGCDASVHSANPLARRHERLRLRPTSPMHPLSAFEGGAPSTSTLMSKKRQQVAPAAWSRRKARTRRPQVKSVGQLLSRKLIVVPEVTVESSEERKAEDEESEEAAEEQLLYCVPTFDRALAELCSPPPIDDDSPAAAPCCREDADDSNVEHAKAAPVAAESPVQQLPDSFAGFGPTDAELREFAADMEALLGQGLDDGNQLDESFYMESLGLMTTPTEDGGRVLKMEPDSIVVSHGDMGALGRSPAEVKPEESAEVLDIDFNCSSPTVIDNDEDYCFEQKASAASNGVAADAQFLKRSLDLRLNYEAVIESWGSSPWTDGQRPDVQLDDFWPHHAHHSGVWMAGGGRLGGEALTPRLGMGGGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRPAAGGAGPAAAAAPCAVT >KQL31376 pep chromosome:Setaria_italica_v2.0:I:37160025:37161529:-1 gene:SETIT_017124mg transcript:KQL31376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKAAAAGAAVGGKAARACDSCLRRRARWYCAADDAFLCQGCDASVHSANPLARRHERLRLRPTSPMHPLSAFEGGAPSTSTLMSKKRQQVAPAAWSRRKARTRRPQVKSVGQLLSRKLIVVPEVTVESSEERKAEDEESEEAAEEQLLYCVPTFDRALAELCSPPPIDDDSPAAAPCCREDADDSNVEHAKAAPVAAESPVQQLPDSFAGFGPTDAELREFAADMEALLGQGLDDGNQLDESFYMESLGLMTTPTEDGGRVLKMEPDSIVVSHGDMGALGRSPAEVKPEESAEKASAASNGVAADAQFLKRSLDLRLNYEAVIESWGSSPWTDGQRPDVQLDDFWPHHAHHSGVWMAGGGRLGGEALTPRLGMGGGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRPAAGGAGPAAAAAPCAVT >KQL31374 pep chromosome:Setaria_italica_v2.0:I:37159623:37161711:-1 gene:SETIT_017124mg transcript:KQL31374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSKAAAAGAAVGGKAARACDSCLRRRARWYCAADDAFLCQGCDASVHSANPLARRHERLRLRPTSPMHPLSAFEGGAPSTSTLMSKKRQQVAPAAWSRRKARTRRPQVKSVGQLLSRKLIVVPEVTVESSEERKAEDEESEEAAEEQLLYCVPTFDRALAELCSPPPIDDDSPAAAPCCREDADDSNVEHAKAAPVAAESPVQQLPDSFAGFGPTDAELREFAADMEALLGQGLDDGNQLDESFYMESLGLMTTPTEDGGRVLKMEPDSIVVSHGDMGALGRSPAEVKPEESAEASAASNGVAADAQFLKRSLDLRLNYEAVIESWGSSPWTDGQRPDVQLDDFWPHHAHHSGVWMAGGGRLGGEALTPRLGMGGGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRPAAGGAGPAAAAAPCAVT >KQL28264 pep chromosome:Setaria_italica_v2.0:I:4640869:4641523:1 gene:SETIT_019284mg transcript:KQL28264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVTIRERMQAVCLQILDCIHNLHEVLSSRISVVICQEFYTQILDYIFALEMQKLLGNDPPGQGPGSTSDQRPLHPLQRRVASVGSVGGTELTRVASAKG >KQL29640 pep chromosome:Setaria_italica_v2.0:I:22368343:22373174:-1 gene:SETIT_017018mg transcript:KQL29640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPRASSFFSGVLLLFLLSVALAPVPAAATGVFRVRRKFPRHAGGAGEEAEEHLAALRRHDGRRHGRLLGAVDLPLGGVGLPTETGLYFTRIEIGAPPKGYYVQVDTGSDILWVNCIRCDGCPTRSGLGIELTQYDPAGSGSTVGCNQEFCVANNDGVRPTCSSESPCQFRITYGDGSSTTGFFVNDLLQYDKVSGDGQTTPSNASITFGCGAQLGGDLGSSSQALDGILGFGQSNSSMLSQLAAAGKVQKIFAHCLDTVHGGGIFAIGNVVQPKVKTTPLVPGMPHYNVNLKGIAVGGATLQLPTNTFDSGRNKGTIIDSGTTLAYLPEAVYKTLMSAVFDKYQDMTIRTYQDFLCFKFSGSVDDGFPIITFSFEEDLTLNVYPHDYLFQNGNDFYCVGFLNGGLQTKDGKDMVLLGDLVLSNKLVVYDLENQVIGWTDYNCSSSIKIKDDMTGFTYTVDAHNLSSGWRFQWHNSLVLLLVTTIWSYLMF >KQL29638 pep chromosome:Setaria_italica_v2.0:I:22369064:22373011:-1 gene:SETIT_017018mg transcript:KQL29638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPRASSFFSGVLLLFLLSVALAPVPAAATGVFRVRRKFPRHAGGAGEEAEEHLAALRRHDGRRHGRLLGAVDLPLGGVGLPTETGLYFTRIEIGAPPKGYYVQVDTGSDILWVNCIRCDGCPTRSGLGIELTQYDPAGSGSTVGCNQEFCVANNDGVRPTCSSESPCQFRITYGDGSSTTGFFVNDLLQYDKVSGDGQTTPSNASITFGCGAQLGGDLGSSSQALDGILGFGQSNSSMLSQLAAAGKVQKIFAHCLDTVHGGGIFAIGNVVQPKVKTTPLVPGMPHYNVNLKGIAVGGATLQLPTNTFDSGRNKGTIIDSGTTLAYLPEAVYKTLMSAVFDKYQDMTIRTYQDFLCFKFSGSVDDGFPIITFSFEEDLTLNVYPHDYLFQNGNDFYCVGFLNGGLQTKDGKDMVLLGGS >KQL29639 pep chromosome:Setaria_italica_v2.0:I:22368343:22373174:-1 gene:SETIT_017018mg transcript:KQL29639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPRASSFFSGVLLLFLLSVALAPVPAAATGVFRVRRKFPRHAGGAGEEAEEHLAALRRHDGRRHGRLLGAVDLPLGGVGLPTETGLYFTRIEIGAPPKGYYVQVDTGSDILWVNCIRCDGCPTRSGLGIELTQYDPAGSGSTVGCNQEFCVANNDGVRPTCSSESPCQFRITYGDGSSTTGFFVNDLLQYDKVSGDGQTTPSNASITFGCGAQLGGDLGSSSQALDGILGFGQSNSSMLSQLAAAGKVQKIFAHCLDTVHGGGIFAIGNVVQPKVKTTPLVPGMPHYNVNLKGIAVGGATLQLPTNTFDSGRNKGTIIDSGTTLAYLPEAVYKTLMSAVFDKYQDMTIRTYQDFLCFKFSGSVDDGFPIITFSFEEDLTLNVYPHDYLFQNGNDFYCVGFLNGGLQTKDGKDMVLLGVVYDLENQVIGWTDYNCK >KQL29641 pep chromosome:Setaria_italica_v2.0:I:22371740:22373174:-1 gene:SETIT_017018mg transcript:KQL29641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPRASSFFSGVLLLFLLSVALAPVPAAATGVFRVRRKFPRHAGGAGEEAEEHLAALRRHDGRRHGRLLGAVDLPLGGVGLPTETGLYFTRIEIGAPPKGYYVQVDTGSDILWVNCIRCDGCPTRSGLGIELTQYDPAGSGSTVGCNQEFCVANNDGVRPTCSSESPCQFRITYGDGSSTTGFFVNDLLQYDKVSGDGQTTPSNASITFGCGAQLGGDLGSSSQALDGILGFGQSNSSMLSQLAAAGKVQKIFAHCLDTVHGGGIFAIGNVVQPKVKTTPLVPGMYVNFRLPVETL >KQL30749 pep chromosome:Setaria_italica_v2.0:I:33117785:33120411:-1 gene:SETIT_018292mg transcript:KQL30749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASASATAAVSGVVLPHAFLSHRSPPPQVVAVASSFRRLSLCASPRRAAHLVARADASAEAGEPEPEAEAEPVTASADAEEGEAEGAVAVAEAEEPPPPPSKPKVKFGEIIGILNKQFIEEAEKVKTVPDLRPGDIIELRMQRPNKRRLSLFKGIIIAKHKAGVHTTIRVRRIIAGVGVEITFPVYSPRIKEITVIRHKKVRRAKLYYLKHKLPRFSTFK >KQL28946 pep chromosome:Setaria_italica_v2.0:I:9130853:9131784:-1 gene:SETIT_018205mg transcript:KQL28946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDPGYGGYGYGYGYGAGGYDNDMAGYGAYYTANDRYPAAPAAYEDPLAGRRQHDFPAPLTGLEFQASDTCPKNYVIFDQTYDRSRVMFHPSLANNFGGGYDYDHRCYGYDQAYASKTAYDEDAGGGAASVRQKEDTEEIDALMSTEDGEDEDDVLSTGRTPGCRAGGSPDSTCSSGYGGGGRKQETGGEKKKERMKKMVRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGARGSSS >KQL28382 pep chromosome:Setaria_italica_v2.0:I:5497821:5498845:1 gene:SETIT_0172531mg transcript:KQL28382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVVKIPAGLAPEQAAPLLCAGVTVYSPLKHFGLTTPGLRGGILGLGGVGHMGVKVAKAMGHHVTVISSSSRKRAEAMDHLGADAYLVSSDGDAMAGAADSLDYIIDTVPVHHPLEPYLALLKMDGKHVLLGVIGEPLSFVSPMVMLGRKSITGSFIGSVEETAETLQFCVDKGLTSQIEVVKMGYVNEALERLERNDVRYRFVVDVAGSNIEEAVAAAEAPSN >KQL30160 pep chromosome:Setaria_italica_v2.0:I:28508399:28508964:-1 gene:SETIT_018897mg transcript:KQL30160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMGGDFVEAYVLKNAYKEKLRRMEAAEEKKTRQQGSGSAERKASGSRGGSREGGLFGLMKKKVHPKAAASSEADRASS >KQL31366 pep chromosome:Setaria_italica_v2.0:I:37131385:37131702:1 gene:SETIT_019880mg transcript:KQL31366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEVGLIIFSSTGRLYEFSSTKLEIMERSQ >KQL31560 pep chromosome:Setaria_italica_v2.0:I:38392381:38394995:-1 gene:SETIT_0161801mg transcript:KQL31560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATGEHTAAVPIPAPPPPPAAAASAAEEAEKEKRKNRRRPTRRSKQAGAATPVAAPQGTHADAAGPWSVRSMPPMHVGGGARVDAEAEATAAGTSQSCPLLPMPRPAETLAGRAGGGAPGRRYFQPHWPEGTVEEAVKAYCTIDGIPVDILITGLAQNRAVEGDLVAITLDPVVHWTRMKGPNVACNPAIGGDSGVREIGETNGYHSRKKGQADAGCRFENCSNGVPGLDRMHLHHKNSGFSQAVKCENGNATVLESNERDLNGGKSEDARALQRICAMIQTHPGRRPTGKVLSVMKKSPRRDAIVGFLASFPEFPGGEQQKNQMGVRMMNNRAQSVVTGLIHLLPTDPKFPQMVVKVSTLPDSVRQNLREGDAAIEKELVAARLDEWDEESLYPYAHVVRFLGKGGHVKTHMDAILFENAISDAEFSPESLACLPDNCWQIPQKELEARKDLRKVLTFTIDPPTASDLDDAISIEILSGGTVRIGVHIADVSYFVHPETG >KQL28410 pep chromosome:Setaria_italica_v2.0:I:5680217:5686425:-1 gene:SETIT_016395mg transcript:KQL28410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILPELATQVVIPVAAAVGIAFAVLQWVLVSKVRLTPERGRADGGAAKTGPSDYLIEEEEGLNDHNVVVKCAEIQSAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKDKICKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVNEIEPALKKQLIISTVVMTIGIALISWLGLPYTFTIFNFGVQKTVQSWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGVSEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >KQL28411 pep chromosome:Setaria_italica_v2.0:I:5680623:5685349:-1 gene:SETIT_016395mg transcript:KQL28411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKDKICKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVNEIEPALKKQLIISTVVMTIGIALISWLGLPYTFTIFNFGVQKTVQSWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGVSEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >KQL28412 pep chromosome:Setaria_italica_v2.0:I:5681600:5686425:-1 gene:SETIT_016395mg transcript:KQL28412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILPELATQVVIPVAAAVGIAFAVLQWVLVSKVRLTPERGRADGGAAKTGPSDYLIEEEEGLNDHNVVVKCAEIQSAISEGATSFLFTEYKYVGLFMGIFAILIFLFLGSVEGFSTKSQPCHYSKDKICKPALANAIFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLLVLYIAINLFGIYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMVYPLLVSSVGIIACLITTLFATDFFEIKAVNEIEPALKKQLIISTVVMTIGIALISWLGLPYTFTIFNFGVQKTVQSWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKVDMCLPLLYNSSDCLASLKKISSLVVFIYKFG >KQL31134 pep chromosome:Setaria_italica_v2.0:I:35832067:35834318:-1 gene:SETIT_017862mg transcript:KQL31134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAAGAGARSRVLVVGATGRFGGSLARASLAAGHPTFALVRPNHFARPDSPSLEPLVAAGATLLEGSLEDYQSLLRAVRQVDVVICAVPTKQALEQKPLIRAIKEAGCVKRFIPAEFGLDPTKVQICDMDYGFYEKKIEIRHLIESEGIPHTYICCNFFMRYLLPSLVQPGLAAPPRDEVKIFGEGNTKGVFVQEGDVAQFTICTIEDPRTLNKTLYLRPPGNVCSMNELADLWETKINKSLKRICITEEQLLKEILDAPFPLKMDLIFIYSAFVKGDHTYFEIDPLIEGTQLYPHINYTTVSKYLDTLV >KQL28180 pep chromosome:Setaria_italica_v2.0:I:4049216:4053071:-1 gene:SETIT_016641mg transcript:KQL28180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGLVASHRLRLPLPSAGARNHLLRHRHPLAAPAAAPALRLSLPRHLPRPTPLRLPAALPLRPSLPPLRAAAAAAAPGDDAPKFLGVETKTLKKIVPLGLMFFCILFNYTILRDTEDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLADVLSKEALFYAVIFPFIAFFGAFAYVLYPMRDAIHPTALADRLLASLGPSFLGPVAILRVWSFCLFYVMAELWGSVVISVLFWGFANQITTVEEAKEFYPLFGLGANVALIFSGRTVKYFSNMRKNLGPGVDGWAISLKAMMSIVVVLGLVITGIYWGVNKFVIDKSSMPVVERKKKDKPKLSMGESMKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRVILRKFGWGVAATITPAVLLLTGVGFFSLILFGEPLTPLMTQFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGGILLVIVLAWLGAVRSLDSQFSPLAKQDLEREKMLKAKAVETTAQVVGTGNGSLQESLGSETSANGSAIKQSQETESTTPEKSGQQSQ >KQL29864 pep chromosome:Setaria_italica_v2.0:I:25695280:25701605:-1 gene:SETIT_016333mg transcript:KQL29864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDAKWPHAAAGDRRPAFAPRAAGASYALLASSPPASVGNNDGCSPHCPPAPASDDDGGPASLDAAVASVRPPFQQQQPAQQRNNGGPQLGVADWLLLQRQSSGSSVGGDDGEGSSTASTLANAAAEYRDRGDADRPPPSSSSKSWAQQAEEAYHLQLALALRLCSEASSAADPNFLDSSSSTAAADHHLQHIASPQSLSHRFWVNGSLSYSDKVPDGFYLIQGMDPFIWTLCNDVHDGGRVPSIESLKAVNPTESAIEAVIVDKVADYELRQLISMAMDVSRNRADSKEIATRLAGFVSARMGGSVAATEEHELGPRWRETVGFLKISSGSVVLPIGKLSIGFCCHRALLFKTLADSINLPCRIVKGCKYCKAGAATSCLVRFGHDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKHNSVDIADNFKSLAKQYFLDCQSLNLMFSDPAAGTVIDLDEAMGSNLGPNTSHGTNSDCQATFPHLNAGAQLGSQDENFMMQRSFPEDTQSGLSDPFSDMSLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMRSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHSARENLDERRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWSNLNPAQVVAAVGFKGRRLEIPSSVDPKVAALIESCWVREPWRRPSFASIMESLKPLIKTLPPNQLPEE >KQL29863 pep chromosome:Setaria_italica_v2.0:I:25695280:25701652:-1 gene:SETIT_016333mg transcript:KQL29863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDAKWPHAAAGDRRPAFAPRAAGASYALLASSPPASVGNNDGCSPHCPPAPASDDDGGPASLDAAVASVRPPFQQQQPAQQRNNGGPQLGVADWLLLQRQSSGSSVGGDDGEGSSTASTLANAAAEYRDRGDADRPPPSSSSKSWAQQAEEAYHLQLALALRLCSEASSAADPNFLDSSSSTAAADHHLQHIASPQSLSHRFWVNGSLSYSDKVPDGFYLIQGMDPFIWTLCNDVHDGGRVPSIESLKAVNPTESAIEAVIVDKVADYELRQLISMAMDVSRNRADSKEIATRLAGFVSARMGGSVAATEEHELGPRWRETVGFLKISSGSVVLPIGKLSIGFCCHRALLFKTLADSINLPCRIVKGCKYCKAGAATSCLVRFGHDREYLIDLIGNPGFLSEPDSLLNGLSSISVSSPLRPPKHNSVDIADNFKSLAKQYFLDCQSLNLMFSDPAAGTVIDLDEAMGSNLGPNTSHGTNSDCQATFPHLNAGAQLGSQDENFMMQRSFPEDTQSGLSDPFSDMSLDIEDLIIPWSELVLKEKIGAGSFGTVHRADWNGSDVAVKILMEQDFHPERLKEFLREVAIMRSLRHPNIVLLMGAVTQPPNLSIVTEYLSRGSLYRLLHRHSARENLDERRRLSMAFDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKTAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWSNLNPAQVVAAVGFKGRRLEIPSSVDPKVAALIESCWVREPWRRPSFASIMESLKPLIKTLPPNQLPEE >KQL27931 pep chromosome:Setaria_italica_v2.0:I:1811238:1812203:-1 gene:SETIT_019480mg transcript:KQL27931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFKAPAPGFSVRFSPFHENRLLVAASQHFGLVGNGHLIVLDLAAAGPGPGAAPAPVFSFPTSDALFDCAWSESHESLCAAASGDGSNPVRLLREHAREVHGLDWNPVRRDAFISASWDDTLKLWSPDRQASVRTFRGHEYCVYAAAWSARHPDVFASASGDRTARVWDVRDPAPTLILPAHDHEVLSLDWDKYDPSILATASVDKSIRVWDVRAPRAPITQLAGHGYAVKRVRFSPHRQGMLMSCSYDMTVCMWDYRAEDALLARYNHHTEFVAGIDMSVLVDGLLASTGWDEMVYIWPFGTDPRAM >KQL28478 pep chromosome:Setaria_italica_v2.0:I:6149067:6149566:-1 gene:SETIT_020407mg transcript:KQL28478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMKEVRPATPLMSSSTSSREVKLWGEMAMVGCEGFTSVTNGGGPTTKPKCGVR >KQL29035 pep chromosome:Setaria_italica_v2.0:I:9852162:9860408:-1 gene:SETIT_016705mg transcript:KQL29035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFLCGISPNTPRHRQQPPAVALLLDRRLERVDALTSTQRLSVRSARGDWGGRDEAARRGYLDDVHAEVVVALGRVAAEVVPRHGGHTRGNGSGPGTGGDAFHREFATISDRWTTTQSGLEEDSEIGGVLSLHDGGKEKLFRRRAAAAPKPLKQHVAGLCAAAGHSALENGSRSGGGEGSRKRWVELPPFAPLDANAAARAISRRDGGEGACSNDTAIRWVRRCCPHMPASLVQKLFRLRKVKRNLLTADTSSTDDIADQLWLRRVSAKDELVPGDILFLPFNIQESSVSEKTKKFGSKNEIDFLRSLEIYKDRAIIVLNKPPGMPVQGGVGIKNSIDILAPLFEDGSSEAPRLVHRLDRDCSGVLVLGRTQLSASIMHAIFREKTADALADGTQQVLQRKYVALVIGRPRHSKGLLSAPLAKIVLQDGKSERLTVCAGSNPASVQDALTEYRVIESCPQGYTWLELFPRTGRKHQLRVHCAEVLGTPIVGDYKYGRQAHQNWMPLPIPQAMDVEMLKKRKLPFGLALGGGSVAEDQPQLHLHCKQMILPDISAAMQRLQSSESNHNFSDLEKLSFVAPLPLHMRLSWEILKSVHK >KQL30198 pep chromosome:Setaria_italica_v2.0:I:28809834:28811736:1 gene:SETIT_017851mg transcript:KQL30198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKEARLKKGPWTPEEDQKLLAFIEQHGHGCWRSLPAKAGLRRCGKSCRLRWTNYLRPDIKRGKFTLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRADAPGATASGARYRAAAHLSHTAQWESARLEAEARLAREAKLRALASPPPPPASAPAASGLESPTSTLSFSEGAMFAGAAHDDVPGAARAPVVLTPRSYGEAFGEHGDAPGFLAGVLLDCSVAGAEQRFAAASTDASVGEQQEEEDKGYWSSILNMVNSSMSSSLTSEAVTDPAMYLPAVPEF >KQL32242 pep chromosome:Setaria_italica_v2.0:I:41914811:41917556:-1 gene:SETIT_017794mg transcript:KQL32242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLGAATPTAPPLPRRRVVPFRATASPPALDRRRRPQNVPGEFFVDHRCIDCQTCRWMAPEVFKGVDGKAAVAAQPSSQEDRTKALQALLSCPTSSIHTHKPPKDILQVQNMFPLPLDESLLPGVYLCGYHSEHSFGATSYLVTHPQGNILVDSPRYTPKLADNIERLGGARYMFLTHIDDVADHRKWAQRLKCERIMHSGDVEEGTANVEWKLDGNGPWNIGTDFELIHTPGHTQGSVCLYYKPLKVLFTGDHVAKSEESDDLNLFRMYSKQSVTLQLDSIRKLLEVEFEWILPGHGYRIKYRDVQAKNAAMESLLANYTS >KQL32244 pep chromosome:Setaria_italica_v2.0:I:41914811:41917556:-1 gene:SETIT_017794mg transcript:KQL32244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLGAATPTAPPLPRRRVVPFRATASPPALDRRRRPQNVPGEFFVDHRCIDCQTCRWMAPEVFKGVDGKAAVAAQPSSQEDRTKALQALLSCPTSSIHTHKPPKDILQVQNMFPLPLDESLLPGVYLCGYHSEHSFGATSYLVTHPQGNILVDSPRYTPKLADNIERLGGARYMFLTHIDDVADHRKWAQRLKCERIMHSGDVEEGTANVEWKLDGNGPWNIGTDFELIHTPGHTQVRNSA >KQL32243 pep chromosome:Setaria_italica_v2.0:I:41915279:41917463:-1 gene:SETIT_017794mg transcript:KQL32243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLGAATPTAPPLPRRRVVPFRATASPPALDRRRRPQNVPGEFFVDHRCIDCQTCRWMAPEVFKGVDGKAAVAAQPSSQEDRTKALQALLSCPTSSIHTHKPPKDILQVQNMFPLPLDESLLPGVYLCGYHSEHSFGATSYLVTHPQGNILVDSPRYTPKLADNIERLGGARYMFLTHIDDVADHRKWAQRLKCERIMHSGDVEEGTANVEWKLDGNGPWNIGTDFELIHTPGHTQGSVCLYYKPLKVLFTGDHVAKSEESDDLNLFRMYSKQSVTLQLDSIRKLLEVEFEWILPGMQCTPQISEMEYKLA >KQL29528 pep chromosome:Setaria_italica_v2.0:I:19843174:19857563:1 gene:SETIT_016168mg transcript:KQL29528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVYIPVQGTEEEVRVALDQLPHDASDILDILKAEQAPLHLWLIIAREYFKQGKIEQFRQILEEGSGPEIDEYYADVKYERIAILNALGAFHTFLGKVERAPQKEAHFKDATQYYNRASRIDETEPSTWIGKGQLCVAKGELQMASDSFKIVLDEDGNNFPALLGQASVYFLMGEAEQQHKKSLEHYRNALDLYKRALRAYSDCPAAVRLGIAFCRYKLGQVEKARQAFQRVLQLDPQNVDALVAVAIMDLQTNEAGGIRRGMEKMKIAFEIYPYCTLALNHLANHYFFTGQHFVVEQLTETALSSSNHGLLKSHAYYNLARSYHSKGDIETAGRYYMASVKEISKPQDFVLPYVGLGQIQLKFGDFKSSLTSFEKVLEVHPENCESLKAIGHIHAKSGETEKAIETFKKVTRIDPKDHQAFVELGELLVESDWAAAMEYLKTARNLLKKAGEDVPVELLNGIGLLHFEKGEFEMAEQSFKEALGDGLWVSIMDGKVGSSMVNWSGQYKDQSFFHQLEEEGVPLELHSNKVTTLFNYARLLEERHDSVRASLFYRFIIFKYPDYIDAYLRLAAIAKQRNNAQLSIELVGDALKIDEKNSNALSMLGSLELQSDETWLTAKEHFRNAKEATKGDAYSLLQLGNWNYFAANRPEKKAPKFEATHREKAMELYQSQVLKQHRSNMFAANGIGILYAEKAKWDVAKELFTQVHEAASGSIFVHMPDVWINLAHVYFAQGHFQQAVKMYQNCLRKFFNNTDATILLYLARTHYEAEQWQDCRKTLLRAIHLAPSNYLLRFNVGVSMQKFSASTLQKTKRTVDEVRATVSELQNAIRVFSLLSVASTYHSHGFDERKIETHVEYCKHLLDAAKVHRDAAEQAEQQNKQKMEVARQIALAEEARRRAEEQRKFQLERRREEDELKQIKQQEEHFERVKEQWKTSSHTPGKRKDRSKNEDEEGVSEKRRRRGNKRRKDHKTKMQYGEEGEDEYRDEPEGEDDYANLLRDNDGDNSERAPDHLLAAAGLEDSDAEDDMGHPQSAIERKRRAWSESEDDEPVQRPAAQASPGTNDLSE >KQL31526 pep chromosome:Setaria_italica_v2.0:I:38207570:38208934:1 gene:SETIT_017502mg transcript:KQL31526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQKHLLPDLLLHRSASPIHLSLQRALLSIAAATSSSPGHFSAEDYLVTTCGLTREEAAKAAKCFSHWKSPAKADAVLAFLTGPALGLSKADIALLVAKDPRILNSSVDKNLRARLDGFRSHGFSTAQIRSFVRAAPCFFRIFNIDEKLGFWMPLFSPDKFLRIVKRNYYLVSSDLDKVVKTNIRLLQERGLSVQDIDRMCVANPRLLTCNPDVTRAVLVRADELGVPRNSLMFRQAVNSVAGLGPETMASKLKMIGKTLGCSDAEVARMVRMSPQLLRCSREKVQRVYEFLTKMVGVDAKYIQGRPTILMYSQERRLAPRNYVMKVLQEKGLMRKDLSFYSIITISDKLFYSRYIQAHKDVLPGLANAYASACKGKMPS >KQL28846 pep chromosome:Setaria_italica_v2.0:I:8439599:8440080:-1 gene:SETIT_0180551mg transcript:KQL28846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTTWSPQGRLFQVEYAMEAVKQGSACVGLRSRTHAVLAAANKPASELSSYQRKVFRVAEHAGVALAGLTADGRVLSRFLRNECINHSFVYEAPLPVSRLALRLADKAQ >KQL30745 pep chromosome:Setaria_italica_v2.0:I:33092578:33095433:-1 gene:SETIT_017887mg transcript:KQL30745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSAGSFVAVRRLSGSDRAAAFHHSSSGASPRLLFVYLFVSFLLESGCCCCSCHGDCAHGLCCPYAGAAAEVVTGSTAWIGRGLSCVCAQRRDSDARLSFDLTPMQEECLQRLQNRIEVQYDSSNREHQEALHALWCASFPGTELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNYPKSFQELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQAVKPRSLFGAVFLKFLSGITFISELYFGMGMVIYKSCLPLISFISILNYSLYY >KQL30743 pep chromosome:Setaria_italica_v2.0:I:33091644:33095433:-1 gene:SETIT_017887mg transcript:KQL30743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSAGSFVAVRRLSGSDRAAAFHHSSSGASPRLLFVYLFVSFLLESGCCCCSCHGDCAHGLCCPYAGAAAEVVTGSTAWIGRGLSCVCAQRRDSDARLSFDLTPMQEECLQRLQNRIEVQYDSSNREHQEALHALWCASFPGTELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNYPKSFQELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQAVKPRSLFGAVFLKFLSENDQAFDIIYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLIEDIQRIEDLPSYRLLAR >KQL30744 pep chromosome:Setaria_italica_v2.0:I:33091675:33095433:-1 gene:SETIT_017887mg transcript:KQL30744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSAGSFVAVRRLSGSDRAAAFHHSSSGASPRLLFVYLFVSFLLESGCCCCSCHGDCAHGLCCPYAGAAAEVVTGSTAWIGRGLSCVCAQRRDSDARLSFDLTPMQEECLQRLQNRIEVQYDSSNREHQEALHALWCASFPGTELRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNYPKSFQELLRKQNGDRAIWEYPFAVAGVNITFMLIQMLDLQAVKPRSLFGAVFLKFLSENDQAFDIIYCITFKLMDQQWLDMHATYMDFNTVMKSTRRQLERELLIEDIQRIEDLPSYRLLAR >KQL29558 pep chromosome:Setaria_italica_v2.0:I:21268519:21271288:-1 gene:SETIT_018447mg transcript:KQL29558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSPSPPPPPMIGRAGNLTVFITPPSPASTPRGASRTPPPESPHSDFSTPTPQRAAPSPSSSPSPRKPAIPAAAPAVVFTPPPPPAPVKVAPPPVQVPPPQYEKASAGGKHDGSAFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYEATGKEVDCVKGGKPKELTTTEVQKV >KQL29559 pep chromosome:Setaria_italica_v2.0:I:21268521:21271288:-1 gene:SETIT_018447mg transcript:KQL29559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSPSPPPPPMIGRAGNLTVFITPPSPASTPRGASRTPPPESPHSDFSTPTPQRAAPSPSSSPSPRKPAIPAAAPAVVFTPPPPPAPVKVAPPPVQVPPPQYEKASAGGKHDGSAFGFFWDAVARVQEAHASLDEYVANWFGLDQSKYQWALNDYYEATGKEVDCVKGGKPKELTTTEVQKV >KQL30750 pep chromosome:Setaria_italica_v2.0:I:33121979:33124123:1 gene:SETIT_019214mg transcript:KQL30750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQERPRLSDGPLGLRALPGLSYNTHRALVLGLTFLAYALYHASRKPPSIVKRELARAWPPFADPALLGATDVAFLSSYSLGMFVAGHLGDRLDLRRFLTFGMAAGGAAVALFGAGYFLGLHSLAFYVVAQVIAGLLQSTGWPSVVAIVGNWFCGRRRGLIMGIWNAHTSVGNITGSLVAAAMLGYGWGWSFVVPGGLIALGGVLVFFFLAPYPQCVGFGPAPIEPVSEESKDGEDSSSSSAAGGAGKDRRDAVGILKALAIPGVVIFAICLFFAKLVAYTFLYWLPFYLSQTPIGGEHMSAASAGYLSVLFDVGGIVGGILAGFISDQLNARATTAAVFMYLAIPSLFLFHAYGSISKATNIGLMMISGLFVNGPYALITTAVSADLGTHKSLKGDSRALATVTAIIDGTGSLGAALGPFLTGFISRRGWDSVFVMLALCAFVAAALLSSHVRTEIPQIIHKWRNRSTTMRNGNADSGVQPLLVEES >KQL30977 pep chromosome:Setaria_italica_v2.0:I:34814602:34814844:-1 gene:SETIT_0174371mg transcript:KQL30977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSWLRKIASACLGPVRRYARTRKDEDGSDNGRGGVADDLLWSRDLGRHAAGEFSFAVAQANEALEDHSQVETGAAATFVG >KQL30724 pep chromosome:Setaria_italica_v2.0:I:32925783:32930956:-1 gene:SETIT_017247mg transcript:KQL30724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPTPSPFVASTRRFAPRLRSLLPAATMSSTAASTPNPSSGAGEDAQAPPLPHSTLEIAGARRGLLSGFASIRAPYRAFPVLASNRHVETIFAAFTRSLPAVKLRRECLRAPDDGAVALDWVCGDDRTLPRDAPVLILLPGLTGGSDDTYVRHMLMRARSKGWRVVVFNSRGCADSPVTTPQFYSASFTGDLRQVIDLVLGRYPQSNVYAVGWSLGANILVRYLGEETDKCPLSGAVSLCNPFNLVIADEDFHKGFNNVYDRALANALRTIFKKHALLFEDMEGEYDIPKAANAKTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVCIPLLCIQADNDPIAPSRGIPREDIKANPNCLLIVTPKGGHLGWVAGDEAPFGCPWTDPIVMEYLEYLQNEKNSSTKNDISFEQQGVSEASAPHLTVHVQR >KQL30726 pep chromosome:Setaria_italica_v2.0:I:32927591:32930956:-1 gene:SETIT_017247mg transcript:KQL30726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPTPSPFVASTRRFAPRLRSLLPAATMSSTAASTPNPSSGAGEDAQAPPLPHSTLEIAGARRGLLSGFASIRAPYRAFPVLASNRHVETIFAAFTRSLPAVKLRRECLRAPDDGAVALDWVCGDDRTLPRDAPVLILLPGLTGGSDDTYVRHMLMRARSKGWRVVVFNSRGCADSPVTTPQFYSASFTGDLRQVIDLVLGRYPQSNVYAVGWSLGANILVRYLGEETDKCPLSGAVSLCNPFNLVIADEDFHKGFNNVYDRALANALRTIFKKHALLFEDMEGEYDIPKAANAKTVRDFDEGLTRVSFGFKSVDDYYSNSSSSDSIKNVCIPLLCIQVKRSLFQFCVPH >KQL30725 pep chromosome:Setaria_italica_v2.0:I:32928101:32930956:-1 gene:SETIT_017247mg transcript:KQL30725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPTPSPFVASTRRFAPRLRSLLPAATMSSTAASTPNPSSGAGEDAQAPPLPHSTLEIAGARRGLLSGFASIRAPYRAFPVLASNRHVETIFAAFTRSLPAVKLRRECLRAPDDGAVALDWVCGDDRTLPRDAPVLILLPGLTGGSDDTYVRHMLMRARSKGWRVVVFNSRGCADSPVTTPQFYSASFTGDLRQVIDLVLGRYPQSNVYAVGWSLGANILVRYLGEETDKCPLSGAVSLCNPFNLVIADEDFHKGFNNVYDRALANALRTIFKKYKFCSRLLKS >KQL30969 pep chromosome:Setaria_italica_v2.0:I:34762003:34766474:1 gene:SETIT_017000mg transcript:KQL30969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAPPRGAPRDAVGQRWLAVFAFQAVLSAAASALHLAASPRGRRHPLLGVPAGLLLALHPLLACAAAGLLALALLLTASPHPRPPPLQRRTLATALLAAAGALFVGAAAAILPEDAGWAAVAGLGFRGAVLGAVFAAHYFGRRRWLLQFPVVQRPLFYGLKMGLLPSGKKSLKVSLQAFCLSFALILLLPWQFRNGGSIGSQILTQISIFIVTAGVSFCLEISHHFVQVVHTRRCSFAPPQSTAAAETNPTEFILETLEQSDPRSLIQYLAYQDLCVVSECNLEPWRRAAFFEESGETYKRIVTACLKPLEGFSSKVAQALEYDPELISQQYVLINAFDDSQICTWCARTLAGLTARSRQEDRYGVAQLTGCNAAVMTTLLSALVAIEACLGKKTNPLPVHLGPESIRWAQFSTVRTGTGTAIASKQVGLHKKACAMADVLRTSVYQIVSAFIDDLRANAKPASLEKNWISEGRKPVYGSQAVLVQKLSLFIEYRAV >KQL31692 pep chromosome:Setaria_italica_v2.0:I:39225565:39226941:-1 gene:SETIT_016928mg transcript:KQL31692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEQIYGDRFEIFIRNFEKSFGSTLRTLHLISETPAYEQDMPQCFYKGGNSVPEIKLSGGDSQSWIHDVQKDTPLSSMDNQIILHAGVNHQLAHLTHTRSAPGIDQCVLSVFERSVNEQARSNELKELDIGLKLRELELRKSQLALSSDANELKKVEIRLGFQKVSFKVEKLETQMEDTRQAELLRKLIDMLLTAVVLMSVCFGYGTYIYSYQRITAVTSACAAASREYTSWWMPSSVSAFNSNFLNFRCNLIASARISFGLLMILLIAWLIFQRSAMTGPNMPITFNGMLLGVVCGWFGRKCVDTLGGDGNVWLVFWEAICFIHLLGNTWPSRLHCMLNGPICVTDRTKAVRLPYWARRYTFYVLLTLILPCSAGLLPFAPLSDWIEPAIQYIKSILSGSNIED >KQL31693 pep chromosome:Setaria_italica_v2.0:I:39225130:39227996:-1 gene:SETIT_016928mg transcript:KQL31693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAHAAAVSGGSSEAGGASSSSASSASSYGVSESRFRLNKGVHLRRRRRRLADRGSNKGSAGDGVVQELALPLGMSFAAVLAQVLNRCSGSGGSLQPHVLSKMCTSAVKESLTNIYGDRFEIFIRNFEKSFGSTLRTLHLISETPAYEQDMPQCFYKGGNSVPEIKLSGGDSQSWIHDVQKDTPLSSMDNQIILHAGVNHQLAHLTHTRSAPGIDQCVLSVFERSVNEQARSNELKELDIGLKLRELELRKSQLALSSDANELKKVEIRLGFQKVSFKVEKLETQMEDTRQAELLRKLIDMLLTAVVLMSVCFGYGTYIYSYQRITAVTSACAAASREYTSWWMPSSVSAFNSNFLNFRCNLIASARISFGLLMILLIAWLIFQRSAMTGPNMPITFNGMLLGVVCGWFGRKCVDTLGGDGNVWLVFWEAICFIHLLGNTWPSRLHCMLNGPICVTDRTKAVRLPYWARRYTFYVLLTLILPCSAGLLPFAPLSDWIEPAIQYIKSILSGSNIED >KQL30070 pep chromosome:Setaria_italica_v2.0:I:27849828:27851114:-1 gene:SETIT_017861mg transcript:KQL30070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDSDQGAARAAYSSWPSGDAADTPAAPVAAAMTLGSILTVAGILLLFVVFAFGLVSLQYCFSYWDRERRQQQQGAAPTSGRRRERRRGGSGMATRRASRGGVDPELLRSLPVTAYRAAAAQGSKEEGAAAECAVCLAELEDGEAARFLPRCGHGFHAECVDMWLASHTTCPLCRLTVAKPDAPPCPAAMIHPVPPEPANYAATLPASVLLGVSDQTAVTAATVTTDGDTGVLVIDIPAPTPTPRDAAKSPGSARLRSSFRRLWSFGRQGGAGASSSCSCAGDGEGADLEQGVSVSTDRRESTTKFPSSLQ >KQL29487 pep chromosome:Setaria_italica_v2.0:I:17622553:17623298:1 gene:SETIT_020393mg transcript:KQL29487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWMKRQCCHLSLRQFLSGSLLMSTVRPMMTLLRSYAVSRSLRSVTGILSPTLRRCMILMRS >KQL32037 pep chromosome:Setaria_italica_v2.0:I:41023109:41027480:-1 gene:SETIT_016259mg transcript:KQL32037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTPSPRPGLTPTPQGGGLATPLRTPTSKHRLHFPAATPKNAHHGGGGAATEHPVEVIGRIRNLASGGASALEIAGGGTAVRVRGDAGGCRDFTLDGVSVSEEEDLEGFYRRFVRSRIEGVRVGAKCTVMVYGPTGSGKSHTMFGCAKQPGIVYRALRDILEGGGGGRGGDGDGSGGGSEDDAGFGAGLFVQVAVLEIYNEEIYDLLVGSGANARGNAPKARLEVMGKKAKNATYICGNEAGKISREVAKVEKRRTVKSTLCNERSSRSHCMIILDIPSVGGRLMLVDMAGSENIEAAGQTGFEAKIQTAKINQGNTALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTVSTLEYGAKAKCIIRSAHAATPREKMSSEESSAMLNSRIVAMNQFIYKLQKENRLREKERNEAQNVLRLKEEELSQLKAKLKLMEGQEKAAKEETEKTQALRSELMKMEEKMLRQQEELAALKQRLQEVEREKTDACQPVQQDLIGSRLLARLSEMPAGFDQSMSMVMSMELDMGDQPQDVKVIKEDTRHQGHIWNHTATAGVCTGAVVQEDDVRLSGYPEKVVLSTVFEEGDDEDAEGGNGLEEEVCKEVVEESFKVDITQDALADPDDPATRKHRIENIFRLCGNHRELAKKPKVQSPAKEVFGDQNKSPAKKVFGDVKKSPAKQVFGDENKEPSAWGTMDTPMCDVKVTDSPVSSQLSPIVCQVVDEPLSEQLKLCSTVQESDQNKENSVAGQKEQDGLLEVYIKWESGNLIKGLKLLPNSCLSELRKLIEAHFEEAGSKQQQQFTFLLLGDPSGGPVSREKEASVQISKLPHWNNQPNSYLACLRAAKKPTVDHMPFSPLESKLNSVVKDAHLAGMLSPKAANQMSPNYIRELRA >KQL29932 pep chromosome:Setaria_italica_v2.0:I:26614799:26616323:-1 gene:SETIT_017274mg transcript:KQL29932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSSSSAAAAEVPHYFLCPISLEVMRDPVTLATGITYDRASIERWLFTDGHATCPVTRRALAPEEMDATPNHTLRRLIQAWCAAHQVERFPTPRPPLDSCRVAALLDEGRHGREAAALREIKAAAAESDRNRRCVEATPGAVEFLVSVVTKHSSAISTSKSFSKRADDEFLLESPTSTSSPAEDALSVLYSLKPSERSLTQILEREPEFLNTLTYVLRRPSYRSRTYGILLLKAMVAAMEPARLATVSAGLVQEVVRVVSDRVSSKAVKAALHVLCRLCPWGRNRVKAVEAGAVAALVELLLDEGGRRVTELAVVAIDHLCGCAEGRSELVAHPAGLAVVSKKAMRVSLAATESTVRALHAVARHSPTPAVLQEMLAVGVVAKLMLVLQVDANEKARLRAKEMLKAHARVWKDSPCLQAHLKASYP >KQL29548 pep chromosome:Setaria_italica_v2.0:I:20842891:20844723:1 gene:SETIT_019310mg transcript:KQL29548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIAKMLARGLDFVMMNSTMLSLTKSAFTNIPHPPAATAVPLSGVVPARAPDGVDRISRLPAGILRNIVSRLPVKDAARTTVLSTRWRRVWHTTPLVLVDAHLLPIASIGTSRSRLYSLGADPRNLTDAVSTVLAAHPGPFRCIYLLGTPMEMRRDELALWLQHLAAKAVQKLIFVNRARTMKTEAHLPATLFRCTSLTKLYIGFWWFPETATLPRAVAFPYLRELGLFSLVMKEEDLAFVLNRCPVLEKLVITGSPWPRLLLWEALGSGGLTDMSFKIKIGHAPMLRFLGFLVPGMYKLDIGNTVIKAQTKASPNTTVPSVQMLAVQLKLGTRFDARMLPSFLRCFPNVKTLYVQSENDDYKFWGPQSYRLALANLISISGRRQGYIECVQRHIKKVVLREFRRTRSELDFLKFIAEHAQVLEKMVLVLTHGHSPSDPIGTNLRTKLASAKWANACCELMIFQTPFHVEATAWCFLSAFDLSNPDPLTFQNVSMGH >KQL31853 pep chromosome:Setaria_italica_v2.0:I:40078828:40083928:-1 gene:SETIT_016993mg transcript:KQL31853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGEVSAAAGNGNGNGSARRPSTAPSARVSIWESVRACGVWGKEVDKAELRSQVVMPLHLRRAVAKAVTTKDEAAGVAAAAAEEEEEREKEEGPTVAPVVVFVNSRSGGRHGPELKVRLHELITEEQVFDLSVVKPSDFVHYGLSCLERLADQGDNCAKAAREKMRIVVAGGDGTVGWMLGCLSDLYKMKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATGPICRLDSWQTVIQMPEGEIKELPYALKKVEPADRLEISQENGSELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLRGLKNILRLYIKKVNCSEWEQVQMPSRQVVDFFYIRQATAKRFKNH >KQL31852 pep chromosome:Setaria_italica_v2.0:I:40076840:40084030:-1 gene:SETIT_016993mg transcript:KQL31852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGEVSAAAGNGNGNGSARRPSTAPSARVSIWESVRACGVWGKEVDKAELRSQVVMPLHLRRAVAKAVTTKDEAAGVAAAAAEEEEEREKEEGPTVAPVVVFVNSRSGGRHGPELKVRLHELITEEQVFDLSVVKPSDFVHYGLSCLERLADQGDNCAKAAREKMRIVVAGGDGTVGWMLGCLSDLYKMKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATGPICRLDSWQTVIQMPEGEIKELPYALKKVEPADRLEISQENGSELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLRGLKNILRLYIKKVNCSEWEQVQMPSSVRSLVVLNLYNYGSGRHPWGDLKPEYLEKRGFVEAHSDDGLLEIFGLKEGWHASFVMAELIKAKHIAQAAAIKFEMRGGEWNRAYVQMDGEPWKQPLIQELSTILEINKVPYHSLMINGDQ >KQL31851 pep chromosome:Setaria_italica_v2.0:I:40076840:40083928:-1 gene:SETIT_016993mg transcript:KQL31851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGEVSAAAGNGNGNGSARRPSTAPSARVSIWESVRACGVWGKEVDKAELRSQVVMPLHLRRAVAKAVTTKDEAAGVAAAAAEEEEEREKEEGPTVAPVVVFVNSRSGGRHGPELKVRLHELITEEQVFDLSVVKPSDFVHYGLSCLERLADQGDNCAKAAREKMRIVVAGGDGTVGWMLGCLSDLYKMKREPVPPTGIIPLGTGNDLARSFGWGGSFPFGWRSAVKRYLSKAATGPICRLDSWQTVIQMPEGEIKELPYALKKVEPADRLEISQENGSELPEKASCYKGVFYNYLSIGMDAQVAYGFHHLRDEKPYLAQGPVANKLIYAGYSCTQGWFCTPCTASPQLRGLKNILRLYIKKVNCSEWEQVQMPSSVRSLVVLNLYNYGSGRHPWGDLKPEYLEKVSC >KQL28953 pep chromosome:Setaria_italica_v2.0:I:9154667:9154918:-1 gene:SETIT_019715mg transcript:KQL28953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSSSSFKARSSAPNHHQKSSWPEVVGMAATPAVTRIMQERPDVAVEVLPQGTHLLPGPNPKRVRVFIDAHGAVAKTPRVG >KQL28219 pep chromosome:Setaria_italica_v2.0:I:4373059:4373568:-1 gene:SETIT_020537mg transcript:KQL28219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGARELARTKMTLFTYLFKVSLGAHRTSLFSPATSLSDEPASHVKRD >KQL27886 pep chromosome:Setaria_italica_v2.0:I:1431948:1433419:-1 gene:SETIT_017921mg transcript:KQL27886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSRPGRCASTNHRPPSPPPPGTHTHIAPGLIRKPVDASDRSLARHLPQQSDPPFPAAMAASTALSTAANPTQSGSHISVCMRCARLQWQLCRPRAASSLGKPVKGLGLGMGRRSITCQAASSIPADRVPDMEKRKLMNLLLLGAISLPTVGMVVPYGAFFIPAGSGSAGGGTYAKDKLGNDIKVDEWLKTHGPNDRTLAQGLKGDPTYLVVEQDKTLATYGINAVCTHLGCVVPWNSAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGEDPWWKA >KQL27885 pep chromosome:Setaria_italica_v2.0:I:1431611:1433502:-1 gene:SETIT_017921mg transcript:KQL27885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSRPGRCASTNHRPPSPPPPGTHTHIAPGLIRKPVDASDRSLARHLPQQSDPPFPAAMAASTALSTAANPTQLCRPRAASSLGKPVKGLGLGMGRRSITCQAASSIPADRVPDMEKRKLMNLLLLGAISLPTVGMVVPYGAFFIPAGSGSAGGGTYAKDKLGNDIKVDEWLKTHGPNDRTLAQGLKGDPTYLVVEQDKTLATYGINAVCTHLGCVVPWNSAENKFICPCHGSQYNNQGRVVRGPAPLSLALVHADVDDGKVLFVPWVETDFRTGEDPWWKA >KQL29784 pep chromosome:Setaria_italica_v2.0:I:24410547:24417181:1 gene:SETIT_017491mg transcript:KQL29784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPGGAGIVWQTPANPPEPHDYVIRNGRRYVRPYYFEFISHVKNRWAGKTIVDLFTDEFKGRSREYYVRAVKCGRLQVDGQMVHTDYIVKSSQKISHFLHRHEPPVLAGVISILQNEVDVVTICKPASVPVHPCGQYRKNTVVGILQAEHGLTPLFPVHRLDRLVSGLLIFAKNADRAECFRQQIEAGLLQKEYVAKVFGVFPDGEQTVDANVNFNAREGRSTVEVSDGSGKEPNGKQALTRFQRICTDGTYSIVLCKPVTGRTHQIRVHLKHTGYPIANDELYLSGNFCPRSTKGTSASTATAPLDPDNSAADHGCKDAEADESFDIDPMCTNCPNLAPVGYDGDEEGLWLHCVRYTGPDWSYECPYPDWAVLDSVSTKKMKS >KQL30955 pep chromosome:Setaria_italica_v2.0:I:34610482:34610922:1 gene:SETIT_018876mg transcript:KQL30955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEGRTKLELSGSDDRTTHGDEPTGEVRMSRSQPSPPNLAPCTSHMATGGFNLHGMGICEEALRRIGNIRGQRKDGIPRTHAGGR >KQL29006 pep chromosome:Setaria_italica_v2.0:I:9657420:9660314:-1 gene:SETIT_019245mg transcript:KQL29006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSGAGEALLLHGDLDLTIHEARGLPNMDLLSTFLRRLCLCPPGMAASRGRPAASSRSMPDDKSTHHRHHHHHLHGLHRHHERQPHGHLLHATSDPYAAVVVPAGPHHETTLARTYVFRNSEAPKWEASFLLPLAHRTARLDFHVKDADPFGSDLIGTASLHAADILATAGKPDRSEWCLNLARPDGRGRRPMPLPGSTIRISARFVPAARIPAFWRSGSGGVPAYFPPRRGCDVRLYQDAHVAAGELDGVPGVFEPGRCWEDLCLAVLGAQHLVYVAGWSVYTKVRLLREAMSLEMTAKAAEVMALGGAAVEKMSLGDLLKYKSQEGVRVLLLVWDDKTSHDNFFLRTRGVMQTHDEETKKFFKHSSVICALSPRYPSSKLSMVVGTLFTHHQKCVLIDTPASSDSAHRRITAFLGGLDLCAGRYDTPGHSLFRGLDTVFHGDVRNPTFGGGAAAEGPRQPWHDMHCRLDGPAAYDVLTNFEQRWRKATKLREVFGKASHRRKDDSLLKLERISWILSPSAAGGATDDEQRALHALPEGDPECWHAQVFRSVDAGSVKRFPRPWERAEMERRHLLCDKNLAVEQSIHTAYVAAIRAAERFVYIENQYFIGSSYAWPSNGHPGAANLVPMELALKVAGKIRAGEPFAAYVVMPMWPEGDPRSAPAQEILFWQSQTMEMMYRVIAAEIDDKGLKDAHPQQFLNFYCLGNREPPPEEVGGGDDPAAMARRHRRFMVYVHSKGMIVDDEYVIVGSANINQRSLAGSRDTEIAVGAYQPHQAGRRPRGKVFGYRMSLWEEHLGKEVVRQWPEAVRRPESRECVALVNGVARENWERYTDDTGRAGELRGHLMRYPVLVGADGSVGVLPGHETFPDVGGRILGSPNNLPDYLTM >KQL29217 pep chromosome:Setaria_italica_v2.0:I:11615866:11618816:1 gene:SETIT_019846mg transcript:KQL29217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVERDVFAISGPTYLKCVDWNCEHNRRSVAASLVQAVYVLERDRQLNRQSVEALAPPWWEFFHFELIRKLIDDADMSTFGAIFEFNPPSSEEASAMNAPRFVIAFRGTITEKDTISRDLSLDLHLVQNGLHRTSRFTIAMQAVQNVASVFPGSKVWLAGHSLGAGMAILTGRNMAKKGELLESFLFNPPFVAAPIERIRDERVKHGFRIARSVITAGLTIAMKGKTEGNSQRSVAEESFSILSSWTPYLYVNPGDHICSEYIGYFQHRKNMEDLGAGFIEKLATQNSIGDLFFKALGWESEPLHLLPSADLIVNVSPSPDFKYAHGISQWWQPELNLQCSKYRY >KQL29915 pep chromosome:Setaria_italica_v2.0:I:26372552:26374142:-1 gene:SETIT_019465mg transcript:KQL29915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHAKKAKVKHTVDLSSYRINSLPQEIKAKILSNLTTDMAVRASVLSSAWSNVWTIMPEIFLCDFKFCSVCPISDSSEAFSMSGRSKIVTLVDLALSLHKGPLDTFMIMGEQSYHDVFARWMYMLSTKGPRAITIKLTSGPQYKIPSSLLSHLYLLYLKNCSISLPKEFEGFKLLKVLRLKVFSSTDSVISNLISSCPLLSAVHLRYFEGINCLSIQAQALQILEIEGNFEDLHVDAPNLVDGYLRLDNVESYQSILVQGDKKSYLKQAFWQPDSYPRPEAFTRKGIWDQDEMEIQAPKLDHLEMITLNDFRGLDSGPPALNELKINILKGLTDRCAFRATKKLLALPTASAKAKIIVT >KQL29490 pep chromosome:Setaria_italica_v2.0:I:17635168:17637379:1 gene:SETIT_017492mg transcript:KQL29490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDHMSRIKMHMAEGSLVDRNRCQQPGCNEVVDGRVMYCKVHSGELLRQQYSHLQNAQGSSGLLVPSVNDSPFKGPVSSAVACTEQEIHIKHEGDDRGKLKDSFGNTQGQTTQLVFRGAGMLCKHENCSKQAQENSIYCKLHSGVSKGCMVRGCTRGAHGGTPLCIGHGGGKRCIIPGCPNAACGQGRSDRCVRHGGGKRCKFEGCAKGAQGNTDYCIRHGGGRRCKFEGCTKSAQGRTDFCIKHGGGSRCKFQGCGTSAKWGTDFCSVHRKSLLSGDNAIPEALPASSEKRRRAKKPKKAVKPSELSQENVTTAAIAGSSTQQMGVLLLATPVPNHDMLTKGVTVTAQAAIAPAQIVAPLSMKSPTPSGSVASAEREAGVLGL >KQL30732 pep chromosome:Setaria_italica_v2.0:I:32983392:32984574:1 gene:SETIT_018116mg transcript:KQL30732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNNLSKACTWLWELDLNRLSPGKDYTIECGEGKKVYHKGDKASENLFSWLEDSVLRRPTYSRFCVLLNNYNLHQGYKESVTQQDKHEEAAFIEEISRSAPIKYLHRYLVLKEATSQDYEDFKKMLTSLWFDLCGRGGCSSSSSAFEHVFVGEIKGQKQGENEVSGFHNWIQFYLEESNGNVDYQGYIFPRRRGELPDSETQLLTIQFEWHGVLKSVSSTLICVSPEFEIALYTLCFFAGGEDNHVVIGPYPVNIKVLQVGG >KQL30733 pep chromosome:Setaria_italica_v2.0:I:32983392:32984574:1 gene:SETIT_018116mg transcript:KQL30733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNNLSKACTWLWELDLNRLSPGKDYTIECGEGKKVYHKGDKASENLFSWLEDSVLRRPTYSRFCVLLNNYNLHQGYKESVTQQDKHEEAAFIEEISRSAPIKYLHRYLVLKEATSQDYEDFKKMLTSLWFDLCGRGGCSSSSSAFEHVFVGEIKGQKQGENEVSGFHNWIQNPMVMLTTKGTYSQGGVGSYQTLKHSCSPFSLSGMGC >KQL32123 pep chromosome:Setaria_italica_v2.0:I:41444539:41449189:-1 gene:SETIT_016635mg transcript:KQL32123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTGSAGLSSAPPFRLLGSSSNSGSGPVQLRLPPAAACRRRSLLRCAASGGGGGGDGAGAGGSDPALEEQRRRQAELAARIASGEFTVQGPGWIAPLVGRLSKLGPPGELAAALLTRLAGAGAARGGPEIPQALGSINAVVGQAFFVPLYDLFLTYGGIFRLNFGPKSFLIVSDPVIAKHILRDNSKAYSKGILAEILEFVMGKGLIPADGEIWRVRRRAIVPALHQKYVTAMISLFGEASDRLCQKLDKAASDGEDVEMESLFSRLTLDVIGKAVFNYDFDSLSYDNGIVEAVYVTLREAEMRSTSPIPTWEIPIWKDISPRQKKVNEALKLINTTLDELIAICKRLVEQEDLQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLTKYPKVMAKLQDEVDSVLGDGLPTIEDVKKLKYTTRVINESLRLYPQPPVLIRRSLEDDVLGGYPIGRGEDIFISVWNLHHCPKHWDDAEVFNPERWPLDGPNPNEINQNFSYLPFGGGPRKCVGDMFATFENVVATAMLVKRFDFQMAPGAPPVDMTTGATIHTTEGLKMTVTRRTKPPVIPNLEMKVVSDSQKPTRSTPMVVSAATVASGEDQRELS >KQL29023 pep chromosome:Setaria_italica_v2.0:I:9759911:9760472:-1 gene:SETIT_020437mg transcript:KQL29023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKRISYALRSQFTNHASPTIDSLPYVQRILPTNGAESLEALGFKQRKDQC >KQL29715 pep chromosome:Setaria_italica_v2.0:I:23774917:23779514:-1 gene:SETIT_016994mg transcript:KQL29715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPQPAAAAPASEAPPPAQVVGNAFVQQYYLVLHQSPDLVYRFYQDDSRVGRPASAAGMESVTTMKAISEKIMEMDVAKAEIRTVDSQESLERGVTVLVTGHLTGRDGVRREFSQSFFLAPQEKGYFVLNDIFRFVGDGPAPAAVEAQPEADAVVPPVAAPLANGTAAPAVEPAVPEHDATQQQEHHVVEPAAPQPEEEEEAEVYNPPPEEVVDEEQPVPEVINEVPNNVAPVVATTVAPVSQEEAPKKSYASIVKVMKEVPLPAPAPSTRPAPPKPEKQAPAPAPAPVTDVPAFSSNPENSTIQEPEVDAHAIYVRNLPLNATETQLEDEFKKFGAIKQNGIQVRSNKIQGFCYGFVEFEDATSVQSAIEGSPVTIGGRQCYVEEKRTPGSRAEVAAGLHQAGVTTSEMKVPEAVVTTVVEGAMEGANSVTGPIMVAEVVAEVVRHGELMSATRGLNTQVVVVVAQQLLVHLRNEGQHSCTSLGVSFHGPQIVPRLT >KQL29714 pep chromosome:Setaria_italica_v2.0:I:23775357:23779514:-1 gene:SETIT_016994mg transcript:KQL29714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPQPAAAAPASEAPPPAQVVGNAFVQQYYLVLHQSPDLVYRFYQDDSRVGRPASAAGMESVTTMKAISEKIMEMDVAKAEIRTVDSQESLERGVTVLVTGHLTGRDGVRREFSQSFFLAPQEKGYFVLNDIFRFVGDGPAPAAVEAQPEADAVVPPVAAPLANGTAAPAVEPAVPEHDATQQQEHHVVEPAAPQPEEEEEAEVYNPPPEEVVDEEQPVPEVINEVPNNVAPVVATTVAPVSQEEAPKKSYASIVKVMKEVPLPAPAPSTRPAPPKPEKQAPAPAPAPVTDVPAFSSNPENSTIQEPEVDAHAIYVRNLPLNATETQLEDEFKKFGAIKQNGIQVRSNKIQGFCYGFVEFEDATSVQSAIEGSPVTIGGRQCYVEEKRTPGSRGPSRGGGRFAPGRGNNFRNEGARGRGNYSGGRGYGRGEFSYRSDYGGRSGGRSGAARGADVGYQRVEYTGGRGGRTAAAGAPAK >KQL31961 pep chromosome:Setaria_italica_v2.0:I:40652055:40653382:1 gene:SETIT_020314mg transcript:KQL31961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKRDFYSDPSLLLRRRRCLTADDARARFLLLSIPYPMTCYYTPEEEAQLNCSASDDGPQPLLVICTGLMSRVLPILGGDIPRQHEHLSSIIYVTLFFLTLDP >KQL31102 pep chromosome:Setaria_italica_v2.0:I:35637602:35639380:-1 gene:SETIT_016864mg transcript:KQL31102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECSTEYRGLPDGNGAVADGVASVPETLRKVSIVPLVFLIFYEVSGGPFGIEDSVGAAGPLLAIAGFLVLPVIWSIPEALITAELGTMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPALGGGPPRAFAVLGLTAVLTLLNYRGLTVVGWVAICLGVFSVLPFFVMGLISLPKIRPARWLVVDLHDVDWNLYLNTLFWNLNYWDSISTLSGEVENPGKTLPKALFYAVIFVVVGYLYPLLAGTGAVPLDRSQWSDGYFADLAKLLGGAWLMWWVQAAAALSNMGMFVAEMSSDSYQLLGMAERGMLPAFFARRSRHGTPLVGILFSASGVLLLSSMSFQEIVAAENFLYCFGMLLEFVAFVLLRVRRPGAPRPYRVPLGTAGCVAMLVPPTALIVVVLALSTLKVALVSLGAVAVGLVLQPLLRLVEKKGWLRFAVNSDLPDIGVGRAPDAADEPLAP >KQL31103 pep chromosome:Setaria_italica_v2.0:I:35637602:35640173:-1 gene:SETIT_016864mg transcript:KQL31103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDARSEVPLAVLQDRPASTATSPVPTPQPAEPGTDTGQQEKLPQNTAPAASSAPMGECSTEYRGLPDGNGAVADGVASVPETLRKVSIVPLVFLIFYEVSGGPFGIEDSVGAAGPLLAIAGFLVLPVIWSIPEALITAELGTMFPENGGYVVWVASALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAVPALGGGPPRAFAVLGLTAVLTLLNYRGLTVVGWVAICLGVFSVLPFFVMGLISLPKIRPARWLVVDLHDVDWNLYLNTLFWNLNYWDSISTLSGEVENPGKTLPKALFYAVIFVVVGYLYPLLAGTGAVPLDRSQWSDGYFADLAKLLGGAWLMWWVQAAAALSNMGMFVAEMSSDSYQLLGMAERGMLPAFFARRSRHGTPLVGILFSASGVLLLSSMSFQEIVAAENFLYCFGMLLEFVAFVLLRVRRPGAPRPYRVPLGTAGCVAMLVPPTALIVVVLALSTLKVALVSLGAVAVGLVLQPLLRLVEKKGWLRFAVNSDLPDIGVGRAPDAADEPLAP >KQL29740 pep chromosome:Setaria_italica_v2.0:I:23988995:23989180:-1 gene:SETIT_019163mg transcript:KQL29740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQNLNFFYLAPQVSPSSSIEYDCTTPGKYGKLATPINQPRKSLGQSIIYLVANGPSQPM >KQL30624 pep chromosome:Setaria_italica_v2.0:I:32257927:32259741:1 gene:SETIT_017468mg transcript:KQL30624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQVPNPKLSHLRRVRDSRFHLLLLRLVSSPPDLTLSVSHSSTLAITLSFPGRPLLPQSPTHALRPRPPPQIPRPLPERDAAAAMTPSSALALAHLAAASPLPASSPRPSPRPGSLSLGPGSAGLGPRRLVVAAPPRAFFSSSPYQPPQPEGFSPHREYGLVPMVIETTSRGERAYDIFSRLLKERIVCIHGPIADDTASLVVAQLLFLESENPLKPVHLYINSPGGVVTAGLAIYDTMQYIRCPVTTLCIGQAASMGSLLLAAGAPGERRALPNARVMIHQPSGGAQGQATDIAIQAKEILKMRDRLNKIYQKHTRQPIDKIEQCMERDLFMDPEEARDWGLIDEVIENRPASLMTEGLGGGGLDVPNLGGGGGGGRGRDVEEPSAV >KQL32089 pep chromosome:Setaria_italica_v2.0:I:41272752:41274737:-1 gene:SETIT_017558mg transcript:KQL32089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWLVLVLLPLLCLGTTLPGSDAARAFFVFGDSLVDNGNNNYLLTAARADSPPYGIDTPDHRATGRFSNGKNVPDIISEHLGAEPVLPYLSPELDGDKMLVGANFASAGVGILNDTGIQFANIIHISKQLLYFQQYQKRLSSLIGPEQTARLVSGSLVLITLGGNDFVNNYYLVPYSARSREFSLPDYINYILSEYRQILTKLYDLGARRVLVQGVGPIGCVPAELALHSLDGSCDRELQRAAEMYNPRLMALLADLNAGYGSDVFVGVNTQRIHNDFIDDPKAYGFETATQACCGQGRFNGMGLCTMVSSLCADRDAFVFWDAFHPTERANRLIVQQFMSGSVDYIAPMNLSTVLAIDLQKEQLRT >KQL32088 pep chromosome:Setaria_italica_v2.0:I:41273211:41274373:-1 gene:SETIT_017558mg transcript:KQL32088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKSIISRIANSSAHCMHAVSELLLPAPHVHAGEHLGAEPVLPYLSPELDGDKMLVGANFASAGVGILNDTGIQFANIIHISKQLLYFQQYQKRLSSLIGPEQTARLVSGSLVLITLGGNDFVNNYYLVPYSARSREFSLPDYINYILSEYRQILTKLYDLGARRVLVQGVGPIGCVPAELALHSLDGSCDRELQRAAEMYNPRLMALLADLNAGYGSDVFVGVNTQRIHNDFIDDPKAYGFETATQACCGQGRFNGMGLCTMVSSLCADRDAFVFWDAFHPTERANRLIVQQFMSGSVDYIAPMNLSTVLAIDLQKEQLRT >KQL29968 pep chromosome:Setaria_italica_v2.0:I:27120967:27121614:1 gene:SETIT_018784mg transcript:KQL29968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAELAGLQMTAAMRYLQYIMPSRRKNIEIDTIWIALLAHSTWHCRFAAQHIHTVFEGAHHTASYFEDLSYVLLHAFVIILTLVGLLQIAHVMELAAPMLFGCSVK >KQL30740 pep chromosome:Setaria_italica_v2.0:I:33073701:33076332:-1 gene:SETIT_018346mg transcript:KQL30740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECEELVESGGGAEDMPRVVAALAGILERVAERNDAAAAAELSAAVAPASAFRATTKPGISVRAYMARIARFAGCSPACYVVAYVYLDRLLRRGRRLALAVDSYSVHRLLITSVLAAVKFMDDICYNNAYFAKVGGITLAEMNYLEVDFLFGVGFDLNVAPETFADYCAVLQSELLCAEAPPPPLRLQHCCLSDDDDAAAGCSAQHQLAA >KQL29415 pep chromosome:Setaria_italica_v2.0:I:15381224:15381895:-1 gene:SETIT_020013mg transcript:KQL29415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTVLPPPLCPPARAAATPVPPARTLRIRLNRTCLSRPDAAAAKKPIRPRSSHDKEPPAMRHGESADEKEKKNAPAAAKIGNLLRPCSRGDAVPRSPLGGDTKLRRGGAAIGHAAAPPTAKRKATAPDSGQAKRRASPSRVPIATKKQQVGGDGAASASPSPQSSDSEGTVRALLARARPASDAIRRRDIKRLRAQARWELDQVVQTVEFNDPFITPQDVLR >KQL29732 pep chromosome:Setaria_italica_v2.0:I:23920044:23923943:1 gene:SETIT_019793mg transcript:KQL29732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAFAGKGIATSVISYIINKAFDYLKDNKKDAGLKSTKKRLLELVPQIQVIFDAVDTDQIRDQSEALDAWLWQLRDAVEEAEDALDELEYYKLEEEVKMRDNKVSGSFHKFKGRFVQQFNHAFNTGSLKRLKSAVGTLDDAVSGVERFLHVLNQFDNKKMKNHRQAVDSRNQRETSSLPPSMVLGREEERKVLVDWLTKAENSAPEQIVNNVPIFSIVGIGGLGKTTLAQVICNDNEVIKCFDFIIWTSVSFDFDVERLIRKILQDITGEEINIVGLNLNALHKALKEKLSSKTFLLVLDDVWNDQRVDYWDNLVRPLRYGKKGSKILMTTRMQSVADLAARAMQVDGQSLRLSGLEEADLLVLLNSHAFFGVNPDDYRNLQQISKKMAGKLCGSPLSAKVLGGLLNSKRDSSTWNKILASSIYIIPQGKEGIMTVLRLSYHHLQTHLQACFRYCSLFHKDYEFTKKELVYLWMGSGLIQHVGGGMMPEEVGMEYLDTLTMKSFFDIKLRPRSHRAIKCNLFDEYYEEKYVIHDLLHELAHSASVNECIRVERNFSGIIPKTVRHMCIELTSPTVVEQISQVKKLRTLIMHFQDQDEEDQELIVGKVLSVATSLRVLSLITNSTCKLPKTVNAMVHLRYLSLIWGRKNMTHFSWFPPSVYKLYHLEMMKFDSPQFAVPVKGEMEGVCNLVNLRHLQLSYGIMPMIPYVGKLTSLCELYDFQIQQQSGYTIGELKNLKNICHLHVSGLDKVNSAEEAAEVMLDQKEKLSAVTFSWSPRPEDLLKLGSSSSDSCDPSKAEQILDKLQPHPNSCKLKIQGYPGSRSPCWLESLELINLTFLCLCDCKVLQRLPPIGQLPSLQYLYISNMESVDRVDSSFYGSDKPHGLRSLKVLEIEDMPRCTEWVGLDDENLFPRLDTLVVRNCKELRHVPIVPISIQHVEIHCAGLRALPPLFVTSDTSSSSSPALSLSKLVISHCPDLATLWQGCSFPALEELSIKQCVSLSCLPEDSLCSLSNLKTFEILKCPNLMTGEIRLPPTVRSFTLGSCSSAESLLFKSLLGLISLKRLYLDGCAMLSLPSDVFACLTGLTDIMFIGCAMTSFPSAEAFAGLTSLENLAIWDCKDLASLDGIQGLPSLTLLQISGCDRLVEDMSVQSAESADLSGCTLELCELDIDHSSLLLKEPLRSITTVKRLRISGGPELKLLPEEWLLRNCQALEEVVVDNASDLQCLPQEMASLTSLQSLQISHANLIQTLPDMPASLNNLRIHNCHSNLKKLCKKNVGPDWGKVEHIHNVDIS >KQL30460 pep chromosome:Setaria_italica_v2.0:I:30682841:30685715:-1 gene:SETIT_020530mg transcript:KQL30460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFECKHGTLKHGACVELIGEPNLGNWFISRQGSDVAACVNVACCGVQWQ >KQL30459 pep chromosome:Setaria_italica_v2.0:I:30682841:30685464:-1 gene:SETIT_020530mg transcript:KQL30459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSSPFNFTRTPSPWISRTRSRFLRGRKKPNEKKAKATRKHTSRLSVSDLLIAFSFVRNFVCTCLNANMAL >KQL28901 pep chromosome:Setaria_italica_v2.0:I:8778820:8781896:-1 gene:SETIT_017263mg transcript:KQL28901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSGVVVIDNGGGLLKAGFGGDQDPIAVVPNCMAKPPGGNTKKWLVADQLQADDVDVTGMTLKRPIDRGYLINTEVQREVWERVVRNLLKVDPNNSSLLLVEPMFNPPALQHATDELVFEEFGFKSLCVADAPSLVHLYEASRQPTLFRAQCSLVVDCGFSFTHASPVLQNFTLNYGVRRMDLGGKALTNYLKELVSYRSLNVMDETLLIDDAKEKLCFVSLDVPGDLRLARLSFKDNPFRCSYILPDGITYKKGFVKDMDDALRYCSLPLNEESDRKDHGLETNKFEDRKKPELSQNEFVLTNERFLVPEMLFHPIDLGINQAGLAECIVRAVQACHPYLQPVLFESIILTGGSTLFPRFAERLERELRPLVPDDYQVKITRQENPILGVWRGGSILASSPDFESMCVTKSEYEEMGSARCRRRFFH >KQL30582 pep chromosome:Setaria_italica_v2.0:I:31928029:31930147:1 gene:SETIT_016509mg transcript:KQL30582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFADLTEPAAAGADRCVDRQLWLACAGGMCTVPPVGTSVYYFPQGHAEHALGLAGAADLSAARIPALVPCRVAAVRYMADQDTDEVFARIRLVPLRAGEADAGLEDDPAADDEQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGAAWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDGGDLHVGIRRAKRGFCGAGGGGGGGEEALPMPGWDHYAGMMRGNVSPCGSAKARGKVRPEDVAEAARLASAGQPFEVVYYPRASTPEFCVRVASVRAAMRVQWSPGMRFKMAFETEDSTRISWFMGTVAGVQVADPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSIPAIHLASFSPPRKKPRIPAYPEFPFEGQLLNPAFPPTPLSHGHHGHHYLHTHPSFFPFPDGSAPAAIQGARHAQFVPPLSDLHLTHLQSSLLYPGLRRPDHVGRAAPIPARISTDLTIGGAPARDDMSCALSIGADKKQPGGAKPAGLVLFGRTILTEQQMSLSGSAGATSPAATGNGYMNWNADKGPNASEGSGSGVIQNSPTKNASSSERPPWFTELAGLEPGQCKVFVESDTVGRNLDLSALGSFDELYGRLSEMFCIESAELRSRVLYRGAAGDVKHAGDEPFR >KQL30583 pep chromosome:Setaria_italica_v2.0:I:31927912:31930608:1 gene:SETIT_016509mg transcript:KQL30583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFADLTEPAAAGADRCVDRQLWLACAGGMCTVPPVGTSVYYFPQGHAEHALGLAGAADLSAARIPALVPCRVAAVRYMADQDTDEVFARIRLVPLRAGEADAGLEDDPAADDEQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYAADPPVQTVVAKDVHGAAWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRGDGGDLHVGIRRAKRGFCGAGGGGGGGEEALPMPGWDHYAGMMRGNVSPCGSAKARGKVRPEDVAEAARLASAGQPFEVVYYPRASTPEFCVRVASVRAAMRVQWSPGMRFKMAFETEDSTRISWFMGTVAGVQVADPIRWPQSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSSIPAIHLASFSPPRKKPRIPAYPEFPFEGQLLNPAFPPTPLSHGHHGHHYLHTHPSFFPFPDGSAPAAIQGARHAQFVPPLSDLHLTHLQSSLLYPGLRRPDHVGRAAPIPARISTDLTIGGAPARDDMSCALSIGADKKQPGGAKPAGLVLFGRTILTEQQMSLSGSAGATSPAATGNGYMNWNADKGPNASEGSGSGVIQNSPTKNASSSERPPWFTELAGLEPGQCKVFVESDTVGRNLDLSALGSFDELYGRLSEMFCIESAELRSRVLYRGAAGDVKHAGDEPFSVFVKSARRITILTDAGSDNLGS >KQL29466 pep chromosome:Setaria_italica_v2.0:I:16770171:16771388:1 gene:SETIT_018545mg transcript:KQL29466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAVPSTLPMELRKVEPHGKAAAAGAVTGSRARVLVTVTVLGSAGPLRFLVDEGETVTGLIRAALRCYAREGRMPLLGAAPADFLLYTANGRSDALMADERISFNGCRSFLLWQKAARQAVANDAGSEPLSATSSPGRKVSGGWKGGLNKFLLTFSFKV >KQL30174 pep chromosome:Setaria_italica_v2.0:I:28607320:28608703:1 gene:SETIT_019415mg transcript:KQL30174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYEYGKLFLYRWDLLEGPWELNKLHGWIMNAMKQGIRAITTHVPTKCHKQPPGSVLCGYYVCEFIRNNGRYRMNPEDMPTIDSNYSKIEDKQIDNICMDMARFILREICHEDGVFFDKDGVLMADECTNLRRWA >KQL29308 pep chromosome:Setaria_italica_v2.0:I:13049684:13050381:1 gene:SETIT_020037mg transcript:KQL29308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLNGSVGHVAATSFQPMDDLRKLRAVCRVMHRACCDPSVGRRVALLRTYWEDMQWNEPHRYYALLALLVGVGNPEACTLKGIVDFFTTLQPSLHELSHTTMGGHDVGAYLYALMMYRNNGGGADDDIAKMYIRRFEYEDVCREEAAYLVNRVTWHGHGDPLPPTPVRGDFPGAGGDCGKVKGWEQATLFCNEDCRIHHEIVAFERRMGIDN >KQL28081 pep chromosome:Setaria_italica_v2.0:I:3155103:3159503:1 gene:SETIT_016441mg transcript:KQL28081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGPPPSVAVAVRPGGSGSRLAARWVAAGLPDDGRAATATAVAVVYVIPTLSYVPSPTGERVPVALVGREPAEAYARDRRARAEEAVLPFRRLYCGRANVTVETAVVEGDGVAEALLRYARDSGVRSLVLGSASFRWFRRVLSIPDIPESNANLRIESISHETFEQSHRSLLFDNFADDEAHSDSFSQAHSSHSASNVVTSSESSEHVASESSGTNATGTEGSKNYDSLSSLGGAPCATSNSSEECQSMDEVAKLRKELQDTLVVYDKACADLVNAKKKIQVLSTECSEEARKVEHALEWEEALKRTVADEKAKQLEATNQVEHARRSFTREAYSRHKAEMATNVLSQDRAQIVDAILSKSRTCRRYSKQDIELATENFSEARKIGEGGYGNVYRCTLDHTEVAVKVIQQDSIDKTDEFLKEVEILSQLRHPNLVLLLGFCPEIGCLVYEYLKNGSLEDQLFNNKGHQPLHWFLRIQIIFEVSCGLAFLHSRNPEPIVHRDLKPANILLDRSYVGKIGDVGFAKLISDLVPDWQTEYKETIVAGTLYYMDPEYQQTGTVRPKSDVFALGVIMLQLLTGRHPNGLIVSAENAIKNGRLHDILDKSQSDWPIDEAEMFANLGLKCTALKCRDRPDLESEVLLKLDEILHRITSSVNLRNPKLSVPSHFICPITQELMEDPHVAADGHTYEHYAIRAWLKRHKTSPVTRSKFPNSSIIPNHSLRAAIQQWKSQLPDQTKT >KQL28217 pep chromosome:Setaria_italica_v2.0:I:4365472:4366617:1 gene:SETIT_018780mg transcript:KQL28217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGVLIDLRTTIHSCRLQVLGMARVDSVLMAELAAILLAAKILSVLHVQSGGIGADCLLAVRNIQSLFLKAPWKLRPWISQIQQDGLQELCRSSKFQDTRTLKQA >KQL30017 pep chromosome:Setaria_italica_v2.0:I:27493477:27494618:1 gene:SETIT_018368mg transcript:KQL30017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGATNLPPGFHFFPSDEDLVIHFLRRKVANLPCRPDIIPTVLLHHYNPWELNGTALQAGNQWYFFSHAAQSRTSPNGYWNPIGADETVTSSGCIVGLKKTLIFCTGEPSKGFKTNWIMHEYHLQDGGYNVSGSSTSSSSSSSRKSQRKRVHSSTESNSWVVCRVFESSCGSQVSFHDEGTELSCLDEVFLSLDDYDEVSLPNN >KQL32239 pep chromosome:Setaria_italica_v2.0:I:41906546:41909497:-1 gene:SETIT_020651mg transcript:KQL32239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTKLLTEDLPRLFVRPKKIVLDFQKGRAMGPVSGSIASDIIQNVASDIIQDGNKDFVGELSVTLVDARKLSFTLFGKTDPYVVMILGDQVIKSKKNSQTTVIGLPGEPIWNQDFHLLVANPRKQKLTIQVKDSIGLTDVTIGTGEVELGSLKDTVPTDKIVTLYGEWGLFGKCAAGEVLLRLTFKAYVEDEEDETVRSELGGGYISDEDVLDYVQGDMSKGSDFLGKERETFMDLLAALLASEEFQGIVSLETGTSRDPEQVGSGSGSADSVVSPAVANAETVSNSSTDTALVWLAAITSVVVLVSSNLGASGYFNP >KQL30599 pep chromosome:Setaria_italica_v2.0:I:32061071:32065212:-1 gene:SETIT_016250mg transcript:KQL30599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGVLVGMCAVLACLAAVPRALATAVHGDASSNGILHIPSNGSLISCPTHCGDVEISYPFGIGSGCFRQGFELTCNQTARPPMLFLRNSTTQITSIDVGSNMAFASAIGFNVTMGQGGDTYSKSWGTPDGGAVISGNYNGGLYVVGCDLEVYMFGNNWTDLIGSCMSICADDRTMEERANVFGSCRDGIGCCNIYLTRDLPAFMINIVRRNGTRAQLNDVKVLLPQYYSFVLGDLYSSWVNMSNVEDTIIQIAITDQPNCERARVNKDSYACNDESNCQDLRRGYSCSCLNYGQGNPYIVNGCKAYDSTPKENCTRSCGNISIPFPFGIEEGCYANDNFRLNCTSDGTVLDRRYAQYRVTRISLDDGSLAVSNMLNDTSYNNMERIVNSNYDGTNGFRYSYYMPSVVDGIYDFSQEDEIVIKWVVANLTCQQAKQSKEMYYACISDNSNCQDVRRGKTNYGYLCKCNDGFHGNPYLQNSCAGFNCTACSHGKVYDSTKQKCVMSTKEHNIILGIAIGVACGLGSITVALGAIVLTREWKKGIQRRIRRAYFKKNQGLLLEQLISNESTTNKTKIFSLEEIEEATNNFDATRVLGCGGHGTVYKGILSDQRVVAIKKSKVVEQMEIDQFINEVAILSQIIHRNVVKLFGCCLEDEVPLLVYEFISNGTLYDLLHTDITTKCLLSWIDRIRIAMEAAGALAYLHSAAAIPIFHRDVKSSNILLDENFTAKVSDFGASRSLSLEETRVVTIVQGTFGYLDPEYYHTGELTEKSDVYSFGVILVELLTRKKPIFINSLGAKQSLSHYFVEGLREGAVMEIIDSCIVEEADQEEINDIASLTEACLRDNGGQRPTMKEVEMRLQFLIAKAQRKIQNLAKNDEEIEPLLCPNARNLHAYTNPGSAAHLASQGVSGYSLEHEFSSSIYLPR >KQL28176 pep chromosome:Setaria_italica_v2.0:I:3996936:4000524:1 gene:SETIT_016548mg transcript:KQL28176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQKRLSLEMESCGRGQAAAEIPRVPKSARGKRSARKKEAQSQMTMSAFDLLATVAGKLLDEGEGSLGNTSAGAPALNACVKQEQCDEEMEHFKHDVTDQDSCNESAILPHIVFQLVNHARNDDPKAKSEAQDKESSMISCTNADLGCNFRAIADSWSAESMEAGAFAGDAAASLMPAATAGFHKNAPDMYNLLDPMDVDVKPPPLVSSETTGEMPLHGDKICRSISLPRALKGVTGYAVDRDDDDDKSSGCTHPSTATNMDFRPNCTAEHSRVRKLLTCKYRKVAPARMHKSDLSYSDVERKPSFRNKKMHYTGQRTQRSTFKRRKLFDHHAVLASEFGRANGKGNTKVTGRDSNAASFEANKGTKSMPFQKSCASNDCHVKLRIKSFKVPELLVEIPDSATVGSLKKTVLEAVNAILGGGLRVGILHHGKKVRDDSKTLMQAGIGQDDILDNLGFSLEPNCTHNPSQVQPPEDISFIEAIDITEPLARIAPTDSSSKHGEVDVSHELALTPLAMNYQGSDHDSVHSPGGVSSPDKVSTNSRALVPVPAAGPNAGALVAVNKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLTEARLLT >KQL28832 pep chromosome:Setaria_italica_v2.0:I:8371321:8371724:-1 gene:SETIT_020368mg transcript:KQL28832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLNSNYLLRMIRLLHIAWLRGNELGRTNQ >KQL29263 pep chromosome:Setaria_italica_v2.0:I:12375857:12376619:1 gene:SETIT_020269mg transcript:KQL29263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSSISRIFQFSLPEMLIGVGLCACVRRDECACVYTSVCFCAV >KQL29262 pep chromosome:Setaria_italica_v2.0:I:12375853:12376619:1 gene:SETIT_020269mg transcript:KQL29262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSSISRIFQFSLPEMLIGVGLCACVRRDECACVYTSVCFCAV >KQL32102 pep chromosome:Setaria_italica_v2.0:I:41370738:41371367:1 gene:SETIT_020453mg transcript:KQL32102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYSLQSKASKYAEFQIIRTARHPVLSNRTTQQLQFLSNTTA >KQL28171 pep chromosome:Setaria_italica_v2.0:I:3939499:3940605:1 gene:SETIT_019469mg transcript:KQL28171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFIPGLPEDLARECLVRLGFDQLPAARRVSRGWKAEVESPFHHRLRRPRPLLALAQARPPLADSGPARKYAAAAGYSYRLVLHDPGAGTWTPLPPLPGGGGGLPLFCQLAAVGEGPSTKLVVLGGWDPETWAPTAAVHVYDFLAGVWRRGADMPPPRRSFFACAAVGSRVFVAGGHDVEKNALRSAAAYDAEADAWAALPDMARERDEARGVRAGGGRFVALGGYSTEGQGRFAGSAEAFDPSTWSWGPVRERVIEDGACPRTCCAAAAGNGMMYMLDAGRVMARDAEDGGAWRTVARVPEDGRAAATEVAAIGEGRVAVVGSACHGAEQAVYVLSHGDATAPSWTRAAAPPEFTGHVQAACCVQI >KQL31717 pep chromosome:Setaria_italica_v2.0:I:39351465:39351781:-1 gene:SETIT_018827mg transcript:KQL31717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGCARTTRVAASRVAGGRAAVLVRRGLGYSCHSTPRRYIASLPRWKIVEVRAVIPLVLTKQHATAQEGGRQAPRSLARQEEEREENQPSQEPPM >KQL31895 pep chromosome:Setaria_italica_v2.0:I:40318207:40318787:1 gene:SETIT_018733mg transcript:KQL31895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQAHHPGRLIVKMLLLPLLVSLLTFNCARQSDPKLLKYYPPVLRWWQAPPGSLRTSSSLVLSERLGTQRMLLEMMSADIIVVQWHQPCIYKICNSRGSCTSTGMYMHSGKQVYDMNQG >KQL31590 pep chromosome:Setaria_italica_v2.0:I:38583615:38591658:1 gene:SETIT_016458mg transcript:KQL31590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFYLISLPLTLGMVVVTLRYFAGPAVPRYVVATVGYAWFCSLSIIILVPADIWTTLTGSAKGGIGFFWSWSYWSTFILTWAVVPTIQGYEDAGDFTVKERLKTSIHMNLLFYSIVGAIGLIGLILLLIMHRAWDGGIVGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWKNADWSHRQKVLSHRVAKMAVKLDSAHQEYSNAIVVAQATSNQMSKRDLLRPYMDIIDNMVAQLLREDPSFKPSGGRLGENDMDYDTDDKSMATLRRQLRRAHEEYYRCKSEYMTCVMEALKLEDTIKNYERRDANGWKYVSSFRESRSGTLGSLLDTIEFIWRCILRKQLQKAFAVILGCMSAAILLAEATLLPSGVDLSLFSILIKAVGKQEVLVQVAAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIRLGGNAKTTFEKRMGNIDDAVPFFGRGFNRIYPLIMVVYTLLVASNFFGRVIDFFGSWKRFKFQREEENIDGLDPSGMIILQKERSWIEQGCKVGDQVIPLARNFNGVSTDIESQNVPLVENTVEMKAGATSSSGRAGHSKYANNRENIASKYTAVREQNRQVGKSVKKEISSNSVSLLEERNSEQGSNTGVPPTGVSATWASMKIGFQNFKANMGSKKFIPLRQDPGFVPNSNVSSPESLDDIFQRLKRRPANMPVDYLDDDDDDNTGDMVLHFQDH >KQL28308 pep chromosome:Setaria_italica_v2.0:I:4955584:4959131:1 gene:SETIT_017646mg transcript:KQL28308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQGESSGERQGMAERRMLRSRYLAMKNRISDERDEMARVDSDKFTAIISQVECLHELVQRPREQIADAEALLDIASTLVTSVRSQSSEGITPSDFITALLKKFGEQESPDDEATSLRWGDLGLSVSHAFRPVPGCCTMLGPMNTEVKQRKVSTVSRKRTARPTENTRPEELADSSEEVKTDTDRNVLVIFDTLRRKKSARLENLVLNRLSFAQTVENIFALSFLVKDGRVEINIDDNGHHIVRPRNAPAASDVASGDVSYSHFVFRFDFKDWKLMKELVSEGEELLPHRTSQGATCTEENDQPNLEACAQRTPIRKLTRNRGLVLQEQVVQETPEENQTSKRKRLFRDQD >KQL30050 pep chromosome:Setaria_italica_v2.0:I:27729158:27730773:-1 gene:SETIT_017251mg transcript:KQL30050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIFGVRSRASLAPLRAIFARQRVVWAEPLPPPVSAGLRCLHVGRGGGEPEEDEAVPYADVPRPGRRWERKPYVTPMKVLIRRAKEERQARRENPCRVLEHPPDNGLLVPHLVDVAHRVHAARERVLHGLTRLVEGENAIPVKRCRFCPEVHVGRVGHEIRTCEGRDSGARNSLHVWRPGTVRDVVGFPYCYHLFDRVGKPRVVHKEKYDVPRLPAILELCIQAGVDVERYPTKRRARPVYSIEGRIADFEPDESGSSEATACPPLPSPAAPAAICSASTSASTEQEEEEEEIAVPELASRTLQSWLDMRLGAARLMKKYSVHTCGYCPEVQVGPKGHKVRMCKATKHQQRDGQHAWQEATVDDLVRPSYVWHVADPGDGSPLANELKRYYGKAPAVVELCVQAGAPVPPAYRSMMRLDVVPPARDEHDLVA >KQL28290 pep chromosome:Setaria_italica_v2.0:I:4826947:4828726:1 gene:SETIT_018504mg transcript:KQL28290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAQLAASSIDSIVNGGDGVKGPAIAATAEVVFAQVEEKAIFVQPPVVAETSEAAAVIPKAKEGPNRCATCRKRVGLTGFNCRCGNMYCAVHRYSDKHDCHFDYRTAARDAIAKANPVVKAEKLDKI >KQL28288 pep chromosome:Setaria_italica_v2.0:I:4826947:4828726:1 gene:SETIT_018504mg transcript:KQL28288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAQLAASSIDSIVNGGDGVKGPAIAATAEVVFAQVEEKAIFVQPPVVAETSEAAAVIPKAKEGPNRCATCRKRVGLTGFNCRCGNMYCAVHRYSDKHDCHFDYRTAARDAIAKANPVVKAEKLDKI >KQL28287 pep chromosome:Setaria_italica_v2.0:I:4826947:4828726:1 gene:SETIT_018504mg transcript:KQL28287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAQLAASSIDSIVNGGDGVKGPAIAATAEVVFAQVEEKAIFVQPPVVAETSEAAAVIPKAKEGPNRCATCRKRVGLTGFNCRCGNMYCAVHRYSDKHDCHFDYRTAARDAIAKANPVVKAEKLDKI >KQL28289 pep chromosome:Setaria_italica_v2.0:I:4826947:4828726:1 gene:SETIT_018504mg transcript:KQL28289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAQLAASSIDSIVNGGDGVKGPAIAATAEVVFAQVEEKAIFVQPPVVAETSEAAAVIPKAKEGPNRCATCRKRVGLTGFNCRCGNMYCAVHRYSDKHDCHFDYRTAARDAIAKANPVVKAEKLDKI >KQL28904 pep chromosome:Setaria_italica_v2.0:I:8792291:8793129:-1 gene:SETIT_018487mg transcript:KQL28904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMITSMLGRKQQGQQKGGAGARTAGGVGGAEVEPASIDIVLEPFVDAISLTALAAPSLGLAPFATASMDWKETPAAHVFMADLPGVRRDEVKVEVEEEKVLRISGQRQRAAEDKGDRWHRVERSSERFVRTVRLPPNANTDSVHATLENGVLTITVPKDNERKAYGRLIPITN >KQL29647 pep chromosome:Setaria_italica_v2.0:I:22585339:22585647:-1 gene:SETIT_019267mg transcript:KQL29647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGYYVCEFLRNNGRYRTNSEDMPRIEPHDAALEDKGIDNICRDMARFIQREICHEDGAFFDKNGMLIRRMQRSS >KQL30948 pep chromosome:Setaria_italica_v2.0:I:34555261:34555548:1 gene:SETIT_020622mg transcript:KQL30948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIINRLLEVQFILKKGPVVSRSKLTNHKKRCTGRMIKT >KQL31048 pep chromosome:Setaria_italica_v2.0:I:35274316:35274823:-1 gene:SETIT_019221mg transcript:KQL31048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTGADDSEPIASRRLGMEDDLTRTCRRDRYCGMCLQAFCSHCCHEEHWRWPCAVIPVGVDDAGQPTFCKRYPAPDGDPIKGYLINFILEHDYAARLARRQGRLLHQERNGRRYVRCRGDEKCWLAHVERILGDPVGEDC >KQL28899 pep chromosome:Setaria_italica_v2.0:I:8771774:8773986:1 gene:SETIT_019170mg transcript:KQL28899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGADDEWEDASFLDELFRATDEAVASRNPNPTPTTTPTPAPISYLPAAAPISYLPAASTSTSVSYLPAASASVSYLPAASYPSPALRFSPPRELTQRPPLPRPPAASSDGEAMAMVGRGFSPPRELSQRPAAEESDLAIIAESGGRVAAKRERESRELEKLKKNECSELRKDRTKKDLQIKAKEVEIQNMKKANVSFASKDVCSTGMDVDQSFHAPANGALHVGGSSWASTRRTDKTNGKDNDANSLQVDLYMKQGHQTDLPEDMELKRRTMIDNGISTSGGLSLEVTPLVFLHPTMSYSAGNLHDIFCVFTMCKEIKAIGVQTDSTSDSGHLECNKISVARISGNLRAIWGVPTNSLSRRNLISKIIVSCSEEFLSLLQCTRLTENCKTSCEASPSMNEAISQVYDMFIKMNNEKIPIQTFLEAVLNLCVFDN >KQL30894 pep chromosome:Setaria_italica_v2.0:I:34141157:34142514:1 gene:SETIT_017610mg transcript:KQL30894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGWSDLPPELLTDIAGGITELADIARFRSVCSSWRSAAGDAAAAPPPQPPWLLLPSSPSRLFFCPREDRIYPDLRLPRPAAEAHHRRRRLYASPHGWALAVDPTDLAASLVHPFNGATRPLPPLPAFFKETDDLAWDWSPHGVMVSCGEGIHFCASGPPAADSWAPIPALADCNASSINYAGGKFFVFEEDVCRTAIVDAVTLAVAAVIPAPAVELPSEARLAVAGDELFLLVKSKWMYLFGDDVDFSKAFHVNHRGVNPAWQELAGIGDRALFIDSLHGFAVPTAGFGNLESNTIYTVSINEVSNRRPTKVNYSVSAFSLESRSSKKLACRLNGRNMAMRGESPSWIVPSLIEG >KQL30555 pep chromosome:Setaria_italica_v2.0:I:31612106:31613970:-1 gene:SETIT_017518mg transcript:KQL30555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRASVLRGCSSNESGRWRGRRTPKRTEEEKRRKRGERAGQLQTAARHGLPPPELGPAAVDFAPNHVSLGPQAHIPFVHNAPDASRAFHTLPSGPHKTHRQEAAESANPSTSRHLPGFPAQRSGDPASPGATPPLSTAAPPAIVMSTQSISPASASAQFTYHAAAAAAATTPSYFPVPFHLQNPQYAAWHAATAAAPAYNAVYPMPQVQQAQHLFQKDSKIISPEALATVKAAIANSEKDKKVEAAKKAVPRKAAGQSWEDPTLADWPESKISNSLLS >KQL30554 pep chromosome:Setaria_italica_v2.0:I:31609880:31613970:-1 gene:SETIT_017518mg transcript:KQL30554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRASVLRGCSSNESGRWRGRRTPKRTEEEKRRKRGERAGQLQTAARHGLPPPELGPAAVDFAPNHVSLGPQAHIPFVHNAPDASRAFHTLPSGPHKTHRQEAAESANPSTSRHLPGFPAQRSGDPASPGATPPLSTAAPPAIVMSTQSISPASASAQFTYHAAAAAAATTPSYFPVPFHLQNPQYAAWHAATAAAPAYNAVYPMPQVQQAQHLFQKDSKIISPEALATVKAAIANSEKDKKVEAAKKAVPRKAAGQSWEDPTLADWPENDFRLFCGDLGNEVNDDILTKAFSKYPSFNMARVIRDKWTGKTKGYGFVSFANASDLAAALKEMNGKYVGNRPIKLRKSTWKSRIDFEALEKGKTRPQKKIKLQKRSVLHK >KQL27830 pep chromosome:Setaria_italica_v2.0:I:966926:971783:-1 gene:SETIT_016099mg transcript:KQL27830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPANHYMDPYHSHYRNHSPYPYYPPHGWEIPSGHPQPMDSSYRPPTYGPWPYNAGMHHPHPSEFHCCCNRTYPPDYYSFRPPLPQELPPPHLYYHGPFPQHSNTCLSYFVPPHPYPVDQMPYGYDKLKSHCCGCPNHVCHGADKSNVKIEEERPDVKLESEYKDADGGSIIRHPNNQYPFIWVPSGNMEGKENGKRYEFSPQLLKEWAPMSRKMTGDVKKQNQDNQFLNGWAPVSSKKTEDVMHQEEGNQKERQFHWPIVWMPAGYDEPKQKAKELKIEESPNNSEEAPQSPKIKIIPLSWFENGHHDQKPATRDGSGDHGDRSATKSQPAVTEHRDGMTLEGSPKTTPAVPKRVNNESKPARENYKTIPVVPENKIDEKKASTYRIIPVTKESDEKKTGMSEKEEAKKASNGDKVEENGKTKHSESSTAKHSKLPPVCLRVDPFPRKKSGNGSSRSPSPPTRKDGDKAKKDVKEAAQGQNMEPKQSDTRHITVSEIKGKSPNEMDKGMQFSNKTVQAASVEHPREEEVPTSKDEQKVQAGSTTIGTQENAGAKSLQGSAVQEKENTGAESFMGCDESKNEDEAVIESEAAKDDAKTCKANLSEPDAAVCIQSAYRGYDVRRWQPLDKLRKIRNVHEQMQGVKKQLQCLEDSCKKPTEKEQVAIGETIMNLLLKLDTIQGLHPSVREARKSVARELVCLQEKLDTLCKQQSGEFDHTNSDEEKSETTENGIQIVAPTITTEACDKEERAVELGKVEEPSSVDTMEPYNAVPSGIPMEVKQDADASKKNEKEESCVTTMEEANEQGKAAGQFELQVPSSMDTLSDAALPEHPTDNQELKIEESNAVSVEQVDEKSEVEGEGKEAMLHDAASTGDSSGLKQCTASTEQSLHAKSESNTGLSYDSTEGINASAVAASVESGVTNEKDGPVNGQDHATAAVENLELKHDVSPAEEDQQRELSGPVVHLEDSSISLQDEEQHDLIPADDSVMSNTKDQLEVARDVSMQEQAVDTVQDSTKEQDGMLEDSTKEQDGMLEDSTNNMELSASGNAEKPDQPALLEPVLKSDSASAPEETVVGESDDTVQCAVFGKDKPPHEDQETEATIDKLTGGSTNDGDSLPGTSRKEPDIQESHPNLAEEADSTRDEIVFPELDSCELSCPHEGGITEHERSGMEVSSESQTEAQKEHADLAVSNTEECIETLKEAPVDASTANSAEDVGVQVSVTEECTEMPENSAEDVGVQVSVTEKCTEIPEDAQVGALGANSAEDVGVQVSVAEKCTEGAVGANLAEDEADNLKEDITVQKENNASEEALSAGASPLNDLKDSDEKKLAEENQQLKELLQKLLASGNDQMGVITDLSEKVKALEHKLARKKRSKVRVHRPSRHVTAKVH >KQL27831 pep chromosome:Setaria_italica_v2.0:I:966926:971783:-1 gene:SETIT_016099mg transcript:KQL27831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPANHYMDPYHSHYRNHSPYPYYPPHGWEIPSGHPQPMDSSYRPPTYGPWPYNAGMHHPHPSEFHCCCNRTYPPDYYSFRPPLPQELPPPHLYYHGPFPQHSNTCLSYFVPPHPYPVDQMPYGYDKLKSHCCGCPNHVCHGADKSNVKIEEERPDVKLESEYKDADGGSIIRHPNNQYPFIWVPSGNMEGKENGKRYEFSPQLLKEWAPMSRKMTGDVKKQNQDNQFLNGWAPVSSKKTEDVMHQEEGNQKERQFHWPIVWMPAGYDEPKQKAKELKIEESPNNSEEAPQSPKIKIIPLSWFENGHHDQKPATRDGSGDHGDRSATKSQPAVTEHRDGMTLEGSPKTTPAVPKRVNNESKPARENYKTIPVVPENKIDEKKASTYRIIPVTKESDEKKTGMSEKEEAKKASNGDKVEENGKTKHSESSTAKHSKLPPVCLRVDPFPRKKSGNGSSRSPSPPTRKDGDKAKKDVKEAAQGQNMEPKQSDTRHITVSEIKGKSPNEMDKGMQFSNKTVQAASVEHPREEEVPTSKDEQKVQAGSTTIGTQENAGAKSLQGSAVQEKENTGAESFMGCDESKNEDEAVIESEAAKDDAKTCKANLSEPDAAVCIQSAYRGYDVRRWQPLDKLRKIRNVHEQMQGVKKQLQCLEDSCKKPTEKEQVAIGETIMNLLLKLDTIQGLHPSVREARKSVARELVCLQEKLDTLCKQQSGEFDHTNSDEEKSETTENGIQIVAPTITTEACDKRSQEERAVELGKVEEPSSVDTMEPYNAVPSGIPMEVKQDADASKKNEKEESCVTTMEEANEQGKAAGQFELQVPSSMDTLSDAALPEHPTDNQELKIEESNAVSVEQVDEKSEVEGEGKEAMLHDAASTGDSSGLKQCTASTEQSLHAKSESNTGLSYDSTEGINASAVAASVESGVTNEKDGPVNGQDHATAAVENLELKHDVSPAEEDQQRELSGPVVHLEDSSISLQDEEQHDLIPADDSVMSNTKDQLEVARDVSMQEQAVDTVQDSTKEQDGMLEDSTKEQDGMLEDSTNNMELSASGNAEKPDQPALLEPVLKSDSASAPEETVVGESDDTVQCAVFGKDKPPHEDQETEATIDKLTGGSTNDGDSLPGTSRKEPDIQESHPNLAEEADSTRDEIVFPELDSCELSCPHEGGITEHERSGMEVSSESQTEAQKEHADLAVSNTEECIETLKEAPVDASTANSAEDVGVQVSVTEECTEMPENSAEDVGVQVSVTEKCTEIPEDAQVGALGANSAEDVGVQVSVAEKCTEGAVGANLAEDEADNLKEDITVQKENNASEEALSAGASPLNDLKDSDEKKLAEENQQLKELLQKLLASGNDQMGVITDLSEKVKALEHKLARKKRSKVRVHRPSRHVTAKVH >KQL27829 pep chromosome:Setaria_italica_v2.0:I:966708:971855:-1 gene:SETIT_016099mg transcript:KQL27829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPANHYMDPYHSHYRNHSPYPYYPPHGWEIPSGHPQPMDSSYRPPTYGPWPYNAGMHHPHPSEFHCCCNRTYPPDYYSFRPPLPQELPPPHLYYHGPFPQHSNTCLSYFVPPHPYPVDQMPYGYDKLKSHCCGCPNHVCHGADKSNVKIEEERPDVKLESEYKDADGGSIIRHPNNQYPFIWVPSGNMEGKENGKRYEFSPQLLKEWAPMSRKMTGDVKKQNQDNQFLNGWAPVSSKKTEDVMHQEEGNQKERQFHWPIVWMPAGYDEPKQKAKELKIEESPNNSEEAPQSPKIKIIPLSWFENGHHDQKPATRDGSGDHGDRSATKSQPAVTEHRDGMTLEGSPKTTPAVPKRVNNESKPARENYKTIPVVPENKIDEKKASTYRIIPVTKESDEKKTGMSEKEEAKKASNGDKVEENGKTKHSESSTAKHSKLPPVCLRVDPFPRKKSGNGSSRSPSPPTRKDGDKAKKDVKEAAQGQNMEPKQSDTRHITVSEIKGKSPNEMDKGMQFSNKTVQAASVEHPREEEVPTSKDEQKVQAGSTTIGTQENAGAKSLQGSAVQEKENTGAESFMGCDESKNEDEAVIESEAAKDDAKTCKANLSEPDAAVCIQSAYRGYDVRRWQPLDKLRKIRNVHEQMQGVKKQLQCLEDSCKKPTEKEQVAIGETIMNLLLKLDTIQGLHPSVREARKSVARELVCLQEKLDTLCKQQSGEFDHTNSDEEKSETTENGIQIVAPTITTEACDKEERAVELGKVEEPSSVDTMEPYNAVPSGIPMEVKQDADASKKNEKEESCVTTMEEANEQGKAAGQFELQVPSSMDTLSDAALPEHPTDNQELKIEESNAVSVEQVDESEVEGEGKEAMLHDAASTGDSSGLKQCTASTEQSLHAKSESNTGLSYDSTEGINASAVAASVESGVTNEKDGPVNGQDHATAAVENLELKHDVSPAEEDQQRELSGPVVHLEDSSISLQDEEQHDLIPADDSVMSNTKDQLEVARDVSMQEQAVDTVQDSTKEQDGMLEDSTKEQDGMLEDSTNNMELSASGNAEKPDQPALLEPVLKSDSASAPEETVVGESDDTVQCAVFGKDKPPHEDQETEATIDKLTGGSTNDGDSLPGTSRKEPDIQESHPNLAEEADSTRDEIVFPELDSCELSCPHEGGITEHERSGMEVSSESQTEAQKEHADLAVSNTEECIETLKEAPVDASTANSAEDVGVQVSVTEECTEMPENSAEDVGVQVSVTEKCTEIPEDAQVGALGANSAEDVGVQVSVAEKCTEGAVGANLAEDEADNLKEDITVQKENNASEEALSAGASPLNDLKDSDEKKLAEENQQLKELLQKLLASGNDQMGVITDLSEKVKALEHKLARKKRSKVRVHRPSRHVTAKVH >KQL31188 pep chromosome:Setaria_italica_v2.0:I:36164125:36165597:-1 gene:SETIT_019779mg transcript:KQL31188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHRGKRPSEAAAAAASPAAKRARDPSAPAFPTYKEAPNLKIQILGEILASASSAADVDDKLTKANIGVTTDDVEQVLRFSYAHPRAAAAFFSWAGHQHLGHEHSPYSWNLVVDILGKNRIFEPMWETVRSMHSQRLLSLATFASVFSSLAASPGGNPLKAFVDMPRYGMARDTTALNSLLSALCRANRLDDARAAIPVARAEAGTRPDADSYAILLEGCEAAADPRVAREVFDEMVRDVGFDPNNVPAYDSFLTTLVSSDSSTALPEAMDYLAVLSRRGCSPGEKFFHAALVAHLEARKLRDAMALWDDFVGHRGLVPDLEMYNTMIMLQGSLGHAEVTVEYLDDMAFNGVFPDAGTYNLVLQFLLKGRKLREAAAIFSEMVKNELWPNEENCSFALCMFLDTRDWEMGIKVWNCMVENGLPPLEESGNMLVSKLRDDRLPEACKYAEDMIDRGIKLSSSTLSKLKQCLQKIRKGEIHDHLLKKWKAR >KQL29821 pep chromosome:Setaria_italica_v2.0:I:25155472:25156230:1 gene:SETIT_019641mg transcript:KQL29821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSYFFSSSSEKKSSKRRQQQQDSGNNETKYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAIAYDRAARNLRGASARTNFAYPDLPPGSSITPYLSPDLSADQLQSYYAAGPVVPAAHQSTPLARDQAQAYSHGGAGSEAYQYHVPAEVPSYGALDASMAYGGNAEKMDMYFDGGNGGGAASGGGRAWCDAAELDFGGYNDAAASGSHGMYFEEGPLFSPMPAADEVDGFQLGGSSSSYYY >KQL31640 pep chromosome:Setaria_italica_v2.0:I:38988357:38989128:1 gene:SETIT_018703mg transcript:KQL31640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGTGHGGRQPLEKQRGLETKTAAAGSSAAEAKKPRRVWPAALMACFLAVTAVLLLQRWRTDASPEWLYQVERPAEDDRGASRVHYFPCSYPQGLRPTCQLYMRIRPQLPGGVVQVSRCLIIMSK >KQL31635 pep chromosome:Setaria_italica_v2.0:I:38971260:38971548:-1 gene:SETIT_020430mg transcript:KQL31635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVIGKKIIQMDIWKWNQQYAVQTIKHTKNNIDSGNLTYGFGIRM >KQL29338 pep chromosome:Setaria_italica_v2.0:I:13935455:13935973:-1 gene:SETIT_020480mg transcript:KQL29338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVTPYCVHNSFPILSKRKTNISHANFGEQQKSSTISGNTGQN >KQL31001 pep chromosome:Setaria_italica_v2.0:I:34955208:34955715:1 gene:SETIT_018565mg transcript:KQL31001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSSVLFLLLVVPTCKSSTLEDACRSFAAGHPSIGYDYCIRVFQADKASVAAADARGLGREEAGPARRVYSDAVDQLAQAEEDLARGEGADDAVTQLSAAVDAPATCEDAFGEADDTSPLAAEDAEFKNLATVALAVAASLTPRPPSTPIEDKD >KQL28540 pep chromosome:Setaria_italica_v2.0:I:6569386:6575592:1 gene:SETIT_017310mg transcript:KQL28540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISFKYWDDCLDPEDMRLMWQDPVVSKEWTDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVASIIVHRHFKSQLDPYMIGALAEIASGRRLFVDTYDRKTKETKVGIMQVAPEVAQWLGRELGYKKYDIEDDTNLLYWPLVNVYFGAAYAKWLFSCDDKQRTEEFVVRAYKGGKKKASHKSTSPIFQRYLYVKESLLSIRQPEICNEITPDLENLSSTEAQLIYWDSKVSEADMDAMWKHPDVYKEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAEIIISRHLTTRGSKPEALAALAEVCSMRFVHGVSTRTGLMGIDYPTAAWLSRDCGYRAYTVISVDDLYNPFASMYFGASYLAWLSHYEGREQSYEFIVQAYLGGPENVNLQETGPLWNQFLEALTQYQDPKKDNNSCCIL >KQL28539 pep chromosome:Setaria_italica_v2.0:I:6569386:6574381:1 gene:SETIT_017310mg transcript:KQL28539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISFKYWDDCLDPEDMRLMWQDPVVSKEWTDAGEEQGQKVHLSRDPDGEAYLTQTEMMAVASIIVHRHFKSQLDPYMIGALAEIASGRRLFVDTYDRKTKETKVGIMQVAPEVAQWLGRELGYKKYDIEDDTNLLYWPLVNVYFGAAYAKWLFSCDDKQRTEEFVVRAYKGGKKKASHKSTSPIFQRYLYVKESLLSIRQPEICNEITPDLENLSSTEAQLIYWDSKVSEADMDAMWKHPDVYKEWTKSGERRGNVRFSHDAKKRPYLSRVEVKAVAEIIISRHLTTRGSKPEALAALAEVCSMRFVHGVSTRTGLMGIDYPTAAWLSRDCGYRAYTVISVDDLYNPFASMYFGASYLAWLSHYEGREQSYEFIVQAYLGGPENVNLQETGPLWNQFLEALTQYQDPKKWACAFTLLDIFFQ >KQL27869 pep chromosome:Setaria_italica_v2.0:I:1341861:1342593:1 gene:SETIT_019438mg transcript:KQL27869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQHVGVKVTYIETQFVTSDAAGFKDLVQRLTGRSPTAASAPAPAAPHRPRACRAGEGRTGAAAGAGPQGCHYYQQPAGGVRPAAVVASCAPPCQEKLLLSMDDDFSDLFYAGAIDQRRHGLNGELY >KQL28775 pep chromosome:Setaria_italica_v2.0:I:8038455:8038652:1 gene:SETIT_019860mg transcript:KQL28775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGRPWLRSTNNFLGRAVWEFDPDGGTPEEHAEVERLRQDFTDHRFQRRESADLLMRLQ >KQL31835 pep chromosome:Setaria_italica_v2.0:I:39992146:39998092:1 gene:SETIT_016154mg transcript:KQL31835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAAGGSEAEGAPRMAKFLCSFGGSILPRPVDGCLRYVGGDTRIVMLPRDISYADLAARMRELYKDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKVIASGEVFTRLRIFLFSQNLDDDAASAVVHYNVDERETERRYVDALNNLGDVNTPSSPVSVEQLFGIVGNDSGIPDFAGLRHLNVPRPSQSQRYGEMDSPWSPAYVSPGQYAVHDPRDFPVSPSSARFQVGAEDFDERTPDDFVRQSPKYRHYEAQSPPHMDNLVWLPPGAVIQQNAGFPGNLSRSNNFLDGNSVGEHGRLPFQQGQGSMTDPRYMDPRWTRPAQQHFDQPSMTNEYPGHPSNSCSNCYRSGEHYMGGQDIRMENGVYVKEQNGGHPPMFYNESHLHDRVWHAHTSQSHQRYEDPRLHLPGNGRVIEPYIVDTNPVNSVFAPNKVYEMHSATLGRSSHESPHYFHGSCELINDTYHNQQVGGSGSYVQPAGFEESPGQHYNHSSTYGADSFYQMQQNLPPIQSLRRRANSPVHAGSPYDSPHLPMPNGSIPMPNGSINTNFVRNTGDVSPRIPGLPAYERMPNPWAPPNGSIPYRVVGHDVPAAMESTSALGPRSNPITAQYVQPFIAPESVQHQHGAPSREVNPERAYADHIPPPYVDGRVAVSALPLTDQLSRLDTNTMKKPEGPEYDNSTRNVNEGTPLHAVDETNTLPRHVGAVHEVDPKQKPTEHEIRTKQLEAGVTALQECGDISEDRLNFVPELIASLKKAALEDATETQMAQSDANAAVSPVPDDDDNGKKLDVATAGNTDAIQDSDLHGSSDQQKSSKIESTTAEAEALSKGLQTINNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEALILSSVHHPNVVSFYGVVRDGPDGSLATVTEFMVNGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAEIIGGIVNDSLRPQIPAWCDPEWKALMESCWSSDPTERPSFTDISQRLRKMAAAMNVK >KQL31834 pep chromosome:Setaria_italica_v2.0:I:39992146:39998092:1 gene:SETIT_016154mg transcript:KQL31834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAAAAGGSEAEGAPRMAKFLCSFGGSILPRPVDGCLRYVGGDTRIVMLPRDISYADLAARMRELYKDADIIKYQQPDEDLDALVSVVNDDDVVNMMEEYDKVIASGEVFTRLRIFLFSQNLDDDAASAVVHYNVDERETERRYVDALNNLGDVNTPSSPVSVEQLFGIVGNDSGIPDFAGLRHLNVPRPSQSQRYGEMDSPWSPAYVSPGQYAVHDPRDFPVSPSSARFQVGAEDFDERTPDDFVRQSPKYRHYEAQSPPHMDNLVWLPPGAVIQQNAGFPGNLSRSNNFLDGNSVGEHGRLPFQQGQGSMTDPRYMDPRWTRPAQQHFDQPSMTNEYPGHPSNSCSNCYRSGEHYMGGQDIRMENGVYVKEQNGGHPPMFYNESHLHDRVWHAHTSQSHQRYEDPRLHLPGNGRVIEPYIVDTNPVNSVFAPNKVYEMHSATLGRSSHESPHYFHGSCELINDTYHNQQVGGSGSYVQPAGFEESPGQHYNHSSTYGADSFYQMQQNLPPIQSLRRRANSPVHAGSPYDSPHLPMPNGSIPMPNGSINTNFVRNTGDVSPRIPGLPAYERMPNPWAPPNGSIPYRVVGHDVPAAMESTSALGPRSNPITAQYVQPFIAPESVQHQHGAPSREVNPERAYADHIPPPYVDGRVAVSALPLTDQLSRLDTNTMKKPEGPEYDNSTRNVNEGTPLHAVDETNTLPRHVGAVHEVDPKQKPTEHEIRTKQLEAGVTALQECGDISEDRLNFVPELIASLKKAALEDATETQMAQSDANAAVSPVPDDDDNGKKLDVATAGNTDAIQDSDLHGSSDQQKSSKIESTTAEAEALSKGLQTINNDDLEEIRELGSGTYGAVYHGKWRGCDVAIKRIKASCFAGRPSERERLIADFWKEALILSSVHHPNVVSFYGVVRDGPDGSLATVTEFMVNGSLKQFLRKKDRTIDRRKRVILAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPICKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKSNMVSEKIDVYSFGIVMWELLTGEEPYSDMRAAEIIGGIVNDSLRPQIPAWCDPEWKALMESCWSSDPTERPSFTDISQRLRKMAAAMNVK >KQL29439 pep chromosome:Setaria_italica_v2.0:I:15862156:15862899:-1 gene:SETIT_019709mg transcript:KQL29439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAPSSLVALPTEVAIEIAGHLAATLERPMDDLHSLRETCLFMRRVCSDRAVGRRVALDRFKHAMLWNKPARYAILPTRLTQVGNPKASFLTEMRVIFGETCSPQPCLDDLTRAATGEHNVVAYLVALFLYMNNGGVGDDDTTRWYVRRVEGEEQSVASGDSKPTKMRMLSNKGCQLCHEQTAKVVHQTTWRKGGELLPPTLVHGDILCTGSSCGVTKGWPQITLFCSKDCRIHREIVLFQREIGIYN >KQL28957 pep chromosome:Setaria_italica_v2.0:I:9216834:9218775:1 gene:SETIT_017148mg transcript:KQL28957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLKELLPLPDMLRISVEIAEGKSAEFVKAQVNQHLDSVLIKEADPVTGVLCNQYSCANDKAKNFVETCVWEYAQEIYCHLRAALLLHRGKQDDLITEIDKIAEASFLMVVVFAAEVTKHRLNAKSSESFQPEVAARILVAFSSVEHLRRLRLPEYTEAVRRAVLVNQENAAAIALFIESMPSYAELTNQPDLPSLAGTKYIWHRDEVQTSRILFYLRVVPTCVGLIPAHMIRDKVASIMFLYLQHPNEKVTSASHSVMVSFLSSGSGTDQDDRTALKEQLIFYYIKRSLEAYPGVTPFDGLASGVAALVRHLPAGSPAILFCIHSLVVKAKDLCDTAMIQDKSLWRSWEESTEPCKKTLDLLLRLIFLVDIQSFPYLLKELAEFVTLLPKEAQDVLLDDMHAHVAESDDVTRKPVLVSWLQSLSYISSQSSRSESRSKATSASSVGSDELTLNRTMARL >KQL27883 pep chromosome:Setaria_italica_v2.0:I:1417515:1417957:1 gene:SETIT_018904mg transcript:KQL27883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVGSSWAEPLGALENLRRGLIGGGETCGHACRRSLRLADNYGGDVLLRRPALDGAAVGDGDGGGGGDEEDADAETHG >KQL31548 pep chromosome:Setaria_italica_v2.0:I:38324896:38325343:1 gene:SETIT_018843mg transcript:KQL31548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFDERGKNKKKGCKVRRAYDAFTSPESAVARRGSGRESHGKRRRGGSVNHVAAASGVRLRLAARTVDTLLNYVWALFSSQFGSAKVGSLS >KQL30305 pep chromosome:Setaria_italica_v2.0:I:29801285:29804480:-1 gene:SETIT_017873mg transcript:KQL30305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIKIIRGIKKYRDAAMIEIGMLEQLGKYDESRSSCVQIRNWFDYRNHICIVFERLGPSLYDFLRKNNYRSFPIALVREVAKQLLECIAFMHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYYKRLPKSSAIKVIDFGSTTYDQQDQSYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPYHMLKRADRQSEKYIRKGRLNWPEGCTSRESMKAVMKLPRLQNLVMQNVDQSAGDLIDLLQGLLKYDPADRHTAQEALSHPFFTEGFERRR >KQL30304 pep chromosome:Setaria_italica_v2.0:I:29800989:29802912:-1 gene:SETIT_017873mg transcript:KQL30304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHELRLIHTDLKPENILLVSPEYIKVPDYKVSSRSPKEGSYYKRLPKSSAIKVIDFGSTTYDQQDQSYVVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCTGEALFQTHENLEHLAMMERVFGPLPYHMLKRADRQSEKYIRKGRLNWPEGCTSRESMKAVMKLPRLQNLVMQNVDQSAGDLIDLLQGLLKYDPADRHTAQEALSHPFFTEGFERRR >KQL28053 pep chromosome:Setaria_italica_v2.0:I:2946880:2948881:-1 gene:SETIT_017957mg transcript:KQL28053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPISPNAPIPSVDDLADQVADVLDFFGLGSVMCFGVTAGAYILTLFASKYRERVLGLILVSPLCKGPTWTEWLYSKVTSNLLYYYGMCGLVKECLLQRYFSKEVRGFSELPESDIVQACKSLLDQRQSMNVWRFVQTMNERYDLTEQLKQLQCRTLIFVGENSQFHTEAVHMTSKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFFMGYGLYRPSQLDCSPRSPLSPFCISPELLSPESMGVKLKPIKTRVRLEV >KQL29093 pep chromosome:Setaria_italica_v2.0:I:10260590:10263395:-1 gene:SETIT_019199mg transcript:KQL29093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVGDPREGPSTERAFEGEPVQAWTEQITVRSVVASILLGTILSTVGMNLVFMSGGVSPLNIPAAMLSLFLLKIWTHILRRFDVFHQPFTRQENTIIQTCVVACASMVSSGGFGSFMLAMSPREATETKAGPDEINVSSPNLGKLIVFYFLISFVGLFAIVPMRKAMIIRHRLTYATGTATAHLINSFHTPQGAQEAKRQVSAMFTSLAGSLCWDIFQWFYTGGRNCGLAAIPTFGLKAYQKGFYFNFSATYVGVGMICPTVVSMSMLVGTILSSAIMLPYIESKKGVWYNAFYKENSMMGIYGYKVLITIAMMLGDGLFQLLMIPFKSMSNLRKKQQQLAATTNAFRSVDAIRHPVLSFDDRRRTHIFLKDNIPFSYAIIGYTILATVSTIAIPHIYSQIKYQHIIVAYMFAPLLAFCNAYGTGITDLNLYTQYAKIVILIFGFWITAAKGGVIGGLVICAIMTIIIATAGNFMQDLKTGYLTLTSPRSLFLAQVIGTAIGCIINPIIFWVFYYCYLNDSMGSYPAPFARVYRIIALVGAGGFIKLPKHSLALSIPVFFIAIATSAIKEVAIHKKWRIQHYIPSVAAMSVAFLIHPTLSIDMCVGSLILLAWNKVDTESAELLAPVVASGLICGEGIFAIPYSLLGIYHVTPPMCIRFLASDVNSKVDAFLAKQAP >KQL29068 pep chromosome:Setaria_italica_v2.0:I:10088558:10089856:-1 gene:SETIT_019011mg transcript:KQL29068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGEYTPPAPLKSQKPPFQRSLTVPVFYTIAACFHLLINTRKRLELLCSRLCIISM >KQL29524 pep chromosome:Setaria_italica_v2.0:I:19751798:19752953:1 gene:SETIT_019212mg transcript:KQL29524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKLAVQFYINTTSPPIIKACTDMLKSGQRQMRYKLKKKYFYDMLANEVATKSPMDTMTNFKWKELKCTTNQRNHGEVRFHQRTGSRSYTAQAHVVREKHVEQEPTAMDIFKNFHCSKKGLIRVRVETQETTRKAQLEELNALKNTTKKLRSLISSLINFSPN >KQL28750 pep chromosome:Setaria_italica_v2.0:I:7892087:7894767:-1 gene:SETIT_017125mg transcript:KQL28750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCIPTGLRLDLDMVKAAASPGAHSSPLRPVHSSPSSTLSEASNASSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTATRPPVRQLSGLAAAFDASSDLLPPLPVLADPHSCSGNNCSSPAPVSSVFRDFRDPAPSPASPDTVDADELGEIDFDDDGFDAESILDVDEATAGAAEGLDGIMGSLTVESNTATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGFRHGARPNLNRALKRRDDDGAWWMWPAVPVKDLTVAPPMPPAPAAPDAAMAQVPAAPEKKKSKKKKVVKVEKVMAKGKEELPNAKCKEEADGSVEAANGDGDADSTPTKAPKTGLGLKLDADEVLKAWSDKGSMFTEGGGPESPTSAADVRAKLADIDLFPENGAAGGIREASVLRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKASTRTD >KQL28751 pep chromosome:Setaria_italica_v2.0:I:7891638:7894767:-1 gene:SETIT_017125mg transcript:KQL28751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSCIPTGLRLDLDMVKAAASPGAHSSPLRPVHSSPSSTLSEASNASSSATSVSLKRARAPRKRPNQAYNEAAALLASIHPSVFPVKKSPKTATRPPVRQLSGLAAAFDASSDLLPPLPVLADPHSCSGNNCSSPAPVSSVFRDFRDPAPSPASPDTVDADELGEIDFDDDGFDAESILDVDEATAGAAEGLDGIMGSLTVESNTATTSDDSILSSSGIHPYLRSLMVVGLAGRFELGLGFRHGARPNLNRALKRRDDDGAWWMWPAVPVKDLTVAPPMPPAPAAPDAAMAQVPAAPEKKKSKKKKVVKVEKVMAKGKEELPNAKCKEEADGSVEAANGDGDADSTPTKAPKTGLGLKLDADEVLKAWSDKGSMFTEGGGPESPTSAADVRAKLADIDLFPENGAAGGIREASVLRYKEKRRTRLFSKKIRYQVRKVNADCRPRMKGRFVRSPSLLQQALEEES >KQL31087 pep chromosome:Setaria_italica_v2.0:I:35489066:35496543:-1 gene:SETIT_016091mg transcript:KQL31087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPLHSSSGHNAAAGGGGGGKTIEEMYQKKTQLEHILLRPDTYIGSVEKHTQTLWVYEGGAMVNRAVTYVPGLYKIFDEILVNAADNKQRDPRMDSLKVEIDVEGCCVSVYNNGDGIPVEVHQEEGVYVPELIFGHLLTSSNYNDNEKKTTGGRNGYGAKLTNIFSTEFVIETADGRRQKKYKQVFSENMGKKSEPQITKCKQGENWTRITFKPDLAKFNMTHLEDDVVALMRKRVVDMAGTLGKTVKVEMDGQRLPVKSFSDYVNLYIKSTNGDPKLRVFDQVNDRWEVCVIPSEGQFQQVSFVNRIATIRGGTHVDYVTNQVANHVMTVVNKKNKNANMKLHNVRNHLWVFVNALIDNPAFDSQTKETLTTRQGSFGSKCELSNEFLKGVEKSSIVSNVLSWADFKLSKELKKTDGSKKSRISGIPKLEDANEAGGKDSNKCTLILTEGDSAKALAMAGIAVVGRDHYGVFPLRGKLLNVREANHKQILDNTEIQNIKQILGLQHGKQYDSTKGLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFLVEFITPIIKATKKNETKSFYTMPEYEDWKRNLGASASSWTIKYYKGLGTSTSKEGKDYFTNIDQHKKDFVWVDDQDGNEIELAFSKKRIADRKEWLTNFQPGTYLDQREKEIKYRDFINKELILFSLADLQRSIPSMVDGFKPGQRKILFCSFKRNLVKETKVAQFSGYVSEHSAYHHGEQSLASTIVGMAQSFVGSNNINLLYPGGQFGTRSQGGKDAASPRYIFTKLSHITRSIFPKDDDTLLNYLNEDGQLIEPSWYVPILPMVLVNGSEGIGTGWSTFIPNYNPRDIAANIRRLLNDEATVPMHPWYRGFKGSIQKANNARVDGATYTITGIIEAVDSTTLRITELPVRRWTQDYKDFIDSLRPDEKNKDKEAFIEDCTAQGDNDDVYFELKLSEKNMNIAKEEGLLKKFKLTTTIGTTNMHLFDSDGKIRKYDTPEQILEEFFKLRLQFYFKRKEVLLQNMKLDLKKLENKARFIRCVVNNEIVVNNRKRADLFLELRQKNFDPFPKKKKRAEPAAVGATEEEENEESPEAANGVDPSDYEYLLSMAIGTLTLEKIQELNAEKEKVVNEVEELEKTTEKSLWLRDLDAFEKDLDVLDQMDLADAQERKRLRDENAKKAGSKAAPKKQRKKPAAKQPKVESDTEGDAAEPVVAKRGAQRKKPAKENGAGSDDEDYVAEKAKAEQQKKKQSKKASAPPVDEDEDDLPTLKERLAAFNLNDSSPDNSAMETETTEEQIGGKGKKGVSKRGGGKKASSSLAVIHDDEDEDVDVSLEVPEEVQGPKKGRGRKPAAPVKPKATATRKRAPAQGKAMMLKPTEDSNTSAPSPEKKVRKMRESPFNKKSGSILQRGSIAASTSSESTAEASPPSGSSAEPIAAPQPRRTARATTKKTPVYDLESEDEVVEVTDDSDFDVDGDSDE >KQL30155 pep chromosome:Setaria_italica_v2.0:I:28449726:28451372:-1 gene:SETIT_018230mg transcript:KQL30155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGSKMKEMIGSGIFAASGETDNSDASSGATNPPNKTTLRMYQQTVTGMSQITFSAEGSVSPKKLSSLPEVAKQRELSGTLESEADSKTAKQISESKSKELSGSDIFGPPPEVPARPLAARNLELRGNLDFALPQPRSIHTSVKVSNPAGGRSNIVFGEEPVVKTAKKIHDQKFHELTGNNIFKEDAPPGAGEKALSTAKLREMSGNNIFADGKVASRDYFGGVRKPPGGESSIALV >KQL30041 pep chromosome:Setaria_italica_v2.0:I:27687425:27693315:-1 gene:SETIT_016562mg transcript:KQL30041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAGAGAGGSGGVSDALFRELWHACAGPLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPEADQNEPTSPNPEPPEPERCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPWQELVAKDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLTNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLEAKNHKMSVGMRFKMRFEGDESPERRFSGTIIGLGSMPANSTSPWADSDWRSLKVQWDEPSAVLRPDRVSPWELEPLDATNIQPPQPPLRNKRARPPASPSIAPELPPAFGFWKSPAEPTHAFSFSGLQRTQELYHSNPNSIFSSPLNEGFNSKNERSTPTNNHLYWPVRDMRTESYSASINKPPPERKQESVTAGCRLFGIEISNAVSPVVTAASVGQDQPPAVSVDVESDQLSQPSHANKTDAPAASSERSPHETESRQVRSCTKVIMQGMVVGRAVDLTRLDGYDDLLHKLEEMFDIQGELSASLKKWKVIYMDDEDDMMLVGDDPWHEFCIMVKKIYIYSYEEAKSLIPKAKLPVIGDTIKPNPDKLSPESDMPQSDSNNTAPVAADKD >KQL30042 pep chromosome:Setaria_italica_v2.0:I:27687425:27693417:-1 gene:SETIT_016562mg transcript:KQL30042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAGAGAGGSGGVSDALFRELWHACAGPLVTVPRQGELVYYFPQGHMEQLEASTDQQLDQHLPLFNLPSKILCKVVNVELRAETDSDEVYAQIMLQPEADQNEPTSPNPEPPEPERCNVHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPWQELVAKDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRLMRQLTNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSEFVVSVNKYLEAKNHKMSVGMRFKMRFEGDESPERRFSGTIIGLGSMPANSTSPWADSDWRSLKVQWDEPSAVLRPDRVSPWELEPLDATNIQPPQPPLRNKRARPPASPSIAPELPPAFGFWKSPAEPTHAFSFSGLQRTQELYHSNPNSIFSSPLNEGFNSKNERSTPTNNHLYWPVRDMRTESYSASINKPPPERKQESVTAGCRLFGIEISNAVSPVVTAASVGQDQPPAVSVDVESDQLSQPSHANKTDAPAASSERSPHETESRQVRSCTKVIMQGMVVGRAVDLTRLDGYDDLLHKLEEMFDIQGELSASLKKWKVIYMDDEDDMMLVGDDPWHEFCIMVKKIYIYSYEEAKSLIPKAKLPVIGDTIKPNPDKLSPESDMPQSDSNNTAPVAADKD >KQL32219 pep chromosome:Setaria_italica_v2.0:I:41843953:41848549:1 gene:SETIT_016325mg transcript:KQL32219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFLLGFLLGALALAALEAAAALTLVRRLRRRQAAAEEPAADELELPGERPFPYYEKKGSLWILEPEKIPKVSNERLSVGGPKETKDKKNIAEVFPAKKMAKIKGHSLCLSGPDGSQATIELLNCTVLAVSASSMPSRKWAKRYPIKLESKDSEIYNGSKVCYLYTDTSWEKESWCKALRVAATADKEKLNWHAYLSDKFLKYISLLNSEYPCFLKPPVLSGEDHEVTDRTSKTDGSSKVRLFLKKLAKKASAKAPLEGKTSSGSSVQGEKKILDKLRSYQGAPFIEALIGPQEDKLGSSSVQDTVKATAPAAALNHNGQLPASPDVNADDRVADEGTLCWNLLSSRLFFDAKMSDEINKAIKARIQRTLSNMRTPSYVGEITLTDFSLGELPPYVHAMRVLPLDLNELWAFEVDFEYSSGILLHIETRLEVQEPELQKDIMKSNFGADADGEVDSDLLESIEQYGNQLKGSHTSSSLAGEKDEADVSTQSKSTGWTSAYISRWKNILHSIADHVSQVPLSLAIRISSVRGTLRIHVKPPPSDQIWYGFKSMPDLEWDLESSVGDRKITNSHIATLIGSRFKASLRDNLVLPNCESISMPWMLAEKDDWVPRKDAPFIWLNHETTEMRSHATATASTQPEEGGLKDDGSSKRPMPSLPISSAGSEESLKAVASIDEAKQEPVAEASLHSQSSPGPAGESVHSNENDELRRPLLVTEKLQEDASESRVGSPTYTSLRAVIPVGEQPQASASIIGEDAKRKGGRRARMMDFGKRMGDKLEEKRRTIEERGRHIVEKMRENARTNSLERTSS >KQL28496 pep chromosome:Setaria_italica_v2.0:I:6279813:6286500:1 gene:SETIT_016339mg transcript:KQL28496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIMLYCFNRNLSNNNIGGTIPEDLPATLQNFFLSDNQLTGSIPMSLSNLRSLTAMSLNGNHLDGKLPDAFDSLTGLVNLDISSNNFSGPLPPSLGSLTSLTTLHMQDNQLTGTLNVLQDLPLKDLNVENNMFSGPIPQNLLNIPNFKNDGNPFNTSTTPSTSPSSTPTGSTPTSTPTQTPSSSSGAPPPSSTASNSSGSTARDSSSPSSKKHKSSTLRTVGYVLLAIVLFIVIVLLVIFCLSKYQERQERREYSTSQLGRVRQRVEEPKIKQASVQSTNDAKKGSAENPDRKKPREINLTVPAALEKPPEKRKEHVINLERTESEIFATAPPPPPPPPPPPPPPLPPPLPPTPSPPSPPPVEKVTVNPIVRPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEQNLIRESRLGKVYLAELPEGKLLEVMKIDNANGRIPVDDFLELVARISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEREDQDDALSWNARLQVALHAAKALEYLHDTCEPPVVHQNFEPANVLLDNRCSVRVAECGLAELMLSGSVIQLSGRMRALLNYEAPEIHESGPFTDRSDVYSFGVVMLELLTGRRPYDSSRPRAEQHLVRWAGSQLHDIESISKMVDPAIRGECSEIQLSRFAYIISQCIQTEPECRPAMSAIVQYLARIVDATGEDLE >KQL28497 pep chromosome:Setaria_italica_v2.0:I:6279813:6286891:1 gene:SETIT_016339mg transcript:KQL28497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRGRRFRPGVPVLVLLLIAAAALPRRALAVTDAADVSAINGLYVALESPKLPGWSASGGDPCGESWQGVTCTGSSITSIVFNAANLGGQLGSLGKFTSITEINLSNNNIGGTIPEDLPATLQNFFLSDNQLTGSIPMSLSNLRSLTAMSLNGNHLDGKLPDAFDSLTGLVNLDISSNNFSGPLPPSLGSLTSLTTLHMQDNQLTGTLNVLQDLPLKDLNVENNMFSGPIPQNLLNIPNFKNDGNPFNTSTTPSTSPSSTPTGSTPTSTPTQTPSSSSGAPPPSSTASNSSGSTARDSSSPSSKKHKSSTLRTVGYVLLAIVLFIVIVLLVIFCLSKYQERQERREYSTSQLGRVRQRVEEPKIKQASVQSTNDAKKGSAENPDRKKPREINLTVPGSAENSDRKKPREINLTVPAALEKPPEKRKEHVINLERTESEIFATAPPPPPPPPPPPPPPLPPPLPPTPSPPSPPPVEKVTVNPIVRPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEQNLIRESRLGKVYLAELPEGKLLEVMKIDNANGRIPVDDFLELVARISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEREDQDDALSWNARLQVALHAAKALEYLHDTCEPPVVHQNFEPANVLLDNRCSVRVAECGLAELMLSGSVIQLSGRMRALLNYEAPEIHESGPFTDRSDVYSFGVVMLELLTGRRPYDSSRPRAEQHLVRWAGSQLHDIESISKMVDPAIRGECSEIQLSRFAYIISQCIQTEPECRPAMSAIVQYLARIVDATGEDLE >KQL28495 pep chromosome:Setaria_italica_v2.0:I:6279813:6284944:1 gene:SETIT_016339mg transcript:KQL28495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRGRRFRPGVPVLVLLLIAAAALPRRALAVTDAADVSAINGLYVALESPKLPGWSASGGDPCGESWQGVTCTGSSITSIVFNAANLGGQLGSLGKFTSITEINLSNNNIGGTIPEDLPATLQNFFLSDNQLTGSIPMSLSNLRSLTAMSLNGNHLDGKLPDAFDSLTGLVNLDISSNNFSGPLPPSLGSLTSLTTLHMQDNQLTGTLNVLQDLPLKDLNVENNMFSGPIPQNLLNIPNFKNDGNPFNTSTTPSTSPSSTPTGSTPTSTPTQTPSSSSGAPPPSSTASNSSGSTARDSSSPSSKKHKSSTLRTVGYVLLAIVLFIVIVLLVIFCLSKYQERQERREYSTSQLGRVRQRVEEPKIKQASVQSTNDAKKGSAENPDRKKPREINLTVPGSAENSDRKKPREINLTVPAALEKPPEKRKEHVINLERTESEIFATAPPPPPPPPPPPPPPLPPPLPPTPSPPSPPPVEKVTVNPIVRPEKRVSTPPRTGPSTSATSFSVASLQQYTNSFEEQNLIRESRLGKVYLAELPEGKLLEVMKIDNANGRIPVDDFLELVARISDIRHPNILELVGYCAEYEQRLLVYNHFSRKTLHDVLHEREDQDDALSWNARLQVALHAAKALE >KQL27733 pep chromosome:Setaria_italica_v2.0:I:293768:294826:1 gene:SETIT_019533mg transcript:KQL27733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASSLSELGFDANGAASGFFRPVADDTTPTSHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDAVPDKLRGEMLDLQHAAAFLPRTRLVSDTDIAVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPALAEHSPDAILLIVSNPVDILTYVAWKLSGFPVNRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAIWSTVSVAGMPVLKSLQESHSSFDEEALESIRRAVVNSAYEVISLKGYTSWAIGYSVANLVASILRDQRRIHPVSVLATGFHGIADDHEVFLSLPARLGRGGVLGVADMELTEEEARRLRQSAKTLWENSQLLGL >KQL28712 pep chromosome:Setaria_italica_v2.0:I:7653386:7656770:1 gene:SETIT_017159mg transcript:KQL28712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGH >KQL28071 pep chromosome:Setaria_italica_v2.0:I:3127117:3134294:1 gene:SETIT_016280mg transcript:KQL28071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAEQTAEQFRGQARLPGFAAPRRYDLSLAPDLAACAFAGSVAVGLDVTAPTRFLVLNAAELDVAPGGVSFASRGSGHVLQPVEVTNVPEDEILIICFSEALPVGEGTLNIAFKGTLNDKMHGFYRSVYELNGEKKNMAVTQFEPADARRCFPCWDEPAFKAVFKITLEVPSETVALSNMPVIEEKVNGPTKVVYFQESPIMSTYLVAVIVGIFDYVEAFTTDGTRVRVYTQVGKSAQGKFALEVALKTLVLFKEYFAVPYPLPKMDMIAIPDFASGAMENYGLVTYRETALLFDEKHSAAANKQRVAVVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLAADQFFPEWNVWTQFLEESTTGFKLDALAGSHPIEVDINHVDEIDEIFDAISYRKGASVIRMLQSYLGAEVFQKSLAAYIKRFAYSNAKTEDLWAALEEGSGEPVRTLMHSWTKQQGYPVVSVKFKDGKLQLEQTQFLSSGSTGVGQWVVPITLCCCSYSRQEKFLFHGKQEDFGLCGLMECKQKDDFWIKLNVNQTSFYRVSYDEELASRLRYAIETNKLSAADRYGVLDDAYALCMAGKQKLVSLLHLIAAFKDETEYTVLAHVITTSLNIAEMIAVAAPEELVNLKKFLIDFLEPFAQKLGWDSKSGEGHLNALLRGTLLTALAELGHEATINEAVRRFNVYLEDRETPLLPPDVRKAAYVALMQTANKSNKTGYESLLKIYRESDLSQEKVRVLGSLASCPDPVVVREALDFILSPEVRNQDAIFLLRGVCSGAHEVAWQWLKENWDYILGAYSGTLLTYFVNITVSPLATDEKGDEVEEFFKSRTKASIARTVKQSIERVRINAQWVKSIKGEADLSNVIKALAHKN >KQL28173 pep chromosome:Setaria_italica_v2.0:I:3954674:3960147:-1 gene:SETIT_016149mg transcript:KQL28173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSKQGQSPMPNNLGSQPVPSSNVQSNQPEYASVFYPSLPGDWGSQPMFSVGASVPVSSYYIVPMSQQSVQVGASRPEVARPLGAQPLLSRVSLRPPQQVLNIQTSLPAMVGSQPSPSTAGRKSQQAVASPKVQMLKSPSFQSSNKRSAQKEPPSKVQPQQLESVRSKFRESLAAALSLDSDQQNKCQSPDNVQPDGSADKSKPAGDAVQDPVATTSKDASTANSDVAITVAPKRCEGDGKLSSDLISNMITSVNDDMQQQSNLVSSEDELLGQCMVTDELLQGHGLSWVSDLDAGISEPNVQSNLKRPRTSDVEPGATESLVESESKRTKSADALAKDKEILNQKAESLAFRIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPELRERVLSGDIAPKRLCSMTAEELASKELSEWRLAKAEELAQMVVLPNTEVDPRRLVRKTHKGEFQVEVEEPDGISVEVELGSNLTNIPSKAVEDQTKSNVKASVDGKEGVQEKDKASDSTSQDEDGRTGNNDMSGDLEYIDNEKADLMQELILDDVKDTENLPPIPSLDEFMQGLDSEPPFVDLSVGTPQEDGNDSEEPDTTLEPEELPGTEDKASTPEKSASESDKPSAQDNNEPKLESPGHAAVPNSDLTEPRDGEPSKSSPGKDEATKTATDNVSNPDSILQTKATNIPMIRESIWEGAIQLTVSSLSNVFAFFKSGEKPLLKDWRSFVEIKGRVKLNAFQEFVEQLPKSRSRAIMITELCWKEGSPESGRQHILQTIDAYVSDERVGLAEPAEGIELYLCPPHGKTVEILSRHLPKEHQEGLAVPGSSFIGVVVWRRPNVPRVPTSHHRHDGSRRQSILRKPQVTNPAARPSLPPNSYGAPPGFPNQRHHREEEDVTDDVPPGFGPGVARDEDDLPEFNFVNSSHPAANVTAHAYKSRQHVPPPPSARPAEQMRELVQKYGKRSSVQAHRWDDDDDDDIPEWNPSQATTHHQLTRQPPLPATPQQLPLPPPPPVVQQMHPYQQQQQQQYHIPSAVQPQVPISSLPHAYLRTQQQQQPMQQLHLQQQQQLQSGQAWQQTNNAWWQAQGVPAAASTAVAPVTNIVQQPQYGVVPGSGSVQGYDAGSVGGMAWRPR >KQL31003 pep chromosome:Setaria_italica_v2.0:I:34968402:34969895:-1 gene:SETIT_017952mg transcript:KQL31003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKQHTYQAGGGVCATCLRDRLLALAAERNGASSPPSPAQAPPAPEPEPAAFPRSVSPYVSRRKSDAAAGGGGALRNHPSLLFFRTPQVGPAYGGGGGGALEEGDIAYEYEKRRAGKFSVLAMLFGHHHRSEEDKHQGGVAKERKKYSWFAGIIPRRRKKQAPAAASSSPPSAPPRRSCRVVSNRGLSPERDSHGSGDESSSPNADPPWRPSPSPMRKTPCRRRQTNSLPSGFAVCLSPLVRPSPGRRHRGVQPPDPAAFSCELRPSPLHNLSSAASVTRCRSRKLADGGRFR >KQL28056 pep chromosome:Setaria_italica_v2.0:I:2967523:2971596:-1 gene:SETIT_016873mg transcript:KQL28056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPTGGALECGSRPLSSPGFPAMAASSPSSLTPPAVPMELHAGNRDRLVAALRGHLSASGRPPRGLVLLQGGEEQTRYCTDHLELFRQESYFAYLFGVQEPGFYGAIDIASGQSILFAPRLPADYAVWMGEIKPLSYFRDTYKVDMAFYVDEITQVVQDRYGDHGKPLLFLLYGKNTDSGNFSKPASFEGMEKFDTDLSILHPVLTECRVIKSDLELAVIQYANDISSEAHIEVMRRARPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGDNSAVLHYGHAAAPNDRTLNDGDMALMDMGGEYHFYGSDITCSYPVSPSKVQTSTLMFPEFHCLYTETVPCFPC >KQL28054 pep chromosome:Setaria_italica_v2.0:I:2964052:2971596:-1 gene:SETIT_016873mg transcript:KQL28054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPTGGALECGSRPLSSPGFPAMAASSPSSLTPPAVPMELHAGNRDRLVAALRGHLSASGRPPRGLVLLQGGEEQTRYCTDHLELFRQESYFAYLFGVQEPGFYGAIDIASGQSILFAPRLPADYAVWMGEIKPLSYFRDTYKVDMAFYVDEITQVVQDRYGDHGKPLLFLLYGKNTDSGNFSKPASFEGMEKFDTDLSILHPVLTECRVIKSDLELAVIQYANDISSEAHIEVMRRARPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGDNSAVLHYGHAAAPNDRTLNDGDMALMDMGGEYHFYGSDITCSYPINGKFNSSQIIIYNAVLKAHNAVISYMRPGVNYMDMHKLAERAILESLKKEQILQGDVDDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTTRELKEGYYSGARLLFHRHFAD >KQL28055 pep chromosome:Setaria_italica_v2.0:I:2964207:2971596:-1 gene:SETIT_016873mg transcript:KQL28055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPTGGALECGSRPLSSPGFPAMAASSPSSLTPPAVPMELHAGNRDRLVAALRGHLSASGRPPRGLVLLQGGEEQTRYCTDHLELFRQESYFAYLFGVQEPGFYGAIDIASGQSILFAPRLPADYAVWMGEIKPLSYFRDTYKVDMAFYVDEITQVVQDRYGDHGKPLLFLLYGKNTDSGNFSKPASFEGMEKFDTDLSILHPVLTECRVIKSDLELAVIQYANDISSEAHIEVMRRARPGMKEYQLESIFLHHVYMYGGCRHCSYTCICATGDNSAVLHYGHAAAPNDRTLNDGDMALMDMGGEYHFYGSDITCSYPINGKFNSSQIIIYNAVLKAHNAVISYMRPGVNYMDMHKLAERAILESLKKEQILQGDVDDMMAQRLGAVFMPHGLGHLLGIDTHDPGGYPEGLERPKEPGLSSLRTTRELKEGMVITVEPGCYFIDTLLTKARNDPISSKFFNWQEVEKYKSFGGVRIESDVYVTAQGCQNLTNCPRETWEIEAVMAGAPWPLPASSSTAAAAENGVSKASS >KQL29819 pep chromosome:Setaria_italica_v2.0:I:25119986:25121433:-1 gene:SETIT_020150mg transcript:KQL29819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIPPTEPPPKVDTGDARDATQEDQEGKNKPYCYRCLTKGHINTECSTQISCDLCDTHVTKACPQAKAAKQTAMLCGYAVDCLGFYYSPFNGKLKVQSESKAAVVKVIEEEKGNNTFTTTFPSRSELTLMVLWGSVETKIVKAKMEVHENKETDVYKYEIPKCWVQFRGLPQKLREEVPIIWAVRSILGVTKMVDMRFTKQYDVARLRVAVLKPDLIPDFVEVVIGEYVYELQFRVEKDCTANNPVPINMETDPENEGNSDGETDKDKLNEENNKDEKGGMEALGSGKGTNGEILTPSANNSDQNKGKQKPVVVLSPSTDGSNTWTATPMQTLVEKEATNAAEPLHVNANVKITPTRTSKKNATANDQDSVERAAKLNARKI >KQL30701 pep chromosome:Setaria_italica_v2.0:I:32747112:32748224:1 gene:SETIT_018381mg transcript:KQL30701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRRHGGFRLGRKLLSVWRWALCRRRRRRGRGYLRLQPFQAAGGANSKRSPLAAAAVCAKKQQHEQQFVVQRDDNDASSPRMLTWGRSLARRMRLQLRRRGGGGAKDRLLEDAAAEATTPKGQVAVYVGGAEPGGESMRYVVPVVYFNHPLFGELLREAEEEFGFEHPGGITIPCAASRFERAAAVAAAGGGGKKVPGWW >KQL29322 pep chromosome:Setaria_italica_v2.0:I:13625889:13635485:-1 gene:SETIT_016265mg transcript:KQL29322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASGGFLAGVNDPLLKPRLLRAVVAERLPQPGGAELPPVELASVLDAVRTHGLLTEALPDRAPADPKLAEAWRAAVDSWVERVVALVVSDSAYSCWLGTCFLGVTFQECSNERFAESYSNWYEKILPNLQQEPSTLQLVTVISCTSMSDLFVRLAKFSNLKKEASSFAGRIIESVLRLLNENGLVADEAIDLLCTVIKLYPSSVNRHYNKVESTIAAKVMSTEVNVKPSKKFARTLALLPSVRVSEDGCSLMIRRILIVVNNLLNDAFIGLEGEKNGHDIMLLLVPPGADSPPTLGDQVRSGGDVHVTKKFRVYTVPTISALMHCCSVMLTSYYPVQVNVPMRALVALMRRVLLVDGSLHKKLFPSTTSLHQELICFELPSLHSTFLDLLNATIKGMRSQLLPHGASIIRLITEYFKIAKLPTLRTKAYIILQLLLTSMGVGTSLHLLEAAVSNAIADLSDDGGSDMTIISTNPSKVANESSSKIYSKKRKQEPQVQNSVISASEKAAISPRKRKGSSIQIASKGMAPETTGDVRISTPLSVKIAALETLEILLNVGGSLQTDHWRSEVDLLLINVARSACDTGRSYKQKSSTFGEPSISDLQLASLKALLASFLSSPYARPPYLAKGIELFAKGKVEIGTKLAEFCSRALLALDVLTHPRALSLEKAVPVGSGLNYSAQGKTVFGGGTYQMSVHRDQPQAMEVEDMYDDWLASTKDDEPAEAAVTDSGAGASTAGTMLEDGRQLNPMAEDPKIEPPRIGAAAQDVPPSSKSDVNMVDAAADEIAKPSTVENLSSSNAVSAPVYATNSDSQKHAIPSFPEQKCTDQVGHLENRSPAINVPSSKLGTSNEISDAPVVGSGHQAPDGRSTSFAELFGSESGVDSESDDSVPDIVDGDPDSD >KQL28286 pep chromosome:Setaria_italica_v2.0:I:4816074:4819608:-1 gene:SETIT_017983mg transcript:KQL28286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGRRARVSRDADADADGSDEERGAAAAAPAATGSKTLYEILGVEKTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDAEKRALYDETGIADDDALVGAAADNLQEYFRTMYKKVTEADIEEFEAKYRGSDSEKKDLKDLYTKYKGNMNRLFCSMICSEPKLDSHRFKDIVDEAIAEGELKSTKAYEKWAKKISEMEPPTNPLERRVKKKRKSEENDLILAISQRRAERKNQFDSILSSIMSKCDPNASSSEPTEEEFEQARQRLEGKRAKKRK >KQL29112 pep chromosome:Setaria_italica_v2.0:I:10358388:10361799:1 gene:SETIT_016448mg transcript:KQL29112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLPWLTLAATLLLTTIKTSTASSMAKPGCRETCGNLTIPYPFGIGPGCYYTNGFDVSCEDNRTFMHNSSSLMEIYNISLIGGQARVSTLIATNCYKNGTTTDGWASATTAELFTISNKANKLTAVGCNTLAFLGGYNEYTAGAGCFSMCPNKQSVDDSGQCSGMGCCQTSIAPSLTSFNMTFDNRYNNSVVLEFNPCSYAFVAEQDSFRFEPFYLEGDKLTEKFKGGVPAVLDWVAGRESCDEAIKDRTSYACISNNSQCVKSPNATGYLCNCKDGFEGNPYLADGCQDINECQKPDHYKCFGICSNTIGGYNCSCPSGTHSIDPKISICNPHTASEKAKLTKLFIGISSCAILLLTCIFALLIECQKKRLMKEKERFFQQNGGLLLYEQIRSKQVDTVRIFTTEELEQATNNFHSSREIGRGSYGTVYKGILKDNRVVAIKRSKIMNMVQKDDFVQEMIILSQINHRNVVKLLGCCLEVEVPMLVYEFMPKGTLFELIHVTYRSPSISLDARLRIAQESAEALAYLHSSASPPIIHGDVKSPNILLGDNYIAKITDFGASRMLPKDEIQFMTMVQGTLGYLDPEYLQERQLTEKSDVYSFGVVLLELITRKTAIYSDGTEEKKSLASSFLLALKESRLQSILDRNILGVGMELLQEVAQLAKCCLSMKGEERPLMSEVAEKLRFIRRTWRKQLTENASEETECLLENPSNYDPSSTGRHGSLMALDLEIGR >KQL29357 pep chromosome:Setaria_italica_v2.0:I:14271380:14272614:-1 gene:SETIT_019953mg transcript:KQL29357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAAGDTGVASYGRSAIRLNVESVSLILQSCLGGVAKDFNVQHLSGWMFQFSVTSKNVGFLVCRSGSFKCNLFGIFFALLGDGGANLQRQYDLWLSKQQASWTYVSRKSSGKLYAYAARAVPAPGSNGKLVFHHLKLVQITINQTMLLILLMAPQQWIMRVYFDQVHQVCNLRIQPCHIGKVFVTFGSPFERGRFLGKIF >KQL28956 pep chromosome:Setaria_italica_v2.0:I:9166679:9170207:1 gene:SETIT_017684mg transcript:KQL28956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKDVAPAVTLSNGHHGGGMPAAATKLSNGHSRKAVPAVTLSSGHRMPAVGLGVWRMEKTAVRGIIHAAIRKGYRHFDCAAKYQNEAEVGDALEEAFKTGLVKREDLFITTKLWNSDHGHVIEACKDSLKKLKLDYLDLYLVHFPVATRHTEVGSLASVIGEDGVPDIDTTVSLEATWHAMEDLVNMGLVRSIGISNYGVFLTRDCLAYAKIKPAVNQIEMHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANAELFGSLSCLDDPVIKELAEKYGKTPAQLVLRWGLQKNTVVIPKTSKVERLQENLEVFDFDISDEDMEKMKAIDKNHRTNQPAKFWGIDVYS >KQL31340 pep chromosome:Setaria_italica_v2.0:I:36984508:36985376:1 gene:SETIT_017789mg transcript:KQL31340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVKHACAHVQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKDSFDALRADHDVLLQDNHRLRSQVASLTEKLQEKEVTDGAGADAAVLPVDVKASLADDIEEPAAAAEEAAFEAQQVKSEDRLSTGSGGSAVVDADALLCGRFAAAVDSSVESYFPGGEDHYHDCGMGPMNPCAGGIQSDDDGAGSDEGCSYYPEDEAAAAAALFAGHANPHAAEDEDAGQISWWMWN >KQL31339 pep chromosome:Setaria_italica_v2.0:I:36983606:36985752:1 gene:SETIT_017789mg transcript:KQL31339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGLEEGRGVKRPFFTSPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKDSFDALRADHDVLLQDNHRLRSQVASLTEKLQEKEVTDGAGADAAVLPVDVKASLADDIEEPAAAAEEAAFEAQQVKSEDRLSTGSGGSAVVDADALLCGRFAAAVDSSVESYFPGGEDHYHDCGMGPMNPCAGGIQSDDDGAGSDEGCSYYPEDEAAAAAALFAGHANPHAAEDEDAGQISWWMWN >KQL31338 pep chromosome:Setaria_italica_v2.0:I:36983606:36985752:1 gene:SETIT_017789mg transcript:KQL31338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGRLIFNATGSGAGQMLFLDCGAGGGGLFHRGGRPMMMGLEEGRGVKRPFFTSPDELLEEEYYDEQLPEKKRRLTPEQVHLLERSFEEENKLEPERKTELARKLGLQPRQVAVWFQNRRARWKTKQLERDFDRLKDSFDALRADHDVLLQDNHRLRSQVASLTEKLQEKEVTDGAGADAAVLPVDVKASLADDIEEPAAAAEEAAFEAQQVKSEDRLSTGSGGSAVVDADALLCGRFAAAVDSSVESYFPGGEDHYHDCGMGPMNPCAGGIQSDDDGAGSDEGCSYYPEDEAAAAAALFAGHANPHAAEDEDAGQISWWMWN >KQL27894 pep chromosome:Setaria_italica_v2.0:I:1469719:1471105:1 gene:SETIT_019285mg transcript:KQL27894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SASGSPPTGAGVRCTLAVACSALGSGTPQAGAVHVAAFSDGCDRLGYAEVGDVQAYLARLESAGSRTLDELLRSEAGRGQPVRAVVYDAFLLWAPHVARGHGASCAAFYTQACAVNVAYAHAWGGRVTLPVQGRWPEGLPGLRKGMELEPADLSTFLIEPSGSSAYRELLLQQSQGLEEAQITSSSTLSTSCRLRWGAKTVGPTLPSACLDNSDPDDATYGFNIHAPMAAECRAWLDERPARSVMFISFGSLTTPSLDQIAEVVRATETWMIPEVIAEKVKERGLIVTWCPQLEVLAHDAVGFVTHCGWNSVLEGLCIGVPMVAMPQWSDQPMNAKYIEDVWRVGVRVQRNVEGLVRREEVERCVMEVMEGEMSKEYMKNAASWSEKAKSAMRGGGSSDNNIVEFLNKLELN >KQL29091 pep chromosome:Setaria_italica_v2.0:I:10254126:10259518:-1 gene:SETIT_020193mg transcript:KQL29091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSICHHFLAQCKTLRELQKIHAQAVAHGLHPHHQSVSCKIFRCYADFGRAADARKLFDEIPRPDLVFFTSLMSLHIQLEHHREAVSLFSRVVADGPRPDGFAVVGALAAAGGVGDQQVGSAVHGLIFRLGLDSEVVVGNALVDMYSRCGRFESAVMVFDRMFQKDEITWGSMLHGYIKCAGLDLALSFFDQMPVKSVVSWTALITGHVQGRLPVRALELFGRMVLEGHRPTHVTIVGVLSACADIGALDLGRVIHGYGSKCNASTNIIVSNALMDMYAKSGSIEMAFSVFHEVKSKDAFTWTTMISCFTVQGDGRKALELFWDMIRSGVVPNTVTFVSVLSACSHAGLIEEGRELFGTMREIYNIGPHLEHYGCMVDLLGRGGLLEEAEALIADMNVEPDIVIWRSLLSACLVHRNDRLAEIAGKEILKREPGDDGVYVLLWNMFASSNKWREAREMRKEMLSRKVFKKPGCSWIEVDGAVHEFLMCSGDEIDGDASVGQKGFKDIRRYKCEFCTVVRSKKCLIQAHMVAHHKDELDKSETYNSNGEKIICEEEHRCPECGACFQKPAHLKQHMQSHSNERLFICPLEDCPFSYKRKDHLNRHMLKHQGKLFGCTVDGCDRRFSIKANMQRHVKEFHEDENVTKSSQEFICKEEGCNKAFKYLSKLRKHEESHVKLDYVEVVCCEPGCMKMFTNVECLKAHNQSCHQHVQCEICGEKHLKKNIKRHLQAHDEVPTGARMKCTFEGCERSFSNKSNLTKHMKACHDQLKLFICRVAGCGKAFTYKHVRDNHEKSSAHVYVEGDFEEMDEQLRSRPRGGRKRKALTVETLTRKRVTIPGEASSLDDGEEYLRWLLSGGDDLGQAQ >KQL30367 pep chromosome:Setaria_italica_v2.0:I:30140836:30141479:-1 gene:SETIT_018615mg transcript:KQL30367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGRRARHDIPAWVHWPEFYPRRASSEAPRSSKRARVAATEDAILGLQEVTGRSGDECAICLQDFRAEETLRAMPCSHAFHQHCISQWLRRNAVCPLCRHELTATPTPEEKEEDGGGGTPTPEEDREEERERDGRPFAIFSAF >KQL30484 pep chromosome:Setaria_italica_v2.0:I:30830513:30832587:-1 gene:SETIT_016978mg transcript:KQL30484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLWLRLLLAVGLPIAALVAVAFLVYRRRSSPRNAPPELPEVAPAAGVEPTASPGLAKLNMRYSTASARVGLRFQQLHQHHHRVDVRHRGPGGAQQGPFQWADHPRLVTEAAENGWAQFVFAVAPPRSKSASSSPLWGTCPICDADTSRDMAEAAAWELPAGSSERMQAVRLNPAAAAAAASSTKRWLPGSIPSPLRGDADAGNNPSALCLARMSLPLPGPPLAGAPFPQDAYFEITIIYLNTKRPEWSASRASRRGRDGASESDRVKLISFAPDAKDPVQESRASKDDQQDKQRHLVMSLGLAVASAAPARPALAGTYASSIGFYSNGAVYLDGMKLVYESDKSSWVGVDKVVGCGFEPAKRKVFFTVDGQLVHAVSCNAEAFASPLYPVLASSFDVMALVNLGQGKFRYAPANARRTANPCFVRAASAVDARGGGGGSDSMGLDFDDSGELFSMGRVDSGWMEALRASKSRKDSVTGSGAASVGDPEAESDLFEISLRD >KQL31841 pep chromosome:Setaria_italica_v2.0:I:40019830:40027001:1 gene:SETIT_016329mg transcript:KQL31841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYSDGKDGETGGSYPLVAVCIDKDKNSQNALKYATETLAHKGQTIVLVHVNTKGTSGKCPWPPPITSNFLSPSTMTFVFLTAAWLRAGGVEDAAGYKQPTDPQMKDLFLPFRCFCTRKDIHCKDVVLDDHDVAKSIVEFAAHAAIEKLVVGATARGGFVRFKAEICSTISKTAPDFCTVYVVTKGGKVTSVRQAIRQAPAVSPLRTMIQGPKPEHAHAAAQKWAPPPPSSRAGTPMMQDNPIMSPFARTTGLSAGSARKPFPEFSLPESSDISFIGSAPRRSTERYPPRLSNGSDGLDQHSFEARTPSRWGDSFGNDSTAHSQTSTSSWCSQPNDDMEAEMKRLRLELKQTMDMYSTACKEALSAKQKAMELQRWKVEEEQRSQETRLTEESAMALIEQEKAKARAAIEAAEAAQRLADLEAQKRIGAEMKALKEAEERLRSMGSGAGARESVRYRRYTIEEIELGTDHFNEARKVGEGGYGPVYKGHLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLDDCLFHRGGGGGAGGPVIPWQHRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADNVTQCHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGVMLLQIVTARPPMGLTHHVGRALERGTFADLLDPAVHDWPMEEAHRFAEISLRCCELRRKDRPDLATVVLPELNRLRALGEDNMEYCNPMTGRGGGMHSSSGFHSGHYSQPRHDPASDPTLGRLHHSSHGSQSGMPTRRSNYN >KQL29441 pep chromosome:Setaria_italica_v2.0:I:15910370:15910765:1 gene:SETIT_018658mg transcript:KQL29441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCLSVALWKEKLYADGGRRSVRGRVDEVAGVKGGGYEYGILGGDVPEVEKKVLVAPTAEGVRSGGAEGAAVSGGTPARPIWQRRVLMGVKCQLPRFSGIILYDESGRPVCSGSRDRARDQVRTPLCLAS >KQL29440 pep chromosome:Setaria_italica_v2.0:I:15910316:15911169:1 gene:SETIT_018658mg transcript:KQL29440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCLSVALWKEKLYADGGRRSVRGRVDEVAGVKGGGYEYGILGGDVPEVEKKVLVAPTAEGVRSGGAEGAAVSGGTPARPIWQRRVLMGVKCQLPRFSGIILYDESGRPVCSGSRDRARDQEKHAAAISVLRDLL >KQL29367 pep chromosome:Setaria_italica_v2.0:I:14432221:14433012:-1 gene:SETIT_019485mg transcript:KQL29367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EELIAAMSWHQYHSTSSAASTYGRYVHSYDPYFDDDDGDVKPAVVEHWRRDQQAAYSFPGVVEEDVKPVKPAKQPRPPGGGGAGGRRIHDGDDGTALSWPAAEQFRSTLRTQESLAGLRARYGVPEGFGLIPAGASQSACDPPPQPRGRGGAAASAVPICVHAQAFAAGMRLPLHPFVAGALAHYGIAPSQLAPNGWRVLVAFAVLCHFRGAGAPSLPVFRHFFALAPLPKAKGWYSFRGRESVPALFTGLPNSTKTWKEEFLL >KQL30551 pep chromosome:Setaria_italica_v2.0:I:31604961:31606522:-1 gene:SETIT_018671mg transcript:KQL30551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKTKWENSSWGKKLIVQKRRAALNDFDRFKVMLAKIKRGGAIRQELAKLKKASTA >KQL30114 pep chromosome:Setaria_italica_v2.0:I:28160352:28162237:-1 gene:SETIT_019736mg transcript:KQL30114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KREDHELVLELGVGTVKRTEQDNQKTQQQPEEDAHNQEEDGEACFHSESPVELSLGCPLLPASAGIGSLNSEECRREFDVSTFVVDGDTVQGRSLSTSSLPMEVPVRQAADQEAAEDEENSGVGGGARKKLRLSKEQSAFLEDSFKEHSTLTPKQKSDLAKRLNLRARQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLAQENRRLQREVAELRALRTAPYPFYGHLPASGFSTARVCPCDNKVITAHHPGITTSSTVVAPPSPVSTLFARPQFGPFTVHPVLRRQPSATS >KQL29318 pep chromosome:Setaria_italica_v2.0:I:13545483:13548246:-1 gene:SETIT_018169mg transcript:KQL29318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPDTIRTAIGVIGNGTALVLFLSPVPTFIRIWKKGSVEQYSPIPYVATLLNCMMWVLYGLPLVHPHSMLVITINGTGMAIELTYVTLFLLYSTGAARRKVFLLLAAEVAFVGAVAALVLSLAHTHERRSMIVGILCVLFGTGMYAAPLSVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIKFDLYITIPNGLGVLFAVAQVVLYAIYYKSTQEIIEARKRKADQVAMTEVVVVGKTNNHAGAGHY >KQL30202 pep chromosome:Setaria_italica_v2.0:I:28864087:28869151:-1 gene:SETIT_017030mg transcript:KQL30202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLQTYTAFSLLAMASAVYYAFSSQEQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRLFLGSLREAEVERLNEQSWREVVEILFAITIFRQDFSVSLLAMVAALLLVKALHWLAQKRVEYIETTPSVPMLSHIRIVSFMAFLLIVDCLFLSNSLRPLIEKREASVAIFSFEYMILATSTVSTFVKYIFYVTDMLMEGQWERKAVYTFYLELISDLVHLSLYMLFFVAIFLNYGVPLHLIRELYETFRNFRIRIADYLRYRKITSNMNERFPDSTAEELNANDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRAASSRQYGAQPGVQPAAAGTGTPASEGAASENMSRRQAKLEAAAAAASLYGRSFAYPPANTLNRSVPPQSASSIPQSEASCSNQSQKDQELQFQNTNDGLAPQAFNAHGAISSGTSTRDLESSLQKAQENFIKSQIELQHLGYFCCKLIFG >KQL28169 pep chromosome:Setaria_italica_v2.0:I:3923748:3929821:-1 gene:SETIT_016470mg transcript:KQL28169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVKEDERHERIIRGLLKLPANKRCINCNNLGPQYVCTNFWTFICTNCSGAHREFTHRVKSVSMAKFTAQEVTALQEGGNERAREVFFKEWDPQRNGYPDSSNADKLRNFIKHAYVERRFTGERSADRPPRGKDDKDEYSENRRSDGNWGGSRSPPNGSYSDRRSYSGRSDDRNSRYSYGDRSPGYDQNDYKKSPRYFEVVDDRSGKTTPVQRFEDRRFSEPRKPDSGSPDFQKEADGSGPVVRPVRDILGDNAPQLRVGEPSKPATEPPKPIVARPIDPPKPIVARPIDPPKPNGTRAIDPPPLAKTMSSASSIASSEGTSEPVKVANAVSLIDFSADPEPTAPAPPPQPASTTQQHPVNAPAPQPVLDKGNSAPSVSGGDWASFDAFGQQQTPQTTSSANPLESALAQLSFSEAPSATNVSAFPASNDPTLKVNDGGHPSIVDQSHNLFDAPFGISGNQASTVMSNQGSSVQQSPLAAPTAGLPSQVTANPQGTSGIQGAASSNDSKSSGRKELPADIFTALYPTSTPMMPGWQRAPQFGMGYGMQYPAGVGMQAYPQAAFPQPTYQQPVYPQHAYPQPQPQPQPQPQPQPVKASNPFDLVNDPVPIQAPMPLSGPLGASAGTTPQTLLGTSSFGVPPQQTHQLYQSAAPPSHFMMQQVPNSMPQQPPNSMHAAQQGLGSFNMGFDQQAPPRYPQPSTPPYGSVGGSNPFG >KQL28170 pep chromosome:Setaria_italica_v2.0:I:3924174:3929491:-1 gene:SETIT_016470mg transcript:KQL28170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVKEDERHERIIRGLLKLPANKRCINCNNLGPQYVCTNFWTFICTNCSGAHREFTHRVKSVSMAKFTAQEVTALQEGGNERAREVFFKEWDPQRNGYPDSSNADKLRNFIKHAYVERRFTGERSADRPPRGKDDKDEYSENRRSDGNWGGSRSPPNGSYSDRRSYSGRSDDRNSRYSYGDRSPGYDQNDYKKSPRYFEVVDDRSGKTTPVQRFEDRRFSEPRKPDSGSPDFQKEADGSGPVVRPVRDILGDNAPQLRVGEPSKPATEPPKPIVARPIDPPKPIVARPIDPPKPNGTRAIDPPPLAKTMSSASSIASSEGTSEPVKVANAVSLIDFSADPEPTAPAPPPQPASTTQQHPVNAPAPQPVLDKGNSAPSVSGGDWASFDAFGQQQTPQTTSSANPLESALAQLSFSEAPSATNVSAFPASNDPTLKVNDGGHPSIVDQSHNLFDAPFGISGNQASTVMSNQGSSVQQSPLAAPTAGLPSQVTANPQGTSGIQGAASSNDSKSSGRKELPADIFTALYPTSTPMMPGWQRAPQFGMGYGMQYPAGVFQGMQAYPQAAFPQPTYQQPVYPQHAYPQPQPQPQPQPQPQPVKASNPFDLVNDPVPIQAPMPLSGPLGASAGTTPQTLLGTSSFGVPPQQTHQLYQSAAPPSHFMMQQVPNSMPQQPPNSMHAAQQGLGSFNMGFDQQAPPRYPQPSTPPYGSVGGSNPFG >KQL30546 pep chromosome:Setaria_italica_v2.0:I:31572506:31577690:1 gene:SETIT_017316mg transcript:KQL30546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQILVTKQTGPEGKIVSKVYLCEKLSLTNEMYFAITLDRKSAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDEDAAKVVDGLALKAADRQSSIEQIKKLYELFCKCDCTLLEINPLAETADNKLVAADAKLNFDDNAAFRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >KQL30545 pep chromosome:Setaria_italica_v2.0:I:31571571:31577690:1 gene:SETIT_017316mg transcript:KQL30545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGSLGKLASRALSVAGRWQHQQLRRLNIHEYQGAELMGKYGINVPRGAAVGSVQELKDALKNAFPEEKEIVVKSQILAGGRGLGTFKSGLKGGVHIVKAEEAEGIASKMLGQILVTKQTGPEGKIVSKVYLCEKLSLTNEMYFAITLDRKSAGPLIIACSKGGTSIEDLAEKYPDMIIKVPIDVFKGITDEDAAKVVDGLALKAADRQSSIEQIKKLYELFCKCDCTLLEINPLAETADNKLVAADAKLNFDDNAAFRQKEIFALRDTTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGSASEGQVVEAFKILTSDDRVKAILVNIFGGIMKCDVIASGIVNAAKQVDLKVPVVVRLEGTNVDQGKRILKESGMTLITAEDLDDAAEKAVKASVK >KQL31033 pep chromosome:Setaria_italica_v2.0:I:35162415:35165164:-1 gene:SETIT_018141mg transcript:KQL31033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEDKFPVWEAALLAAVAAVFAAALAGVYVSMPHSDYSFLKLPHNLQELRVLTDHLEGYTSNYTIQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLGGLALVIFAATAGASSCYFLSKLVGKPLVSSLWPDKLMFFQKQVAKRREKLLNYMLFLRLTPTLPNTFINFASPIVDVPYHIFFLATATGLIPAAYVTVKAGIALSDLRSLNDLYDPKSIAVLFLIGLVSVTPTLLGKNETQGKAPADLAASTTN >KQL30306 pep chromosome:Setaria_italica_v2.0:I:29808507:29811343:-1 gene:SETIT_017976mg transcript:KQL30306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLGLARRFAGVFTSPAVAHSGSRPRSLRPGLGLLPSKRWSGVVRMGAVVGGGQEGEDEELRQTKEQAAARRRWETLIREQKIKTLTPREAGYTYKLTDKALLDVRPSNERQKAWVKGSTWIPVFDVDTSVDLGGLSKKVAGGVAAQHCHLINEIARNFVQQVEEKFSKDTDIILVCQKGLRSLAACEQLYNAGFENLFWVQGGLEAAEEEDFEREGSQPFKLAAIGGVSEFFGWTDQQRRQAAKEGLGYRLVFTGRLVGALVLLDALFLGAQRIGPLLQEMQSH >KQL30307 pep chromosome:Setaria_italica_v2.0:I:29808507:29811343:-1 gene:SETIT_017976mg transcript:KQL30307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLGLARRFAGVFTSPAVAHSGSRPRSLRPGLGLLPSKRWSGVVRMGAVVGGGQEGEDEELRQTKEQAAARRRWETLIREQKIKTLTPREAGYTYKLTDKALLDVRPSNERQKAWVKGSTWIPVFDVDTSVDLGGLSKKVSNFVMGGWWSGSSTLSFNKNFVQQVEEKFSKDTDIILVCQKGLRSLAACEQLYNAGFENLFWVQGGLEAAEEEDFEREGSQPFKLAAIGGVSEFFGWTDQQRRQAAKEGLGYRLVFTGRLVGALVLLDALFLGAQRIGPLLQEMQSH >KQL30691 pep chromosome:Setaria_italica_v2.0:I:32648998:32650057:-1 gene:SETIT_019462mg transcript:KQL30691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VETEKKTSLIKAWEENEKAKAEHKAAKKQSIILSWENTKKSVVEAQLKKKEEELEKKKAEYAEKMKNKKAIIHRRAEEKRAMVMAQRGEEVLKAEEMAAKYRATGLAPKKFLGCFGA >KQL27802 pep chromosome:Setaria_italica_v2.0:I:747341:748929:-1 gene:SETIT_017529mg transcript:KQL27802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDRYFDNWDLDTVVRLGCRRRLSPPRQPDNPFAAFLLPPPQPQKEKPAPAPAPEPAKEPEADAGWRFPDLFAGGGQDGDELLMALLAAHPPLPQPTLPTPTPPPPPPTQQQQQQPPVVAAVDAPPPQVRAAAAPASALTRAQPSGRPVPGGVPRSKRRKNQVKKVVCHVPADGSSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCAARKQVERSRSDPNTFILTYTGEHNHAAPTHRNSLAGTTRHKFPSSAAPQPPPPSVVVGGAGAGDAQDQHQQPSPSPTSTSAAGLSPTTPLRTPSMEEEDDEEDELMVEDMEMAGEDELLFLTDGDDAAPLEPRSSLFDIGDEPFLSFPWVPAPTTAGEPATGAAGAGN >KQL29586 pep chromosome:Setaria_italica_v2.0:I:21605661:21607937:-1 gene:SETIT_018314mg transcript:KQL29586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWVFGYGSLIWNPGFDFDDKILGFIKGYKRTFNLACIDHRGTPEHPARTCTLETDEEAICWGIAYCVKGDTDKEQKAMQYLERRECEYDQKISVDFFKEGDSLKPAVTGVLVFVSTPDPIGNKYYLGPAPLQDMARQIATANGPTGYNRDYLFSMEKALASIIHEDDSIIELANEVRKVLNRTKEAKITGSDVSLQSHVPLVHLSALPEGTVVDSR >KQL29587 pep chromosome:Setaria_italica_v2.0:I:21605661:21607937:-1 gene:SETIT_018314mg transcript:KQL29587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWVFGYGSLIWNPGFDFDDKILGFIKGYKRTFNLACIDHRGTPEHPARTCTLETDEEAICWGIAYCVKGDTDKEQKAMQYLERRECEYDQKISVDFFKEGDSLKPAVTGVLVFVSTPDPIGNKYYLGPAPLQDMARQIATANGPTGYNRDYLFSMEKALASIIHEDDSIIELANEVRKVLNRTKEAKITGSDVSLQSHVPLVHLSALPEGTVVDSR >KQL29588 pep chromosome:Setaria_italica_v2.0:I:21605344:21608463:-1 gene:SETIT_018314mg transcript:KQL29588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWVFGYGSLIWNPGFDFDDKILGFIKGYKRTFNLACIDHRGTPEHPARTCTLETDEEAICWGIAYCVKGDTDKEQKAMQEGDSLKPAVTGVLVFVSTPDPIGNKYYLGPAPLQDMARQIATANGPTGYNRDYLFSMEKALASIIHEDDSIIELANEVRKVLNRTKEAKITGSDVSLQSHVPLVHLSALPEGTVVDSR >KQL27836 pep chromosome:Setaria_italica_v2.0:I:1017435:1018048:-1 gene:SETIT_018857mg transcript:KQL27836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRATPRTESTQFRGGYRYGNASIRSLRCSAKIAARYASYAWDGPDVVRRYQGAGHGLGSGGKNQEPHKKPVKVKGGRRDNDKACAM >KQL28403 pep chromosome:Setaria_italica_v2.0:I:5620974:5625369:-1 gene:SETIT_017051mg transcript:KQL28403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGKPAASLPPAAAPSTSAHALDSVHFSSGNPRIEETRGVVLLHPEPPAAASSSRLPVGRKPRVCVLAVPNHMTYADFCRFCGAFVPHTLEMRIVRTDGAEDQYSVLINFDTQNSTDNFYKHFNGKQFSSLEGDVCHVRFVEDVHYTQLIEHAHSSVTSLAEQPTCPVCLERLDQDPGGILTTICNHSFHCSCISKWTDSSCPVCRFCQQQPEKSMCSICGTLENLWICVICGHVGCGRYKGGHAIEHWKETQHCYSLELETQKVWDYAGDNYVHRLIQSKTDGKLVEYNCHGGHTAENTCSLCSGDAAMSEALLNSKFEAIVEEYNDLVTSQLEKQRNYYESRLLVEDKEETEEISAAVEKALSIKIQKLEAKIEKCMEEKRFLDEVHENLVRNQEKWIETIRKAQAREQAALKLKDEKIEKLNEETRDLIAHLECQYAIAQAPDSISGDIQGGTILPVASSTSSSNSDSSSPIPGTMKMGS >KQL31677 pep chromosome:Setaria_italica_v2.0:I:39153395:39156278:1 gene:SETIT_017993mg transcript:KQL31677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATASATAATRFTLLAGAGLRSRAARLPTAVRFQRQRGLTTTALLKTADLRPKEQGQPETLDYRVFLVDGGGRKVSPWHDVPLRAGDGVFHFIVEIPKESSAKMEVATDEAFTPIKQDTKKGNLRYYPYNINWNYGLLPQTWEDPTSANSDVEGAFGDNDPVDVVEIGERRANIGDVLKVKPLAALAMIDEGELDWKIVAISLDDPKASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKPASKEYALKVIQETNESWEKLVKRNIPAGELSLA >KQL28690 pep chromosome:Setaria_italica_v2.0:I:7501031:7501706:1 gene:SETIT_019427mg transcript:KQL28690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQSGLGRDKHTGGVAADSTFWATDKGETSVGAAQMSTAKPPPFLDELYTLFGHTTQDRGTLLTAGGVREATPSVGTEDNPADMYLDPMAASSARNMSQRPTREEVVDSPPKKKSGNLEHAMYRRAFTKMKTREGRLHWIQFNWERENK >KQL31326 pep chromosome:Setaria_italica_v2.0:I:36911502:36913121:-1 gene:SETIT_019803mg transcript:KQL31326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YCHADAAYLCASCDTQVHSVNHVASSHERVHLCEVCMTAPAVSACCADAAELCTTCDAKVHSANSLSQRHQRVPVLPLPATSIPAVSGFAEAGAAVTTHGSKEKEEVDSWLLFTRDSGYNYGTTTTTNNNSSKMYFGKVDQYFDVTGYNSYYGSNIIRNTEEQYRMQEHQQIQRRYGATEWSECVVPSQLSVVNEHAASITNSHHSASGYNPLTNRPPLNYRVVIYLFYEYASKLIESMSCKISVWVQKDATPDISNLTILTTGGVDLLSYYSFQMPVHLSPMDRAARVLRYKEKRQARKFEKTIRYATRKAYAEARPRIKGRFAKRSDVELQVDHMSSSPPDLPNSSYGTVPWF >KQL30291 pep chromosome:Setaria_italica_v2.0:I:29678195:29680809:1 gene:SETIT_016617mg transcript:KQL30291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGTRPDTFFTSEAVRSVSTEVATDLQILVDGCIYHLHKFPLLSKCMLLQALCADSGASVGGDVVELPGFPGGAEAFEACAKFCYGITITVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDAFLASCLLRRWKDALGVLHSTRHYAPLCEDLGLTSRCVDSVAALIVNPDTVLPAKSTSASPWWAHDIAELGVDLFWRIMVAVKATGAVHEKTVGDALKAYARRWLPNVAKDGLSADQPFDDDAAGNTDVKQITTRHRLLLEKIVSLLPAERDAVSCSFLLKLLKAANILSASAASKSELVRRVAWQLEEAGVADLLVPSLSCVSETLYDVDAVAAILDEFALRYAAVAPAPALALSGSPDDSPVHSGGHRRSRSAESVSFDGTRRSLSAAPVSQGALARVGKLVDGFLVEVAKDPNMPLDKLLAIAEAVPDSARPEHDGLYKVVDTYLKAHPEMNKSARKRLCRVLNCRKLSEKACAHAAQNELLPLRVVVQVLFFEHARAAALASGGRGDAAAAAAAELPSNIRALLSKASGSSEDDEADRVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRMKLAEEDEDHDADEFARKAGLARSASLRFRAFCAIPAGKPKRVLSKLWPIGRSGVSH >KQL30292 pep chromosome:Setaria_italica_v2.0:I:29678361:29680607:1 gene:SETIT_016617mg transcript:KQL30292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQALCADSGASVGGDVVELPGFPGGAEAFEACAKFCYGITITVSARNLVPLRCAAAHLGMSEAADRGNLAAKLDAFLASCLLRRWKDALGVLHSTRHYAPLCEDLGLTSRCVDSVAALIVNPDTVLPAKSTSASPWWAHDIAELGVDLFWRIMVAVKATGAVHEKTVGDALKAYARRWLPNVAKDGLSADQPFDDDAAGNTDVKQITTRHRLLLEKIVSLLPAERDAVSCSFLLKLLKAANILSASAASKSELVRRVAWQLEEAGVADLLVPSLSCVSETLYDVDAVAAILDEFALRYAAVAPAPALALSGSPDDSPVHSGGHRRSRSAESVSFDGTRRSLSAAPVSQGALARVGKLVDGFLVEVAKDPNMPLDKLLAIAEAVPDSARPEHDGLYKVVDTYLKAHPEMNKSARKRLCRVLNCRKLSEKACAHAAQNELLPLRVVVQVLFFEHARAAALASGGRGDAAAAAAAELPSNIRALLSKASGSSEDDEADRVDEQRLRALAAGASPGDDWSVEGLRRAASKIATLRMKLAEEDEDHDADEFARKAGLARSASLRFRAFCAIPAGKPKRVLSKLWPIGRSGVSH >KQL29975 pep chromosome:Setaria_italica_v2.0:I:27202169:27205353:-1 gene:SETIT_016798mg transcript:KQL29975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAVGGGMRRSASHTSLSESDDFELTRLLSKPRINVERQRSFDDRSLSDVSHSGGYGRGGAFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTVAAVDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLLLQGWEKKVDRFKLGEGAMPASFKVMHDAKKGVETLHADFGESAIGRVAPVDSGFWWIILLRAYTKTTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQMLKHDNEGKEFVEKIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFVGNVSPARMDFRWFALGNMIAILSSLATPEQSVAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMLKPVLKRSASWTN >KQL29974 pep chromosome:Setaria_italica_v2.0:I:27201757:27205672:-1 gene:SETIT_016798mg transcript:KQL29974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAVGGGMRRSASHTSLSESDDFELTRLLSKPRINVERQRSFDDRSLSDVSHSGGYGRGGAFDGMYSPGGGLRSLVGTPASSALHSFEPHPIVGDAWEALRRSLVFFRGQPLGTVAAVDHASEEVLNYDQGWEKKVDRFKLGEGAMPASFKVMHDAKKGVETLHADFGESAIGRVAPVDSGFWWIILLRAYTKTTGDLTLAETPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALQMLKHDNEGKEFVEKIATRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWLFDFMPCQGGFFVGNVSPARMDFRWFALGNMIAILSSLATPEQSVAIMDLIEERWEELIGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAERRLLKDGWPEYYDGKLGRYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMLKPVLKRSASWTN >KQL28341 pep chromosome:Setaria_italica_v2.0:I:5223966:5226194:-1 gene:SETIT_016465mg transcript:KQL28341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGQIVAVLQVGGEFSTDDDGHMSYYGGEAHAMHVKSDWTFKMFKHEISSTLNNLKLDSYVFKYFLPRNDKTLISISNDKDLRRMVDFHAESETTYIYVMKKADNRLKSSISDSGTPADSAIIATTPDGSKRQKICASWENAITGVGQVFEGPKEFRDALHKYAIAHRFHYRFVKNDSSRVTAECTDEGCTWRIHASKSHAKEFAIKKVFGTHTCESETIKSHRLASQKWVASVIKEKIRDSPNYRPRDIANDLQREYGLCLNYSQAWRGKAIARKELYSSHEEACNQLPWFCQRIVETNPGSVATLEALEDSKFRFFVAFHASLHGFEHGCRPLLFLDVISVRSNKHWKLLAATSVDSEGDIFPVALSVVDDESQENWHWFLEQLKASLPALGAITFISNGKNGLWDKVSLLFPDSCHGYDVNCFVEEYKKQLDDSWSEEVKDTMVEHLKKAIYSCKVDEFNQYVELIKAESDKLAEWLLETKPERWSDAFFKGSRLGQYTCNVSETIAEWIPSRYELSVVQLVDTIRCNLMEMMYARRESSNACTELLTPSANQKLQEQMNKALTLNVVCSTGSDGNSHVFEVCDDSVHVVNINTRECTCRKWNVSGIPCSHAIAVFDRTEQCPLDYCDKYFTTEYYRWTYAMSINPIPDVLVPTVAGDPSHGTILHLSPILTRRQVGRPKEKPADPRIAIKRAVRCSRCKGYGHNKATCKVPITA >KQL28340 pep chromosome:Setaria_italica_v2.0:I:5223823:5228574:-1 gene:SETIT_016465mg transcript:KQL28340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGQIVAVLQVGGEFSTDDDGHMSYYGGEAHAMHVKSDWTFKMFKHEISSTLNNLKLDSYVFKYFLPRNDKTLISISNDKDLRRMVDFHAESETTYIYVMKKADNRLKSSISDSGTPADSAIIATTPDGSKRQKICASWENAITGVGQVFEGPKEFRDALHKYAIAHRFHYRFVKNDSSRVTAECTDEGCTWRIHASKSHAKEFAIKKVFGTHTCESETIKSHRLASQKWVASVIKEKIRDSPNYRPRDIANDLQREYGLCLNYSQAWRGKAIARKELYSSHEEACNQLPWFCQRIVETNPGSVATLEALEDSKFRFFVAFHASLHGFEHGCRPLLFLDVISVRSNKHWKLLAATSVDSEGDIFPVALSVVDDESQENWHWFLEQLKASLPALGAITFISNEYKKQLDDSWSEEVKDTMVEHLKKAIYSCKVDEFNQYVELIKAESDKLAEWLLETKPERWSDAFFKGSRLGQYTCNVSETIAEWIPSRYELSVVQLVDTIRCNLMEMMYARRESSNACTELLTPSANQKLQEQMNKALTLNVVCSTGSDGNSHVFEVCDDSVHVVNINTRECTCRKWNVSGIPCSHAIAVFDRTEQCPLDYCDKYFTTEYYRWTYAMSINPIPDVLVPTVAGDPSHGTILHLSPILTRRQVGRPKEKPADPRIAIKRAVRCSRCKGYGHNKATCKVPITA >KQL28716 pep chromosome:Setaria_italica_v2.0:I:7672211:7676845:-1 gene:SETIT_017301mg transcript:KQL28716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSGVRGEEESGKPDSRPLIPSVKDIMISLGEHSQRMKNVENSDTTKEFCEGGRRSMDAIYGDEEYHRKTVEGTDGKRETWGKWGGVLVDKDRRETKGREGQIMVGGDEENMSCAAEILRYSVDRVVPLNILPDSSHRDASIYRDTSGWKKEFRIVDRREKYGTCMWHRPRRMLQIFSLKVAKIPVDAGKIELYGYIAARDDLEPLLNYVVNVSRDDPIIVEQGSLITMAPKRGIKLGYDTLIEYDMKIKTGEHEKDDLQLIDGVSVIGIMGTPNWSVFTSRIIGNCGAIDISASRLDHAVEATVEVVISEVQGGFNMRLGCFISEFDEEIQLFDGTIGEPRCLTRYVIAVVIGTQMDMKFKVGAGSFRSSEHCCSFTAHNHGHDDQLIETDFALISVKVTWSVLPGGWSSDFMQALLKSWA >KQL30815 pep chromosome:Setaria_italica_v2.0:I:33598996:33600039:1 gene:SETIT_019631mg transcript:KQL30815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAKGFKKAAFSHVHAKNWREAALAFDEQAACDLKLGDELSAASALLHSAKCYVWIHDEDQGAISATKFALDKAVALSVKTNDLEIAAMSCEELAELYVEQRELQTALDFYEKAADYYGSDRHSWKCRFEANRLSKIIGTPANCTRARD >KQL31016 pep chromosome:Setaria_italica_v2.0:I:35042827:35047719:1 gene:SETIT_017232mg transcript:KQL31016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKASDKPVPNATLQVTGAVGWRREGLMYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKEAQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEINVKVKSVFGAKMFALGVVVKVPVPKQTAKTSFQTTSGKAKYNASIDSLVWKIRKFPGQTEATMSAEVELISTMGEKKSWNRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITRAGSYEIRC >KQL28085 pep chromosome:Setaria_italica_v2.0:I:3192912:3194270:-1 gene:SETIT_019643mg transcript:KQL28085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKNKDGGKKAGSKASSSATGHKTKWGGKKAAAGVEASSSSSLQAPSFNFNPKDVTDPFELLDMCVAALHDKRASGGTRESALASLAGALEGVVPLDEATDGRPLVIFALCGASIKRGNASPAFAKEARLAYRAVGLLAFTLLNGGSTEILAESFPMLARTLQLAPSMDPATVAAALHCLAAVTFAGALGPEEAERSLKTIWGVICPNPKSPKVISSKVRPHVVAAAVSTWTFLVTTAAVTDAQRKADRAAWNAAIASLAGVLEADDRAVRMAAGEALAVCVELNLTQHTPRKDMDAILARVSDLAAEAGGKGADKTLFVEQKDLFRQILAYMERGERPRKALRTSSERRESIKVSTWAKMAQINFLRRFLAGGFLAHVKGNKLFKELFDVAADEKAALSIAKRKLMLKVKEKGMKMNRELAWAVKNVYCLPQGGPPESNKPDQLLKLGWH >KQL31774 pep chromosome:Setaria_italica_v2.0:I:39704333:39706681:1 gene:SETIT_019707mg transcript:KQL31774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLVVATCLLLAVAAILALAAGEPEAEAMTSYIVHVASSHAPRPSRRRALAQAAYYASFLRDHLPTHLLHPTPSVHYSYTHAATGFAARLTGCQAAYLESQPSVLAVVPDAMLQLHTTMTPSFLRLSALSGLLPASNGAMDVVIGVIDTGIYPKGRASFAADPSLPPPPGSFRGGCVSTPSFNGSTFCNNKLVGAKFFYLGYKALNGRLTDETDQSISPLDVNGHGTHTASTAAGSAAAGAGFYNYGKGTAVGVAPNARIAAYKVCWEHGCADSDILAAFDEAIADRVNVISYSIGPVGKVPKLHRDGATVGAFSAVRKGIVVSASAGNAGPGESTVGNIAPWMLTVGASTINRRFPGTVVLGNGDTLNGTSLYVGKPLDATKIALVYGGDVGTNVCEAGKLDKTLVAGKIVLCDHDDENEVAQGEAVKLAGGAGAIFGSTEDSGELPPTSPHILPATTISFAAAKKIKKYISTHSSPTAMIMFHGTVVSRTPSSPRMADFSSRGPNLHAPEILKPDITAPGVDILAAWTGKKSPSSLDSDKRRVKYNIISGTSMSCPHVSGIAALLRQARPDWSPAAIKSAMMTTAYVLDNAGNVIKDTSTGRASTPFVYGAGHVDPNRAVDPGLVYDAGVRDYISFLCALGYTSKEIGIFTRDDSRADYCSTRTGSSGDLNYPAFSAVFHSDMDEVTQRRVVRNVGRNVSATYTARIISPAGVRVTVEPRKLQFTATQQTREYVMTFAPRGDARVTDKYTFGSIVWSDGEHKVTSPIAITWPVSQVAAS >KQL30562 pep chromosome:Setaria_italica_v2.0:I:31723411:31728243:-1 gene:SETIT_017707mg transcript:KQL30562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSEGVLLGMGNPLLDISAVVDDAFLSKYDIKLNNAILAEEKHLPMYDELASKSNVEYIAGGATQNSIRVAQWMLQTPGATSYMGCIGKDKFGEEMKKNAQAAGVTAHYYEDETAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYIYIAGFFLTVSPDSIQLVAEHAAANNKVFLMNLSAPFICEFFRDAQEKVLPYVDYIFGNETEAKIFAKVRGWETENIEEIALKISQLPLASGKQKRIAVITQGADPVVVAEDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQGKSIEDSVKAGCYAANVIIQRPGCTYPEKPDFN >KQL29567 pep chromosome:Setaria_italica_v2.0:I:21390976:21391443:-1 gene:SETIT_020467mg transcript:KQL29567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILIMDTVFKCQCNNIAIYSVDKYGNDISSICSR >KQL31460 pep chromosome:Setaria_italica_v2.0:I:37658002:37659084:1 gene:SETIT_019549mg transcript:KQL31460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQHEIPAMRLSLLLLVAAALSGHAAAQVPAPVVGGPLSPEFYSQSCPRAERIIAEVMQSKQMASPTTAAGVLRVFFHDCFVSGCDASVLIASTQFDKSEHDAEINHSLPGDAFDAVVRAKLALELECPGVVSCADILALASRVLVTMTGGPRYPIALGRKDSLSSSPTAPEVELPHSNYTVDRLIQLFGAKGFTVQELVALSGAHTLGFSHCKEFADRLYNFRDKGGKPEAFDPSMNPSLAKGLQAVCKDYLKDPTIAAFNDIITPGKFDNMYFVNLERGLGLLSTDEELFTDPRTRPLVQLYAANSTVFFDDFGRAMEKLSLFGVKTGADGEVRRRCDAYNHGPMPK >KQL31723 pep chromosome:Setaria_italica_v2.0:I:39378742:39381363:-1 gene:SETIT_017632mg transcript:KQL31723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQRPHQKPPAAGDSLPVSSPSATSANAPSRPLPLLTLPYLFSLLALLLFAALLVPWGPTRPSSVPASPWRAYTLQEAAAFAAAAGNGTVLLAAVSGPYHPFLSNWLISVRRAGRADQVLVIAEDYETLDRINAAWPGHAVLVPPAPDAQAAHKFGSQGFFNFTSRRPRHLLQILELGYSVMYNDVDMVWLADPFPYLVGDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKQRKSNDQPAFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSHESPLGRI >KQL30361 pep chromosome:Setaria_italica_v2.0:I:30088801:30091680:1 gene:SETIT_017057mg transcript:KQL30361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLEAPPPLDLRPATGLRGRKLQPWRLSLLSSSSCKAWTLVCRQLPICNAQSYTDELWVAAPQSPASVRSRLLAAEREEAKAVLSLFLRQKGLRSTLAARIVNKSDGFIEHLVSKLQIAYRSRYAEGRELSTPEIRDALLPYLEALDREHGDSLVEVVENFADPFSMEREALSSSMVLTPTSSNKQKAVARISTPTSGGALPELVLYLLDLGMDHEEIKNIVRKFPAFAYYNVERKIKPLVELLLDLGVPRSSIPGIIKKRPQLCGISMSDNLKPMMVYLENIGVNRAQWSKVITRFPAVLTYSRNKVETTVSFLTELGVSKKNIGKILTRCPHIMSYSVDDNLRPTAEYFRSIGADAASLIQKSPQAFGLNVEAKLKPITEFFLEREFSIEEIGIMANRFGIIHTLSLEQNLLPKYEFFLTMEYPRSELVKFPQYFGYSLEQRIKPRYAQMTGSGVRLILNQMLSVSDTRFEEILEKKKTRV >KQL30029 pep chromosome:Setaria_italica_v2.0:I:27598058:27599419:1 gene:SETIT_017650mg transcript:KQL30029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEPEQPKAAGGGGHRKHLAMLERLSKRSSSSSSAAAGASSDSTSASPVEAFLTRFAAAKLAAESALSACRASSPEGDAAASLAAAAAAIDDLDRLVAESSHALPPYELRSALATAADLRAAHRAAASEIRPKKSFSFRNKSRAPKNPPQDPATVPQPQPPPPEQPKPSIDAILPGFGFRGRNGATLVKDLRVSNDKDGDFTLADLVSCEVYLKGTCRALYVHKLRDCRVFVGAVLGSVLIEDVEGCTFVMAAHQIRIHEAKATDFYLRVRSRPIIEDCSGVRFAPHALEYDGIDEDLKESGLEEETGNWANVDDFKWLRAVQSPNWCLVPEEERLQTVDISEVHEQEDDS >KQL28519 pep chromosome:Setaria_italica_v2.0:I:6397700:6401062:-1 gene:SETIT_017749mg transcript:KQL28519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTAPKHAASPSSPPLLWDWGDNAAAGSGSSSDAPARRGGREREAKRAKGEEGGGAEVRCQVEGCGLELGTAKEYHRKHRVCEAHTKCPRVVVAGQERRFCQQCSRFHALSEFDQKKRSCRRRLSDHNARRRKPQPDAYAFASARLPSSLFDDRRQISFVWNKAPLSHVRPFTSPWDSSSDFKLPHAKEIRELSTKVGAITGQVHFDKSHLSNAIPTLSHGKDELLPMKGPDTSVTASKFDGAPDLQRALSLLSAGPCGLPDPVPQASCLIQFTGASENNGDLHLSHGGNSGPASCTDEQHIAPQPQLVRFTMDTSSNVYEPTFFGLNQIN >KQL28986 pep chromosome:Setaria_italica_v2.0:I:9565435:9569911:-1 gene:SETIT_018019mg transcript:KQL28986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSIITGALTLVLGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLSVLTVLERVGENFVSWLPMYSEAKLVFIVYLWYPKTRGTAYVYESFFKPYIAKHETEIDRNLLELRTRAGDMAVLYFQKVANYVQTRSYEILQYIASQSQSQRPRSQGQQQQQRPPPPRTRQVNPGPPPVPAPSAPPMPPQPAQAQVPPAPPRAPVPVAPPGAVPPAQPQPPPAPGAATTNGPQNTEAMQVDPPRASTSAAPPSLPSEETLIEEAIRLTRGRLRRRMAGGSGPPPS >KQL28987 pep chromosome:Setaria_italica_v2.0:I:9565435:9569911:-1 gene:SETIT_018019mg transcript:KQL28987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSIITGALTLVLGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLSVLTVLERVGENFVSWLPMYSEAKLVFIVYLWYPKTRGTAYVYESFFKPYIAKHETEIDRNLLELRTRAGDMAVLYFQKVANYVQTRSYEILQYIASQSQSQRPRSQGQQQQQRPPPPRTRQVNPGPPPVPAPSAPPMPPQPAQAQVPPAPPRAPVPVAPPGAVPPAQPQPPPAPGAATTNGPQNTEAMQVDPPRASTSAAPPSLPSEETLIEEAIRLTRGRLRRRMAGGSGPPPS >KQL28985 pep chromosome:Setaria_italica_v2.0:I:9565859:9569015:-1 gene:SETIT_018019mg transcript:KQL28985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRLVLGYAYPAYDCYKTVELNRPEVEQLRFWCQYWILLSVLTVLERVGENFVSWLPMYSEAKLVFIVYLWYPKTRGTAYVYESFFKPYIAKHETEIDRNLLELRTRAGDMAVLYFQKVANYVQTRSYEILQYIASQSQSQRPRSQGQQQQQRPPPPRTRQVNPGPPPVPAPSAPPMPPQPAQAQVPPAPPRAPVPVAPPGAVPPAQPQPPPAPGAATTNGPQNTEAMQVDPPRASTSAAPPSLPSEETLIEEAIRLTRGRLRRRMAGGSGPPPS >KQL27924 pep chromosome:Setaria_italica_v2.0:I:1746356:1746803:1 gene:SETIT_020589mg transcript:KQL27924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAIASQPLFVSLTCTYVHSTYRYAAPPLRKVS >KQL29577 pep chromosome:Setaria_italica_v2.0:I:21426939:21427196:-1 gene:SETIT_019836mg transcript:KQL29577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQMQPHAEPGHGGDAPASAQAQQAGPDKNRQQDQQPSSLNPTAARLLREAIVSQPDGDKPAAATGSSDILAFARSVDRVDSPLE >KQL31529 pep chromosome:Setaria_italica_v2.0:I:38217406:38218724:1 gene:SETIT_019955mg transcript:KQL31529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHQLQERLLPALRAVNPLHHRHLFSTSSGRFVVEDYLVDTCGLTPAQARKASKYLPHLKSPEKPDAVRAFLTVAGVSEADAATAITRDRAAPLLQISPLMVSRLAFYLSFLGSYDNVHTAIRSSGYLLSTHVDNVVKPNIELLLQCGLTPRDVATLCSRVGVLFTEEPERVKEMVARADKLGVPRNAGMFKRALQTVHNLNPRTISAKMDFLKKALGCSESELAIAVCQIPTLLTTSVGKLGRTVEFLKVDVGLEPGFIVRRQRLFIYGLEKRLIPRHYVIKVLKAKGLRFTERMLERHKESVPGLASAYAAACAGKVPSEIIL >KQL30655 pep chromosome:Setaria_italica_v2.0:I:32419761:32420291:1 gene:SETIT_018910mg transcript:KQL30655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGGRENFRGLLRQRPRALPRGGASALGRQRLSSRLRKARARALRPPARHPARCGGAPLCDMADKWAPHKTCLTGL >KQL30123 pep chromosome:Setaria_italica_v2.0:I:28253036:28253513:1 gene:SETIT_019390mg transcript:KQL30123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFLPIHFLKHGSFYSSCGSFLLTRAGIGHSLWSPCNGMPVKLPLETYEKIVMLEASASSYAFAHSSGKIVVCYDKAIRDICQSGNECYAVSNMFRLYKLDRKKSKLDPIGPEQTSNDIRGSLTDGNYPDHIFLADINDEL >KQL30022 pep chromosome:Setaria_italica_v2.0:I:27542408:27544431:1 gene:SETIT_016770mg transcript:KQL30022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKSLLIMRRAPSSTMTNYSSPRCFSIRIPKFSWATWPLWFVGKKSQPPTNSSILTESRMAPKFESHWPGAGAHAPLDRHRRHARGMSEIGNELGVGRCDPVAFHPPACDLPLPAGLAVAGADAPSRVGDPDGPVAVGGVGLPRPRDVPPQQRLLPLVQQAEPLQRRRQRVVHLPPPRVRLVAGELPQRRHVPVAVVVAEAEPRLEPHEVHGERHGRVRRQDAPLRRAPLRRVPLPEVLHVVGVGRQAFHSLYASRDARGFRIRRIARTFQPAASSAPRCRAPIVSSTSATMSRPDPAIRMLRAAVMAPHAYSCSEWRIVTYASRSGAGEAYGPPRALNGMASLQDPTGSASFRFRSSASGRYSHTSPSRATSSRHLNRFGAGKHRDTTSTCAAAGRRRPWRAARRAVASCRCSRATSLDQLAARPHGSFTATTSYHSVPAAAGSGRSGHDGAPYSSSSSIHSGYRCSQVTFFPTGSRRTVEASVCGSRALHSSTSPASKLSNATRSPPPSSAGATCSFTAVSICDQSIFRLDAYPEFGASGCDIQLAFDASGLSFPDNYQLSSWIIGSSS >KQL31305 pep chromosome:Setaria_italica_v2.0:I:36763424:36766243:1 gene:SETIT_017429mg transcript:KQL31305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAVGEQAAVAAGDGAQPPALSKSARKKLQKQERQAERKAARKAAEKERRRADVERRRREWEEALAAAPSDEARAEMVAARRETRRERVGRRAEERGARAERLRRAAEGAGQKVVLDLEFADLMRPNEIHSLTQQIMYCYAVNGRSANPAHLWLTGCSGEMATHLQRIPGYDKWIIEKAAKPYLEAFEDRKENLVYLTADAETVLDDLDMSKIYIIGGLVDRNRWKGITLKKAAEQGIQSAKLPIGNYLKLSSSQVLTVNQVFEIMLKFVETRDWKTAFFHVIPQRKRGEAEAGDDETKVSLDDNDDDAEEAANGNLSEEDLKKVIDEDVADDGDEELEDDETDVSNKRQCVRREDVEAGDQDHSGAVAEATPAGLDATTPQAEQAKESNNGGKDD >KQL30501 pep chromosome:Setaria_italica_v2.0:I:31276596:31277015:-1 gene:SETIT_018756mg transcript:KQL30501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLHPLACNKTAPMDLHVWRWVPSVGATAVRRRPGVDSRRRPFPSCDGVDPEEGTPCLQSQLGTPQILPQMRPHSNLNSNLESVPLRWLSTSLRSGRHSWCTVGRHSPCP >KQL30502 pep chromosome:Setaria_italica_v2.0:I:31276215:31277445:-1 gene:SETIT_018756mg transcript:KQL30502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLHPLACNKTAPMDLHVWRWVPSVGATAVRRRPGVDSRRRPFPSCDGVDPEEGTPCLQSQLGTPQILPQMRPHSNLNSNLESVPLRWLSTSLRSGRHSWCTVGRHSPCP >KQL30500 pep chromosome:Setaria_italica_v2.0:I:31274129:31277445:-1 gene:SETIT_018756mg transcript:KQL30500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLHPLACNKTAPMDLHVWRWVPSVGATAVRRRPGVDSRRRPFPSCDGVDPEEGTPCLQSQLGTPQILPQMRPHSNLNSNLESVPLRWLSTSLRSGRHSWCTVGRHSPCP >KQL30503 pep chromosome:Setaria_italica_v2.0:I:31274129:31277445:-1 gene:SETIT_018756mg transcript:KQL30503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLHPLACNKTAPMDLHVWRWVPSVGATAVRRRPGVDSRRRPFPSCDGVDPEEGTPCLQSQLGTPQILPQMRPHSNLNSNLESVPLRWLSTSLRSGRHSWCTVGRHSPCP >KQL28689 pep chromosome:Setaria_italica_v2.0:I:7494624:7496747:1 gene:SETIT_020142mg transcript:KQL28689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFGLAIALLLLLLLCLTSPVLSCTSQEKGSLLRFLAGLSRRGGLPASWRSSTDCCSWEGIACDGDGGAVVEVSLASRGLEGRISPALANLTGLLRLNLSHNSITGRLPPELLSSGSIVVLDVSFNSLGGGLGELPSSTPDRPLQVLNISSNMFTGMFPSTAWEKTRSLVAINASYNSFTGEMPSSFCISSPSFASLDVCNNKFSGSIPTRLGKCFGLQVLRAGQNNLSGTIPDEVFNASLLEHLSLPNIGLEGKFDGENVIKLQNLAVIDLGGNQFSGKIPDSIGQLKRLQELHLDCNNLSGELPASLGTCTDLKIVNLKGNNLNGQLRQVNFSTMLNLQVLDLMLNSFTEEIPESIYSCSNLTALRLSSNNFSGQLSPRIGNLKSLSFLSLANNSFANITNTLHVLKNSRKLTTLLMANNFIGERIPDDLTVDGFENVQILTLDGCSLSGNLPLWLSKLTSLRILDLSNNQLTGSIPAWIKNLNFLYYLDLSNNNLSGELPTALMEMPVLQSENFQDNLDNRAFELTVYIGSFAYRTLYNFPTVLKLGRNKFRGAIPPEIGRLKFLQVLNLSSNSFSGEIPQPICNIKTLQVLDLSRNHLTGEIPQSLNELNFLAEFNVSNNDLEGPVPTGGQFDAFAKSSFGGNPKLCGSSLAITCGFSADAPLASILSAKPLIDKTVFVIAFSAFFSVGVLYDQMVLSRFFG >KQL27957 pep chromosome:Setaria_italica_v2.0:I:2072325:2076755:-1 gene:SETIT_017250mg transcript:KQL27957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRAASSAIRRPLPRLQLQARAMASSLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGQPVVLDCVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQKTFTYYHPESRGLDFSGLMNDIKNAPDGSFFLLHACAHNPTGVDPTEEQWREISHQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALKENLENLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTDEYHIYMTRNGRISMAGVTTGNVSYLANAIHEVTKAN >KQL27956 pep chromosome:Setaria_italica_v2.0:I:2072325:2076037:-1 gene:SETIT_017250mg transcript:KQL27956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSIKMIEESLKLAYGEDSEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQKTFTYYHPESRGLDFSGLMNDIKNAPDGSFFLLHACAHNPTGVDPTEEQWREISHQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALKENLENLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTDEYHIYMTRNGRISMAGVTTGNVSYLANAIHEVTKAN >KQL27958 pep chromosome:Setaria_italica_v2.0:I:2072880:2076624:-1 gene:SETIT_017250mg transcript:KQL27958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSRAASSAIRRPLPRLQLQARAMASSLFGHVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGQPVVLDCVREAERRIAGNLNMEYLPMGGSIKMIEESLKLAYGEDSEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDSQIYIPTPTWSNHHNIWRDAQVPQKTFTYYHPESRGLDFSGLMNDIKNAPDGSFFLLHACAHNPTGVDPTEEQWREISHQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCEDEMQAVAVKSQLQQIARPMYSNPPVHGALVVSIILNDPELKSLWLKEVKGMADRIIGMRKALKENLENLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTDEYHIYMTRNGRIRYDTSRFFITLMQCCISLYLLEDGENKLS >KQL28361 pep chromosome:Setaria_italica_v2.0:I:5372321:5375391:1 gene:SETIT_016411mg transcript:KQL28361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIKQVGERGIQMSGGQKQRIAIARAILKSPKILLLDEATSALDTESERVVQEALDLASVGRTTIVIAHRLSTIRNADMIAVMQYGEVKELGSHDELIANENGLYTSLVHLQQTRDSREANEVGGTGSTSAAGQSSSHSMSRRFSAASRSSSGRSMGDEENDNSTDKPKLPLPSFRRLLMLNAPEWKQALMGSFSAIVFGGIQPAYAYAMGSMISIYFLTDHNEIKDKTRTYALIFVGLAVLSFLINIGQHYNFGAMGEYLTKRVREQMLAKILTFEIGWFDRDENSSGAICSQLAKDANVVRSLVGDRMALVIQTVSAVLIACTMGLVIAWRLALVMIAVQPLIIVCFYARRVLLKSMSKKSIQAQSESSKLAAEAVSNLRTITAFSSQERILRLFDQAQDGPRKESIRQSWFAGLGLGTSMSLMTCTWALDFWYGGKLMAEHHITAKALFQTFMILVSTGRVIADAGSMTTDLAKGADAVASVFAVLDRETEIDPDNPEGHKPEKLKGEVDIRGVDFAYPSRPDVIIFKGFSLSIQPGKSTALVGQSGSGKSTIIGLIERFYDPLRGVVKIDGRDIKTYNLRALRRHIGLVSQEPTLFAGTIRENIVYGTETATEAEIENAARSANAHDFISNLKDGYDTWCGERGVQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMVGRTSIVVAHRLSTIQNCDQITVLEKGIIVEKGTHASLMAKGPSGTYFGLVSLQQGGNQH >KQL31308 pep chromosome:Setaria_italica_v2.0:I:36782344:36783342:-1 gene:SETIT_018339mg transcript:KQL31308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGKRGAIREKKGGRDGEKAAPPADDDCASSSDGEGGAAAAGLPMANLVRLIRQVIPKGVKVSTRAKHLTHDCAVEFVGFVAGEAAEQAKAQHRRTIAPEDFICAFQALGFDDYVQPMSTYTRRYHEHHNNAARGYRGSFVPRPPPPPPDVAVAEEAAVTAPGVPCFSDEEMQYLRSTVPSLHGEQDDEGSSSAYSPTPAGHGYGYTGDM >KQL30038 pep chromosome:Setaria_italica_v2.0:I:27671190:27676519:1 gene:SETIT_016707mg transcript:KQL30038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKPVDVKTVQRLSGADKKKLRRTAKERFPQASDADLDAILPPKGEITLAKYPNHALVYGIEGEFPMIFNIDARGHELFPTVYALWKVPHLLPAFTLKGGEVSRYVIGGADLMFPGISITPEGFPSFEAGQPWAVKVPGNPAPIAVGITTMSSTEALKAGLRGKALRIVHYYRDMLWDSADGRYVPNEGFFEDIVVEDPNFVSTSQSPDSTEETAEATHDREDAAVDTSDSADPAIHSEATEEITDGANELKLPEDKSTEQAPDEKEHHNLTTEEIDSLLDKCLLQALYTSIKEKDLPMPGSTVWANHILPCRPPGVTLDIKKSSHKKLSKWLQSKSSSGLISGKEDKYKEEFILVGINRKHPDYMAFKPEKRVQEPVEREKAVAESSVTKQLEVAEIYKPTSHVKPIFVAVEADMEKYYSASEASDIVFRYVEKENLVKPTDKAKVILDVTLCDALYKGAIKKGSAYPTEIHKKDLGSTFLNRMQVHHIVTRGTQEVIRKGAIRTIQIMTERRQGNKKMTRLSGLECFLMDPDSLASELQKKFACSTTTAELPGKKGQYEVLVQGGVIDDLAKHLVDHYGVPKRYIEVYDKTKR >KQL30801 pep chromosome:Setaria_italica_v2.0:I:33409808:33410282:1 gene:SETIT_020330mg transcript:KQL30801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLYYVRLISFFFGNIWTLSRLIRHGVMYKFRYLIVKLIS >KQL31053 pep chromosome:Setaria_italica_v2.0:I:35294657:35300075:-1 gene:SETIT_020036mg transcript:KQL31053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIGTDDRGLAAAVGAGGAGKEEEEGLGVDDDDEETSPIEQVRLTVPSTDDPSLPVWTFRMWSIGILSCALMSFLNQFFSYRAEPLIVTQITVQVASLPVGHFMARVLPRARFRAPRVLGGGEWSLNPGPFNMKEHVLISIFANAGFAFGAGNAYAVGIVNIIRAFYHRHISFFTGWLLVITTQVLGYGWAGLMRKYVVEPAHMWWPGTLVQVSLFRALHEKEEFPKGSRQITRSKFFAVALACSFAWYAVPGYLFPLLTSISWVCWVFPKSVTAQQLGSGMLGLGIGAFTLDWATVSAYLFSPLISPFFATVNIFFGYVLFVYVIIPTAYWGFNLYNAKTFPIFSNDLFVSNGTSYDITSIVNDQFVINKDEYNKLGKVNLSIFFALSYGLSFAAIAATTTHVGLFYGKEIYQRFRASRKEKPDIHTRLMKKYDDIPGWWFYSLMALSIIVSLLLCTVLKREVQLPWWGLIFACGMAFIFTLPISIITATTNQTPGLNVITEYAMGLIMPGYPIANVCFKTYGYMSMSQAIAFLSDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNIGVAWWLLGSIENICHVDPSSGSPWTCPNDRVFFDASVIWGLVGPREIFGRLGNYGALNWFFLIGAAGPVIVYALHRVFPSQRWIPMINLPVLLGATAIMPPASTVNYNAWLLIGTIFNFFVFRYRKGWWVRYNYILSAALDAGVAFMGVVLYFSLTMETKRIQWWGTAGEHCPLAFCPTAKGVDLGPDSVCPVF >KQL29094 pep chromosome:Setaria_italica_v2.0:I:10264054:10264656:1 gene:SETIT_019708mg transcript:KQL29094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEDDPQEGISTERAFEADPIPSLSETITLRSLVVSFILGVGLSAVAMKISLNSGFLPPLTIPAGLIGFYLSRAWIRVLDSFKVPHLPFTRQENTVIQTCVVACSAITFSDTG >KQL29152 pep chromosome:Setaria_italica_v2.0:I:10715886:10717269:-1 gene:SETIT_019380mg transcript:KQL29152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TILQQRRTLLLLIEMLLTWLHTITPPHLFFFLLAAVLPAGIVAARHLMSRRRAVYLVDYACFRPSPTYRVPTVRFMTRMLERSGIGDESSLPPADHYIPPYSSFGEALAEAELVVFSAVDDLFAKTRISPSDVNVLVVNCSVFCPAPSLADMIVNRYKLRGDVRCANLSGMGCSAGVIAVGLASSLLQAAPYGSHALVVSTETITSNFYVGLERAMQLPNILFRMGGAAALLSTSPAAARFRLRDVVRTLTAGAADGGSYRCVFQEEDAEGNTGVNLSKNLLAVAGDALTANITAVAPLVLPLSEQLRFALSLGAGKLFGGHARPPYRPDFRAAFEHFCVHAGGRAVIDRVQRSLGLSDEDVEPSRMTLHRFGNTSSSSVWYELAYVEAMGRMREGDRVWMLGFGSGFKCNSAVWECLRPASEPDRAWAGCIHRYPVSIHEV >KQL30790 pep chromosome:Setaria_italica_v2.0:I:33341873:33346118:-1 gene:SETIT_019629mg transcript:KQL30790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATESVKEDSDSDSSFGSYSTPPEYEPSPPRTCSRLDDLDPEYDPTADHQEGDVAASAPQPSATTPSSSAPKKQCGKRARNQIPEKGTLIIEMLGSKGKPILPEGIAARFRNICDAIVRDKLQTWTTASNGKNVPTTTKDVLWATLKEKFTFLEGQEDSARKFAEDLHGRCFRNWRSILNTEYVKKDKNAWDDFSRIPPQMWKEFVQQKNTPEAKALTEENTRKAMKAVENPRRLGAGEYMAKIAKWRREEEERRIDGLADLFEGLDECSRNWMLARISLAELQKNGVFKPEREGGQLTAAIGTVEHFGRVRVMSSTLPWGKAFQNNRQNTPGDDPKSPRPPSPPPQRTPSPPPQLPAVPRMVRTYENKDPSTQVDKFLNVLKIKASSSGEKSVACDPSRFSLALGITKIVIDLEDLFRLYRHQHLDTQLIQTWCLMQWKEEELTNSRYLVAYLDPA >KQL31138 pep chromosome:Setaria_italica_v2.0:I:35851800:35855986:1 gene:SETIT_018436mg transcript:KQL31138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVEEDVEEYSWREVVLPHLVPVVPDAPPELERETGERRRGRDLLVAVDFGPNSKHAFDWALAHIARIADTVHLVHAVSSVHNDIVYEKSRELMEDLAIEAFKTLLVRTKARIVEGDAGKVICREADRLKPAAVILGTRGRGLIQSVLQGSVSEYCFHNCKAAPIIIVPGKVRSNYVFAEAGEQSVL >KQL31137 pep chromosome:Setaria_italica_v2.0:I:35851800:35855986:1 gene:SETIT_018436mg transcript:KQL31137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVEEDVEEYSWREVVLPHLVPVVPDAPPELERETGERRRGRDLLVAVDFGPNSKHAFDWALAHIARIADTVHLVHAVSSVHNDIVYEKSRELMEDLAIEAFKTLLVRTKARIVEGDAGKVICREADRLKPAAVILGTRGRGLIQSVLQGSVSEYCFHNCKAAPIIIVPGKEAGEQSVL >KQL31864 pep chromosome:Setaria_italica_v2.0:I:40158677:40159141:1 gene:SETIT_020465mg transcript:KQL31864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWMQKLLEEYCPSRTNFFHDSGGGGSCWWW >KQL28459 pep chromosome:Setaria_italica_v2.0:I:6039933:6040112:1 gene:SETIT_019038mg transcript:KQL28459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFARARILIHPHSGERFIIYIKQKAMCSTSDRDTIDGELSSSSRL >KQL28676 pep chromosome:Setaria_italica_v2.0:I:7415006:7417447:1 gene:SETIT_016982mg transcript:KQL28676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASVPSSPRSNGTNVEEQIRSLTAAISSPSATIQTMIDGLSKLGTIYSCIDELICFPSSQRQQRKAVEEELECSLVLLDLCDAMQESFAEFRTSIQEMQLGLKRGDDVAVQAKAQSYARSVKKAQKQFKKINSKVALDTDNCRVIKLLSEARELALSMLESTLYLLSKEILMPSASKWSLVSKAFQKKRVACKEEQLQVLELEIVDLESELQIVFRRLIQSRVSLLNTLSLQLFSQSESIIKLGTQKKESTTASTTAMACHLRSASVPSSPRSNEIDVEEQLQSLNTTISSSSSTIGTMCDGLRNLGEVYNRIGELASLPSSQVSRQRKAVEQELERSLVVLDLCNAMLESFGELKEIILDMQLALKRGDDAAVQTKIQSYIRVAKKTQKQFKKINKKSAAADQESCKLIKTMSEAREIAASMLESSLQLLSKQIVTPSSGKWSLVSKAFQKRRVVCDEEQMQELELDIVGLESGLETLFRILIQSRVSLLNALSL >KQL31141 pep chromosome:Setaria_italica_v2.0:I:35864949:35868701:-1 gene:SETIT_016484mg transcript:KQL31141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGNNERMGISQRKSCPKAITGEAIVARCERIRDSLRRSLFLIQNMVPPALANQIAEVHNDLRDIKFILDPVEKEAGKAILQMLRQSDASEELELETFLQAASKLDLTSPKALLIERRAIKKLLDKVNGNDPKKEGVLKFFLYLIKKYGKSIRSDSGERNENSQPESQSSTPSTTSSDASPPEKCYTPTDFQTYEDHSSMSGGATPPVEFCCPISTKLMHDPVIISSGQTYEREYIERWFNEGYDTCPRTHMKLENFSMIPNTCMRDLICNWCKEHGFTVSHFIPPSENSYSYLPEQLHGYSVSSLHNVSVPLIAGKDNSFVIDHSNTSFALSDASYVSNASNARDMEDPKDISQFSWNADYQKYLSFHNFNQEMFLRFFHELSMLPLELQEKSIKDLKNVLDYENEVSYAMVLNGFVEAFLEFLRNDTGSYSVQAQKAGFQFFLTFLSNSRAKIPSMNEEAFHLITSFLDSELKVEALLTLHGLVQHLSSPRSHVMASVVTPPLFKMLASEDTEGLELSLRILCELSCDADIRSSLVSMGIISKLVPIFSEGSFVECCLEILRNLCDMEEAAVRITRTSRCLASVAEYLDVGSPKEREHAVVILLAICSRSIEDCLLVMKEGVIPALVDLSVNGTEEAKSCSTKLLHLLRDMRRSDQFTNSCSQEVAATDAVEDAPDNSVHKQPISKSSRFFQKKLNIFSKPRSLTLF >KQL31809 pep chromosome:Setaria_italica_v2.0:I:39868053:39871081:-1 gene:SETIT_017568mg transcript:KQL31809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILANLTKQPGPRRLTERDLWQEKKKPKRGGGGGSRWFLAEEDEDFEADFEDFQGESEESDLELGEGKDDDVVEIKPFAATSKDGLSTMTTAGYDGPAARSAKRKRKNQYRGIRQRPWGKWAAEIRDPQKGVRVWLGTFNSPEEAARAYDAEARRIRGKKAKVNFPDAPTVAQKRRSGPPAAKAPKSSVEQKPAVKPAVNSLANTNTYFYPPADYTLSKPFVQHENMPFPPAMNSASPIEDPIMNLHSDQGSNSFGCSDLSWENDTKTSDISSIAPINTIAEGDECAFVNSNSNNSLVPSVMETNPVDLTEGLTDLEPYMRFLLDDGASESIDSLLNVDGSQDVVSNMDLWSFDDMPMVGDIY >KQL28967 pep chromosome:Setaria_italica_v2.0:I:9259218:9261005:1 gene:SETIT_017971mg transcript:KQL28967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERDAEDAINRLDGVDFGRKGRRIRVEWTKEDRSAGRKGGSSRRSPTSARPTKTLFVINFDPINTRIRDLERHFDKYGRVANVRIKKNFAFVQFEVQEDATRALEGTNGSHFMDRVISVEYALRDDDEKGERGNGYSPDRRGRERSPARRRSPSPYGRGRERGSPDYGRGRERGSPDYGRGGSKRSPDYGSNGGGRGDERGSPNYDRERREASPRRERREASPRRERREASPGYDRPPSHSPGRDDRD >KQL28966 pep chromosome:Setaria_italica_v2.0:I:9259218:9261005:1 gene:SETIT_017971mg transcript:KQL28966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYIYDQHCTLLPRLITISSPIQPCQSHGRLERSGGFAFVYMEDERDAEDAINRLDGVDFGRKGRRIRVEWTKEDRSAGRKGGSSRRSPTSARPTKTLFVINFDPINTRIRDLERHFDKYGRVANVRIKKNFAFVQFEVQEDATRALEGTNGSHFMDRVISVEYALRDDDEKGERGNGYSPDRRGRERSPARRRSPSPYGRGRERGSPDYGRGRERGSPDYGRGGSKRSPDYGSNGGGRGDERGSPNYDRERREASPRRERREASPRRERREASPGYDRPPSHSPGRDDRD >KQL28327 pep chromosome:Setaria_italica_v2.0:I:5084658:5085607:1 gene:SETIT_019344mg transcript:KQL28327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIKAMALADAERVPPAWLQPLLEKNFFEDCPNHPLSNCNFFCTVCSDRAICTGCLPDHPGHQVIQIRKLSGHGVVRVADVEALLNVSDVQPYLHNGHHVMFLNKRPMAGRGRAGEIRCEECERALLDVACRFCSIGCKLAALPEDLDFTVSFAVPPESDSESGGSDSDYSADGDRPSGLTRTSAQEGGEAGTSAASKPQTTVAAEPSSGQHRQNNNSVPKNI >KQL28600 pep chromosome:Setaria_italica_v2.0:I:6945726:6948780:-1 gene:SETIT_018917mg transcript:KQL28600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLAFGLATCSSVRFSLPSRGQPPMAAVVEPRPGAAAVVERCMLQLDLLSSQADRHAWCALVSCKATGKGRPTE >KQL30259 pep chromosome:Setaria_italica_v2.0:I:29499676:29500719:-1 gene:SETIT_019768mg transcript:KQL30259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIPVFDLPLVGARPDESARLLAAARLLGCVPLELQASMKAAARALHELPVDAKRRSADVAPPDSGYVHPSATNPLFESLAVHDASAPADVEAFCDLIGAPPHIRATIGAYAEKTHELALDVAAKMTAGLGLRMNRYNYTAETVGSPGVQVHTDSSFLTVLLEDDRIGGLEVADPDTAEFEPVDLPLPGSLLVNIGDIATAWSNGELHNARHGVRCVAAAPRFTVRAPEALVDARRPRRFRAFSYGEYRRARHSTLGRAGEALSQFAI >KQL30059 pep chromosome:Setaria_italica_v2.0:I:27789159:27789430:-1 gene:SETIT_020470mg transcript:KQL30059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTHFPFGVFTTCLMYMCLSSPRQAFQASLPLLFIHMDQEKPDSLQPRATARRRRIRASILHQ >KQL31070 pep chromosome:Setaria_italica_v2.0:I:35403572:35407477:1 gene:SETIT_018052mg transcript:KQL31070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHDPNPFDEGADDNPFSNGGGGGGGARGGGGKSQFGFRPAEPVGFGGGRGDATVDIPLDTMNDSKGKAKELSQWESDLRRRDADIRRREEALRSAGVPMEDKNWPPFFPIIHHDIANEIPANAQKLQYLAFASWLGIVLCLFWNFIAVIVCWIRGGDSKLFFLATIYGMLGIPLSYLMWYRPLYRAMRTDSAFSFGWFFLCYLLHIGFCVFAAIAPPIIFRGKSLTGILAAIDTFSDHAIVGIFYFVGFALFCLETLVSIWVLQKVYMYFRGHK >KQL30082 pep chromosome:Setaria_italica_v2.0:I:27895224:27895649:-1 gene:SETIT_020396mg transcript:KQL30082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNGMKTSFSFETVLSHYPKNAEQWYWLLNYHFPFN >KQL28328 pep chromosome:Setaria_italica_v2.0:I:5095130:5096357:1 gene:SETIT_019174mg transcript:KQL28328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSARGTRMSKAKMIISLIDLGEQVKCTDTLPRWPTRGHHSDDNLEESSANAQPPKTTVQDQKQVYLMSLRHENKPVAKGNLVTTDSTHVVGGNMLGYEYVAVAVHIVSDIGDEDLPRPYDNICTVRDVIGYVIAWPRSHVKRPRGSTPKRP >KQL27725 pep chromosome:Setaria_italica_v2.0:I:269573:271176:1 gene:SETIT_018291mg transcript:KQL27725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEDCEPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVTYAPHFESLLDTKEKLEVRRKEVLGRIKSSAGRPEGTSQYSLAQGSSSGNHHQMNFNKREYLKTNHASHIEDPRFSHVPSNKVRGKND >KQL27726 pep chromosome:Setaria_italica_v2.0:I:269573:271176:1 gene:SETIT_018291mg transcript:KQL27726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDRDEPPAVRVYTVCDESKYLVVRNVPSLGCGDDLANLFGTYGPVEECKPMDAEDCEPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVTYAPHFESLLDTKEKLEVRRKEVLGRIKSSAGRPEGTSQYSLAQGSSSGNHHQMNFNKREYLKTNHASHIEDPRFSHVPSNKVRGKND >KQL27727 pep chromosome:Setaria_italica_v2.0:I:269573:271738:1 gene:SETIT_018291mg transcript:KQL27727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDRDEPPAVRVYTVCDESKYLVVRNVPSLGCGDDLANLFGTYGPVEECKPMDAEDCEPYTDVFFIKFSQVSNARFAKRKLDESVFLGNRLQVTYAPHFESLLDTKEKLEVRRKEVLGRIKSSAGRPEGTSQYSLAQGSSSGNHHQMNFNKREYLKTNHASHIEDPRFSHVPSNKDYFPSESMNATVKLVREKLDKIQSGDDNSNAAAASKKPRVDNRRRI >KQL32158 pep chromosome:Setaria_italica_v2.0:I:41578022:41581226:-1 gene:SETIT_017863mg transcript:KQL32158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSAFEPSPEMERFLCERLLDAEQPIAERFRALFSLRNLRGDAPRSALLQAARDPSNLLAHEAAFALGQMQDADAIPALVAVLKDLSLHPIVRHEAAEALGAIGLEKSIPLLEESLTADPAVEVQETCELALRRIEEQKKVNGAENTTNSPFLSVDPALPAKHGLSVDQLRDLLLNEQESMYERYAALFALRNDGGDAAVSAIVAALGVKSALLRHEVAYVLGQLQNKAASDALSMVLKDVCEHPMVRHEAAEALGSIADQESIALLEEFAKDPEPIVSQSCEVALSMLEYERSGKSFEFLFLQTPHVQ >KQL30672 pep chromosome:Setaria_italica_v2.0:I:32518712:32519890:-1 gene:SETIT_020556mg transcript:KQL30672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHLTYSKPASFSVPDVSKSTWQSLVVESELPVLVQFWASWCGPCKMIDPIVGKLSKEYEGKLKCYKLNTDDNPDIATQLGIRSIPTMMIFKNGEKKEAVIGAVPESTLITCIEKYVDGR >KQL28404 pep chromosome:Setaria_italica_v2.0:I:5632287:5633527:-1 gene:SETIT_019544mg transcript:KQL28404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMAMWKPAWLEALNTQKFFVACSFHEHAKKNEKNICCLDCCTSICPHCVSAHRVHRLLQVRRYVYHDVVRLEDLEKLIDCSSVQSYTINSSKVVFLKKRPQNRQFKGSGNICTSCDRSLQEPYFHCSLDCKVEYILRQKKNLSAYLRPCKILQLGPDFFIPHDADDDTTHSTLVDVDEPMGSSDSENLSAPCTNFVRKKRSGPYICARSANRVSDEDMATNMSRRKGVPHRSPLC >KQL28480 pep chromosome:Setaria_italica_v2.0:I:6157427:6159929:1 gene:SETIT_018870mg transcript:KQL28480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVMYLRGVPEDAEIEDAE >KQL30191 pep chromosome:Setaria_italica_v2.0:I:28763199:28764843:1 gene:SETIT_018450mg transcript:KQL30191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQVSGSGGNDGDAGTGMTAENNRVILRAQCTTTRRLALQPTSRDLTATGYGSNRLAWPCVDNRNCNHHHIHESQAFLPRSSRHRPPRAMAPRSRLLDLETQDVLFFYGDLVGVATHAIVFWPVLLAAALILRLIAPLLPHAAAACAGLYVAYRFLLHCAARSLSSSAGSPAAPSHTRPVVCA >KQL27986 pep chromosome:Setaria_italica_v2.0:I:2323504:2325104:1 gene:SETIT_020173mg transcript:KQL27986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSLVTARVIGDVLDPFYSSIDLMVLFNGMPIVSGMELRSPTVSERPRVEIGGDDYRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDTYGREAMCYEAPNPATGIHRMVLVLFRQLGRETVYAPSRRHNFSTRGFARQYNLGAPVAAMYFNCQRQNGSGGRRFTGPYTSGRHAAA >KQL32066 pep chromosome:Setaria_italica_v2.0:I:41166487:41173663:-1 gene:SETIT_016694mg transcript:KQL32066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRRRRRGDLAVAAVLAALVLAAVGVAGGDGGAEFDYRKLSGIIIPGFASTQLRAWSVLDCPYSPFDFNPLDSVWLDTAKLFSAVNCWLKCMLLEPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKEWVKWLTFEIALKLRGGPSLVFAHSMGNNVFRYFLEWLKLEIAPKHYIQWLDEHIHAYFAVGAPLLGSTEAVRAVLSGTTFGLPVSEGTARLMFNAFGSSLWLMPFSKYCKADNIYWKHFFEGKGGCPHRQQCDEAEYISDYSGWPTDLVRIEVPSVRDMGAYPSIMDITENITSSMECGKPTLLSFSAREVSDGTLFKTIEDYDPQSKALVYQLEKYYQGDPVLNPLTPWERPPIKNVFCIYGIDSKTEVGYYFAPSGKPYPDNWIITDVIYEFEGALLSRSGNSVSGKPNNSSGDGTVSFNSLSWCKNWLGPKVNITRAPQAEHDGSDLQTTMNIDHHHGQDIVPNMTRAPHVKYITYYEDAESLPGWRTAVWELDKANHRNIVRMPVLMRELWLEMWHDMHPESKSKFVTKAFRGPLRNEDCRWDYSKARCGFPEHCEYRYIFGDVHLGMSCRLKKTSTNLLQQYL >KQL30145 pep chromosome:Setaria_italica_v2.0:I:28380260:28380606:1 gene:SETIT_020543mg transcript:KQL30145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIQPFMIQIVKPRGVCFFLLRGSFHEQYGLVSAINGYFIP >KQL28472 pep chromosome:Setaria_italica_v2.0:I:6094369:6095242:1 gene:SETIT_018423mg transcript:KQL28472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPAGGEHPMAMVHGPTAASPSSGTRLPAPHSPWQSPVPYLFGGLAAMLGLIALSLLALACTYWKLSGNLLAAGEPEDAERQGGTGSRRGDGGGKAAAAGEAGLAGDHWREHVVVIMAGDERPTFLAMPASGRGVDADDVAVDVGVGCGDGDEEGRCVECGARSRPAGDELMSRSEQSGVVSGSSVISER >KQL31207 pep chromosome:Setaria_italica_v2.0:I:36277178:36278804:-1 gene:SETIT_017737mg transcript:KQL31207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGTTTNGLPNGAAAKGERAGDGTAVFRGTAYAPLRTTVALALWLGAIHFNVFLVLASLFLFPRRVAALVLATQLFFMFVPVNDKSRLGRKIARFISRYVIGYFPVTLHLEDYDAFDPSRAYVFGYEPHSVLPIAVGILGDLVGFMPLPKMKILASSAVFYTPFLRQIWTWLGLAPASRKSFYSCLGAGYSCIIVPGGVQEILHMDHDSEVAFLKSRKGFVKIAIEMGCPVVPVFAFGQSYVYKWWRPSGKLIVKIARAIKFSPIIFWGKLG >KQL31208 pep chromosome:Setaria_italica_v2.0:I:36275566:36279052:-1 gene:SETIT_017737mg transcript:KQL31208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGTTTNGLPNGAAAKGERAGDGTAVFRGTAYAPLRTTVALALWLGAIHFNVFLVLASLFLFPRRVAALVLATQLFFMFVPVNDKSRLGRKIARFISRYVIGYFPVTLHLEDYDAFDPSRAYVFGYEPHSVLPIAVGILGDLVGFMPLPKMKILASSAVFYTPFLRQIWTWLGLAPASRKSFYSCLGAGYSCIIVPGGVQEILHMDHDSEVAFLKSRKGFVKIAIEMGCPVVPVFAFGQSYVYKWWRPSGKLIVKIARAIKFSPIIFWGKLGTPIPFATPMHVVVGRPIEVVKNPQPTTDEINEVHKQFVAAMQELFEKYKARTGYPDLQLRVL >KQL31307 pep chromosome:Setaria_italica_v2.0:I:36777641:36778639:1 gene:SETIT_019601mg transcript:KQL31307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCIFGICNNAMGERRELNPRMRLGRWMATTPPSGATLRMDGFERRGGRKREGEEKGKEERKRRRSHRTSRRAASGRSQSCLVTARGEVAITVAKEAAAVPRAFTTPTATPYRDVVDAVIATLRCDVALPQPIERVPHARPNACGTRDPAGTPDPE >KQL29109 pep chromosome:Setaria_italica_v2.0:I:10335191:10335467:-1 gene:SETIT_019699mg transcript:KQL29109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCRHKIIITGTPIQNNLEEFHTLMDICKPLLLEDHDSFHVKYILPITGGSTGMRSLI >KQL29140 pep chromosome:Setaria_italica_v2.0:I:10637259:10638782:-1 gene:SETIT_020201mg transcript:KQL29140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLSPAPPPDASPTTSPMSSPTLGTAATRPVVSLPRPALPSPASGSPCPARRPVVAFGAATGRSKAQRWLDGSPSSTQSGVSPISFKDALLKRASQVTSPASSLETTSPHVVKASSLEASPPQIMLAPALEAPSARVVLHPTDPRLRCGPDAEDWWTTESRRTRRTHLRVAQPPRRPVPVDLRGRCFNCFSSEHRAAGCRLAPYCFHCWAIGHRSYVCRRRPSAPCLESSLELRRVWRPVRSFMATPPPPVSSMVTIQNATTGVGAPGRQRRSRSRGQRADAGVEQPSSNVPGTSPDEMVAGDAPLSASSDKERAPVVRPRRIINRSTTMVQREKELSHTLVVSVFGNSLDESPESVKATIAQRFGLEEDEIVIRRFGAASCLIALPDAVTATRVYNEGRPIMSHTHRLHIMPWSRFLHSTAVALPFPVEVKLRGIPAHAWDLVTAEQLLNEFCLVSGLHPDTADRRDVFRLTAWCSSPSRMPTGMDLELTIVPRGLSPLNRKGE >KQL28276 pep chromosome:Setaria_italica_v2.0:I:4756554:4760499:1 gene:SETIT_020181mg transcript:KQL28276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLRRARHLRLRRGLSSSSVAGAAALPPPRATAGRRVVVTGLGTVTPLGRGVGATWDRLVAGRCAVRALAAEDLRLQGETAGRTLEQLPSRVVAAVPRGKGDDEFDEEAWTKDKSISGFISYALCAADEALRDANWLPSEDEKKERTGVSIGGGIGSISDILDASQMIIENRLRRLSPYFIPKILINMASGHVSIRYGFQGPNHAAVTACATGAHSIGDATRMIQFGDADVMVVGGTESSIDALSIAGFSRLRALSTKYNSSPCSASRPFDCGRDGFVIGEGCGVMVLEALDHAKERGAKIYAEIRGYGMSGDAHHITQPQHDGRGAILAMKRALDQSGLSANEIDYVNAHATSTPLGDAVEANAIKTIFGDHAASGDLALSSTKGAIGHLLGAAGSVEAIFTVLAIHHGVAPPTLNLEQPDPLFEGAFTPLAAARKMPIRAAISNSFGFGGTNTSLLFSSPP >KQL28390 pep chromosome:Setaria_italica_v2.0:I:5531137:5535119:1 gene:SETIT_017359mg transcript:KQL28390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVPGAAASSPLPRALLLLAALGLFTLSFLSLRSLRPAAGPSLATATASSLAAAAADKPRPGLPLRTSSSVYHSPDAFAAGYAEMERNFKVYIYPDGDPKTFYQTPRKLTGKYASEGYFFQNIRESRFRTDDPDEAHLFFVPISPHKMRGKGTSYENMTVIVKDYVEGLINKYPYWNRTLGADHFFVTCHDVGVRAFEGLPFMVKNSIRVVCSPSYNVDFIPHKDIALPQVLQPFALPEGGNDIENRTILGFWAGHRNSKIRVILARFWENDTELAISNNRISRAIGELVYQKQFYRTKFCICPGGSQVNSARISDSIHYGCVPVILSDYYDLPFNDALDWRKFAVVLRERDVYQLKSILKSISQEEFVSLHKSLVQVQKHFVWHSPPLSYDAFHMVMYELWLRHNVIKY >KQL29541 pep chromosome:Setaria_italica_v2.0:I:20481657:20482506:1 gene:SETIT_018840mg transcript:KQL29541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMSARGRSDSKRIDLNKRKRFQAHSWKMTTSSYTAFHPTMKLTQSSGRHSHGCRPPVGASAVALSPIITGALASPPGKQMLLVRQVPPALP >KQL30055 pep chromosome:Setaria_italica_v2.0:I:27763203:27764179:-1 gene:SETIT_019830mg transcript:KQL30055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKAWMYGIRRHSHTFISEVSKFVDVAEKHARICKTKQIHCPCFDCSNNIVWEDTDVIKRHLIKRDFVNGYTIWSHHGEAGGTFNNTDIDTDTDEVGGGDANKNDNVMMDGDYDRGDQNGDQAYARVEPHVDEERDVDMEDMLRQIEPEVLLGSAKGLENFETLKKAANDCIIRACRNHCILYCGEYAALEKCPNCDASRYKSNANFCEDRASSSIRNKRKKGAKKNVGAQVEDEYLCWQVANVAASREWSVLVGG >KQL27677 pep chromosome:Setaria_italica_v2.0:I:75134:76424:1 gene:SETIT_017130mg transcript:KQL27677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAESKQQQSNTTSLFLCNINSFLPSLQHQLPSLPVQGAGISRSSSTLPMEHAHGGTLCAEDQKKPLTVTSCLLPARSLRSTAAVAPAAQAQSQADGDLGIRSLSFSKILSFRMARAPSSLSTNSDNYIDQLDHHVAAAKGNSTKQEREEEEKLKQVCRSQSVPASVSRFKLTKGLRRVAAEENIRVFRLRVVPLVPPEAGVTAAGEEAAAAEDIAAEEAVCRICMVALSEEAVLKLECCCKGELALAHRGCAIKWFSIKGNGTCDVCSKEVLNLPVTLRRLHDHPPSIIHQAQGAQQQADADRTAATTPSRYRVWHGTPILVIISMLAYFCFLEQLLVGDHGTAALAISLPFACVLGLFSSLTTTKMVSRRYVWIYSAVQFLFIVLFTHLFYRYVRSSL >KQL27676 pep chromosome:Setaria_italica_v2.0:I:74818:77420:1 gene:SETIT_017130mg transcript:KQL27676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAESKQQQSNTTSLFLCNINSFLPSLQHQLPSLPVQGAGISRSSSTLPMEHAHGGTLCAEDQKKPLTVTSCLLPARSLRSTAAVAPAAQAQSQADGDLGIRSLSFSKILSFRMARAPSSLSTNSDNYIDQLDHHVAAAKGNSTKQEREEEEKLKQVCRSQSVPASVSRFKLTKGLRRVAAEENIRVFRLRVVPLVPPEAGVTAAGEEAAAAEDIAAEEAVCRICMVALSEEAVLKLECCCKGELALAHRGCAIKWFSIKGNGTCDVCSKEVLNLPVTLRRLHDHPPSIIHQAQGAQQQADADRTAATTPSRYRVWHGTPILVIISMLAYFCFLEQLLVGDHGTAALAISLPFACVLGLFSSLTTTKMVSRRYVWIYSAVQFLFIVLFTHLFYRYVRMQAVIAIILSTFAGFSVAICTNSVLLQILKWRAKHVASSTTTTTGEDGHGSREPPAADLETALPPP >KQL32188 pep chromosome:Setaria_italica_v2.0:I:41706846:41712793:1 gene:SETIT_016843mg transcript:KQL32188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSPAVLLLLAALAGVAAAGDIVHQDDEAPKIPGCNNDFVLVKVQTWVNKREKDEFVGVGARFGPKIESKEKHANRTRLLLADPSDCCTPPKEKVAGDILLVERGNCKFTTKAKVAESAGASAIIIINDKHELYKMVCERNETDLDIGIPAVLLPKDAGTKLQSLLSSGEVLVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREADIEQEKLLKDGHEIPPNLEAGGSSGTVDINMASAILFVVIASCFLITLYRLMSHWFLELLVVIFCIGGVEGLQTCLVALLSMSRRFKPAAESFVKVPFFGAVSYLTLAVCPFCIVFAVLWGVYRRWRYAWIGQDILGITLIVTVIQIVRIPNLKVGSALLSCAFLYDIFWVFISKMLFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLIAFALRYDWAAKKTLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTIGTFLALGLKRGELRNLWTRGQPERACTHTHPSPKDSADPVSSS >KQL32187 pep chromosome:Setaria_italica_v2.0:I:41706846:41711535:1 gene:SETIT_016843mg transcript:KQL32187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSPAVLLLLAALAGVAAAGDIVHQDDEAPKIPGCNNDFVLVKVQTWVNKREKDEFVGVGARFGPKIESKEKHANRTRLLLADPSDCCTPPKEKVAGDILLVERGNCKFTTKAKVAESAGASAIIIINDKHELYKMVCERNETDLDIGIPAVLLPKDAGTKLQSLLSSGEVLVQLYSPDRPLVDTAEVFLWLMAVGTILCASYWSAWSAREADIEQEKLLKDGHEIPPNLEAGGSSGTVDINMASAILFVVIASCFLITLYRLMSHWFLELLVVIFCIGGVEGLQTCLVALLSMSRRFKPAAESFVKVPFFGAVSYLTLAVCPFCIVFAVLWGVYRRWRYAWIGQDILGITLIVTVIQIVRIPNLKVGSALLSCAFLYDIFWVFISKMLFHESVMIVVRMNDHCVAMPSTRPSSFFFSFMVC >KQL30132 pep chromosome:Setaria_italica_v2.0:I:28305867:28309111:-1 gene:SETIT_019466mg transcript:KQL30132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTWELENTVRQKLLVPEQLPSSYDTAWVAMVHAKGAPQAPRFPLFVEWILQNQHNDGSWGLGHLDPCSLGKDAISSTLSCILALKTWNIGDEHIRKGLCFIEKNSSYIMDEKCSAPLGFNIIFPGMIRLGIDLGLEFPLKQSDVDGIFRLREIELRQSMALGRKAYMAYIAEGLADVQDWDGVLTYQSKNGSLFNSPSATAALAIHGHNANALKYLEFLVNKLGSSAPTIYPSNVHSQLCMIDVLQNMGISYYFAYEKNNILDMTYRSWLQYDEEIIMDMETCAMAFRLLRMHGYDISSDAMSHFADESRFQESLHGHINDTKTLLKLYKASQVCIYEDECNLQNIGSWSGKLLHEQLSSNRLSIPIMRHEVEGALKFPFHLATVGPLEHKRNIEHFNTKGIRMQKSAFLACQAAEDILALATQKFHISQSLYKKELGCIERWAKEAGLNRFKFTRAVSLDLPIFMASTIFPPELYHASIAWIQNSILTTIVDDFFDGGGSTEELENLVTLIEKWDAHAGIGFCSEDVEIMFYAIYNTNNQIGAKAAEVQNRRVIGHIAKVWVNAVRANMTEAEWTRKRYVPTMQEYMPVAEVTMVLGPIVSPSLYLVGPELSEDIVRGSEYKDLLRHASICCRLLNDIQTYDKEKSQGIINSVLLQVLGHGASSSEAAKREILGLVADSRRELLRLVLSKEATIPRSCRDIFWNTYKLGHLFYSQGDGFSMSQELAVAVNAVVHEPLQVTPPSRLKRG >KQL31096 pep chromosome:Setaria_italica_v2.0:I:35570463:35572819:1 gene:SETIT_017100mg transcript:KQL31096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSLSISSEKSRAAAPRPPLQEAGSRPYMPSLSTGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAGVVASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVSFADAASSNLQAKPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGVHEGRVGSLAWNNSILTTGSMDGNIVNNDVRIRNHVVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLEDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKTAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAPKPAAKASYTGMFNSFNHIR >KQL31325 pep chromosome:Setaria_italica_v2.0:I:36908233:36910628:1 gene:SETIT_017894mg transcript:KQL31325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLQLIDWETESYPAYPDFAAIPFFAIFFLAARFLLDRFVFEWLARKLIFKNEDEKLDPTTYAGKRKIRKFKESAWKCVYFLSGELLALSVTYNEPWFTTTRNFWVGPGDQVWPDQKIKFKLKAVYMYVTGFYTYSIFALLFWETRRSDFGISMTHHVATVCLIALSYIFRFARVGSVVLAIHDATDVFLELGKISKYSGHELLADVSFLVFVSSWVLLRLIYYPFWILWSTSYEVVLTLDKEKHKFDGPIYYYVFNSLLFSLLVLHIYWWVLMYRMLVKQIQNRGHVGDDIRSDSEGEEEHED >KQL31218 pep chromosome:Setaria_italica_v2.0:I:36312030:36315682:1 gene:SETIT_017075mg transcript:KQL31218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSANEGIEGVRFAVTGGQGFVGAALCLELLRRGAREVRSLDLRAASPWSRQLLDAGVRTFQGDIRKKDDVGRAFRGVDCVFHLASYGMSGKEMVQAGRADEVNINGTCNVLDACHEHGVRRLVYVSTYNVVFGGKPIVNGNEALPYFPIEDHVDAYGRSKSVAEQLVLKSNGRPAKGDKSTRLYTCAIRPAAIYGPGEERHLPRIMSMAKLGLAFFKIGGPDVKTDWVYIDNLVLALILASMGLLDDIPDRKGIPVAAGQAYFICDGSPCNTFEFIISPLFRSLGYAVPRVTLDTSVALSISKIFLFISTMFYPWLDSKWIPQPLILPAEVYKVGVTHYFSFLKAREELGYVPMVSPREGLAATISYWQERKRRELDGPTIFTWLAVTIGMLAIFSAACLAPIGPLKWVLDIHLFVFRSMLVIRLVFATAVVLHFGEGVYAWFLAKRVDPRNATGWFWQTFALGIFSLRYLLKRARG >KQL31743 pep chromosome:Setaria_italica_v2.0:I:39514893:39518288:-1 gene:SETIT_019645mg transcript:KQL31743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSLRKMVNDHMRSTLTFDNKQPLFASQDIDYVQPIACVSYPYNDSGSGGVWAAYGSRASAATVFHPKIAGGGTSARIPLPLELAENEPIYVNPKQYHGILRRRQLRAKLEAQNKLVRARKPYLHESRHLHAMKRARGSGGRFLNTKQLQQQQQSHTASTRSTANGTSSSGSTHLRLGGGTAGNQAMPTTKAMASHDNCKKAVASAPAFTVTPMLRRDDAFFQHPSHHLSFSGHFGQASAQAGMHKGTQQRVPVMR >KQL29761 pep chromosome:Setaria_italica_v2.0:I:24263512:24266230:1 gene:SETIT_016461mg transcript:KQL29761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADPSTQDAMEEEALKAKHAAERKFHARNIKGARRSAIKAHNLCPTLEGISQMISTLDVHLASESKIDGESDWYRILSLTAWADEEEVKKQYRKLALQLHPDKNKSVGAEAAFKLISEAWSVLSDKSRKMLYDQKRRDHSAINGTNGLYACDMKANKRARKNAAAAASAAVEATTCPSGADTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFMAVETGFPCNGTSSSFTWTTKAQQQKNHTTVDHSYQSASRTSSIPGTGHGAYQQENTYESYNNQSFQFNQYPRTTGAAGANGYSTQALEKSKRKHENYIYNYFSSSNEYPSGRGRHSKRRRNINNGYAYASVDCDVETVAATAGMTVIADAGRVNGTSGEKFRSAVSGRKANVLREIFQLDTRALLLEKAKTAVREKLQGLNILSSSQFAEKRKTDRREKHVENNIKVNGIFSDNPINKCKKYSSKYADVEIPATDELNPEQKRVPVSIDVPDPDFHDFDKDRTERAFGNDQVWATYDSEDGMPRLYAMVQKVISMKPFRIRMSFLNSKSNSELAPINWIASGFTKTCGDFRVGRYQITETVNIFSHRVSWTKGPRGIIRIIPKKGDTWAVYRNWSSDWNELTPDDVIYKYEIVEVIDDFTEEQGVNVIPLLKVAGFKAVFHRHTGPDVVRRIPKEELFRFSHRVPSRLLTGEEKNNAPKGCHELDPAATPVDLLKVIEDVKEDTVQGSSD >KQL30549 pep chromosome:Setaria_italica_v2.0:I:31594497:31600351:1 gene:SETIT_017146mg transcript:KQL30549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIGGKFKLGKKIGSGSFGELYLGVNIQSGEEVAIKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNFCSRRFSLKTVLMLADQMIARVEYMHTRGFLHRDIKPDNFLMGLGRKASQVYVIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSIEALCKSYPSEFGTYFHYCRSLRFEDKPDYTYLKRLFRDLFIREGYQHDYVFDWTARQAADNDRLRLSGRGGLVGPSADRAAARQAVPDRFPGPVDAFGRRIDSGSGHYGEQHTKHRTLLDTLLAPKTTVDSDRRRPSSSRNGSTSRKALLSSSRGSGDPSDPNRSSHLLPTSSGSSRPSTNQRLHQSTGLEGRTSSFSKPGRIVHDDTTMRNFERLTISADRRK >KQL28008 pep chromosome:Setaria_italica_v2.0:I:2510311:2514326:1 gene:SETIT_019834mg transcript:KQL28008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRRADAVGWLRTLFPDVPLPPPPQATDDDLRAALANGRLLCALLRRLLPGALLDDAASDNVGRFRAAVERMGVPTFSAYDLERGELSAVVTCILALKDRFSSRLGEDHRSSAFLTRCDSEGGRRNMESKLQRVLSSPIMSEPYSPSFGADAYSPSRVFQPKQGYSDLPGCKISDLMKSSSLENAPTQSLLGVANSILDESIERKNGQIPYRTACLLRKVIVEIERRISTQAGHIRNQNNLIKAREEKYQSRIRVLEALACGASGQTHVERDKLEGKGQLAEDDMARLMQYEEDLVRLMKEKEDMIRLLKEKEDMIRLLKEKEDMVRLLKVKEDTGNLNNDKVDMLLKEKDDTVVRLTKENEDMVRLLKDKEDIIMLMKEKEDMFNVKDVTVEDTQQTTDESKDRLLKEKDAIVIQLTKEKEEMISSLKEKEDIIRLMKEKEDMVNLAGVEVSDRKQAIDDDRDRLIKENNDALSRLTMEKEDITKLLKEKEDVIRLMKEKEDKTDTKKDNVEDRKQASGEDADRSIEEKGGINRLMKEKEDYSNTIMKLKQDLESLRSSHEESCKLLESKKGDVVKLLTDKEMNENIILKLRQELEATKKLHEAHSQQLETKAAKVNKELEQRIKEIELMLEDSTKRRRELEESAQSTIQFWKEKQIVVDNFVGLQVKNAQDLRLSSVSIRHEILNCQKRWFEELAGLGQNLKVVTNAAEKYHAALADNRKLFNEIQELKGNIRVYCRIRPFRPWEDEKSTSVEYIGENGELVLSNPTKKGKEGGKNFTFNKVFGPTTTQDMVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMMGPENATEKEWGVNYRALNDLFNISHDRQDTIKYELGVQMVEIYNEQIRDLLGSGGSQKKYPSYSRLVSV >KQL29937 pep chromosome:Setaria_italica_v2.0:I:26657563:26665095:1 gene:SETIT_016284mg transcript:KQL29937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALASLSSLCPCGVARRRAASASASASASTSTSVSISCCAVAIPSSGKGPQESRTPRKKLRRTEGATKSLEDSVKRKLEQFYEGVDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSTTPIFASSFTMELIKKRLKEFGIFLSSRLKVLRIKKRFQAGPFEVEPIRVTHSVPDCCGLVLRCGDGIIFHTGDWKIDESPVDGKIFDREALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSVKAAADLTGRKMVFVGMSLRTYLEAAFKDGKAPLDPSTLVKVEDMDAYAPKDLLVVTTGSQGEPRAALNLASYGGSHALKLSKEDVLLYSAKVIPGNETRVMKMMNRLTDLGPKIIMGKDSGLHTSGHAYRDELEEVLRIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSSGFVSLGKEDFQLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSMEIIRPQKEHASTQSGLKGKFKITTRCLWLDNGRLLDALYKAAHAALSSCPVNCPLSHMERMVAEILRKMVRKYSGKRPDVIAVATENTTAGFSEHLEAKSSGNFGPSSATSHLSRSPARSLEGSYKTHPDNPDVEAEETLPEAVSTTPDDATTSSNGEAFFSSDLHQPKTLEHFWESFKSPTAVKIARIVNGGNKQNLGKIGILGKDPTQSAPAPVKSSKKNKWKPEEIKSLIQMRGEMNEKFQSVKGRMVLWEEISGSLMNQGISRTPAQCKSLWTSLVQKYEESKKDEESMKTWPYFSDIDRILSCEGEMATK >KQL29938 pep chromosome:Setaria_italica_v2.0:I:26657563:26665275:1 gene:SETIT_016284mg transcript:KQL29938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALASLSSLCPCGVARRRAASASASASASTSTSVSISCCAVAIPSSGKGPQESRTPRKKLRRTEGATKSLEDSVKRKLEQFYEGVDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSTTPIFASSFTMELIKKRLKEFGIFLSSRLKVLRIKKRFQAGPFEVEPIRVTHSVPDCCGLVLRCGDGIIFHTGDWKIDESPVDGKIFDREALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSVKAAADLTGRKMVFVGMSLRTYLEAAFKDGKAPLDPSTLVKVEDMDAYAPKDLLVVTTGSQGEPRAALNLASYGGSHALKLSKEDVLLYSAKVIPGNETRVMKMMNRLTDLGPKIIMGKDSGLHTSGHAYRDELEEVLRIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSSGFVSLGKEDFQLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSMEIIRPQKEHASTQSGLKGKFKITTRCLWLDNGRLLDALYKAAHAALSSCPVNCPLSHMERMVAEILRKMVRKYSGKRPDVIAVATENTTAGFSEHLEAKSSGNFGPSSATSHLSRSPARSLEGSYKTHPDNPDVEAEETLPEAVSTTPDDATTSSNGEAFFSSDLHQPKTLEHFWESFKSPTAVKIARIVNGGNKQNLGKIGILDAGRNE >KQL29939 pep chromosome:Setaria_italica_v2.0:I:26657563:26665275:1 gene:SETIT_016284mg transcript:KQL29939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALASLSSLCPCGVARRRAASASASASASTSTSVSISCCAVAIPSSGKGPQESRTPRKKLRRTEGATKSLEDSVKRKLEQFYEGVDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDEFGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSTTPIFASSFTMELIKKRLKEFGIFLSSRLKVLRIKKRFQAGPFEVEPIRVTHSVPDCCGLVLRCGDGIIFHTGDWKIDESPVDGKIFDREALEELSKEGVTLMMSDSTNVLSPGRSISESVVAGSLLRHISEAKGRVITTQFASNIHRIGSVKAAADLTGRKMVFVGMSLRTYLEAAFKDGKAPLDPSTLVKVEDMDAYAPKDLLVVTTGSQGEPRAALNLASYGGSHALKLSKEDVLLYSAKVIPGNETRVMKMMNRLTDLGPKIIMGKDSGLHTSGHAYRDELEEVLRIVKPQHFLPVHGELLFLKEHELLGRSTGIRHTTVIKNGEMLGVSHLRNRRVLSSGFVSLGKEDFQLMYSDGDKAFGTSTDLCIDERLRIASDGIIFVSMEIIRPQKEHASTQSGLKGKFKITTRCLWLDNGRLLDALYKAAHAALSSCPVNCPLSHMERMVAEILRKMVRKYSGKRPDVIAVATENTTAGFSEHLEAKSSGNFGPSSATSHLSRSPARSLEGSYKTHPDNPDVEAEETLPEAVSTTPDDATTSSNGEAFFSSDLHQPKTLEHFWESFKSPTAVKIARIVNGGNKQNLGKIGILGKDPTQSAPAPVKSSKKNKWKPEEIKSLIQMRGEMNEKFQSVKGRMVLWEEISGSLMNQGISRTPAQCKSLWTSLVQKYEESKKDEESMKTWPYFSDIDRILSCEGEMATK >KQL29384 pep chromosome:Setaria_italica_v2.0:I:14681023:14682069:1 gene:SETIT_019750mg transcript:KQL29384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITKQKFGNEHEMSINEFSHYLLFPGLFVAFTYNKKQPPAFGAAPAFWCILLSFLGLSFRHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCWIPSFYGFLFCYQGRPQSHNVSKRRGYRETFLFSFVSNFVKNSILSLQQKSGAAPKLYTPFVRRTLVDSELRSQSKHPFNGPALFNAPLDPVLKMSFALLGAGRSRGSREGKRTNLLLHLARDEKERASSIDEQQIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNRIVALHSPPMRKDAAEKNGTLLRSAGCVGSHIRSSLFTRSFKHF >KQL32269 pep chromosome:Setaria_italica_v2.0:I:42010600:42013022:1 gene:SETIT_016489mg transcript:KQL32269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVLVAIAAAIGNMLQGWDNATIAGAVLYIKREFHLEAHPAMEGLVVATSLIGATVITTFSGPVSDSVGRRPMLIASSLLYFAAGLLMLWSPNVHVLLLSRLVDGFAIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFAMTLAPQPDWRLMLGVLSLLSLAYLLLTVLYLPESPRWLVSKGRMKEARAVLQMLRGRDDVAGEMALLVEGLGTAGDTAIEEYIVGPAPPPQAEDDDGDRGVTLYGPERGMSWVAQPLPLGAQGSMLGSVIGGLGSRQGSVLDHLRDPVVALLDSVHDIKAPAPPPGPGGGSMLFSNLGSMLSFHDNNVDWDEENAAAEQDRSLSDDDDLGAPLLDGPQETATTTMGIGGGWQLAWKYADGPESGVVKRMYLHEEAGGAGEGGGVHAAALVSRSALYLHGSKQQLQADGPAIMHPKAEERPSRWLELLQEPGVRHALVCGVTMQVLQQLSGISGVLYYTPQILKQAGVSVLLANLGLSADSTSILISGLTTLLMLPAIGVAMRLMDVSGRRSLLLWTIPVLIVSLVVLVVASVVPMAAAVHAAVATGSVMTYLCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLAFWLADIAVTYSLPVMLNCVGLAGVFGFYAVVCCLALAFVALRVPETKGLPLEVITEFFNVGARGLAPTHLAEDEDGDEDDEDRHRRH >KQL29848 pep chromosome:Setaria_italica_v2.0:I:25487370:25490349:-1 gene:SETIT_019789mg transcript:KQL29848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDLLSDIRPEQYHWTICVRISRMWEFHGKSDDEEIKHLDLVIIDKKGNIGTSMYVEIPPDSIPVLKPQLEEGKVVTIKKFVVQQAKHEYRVVQNPYMIKLNKRTIITAVEPDPAMFPKITCMLTPFSELEQHKNMRDKFLAVSNTANFHIGAGTIQTRRTITLRDVSGMTVNLSLAGAREIEFDGDEVYAIGQETPVVAIFVGTPMKGSRGQPSYLSGTSAYMDTRFQCTVTITKLSPNQGWYYLACKKCSKDTCPCTEVEDRYKLSFMAADETYELEFVEFDQKAQQLIGKPIQRLQSIYNKFDTPAEISNLISQRYTFIVKVSAKKSMISDEPSYDVIYIKEKFGRQTNIPVFRKTNSLTGTSSSQTVQRSLPSLIPMEPKKVQRKNQHQEININDPSKIQY >KQL28604 pep chromosome:Setaria_italica_v2.0:I:6980209:6980970:-1 gene:SETIT_018981mg transcript:KQL28604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQFMETQKNIYSWYKMNNANAHIASNQFSRSNCSTYEQCAAPGQHTSAGLYLLLVIKRK >KQL30046 pep chromosome:Setaria_italica_v2.0:I:27717335:27719598:-1 gene:SETIT_0164622mg transcript:KQL30046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVSEHIETLEEIDVEYKELALESGIKNWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSKRDELPPPVIVWEWGWTKSAETWNGRAAMLAVLALLVLEVTTGEGFLHQWGILPPIR >KQL28523 pep chromosome:Setaria_italica_v2.0:I:6430204:6439614:-1 gene:SETIT_016884mg transcript:KQL28523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAIGMMTMRRAAALGARHILAASSSSRVVPLRHMSADAGAAMEKIRAAGLLRTQGLIAGQWVDAYDGKTIEVQNPATGEVLASVSCMGSRETSDAIASAHSTFYSWSKLTANERSKALRKWYDLIIAHKEELALLMTLEQGKPMKEALGEVNYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGALNVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSADTVKKVSLELGGNAPCIVFDDADIDVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFIKAVQSLQVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANVMLGGKRHSLGMTFYEPTVVGNVSNDMLLFREEVFGPVAPLIPFKTEEEAIHLANDTNAGLAAYIFTRSIPRSWRVSESLEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGVDEYLELKYICMGNMG >KQL28522 pep chromosome:Setaria_italica_v2.0:I:6430204:6439477:-1 gene:SETIT_016884mg transcript:KQL28522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADAGAAMEKIRAAGLLRTQGLIAGQWVDAYDGKTIEVQNPATGEVLASVSCMGSRETSDAIASAHSTFYSWSKLTANERSKALRKWYDLIIAHKEELALLMTLEQGKPMKEALGEVNYGASFIEYFAEEAKRIYGDIIPPTLSDRRLLVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVVKPSEFTPLTALAAADLALQAGIPAGALNVVMGNAPEIGDALLQSTQVRKITFTGSTAVGKKLMAGSADTVKKVSLELGGNAPCIVFDDADIDVAVKGSLAAKFRNSGQTCVCANRILVQEGIYEKFASAFIKAVQSLQVGNGLEESTSQGPLINEAAVQKVEKFINDATSKGANVMLGGKRHSLGMTFYEPTVVGNVSNDMLLFREEVFGPVAPLIPFKTEEEAIHLANDTNAGLAAYIFTRSIPRSWRVSESLEYGLVGVNEGIISTEVAPFGGVKQSGLGREGSKYGVDEYLELKYICMGNMG >KQL30630 pep chromosome:Setaria_italica_v2.0:I:32287832:32291044:1 gene:SETIT_017596mg transcript:KQL30630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVTSGSGGGPVIAEVEMNGGADPSATTVRATVVQASTIFYDTPATLDKAERLIAEAAGYGSQLIVFPEAFIGGYPRGSTFGYGISICIANPKDKGKEAFRRYHAAAIDVPGPEVTRLAAMAGKYKIFLVMGVIEREGYTLYCSVLFFDPLGRYLGKHRKLMPTALERIIWGFGDGSTIPVYDTPLGKIGALICWENKMPLLRTALYGKGIEIYCAPTADSRPVWQASMTHIALEGGCFVLSANQFCRRKDYPAPPEYEFAGLGEEPSADTVVCPGGSVIISPSGEVLAGPNYEGEALITADLDLGEIVRAKFDFDVVGHYARPEVLSLVVNDQPQLPVSFTSSADKASDVKSDNITKSY >KQL29673 pep chromosome:Setaria_italica_v2.0:I:22839593:22842225:-1 gene:SETIT_020015mg transcript:KQL29673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLCRNLHPHFSTIVHVPLILLCTLPSPTIAATNINNPSVTEKSSVDRQALLSFKLQLSNDPLGALASWRNGSANFCNWQGITCSKKHTNRVIALDLRSKGLVGQIALSVSNLSFLTTIDLSDNHLHSAIPDAIGGLKRLRKLNLSMNSLDGMIPAALSSLSSLEEISLWNNLLTGEIPSNLSRCSNLKFIHFSSNKLQGRIPPWIGTLPALQVLNLSGNNFVGNIPDSLGTTPSLTFVNLGQNYLTGGIPHNITNSQYLQYLVLPYNSLSGRIPPELFNSSSLLVLDLKRNNFTGVIPSVNTVSSPLFSLTLSDNSLSGSIPISLANFSSLSHFTLILQANRFEGPIPASLVNASSLEVLDLGLNSFHRFIPRLGTLTMLKELDIGVNHLEKQDWSSLFYLTNCSNLVKLLLDDNKFSKIGLPLGVFSLLSLLCILRFYPSFLTSIACHLDLDL >KQL29989 pep chromosome:Setaria_italica_v2.0:I:27321907:27325119:1 gene:SETIT_017660mg transcript:KQL29989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAARRRFRWVKEWVPQDLVVAGGPCALFKWVREDRLAALKAKDKEQGAESATPEPNTEVLFLCSYEGCGKTFFDAGALRKHAHVHGERQYICHYDNCGKKFLDSSKLKRHFLIHTGEKNFVCPHEGCGKAFSLDFNLKAHMKTHSADNYHVCQYPECGRRFTQESKLRAHIRSQHEKVVGLQNPGVSTVNHNALGDYHQPPKPVKASATPPAPSAERPYVCPYDGCDKAYIHEYKLNLHLKKEHPNHYQDNGPQGAAPSSKRSISKSSHRSKPDITARIPPPKIPKRKGGYAAPLPAVTAPEEHQWSRKATYEDDSEETEEEGDNNVGDAWRYSKPASSDDEETEDEE >KQL28130 pep chromosome:Setaria_italica_v2.0:I:3621808:3625564:-1 gene:SETIT_016169mg transcript:KQL28130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTHADFHALMSFKSLIRRDPSLALASWGSNGSLPLCQWRGVTCGAQGQRRGRVIALDLSDLGLLGTISPSIGNLTYLTRLQLPLNHLGGTIPEKVGRLLDLRHVNLSYNSLEGGIPASLTECKQLENISFASNNLSGEIPQAMGVLTSLRALHMLHNKLEGPIPHTLGLLQSLELLNLYNNSLTGSIPSEIGNLTNLVSLNLSYNHLTEFANAGKSTHGAHCIPSFLGNLSSLTILNLGTNSFEGEIVPLQTLSSLTVLVLQENKLHGSIPSWLGNLSSLVYLSLGENSLTGSIPESLGKLRRLSSLVLAENNLTGSIPSSLGNLHVLSEFYLEENQLTGSIPSPIFNLSSLRIFFVSFNQLTGSLPIGNRVNFPVLQIFNIAFNRFQGAIPSWLCNSSMLSVLSADMNMISGIVPPCIGHRQKSLSFLTLAMNQLQANSNDGWGFLFSLTNSSQLKFLDFSRNKFQGVLPTAVANLTTNLKAFSVYNNMISGNIPESIGNLVSLSHLFMSNNYFDGSIPSSLGRLQMMSFLDLGTNNLSGHIPPTLGNLTLLNKLYLGQNSLSGPVPSSIGSCPLQLFDVQHNMLSGPIPKEVFLISSLSNFMYFQSNLFTGSLPLQYLKMQGNFLHGIIPESMERLKGLEVLDLSHNNLSGNIPRFLGGMKGLASLNLSSNNFEGEVPKDGIFLDTSAIAIEGNQGLCGGISELNLPLCSTHTTNRRSWELIIILISSAVLLLSVVLTLFAFWHNRSKAQRANTDQSLMNDLHIRLGYAELVHATDGFASRNLIGVGSFGSVYKGRMMIHDQQVTIAVKVLNMQQRGAVQSFVAECNTLRSVRHRNLMKILTVCSGIDFRGHNFKALVYELLPNGNLDRWLHQHPEENGEYNILSIIRRLSIAIDVASALDYLHQHKPSPIIHCDLKPSNILLDTDMVGHVGGFGLARVLHQDHSVISEKSSGWARMRGTIGYTAPEYGLGNEVSILGDVYSYGILLLEMFTRKRPTDSESEKHSAFINIVEDAIITCITSVLHIGISCSKDNPNERMQIRDALNELQTIRDNLAQHSAP >KQL28843 pep chromosome:Setaria_italica_v2.0:I:8430100:8435565:-1 gene:SETIT_017027mg transcript:KQL28843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRAALLLLLLAALAPLLLLVAPACSAGPGVFQVRRRFPADGGGGGGGAAALRAHDGRRHGRLLAAADLPLGGLGLPTDTGLYFTEIKLGTPPKRYFVQVDTGSDILWVNCISCDRCPRKSGLGLDLTLYDPKGSSSGSTVSCDQGFCAATYGGKLPGCTANVPCEYSVMYGDGSSTTGFFVTDALQFDQVTGNGQTQPGNASVTFGCGAQQGGDLGSSNQALDGILGFGQANTSMLSQLSAAGKVKKIFAHCLDTIRGGGIFAIGDVVQPKVKTTPLVPDMPHYNVNLESIDVAGTTLQLPAHVFETGEKKGTIIDSGTTLTYLPELVFKEVVFEVFKKHQDIKFQNVQDFMCFQYSGSVDDGFPTITFHFEDDLALHVYPHEYFFPNGNDLYCVGFQNGALQSKDGKDIVLLGDLVLSNKLVVYDLENQVIGWADYNCSSSIKIKDDKTGATYTVNSHDISSGWRFYWHRSLVLLLVTVVCSHLIC >KQL28844 pep chromosome:Setaria_italica_v2.0:I:8430556:8435565:-1 gene:SETIT_017027mg transcript:KQL28844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRAALLLLLLAALAPLLLLVAPACSAGPGVFQVRRRFPADGGGGGGGAAALRAHDGRRHGRLLAAADLPLGGLGLPTDTGLYFTEIKLGTPPKRYFVQVDTGSDILWVNCISCDRCPRKSGLGLDLTLYDPKGSSSGSTVSCDQGFCAATYGGKLPGCTANVPCEYSVMYGDGSSTTGFFVTDALQFDQVTGNGQTQPGNASVTFGCGAQQGGDLGSSNQALDGILGFGQANTSMLSQLSAAGKVKKIFAHCLDTIRGGGIFAIGDVVQPKVKTTPLVPDMPHYNVNLESIDVAGTTLQLPAHVFETGEKKGTIIDSGTTLTYLPELVFKEVVFEVFKKHQDIKFQNVQDFMCFQYSGSVDDGFPTITFHFEDDLALHVYPHEYFFPNGNDLYCVGFQNGALQSKDGKDIVLLGDLVLSNKLVVYDLENQVIGWADYNCKC >KQL31983 pep chromosome:Setaria_italica_v2.0:I:40764541:40765600:1 gene:SETIT_018631mg transcript:KQL31983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARAVAVATPAAPPRAADPSTNLAPRRCSLYRVSCRQNPRVAAPVGLAATRSRGTRGPARLSSRDPAEAETDAGAGRIPKDDSSYLWTLGLGSVGGAAVIKYGSILLPDITRPNIVLALLMVSLPVVAAVLILLKASSSED >KQL29581 pep chromosome:Setaria_italica_v2.0:I:21562458:21565150:1 gene:SETIT_019885mg transcript:KQL29581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGGYTVHQSLTAEAAAVLKLSLGLARRRGHAQVTPLHVAYTLLGASSSPPPLFAAAAASTPAYGLLRRACAKSSHRSGGVCAPAHPAQCRALELCFNVALNRLPTANAVAGSPLSSPCSSSASSTSFAASILHQPSPTLSNALVAALKRAQASQRRGCVELQTQPPSPPGLPSTSPQQQQPMLTIKVELDQLIISILDDPSVSRVMKEAGFSSAAVKTNLEEESAAMMLGPGHHHGSSTPSSPAAAPAVPPQSFLETYAAGFPSAYGGSASWPAPFLNYQQADVESESPCKEEDVRAILEVMSRKQGRRTNPVVVADSVSVAEASVAVLMTRLERGDVPDELRGARVLRLHLSHAHVRLMTSADVDACVADLRRAVAAAAAATSTKTGGLVIYVGDMRWAIDDDDEAARNQAASDGFSPAARLAAELARLLGELRAASLGGRAWLVAAASYGTYMRCQRSSSSLEAEWALQPVAVPSGAGAGLGLGLALGPRAATRETDGKVAQLAQFPWLDFLPREEDGVPVLCVECARNYEIEASAVRAKAEGTNLALTFFPGWPQADEPQTSHKDLMELKRKWSRLCRRVHLRRNQPTRLPNATTSSNPGLCLSFGTNEIKYQDVKTTLSLLPPDSAETPDEACRHRSEDMDAMQATAQKSDTMVDSRDMKNVLQLWIDELPSGDLKRKPENVRLPRESKRRRGGCGLDLNLCADEEENQDGDSAGASSEDELVPSDLTNDGEASGDVSVTDSFDSLC >KQL31017 pep chromosome:Setaria_italica_v2.0:I:35050483:35053759:1 gene:SETIT_017199mg transcript:KQL31017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPALLKDAVGGLDRDGFVALLSKLIGESARLQNDPPAHMPQEDLVAQHVVDALRPVSTETGGGPLVVRKVSYAEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANPSEWDFDPFSLTFDSEDKDKLRGRGTTDCLGHVALVAQLMRRLGEVKPPLKHSVIAVFIANEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINSMEMNMEALKEIQKRFYTDFPPHEKEKVYKFATPSTMKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTSHVVEKLKGYVEDINERLETVLDTRGPASKYVLPDENLRGRLEITFDGDVMNGVACNLESRGFKALCKATEEIVGHVEPYSITGSLPLIRELQVWRGA >KQL31018 pep chromosome:Setaria_italica_v2.0:I:35050389:35054617:1 gene:SETIT_017199mg transcript:KQL31018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPALLKDAVGGLDRDGFVALLSKLIGESARLQNDPPAHMPQEDLVAQHVVDALRPVSTETGGGPLVVRKVSYAEGRSNVIVEYPGTVPGRVVSFVGMHMDVVPANPSEWDFDPFSLTFDSEDKDKLRGRGTTDCLGHVALVAQLMRRLGEVKPPLKHSVIAVFIANEENSSVTGIGVDGLVKDGLLDKLKTGPLFWIDTADKQPCIGTGGMIPWHLKATGKLFHSGLAHKAINSMEMNMEALKEIQKRFYTDFPPHEKEKVYKFATPSTMKPTKWSYPGGGLNQIPGECTISGDIRLTPFYSTSHVVEKLKGYVEDINERLETVLDTRGPASKYVLPDENLRGRLEITFDGDVMNGVACNLESRGFKALCKATEEIVGHVEPYSITGSLPLIRELQDEGFDVQTAGYGLLKTYHAKNEYCLFSDMTQGFQVFVSIISQLEEEA >KQL32270 pep chromosome:Setaria_italica_v2.0:I:42013624:42014202:-1 gene:SETIT_019336mg transcript:KQL32270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTPASLLLSGSGNPSPLPPPLPLVHGHAAGEQQAISVDSDTVVILASLLCALICVAGLALVARCTCRRAGGGGGGSGSSGISAAAQAQQPPRGLKKAAIEALPTMSMSLEGRDGERGECAICLGLFAEGDELRVLPHCAHGFHAPCIDTWLAAHASCPSCRAAVAVGTCRRCGAACADGDLDLADDAAAR >KQL30227 pep chromosome:Setaria_italica_v2.0:I:29074682:29075234:-1 gene:SETIT_019576mg transcript:KQL30227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVIRVEMTCDRCRSKALSLVAATRGVDSVALAGDARDQLVVVGDGVDSICLASALRKKVGPAEIVQVAAEAKKEGGGDKKPPAAAAAVPLPPYVPSAWYYQYPPPQQPLSFVYEPPAAGYAYGYRARPDSICSIM >KQL30363 pep chromosome:Setaria_italica_v2.0:I:30096199:30096530:1 gene:SETIT_020549mg transcript:KQL30363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPVEHQGHQQPNQKQDETKQLFTRTPHVWRA >KQL29039 pep chromosome:Setaria_italica_v2.0:I:9865008:9869083:1 gene:SETIT_017115mg transcript:KQL29039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKLAKLREAVAGLGQISENEKSGFLSLVARYLSGDEELIEWAKIHTPTDEVVVPYDALESSPEDIEATKKLLDKLAVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGSNVPLLLMNSFNTHEDTLKIVEKYANSSIEIHTFNQSQYPRVVADEFLPWPSKGKTDKDGWYPPGHGDIFPSLMNSGKLDLLLSQGKEYVFIANSDNLGAIVDMKILNHLIHKQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVEGVKVLQLETAAGAAIRFFDHAIGINVPRSRFLPVKATSDLQLVQSDLYTLVDGFVTRNSVRTNPSNPSIELGPEFKKVGSFLSRFKSIPSIVELDSLKVSGDVWFGFGIVLKGQVTITAKPGVKLEIPDGTVIENKDINGPDDL >KQL29565 pep chromosome:Setaria_italica_v2.0:I:21329271:21330638:-1 gene:SETIT_020062mg transcript:KQL29565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRREPQPNARVNQGVRNMSPGLKSSESDQALRRARSVPTSPDRRLSPSPASSSSNTCRPSSSFSTRTTSSRSTSGSGSSSTHGKTLHSASLASAKQCNTMRKKVEKPGATSVWPAALATPNASSKNMTRTAKSPSIVQKSNLSTRPGIEKMATSSVKLKTQRSVAGPLGAGKSQDASSTRAPGTVAKRRTGAQNSISIQRTRSVPEGQIETPKIEEQDVELLMEFDETESISTSSIEEHLQERLPDPVDLQSVDVNSKPSSSQEEYKNENTGDISEEKHERKDNEDLNAGDNADVGINSDINILKEANSETELKEAADETELKEDVSATELKESVDVNVTELNEAVSEAELNEANDEIKLTEADCETVLKEAASETELKDDVAEPKLIVQEEAKPKEEKIMLPAKTMELAQRWRKDDGRSNEVTEEGRSKPIQERKNKVMALVGRFETAMSS >KQL32106 pep chromosome:Setaria_italica_v2.0:I:41390213:41394735:1 gene:SETIT_017032mg transcript:KQL32106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRKHQGHVVVRSVASHFSLAPSPCRPGRGRGPRASGTLTGNNCQNRRARAFSHSSFAYPTTRRPTPPVRHHKVAVAGGRGPQDYRTSHVTWFLQLPLHVRPVQPGPPVRRGWRQLRPAIPLLFVFLPPPTSRRSERCTSGRAKREGKEVRRRGRGEEPGKMQYKNLGRSGLRVSQLSYGAWVTFGNQLDVKEAKALLQACRDAGVNFFDNAEVYANGRAEEIMGQAIRDLGWRRSDVVISTKLFWGGQGPNDKGLSRKHIVEGLKGSLKRLDMDYVDVVYCHRPDASTPIEETVRAMNWVIDQGWAFYWGTSEWSAQQITEAWAVANRLDLVGPIVEQPEYNLFSRHKVESEFLPLYSTYGIGLTTWSPLASGVLTGKYGKGNIPADSRFALDNYKNLANRSLVDETLRKVNGLKPIAAELGVSLAQLSIAWCASNPNVSSVITGATKESQIVENMKALEVIPLLTPEVIDRIEAVVQSRPKRTESYR >KQL31442 pep chromosome:Setaria_italica_v2.0:I:37543514:37553260:1 gene:SETIT_016137mg transcript:KQL31442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCLAPHFLLPLPTASRHALPQTQTPPLPLLLLPSRASRLLHLASARSPSRAAASVSDDDEDEDEEVDVDDDDDEIGIRDADDEDYDEYEDGDEGDEEVVDEESVGEDDEEAEDEDGEREDTSVRRRESEEYKSRRVAKLVAEVREFGEDIIDYNELAGIYDFPIDKFQRLAIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFRDFRNTFGDHNVGLLTGDSAINKDAQILIMTTEILRNMLYQSVGMTASEGRLFQVDVIVLDEVHYLSDISRGTVWEETVIYCPKEVQLICLSATVANPDELAGWISQIHGKTELVTSNKRPVPLTWHFSKKYALQPLLDGKGKKMNRKLRMSNFQNLASPKNDFYYVKGKRRLRANKNEQGNRSPLDISKQVQLSKHELSNMRRSQVPLIRDTLSQLWESDMLPAIWFIFSRRGCDAAVEYLEDCRLLHDCEASEVELELRRFKMQYPDAVRESAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRIDAGRQLLTPNELFQMAGRAGRRGIDTVGHAVLVQTPYEGPEECCDIIFAGLEPLVSQFTASYGMVLNLLAGSKVTHNQKESDDLKVKRSGRTLEEARKLVEQSFGNYVGSNVMVAAKEEIERIQQEIQYLSSEITDESIDRKCREELSEEDYAEISLLQKRLKEEKQIRNELKKRMELERMAAWKNRLEEFESGHLPFMCLQYKDKDSVHHTIPAVFIGNLNSFADQKIANMVEEDSLGSGKHKADTGEQLYCPSYYVALSSDNSWYLFTEKWIKTVYKTGLPAVPSVEGGTLPRETLKQLLLREEMMWDKLAKSEYGSLLSMDGSLDTWSWSLNVPVLNSLSEDDEVERFSQEHQDAVECYKQQRRKVSHLKKTIKSTKGFKEFQKIIDMRNFTKEKIERLEARSRRLTRRIKQIEPTGWKEFLQISKVIQEARALDINTQVIYPLGETAAAIRGENELWLAMVLRNKVLLDLKPSQLAAVCGSLVSEGIKLRPWKNSSYVYEPSSVATGVISYLEEQRNSLIDLQEKHNVKIPCEIDAQFAGMVEAWASGLTWREIMMDSAMDDGDLARLLRRTIDLLAQIPKLPDIDPVLQKNAQIACSVMDRVPISELAG >KQL31294 pep chromosome:Setaria_italica_v2.0:I:36742251:36745922:1 gene:SETIT_016972mg transcript:KQL31294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTALHPQFRPPLRAPGRLRPLPHSSYSSFARARPRTPVRASAASASAPVQQEAVAGVPWGCEIESLESAASLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSRPEVGDVMKKNAVPDWPLIATYLISEASLEGSSKWSNYIAALPRQPYSLLYWTRAELDAYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLDKSDKCYKEKLQALKRNGLSASESFPLRVTGWPVELMAYAFLVVSPPDMSQRFEEMAIAASNKNSSKPGFNYPELEEQALQFILDCCESNIAKYTKYLEVTHPFHGISCRTHYLIS >KQL31293 pep chromosome:Setaria_italica_v2.0:I:36742251:36745922:1 gene:SETIT_016972mg transcript:KQL31293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTALHPQFRPPLRAPGRLRPLPHSSYSSFARARPRTPVRASAASASAPVQQEAVAGVPWGCEIESLESAASLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSRPEVGDVMKKNAVPDWPLIATYLISEASLEGSSKWSNYIAALPRQPYSLLYWTRAELDAYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLDKSDKCYKEKLQALKRNGLSAMAS >KQL31297 pep chromosome:Setaria_italica_v2.0:I:36742251:36746753:1 gene:SETIT_016972mg transcript:KQL31297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTALHPQFRPPLRAPGRLRPLPHSSYSSFARARPRTPVRASAASASAPVQQEAVAGVPWGCEIESLESAASLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSRPEVGDVMKKNAVPDWPLIATYLISEASLEGSSKWSNYIAALPRQPYSLLYWTRAELDAYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLDKSDKCYKEKLQALKRNGLSASESFPLRVTGWPVELMAYAFLVVSPPDMSQRFEEMAIAASNKNSSKPGFNYPELEEQALQFILDCCESNIAKYTKYLEGGNGSPQVSINAKQANRTLLLKQLANKLCISERRILYRTQYILRRRLRDMRGGELRALSLFNGLRKLFK >KQL31298 pep chromosome:Setaria_italica_v2.0:I:36742251:36746669:1 gene:SETIT_016972mg transcript:KQL31298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTALHPQFRPPLRAPGRLRPLPHSSYSSFARARPRTPVRASAASASAPVQQEAVAGVPWGCEIESLESAASLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSRPEVGDVMKKNAVPDWPLIATYLISEASLEGSSKWSNYIAALPRQPYSLLYWTRAELDAYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLDKSDKCYKEKLQALKRNGLSASESFPLRVTGWPVELMAYAFLVVSPPDMSQRFEEMAIAASNKNSSKPGFNYPELEEQALQFILDCCESNIAKYTKYLEGGNGSPQVSINAKQANRTLLLKQLANKLCISERRILYRTQYILRRRLRDMRGGELRALSLFNGLRKLFK >KQL31296 pep chromosome:Setaria_italica_v2.0:I:36742251:36746669:1 gene:SETIT_016972mg transcript:KQL31296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTALHPQFRPPLRAPGRLRPLPHSSYSSFARARPRTPVRASAASASAPVQQEAVAGVPWGCEIESLESAASLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSRPEVGDVMKKNAVPDWPLIATYLISEASLEGSSKWSNYIAALPRQPYSLLYWTRAELDAYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLDKSDKCYKEKLQALKRNGLSASESFPLRVTGWPVELMAYAFLVVSPPDMSQRFEEMAIAASNKNSSKPGFNYPELEEQALQFILDCCESNIAKYTKYLEGGNGSPQVSINAKQANRTLLLKQLANKLCISERRILYRTQYILRRRLRDMRGGELRALSLFNGLRKLFK >KQL31295 pep chromosome:Setaria_italica_v2.0:I:36742251:36746737:1 gene:SETIT_016972mg transcript:KQL31295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTTALHPQFRPPLRAPGRLRPLPHSSYSSFARARPRTPVRASAASASAPVQQEAVAGVPWGCEIESLESAASLERWLIDSGLPEQRLAIQRVDVGERGLVALKNIRKGEKLLFVPPSLVITADSEWSRPEVGDVMKKNAVPDWPLIATYLISEASLEGSSKWSNYIAALPRQPYSLLYWTRAELDAYLVASPIRERAIQRITDVIGTYNDLRDRIFSKHSDLFPEEVYNIETFLWSFGILFSRLVRLPSMDGRVALVPWADMLNHSPEVETFLDFDKSSQGIVFTTDRSYQPGEQVFISYGKKSSGELLLSYGFVPKEGTNPNDSVELLVSLDKSDKCYKEKLQALKRNGLSASESFPLRVTGWPVELMAYAFLVVSPPDMSQRFEEMAIAASNKNSSKPGFNYPELEEQALQFILDCCESNIAKYTKYLEGGNGSPQVSINAKQANRTLLLKQLANKLCISERRILYRTQYILRRRLRDMRGGELRALSLFNGLRKLFK >KQL29867 pep chromosome:Setaria_italica_v2.0:I:25742592:25745146:-1 gene:SETIT_017980mg transcript:KQL29867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAADSKGGMGGMNMVTTVMAFSVSAFFVLFVFTRLLCARLHLSRAAAADRAAGDAFVVNVRRRAFPLPLSAVLCYPPLLFDGSDSCRESTLRAAVQVERGIHGLQPSVVTTFPTVKLSDGGPQRPPVPEESQCTVCLEEYEAKDVVRVLPTCGHAFHAPCIDAWLRQHPTCPVCRASLRAKQSSGNRATPLDYSVLVASAAATRAAPAASTTQVPASSSDITAASPQADGRHRTDTGTDGRLEIVIEEPASPGDQSPAAAVTGGGGSHSPCGEAARQSGSGAGASEHC >KQL29866 pep chromosome:Setaria_italica_v2.0:I:25742592:25745146:-1 gene:SETIT_017980mg transcript:KQL29866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAADSKGGMGGMNMVTTVMAFSVSAFFVLFVFTRLLCARLHLSRAAAADRAAGDAFVVNVERGIHGLQPSVVTTFPTVKLSDGGPQRPPVPEESQCTVCLEEYEAKDVVRVLPTCGHAFHAPCIDAWLRQHPTCPVCRASLRAKQSSGNRATPLDYSVLVASAAATRAAPAASTTQVPASSSDITAASPQADGRHRTDTGTDGRLEIVIEEPASPGDQSPAAAVTGGGGSHSPCGEAARQSGSGAGASEHC >KQL29925 pep chromosome:Setaria_italica_v2.0:I:26516803:26517531:-1 gene:SETIT_018682mg transcript:KQL29925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKLALFLALSVLAFAAAVHGCTPYCPTPPVVPTPPVVPTPSGGGSCPINALKLQVCANVLNLLKLNIPGLGNDQCCPLLQGLVDLDAAVCLCTAIKANILGINLNVPIDLRLLLNHCGKVCPADFTCPL >KQL30491 pep chromosome:Setaria_italica_v2.0:I:30974033:30974481:1 gene:SETIT_0201163mg transcript:KQL30491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGNLDTWLHPRPTADLKHLDLIQRVNIAVSIADALAYLHHDCGNPIVHCDLKPTNILLDDDMNAHLGDFGIASLVLDSRVWSVCSCINMWGMFY >KQL31492 pep chromosome:Setaria_italica_v2.0:I:37910508:37912126:-1 gene:SETIT_019159mg transcript:KQL31492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLDLAPFVLHLGGGDSVKCAAGGCKVAKCNSSVNENAIREKATRNASAGHALCCYPAPTSSELPTYSAYRCADYQLGEKTWFSYQHFRAISCSQRSSSQDELGCLSEVDFASLWAQVEEDDARRKSKEGDCEKALIKLEPGSGSVQKCAEMQGHRRSVLGKKALTFELVSRHFCMPIKQAAQELNVGVTVLKKRCRELGIPRWPHRKELGKLTEQEDGNLTKSKVESLQQEKKLIEERPEVMLDERTKELRQMCFKEKFKRRQQLIMGHGTW >KQL27754 pep chromosome:Setaria_italica_v2.0:I:406824:412429:1 gene:SETIT_017406mg transcript:KQL27754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRSSPRRLPNSYAAAAASPPPSIPPSSPSTRRHLPPPTRHLLLAVAPPPSIPPSPPSTRRRPPPPTRRLLLSGGRRRKQKAPMATLVAADSSTGLRRRRRTALVARVLPPPPLGRQQLEPADQGASQAAPRYLIDPPLRCASPIAATSSPVTRAPPPPLPTLAHRRGRASPTRRSAAAPSTPSACSPSSPPSAASIRRWGIWFLDYLPTRDCRPFLLKFRTDGSARCFQMFAEATGALFHSGAEKKRKGVWIEVDNYEDQSKRSSVVASEGSTVTAAASAGSTATSGRCRRPPRASGGGGGGGEKAPRRVEAIMQWFSRSQAGPATENDICVAVGDNSGMSKTIRWLLKQEGGLRRAGTGGLLDPYVYMKWVKWYVDVVVSFLHIPGVLFMFSVLVVGQ >KQL27753 pep chromosome:Setaria_italica_v2.0:I:406824:409467:1 gene:SETIT_017406mg transcript:KQL27753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRSSPRRLPNSYAAAAASPPPSIPPSSPSTRRHLPPPTRHLLLAVAPPPSIPPSPPSTRRRPPPPTRRLLLSGGRRRKQKAPMATLVAADSSTGLRRRRRTALVARVLPPPPLGRQQLEPADQGASQAAPRYLIDPPLRCASPIAATSSPVTRAPPPPLPTLAHRRGRASPTRRSAAAPSTPSACSPSSPPSAASIRRWGIWFLDYLPTRDCRPFLLKFRTDGSARCFQMFAEATGALFHSGAEKKRKGVWIEVDNYEDQSKRSSVVASEGSTVTAAASAGSTATSGRCRRPPRASGGGGGGGEKAPRRVEAIMQWFSRSQAGPATENDICVAVGDNSGMSKTIRWLLKQEGGLRRAGTGGLLDPYVYMKWVKWYVDVVVSFLHIPGVLFMFSVLVVGQ >KQL30358 pep chromosome:Setaria_italica_v2.0:I:30085794:30088467:1 gene:SETIT_018629mg transcript:KQL30358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKEPKKAGGRVKWDEENLNDIESNKPEREKITEPKTPYHPMIDEDEGPVSPLQLSEEPVDKSAHADAIKTALAEAVSSGKIFDRNSWESCDNEEAIKQSTAFEEHRKVHYDEYHKMKELLQKGTMTDDADEDESEPDNRKE >KQL30360 pep chromosome:Setaria_italica_v2.0:I:30086342:30088467:1 gene:SETIT_018629mg transcript:KQL30360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKEPKKAGGRVKWDEENLNDIESNKPEREKITEPKTPYHPMIDEDEGPVSPLQLSEEPVDKSAHADAIKTALAEAVSSGKIFDRNSWESCDNEEAIKQSTAFEEHRKVHYDEYHKMKELLQKGTMTDDADEDESEPDNRKE >KQL30359 pep chromosome:Setaria_italica_v2.0:I:30085794:30088467:1 gene:SETIT_018629mg transcript:KQL30359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKEPKKAGGRVKWDEENLNDIESNKPEREKITEPKTPYHPMIDEDEGPVSPLQLSEEPVDKSAHADAIKTALAEAVSSGKIFDRNSWESCDNEEAIKQSTAFEEHRKVHYDEYHKMKELLQKGTMTDDADEDESEPDNRKE >KQL28559 pep chromosome:Setaria_italica_v2.0:I:6701527:6705525:-1 gene:SETIT_017403mg transcript:KQL28559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRSVGTLGEADLRGKKVFVRADLNVPLDDAQKITDDTRIRASVPTVKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASAADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIYTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDIVVADKFAPDAESKIVPATAIPDDWMGLDVGPDATKTFNDALDKTQTIIWNGPMGVFEFEKFAAGTEAIAKKLAELTTTKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDEA >KQL28558 pep chromosome:Setaria_italica_v2.0:I:6702091:6705332:-1 gene:SETIT_017403mg transcript:KQL28558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRSVGTLGEADLRGKKVFVRADLNVPLDDAQKITDDTRIRASVPTVKFLLEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVDVVMANDCIGEEVEKLAAALPEGGVLLLENVRFYKEEEKNDPEFAKKLASAADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLAKVDILILGGGMIYTFYKAQGYAVGKSLVEEDKLELATSLIEKAKAKGVSLLLPTDIVVADKFAPDAESKIVPATAIPDDWMGLDVGPDATKTFNDALDKTQTIIWNGPMGVFEFEKFAAGTEAIAKKLAELTTTKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKTLPGVLALDEA >KQL31097 pep chromosome:Setaria_italica_v2.0:I:35589516:35598695:1 gene:SETIT_016198mg transcript:KQL31097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQAEAALDGLKKVDKKQNRKAFQNGNPEVFIDQDTPYGKKKQLSPQMAKQYSPSLVEKSWYAWWEASGYFGADSASTKPPFVIVMPPSNLTGALHIGHALTVTIEDVMIRWRRMSGYNALWVPGVDHTGIGMQAVVEKKLMREMNMTRHDIRPESFLSEIRKRKEQYCGTIMNQLRRLGASLDWSREASTMDEQRSNAVTEAFVRLHKEGLIYRDYRLVNWDCTLLTSISDIEVDHIDLKEETMLKIPGYAAPVQFGVLISFAYPLEGLGEIIVATTRIETMLGDTAIAVHPEDRRYQHLHGRYAVHPFNGRKLKIICDPEFVDPTFGTGAVKIAPAHDPNDFEVGRRHNLQFINIFTDDGKINSNGGAQFEGMPRFTARICVIEALKAKGLYKGTKKTEMTLCVCSRTNDVLEPMIKPPQWFVNCNTLAKVGLDAVRSKKIEISPQQYEQDWYRWLENVSDWCVSRQLWWGHRVPAWYVTLEDDPEKTLGSDNNRWIIARNESAANLEAQKKYPGKKFLLTQDPDVLDTWFSSALFPLTVLGWPDDTADLRAFYPTSVLETGHDILFFWVARMVMMGTQLGGDLPFQKVYLHPIICDAHGRKMCNSLGNVIDPLEVINGMTPEGIAKRLEEGNLDPTVLNIANERKLNDYPDGIAECGTDALRFALISYTSQSNRINLDIKRVVGYRHWCNKLWNASRFAMGKLGDHYSPPATVDVSLMPPICKWILSALNKATGRTIACLEAYKFSDAASAIYSWWQYQLCDVFIEAIKPYFFNDPQEFESARVASRDTLWVCLDTGLRLLHPFMPYLTEELWQRLPQPKYSCRQASIMISEYPSLVEEWTNDNLEHEMDVVLDAVNKIRSLKPPTERNKRRPAFALCRGQDIIATIQCHQSLIVSLSSVLLLKILTENDETPADCATAIVNKDLSVYLQLQGTLNPEAEHEKLRKMTVEIQKRQLALTQKMDAFGYREKAPQSVQEEGMRKLTAFLEQLIVISEAEKKLDAKTDND >KQL29688 pep chromosome:Setaria_italica_v2.0:I:23174186:23174942:1 gene:SETIT_019678mg transcript:KQL29688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHAFLLAAALLALSSFHAIASDPSLLQDFCVVDKMAKVRVNGFPCKDAKDVVPDDFYFSGLNMAGNTTNKQGSAVAPVNVAQIAGLNTMGISLARIDYAPYGLNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKLFTKILNKGDVFVFPEGLIHFQFNYGTNSAVALAALSSQNPGVITVANTVFGSKPSISDDILAKAFQVDKKTIDLIQTQF >KQL31808 pep chromosome:Setaria_italica_v2.0:I:39855420:39856603:1 gene:SETIT_020177mg transcript:KQL31808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKHGVVAVKPTLAKGTPSASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLDADRASLAATVGAYVPEGAAGLRFEDFDTFHRALGDAFFGALADKDDAAEGGKGGEDEEEMREAFKVFDVDGDGFISAAELQEVLKKLGLPEASSMANVREMICNVDRDRDGRVDFSEFKCMMQGITVWV >KQL28622 pep chromosome:Setaria_italica_v2.0:I:7113247:7114450:-1 gene:SETIT_019740mg transcript:KQL28622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKMKGIFKGLKIISQMFAHKEHEMEIGYPTDVKHVAHIGLGTSDTSPSWMAEFKGTEELSAGSMSTAAQSRQTSWASADFEHPRSMMPIEIFPDNSKARQQEGPSFPDVARGGPRKPKRKKNRASSPTSSARSSSSRSRASFATAYDAFSESQRGFRVA >KQL29992 pep chromosome:Setaria_italica_v2.0:I:27343961:27344585:-1 gene:SETIT_020394mg transcript:KQL29992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNSTVLATLASSLPALLSESIMGTRRIISRILSAAAAASEKYLIFNSADPATCIVS >KQL32054 pep chromosome:Setaria_italica_v2.0:I:41129664:41135388:1 gene:SETIT_017015mg transcript:KQL32054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDGEAPVADGDKYDYDLFVIGAGSGGVRGSRTAASFGAKVAICELPFHPISSDWLGGHGGTCVIRGCVPKKILVYGSSFRGEFEDSKHFGWEINGDISFNWKTLLENKTKEIVRLNGVYQRIIGNAGVTMIEGAGSLVDAHTVEVSQPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGAEVDLFYRRELPLRGFDDEMREVVARNLEGRGIKLHPGSNLSELSKTADGIKVVTDKGEELIADVVLFATGRTPNSQRLNLQAAGVEVDQIGAIKVDEYSRTSAPSVWAVGDVTNRINLTPVALLEATCFSKTVFGGQPVKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKGDILVFTSSFNPMKNSISKRQEKTVMKLVVDAETDRVLGASMCGPDAPEIIQGIAIALKCGATKANFDSTVGIHPSAAEEFVTMRTLTRRVSPTSKPKTSL >KQL32055 pep chromosome:Setaria_italica_v2.0:I:41129664:41135388:1 gene:SETIT_017015mg transcript:KQL32055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKMLVDGEAPVADGDKYDYDLFVIGAGSGGVRGSRTAASFGAKVAICELPFHPISSDWLGGHGGTCVIRGCVPKKILVYGSSFRGEFEDSKHFGWEINGDISFNWKTLLENKTKEIVRLNGVYQRIIGNAGVTMIEGAGSLVDAHTVEVSQPDGSKQRYTAKHILIATGSRAQRVNIPGKELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGAEVDLFYRRELPLRGFDDEMREVVARNLEGRGIKLHPGSNLSELSKTADGIKVVTDKGEELIADVVLFATGRTPNSQRLNLQAAGVEVDQIGAIKVDEYSRTSAPSVWAVGDVTNRINLTPVALLEATCFSKTVFGGQPVKPDYRDVPCAVFSIPPLSVVGLSEQQALEEAKGDILVFTSSFNPMKNSISKRQEKTVMKLVVDAETDRVLGASMCGPDAPEIIQGIAIALKCGATKANFDSTVGIHPSAAEEFVTMRTLTRRVSPTSKPKTSL >KQL28746 pep chromosome:Setaria_italica_v2.0:I:7868554:7872626:-1 gene:SETIT_017447mg transcript:KQL28746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVARSSVLSPAAVAGDASPSPSRIRVSVALPRSRRSPSTLAISTRWPRASPRRGGARLLAGSGEGGSLDPAGDAGGHAEESPLLENNVTLHESHHMDTTKQDEIGICGNGGSNIGGSRTGLFRTPISGGVHSATAVHDLPPPALAVRNLMEQARFAQLCTVMSRMHHRRAGYPFGSLVDFAPDPLGHPILSLSPLAIHTRNLLADPRCSLVVQITGSSGLSNTRVTIFGDVIPLPAEQQEWAHQQYVSKHQQWASQQWGNFYYYRMETISDIYFIGGFGTVAWIDVKEYESLQPDKISMDGGEQYLKEINSMFSKPLKELLSTEGEVDDVAVISMDSKGIDIRVRQGAQFNIQRIAFEVDRSVETLDEAKEALRRIISKSRWHTKSSILRRP >KQL28888 pep chromosome:Setaria_italica_v2.0:I:8672419:8678661:-1 gene:SETIT_016592mg transcript:KQL28888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPHPHPPLVLGEEEPSPPPQRRPRGFASGPAPSAGAAGPPRRRGEREREREKERTKLRERHRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVQPDGTTFRSSNPPSLSPPPPSQLGMFQVAPVETPAFISTLNSYAIGTPLDSQASALQTDDSLSPSSLDSVVAERSIKTENYGNSSSANSLNCMDNDQLLRSSAVLAGDYTKTPYIPVYASLPMGIINNHCQLVDPEGVRAELRHLKSLNVDGVVVDCWWGIVEAWIPRKYEWSGYRDLFGIIKEFKLKVQVVLSFHVSGGTGSGDVLISLPKWIIEIAKENQDIFFADREGRRNTECLSWGVDKERVLRGRTGIEVYFDFMRSFHMEFRNLSEEGLISAIEIGLGASGELRYPSCPERMGWKYPGIGEFQCYDRYMQKNLRQSAASRGHLFWARGPDNAGYYNSRPRETGFFCDGGDYDSYYGRFFLNWYSGVLIDHVDQIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVLKMLKKHSVILKLVCYGPEFTVQENDEACADPEGLTWQVVNAAWDHGLSLCIESALPCLDGDMYSQILDTTKPRNDPDRHHASFFTYRQQPPSLLQRDAYFPELRTFVKCMHGEAPQNGED >KQL28889 pep chromosome:Setaria_italica_v2.0:I:8673488:8678661:-1 gene:SETIT_016592mg transcript:KQL28889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPHPHPPLVLGEEEPSPPPQRRPRGFASGPAPSAGAAGPPRRRGEREREREKERTKLRERHRRAITSRMLAGLRQHGNFPLPARADMNDVLAALARAAGWTVQPDGTTFRSSNPPSLSPPPPSQLGMFQVAPVETPAFISTLNSYAIGTPLDSQASALQTDDSLSPSSLDSVVAERSIKTENYGNSSSANSLNCMDNDQLLRSSAVLAGDYTKTPYIPVYASLPMGIINNHCQLVDPEGVRAELRHLKSLNVDGVVVDCWWGIVEAWIPRKYEWSGYRDLFGIIKEFKLKVQVVLSFHVSGGTGSGDVLISLPKWIIEIAKENQDIFFADREGRRNTECLSWGVDKERVLRGRTGIEVYFDFMRSFHMEFRNLSEEGLISAIEIGLGASGELRYPSCPERMGWKYPGIGEFQCYDRYMQKNLRQSAASRGHLFWARGPDNAGYYNSRPRETGFFCDGGDYDSYYGRFFLNWYSGVLIDHVDQVLSLATLAFDGAEILVKIPSIYWWYRTASHAAELTAGFYNPTNRDGYSPVLKMLKKHSVILKLVCYGPEFTVQENDEACADPEGLTWQVVNAAWDHGLSLCIESALPCLDGDMYSQILDTTKPRNDPDRHHASFFTYRQQPPSLLQRDAYFPELRTFVKCMHGEAPQNGED >KQL29631 pep chromosome:Setaria_italica_v2.0:I:22246398:22249620:-1 gene:SETIT_019451mg transcript:KQL29631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNDQSSVHSPPWCRRTFTLHEEEVATSTPQTSATTASSSNPERKHGQQSRNQIPEKGSLVIEELGAKGEPILPEGISTTFRNICGAIVRDKLQNWITTSNWKKVPTTTKDVLWATVKGRFTFPEGQEKFTRNIAEGLLGRCFRNWRSTFNKEYVQKGKNARDDFEEHVKAMKATENPHHFGSGGYAAKIAKWRREEEERRRAGLLDMFAGLDERSRNWPDREKDQLTTMIGTVEHSGYVRAMSSTLPWGKAFPNNQARYRKRDRYKKNLEEKMREITKQQFLEFLANHAMSQTMVDPTVFDGQRQGANNEDEQPMLSPILEALNEDDQTSTLEGDKRVDDLEVNDPTSPSPASPPPKRLAVPRMVSTYEKAPPADIDNFLNVLKKKASSSNCVPKDYEHGKLFLYWWDLLEAPWELNKLHGWIMNAMKQDIQAITAHVPTKVFLARALAQNDGNSQSTNRSSRDTSGESYEKKPTEKKCTKCPYTLQKDHWICIIILPKLGEAVVLDSACYHRDRYKNFIGIIQKYDITWQYLNTCGVHNPKRMKAMKIMYHRFCHKQPPGSMLCGYYVCEFIRNNRSKIKDKQIDNICMDMARFILCEICHEDGAFFDKDGVLMADESTNLHRWA >KQL28253 pep chromosome:Setaria_italica_v2.0:I:4585451:4591405:1 gene:SETIT_016344mg transcript:KQL28253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARTMAIVLAALLCSLSLTAAGDGDRSSYVVYLGQHAHGAALGTHGAEELAALERDAADAHYELLAGVLGGDKEKARDAIFYSYTKHINGFAANLDAATAAEIARQPGVISVFPNRGRKLHTTRSWQFLGLAGPGGVPHGGAWKKAKFGADTIIGNFDTGVWPESESFRDDGLGPVPSQWKGACDKGQDDKFSCNRKLIGARYFNKGYASAAGVLNASMNTPRDMDGHGTHTLSTAAGSPVPGASVFGFGNGTASGGSPHARVAAYRVCFPPINGSECFDADILAAFDAAIHDGVHVLSLSLGGDPSNYFDDGIAIGSFHAVRRGIAVVCSAGNSGPGLGTASNLAPWLFTTGASTMDREFPSYIVFNHTKAKARNSSESPLARPPHKSLTKIKGQSLSITNLPEKSYPLIDSAKAGAANATTKDAQLCMIGALDPKKVKGKIVVCLRGINARVAKGEAVKQAGGVGMVLANDASTGNEIIADAHVLPATQIKYSDGLLLYSYLNSTKNPTGFITKPATVLGTKPAPFMAAFSSQGPNTITPEILKPDITAPGVSVIAAWTRSNSPTDLAFDLRRVAFNSESGTSMSCPHVSGIVGLLRTLHPEWSPAAIKSAIMTTAMEMDNKGELILNASSLPSSPFGYGAGHVSPARAMNPGLVYDLGDADYLDFLCALKYNATVMAMFNGAPYTCPGEAPRRVADLNYPSITVVNVTAAGATARRRVKNVGRPGTYWAFVVEPAGVAVSVTPNVLEFRAKGEEKGFEVSFQVKNAALAKDYSFGALVWTNGKQFVRSPLVVKALA >KQL28254 pep chromosome:Setaria_italica_v2.0:I:4585451:4591630:1 gene:SETIT_016344mg transcript:KQL28254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARTMAIVLAALLCSLSLTAAGDGDRSSYVVYLGQHAHGAALGTHGAEELAALERDAADAHYELLAGVLGGDKEKARDAIFYSYTKHINGFAANLDAATAAEIARQPGVISVFPNRGRKLHTTRSWQFLGLAGPGGVPHGGAWKKAKFGADTIIGNFDTGVWPESESFRDDGLGPVPSQWKGACDKGQDDKFSCNRKLIGARYFNKGYASAAGVLNASMNTPRDMDGHGTHTLSTAAGSPVPGASVFGFGNGTASGGSPHARVAAYRVCFPPINGSECFDADILAAFDAAIHDGVHVLSLSLGGDPSNYFDDGIAIGSFHAVRRGIAVVCSAGNSGPGLGTASNLAPWLFTTGASTMDREFPSYIVFNHTKAKGQSLSITNLPEKSYPLIDSAKAGAANATTKDAQLCMIGALDPKKVKGKIVVCLRGINARVAKGEAVKQAGGVGMVLANDASTGNEIIADAHVLPATQIKYSDGLLLYSYLNSTKNPTGFITKPATVLGTKPAPFMAAFSSQGPNTITPEILKPDITAPGVSVIAAWTRSNSPTDLAFDLRRVAFNSESGTSMSCPHVSGIVGLLRTLHPEWSPAAIKSAIMTTAMEMDNKGELILNASSLPSSPFGYGAGHVSPARAMNPGLVYDLGDADYLDFLCALKYNATVMAMFNGAPYTCPGEAPRRVADLNYPSITVVNVTAAGATARRRVKNVGRPGTYWAFVVEPAGVAVSVTPNVLEFRAKGEEKGFEVSFQVKNAALAKDYSFGALVWTNGKQFVRSPLVVKALA >KQL28621 pep chromosome:Setaria_italica_v2.0:I:7110889:7112150:-1 gene:SETIT_018015mg transcript:KQL28621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSSYGLGLACFAVAVAVAGATQFTVGGANGWSVPSAGAEPFNTWAERTRFQVGDSLVFVYPKDQDSVLLVDPADYNACNTSSYVKRFDDGDTVVTLDRAGALFFISGVEANCRANEKLIVMVLAAGHNGTGGSGSGAPAPATPTVPPPASPSPPPASSATPPTSPAPPKSPAAASPPPSSSASAPPPATMPSTPPPASSPPAPSASTPPPSAPAGAPEPPPAPSASSPAPSAHGTTTANSTGTPSPRPTGSKHNNGAALAVATSLASSVGACFLGYAMIAL >KQL29896 pep chromosome:Setaria_italica_v2.0:I:26095181:26096769:1 gene:SETIT_020039mg transcript:KQL29896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIREAHKKGAKIVLIQELFEGKYLCQSQRMDLSRHAKPYKGNPTIIRMQKLAKELHVVIPVSFLEEANNAHYNSVAVIDAGGTDLGLYRKSHIPDAPGYQEKFYFNPGDTGFKVFKTKYAAIGVGICWDQWFPECARALNSDLDVVTKDSREHWKRVMQGHAGANLVPLVTSNRIGKETAQTQHGKSTITFFGNSLIAGPTGEIVKLANDKDEDVLVAEFDLDEIKSTRHGWGLFRDRRPDLYKVLLTLDGEGSA >KQL31591 pep chromosome:Setaria_italica_v2.0:I:38591827:38592333:1 gene:SETIT_020633mg transcript:KQL31591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHSSCCCIDIARLTKKIEITKVKTKQRISINGSSTSCLL >KQL31990 pep chromosome:Setaria_italica_v2.0:I:40798303:40799448:1 gene:SETIT_018481mg transcript:KQL31990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELGLAPPNARHPMVSSNHVADELSSSSSDSCARGKRGFREAFQQAPAATTLPLFDDGSSCRRSNKKPLVGWPPVSSARSRACGGANYVKVKKEGDAIGRKVDLSLHASYDELLATLGRMFPTTSNQDGEEISSSTSCHVLVTYEDGEGDWMLVGDVPWDDFARSVKRLKILG >KQL28582 pep chromosome:Setaria_italica_v2.0:I:6811907:6812698:-1 gene:SETIT_019189mg transcript:KQL28582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDHLVSMETRLLYLMDSEMEEAPSKAAGVNQNLHCTWWKTLDFNFPPAGFAHSYRLEAAMQSHMVNSHEDLIKVPDAAAWVRLVARSVAVSYNEERSWEASTSQGSALLRVAASVFTEVQALQDLQQVHLSSKGVSFHHAPIFELICGLADVISAATRLGLIGCFRAPAPLHQVAQDHESMASQTASLDRIMPLDVTEGCHAYMFSRLS >KQL30658 pep chromosome:Setaria_italica_v2.0:I:32425843:32427225:-1 gene:SETIT_019997mg transcript:KQL30658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPADRGGGGRGRGWKGKGVSSGSAAGRQLAPVLEDAPAAALLRPLKKIRSPDRRLNRSLSALSSAPPSPDSSSVSNPMSPPATSLPSTRHIFPFAYDPAPAASTASTAAAATAPRLMPLMQYSSVYQQPLPPQQQQPLQHQQMISFGGGQHQQPPPQFAAAASPLFPPQLVAPEVQQQMLLRYWSEALNLSPRGFRGGAVPPALFQQLLRAPGPPKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAFKLRGENAKLNFPDLFLGKGRVGGSGRTSASAAASCSSSSSSAPPTPDDTNTKQAQHHHGEQPFNSEAKPLLPETEQAKNSEPEPNPQLQPADHQGGDGNAAMFKPSVTSSGGWGPADEAWFSAWGPGSSVWDYDMDNAHGLFLQSRFASEVTSMDYVPSAPDVPVTPAAGTAMASAASISLPSPPPPPRSPAYMWKD >KQL28027 pep chromosome:Setaria_italica_v2.0:I:2774698:2775353:1 gene:SETIT_018836mg transcript:KQL28027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKTKMVAIGIMMAILFVGIASATPAQEVCVDTTKEVPRDDTCICSKNCACAGMCILQGEGDVKTCFVDCVLKNDCQCPPKDQGAEKKTDG >KQL27943 pep chromosome:Setaria_italica_v2.0:I:1942780:1944111:-1 gene:SETIT_020236mg transcript:KQL27943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVNKNMIVNGVGNRHHHDDDDEQVLPSLLAAARPFLRGELGAADPELPSLVSVLRAAGAGECYHKHGTFLAHLVDVYRILRLWGAPGAVARCGLFHSSYSNSYVNLAIFQPDTGRDRVRGIVGAPAERLVHLFCVVPRHQIIHDDLLFHYTDQELRDHLAASQAAVDGNAASTGQELPAWRRKLRSLVPVDGVVARHIRTGEPVALSRRVVAAFLLMTVADFSDQYTDYQDELFGNEDGRLEFSGDNWAALWPGTGKPGLWVSAMSRMTALYNLIARDDQLLLREGGGGKNVEVSDDDMLVGEMVVPPVFEGCTRVLDPEEQKAARDLYWAAICGGGGKKAAEGLLRESVRRNPFVGEPWLVLAQVLLNEGGGRYEEAAAAAARGVRLLLEWGSSWDKRMSWEGWVSWGRLMRDKAKRREWPRTAWGIINLGLVDGVANCN >KQL32197 pep chromosome:Setaria_italica_v2.0:I:41751765:41754991:-1 gene:SETIT_018394mg transcript:KQL32197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLASLGKWFLGSVGTGFTPHVIIIQPGEDVAARIMAFSQQGPRAVCIISATGAVSTATLHQDSDSGGVVTYEGRFEILCLSGSYLVLDDGGTRTRSGGLCIALCGPDHRVMGGSVGGVLTAGTVQVIVGSLMYGGSKKNKAKAELDMEHEEVNAGEAAMALPEHNMPPHAMSGWASWHDEADGLEDLKHRYQLDP >KQL28222 pep chromosome:Setaria_italica_v2.0:I:4400111:4400588:1 gene:SETIT_020643mg transcript:KQL28222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYQKLSGTEYLKIWTGHFSHLNYVARLSNFHIPSVL >KQL32165 pep chromosome:Setaria_italica_v2.0:I:41622742:41623105:-1 gene:SETIT_020405mg transcript:KQL32165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLGQFLTCETSRTSFCYFSIFTVDLHSYCYQAESYITSPKS >KQL30026 pep chromosome:Setaria_italica_v2.0:I:27589041:27590894:-1 gene:SETIT_018274mg transcript:KQL30026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPAQSMLSASGCIFLRSKPQASLVRGGIIGGVSSSRPFLLSCNASSSPSPSSPPPAPAQEDSDCNEVECAPEKEVGSLSAEWLAEERTRVVGTFPPKKRGWTGLIEKDTAGQTNIYSVEPMVYVAESAISSGAAGTSAEGAENTAAIAAGLVLITVAAASSILIQVSKNQPQVAEVAYNGPPLSYYVAKFQPAPAPAPAETLEAPAPAEAVEASVAAPEAEQLSS >KQL30342 pep chromosome:Setaria_italica_v2.0:I:30022003:30026455:1 gene:SETIT_016930mg transcript:KQL30342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRSSVSSPFSGENSFSSLSSFKDLGLSSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSEEVHIFGYARSNLSDDGLRERIRGYLKGSDEHLSQFLQLIKYVSGSYDRGEGFELLNKAISEYETSKNNESGSYRRLFYLALPPSVYPSVCKMIRSYCMNPSSHAGWTRVIVEKPFGKDLDSAEELSAELGKLFEEEQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFKEDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVEPIKHEEVVIGQYDGYKDDPTVPDDSNTPTYASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDAPGDIFRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRVCDLPYICTSFEMGSLVNSRI >KQL30343 pep chromosome:Setaria_italica_v2.0:I:30020518:30026934:1 gene:SETIT_016930mg transcript:KQL30343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRSSVSSPFSGENSFSSLSSFKDLGLSSESGCLSIVVLGASGDLAKKKTFPALFHLFQQGFLQSEEVHIFGYARSNLSDDGLRERIRGYLKGSDEHLSQFLQLIKYVSGSYDRGEGFELLNKAISEYETSKNNESGSYRRLFYLALPPSVYPSVCKMIRSYCMNPSSHAGWTRVIVEKPFGKDLDSAEELSAELGKLFEEEQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIANVQIVFKEDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVEPIKHEEVVIGQYDGYKDDPTVPDDSNTPTYASVVLRVHNERWEGVPFILKAGKALNSRKAEIRVQFKDAPGDIFRCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQDVKIPEAYERLILDTIRGDQQHFVRRDELRAAWEIFTPLLHDIDAGKLKSVPYQPGTRGPQEADDMSRRMGYVQTHGYIWVPPTLAKF >KQL30399 pep chromosome:Setaria_italica_v2.0:I:30285786:30286162:1 gene:SETIT_019002mg transcript:KQL30399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVLKIAFKISTIMRRNKITWSILRACMLCQNNITTLGVGTSDSHWFRAVNIHMIPK >KQL31748 pep chromosome:Setaria_italica_v2.0:I:39548962:39551217:-1 gene:SETIT_018850mg transcript:KQL31748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVDQKVVVHVRSTGDAPILKQSKFKISGRDKFLKVIEFLRRQLHQDTLFVYINSAFSPNPDELVIDLYNNFGIDGKLVVNYALSAAWG >KQL31652 pep chromosome:Setaria_italica_v2.0:I:39043382:39047028:-1 gene:SETIT_018219mg transcript:KQL31652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFPSPRAHAMAAPAVARPRILHCAHLASTSCVLVLLGRGRGRGHGRAVAAVRAREQGAAPADPAAILRRPDVATTTSAEEEERERESDAGSSLDGLVEDEEAPEEEGIQGRRKAPEREWVDWEDLILEDTVPLVGFVRMILHSGKYESGDRLSPEHEKAILERLLPYHPQYEKKIGCGIDYITVGLHPEFENSRCLFIVRKDGERVDFSFWKCVKGLIRQKYPMYADSFILRHFRRRQDY >KQL31653 pep chromosome:Setaria_italica_v2.0:I:39043143:39047283:-1 gene:SETIT_018219mg transcript:KQL31653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFPSPRAHAMAAPAVARPRILHCAHLASTSCVLVLLGRGRGRGHGRAVAAVRAREQGAAPADPAAILRRPDVATTTSAEEEERERESDAGSSLDGLVEDEEAPEEEGIQGRRKAPEREWVDWEDLILEDTVPLVGFVRMILHSGKYESGDRLSPEHEKAILERLLPYHPQYEKKIGCGRIASGIRKLKVFIHS >KQL27874 pep chromosome:Setaria_italica_v2.0:I:1360159:1360482:1 gene:SETIT_020263mg transcript:KQL27874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTEHLHEIYWHVTQKRSCCNRHTGHARAQQLYYPTRQQASEIT >KQL29316 pep chromosome:Setaria_italica_v2.0:I:13369987:13372138:-1 gene:SETIT_019347mg transcript:KQL29316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQFQRANPHPFMLPGFDPHWVSNHERMVCAMVPWRRPLHEYWDIITIEPMLEFEVLFHAIEFILREFFVDHMRLEIMDIQPSHLGQALVCFEYAYNQDRLILASLHHFAGGSITVKKHNATDEDPKPNDHPLNDNNSPIHDFFGFGQPGQDPPNHWQEVEIHPPPNPIPDIWLVWNAANYNKAPNGMPDLNLAPDQDDNMVEVVQKVEENFIHEIPAIDLNLVLGLPIQQQYGAFLHEEIVEEDLWPNNFQEEDLENNQPNNIQIGIVRVQDNFSIDPGYNAFSQRKPTAETFRIWTKHFDNKLPSIPKTSIPLEGADFFTSFLSSPTHLKWAKKFLASKAWEYVTSSLYNSNSLWFSLPSERPHIQIACDNPDENIKTPQEASPRKRRKIFATKVILVEDDKVKRSERLKDLARGFKKQTCIGKYCLACSADRPPTVSPSVIKSLGATTFCQMDAKELSDEVLNAKKRTTKKAVKKSTKPQDNDPATSTQSTEGYNCNNSTLIRSCKIFNFK >KQL31473 pep chromosome:Setaria_italica_v2.0:I:37780684:37782101:1 gene:SETIT_017483mg transcript:KQL31473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTVASSQSPSTPLSPSLRGFIRDAPPYSTLSPPQVQTAGVGGGGGGGNGKISPAVLFIIVILAVIFFISGLLHLLVRLLMKKQHGRGAGMGESAASPHRTGARDAAMDRQLQQLFHLHDSGLDQAFIDALPVFAYREIIGGNKEPFDCAVCLCEFDGEDRLRLLPCIDTWLLSNSTCPLCRSTLFVPGMTIENLLFDFDERLEEEPLPEECEDGFQVSRQKPIDEEQPVAEKRVFPVRLGKFKNVGNQGAIGGVVGNGNAAGIVSREPGESSSSNLDARRCFSMGTYQYVLGASELRVALQPGRGRIGASNRFKGRAAAGLSSVNSDIMEGKRICARSKGESFSVSKIWQWSSVKGKLPAPQDTFADTGSLPWMKRNAAGDKLNM >KQL32169 pep chromosome:Setaria_italica_v2.0:I:41631121:41632794:1 gene:SETIT_020170mg transcript:KQL32169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAAAHDDGEDGDAPAVRSARGAWSVFREESRRLWAIGAPIALNIICLYGTNSSTQIFAGHIGNRELSAVAIGLSVVSNFSFGFLLGMGSALETLCGQAYGAGQVAMLGVYMQRSWIVLSASALLLTPLYVFAAPVLRLLGQDEQVAAAAGSFTRGIIPQMFALAINFPTQKFLQAQSKVGVLAWVGFAALAAHVGLLALFVSVLGWGVAGAAAAYDVSSWLASLAQVAYVVGWCRDDGWTGLSRAAFRELWAFVKLSLASAVMLCLEIWYMMVLVVLTGHLDDAEIAVDSISICMNINGWEGMLFIGLSAAISVRVSNELGSGRPRATKHAVAVVLAQSLAFGLAAMALILATRERFPVIFTGDRHLQKAVSNIAYLLAVTMVLNSIQPVISGVAVGGGWQAVVAYINLGCYYAFGLPLGFIFGYLFRFGVQGIWAGMLCGTALQTAILTYMVWRTDWKAEASLALERVRIWGGQHQKLPTTDQDVVI >KQL31729 pep chromosome:Setaria_italica_v2.0:I:39400753:39409309:1 gene:SETIT_016450mg transcript:KQL31729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQERDDVPMLLRNVELPRFPLRSTSMCIPVRDDEYEEGTFVPHTGPLFIQPPTQTTSGSPFTSRDAPDRLPRPSQGKPVSKPQAVMPEQTGGTRWSYSGQVPKNEHLLMSGPLGQCDNPDCVNCPPACKNKRHFHRGSNAEDNKLHNILYGYGGGWKKKIEQILSRIPIMNPHAKPVQHWNQFFVISCLIAIFIDPLFFFLLSVEQDNKCIVLNWDFATALAVLRSVTDAIYFLHMLLQFRLAYVAPESRVVGAGDLVDEPKKVAIHYLRGYFLLDFFVVLPLPQVMILLVIPKYVGLSGANYAKNLLRATVLLQYVPRIIRFVPLLDGQSANGFIFESAWANFVINLLMFVLAGHVVGSCWYLFGLQRVNQCLRDACSASTIPYCDTFIDCGRGVGSGLYRQQWFNDSGAAACFNTGNGATFQYGIYGQAVLLTTEESAVKRYIYSLFWGFQQISTLAGNLVPSYFAWEVLFTMAIIGLGLLLFALLIGNMQNFLQALGRRRLEMQLRRRDVEKWMSHRRLPEDLRRRVRRAERFTWAATQGVNEEELLSNLPEDIQRDIRRHFFRFLNKVRLFTLMDWPILDAICDKLRQNLYISGSDILYQGGPVDKMVFIVRGKLESVSADGSKAPLHDGDVCGEELLTWYLENSSVNRDAGKIKFQGMRLVAIRTVTCSTNVEAFVLRASDLEEVTSQFARFLRNPRVQGAIRYESPTGELLLQLEFKLHGGIGKGG >KQL28421 pep chromosome:Setaria_italica_v2.0:I:5768997:5772842:1 gene:SETIT_018126mg transcript:KQL28421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLAVLAACSGLQASAYHCTVQVFFFSAGVNQPNSPPPPFSPSSPPPPLTTSPPAMSIFEYNGSAVVAMVGKNCFAIASDRRLGVQLQTIATDFQRVFKVHDKLYIGLSGLATDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYFCQPVIAGLGENNEPFICTMDCIGAKELAKDFVVSGTSSESLYGACESMYKPNMEPEELFETVSQALMASVDRDCLAGWGGYVVIVTPTEVQERVVKGRMD >KQL29561 pep chromosome:Setaria_italica_v2.0:I:21285273:21286445:-1 gene:SETIT_019604mg transcript:KQL29561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAFTSLGVKIDMSVNKGPRPYVFKINGQVHHRIGSLLLDEGKPPAYAQLYIFDTENEVENRISIFDTDQDCDSGSDRVIVAGLVRMFDETNELVKSFRAARDLLSQSYCKPLRLRLLHDRSKAAPQYSAPAGSEIAALIVGDISEENRTPDIRIQDRGGGLRRISNLHSHYMALQYPILFPYGEEGFKLGINSQSGILQVGARNEVIMLEYYAFQLQQRRSKAIMLICGDRLFQQYIVDVFVSVEENRLRFIIKNNKNLRSDIYKGIHDALHKGDFDGNNVDRKVILPGSFTGSKRYMVQNYQDAMAICRFYGPSDLFITFTYNTKWQEIANALAFILGQKPNARPDIVSRVFKLEVEELISALKKGTYFGKAKEGMYDCLILITAVLQ >KQL32198 pep chromosome:Setaria_italica_v2.0:I:41755437:41755752:-1 gene:SETIT_020380mg transcript:KQL32198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQAKEMFKEMKRRGVLHTSSTYDILLNGWSKLRNGTEVRKLFKDMKEIGFRPSKGTLSFVSRAFSKPGMTWEARRLLKTLFKD >KQL29990 pep chromosome:Setaria_italica_v2.0:I:27329918:27336795:1 gene:SETIT_019434mg transcript:KQL29990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEAAEVAATAPSLMSVFKHADGVDVALMVLGLVGAMGDGMSTPAVLLISSRITNDFGRGPDQVHDFSARINTNVRNIVFLACASWVMAFLEGYCWARTAERQASRMRARYLQAVLRQDVEYFDLRSGSTSEVVAGVSNDSLVVQDALAEKVPNFVMNAAMFAGSYAVGFAVLWRLTLVALPSVLLLVVPGIMYGRVLTGLARRIRAQYARPGAIAEQAVSSARTVYSFVAEASTVGRFSAALEESVRLGLKMGLAKGVAIGSNGVTFAIWAFNAWYGSRLVMYHGYQGGTVFAVSSCIVQGGLALGNALSKLKYLSEASSAAERIQEVIRRVPKIDSGSDAGEELTGFAGEVEFRNVQFCYPSRPESPVLVNFNLHVPAGRTVALVGGSGSGKSTAIALLERFYDPSAGEVALDGVDIRRLRLRWLRAQMGLVSQEPVLFAMSIRENMLFGKEDATAEMVAAAARAANAHSFISQLPQGYDTQVGERGVQLSGGQKQRIAIARAILKSPKILLLDEATSALDTESEHAVQEALDLASVGRTTIVVAHRLSTVRNADMIAVMQSGEVKEQGSHDDLIANENGLYSTLVRLQKTKDSGEANEISGIGTMSAAIGQSNRHSMSRRFSWALRSSSARSVGDAKDVDSIDKPSLSAPSFKRLLMLNAPEWKQALMGSFSAVLIGSIQPIYAYVMGSMFSVYFLTDHGEIKDRTRVCALIFVGLAVISFLLNMLQHYNFGAMGEYLTKRIREQMLTKILTFEIKWFDSDENSTGAICSRLAKDANVVRSLVGDRMALVIQTISAVLIACTLGLVTSWRLALVMIAVQPLIVAGFYARCVLLRSTSKKSLHAQFESSKLAAEAVSNLRTITAFSSQNRILCLFNQTQDGPRKESVRQSWFAGLGLSTSVGLMVCTWALDFWYGGKLMAEHQITDKALFQTFMILVSTGRVIAEAGSMTTDLAKGADAASSVFAVLDRETKIDPDDPKGHKPERLEGRVEITGVDFAYPSRPDVIIFKGLSLTVDQGKSTALVGPSGSGKSTVIGLIERFYDPLKGVVKIDGRDIKMYNLHALRRQIGLVSQEPTLFAGTIRENIMYGTDIASEAEIEDAARSANAHDFISNLKDGYNTWCGERGFQLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEKVVQEALDRVMTGRTSIVVAHRLSTIQKCDLIVVLEKGIVVEEGTHSSLMAKGPSGKYFGLVSLQQGGRSWH >KQL29774 pep chromosome:Setaria_italica_v2.0:I:24350663:24351209:1 gene:SETIT_020299mg transcript:KQL29774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSEFESLYLASSSSVVLSISQQNSHLQFFSLITCCIRDNN >KQL31323 pep chromosome:Setaria_italica_v2.0:I:36898266:36906694:-1 gene:SETIT_016565mg transcript:KQL31323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLVLRAAPYRHSSHLCAATLLVLSPSQHRHFASFPSSPHSAARRILPSPLRVPIRAVESSPGPTKEEQEPSPAAGEAQEPPTPAASAFDVEELGWGTQLAVKLRMLVAPPWQRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGKYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSTHGKKREEIEEFINSGVYQVARLKEEGWITDLMYDDEDANSYLLTVSCTELVPVLFTSKYSRVSKRTLGLQGGGEQIAIIRASGSITRTRSPLSTPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLANSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFCLQKLYERIDFHKEILSKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMSVDQMETVAQGRVWSGQDAFSRGLVDSVGGLSQALAIAKQKANIPKHKKIQLVEVSKPSPTLPEILSGIGGSLLGVDRAMKGVLQDMSSLSGVQARMDGILFENLGDMSGENQLFLLIKDIVNYFD >KQL31324 pep chromosome:Setaria_italica_v2.0:I:36898266:36906694:-1 gene:SETIT_016565mg transcript:KQL31324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLVLRAAPYRHSSHLCAATLLVLSPSQHRHFASFPSSPHSAARRILPSPLRVPIRAVESSPGPTKEEQEPSPAAGEAQEPPTPAASAFDVEELGWGTQLAVKLRMLVAPPWQRVRKGSVLNMKLRGEISDQLKTRFSSGLSLPQICENFVKAAYDPRISGIYLHIEPLRCGWGKVDEIRRHIVDFKKSGKFVVGYMPVCGEKEYYLACACGELYAPPSAYVALFGLTVQQTFLRGVLEKVGIEPEIQRIGKYKSAGDQLARKSMSNEVREMLATLLDNIYGNWLDTISSTHGKKREEIEEFINSGVYQVARLKEEGWITDLMYDDEVMSMLKERVGQKDKKSLRMVDYSKYSRVSKRTLGLQGGGEQIAIIRASGSITRTRSPLSTPSSGIIAEQLIEKIRTVRESEKYKAVILRIDSPGGDALASDLMWREIRLLANSKPVVASMSDVAASGGYYMAMAAPVIVAEKLTLTGSIGVVTGKFCLQKLYERIDFHKEILSKGRYAELNAADQRPLRPDEAELFEKSAQNAYALFRDKAAMSRSMSVDQMETVAQGRVWSGQDAFSRGLVDSVGGLSQALAIAKQKANIPKHKKIQLVEVSKPSPTLPEILSGIGGSLLGVDRAMKGVLQDMSSLSGVQARMDGILFENLGDMSGENQLFLLIKDIVNYFD >KQL28897 pep chromosome:Setaria_italica_v2.0:I:8763822:8767188:-1 gene:SETIT_018411mg transcript:KQL28897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAIRKLFDSFFSTREMRVVMLGLDAAGKTTILYRLHMGEVLSTVPTVGFNVEKVQYKNVVFTVWDVGGQEKLRSLWKMYLSNSDALIYVVDSLDRERIGDARQEFQTIIKDPLMANSIILVFANKQDLRGAMSTDEVSEGLGLHDLRNRIWHIQGTCALRGEGLYDGLDWLASTLKQLQESGHATSVAGPSI >KQL31858 pep chromosome:Setaria_italica_v2.0:I:40134989:40135503:1 gene:SETIT_019012mg transcript:KQL31858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNSVEMRYWNDSISSIQRETVVKKIYKPLIYCKSLQTSIDCCCFHLLSIDKEVW >KQL31418 pep chromosome:Setaria_italica_v2.0:I:37376681:37376929:1 gene:SETIT_020402mg transcript:KQL31418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGGAAAGNPASAAPKPPQLPTSTMGDHQIY >KQL31907 pep chromosome:Setaria_italica_v2.0:I:40371669:40372200:1 gene:SETIT_019598mg transcript:KQL31907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGGGGGGGGGGVVSDLNFLSSDNDKSADNAKTLGPSALSVWTDGSEEGEEGCTVDLREISMVLVLVFWGNLAGTGSNETVGGGGGRGGGVHKCIRDAVGADLFSQTLVAEAELAKMIVAA >KQL28416 pep chromosome:Setaria_italica_v2.0:I:5729274:5729765:1 gene:SETIT_020271mg transcript:KQL28416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILLSMFKSTFFYDCHLYGICLLVLLSNTQINFVCLSVLLSKTQMNFILNPTSKIHL >KQL31265 pep chromosome:Setaria_italica_v2.0:I:36594814:36600283:-1 gene:SETIT_017339mg transcript:KQL31265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDPADDMVGEHHEQGVLDSNPEDGDYWQAVPDPSSAEARKGKDIQGIAWERLAITRENYRLARLEEYKNHENVPNSSEEAIKDCKPTEKGGMYYEFKQNIRSIKSTILHYQLRNLVWATSKHDVYFLSNYSIHHWSALSSVDTELMNVEGHVAPTEKHPGSLLEGFSKVQVSTFAVKDNLLVAGGFQGEIICKHLHREGISFCCRTTYDDNAITNAVEIFNASSGAVHFIASSNDSGVRDYDMERFQLCKHFQFEWPVNHTSLSPDRKVAIIVGDDPNCLLIDAKSGEILHSMKGHRDYSFASAWSPDGLTFATGNQDKTCRIWDVRKLSKPVHVLRGNLGAIRSIRFTSDGRFMSMAEPADFVHVFDVKSDYNRKQELDFFGEISGASFSPDTDALFVGVSDRAYGSLLQFGRL >KQL31264 pep chromosome:Setaria_italica_v2.0:I:36594585:36601039:-1 gene:SETIT_017339mg transcript:KQL31264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDPADDMVGEHHEQGVLDSNPEDGDYWQAVPDPSSAEARKGKDIQGIAWERLAITRENYRLARLEEYKNHENVPNSSEEAIKDCKPTEKGGMYYEFKQNIRSIKSTILHYQLRNLVWATSKHDVYFLSNYSIHHWSALSSVDTELMNVEGHVAPTEKHPGSLLEGFSKVQVSTFAVKDNLLVAGGFQGEIICKHLHREGISFCCRTTYDDNAITNAVEIFNASSGAVHFIASSNDSGVRDYDMERFQLCKHFQFEWPVNHTSLSPDRKVAIIVGDDPNCLLIDAKSGEILHSMKGHRDYSFASAWSPDGLTFATGNQDKTCRIWDVRKLSKPVHVLRGNLGAIRSIRFTSDGRFMSMAEPADFVHVFDVKSDYNRKQELDFFGEISGASFSPDTDALFVGVSDRAYGSLLQFGRL >KQL32134 pep chromosome:Setaria_italica_v2.0:I:41495087:41500372:1 gene:SETIT_016206mg transcript:KQL32134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPAAAAGPTFEDLERDLQAVLMDQNHMSSPDDLSIFRSGSAPPTVEGSRTAIGALFSGPPLHVNTLGGGSSSGVGVDMLTEEEIRSHPAYLSYYYSNEHLNPRLPPPMVSKEDWRAAQRFQAVSGGIGDRRRRPSEVGGGNSLFSMQPGAHESGGEKVLLNDRMGRGERNGLARQQSSEWLGRGTDGLIGLSDVNGLGSRRKSFADALQENITRPATPGHLSRSNSRNALESPNPIRSSDSPKPHLQNRSESMNGLRSGSTSPSLVRVQSLGSSMSHTFASAVGSSVSRSTTPDPQLIRRTPSPCLPPVGVRMGSSDKKVEATAVASLNHDGADIAATLSSLSLSGNKMSNMETEVQNHVYHNFGDQTDMLFNVPKEHRQFSQQSLTQNTDEDSLNAPEYAVFPNGGSNFSNLHVSKLASHSNSKFPMQSPHGNANKKGPLMSSAGSISHYQNLNGDSPGIDLSGRHMKTHAGGFTSSMLNNQLNPDGDYGHVLSNGSNFQGQPSETMYAQYLQANPDSPLGATASMGPFQGRGFTSTGHLDSPGYQKAYLGSLFAQQKLQYGMPYLAKSGALNPNIYGNDPAFGMGRTYITSPPSSPYISSPQGHVRQGERLTRIPSVVRNTAGGSMGSWNSENGLMDNGYGSSLLEEFKTNKTRSFELLDIVGHVVEFSSDQYGSRFIQQKLETASIEEKNLIFPEILPQARTLMTDVFGNYVIQKFFEYGTETQTKQLASLLKGYVLQLSLQMYGCRVIQKALEVVEVEQQTQMALELDGSIMRCVRDQNGNHVIQKCIECIPQERIRFIISAFYGHVVELSMHPYGCRVIQRVLEHCNDESTQSGMMEEIMQSVVTLTEDQYGNYVIQHVLQHGKPEERSTIITQLAGQIVRMSQQKFASNVVEKCLTFGNPEQRQILINEMLGTTDENVPLQAMMKDQFGNYVVQKVLEICDDQNRELILSRIKVHLNALKRYTYGKHIVARVEKLIAAGERRVGVPSSC >KQL28203 pep chromosome:Setaria_italica_v2.0:I:4298343:4300207:1 gene:SETIT_018497mg transcript:KQL28203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGGDYANFGGRGGSSFGGGFGAGSDVRPGDWLCNCGAHNFASRSNCFKCSAFKEEAAVNSGAGGFDGDMSRSRYSFGGGAARTNRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGTEV >KQL28205 pep chromosome:Setaria_italica_v2.0:I:4298343:4300207:1 gene:SETIT_018497mg transcript:KQL28205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGGDYANFGGRGGSSFGGGFGAGSDVRPGDWLCNCGAHNFASRSNCFKCSAFKEEAAVNSGAGGFDGDMSRSRYSFGGGAARTNRPGWKSGDWICTRSGCNEHNFASRMECFRH >KQL28204 pep chromosome:Setaria_italica_v2.0:I:4298343:4300207:1 gene:SETIT_018497mg transcript:KQL28204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKPGDWDCRACQHLNFSRRDLCQRCGEPRGAADRGSGGGGDYANFGGRGGSSFGGGFGAGSDVRPGDWLCNCGAHNFASRSNCFKCSAFKEEAAVNSGAGGFDGDMSRSRYSFGGGAARTNRPGWKSGDWICTRSGCNEHNFASRMECFRCNAPRDSGSAAMTYDNYNLH >KQL31360 pep chromosome:Setaria_italica_v2.0:I:37085909:37088129:-1 gene:SETIT_018400mg transcript:KQL31360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETAAPIGLSWAPKLPSLATTSCSSKSEPAPKPSTAQGSLWKPQSELVDGLFVSPRDPKKVNKLTRKSVKDTTGKGWFDMPAPTITPELKKDLEILQLRHVMDPKRHFKRAGKSKALPKYFQVGTVVEPASEFYSGRMTRRERKTTLVDELLSDQYLKSYRMRKVREIQESRMPGGNQKWKNKGKQTLKRAKDRRK >KQL32023 pep chromosome:Setaria_italica_v2.0:I:40927300:40934512:1 gene:SETIT_016436mg transcript:KQL32023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTRLVVGAAMRAPPPPPPAPQFRRRRRLPHPRGGAHCPLFARAARPTLLTRCSYSRKGNGNPRGTPRRESSSTVRLDVEESSDQGTDLASEQRKGDIMELFSQAQRNILSLNKQRLLAMEELKKLQDENKSLLQEIEVLETEVQGVPLEAVQSSTFCELLLRIDTMVVSGMISMQEASDLREKVVNNRSIIQSTFSEIHHKANTELLSELRLFLRKPIEYATSYLCPNCNSYCLSFKVSAILYSCIWSSNLFNLNLRKSLHVVHICSELDPISSCGSLSTYVAGVSCAVQGKGNLVEVILPKYTSINMDGIHGLRKAEAEYESYFGGIWHKNRIWTGTSSGVGLILIEPVQLSYFNRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDILHIHNWETAIVAPLFWDIFAHQGLENTRILLTCQDLNSQCLEEPNKVEMCGLDPRKLHRADRLQDPNKTHLVNILKGGIVYSNKVVLMSSIHSRDVLIQGSRHGLEATLTAHKEKILVASHGLDGELWYPSKDIYLPRRYSANDIEGKSICREALKRRLGFSSGSSIIVGCICDGYSDIHNLKEAVHVALRKNAQVIFMEKLGSVVNSTVRALKEEFINLDDDIAFVEEYNETLAHLIYAGSDIILCSSFEDPSLQIAVKAIKYGCAPVQINFPNDGSRQSEGRDCRNRVMSKYIISTYGEFSLLQALDSFKNDPSSWDQQIKDGMAKGLAWDAECYDLHWEAYSSLRKL >KQL32021 pep chromosome:Setaria_italica_v2.0:I:40927300:40933029:1 gene:SETIT_016436mg transcript:KQL32021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTRLVVGAAMRAPPPPPPAPQFRRRRRLPHPRGGAHCPLFARAARPTLLTRCSYSRKGNGNPRGTPRRESSSTVRLDVEESSDQGTDLASEQRKGDIMELFSQAQRNILSLNKQRLLAMEELKKLQDENKSLLQEIEVLETEVQGVPLEAVQSSTFCELLLRIDTMVVSGMISMQEASDLREKVVNNRSIIQSTFSEIHHKANTELLSELRLFLRKPIEYATSYLCPNCNSYCLSFKVSAILYSCIWSSNLFNLNLRKSLHVVHICSELDPISSCGSLSTYVAGVSCAVQGKGNLVEVILPKYTSINMDGIHGLRKAEAEYESYFGGIWHKNRIWTGTSSGVGLILIEPVQLSYFNRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDILHIHNWETAIVAPLFWDIFAHQGLENTRILLTCQDLNSQCLEEPNKVEMCGLDPRKLHRADRLQDPNKTHLVNILKGGIVYSNKVVLMSSIHSRDVLIQGSRHGLEATLTAHKEKILVASHGLDGELWYPSKDIYLPRRYSANDIEGKSICREALKRRLGFSSGSSIIDVSVMVIQIFIISRKQFMLLCAKMLRSSSWKS >KQL32022 pep chromosome:Setaria_italica_v2.0:I:40927300:40934512:1 gene:SETIT_016436mg transcript:KQL32022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTRLVVGAAMRAPPPPPPAPQFRRRRRLPHPRGGAHCPLFARAARPTLLTRCSYSRKGNGNPRGTPRRESSSTVRLDVEESSDQGTDLASEQRKGDIMELFSQAQRNILSLNKQRLLAMEELKKLQDENKSLLQEIEVLETEVQGVPLEAVQSSTFCELLLRIDTMVVSGMISMQEASDLREKVVNNRSIIQSTFSEIHHKANTELLSELRLFLRKPIEYATSYLCPNCNSYCLSFKVSAILYSCIWSSNLFNLNLRKSLHVVHICSELDPISSCGSLSTYVAGVSCAVQGKGNLVEVILPKYTSINMDGIHGLRKAEAEYESYFGGIWHKNRIWTGTSSGVGLILIEPVQLSYFNRDMLRGYPDDFERFSYFSRASLDYIVKSGKQPDILHIHNWETAIVAPLFWDIFAHQGLENTRILLTCQDLNSQCLEEPNKVEMCGLDPRKLHRADRLQDPNKTHLVNILKGGIVYSNKVVLMSSIHSRDVLIQGSRHGLEATLTAHKEKILVASHGLDGELWYPSKDIYLPRRYSANDIEGKSICREALKRRLGFSSGSSIIVGCICDGYSDIHNLKEAVHVALRKNAQVIFMEKLGSVVNSTVRALKEEFINLDDDIAFVEEYNETLAHLIYAGSDIILCSSFEDPSLQIAVKAIKYGCAPVQINFPNDGSRQSEGRDCRNRVMSKYIISTYGEFSLLQALDSFNDPSSWDQQIKDGMAKGLAWDAECYDLHWEAYSSLRKL >KQL28784 pep chromosome:Setaria_italica_v2.0:I:8085405:8091677:1 gene:SETIT_016615mg transcript:KQL28784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIMPALIFALHVTGSLNAVISPEHRCEICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDEDGALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPQFLPFHPGKFWCLARMVYLPMAYLYGKKFVGPITPTILALREEIYGTCYVKIDWSKARNACAKEDLLCPRTELQSAVWTSLYWCVEPVLSSWPVNKLREKALDNLMEHIHYEDENTQYVCICAVSKALNMVCCWVEDPKSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTIQRAYEFMKHSQVLRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALEAVLLLSNIASNHIGDPVGRERLHDAVDCLLSFVNKDGTFSTYECQRTSSWIEILSPCESFPNIVVDYPYPECTSSVLQALILFRELYPSYRTEEINKSVRNAATFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACHFLLSKQLSTGGWGESHVSNVTKVYVNLEGSHAHAVNTAWAMLALINAGQFERDPAPLHHAAKEMINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYRRCLRANKSSGPV >KQL30712 pep chromosome:Setaria_italica_v2.0:I:32830109:32830639:1 gene:SETIT_020125mg transcript:KQL30712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVREELKTVIGTKGQVVESDISQLPYLQAVVKETLRLHPAITLAFQRAMATVQIEGYNIPKGTGIVINIWAINRKSKMWVEPEKFMPERFIGKDISFWGKDFEFIPFSAGRPYRMVHLVLGSLLYHFDWRLPNDVKDNGIDMSEKSGAIMVSMATPLKAIAKECDE >KQL30736 pep chromosome:Setaria_italica_v2.0:I:33018285:33020156:-1 gene:SETIT_020050mg transcript:KQL30736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLMANYASDSDSDGGEPAAAPAGAPEVPEASALLPPPPLDLLQPPNFVDYSAMAQGSRVRSFPHVEGNYAVHVYIPVVIPSDAKKQLALAMKRAASLVPDLYAVDADYALSELCKDEQKLEKVLLSREFHVSLGRPVAVQVHQIDSFVAMLCQKFQSQQRYWMEFNKWEHFVNDDISKQILMVDEVYRLHGLPEFYKNPRPHISLVWALGDISSKLKQTIKDIEKYQSSQSSMSSLQKCNVRCKFSRVVCKVGKKVYDICNVAD >KQL29200 pep chromosome:Setaria_italica_v2.0:I:11276419:11282322:1 gene:SETIT_016261mg transcript:KQL29200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLAISKIGTVLGDEIINAVTAELSAKVTNLRDLPENIKYIGRELRMMNSVIEGFDMTNLGINVVHQWIAELRNLSFHVEDVMDKYSYHAFHLREENSFHKVYRGAHYATVFSELADEVVKIKCEIEQVKKLPKDYFHDNLLLPRSRIATDQRVSQGCLPELVQDDDLVGIKVNQSNMIGWLNSNASDSSVITVSGMGGLGKTTLVLNVYDREMTNFPVHAWITVSKSYTIDALLRKLLKEIGYIENPSAEIDKMDAITLRQEIRKKLEGGKKCMVVLDDVWDREVYLKMEDIFKNLKASHVIITTRNDDVASLASSTERHLQLQPLNSDDAFNLFCRRAFSNRIDKKCPPELKNVADSIVNKCKGLPLAIISMGSLMSTKKPIEHAWNQVYNQFQSELLNTGDVQAILNLSYNDLPGNIRNCFLYCSLFPEDYIMSRETLVRQWVAEGFVVANQHNKLEDVAELNLMKLITRNMLQVVDYDEVGRVSTCKMHDIVRDLALTAAKDEKFGSANDQGAMIQIDKEVRRLSLYGWNDSDASMVTFPCLRTLLLLDGVMSTQMWKSILSKSSYLTVLELQDSEITEVPASIGDLFNLRYIGLRRTRVKSLPETIEKLSNLQSLDIKQTQIEKLPRSIVKVKKLRHLFADRIIDEKQEDFKYFIGVQPPKDLSNLIELLTLETVEASDDLAAQLDKLRKLQSLWISNVSAMHSPKLFAALSKMLLLSSLLLNASDEEQRLCLKDLNPQSKHLHRLIIRGCLAPGTLECSIFHSYGKNLKYLALSWSGLLEDPLQMLELHVPNLTYLSLNKVTSAENLAISEDCFPQLKTLVMKNILNVNQLTIGDRALPNIECLYIVALPKLDKVPRGIESLSSLKKLCLLNLHKNFKTQWFRNGMDKKMSDVLELRI >KQL30335 pep chromosome:Setaria_italica_v2.0:I:29968828:29976417:1 gene:SETIT_016075mg transcript:KQL30335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHSDSDSSPKSSSSSSSSPSARRRSSPQRGRAHSDESGSSDGVLVELPAQEARSPGADPDGGVLVNMPADDATSGETFEDAPDDLAAAGSRSARSLDESMTVIDFPEVSSAGAELRKYQEEKEAFAREAVALRRMLQEMVGKGASVSLHGEDPDETPLHSMLDDCSRLVLELNSLARAREQEIESLHARAVEAEVSREVADVYLSSWREGPEQAVGRMLASIDSVVGQDDANFEGAEQDGVSILERKISSLVEKYKQVSTGIEQLEQVLAEVKPDFVATAQGGLATILGIATEELVSCKRNEVDFLQKLNSFAEEKKALADELEEVKAARDAANSEASKAKAEFEQMEHKLSTTKEKLSMAVTKGKSLVQHRDSLKQALAEKTAELQSCMAELQKKSDALQAAEGRVEELRVSLDEKTIEHEKCLDELRETYSAWEGAKASIEELNEANSALTSLQTSLSLKDGVLQHIEEIMSEATFPEDLLSLEMTDRLGWLVEQKKIADMIFSEHHKVKDILSSVDIPHSVLTGELDSQISWLVSSLNQAKDDVVRLHSESADMLGRLAAHESKLVSMHEEIDRLTIVLLEEKQEKDMLVNEHSELLSLYNAAVDKLSVVSSQNNELIKTFAEFSDVTLEGNEPLDTAKLVLQSLSNIQQRTKSSPMETDSFEKLQTFLYTINQESSLCKIILEEDMIDRSVKTDELQRMAEEIHVLKNEKDSLQKELERVEERSSLLREKLSMAVKKGKGLVHEREGLKQVLNEKSSEIENLKQVLEGKNSEIEKLKYALNENKSETENMKEVLDTKNSEIEDLKHALYENNSITDNLKQVLDGKTSEIERLKHTLDESCMETENLNQALIEKTSEADMIKQELDAKNIGIENLRHEIESRESAMTDLKEHVEHLSLQAAHFEKLQFDIVTLNDEKGKVESMLEEARASFGTLADSISSLTLPVDQPFEDPMEKISQIAQYIQESLVAKGSLDNELHKANEQITLHASRLSDALSTINMLEDELRKVKDHISSISDEKRQIQLHTAAVEEELEKTNEELAINANKLEDANATINTLQDELSQARSNISVLDAEKKEAEVKHETEINALNAKLAKCLEDLDRTHGNLQSHSTEHHGYLEKLSMLVVDDSLLSLMAEEFGKTISSLRDMGLIVKNMHEQLAAKGFHTDAVVEDPELLTLLSLSDYDNFVTERLGNSKTKKGNIDDTSSFSTIVEQLNNQTEYFSSFLKDLSAYMNGNIMSVLRALQLASNDFAHTLEEHGTLKIELGNKDAHNRAQESEVLSLQKELRAMSSKCIYCIQQIKIVFDDVVDLGYAIELATGRSSTGSELEVIVSDLKDEDADDYNKVADALLSTITILKSKSEKLSAIKGCVVTSLDEFKMRLKQAESAAETVSHDHQLLLERASMLEKELKMLQDECNRMELKMQEYQEREGTLKARELELLSLERTQITADRGITDDAISKDQMEALVEKINKLNMMSGESHLQREEAALPSPFDKLSAVIDGFSALQHEVETLRYENEDLQLNVESCTREIEQLREEVSRNSDLNNRELESKSSELLEVTVSMERMIQQLGYLGVKDVVEDNKPTTTQALLSKLEKLIVASSTEAGNAKSIIQEQGAKLQSREKAVDELSTKVKMLEDLYHARLAQPDSSKDRSFEASSSAIVSDMSEIEDVGPMGKASISSVSTAAHARTMRKGSSDHLVLNIGSESERLIAAQDSDDKGRIKSLHTSGLIPAQGKHIADRVDAIWVSGSQILMNRPRARLGLMVYWLFLHLWLLGGIL >KQL31958 pep chromosome:Setaria_italica_v2.0:I:40621802:40625573:1 gene:SETIT_016855mg transcript:KQL31958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLLLKMVGFCVWALFWLGGTATVSTTALAGGDAVVVDARSAVAATDDDFVCATLDWWPPDKCDYGTCSWGRASLLNLNLSNKILLNAVKAFSPLKLRLGGSLQDMLIYDTGDSHQSCTPFVKNTSAMFGFSQGCLPLNRWDELNAFFQKSGAKIIFGLNALNGRVPMPDGSLGGPWNYTNAASFIRYAVNKGYDIHGWELGNELSGSGVGARIDADQYAADVITLKQIIDSTYQGNPSKPLVLAPGGFFDAAWFTELVSKTKPSQMDVITHHIYNLGPGVDDHLIEKILNPSYLDGEASTFSNLQGILKSAGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWYLDQLGMSSKYDTKSYCRQTLVGGNYGLLNKTTFEPNPDYYSALLWHRLMGTKVLSTTFNGTNKIRTYAHCARNSEGITLLLINLSGNNTNHIYVASEGARTQSARKENRRFGHIPGLGEAAELTREEYHLTPKDGNLQSQQVLLNGNVLATDANGDIPKLEPVQVEGTQPITVGPYSIVFAHIPSFYAPACS >KQL28548 pep chromosome:Setaria_italica_v2.0:I:6591244:6596733:1 gene:SETIT_019616mg transcript:KQL28548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLALGAARSVVKGTLAKVQSAIETEKKLRQVAQSDLAFINEEFQMVQSLLEVVDERRAKNKVVKTWVGQVRDLAYDVEDGMEFVAHLDTKPDWWHRWLLPCMARPLDEAVAELKQLKARVEAVSNRNTCYNLIGESGFKPITQQQLVPSAVMGETSSLDMLTEMKANAKMHQDFGVLTQLITNEGNNNALRVIWVWGTGDDLGFMSIVRKAYQAPDICQIFPCRGWFKLTHPFSPHEVLRSLMAQFYTSSCLQNGDAADVGELASMEEAATTQAGLINNFMKQANEKRYLVVLENVSTMGQWDAIRTYLPDSNNDSLVIMCTQQCEIANMCVADSYRALELKHYSACVLFKEAPQYNEDERRYKGDGEDEMKHGGGLKHFHRMPTLRIDAARGWLEQFSLVGRESLRNQLGNFVTKGRFGGWQVMSVCGIPGVGKSALVKYWYYERMTKRDVLFDKYSWLHVSQPFNLRKFCQSLLPDLHSESSQASGAEDPIGTCSTILKEHRCLVVVDDLHSDEEWDLIRPVLAHKNSRNVVIVITNDASMAMRCADNEELVLNVKCLERDAAIDVFKKECGGIPKVIVAIVDVLATKTVTAFSSARNLNARFIPELESNPDLSDSVRGIFSWMDSFIRTCPDYLKQCLLYLSIFPRQQTIRRRRLVRRWIAEGYCMDTESSSLEERAEWSFSKLVDLSMIQQPPHSAIDVLNYRMIFCQVNNFVGEYIFSQPMEEKLVLQLKGSCPLTTQSTGRHLVIDRSWDRDMIVFKNINFSRLRSMTVFGEWRSFFVSGTESMKVLRVLDLEDVTGVTDKDLEQMVKLLPRLKFLSLRKCTDIHNLPSSLGVLRQLETLDVRHTSIVTMPVTIAKLKKLQYLRAGTVMPTEERQTASSSSRLSELRRRQQVEGVKVATGIEKLTALHTLGVVNVGDAGGKAILKELRKLTQLRKLGVSGVNKKNINEFCSAISCHVHLESLSVRLSKDYHDRLNDTKLSPENLQSLTLFGFVQGLPAWIGGLHKLTKLDLEITISAKVDIHGVLGRIIQLCILRLHVKLLNDDDNVRLDFRVEMNQVEARCYMNVKILDIACSSSTLHVSFGSKAMENLEVLTARCSSGSTLQFSGLKDLAIRRLKEVRLVGFHDDNILEIRTQLGEHPNKPLAVKEQVSSRRPHRYST >KQL27719 pep chromosome:Setaria_italica_v2.0:I:218735:220279:1 gene:SETIT_017621mg transcript:KQL27719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYAWGDCKPTATMLAVVVVFAVLNTLTKMAFNQGMHTTVLITLRQLTAFLFLAPIAYFRERKTRPKLTLEIFVYLFFSAVLGASLTQWLFFVGLRYTTATFACAFINMTPMFTFLVALPFGMEKLDLKTGAGIAKVIGTTVGFTGAIILALYQGPSLTKPQQPMELSTMGVGHGHGAHTWVTGSVALLAGAACWSFWFILQSRLGKKYPALYSGNALMFLLSFLQMAAVGLATERDLSVWILRTKLQIITVLFVGIMGSGIGFLAMSWCIEQRGPVFTTAFTPLIQLIAGAINIVALHEQLHVGSALGSALVIAGLYFVLWAKTKEASDSDAAPSSNVPMPEQKSKQATQQPEDV >KQL27715 pep chromosome:Setaria_italica_v2.0:I:213901:215490:-1 gene:SETIT_018430mg transcript:KQL27715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILASETMDIPEEVTVKVAAKVVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNANTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGPIAEE >KQL27716 pep chromosome:Setaria_italica_v2.0:I:214602:215490:-1 gene:SETIT_018430mg transcript:KQL27716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILASETMDIPEEVTVKVAAKVVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNANTAIEIRNFLGEKKVRKVDMLEGVTILRSEKVKDELILDGNDIELVSRSAALINQVGVLASLILQH >KQL30006 pep chromosome:Setaria_italica_v2.0:I:27431267:27443199:1 gene:SETIT_016071mg transcript:KQL30006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPGAGTRGREDRAADAPRLRGAEEALSAATAPEPATAAEICGAPEARLSTEVDKDEGGDFSVGLVPPAEAVSASTEGRRFQIGQVKGSSLEQRVMDVEEEKGGSLAPALQSSAEPGGLQTCHEANGGLFNKSLCAPCGEAVCPKDTGCMDDMLEEMADGNQGDWGGKATLEDLRIAWDEAQRSHEGLLGSMSHGSEQEARAVDGIGFITDANDELQQDALMPDIEAEVSKPAHEDLVPSISGGIDLSLDGKMDWMEAAHDNGYLSGTGKHHSEKLPCGADGLPLITDANHELEKVGFLSNINAVASCPVDETSVPSVYVNSIDVPLDGQVGDMMSCDSGLRTEAYGDENQHSGPCADKELQHTPLKYGTSEQSPEENLCVSSYNQPCDDEPCSGKESSALCLGHQDSAVGGSGHLDQGLNACNCADDSSVDFASNANDGGSPNQKLAALNVFSRRRNPKRAASSRKDSERPGQTNQASSGTRKPKKVDVVSSLHQTTMGLFPNKIAKGRSGMNRPPKPSTWGSLKELLDGFCQSNRPSISNSHPTCLDKGGSDNRSDKKSQPSIRKSRSSRSSKSKCYSFSVTGHAASELNGQPAFSPLDDTDVSLESHRENIPKLSSDTSINIYDSTRNTAESTASYRTVKSKCIQTEAQQLERALVNSTKETCAADVHGECAKLSTSEPSLTNANGSVMLHVGFSPDSVLEVASVTSEGNASASHDVMLHENSTDTGALNVGGYHPSVLTSNFGKEQALLSLMDLEQQAKTTLLEDTRKDENTRREDMVDNDVGEGKAQALQRSNAVRKISIVRKPGCKKKDGSKGKRKNVIGSTNISPCEGSKLRPLSSNSISPDPCEAILRTGPPEFSPFETLTFGTQDRAIYEHDSVLSHSVMDGIDSMKSPRRKKKDANAGKKGKARDPHKKEKSKKKNIADDSSFDHGLLNLPSCDLAASHMNEQSNLDPATEFGLKNSGAISIDLPGNVACKTDGASLPPPPRAAWVCCDDCQKWRCIPADLVDVIGETRWTCKDNGDKAFADCSIPQEKTNAEINAELDLSDASADEADDGRSNSKAVKAPSWTSIRSNLFLHRNRRTQSIDESMVCNCKPPQDGRLGCRDGCLNRLLSIECVKRTCPCGEQCSNQKFQRRSYAKLRWFQSGKKGYGLQLQEDVTEGRFLIEYVGEVLDITSYESRQRYYASKGQKHFYFMALNGGEVIDACTKGNLGRFINHSCSPNCRTEKWIVNGEVCIGIFAMRNIKKGEELTFDYNYVRVSGAAPQKCFCGTAKCRGYIGGDISVDIITQDDAEAGHVEQIVLDKDSEELISANGSDADGSHPNIAEPEFSIEGEDLHDCSAGKAELEPLDQTGGTLIETSEPENSLEAWSPQEDEDVIRTPVHVSRTFESSLQQFPVHGPQSSDLLQKTAKSAEGSKVPNGSTPSFDFQSNLVPGLNARHNLKQHRNVKPQSSSPIDNEHILGVEGRLNNLLDGNGGISKRKDTTNGYLKLLIVTAAGDSAGGTSKSVRDLSLILDALLKTKSCSVLLDIINKNGLQMLHNMLKQNKTDFYRIPIIRKLLKVLEFLAEKGILTSEHINGGPRCAGVESFRDSMLSLVRHRDFQVQQIARSFRDRWILHNTARSEPTEYPHTSTFAQDIHRTNMVWSSARRKRKSRWDYQPDEHYKMVGQNIQKVCSGHGGFDVQFGFMRNKLQRNQGTDNCHNDVHGMGSSTEGADDEVPPGFEPQQECQPAQLSIGSDVAPGLCMERYQPSLSVSYGVPVALVQNFGTPESEGGQGHQKWKVAPGVPFSPFPPLPPYPRGSPCPSTSSSHMFQHDGTSLVNHNISGHCGGRTTGRDGKMHRTWRNGPRTKWPYHHQGRRFPSNHHRFERFEPPRPQ >KQL30212 pep chromosome:Setaria_italica_v2.0:I:28923321:28925051:-1 gene:SETIT_019482mg transcript:KQL30212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVESSAASYGWWRCLLVVPVLYSAWASPFELAVERAATLPLLVVDLVADAFFAVDIAASILAACRRLRGTTGIFVDDRKKAAVRHLRPWTFAMDAASTVPFQAIYHLAAGRGAAAWSSPCRFLSLLRLWRLRRVSELFARLEKDVRLNYFWTRLVRLAGVTLLAVHATACAHLWMASHYRGPTWLGRGFESRSVWAGYVRAAYWSVATLTTVGYGDLHPANPGEMAFAVLLVLFNLGLAAYVVGNMTNLVAAASAPALALRDTLLGVSAFGAKNRLPEALTEQIAEIVQLNFDTTEQLLQPELLSEMPRAVRSEIAQHLFRDTVEGAYLFRGVSEGLVVDLVSDMTTAQFFPPKADIVQQGENPTDCYIIVSGSVDVLAAATDGTETVVSKAGPRGMAGEIGVVLNTQQPFTVRCRRLTQVVRVSQSHLLRALRPHAADADRLFCNFVQHLESPVWQVARKEAPFLREASAQLRAGAAAAAATSSRRSEMMDGARLEEADRRTSRRREPIKRVVIHPKSANKTGKLVRLHGSMQELMRVAEAKFGKAVTTVLTVDGAEVEDVDVLRDGDHLFLC >KQL28400 pep chromosome:Setaria_italica_v2.0:I:5590571:5592190:-1 gene:SETIT_019891mg transcript:KQL28400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLTYYLHLFCVLLLPLLLLKLKRRDGDNGLRLPPGPWRLPVIGSLHHLLRSPLPHRAMADIARRLDDAPLVYLKLGEVPVVVASSPDAAREIMKTHDVNFATRPWTPTMKVFVVDGEGLVFARYGALWRQLRKISILELLSARRVQSFRGVREEEVRRLVATAAAAGEAAVNVSERIAVVITDTAVRSMIGDRFERREEFLENLAEGIKISSGFNLCDLFPSSRLARLVGGSERRAAANHRKNTELMDYAIKQHEQRRATMAASADGTVEGEDLVDVLLRVQKEGGLEVPLTMGMIKAVILDLFGAGSETSANTLQWAMSELVRNPKVMQKAQAEVREKLQGKPTVTEDDLADLRYIKLIIKETLRLHPVVPLLLPRECRESCKVMGYDVPKGTTVFVNVWAINRDPKYWDNPMTFRPERFEAGTVDFKGTDFEYTPFGAGRRMCPGMAFAQASMELVLAALLYHFDWELPGEMLPSELDMAEEMGITVRRKHDLYLRPIVRVPPHVAP >KQL28451 pep chromosome:Setaria_italica_v2.0:I:5993839:5999109:1 gene:SETIT_016148mg transcript:KQL28451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSAVTGSGAEEEAVAVPLGQNLLVHVAENGHSLEIRCFGDTLVEAIQQSIERYCGIPPGDQRLLCGNTSLDGAHPLAYYRLPRDDREVFLYNKARLLADSRPPPPESVYIPEPKIPPAPRPQDSPPVEVSADPALKALVSYEIRFRYHFQVANAVYRCSDTKFELCKRLLREQQVQERALDTARSNLDHTFKKVSQRYLEFVRCFSQQHRAHMELLTNFERDLQRLRAVRLHPALQCEGRQCLLDLLKENDMRKLADGCFSSQKQFEMKVSQLKANFLELKKRVDNLFNVMSSSGCKDLEKLIKEHQGVISEQKSIMQSLSKDVDTSKKLVDDCSSCQLSASLRPHDAVSAVGRIYEVHEKDNLPRIRNFDHMLTKLLEKCRDKKNEMNTLVHVSMQRVKSTQMNIRDIMNELIAFQEGMSHQDDFANLKIVSGLGHAYRACLAEVARRKSYFKLYTGLAGTYAEKLATECETEKARREDFYRTWVKYIPDDIMGSMGLFDSPSQCDIKVTPFDRDLLPIDVDDVEKLAPQSLVGPFLKSERSQLPKSSLSNSSTSGNLNKSEQNLLSADDKMDFQDFLGDYDTIDIAGTSKLEVENARLKAELASAIATLCNLGVEYGYESIDEEQIDSVLKKAREKTAEALAAKDEFANKLKSLLTANQEKCLSYEKRIQDLEERLSNQYMQGHMVSGSKGTSGSLVSAFKCNDCNLEVSGGRQTQIRDESSVAMDEASSTSEQPSKQTEGGDENMTDISGALNLQLLDSVACTNLDAFMTELPRDNEDKIVSIDKEGQMLTQLTMADTSDVPVEDHHALELRNKELLVSELQNALDEKSKQLGETESRLSAMADEVNSLKRELEHARGLLDESQMNCAHLENCLHEAREEARTNKCSADRRAVEYDALRSSALRIHGLFERLNSCVTAPGVTGFAESLRSLAVSLASSVKKDEADTTVQFQQCIKILAEKVTFLTRQSAELLDRYSAVQAAHGAIAKDLDEKKELIKNLYNKLQLEKQASKEKISFGRFEVHELAIFVRNPAGHYEAINRNCSNYYLSEESVALFTQQHPRHPAYIIGQIVHIERRIVHPDQMGGAPRPDSSGSRRPPASTLNPYNLAPGCEYFVVTVAMLPDAVR >KQL28087 pep chromosome:Setaria_italica_v2.0:I:3221805:3222216:-1 gene:SETIT_018811mg transcript:KQL28087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRWSRKPSRPPRAVTPLKALLLPVLLLAFSSSSAAAAAMHNNNWAVLVCTSRFWTLSAQPRSSSAPVCPARWGSSPGCAGSVGGTRAFVGRGEWRA >KQL28684 pep chromosome:Setaria_italica_v2.0:I:7472242:7474455:1 gene:SETIT_019260mg transcript:KQL28684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGELPPTLSNCTSLITIDFSSNNFSGGLKEVNFSNLPNLKTIDLMLNNFSGTIPKSIYSCINLTALRLSSNKFHGQLSERIGNLKSLAFLSLVDNSLTNITSALQILSSCRNLTTLLIGTNFKNEAMPKDDAIDGFWNLRVLFLNDCSLSGNIPLWLSKLTNLEMLLLYNNELTGPIPDWINSLIFLFHINMYNNSLTGEIPTALMSMPMLEKDKVAPKPFELPIYTRSRQYRMAISFSTMLRVGRNNLTGVIPEEIGQLKALVSLDLRSNKLIGVIPQSICNLSNLEALDLSGKHLTGTIPIGLNNLHFVSKFNISNNDLEGPIPINGQLITFPRTSFEGNPDLGGNVIRGSIPDSIGELKGLQELQLGHNNMSEELPSTLGNCTDLRSINLGCNYFSGELTGFNFSTLTNLKSLDLMRNNFNGTFPESIYSSPGQPQPCNQALAPRHPLYDGPFARHPGPPAAYLLAHAPSSSTMAASPRRHGHVGTRDDGLEPHLHTH >KQL31657 pep chromosome:Setaria_italica_v2.0:I:39059405:39061854:-1 gene:SETIT_017618mg transcript:KQL31657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPGGSGRRQQQQPEPEHLPPMTPLPLARQGSVYSLTFDEFQTALGGAGKDFGSMNMDELLRSIWTAEETHAVAAASASAASTAAADHAARAAIQRQGSLTLPRTLSQKTVDEVWRDIMCFGGPSAAPAEAAPPSPAQRQQTLGEITLEEFLVRAGVVSEDMTAPPPVPPVPVAAAPPRPPPPQPPMLFPHSNVFAPLMPPLPFGTGLVSGAVGQGDGAAPPAVSPVRPVTSNGFGKMEGGDLSSLSPSPVPYVFNGGLRGRKAPAMEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEIAKLKEINEELQKKQVEMLEKQKDEVIAFYFEGSNIALVTCYGSIFCITSNKLG >KQL31656 pep chromosome:Setaria_italica_v2.0:I:39057566:39061854:-1 gene:SETIT_017618mg transcript:KQL31656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPGGSGRRQQQQPEPEHLPPMTPLPLARQGSVYSLTFDEFQTALGGAGKDFGSMNMDELLRSIWTAEETHAVAAASASAASTAAADHAARAAIQRQGSLTLPRTLSQKTVDEVWRDIMCFGGPSAAPAEAAPPSPAQRQQTLGEITLEEFLVRAGVVSEDMTAPPPVPPVPVAAAPPRPPPPQPPMLFPHSNVFAPLMPPLPFGTGLVSGAVGQGDGAAPPAVSPVRPVTSNGFGKMEGGDLSSLSPSPVPYVFNGGLRGRKAPAMEKVVERRQRRMIKNRESAARSRQRKQAYMMELEAEIAKLKEINEELQKKQVEMLEKQKDEVLERRSRQVGPTAKRICLRRTLTGPW >KQL30296 pep chromosome:Setaria_italica_v2.0:I:29759815:29760264:1 gene:SETIT_020071mg transcript:KQL30296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSAGDVDVELLKAVAQAWHAQSGNPRPSRASETSGDDSDAAGAGALPRAGAARHRPSRFKREAVAAAAAAPPRETAWDFAQSLLDTYELVAVARRLESGLVIADHAAAAALAVPPREAGKRARESRRSLRSLLLRSTSRRFEEPKS >KQL30603 pep chromosome:Setaria_italica_v2.0:I:32084681:32088247:-1 gene:SETIT_016349mg transcript:KQL30603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASAVGFNVTMGQGVDTYSMSWGTPDGGPVISESNYGLYVVGCGLEVYMFGNNWTDLIGSCMSICADDRTTERANVFGSCRDGIGCCYIELTRDLPAFMVKLVRRNRTRAQLNDVKVLLPRDYSFVLGDLKSSWVNMSNVEDTRIQIAITDQPNCERARVNKDSYACNNESNCQDLQYGRGYSCSCPNYYGKGNPYIVNGCIQAYDSTPKQNCTRSCGNISIPFPFGIEEGCYANDNFRLNCTSDVTVLDRRYAQYRVTRISLDDGSLTVSNMLNDTSYNNMERIVNSNYDGTDGFRDSYDMSSVVDGIYDFSREDEIVIKWVVANLTCQQAKQSNPKYYACISHNSNCQDIRRGKTNYGYLCKCNDGFHGNPYLQNNCTDIDECSLPNYCNGTCQNFPGTYKCTPCPHNKEFDWTKKRCVTSTKQRNLILGIAIGISCGIGSIIIALGVIVLANKWKRGIQKRIRRAHFKKNQGLLLEQLISDESTTSKTKIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQIEIDQFINEVVILSQIIHRNVVKLFGCCLEDEVPMLVYEFISNGTMYELLHSDTTVKCLLSWDDRIRIAMEAAGALAYLHSAATITIFHRDVKSSNILLDDNFTTKVSDFGASRSISLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLIRKKPIFINDLGAKQSLSHYFIEGLHAGSLVEILDKQVVEEADQEEINEIASLTEACLRVKGGDRPTMKEVDMRLQFLRTKRLRKGLAEKDADI >KQL31634 pep chromosome:Setaria_italica_v2.0:I:38963879:38965429:1 gene:SETIT_018451mg transcript:KQL31634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSAPPDAYNKFTTGAPPTAPPPAAYQQHGANMNPSRPGGALRTWSTGLFHCMDDPGNCLITCLCPCITFGQIADIVDKGTCSCIASGLLYGLICASTGMGCLYSCLYRSRLRAEYDLEEGECPDFLVHCCCEHLALCQEYRELKNRGFDLGIGRTE >KQL31633 pep chromosome:Setaria_italica_v2.0:I:38963909:38964392:1 gene:SETIT_018451mg transcript:KQL31633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSAPPDAYNKFTTGAPPTAPPPAAYQQHGANMNPSRPGGALRTWSTGLFHCMDDPGNCLITCLCPCITFGQIADIVDKGTCCEFFLFSFLSTSCSKILPKVSKKKRKRLPIQRCKIES >KQL31632 pep chromosome:Setaria_italica_v2.0:I:38963879:38965429:1 gene:SETIT_018451mg transcript:KQL31632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSAPPDAYNKFTTGAPPTAPPPAAYQQHGANMNPSRPGGALRTWSTGLFHCMDDPGNCLITCLCPCITFGQIADIVDKGTCSCIASGLLYGLICASTGMGCLYSCLYRSRLRAEYDLEEGECPDFLVHCCCEHLALCQEYRELKNRGFDLGIGWDANVDRQRRGVAGGAVMGAPAMPLGMIR >KQL30876 pep chromosome:Setaria_italica_v2.0:I:33950911:33951934:-1 gene:SETIT_019431mg transcript:KQL30876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSTFLPLPKLLSSSRSHHLLPVRASSAAPAASASFDLRRYWTSLIADVESELDAAMPMRPPESIHSAMRYAVLPGAGKEGGAKRAPPVLCMLHAASLVHDDLPCFDAAPTRRGRPSTHAAFGTDMAVLAGDALFPLAYTHVIAHTPSPDPVPHAVLLRVLAELARAVGSTGMAAGQFLDLAGATALGEAEVMRVLTKKFGEMAECSAACGAMLGGAGPDEEAALRRYGRTIGVLYELVDDIRSASGNGKMRRNASVLRALGMDRALGIVEELKAQAKTEADRFGDKYGDRVLPLYSFVDYAVERGFELQDAAATP >KQL27785 pep chromosome:Setaria_italica_v2.0:I:641577:648235:-1 gene:SETIT_016570mg transcript:KQL27785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLLLRPSPSPCLPLRRLLLFRCSASSSATAPSSLAPYHASFARRMALAGIRPHHRIAVGVSGGPDSMALCVLATAWKKAAGRKAADEEGFGSSAFVDGLLGVVVDHGLRPESADEARLVRDRVRGMGVECEIARCVWQDGRPKQGHVQEAAREVRYQKLLDICIKQQIGILLIAHHSDDQAELFVLRLSRNSGVLGLAGTAFVSQLFAPNVKYDGENFRRCGILLVRPMLDFSKDDMYKICQGSNQSWVEDPTNNSMMYARNRIRASLGNLSTEGTFLSGVHKLISACRLTRTHVDYTWSMIAKQCVSILEYGYGVIDLEKLDPSNVDDLCLSQYLAYILQFVSQRHRPLRGRSARLLLDYIRTIPCKAALTVAGCYLCAAPRSKGTKVLVCCSVDWMESSSAEISYKCSYEEQVFPVPEIDQIVLEGCLQSKQFIQNRSNLPFVYSKSSVDVLNKAKDLSIIDDFTLQKLCYLRTDEHDKFIVNEHKHEEHDQEETKIPDYNVLSLCPGEICHFMSRFLITWKAPEDVNEICLHENKECLSKICTVNLDGSLEVRHMADADWLFLAEVCNIRSVEQNLSGPKASISKIEMDNAPQHYRYLQRSAHKALQILRSIPAAARRTLPVLTNAQGDIVCIPSIGFRCCPSLSIQAVFYPRVPLGGGYSSYL >KQL31612 pep chromosome:Setaria_italica_v2.0:I:38850077:38854719:1 gene:SETIT_017442mg transcript:KQL31612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGEGPAAAAEAAVVEELAVRNPRCYLDVSIGGELEGRIVVELYASVVPRTAENFRALCTGEKGVGADNGVTLHYKGSCFHRIVKGFMVQGGDITAGDGTGGQSIYGLNFEDENFVLKHERKGMLSMANAGPDTNGSQFFITTTRTPHLDGKHVVFGRAIKGMGVVRAMEHIFVDEADRPTDDIVIVDSGELPEGADDGVVNFFKDGDMYPDWPIDLDEKPAEVSWWIDAVESAKAFGNESFKKQDYKTALRKYRKAMRYLDLCWEKEDIDEESSTALRKTKSIIFTNSSACKLKLGDLEGALLDADFALREREDNAKAFFRQGQVRVALNHIDAAVESFKQALELEPNDGGIKRELAAAKKKISDRRDQERKAFSRLFQPSGGLEKRE >KQL31613 pep chromosome:Setaria_italica_v2.0:I:38850077:38854719:1 gene:SETIT_017442mg transcript:KQL31613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGEGPAAAAEAAVVEELAVRNPRCYLDVSIGGELEGRIVVELYASVVPRTAENFRALCTGEKGVGADNGVTLHYKGSCFHRIVKGFMVQGGDITAGDGTGGQSIYGLNFEDENFVLKHERKGMLSMANAGPDTNGSQFFITTTRTPHLDGKHVVFGRAIKGMGVVRAMEHIFVDEADRPTDDIVIVDSGELPEGADDGVVNFFKDGDMYPDWPIDLDEKPAEVSWWIDAVESAKAFGNESFKKQDYKTALRKYRKAMRYLDLCWEKEDIDEESSTALRKTKSIIFTNSSACKLKLGDLEGALLDADFALREREDNAKAFFRQGQVRVALNHIDAAVESFKQALELEPNDGGIKRELAAAKKKISDRRDQERKAFSRLFQPSGGLEKSEKENS >KQL32016 pep chromosome:Setaria_italica_v2.0:I:40874384:40879803:1 gene:SETIT_017317mg transcript:KQL32016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGFVEEMMREQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSARIIDVGARGNNAQSAPAPPAMEPLMALPPPAAQDDATAFFAAAGAPVFDAKDVGGHIGSCEELDMDMAQLEWLLFDDASIPHEPAFPGINCPAPIKSSALAANNAGVALLPPEKMEDAIFRSSSPISVLEHNSFNANNNVGSASSSSSSASSSSESFSGSGGHAWSAPVSPRPEPPVLVIPARARSKRSRPSAFTGAARAAEAPTILVPTPMYSSTSSHSDPESIAESNPHQAPPMKKKKKAKKPAPPAPAASDAEGDNDGDADYEEGGERSQPQGGAVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKAVRTGDPSCDLLQFIRRRD >KQL32015 pep chromosome:Setaria_italica_v2.0:I:40874384:40879803:1 gene:SETIT_017317mg transcript:KQL32015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGFVEEMMREQSLLEATCGDLFDHIDDLLDFPKEESAADVLLLDAPAPGSPLSARIIDVGARGNNAQSAPAPPAMEPLMALPPPAAQDDATAFFAAAGAPVFDAKDVGGHIGSCEELDMDMAQLEWLLFDDASIPHEPAFPGINCPAPIKSSALAANNAGVALLPPEKMEDAIFRSSSPISVLEHNSFNANNNVGSASSSSSSASSSSESFSGSGGHAWSAPVSPRPEPPVLVIPARARSKRSRPSAFTGAARAAEAPTILVPTPMYSSTSSHSDPESIAESNPHQAPPMKKKKKAKKPAPPAPAASDAEGDNDGDADYEEGGERSQPQGGAVRRCTHCQIEKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSIHSNSHKKVVEMRQKAVRTGDPSCDLLQFIRRRD >KQL29196 pep chromosome:Setaria_italica_v2.0:I:11234299:11237508:1 gene:SETIT_019801mg transcript:KQL29196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILPPTIGVISGINECVSLFQQVRSAVSSVRSRWNATNMQSHQEDVELHSRSDSQEQALQEDEVLQLQSDLRDLRDTLPVVYSLIDLAEWRNHEQCVAKLLEKLKDAVYEAEDLIDEFAWYELKVSAEGNATSVQPYIDFFRSVTRGRFNKVTDIHKRLTNRSGDLKDMGLLRQVSPRFDKAVRPETSSFPTETKIFGREEEVKQVIKCLGVPAKCGRTNSKRKRRSAIVESASNQVNNIHGNNETIITNFSVLPIVGLGGVGKTTLAQNICNHPQVKSHFDLIIWICVSDDFDVKRLTKEAIQSYSERDAVKDNLNSLQNSLAIKLKTKRLLLVLDDMWDDALKKNGQCWERFCVPLKNVLHGSMILVTTRSQNVADRVHTMDPIKLEGLKDDVFWDFFKHSVFGLNSSQVEPELERIGRNILPKLMGSPLAAKTVGRLLQISIDTTHWENILNSELWDLEQEETDILPALRLSYIYLPLHLKRCFSFCAVYPKDYKFQKQSLAEIWIAEGFVEPRGDIPIENIGHRYFDDLVNRSFFEKHRGTYVIHDLLHDMAQLVSKDECFTIKVQSDFQKIPPSVRHLSILSSTKIDRDSLLSLSRYKKLRTLLCNKSIRSMALASVMDRWCTELLCIRVFLCTSMREFPESISNLKHLRYLEVSWSCTFNSFPSGFCNLYNLQALYAKNCKLECLPSDLSKMINLKRFKSQTLEYHRGHDTSLSLWSYKGEFLHCWFEPRNLSGSLRSDEIPYSRSSVHMTDVVGDNNDNIGSNFSSLTELTIGHCHTLSSLEQFLQPAYVPGIKIIEIKYCDRLVSLPSFRHSSCLEELKVCKCPNINSSSLYAPSLKKLELKEDCGNLADNIDCSSLTYLHISQSHSTSIQLQMWNLPALQKLQITFCRSLAFIGKSEPVISNLSHHVGCSSAGTFPSLTELTISNCSKLQTVDDLHVSFPLLTDLLISHCQLPNGQKGMKLPSSLKSLKLLGCEDLSAWFPRCLENLASLMFMKVHHCKGELSIPGHMWSSNLASLRLLQIQGCPDLVSVGGPKAIAGIAKVWIERCPKFKELKQPVLK >KQL29292 pep chromosome:Setaria_italica_v2.0:I:12866235:12867552:-1 gene:SETIT_019186mg transcript:KQL29292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRGYKGKALVQYSDGLEEEDALRVYRFQVLLPSGVSTSLTLHNPGEEMLVRDLLLSVKRELNNASVGGGRMPEIQWDDNIFLTDLLDEKITDKIKLSNFDTKSTNILRLHHFIGYSWYKNMWDLTPQPDLVQELPAEYSTESALVDLTDNALQALWSNGIGERKLI >KQL30861 pep chromosome:Setaria_italica_v2.0:I:33824272:33824655:-1 gene:SETIT_020344mg transcript:KQL30861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTWIETSLCDKINTEPQRPEQCSYRSCLSMACHTLSASF >KQL31521 pep chromosome:Setaria_italica_v2.0:I:38175998:38177432:1 gene:SETIT_017457mg transcript:KQL31521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPRHPVLSSPALPSSPSPSPSRFRPLPCTNASTSASTAAPAGAFSVEDYLVTRCNLYPNVAARVAPELSAIKSPSNPDAVLAFLASALELSPPLVAVAVARDPAVLTCSVPRTLAPRAAELRALGFTTFQMGLLIARCGAAAFRSPDLVPRVQFWLPYLRGRVDKLVAALKGNPGLLAADLRTVRHTVALLQEEGTLTDDDVGWFAISYCSKLLTASPDEVDAVLARADGFGVLRRTRAFKDAIIAAFSATPERLAWKAAFFRDELGWTEAQVKTAAAKMPTLMTVSAERLRRNWEFLNTEVGMDAERVASFPALLRYDLEGRLVPRFRVMRVLQARRLWRGRDFNNIAAITEEDFVAKFIRPFLVNVPDLAKVYEAAIVKKEAVKHSS >KQL31836 pep chromosome:Setaria_italica_v2.0:I:40004510:40007025:1 gene:SETIT_017415mg transcript:KQL31836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKASVKKGPWSPEEDAKLKAYIEENGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGDFTEEEEHIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLLGKRAPSRRARANHQDPYLAAAGGNMCSTSGGGGGGGVNNGGSATAAATPQALSASALERIQLHMRLQGLYGAFGGCSNNDNNAAAAAAAPQWPKLETLLQANKLLPGSLPTDAVATTVSVQHPPQQHLVEQSLAGVGAGAAVVEAAEQQLSSAAGANFMAAAGSFERPSKLGFYSPPAEAEAASAEMMGGYGGAGSFGSHHDELYEFLYSKYGSLGGGVAAQGGHIPPLPELHQCPDGAAADEKFSTWTTASCDYGAAGHHQLQGNSIGGSLQDYVLGEYGQ >KQL30539 pep chromosome:Setaria_italica_v2.0:I:31548194:31548792:1 gene:SETIT_019665mg transcript:KQL30539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSNDFFSLACHPGKPKRGRTEFIFFRQIKENLSPTDRPLPPVREIASRHRQGHETNGKRARTPATAGSCSAATAFNSSPHKVIKPSAFSSVIGANPQLRRRSRQQRSGS >KQL31921 pep chromosome:Setaria_italica_v2.0:I:40433768:40434631:1 gene:SETIT_020069mg transcript:KQL31921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSDPTAAVIPKPDGGDDESVEIREVWADNLEEEFALIRDVVDEFPFVAMDTEFPGIVCRPVGAFRSPADYNYATLKANVDMLHLIQLGLTFSGPRGELPALGADRRRCVWQFNFREFDDARDIFASDSIELLRRSGIDFRRNAERGVDARRFAELLMSSGVVLNDSVYWVTFHAGYDFGYLLKILTCNSLPDTQAGFFKLMKIYFPTVYDIKHLMKFCNSLHGGLNKLAELLDVERVGESHQAGSDSLVTSCAFWKLKDSFFAGSTEKYAGVLYGLNAENGVSAH >KQL30806 pep chromosome:Setaria_italica_v2.0:I:33456810:33458530:1 gene:SETIT_017930mg transcript:KQL30806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSYTLLFGALVVLALLASPIACTRKLAKPNKHRRPTHKPGVRARSNHTATPSASDARGDAYGSGGWLSAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMIAAGSPSLFKGGKGCGACYEVKCNSNTACSGQPATVVITDECPGGVCLAEAAHFDMSGTSMGAMAKPGMADRLRAAGILKVQYKRVPCKYSGVNIAFRVDQGSNPFYFEVLIEFEDGDGDLSAVDLMEAGCGTWTPMVQNWGALWRYNSNTGKALKAPFSIRLTSDSGKVLVANNVIPAGWKAGATYRSLVNYS >KQL31956 pep chromosome:Setaria_italica_v2.0:I:40608091:40612532:1 gene:SETIT_017202mg transcript:KQL31956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALCSASPAISTAATLGFPAGRVSSFLRLRLPTAARSYAAAAAPRAAAAAAPSWRARRRFAASAASTTEDCSGVDTMIPPDNRIPATIITGFLGSGKTTLLNHILTAHHGKRIAVIENEFGEVDIDGSLVAAQTAGAEDIMMLNNGCLCCTVRGDLVRMIGELVNKKKGKFDHIVIETTGLANPAPIIQTFYAEDVVFNDVKLDGVVTLVDAKHARLHLDEVKPKGIVNEAVQQIAYADRIIVNKIDLVNEPEVSSLVDRIRGINRMANLKRAEYGKVDLDYVLGIGGFDLERIESSVSEKSHEDHAEHEHEHEHDHNHHHDHDHHHHHDHDHGHDHNAHDHTHDPGVSSVSIVCEGEMDLEKADMWLGNLLLEHSDDIYRMKGLLSVSGMPQRFVFQGVHDIFQGSPERMWEPNEPRINKIVFIGRNLNKEELEKGFKDCLLKK >KQL30393 pep chromosome:Setaria_italica_v2.0:I:30257786:30262058:-1 gene:SETIT_018193mg transcript:KQL30393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFQTTTCKPHCGLIVNRPIAGLGSTSQYPICSHALGFRKLQQKVYPRLVLIAASQKRLTPVCALSGKGNPDSADDPLMESLKKAMADAKKPRPIQDLLKEQMAKLREQASGGGGGNGNRRGGSGGSGGPEDESFKETLDEIVQVILATVSFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWHNFSERFMQKEGSEEDPYDRPAASKPTWWQQPQKFVHLMEELCRGNWRPHAQES >KQL30392 pep chromosome:Setaria_italica_v2.0:I:30257786:30260949:-1 gene:SETIT_018193mg transcript:KQL30392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFQTTTCKPHCGLIVNRPIAGLGSTSQYPICSHALGFRKLQQKVYPRLVLIAASQKRLTPVCALSGKGNPDSADDPLMESLKKAMADAKKPRPIQDLLKEQMAKLREQASGGGGGNGNRRGGSGGSGGPEDESFKETLDEIVQVILATVSFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWHNFSERFMQKEGSEEDPYDRPAASKPTWWQQPQKFVHLMEELCRGNWRPHAQES >KQL30391 pep chromosome:Setaria_italica_v2.0:I:30257786:30262058:-1 gene:SETIT_018193mg transcript:KQL30391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFQTTTCKPHCGLIVNRPIAGLGSTSQYPICSHALGFRKLQQKVYPRLVLIAASQKRLTPVCALSGKGNPDSADDPLMESLKKAMADAKKPRPIQDLLKEQMAKLREQASGGGGGNGNRRGGSGGSGGPEDESFKETLDEIVQVILATVSFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWHNFSERFMQKEGSEEDPYDRPAASKPTWWQQPQKFVHLMEELCRGNWRPHAQES >KQL30394 pep chromosome:Setaria_italica_v2.0:I:30258056:30260139:-1 gene:SETIT_018193mg transcript:KQL30394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFQTTTCKPHCGLIVNRPIAGLGSTSQYPICSHALGFRKLQQKVYPRLVLIAASQKRLTPVCALSGKGNPDSADDPLMESLKKAMADAKKPRPIQDLLKEQMAKLREQASGGGGGNGNRRGGSGGSGGPEDESFKETLDEIVQVILATVSFILVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMQKWHNFSERFMQKEGSEEDPYDRPAASKPTWWQQPQKFVHLMEELCRGNWRPHAQES >KQL31413 pep chromosome:Setaria_italica_v2.0:I:37349883:37351818:1 gene:SETIT_019851mg transcript:KQL31413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRSTLRAVRRLRWVPRVQLIVEHSEPYRAAHWCATATLYWDVQESSATSICGVTPIGHEYQLRPYSAAPARGGEEKPLDEDEEEVVVDKELRRMERRRVFWTAQQTFMEYLHFTRGLPFDDAEHISKHSPAFVSKLLDQMKDAIKDPVEGGEEVVFRSKVKKTEVRDQRATKALVRLFRYHPVNEFEPFFESMGLKPGEHDSFLPRDLMFLADDETLLENYHFLCNYGFVRTKIGRIYMAALSALEDLGFSKTTVIKLVTSCPVILARDLNAEFKIMQWSDDCGIKRDWIGQFLSVQKSYDWKKMVEIPQFFTELGFDKEGIGKLISQNPDFLLDGSRKVLFRVVTIMLKAGSEKKDLFNLFLDFPDVPASNFARNIQSVILLLAEIYVCDEDIKQFVVANASILGSARVKAANSILTNLSVGKRRLWKIIKEDPRQLMKYKFGTKLSRLPPCDRSTDQSLKEKVKFLKGIGFVEGSEDMKKALKSFRGKGDELQDRFDFLVRTGLSPKDVVNMIKLAPHVLNQKIHVLESKISFLLNETAYPLSALVAFPSYLSFTVERTKVRFLMYNWMQERGVVAPNFALATFLACSEKDFIKDYVRKHEMGPEVFEKFKREVAETKNMHCTSDD >KQL28674 pep chromosome:Setaria_italica_v2.0:I:7409064:7409624:1 gene:SETIT_020166mg transcript:KQL28674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRGAEDNGGGGAAGRPAGRPPRSPPHPVSHPQLSESFTASMFSRSGSIDVSRKSVVRIPLQGTCFTFHSTRNRSGGERLKSAEMRSGLVAREDSTVNVLIVYKHFQQNCKANSCEVISVLSKLPPFTF >KQL30487 pep chromosome:Setaria_italica_v2.0:I:30877170:30878035:-1 gene:SETIT_018945mg transcript:KQL30487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMFAGNALPRMFEFKSRARREDRLERAGEMEPERIFSPSNNEIILGHCQKLVGNAPFQLICSKVNPP >KQL28269 pep chromosome:Setaria_italica_v2.0:I:4688838:4697092:-1 gene:SETIT_016974mg transcript:KQL28269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQFVNFVIRPPRSEYDPDQYLWDTEFILAGRKYKRLDLELTNARGYILKCSHYVPAFIPENTALPCVVYCHGNSGCRADANEAAVILLSSNITVFTLDFSGSGLSGGDYVSLGWHEKEDLKCAVSCLRANKQVSSIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFTNLYDLMMELVDVYKIRVPKFTVKMAVQYMRRIIQKRAKFDIMDLNVLKLAPKMSIPALFGHGLNDMFIQPHHCERIHQAYGGDKSIIKFEGDHNSPRPQSYYDSVSIFFYKTLHPPLLPAARSNKLHMGAFKVGNVTNESFFFEIINGLQPANTAACSSSTDAPNIPNAKRSAVDLLSESLNQLSVNNETDLDFLLDENRSLSEMDGDSVGSRLQDKISRHNEESCSYTSSNRESWGRCSSLGAASDDESSSGDNNDKQENKTVKALATPQRQSQRKPSDKDKAKEKKIRALWKKIKSEKVEMGDSLSQRFRMCLGQPARHKRNKSSGELTTP >KQL29421 pep chromosome:Setaria_italica_v2.0:I:15586691:15588057:-1 gene:SETIT_017928mg transcript:KQL29421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLATPSSLLLTRRLLAVRTFSTSSAGYSTTTTVEMARSALDEVTDTGAFDRSPSTFRSSVSSDASARFTPVPGRYHLYVSYACPWASRCLAFLKLKGLDHAIGVTAVKPIFERTKETDDHLGWVFPTTADEEPGAEPDPFNGAKSVRELYEIASPNYAGKPTVPVLWDKQLKTVVNNESSEIIRMLNTEFNNIAGNPGLDLYPVHLRASINEINELVYDAINNGVYKCGFAKQQGPYDEAVTRLYEALDKCEEILGKQPYICGNQLTEADIRLFVTLIRFDEVRILAGKEVLQIILQ >KQL32283 pep chromosome:Setaria_italica_v2.0:I:42054386:42054864:-1 gene:SETIT_020574mg transcript:KQL32283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRMLTCRIPQTQVDSAPIDHNVGTEVVEHSWNIILQH >KQL31845 pep chromosome:Setaria_italica_v2.0:I:40054821:40055744:1 gene:SETIT_019423mg transcript:KQL31845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFASIGSSSNGIISMDPDVNTFLYDGASHGLRVMPAPHAPKHASVSLTVGEDLYILERNPGTEEEDHSFEALIHRGPSDEIVTDISDEKYAYTVVSDSQIWISTKGGGTFSFDTTSGVWSEAGDWALPFYGRIEYAPELALWFGFTSEGRQLATCDLGAASPTSSPVLQEVWDELAPPLPPRWVPVMSFLLTLGAGKFCVGRMVDMAVAQEGWCRGKSGNDYLDVETFAVLTGVEVVRGSRGALRMIRHKSRRYSVGCSMARLR >KQL28969 pep chromosome:Setaria_italica_v2.0:I:9264715:9265546:1 gene:SETIT_018572mg transcript:KQL28969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASPRAGGGSSEEAYEDLLPVMGERLGAAGLLSELRAGFRLLADPARGAITAESLRRGAAAALGVAGMAPAEADAMVREGDHDGDGALSEAEFCVLMVRLSPGIMADAEAWLEEAIANELAREQLQGTHLSGAGAGGRGAAAPPPASRRSV >KQL30867 pep chromosome:Setaria_italica_v2.0:I:33887408:33888268:1 gene:SETIT_019329mg transcript:KQL30867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMFSEDSADLGGAGFHINTTSHWVVSHVGSDPFKKSSGIVNTSDILETNMLELYKTTRTSTSSLRYYVDQNIRKDFDINKEAGSHDRKINPPQAPSSDSARQDEKRRGVIVGIAALCIAAAVISSSVVYLWLKWVSLVKRQMA >KQL28202 pep chromosome:Setaria_italica_v2.0:I:4289091:4290640:1 gene:SETIT_020567mg transcript:KQL28202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLLVNINFCCIFGVECTIPLTLRSKSGKCDMSCSLSVTRYLEDGTYLGSPLYINGFAFLVE >KQL27939 pep chromosome:Setaria_italica_v2.0:I:1898781:1899895:-1 gene:SETIT_019258mg transcript:KQL27939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELMEMMEEIVKHRPPELMEEIVEEVLLRFPSEDPASLVRAALVSKRWCRLVSGRGFRRRFREFHRAPPLLGLLCNRADDARCIPTCSFRPPRRAPRPAGRPLRELPVVPLALRTWNAAVLCAAGDRCDHLDCHRDPFLVVLVGTFHHWTLPCVYSSETDTWSGTNFASYVLVGGAIYFKCHKERRILNYDLATAEMSKIRLPKGAGEGVVLMATKDGRLGFAIHGPDDGDAGWERSRVIELGALLPYGAILPQVAGFAETGGGVIFIWTGAGFFSIDLKSGRSKEVGESCGFDRSVVPYMSFSTPGTDLIGF >KQL28211 pep chromosome:Setaria_italica_v2.0:I:4324178:4326794:1 gene:SETIT_017291mg transcript:KQL28211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRNRTAPLVDSSACLCRVNRSSAAAAARRLPASKACVQPSLRASIHPLKPKASPRPGDRSRGGQCPLLPGLPDDLAIACLIRVPRADHWKLRLVCRRWCRLLAGNYFYGLRRRLGLAEQWVYAVRRDGKDGHVSWDVLDPCRGAWRALPPLPQEYAGADGFGCAVLGGCHLYLLGGADPRRGGAMRRVVFYSARSNRWHRAPDMLRRRQCFGTCVIGNRLYVAGGESGGGGGLRSAEVFDPAKNRWSFVAEMAAAMVPFLSAVHGGRWYVKGLGAQQEVLSQAYSPETDSWSVVLDGRVTGWRSPSACLGGRIYAADCKDGCRLRTYDDAADAWTTCVDSKQHRGSSQAAEAAAIVVLHGRLCVVRNDMSVSAVDVAAGAGNLQGWETLAGKAHAKSFVTGLLSNLAGRGRAKNHILHCQVLEA >KQL31628 pep chromosome:Setaria_italica_v2.0:I:38919474:38920292:1 gene:SETIT_020366mg transcript:KQL31628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHPSRSLSSIRTMSSCSFGYISSYLNELNYQNMLGLHMVDSYLPVAIVHMAYSPSSM >KQL29055 pep chromosome:Setaria_italica_v2.0:I:9974901:9977088:1 gene:SETIT_019525mg transcript:KQL29055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGHRDGQNVQGSCEGISLVRAVSDTAAIHVPRRFQEQLQRRSSSDLFTSAGFPNWVMLEPFVFRRDDNKSFPDESKAPVRASGTTSSKAQFYFAFDLTEPPRISCLYALQNLFIYSTKSSSLERLPCCTEDLELVLHDGSPSRRPCESGSRLQCVSSIGLLCQGEEEEEFAVAELKLYPDRRKRKIFTDIFLFLKSTGKWSSSHVPILHSGNPDDVWHLYIWQTHRVIPVDHWLCWIDCMQGILFYDVFAVAPATISLFRFSFHRGVFAFDAAGTLKFVDVTRDDGITGYKALKPGAGFTMTCHTLLLPSSLSTSSMVWNKDWTVTSDELWSTDDCLPRQVPMFPQVNIDRPHLVHLLISDFTYVMRKMWVVTIDMNTRTVESFYQYLNGQEDIGTEQEFLTDQKSVCPSSFLPSEFSKYLSSRCVPVPSSPVSSPSTFPQ >KQL30797 pep chromosome:Setaria_italica_v2.0:I:33370693:33372862:1 gene:SETIT_016768mg transcript:KQL30797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEADDHRPSSSSGRPFLSGLCSAALRRKPLGAHASAEASREDLKRQLGVLDLVLLGIGASIGAGIFVVTGTVARDTGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYSYTAINEITAFLVFTQLMVDYHIGAASIARSLASYLIQFLELLPFLKGHIPSWIGHGEEFFGGIVSINILAPILLILLTAILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVTNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKTPQSDLPIGILGSLLACVLLYVAVCLVITGMVPHTLLGEDAPLAEAFSAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYFGIGRDRLLPSIFAKVHPERCTPLHSQIWVGCVAAVMAGLFNVHMLSHILSVGTLVQNFNQLFSCISLCDHIKME >KQL30796 pep chromosome:Setaria_italica_v2.0:I:33370693:33373902:1 gene:SETIT_016768mg transcript:KQL30796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEADDHRPSSSSGRPFLSGLCSAALRRKPLGAHASAEASREDLKRQLGVLDLVLLGIGASIGAGIFVVTGTVARDTGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYSYTAINEITAFLVFTQLMVDYHIGAASIARSLASYLIQFLELLPFLKGHIPSWIGHGEEFFGGIVSINILAPILLILLTAILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVTNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKTPQSDLPIGILGSLLACVLLYVAVCLVITGMVPHTLLGEDAPLAEAFSAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYFGIGRDRLLPSIFAKVHPERCTPLHSQIWVGCVAAVMAGLFNVHMLSHILSVGTLTSYSVVSACAITLRWNDKATSRRSLGIMSIWQEGVLCLVIVALCGFIVGLCYRYNYAIAFMVVAFLIAIAASFALQFRQVYVDPPGFSCPGVPLVPVISVFFNMVLFAQLHEEAWYRFVILSLIAVGIYAGYGQYNAAPCSSDPSSIGYHEVPSEASIEMATL >KQL30795 pep chromosome:Setaria_italica_v2.0:I:33370693:33373902:1 gene:SETIT_016768mg transcript:KQL30795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEADDHRPSSSSGRPFLSGLCSAALRRKPLGAHASAEASREDLKRQLGVLDLVLLGIGASIGAGIFVVTGTVARDTGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYSYTAINEITAFLVFTQLMVDYHIGAASIARSLASYLIQFLELLPFLKGHIPSWIGHGEEFFGGIVSINILAPILLILLTAILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVTNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKTPQSDLPIGILGSLLACVLLYVAVCLVITGMVPHTLLGEDAPLAEAFSAKGLKFVTVLISIGAVAGLTTTLLVGLYVQTSYSVVSACAITLRWNDKATSRRSLGIMSIWQEGVLCLVIVALCGFIVGLCYRYNYAIAFMVVAFLIAIAASFALQFRQVYVDPPGFSCPGVPLVPVISVFFNMVLFAQLHEEAWYRFVILSLIAVGIYAGYGQYNAAPCSSDPSSIGYHEVPSEASIEMATL >KQL31798 pep chromosome:Setaria_italica_v2.0:I:39790287:39797784:1 gene:SETIT_016134mg transcript:KQL31798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDMAELPQTPRSTAGDDDLTLLDGEADLAAAILERLGGSPREDYQHLCATAAAMAQAVRDQGVDATPVAYFAAAAAALAPLARAGAEGADRHVAGALLAFLSAALPALPSAVVRSRGHEVANDVVRVLEFPSTPDSGVRAGLRCLAHLISAGYRANWETVEPLYAVILRLATDHRPKVRKQAHSCLRDVLQSFQRQAILVPASEGIARCFERFLLLAGGSSAVNTGVAEEGPKGAKEVLYILNGLKCCLPLMASKPSNTILKYFKALLDLHQLILTRNILEILNAVGESPTLQLKSDVLLDIMCSLGLSVSSERKSGDEMASIARLLHVGTKKVYNQNKDICIVKLPLVFTSLGDILSSEFEEARFSSVEAFKGLIDNCINETMVSKGIAQIKARHQGLKSDPTVIEKICAILEGLLDVRYSDVWDKSFHIISVAFDKLGEFSADLLPEALKNLADMQNMSDDDFSFRKQLNACIGSAVAAMGPKNVLEILQIRSICAENEWILPILEKHIVGASLQFFLKDILGIVKSIEKSINKVLITQNKEALSVSREEDILTDDELSKSERRAKELYTKDRAEENLKSIRAFSSKLLDVLCSIFLTSSKDAIGLLQPAISEIASISDKDVVGKFFLHSIRKLLDATKAVNEEPVDDSSMQIETDANTNSMTRALLLDFAASLMPGLAAKSINVLFSYVKPAIKDSDSLIQKRAYKVLSMLLKDAEFVEKNLDVLLELMISSMPCQFPSKRYRLECLYHLIVYILKDSSMVRKRDIISSFITEILLALKEANKKTRNRAYNLLIEIARACEDAGNDERKESLHQFFGMVAGGLVSGQTPYAISAVVTGLARLTYEFSELIGIAYKLLPQTFLLMQRNNREIVKANLGFVKALVAKSKAEVLHEHLKGVVEGLLNWQSDTKSSFKAKVKSLIEILVKKCGLDAVKAVMPEEHMKLLTNIRKINERKMRKAKSSEDGDAMSLASGVTRQSRWNHTQMFSDFGSDDDESDGPFSTQHTVTSRAGSKASTRSSRRRQADKSLLEKSIDQSTGEPLDLLDQKTVRLALKSGRKRATCDDDDDEFEMDPEGRIIVRDEWEKRKKKPVSRDDDEADGKSSIRSQSIKKRKTSSSGWAYTGHEYTSKKAGGDLKKKDKMEPYAYWPLDRKLLNRRSDRKASARKGMASVMKVTKKLEGKTVSAALSSKRTGKMKHKKNK >KQL29450 pep chromosome:Setaria_italica_v2.0:I:16177246:16177768:1 gene:SETIT_019035mg transcript:KQL29450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLLTNTNVILFVSLSKARNLCSPSLFVLSTIISSNGCRRSSYHSRPS >KQL29056 pep chromosome:Setaria_italica_v2.0:I:9983376:9986580:-1 gene:SETIT_019854mg transcript:KQL29056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARAPVLVLAVTLSLILATGVGAAAGDERAALLALKAGFVDSLGALADWKGSSHCSWTAVGCNAAGLVDRLNLSGKNLSGKVTDDVLRLPSLTVLNLSSNAFAVALPKSFAALSKLQVFDVSQNSFEGAFPAGLSSCADLAIVNASGNNFVGALPADLANATSLETIDLRGSFFGGDIPAAYRSLIKLKFLGLSGNNITGKIPPELGELESLESLIIGYNALEGSIPPELGNLASLQYLDLAVGSLDGPIPAALGRLPALTSLYLYKNNLEGKIPPELGNISTLVFLDLSDNLLTGPIPDEVSQLSHLRLLNLMCNHLDGTVPAAIGDMPSLEVFELWNNSLTGQLPASLGKSSPLQWVDVSSNSFSGPVPAGICDGKSLAKLIMFNNGFTGGIPAGLASCASLVRVRMQSNRLTGTIPIGFGKLPSLQRLELAGNDLSGELPGDLALSTSLSFIDVSHNHLQYSLPSSLFTIPTLQSFLASDNIISGELPDQFQDCPALAALDLSNNRLAGTIPSSLASCQRLVKLNLRHNRLTGEIPKALAKMPAMAILDLSSNSLTGGIPENFGSSPALETLNLAYNNLTGPVPGNGVLRSINPDELAGNAGLCGGVLPPCSGSRDMGLAAARPHGSARLKRIAVGWLAGMLAVVAVFAAALGGRYAYRRWYMGGGGCCGDDESLGAESGAWPWRLTAFQRLGFTSADVLACVKEANVVGMGATGVVYKAELPRARTVIAVKKLWRPAAIDGDAAAGNELTADVLKEVGLLGRLRHRNIVRLLGYLHNDSDAMMLYEFMPNGSLWEALHGPPEKRALADWVSRYDVAAGVAQGLAYLHHDCHPPVIHRDIKSNNILLDANMEARIADFGLARALARTNESVSVVAGSYGYIAPEYGYTLKVDQKSDIYSYGVVLMELITGRRAVEAEFGEGQDIVGWVREKIRSNTVEEHLDANVGGRCAHVREEMLLVLRIAVLCTARAPRDRPSMRDVITMLGEAKPRRKSGSSGAGKDAGAAVPAAVVVDKDKPVFSTTPDSDYA >KQL29391 pep chromosome:Setaria_italica_v2.0:I:14725626:14733802:-1 gene:SETIT_017104mg transcript:KQL29391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRAPTSTAAAAADGGIEENAMAILDSSGIKDSRDLHDDRAAFLEAVRSACLAGDNPSAPSWRMYDGVFQVLRNSSSLELAVASFHLLMELGKQYPRTYLTDSGGHQSLVVVKESWLPFLIGNGSVSSEIGGNSRSSDHLFDPLRFSLLIETIVEPTNATDDNNGIKAIENMMLFQYLVNTLEADFVPRRIAYKESLDWVIFRESLLNMLLGSRKLVFKSFVQNCIHLLLSQYHPEAKDAVEDSIPFEGEARSASDLDSSLNYSSLESERTLVSLRKLFVMVMELDLIRKEADALGLTSRADGFRNPIMEVILDDLTYNISYLSPFLLAFVEWKWKLEIILQYFSKYCGKGAVRTRRSDNSQQDLKLERVLSFFLTATSTKAIVKKMGTEVAQLLLAHAYQVCISVQGDSGDSTTTEKIGASLQEISCNFISAFQNLKKVSPNTQISPFEKEALFTAAALTRKLMNDER >KQL30987 pep chromosome:Setaria_italica_v2.0:I:34864217:34864691:-1 gene:SETIT_019523mg transcript:KQL30987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYYYFPNSWENAGYVEAGFQGHTVSRNPSSPSPRPRRASREDADASELRHHYLDACFRCGRHLGGNKDIFMYRGDTPFCSEDCRQQQIEADEAREKRSKQPAAAKRDRRQRQSGSPQKIPLWAR >KQL28107 pep chromosome:Setaria_italica_v2.0:I:3399497:3401707:1 gene:SETIT_020164mg transcript:KQL28107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPEGMHLVREWTRHISSQVLESMSMRKKAVPEQELSGKKRCYACKETSHSLDQCSIKYKPVTVAHEFGYATEYPFIMIHPSEEMLEKEKFYHHCLLITSDVSNLDLGILKVQLQKLWNLPGSWVLRRECSKSFLASFSSEGDVVSCVKNPNMEMVMDDKEVKLTLTRWSEGEDESNGLIKLWFLVCGVPRKYRGWAELYEVVSMFGVLIDVDVGSLEVGDKDPIRLKIALRNHDGAPFSYNVVLGWSSRMVMLTVEAKIDSENKDHNTRIVTSTGNHVLDFGDSSEKEHEKEVINASQSILLEESMCINESRLDGKTKENKISTPAATVNNSKETTIESSEPEGVQSICQNSTIMIGEDRFRGIPKPPIKHVFKRRVIVPRDEELKDIIQFYVKVKKEEMKLSKLYALLHTGKVRNVIIFVNTKDKVMSLSQDVGKHYNVSASHDDMDQHARDAAIQKFRSGSSSILIAADLRGTNAVKVPVVINYDLPTQPMQYIHRVQQQNRQPGKRSVFINLVTRADECIHFEIQRFCNGQVKELSDM >KQL28335 pep chromosome:Setaria_italica_v2.0:I:5168052:5168726:1 gene:SETIT_019430mg transcript:KQL28335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTTASLSTLSPAAGRRFALSSTSLSFSSHRLTTTPAVLRLRAAAASRTPTRRRASSRIAATIAVGDKLPDATLSYFDPADGELKTVTVSDLTAGKKAILFAVPGAFTPTCSQKHLPGFAEKAGELRAKGVETLACVSVNDAFVMKAWKEALGVGDDVMMLSDGNLELTRALGVEMDLSDKPVGLGVRSRRYALLAEDGVVKVLNLEEGGAFTSSSAEDMLQALG >KQL31501 pep chromosome:Setaria_italica_v2.0:I:37966823:37970893:1 gene:SETIT_017106mg transcript:KQL31501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERSLPITAPAAAGSRRHSRRLRRRCRLILLPAFALALLCLAYLSFSSHANLPFHVMHNGLPRENLLKQKDLGYSPYVTNISMSKDELEPPRSRKKPHKHYASCEIRFLPTVDYLVEPAHYGNFTQFSLNYILNEEVPGNGFFEPLFGGRQSLQDREEMYHAKDQTLHCGFVRGPDDYPSTGFDLDENDRSYMATCHVAVSSCIFGSSDYLRRPTKSRIGSYTKKNVCFVMFMDELTLATLSSEGHMPDEHGFVGLWRIVVVKNLPYKDMRRAGKVPKFLAHRLFPSAMYSIWLDSKLRLHADPMLIIEYFLWRKKAEYAISMHYDRSCVWEEVLQNKRLNKYNHAAIDEQFHFYQSDGLVKFNDSGRLPVLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVNRFTSRDQLSFTYTYLKLRRTNPGKPFHLNMFKDCERRAIAKLFHHRTNQTTGAPPANLRVDKTSIQG >KQL28939 pep chromosome:Setaria_italica_v2.0:I:9067924:9069619:-1 gene:SETIT_019386mg transcript:KQL28939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLPLGSNPTRPQRTHAVSSLPPTNPPPLSGLHTAPDPSSSMAADLGAPTPANPEGADDDVYCCCSGSDGEPADAAMVRWGAAAVVVKGRRKRGAVVELLSSSGGTVPPHLSSPPPPSPPAQLTECLTPLIGMMPCMDYLTNLTVLAPPAECYDGLKSIIRDAPICLCHGMTGDMNDIMPLPIDPIRMIILPLACGAMLPLQTLFSCITQQVPPMAVPVPANPPASPIR >KQL28770 pep chromosome:Setaria_italica_v2.0:I:7988114:7991799:1 gene:SETIT_017874mg transcript:KQL28770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGKQHEYRIAIGRAAAHERSVRNRKRENPPEGFPPAAREANPPTGSTRKPRRESRRRTPELRQPSIRAGFSRNLRVLRRDLAGPRVLVDETMVGFAGKRKELEQVVDGLSDFSLSGPAAKSRRLDPGLPPIMEEEPPAPSMAFQMLGEKINGVNMPSVEVMMEGVTSHHVPSEDMALVLYKPVDNPGISSSSFIVSSDLIRGLKSHAFNQVNYHELEDESPERSNSLALVPWKPPQMPVRSDWVAAEPESTQNFEVPMEADETEVTSMDFEEAPEPTSGGFDAENVHQWQHCMTPPSLPNPSAHVMWSR >KQL29347 pep chromosome:Setaria_italica_v2.0:I:14091304:14092727:-1 gene:SETIT_019837mg transcript:KQL29347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDYSWVRHTRFSQSIVRSSSGREQYGLNGLGAGPRLPSQNLQPVAKGSVLLNSAMLPIPKAKSAVAQSERKLKHVSSDGQLNRDRSSDRSPRQASAKQDLKGTSLSLDIPQRRVVRPSKDESPDVLDFFFHSEEHRQRLQRVCSSLAPFYSQDAGPPVDDSRARSASMKVMGEVSKPTPKPKRRAKSPIPKRVFSDMFKEAKAATKRFSSPQRHRKPTSPRSADDSPPSGFASLKTPSRLKINRRTSSWLRRNLGDGAPMVAASEILERWTVDRSELLIGHRFASGAYSRLFCGIYKEQPVAVKFIRQPDDGEDEELSARLEKQFTAEVTILARLQHRNVIKASTCISITLQTFGTYLGLQSCGP >KQL30184 pep chromosome:Setaria_italica_v2.0:I:28699086:28700312:1 gene:SETIT_019674mg transcript:KQL30184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVARILLSVLVALLLVAGPCHARPAPQHTTAKSAASAKAAVDGITAIYNFGDSLSDTGNLLREGGATGVLQHTTSLPYGSAIGGATGRCSDGYLMIDFLAKDLGLPLLNPYLDKGADDFTHGANFAVAGATALDAAALATRGVSVPHTNSSLAVQLQRFKDLMSATTRSPQEVRERLARSLVMVGEIGGNDYNYAFAANKPAAGGAHNLYNFGRVATGVVEALALVPDVVRSVTGAARELLDMGATRVVIPGNFPLGCVPSYMSAANETDPAAYDANGCLAALNLFSQMHNVLLQQGIRELRRSYPAATIAYADYFYAYVRMLRDAGKTGFDEGAVTKACCGAGGGKYNVDMDRMCGAPGASVCARPDERISWDGVHLTQHAYRVMTDLLYHKGFASPAPVEFQRS >KQL31930 pep chromosome:Setaria_italica_v2.0:I:40498148:40499404:1 gene:SETIT_018656mg transcript:KQL31930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFILGWRILLGPLPQSQKRPAVSPAVFPSPAEITVSGSPSALTPAATSPTINERNRLLRRSEIHDRMLGFSRCRRRRFFVSAAKMPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KQL31931 pep chromosome:Setaria_italica_v2.0:I:40498148:40498971:1 gene:SETIT_018656mg transcript:KQL31931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYFILGWRILLGPLPQSQKRPAVSPAVFPSPAEITVSGSPSALTPAATSPTINERNRLLRRSEIHDRMLGFSRCRRRRFFVSAAKMPSHKTFRIKKKLAKKMRQNRPIPYWIRMRTDNTIR >KQL30254 pep chromosome:Setaria_italica_v2.0:I:29463876:29467346:1 gene:SETIT_018387mg transcript:KQL30254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVRTASRPVLASHSGGGFFVRRVALPGIVVVRCTIKPLARRARTRLCNKENVPPALERRSRLEDAAAQQQIQWIEDSSQSEDSTTPVQAEQNDPQSTLQAPEALRAVASGPGSTSVGANPPASVAEGEPKESSSPSDCSLQTVPSKPNDSAHADLMEKKLSRSIEQIEKMVNQRLKETPKAAQPSKVSVQRRTLMSMR >KQL31221 pep chromosome:Setaria_italica_v2.0:I:36339498:36339912:1 gene:SETIT_020442mg transcript:KQL31221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPRRKDQRRPAFVGQKKKVVKVAAFISTGLTGTAKSLRRKVACQVSQNEWQRHEGRISWSHTAGKYLRSGMAR >KQL28644 pep chromosome:Setaria_italica_v2.0:I:7175552:7175912:-1 gene:SETIT_020420mg transcript:KQL28644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMRRSEALQTLCASFKIKLRCKTAHSSQQN >KQL29775 pep chromosome:Setaria_italica_v2.0:I:24351693:24351864:-1 gene:SETIT_020291mg transcript:KQL29775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDTDMDCSNNTLPRRAKKKSPKTSLTYEPQMHI >KQL29177 pep chromosome:Setaria_italica_v2.0:I:11024143:11025824:1 gene:SETIT_017086mg transcript:KQL29177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAHAVVVPYPCSGNINPALQLAKLLHRHGVYITFVNTEHNHRRVQDTEGAGAVRGREGFRFEAIPDGLSDADRGKQDYGLSLLVSTNTTCAAPLRDLIARLNGTPGVPPVTCVLPTGLMTFALGVARDLGIPSMVFWCASAASLMAYMWTPELRKRGYVPLKDESYLTNGYLDKTIIDWIPGVPPISLGDVSSFVRTTDPNDIGLGLSEYEPSSCTKAGALILNTFENLDADVLAALRSEYAHVYTVGPLGSLLRHGTAADGDSIDSTGLSLWKQDAECLAWLDTQEPRSVVYVNFGSHTVMTPEQLAEFAWGLAASDHPFLWSIRDDLVRSAGLPPAFVAETAGRCRVAAWCPQEQVVRHPAVGCFLTHNGWNSTCESLAAGMPMVCWPGFADQYINRKYACDVWGVGVRLDDEVRREQVAMRVREAMGSEEIKASAARWKAEALVASCPRGSSYENLLSMVRAMCSSANPED >KQL29981 pep chromosome:Setaria_italica_v2.0:I:27251809:27256132:-1 gene:SETIT_017369mg transcript:KQL29981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYELLKDIGSGNFGVARLMRNKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYQIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAVQAKYYKKDNSAPTFSDQTVEDIMKIVEEARTPPQSSTPVAGFGWAEEDEQEDGKKPDDEDQDGEEEEYDGEDEYDKQVKQVHASGDFRHLIK >KQL29980 pep chromosome:Setaria_italica_v2.0:I:27252061:27255910:-1 gene:SETIT_017369mg transcript:KQL29980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYELLKDIGSGNFGVARLMRNKETKELVAMKYIPRGLKIDENVAREIINHRSLRHPNIIRFKEVSRSGGEFPGVQPPAALGGGEFLFVFFFSSCWVIIHLGGVFFFSPQVVLTPTHLAIVMEYAAGGELFDRICSAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIVSIQYQIPEYVHISQDCRQLLSRIFVANPAKRITIREIRNHPWFLKNLPRELTEAVQAKYYKKDNSAPTFSDQTVEDIMKIVEEARTPPQSSTPVAGFGWAEEDEQEDGKKPDDEDQDGEEEEYDGEDEYDKQVKQVHASGDFRHLIK >KQL32272 pep chromosome:Setaria_italica_v2.0:I:42015100:42016421:-1 gene:SETIT_018256mg transcript:KQL32272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGLGGPNVSASSSSLSRRPTTGVTGGSSHQPASHPAAASRLLSSAVLAVSRLPLPLPPQAALLMETSLRLRGGGGLRIHAKEKLALGHNSLLQAHGEIDAGAAAPSYLALFVRQFYPQIAANIGVGVQFHKGQRLTYNLRAKKALPFTSDGLLGLNLKARLLTDTEFNPRKRTGAVELAWTILDFRKGQDVRLKLGYELYGKVTKPFIIYASVAQYNALQTT >KQL32271 pep chromosome:Setaria_italica_v2.0:I:42014553:42016421:-1 gene:SETIT_018256mg transcript:KQL32271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGLGGPNVSASSSSLSRRPTTGVTGGSSHQPASHPAAASRLLSSAVLAVSRLPLPLPPQAALLMETSLRLRGGGGLRIHAKEKLALGHNSLLQAHGEIDAGAAAPSYLALFVRQFYPQIAANIGVGVQFHKGQRLTYNLRAKKALPFTSDGLLGLNLKARLLTDTEFNPRKRTGAVELAWTILDFRKGQDVRLKLGYELYGKVPYLQLRENNWTLNAYMDGKWDVRFDM >KQL31159 pep chromosome:Setaria_italica_v2.0:I:35998018:36002718:1 gene:SETIT_017204mg transcript:KQL31159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPATASAPSRCLSGAKPRCAALRSPSSLAVAAPPEGLRPRGSRALSVRAKVASGVQGASVVDDGVERPWKLSDARLVLEDGSAWKAKSFGASGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNPDDEESSRCFLGGLIIRNLSICTSNWRCKETLEEYLIKRNIMGIYDVDTRAITRRLREDGSLIGVLSTDQSRTDDELLEMAQKWKIVGVDLISGVTCDAPYEWSDKTDSEWEFKKDQSSETFHVVAYDFGIKHNIMRRLTSYGCKITVVPANWPASEVLKLKPDGVLFSNGPGDPAAVPYAVKTVQEIIGKVPVFGICMGHQLIGQALGGKTFKMKFGHHGGNHPVCDLRSGRVDISAQNHNYAVDPDSLPEGAKVTHINLNDNSCAGLQYPKMKLMSLQYHPESSPGPHDSDTAFGEFIELMKSNRL >KQL28485 pep chromosome:Setaria_italica_v2.0:I:6199845:6204354:1 gene:SETIT_017840mg transcript:KQL28485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASVERVKDRATGLDKFVLREARGSSVEVYLYGGQVTFWKNNFGHQLLFVSNKATFKPPKAIRGGIQICFPQLGSHGALEQHGFARNQYWSVDESPPPFPVATSNCHIDLILKSSQEDLKIWPHSYEFRLRVALSPRGDLILTSRIKNVSSDGKPFQFTFAYHTYFSVSDISEVRVEGLETLDYLDNLQSKNRCTEQGDAVVFESEVDKIYLSAPPKIVIIDHEKKRTLVLRKEGLPDVVVWNPWDKKAKAMPDFGDDEYKNMLCVGAAAIEKPITLKPGKEWLGTQEISAVPSSYSSGQLDPEVIRRMHTI >KQL32245 pep chromosome:Setaria_italica_v2.0:I:41917668:41921479:-1 gene:SETIT_016838mg transcript:KQL32245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSPPSPAPARNRIPLARVRLDDLAPFDGASTRAYATAVDAIAESFTRHGAAALELPAPDAAIVRCGLESARAFFHARPGLYVYRAGRALDDGELSPACMVDAFRCLGKAARAALCAIARNLRLRSDAFSRLLDDNPLPLNEVSASELLVSFSHGHLQSGQPPIVGLRSSMPQVDRGFVTLVASDHPGIEVCNPNGHWYLADGVSGPNDLLLLTGRALSHVTAGIQLNSQYRTTNSENRASLMFRLMPRADAILDCSPISAAGHCIPQIYQPISACQFMDDLRAEEHIVSHHSEAPCESQGNFVNEPSLRSVLSDPLSGAFLEDAMVLSCGHSFGGLMLKKVLEMARCSICNEEVDAASLFPNLDDRRLFHNAALRKRRKEVTELMDARNGSSKENSEVGLDAENSRAFKGVQYPFTVGDRVLIMGNKRTPDKFVGKEAVITSQCLNGWYLVKAVDSGESIRLQYRSLKKAGEPQVQAQAQAQAQAEMRSQQALAFLQNKQ >KQL32246 pep chromosome:Setaria_italica_v2.0:I:41917668:41921619:-1 gene:SETIT_016838mg transcript:KQL32246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSPPSPAPARNRIPLARVRLDDLAPFDGASTRAYATAVDAIAESFTRHGAAALELPAPDAAIVRCGLESARAFFHARPGLYVYRAGRALDDGELSPACMVDAFRCLGKAARAALCAIARNLRLRSDAFSRLLDDNPLPLNEVSASELLVSFSHGHLQSGQPPIVGLRSSMPQVDRGFVTLVASDHPGIEVCNPNGHWYLADGVSGPNDLLLLTGRALSHVTAGIQLNSQYRTTNSENRASLMFRLMPRADAILDCSPISAAGHCIPQIYQPISACQFMDDLRAEEHIVSHHSEAPCESQGNFVNEPSLRSVLSDPLSGAFLEDAMVLSCGHSFGGLMLKKVLEMARCSICNEEVDAASLFPNLALRAVATVVKMEDDRRLFHNAALRKRRKEVTELMDARNGSSKENSEVGLDAENSRAFKGVQYPFTVGDRVLIMGNKRTPDKFVGKEAVITSQCLNGWYLVKAVDSGESIRLQYRSLKKAGEPQVQAQAQAQAQAEMRSQQALAFLQNKQ >KQL32247 pep chromosome:Setaria_italica_v2.0:I:41917895:41921479:-1 gene:SETIT_016838mg transcript:KQL32247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFSPPSPAPARNRIPLARVRLDDLAPFDGASTRAYATAVDAIAESFTRHGAAALELPAPDAAIVRCGLESARAFFHARPGLYVYRAGRALDDGELSPACMVDAFRCLGKAARAALCAIARNLRLRSDAFSRLLDDNPLPLNEVSASELLVSFSHGHLQSGQPPIVGLRSSMPQVDRGFVTLVASDHPGIEVCNPNGHWYLADGVSGPNDLLLLTGRALSHVTAGIQLNSQYRTTNSENRASLMFRLMPRADAILDCSPISAAGHCIPQIYQPISACQFMDDLRAEEHIVSHHSEAPCESQGNFVNEPSLRSVLSDPLSGAFLEDAMVLSCGHSFGGLMLKKVLEMARCSICNEEVDAASLFPNLGMSQSLFCNMNLALDIFCLLTYSWQYWVLALRAVATVVKMEDDRRLFHNAALRKRRKEVTELMDARNGSSKENSEVGLDAENSRAFKGVQYPFTVGDRVLIMGNKRTPDKFVGKEAVITSQCLNGWYLVKAVDSGESIRLQYRSLKKAGEPQVQAQAQAQAQAEMRSQQALAFLQNKQ >KQL30165 pep chromosome:Setaria_italica_v2.0:I:28528923:28535436:1 gene:SETIT_016407mg transcript:KQL30165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASAAASLVASTSLSVPDHLRLRRFRLHPRPPPPPLPCFRRRSRGCVARAVLEDRAPPPAEEDAKRYGLNGNGSGVGYDDAAVQAYLGSNGNGNGSASASGSGNGAAVKQKQPEESTPISAAVVPVPPGDDERRRKERVEEIGKEDAWFKQSSGDLPEVSVAPGGRWNRFKTYSTIQRTLEIWGFVFTFIFKAWLNNQKFTYKGGMTEEKKIMRRKVLAKWLKESILRLGPTFIKIGQQFSTRVDILPQEYVDQLSELQDQVPPFPSETAVKIVEEELGSSVNQIFDRFDFEPIAAASLGQVHRARLNGQEIVIKVQRPGLKELFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAFNAEKFAENFKKLDYVKVPEIYWEYTTPQVLTMEYVPGIKINRIKQLDKLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISQNIREGLLEVFYGVYEKDPDKVLQAMVQMGVLVPTGDMTAVRRTAQFFLNSFQERLAAQRKEREMTTAELGFKKQLTKEEKFEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYAKELLRFNEAGVEVVVKDAKKRWERQSRAFYNLFRQPDRVEKLAQIIERLEQGELKLRVRTLESERAFQRVAAVQKTIGYGIAAGSLVNLATMLYFNSIRGPATVAYSLCAFFGLQVVVGLIKVKKLDRQERLITGTA >KQL30347 pep chromosome:Setaria_italica_v2.0:I:30049928:30053690:1 gene:SETIT_017082mg transcript:KQL30347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHTRRKSEISGTPTTSTESFAIRRPQKTSPAGRFFFLCKKEAQPSPPYAFLPLPVGPNPATVQGFQGPTFRPTGSFSKPSPERPTSVAAEPYSPLPYIYRPLRSIGRIALLKRTPSRSRFKPSHPTSFSPSTQPLIPMAKIKIGINGFGRIGRLVARVALQSDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHEVKVKDSKTLLFGEKEVAVFGCRNPEEIPWGAAGAEYVVESTGVFTDQEKAAAHLKGGAKKVVISAPSKDAPMFVCGVNEKEYKSDINIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKSATYDEIKAAIKAEAEGNLKGILGYVDEDLVSTDFQGDNRSSIFDAKAGIALNGNFVKLVSWYDNEWGYSSRVIDLIRHMHSTN >KQL31014 pep chromosome:Setaria_italica_v2.0:I:35028460:35032319:1 gene:SETIT_016697mg transcript:KQL31014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGASRLLTACACSRPAPAPADDGPCLDDALGHSFCYAANSAAAAAGHSSSFRHAISGAALSANSSVPVPIYHSSSAGGGVPPQYSSAFHTSSSFSSAPLQLSNLSSGPLFLSGPIDRGPQLSGPLDQAVPFSGPLPAKPTKPAPSSSSRGFSRRFRKPSFGSLRRSVSEKNRPCVVPLRREDGVQWAHGRAGEDRVHVVVSEDQRWLFVGIYDGFNGPEAPDFLVANLYRFLLRELRGIFYEEADPDSKRLWQFLADGDDDDSELDFSGSGRFALSLARLKERRHPIWAHAAAAGDGQSGREWEVKRLTAAPAVRDHSAVLAALTRALAATESAYLDMTNQSMGTHPELAVTGACLLVALLRDDDVYVMNLGDSRAIVAQRRDDDDDCVLGTMRVEDIGVALETETRIHGYSAIGLEALQLSTDHSTSIEEEVQRIKREHPDDDQCIANDRVKGRLKVTRAFGAGYLKQAKLNDGLLEMFRNEYIGDTPYISCTPSLCHHKLSTRDQFLVLSSDGLYQYLSNEEVVLHVENFMERFPEGDPAQSLIEEVLSRAAKKAGMDFYELLDIPQGDRRKYHDDVTIMVISLEGRIWKSSGTYV >KQL29506 pep chromosome:Setaria_italica_v2.0:I:18786453:18787047:1 gene:SETIT_020612mg transcript:KQL29506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNVLCADTHANGSLRDYNLHVILYVVLCSLNFHIDSLLSS >KQL31068 pep chromosome:Setaria_italica_v2.0:I:35398240:35399887:-1 gene:SETIT_018566mg transcript:KQL31068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSSGAHRRSKSVAEVVETLAPNMSTGESDPAAVPAESPHGIEVGVEFKPVERPVEPVNLDQPVKCPLPEPSILHDGRIWKEKMSSVSVRVRTDLPIVQEGSQLEADSSSTRSRSAVPRRHILPSVSAPENNIRALLDECDVPVSHGSAE >KQL31069 pep chromosome:Setaria_italica_v2.0:I:35398002:35399999:-1 gene:SETIT_018566mg transcript:KQL31069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSSGAHRRSKSEVVETLAPNMSTGESDPAAVPAESPHGIEVGVEFKPVERPVEPVNLDQPVKCPLPEPSILHDGRIWKEKMSSVSVRVRTDLPIVQEGSQLEADSSSTRSRSAVPRRHILPSVSAPENNIRALLDECDVPVSHGSAE >KQL31067 pep chromosome:Setaria_italica_v2.0:I:35398002:35399999:-1 gene:SETIT_018566mg transcript:KQL31067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIFSRFSSGAHRRSKSVAEVVETLAPNMSTGESDPAAVPAESPHGIEVGVEFKPVERPVEPVNLDQPVKCPLPEPSILHEGSQLEADSSSTRSRSAVPRRHILPSVSAPENNIRALLDECDVPVSHGSAE >KQL31739 pep chromosome:Setaria_italica_v2.0:I:39465505:39476728:-1 gene:SETIT_016200mg transcript:KQL31739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPASRQRKAGSASAAAAAKGSHQQQQQPQPGSPTSTTTTTTSSSRLTPELSLDGPASPLFAGLDEDPAPKENVTVTVRFRPLSPREIRQGEEVAWYADGDTVVRSEQNPNVAYAYDRVFAPTTTTRHVYDVAAQHVVSGSMEGVNGTIFAYGVTSSGKTHTMHYLDILVLLIQGDQRSPGIIPLAVKDAFSIIQETLNREFLLRVSYLEIYNEVVHDLLNPAGQNLRIREDPQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGESNEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHIPYRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKRIEIQASQNKIIDEKSLIKKYQTEIRRLKEELEQLKMGIITGTPSKDTGEDNIILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKATQTSRFSPHPGPRRRHSFGEEELAYLPYRRRDIMMDNESNELLLPVEGFGVSLEDSSKEEKKNRKGLLNWFKLRKRDGASILTSSEGDKSSLTKSTAPSTPIGESVNFRAEPRISNSLAGENVSADLFIIGHGEFPSGSIHGEEIALTSGKTMDHVDLLREQLKILSGEVALQTSVLKRLTEEAGRSPLSENIQMEMKKISDEIKGKKRQIASLEREIAHATLGSQGKADKLELSPSYPELLEQLNEKSFELEVKAADNRVIQDQLNEKINECMGLQAEVTHLKEQLSQALEAKDLLSNSMVQNNRVVNHEVERHADQDSAVPREVSSELLQKQQQSIEIDELKQKVSELIEIKAQLEDRNQKLLEESTYAKGLASAAGVELKALSEEVTKLMNQNEKLATELASLRSPTPRRVTNGPRGGRRESMSRRHEPASRRDTNASHEREKALENMLMEKEQKEAELQRKVEESKQKEAFLESELANMWVLVAKLKKSQGYEHEDSEAKHDVS >KQL31860 pep chromosome:Setaria_italica_v2.0:I:40140597:40146242:-1 gene:SETIT_016502mg transcript:KQL31860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCDLFAAWWSSSTRLFSRIFRGSSDPPGPAPMKPAIPLHQKQAGLAASKLGVGTSKKHRAFVAGDEQWYKKIFDPSSDFILTWNRVFLVSCFAALFIDPLYFYVPKITYGSSVSCVGTDIHLAVIVTFLRSVADLLYVLHIIIKFRTAYINPSATLRVFGRGDLVTNPKEIAWKYLRSDFAVDVVAALPLPQIIIWFVIPAIKYSTSEHNNNILVLIVLAQYLPRLYLIFPLTYEIVKTTGVVAKTAWEGAAYNLVLYLIASHVLGALWYLLSVDRQTACWKKNCRDETGCDIKFLDCDDTPDSTWANTTTIFSNCNASNTSISFDFGMFLPALTNQAPAERFVMKYFYSLWWGLQNLSCYGQTLTVSTYLGETLYCIFLAVLGLVLFAHLIGNVQTYLQSITVRVEEWRLKQRDTEEWMRHRQLPHELRERVRRFIQYKWLATRGVNEESILQALPADLRRDIKRHLCLGLVRRVPFFSQMDDQLLDAICERLVSSLCTKGTHIVREGDPVTEMLFIIRGKLESSTTNGGRSGFFNSITLKPGDFCGEELLGWALAPKPTTNLPSSTRTVKALIEVEAFALQAEDLKFVANQFRRLHSKKLQHTFRYYSHHWRTWASCFIQAAWRRYKRRKMAKDLSMRESFNSVRLDEVDEEDESPPKNSLALKFIARTRKAPQRTKELPKLRKPDEPDFSAEPDD >KQL30317 pep chromosome:Setaria_italica_v2.0:I:29900020:29903866:-1 gene:SETIT_018281mg transcript:KQL30317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLEYTPFDSINLFLDQLNLGDCAIRGNLEAFSCKHTSTDRRLSISLEHEILDYLGKSSDSDPSSPVEHLSSRSSRKTLIYLVLTLGHMYPDYDFSAVRAHLFFQEEEMESFKQMIDTYLSDASRQWAATNEGSSLLDSMTKAIDEVIKIRECDIYSYNPDSDGDPVQEKGAIWSFYYFFYNRKLKRVVSFRCYCTSKLSGDDFLTGAASDGEEEDALIDMDI >KQL29802 pep chromosome:Setaria_italica_v2.0:I:24767374:24773607:-1 gene:SETIT_016668mg transcript:KQL29802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPLRRLRGFGHNHPKERRGHQPPPAKLDELVCAAQEVEDMRNCYDGLISAAAATTNSVYEFSEALEELGGCFLAKTALNGDDDDSGRVLMMLGKVQFELQKFVDTYRSNIIHTITTPSESLLKELQTVEEMKQQCDMKRDAYEAMRTSYREKGKSRHSKIESYSAEQLQSSFAEYQEDAALFIFRLKSLRQGQFHSLLTQASRHHAAQLSFFRRGLKCLEALDPHVKAIAEKQHIDYQFSGLEDDASDNGDYSSEQDGCSDDEDLSFDYEINDKDQDFLASRGSMDLDKRDVTNSPQPIKESKQEEVKQNKGDIITPQVKPEFNTHSAPIFAGNLPDPSERFWQMKPSSAKHSYKLPTPVDDKTPRTVGAHRSHHSQQFESKPHVATNLWHSSPLFRPSGHVKMPSSTEGMSTFSQSVSDYKKMKRESWSGPIPSKPGLSKPSSLNDRRSPMAQHHVMPGNPQSHSRQTSSVSPKVPPKMLRHSTKSPKISELHELPRPPANVESLRPSGLVGYSGPLVSKRQTQIPAAPARASPTASQSPSPLPLPPATLTRSYSIPSNSQRIPIITVNRLLEARNSRDGSDISSPPLTPLSLTDLSQQQAAKTSSTRMKGTL >KQL29803 pep chromosome:Setaria_italica_v2.0:I:24768190:24773607:-1 gene:SETIT_016668mg transcript:KQL29803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPLRRLRGFGHNHPKERRGHQPPPAKLDELVCAAQEVEDMRNCYDGLISAAAATTNSVYEFSEALEELGGCFLAKTALNGDDDDSGRVLMMLGKVQFELQKFVDTYRSNIIHTITTPSESLLKELQTVEEMKQQCDMKRDAYEAMRTSYREKGKSRHSKIESYSAEQLQSSFAEYQEDAALFIFRLKSLRQGQFHSLLTQASRHHAAQLSFFRRGLKCLEALDPHVKAIAEKQHIDYQFSGLEDDASDNGDYSSEQDGCSDDEDLSFDYEINDKDQDFLASRGSMDLDKRDVTNSPQPIKESKQEEVKQNKGDIITPQVKPEFNTHSAPIFAGNLPDPSERFWQMKPSSAKHSYKLPTPVDDKTPRTVGAHRSHHSQQFESKPHVATNLWHSSPLFRPSGHVKMPSSTEGMSTFSQSVSDYKKMKRESWSGPIPSKPGLSKPSSLNDRRSPMAQHHVMPGNPQSHSRQTSSVSPKVPPKMLRHSTKSPKISELHELPRPPANVESLRPSGLVGYSGPLVSKRQTQIPAAPARASPTASQSPSPLPLPPATLTRSYSIPSNSQRIPIITVNRLLEARNSRDGSDISSPPLTPLSLTDLSQQQAAKTSSTRMKGK >KQL31130 pep chromosome:Setaria_italica_v2.0:I:35816964:35821076:1 gene:SETIT_017196mg transcript:KQL31130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAWHIILVCDVDVVVASRKDLSTASANAVYYLDLQGFPHSHTHPAIHHACPDPSAACPDPSASAPQSIARVPPAMTPAAAAAAISGSSGHLVLPSPRLRQPLTLAPGTARPIAASPVARRGVAVAAVSTPAASPAAGKDAKQVPKDFLHINDFDKDTIMKILNRALEVKKAIKSGDTSFQPFKGKSMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSGYNDMIMARVFAHQDILDLAKYASVPVINGLTDYNHPCQIMADALTMIEHIGRIENTKVVYVGDGNNIVHSWLLLAAVLPFHFVCVCPEGFEPDAKTVEIARSAGISKIEITNDPREAVKGADVVYTDVWASMGQKEEADYRKQKFQGFTVDEAMMEIAGPQAYLMHCLPAERGVEVTDGAIEAPNSIVFPQAENRMHAQNAIMLHVMGA >KQL32012 pep chromosome:Setaria_italica_v2.0:I:40858344:40858869:1 gene:SETIT_020322mg transcript:KQL32012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVFLFNTTFIYLDFPLPLSSLTRLTRFLSYVNFPTFTL >KQL31694 pep chromosome:Setaria_italica_v2.0:I:39238452:39240738:1 gene:SETIT_016791mg transcript:KQL31694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKKRAAAIDLSVEAERREEEDRSAGDRRDKDGGVGKKEEQFKEQEEAPPKEETGGEEKVVEVVVDQGGDGTKEEIKYRTQQGEEMEEDKQSEEGDGDDESDGAENRADGKHVVEASGDGDGDDNHTTMVQDEVSAMQEEMEKMKEENRMLRRVVDRTVRDYYELQMKLAAYQQQPADEPKEPEVFLSLGAAAAATTGGFPEPKRKEQAARRPSVGSDDTDDGKADLGLSLSLRASSSYEEEKMEAAHDFDGGASVAGADGKAKGYALLESSKLGAPAAGDLATAGITSQSVNPANRKTRVSVRVRCQGPTMNDGCQWRKYGQKVAKGNPCPRAYYRCTVAPGCPVRKQVQRCLEDMSILVTTYEGTHNHPLPVGATAMASTTSAAATFMLLSSTSSSSSISEAAGGGGSAAPPYYLSPYLLNSTSHHSSASPLLSAPSSMPSAAPGSASGMQHLNLFGHSSMLAHQQAPHLKYPWSSSEPSHGGSGGLAGSKRPFWSTAGDEKAATLPDNVGAVMSDPNKFSVAIAAAINSFMGKDSGKDGESSSSKSSNKWGVVESLPPP >KQL28373 pep chromosome:Setaria_italica_v2.0:I:5449600:5450068:1 gene:SETIT_018859mg transcript:KQL28373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRVWLLAAALAAACALLACRPAGAEEGLPPAIGGTVFGCNPAVDKTCKPDGPTLLPGGGVDLDGDGDEDELPMFDPHLTILGHAH >KQL29970 pep chromosome:Setaria_italica_v2.0:I:27150276:27153163:1 gene:SETIT_016952mg transcript:KQL29970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSELSQSTVVFGLRMWVLVGIGVGAAFVLLLVLISVLCLLAFRRRRRQRPANAAQQLPTTAPPKDSANVKAPKDIQEVPSHVTATAAAPAKTQLAQVLQLPTPQVSEQIVTGKERRITYPEQQQHPSHRSEGPSPHGSDERRGGRGGAADHAPPAVPEVSHLGWGHWYTLKELETATGMFSDENVIGEGGYGIVYHGVLENGTQVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVDNGNLEQWLHGDVGPVSPLTWENRMKIILGTAKGLMYLHEELEPKVVHRDVKSSNILLDKHWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDIYSFGILIMEIISGRVPVDYNRPPGEVNLVDWLKTMVSSRNSDGVVDPKIPKKPTSRSVKKALLVALRCVDPDASKRPRIGHVIHMLEVDDFPYREDRRGNRAPGQARAPEKPAVGSGDHETDSSANGATQAEPFRWRNPDA >KQL27858 pep chromosome:Setaria_italica_v2.0:I:1250392:1252909:1 gene:SETIT_017876mg transcript:KQL27858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAIRVPKTQRARRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESAEELKHLKEVLLDLFRGEVVENLNLAGVDRIYVCTATSPTTVYMMHCALCLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTADHAKKVKNVTKDPVQGRLGKVYMPDQQVGKLALSNDIKGLKRERREAKKNKEHSKKQKVNPE >KQL28659 pep chromosome:Setaria_italica_v2.0:I:7285327:7288027:1 gene:SETIT_018290mg transcript:KQL28659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADWWRGFDPKEALWSGSLTFQQAVSTSAANLDENSSGKKFASYTVFKGKAALSIHPILPSFCKMESGASRINRNGSVMLTFFPAVGQRKYDYTKKQLFSLSPTEVGSLISLGPAESCEFFHDPSMKSSHEGQVKKSLSITPLGSDSGYFVNITVLNNMQKTTDRLSVPITKAEFAVMRTALSFALPHIMGWDQVLTRHPAPQASSKPRVERPHPDSEWER >KQL29496 pep chromosome:Setaria_italica_v2.0:I:18040088:18042074:-1 gene:SETIT_017852mg transcript:KQL29496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAAYRALAKILVILAVSVSGAWSKSFNITNNCGYTVWPGVLSGAGSAPLESTGFQLAPGQSQTLSAPAGWSGKLWGRTLCSTDSTGKFTCVTGDCGSGRQDCAGGNAAPPATLAEFTLDGSGGMDFYDVSLVDGYNLPVLVAPQGAAPGGNCAPTGCEVDLNGACPADLRVTSAAAPAGVACKSACEAFGSAQYCCSGEYGNPSTCKPSAYSQFFKNACPRAYSYAYDDATSTFTCAGGDTTYTISFCPSTTSVKSSSPNSQAPGDLPLINRTMTYTGGDQAGAATAARAPSRLVVLLGAILLVLAGVFS >KQL29451 pep chromosome:Setaria_italica_v2.0:I:16180089:16180692:1 gene:SETIT_019317mg transcript:KQL29451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPIASSPCSNYSSDEDVGPIKDRGPPYDESDPSYELSIVPESPRYSYDEETEYEKKEEEEDNDETYVEENLDEEDEEAMMEVVVEVALAEIVVEERAKKRAAKKRREEERAKKKAAKKRREEVEVDSEMARKRRWVDFNVDSGPSNAGPGNVTPAAPTIVGPMPESSGNSSEGSS >KQL30286 pep chromosome:Setaria_italica_v2.0:I:29603220:29607296:1 gene:SETIT_017658mg transcript:KQL30286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSSFYAGEGLSIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKVVHKGDTPEEMTKREGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNIRPSADNLPQELSEILTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQETLAPRRTFSSENAILPPESPGTSSLMHSRGDDTPKGKKEDKPRGLFFCFSECY >KQL30285 pep chromosome:Setaria_italica_v2.0:I:29601963:29607296:1 gene:SETIT_017658mg transcript:KQL30285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSSFYAGEGLSIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKVVHKGDTPEEMTKREGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKTKCR >KQL30284 pep chromosome:Setaria_italica_v2.0:I:29601963:29607296:1 gene:SETIT_017658mg transcript:KQL30284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSSFYAGEGLSIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKVVHKGDTPEEMTKREGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNIRPSADNLPQELSEILTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQETLAPRRTFSSENAILPPESPGTSSLMHSRGDDTPKGKKEDKPRGLFFCFSECY >KQL30283 pep chromosome:Setaria_italica_v2.0:I:29601963:29607296:1 gene:SETIT_017658mg transcript:KQL30283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSSFYAGEGLSIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKVVHKGDTPEEMTKREGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNIRPSADNLPQELSEILTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQETLAPRRTFSSENAILPPESPGTSSLMHSRGDDTPKGKKEDKPRGLFFCFSECY >KQL30282 pep chromosome:Setaria_italica_v2.0:I:29601963:29607296:1 gene:SETIT_017658mg transcript:KQL30282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSSFYAGEGLSIDPKWLIDPKLLFVGPRIGEGAHAKVYEGKYKNQNVAIKVVHKGDTPEEMTKREGRFLREVTMLSRVQHKNLVKFIGACLEPVMVVVTELLVGGSLRKYLVSLRPRSLEPRVAVGFALDIARAMECLHAHGIIHRDLKPENLLLTADQRTVKLVDLGLAREETLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDVYSFAIVLWELLHNRLPFEGMSNLQAAYAAAFKNIRPSADNLPQELSEILTSCWKEDPNDRPNFTQIVQMLLHYLSTLSPQETLAPRRTFSSENAILPPESPGTSSLMHSRGDDTPKGKKEDKPRGLFFCFSECY >KQL30433 pep chromosome:Setaria_italica_v2.0:I:30553019:30556192:1 gene:SETIT_020479mg transcript:KQL30433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCVKRIILLTWLKVSTTNRLIAHAEPSKARNLVNLYHTCSALSASKNRSSKMCHCHVSLTDPKAIDVRDIYMLIKCIPVFSLQLQTQLLWMANQIFSFIFI >KQL31533 pep chromosome:Setaria_italica_v2.0:I:38230953:38233475:-1 gene:SETIT_018732mg transcript:KQL31533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKEPIEVRFRLFDGTDIGPTKYDPSTTVSALKEFILARWPQDKDIAPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQADKNSEKQLANSPKQNRCGCTIL >KQL31534 pep chromosome:Setaria_italica_v2.0:I:38230514:38233732:-1 gene:SETIT_018732mg transcript:KQL31534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKEPIEVRFRLFDGTDIGPTKYDPSTTVSALKEFILARWPQDKDIAPKTVNDLKLINAGRILENNRTLAESRVPVGEVPGGVITMHVVVRPPQADKNKKQLANSPKQNRCGCTIL >KQL30995 pep chromosome:Setaria_italica_v2.0:I:34922875:34926370:1 gene:SETIT_016919mg transcript:KQL30995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTSPPAAAAAAAAAWAFAVATCVKLLLVPTYRSTDFDVHRYWLALTHALPARQWYTDTSSQWTLDYPPFFAYFSRLLSLPAPLVDATLVSVPVPDSPPFAHLLYLRLTVAFSDLLLLGSVLLLARDARRRQRPFLALALVLWSPALLAVDHVHFQYNGFLMGLLLLSLYFLEQGWDLAGGMVFASLLCSKHLFLVAAPVYFMYLFRHYCCGRGVVKGLGRLVLMGAGVAAVFAAAFAPFVYYGQIQQLFSRLFPFGRGLCHAYWAPNFWVFYIIFDKILAFLLRRLGFNIAIPEASFTGGLVGDSSPFAVLPKVTPITTFLMVILAMTPCLVKAFSNPQPKHIIRWVSYACTCGFMFGWHVHEKASLHFTIPLALIAMDNLHDARHYFFLSIVSCYSLFPLLFENQEYLIKVMLLLTYAALMWVGFTSHFAANSDQEGKKVNRSGSTVKKNSFIGWIGLSYLLGIAAIELWSQVFHHLVLGDRLPFLPLIMVSFYCGVGMMYSWLWQLVYIVRHT >KQL31424 pep chromosome:Setaria_italica_v2.0:I:37406309:37406527:1 gene:SETIT_020082mg transcript:KQL31424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLRNLVLPVIMLVMVFSIVVDAARPIAGEELSGGATASESIVRFIRQLYWQRLSGPGHSCPTWDPNHGCP >KQL31486 pep chromosome:Setaria_italica_v2.0:I:37884422:37885960:1 gene:SETIT_017985mg transcript:KQL31486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQAVLAVLLASLLPLALSRGLGLGHRHVPQHGHGMGLGHRHAQPHPQPHGHAPLAGGAWSSAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACFEVRCDAAGGGGHSCLPGSVVVTATNFCPPNNALPSDDGGWCNPPRAHFDMSQPVFQRIALYRAGIVPVSYRRVACNKKGGIRFTINGHSYFNLVLVTNVGGAGDVHSVAVKGDRSAGWQAMSRNWGQNWQSNTLLDGQALSFRVTTSDGRSVVSNNAAPRGWSFGQTFSGAQFN >KQL31807 pep chromosome:Setaria_italica_v2.0:I:39850680:39851112:-1 gene:SETIT_018994mg transcript:KQL31807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASFKGSNFGLSSDVLRSSSCLMVFIRVLDINRNSASNSKTFCKIFFLRKIQLRPQLY >KQL29321 pep chromosome:Setaria_italica_v2.0:I:13620789:13625446:1 gene:SETIT_016129mg transcript:KQL29321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAAAGPGEDGLRKLEYLSLVSKVCSELETHIGVGDKVLAEFITELGRDSATVAEFDARLKEKGADFPDYFVRTLLTIIHAILPPSSNPSSAAVAAGPAGAEASKFPGLARPDDPDHARNLRLELERDADAAAPAPAPARDDRDRRRDGRGRDRDYDRGGLDHDRDRGGRDHDRDRGGRDHRDQDRDRGGRDRDRGRDRGRDRDRDRYGDRDRGRDRDMERDRDRERGRSRRYGDEEEEEDRGVGGRGREVATSNPSGEPELYQVYRGRVTRVMDTGCFVRLEDVRGGREGLVHVSQMASRRVANAKEVVKRDQEVYVKVVSVKGQKLSLSMRDVDQDTGKDLLPMQRGADDAPRANPSGGSGGGMGSGKRLGLSGIVITEEDEAAPTSRRPLKRMSSPERWEAKQLIASGVLDVRDYPMFDEDGDGMMYQEEGAEEELEIELNEDEPAFLQGQSRFSIDMSPVKIFKNPEGSLSRAAALQTALIKERREVREQEQRAMLDSIPKDLNRPWEDPMPDTGERHLAQELRGVGLSAYDMPEWKKEAYGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILVDENLSQYSVIMLDEAHERTIHTDVLFGLLKQLIKRRSDMRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDAALITVLQIHLTEPEGDILVFLTGQEEIDHACQCLYERMKGLGKDVPELIILPVYSALPSEMQSKIFDPAPPGKRKVVVATNIAEASLTIDGIYYVVDPGFAKINVYNSKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTTIPEIQRINLGSTVLNMKAMGINDLLSFDFMDPPAPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLTIMDRYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFYKGADPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >KQL29711 pep chromosome:Setaria_italica_v2.0:I:23741914:23743929:-1 gene:SETIT_019844mg transcript:KQL29711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLELLHLVTALLLLTAAGGDDDGRRFAYNGFSGANLTLDGVAAVTPNGLLMLTNGTIQKKGHAFHPSPVPFRGSTRSSSFSTTFVFAIFGQYIDLSSPGMAFFVTTSREILSTALPGQFMGLLNATNNANRDAHIFAVELDTLLNAECRDISSNHVGIDVDSLVSRASADAGYYDDGDGRFRNLSLISRKAMQVWVDYDGAAAEVTVTMAPLGVARPKKPLLRTTANLSAVLQQQDTAYVGFASATGILFSRHFVVGWSFALDGPAPALDISSLPALPPSGPKPRSKVLEIVLPIASAAAVLAAGVAVYVVVRRRLRYSEVIEDWEAAFGPHRFSYKDLFHATKGFSDENFLGAGGFGSVYKGVLHRKKSAMEVAVKRVSHESRQGMKEFIAEVASMRRLRHRNLVQLLGYCRRKGQLLLVYDYMPNGSLDRYLYDCSKGSTLDWPQRFHIIRGVASGLSYLHEDWEQIVIHRDVKASNVLLDDQMNARLGDFGLARLYDHGSDARTTHVVGTMGYLAPELGHTGKATPSTDVFAFGAFVLEVTCGRRPIEQGEGNKSTMLVDWVAEHWRNGSITEAADTKMLNRFSLDEVSLVLKLGLMCSHPLPNARPTMRQVMQYLDGDTVLPDLSPAYLSSSTMLEWMYSPELNKNVMSYVPSMSSGGISDLSGGR >KQL31397 pep chromosome:Setaria_italica_v2.0:I:37264192:37265024:-1 gene:SETIT_018898mg transcript:KQL31397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEKAQEGQQQGAAASALVVHSQVRRIKQEEDEKVKVHETYQHHVSEMRLVLRDLGRQRSRSPLGRVVARPAAISIGGDS >KQL31775 pep chromosome:Setaria_italica_v2.0:I:39708218:39710922:-1 gene:SETIT_016364mg transcript:KQL31775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRGPRLAVLLALAALAAVAAAAAVGEARSQSTYIIHLAPDHPALTVARANGGGAAVLGRLLPRRLRAPRPRVLYSYQHAATGIAARLTPEQAAHAAAGEGVLAVYPDQARQLHTTHTPAFLHLTEAAGLLPAATRGASSAVVGVLDTGLYPIGRGSFAAPAGLGPAPASFSGGCVSTGSFNASAYCNSKLIGAKVFYQGYEAALGHPIDETKESKSPLDTEGHGTHTASTAAGSPVAGAGFFDYAKGQAVGMDAGARIAAYKICWKSGCYDSDILAAMDEAVADGVDVISLSVGAGGYAPSFFQDSIAIGAFHAVSKGIVVSCSAGNSGPGEYTATNIAPWILTVGASTIDREFPADVVLGDGRVFGGVSLYAGDPLNSTQLPLVFAGDCGSRLCLLGELDPKKVAGKIVLCERGKTARVEKGAAVKLAGGAGMILANTEASGEELVADSHLVPATMVGQKFGDKIKYYVQTDPSPTATIVFRGTVIGKSPSAPRVASFSSRGPNYRAREILKPDVIAPGVNILAAWTGAASPTDLDIDSRRVEFNIISGTSMSCPHVSGLAALLRQAHPDWSPAAIKSALMTTAYNLDNSGETIKDLATGVESTPFVRGAGHVDPNSALDPGLVYDAGTDDYVAFLCTLGYPPSLISIFTKDSSVADCSRKFARSGDLNYPAFAAVFSSYKDSVTYHRVVRNVGSNSSAVYESKIVSPSGVDVTVSPSKLVFDDKNRSLAYEITIAVSGNPVIVDAKYSFGSISWSDGVHNVTSPIAVTWPSNGGSAAM >KQL28805 pep chromosome:Setaria_italica_v2.0:I:8193218:8201984:1 gene:SETIT_016138mg transcript:KQL28805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQANNNPPRVNWQHHANAIEGSSSKDDFLSSSFLFSLPTQRPNPEANREGMLSLRSSACKIQGTERLQVPWIEKAWRSLCNTQVACRSYLRPGLSAKVKDCDKGHARIYGEGSYNINKMETVPGNRILSQESTHRPCESGSVENNSIHQPTGIDSSTRTYQSNHVVQTDIMRARNQYNYVRTDAGLHQAAPVADSMCTDDKLDAMDDDDILASIDVDRIVMEHYQAMNTPRGSASQNTSTPPGNKCNFNGIDETNLPQELSELCNHQCKLAFCPKAMDHLQEMKNEMIAVADELLDDDGKLNLQRSEELRKKRLHLKKQIQLLEEYMARSSQDEERQRSHSMASTAAIQGHLPPMTPGSTFMMDSSRFQSQVYIRNGPGNSDLCYSPAPYSCSDNLSMPLHSVWREYTPKVIDTNYTEGSADKRWSSTNFPWTKELEAKNRNKFGNRSFRPNQREVINATMSGNDVFVLMPTGGGKSLTYQLPALISEGLTLVVCPLVSLIQDQIMHLSQANIPATYLSASMEWPEQQEILRGLMSCHYKLLYVTPEKIAKSNSLTGLLDNLYSQGHLSRIVIDEAHCVSQWGHDFRPDYKNLGVLKQNFPKTPVLALTATATARVKEDVVQALGLANCIVFRQSFNRPNLRYLLRPKTKKCLEDIDNFIRTNHFKECGIIYCLSRMDCEKVAEKLRECGHSVSHYHGSMDPVDRTRVQKQWSKDKINIICATVAFGMGNLIFQTSVCITSIVGCPMLMECGRAGRDGLPSSCLLYYQYSDYVSYCENDVDCRRLLQLIHFGEMFDPSRCAKTCDNCLKELTWVEKDVTNIARQMVELVTMTGQSHSSSHILEVYRGSVSQNVKKQRHDALPLHGAGKHLAKGDAARIMRHLVTEGILIEDVKKIDRHIFLSENDLLLVLFFLYLGIHLFLICYLLFNEKFPAPDKASKMGKLDASLFPQINKTVQQQSEVDENLSSMLFDALLSLREQIMDECNEGYHAYHIFKKDSLKEMSIRVPRTKEELLEINGIGKAKVKKYGDRVLATIEDFLSKHPNPRRNSSGSGSNEHTEAKKRRGFTASYAGSIADDDFEERTAQSKKRAAKTRSTKQGVSDATSMVHGARCMDADLDGVEVLDDELCSIQKPVASGRVLPKWAPAKAKGSSVPPSNLFQEFGYVK >KQL27933 pep chromosome:Setaria_italica_v2.0:I:1829200:1830685:-1 gene:SETIT_019090mg transcript:KQL27933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLAGPGTAERTAPPRPHVVLVASPGAGHLIPMAELARRLVAHHGVAATVVTFTDLSAPDAHSAVLSSLRDDGVDTAALPAVPLDDLPADAHIETLLLELIGRSIPHLRALLRDISSAAPLAALVPDFFGSMVLPLAAELGVPAYLFFPINLTSISLMRSAVEVNDGAASGEYRDLPNTLQLPGGVSLSREDYADGFRNSKEPVYAHIIEEGRRYRAAAGFLVNTFDEIEPSYVEKLTKQAADQGTFPPAYPVGPCVRPSSDEDGALACLDWLDRQPAGSVVYVSFRERGLVHTAELAAGLEDSGHRFLWVVRMPSLDGENSDWGKSHRRAEDDPLAWLPEGFLERTSGHGLAVAAWAPQVRVLSHPATAAFVSHCGWNSTLESVAAGVPMVAWPLYAEQRVNAVVLSQNVGVALRPRATRADGLITRKEITAAVRELMDGEEKGRAVRRRAEDLQEAAARAGAPGGSSWQMLEEVAGKWKAAALGRQKQ >KQL30718 pep chromosome:Setaria_italica_v2.0:I:32862922:32864395:1 gene:SETIT_017221mg transcript:KQL30718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSRRVLLALLLPVLLLAPRRAAALPAATFPGDKAALAALKSAVAASSVPPYSCLASWDFSRDPCAAFPCGIRCFSPAANASQLRVMAVALDPAGYSGALPAVVLSSLPFLASLSLAGNRFHGALPSGVPLQASLRILDLSGNAFSGAIPASLFTAASGLQELYLSRNGFSGGVPPQLALLGALSRLELQHNGFTGSLPSLAAMRSLYHLDVSGNALSGPLLAAPGLLPPSLLSVVARNNSFSGPLRAAALAALPAVRVMDLTGNAVSGAVPGAALAHPALQQLRLGSNRLDAVEAAPDGGSSSQLVELDLSGNRLTGRLPGCLAAMPRLAAVALDRNRFTGGIPDRYAARVAAQEATDQWVPFARLMLQGNYLCGALPMQLRQLKEGGAVVSLADNCLPRCPHKFFFCQGAPQKDHATCPPKCEASVHRQDTLLRMP >KQL31115 pep chromosome:Setaria_italica_v2.0:I:35714043:35715888:1 gene:SETIT_017839mg transcript:KQL31115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGRSLYYVWWCCALASALSALVKVDALLVDITYVESAVAKGAVCLDGSAPAYHLARGSGSGVNSWLVHFEGGGWCSNVTTCLQRKRTRLGSSKEMATQIAFSGILSDTPDYNPDFYNWNKVKVRYCDGSSFTGDVEEVDPTTKLHYRGARIWQAVMEDLLAKGMDKAENALISGCSAGGLTSILHCDRFHDLLPLGARVKCLSDAGFFINEKDVAGVGYIAAFFNDVVTTHGSAKNLPSSCTSILPPGVCFFPSNEVKQIQTPLFILNAAYDYWQVIIQRPS >KQL31114 pep chromosome:Setaria_italica_v2.0:I:35713902:35717024:1 gene:SETIT_017839mg transcript:KQL31114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGRSLYYVWWCCALASALSALVKVDALLVDITYVESAVAKGAVCLDGSAPAYHLARGSGSGVNSWLVHFEGGGWCSNVTTCLQRKRTRLGSSKEMATQIAFSGILSDTPDYNPDFYNWNKVKVRYCDGSSFTGDVEEVDPTTKLHYRGARIWQAVMEDLLAKGMDKAENALISGCSAGGLTSILHCDRFHDLLPLGARVKCLSDAGFFINEKDVAGVGYIAAFFNDVVTTHGSAKNLPSSCTSILPPGVCFFPSNEVKQIQTPLFILNAAYDYWQVRNILVPGVADRRGTWHSCKHDIDQCSAAQLRDSGMIF >KQL30412 pep chromosome:Setaria_italica_v2.0:I:30397106:30398464:1 gene:SETIT_018325mg transcript:KQL30412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRALVVCAAAAVLGLAAAVLGFAAERFKNKAFVRSDVFRCEYRRTPALGCGVLAALLSLAAVALVTAASGCFGRFGAAALPPERRRGCARNACAAVSWLWVLSAAVSFLYGASRNAGGTGGFTAVRRQPGQNGGGGGLDFVCNELRDGVFASASIQAVIAIACAITAYVDALQQRNRTPTLGVAMGQPNQAPVAYPAQPPYDAYGANKQPAGTA >KQL31095 pep chromosome:Setaria_italica_v2.0:I:35558207:35560605:-1 gene:SETIT_018528mg transcript:KQL31095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLRSALGSVFRRLSGPSAAPSMMRQRGAEILRSPTLPSLRPAELLVPHPEPAAQLMRTFMSPAATGTAARTRGVPNFQGWQHFPVGTEGVKCLQQKRFLSVERKETSRMRVVAWLDRHFGPRFQLTLVIVVGMSSFVTTVTTFHRLDKAPTKSNNFNSAKKRHW >KQL31957 pep chromosome:Setaria_italica_v2.0:I:40612704:40615208:1 gene:SETIT_018644mg transcript:KQL31957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGMKREISETHDALRFGINAGVKADLAPPHPLQATIQSETKFWADKKKFGTEAIYGSAFNIRKDLDAQILSRFQRPPGALPSSMLGYEALTGSLDDFGFEDYLNMPQDSDSFRQPDMHHGMEVRLGLSKGPICPSFN >KQL28047 pep chromosome:Setaria_italica_v2.0:I:2903959:2908762:-1 gene:SETIT_018435mg transcript:KQL28047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPSRDSNMQQLVPIAPPGKASGSDTGKELVVVDPAGKGSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLSRMDADYQKRKEMAEFELRREERLKAAEERTAKKRLKRQKKKQRKKEKRTKTSNGGEEPNRVESSDDEEGSDDDDKSKQ >KQL28046 pep chromosome:Setaria_italica_v2.0:I:2904373:2907647:-1 gene:SETIT_018435mg transcript:KQL28046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIERQVELYPAMTTPSRDSNMQQLVPIAPPGKASGSDTGKELVVVDPAGKGSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLSRMDADYQKRKEMAEFELRREERLKAAEERTAKKRLKRQKKKQRKKEKRTKTSNGGEEPNRVESSDDEEGSDDDDKSKQ >KQL28045 pep chromosome:Setaria_italica_v2.0:I:2903959:2908762:-1 gene:SETIT_018435mg transcript:KQL28045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPSRDSNMQQLVPIAPPGKASGSDTGKELVVVDPAGKGSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLSRMDADYQKRKEMAEFELRREERLKAAEERTAKKRLKRQKKKQRKKEKRTKTSNGGEEPNRVESSDDEEGSDDDDKSKQ >KQL32107 pep chromosome:Setaria_italica_v2.0:I:41395152:41397573:-1 gene:SETIT_017953mg transcript:KQL32107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAGPTVAFIAGDPPPDAAAAEEVEENSGGEEEEEQEDDELELGLCLGSKKQQQQQTPSPAPCRILTARDLQPGALSPDSSVSSSSPAAGAAAASKRAKADAAPNATTSPGTVASGHPQSFGVVGWPPIRTFRMNNLFNQAKENASEAGTKKAADESGMQKDKESEKKGRVVGWVKVNMDGDIIGRKVDLNAHRSYKTLASALELMFMKPSIGLCTSSSAKSLNLLDSSSEYQLTYEDRDGDWMLVGDVPWEMFVGSVKRLKIMRTSDANNGLGPRFQGVHRSAACTRGRA >KQL32108 pep chromosome:Setaria_italica_v2.0:I:41395439:41397500:-1 gene:SETIT_017953mg transcript:KQL32108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAGPTVAFIAGDPPPDAAAAEEVEENSGGEEEEEQEDDELELGLCLGSKKQQQQQTPSPAPCRILTARDLQPGALSPDSSVSSSSPAAGAAAASKRAKADAAPNATTSPGTVASGHPQSSFGVVGWPPIRTFRMNNLFNQAKENASEAGTKKAADESGMQKDKESEKKGRVVGWVKVNMDGDIIGRKVDLNAHRSYKTLASALELMFMKPSIGLCTSSSAKSLNLLDSSSEYQLTYEDRDGDWMLVGDVPWEMFVGSVKRLKIMRTSDANNGLGPRFQGVHRSAACTRGRA >KQL28137 pep chromosome:Setaria_italica_v2.0:I:3710810:3714620:-1 gene:SETIT_016908mg transcript:KQL28137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSAGSGGNSLPSVGPDGQKRRVCYFYDPEVGNYYYGQGHPMKPHRIRMTHSLLARYGLLNQMQVYRPNPARDRDLCRFHADDYINFLRSVTPETQQDQIRLLKRFNVGEDCPVFDGLYSFCQTYAGASVGGAVKLNHGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELEDKMPVNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERPPDTELPEPDEDQVDPDERHDPDSDMEVDDHKAVEESTRRSNILGIRVKREFGESDTKVQDGGRVTSEHRGLEPMAEDIGSSKQAPQADANAMAIDEPGNVKNEPETQLSYQTNQPCTISHDCDI >KQL28138 pep chromosome:Setaria_italica_v2.0:I:3710522:3714716:-1 gene:SETIT_016908mg transcript:KQL28138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSSAGSGGNSLPSVGPDGQKRRVCYFYDPEVGNYYYGQGHPMKPHRIRMTHSLLARYGLLNQMQVYRPNPARDRDLCRFHADDYINFLRSVTPETQQDQIRLLKRFNVGEDCPVFDGLYSFCQTYAGASVGGAVKLNHGHDIAINWSGGLHHAKKCEASGFCYVNDIVLAILELLKHHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFRPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGQELEDKMPVNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERPPDTELPEPDEDQVDPDERHDPDSDMEVDDHKAVEESTRRSNILGIRVKREFGESDTKVQDGGRVTSEHRGLEPMAEDIGSSKQAPADANAMAIDEPGNVKNEPETQLSYQTNQPCTISHDCDI >KQL28514 pep chromosome:Setaria_italica_v2.0:I:6367973:6370207:1 gene:SETIT_019804mg transcript:KQL28514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQTEMSRDNTKRSSGEIRRDPGQILEAIRTPAVRGEKRCEPKRGGTQQVESQSLETGWPTTNQFGSHIEDLFSHSYTPPLPPTLPPRWLWIEAARVWNPSAATHPTRASEVKRFGGQAKQLCKVTPSSIDSRSFVEVVKSKKMDRRFQQFRDGRDSRDMNRGEERSGTDLQGRTWDGRGPQDPVREEDRREFAGMKRHGEMGEGRDPPTRQEMPSREDFNIEPRRMGKDPVLDNPVAGMMCYNCHQVGHHKSQCPNPSFCYACKQSRHIASKCPSARVNKGMKLCGLRIPSQLFYSLTVPVESVEVDNSIRAIVTVLEGRGTKVRVSTELKYLVDAEWDWQIRFTCCDVVATVEETKMDPDPFATLYTIWVKATELWVKVSCKDPKHINGTSEVYINTQGYRITWAVADKGPIKPTRAFVDKGEDDGDVTNEEELDSQDSYGSLMELVLKSGATPSQKESGLSNPSGSASQGGKKQHLGSLPDEVIEELDQHDNMMPMQEIGGSNEDLSRGMENGVKIMMTIEEMNADKKKEATKEKSPLKTIPPPAAAKTSSRNPRTGTS >KQL32218 pep chromosome:Setaria_italica_v2.0:I:41841605:41843622:1 gene:SETIT_019158mg transcript:KQL32218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTNLEAFLEAATPQLPWRSAPMECFQGPNDVWQLDKKDVVDYFTLEDLWEHYSESSAYGLSVPVRLEHGKFITQHFVPYLSAIQIYTSKTFAVPRSMGSDETDSWSDDSTAEKLCRSWDAASDDSCPHQDLDSVPAKQGGYLNFQYSEWDPPYERIPLADKVAELAQDYPYLTSLRSAELSPCSWLSVAWYPIYHIPYHGNLKGTCACFLTYHSISSVFQDKIVQCKDDDGAVSSRRAARSVGLWPFGLATYRMEGKLWTQPAGSSDSRLSDDLYGAASSWVKQVGAHHPDFNFFTSHTIRL >KQL31419 pep chromosome:Setaria_italica_v2.0:I:37381715:37385865:-1 gene:SETIT_017307mg transcript:KQL31419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLMTLRASPAVAASPLQTRRLPAGRQRATTSVVRAAASASGAGEPDLSVRVNGLQMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLDAEKVINVTPRYAKLRAEPNGAAMGRIIGWQNIELISDRPLETMLNEFKQLKKEYPDRILIGSIMEEYNKAAWHELIERVEESGVDALEINFSCPHGMPERKMGAAVGQDCDLLEEVCGWINEKATVPVWAKMTPNITDITQPARIALKSGCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSARAVHPIALAKVMQIARMVKEEFADGQSLSAIGGVETGNDAAEFILLGADTVQVCTGVMMHGYPLVKKLCAELQDFMREHNFSSIEEFRGASLPYFTTHTDLVHRQQEAIKQRKAIKKGLQSDKDWTGDGFVKETESMVSN >KQL29627 pep chromosome:Setaria_italica_v2.0:I:22142345:22142796:-1 gene:SETIT_020265mg transcript:KQL29627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMQSSRGGGGSTLYTLVELHVIHAINLLFAGQRRRCLGVSSL >KQL31540 pep chromosome:Setaria_italica_v2.0:I:38274932:38277176:1 gene:SETIT_018099mg transcript:KQL31540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDLSTGAHTVASEVTAGHSLRINPSSQPARAAAAMAPPVSSLSLSPMASSTIPSPQLPKPSTVRALSVAPCNSAASSSSPSTSSSPPCHAAAPQVASAGRRGMLALGAGFLASAALLCPAGDAGATRIEYYATVGDKLCDLNLVKSGLAYCDVEVGTGAQPPRGELINVHYTARFPDGTVFDSTYKRGRPLTMRIGAGKILRGLEQGISGGGGVPPMLVGGKRKLMIPATLAYGPEPAGCFSGDCNIPGNSTILYDLFLVGIYK >KQL31539 pep chromosome:Setaria_italica_v2.0:I:38274932:38276249:1 gene:SETIT_018099mg transcript:KQL31539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEDLSTGAHTVASEVTAGHSLRINPSSQPARAAAAMAPPVSSLSLSPMASSTIPSPQLPKPSTVRALSVAPCNSAASSSSPSTSSSPPCHAAAPQVASAGRRGMLALGAGFLASAALLCPAGDAGATRIEYYATVGDKLCDLNLVKSGLAYCDVEVGTGAQPPRGELINVHYTARFPDGTVFDSTYKRGRPLTMRIGAGKILRGLEQGISGGGGVPPMLVGMVKVQQLVVH >KQL29100 pep chromosome:Setaria_italica_v2.0:I:10278397:10281314:-1 gene:SETIT_018232mg transcript:KQL29100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCVGGDPVEDFLLGGAGDDGDLALFCDGVPNLAGDGGLGIDGVSGDACGFEQSNLGKRARDEPSSSGPKSKACREKMRRDRLNDRFLELSSVMNPGKQAKLDKANILSDAARMVAQLRGEAEKLKESNEKLRENIKDLKEEKNELREEKVRLKAEKERLEQQVKAISTAPTGYVPHLPHPAAYHPAAFAPFAPPQQAPTNKGAPIPAAFPGMAMWQWMPPTVVDTTQDPKLWPPNA >KQL28409 pep chromosome:Setaria_italica_v2.0:I:5684099:5684633:1 gene:SETIT_020337mg transcript:KQL28409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTASNGNLSIKPIWKIQHDTANWRCNGNQPNLIHQSLQNVCQYTAY >KQL30103 pep chromosome:Setaria_italica_v2.0:I:28019933:28023011:-1 gene:SETIT_018226mg transcript:KQL30103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIEWGSCSYCEKRFIFVRKSSDFSTGRGVDPPPAPCRRRARSSLHSQQGEGTRRGELSGEEPEAMDTMRGALERAKMLVGMEVDEESALPPPEEQSFFDDINRQCTLNTTQRLYGFAICLAAGLTCTFLSMLVFFNPVKFGVTFTLGNLMALGSTAFLIGPKRQFDMMLDSVRIYATALYIASIIIALFCALLVHSKLLTLLAIILEFGALVWYSLSYIPFARSIVSKVMTSCFDTDF >KQL30671 pep chromosome:Setaria_italica_v2.0:I:32515428:32515931:-1 gene:SETIT_020333mg transcript:KQL30671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAPSILVFLLVPGDAMILELFFPFRATNKCCLMLFCLCSWFAT >KQL32056 pep chromosome:Setaria_italica_v2.0:I:41144115:41145885:-1 gene:SETIT_017142mg transcript:KQL32056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLALLTVLLLAHAAAYLAWQAVSRRRRSRCYLLDYACHKPSDDRKVTTETAGAVIERNKRLGLSEYRFLLKVIVNSGIGEHTYCPRNVLEGREETPTHADSLEEMDDFFADAVATVLSRTGVPARDVDLVVLNVGSFSPEPSLVSRLVRRFGMREDVMAYNLSGMGCSAGLIAVDLARNVMLTRPRTVALVVTSESCAPNWYVGTDKSMMLGNCLFRCGGAAALLTNDPALRHRAKMELRCLVRANIAAHDDAHAAAVHREDADGRLGVSLSKALPKAAVRAFTDNLQRLAPRILPARELARFASRLLLRKLLKKPKAAGAGEGPKIDFTTGVDHFCLHPGGTAVIEAVRKSLGLTAHHVEPARMTLHRWGNTSASSLWYVLSYMEAKRRLKRGDRVLMVTFGSGFKCNSCYWEVSRDLADAGAWEDCIDQYPPETLVNPYMDKFGWVNDIQGQGGGFVF >KQL31497 pep chromosome:Setaria_italica_v2.0:I:37958471:37961218:1 gene:SETIT_017187mg transcript:KQL31497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKLRRKGDASAAPAPKADAPGSAEKKAVKSDSEKLKAAAAESAGTAEASASAPKRVEASPASAAAAGNGGVALSRKEDRKASGKEPMRGREEEKVKGQDRKTSKKRRRTAGEEEEDGDERGFIFMCNARTKPECYRSGVFGLPRGKMDVVERIRPGAKLFLYDFDLKLMYGVYKADTSGGLDLVRHAFEGKFPAQVKFSVDIDCLPVPESSFKHAIKENYNSKGRFTQELSPKQVHRLLEIFQPIGRSQPAPQHIEETRRPLLVEDRRESYDYEEIRLPEHVEERGIPIHARSYPLEDHYKITHSLHPPLLDEPRCILVLDPYHMQEPQHVPPKYYHQVSTSSLNHQPHMDILHERGYWWLYALVASARVVVVLGAPLVCVAMALCWIYWACIIS >KQL31498 pep chromosome:Setaria_italica_v2.0:I:37958471:37962578:1 gene:SETIT_017187mg transcript:KQL31498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKLRRKGDASAAPAPKADAPGSAEKKAVKSDSEKLKAAAAESAGTAEASASAPKRVEASPASAAAAGNGGVALSRKEDRKASGKEPMRGREEEKVKGQDRKTSKKRRRTAGEEEEDGDERGFIFMCNARTKPECYRSGVFGLPRGKMDVVERIRPGAKLFLYDFDLKLMYGVYKADTSGGLDLVRHAFEGKFPAQVKFSVDIDCLPVPESSFKHAIKENYNSKGRFTQELSPKQVHRLLEIFQPIGRSQPAPQHIEETRRPLLVEDRRESYDYEEIRLPEHVEERGIPIHARSYPLEDHYKITHSLHPPLLDEPRCILVLDPYHMQEPQHVPPKYYHQVSTSSLNHQPHMDILHERTAAKATVRDPLLARDYGALPGELAARSERVDELYRSYKLSTRAMDLQPGPSYVSPYQNSSSLYYGESLQRPVVARVRGPSVPVSSRYSFGPP >KQL31008 pep chromosome:Setaria_italica_v2.0:I:34987084:34991813:-1 gene:SETIT_016406mg transcript:KQL31008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFSGHTSLRVEDQQKDCSMTAGSSNDADVGLSNADVEAAIRQAEDEADYMALKKLEQEEAVDNQEFSEEAAGRPEDDDLVNEEDARHDEHIIEEHRYNSSDMEKEKNAALSNQLNEEKALTLAVGDEDTDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFMELWDPVIDKAAINRQVNVEEEEWELDRIEKLKEDLEAEIDEDQEPLSYECNAHRRKSKKNKKKTGKFKSLKRGRLSSESEVILEETSVDTMSIDDNAPSPELISDESPRHYSNKRKKIMSATEEENSNRSLKKFKKATKSSSASEALSPRHLREEFNDSDPKSAARTKSDGRISIPCMSVKRVIVIKPERLKKKGIWSRDCASDSWTSEEDAVLCGTVHEYGPLWELAMHCCERYRELFCKHAMSATDNSNSEKVPSGTGKAILRVSEDQAQMLVNVTSELPNNELLLQKHFMAVLSSVWRSKCRRDPRRVISTYSSALRMLSPVKNPAGSSANWSMVNFRPSFNLVRTALADAQAQSTQIVIPPPMRNQEYCRNHLELELDFLTDQHHYEEDFPSIVNVSILEPEPIKQAVEPVEQSLLSGLSCRQAETRLRMASEACYEGEGSHWASSAFHINDATRHKSGPKSIGKHKAASECGRPPKSKIQKITESHQEGPSTSSNFLRMPGQLFPGAADFHISESLSDFGISDSEFNYSEDLWQEVDYNEFLLDQDDSGLLPGIEELEPLSDFTDIG >KQL31007 pep chromosome:Setaria_italica_v2.0:I:34987084:34991813:-1 gene:SETIT_016406mg transcript:KQL31007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFSGHTSLRVEDQQKDCSMTAGSSNDADVGLSNADVEAAIRQAEDEADYMALKKLEQEEAVDNQEFSEEAAGRPEDDDLVNEEDARHDEHIIEEHRYNSSDMEKEKNAALSNQLNEEKALTLAVGDEDTDMLADVKQMAAAAAAAGQASSSFENQLRPIDRYAMRFMELWDPVIDKAAINRQVNVEEEEWELDRIEKLKEDLEAEIDEDQEPLSYECNAHRRKSKKNKKKTGKFKSLKRGRLSSESEVILEETSVDTMSIDDNAPSPELISDESPRHYSNKRKKIMSATEEENSNRSLKKFKKATKSSSASEALSPRHLREEFNDSDPKSAARTKSDGRISIPCMSVKRVIVIKPERLKKKGIWSRDCASDSWTSEEDAVLCGTVHEYGPLWELASDFLHSLPGGAFYRGKYRHPVHCCERYRELFCKHAMSATDNSNSEKVPSGTGKAILRVSEDQAQMLVNVTSELPNNELLLQKHFMAVLSSVWRSKCRRDPRRVISTYSSALRMLSPVKNPAGSSANWSMVNFRPSFNLVRTALADAQAQSTQIVIPPPMRNQEYCRNHLELELDFLTDQHHYEEDFPSIVNVSILEPEPIKQAVEPVEQSLLSGLSCRQAETRLRMASEACYEGEGSHWASSAFHINDATRHKSGPKSIGKHKAASECGRPPKSKIQKITESHQEGPSTSSNFLRMPGQLFPGAADFHISESLSDFGISDSEFNYSEDLWQEVDYNEFLLDQDDSGLLPGIEELEPLSDFTDIG >KQL31117 pep chromosome:Setaria_italica_v2.0:I:35729463:35733658:-1 gene:SETIT_020060mg transcript:KQL31117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKTLACCRRRPQDFSIDMDQEPDRVMTYNGLETCIINSSAYDDDSGISATTGGDGCVTTDSLDDEVSSCSSKDASGSSFSSHCLSKQEEHLFDELGTPVAVHLLPFKGKKPITYTLSASDIENMKEKFAKLLLGDDTSGGARGVCTALALSNGIINLSATVFGELWKLEPLCEEKKIRWKKEMDWLLSPTTYMVELVPTKQSGADGCTFEIMTPKARSDVHVNLPALQKLDAMLIEVMDSMIDTEFWYEESGSRADGRGKLTGPRKSKKWWLPSPRVPEQGLSQFQRKRLVFQAKLVHQILKAAKSINEQVLFHMPIPAAVMDALPKAIQPFADLSASFELHVCLQIWLSGRASLGEDLYQAITTEYIPIEEIFVSLSLKTEHSVLETINRLEGAVFAWNQRILEEKSKKSPARHSWNFIKDSSSELDKMSVCIERVDTLVQLLKSRFPNLPPTFIDVLKVQYNVDVGHAIVEAYSRALVGVAFSILSRVAEILLEDDLIKKPNTPMATLKFDLSSDVYLAGITETPPGHIRRSLMDQISMVDGRFDAVAKKKGVKQLRW >KQL29730 pep chromosome:Setaria_italica_v2.0:I:23909008:23910721:1 gene:SETIT_016885mg transcript:KQL29730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALALLLCSFIYTAWLSPAATRRRLRQAGFDGPRPSFPLGNLREITATLAATDGKSTSSVLVLRSSNIHAAVFPYFARWRQAFGKVFVYWLGTEPFLYVADPEFLKTATAGALGRRWGKAEVFRRDRMPLFGLGLVMADGEEWARHRNIIAPAFSATNLSDMVGLMQETTAKMLARWSDAVAAGQSVVDVEKDVVRNAAEIIAKASFGVSDDDEAGAAVFRKLQALQATLFQSNRLVGVPLARLLHARKTYVARILGREIDALLMAIIDARRRQQGAGRSRKDLLSLLLTGAGAGDVSESSAGAEERRLTTRELVDECKTFFFGGHETTGLALSWTLLMLAAHPEWQAALREEVAREVDDERALDAAALGRLTKMGWVMSEVLRLYPPAPNMQRQALEDVVVAAAAEDKPLGGGAVVIPRGTNMWVDVVAMHRDAALWGDDAHEFRPERFARDPVQGGCRHRMGFLPFGFGGRICVGRNFTAMEYRVVLAMLLRRFQVSLAPEYRHAPRFMLSLRPSAGIQLRLTPL >KQL31585 pep chromosome:Setaria_italica_v2.0:I:38566983:38571637:-1 gene:SETIT_018343mg transcript:KQL31585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEHEVYGQEIPEDGDMDGADVDMAAGGDDAAKLQELDEMKRRLKEMEEEAAALRDMQAKVAKEMQGGDPSASTAEAKEQVDARSVYVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFLEQEAVQEALNLNESELHGRQIKVAPKRTNVPGMKQRPPRGYNPYHGYPYRSYGAPYFPPYGYGRAPRFRRPMRYRPYF >KQL30113 pep chromosome:Setaria_italica_v2.0:I:28127989:28129971:-1 gene:SETIT_018113mg transcript:KQL30113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGAEEDSYGPPANQAAPPPNANAPGNRGGPRGPGAPRPGGPAKPVSIDVPAIPFDELKKITNNFSDRALIGEGSYGRVYNATLSDGRAAVIKKLDTSASQDSDSDFAAQIAMVSKLKNEYFLELMGYCLEDGNRMLAYQFATMGSLHNILHGKKGVQGAEPGPVLNWLQRVKIAYGAARGLEYLHEKATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYESDFRPNMTIVVKAIQPLLNAPKPAAPAAPQS >KQL30264 pep chromosome:Setaria_italica_v2.0:I:29523414:29526468:1 gene:SETIT_018702mg transcript:KQL30264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNERGRTRKRQKAKIRRKEEEENAKQMAEGPSKMESMRKWVVEHKLRAVGCLWLTGISSSIAYNWSRPNMKPSVKIIHARLHAQALTLAALVGSACVEYYDNKYGSSGPKVDKYTSQYLAHSHKD >KQL28190 pep chromosome:Setaria_italica_v2.0:I:4186509:4193210:-1 gene:SETIT_017714mg transcript:KQL28190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDSNPMLRNGETGDWIGTFQGHKGAVWSACLDTNALRAASGSADFSAKIWDALTGEVLHSFEHKHIVRACAFSEDTHMLLTGGFEKILRIYDLNRPDAAPREIEKSPSSVRTVTWLHSDQTILSTCSDMGGVRLWDVRSGKIVQTLETKSPVTSAEVSQDGRFITTTDGSSVKFWDANHYGLVKSYNMPCAVESASLEPKYGNKFVTGGEDMWVRVFDFFTGEELACNKGHHGPVHCVRFSPVGESYASGSEDGTIRIWQLGPANSEDQEVANANGKTKVGVNDVARKIEGFHIPKDGQAEGS >KQL30052 pep chromosome:Setaria_italica_v2.0:I:27732854:27736058:-1 gene:SETIT_017551mg transcript:KQL30052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPGAATAMDDAASEISDWEVLSAASGCGGGAADDDDSEVVVVSGGGGDVLHVHDHFALAPAGPDPRFPGEGPWTETGDPWQGLELLDDENPRASFDLAAGVWSEQQVPAGGVDEAREGPILEATVARGVTWGEDGSQAEVVDGLIEQESNVVIDHGELGSVLQPAHHGLGETLDSDAATPTGASLQIEGSETESSPVQLDGGEIDAGVESSCLEDAVASDGIRGEQEEQEQGGNASAASGSDEPDGEAKDGDLPLAHTPGAEEGDKQVVVWWKLPFRLLHYCAWKVKPVWSFSIAAALLGLVVLGRRMYRMKRKARGLPQIKIAFDDKRTSQFADRAARLNEAFLVARRVPLLRTSSGAVLPWSMVQER >KQL31163 pep chromosome:Setaria_italica_v2.0:I:36010074:36012329:1 gene:SETIT_018760mg transcript:KQL31163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGGVAVAPTPAGSSAAAGKKAKRFDIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >KQL30842 pep chromosome:Setaria_italica_v2.0:I:33725719:33727704:-1 gene:SETIT_019614mg transcript:KQL30842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTLVRQCLALLLRSKNSSTPLPPATVAQLHALLLTSGHLHHDSLRPLFLSYCACGRPFDAHNLLAQMPQPPPVSFPNTLLRSYTDLGFHREALVLYSRMRAFDHLTFPFAAKACGGLRLRRHGRAVHCRALAAGFGGDAYVQNTLVSMYTRCREVAAAEAVFGTMRSRTVVSWNTVIAGYVKNGCAERALEVFETMVGGGVGIDRATVVSVLPACAQAKDLRTGRAVHRLAEGRGLGNYVAVKNALIDMYGKCGSLEDARKVFDDDKFDKDVVSWTAMIGACVLNDRAGKALTIGCEMLLTSEARPNAVTMAHLLSACASLPSGKHAKCTHALCIRLGLQSDIVFETALVDSYAKCGHMKMIELIVEKGSGWTETWNAAISGYTHRKQEKKAIGLFKRMIAESVRPDSATMGSVLPAYAESADLVQAKNIHCFLLTLGFLGSAEIATGLIDVYAKAGNLDVTWELFQCLPEKDTVAWTTVIAGYGMHGHARTAILLYDKMAELGVKPNSITMASLLYSCSHAGMIDEGLRLFDGMRNVHGLMPSVEHYSCLVDMLGRAGRIEEAYRLIEDMSFEPATSVWGALLGACVLHENVEFGEVAAKHLFELEPENTENYVLLGKVYAAADRWADVQDLRRMMEEKGLRKNPGSSVVDAKSELC >KQL30963 pep chromosome:Setaria_italica_v2.0:I:34730985:34731623:1 gene:SETIT_019599mg transcript:KQL30963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFWRWPRSSTLSNALSVSRGDERAHGLRRLREACVSSVEIDMDRQKVTVTGYVDRREVLRAARRTGRAAEFWPWPYDAEYYPFAIQYLVDDTYLPTDRYYRHGYNDPMIGSYPCHAFTHVLDDAALAVFHEDNVHACALM >KQL30912 pep chromosome:Setaria_italica_v2.0:I:34327220:34328801:-1 gene:SETIT_018510mg transcript:KQL30912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTARRRVDRILRSGVARPDISLGPRPLEPQPRSPPASNETTQQRRPRAIHACLRRARASRAGPDQMDDLAALARGEGWTEERHAAFLDRMELSFVRQELAGSDVRQASRRLGRRPAPQASAPLPLDRPLPDSAVESNRSGPAAARRRAANDNDARRPVDPAAAGFSRNS >KQL29991 pep chromosome:Setaria_italica_v2.0:I:27337348:27342089:-1 gene:SETIT_016117mg transcript:KQL29991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSPDMDGEATEKEAAPAPAPPPAGGSPSMSVFAHADKVDVVLMLLGLVGAVGDGISYPLTLILFIRITNDIGHGPDLLQEFSSRINASARNLVFLALAFWVMAFLEGYCWARTAERQASRMRARYLQAVLRQDVEYFDLRTGSMSEVVTGVSNDSLVIQDALAEKLPNFVMNAAMFLGCYVLALAAVWRLTLVALPSVLLLVVPGIVYGRILVGIARRIREQYARPGAIAEQAVSSARTVYSFAAERSTVARFAAALEESMRLGLKQGLAKGLAIGSNAVTFAISAFTLWYGSRLVMYHGYQGGAVFSVSSAIVNGGLALGSGLSSVKYLSEAISAAERIQEVIRRVPKIDSGSDAGEDLPNVAGDVEFKNVEFCYPSRPESPVLVSFSLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSSGEVLLDGVDIRRLRLKWLRAQMGLVSQEPVLFATTIRENILFGKEDATAEEVIAAAKAANAHDFIAQLPQGYDTQVGEGGIQMSGGQKQRIAIARAIVKSPKILLLDEATSALDTESEHVVQEALDLASTGRTTIIIAHRLSTIRNADMIVVMQCGEVMELGSHDELVGNEDGLYKSLTQLQQTSYSSEVDKANGVSSASFDVGQSNSHNMSRRFSSASRPSSVQSLGDTRDDNAEKLTLHAPSFKRLLMLNAPEWKQAVMGSFSAIVCGGIQPAHAYGLGSVVSAYFLTDHAEIKEKTRTYVLFFVALAVASFLLSIVQHYNFGVMGERLTKRIREHMLTKILTFEIAWFDHEENSTGAICSQLAKDANAVRSLVGDRMALAIQVVSSVLVSWTMGLVIAWRLAVVMIAAQPLIIIGFYARRALLKNMSKRSIRAQSEGSKLAAEAISNFRTVTAFSSQDHILHLFEETQDGPRKENIRQSWFAGLVLGTSLFIITCSFALDFWYGSKLMVERRITAKQLLQTFIIIVMTGRLIGEAGSMATDLAKGADAVAYVFAVLDRKTEIDSDNPEGYIPSKLKGEVEMLDVDFAYPSRPDVIIFKGFSLSIQPGKSTALVGKSGSGKSTIIGLIERFYDPTAGVVKIDSRDIRSYNLRALRQHIGLVSQEPALFAGTIKENIIYGTATASEAEIESAAKSANAHDFISSLKDGYNTSCGERGFQLSGGQKQRVAIARAILKNPAILLLDEATSALDSASEKVVQKALDRVMVGRTSVVVAHRLSTVQNCDKIAVLEGGRVVEDGTHASLMGKGRSGMYFGLVSLQQGATGTEIFASQE >KQL28215 pep chromosome:Setaria_italica_v2.0:I:4339800:4341448:-1 gene:SETIT_019321mg transcript:KQL28215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEQPTHSHMQGATNEGEQPMPLSPILEGDDPTSPRSLSPPPQRPPSPPPHRPRVPRMVRTYENKDPSTQVDKFLDVLKTKASSSSEKSVACGPSQRKEIDEGLNFFTSDEVPDEYEHGKPFLYRWDLLDGPWELNKLHGWIIAVMKQGIRAITTRIPKKVFLGAWDYEIVIDFEDLHKIYRHQHLDVQLITVWCLDHWICIYIYPKLGSAMILDLVRFTKDSYKEFLGIVQNVHRLYVLKGGECPKNRKKAMKIITHRWCHKQPPSSVLYGYYVCEFLRNNGRYRMNPEDMPRINTHDMAFEDRGIVNIL >KQL31854 pep chromosome:Setaria_italica_v2.0:I:40098954:40101232:-1 gene:SETIT_018235mg transcript:KQL31854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAASPARASWRSAIPATSASAAGSCFRVGARRFAGLQTTSTCPHQATRLPAAHVKSGEAEGRPSTESAAAGTPDEESLRRELETAIQEEDYARAAALRDELRVLQQDGRSAVLAANTRFYTAFKNGDLVAMHQAWAKGDHVYVVHPSAGRISGYEMVMQSWEMVCDADYEFPLQIDLQDVEVHVRGDVGYVTCLELVRTKGSSSWGKQVATNVFEKVDGKWFMCIHHASHFDE >KQL28468 pep chromosome:Setaria_italica_v2.0:I:6083867:6086603:1 gene:SETIT_016605mg transcript:KQL28468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSHSREDMDLSDSDSDDGESRASDKSSDYGTPPPASASSKAGGGAGAATPASIDAIDRHLRSLHLKYNEPISPNPSPGLVATANPAALNAVKLYLHIGGSSPSAKWIVSDRLAAASFVRTGDDEDDDAPAAGPWCLVVGSKIRARVGPELQVKTFPAQRRVDFVADGVWALKFLHADGFGDFCAKYHSCLFENSYGVAATDEGRAKVFGKDFAAWARPEDGDESIWEDATDGFSPGPKGSLMPPRTPTLKPLMEDLREFEEPVEEGSGIQSLALGALDNSFLVGDSGIQVVRNFEHGIHGKGMSVKFSGGSTNFSTPKKALLMRAETNMLLMSPATDGKPHAKGVHQLDIETGKVVSEWKFEKDGADINMRDITNDSKGAQMDPSESTFLGLDDNRLCRWDMRDRRGIVQNLASATESPVLQWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFIDKDGKEKTGFNGRMGNRIAAPRLLKLNPLDSHLAGANNRFREGRFSWVTENGKQERHLVATVGKYSVVWNFLQVKNSHHECYQYQEGLKSCYCYKVIPKDESIVASRFMHDKYAISDSPEAPLVVATPMKVTSFSISSRH >KQL27892 pep chromosome:Setaria_italica_v2.0:I:1460836:1461233:1 gene:SETIT_019018mg transcript:KQL27892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLHPPASHHPKLISIARVDLLAASTDDRQSTSSSSYLTKGLELFITVPSGSSFF >KQL29475 pep chromosome:Setaria_italica_v2.0:I:17092784:17093234:1 gene:SETIT_020524mg transcript:KQL29475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVFFRHISEQVAFTRKITIILLMVGIFFLLRKAINLMKY >KQL32030 pep chromosome:Setaria_italica_v2.0:I:40989958:40992263:-1 gene:SETIT_017967mg transcript:KQL32030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDIELTLPPGFRFYPSDEELVCHYLHGKVANERLAGAGGAMVEVDLHTHEPWELPDVAKLSTNEWYFFSFRDRKYATGLRTNRATKSGYWKATGKDRVIRNPKAAGRAVVGMRKTLVFYRGRAPNGIKTSWVMHEFRMENPHTPPKEDWVLCRVFYKKKADAMDYAMDNERDVAMPHGADHPGYSPPFPALGSGHYHLPPPSSDHHGGGGGGAGAGSLNDFPAMALLHHQHSSIFDLHGQPHDGGSNVLAAAAGSRDGGAAGDQCGSGVLMDLGLDEHYNYNYNSLMQM >KQL31886 pep chromosome:Setaria_italica_v2.0:I:40283999:40284489:1 gene:SETIT_020409mg transcript:KQL31886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAQSSSFLKKLTQTTILPSWTYAMYKILICKITRSL >KQL27806 pep chromosome:Setaria_italica_v2.0:I:781269:783946:-1 gene:SETIT_020003mg transcript:KQL27806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSIWIQFIELVWAAIHAESKCVHNALHSTTDSNNEDITSSSTSITSFMIVLNERCLDISEQTMSSLFRVLHAILKFLKHSDSELKDDFICLSIHHIQNMPSVTFHPLHTGEIVNRVKDSRFGFCNDSLHSGILTGSLLQLLCSLLEQSYLEGTDGQDMYVKLVDIVPKIAASLQEQHDGSKSLYQYLKHKILMVMMRLKPYMQQDCSRIVCYLKLLRQYFHDLLHEPISQHIAKLDNCLEGSPFLLNMVDLVESQDKSTRHLQRQAIYLFLSFSICLSYNRNDGTLQCSCKRDDCLLGHKVQGCSDHCSCSGLSEISDWFQRCYLDMSFDSKSSTDIALSFLALYMEEDDMLFSILLQLFDAPHIFLKIDSINSTELIGAKLFSSIFDPVHLFHLLLLLLHYDHMVLVDYLISKDVGVHCAQYLLRCLRLVSQSWHAFVDDSMYLTKIEKIDCKRQRTSRDISSARASSSNEYKNGSGCDKEAKNSQKLFLDAKVCLYSLKRTVEDLQKKGLFPYNPKPLLR >KQL29182 pep chromosome:Setaria_italica_v2.0:I:11054690:11058863:-1 gene:SETIT_017265mg transcript:KQL29182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEEIDLRTARVSLDKAFPKDEDGDIPAKDDRRLRRLAETRENKEELRADHRRIRQAEIVSTVEEQNERQEADIDEEDEEAQEERRRRIREKQLLREQEEEELLPQEDEEPVEDEESEESEYETDSEDEQMGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIKKEVHIEKALNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKQLRQTKQKWKFMQKYYHKGAFFQESADDLIQSAGKEDIYSRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNAKMAGMNAPIAKPKGSKKLKDWDEK >KQL29180 pep chromosome:Setaria_italica_v2.0:I:11056873:11058863:-1 gene:SETIT_017265mg transcript:KQL29180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEEIDLRTARVSLDKAFPKDEDGDIPAKDDRRLRRLAETRENKEELRADHRRIRQAEIVSTVEEQNERQEADIDEEDEEAQEERRRRIREKQLLREQEEEELLPQEDEEPVEDEESEESEYETDSEDEQMGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIKKEVHIEKALNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKQLRQTKQKWKFMQKYYHKGAFFQESADDLIQSAGKEDIYSRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNAKMAGMNAPIAKPKGSKKLKDWDEK >KQL29181 pep chromosome:Setaria_italica_v2.0:I:11054735:11058863:-1 gene:SETIT_017265mg transcript:KQL29181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAGVSDAAIAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEEIDLRTARVSLDKAFPKDEDGDIPAKDDRRLRRLAETRENKEELRADHRRIRQAEIVSTVEEQNERQEADIDEEDEEAQEERRRRIREKQLLREQEEEELLPQEDEEPVEDEESEESEYETDSEDEQMGMAMVKPVFIPKSQRDTIAERERLEEEERQLEELVKKRLEARKIETRQIVVEEIKKEVHIEKALNEEANIEDVDTDDELNEAEEYESWKNREIARIKRDREERDARLKEKEEIEKVRNMTEEERREWERKNPKQLRQTKQKWKFMQKYYHKGAFFQESADDLIQSAGKEDIYSRDFSEPTGEDKMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNAPWATNGPLRAKYNAKMAGMNAPIAKPKGSKKLKDWDEK >KQL31230 pep chromosome:Setaria_italica_v2.0:I:36382765:36383087:-1 gene:SETIT_020488mg transcript:KQL31230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSAQTTTVKNDHFEEYKHDPIFVLSVNMLRISRV >KQL31219 pep chromosome:Setaria_italica_v2.0:I:36320077:36321252:-1 gene:SETIT_018383mg transcript:KQL31219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRFIQSSIHRAKFPLIIVLRYLHLEPESSSFRASLHPHLDLTEIGCDGHRPQPARDALLVRHGVAVVVETLPLHVGQRGRRLALGVVLLHRRRRQRRLLLRGGEGVRGHDEPPDAGAHPVEDVAPAAPALAAERGDLLLVHLEVPREAGLLGQEPADAPLPPLPQGAAARGERGAERRRRLGAPERPLRRRGGDGLDLA >KQL29954 pep chromosome:Setaria_italica_v2.0:I:26937781:26938608:-1 gene:SETIT_018782mg transcript:KQL29954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKHHHLFHRHSKDDGEEEASAGEVDYEKKEKHHKHLEQLGGLGAIAAGAYAIHEKHKAKKDPENAHGHRVKEEAAAVAALGAAGFAFHEHHQKKDAKKHAADN >KQL31998 pep chromosome:Setaria_italica_v2.0:I:40813559:40819580:-1 gene:SETIT_017762mg transcript:KQL31998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANHRVRAGRVSGGAESGDHFSSGLAGWLLGAWLRAELSCSAGAAAMDAQQLDLILRHHHQSMANVCESEDALGSSESEPARPARPRGKRSRAAEVHNLSEKRRRSRINEKMKALQTLIPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYLPPANLSGGPEALAPSEVCATLNQSGVKASESGVVLPMNQISLAHHSNHDQRHNKPLVLQSAPTSSTTIEPRFLQEPAQSNLQSFLLALPPEMILKEDMMLTYRLTSVQGTSLPGHEIKPARQETCMVNSDRFDRGSLRKEVAQDMVPKNTESLLFMPYLHSDAEGGLRTESS >KQL31997 pep chromosome:Setaria_italica_v2.0:I:40813559:40819217:-1 gene:SETIT_017762mg transcript:KQL31997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQQLDLILRHHHQSMANVCESEDALGSSESEPARPARPRGKRSRAAEVHNLSEKRRRSRINEKMKALQTLIPNSSKTDKASMLDDAIEYLKQLQLQVQMLSMRNGLYLPPANLSGGPEALAPSEVCATLNQSGVKASESGVVLPMNQISLAHHSNHDQRHNKPLVLQSAPTSSTTIEPRFLQEPAQSNLQSFLLALPPEMILKEDMMLTYRLTSVQGTSLPGHEIKPARQETCMVNSDRFDRGSLRKEVAQDMVPKNTESLLFMPYLHSLQSSDAEGGLRTESS >KQL28704 pep chromosome:Setaria_italica_v2.0:I:7602005:7605757:1 gene:SETIT_019531mg transcript:KQL28704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKLHSSYKKFSNGFPVPFLGLAVALFLAAFLPPASSCSEQERSSLLQFLAGLSQDGGLGLSWKNGTDCCTWEGVACGTDGTVTDVSVTSKALEGQISASLGMLRGLLRLNLSHNLLSGGMPSELMSSNSIIVLDISFNRLNGEVHELPSSTPSRPLQVLNISTNLFTGQFPSTTWEVMNSLVALNASNNSFTGQIPSHLCRSSPALAVIALCYNQLSGHIPPGLGNCSMLKVLKAGHNALSGPLPDELFNATSLEYLSFPNNGLEGILDSGQIVNFRNLVHLDLGGNRLNGKIPDSIGELKRIEELHLNHNNMYGELPSTLGNCTNLITIDLKGNNFSGELHKVNFFNLRNLRTLDLLYNNFIGTIPESIYSCSKLIALRLSNNNLHGQLSPRIGNLKDLVFLSLVSNNFTNITNTLQILKNCRNLTSLLIGTNFKGEAMPEDETIDGFQNLQVLSISNCSLSGKIPLWLSKIKKLQVLLLHTNQLSGPIPAWIKSLKSLFHLDISSNKLTGEIPTALMEMPMLTTEKTATHLDPRVFELPVYKNPSLQYRITSALPKLLKLGYNNFTGKIPKEIGQLKSLSVLNFSSNSLSGDIPVQLCNLTNLQVLDLSSNHLTGAIPSALNNLHFLSALNISYNNLEGPIPNGGQLSTFSNNSFEGNPKLCGPILLRSCGPAEAPTISTKQPSRKAIFGIAFGSFFGVVLLLLLVYLLTSFKGRSLIIKIKASNNEDLEATSQMSDSEQSLVIVPRGKGEKNKIKFADIVKATNNFHQENIVGCGGCGLVYKATLPDGTKLAIKKLNGEMCPMEREFTAEVEALSMAQHENLVPLWGYCIQGDSRLLIYSYMENGSLDDWLHNRDDDASSILDWPMRLKIAHGASRGLSYIHDVCKPHIVHRDINFGVVLLELLTGRRPVTALTSSKELVKWVQEMTSEGKQIEVLDPDLRGMGHDKQMLKGPHLCWVLCLKLNARMGVRFELTLQEF >KQL28983 pep chromosome:Setaria_italica_v2.0:I:9405537:9408332:-1 gene:SETIT_016840mg transcript:KQL28983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPARIVFSFSVEPLLLQASPAAGNGRRGHRHRSGSIRSSLAYKTPQKSIVTVQKTLHGYRKSGRETMAVVDNLKRLCIDHYFEEEIESAMGACMDLVHSDDLFDATLAFRLMREAGHDVSADDVLRRFTDDTGEFKLALSNDIRGLLSLHDMSHLDMGDEASLSKAKEFSSKHLESAIRYLEPGLARYVRQSLDHPYHLSLMQYKARHHLSYLQTLPTRNTAMEELAISEFQLNKRLHQKEMQEVKRWWTDLGLSREIPVVRDQVLKWYMWPMTTFQGPSSSRYRVETTKIISLVYVVDDIFDLVGTPEELSLFTEAIKMWNTVAADSLPSGIRSCYKAIYTTTNEIADMVEEERGFNPINHLRHAWAVLFDGFMVEARWLATDQVPTAEDYLRNGAVTSGVPLTLALVFAMLGYDQSNEAAELADHIPSIISCPAKILRLWDDLGSAEDEAQEGLDGSYRDFYLMENPSCTQADAEEHMRGLIAREWEELNRECFSRRTFASRFTQVSLNAARMVGVMYSYDKEQRLLVLEDYARMLLL >KQL31452 pep chromosome:Setaria_italica_v2.0:I:37621327:37622276:1 gene:SETIT_018743mg transcript:KQL31452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLAHGRQFGCPSRDLVVGRSSALPALCNSVLPGYRYYSTEKHKHDDTLGEIGEKARSTAEEFLRVAKEKTDEVTEGAKETLHETKEAVVGESDDEKEKFKRRVEEGRYHHHK >KQL31306 pep chromosome:Setaria_italica_v2.0:I:36767530:36768336:-1 gene:SETIT_020091mg transcript:KQL31306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSGFLPAGAANGGSNNGGGAQAQQQAAPPPIREQDRLMPIANVIRIMRRVLPPHAKISDDAKETIQECVSEYISFITGEANERCQREQRKTITAEDVLWAMSRLGFDDYVDPLSVYLHRYREFEGEARGVGGLPPGATRGGDHHHHSMAPPPMLKPRAPGAAMPPHHHDMQLHHASMYGGAVPPHHGHGHGFAMPHHQGGHHQYLPYPYDPAYGGEHAMAAYYGGSGAAYAPGNGGSGGDGSGSSGGSASQGGGFEHQHPFASYK >KQL29411 pep chromosome:Setaria_italica_v2.0:I:15193046:15193216:-1 gene:SETIT_019249mg transcript:KQL29411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIKQITIAHRYGRVLLAVPLCSECTHLYIRGCQFHGDHRSMHFVRHCNSEGTN >KQL31752 pep chromosome:Setaria_italica_v2.0:I:39570334:39578113:-1 gene:SETIT_016294mg transcript:KQL31752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKNDGSEGLKFNTSHLIQTTEEVARAFIAAASAATTQSTRPSVVYSSKDESGSPMQKLQQQFSKIMKGFSSSPDLSGPYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGRNDEDGKRSRNLFSNSHHQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLMNEKPVFPRRSRYMITTNRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSILTSLSLEEACEENDICEGTEVTAKQYLDANTTDMDKSSESSTGVSSKELSDTDSSSGCKDTQLDFVSNEGEQQDNSCVKEQSDLETETAIHCDTPKVSDHCVPEDTSSDQSEVKPHELDSGARKNCDDSPQGNEGDEQLDLFITDTILPLMRSRLCEDCESSPSSQDSPSEGRNLRCDTQDSDSEEPSSIGHGDLVRHNNILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPIDMMDFLDAPVPYIVGVQNKASDVLNRLANAVVIDANRNQIKSSSVPQLPQHRELLASLRPYHSILVGESYLARKRPVYECTDSQVEAAKGFLAVLRDYLDTLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPSRDRPFMKLFVDTQLFSVHTDLVLSFYQKD >KQL31755 pep chromosome:Setaria_italica_v2.0:I:39570451:39579226:-1 gene:SETIT_016294mg transcript:KQL31755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKNDGSEGLKFNTSHLIQTTEEVARAFIAAASAATTQSTRPSVVYSSKDESGSPMQKLQQQFSKIMKGFSSSPDLSGPYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGRNDEDGKRSRNLFSNSHHQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLMNEKPVFPRRSRYMITTNRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSILTSLSLEEACEENDICEGTEVTAKQYLDANTTDMDKSSESSTGVSSKELSDTDSSSGCKDTQLDFVSNEGEQQDNSCVKEQSDLETETAIHCDTPKVSDHCVPEDTSSDQSEVKPHELDSGARKNCDDSPQGNEGDEQLDLFITDTILPLMRSRLCEDCESSPSSQDSPSEGRNLRCDTQDSDSEEPSSIGHGDLVRHNNILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPIDMMDFLDAPVPYIVGVQNKASDVLNRLANAVVIDANRNQIKSSSVPQLPQHRELLASLRPYHSILVGESYLARKRPVYECTDSQVEAAKGFLAVLRDYLDTLCSNLRSHTITNVQSNNDKVCSCDLSLIFWLILVPFCIYILIIGIKSG >KQL31754 pep chromosome:Setaria_italica_v2.0:I:39569157:39579226:-1 gene:SETIT_016294mg transcript:KQL31754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKNDGSEGLKFNTSHLIQTTEEVARAFIAAASAATTQSTRPSVVYSSKDESGSPMQKLQQQFSKIMKGFSSSPDLSGPYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGRNDEDGKRSRNLFSNSHHQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLMNEKPVFPRRSRYMITTNRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSILTSLSLEEACEENDICEGTEVTAKQYLDANTTDMDKSSESSTGVSSKELSDTDSSSGCKDTQLDFVSNEGEQQDNSCVKEQSDLETETAIHCDTPKVSDHCVPEDTSSDQSEVKPHELDSGARKNCDDSPQGNEGDEQLDLFITDTILPLMRSRLCEDCESSPSSQDSPSEGRNLRCDTQDSDSEEPSSIGHGDLVRHNNILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLLLFFFFQIWPSYQLYSYCNLLIFCCIIVFQVLPIDMMDFLDAPVPYIVGVQNKASDVLNRLANAVVIDANRNQIKSSSVPQLPQHRELLASLRPYHSILVGESYLARKRPVYECTDSQVEAAKGFLAVLRDYLDTLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPSRDRPFMKLFVDTQLFSVHTDLVLSFYQKD >KQL31756 pep chromosome:Setaria_italica_v2.0:I:39571421:39579226:-1 gene:SETIT_016294mg transcript:KQL31756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKNDGSEGLKFNTSHLIQTTEEVARAFIAAASAATTQSTRPSVVYSSKDESGSPMQKLQQQFSKIMKGFSSSPDLSGPYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGRNDEDGKRSRNLFSNSHHQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLMNEKPVFPRRSRYMITTNRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSILTSLSLEEACEENDICEGTEVTAKQYLDANTTDMDKSSESSTGVSSKELSDTDSSSGCKDTQLDFVSNEGEQQDNSCVKEQSDLETETAIHCDTPKVSDHCVPEDTSSDQSEVKPHELDSGARKNCDDSPQGNEGDEQLDLFITDTILPLMRSRLCEDCESSPSSQDSPSEGRNLRCDTQDSDSEEPSSIGHGDLVRHNNILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPIDMMDFLDAPVPYIVGVQNKASDVLNRLANAVVIDANRNQIKSSSVPQLPQHRELLASLRPYHSILVGESYLARKRPVYECTDSQVVSWLFSP >KQL31751 pep chromosome:Setaria_italica_v2.0:I:39570334:39578113:-1 gene:SETIT_016294mg transcript:KQL31751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKNDGSEGLKFNTSHLIQTTEEVARAFIAAASAATTQSTRPSVVYSSKDESGSPMQKLQQQFSKIMKGFSSSPDLSGPYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGRNDEDGKRSRNLFSNSHHQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLMNEKPVFPRRSRYMITTNRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSILTSLSLEEACEENDICEGTEVTAKQYLDANTTDMDKSSESSTGVSSKELSDTDSSSGCKDTQLDFVSNEGEQQDNSCVKEQSDLETETAIHCDTPKVSDHCVPEDTSSDQSEVKPHELDSGARKNCDDSPQGNEGDEQLDLFITDTILPLMRSRLCEDCESSPSSQDSPSEGRNLRCDTQDSDSEEPSSIGHGDLVRHNNILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPIDMMDFLDAPVPYIVGVQNKASDVLNRLANAVVIDANRNQIKSSSVPQLPQHRELLASLRPYHSILVGESYLARKRPVYECTDSQVEAAKGFLAVLRDYLDTLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPSRDRPFMKLFVDTQLFSVHTDLVLSFYQKD >KQL31757 pep chromosome:Setaria_italica_v2.0:I:39571924:39579226:-1 gene:SETIT_016294mg transcript:KQL31757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKNDGSEGLKFNTSHLIQTTEEVARAFIAAASAATTQSTRPSVVYSSKDESGSPMQKLQQQFSKIMKGFSSSPDLSGPYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGRNDEDGKRSRNLFSNSHHQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLMNEKPVFPRRSRYMITTNRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSILTSLSLEEACEENDICEGTEVTAKQYLDANTTDMDKSSESSTGVSSKELSDTDSSSGCKDTQLDFVSNEGEQQDNSCVKEQSDLETETAIHCDTPKVSDHCVPEDTSSDQSEVKPHELDSGARKNCDDSPQGNEGDEQLDLFITDTILPLMRSRLCEDCESSPSSQDSPSEGRNLRCDTQDSDSEEPSSIGHGDLVRHNNILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPIDMMDFLDAPVPYIVGVQNKASDVLNRLANAVVIDANRNQVPE >KQL31753 pep chromosome:Setaria_italica_v2.0:I:39569157:39579226:-1 gene:SETIT_016294mg transcript:KQL31753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKNDGSEGLKFNTSHLIQTTEEVARAFIAAASAATTQSTRPSVVYSSKDESGSPMQKLQQQFSKIMKGFSSSPDLSGPYNPEVLTTQKRQWSRFQLKSLGNRCIREPSHLFESIVIVGLPPQADIHELENIALGRNDEDGKRSRNLFSNSHHQVHAISNLEPQVLFAYPPEKPLPLKYKDTLSFCLPGGVQVHAVERTPSFSELNEILLGQEQLKESNQSFVFRLQVADDSTLYGCCVLVEEIVQRPSKLVSMLMNEKPVFPRRSRYMITTNRCYCILSRLPFFELHFGVLQSILMEERLEWLTDGVSILTSLSLEEACEENDICEGTEVTAKQYLDANTTDMDKSSESSTGVSSKELSDTDSSSGCKDTQLDFVSNEGEQQDNSCVKEQSDLETETAIHCDTPKVSDHCVPEDTSSDQSEVKPHELDSGARKNCDDSPQGNEGDEQLDLFITDTILPLMRSRLCEDCESSPSSQDSPSEGRNLRCDTQDSDSEEPSSIGHGDLVRHNNILQWAKAKKYGSLQVVCQYYQLQCPARGSSLTFHPLEHLHPLSFHRPGETVLHIAGSTIELRSRDTSLEVAEMRNALFAEEESTALSTWAVASICGCLRLEHVMTLFAAALLEKQIVIVCSNLGMLSASVLSIIPLIRPYQWQSLLIPVLPIDMMDFLDAPVPYIVGVQNKASDVLNRLANAVVIDANRNQIKSSSVPQLPQHRELLASLRPYHSILVGESYLARKRPVYECTDSQVEAAKGFLAVLRDYLDTLCSNLRSHTITNVQSNNDKVSLLLRESFIGSFPSRDRPFMKLFVDTQLFSVHTDLVLSFYQKD >KQL28419 pep chromosome:Setaria_italica_v2.0:I:5751884:5757161:-1 gene:SETIT_019561mg transcript:KQL28419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAADVDEMAAADIICSLRGADLAGWTPPWCKAEQAPARREGEMIWPAVARGKRSRRRSPSAGSAGTAKGRWGRGSPASPLDYSGGSGSGSAASTSGGEDGGGSCSLTHRRAPATKLTACWPRCPEQALLSRGQCGHFRPSFIPRCNCSCGGSVEAGGSLYPGGEWRQSQMRELKRGATGLVGTAPGPSGTRGRPAAGAAVCGYGRGEAYGGGGADGLFREAGQGPHLSDDPLGVGSIGRPQLTFPTLPTRASGQRPRKKLKLPEIQQMVRSLSVENESLREEMRALQRACRVLSKENDKLETRLGQSDLQNEIISKDPKGKEQIDRHSVMQSTRDIFVLPDLNLPPQDNANVSTVH >KQL28347 pep chromosome:Setaria_italica_v2.0:I:5311182:5311658:1 gene:SETIT_019099mg transcript:KQL28347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNCVANLACLPGLDFTSFFLPQNDPHIYDFSPLDMVDPYNCNGSTTISSMSAETADNQERHGNDERKKRRLASNRESARRSRVRKQRRLNDLSSQVAELLDTNQRLLIELHHMIAKHARIVRENAKLREEAADLQKRLDEMDVEEAEAAPRTPEVV >KQL30388 pep chromosome:Setaria_italica_v2.0:I:30248070:30249864:-1 gene:SETIT_020493mg transcript:KQL30388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHEGGDEPGARGHKSPRRVLHHKLPRQLTDCFSIALRARAGGLYAPLVARWISPPLDPFASSSSLSSSSSCVPWLGEGECGWRGHEDPVRRVRGRGGHGGVLRRRGGAVRALRRRNPRRQQARQQAPAPPARGALRQAPPLRRLPGEGGLHLLRGGPGALLPGLRRAHPRPRHALGQPPALPRHRHPRRRRLHLQRRRLRRPRLRPPRPAQGHRRAAAAARRLRRGAAGALAAAVPAAGLGRRRAPPVLRLRVRRQEGVAARVQGARVVRRHRPLPRPGGAQGRQDVGRGPGALRIPGGQRRGVLQAEQSRWRRCWSAPEQEG >KQL30389 pep chromosome:Setaria_italica_v2.0:I:30248635:30249834:-1 gene:SETIT_020493mg transcript:KQL30389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHEGGDEPGARGHKSPRRVLHHKLPRQLTDCFSIALRARAGGLYAPLVARWISPPLDPFASSSSLSSSSSCVPWLGEGECGWRGHEDPVRRVRGRGGHGGVLRRRGGAVRALRRRNPRRQQARQQAPAPPARGALRQAPPLRRLPGEGGLHLLRGGPGALLPGLRRAHPRPRHALGQPPALPRHRHPRRRRLHLQRRRLRRPRLRPPRPAQGHRRAAAAARRLRRGAAGALAAAVPAAGLGRRRAPPVLRLRVRRQAAEGVAARVQGARVVRRHRPLPRPGGAQGRQDVGRGPGALRIPGGQRRGVLQAEQSRWRRCWSAPEQEG >KQL30387 pep chromosome:Setaria_italica_v2.0:I:30249352:30249834:-1 gene:SETIT_020493mg transcript:KQL30387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLHEGGDEPGARGHKSPRRVLHHKLPRQLTDCFSIALRARAGGLYAPLVARWISPPLDPFASSSSLSSSSSCVPWLGEGECGWRGHEDPVRRVRGRGGHGGVLRRRGGAVRALRRRNPRRQQARQQAPAPPARGALRQAPPLRRLPGTPPSSPIRHAS >KQL31978 pep chromosome:Setaria_italica_v2.0:I:40741469:40742743:1 gene:SETIT_019107mg transcript:KQL31978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKIEYAVVARGAVVLAEHGAAGSNAGAVARQVLERLPDGAADCNVSYTQDLHVFHAKRTDGITALCMADDAAGRRIPFAFLADIHGRFVKAYGRAALTALAYAMNDEFSRVLSQQMDYYSNNPNADSINRMRGEIDQVRSVMLDNIDRVLERGDRLELLVDKTATMEGNTMRFKRQARRFRNTMWWRNVKLTAAVILILTVIVYLVLAYMCHGFTLPSCVP >KQL30270 pep chromosome:Setaria_italica_v2.0:I:29556122:29561013:-1 gene:SETIT_016543mg transcript:KQL30270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAQRSHPLDPLSAAEIAVAVATVRAAGRTPEVRDSMRFVEVVLLEPEKNVVALADAYFFPPFQPSLLPRTKGVPVIPSRLPSRRARLVVYNKQTNETSVWVVELSEVHAAARGGHHRGKVISSEVVPDVQPAMDAMEYAECEATVKSYPPFIEAMKKRGIDDMDLVMVDAWCAGYYSDADAPNRRIGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNVVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDVKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIHSVAYIDGNRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEIKLTGILSLGALMPGEQRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEPYNQVVEVNVKVEDAGPNNVHNNAFYAEEKLLKTELQAMRDCDPSSVRHWILIPGSNCLPLALPEAKFLRRAGFLKHNLWVTSYKNDEMFPGGEFPNQNPRIDEGLATWVKKDRSLEETNIVLWYVFGLTHIPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPGPDADAKEAESPKGVHTELISKL >KQL31597 pep chromosome:Setaria_italica_v2.0:I:38766840:38768492:1 gene:SETIT_016821mg transcript:KQL31597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPSRLRHLRRLLAAAPLPTHGATHSPNPSSFRPLHPTRILPPPSHLPILPARRLFSEHAILPTHLQDERFAGISDRIYDAMLKTEAEAHEGTEAALDALGAELTTPLVADVLHRLRYEEKLAFRFFAWASHQDGYSHEPATYNDIIDILSGTRYKSRQFGVLCDVLDHMKRHGTRSVPVEELLAILRAYTEKHLTHMRKLAKKRRVRMRTPPETDALNVLLDAFCKCGMVKEAEAVFGRVKRKLQGNAETYSILFFGWCRARDPKKAMKVLEEMIQMKHTPDSFTYIAAIDSFCSAGLVSEARELFEFMRNEGSTISSPTAKTYSIMIVALAKADQMEECFELISDMRSSGCMPDVSTYKDLIEGMCLVGKLDAAYRVLEEMGKAGFPPDIVTYNCFLKVLCSLRKADDALELCEKMIEAHCEPSVHTYNMLMVMFFEMGEAHRALDIWLEMDRRGCKRAIDTYEIMIDGLFDCGRTEDASALLDEVINRDMKLSYKKFDAIMLRLSAVGDLSAIHRLSEHMRRFYNVAMSRRFAITQKKKSIGLRRR >KQL29810 pep chromosome:Setaria_italica_v2.0:I:24903190:24909446:-1 gene:SETIT_016432mg transcript:KQL29810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPRERTGGSYYPRAPNPTPTPQHGSERRRHGRRSPPATVSPPVAAGAPGPGGSGASSNSVVPASRESINAPEKVVGFRISGEENDTCTQETGNISECHSSEQGNLGFPTDNVSSVRAYPERTGMMGSLQTDHLASGSTGLQGNGTQMAARKSQAVNANHLLNFQYDPISRPQPRGPRTYPPRRQRKIKPYNKDLFLQANYKFVVLDTGNYQIESMDPDKMLQWEDIICVRYYSPSEVQCPICLESPLCPQITSCGHIYCFPCILRYLTMGKEDYKGECWKKCPLCFMMVSTKELYTIHITQVQHFRAGDVASFTLLSRSRNSLTPSIKSTSSECSSADEDPCNVFSKFILTSDVELSVREAKSDLSNWLHMADLGFVDDLEKLPYVSAALEQLEERMKYWTEYRSYGCSPPLKDSFSPGSSYKSRNSFDVNTSHQNNGNKISPVSDRDMVSGISALSMSPESNKSFDKGTISKMNEKCTTTTDSNEHDSYTFYQVSDGQHLILHPLNMRCLLNHFGGSDMLPPRITGKILELETVTQSEAIRKRYRFLSHFSLTTTFQFCEIDLSDIVSPSSLAPFLDEIKKREKQRKRTAKKEESERVKAEVAAAVQASAMRFEFTNFSQSHNDVMFSLDDFEGNFATGMAAALCLQCLHYCFLSSRILLMEVPCW >KQL29809 pep chromosome:Setaria_italica_v2.0:I:24902201:24909446:-1 gene:SETIT_016432mg transcript:KQL29809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISPRERTGGSYYPRAPNPTPTPQHGSERRRHGRRSPPATVSPPVAAGAPGPGGSGASSNSVVPASRESINAPEKVVGFRISGEENDTCTQETGNISECHSSEQGNLGFPTDNVSSVRAYPERTGMMGSLQTDHLASGSTGLQGNGTQMAARKSQAVNANHLLNFQYDPISRPQPRGPRTYPPRRQRKIKPYNKDLFLQANYKFVVLDTGNYQIESMDPDKMLQWEDIICVRYYSPSEVQCPICLESPLCPQITSCGHIYCFPCILRYLTMGKEDYKGECWKKCPLCFMMVSTKELYTIHITQVQHFRAGDVASFTLLSRSRNSLTPSIKSTSSECSSADEDPCNVFSKFILTSDVELSVREAKSDLSNWLHMADLGFVDDLEKLPYVSAALEQLEERMKYWTEYRSYGCSPPLKDSFSPGSSYKSRNSFDVNTSHQNNGNKISPVSDRDMVSGISALSMSPESNKSFDKGTISKMNEKCTTTTDSNEHDSYTFYQVSDGQHLILHPLNMRCLLNHFGGSDMLPPRITGKILELETVTQSEAIRKRYRFLSHFSLTTTFQFCEIDLSDIVSPSSLAPFLDEIKKREKQRKRTAKKEESERVKAEVAAAVQASAMRFEFTNFSQSHNDVMFSLDDFEALGNNAGPSTSPPASERKLFSDVTRLGFASAQDSPPLRVETGDASGKNESARDQGPSAPPALSFASIISSSRAAAAADNLEMQKPNGVGKKGKKPARVLLSTGGGRRY >KQL29574 pep chromosome:Setaria_italica_v2.0:I:21405390:21406889:-1 gene:SETIT_019823mg transcript:KQL29574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTAAATVLDIAELPFSDLVLLQSPETLDDDRRRRRILDTVATELGRGGSGLLAIAEVPRVGAIRRRLLPLSRRLALMDHPTRSQLLKKHGLGSDVPLKKLDRSVSSFTKLLRHSGELALVELVNNTESMNNGFVCLEKIHNFDGSEEANGDDDMENLGELVKELGLYMIELGILIARACDIVIGGGQLEQSITDFGTAKARLIHYHSELDNIIIREKENSIKKKCSLKKVAVKPYQLGSQRSGSPCPCCIKSEEGTPKMAIKDNDPRDTSVQGQATEISLLNLWQEWHYDFGILTVLTAPLFLSGSEGEKCLVNQEYHHPDGHTHLQLCNGRKIFSVRCSPESFIVQVGEAADILSRGKLKSTLHSVSRPLGFADISRETFVVFLQPSWDKTLSYSCCYLDTEEQSSRNNETSITSNGSTGSCDEDVCMQEILEKIPPLSSRLREGMTFAEFSRQTTKQYYGGIQQNN >KQL28724 pep chromosome:Setaria_italica_v2.0:I:7763332:7763875:1 gene:SETIT_020331mg transcript:KQL28724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGLIGCSIRLRALSYFSVGLVSISWEGFRGKDLDRVSLTTLFSGMDLVDGF >KQL28022 pep chromosome:Setaria_italica_v2.0:I:2687521:2688802:1 gene:SETIT_019651mg transcript:KQL28022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAHPATVLRDTYQRQRADGPAAILAIGTANPANRIEQDEFTDWYFRVTKSDHLTKLNAKLRKYVPDKSGITKRHFYHTEETIGGHPEIIDRAAPSLGARQGITTDAAHNLAADVASRAIVAWGRPAADITHLVVSTNAGAHEPGADLRLAGLLGLRPAVRRTLLYLHGCSAGLAALRVAKDIAENNRGARVLVACTQASILLFSPPDDDRIDLLVAMALFGDGAGAVIVGADPTGPIERPVFHMVSASQTTLPGTEHAVVTNFSESGLTNTNLSVEVPMLVRGSIERCLADSLAPLGLPDASNGGWNGLFWAVHPGGRAILDSYEAALGLEPRKLAASRHVLSEYGNMSGATVIFVLDEIRRRRRRQDDSEKDCQWGVMSGLGPGLTIETL >KQL31777 pep chromosome:Setaria_italica_v2.0:I:39718230:39718601:1 gene:SETIT_019364mg transcript:KQL31777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLQPAAVRVPRRAMQFRVPRRPVARAAGAEAAPPMPAGGKKKKMAVVRLGGKRRLFGAIRRLRMRWLAVLYRRTLRRLRAYYATAINDLLEGAAVISSIRGPAGADCSFGTAFAPVVTVGY >KQL28116 pep chromosome:Setaria_italica_v2.0:I:3451714:3452482:1 gene:SETIT_018499mg transcript:KQL28116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYQPRRPAAAGPFSQPEPQHLPQAPPPPASDGHPADAPPAGHSVRWLAAAGFAFLIFNSGMAVHRSRGDLGAIAFVAFSHLDLLALFLCLRRYEGARPGSPLRDQLRMAIWLLTSALTLMFSSKVAAVVPGAVAAVVWLVAFGTVAGGFYALFFCGNEDKQSNVFDTIIN >KQL27757 pep chromosome:Setaria_italica_v2.0:I:425968:426376:-1 gene:SETIT_020439mg transcript:KQL27757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQTATATSFNHETASNSSIVPFFHLYFGLHLGHEMYQQK >KQL28658 pep chromosome:Setaria_italica_v2.0:I:7282164:7283625:1 gene:SETIT_017772mg transcript:KQL28658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMAGSRLLVVHTSSNKMANGAASTSPASPIWSRCLWLVAFLALFTCVSLLTVFSTARASAAAAYQATLFTVGAAAASGGGAAGAAAEAGLPRYVFDALVQYAAAAGNTSGCMPEPDVRAIASVLRRRAPCNLLVFGLGAETPLWRALNHGGRTVFLDENPYYVEHLEGRHPGLEAYDVAYATAVRELPDLLDAARTARAAECRPVQNLLFSECRLAINDLPNQLYDVAWDVILVDGPRGFTEGSPGRMSAIYSAAVMARTKGAETEVLVHDYEREVERACGREFLCDENRVAATSTPSLAHFRVRGGAAANREAFCGPPAEKSN >KQL30497 pep chromosome:Setaria_italica_v2.0:I:31121125:31122403:-1 gene:SETIT_019471mg transcript:KQL30497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSVMVHDGLALPAASSRGKRGFTFRVIMCLDLVEDPTDRDSRSNVHNYKWHYGAINGERVPRDRHDPLPRDHHDKHRHDDTTTAATRRAPRTSWTQGGKLELPPVPKPLACSQGAGTGALRIEKGPSPGQELCSRRTSAPARGHERHQHRRTERRARLEPRPHPQPLEASLEVRPHCNPATPLNGNDDDHLSPQPPTQKQQAAPRKTKKKLGLPTRKSLRGDSLSRARQVLMKQLGVTQEEGQSSKEALLRYINLFKGPLSDLVMKALAVLSDLNGSTAMQRAAV >KQL28702 pep chromosome:Setaria_italica_v2.0:I:7586875:7590027:1 gene:SETIT_019283mg transcript:KQL28702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNHFSYNKSSRRLPIPSLGLAIVLLISLASPTSSCTELEKTSLLQFLAGLSRDAGLAKPWQEGTDCCKWEGIACNRNRMVTKVSLASRGLEGRISPSLGNLTGLEHLNLSYNSLSGSLPPGLVSSSSIIVLDVSYNQLKGDLHELPSSTADQPLEVLNISSNMFTGQFTSTTWMGMKNLVAINASNNSFTGELPGHFCNISPSFAVLEFCCNKFSGRIPPGLGNCSQLRVLKAGDNNLNGSIPDELFNATSLEHLSFPNNDLDGALDGARIVNLRDLATLDLGRNNFTGNIPDSIGQLKRLEELRLDNNNMSEELPASLGNCTNLRTIELKSNNFSGELVKVNFSTLHNLETLDLLYNNFIGTVPESIYSCRNLVALRLSGNNLGGQLSPRIGNLKSLTFLSLGQNNFTNITNTLQILKSCTNLTTLLIGANFRGEFMPQYDTFNGFENIQVLDIEDCLLSGEMPLWISKLANLEMLLLGGNRLSGPIPTWINTLNYLFYLALSNNSLTGEIPTALMNMSMLTSEKAAAHLDPRIFNLPVYNGPARQYRIQVAFPKTLDLSSNKLTGAIPPEIGQLKALVSLNISFNNLTGPIPTSICNLTNLQVLDLSNNNLTGAIPSELENLHFLSRINVSNNNLEGPIPTRGQFSTFQNSSFDGNPKLCGGPMLGRRCSSADAPLVPTKGRNKKAIFAIAFGTFFAAISILLLLGCLLVSIKVKRLTARSTIDVNGDVETTSYNSSQVHALVMMLGSKAEENKLTFSEIMKATNNFDKDHIIGCGSYGLVYKAELPDGCKLAIKKLNGEMCLMEREFSAEVEALSMAQHDHLVPLWGYCIQGDSWFLIYSFMENGSLDDWLHNRDDDASTFLDWPTRLKIAKGACHGLSYIHNVCKPHIVHRDIKSSNILLDKEFKAHVADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQGSMATLRGDIYSFGVVLLEMLTGLRPVSFLSTSKELVPWVLEMRSQGKQIEVLDPILCGTGHEEQMLKVLEVACKCVNHNPSMRPPITQVVSCLESVDDSLQT >KQL28448 pep chromosome:Setaria_italica_v2.0:I:5972812:5979068:-1 gene:SETIT_017582mg transcript:KQL28448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTDKLSENGENDRLKFGLSSMQGWRASMEDAHSALLDLDSETAFFGVFDGHGGRVVAKFCAKYLHSQVLKTEAYSAGDLGSAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNNQQDDWASEEGPHSDFAGPTCGSTACVALIRNNQLVVANAGDSRCVISRAGKAYNLSRDHKPELAVERERIMKAAGFIHMGRVNGSLNLSRAIGDVEFKQNKFLPPEKQIVTANPDINVVELCDDDDFVVVACDGIWDCMSSQQLVNFIHEHINKESSLSAVCERVLDRCLAPSTITGEGCDNMTMILVQFKKPVDRRKKSEVAEQSSSSADGTEIHVAEE >KQL28449 pep chromosome:Setaria_italica_v2.0:I:5973321:5977366:-1 gene:SETIT_017582mg transcript:KQL28449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYLSTPKTDKLSENGENDRLKFGLSSMQGWRASMEDAHSALLDLDSETAFFGVFDGHGGRVVAKFCAKYLHSQVLKTEAYSAGDLGSAVHRAFFRMDEMMRGQRGWRELSALGDKINKFSGMIEGLIWSPRGSDSNNQQDDWASEEGPHSDFAGPTCGSTACVALIRNNQLVVANAGDSRCVISRAGKAYNLSRDHKPELAVERERIMKAAGFIHMGRVNGSLNLSRAIGDVEFKQNKFLPPEKQIVTANPDINVVELCDDDDFVVVACDGIWDCMSSQQLVNFIHEHINKESSLSAVCERVLDRCLAPSTITGEGCDNMTMILVQFKKPVDRRKKSEVAEQSSSSADGTEIQMLRTSVAEE >KQL29269 pep chromosome:Setaria_italica_v2.0:I:12425240:12426043:1 gene:SETIT_019074mg transcript:KQL29269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVPEILGLPPGVQFRPDDDELVEFYLLPRARGEPAPFPSVTIIDDDAAGITLPWDLLERHGRGGDDEAYFFVRGSADGEARKPGARQERGCGGGGKWVSQKRHVPDDKRVTAGEKVHWSMHNLNLHMGRGGSVGWVMHEYVLTDSSFPSVKICHVSFTGHGKNRKRMPGSAVDGQSESAPMMKRARVAATATAGGSSSSGSAGSTTTTADQDSGVGCTSGPAPQAAFTGEGISEWVPELCRDDLQGIPLAASAADAATEQLVPEH >KQL30742 pep chromosome:Setaria_italica_v2.0:I:33090919:33091378:-1 gene:SETIT_018804mg transcript:KQL30742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDWQNGRCAPCWGSKRGRDWQAGRGAHHELPAASMMHHHPGPVLFLVGGEVASALGQGANKAARLHSEDMPIRPIGFRPGHRSKQSHAWHAVAKLVGICQ >KQL30051 pep chromosome:Setaria_italica_v2.0:I:27731361:27732129:1 gene:SETIT_018781mg transcript:KQL30051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQDPKDAAPTEEEGPAAADPAAPAARLKVLYFARARDLTGVAESSVEVPAGGTAGECLARVLAQFPKLEEIRGSMVLALNEEYAPDSAKVADGDELAVIPPISGG >KQL31838 pep chromosome:Setaria_italica_v2.0:I:40011719:40012566:1 gene:SETIT_018488mg transcript:KQL31838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSCHKGRRLAAGIALLLLLLAGNAAAYPGGGGDLRYQFLSQQNAARASMGLPPLVWDERVASYARWYAQSRRGDCALVHSSGPYGENLFWGSGTGWAPAQAVGAWLSERPRYDYWSNSCYGGMCGHYTQIMWRSTRRVGCAMVTCYNGRGTFITCNYDPPGNYVGVRPY >KQL30544 pep chromosome:Setaria_italica_v2.0:I:31564548:31565210:1 gene:SETIT_018820mg transcript:KQL30544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALNPFVAACLVALVVAAGAARIVPDTATMDPDTLTTRPCHSNNGWSGHLCKDVCGASGFSRYDFTLANTATGSQSTCCCCPKGYKNACLNVKE >KQL29260 pep chromosome:Setaria_italica_v2.0:I:12354396:12355319:-1 gene:SETIT_020260mg transcript:KQL29260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYEEIMPIFHTVAVDVFANHALQKLLEHGPHYYQREFTNRLIGHVLALSLHMYGCWVIQKAFEVGELDQKVQMAKYSEVCS >KQL30005 pep chromosome:Setaria_italica_v2.0:I:27422158:27424895:1 gene:SETIT_018798mg transcript:KQL30005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGKGKKRFGGGGGSEPAAKRQAAGDEGPSESAEDGTVVAEISKNKKVSVRSWKGKVYVDMREFYTKDGKTLPTKKGISLQLDQWKILRDNIKAIDEAIKENA >KQL30004 pep chromosome:Setaria_italica_v2.0:I:27422158:27424305:1 gene:SETIT_018798mg transcript:KQL30004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGKGKKRFGGGGGSEPAAKRQAAGDEGPSESAEDGTVVAEISKNKKVSVRSWKGKVYVDMREFYTKDGKTLPTKKGISLQLDQVSCISTLYIC >KQL31884 pep chromosome:Setaria_italica_v2.0:I:40276278:40277078:1 gene:SETIT_018795mg transcript:KQL31884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELTAAQLRAYDGTDPSKPIYVSIRGKVYDVTSGRSFYGPGGAYAIFAGREASRALGKMSKDEADVSGDLSGLTEKELGVLADWETKFQAKYPIVARLTDA >KQL32264 pep chromosome:Setaria_italica_v2.0:I:41997239:41997778:1 gene:SETIT_020261mg transcript:KQL32264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSYVTENCKNHIIFDLLTTLRSPIFGTYPQFWVCNLKYH >KQL31027 pep chromosome:Setaria_italica_v2.0:I:35121781:35124481:-1 gene:SETIT_018694mg transcript:KQL31027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASKEPLHKDYYKVLEVDYDASDDTIKLSYRRLALMWHPDKHKGDSDVTAKFQEINEAYKVLSDPAKRLEYDLSGCYEINQYTLRSRSSLVFQEYLTRFKGMILTCNGLGIGHPSKWSRHLRELKPH >KQL31026 pep chromosome:Setaria_italica_v2.0:I:35121781:35124481:-1 gene:SETIT_018694mg transcript:KQL31026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASKEPLHKDYYKVLEVDYDASDDTIKLSYRRLALMWHPDKHKGDSDVTAKFQEINEAYKVLSDPAKRLEYDLSGCYEINQYTLREYLTRFKGMILTCNGLGIGHPSKWSRHLRELKPH >KQL31024 pep chromosome:Setaria_italica_v2.0:I:35121781:35124481:-1 gene:SETIT_018694mg transcript:KQL31024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASKEPLHKDYYKVLEVDYDASDDTIKLSYRRLALMWHPDKHKGDSDVTAKFQEINEAYKVLSDPAKRLEYDLSGCYEINQYTLREYLTRFKGMILTCNGLGIGHPSKWSRHLRELKPH >KQL31025 pep chromosome:Setaria_italica_v2.0:I:35120552:35124681:-1 gene:SETIT_018694mg transcript:KQL31025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGASKEPLHKDYYKVLEVDYDASDDTIKLSYRRLALMWHPDKHKGDSDVTAKFQEINEAYKVLSDPAKRLEYDLSGCYEINQYTLREYLTRFKGMILTCNGLGIGHPSKWSRHLRELKPH >KQL28343 pep chromosome:Setaria_italica_v2.0:I:5239285:5239983:1 gene:SETIT_020315mg transcript:KQL28343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSSSGASAGEDAGGYGCEKRVMRVWPSDEDGGRWPYVGERDVDNKAAIFIANFHRHQSGVVCTDCPDQQPQAPAAAPS >KQL30185 pep chromosome:Setaria_italica_v2.0:I:28704263:28704433:1 gene:SETIT_019999mg transcript:KQL30185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYSGWLWCFAPIKGISWRPASSFIPTTNRGHLAFRKEHTIGFCLMERKHDLAR >KQL29899 pep chromosome:Setaria_italica_v2.0:I:26142104:26144494:-1 gene:SETIT_018592mg transcript:KQL29899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKKTREPKEENVTLGPAVREGEYVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >KQL32025 pep chromosome:Setaria_italica_v2.0:I:40939309:40942020:-1 gene:SETIT_017356mg transcript:KQL32025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGAGGSSPSGTKTKKLKVAVIHPDLGIGGAERLIVDAACELASHGHDVHVFTSHHDKNRCFEETVSGPFPVTVYGDFLPRHVFYRFHAVCAYLRCIFVALCVLLWWPSFDVILVDQVSVVIPLLKLKASSKIVFYCHFPDLLLAQHTTMLRRLYRKPIDMIEEYTTGMADLILVNSKFTAATFARTFRAVHARGIEPGVLYPAVSVEQFHEPHAYKLNFLSINRFERKKNLDLAISAFALLRSGGALQDATLTVAGGYDKRLKENVDYLEELKRLAVTEGVSGQVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVVNEVTGFLCDPSPAEFSKAMLKLVNDPDLALRMGKQARDHVVQKFSTKTFGDLLNSYALNVYHERME >KQL30960 pep chromosome:Setaria_italica_v2.0:I:34680072:34682025:1 gene:SETIT_019476mg transcript:KQL30960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKATSSSTSVACPPIILNLLMHLKVWVKHRHHVIDEERVLVIVELDGEGNLKGLDETVMESSLRGFYSTYKSRTPCVTKIIVTSRSEKIANFGITKPLSLEHLHQEAYWYFFEACVFGSTDAPKHPKLVSIGMEIADEMDGSFEAANIFVLELGLEVRREFKKRNLIKYDAHRSQTDPLEIIKQSYTNEVAEKFVCLDDYQISSSMHGEVPKVSLQDILFGGARPQGKFDVLAWKSTVPPNYSYAYRCEIQRPQRMVAMALRADSVGS >KQL28196 pep chromosome:Setaria_italica_v2.0:I:4269025:4271430:-1 gene:SETIT_017417mg transcript:KQL28196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATAATAAAMVWFRKGLRVHDNPALDAARRGAGRLYPVFVLDPRYLRPDPAAASPGSARAGVARVRFLLESLGDLDARLRRLGSRLLLLRARDDVADAVCAALKDWNIGKLCFESDTEPYALVRDKKVTDFAMASGIEVFTPVSHTLFDPAEIINKNGGRPPLTYQSFIAIAGEPPEPLMEEYSELPPVGDTGEYELLPVPTVEELGYGDISQEEIPPFRGGETEALRRMKESLENKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIQDVYRSVRNYTKPPVSLTGQLLWRDFFYTVSFGTPNFDQMKGNKICKQIPWSENEELFVAWRDSRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLVLYMKLASDKKLCWEFYA >KQL31745 pep chromosome:Setaria_italica_v2.0:I:39540330:39545043:1 gene:SETIT_016649mg transcript:KQL31745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGSNSALVNKSEENDFEKKGSSDPVVYQLVRVEGDGTLVPATEDDVLEFEHFLQDEKVNFPSIEDVGHVEEFFSNDCILLKKSDLEDGSSKVKTLELHTQKMGTDLEENRLDGSLGPPSNSGVLHGQQPDKFLAEQGDSNIAQQDNASTETAKPIVLNDSCSAEKDKADACSRPVDDTSTEPSVSGVTSYVPDFSILRGEVCLDDLTIRELQEAFRATFGRQTTVKDKIWLKRRITMGLTNSCDVPSSGCVVKDYKIVGKDVRQEVSNTGGIPKIGLQSTLVRDQVTYPGNEGDSPSSSYYQSEDQQGSSKRFKRVPIHNDEPQGNLLAGQCTNKRTRKPTKRYIEELSDIETHESTGKLSSPAKRTAHDEVLLKPRAAPFHELESLSTIYPTRKDTLGGFSVHVPYVSRMRRGRPRKDFISFVVNPERGHLEAVDRKGVQNLQANVYKAASKPKIKRGLTRKHHRAWTLCEVVKLVDGVARYGAGKWSEIRKLSFSSYSYRTSVDLKDKWRNLIRATQTQLPAQKDGVCPRKINPSIIPIPPSILLRVKELNELQSQGGGFTAPVKFSGQNSKVVQGKGLGFL >KQL31744 pep chromosome:Setaria_italica_v2.0:I:39541372:39544159:1 gene:SETIT_016649mg transcript:KQL31744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGSNSALVNKSEENDFEKKGSSDPVVYQLVRVEGDGTLVPATEDDVLEFEHFLQDEKVNFPSIEDVGHVEEFFSNDCILLKKSDLEDGSSKVKTLELHTQKMGTDLEENRLDGSLGPPSNSGVLHGQQPDKFLAEQGDSNIAQQDNASTETAKPIVLNDSCSAEKDKADACSRPVDDTSTEPSVSGVTSYVPDFSILRGEVCLDDLTIRELQEAFRATFGRQTTVKDKIWLKRRITMGLTNSCDVPSSGCVVKDYKIVGKDVRQEVSNTGGIPKIGLQSTLVRDQVTYPGNEGDSPSSSYYQSEDQQGSSKRFKRVPIHNDEPQGNLLAGQCTNKRTRKPTKRYIEELSDIETHESTGKLSSPAKRTAHDEVLLKPRAAPFHELESLSTIYPTRKDTLGGFSVHVPYVSRMRRGRPRKDFISFVDKEPSVQCNVQTTVGMLSKECEEGNHVRKASEVPPTVCLLYLAVAIFTCFIHIQIFVSALEPAAR >KQL31746 pep chromosome:Setaria_italica_v2.0:I:39540330:39545043:1 gene:SETIT_016649mg transcript:KQL31746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGSNSALVNKSEENDFEKKGSSDPVVYQLVRVEGDGTLVPATEDDVLEFEHFLQDEKVNFPSIEDVGHVEEFFSNDCILLKKSDLEDGSSKVKTLELHTQKMGTDLEENRLDGSLGPPSNSGVLHGQQPDKFLAEQGDSNIAQQDNASTETAKPIVLNDSCSAEKDKADACSRPVDDTSTEPSVSGVTSYVPDFSILRGEVCLDDLTIRELQEAFRATFGRQTTVKDKIWLKRRITMGLTNSCDVPSSGCVVKDYKIVGKDVRQEVSNTGGIPKIGLQSTLVRDQVTYPGNEGDSPSSSYYQSEDQQGSSKRFKRVPIHNDEPQGNLLAGQCTNKRTRKPTKRYIEELSDIETHESTGKLSSPAKRTAHDEVLLKPRAAPFHELESLSTIYPTRKDTLGGFSVHVPYVSRMRRGRPRKDFISFVDKEPSVQCNVQTTVGMLSKECEEGNHVRKASEVPPTVNPERGHLEAVDRKGVQNLQANVYKAASKPKIKRGLTRKHHRAWTLCEVVKLVDGVARYGAGKWSEIRKLSFSSYSYRTSVDLKDKWRNLIRATQTQLPAQKDGVCPRKINPSIIPIPPSILLRVKELNELQSQGGGFTAPVKFSGQNSKVVQGKGLGFL >KQL30895 pep chromosome:Setaria_italica_v2.0:I:34148364:34151005:-1 gene:SETIT_017063mg transcript:KQL30895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHAAGAVHLQYFMPRHQPHQEATDLQVQDSSSAVCRRSLSSSTSPAGAAMWEYHHHQAAHAAALQAASSSASSLFPSWSSSYAGTTAALLGSAGSAFATDASSSPPDMRLPAAGEHGHGHPWSQHGEQSNSTCYRENFLDLLASKNVTQEMFEDVPADAGHYSAHHQALSGRLGAGSDVAPIKYEATGSPLFFGNSNPPGMHQGMNMAGCTPCYPYADHHQMKEGSNQQQELAAPAMASFLHQLSTNTSVGMHGSLDYSGMGLDKICQEGRAVEASGSFGMRSLPDLSSFSGYRSNAESTSSVQPYLRSSNLSDSSKQEQDIVSARSSSSGSGAASERKKRPSEERTSNVKKSKQEGSKASPPKPQVPKVKIGEKITALQQIVSPFGKTDTASVLFETIKYIKFLHEQVQLLSEPYTNSSRNKGNLPWVVEHAETSKGDQAEHDLRNRGLCLVPVSWTPEVYRDGTAMDYWTPAYRGCLYR >KQL30982 pep chromosome:Setaria_italica_v2.0:I:34848412:34850266:1 gene:SETIT_018582mg transcript:KQL30982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWLPGELCLKIFQLLDHQSLASAPQVCRKWRALTSDDELWRKLFSDRWGADAGAFYAPEGSKSWKDVFVVQDRCDRYGLGVRIIREGKDYYLIYQGEIQRYLGSRQDTDGDGGGKDAPRQDAEDEQRQISNRILFFLGDLEAACADAKRVKA >KQL29261 pep chromosome:Setaria_italica_v2.0:I:12372392:12375071:1 gene:SETIT_019253mg transcript:KQL29261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGANLYPYYGIDFPNSEPTGRFSNGYNIADFIAKAMGLEISPLAYLSLTSRPISVKGFTGVNYASESARIWNHSISADDDEVRIPLLTQLDYFADTRAQMVPQHREHQLRKLLFKSLFLVSIGTKDIFHLSMFTKHPKFNAKAYVDKLVTSFGACMEALYNDGARKFAVINIPPIGCTPEGRRKAVGRRDHHYGPGGCDQILNELAVEFNIGLRSLLASLSSKLDGLRYSIDGLLFYVQGFLNTSIACCKRPCDPQAAFQEPPCQNRTEYWFWDEECMTEQAAKLAAAAFYDGPHP >KQL30303 pep chromosome:Setaria_italica_v2.0:I:29799949:29800548:-1 gene:SETIT_020646mg transcript:KQL30303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASASAKPAVAACLLTILAFAAAVSSAAAVNTCSGKIRCVQWGVHSKACPGEGGRGVVCCCCPIWYGDSCCPLRFCTALAPSPLTSRRFGPE >KQL29375 pep chromosome:Setaria_italica_v2.0:I:14611759:14614145:-1 gene:SETIT_018399mg transcript:KQL29375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIHKKWIPELRHYAPNVPIVLVGTKLDLREDKQFFLDHPGLAPITTAQGEELKRMIGAAAYIECSSKTQQNVKAVFDSAIKVVLCPPKPKKKSARKQRSCWIL >KQL28636 pep chromosome:Setaria_italica_v2.0:I:7144106:7149797:-1 gene:SETIT_017193mg transcript:KQL28636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRSVDREFPSRERGDRPPPGMLGPPRRGPAYKTKLCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRAGRRDYRGGDFRDRFDRRRSPHRRHSPDRDSRDHRPFHDRRPISQERESSYSRSPSRKSERRHEKKPDDGETNSSRSLSISDNNDDRKKETLLSGDDKEDQEIQLKQIRQDMELLRDDKSHLEIILDEKNAEVRKISSRVNDLDLQLRKEKEECHRMTSKIKKFIKAHARFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSINVDEDPNGPYERSPNAATAKKRSILYSTSEEAKAVKKRRERDAEFDKPSKGTEPTKGLYLKKKLWEDEKDKIGNVVSSANTDKVKDSPVKHVLPSTGMAAHAVDDLFEAVELEDRHDPINALIENDAGDETRSPAMPSQPPPVVNAYEQFVLFLSQYEGDDEEVDVE >KQL28635 pep chromosome:Setaria_italica_v2.0:I:7144106:7149797:-1 gene:SETIT_017193mg transcript:KQL28635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRSVDREFPSRERGDRPPPGMLGPPRRGPAYKTKLCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRAGRRDYRGGDFRDRFDRRRSPHRRHSPDRDSRDHRPFHDRRPISQERESSYSRSPSRKSERRHEKKPDDGETNSSRSLSISDNNDDRKKETLLSGDDKEDQEIQLKQIRQDMELLRDDKSHLEIILDEKNAEVRKISSRVNDLDLQLRKEKEECHRMTSKIKKFIKAHARFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSINVDEDPNGPYERSPNAATAKKRSILYSTSEEAKAVKKRRERDAEFDKPSKGTEPTKGLYLKKKLWEDEKDKIGNVVSSANTDKVKDSPVKHVLPSTGMAAHAVDDLFEAVELEDRHDPINALIENDAGDETRSPAMPSQPPPVVNAYEQYEGDDEEVDVE >KQL28634 pep chromosome:Setaria_italica_v2.0:I:7143863:7149869:-1 gene:SETIT_017193mg transcript:KQL28634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRSVDREFPSRERGDRPPPGMLGPPRRGPAYKTKLCALWQRGGCSRDTCSFAHGHAELRRFPGSRTSFPPRAGRRDYRGGDFRDRFDRRRSPHRRHSPDRDSRDHRPFHDRRPISQERESSYSRSPSRKSERRHEKKPDDGETNSSRSLSISDNNDDRKKETLLSGDDKEDQEIQLKQIRQDMELLRDDKSHLEIILDEKNAEVRKISSRVNDLDLQLRKEKEECHRMTSKIKKFIKAHARFLKAQEELKRSQARFERLGDLLASDILKRGANEEVSSINVDEDPNGPYERSPNAATAKKRSILYSTSEEAKAVKKRRERDAEFDKPSKGTEPTKGLYLKKKLWEDEKDKIGNVVSSANTDKYEGDDEEVDVE >KQL28245 pep chromosome:Setaria_italica_v2.0:I:4570517:4572350:1 gene:SETIT_018328mg transcript:KQL28245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLGAAASCIDPLAVPLPAQAAAIQEPDIIRYRKLDSGVILEDVVEGEGPEAREDDLVQFNYVCRRANGYFVHSTVDQFSGESNPVTLPLGGEEMIRGLKDVLIGMKAGGKRRALIPPEVGYISETLKPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >KQL28246 pep chromosome:Setaria_italica_v2.0:I:4570517:4572350:1 gene:SETIT_018328mg transcript:KQL28246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLGAAASCIDPLAVPLPAQAAAIQEPDIIRYRKLDSGVILEDVVEGEGPEAREDDLVQFNYVCRRANGYFVHSTVDQFSGESNPVTLPLGGEEMIRGLKDVLIGMKAGGKRRALIPPEVGYISETLKPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >KQL28244 pep chromosome:Setaria_italica_v2.0:I:4570816:4571505:1 gene:SETIT_018328mg transcript:KQL28244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLGAAASCIDPLAVPLPAQAAAIQEPDIIRYRKLDSGVILEDVVEGEGPEAREDDLVQFNYVCRRANGYFVHSTVDQFSGESNPVTLPLGGEEVINFNAENFSHPSEKFRSPLGSLRLSFLFCEFV >KQL28247 pep chromosome:Setaria_italica_v2.0:I:4570517:4572350:1 gene:SETIT_018328mg transcript:KQL28247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASLTSLGNPFPCASQSPRCRCPSESKKIRLACRRRASSLKATCGLSRRRAVSGMVVLGAAASCIDPLAVPLPAQAAAIQEPDIIRYRKLDSGVILEDVVEGEGPEAREDDLVQFNYVCRRANGYFVHSTVDQFSGESNPVTLPLGGEEMIRGLKDVLIGMKAGGKRRALIPPEVGYISETLKPIPEEFGPRRSLLSHAKEPLVFEVQLLKVL >KQL29070 pep chromosome:Setaria_italica_v2.0:I:10114126:10115697:-1 gene:SETIT_019373mg transcript:KQL29070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERGAPGSSLHGMTGREPTFAFSTEDATAASKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMAIIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDIIRKCGATPFTAWRLAYFVPGSLHIVMGILVLTMGQDLPDGNLRSLQKKGDANKDKFSKVMWYAITNYRTWVFVLLYGYCMGVELTTDNVIAEYYFDHFNLDLRVAGIIAACFGMANIVARPLGGILSDVGARYWGMRARLWNIWILQTAGGAFCLWLGRATTLPASITAMVLFSFCAQAACGAIFGVTPFISRRSLGIISGMTGAGGNFGAGLTQLLFFTSSKYSTGMGLEYMGIMIMACTLPVVFVHFPQWGSMLFPANAGAVEEHYYSSEWNEEEKSKGLHSASLKFAENCRSERGRRNVIQATSNTQPNNTPENV >KQL30556 pep chromosome:Setaria_italica_v2.0:I:31623797:31626759:1 gene:SETIT_018001mg transcript:KQL30556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALREIESTLPPGFRFYPSDEELVCHYLLKKVANERIAQGTLVEVDLHAREPWELPEVAKLTAREWYFFSFRDRKYATGSRTNRATRSGYWKATGKDREVRSGGAVVGMRKTLVFYRGRAPNGVKSGWVMHEFRLDTPHSPPREDWVLCRVFQKTRGDGDGQDGDSSSSPPAFAGSSSRVMPESDHYSASGGYCYYGHTALAPQQEAVAVLPQYYYGGGGTAADHHHGFQRDDAGALPGFGFGAREVVAGGDEYGFAAGYFDMGGGFEDVASLGVGGGMEFPQAWS >KQL29868 pep chromosome:Setaria_italica_v2.0:I:25748417:25749600:-1 gene:SETIT_018476mg transcript:KQL29868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDPTMEGSGGNKEQQMQIVCVRSASTGDQEAEWTAEQASSRSALSLFKEKEEEIERKKLEVRDKVFSMLGRVEEETKRLAFIRQELEVMADPTRREVDAIRKRIDKVNKQLKPLGKTCLRKEKEYKMCLDAYNEKSNEKATLVNRLMELVSESERLRMKKLEELNKTIESLY >KQL30975 pep chromosome:Setaria_italica_v2.0:I:34794932:34797482:-1 gene:SETIT_017289mg transcript:KQL30975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSGEEHVPKARKPYTITKQREKWTEDEHKRFLEALQLHGRAWRRIQEHIGTKTAVQIRSHAQKFFTKVVRESSGSNTAGGAAPAIQIPPPRPKRKPAHPYPRKVDGAAKKHVPALKQLEKPPLRTQSLRDQDDGSPTSVLTTARTVLRAEALGSVFANSSSGSRSPAPSATGSDEPSSVDREDGCVSPSVATAELVARTANTKVFGDGKVSCIGTEASVFKLFGKKVVVKDPLEHLKTDASATSVAQATRNAIPFGAAEGSSWNPWPTGVQMQQLMYFVPQPDGFAAQSAVPWLAYNGALPCALFYPQAAAPSAQHHHHNQPSEPLNHKRVQREESLTGSNTASSAVPAASAAQNSDAAESHGPRQENTSESAIAVPRLTKCPSSASFSRRGFVPYKRCAAESEAPRPVAAGEEADGELTRLCL >KQL29448 pep chromosome:Setaria_italica_v2.0:I:16168090:16170614:-1 gene:SETIT_017549mg transcript:KQL29448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHRTATGAAPASALMLTLLFASWVLAAAQNYSAIFNFGDSITDTGNLCTNGRPSMITFTQPPYGETYFGTPTCRCSDGRVVVDFLSDQFGLPLLPPSKSSSGDFKQGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQLQWFQQIATSICGQSCKSYLANSLFVFGEFGGNDYNAMIFGGYTTEQARKYTPKIVNTISRGIDKLIAMGATDIVVPGVLPIGCFPIYLTIYQSSNSSDYDDLGCLNKFNDLSTYHNTLLKKRVDIIQSRHRKTVRIMYADFYSGVYDMVRNPQNYGFSSVFETCCGSGGGKYNYQNSARCGMQGASACASPASHLSWDGIHLTEAAYKQITDGWLKGPYCRPPMLHS >KQL29699 pep chromosome:Setaria_italica_v2.0:I:23520071:23521574:-1 gene:SETIT_019971mg transcript:KQL29699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEPHAGRATGAGDGDRLSALPDDILRVVLSILPARQAVQTTVLSPRWSGLWRSAPRVAVDEREFGVSHSRRPREKWGRFESFATNLLASRCGSAPLDRFRLYAHGHVAPVDEWIQRGIECRPAALEIQTPYYPVEGHEFDPPHFAFPHLGSGFYGRLKTLRLVDVELDASFSELLSSDCPALVDLELVGCANHFRRIASSTLEKLVIDSCYDCDDSGQPMLVVAPSLTTFKLHTANEGWSFGISVCDAASLVKASISTEGSCCFPVNYLCKLLAGLSSVKTLELEGFDNLVTKLDSDKLPMFPNMRALSLERCYIEDYDPHNMLEDLGRFLENALCLEKLTLRIILHKFCATNKPFCSYPGMKWEPYWNSISLQCQSGKSFHCPKLKLIELRYNKGDHVPTELLWSMGKRLPNASITLTKI >KQL32150 pep chromosome:Setaria_italica_v2.0:I:41545201:41545725:-1 gene:SETIT_020384mg transcript:KQL32150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKWLLFSRSHFRCSKNASYLQSFSGLLLYKDSNALHDDSVQDIITQKLLKRTT >KQL30110 pep chromosome:Setaria_italica_v2.0:I:28083205:28084980:1 gene:SETIT_019484mg transcript:KQL30110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGTSPAARALVNRWLPQLLLIFIFGCCSCCAVETERTLAMIKPDGLSGNYTEKIKVAILDYGFHIVKETEVQLDDERASLFYAEHSGRSFFGSLIKYITSGPVLAMVLERPDAIAQWRALIGPTDARKAKTSHPNSIRAMCGLDSEKNCVHGSDSPESAAREISFFFGD >KQL27962 pep chromosome:Setaria_italica_v2.0:I:2117448:2121001:-1 gene:SETIT_018489mg transcript:KQL27962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVDDDGITKACGCPLLPLKTHIKGPAPASDPDKADIVDEAITFFRANVFFKNFRVKSTADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFTPPESQEEADKGGNKWKTAQLCIQS >KQL27963 pep chromosome:Setaria_italica_v2.0:I:2117755:2120621:-1 gene:SETIT_018489mg transcript:KQL27963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYHSSFVDDDGITKACGCPLLPLKTHIKGPAPASDPDKADIVDEAITFFRANVFFKNFRVKSTADKLLIYLTFYINIALKRLEGCRTLAVGTKAIINLGLEKVPVPGEPGFPFPGLFTPPESQEEAELLRNYLKQIREETSGRLLNCAYRANGFPNKWWLAFAKRKFMNIVIL >KQL32214 pep chromosome:Setaria_italica_v2.0:I:41819773:41820394:-1 gene:SETIT_020066mg transcript:KQL32214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASAAAGLAPSAAAPVLRIRPPPAWTAEEDARLERLAREHGCRHWRRVAASLLTGRSSRQCRDRWRHHLARDVYHRPFTARDDDDLARLYVRHAGRWRDISRAVHGRTSRAMRRRWRELRDSDAFLSKLWRPRPPPPAPWTDAGA >KQL29692 pep chromosome:Setaria_italica_v2.0:I:23394412:23400812:-1 gene:SETIT_017881mg transcript:KQL29692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPFMALQLIFLLLCLLSLVSAAERNLVANLPGFDGVLPFQLETGYVNVDQENGVELFYYFIQSEGDAHHDPVLLWLTGGDRCSVLSGLAFEIGPVKFVIEPYNRSLPRLQYNPYTWTKAASIIFVDSPVGAGFSFSRNLKGYDVGDISASLQLKKFLAEWFSEHPDFLTNPFYIGGDSYAGKIIPFLAQKISEDLESGVSRPALNLKGYLVGNPGTGEGIDVESRVPYAHGHGIISDQLYETIMEHCHGEDYSKPKNVICAQALNRFSKLLDECMMAHILYKTCVYVSPRPKDGTEKRKSLKEKLKH >KQL28463 pep chromosome:Setaria_italica_v2.0:I:6059145:6063649:-1 gene:SETIT_019489mg transcript:KQL28463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GQRRDEGSGQAQLHIPSSVSLSPGPPSCTSDTEHCFPFGTDLSRPESMAPPLSSWPWASLGQYKYMLFGPLVWKVVQEWREQGGLPLGSWWLHLLVLFAVRGLIYQFWFTYGNMLFFTRRRRVVADGVDFRQIDAEWDWDNFLILQTLIGATVVNSPLLPGLRQLCLWDPRGWAVALLLHVGFSEPVFYLAHRALHRAPLFARYHAAHHSSGVTQALTAGFGTPLESLLLTLAMGAPLAGAFLLGAGSIGLVYAHAFVFDYLRAMGYSNVEVVSPRVFDAFPPLRYILYTPSYLSLHHLEKRGNFCLFMPALDAAFGTLDERSWPLQRAAYDGAGGGGALGTPEFVFLAHVVDIMSSMHVPFALRSLSSTPFANHFILLPFWPVAFGFMLLMWCCSKTFVVSFYYLRGHLHQTWSVPRYGFQYFLPAAKKGINHQIELAILRADRMGVKVLSLAALNKNEALNGGGTLFVSKHPDLRVRVVHGNTLTAAVILNEIPSNVKEVFLTGATSKLGRAIALYLCRKKIRVLMFTMSSERFVKIQREAPPEFQQYLVQVTKYQAAQNCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVRGLSSCEYTMERGVVHACHAGGVVHFLEGWDHHEVGAIDVDRIDVVWRAALKHGLAPV >KQL31315 pep chromosome:Setaria_italica_v2.0:I:36860166:36861902:-1 gene:SETIT_019493mg transcript:KQL31315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPISSGGVVAAETESTESLLPKNQQRGGVGGADGDDGGGDDFHGASFAGAVFNLSTTIVVAGIMALPATMKVLGLAPGLVLIVLAALLTDASIELLVRFSRAAGVKSYGKAMGDAFGPLGRGFLQFCVVVNNLGVMVVYMIIIGDVLSGTSSSGVHHHGVIEGWFGPNRWNGRFAILTITTLGVFAPLACFKRVDSLRFTSAVSVALAVVFVVITAGIAIFKLARGQIPMPQLFPDVHDWPSIWRLFTAAPVLVTAYICHYNVHPICKELRDTLQIRPIVRTSLLLCSAVYITTSFFGFLLFGDSTLDDVLANFDSNLGIPYSSFFNDAVRVSYVLHLMLVFPIVFQALRLNMDGLLFPSARPLSCDNRRFGVLTAALLAVIFLAANFIPNIWDAFQFTGATASVCVAYIFPAAMTLRDRHGIARKRDRVLAVFMIVLAVVANAVAVYSDACS >KQL29650 pep chromosome:Setaria_italica_v2.0:I:22617708:22618184:-1 gene:SETIT_019026mg transcript:KQL29650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLILRTMGRDYCIDICIALFLIYEHPRLCLVAYWHLYLQGAAKRRLTEPTI >KQL30872 pep chromosome:Setaria_italica_v2.0:I:33910681:33911441:-1 gene:SETIT_018695mg transcript:KQL30872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEAASPVLMRRDGRKLVRCPRLQLDTKTVAVIEQSTGESIADAAVAAGEQGAAGAMRVKIVLSKQQLKQVAAAVAGGGVCGFALPPALEQLVSVLKRQHAKKQVAAVGRRRGRWSPALQSIPEECF >KQL28947 pep chromosome:Setaria_italica_v2.0:I:9138328:9138582:1 gene:SETIT_020468mg transcript:KQL28947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIRMTATSESLHILFYAVNRVHIFFHQMFLAICIKRECK >KQL29197 pep chromosome:Setaria_italica_v2.0:I:11238083:11239840:1 gene:SETIT_019983mg transcript:KQL29197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVDYSSEICVRLVLILVARGRGEADPCVLRRGRDRSIIGKFPLLTHLTIEFCHNLHTVDDHFLYLPAIQSIRITCCNLLSLPTQRLGGFPFLKYLDISCYLENLTSLKSLEMGACEGVECVPSSNLKSLHKLRIMYCPDLVTIGGPEAIANIKVVQIRNCPKLKELKQPVERGN >KQL31428 pep chromosome:Setaria_italica_v2.0:I:37423789:37424028:1 gene:SETIT_019348mg transcript:KQL31428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMSRFVLPLMMALMMLLAVLGSARRIEGEKWTGGEAAASGEHPTIQFAKHLYLQKTPGASSSCTTGSPNNPPCHHP >KQL29563 pep chromosome:Setaria_italica_v2.0:I:21324284:21325330:1 gene:SETIT_020092mg transcript:KQL29563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFQLPAISKGPRETREEERTEPPTLKSHTRSPKKGQPGQRDKRGQGKNTSHQRHATTEPGAERGRRASTRTRQQQPAAKQNEDQNHITQLQASYQHSEHAELEAPSAAGSSAPSAVAPSGSAPVSSTDRCSPLVVLREHQQQQQSCGAS >KQL30071 pep chromosome:Setaria_italica_v2.0:I:27855658:27857198:1 gene:SETIT_019169mg transcript:KQL30071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDILCHIHSLMPMRYAARVASVSRTFLRSWRSHPSLAFSNFDEVFGIGLKTFKLQIYDMKYAPRDNLDSWLRIAVTPQIEVLFLDLRSMSAKYNFPCSLLSGESLRYLFLASCNFHPGIELGCLRSLTRLWLHKVHITDGELGCLLSNSFALEQLELYHCSKIICLKIPCLQQLHHLEVLSCANLQVIESKATNLSSLSFKVDLRMQLSLGETSRIKKLYMSCSKVAFYARTELLSSMRNLETLTCSIHEAVDTPMLPSKFLHLKFLNIVLDGGTFDYFSLISFLEASPSLETFVLRVLPLRVECLSVFEDPSVLRTMPEQCHVKLRRVTIINFSSAKSMVELACHILESTTSLEHLTLDTTFGIRRCSDNKSAKCLGMRRERLVEAQRALLAAQTYIKPKVPSTVEYNILEPCSRCHAVEP >KQL28092 pep chromosome:Setaria_italica_v2.0:I:3260358:3261830:-1 gene:SETIT_019031mg transcript:KQL28092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATFQREIQRPASIHEPRNKFKDKGRRGAAKKGEARLSLWLLAQKLAAS >KQL27925 pep chromosome:Setaria_italica_v2.0:I:1747627:1752840:1 gene:SETIT_017266mg transcript:KQL27925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRIGGRRKAGVEERFTRPQGLYEHRDIDQKKLRKLILEAKLAPCYPGADDAPAGGGDLEECPICFLYYPSLNRSKCCSKGICTECFLQMKPTHTARPTQCPFCKTPNYAVEYRGVKTKEERSIEQFEEQKVIEAQLRIRQKEIQDEEAKLKRKQSRCSSSRTVTPTKEVEYRDICSTSFSVPSYQCAEQGTECCSSEPSCSSQASMRPFHSRHNRDDNVDMNLEDMMVMEAIWRSIQQEQGHLVNPVCGSYFPVIEPQTRERQAFLPAAPMEMPHPGGYSCAVAALAEHQPPSMDFSYMAGSSTFPVYDMIRRPCNISGGSMCAVENSSLDTWSGIAPSCSREVLREEGECSTDHWSEGAEAGTSYAGSDIMADAGSMQPLPFAENFAMAPSHFRPDSIEEQMMFSMAVSLTDAHHGRAHAQGMAWL >KQL30957 pep chromosome:Setaria_italica_v2.0:I:34646615:34651385:1 gene:SETIT_018160mg transcript:KQL30957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYNAQDSNGALSETQGWYQEMSKLRAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKVFCIRLVYSEKRACLYSSNGSSTVAWACGSRSASFYYCR >KQL30958 pep chromosome:Setaria_italica_v2.0:I:34646615:34652365:1 gene:SETIT_018160mg transcript:KQL30958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSAGITKTLERYQHCCYNAQDSNGALSETQGWYQEMSKLRAKFEALQRTQRHLLGEDLGPLSVKELQQLEKQLECALSQARQRKTQLMMEQVEELRRKERHLGEMNRQLKHKLEAEGCSNYRNLQHAAWPAPGGTIVEHDGATYHVHPPAHSAAMDCEPTLQIGYPHHQFLPSEAANNIPRSAPGGENNFMLGWVL >KQL31726 pep chromosome:Setaria_italica_v2.0:I:39394322:39397753:-1 gene:SETIT_017484mg transcript:KQL31726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVPATYSSATAQIGGFLSKKPYAPPSWATHLSPMPSHTFSLGHFPTPIHKWNLPNLPEGTEVWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCIITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKRLLEEGRKPYVIPVGGSNSLGTWGYIEVIREIEQQIQQSADAHFDDIVVACGSGGTIAGLALGSRLSSLKAKVHAFSVCDDPEYFYDYVQGLIDGLQSGLNSHDIVSIENAKGLGYAMNTAEELKFVKDIAAATGIVLDPVYSGKAAYGLLKDMSGNPAKWKGRKILFVHTGGLLGLYDKADQLSSLAGSWRRMNLEDSISRKDGTGKMF >KQL31727 pep chromosome:Setaria_italica_v2.0:I:39394322:39397753:-1 gene:SETIT_017484mg transcript:KQL31727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVPATYSSATAQIGGFLSKKPYAPPSWATHLSPMPSHTFSLGHFPTPIHKWNLPNLPEGTEVWIKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCIITVGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLVGNLLVERLVGAHIDLVSKEEYGKIGSVALADLLKKRLLEEGRKPYVIPVGGSNSLGTWGYIEVIREIEQQIQQSADAHFDDIVVACGSGGTIAGLALGSRLSSLKAKVHAFSVCDDPEYFYDYVQGLIDGLQSGLNSHDIVSIENVRVRLRHEHS >KQL29154 pep chromosome:Setaria_italica_v2.0:I:10757823:10761164:1 gene:SETIT_018588mg transcript:KQL29154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPIIVGGIAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDILTPPPPPRKWWWPGK >KQL29155 pep chromosome:Setaria_italica_v2.0:I:10756827:10761164:1 gene:SETIT_018588mg transcript:KQL29155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPIIVGGIAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDILTPPPPPRKWWWPGK >KQL29153 pep chromosome:Setaria_italica_v2.0:I:10756827:10761164:1 gene:SETIT_018588mg transcript:KQL29153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPIIVGGIAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDILTPPPPPRKWWWPGK >KQL31123 pep chromosome:Setaria_italica_v2.0:I:35776196:35778764:1 gene:SETIT_019978mg transcript:KQL31123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGERDGGGRRAHAAMVGSQLINAGYHVVAKVALNVGVNRVVFCVFRDLLALAVLAPLAFFQHRGSHAKARPPITWRLLVSFFVLGLTGIFANQLLFLLGLSYTNPTYAAAIQPSIPVFTFILAVIMGTETVSLISIEGRAKIGGTVVCVLGAVLMVLYRGPALFGSDELELDSHGVMPAMSQLEPAWSFGLQKWHIGVLCLIGNCLCMAIYLALQAPILVRYPSSLSLTAYSYFFGVILMVVSGAFATNDKDDWSLTQSEFAAVVYAGVISSALNTFLLTWSNKLLGPAMVALYIPLQPVLSALLSMLFLGSQIYLGSIFGGFLIISGLYLVTWARHREKLTGIGPSYTKCTLEPHDSDSQAARSGNLVSESFISLSRPWNVPS >KQL29191 pep chromosome:Setaria_italica_v2.0:I:11187420:11188188:1 gene:SETIT_019515mg transcript:KQL29191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAEIAILGHLHRRNLQQLLLVYDYMPNGSLDCYLHTQDHSTTILCWAQRFRIIKGIASGLFYLHEDWEQVIIHRDIKTINVFLDSEMNARLGDFSLARSHAHGADAHTTHVAGTWGYIAPELARLGKATKATYVLAFGVLMMEVACARRPIWVNSADGEPLALANWAGMLDDYVEEEIELVLKLGLLCSHPLPNARPRMRLVMQYLERDAALPTVLQPDSLLSCIDVR >KQL31081 pep chromosome:Setaria_italica_v2.0:I:35468389:35474691:1 gene:SETIT_016286mg transcript:KQL31081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSPASTSASDSGMLGRRLSLLPAVRGAATTRARMTVGCVLLDHVAPRLAVASAALVGAREVVAAAAAAGAGGSGAVHGAVASTLAQVAVTAVAIASGACLSTKVDFLWPRIEQLPDTLIFEGVEVTGYQIFEDPKVQKAIVFASTAHLGQFRRTGDPYVTHCIHTGKILAALVPSTGERAVNTVVAGILHDVVDDTSESLKSIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPVPKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQIFKKIRSELTLMWNRTGKSKSMRRSSIRSELLASMKDGHMTSIHDLFSSCNQEKTNMKDLLQAVLPFDIFLDRKRRSYFLSNLNSSSGEPISNPKIVDDAAVALASLASCEEELERELLISTSYIPGMEVTLSSRLKSLYSIYCKMKRKDVGIRQVYDARALRVIVGDKNGALHGPAVRSCYSILDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDSSPLEVQIRTQRMHEYAEHGLAAHWLYKESKVEYRSGMSKRIGQSTSYSPSSSEDESSIQDDIPSKYSSLKVGHPVLRIEGSHLLAAVIVSIDKGGKELIVAVSFSLEASEAVAKLRSSFQLKRWEAYARLHKK >KQL31082 pep chromosome:Setaria_italica_v2.0:I:35468389:35476159:1 gene:SETIT_016286mg transcript:KQL31082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSPASTSASDSGMLGRRLSLLPAVRGAATTRARMTVGCVLLDHVAPRLAVASAALVGAREVVAAAAAAGAGGSGAVHGAVASTLAQVAVTAVAIASGACLSTKVDFLWPRIEQLPDTLIFEGVEVTGYQIFEDPKVQKAIVFASTAHLGQFRRTGDPYVTHCIHTGKILAALVPSTGERAVNTVVAGILHDVVDDTSESLKSIEEQFGDDVASLVSGVSKLSYINQLLRRHRQKNTGGSTLTSEEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPVPKAEAVAQETLAVWCSLASRLGVWALKAELEDLCFAVLQPQIFKKIRSELTLMWNRTGKSKSMRRSSIRSELLASMKDGHMTSIHDLFSSCNQEKTNMKDLLQAVLPFDIFLDRKRRSYFLSNLNSSSGEPISNPKIVDDAAVALASLASCEEELERELLISTSYIPGMEVTLSSRLKSLYSIYCKMKRKDVGIRQVYDARALRVIVGDKNGALHGPAVRSCYSILDIVHRLWTPIDGEFDDYIINPKGSGYQSLHTAVQASDSSPLEVQIRTQRMHEYAEHGLAAHWLYKESKVEYRSGMSKRIGQSTSYSPSSSEDESSIQDDIPSKYSSLKVGHPVLRIEGSHLLAAVIVSIDKGGKELIVAVSFSLEASEAVAKLRSSFQLKRWEAYARLHKKVSEKWWCAPGHGDWSTNLERYTLCQDGIFHKQDQFGRLLPTFIQIIDLTEEEEEEYWMVVSAIFEGKETSSLPSESNYADKSSSDPPSSTPLSDPINNKVHLLRTMLQWEEQVRRGASLAEKSLGVSTVTKPILREVAIIFWPNGKIMRMSTGSTAADAARRMGVEGKLLWVNGQLVLPQTELKDGDIVEVRV >KQL29998 pep chromosome:Setaria_italica_v2.0:I:27394329:27395712:1 gene:SETIT_020281mg transcript:KQL29998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFKVHCLLCYLEVPMMGIKVALVILAICVPWFAKLKSNTCKIQLVLTSSAPTSDVHKEI >KQL31396 pep chromosome:Setaria_italica_v2.0:I:37260864:37263996:1 gene:SETIT_017054mg transcript:KQL31396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGATPATARRILFTTTSSLLSSSLTRSSRRSLACSAASAAAPRLAPQPPDLVRWVQREGGFVHPALRVSDHPEHGLGVSAAAADGDIPPGEVLITLPGRLPLRLRRPTGAADDVLVQLAQQVPEELWAMKLGLRLLQERARPDSFWWPYIANLPETFTVPIFFPGDDIKNLQYAPLLHQVNKRCCFLLEFEKKVQWMLDTLPLEHHPFYGQDVNSSSLGWAMSASSSRAFRLHGEIPMLLPLIDMCNHSFDPNARIVQEGNVNSSDMSVKVVAETKIEKNAAITLNYGCHPNDFFLLDYGFVIAPNPYDLVELSYDGALFDAASMAAGVSSPNFSAPAKWQQDILSQLNLHGEGAILKVSLGGPDIVDGRLLAALRVLLAADPEAVHKHDLKTMMSLDAQAPLGPTVEASALRTVLALCAIALQHFHTKIMEDEAILKGEPPLTTELAVQFRLQKKFLIVDVMQNISRKIKMLSPQKSTA >KQL30846 pep chromosome:Setaria_italica_v2.0:I:33769031:33771137:-1 gene:SETIT_019615mg transcript:KQL30846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QFEDEEFDQDDDDDLGDFIVYSDEEDAEMSKHKHQRQAELEDDIEEEVEEEVEQVEEIEEEEEEAPVGQQEILSLREQLKEEIRRKNAAMAAGTSKASCSSSVNQMIMPPAKDGYGTFFGPSKPVLARRVIEEGCSSIMKERQNVPSRKGGQLVSKVQPGTVENLQKPKFVSEEKRKVDALRENRDYSSLFSDDADTPQPTKEQSDNRPPLLDPKSESHVPGPMNSAGMSRASFQAHVHSKAGSPGKDPLGDRKRMIAAGINGSNLPNMKKKTPGLMKPSSNSQKLQPSVQSKKPQASIPGQRQQQLASQGQRMQHQLQIQRPQGNGRQQSLQGRRPDESVQGQHIGHNGSAVLHGRSKSEQKLLGPSSKLKAHRPVEKRAVKRKSDDGMGNYSSIIRKIFKYNPEKFIPGGDEDDRDMEADFASIEREERRSAALARKEDEEQLRLIQEEERRERAMKKKKVAHKE >KQL29314 pep chromosome:Setaria_italica_v2.0:I:13330385:13331705:1 gene:SETIT_018090mg transcript:KQL29314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHGTTLSEERTDRHCSVVFPMATRDATVARCHRPMIHDDREAGHRQARELKQPGGQASVPSVPVAAKPTAAPHRRPSVPSVSQPARPPGPLAAHRHPARPRPRGARWPPRRDSSGSDPDLVPRQRRRVSEAVRGDVIWLSGSPAVSSWADGRTRAVRSSVHASTPGAAGSLLVRKGPRPYLPSRTELLLPLTLCAGNRTRGGYMLHACAAATRAGKGGGDCAWPDRRGRRPSKGKDCFWPACPCGWASGVWCVIATVMASWQAANVLQ >KQL31083 pep chromosome:Setaria_italica_v2.0:I:35477493:35480960:1 gene:SETIT_016604mg transcript:KQL31083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAMDFNALSRRELQALCKRNGVRANMTNAAMAEALQGLTSVDGIDEIGTTLCLPTPGRSAMKSAAMMAGMAGEEQHGSPLPRGRRVSVKSPEAIRMEVEEGEDEMKRDLVKEIVRTPGVALRSTSRRARATPAPLPTPVPASSARATTRRTAARKEEEVAPTPATLRRSQRTAGRKAAAPVEVKQPADDVSAEKRPTRSEISKMTMALDQEEEVQQEEPKADSSDVTIGSAVASDKSCDDTKMEDKATKPQEGIVEEKEPASVQKPASLATMEDSPILGVLSKDEASEPVIEKTQDASLEDDEGCAEWSPVMEMADEINEEAIKEDACTTTGETDHSPEEILPTAAEDETSEEDCLTEVKEGAADEMTQAELAGDETSEEDEDDDLAELKGAVDEMRHAELADDKTSEEDEEDGLAELKGAVDEMRQAELTDVEAIGEDEEWASDDAESSEEIYSTEESDEEADETSDEGDTADVVQMLPGTVIAEEAIEDASTEDDDFSSELPPEFDNVVFSDSDTESDIAAPVLEENQVVASATKTAVKSLDDSAITEEQEEEVSEEADATGKAMKEVDNIVKSLVEITIKEGEAMEEMQKLPQVENYESMSLRKLRTTYKERVIASKEGKVVAEGKRLPLEEVDENASADC >KQL27998 pep chromosome:Setaria_italica_v2.0:I:2379021:2379482:1 gene:SETIT_019073mg transcript:KQL27998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGAARVTSTTLLLAVLVATTWCVVAGNAPAGGGSAQAGGGASDNDRGMVASRFVLATAARRERVGRLTCRATGHPTGACSGGGFKCCGGACTDVLASASNCGACGRRCPFGRLCCGGRCAAVAYDAANCGACGRACAAGTPCTYGMCGYA >KQL29634 pep chromosome:Setaria_italica_v2.0:I:22356040:22356420:1 gene:SETIT_020397mg transcript:KQL29634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRARVNGPIMGLFDFFFDFLQLLNILDQLQTTYKYIISNQLEFSKDQIKAQNKNAAPTSTEA >KQL27738 pep chromosome:Setaria_italica_v2.0:I:323951:325186:1 gene:SETIT_019781mg transcript:KQL27738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARVVLDRSVFFRDHPLEAEPLGEEAPGVVGGNIAYLLAMEPDLQVVDPPGVSCLTMVRPHPSDPIAQYGRHLDSGFVAAAHKSLVAIYAGAWYSGWYLVLDLASSSPRSFTIPGIAGIGTTVITPLHPGAFFLAELLLSLRRPSKGLSSAMLCLWNSHSSSWAWDYKTSDLPVQVCHAWEVHMSFPVQSRNLLCWVDLLHGLLLCDLDEVRSELHMSFVPLPPNSCEAFDQQRLPNPQDFRTMACVDGTIKFFAMDGFVEGTPISLVTYTLDLDGPSTSWTKDTVLRLDDLWADETYISMGLPRDLEQIEGYWVRNVQFLLSVDTRKTRVISATQQNLPRTLLSSRFRITFDAASQLSSKYHQVEHYVEHT >KQL27930 pep chromosome:Setaria_italica_v2.0:I:1810166:1810624:1 gene:SETIT_019023mg transcript:KQL27930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGAWTHQASIHGIVANGEQSCITVHSIQFAETDNELKTKMFCMTNSKERTF >KQL31124 pep chromosome:Setaria_italica_v2.0:I:35780824:35783739:1 gene:SETIT_017451mg transcript:KQL31124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRERDGEGRRAHAAMVGVQLVYAGYHVIAKQALNVGVNRVVFCVFRDLLALSVLAPLAFFQHRGSPAQARPPPLTWRLVGSFFLLGLTGVFGNQLLFLLGLSYTNPTYAAAIQPSIPAFTFILALIMGTETVSLVSNEGRAKIGGTIVCVLGAVLMVLYRGPAVYGSSELELDVHSHGVLADMSQPEPAGSLVSLFMAFGLEKWHIGVLCLIGNCLCMATYLALQAPILVKYPSSLSLTAYSYFFGAVLMVISGVFATNDKGDWSLTQSEFAAVVYAGVMASALNYVLLTWSNKILGPAMVALYNPLQPVVSALLSMIFLGSPIYLGSIIGGLLIISGLYLVTWARHREKMTGIGVSYVKCASESLDGASHVTKNVPFISLSRLWDVPHES >KQL28801 pep chromosome:Setaria_italica_v2.0:I:8156612:8159790:1 gene:SETIT_017191mg transcript:KQL28801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQSIISVKQFAGPHRMAHTCTAPQPSAHNLLSAKSDNCGSAHDPQSSWAAVQTSSIKSEMVGSLSLTKILPFNLEKCSPGSNPDSAVSHVSQAELSDPVSSSSSTFCTSMFSSFQTNSESCRQKGALPFLPHPPKCEQKLQQQISAGQSSSSSSLLFGADLRSGGHDDAGDLKDFLNLSGDVSEGSFHGESSAMAFSEQMEFQFLSEQLGIAITNNEESPRLDDIYDRPLQTSSCPVPSYSGQEDLPSAVSPVKVQLSSSRPEACNKTRLRWTLELHERFVEAVNKLGGPEKATPKGALKLMKVEGLTIYHVKSHLQKYRFAKYLPETKEDKKSSSEGKKSQSAIPGNDAGKKSLQVAEALRVQIEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKARNSLSTTRNSAQEELPESTEKEETGMKVETSSEPLSRSKISDTDV >KQL29481 pep chromosome:Setaria_italica_v2.0:I:17210650:17217157:-1 gene:SETIT_017547mg transcript:KQL29481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGAKATAAKSADKDKGKKAGGPVSRSSRAAPQEKSAPKKDVYQLFAEKVRDNKQLESRWAIMQETRVEYFRGKDFTTFIKNHPEVREILGPDKDLEVEDIVNTLLTKDLVIRCDRVMKTVRPGKKKLSSWPAHLEIHNEQVFTENDGFFAWMFLKRRTLWQTILSFVWPLFALAVCLFPVYPYQCKIVVLYSCAGALLFIVSILLLRAAIFGILWVLLGKRVWFFPNINAEETTFRELVRFWPEKDEGERPKWTSRLFYALVAVLVILLLRHHAPDEAARARYQKKVSNIIDDVLEWSPKLAISGMIEKHTGANMTEASNYTSTAGTSHAPSSAEGKATEANPDTQADSDEIQDSEYADDTRTRSSKA >KQL27994 pep chromosome:Setaria_italica_v2.0:I:2358379:2358965:-1 gene:SETIT_0201821mg transcript:KQL27994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPYKPKNILITGAAGFIASHVTIRIIKNYPAYNIVVLDKLDYCSNLKNLLPVCSSFPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTMNNVYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDADAVVGNHEAAQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPN >KQL28700 pep chromosome:Setaria_italica_v2.0:I:7578792:7579158:-1 gene:SETIT_018909mg transcript:KQL28700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGAVGCVGRRCRRSGRERSGPRDAPVEGADGALGEGAVGGGARMRLEGRPLPPGAAEAWRSRGPPAATGGRHGLEE >KQL27862 pep chromosome:Setaria_italica_v2.0:I:1286193:1287515:-1 gene:SETIT_017705mg transcript:KQL27862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFYAKNRQASSSAEEGGGGASPSPGWEAQSRSDFAYQDPRPPVPQPGSGGTSSRALPAPARNKKEPRRVTHIPGISKVKGKVQDAWHHQKDEFACQDPRPPVSRYAALVGSRVRTGEDVEHLQKRGIVDNLLGTDDDAAAKFFQQLGDCASLEYEDHSFAAMFADLPVPQPGSGGTSSKAQPAPARNKKEPRRVTHIPGISKVKDKVQDAWHHQKDEFACQEPRPPVSRYAALVGSRVRTGEDVEHLQKRGVVDNLLGTDDDAAAKVFQQLGDCASLEYEDHSFAAMFADLNRYYRSSWRRHKAEFLRDHCSSPWAALVLVVAGCAFCFALFKFSTTGFH >KQL29602 pep chromosome:Setaria_italica_v2.0:I:21745320:21745853:-1 gene:SETIT_020414mg transcript:KQL29602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGVPISWAPKRRGDSARGELPTWASSPWKMTGSPAGFEFPNSP >KQL27684 pep chromosome:Setaria_italica_v2.0:I:93571:94596:-1 gene:SETIT_019863mg transcript:KQL27684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGDAASGRRCPKHPSEPPFTGFCSACLLDRLHATNLIGVASPSQPPAPPPPPLPLHQDPEEPPPPCSTDAAGRREGAERTTLLHLFQLEDQGEDTNAAAPSTSTSGGGDGQDPPPLLQRKRSLTHSCSEWIACCDANHSSCLPSRQSLDASSSTTSAAAAAANPHHPNDAAASARSNGVAMVERRTGSLRWNQLWAIKGLLGKPAGHLLSRSFSESSRSRYALHPGGGAIARSSSSQSQGNRLNGSRSVSSAGNGLDSSEISLPGDSVGRAHVHHCRPRLKDRLHWLRRSRSVHYSSPTSIADAGFTPFRSRSSSTRSVTHKNQRRFTAGFFAAQRHRH >KQL31544 pep chromosome:Setaria_italica_v2.0:I:38294513:38298930:-1 gene:SETIT_016356mg transcript:KQL31544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRARSSLHVAALALLLLQLLALAPPPHAAVAAASEPPYTCGAGAPPNIPFCDRSLPIERRVADLVARMTVEEKISQLGDESPAVPRLGVPAYKWWSEALHGVSDHGRGVHLSGPLRAATSFPQVILTAASFNPHLWYRIGQVIGVEARAVYNNGQAEGLTFWAPNINVFRDPRWGRGQETPGEDPTMTGKYAAVFVRGVQGYAIAGPVNSTDLEASACCKHFTAYDLENWKGVTRYVFDAQVTVQDLEDTYNPPFKSCVEDGHASGIMCSYNRVNGVPTCADYNLLSKTARQNWGFYGYITSDCDAVSIIHDAQGYAKTAEDAVADVLKAGMDVNCGSYVQQHGASALQQGKITEQDIDRALHNLFAVRMRLGLFNGDPRRNRYGDIGPDQVCTQEHQNLALEAAQDGIVLLKNDAGALPLSKSKVTSLGVIGFNANNAERLLGNYFGPPCVTVTPLQVLQGYVKDTRFAAGCNAAACNVTAIPEAVQVASSVDSVVLFMGLDQDQEREEIDRLDLTLPGQQQSLIESVANAANKPVILVLLCGGPVDVSFAKTNPKIGAILWAGYPGEAGGMAIAQVLFGEHNPGGRLPVTWYPQDFTKVPMTDMRMRADPATGYPGRTYRFYRGPTVFDFGYGLSYSKYSHRFVASGTKPPSMSDIAGLKALETTSAAGAAMYDVEAMGSEACERLKFPAVVRVQNHGPMDGKHPVLVFLRWPNATDDGSGRPARQLIGFRTLHLRAMQTAHVEFEVSPCKHFSRASEDGRKVIDQGSHIVMVGEDEFEMSFMA >KQL31795 pep chromosome:Setaria_italica_v2.0:I:39780685:39782564:1 gene:SETIT_017065mg transcript:KQL31795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRSRTDAGRRRALSQEPPPPETHASAEASKDNRVRPGGGAGAVTTAATATPKTSLRRKNSGKGHGSGALEALGREVVLLPNADAAAPVRSSSSECATHDETPKASPPWPFVVKEGSSGITCAENAGVASPESDRGEAFEGVPEGEPETKNAGVEKRCEEKVDASPDKPGNPNGEITSDSETEPSYVFINKDAAEEQAMTVSEPSKLAGSDAALDSDVRTNEENAEATPAPADATAAEIATTNAEEAPARESSDESSFSVRSGLSARPSCSSRDQSIERLLEADAVLLRKKREERAEKSTPKTPGSAGSRVSGAARSPRDTVRGFRRFLSFGKKNRGREVTVIDCTSPSVPSLADDDSASGGWQSAGSIKPRMGSSDAASDDTDHGYTASPQAACSLRSLVAASPAKSELAEIVPQEKSPKGKYVYGAYITLTSWHAFCVVPQATFIFISLPLNLCILSDFAIAVHRSFFTFRSLNCGRG >KQL31797 pep chromosome:Setaria_italica_v2.0:I:39780685:39782627:1 gene:SETIT_017065mg transcript:KQL31797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRSRTDAGRRRALSQEPPPPETHASAEASKDNRVRPGGGAGAVTTAATATPKTSLRRKNSGKGHGSGALEALGREVVLLPNADAAAPVRSSSSECATHDETPKASPPWPFVVKEGSSGITCAENAGVASPESDRGEAFEGVPEGEPETKNAGVEKRCEEKVDASPDKPGNPNGEITSDSETEPSYVFINKDAAEEQAMTVSEPSKLAGSDAALDSDVRTNEENAEATPAPADATAAEIATTNAEEAPARESSDESSFSVRSGLSARPSCSSRDQSIERLLEADAVLLRKKREERAEKSTPKTPGSAGSRVSGAARSPRDTVRGFRRFLSFGKKNRGREVTVIDCTSPSVPSLADDDSASGGWQSAGSIKPRMGSSDAASDDTDHGYTASPQACSLRSLVAASPAKSELAEIVPQEKSPKVHRSFFTFRSLNCGRG >KQL31796 pep chromosome:Setaria_italica_v2.0:I:39780685:39782627:1 gene:SETIT_017065mg transcript:KQL31796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRSRTDAGRRRALSQEPPPPETHASAEASKDNRVRPGGGAGAVTTAATATPKTSLRRKNSGKGHGSGALEALGREVVLLPNADAAAPVRSSSSECATHDETPKASPPWPFVVKEGSSGITCAENAGVASPESDRGEAFEGVPEGEPETKNAGVEKRCEEKVDASPDKPGNPNGEITSDSETEPSYVFINKDAAEEQAMTVSEPSKLAGSDAALDSDVRTNEENAEATPAPADATAAEIATTNAEEAPARESSDESSFSVRSGLSARPSCSSRDQSIERLLEADAVLLRKKREERAEKSTPKTPGSAGSRVSGAARSPRDTVRGFRRFLSFGKKNRGREVTVIDCTSPSVPSLADDDSASGGWQSAGSIKPRMGSSDAASDDTDHGYTASPQAACSLRSLVAASPAKSELAEIVPQEKSPKVHRSFFTFRSLNCGRG >KQL30916 pep chromosome:Setaria_italica_v2.0:I:34372487:34379422:1 gene:SETIT_016961mg transcript:KQL30916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAAASYTHAIRSSHPNASKQTKSPSVFYRPHRIWPPRHPAAAAAMGLEMEAMAASIGVSVPVLRFLLCFAATIPTGLLWRAVPGATGRHLYAGLTGAALSYLSFGATSNLLFVVPMALGYLAMLLFRRHAGLITFLGAFGFLIACHVYYMSGDAWKEGGIDATGALMVLTLKVISCAINYSDGILKEEGLRDAQKKYRLTKLPSLIEYFGYCLCCGSHFAGPVYEMKDYLDWTERKGIWASPTPSPLLPTLRALVQAGICMGLYLYLSPKFPLSRFSEPLYYEWGFWHRLFYQYMSGFTARWKYYFIWSISEAAIIISGLGFTGWSESSPPKAKWDRAKNVDVLGVELAGSAVQLPLVWNIQVSTWLRYYVYERLIQKGKKPGFLQLLGTQTVSAVWHGLYPGYIIFFVQSALMINGSRVIYRWQQTVNNSVFRGILAFLNFAYTLLVLNYSCIGFQVLSFKETLASYQSVYYVGTIVPIVCVLLGNVIKPARKPKAQKAE >KQL29198 pep chromosome:Setaria_italica_v2.0:I:11243368:11246788:1 gene:SETIT_019238mg transcript:KQL29198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKAIGVISGINEFGNLFQVVRSAVSFMLSHLSGPEEKKLKEDDVLQLQSDLRCLRDTLPAMYNLIDRAEWRSHLKLKIEGNATQLSPFIDFFHNVTHGSFNKVVDVHKRLSNLSRQIENMGLHEATPRFDKLLRPVTTSFRTEPKIFGRDKELEEVMRLLGVPNYCTLSSSKRKRSSNAASNKPRITSVPVLPVVGIGGVGKTTLVQQITTLQRVKSHFDKIIWICVSDEFDVERFTKVLIKSLSGEEATANNLDDLQQDLVERVQEKRFLIILDDIWPDALKEDGRCWRKFCAPLTNVLQGSMLLVTTRFAEVADLVGTMDSFALEGLKDDAFWNFFMLCVFGSEDSHIDPQLELIGRSILPKLKGTPLAAKTIGRLLRKSRNTAHWNDILNNELWQIEQKETDILPALRLSYMYLPFHLKRCFSFCAVYPKDYNFQMGSLAEIWVAEGFVEPQGSIPLQHIGCQYFEELVNLSFFQKLRGAYVIHDLMHDMAQLVSKEECFIVKNANDVENVPQSVRHLSILSTSHVKPAGIQSLWKHTKLRTLLCNNYLTSSIPTSVMDRWFTELKCLRVASMCTLPQSIGNQKHLRYLEISGYGLANSLPSSFCSLYNLQILYATTCTINELPRSFNKLINLQKFKSNFPIKVEIDAAEWGEKIGLINNFNQTTTDLIIYNLGAISKDHAAEAELKKKIHLNSLTLGWSSLICPEHNETDVIEALHPPTNIKSVYLNGYPGECLPSLFADLAAVTVDNNNGIVGTIFGSLTELSIEGCRKLSNLEQFLQPAYVPAIRKIVIADCPSLKSIPTEWFEYFPSLQELNISLTNLHLSCSDLASIELQKWSLPVLQELKISHCQSLTFIIESDLSLCKRRTGKFPLLTHLTIEFCLKLECLDDLLTHECLPAIKSITIWSCYLLSVPIERLGSFPFLKDLDISQCPCLNWQSVMVFPPSLQTLTLRNCGDFSAWSPNCLENLSSLESLTISNLTSLQNLKIQCCPDLLSIGGQEAIATVNRVYIAGCPNLKEIKQPRGSWWYVFHLAAE >KQL30350 pep chromosome:Setaria_italica_v2.0:I:30061308:30066146:1 gene:SETIT_016466mg transcript:KQL30350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFADAARHRASVARSLLLECECRNHHPAGGGENTLLRAGYGGWVLYTAASAGDVAFVQELLERDPLLVFGEGEYGVTDMFYAAARGGSADVFRLLLDHAMSPRCSTNCRDGEGGSGRGSVFRLEMMSRAVHAAARGGSVEMLRELLEERRSGVSVYLDVRGSTVLHAAAGRGQLQVVKYLLASFDIINSTDNHGNTALHVAAYRGHQPVVEALVTASPSTLSAINNAGDTFLHSAVAGFRTPGFRRLDHQLELMRYLIRERTTDIQKIINLKNDAGLTALHLAVVGCAHPDLVELLTTTPSIDLNAEDANGMTALALLKQQLRSATSDRLIKQIVSAGGILNSSILRTRSAIASQIKMQGGFASSPGTTFKVSDAEIFLFSGIGAAESQRPSSCSSNGKDDPAHADASGGENHRSSEKRLSSASRAKDRLKMMLRWPRHKEKMSKTPKKSEESSPLDSIKRLGEHGVETPAPLRQKFTKTTALNGKRTLAVKSSTPSSSSATKKKLNTKLIHGIMEAMPQLAAPSSAARSRATSDTLPRSSMSSTAPPPTLAKLKDICLDDEISMVTPPPVGRLKDIILDSDDAAEEPSCSNSSMDDGSGGDTGESAARKHGCGNGRLINICFGAQGLTVEDSVSGQPTSKMFKQQCLRVS >KQL30349 pep chromosome:Setaria_italica_v2.0:I:30061308:30066146:1 gene:SETIT_016466mg transcript:KQL30349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSCYFPLRWESTGDQWWYASPIDWAAADGHYDIVRQLLHLDPNLLIKLTSLRRIRRLEALWDDDARFADAARHRASVARSLLLECECRNHHPAGGGENTLLRAGYGGWVLYTAASAGDVAFVQELLERDPLLVFGEGEYGVTDMFYAAARGGSADVFRLLLDHAMSPRCSTNCRDGEGGSGRGSVFRLEMMSRAVHAAARGGSVEMLRELLEERRSGVSVYLDVRGSTVLHAAAGRGQLQVVKYLLASFDIINSTDNHGNTALHVAAYRGHQPVVEALVTASPSTLSAINNAGDTFLHSAVAGFRTPGFRRLDHQLELMRYLIRERTTDIQKIINLKNDAGLTALHLAVVGCAHPDLVELLTTTPSIDLNAEDANGMTALALLKQQLRSATSDRLIKQIVSAGGILNSSILRTRSAIASQIKMQGGFASSPGTTFKVSDAEIFLFSGIGAAESQRPSSCSSNGKDDPAHADASGGENHRSSEKRLSSASRAKDRLKMMLRWPRHKEKMSKTPKKSEESSPLDSIKRLGEHGVETPAPLRQKFTKTTALNGKRTLAVKSSTPSSSSATKKKLNTKLIHGIMEAMPQLAAPSSAARSRATSDTLPRSSMSSTAPPPTLAKLKDICLDDEISMVTPPPVGRLKDIILDSDDAAEEPSCSNSSMDDGSGGDTGESAARKHGCGNGRLINICFGAQGLTVEDSVSGQPTSKMFKQQCLRVS >KQL28052 pep chromosome:Setaria_italica_v2.0:I:2946404:2946822:1 gene:SETIT_020503mg transcript:KQL28052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTGRINNDRITSQLAPACTRTFLVTFFMENQRNDANHILIASARIMA >KQL29333 pep chromosome:Setaria_italica_v2.0:I:13835901:13837800:-1 gene:SETIT_019176mg transcript:KQL29333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSLALGLSSKRPRTTSRSPATPDGPDHLSPLPVEMVEEILRRLPLDDAVRTSALAKPWRYRWAECPGLELVAGDPPAAVDAVLNRYTCNVSRAQLDVPEESYCKIDGWLRALATKGIRYLVVCFTPVLLLRLPLLPSSLFSCRELTSLLLKHCDIPPLPPSFDSFPNLLALQLDDVSFVENGERTFEALIAKCPSLRSLILLFPSIGSDHMHEGNYSDWTIRAPNLKHFIISAWQDYGWRIDDLPLIEEARVHLEGPELPRILPGLSKVKNLSVEDLSLHTILITKSSTVLSMFCILRNAPNLEDLRITSLHESEENDEVNMEELLNAQRTVGLFSRLKCFCLFESIGHTNEMQFIEFLMSKATVLQEIEISVRDDGSKSPEVVFDELSQYKKASPQAEVIVNRYVS >KQL29840 pep chromosome:Setaria_italica_v2.0:I:25387837:25389798:-1 gene:SETIT_017766mg transcript:KQL29840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHAQYAAHAFPHDPRAVTRPALDTATTASALLGEHGCGGHQLAAVVRRQVPAVGGDTVFSDLTCNNNNSDIGPRKRARVGDVAGAGLIMDLEGHHALLPPVPVPQAFAPAGDVQSSRVLCSAAASTSGRTPGDTAPASQGFLSHLYQHGVEIDAIVRIETERLRAGLQEARRRHARAVVATVERAAARRLRAAEADLERALARNAELDEELRRTAAEGQAWRDVARSHEAVAAGLRATLDNVLQPPCAAEGEGDAEDARSCCFEREEHGAEARCRARACRACGAADACVLLLPCRHLCLCSGCDAAAEACPVCAATKNGSLHVLLS >KQL30178 pep chromosome:Setaria_italica_v2.0:I:28628766:28632488:-1 gene:SETIT_018132mg transcript:KQL30178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHVAVDVGELVASRAGEAAGLVPGGKEEAEALIGMVECRICQEEDLAKNLESPCACSGSLKYAHRECVQRWCNEKGDITCEICHQSYKPGYTAPPQVHHDETTIEISGGDWTISGNHLDLHDPRILAMAAAQHRLLEDEYDEYTATNNNAAAFCRSIFLILMALLLLRHTLTITNSDDEDDASAIFSLFLLRAAGFLLPCYIMAWAISIMQRQRQRQEEAMLLPTEVAIILHRNGRTMQFAVAPPESPTSPQPEPNQ >KQL30516 pep chromosome:Setaria_italica_v2.0:I:31407835:31411129:-1 gene:SETIT_016986mg transcript:KQL30516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGRRRRTTSSITPSPTSSSSSGNQESRPSQLPQWKFSQVLGELPAPAAGGHRNGPAALQDDADEISAIEFDGRGEYLAAGDHAGRVILFRRTDDDDAPPQAWPRAELERTDYAVAPPPRYSFAMEFQSHEPEFDVLHSLEIGEKIKKVRWCARPNHRSLCMLSANDRTVKLWKVSERKAPKKGDGERRRSTSALPLQEPHSEWAATKHRGSSADSSELIEKIGDVGDGYSAKCRRVFDRAHEFNINSISNNCDGETFVSADDLRINLWHLEVTSQCFNIVDKKPADMEDLVEVITTAEFHPSSCSLLGYGSSSGLVRLVDLRRSALCDQSVRTFKDRQSRPQPSTFFTEIISCTTDLKFTGEGRCLLTRDYMNLKLWDLRVETSPIATYKVHEFLRPKLSELYTEDYIFDRFSCCASKDGSYFATGSYRVQSHAPTKIPVLFSSFSLGVGRKGQDGPRSDGKEEMPCNMASRVTHIAWHPMENFIVCAANNSLYMYHR >KQL30943 pep chromosome:Setaria_italica_v2.0:I:34549607:34550134:1 gene:SETIT_020554mg transcript:KQL30943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKWANVEKDQRMIPNSKACEISVQRVRAQSKRGVSVLCTWRMPCSKSKTWNTFCL >KQL28148 pep chromosome:Setaria_italica_v2.0:I:3778972:3779998:1 gene:SETIT_019242mg transcript:KQL28148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLPAWPPRSVGTSLRWTFKAHPPPPPPCVFSPGCGGDACVRWGGVRRPARVRGGACGGAAEVSTPHPSPDTAIRPVRLPDRRLRARPRRRVWIPPSPKLSGRAQAPPRGRACQRCESSSPVQQAAKIPSRRRAPPSKVRSSLSFLPSRRQLQRPSPSVSRVTVCSLLLPPINSNVHAYMDDALNVFDETGTRFFSLFSFQFFLLRYGWVCSVLIHGCTSLSFIHG >KQL31462 pep chromosome:Setaria_italica_v2.0:I:37684546:37684929:1 gene:SETIT_020334mg transcript:KQL31462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIIKGKEVAELFHNKILKQQIINGNNKDID >KQL29124 pep chromosome:Setaria_italica_v2.0:I:10445656:10452094:1 gene:SETIT_017411mg transcript:KQL29124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHFPATPSAAAATGHRVSPSPRSSASLPRRTTLLPSIGAAGGPPSRSWRGAAAAVRAATGSDNKAASAGTIINPNDVPVLSFSEVAERLGTFQASGARNQNYMAMYSSIFGGITTDPSAMVIPIDDHMVHRGHGVFDTAAIMDGHLYELEQHLDRFLRSALMAKIPLPFGRSTMRSMLIQTVSVSNCTQGSLRYWLSVGPGDFQLSSSGCANPALYAVVIESPSIQVPSGCKVVTSSIPIKSSHFAVMKSVNYLPNALTKFEGEENGAFTGIWLDDEGFVAEGSNMNVGFVTAGKELLMPRFDKILSGCTAKRVLTLAEQLVADGRLSRIISRNVSVQEGKAADEMMLIGSGILVKPVVQWDDQIIGSGQEGPIAQALYDLILEDMRSGPPSVRIPVPY >KQL29215 pep chromosome:Setaria_italica_v2.0:I:11600666:11601640:1 gene:SETIT_019165mg transcript:KQL29215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKILERMPPAFRFRPKELELVEFYLLPRARGQDPYPGVIIEDDAAGSSLPWDLFERHGLGSEDEAYFLVRTSDAKKPGARQDRSCDGGVGSWKIQSSLEKSLRVGGEKISCRKSNLNLHMGKGKNGGSVGWVMHEYTIAAPPCPSLVKICHIAFTGHGKKRKRVPDYQEDCQIGQASSQRARVVATAAGGCSGGMMFDPDSGAVVYASADQVPTQDNILPQSPLLASSNFLSFPSAASANAEQYQELEQQVPTTDEEKVMMPQLMVEYASADEERSQLVLTNDIVPQSPRVDISDYLGFPSAAPANAEQYQELEQQVPSTEEQQ >KQL28856 pep chromosome:Setaria_italica_v2.0:I:8499962:8503226:1 gene:SETIT_016813mg transcript:KQL28856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAADPAGSGAPPPKEFDGSGAPGGGAAEGSAPRPVVAGLGDLRAGIPESSGQSEACLHPCNKDIPQFTADGAKQCGDRVSLLPLAGALQTEAPCLTLGRDSNAASVEKLHGSDPLSCGKENIGTDLQSKPDAEHGENRMSSAHLGLDLNTVDSSDAAELNPFFPYKKLGQSKVSDPSECGSTTGATEESESHRKWREMKQNGFLSSSHGKAVVPRPRGRPPKRKRDDEFKKNTSTQHGQANKFVKVAAPSGLLSGLNPGIINHVRNSKQVYSIIKAMVHSERLENENQPACTSRTSERGKEVSERIQDHNYGGGFMKCHFMMKDNNAMFHQTLPTTSQFLPQDGDSLKLQLSSAAAASSDRTCSTSADDLASNHDYMTLLSVKAASVASQWLELLHQDIRGRLAALKRSRKRVRNALHTELPYLISTEFSSNQENESSIANTSESGCTDKAVSEAHAARWKSLFVQMDRALQEEGKHLENRLKQVQEMQLNCDKGLKHMTSDAPLLGPMAELWKLKNPDTSESEWAVQAAAASIYSTCNMVMRTENVPCF >KQL30085 pep chromosome:Setaria_italica_v2.0:I:27939055:27942838:-1 gene:SETIT_017007mg transcript:KQL30085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGAKGAAGGSEITLEHTPTWIVASVCSVIVVISLLFERLLHRLGKRLSKSHRKPMYDALLKVKDELMLLGFISLMLNVVQGTMQKICVRRSVMHHLLPCPLPPSKLAKTTEHYGATVFTGVLGSTRRLLAGGGKNSDYCLNKGKVPILSTEAIHQLHIFIFVLAVTHVVLSAVTVILGAAQTRKWKYWEEQIQENGDDSGPQMIKHVQEFKFIQSHFKGHGKRWGIFGWLRSFFKQFYGSITEEDYTTLRLGFVMKHCRGHPKFNFYNYMHRALDGDFKKVVGISWYLWALLMIFLLLNVHGWYVYIWLSVVPFLALLVVGSKLEHIITELALEVAQKHTAIEGDLVVSPSDELFWFHRPKLVLLLIHIILFQNAFEIAFFFWLLVIYGFKSCIMGKPAYVITRLVISVISQLLCGYSTLPLYAIISQMGSSFKKAMFDQNISEGLTNWAQNARQRKRMPATNVGDSSPDGEGIQMVNARRVSAMEEGTARLV >KQL30084 pep chromosome:Setaria_italica_v2.0:I:27940172:27942782:-1 gene:SETIT_017007mg transcript:KQL30084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGAKGAAGGSEITLEHTPTWIVASVCSVIVVISLLFERLLHRLGKRLSKSHRKPMYDALLKVKDELMLLGFISLMLNVVQGTMQKICVRRSVMHHLLPCPLPPSKLAKTTEHYGATVFTGVLGSTRRLLAGGGKNSDYCLNKGKVPILSTEAIHQLHIFIFVLAVTHVVLSAVTVILGAAQTRKWKYWEEQIQENGDDSGPQMIKHVQEFKFIQSHFKGHGKRWGIFGWLRSFFKQFYGSITEEDYTTLRLGFVMKHCRGHPKFNFYNYMHRALDGDFKKVVGISWYLWALLMIFLLLNVHGWYVYIWLSVVPFLALLVVGSKLEHIITELALEVAQKHTAIEGDLVVSPSDELFWFHRPKLVLLLIHIILFQNAFEIAFFFWLLVRSINSYP >KQL30086 pep chromosome:Setaria_italica_v2.0:I:27939598:27942838:-1 gene:SETIT_017007mg transcript:KQL30086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGAKGAAGGSEITLEHTPTWIVASVCSVIVVISLLFERLLHRLGKRLSKSHRKPMYDALLKVKDELMLLGFISLMLNVVQGTMQKICVRRSVMHHLLPCPLPPSKLAKTTEHYGATVFTGVLGSTRRLLAGGGKNSDYCLNKGKVPILSTEAIHQLHIFIFVLAVTHVVLSAVTVILGAAQTRKWKYWEEQIQENGDDSGPQMIKHVQEFKFIQSHFKGHGKRWGIFGWLRSFFKQFYGSITEEDYTTLRLGFVMKHCRGHPKFNFYNYMHRALDGDFKKVVGISWYLWALLMIFLLLNVHGWYVYIWLSVVPFLALLVVGSKLEHIITELALEVAQKHTAIEGDLVVSPSDELFWFHRPKLVLLLIHIILFQNAFEIAFFFWLLVRSINSYP >KQL28162 pep chromosome:Setaria_italica_v2.0:I:3900409:3901284:1 gene:SETIT_019663mg transcript:KQL28162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGDLRAKDLVMSGTKPCRGLTLLFQPGESAYHVCNLYTGEHVSLPPCAWANRALPDGPYVLSSTGLGFDPAAGEHVVVRLFEDWRKQQRCEMYGLRSGGWRPFAGRVPPHAAKGLNGRPPVFLDLDGGCFFYWHINTSFSFAGPEERRFGTPEPILSLSVGTGQFRWVRPPEERVRHAFHLAELNGDLCAVVDTRLLVEHYELWVRSTTAGSGSTVPSSWSLRCRIGLASLPRPMREALGRGFRVLPLGSSGGKILLATSRHEVYAYDPERNSVDSVFSMQEHNSTTLQL >KQL29437 pep chromosome:Setaria_italica_v2.0:I:15798217:15798528:1 gene:SETIT_019753mg transcript:KQL29437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQISTNGDLHGQVSTLAMICSSPAYSRKNRSVPKELRKGLNSLIILVAWDLWKHRNACVFEWAGPNVQVVLQIVANGSRLWCMACASALHELLIRSLTPDSL >KQL31365 pep chromosome:Setaria_italica_v2.0:I:37105512:37106952:-1 gene:SETIT_017540mg transcript:KQL31365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASTAATGAPLRLLSSSSARLSKPILPRPHCLTLYSPISFQRLTARSAASPSPSTTSSSPSGSGSGSVDPSQLPPALRDIVGLFQSVPDARTRYKQLLAYASRLPPMDPALKTDSNRVRGCVSQVWVHAEPEEGDGGGRSVRFHADSDAQLTKGLAALLVLGLSGAPAADVAKVPVEFIELLGIRQSLSPSRNSGLLNMLNLMKLKALEIAGDTGGDSTTGQQSVQEVAEPRANGMENKGSEFAAFGVQEEEKSVADMPNEEEQLVEVPDNFVEGNGSSLGGGRKERIRESLERALSPVELEIEDISHLHKGHAGVAGSNGETHFNVRVVSKEFEGKSLLKRHRAVYDLLQDELKTGLHALSIDAKTPSEV >KQL28940 pep chromosome:Setaria_italica_v2.0:I:9099894:9101678:-1 gene:SETIT_020030mg transcript:KQL28940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGDGSSKADQKQRDDESEEENVDLELEPFFFDEAEAVADHERRMQREKAEALKEEQRGGRYYNRYHFEDFSRFDLDEESPLDLMRHTDRVFNEGDRYLQQDAVNILSVKMASLDVDFPINVYGTVIARDSIGCKCVYLFRREKDHPQLINSKDEILILTGPKRGLALLDDAYVEIDLKITDHQGQQEEELSRRLDKSIVESEDLATRLSTMEVKYAVVHEAVEATISIEVTEGEFYGKITACTTIIPNHLVLHDSIAAGVEKLLVTIVSQPGDIEYQDTVAFTPDINGRAGVEITLGITKMLVKVAWSLIEE >KQL28778 pep chromosome:Setaria_italica_v2.0:I:8043367:8050126:1 gene:SETIT_016614mg transcript:KQL28778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIMPGLIFALHVTGSLNAVISPEHRCEICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDEDGALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYGTCYVKIDWSKARNACAKEDLLCPRTELQSAVWTSLYWCVEPVLSSWPVNKLREKALDNLMEHIHYEDENTQYVCICSVSKALNMVCCWVEDPKSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTIQRAYEFMKHSQVLRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPVGRERLHDAVDCLLSFVNKDGTFSTYECKRTSSWIEILSPCESFPNIVVDYPYPECTSSVLQALILFRELYPSYRTEEINKSVRNAATFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACHFLLSKQLSTGGWGESHVSNVTKVYVNLEGSHAHAVNTAWAMLALIYAGQFERDPAPLHHAAKEMINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYRRCLRANKSSGPV >KQL28777 pep chromosome:Setaria_italica_v2.0:I:8043367:8050109:1 gene:SETIT_016614mg transcript:KQL28777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIMPGLIFALHVTGSLNAVISPEHRCEICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDEDGALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYGTCYVKIDWSKARNACAKEDLLCPRTELQSAVWTSLYWCVEPVLSSWPVNKLREKALDNLMEHIHYEDENTQYVCICSVSKALNMVCCWVEDPKSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTIQRAYEFMKHSQVLRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPVGRERLHDAVDCLLSFVNKDGTFSTYECKRTSSWIEILSPCESFPNIVVDYPYPECTSSVLQALILFRELYPSYRTEEINKSVRNAATFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACHFLLSKQLSTGGWGESHVSNVTKVYVNLEGSHAHAVNTAWAMLALIYAGQVQFASA >KQL28779 pep chromosome:Setaria_italica_v2.0:I:8043367:8050126:1 gene:SETIT_016614mg transcript:KQL28779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIMPGLIFALHVTGSLNAVISPEHRCEICRYIYNHQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDEDGALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYGTCYVKIDWSKARNACAKEDLLCPRTELQSAVWTSLYWCVEPVLSSWPVNKLREKALDNLMEHIHYEDENTQYVCICSVSKALNMVCCWVEDPKSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTIQRAYEFMKHSQVLRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPVGRERLHDAVDCLLSFVNKDGTFSTYECKRTSSWIEILSPCESFPNIVVDYPYPECTSSVLQALILFRELYPSYRTEEINKSVRNAATFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACHFLLSKQLSTGGWGESHVSNVTKVYVNLEGSHAHAVNTAWAMLALIYAGQFERDPAPLHHAAKEMINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYRRCLRANKSSGPV >KQL28780 pep chromosome:Setaria_italica_v2.0:I:8044376:8049756:1 gene:SETIT_016614mg transcript:KQL28780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLHKDPTKSSFSPKKTNNMQNEDGGWGTLILGSSSMFGTCSNYITLRLLGEEPNDEDGALAKGRAWILSHGGATLVPQWGKIWLSILGVYDWSGNNPIFPELWLAPQFLPFHPGKFWCLTRMVYLPMAYLYGKKFVGPITPTILALREEIYGTCYVKIDWSKARNACAKEDLLCPRTELQSAVWTSLYWCVEPVLSSWPVNKLREKALDNLMEHIHYEDENTQYVCICSVSKALNMVCCWVEDPKSDAFKRHLARVPDFLWLSEDGMKAQVYDGCQSWETAFIIQAFCATDLVNEYIPTIQRAYEFMKHSQVLRNHPGDQSYWHRHRSKGSWTLSSADNGWAVSDTTGEALKAVLLLSNIASNHIGDPVGRERLHDAVDCLLSFVNKDGTFSTYECKRTSSWIEILSPCESFPNIVVDYPYPECTSSVLQALILFRELYPSYRTEEINKSVRNAATFIESRQKEDGSWLGTWGVCFTYGAFFSIKGLVASGRTYENSSSIRKACHFLLSKQLSTGGWGESHVSNVTKVYVNLEGSHAHAVNTAWAMLALIYAGQFERDPAPLHHAAKEMINMQLETGEFPQQEHVGCFNCSLFFNYPNYRNIFPIWALGEYRRCLRANKSSGPV >KQL31395 pep chromosome:Setaria_italica_v2.0:I:37253371:37253898:-1 gene:SETIT_019543mg transcript:KQL31395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVVSATASCGDILGRGRSPPPPPPTTAATTQPPNDGTTPDPELVSVFRRFDADGDGRISADEMRESCGCTAEEAEEMVAAADRDGDGFISLEELGALLDGGDQSVDLRNAFAEYDEDGDGVITAEELRRALRRLGEEVTAERCAEMVAAFDRNGDGVISFDEFKAMLNTEPAA >KQL27918 pep chromosome:Setaria_italica_v2.0:I:1689244:1699317:-1 gene:SETIT_016150mg transcript:KQL27918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPCFQKVGKAERLDPTKFALVWNQIILSFRSEDLISNREMDLMTMPMSLEHSPGSIRWPLFLLAKKFSEAVDMVANFTGKSAQLFWKIKKDGYMFCSINDFYQLTKTIFKFLIIGETEKRVVAAIFDEIEKSILKSSLLTDFKMDHLPSLFSKFDRLAELLFINKQEHRYEVTILLQDIVDILIQDMIVDSQSILDVINSSERLISDDDGAFGYYQPELFASVSSITNIRYPFLDGQQKEQVKRLYLLLNTKEKVVEIPSNSEARRRISFFATSLFMDMPAAPKVRSMLSFSIVTPYFMEEVKFSDEELHSNQDEASILSYMQKIYPDQWKNFLERLGSKATNEEIRYWASYRGQTLSRTVRGMMYYRRALRLQAFLDRTSDQELYKGPLATEQGKNKRNIHQSLSTEIEALADMKFSYVISCQKFGEQKIKGDPHAQDIIDLMTRYPTLRVAYIEEKEVILHSSPHKVYSSVLIKAENNFDQEIYRIKLPGPPIIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAYKMRNVLQEFVRHPRDQAPTILGLREHIFTGSVSSLAGFMSYQETSFVTIGQRFLADPLRVRFHYGHPDIFDRIFHLTRGGISKASKTINLSEDVFAGYNSILRRGNIIYNEYIQVGKGRDVGLNQISKFEAKVANGNSEQTISRDIHRLGRRFDFFRMLSCYFTTVGFYFNSLISVVGVYVFLYGQLYLVLSGLQRALLHDAQTQNIKSLETALASQSFLQLGLLTGLPMVMELGLEKGFRSALSDFILMQLQFASVFFTFSLGTKAHYYGRTILHGGAKYRPTGRKFVVFHASFTENYQLYSRSHFVKGFELVFLLIVYHIFRRSYVSSVVHVMITYSTWFMAVTWLFTPFLFNPAGFAWQKIVDDWADWNRWMRNQGGIGVQPEKSWESWWNAENAHLRHSVLSSRILEVLLSLRFFIYQYGLVYHLNISQDNKNFLVYLLSWVVIIAVIGLVKLVNCASRRLSSKHQLIFRVIKLLIFLAVVTSLILLYCLCQLSIMDLIICCLAFIPTGWGLLLIVQVLRPKIEYYAIWEPIQVIAHAYDYGMGFLLFSPIAVLAWMPVISAIQTRVLFNRAFSRQEIQPFIVGKTKRR >KQL29242 pep chromosome:Setaria_italica_v2.0:I:11990552:11992909:-1 gene:SETIT_019557mg transcript:KQL29242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLALLLLFLSGPRRCSAAAASPPPPSPPPRPAPLVPALFVIGDSTADVGTNNYLGTLARADREPYGRDFDTHRPTGRFSNGRIPVDYLAERLGLPFVPPYLEQNMRTGAAGAGLGKIDGMIQGVNYASAAAGIISSSGSELGMHVSLTQQVQQVEDTYEQLSLALGEAAAANLFKRSVFFVSIGSNDFIHYYLRNVSGVQMRYLPWEFNKLLVSTMRQEIKNLYNINVRKVILMGLPPVGCAPHFLEEYGSQNGECIDYINNVVIEFNYALRHMSNEFISQHPDSMISYCDTFEGSVDILNNRERYGFVTTTDACCGLGKYGGLIMCVLPQMACSDASSHVWWDEFHPTDAVNHILADNVWSSQHTKMCYPLDLQQMVKLKL >KQL27960 pep chromosome:Setaria_italica_v2.0:I:2086986:2087659:-1 gene:SETIT_019657mg transcript:KQL27960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGWGGEPPVQYHISDDEEDKAFYARADRPRSPTSPIRREYALHHYNADPGNEVKYELVEATHSNCIFVGYAHVNFVARPRGGSKERLDTMVPTCLRSLDSEDDRVGGLAGEPWEDHAGPDEALYCFACHDALKHPKDGTCYKAGH >KQL29917 pep chromosome:Setaria_italica_v2.0:I:26386951:26392274:1 gene:SETIT_016425mg transcript:KQL29917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLANTLGRIDNLAPPRMPLLLSGDRRHAAPAADLSQTSAGVRESETMASVSRASSRNARSQAPSPATAPSSTVPAALGAVPRETLYVQSAAQSGSMVPANVSWAAAQLGRSGPVFTLAAPKPQAPALEVYGRDMTAEAGNTDPVVGRDDVIDRVICVLCRRSKNSAVLVGAPGVGKTAVAEGLAQRIAAGNVPAALSGARVVELDLGAVMVGTTARGMVEERVRNVIKEAEDANGKVILFIDEMHLLLGVGANLLKPALARGRIRCVGATTFDEHRKYVERDTAFERRFQKVHVPEPSLVATVAILQGLKKKFEEHHNTIIQDAAISAAVRLANRYITGRQFPDKAIDVIDEASAITRIQADNQLKGNNTHHSPVDTLKEAIVCPDQVAQVVSQFTGIPVNALAQDEKVKLMNLANRLQERVVGQEEAVNLVAQSVLRSRAGLDQPGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLIRFDMTEFVGSHSVLRLIGAPPSYHGYEEGGQLTEKVRQRPYSVILFDEIEKADPATFNVFLQLLDDGVLTDGKGRTVDFKNTIIIMTSNLGAEYLMKAMTGGKSMEAARELVIKQAEKHFKPEFLNRLSEIVIFEPLSQDNLRVVAYVQMKGIIARLADKGINISVSEAAIDVVLSESYNPLYGARPIRRWLQKNVMTKLSEMLVKGEVDADTTVIIDASEDMKDLRYIVKNARCPYKRPPLEISSDSDSDNDIDLNAPIEKKMKWI >KQL29491 pep chromosome:Setaria_italica_v2.0:I:17655015:17655950:1 gene:SETIT_019419mg transcript:KQL29491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISKFTCNIIVPITPRISYYYIRSKCPCHHIDLRKREVAIHHIKKLTDCLELVKLWETIDAQRSAVNLILRDIRNISRSFGEFTFVYATRTCNRVAHVSARQVSHDHVAEEWHGNPLLVLRDLLASDCNQVLT >KQL31818 pep chromosome:Setaria_italica_v2.0:I:39933028:39945103:1 gene:SETIT_016171mg transcript:KQL31818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAATESNDTLLGNGVVGILAETCNMWERRTPLTPSHCARLLLGGGRNRTRVNRIIVQPSPKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLQMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQRYLGLGYSTPFLSLGQSHMYPSLAAAKSAVIAVGEEIATFGLPSGICPIVFVFTGVGNVSQGAQEIFKLLPHTFVDAEKLPEISVARNLSKQSQSTKRVFQLYGCVVTSKDMVAHEDPTRHFDKADYYAHPEHYTPVFHERIAPYATVIVNCMYWERRFPRLLSIDQLQQLMKSGCPLVGICDITCDIGGSIEFVDKSTSIEKPFFRYDPSNTSYHDDMEGDGVICLAVDILPTEFSKEASQHFGDILSKFVASLASMKQLVELPSYLRRACIAHAGGLTSLYEYIPRMRKTMIDLAPAKANPLPDKKYSTLVSLSGHLFDKFLINEALDIIEAAGGSFRLVRCDVGQSIDDMSYSELQVGADDTATLDKIMDSLTTLANAHGGDHDAGKETELALKIGKVNECETCDTVDKGGPKVLILGAGRVCRPAAEFLTSYPNICSNGVDDNNTDQIHVIVASLYQKDAEEIVDGIKNATATQLDVADIGSLSDIVSQVEVVVSLLPTSFHAAVARVCIELKKNMVTASYVDESMSNLCQAAKGAGVTILCEMGLDPGIDHLMSMKIIDEAHARKGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGALRSGKNPAVYKFLGETIHVDGRSLFESAKRLRLLELPAFALEHLPNRNSLVYGDLYGISKEASTVYRATLRYEGFSEIMDTLSKLGFFDTANHPLLQDTNRPTYKGFLDGLLNANNISTTTTNLNIEASGGYDDELIARLLALGHCKEKEIAVKTVKTIKFLGLHEETQIPKDCSSAFDVICQRMEQRMVYGQNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGQSTTAMALTVGVAAGIGALLLLQNRVQAKGVIRPLEPEIYIPDVGVVRHQAD >KQL31821 pep chromosome:Setaria_italica_v2.0:I:39933897:39944491:1 gene:SETIT_016171mg transcript:KQL31821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAATESNDTLLGNGVVGILAETCNMWERRTPLTPSHCARLLLGGGRNRTRVNRIIVQPSPKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLQMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQRYLGLGYSTPFLSLGQSHMYPSLAAAKSAVIAVGEEIATFGLPSGICPIVFVFTGVGNVSQGAQEIFKLLPHTFVDAEKLPEISVARNLSKQSQSTKRVFQLYGCVVTSKDMVAHEDPTRHFDKADYYAHPEHYTPVFHERIAPYATVIVNCMYWERRFPRLLSIDQLQQLMKSGCPLVGICDITCDIGGSIEFVDKSTSIEKPFFRYDPSNTSYHDDMEGDGVICLAVDILPTEFSKEASQHFGDILSKFVASLASMKQLVELPSYLRRACIAHAGGLTSLYEYIPRMRKTMIDLAPAKANPLPDKKYSTLVSLSGHLFDKFLINEALDIIEAAGGSFRLVRCDVGQSIDDMSYSELQVGADDTATLDKIMDSLTTLANAHGGDHDAGKETELALKIGKVNECETCDTVDKGGPKVLILGAGRVCRPAAEFLTSYPNICSNGVDDNNTDQIHVIVASLYQKDAEEIVDGIKNATATQLDVADIGSLSDIVSQVEVVVSLLPTSFHAAVARVCIELKKNMVTASYVDESMSNLCQAAKGAGVTILCEMGLDPGIDHLMSMKIIDEAHARKGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGALRSGKNPAVYKFLGETIHVDGRSLFESAKRLRLLELPAFALEHLPNRNSLVYGDLYGISKEASTVYRATLRYEGFSEIMDTLSKLGFFDTANHPLLQDTNRPTYKGFLDGLLNANNISTTTTNLNIEASGGYDDELIARLLALGHCKEKEIAVKTVKTIKFLGLHEETQIPKDCSSAFDVICQRMEQRMVYGQNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGQSTTAMALTVGVAAGIGALVVTLTTVILA >KQL31820 pep chromosome:Setaria_italica_v2.0:I:39933028:39945103:1 gene:SETIT_016171mg transcript:KQL31820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAATESNDTLLGNGVVGILAETCNMWERRTPLTPSHCARLLLGGGRNRTRVNRIIVQPSPKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLQMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQRYLGLGYSTPFLSLGQSHMYPSLAAAKSAVIAVGEEIATFGLPSGICPIVFVFTGVGNVSQGAQEIFKLLPHTFVDAEKLPEISVARNLSKQSQSTKRVFQLYGCVVTSKDMVAHEDPTRHFDKADYYAHPEHYTPVFHERIAPYATVIVNCMYWERRFPRLLSIDQLQQLMKSGCPLVGICDITCDIGGSIEFVDKSTSIEKPFFRYDPSNTSYHDDMEGDGVICLAVDILPTEFSKEASQHFGDILSKFVASLASMKQLVELPSYLRRACIAHAGGLTSLYEYIPRMRKTMIDLAPAKANPLPDKKYSTLVSLSGHLFDKFLINEALDIIEAAGGSFRLVRCDVGQSIDDMSYSELQVGADDTATLDKIMDSLTTLANAHGGDHDAGKETELALKIGKVNECETCDTVDKGGPKVLILGAGRVCRPAAEFLTSYPNICSNGVDDNNTDQIHVIVASLYQKDAEEIVDGIKNATATQLDVADIGSLSDIVSQVEVVVSLLPTSFHAAVARVCIELKKNMVTASYVDESMSNLCQAAKGAGVTILCEMGLDPGIDHLMSMKIIDEAHARKGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGALRSGKNPAVYKFLGETIHVDGRSLFESAKRLRLLELPAFALEHLPNRNSLVYGDLYGISKEASTVYRATLRYEGFSEIMDTLSKLGFFDTANHPLLQDTNRPTYKGFLDGLLNANNISTTTTNLNIEASGGYDDELIARLLALGHCKEKEIAVKTVKTIKFLGLHEETQIPKDCSSAFDVICQRMEQRMVYGQNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGQSTTAMALTVGVAAGIGALLLLQNRVQAKGVIRPLEPEIYIPGECFHLSLWNHRNVQQC >KQL31822 pep chromosome:Setaria_italica_v2.0:I:39935130:39945103:1 gene:SETIT_016171mg transcript:KQL31822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLSVLHFQFIVSDMWFDLKNSTSHMYNFNATVSQGAQEIFKLLPHTFVDAEKLPEISVARNLSKQSQSTKRVFQLYGCVVTSKDMVAHEDPTRHFDKADYYAHPEHYTPVFHERIAPYATVIVNCMYWERRFPRLLSIDQLQQLMKSGCPLVGICDITCDIGGSIEFVDKSTSIEKPFFRYDPSNTSYHDDMEGDGVICLAVDILPTEFSKEASQHFGDILSKFVASLASMKQLVELPSYLRRACIAHAGGLTSLYEYIPRMRKTMIDLAPAKANPLPDKKYSTLVSLSGHLFDKFLINEALDIIEAAGGSFRLVRCDVGQSIDDMSYSELQVGADDTATLDKIMDSLTTLANAHGGDHDAGKETELALKIGKVNECETCDTVDKGGPKVLILGAGRVCRPAAEFLTSYPNICSNGVDDNNTDQIHVIVASLYQKDAEEIVDGIKNATATQLDVADIGSLSDIVSQVEVVVSLLPTSFHAAVARVCIELKKNMVTASYVDESMSNLCQAAKGAGVTILCEMGLDPGIDHLMSMKIIDEAHARKGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGALRSGKNPAVYKFLGETIHVDGRSLFESAKRLRLLELPAFALEHLPNRNSLVYGDLYGISKEASTVYRATLRYEGFSEIMDTLSKLGFFDTANHPLLQDTNRPTYKGFLDGLLNANNISTTTTNLNIEASGGYDDELIARLLALGHCKEKEIAVKTVKTIKFLGLHEETQIPKDCSSAFDVICQRMEQRMVYGQNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGQSTTAMALTVGVAAGIGALLLLQNRVQAKGVIRPLEPEIYIPALEMLESSGIKLTERVEI >KQL31819 pep chromosome:Setaria_italica_v2.0:I:39933028:39945103:1 gene:SETIT_016171mg transcript:KQL31819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAATESNDTLLGNGVVGILAETCNMWERRTPLTPSHCARLLLGGGRNRTRVNRIIVQPSPKRIHHDAQYEDAGCEISEDLSECGLIIGIKQPKLQMILPDRAYAFFSHTHKAQKENMPLLDKILEERVSLFDYELIVGDDGKRSLAFGKFAGRAGLIDFLHGLGQRYLGLGYSTPFLSLGQSHMYPSLAAAKSAVIAVGEEIATFGLPSGICPIVFVFTGVGNVSQGAQEIFKLLPHTFVDAEKLPEISVARNLSKQSQSTKRVFQLYGCVVTSKDMVAHEDPTRHFDKADYYAHPEHYTPVFHERIAPYATVIVNCMYWERRFPRLLSIDQLQQLMKSGCPLVGICDITCDIGGSIEFVDKSTSIEKPFFRYDPSNTSYHDDMEGDGVICLAVDILPTEFSKEASQHFGDILSKFVASLASMKQLVELPSYLRRACIAHAGGLTSLYEYIPRMRKTMIDLAPAKANPLPDKKYSTLVSLSGHLFDKFLINEALDIIEAAGGSFRLVRCDVGQSIDDMSYSELQVGADDTATLDKIMDSLTTLANAHGGDHDAGKETELALKIGKVNECETCDTVDKGGPKVLILGAGRVCRPAAEFLTSYPNICSNGVDDNNTDQIHVIVASLYQKDAEEIVDGIKNATATQLDVADIGSLSDIVSQVEVVVSLLPTSFHAAVARVCIELKKNMVTASYVDESMSNLCQAAKGAGVTILCEMGLDPGIDHLMSMKIIDEAHARKGKVKAFTSFCGGLPSPAAANNPLAYKFSWNPAGALRSGKNPAVYKFLGETIHVDGRSLFESAKRLRLLELPAFALEHLPNRNSLVYGDLYGISKEASTVYRATLRYEGFSEIMDTLSKLGFFDTANHPLLQDTNRPTYKGFLDGLLNANNISTTTTNLNIEASGGYDDELIARLLALGHCKEKEIAVKTVKTIKFLGLHEETQIPKDCSSAFDVICQRMEQRMVYGQNEQDMVLLHHEVEVEYPDGRPTEKHQATLLEFGKVENGQSTTAMALTVGVAAGIGALLLLQNRVQAKGVIRPLEPEIYIPALEMLESSGIKLTERVEI >KQL30820 pep chromosome:Setaria_italica_v2.0:I:33609353:33612832:-1 gene:SETIT_018129mg transcript:KQL30820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNSSSAAAATAADGGDDLDQLLDSALDDFTSLDLSASAAPKSSGSEASASASGSGAKGPVLGLGLGLPDPKAPKRRGAKPPPLPRGACASEALEKLTRETREAVRGLETATGGVPSLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEDNKGLLRCLNQHQIVV >KQL30821 pep chromosome:Setaria_italica_v2.0:I:33609618:33612709:-1 gene:SETIT_018129mg transcript:KQL30821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNSSSAAAATAADGGDDLDQLLDSALDDFTSLDLSASAAPKSSGSEASASASGSGAKGPVLGLGLGLPDPKAPKRRGAKPPPLPRGACASEALEKLTRETREAVRGLETATGGVPSLDDDAMMEEFVKQFEEFAGAQDMDSIVETMMQQLLSKEILHEPMKDIVEKYPKWLEDNKGTISKEEYERYNNQLGLMVKLIEVYENDPENMTKIFDIMQNMQECGQPPSDLVQDIVPDLDLSKLGQLSPEMLESAPNCCVM >KQL31422 pep chromosome:Setaria_italica_v2.0:I:37400066:37400808:1 gene:SETIT_018673mg transcript:KQL31422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRHNGSTNKKQISVLSKHERTAQIKGQAVANPIGTVDVHVLLYNPRLLPLLRAVSSCLGRRRAHAAAAGVRRPAGQRGAEEEAAEHAADDPLQHRPSLGDVARVDSLRRRILVAAAGARVSTSGTHGGCWLGE >KQL31730 pep chromosome:Setaria_italica_v2.0:I:39414088:39416041:-1 gene:SETIT_019733mg transcript:KQL31730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRRRPPGQPLGYLLPSDPPAAPRPSPAASVDHQERPAADKREEAGLHLHPFAAADLGEGHSSPARCPALLPLLPKDSVVERGRVLGAQQQVPADGRRSLENGHHHVPEPVIKAGVHLSNGGAGAAVSATTTTTTIGANATKPQVMKDDGGGGGSKKTRGPGVLLEGSRCSRKNGRGWRCSQPTMVGYALCQYHLGKGRMRSAAAAAAGKLGRTENGKRASAAPSPAAAAPPKADMPPPSVQHC >KQL31464 pep chromosome:Setaria_italica_v2.0:I:37707256:37708753:-1 gene:SETIT_019356mg transcript:KQL31464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDFCRGGEPARACAGPPGHDAEPGTNHPVHSRQPLLPREPRARRVGVRGGARSWTEKEQWVGCLVGIYTTSALERLLCPLALPRHRRSPSAANSPSSRAPACVPACAVRGPGHPSSSTRGSGMGNCQAAEAATVLLQHPGGRVERLCWSTSAAEVMRANPGHYVALVTLRVAEERQDAPGGESRTVRLTRVKLLKPKETLLLGHVYRLITTQEVTKAVQARKEEKQRKAQQQLLESRPGKARGAAEEEDDDEAALDASLDQLARQDNGNRSSSARHRQWRPSLQSIDEATS >KQL31530 pep chromosome:Setaria_italica_v2.0:I:38224571:38226557:1 gene:SETIT_020238mg transcript:KQL31530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYSSTSKPWLQLHPPSPSQGAGAAAAAARLVALAARSKRRGAGAAAAEGVDEAAEAAELVRSLLRRTGGGKERLVPVLDRHVRVVRTEHCFLLFEELGRRDGWLQCLEVFRWMQKQRWYVADNGIYSKLISVMGRKGQIRMAMWLFSQMRNSGCKPDTSVYNSLIGAHLHSRDKSKALAKALGYFEKMKSIERCQPTIVTYNILLRAFAQAGDTKQVDILFKDLDESIVSPDIYTYNGVIDAYGKNGMIKDMESVLQRMKSKQCRPDVITFNILIDSYGRKQTFDKMEQVFKSLLRSKERPTHPTFNSMITNYGKARLREKAESVLEKMEEMGFKPNYVTQECLIMMYAHCDCVSRARQIFDELVTSQNKVHLSSLNAMLDAYCMNGLHSEADRLLDTALQKGIVPSGSTYKLLYKAYTKANDTVLVQKLLKRMNKQGIVPNKKFFLDALEAFGTSERKPRTSSATNSASKPSSDSAGDSQTVDSNKPGDSET >KQL30768 pep chromosome:Setaria_italica_v2.0:I:33236751:33239796:-1 gene:SETIT_018190mg transcript:KQL30768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTAAATVASTAVLAPRPAPTSAFLRRTQATSHRHPILKCRRAGTLTPAAAAGGSSPSSAVFHGEVFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFAGLPTAAYPTPFVDPGEESTRYAVIVGGANFGCGSSREHAPVALGAAGARAVVAESYARIFFRNSVATGEVYPLELHDAGAWKECKTGDVVTVDLGNSVLINHTSGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIASKAAA >KQL30769 pep chromosome:Setaria_italica_v2.0:I:33238962:33239705:-1 gene:SETIT_018190mg transcript:KQL30769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTAAATVASTAVLAPRPAPTSAFLRRTQATSHRHPILKCRRAGTLTPAAAAGGSSPSSAVFHGEVFVVGDNIDTDQIIPAEHLTLVPSKPDEYRKLGSFAFAGLPTAAYPTPFVDPGEESTRYAVIVGGANFGCGSSREHAPVALGAAGARAVVAESYARIFFRNSVATGEVYPLELHDAGAWKECKTGDVVTVDLGNSVLINHTSGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIASKAAA >KQL30218 pep chromosome:Setaria_italica_v2.0:I:29004967:29018502:1 gene:SETIT_019044mg transcript:KQL30218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPHPRLPLLPGVCPILSFPFQFPCRRYRSTTSRLRCGLRPLCRLRADAMAGRRAGIVIDVDKVAETGDLDLPVDVSFTRRLPPALTVGDGLDALRRAAAEVKASPPAGARSGVIRFEVLVPPSTKALKWCSQFRGSSLFPQFYLSRKLTSCPSFQLETCGIGSAICLHGSYLGKHGFDLVLRYISSDSHLIRAYGFVGMKYDEELSSIEEKLGSYYFFIPQVELSEFDSCSILSSTMVWDDSVSHTFKDAVSLFESCFDQIQNSYDSSDNICHKGLVPSYISGDAHLSETGNPQLVYLDAELLATIDAKADVLKEKFLTSDQSFVRFSPQFFFCSNMDLCLQHKTESFIKGSSNINLVWASLIVEECVRLGFTYFCIAPGSRSSALALSATCHPLTTCISCYDERSLGFHALGYGRGSRKPAVVITSSGTAVSNLLPSVVEASEDFIPIILLTADRPPELHDAGANQAINQVNHFGTFVRYFFNLPPPTDQIHARMVLTTLDSAAYYAMQAPQGPVHINCGFREPLDYTNRDWNIDCLRGLDKWFLNSEPYTRYLIMKTVSAFGNYSSSVMEILEIVEKADQGLLLVGALHTDDDMWAVALLARHLSWPIATDILSGLRLRKAVNLVPGLDKSILFIDYIDQILLSDSAKNWISPDVVVQIGSRITSKRVGMFLETCFPSSYILIDRHPCRHDPSHVVTHRIQASVVEFAASLCHCNFPRKTSRWTDILMVVNSVVSQEIMFQIHSKCSLTEPYVAQVIGESLYGDAIIFVGNSMVIRDLDMFGKGWTDYTTNGNSMIMHHFPDFVGTTVAGNRGASGIDGLLSTAIGFAVGSNKHVFCVVGDMSFLHDTNGLALLNQRAPRKPMTIIVVNNHGGAIFSFLPIAENTSPQILKKFFYTSHDISISNLCAAHRVKHFLVQTKAELHDALVKSKEEQIDCVVEVDNSIDSNANFHRIMNMFSAYSTTLYLDYLLGAPYFKSEINDMPVDTIHGAEYMLYRIQLSAPRTSGLSDDRFSHEGIILKLHVDDNIAGFGEVAPIEIHEEDLLDVEEQLRFIFHMMKDCVLDVIPLLKGSFSNWIWTSLGIPPSSIFPSVKCGLEMAILNLLASQRKCGWSKVLAGSDPLVQDQNSSASIEICALVDCNGTPMEVALAVAKLVAEGFTTVKLKVGRRESPIEDAAVLHKIREVVGYQINIRVDANQKWTYEQAVEFGSRAKGLRLEYIEEPVSSVNDLIKFCDKSGLPVALDETIDNLKGDVIPKLHQFVHPGIVALVIKPSVVGGFENAAHIAKWAQMHDKMAVISSAYESSVGLASYIQLSHYVDQQNSIVSRIKNKGTCGVAAHGLGTYKWLREDVSEQKLNIHATPLGDGIRTSVEDAHRYLHHLNISSNKIERTYSEEKLRSYSIQVDVDDCSYIVKLQEAGDHTNEKVVLLLHGFLGTSDDWVPMMKALSPSARVIAVDLPGHGESQMLQHHVENSEQYLVTVQSVADLLLKLVGQITDGEVVVVGYSMGARIALHMALNQVHQIRGAVIISGSPGLRDEESRRRRIAVDGSRAKFLMSCGLDCFLETWYSAKMWTSLREHPKFNSLVRTRSKHKNIKALAKVLADSSVGRQKSLWEDLKHLKRPLLIVAGEKDTKFKDISQRMCSEITQHAECGSGGRDGNELCDVIIIPDSGHAVHVENPLPLVRAVRKFLQKLH >KQL30651 pep chromosome:Setaria_italica_v2.0:I:32398486:32400710:1 gene:SETIT_018323mg transcript:KQL30651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGREVREYTNLSDPKDRKFGKGKDKIDDEDITFQRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAAILADSTPAVPVALRVEPKPKSDIRQQDLLKHIVGIKPKRPKVSSPSQPADSNKTNQCEEDSVSKLSSSQNQLEPPLGEKGSSHGTVNAEYTVPRPDEPSVAKQQNTAGSLLGLAYESSDEE >KQL30652 pep chromosome:Setaria_italica_v2.0:I:32398486:32400710:1 gene:SETIT_018323mg transcript:KQL30652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAAILADSTPAVPVALRVEPKPKSDIRQQDLLKHIVGIKPKRPKVSSPSQPADSNKTNQCEEDSVSKLSSSQNQLEPPLGEKGSSHGTVNAEYTVPRPDEPSVAKQQNTAGSLLGLAYESSDEE >KQL31720 pep chromosome:Setaria_italica_v2.0:I:39368497:39369350:1 gene:SETIT_018930mg transcript:KQL31720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLLRPSKLRCKVKRLETVMLGWHKNKRSGNTKWTLGIPQGLCQLDHLHCFSNVVLTVCACCIGSSFDDS >KQL28213 pep chromosome:Setaria_italica_v2.0:I:4333602:4336007:1 gene:SETIT_018626mg transcript:KQL28213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTEAVNNLSISGGGAAAAAADDAGAEGHKKNRIQVSNTKKPLFFYVNLAKRYMQLHNEVELSALGMAIATVVTVAEILKNNGLAVVKKIMTSTVDVKDETRSRPIQKAKIEILLGKTEKFDELMAAAAEEREAAEAEEQS >KQL28551 pep chromosome:Setaria_italica_v2.0:I:6616213:6617541:-1 gene:SETIT_017601mg transcript:KQL28551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPAVIAAHGHSILFKVYVPFCDPHCHRPCFFPIDYFVYSAPCSPFSPPRLRRLPVCKDGVHTEPDDRFFQPYRRQQQRVMLDRGMGLLCHGEDGEFTVADLTHCYYHEVELCVLHDPPLGRRAEIGWSVERLQIPPDMHMRGLDLFSFNSDAVIPIHGCFLAWVDYYQGMLLVDVLHADVDPQLNFIPLPAETLLSCRVNYDEGCPDPARCVSVTDSGTIKLVCIITGTSPSFTIATWTLVDMDQGVWEKDGTAMEDIQFWGLYAGLNLPWVHPSFPVVSLVDPDVICFLLKEEDSHNFWMIEVNMRTEVLQSSAIYINEEEEERYSSKKTPRNFFDGHCFIPSKFSAYLEKDAITR >KQL30557 pep chromosome:Setaria_italica_v2.0:I:31634957:31636502:-1 gene:SETIT_018388mg transcript:KQL30557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPNPESPGGGDGGGAGGSSSGASSSAGGGAPQTPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHTAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPPHPPADHAGGSGGHPPPPPPPPPAAGAAC >KQL30245 pep chromosome:Setaria_italica_v2.0:I:29302066:29302748:1 gene:SETIT_018854mg transcript:KQL30245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALLLLALLASAAVLAAAADQQTHDNEPEKATASDAGGVEDDWHGGSGSVDQYGHACENGCCHRVYHGGCQRCCPPGGARRPEVKN >KQL27845 pep chromosome:Setaria_italica_v2.0:I:1113461:1114663:1 gene:SETIT_019659mg transcript:KQL27845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLATARECRAYSLGAGGGSGTALRNRWEYINAGVYVFSAVLLVGGFLGQLLATTWGGSSRPALVVAAVGLAGVLAANVHDLLAHVAGVDYRLGMVAGLDAQLALVEIAVPAVQIAGTTLMLIAVVVFEIQMERGYRHGLARHGLNLLIAGPALWCLGSVHNICQVYERASGHVQLLQKSVQIPLLLGSTLFLIAGIVNRHDRRSRTAAFSLLGRSWAWFCLFGSLLFLAGGVLNLLKVFKTQQMGGRGMEKLRGGAQERLAMEREGKVPLILEHGGRRGTRDPAVVPPPPQQQPPHGSYKDALVSSAS >KQL30480 pep chromosome:Setaria_italica_v2.0:I:30814849:30817138:1 gene:SETIT_018096mg transcript:KQL30480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPCHGVATANPQLLGAPAASRYGSPARPSLRARPAFLSLRDPAFRREQKSFALRAGADFHRHVLSSSFAKYDTIKGIKPLLPSPKLHPRTQVGCQASLSSFSYPELSSKPKWWWRSLACVPYLLPLHNMWGHADAIYQLHPYLQRFSLLYAFIDTMALLPGWLFLVIFMTIYFFVVRRKWSPHFLRFHIILAILLDTGSQALATAINWSPSIVFQGKPMAYFWMTMAFIQIFTVVECMRCALSGVYPNVPFISHTAFIHSDLNLFR >KQL32159 pep chromosome:Setaria_italica_v2.0:I:41582448:41582935:1 gene:SETIT_020547mg transcript:KQL32159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQWPTECIGSQYELLTSLAGSCSATFFLILSLVYCSFILY >KQL29986 pep chromosome:Setaria_italica_v2.0:I:27281558:27283516:1 gene:SETIT_019963mg transcript:KQL29986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRMKHLNIPPVMKVNSNMIVDLFSHSYTLPSPSTNTSVRWLWVEAARVWAHATATFPVRASEVKRFGEQAKRLRKDQAAYGDMRGYAGMKRQGETGEGRDVRQMTEVDLRHKLNRVQDERRRACPSARVKKGMRLYGFGMPGQLFYSLTVPVENVEVDNSIRAIVSVLEGRGTKFCVSTELKYLADVEWDWQVKRLLSSDFLVIVPSMAVLKLLRNMGRIRFTCSDLVATVEETKMDPDSFATLQTVCVKATGIPKVVRKEPHVMELAYLVGDPEEVCIESLNWRKIWVKVSCKDPKHINGSSDVLTTKKGYMITWTVAHKGQTKPIRGSEDKGVDDGDITDEEEPDSQNSYGSLMESVLKSGASPS >KQL29344 pep chromosome:Setaria_italica_v2.0:I:14074921:14081903:-1 gene:SETIT_017958mg transcript:KQL29344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDAALLAAVVAFLLPLRLISLALRLAYKGCGASGRHLRRSCAALAVAGALLAVIFALPRDRAGECAVPGTTVIDGEELRSEVEQLKLQLARLESLWDNNLKALNEKGDTLEKVLGKKGDPLEEEDGRVMRAMGLDIQSLINEQENIKESSCSSDFGDNIKAMEDEVRLIKDESSKMNSDIHSVWALAKDATEKVEALHSDIKKGQVLTDEWGKMNSSINRLWSFVKGTEKKVEGLCSDIKKVQHITGEWGKMNFNRMWSFAKDTEKKVEDLYSDIKKGFKQTKRKVPFMRT >KQL29345 pep chromosome:Setaria_italica_v2.0:I:14073563:14081903:-1 gene:SETIT_017958mg transcript:KQL29345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDAALLAAVVAFLLPLRLISLALRLAYKGCGASGRHLRRSCAALAVAGALLAVIFALPRDRAGECAVPGTTVIDGEELRSEVEQLKLQLARLESLWDNNLKALNEKGDTLEKVLGKKGDPLEEEDGRVMRAMGLDIQSLINEQENIKESSCSSDFGDNIKAMEDEVRLIKDESSKMNSDIHSVWALAKDATEKVEALHSDIKKGQVLTDEWGKMNSSINRLWSFVKGTEKKVEGLCSDIKKVQHITGEWGKMNFNRMWSFAKDTEKKVEDLYSDIKKGFKQTKRKVPFMRT >KQL29228 pep chromosome:Setaria_italica_v2.0:I:11772699:11775469:-1 gene:SETIT_018313mg transcript:KQL29228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLLPPTVCATGAAAAPASSAAFSRPPPSSRLRCSHLPFAFPRLRKYGRRHREPVAAPTSDDNDEEETEAEDGDYDDDDEEEIEMAVDEEEFLATRPKPMGFGEGKTYSTDIEEQLLREMGLGGARSRGDATSAKRREGNGSAKETNSDLNDKGVQVRVWNLPKKKNIHKDLKQAFKGFPGLLSIDPAVSANKKTRDPICKGFAYLKLESADAATR >KQL30857 pep chromosome:Setaria_italica_v2.0:I:33810095:33815687:1 gene:SETIT_016212mg transcript:KQL30857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERGGGEHEAWEQPLWSARGSPATSSAGSLADEPDDDAEDKVFVAVPEDVGDGRSTLLWALHNLVRDGSEVVIVHVHSPARAIARMRDHTSMKPEEIKEYRKLKRAKAEKNLNAYVQIAKRTGEDIEVGCEKVIIEMDNVAQGLEELIILHNITELVMGAAADQHFSKEMNTPKSKTALKLMETAVPSCKIWFTCKGHLICTREATESLPAILPSPAKNAPMAPAYSISSQMGSMAPAELEYEVSSSKGYTSSSLVATEMTDWDYLFGDWGMTVYGSSRIDAAANFSGTAALPPIIGDANELTPVLHSPTQESDNVYLLLESAYNQEDEPSVDEEMYGKLQDLCSEAKLLLDQADDKSHKIGKAEMDLHSALERDSKKYNLMLEQRITQIKSAAKDHVEEITEYFIKQSCEESKKCQKIEMDLLSTLQRVKEVESLLQNEKAQREYMEEKIARQRTEIEETKRQRDKLYYDFQDAKEQRIRLEQVDASEETNRRRKAERDMLSYLQRIKDLENQQIRQLKKQETMEETMARQKEEIQATKRKLHEIHGKHMAEIKSAVKVHEEKDANSKQLFQELQVKYDKLLHERDTAVIEAKELRQKNKQSASVTNETPYTGFSFVELQKTTNGFDAEFKISEDGFASIYKGFIRNTNVAIKLFHPRSLKGQAKFYQEVAVLSRVRHPNLVTLMGACPDDFALVYEFLPNGSLEDWLSCKKHMPPLTWKVRTRIIGEICSALAFIHSHKPYPIVHGDLNLGNILLDANFVSKVGDLGICHLLRQPDLPTTNLQHHPTKNHKGTLCCMDNGEFKSARELMLWSDVNSFGIIILRLLTGRSKQQIGEIVEEAMEKGNLHSIIDASAGDWPLMQANQMAQLGLRCITLSWGRQPNLAGEVWVVIEQLMKAACLPTGPSRFASPSDAPPPSHFICPIFQEVMSDPHMAADGFTYEAEAIRGWLDAADTSPMTNLRLANRTLTPNKALRSAILEWQQQQNRR >KQL29393 pep chromosome:Setaria_italica_v2.0:I:14771526:14775091:-1 gene:SETIT_016521mg transcript:KQL29393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLAADDMDARMAVQLRKRVGFGITANMHITGMHVEGKVLVGVRFLQQWPFIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVEPNMLVIDLEKFASEPTENWFSVDEKPPIAHARVEILEGADMKPSDPNGLADPYVKGHLGPYHFQTKIHKKTLNPKWLEEFKIPITSWEALNLLSLQVRDKDPIFDDTLGDCSISINKLRGGQRHDIWISLKNIKTGRIHIAVTVLEEENEKVLNDEEEQGGTPKVGKASTPRSSFSSRTNNESESSEEFQKMSDEFEPVDIEGSEKPDVWVHRPGSDVTSTWEPRKGRPRCQDSKIQRENDACSDSPRSSVSESQRSDSSTEEPTGGRSHRHLRKVKKGLGKLAGAVFHRSPKNETDDDASPCVTPHPNIQPVGESRVSVTYVVDQDPGSNRKGTVTDEEQHSSPEREEPNSPTKRHLRKKAAHMVKHAGKTTAHNLKSMFSRKGLDKSKEECQNDEEGDVVAMKIDGMAADPPVPSNDAVDAPESVADGKDKVQ >KQL29394 pep chromosome:Setaria_italica_v2.0:I:14771526:14777557:-1 gene:SETIT_016521mg transcript:KQL29394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITEVTVVHHAALVLAALWAAASAGWAHPALFLVALLYIFAVNERYTMRLRRRLQYEERKCSNQRKLLSDAETVRWLNYAVEKIWPVCMERVASQQFLLPIFPWFLEKFKPWTARKAVIQSLYLGRNPPMFTDIRVVRQSTDDDHLVLEIGMNFLAADDMDARMAVQLRKRVGFGITANMHITGMHVEGKVLVGVRFLQQWPFIGRVRVCFVEPPYFQMTVKPLFGHGLDVTELPGISGWLDRMLDVAFGQTLVEPNMLVIDLEKFASEPTENWFSVDEKPPIAHARVEILEGADMKPSDPNGLADPYVKGHLGPYHFQTKIHKKTLNPKWLEEFKIPITSWEALNLLSLQVRDKDPIFDDTLGDCSISINKLRGGQRHDIWISLKNIKTGRIHIAVTVLEEENEKVLNDEEEQGGTPKVGKASTPRSSFSSRTNNESESSEEFQKMSDEFEPVDIEGSEKPDVWVHRPGSDVTSTWEPRKGRPRCQDSKIQRENDACSDSPRSSVSESQRSDSSTEEPTGGRSHRHLRKVKKGLGKLAGAVFHRSPKNETDDDASPCVTPHPNIQPVGESRVSVTYVVDQDPGSNRKGTVTDEEQHSSPEREEPNSPTKRHLRKKAAHMVKHAGKTTAHNLKSMFSRKGLDKSKEECQNDEEGDVVAMKIDGMAADPPVPSNDAVDAPESVADGKDKVQ >KQL28273 pep chromosome:Setaria_italica_v2.0:I:4723535:4724763:1 gene:SETIT_019936mg transcript:KQL28273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAPRHDGDAAARARHQEQDAAAAPSSPRGLVLACAGLLHRGDVDGARRAAGEVLSAADPRGDAADRLAHHFARALARRVDGGDDKAGGEEEDATSAPAGAAPTVPGAPSATAYLAYNQIAPFLRFAHLTANQVILDAAAATGARRLHIVDLDAAHGVQWPPLLQAFADRVDPDLGPLSSLNLPFHFHPLPLPCTTQLAGDPAAVLELHPDETLAVNCVLFLHKLGGEGEIAAFLSWVKSMNPAVVTLAEREASVGRDCPEDLHRRVAAAMDYYSAVFDALEATVPPGSADRLSVEREVLGQEIDEVVAPGRAGGRTRGFESWAAAARAAGLSPRPLSAFAVSQARLLLRLHYPSEGYVAEEARGACFLGWQTRPLMSVSSWQ >KQL29613 pep chromosome:Setaria_italica_v2.0:I:22018392:22020059:-1 gene:SETIT_018793mg transcript:KQL29613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKYWMRCLIIYLTLTWSIFWFSINTINCLIYFVVVTTIFFCFTDLHCTVIDPVKILPSVRCDPTGSITKERGTGGVFFHFNITKKEYCMTNCSLSQAHFSIH >KQL29049 pep chromosome:Setaria_italica_v2.0:I:9918774:9919302:-1 gene:SETIT_018571mg transcript:KQL29049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSGCPRRIRVTQSVASNFEFHKERGTSARSAAASVVPPFSKPAPSKWDDAQKWIASPTTNRPSRAAGGAVPWKMEKPSSGIGRLPATKVVLEATEEIDTKRIDPSQEKREIGWQKAVNWAPPDPYPEVEPYSKTTIAAENTTYARNYNICIV >KQL31874 pep chromosome:Setaria_italica_v2.0:I:40214452:40214990:1 gene:SETIT_018961mg transcript:KQL31874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVTQVVGGVSPMYRPHGWASGRVGIRLSELSMNSHSFLCVSSSPRTSFISLLPGMTDENVFCS >KQL28057 pep chromosome:Setaria_italica_v2.0:I:2972391:2977797:-1 gene:SETIT_016525mg transcript:KQL28057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDHYSSKRKYDDPSPPPRRTGFSSGPPPVSPPAGGAQSYNSVPPPPDEIQLAKQRAQEIAARIFNAAEAKRPRVDNGDDDVGGYGGGGSLGSSGGGGRIGGGGLGFSSSAGGGHGSSIPPISSQSSAPPYSSYGGYQGTSKKIEIPNGRVGVIIGKAGETIRYLQLQSGAKIQVTRDNEAEPGAQTRPVELSGAPEQISKAEQLIKEVLAEADAGSSGTGSGGRKYNAPQPGAETFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVIPLHLPAGDTSTERTVHIDGTQEQIEIAKQLVIEVTSENRARNQMSGGYSQQGYRPPRPQSNWGPPGAPPQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPTSGGYQTAGWDQSQNQQSHTTPPGTGYDYYSQQQQPQQQSAPGTAASTDATSYNYGQPPTYTSQGYDSTYSQQSAGQQAYDYSGYQTQGQQQGYSQQAGYDQQGYGASAYGSAASSTQDGSAPSYGGTGGASQASPGQQTSTQAAGSHPGYASQPPTSAAASYPAQGSAPSGYGAPPPQSGYGTQPPPQGGYGQGAYGQPSPQGQKPPASSPYGQAPPPGSAQAGYGQYGYSQPGYGAPPPYPGAPPASHPGYGQQQSYVDAYGSGSYGQPPAYSTEATATATSQDQSAAPAPVGATTTSAPAPANSGGAQSAES >KQL28058 pep chromosome:Setaria_italica_v2.0:I:2972701:2977732:-1 gene:SETIT_016525mg transcript:KQL28058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDHYSSKRKYDDPSPPPRRTGFSSGPPPVSPPAGGAQSYNSVPPPPDEIQLAKQRAQEIAARIFNAAEAKRPRVDNGDDDVGGYGGGGSLGSSGGGGRIGGGGLGFSSSAGGAGHGSSIPPISSQSSAPPYSSYGGYQGTSKKIEIPNGRVGVIIGKAGETIRYLQLQSGAKIQVTRDNEAEPGAQTRPVELSGAPEQISKAEQLIKEVLAEADAGSSGTGSGGRKYNAPQPGAETFQMKIANNKVGLVIGKGGETIKSMQAKSGARIQVIPLHLPAGDTSTERTVHIDGTQEQIEIAKQLVIEVTSENRARNQMSGGYSQQGYRPPRPQSNWGPPGAPPQQPGYGYMQPGAYPGAPPQYGAPQQPYGSYPPTSGGYQTAGWDQSQNQQSHTTPPGTGYDYYSQQQQPQQQSAPGTAASTDATSYNYGQPPTYTSQGYDSTYSQQSAGQQAYDYSGYQTQGQQQGYSQQAGYDQQGYGASAYGSAASSTQDGSAPSYGGTGGASQASPGQQTSTQAAGSHPGYASQPPTSAAASYPAQGSAPSGYGAPPPQSGYGTQPPPQGGYGQGAYGQPSPQGQKPPASSPYGQAPPPGSAQAGYGQYGYSQPGYGAPPPYPGAPPASHPGYGQQQSYVDAYGSGSYGQPPAYSTEATATATSQDQSAAPAPVGATTTSAPAPANSGGAQSAES >KQL28809 pep chromosome:Setaria_italica_v2.0:I:8219018:8221889:-1 gene:SETIT_016709mg transcript:KQL28809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAAPRCTSSSSAFLGLPHSNGRQRHGNSCRFVASANPAPVSATLDKETTAAAKPRRSRNRRSRKATKSGSMTVLAPDEPAEAANGSGGGRGMVALDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQMSSASSAAVAEQDPAVLFRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMIPRSVEIVVGDVGDPSSVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYYNQLAQSRAGKSSKSKLTIAKFKSAKSVKGWEVRQGSYFQDIYPSRFDGGTDASFEFAESGQAVFSGFVFTRGGYVEMSKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSEGASDPRNFELKMEFIKALPSGQETDIVLVSCTGSGIEANRREQVLKAKKAGEDALRRSGLGYTIVRPGLLQEEPGGQRALIFDQGSRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSEQGNELYELVSIHLMKTTKY >KQL28808 pep chromosome:Setaria_italica_v2.0:I:8218487:8221889:-1 gene:SETIT_016709mg transcript:KQL28808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAAPRCTSSSSAFLGLPHSNGRQRHGNSCRFVASANPAPVSATLDKETTAAAKPRRSRNRRSRKATKSGSMTVLAPDEPAEAANGSGGGRGMVALDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQMSSASSAAVAEQDPAVLFRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMIPRSVEIVVGDVGDPSSVQAAVSGCNKVIYCATARSTITGDLNRVDNQGVRNVTKAFQDYYNQLAQSRAGKSSKSKLTIAKFKSAKSVKGWEVRQGSYFQDIYPSRFDGGTDASFEFAESGQAVFSGFVFTRGGYVEMSKRLSLPLGSTLDRYDGLLLSVGGNGRSYVIILETGPLADTSQSKKYFARMNTKVGFCRVRVPFSAFRPVNPQDPPLDPFLVHTLTIRFEPKKQRPGDSSEGASDPRNFELKMEFIKALPSGQETDIVLVSCTGSGIEANRREQVLKAKKAGEDALRRSGLGYTIVRPGLLQEEPGGQRALIFDQGSRISQGISCADVADICVKALHDSTARNKSFDVCYEHVSEQGNELYELVAHLPDKANNYLAPALSVLEKNT >KQL31182 pep chromosome:Setaria_italica_v2.0:I:36130161:36132720:1 gene:SETIT_017312mg transcript:KQL31182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPGERMAGPPYLLRHRRLMDIAPASPSDSGHSGSKGMTIMVSILVVVIICTLFYCVYCWRWRKRNAVRRAQIESLRPLSNSDLPLMDLTSIHEATNSFSKENKLGEGGFGPVYRGVLSGGAEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGCCVEREEKMLIYEYLPNRSLDAFLFDTRKSGQLDWKMRQSIILGIARGMLYLHEDSCLKVIHRDLKASNVLLDNKMNPKISDFGMAKIFEEESNEVNTGRVVGTYGYMAPEYAMEGVFSVKSDVFSFGVLVLEILSGQRNGSMYLQEHQHTLIQDAWKLWNEDRAADFMDASLAGSYSRDEAWRCFHVGLLCVQENPELRPTMSNVVLMLISDQTQMPAPAQPPLFARFKKVSVSEFSLAMKTDTTKTQSVNEVSISMIEPR >KQL27849 pep chromosome:Setaria_italica_v2.0:I:1185255:1188982:-1 gene:SETIT_018317mg transcript:KQL27849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMDGAVGVGGGGQAPAPAPRLWRLVDERCDLRAMESEYVRRFHRHEPRDHQCSSAVAKHIKAPVHLVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVKFVGGDHRLQNYSSILTVHPEVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALLKCNLKSLAEVSENRVTGDQTEPLDR >KQL27850 pep chromosome:Setaria_italica_v2.0:I:1185687:1188802:-1 gene:SETIT_018317mg transcript:KQL27850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEMDGAVGVGGGGQAPAPAPRLWRLVDERCDLRAMESEYVRRFHRHEPRDHQCSSAVAKHIKAPVHLLLLPCKVWSLVRRFDQPQLFKPFVSRCEMKGNIEIGSVREVNVKSGLPATRSTERLELLDDNEHILSVKFVGGDHRLQNYSSILTVHPEVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALLKCNLKSLAEVSENRVTGDQTEPLDR >KQL29770 pep chromosome:Setaria_italica_v2.0:I:24324098:24325105:1 gene:SETIT_019412mg transcript:KQL29770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKFDELALDGTTTQLGLQTLKLTLLLQKELICPLANHEWNHLCLQDFKNVVDYNHVIHSICSKLKFCEKKPTNAEKIDNFQQYSQLIHTLSQAEKYHVLLLKNAHQCPPDSAPLPEVHYNVHNNADNKKEFKGNNFSRNSEGKHKFNNRRKLHKRGKGKGTAPPPHGNSRKHCNRCGRNNHVAKECHCPPHLVLLYQKSLKKAKFDKPRYKAHFNLSEATPEVATSQQNPVATAGILTLP >KQL31906 pep chromosome:Setaria_italica_v2.0:I:40369780:40370474:1 gene:SETIT_018573mg transcript:KQL31906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPHKTHSTTKKFHQKTFSNLDASSDFCVSAHKLPCVALDTFTQCNGFSGVLLDGWLARKLLGLLERAVLRSFAPNENAGGGGGLELTTLPLLGKEFDETLGWGPGGGGGGLIVDDFCEALLATASLGGGNGGAGGPGGAEVTWDGNGGGGGGG >KQL28892 pep chromosome:Setaria_italica_v2.0:I:8684093:8685101:1 gene:SETIT_020300mg transcript:KQL28892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNSNSLTSKDYPSFFYPKLAELSKTFLPNLDTVYYIHNFKGVKGGTLFRCYPGPWTVLRKATSGSYICLHQQEEMPSLKEVALDILPSV >KQL31164 pep chromosome:Setaria_italica_v2.0:I:36012646:36016434:-1 gene:SETIT_016482mg transcript:KQL31164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGITVDGLVTEEALNGVNSSQNNEHLSAPKSTAASTMAASMQSEALEMHVEGSGAGEPSIEQLYNNVCEMESSSEGGSPSRESFGSDGEESRIDSELRHLVAGEMEAMKVIEEEEENGSVTNALPPAENGTPVKAQSSNSSKKSKKASKSQLESDASVGPNGKASTEDGESEVSKPASRVGRRRKSNANPQNGTEDAGLDNPDLGPFLLKHARDLIASENPRRALKYALRATKSFEKCAGGKPSLNLVMSLHVVAAIYCNLGKYEEAVPVLQRSLEIPVIEEGQEHALAKFSGCMQLGDTYGMLGQTALSLQWYASGLEIQKQTLGEQDPRVGETCRYLAEAHVQALQLDEAQRLCQMALDIHREHGEPASLEETADRRLMGLICDTKGDHEAALEHLVMASMAMVANGQETEVASVDCSIGDIYLSLGRYDEAVFAYQKALTVFKTSKGENHATVASVFVRLADLYNKTGKLRESKSYCENALKIYQKPIPGTSLEEIATGLTDVSAIYETMNEHEQALKLLQKALKMYNNSAGQQSTIAGIEAQMGVLHYILGNYGEAYDSFKSAIAKLRTCGEKKSAFFGIALNQMGLACVQRYSINEAAELFEEARTVLEQEYGPYHPDTLGVYSNLAGTYDAMGRLDEAIEILEYVVGMREEKLGTANPDVDDEKRRLGELLKEAGRVRSRKAKSLENLLETNPYTVTKRNTVAA >KQL28737 pep chromosome:Setaria_italica_v2.0:I:7837724:7840266:1 gene:SETIT_017150mg transcript:KQL28737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHADAGGCPEGGAVDHISGLPDHLLHDVLSRLPGTAAAAARTSILSRRWRRVWTHLPALSLCERATSTSFDLKMRVLRCLPLPQSAARSPNRRKRNRIEIPNPSRRAASRRPSEGRGRREAAAGGVRGLPWPVARGRGRRRHRGRPQASSHPLSRRRPGGRRHPVPASVHHGGRRRAAFGIGIGKRTTEQGEMGIPNFQILASPLSTASSDDTTTTNVSDEHLSPPSSPSISFDSVSESDASTSSSVASDGSSGSEPVVSKKPRLPVRSWLASDEIALLEAVSEHRQKHGRLPSPNHLAAALRSRLRAKDRLSADEISRRLRALRSRYDNAVLRMSRGTIPVKDDDVTIYKLSKLIWEGTRKGKREKKTRAADMRKDPREFGELTGLYPCLSAEVEAIDTGSGAAAAGLLKRAFERIGDDTAARLEAKVKMQRVAEARASAKLDQLRTNVAKVLLQLIE >KQL31267 pep chromosome:Setaria_italica_v2.0:I:36603814:36604428:1 gene:SETIT_018675mg transcript:KQL31267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTSRVTSRGASMARSTSRVTSRGRRGHRATPVIGSPQGAPELDSANDFDDTTNSEDDDPTYSQEEVGPSQLQGASTPTQGSPPPKREAWGRDRTDAGSVNVPPLPPTRAVIVRRRSHTLRIDHVLVAKLIV >KQL29727 pep chromosome:Setaria_italica_v2.0:I:23896304:23898192:1 gene:SETIT_018710mg transcript:KQL29727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRGKNKAELQGQLKELKSELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >KQL28798 pep chromosome:Setaria_italica_v2.0:I:8152528:8152953:1 gene:SETIT_020496mg transcript:KQL28798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPFFLIKFDIELYLYSSIQSVKDWKYLYCTWKSISCLLFNYLDKLILQVH >KQL29790 pep chromosome:Setaria_italica_v2.0:I:24650851:24651299:1 gene:SETIT_019006mg transcript:KQL29790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGSAAAVAGVAWLSARQWLEHAWVRSSNSRGARAAGESRTWTTNLDGNRSSRRRT >KQL28297 pep chromosome:Setaria_italica_v2.0:I:4847423:4850103:-1 gene:SETIT_018623mg transcript:KQL28297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEYASLQAILFGPFVLAGLSTGDWDAKDGNSSTISDWITTVPSVHNSQLYPGLMEILTQFPSSLVTGVDYSAGTKIQVSCKSSLQSIGGILEQAASFVQTSPQRQYHPISFVAKKVRRNFVLEPLYSFRDEFYTVYFSIGA >KQL29036 pep chromosome:Setaria_italica_v2.0:I:9854977:9855509:-1 gene:SETIT_020365mg transcript:KQL29036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHICCVADQRGTLLFSQGCCSTILLSLFVFIARRVIKVTVMADVPDFYGKITVGHFSDGKTQIIVSLSGEFSILTCFFWVK >KQL30457 pep chromosome:Setaria_italica_v2.0:I:30672521:30673339:1 gene:SETIT_019114mg transcript:KQL30457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGMECTAYKRPRTALDGGSAAAWRMCQVARAAAGGKDQHNKVVTVWGLRDRRVQLSVPTAIQFYDSQDRLNVAQPSKAIKWLIRTAIDELPSLDCSFTLPGTDAASSPPAAGDNVEVSTSKTNKSSVLSLANTPARPRTVKAPTREATRPSGWREWGEPGRPRMSAAKRPDQGGSAAGGRQWREERKRWSERERPVVGWERG >KQL30591 pep chromosome:Setaria_italica_v2.0:I:31983521:31984007:1 gene:SETIT_020482mg transcript:KQL30591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAACWLRAGGAPRRRKGDCAAARPQRHGRSAAERRSHGALAGASEARRRRSC >KQL31467 pep chromosome:Setaria_italica_v2.0:I:37727113:37727771:-1 gene:SETIT_020529mg transcript:KQL31467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVEWRKKQRHNWCRLEPTVPFLLYEDGSCYCFLAYNNWSCYILFF >KQL29159 pep chromosome:Setaria_italica_v2.0:I:10780859:10785417:-1 gene:SETIT_018391mg transcript:KQL29159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSANNNKWSETAMLVIDMQKEFVDPAMSSPAFLAGGEKIIPAVAEAVELARKRGIFVVWVVREHDPDGRDVELFRRHLYSGGKGPAMKGSKGAEMADGLVVKEEDYKVVKTRFSSFFATNLDSVLKTSGIKNLVVVGVQTPNCVRQTVFDAVALDYEKVTVIVDATAGANQEIHLSNIRDMKNIGVEAPTVEAWSRYV >KQL29158 pep chromosome:Setaria_italica_v2.0:I:10780608:10785463:-1 gene:SETIT_018391mg transcript:KQL29158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSANNNKWSETAMLVIDMQKEFVDPAMSSPAFLAGGEKIIPAVAEAVELARKRGIFVVWVVREHDPDGRDVELFRRHLYSGGKGPAMKGSKGAEMADGLVVKEEDYKVVKTRFSSFFATNLDSVLKTSGIKNLVVGFRHQIVSGRLSLMPSHWTMRKLRLLLMQRLVLIKKSICQTSET >KQL32209 pep chromosome:Setaria_italica_v2.0:I:41796328:41798820:1 gene:SETIT_017814mg transcript:KQL32209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGERKRVLVVGGSGYLGQHLLAALASDAGRLDVAFTHHSQAPPQQLLDALPSVRAFHADLRSGDGFEAISASFGQPHVVVNCAAISVPRACELDPPAAMATNVPSSLVNWLLSFGNDDSLLIHLSTDQVYEGMKSFYKEEDETLPVNMYGRSKVAAEKFIVEKCSNYAILRSSIIYGPQTISPVAKSLPIQWMDSVLSQGQQVEFFNDEFRCPVYVKDMVDVILSLAKTWLSDGKKVQVLLNVGGPDRVSRLQMADSVATVRGYNHSIIKSVSASSVNRGVASPSDISMDITKLTQMLSIKPISFQDGVRATLDAEANS >KQL28866 pep chromosome:Setaria_italica_v2.0:I:8533931:8536550:-1 gene:SETIT_020603mg transcript:KQL28866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTFLISMIDGSLTSGCASKWTVPKSTASTWTSWTRRAVPG >KQL30355 pep chromosome:Setaria_italica_v2.0:I:30069246:30071466:-1 gene:SETIT_016501mg transcript:KQL30355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTVLMEFGQQRPIKRGYEEMAFRGVAAAAPRGYSETVGESEGAAGSPVRVDSEVSAAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRAELDSVRDLLKKPAFAAPAPVSRAPALSSSAAPRAKKLHRGTNVIRGAKGRFLPTKPRPEPSVELSEAAVFKQCEAILKKLMTQKYSHIFNIPVDVVKLQIPDYFDIIKTPMDLGTVQKKLESGSYTSPSDFAADVRLTFNNAMTYNPRGHAVHDMAIQLNKMFENRWRTVEKKLASAAIEKHVDVDKADSKRRKTPPVDRSDVSVEGLRQTEPVKPKMTAAEREAFGNSLAEIADDLPAHIVELLQQCMDSNTDTAGDGEIEIDIQAVSDDLLFELKKQVDKYLQEREQNQQVKSEPSENEAVNVSGLSHSSTNPCKGLFPSTLKFSLDLNYMPFVQ >KQL30352 pep chromosome:Setaria_italica_v2.0:I:30066257:30070781:-1 gene:SETIT_016501mg transcript:KQL30352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGQQRPIKRGYEEMAFRGVAAAAPRGYSETVGESEGAAGSPVRVDSEVSAAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRAELDSVRDLLKKPAFAAPAPVSRAPALSSSAAPRAKKLHRGTNVIRGAKGRFLPTKPRPEPSVELSEAAVFKQCEAILKKLMTQKYSHIFNIPVDVVKLQIPDYFDIIKTPMDLGTVQKKLESGSYTSPSDFAADVRLTFNNAMTYNPRGHAVHDMAIQLNKMFENRWRTVEKKLASAAIEKHVDVDKADSKRRKTPPVDRSDVSVEGLRQTEPVKPKMTAAEREAFGNSLAEIADDLPAHIVELLQQCMDSNTDTAGDGEIEIDIQAVSDDLLFELKKQVDKYLQEREQNQQVKSEPSENEAVNVSGLSHSSTNPCKGGEPIEEDVDICGNASPIMLDKDAQLRSNKCVSPSSSSSESESSSSDSDSGSDSESESEKVGSPAKLAKGPKKPDQLVEQEKSDVISPADVNCPADIVGLREEDSESKPAPEGENSKPDTQVSPDRLLRAAVLRSRYADVIVKARGILSQGGDKQEELEKLQKEEKARLLAEGNAAMEARRAEAEAEAKRKRDFEREKARQALQEMERTVEINDNLHLKDLEMLGTATAEHIVSSVDETSPEHSQDCMPGFLPGSVNPLEQLGLFMKADEEEDDEEPSSVPSVKEAEEGEIN >KQL30354 pep chromosome:Setaria_italica_v2.0:I:30069123:30070781:-1 gene:SETIT_016501mg transcript:KQL30354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGQQRPIKRGYEEMAFRGVAAAAPRGYSETVGESEGAAGSPVRVDSEVSAAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRAELDSVRDLLKKPAFAAPAPVSRAPALSSSAAPRAKKLHRGTNVIRGAKGRFLPTKPRPEPSVELSEAAVFKQCEAILKKLMTQKYSHIFNIPVDVVKLQIPDYFDIIKTPMDLGTVQKKLESGSYTSPSDFAADVRLTFNNAMTYNPRGHAVHDMAIQLNKMFENRWRTVEKKLASAAIEKHVDVDKADSKRRKTPPVDRSDVSVEGLRQTEPVKPKMTAAEREAFGNSLAEIADDLPAHIVELLQQCMDSNTDTAGDGEIEIDIQAVSDDLLFELKKQVDKYLQEREQNQQVKSEPSENEAVNVSGLSHSSTNPCKGGEPIEEDVDICGNASPIMLDKDAQLRSNKCVSPSSSSSESESSSSGLCLTVFICIYYYYYRHSCIMKMLFSFPTLCKSFENMVIITSRFSFLIC >KQL30351 pep chromosome:Setaria_italica_v2.0:I:30066257:30070781:-1 gene:SETIT_016501mg transcript:KQL30351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGQQRPIKRGYEEMAFRGVAAAAPRGYSETVGESEGAAGSPVRVDSEVSAAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRAELDSVRDLLKKPAFAAPAPVSRAPALSSSAAPRAKKLHRGTNVIRGAKGRFLPTKPRPEPSVELSEAAVFKQCEAILKKLMTQKYSHIFNIPVDVVKLQIPDYFDIIKTPMDLGTVQKKLESGSYTSPSDFAADVRLTFNNAMTYNPRGHAVHDMAIQLNKMFENRWRTVEKKLASAAIEKHVDVDKADSKRRKTPPVDRSDVSVEGLRQTEPVKPKMTAAEREAFGNSLAEIADDLPAHIVELLQQCMDSNTDTAGDGEIEIDIQAVSDDLLFELKKQVDKYLQEREQNQQVKSEPSENEAVNVSGLSHSSTNPCKGGEPIEEDVDICGNASPIMLDKDAQLRSNKCVSPSSSSSESESSSSDSDSGSDSESESEKVGSPAKLAKGPKKPDQLVEQEKSDVISPADVNCPADIVGLREEDSESKPAPEGENSKPDTQVSPDRLLRAAVLRSRYADVIVKARGILSQGGDKQEELEKLQKEGKSTAVG >KQL30353 pep chromosome:Setaria_italica_v2.0:I:30067673:30070781:-1 gene:SETIT_016501mg transcript:KQL30353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGQQRPIKRGYEEMAFRGVAAAAPRGYSETVGESEGAAGSPVRVDSEVSAAPKRKCISLNSDGFDVKREIFVPSKMSSSERRYLRKRFRAELDSVRDLLKKPAFAAPAPVSRAPALSSSAAPRAKKLHRGTNVIRGAKGRFLPTKPRPEPSVELSEAAVFKQCEAILKKLMTQKYSHIFNIPVDVVKLQIPDYFDIIKTPMDLGTVQKKLESGSYTSPSDFAADVRLTFNNAMTYNPRGHAVHDMAIQLNKMFENRWRTVEKKLASAAIEKHVDVDKADSKRRKTPPVDRSDVSVEGLRQTEPVKPKMTAAEREAFGNSLAEIADDLPAHIVELLQQCMDSNTDTAGDGEIEIDIQAVSDDLLFELKKQVDKYLQEREQNQQVKSEPSENEAVNVSGLSHSSTNPCKGGEPIEEDVDICGNASPIMLDKDAQLRSNKCVSPSSSSSESESSSSDSDSGSDSESESEKVGSPAKLAKGPKKPDQLVEQEKSDVISPADVNCPADIVGLREEDSESKPAPEGKHEFVGI >KQL30663 pep chromosome:Setaria_italica_v2.0:I:32478421:32480469:-1 gene:SETIT_017513mg transcript:KQL30663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRGCLCRSEEDFRGLLCLENLRLFSYKEIRAATNNFDKSNKLGRGGFGTVYKGVWGDGTTFAAKVLSSESEQGIKEFLAEIESISEVKHANLVRLLGCCIQRKNRILIYEYLENNSLDHALQALGSANGVTCLSWTTRSAICVGTAKGLSYLHEEHEPNIVHRDIKASNVLLDRDYRPKIGDFGLAKLFPDNVTHISTGVVGTCGYLAPEYFVHGQLTKKADVYSFGVLILEIISGRRISQTIHSDMFLVREAWVLYQQGSLLDMVDARMEDYPEEEVLRYIRVGLACTQAAPSSRPTMSQVVALLSRPVALHEAAMRPPSFAELGGGHRTAPPDGPSAGLLVQASPKARWPPAAAAEAAEQPACSGSFTYSEVAPR >KQL28708 pep chromosome:Setaria_italica_v2.0:I:7626134:7630260:1 gene:SETIT_018570mg transcript:KQL28708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPIAAASAPSSLLPLRIARQGIRGGQGWGERSLYGSQSRRATRGATISARASMNITCCANQTQTAQRKSYSGPTSPPSGSVKEKVKPRLDDGGVGFPPFRFGGGGGGGGGGGSSSSGGFILFVIVLLLDYLREFERNLQNGSRRGSDYDNGLAPQ >KQL28709 pep chromosome:Setaria_italica_v2.0:I:7629068:7630260:1 gene:SETIT_018570mg transcript:KQL28709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNITCCANQTQTAQRKSYSGPTSPPSGSVKEKVKPRLDDGGVGFPPFRFGGGGGGGGGGGSSSSGGFILFVIVLLLDYLREFERNLQNGSRRGSDYDNGLAPQ >KQL28800 pep chromosome:Setaria_italica_v2.0:I:8150939:8153989:1 gene:SETIT_018395mg transcript:KQL28800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTAAAEKAEKASSYRYWVREATGDATPIPVPRKLDPAATGNGNPPPLGSVWNQDLLGSLDSLEFPTGKASIDEVSKCSGDAFQVTVRNKKRVGYNYELSLRFRGEWLIKEENKKVKGHLDIPEFSFGELDDLELQVRFSDDKGLASDDKTRICKDLKSFLTPIQEKMRMFEEELKGR >KQL28799 pep chromosome:Setaria_italica_v2.0:I:8150939:8153989:1 gene:SETIT_018395mg transcript:KQL28799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTAAAEKAEKASSYRYWVREATGDATPIPVPRKLDPAATGNGNPPPLGSVWNQAGTWEEKNLNSWANSRIKDLLGSLDSLEFPTGKASIDEVSKCSGDAFQVTVRNKKRVGYNYELSLRFRGEWLIKEENKKVKGHLDIPEFSFGELDDLELQVRFSDDKGLASDDKTRICKDLKSFLTPIQEKMRMFEEELKGR >KQL28506 pep chromosome:Setaria_italica_v2.0:I:6329212:6335308:-1 gene:SETIT_016659mg transcript:KQL28506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGDRVTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDTLWEFQPNKLGVGDAITGGDLYATVFENTLMQHHVALPPGAMGKVSYIAPAGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVASKIAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSQAVVYVGCGERGNEMAEVLMDFPQLTMTLEDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSQALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAESDKITLETAKLLREDYLAQNAFTPYDKYCPFYKSVWMMRNIIHFNTLANQAVERAAGTDGHKITYSVIKHRLGDLFYRLVSQKFEDPAEGEDALVAKFKKLYDDLTAGFRNLEDEAR >KQL28673 pep chromosome:Setaria_italica_v2.0:I:7406779:7407486:1 gene:SETIT_019815mg transcript:KQL28673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASVPSSPRSNGTNVEEQIRSLTAAISSPSATIQTMIDGLFKLGTIYSCIDELICFPSSQRQQRKAVEEELECSLVLLDLCDAMQESFAEFRTSIQEMQLGLKRGDDVAVQAKAQSYARSVKKAQKQFKKINSKVALDTDNCRVIKLLSEARELALSMLESTLYLLSKEILMPSASKWSLVSKAFQKKRVLCKEEQLQVLELEIVDLESELQIVFRRLIQSRVSLLNTLS >KQL28231 pep chromosome:Setaria_italica_v2.0:I:4474502:4475416:1 gene:SETIT_018295mg transcript:KQL28231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARLCLNRALAGRAPALARPAYAASPAADVSLHLHSPFSTSSSAADSATGGEASRREVAVSGQSAAPARRGGRWAWRDLRDFTPFRLVDGLGSALSQVAGALGRPLERLAPSRLLSGKVREDEARYRLRFEVPGLGKEDVRVAVEDGVLVIEGEKREHGGEEGDGDGEWWAASGYHASLVLPDDARAEGITAEVKDGVLYVNVPRTGQRSRNVTEVKVQ >KQL28886 pep chromosome:Setaria_italica_v2.0:I:8671114:8671616:1 gene:SETIT_019556mg transcript:KQL28886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFQLWVDQMVHWYHGPATSFGGGKSFHSFTSSSRLYTFSYYMLVNILFHPITIYLFKHNEFTFSSTSSYFHVALGACMAPLEQMGQHSATHHPQWLQLDHDPAGRCRKPQLQPGGEGRNT >KQL29529 pep chromosome:Setaria_italica_v2.0:I:19859591:19864466:1 gene:SETIT_017831mg transcript:KQL29529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSGTAMAWNVFKFCTALRGLGSVMILLVLGIVGVTYYALVLCNYGPVLLAATGALDALVALVVLVLFHFLLAMLLWSYFSVVFTDPGGVPPNWRPDVDEESGETAPLSTAEFSDLMNSQQSMALSDTGSPRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKFFLLFLLYTFLETALVTLSLLPHFIAFFSDVEIPGTPGALATTFLTFVLNLAFTLSVLGFMIMHVSLVSGNTTTIEAYEKKTTPFWKYDLGRKRNFAQVFGNNKWYWFIPSYSEEDLRIIPALQGLDYPVRSDFDGQGL >KQL27873 pep chromosome:Setaria_italica_v2.0:I:1359533:1359995:1 gene:SETIT_020289mg transcript:KQL27873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHTLIEKINAAMGTSKRDKVQDSVKERCCTTHRHQPKAIG >KQL29515 pep chromosome:Setaria_italica_v2.0:I:18905340:18906033:-1 gene:SETIT_019935mg transcript:KQL29515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEPVAARRRPDGAVAGGGIAIPPSRRRRKSGSGSGARGIRTAVRPAPSSRSRTLWEGKGRALARSASEPALWFGVRVHALPDELDHDSPPSPPPPPLERPHTCFDVFAPDSPFSSSPSAASLTKPSPREEAKVVVSVTVEGSVGPVKAMVRLGDSVGEAIAAVVERYAREGRSPRLDLAASESFQLHHSHFSLQSKPLRFC >KQL31369 pep chromosome:Setaria_italica_v2.0:I:37134921:37136249:-1 gene:SETIT_018224mg transcript:KQL31369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAMVSVTINLIMNTTPLHTMLLSLRVCSLSLTLEQSAEEHCCITVTQPDFKDEPWSVLALTSFSDVDGGCSGFMGSDDGAVRRRLQLTALRTIASVKALCCRGRIVCSLFSFMYFLLFLCVITCIRPNPCYATHRTPADSCQDCRPYRAILSESAVLVFFISFGVTKKLSDYFEKVSSGSCICKQPFADTTNAIFSPAKSVSTFKFILNQIILTLNNNIY >KQL31368 pep chromosome:Setaria_italica_v2.0:I:37134921:37140740:-1 gene:SETIT_018224mg transcript:KQL31368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQELHYLQGSHKINWKIILVAFLQVVQLLPQACCLPLPELPVKQEVFLSLTLEQSAEEHCCITVTQPDFKDEPWSVLALTSFSDVDGGCSGFMGSDDGAVRRRLQLTALRTIASVKALCCRGRIVCSLFSFMYFLLFLCVITCIRPNPCYATHRTPADSCQDCRPYRAILSESAVLVFFISFGVTKKLSDYFEKVSSGSCICKQPFADTTNAIFSPAKSVSTFKFILNQIILTLNNNIY >KQL30589 pep chromosome:Setaria_italica_v2.0:I:31966622:31967405:1 gene:SETIT_018900mg transcript:KQL30589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRRMAAPVLVFLLLLIASEMGPATVAEARHCLSQSHHFKGLCLSSSNCANVCRVERFPDGECQTTAGTRKCFCKRIC >KQL32168 pep chromosome:Setaria_italica_v2.0:I:41629029:41630719:1 gene:SETIT_017022mg transcript:KQL32168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPLLVKSAEDDVAAPRTYVEVREAFLREAERLWAIAAPITLNILCLYGVNSATQLFAGRLGNLELSAAAVGLSVVSNFSFGFLLGMGSALETLCGQAYGAGRVAALGLYTQRSCLVLAASALLLSPLYAFAGPILRLLGQDERIADAAGDFTLRLLPQMLSLAVAFPTQKFLQAQGKVAVLAWISLAALAAHVAMLALFVGALGWGLRGAAAAYDVTSWGVAVAQAVYVTRWCRGQGWEGLSWRAFRGLWAFAKLSLASAVMLCLEIWYMMVLVVIAGRLDDAEIAVGSVSICMNVNGWGAMLFIGLNAAISVRVSNELGSGRPRAAKHAVAAVVAQSLAMGLVAMAVVLAYRNSFAALFTGDREMQAAVGKVAYLLAATMVLNSVQPVISGVAIGGGWQALVAYINLGCYYAFGLPLGFCLGYFLRLGPQGIWAGMLCGTALQTVILLLVIWNTDWEAEAAQANERIRAWGGEDDCDSEHLGTKEVFRV >KQL30101 pep chromosome:Setaria_italica_v2.0:I:28015064:28016347:-1 gene:SETIT_019274mg transcript:KQL30101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVAPFLLPGLARAAPRADVRPTMATTYAEDPPAAWGGYGYYDDGSDIDALLRGIDAVVRPPKSADLPMPSKDFLALSRRHGNHDAGFNAMLRGIQSVRVPAAGLMASLPMDAHNDDAPTTPVAVLQAPRSYGDDTVTNMKTPPPNKKQPRQKCGGEYDADIDATFRVMETDPAERPSEDYLSERQAGAMMMTDRAELIEKMHRFSRYYDLAPGTLHRTVSYVDRFLSIKKITGGDQKHQLLLLGAVAVFAAAKYEDRNTVRRIDADAVAAYAGCSRREVLAAERELVAALGYRLSGPTAYTFVDHLMRHSGQDSQEEEVVITRALAHHLADMALLDYRCVAFLPSAVAASAIVLARLVLGYYSLEAPCLVAGYALEDLRECMEAIYGMHENLQVWPGCAQMMEDWELTTQLRYYLPPSTMLTAMH >KQL28123 pep chromosome:Setaria_italica_v2.0:I:3503350:3504664:1 gene:SETIT_019098mg transcript:KQL28123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIATGQSLVTQLRAIVLPALQAYQRCELAAQMFQNILDCSSKAITELQFHHQSDARADDALVDDKKRVRRISSDDCINEEGGTANPHHQHKRRKSDDSVSLETPVPRYDGRQWRKYGQKHINKAKHPRSYYRCTYRQEQGCKATKTVQQKDDSTGTDHPVMYTVVYHGQHTCKDNNGVESSTDDSETITQSSSDSRSSVSTTCTDAYEHQTSLDNDKPLDKSANSISKAFCLLIYQFSHAFLFLIFCLPNSRGEIIPYRDISHGGGGGFDCPGKYLWRILPPGLMCLCCQISPKTIGCIRMGRMQYNLSCPLFFSPKYNSVLDIKFGF >KQL31503 pep chromosome:Setaria_italica_v2.0:I:38005644:38007325:1 gene:SETIT_017396mg transcript:KQL31503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAGDGGGGRRPNFPLQLLEKKEEQPCSSSAAVGTSAGGNGNGSGAAGGGEVQVRKAAPKRSSTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSFSTPAHLRAALPSPAAAARFGRDAWDRVVGLGFPSEGPASSSSSPSPLLLNFHSGSVGLDVQPSPSAAAADISRKRRWEQEMQQQAQAQQQQQQQQAQQYQQQMAGYTQSQMPGTVWMVPSNNTQGGAPSGGGGGSGGGGSGESIWTFPQMGSAAAAAAVYRGSVPSGLHFMNFPAPVALLPGQQLGLGPVGSSGGAGAGGGGGEGHMGILAALNAYRTQAATDPGAGQGGGSGGGGGSGQQQHGGGRGERQHESMSTSDS >KQL32166 pep chromosome:Setaria_italica_v2.0:I:41621471:41625200:-1 gene:SETIT_018479mg transcript:KQL32166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCSSRASESDRAPVHIYHRQNPEEHEPLSSAFDGASPTSAIVAVDTNLDTSTLDTYRAPPAPLPYDVGLKVAENPDLEKSDIKTKTEGQQSPKVGEFESCEKGTLEDKPDEEDVCPICLEEYDEENPRSITKCEHHFHLCCILEWMERSDTCPVCDQITLIDEMYE >KQL32167 pep chromosome:Setaria_italica_v2.0:I:41622365:41624108:-1 gene:SETIT_018479mg transcript:KQL32167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCSSRASESDRAPVHIYHRQNPEEHEPLSSAFDGASPTSAIVAVDTNLDTSTLDTYRAPPAPLPYDVGLKVAENPDPFADLEKSDIKTKTEGQQSPKVGEFESCEKGTLEDKPDEEDVCPICLEEYDEENPRSITKCEHHFHLCCILEWMERSDTCPVCDQITLIDEMYE >KQL28076 pep chromosome:Setaria_italica_v2.0:I:3135614:3136059:-1 gene:SETIT_020293mg transcript:KQL28076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRITCRLKMDSTVEDLVRKRRKDDDEIMLIILLPAMYLLSYQWREGKVS >KQL28075 pep chromosome:Setaria_italica_v2.0:I:3135614:3136059:-1 gene:SETIT_020293mg transcript:KQL28075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRITCRLKMDSTVEDLVRKRRKDDDEIMLIILLPAMYLLSYQWREGKVS >KQL28074 pep chromosome:Setaria_italica_v2.0:I:3135614:3136059:-1 gene:SETIT_020293mg transcript:KQL28074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRITCRLKMDSTVEDLVRKRRKDDDEIMLIILLPAMYLLSYQWREGKVS >KQL28072 pep chromosome:Setaria_italica_v2.0:I:3135614:3136059:-1 gene:SETIT_020293mg transcript:KQL28072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRITCRLKMDSTVEDLVRKRRKDDDEIMLIILLPAMYLLSYQWREGKVS >KQL28079 pep chromosome:Setaria_italica_v2.0:I:3135419:3137165:-1 gene:SETIT_020293mg transcript:KQL28079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRITCRLKMDSTVEDLVRKRRKDDDEIMLIILLPAMYLLSYQWREGKVS >KQL28073 pep chromosome:Setaria_italica_v2.0:I:3135614:3136059:-1 gene:SETIT_020293mg transcript:KQL28073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRITCRLKMDSTVEDLVRKRRKDDDEIMLIILLPAMYLLSYQWREGKVS >KQL28078 pep chromosome:Setaria_italica_v2.0:I:3135419:3137165:-1 gene:SETIT_020293mg transcript:KQL28078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRITCRLKMDSTVEDLVRKRRKDDDEIMLIILLPAMYLLSYQWREGKVS >KQL28077 pep chromosome:Setaria_italica_v2.0:I:3135614:3136059:-1 gene:SETIT_020293mg transcript:KQL28077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRITCRLKMDSTVEDLVRKRRKDDDEIMLIILLPAMYLLSYQWREGKVS >KQL30964 pep chromosome:Setaria_italica_v2.0:I:34744506:34744973:1 gene:SETIT_020546mg transcript:KQL30964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQDLENTDYFCTDCKSKYKPVVDRLPVCYFYKNIYIQLIATLVQNNKSFIANILSILRCDVFQVYELN >KQL29642 pep chromosome:Setaria_italica_v2.0:I:22378991:22380181:-1 gene:SETIT_019693mg transcript:KQL29642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGTAAAVDAPWPPEIEERYERLQKLGEGIFGRVYKARDRANNRIVAVKQLSGRSDGTFVQTGFLELAREVTSLHRCRSHPSIVRFRNVHAEKRAAVGDGSDDDGDSFIVMEYAGRMNLRVYMVSRGIHGMPFSEAEVRGIMRQLLAAVEASHHAGILHRDIKPENVVVDDATADRIRNPPPPDDDEDDHKKRKQRRMPPPPPPPEEITCKICDFGASEPMAAGGGRECSPLATSDAYRAPELFLGSADYDGRVDTWGIGCIMAELLAGTGAAFFEGKTAEDVFANMLGVVGARGIKDWLGPRQPGSGRRSAAEERARGCPETGRLRKVFPEKVLSQAGFEVLSGLLESSPKIRLTAAEALQKPWFNDQRTDDEGPRESPRRRGLLGVCFMSCATDD >KQL30785 pep chromosome:Setaria_italica_v2.0:I:33295952:33300081:1 gene:SETIT_016701mg transcript:KQL30785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKETYRAELRAAARQLGERCLYSAAKWAAELLVGVEPDAAPAPSAAMDSPSSSSAASAGRLLHLHRSGGSSFRHRPRPGGGPSSEAGTPLGGVSYVSTPIPDDDAFDSGGDKYLLAKTYFDCREYRRAAHVLQNQVGRKAVFLRCYALYMAGEKRKEAEMIELEGSLGKSNAVNQELVALERELSTHRRTGSIDSFGLYLYGLVLRDKGCEGLARTTLVESVNSYPWNWSAWSELQALCTSSDILNNLNLKNHWMKDLFLASTYLELKMHEEALKRYERLMGIFRCSGYIQAQIATVQYSMRDLDEAEMIFEELLRTDPFRVDSMDIYSNLLYAKESLTALSFLAHRVFLTDKYRPESCCIIANYYSLKGQHEKSVLYFQRALKLNRKYLSAWTLMGHEYVELKNTPAAIDAYRRAVDINPRDFRAWYGLGQIYEMMGMPFYALYYFRKSSYLQPNDARLWIAMAQCYESDPLQMIEEAIKCYERAANSNDTEGIALHQLAKLHNLLGHSEEAAFYYKKDLERMEVEERQGQNFVEALLFLAKHYKSIGSFEEAEHYCTRLLDYTGPEKETAKNMLQGIKRLQSGFPSMDIDHFAL >KQL27912 pep chromosome:Setaria_italica_v2.0:I:1640263:1644465:-1 gene:SETIT_017165mg transcript:KQL27912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAMAAAFPPSGYAPPLPTTTFNPTPGTHLAPFTLHLRVGPRRAAAVTAAAATLREVCAGRVPDHVLQRAEEVGYVVPTEVQEQSLPLLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFRRSSVQALVVVPTRELGIQVTKVARLLAAKACTVMALLDGGMLKRQKSWVKAEPPAIIVATVPSLCQMVERRAFSLQSMRVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTIFASASIPQHNRFLHDCVQHKWTKSDVVHVHVNPVQPMPSHLCHKYVICTKKERLHVLLSLLERDAPKSAIIFVAEQSERSKKAGNPPSTAVVIEFLRNSYKGSLDVLLLEEDMNFNARAASFS >KQL27913 pep chromosome:Setaria_italica_v2.0:I:1640825:1644227:-1 gene:SETIT_017165mg transcript:KQL27913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAMAAAFPPSGYAPPLPTTTFNPTPGTHLAPFTLHLRVGPRRAAAVTAAAATLREVCAGRVPDHVLQRAEEVGYVVPTEVQEQSLPLLLSGQDCILHAQTGSGKTLAYLLSVFSAIDFRRSSVQALVVVPTRELGIQVTKVARLLAAKACTVMALLDGGMLKRQKSWVKAEPPAIIVATVPSLCQMVERRAFSLQSMRVLVIDEVDFIFGSSKQVSSLRKILTSYSAASSRQTIFASASIPQHNRFLHDCVQHKWTKSDVVHVHVNPVQPMPSHLCHKYVICTKKERLHVLLSLLERDAPKSAIIFVAEQSERSKKAGNPPSTAVVIEFLRNSYKGSLDVLLLEEDMNFNARAASFSEVMGRGFMLVSTDIASRGFDLPQTSHIYNFDLPKTATDYLHRAGRTGREPFSRLECSVTTLITEDEHFVLQRFQNELKFHCEELPLESMFTFNSS >KQL31252 pep chromosome:Setaria_italica_v2.0:I:36531917:36535245:1 gene:SETIT_018072mg transcript:KQL31252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGICGHYHKYEEGEVCGVCGHRWKPSDGEGTPAKHESAFPTEVLKDFLFLGSYDNASRSEVLKTLNVSHILNTVPDCHNLYKNSFTYHSLQRDRPLDFDDANRFLEQCERDKSRVLVHCMTGKNRSAAIVAAFLMKSRGWRLAQSFQWVKDRRPQVQLTDASQNELLEYEQKLFGPSSQPVIPTESFASLGFGYPKPAGDTQAPTFNQMTAPSISIFERVGPNDVPPNFAFGAEGTAGVNPDNNDNGGAKANPASTDNPMDSS >KQL32217 pep chromosome:Setaria_italica_v2.0:I:41829956:41836516:1 gene:SETIT_016750mg transcript:KQL32217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEVDPAPNPTSDHPNGSSAQDRKKSRESDRRRRRRKQKKNKAASNAADAETDEEAAPDSAKDNADPKPQVEVEVEYVPEKAELDDALLADFKDIFDKFTFKDSPADTEDGEKKDEAGTDAAKKGDESDSDDDAQEAQQKKDGGVSNKQKKLQRRMKIAELKQICARPDVVEVWDATASDPKLLVYLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGVLSRELKEALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPPGASFGYRPGEWGKPPVDEHGRPLYGDVFGVLQQDEPNYDEEPVDRSKHWGDLEEEEEEEEEEEEEEEEPMEDEDMEEGMQSVDTISSTPTGVETPDVIDLRKLQRKEPERQTEKQLYQVLEQKEERIAPGTLYGSSHTYVLGAQDKAAPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENASKRKRKQQEKDGKSKKKEFKF >KQL28752 pep chromosome:Setaria_italica_v2.0:I:7904142:7905049:-1 gene:SETIT_018841mg transcript:KQL28752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDACPEEQAASQMDTGARAEEQAAPLVDTGASDYQPFLDVIDEMCGLECRLQRLKKNMLSLGAEGCATGGSDMKNQAVVLVPSAELKEKA >KQL30419 pep chromosome:Setaria_italica_v2.0:I:30439694:30445080:1 gene:SETIT_017046mg transcript:KQL30419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVASSHHLRPSPAGPARRPGFAAAAAPRVALRPGGASPPSRGRCAVAAAASGAGGEAASAPAAVTAVAPAAAAARDKVRRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDKVLNQVIEQLNKLVNVLSVEDLSKEPQVERELMLIKLNVKPDQRPEVMVLVDIFRAKVVDISDTTLTLEVAGDPGKIAAVQRNLRKFGIKEICRTGKIALRREKIGATARFWRFSAASYPDLIEALPKNPLTSVNRTVNGSLDQPSNAGGDVYPVEPYESLSMNQVLDAHWGVLDDDDDSTGLRSHTLSILVNDCPGVLNIVTGVFARRGYNIQSLAVGPAEKEGISRITTVVPGTNESIEKLVQQLYKLIDVHEVHDITHLPFSERELMLIKVSVNTAARREILDIAEIFRAKPVDVSDHTVTLQLSGDLNKMVALQRLLEHYGICEVARTGRVALVRESGVDSKYLRGFSLPL >KQL30730 pep chromosome:Setaria_italica_v2.0:I:32953745:32956242:-1 gene:SETIT_020064mg transcript:KQL30730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDHSGEIGVGDAGPEPEPEPPEAAPSPAAAAALEHQQPWREQLTVRGLVAAALIGSMYTVIVMKLNLTTGFVPTMNVSAALLAFLALRGWTAALARLGIRSSAARPFTRQENTVVQTCAVACYSLGLCGFGSFMLGLNRRTYEAAGVNTPGNVPGSVKEPGVGWITGFLVASSFGGLLTLVPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAEKQVRSFLKYFGISFLWSFFQWFYTGGSVCGFAHFPTFGLQAWKQSFFFDFSMTYVGAGMICPHLVNLSTLLGAMLSWGILWPLISKRKGDWYPADVPESSMTSLYGYKSFLCIALIMGDGIYHFVKVLGITAQSMHERSKLRRSNSRVADLDKAAAIDELRRDEVFNRDSIPAWLAYGGYALMSVVAVVTIPMMFRQVRWYYVVVAYALAPVLGFSNSYGAGLTDINMGYSYGKLALFVLAAWAGREDGVVAGLVGCGLVKELVLISADLMHDFKTAHLTLTSPRSMLAAQAVGTAIGCVVTPLTFLLFYRAFDVGDPDGYWKAPYALIYRNMALLGAQGFSALPRHCLPLSVGFFALAVLANVARDILPPRYGRFVPLPTAMAVPFLVGASFAVDMVVGTVV >KQL28028 pep chromosome:Setaria_italica_v2.0:I:2790277:2790561:1 gene:SETIT_019746mg transcript:KQL28028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKTKMVAIGIMMAILFVGIASATPAQEVCVDTTKEVPRDDTCICSKNCACAGMCILQGEGDVKTCFVDCVLKNDCQCPPKDQGAEKKTDG >KQL31180 pep chromosome:Setaria_italica_v2.0:I:36103341:36104516:1 gene:SETIT_019575mg transcript:KQL31180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVREHGGYGGFDGADAFDALGYGHDAMLGFDAASLFGGGGGSYAAAGAGCVAADGGNVVWPTGARAAASVLAFDRATAAAAVSGEEEDDDEECDAWIDATDQSYGDAAAPEASHARTPTVSVGFDASTGCFTLTERAASSGGAGRAFGLLFPSTAAAPARASQKRTYVGVEPPAAVSPNKKHCGADRKATSRAKSAPTIPTKDPQSLAAKNRRERISERLRTLQELVPNGTKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPAQGGKAPEISQVREALDAILSSASQREQLN >KQL30893 pep chromosome:Setaria_italica_v2.0:I:34136858:34137606:-1 gene:SETIT_0198421mg transcript:KQL30893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVTEDKWSQLPPELVHLICYKFPDTGNFICFRAVCTSWKHRGPRLQDRQHIQFYRHSSGKMYYVRVGGRSSWFLGNGAFQGHVIAIVDLVKTMLYNPLTSKAQAIRPAPYQPWLDGVFQVLGDGDAGSMVVNTCTITRHFAYYRPGVHAGWNIFDERKDIRHNTYNGGRFFDNMVDGNTLVIDATTRDVMTIVLAPSRDKFSTTLGDYLVASHRKILRALQYPLDGSQATG >KQL31058 pep chromosome:Setaria_italica_v2.0:I:35329443:35334079:1 gene:SETIT_016517mg transcript:KQL31058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELAGIKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFREFEKMANKEFVRRYSSAACLPSRYMEEEFWHEIAFGKMESVEYACDIDGSAFSSSPDDQLGRSKWNLKRFSRLPNSTLRLLRAAVPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGSAASDFEKVVREHVYDHEILSGEGENAAFDVLLGKTTIFPPNILLHHHVPVYRAVQKPGEFVVTFPRAYHSGFSHGFNCGEAVNFAMGEWFPLGAVASQRYALLKRIPVLPYEELLCKETALVADEFPMSDHQDITLTGDAHTQSCMKAPFVQLMRFQHRVRWLLVKMGARTHYKADIDATVLCGICKRDCYVAHIMCNCRADAICLCHEDEIRKCPCSCDRVIFVRKDIFELEALSKKFEEEVGILDEVGSRMSQSDGSSTHSYLFDGIDHNAKYFPYCKIQIDASPEIHTFSERDVLGYDLNKPYPTASTITFAHGPHDYSTQSDECTSSNPRTFSSSYPENGTINAYPLSIPDQAFSSDKLAAQDSDDSDYEVFRVKRRSGIVLEKRCSEDVTTNLTENQVLRRLKKARSDGRQEKRATEVSCGTRSDSMRIESHCVDSVSDSRRTVIWLVITLNEVCCYWC >KQL31059 pep chromosome:Setaria_italica_v2.0:I:35329443:35334079:1 gene:SETIT_016517mg transcript:KQL31059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELAGIKFTTRVQPLRLAEWSKDDKFAFFMSGRKYTFREFEKMANKEFVRRYSSAACLPSRYMEEEFWHEIAFGKMESVEYACDIDGSAFSSSPDDQLGRSKWNLKRFSRLPNSTLRLLRAAVPGITDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGASKTWYGIPGSAASDFEKVVREHVYDHEILSGEGENAAFDVLLGKTTIFPPNILLHHHVPVYRAVQKPGEFVVTFPRAYHSGFSHGFNCGEAVNFAMGEWFPLGAVASQRYALLKRIPVLPYEELLCKETALVADEFPMSDHQDITLTGDAHTQSCMKAPFVQLMRFQHRVRWLLVKMGARTHYKADIDATVLCGICKRDCYVAHIMCNCRADAICLCHEDEIRKCPCSCDRVIFVRKDIFELEALSKKFEEEVGILDEVGSRMSQSDGSSTHSYLFDGIDHNAKYFPYCKIQIDASPEIHTFSERDVLGYDLNKPYPTASTITFAHGPHDYSTQSDECTSSNPRTFSSSYPENGTINAYPLSIPDQAFSSDKLAAQDSDDSDYEVFRVKRRSGIVLEKRCSEDVTTNLTENQVLRRLKKARSDGRQEKRATEVSCGTRSDSMRIESHCVDSVSGNRESLINGTKLKMIHQLDVTIVEDDVGFSQKSNYCSYLSPSVDLGPKRLKIRGPSFPSTISEVEISYRFQEDSDLASHHTQ >KQL31665 pep chromosome:Setaria_italica_v2.0:I:39090674:39093432:-1 gene:SETIT_016288mg transcript:KQL31665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRLPLLVAVLLILGVAPLRGRGGKVATEVLAPDFSASYLLFIDTVGVFLASRSGAFHAVVHNPGGQQDRFYLAVLHAPSKTCVWVANRAAPITDRAAPLQLTAAGLSVEDPNGTAIWSTPPLGVPVAALRLDDRGNLALLDARNATLWQSFDRPTDTIVSSQRLPAGAFLASAASESDLSEGAYQLNVTAADVVLTWMGSMYWRLSNDGSSTMDRGGTVAYMAVNGTGLYLLAADGGVIIQVSLPAAELRIVKLGYDGKLQISSFASANSSTAPMDGGFAAPSDGCALPLSCGPLGLCTPKGCTCPPMFAASHDGGCAPSDGSAPLSVASCGGAGDASSLPVSYLSLGNGVAYYANKLAPPTLAGDNISSCQALCTSNCSCLGYFYDDSSLSCYLVTHQLGSFMSANSINGSDSDKLGYIKVQSLQQSSNLIAILLPTIVAFVLIVVICAIVITLWRKEGRRRSSRSRDLQLRRQRSPSDSAHLVRDIDDDSDDIVIPGLPTRFTHDEIEDMTNGFRIKIGAGGFGSVYKGELPDGSQVAVKKIEGVGMQGKREFCTEIAVIGNIHHINLVRLRGFCTEGQRRLLVYEYMNRGSLDRSLFRPAGPLLEWKERMDVAVGAARGLAYLHFGCDQRIIHCDVKPENILLADGGQVKIADFGLAKLLTPEQSGLFTTMRGTRGYLAPEWLSNAAITDRTDVYSFGMVLLELVRGRKNRSEHVSGGEASNNSSGSSSRGARSDYFPLAALEGHEAGEYAELADQRLQGRVVAKEVERVVRVALCCLHEDPTLRPSMAVVAGMLEGTMELREPRVDLLGFLRFYGRGFSGPVDGGGSDMNLKHMGASPGDRSGTTTLTTMSGWPSYMSSTQLSGPR >KQL30653 pep chromosome:Setaria_italica_v2.0:I:32400915:32403812:-1 gene:SETIT_017730mg transcript:KQL30653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASARLSSSAASKKGEGIAPPVFNRSSEVLAAAMAHAAPLSAQEPPPKGQKRIAKQERRVRIVEFVDKFRASNDGKFPSITNARQQVGGSYYTVREILQELKYNHAKLPLGNAKAAPLQGTVEVAEHSGPKDEAMVAQLKGTPEFAEHSRPKDDSVKSPYNCDSLKSSKEIQDVDDMLISQKDDATSTGIVEKTETWKSVGSSHHNVETEAAKHDLNTSETLKTADDPTLSDQTESESMKVITNKSYVSVGVEAQSDPGNQQRNTEASKLALENTEKILNASESSVSDQSGSDKVVKANIHDREHNPKHEPEESTSTGLFGSLKSFAYGFRNFWKKL >KQL28381 pep chromosome:Setaria_italica_v2.0:I:5494593:5496768:1 gene:SETIT_0172532mg transcript:KQL28381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTICAISPLAEMFSARTTCALPRPKPPAPHHIFSVAVAHPHLLRRRLRFDILRPAPGVTGARERRMGSLASERTVVGWAARDATGHLSPYTYTVRKTGPEDVVVKVLYCGICHTDIHQAKNQLGASKYPMVPGHEVVGEVVEVGPEVSRHRVGDIVGVGVIVGCCRECRPCKANVEQYCNKRIWSYNDVYTDGRPTQGGFASTMIVDQ >KQL28767 pep chromosome:Setaria_italica_v2.0:I:7963471:7963923:-1 gene:SETIT_019067mg transcript:KQL28767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SCSCWIQPMMAMKGYFRAPRLHGRKQQQAAAQRGELCGGESLSAALLEAGDELAAAVAVPKGYFAVYVGAEARRFVVPTSYLRQPAFRDLMERAADEFGFAQAGGIRIPCREEDFQATVAALEAAVAARRRRRPGRAGMAAAKLPKAWSL >KQL28385 pep chromosome:Setaria_italica_v2.0:I:5504739:5508238:-1 gene:SETIT_018022mg transcript:KQL28385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALLLPARSAPPPPAVAASMLRQHAVSASACASQARRPLPGSAGRLRCGVRESTRRRLTAAAAAASSSSSGPIYPTPPPTEEEVERVKLEQVKKRLEKTARYFKNLGTLGFWSQLVCTIVSGGILSFSTVAMGKVTAPFTFYATAAGIAAAFVSVFWSFGYIRLSERLRRTAKEPAKAPPRADVVRSLKNGILLNMLGMGAAVLGMQATVGALVAKALTTSTVPYQAAPGQSPVLSIDVFLVQASANTILSHFLGLASSLELLRSVSLPPAEAAPAPAPARA >KQL29534 pep chromosome:Setaria_italica_v2.0:I:20259035:20259154:1 gene:SETIT_019119mg transcript:KQL29534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFYLSSAEFREKFRMTRAAFYSLPKWKQNKLKSGVQLF >KQL30223 pep chromosome:Setaria_italica_v2.0:I:29051045:29051386:-1 gene:SETIT_020552mg transcript:KQL30223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSHYKVIMFSWISFLNLNQPFSFGQHQNNTAR >KQL31867 pep chromosome:Setaria_italica_v2.0:I:40172386:40172799:1 gene:SETIT_0163031mg transcript:KQL31867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WSRDAPWETSVLAADFDWKKTAEPVMQLYTGATDGSYIEHKESAIVWHHHEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQVRQTRACFPHAFSIIILLFVGCLVQFEDVYETWRSTTRSFQTKGTG >KQL31868 pep chromosome:Setaria_italica_v2.0:I:40172385:40173735:1 gene:SETIT_0163031mg transcript:KQL31868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WSRDAPWETSVLAADFDWKKTAEPVMQLYTGATDGSYIEHKESAIVWHHHEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVVESLLSSMVKTGKPPDFVLCIGDDRSDEDMFESIVCPSNASVKLPATSEVFACTVGKKPSMAKYYLDDTVDVIKMLEGLANAPSQRPRPAVQLRVSFEGSL >KQL30280 pep chromosome:Setaria_italica_v2.0:I:29596505:29598259:1 gene:SETIT_019346mg transcript:KQL30280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLCRGISSTGAAMNEEVVWLKEFQTFDDKSSAIGVGSGVSRQLAEMIVKWHRPGHTLGIPCLHDEIVMELMWGMQRFMNRLVRKEKSELPNEVKEQSVVTASALFNCDALEEKEYPALRTFGRYLKDVSGIESKNWGALKLATAFKIICTHEIGDADEMFSKDVQSKLLRDADGYRGKIINYGACLRTYQALVSAHRCMTQNNGVLASLVKKAEEAHRAELNCCSCTKIS >KQL31718 pep chromosome:Setaria_italica_v2.0:I:39351812:39355573:1 gene:SETIT_016483mg transcript:KQL31718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGDDVMDGNDGQRRKKRYHRHTPRQIQQLEAMFKECPHPDENQRMQLSRELGLEPRQIKFWFQNRRTQMKAQHERQDNCFLRAENDKIRCENIAMREALRNVICPTCGGPPVPDDYFDEQKMRMENARLKEELDRVSSLTSKYLGRPITQLPPVQPLSMSSLDLSVGGLGSPALGPSLDLDLLSGGSSGYHHHHPSFHLPTAVPELERPIMAEMATRAMDELIRMAQAGEQLWARTGGGHDGGREVLNVDTYDSIFAKPGGSFRGPDVHVEGSRDSGLVFMSAIGLVDMFMDSSKWMEFFPGIVAKARTIDVLVNGMAGRSESLVLMYEELHVTSPVVPTREFCFLRYCRQIEHGLWAIADVSVDLQPRDARFGAPPSRSCRLPSGCLIADMANGYSKVTWVEHMEIEDRVPIHLLYRDLILSGAAFGAHRWLAALQRACERSACLTTAGMPPRDIAGVTPEGKRSMMKLSQRMVSSFCASLSSSQLHRWTMLSGPNDVGVRVMVHRSTDPGQPSGVVLSAATSIWLPVPCDRVFAFVRDENTRSQWDVLSHGNQVQEVSRIPNGSHPGNCISLLRGLNASQNSILILQESCTDASGSLVVYAPIDVPAANVVMSGEDPSAIPLLPSGFTILPDGRHGASSSSAGPLGSPAAGSLVTVAFQILVSSLPSSKLNAESVATVNSLISTTVEQIKAALNCASHSWMDGSMGN >KQL29011 pep chromosome:Setaria_italica_v2.0:I:9685534:9687801:-1 gene:SETIT_019091mg transcript:KQL29011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRQAAAAAAAAILVAIAAEAASVAAGAKVPAMYVFGDSTADVGNNDYLPWSIARADFPHNGVDFPGGKPTGRFSNGLIGVDFIAIAMGFSRSPPPYLSLLANAANSSSEMTRNMTMAAAAAYATGANFASGGSGLLDSTGSTISMTQQIEYFSDLKDQMSTRLSAGRVSALLSKSIFLISAGANDAFDFFLQNRSPDSTAIQQFSEAMISTYDSHVKTLYNLGARKFVVINVPLIGCCPYLRSQNPTGECVEPLNQLAKSLNDGIRDLFSNLSSEMHGMKYSIASAYELVSSLIKKPHAAGLEEVKSACCGDGRFNAERGCTPSSSCCSDRSKYLFWDLLHPTQATSKFAGHAFYDGPAQFISPISIKQLVEA >KQL29895 pep chromosome:Setaria_italica_v2.0:I:26091277:26091866:1 gene:SETIT_018741mg transcript:KQL29895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVAPSSRRSPTSHEPWRPRRAGPWRPWRPRGRRLSLFGRVQGCAAAPADSGRYRAEAPAVLAPSRPKQQAPWRSLIDACSHNGTSIWLRRISIWLCRTSIQRAQCAMEGSWVAV >KQL31937 pep chromosome:Setaria_italica_v2.0:I:40533429:40535788:-1 gene:SETIT_016584mg transcript:KQL31937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGALLLVAVAAAAALLCALPGARGFYLPGSYPHKYNPGEPLSVKVNSLTSIDTEMPFSYYSLPFCVPPEGVKDSAENLGELLMGDRIENSPYRFKMYTNESDVFLCRSAPLAPDAFALLKKRIDEMYQVNLILDNLPAIRYTKKDDYFLRWTGYPVGIRVGVDYYVFNHLQFTVLVHKYEDANVARVMGAADATDAIPAGGKDGGSSSGWMVVGFEVVPCSIKHNPEDVKSTKMYDRYPSKIKCDPTTVSMSIKENEPIVYTYEVSFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVIAFLAGIVFVILLRTVRRDLTKYEELDSEAQAQMNEELSGWKLVVSDVFRAPSNPMLLCVMVGDGVQILGMAVVTILFAALGFMSPASRGTLITGMLFFYLVLGILAGYAGVRVWKTIKCGDHSGWVGVSWRVACFFPGIAFLILTTLNFLLWGSQSTGAIPFSLFVVLLLLWFCISVPLTLVGGFLGAKAPHIEYPVRTNQIPREIPPQRYPSWLLVLGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLFIVLLLLVIVCAEVSLVLTYMHLCVEDWKWWWKSFFSSGSVAIYIFLYSINYLVFDLKSLSGPVSATLYIGYSLFMVIAIMLATGTVGFISSFCFVHYLFSSVKAD >KQL29579 pep chromosome:Setaria_italica_v2.0:I:21460789:21468487:-1 gene:SETIT_017285mg transcript:KQL29579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRVLDPVEAGALLLMAAFLLLCLRVSPGRAAPAGAEVTEFPGFHGVLPSKHYAGYITVGHEQQNRHLYYYLATSERNPTLDPVVIWINGGPACSGFSAFHHSIGPFKIEYSQVHVKDDPRATKNPYSWTKMASLLLVDSPAGVGYSYAENDDDYITNDTSRVADLYDFLSKWFAEYTEFMSNPFYVAGCSYSGVIVPVLAQEILKRNEEPYRMKINFKGYSLCNPAVDVDIENNAHVPYAFRVGLISEELFQSLVTTCTGRYWNNSNPSCQENMEQFYMDQEQALEKLFDTNLGRAKLHAKEPEVSGRWKRCPKHIQYTRDILTLTEYHLNVTSKGYRVFLYSGDHSLLVPFSATMEWLKKLNYKETEKWHPWFVENQIAGYSIRYGSNILFATIKGAGHVPSDYLPFEAFVAYQRWIDGAASL >KQL28900 pep chromosome:Setaria_italica_v2.0:I:8774840:8777567:1 gene:SETIT_017882mg transcript:KQL28900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAENLLRQHNMSLPFTFWSSVFTVMLQVAVKYSEETIRTDALSIMILILRTTDPKEERHRFGFTSVITRLHLLLQKENGLLVKKHSVRLLFLLLNCPVMLKLLCSGGKDGSEQMESEGCENDRLKLLRIGGKDGSEQMESEGCQNDGSQVISSVLVDLSECLSCGTTCSLEIELCRLVIVLLAYIASSGKLGYEVLLGPVSARGANFLELIMQVLASQMQFETQELLKERCLLMREALILLNRLASHANFSKPTLEVLTRSKLCATLTIDVANRLPHTQMANDLAELAQKFRSRVYAFLEEKPLTIEY >KQL29701 pep chromosome:Setaria_italica_v2.0:I:23574588:23581962:-1 gene:SETIT_017144mg transcript:KQL29701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPTSGKGPSNPSPPHPPNRGLPLPPNPNPSPPPAGAGPASLRRRLFEAAGDGDLQLFKRTATALDAGKGRIREAVEAVTSRGAGVLHAAAGSGRMSMCAYLVEELLVDVNATDDSGDTPLAYAVRSGTLDIVQYLLDHDANPDKPNGKGSTALHLAAAGGNCDIVKALLSNGANVDSFCDTGTPLHMAAFFKQDGAMKILLDRGADCNKVFNTVCTPLFVSLTAGSLKCVKLLIKAGADVKGVGSVTPLITAVSNGLTDFYNCLLEAGADPDVRDDFGHLPIEIAAYENRRKDVEILLPVTSRVPYVRDWSVEGIISYVKSMPSVKDDPMYKMKPDDLKLEGNKAYKRKDYATAAKLYSMAADQCPDDDSTLYSNRCLCWLKMGLGDQALSDSGICRMQRPGWAKACYLQGAARMLLKDYEKACDAFLDGLKLDPANVEIDKALCEAINCLKTSDATK >KQL28555 pep chromosome:Setaria_italica_v2.0:I:6651824:6653899:-1 gene:SETIT_019684mg transcript:KQL28555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYLLAPTTTASSSSALLPKDAAKNLPPSRTLRLRALGSRSGKARPIMAVASEQAAPAAGYPKVAAPTTGPIPAAELLGVIEAAAKAGADVEFCGGPFCWSTRTISASAGGGAYCNGQKIHVSQTDKVAYFLF >KQL29902 pep chromosome:Setaria_italica_v2.0:I:26162348:26166687:-1 gene:SETIT_018156mg transcript:KQL29902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQGLDSRATEIFRLFQSEQRETGEGAPAMSTATEAANSGTAARVRCQRIGCDAMFTDDDNPEGSCQYHPSAPLFHDGMKEWSCCKQRSHDFSLFLAIPGCTTGKHTTEKPVTKAVSANPPKATPIQYSKQGVGADGCARCRQGFFCSDHGSQPKVQKPAATDDTKKEPVEKSSVPPPKKRIDINEPRTCKNKGCGKSYKEKDNHDSACNYHPGPAVFHDRMRGWKCCDIHVKEFDEFMEIPPCTKGWHNADAV >KQL30823 pep chromosome:Setaria_italica_v2.0:I:33618833:33624417:-1 gene:SETIT_019413mg transcript:KQL30823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPPPPGRGAPGARRPMRDFFAAWLATLRSPLLPLLRRALSSSTGSWDDPLSSAAAAVEAHFQAHWSALDAAARQDPARVVASGDWRSPLELPFLWLGDVHPSLLTSLLRTLSPSPRLLAAADRVDRRIRAAVPAVSDRLRRAQKAFVVAEVAGTADVEAFLEELKGVALAANRLRRGVLSELVAAAGGYQAALYLEALSRFVLSMHDPEVLRRFDQCRPSPVAKPGRSERKDRLPQVAVVCPRGPRAADSYGEAPVVYSYADVPRFAAVASCDGDGALGPQRSAWGSSAAAEPRMAVRNVRAYGERATASLSRGGVV >KQL30855 pep chromosome:Setaria_italica_v2.0:I:33806102:33807546:-1 gene:SETIT_019306mg transcript:KQL30855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQARSRGGSRGRRGYGGNLPPRASSSRSYRPPPPPPSLPPWVVLDPYVDLEDTAPPVKGEAWAMVDCASRKAYGCGEHGQKPISDLSTALYIQANGDARQGLRAEFGEGDDGPPIHIDGDDLYAKGFVEASDENRIVLTVDFRLHYISRRTYYLIYDAADMSLSMIPCAPDDEHPIAASSTLKPLPLRRDDGGYDLAVLAKRRVPPVEEEGFSTLEDILCVWTPEAKEEQPWRVHLRRYPAEVQHPFSAEVMFAFEGKAFLVDLSLGLLYCNLPAATDGSVVELHFIPLPQAIPVDLKCDKTKPEDMGALYPDRTMGCVGGSIKFLCIDRTPPRKYGDAELTVWTLDPNLSKKHWQKDTGFPVRHIWGLPSFKTPPRPACLSWSPSAQSLCGTRRKTWHSMADFIFNLDPYSTPLDLRWSGRIRGYRYDPPFMLPSDFFKKLVVPFPMKGGIVM >KQL27801 pep chromosome:Setaria_italica_v2.0:I:738793:739834:1 gene:SETIT_019537mg transcript:KQL27801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGKEKGDDFASSRERSRNWYEDQSKYMLEWYIEYLKKIAQRIQDPQHILCADALNKKFVMGVTVGQVDRYYRYHKENWGYISKALRKSGNTFDHTRCLVIISESKKPLQNDRERCLLSKPIKFYNEMEELFRGSSADGSLAMDQNTCMDTSDGSNSDDSIELLDLNCYTQLEDILDENSDTLPTPTRHATVDNSSSSTSRASKKCPRGMKSPTKKPKNKTLGQKLEEISLTPEQRFLVSEHLSSKENKGMRGWLCNASAATLHAWVFKFLCQKEGINL >KQL28714 pep chromosome:Setaria_italica_v2.0:I:7661514:7663640:-1 gene:SETIT_019655mg transcript:KQL28714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSGFALAVLLLSIASHAKPCSDQEKSSLLRFIAELSWDAGLAMSWRNGTTSCCSWEGIACDRDGVVSEISLPGRGLHGLISPALGDLTGLRRLNLSHNSLSGELPWEQLLSSSSRLAAIDVSFNHLEGELLELPTSTTHGRPLLQVLNISSNLFTGEFPSATWKDMNNLIALNVSNNSFHGWMPSSFCITSPSFAMLDISYNRFGGRIPAGLGNCSALKVLKAGRNQLTGMLPDELFNATSLEYLSVPNNGLYGILDGTGIANLRSLSHLDLGGNRLNGKIPDSIGELRRLEVLHLDHNNMSGELPPAFSNCTNLITIDLRNNYFSGELTKVDFSTLINLKTLDLLFNSFTGAIPESIYSCSSLNALRVADNKLHGQLSPRIVNLKSLIFLSASFNNFTNITNALHVLKDCKELAVLIIGSNFKGEAMPEDETIDGFQNLQFLSLSRCSLSGKIPLWLSNLKNLEMLLLHNNQLAGQIPAWIKSLKLLFHLDISENNLTGEIPADLTEMPMLTTENTATDLDERVFLLLVYRGTSFEYRVTTAFPKMLNLGRNNFTGVIPKEIGRLKSLAILNFSSNRLSGEIPSQLHSLTNLQVLDLSNNHLTGAIPVELNNLNFLAEFNVSNNGLEGPIPAGGQFSTFTSSSFGGNPKLCGAIVDRPCASAETNLVSTLSTEWKDRWFAFVIGFGAFFGVGVLYDQIVLSKYFG >KQL31125 pep chromosome:Setaria_italica_v2.0:I:35785389:35786081:-1 gene:SETIT_020040mg transcript:KQL31125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLITSNLSMAAHPSRSSGRVHYISPAASAAAQNSSYNRKKSTPSRPPPSAAATATVVTSPPPATDNAQPAAPKQAEQQEKGERVAAKTTTSRTATARARAPTSQALARPRRRPAPAAASLPMAFCSALEEAINTFVDPPALRPSVDPRNVLSANWAPVDELPPTPCPVVRGVIPRCLAGGAYIRNGPNPQHLPRGPHHLFDGDGMLHSLLLPAADSPPSADPILCSRY >KQL27800 pep chromosome:Setaria_italica_v2.0:I:729723:735220:1 gene:SETIT_018427mg transcript:KQL27800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLCIKEVHDYLKSLCPDLHITRGEYDEDARYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATTMHA >KQL27799 pep chromosome:Setaria_italica_v2.0:I:733273:734904:1 gene:SETIT_018427mg transcript:KQL27799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMLDTQRLRHSQLVSLSLGCAMVISHTTSVDTIKGQELIVVPWGDLDSLAMLQRQLDVDILVTGHTHQFKAYKHEGGVVINPGSATGAYSSITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTATTMHA >KQL28931 pep chromosome:Setaria_italica_v2.0:I:8967834:8969106:-1 gene:SETIT_019572mg transcript:KQL28931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREHRFLYDFPDVADCEGSCVGGGGPPCLLHAGLCCPDDPLETIMDNPDVFSDDLLEDLFIAAALPPPHRGWLPITIGGGGERVVDVDGADVGYRDRGGASSDDGAPRLPAAHAAMSADDSSWTTSSVATKSPPSLETESSPPSVSRLVVPRKKRDSSVKRGKRLWSLDIPNVPASSDNSSGRDDGDQDGRRNIIHGGGGGVRQRLLIARPPRNSRTQRACSHCASTETPQWRAGPDGPGTLCNACGIRYKMNKLLPEYRPSTSPSFRSDKHSNRHRKVVKLREKVKVEKIVNMPLAPADCGGDFMDTHGCLIVIL >KQL29397 pep chromosome:Setaria_italica_v2.0:I:15032751:15036312:1 gene:SETIT_017853mg transcript:KQL29397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATCGNVPELIIALFALHKEKMEILKWSLLGSILSNLLLVLGSSLLCGGLANTGKERPLDRRQADVSIGLLILGVLCHILPLLSKYTNGTGDGISSAGSVLELSRLSAIVMLTAYFGGLVFQLKTHRQIFQQEDSSESSSTRSDDDASSVIGFASAVIWLIGMTVVIAVLSNYVVTTIEEASESLGIPVRFISIILLPIVGNAAEHAGAIIFAFKNRIDITLGIALGSATQILLLVVPIILIVSWVNGIPMDLNLNLLETGSLVMTVFTTAFTLQDDKWHYLKGFNLTLCYVVIAVCFFTIKALPTPKKLHA >KQL29419 pep chromosome:Setaria_italica_v2.0:I:15543943:15553301:-1 gene:SETIT_016309mg transcript:KQL29419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPGVHPKQSEPANIPSRRTHQMRNIWAWGVPSTTTTNGSNDAVLFSCSLPSGLPFVKLPGVEREHLAQPINEMFPARKQPGPNNRVTDTMDDVAQHLIGNLLPDDEEELLAGVINDFDHVKLQNQAEELEEYDVFRNSGGMELDVDPLETITVGTAKTSIINGTGSGSSQYSLQSCVGTVTGEHPFGEHPSRTLFVRNINSNVEDSELRSLFEPFGDIRSMYTATKHRGFVMISYYDIRDARTAMRTLQNKPLRRRKLDIHFSIPKENPSDKDMNQGTLVIFNLEPAVSNEELLRIFGAFGEVREIRETPQKRHHRFIEYYDVRAAEAALRSLNKSDIAGKRVKLEPSRPGGARRSFVQQINHDFEQDDARHTMLHLGSPSANSPPSLWSQLGSPTEHNQFNTLSENAFNSGMSPLGGNHITGLSPGYPPMKPIGKSSNRNILADHIFHGVSNFHNSHSFPEQHGGIVSASPLVSSAASSASTASGFTTLAGTPFLWANKHTLREHSQPSAFHSPPIGNSHFPNSITQRQGSLYRNLRGSFGPSEYFSQYHVGSAPSVLPLESNFGYNPESPDTSYMRQGKFGSIGPSRAGGNLVTNFGVHSRFNAPSVQSDLVGFEGPLEPGRNHAIGHMGDQEDSRMQYQLDLEKIVTGKDTRTTLMIKNIPNKYTSKMLLDVIDETHEGAYDFFYLPIDFKNKCNVGYAFINMTAPAYIVSFYKAFTGRKWEKFNSEKVVSLAYARIQGKSALINHFQNSSLMNEDKRCRPMLFDPKHIENGNKFQIYSILSQNTYSLFFVIPSYFMTLLVLAHKILSCLPWSTYAMAGQLAFS >KQL31994 pep chromosome:Setaria_italica_v2.0:I:40811205:40811816:-1 gene:SETIT_019799mg transcript:KQL31994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRSQRKRKGEAPALRVLGAKATFYNCAVDAGQGALYDQMGLHYFKACDQASQSWQLHWRGQGGKVIKDGGLTDMLLE >KQL28406 pep chromosome:Setaria_italica_v2.0:I:5664467:5667307:1 gene:SETIT_019286mg transcript:KQL28406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVVAARRATPLVLLFLVSASLFPADASAAAAGPGANLSFVLAAEKTHRKDPLDALRYYTGGWNISNEHYWASVGFTAAPVFAAAGVWFVVFGIALFLAGCCFCCCPGGGASDSYSRACLVVSLLLLLVATAAAAVGCAVLYDGQGRFHGTMAATVDYVVRQSGDTVANLRSFTGFLETAKAAGVGPVTLPDDVKGRIDDVVRNVGAAADVLAARTSSNAAKIRAALETVRKVLIVVAAAMLILAFLGLVFSLCGLESVIYVLVFFGWILVAGTFVLCGTFLLLHNVVGDTCVAMGEWVAHPQARTALDDILPCVDTAAATEALDRSKEVNYQLVAVLNGALANVSNRDFPPGTPPPLNYNQSGPPVPRLCNPYTPDLRDRACAPGELTLDAAPQAWRRYVCASTTTDAASGAEVCATPGRVTPSMYDQLAGAANVSYGLYHYGPVLVDLADCTFVRETFGSIGGDHCPGLRRYSGQVFRGLLGAAVAVLLAVLLWVVHARERRRRSEARELLLAPPPYKFPVEERAFLKSPARQYM >KQL29943 pep chromosome:Setaria_italica_v2.0:I:26773979:26774265:-1 gene:SETIT_020490mg transcript:KQL29943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTRLIMREVALISTLLLAISLELDKFS >KQL27907 pep chromosome:Setaria_italica_v2.0:I:1574636:1577695:1 gene:SETIT_019514mg transcript:KQL27907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVKAMSMDAAKTVISFLHILAYVQLCLSVTTSAHPSFNPSSSSSGPPVAHDYSRRFAEITRHCQPLLSLSAGELSVDGDRAGLLIRHLSFTDGDWSQDAGRAPLFPFHGSFAGAGKAAGPEAAALASFRLTQVQAQRRGAFNVSGLLTFTETRRDHGVVEFHALFEGVYTETPSLGSDGGGGERVLCMVGNSALPLRSSNSTDLWDMAKNGGAIDIIEPPSAAANILLVLRYPMAHTLTTRAIRGEMTSLSAESDGAYFDKVRLVSRHGPCSRYQFRPADEELEATGSSSSNPSNRDGDGDVAGQLYRGACFCDILDEFTSFDYVLLPLVPNWNCNSTEAFCSRPGPFDAGARAASMAFTRAAVTVQDLRCEPGCGADGTAAAKVSAVFRFVAPWEDEAAAVKRAGLSGATLSAEGAWTASTGRLSMAACLGAGKEAACRHRVALHIPMVFSITRRSIIVGEITAADGSHSPLSFQQRVSARQDWHQFGRAGEAVPMAYRYTKVERAGELLRRRRPSIFRDNFIARSLLSYPVIDGAADDLVSLSNLAADIGYLGFQSVPPKLPFVPNWIQEEPFNIEFDILSVGTLVGSYSPPFEDRSGMPVEQIDRVHGMGKHHVVNVSAELTAIRMYLSPVPVMSVEGVYNPEDGRMHLIGCRRVHAPWRVLSKSRDLEDGMDCSIEVTVEYPPTTMRWLVNPVAKVSVASTREDDDPLHFATTELRSMPFLYRDLRGVLTETTLENLLCVAMLTITAAAAVGQLRHVSSHAEVAPYVSLAMLGVQALGYVATLVTNTKMLPAWPRQSYMLYADPLECAVRALTLAALLLTAWLAHKVWSSRVRARARSPLEPGRVPGDGAVLLCSLAVYLGGLVIVLVVHQLSTHGASATATPPPPRGNHAEAEVMPSSNMCSWADVAERYVGAVKEWFLLPQVVGNALWCVNCKPLAARYYAGVTAAWLLPHVYGYLRPPVPGALYYYSAAGAVAVPGVGVALALLVFVQQRWNYKIVGWATRTQRNKLPKHVS >KQL30024 pep chromosome:Setaria_italica_v2.0:I:27570898:27577062:-1 gene:SETIT_017131mg transcript:KQL30024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANQEAEAGSPGAGTTVDPMRLASRWRCPTEWDRAAAELDAEPLPSELNTVNSSGLFAVVSTDKLSVRYLGSHNHGHDVGVVQANRPAPTRRPVYYFEMGVKNAGYKGQTSIGFTTDSFKMRRQPGWESNSCGYHGDDGHLYRGQGKGESFGPKFTSGDTIGAGINYLSQELFFTKNGSLVGAVPKDLKGPLYPTVAVHSQGEELTVNFGKEPFCFDIEGYILEEKMRQQSVTDKVKLEEPNISHWIVRSYLLHYGYQDTLNSFDLANATDPPASRQNGHREPPPEIYGLSHRKLLRQLIMSGDIDTTFKRLGEWYPQVIKDEKSVICFLLHSQRFIEYIRAEQLEDAVKYARANLASFLTHKAFEGLLKESVALLAYEKPAESCIGYLLDAPQREFVADAVNAAVLSTNPAMKDPESCLYSCLERLLRQLTVCSFERRTFNNDQGDAFLLHKEVRSCERSRR >KQL29413 pep chromosome:Setaria_italica_v2.0:I:15193804:15202910:-1 gene:SETIT_016473mg transcript:KQL29413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDREKEREAELESAMYTNCLLLGLDPAVLGSPSSPAARVGLFRHSNPRLGEQLLYFLLSSLRGPAQSAKDFDKVWPIFDSAQSREFRKIVQGIISELEQQGALPRSNSRVSSLATCCGPRFVELLWQLSVHALREVHRRTFAADVASNPLPAALTDVSYLHAAALLPVTKARIALERRKFLKNANIAVQRQTTWSNLAHEMTAEFRSLCAEEAYLQQELEKLQDMRNKAKLEGELWDERLSSSSGQNSHLVSKATRLWESILARKGQHEVLASGPIEDLIAHREHRYRISGSQLLAAMDMSSSVPHSELLSARAGETSPILDKQEKISPLFQGKEEALSRPDDRNGRAQQNVDVAEILRRWTHALQRIHKQSLHLAKANDGEGPELLRSASDGETSTHADSLTATLAEHRQHLVSIQGLINQLKEAIPAMQQSIEELSEEVNCVSNPIDQLNSRLPLSVQNAGLGRSEESSSEVSEMTSKLSSTYLDKPGGSPALKLPPLFSLTPSSSGKGTQTQKRNALTRQPSQEVISEEKTLTIPSTKDQMNGSVHENDGYFANDIRRSVREAALSKPSRNTERPQDKNSDDGSEHFFIPLSTGAAREMDAAINRRKQRLGLSSPQMKFPKSMGDLYYNADSPINTSPVLLSELNGHDPISAMNFLDPVSGLARQSFITDDALDQVFSPPLLMESSLFHDADEDLLAPLSETDAALMEQH >KQL27847 pep chromosome:Setaria_italica_v2.0:I:1156984:1157646:-1 gene:SETIT_019153mg transcript:KQL27847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNMYPRLQLQASSVDDGEDENVDDDGYSAFYGYGIAVVCVTIFVFCVLVSTVVSVWKACAFAILAALLLGAAGCYVPRRWFRRSGRRGAGAELVVVTVAAAGAVRPGYPCGAQVKDAPSAFAFQCPVEAGGGGGEAASASCVVCSVCLEDVRGGEMVRQVPACRHVFHVGCIDMWLHSHRTCPMCRCEVSPVAKVTTPKDAAAEEVALESSDDHELPPV >KQL27964 pep chromosome:Setaria_italica_v2.0:I:2132480:2133101:1 gene:SETIT_018792mg transcript:KQL27964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPSLLDLMSLSPSHDGCTDDDDSSEGSCGGSTVQVVPRDVSDELLGKFEDAGEFGFEYARSGLWSPLVLRPEVLAIAHRGRGRRGRRNWRRKVGTVFCCW >KQL28754 pep chromosome:Setaria_italica_v2.0:I:7911804:7915806:-1 gene:SETIT_019956mg transcript:KQL28754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSDAASASAFPFTPPLRSPSPTSNRRRHALAPSPPPRAPSAASGLQRPPWPAALPASERAGGWAGVVGAPRVLGLVAVCGSVAGAIVLRSPRKVRNATLAKSIKNKYHCSPLKRRRGSDSVAGKVVTGLVARRRKKRKRQNTDEATRLERRARYFLIKIKLEQNLLDAYSGDGWNGQSREKIKPEKELQRAKKQIIKCKIAIRDVIRQLDVCNSTGSVDDPVMPPAECTDPEHEMFGKIIENEEQSEDEDWGHDIRKKRRTRAASVQDNSVDGFSNVISDEKTEKKGRKLFRIPPTAVEVLRKSFAENELPPRDVKENLSRELDISFEKIDKWFKNTRCAALRD >KQL31276 pep chromosome:Setaria_italica_v2.0:I:36634606:36640363:1 gene:SETIT_017136mg transcript:KQL31276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGGSDGGGGDRQAQPLLGKLSESDEHLVKRTGTVWTAMAHIITAVIGSGVLSLAWSVAQLGWAGGPAAMVFFAGVTAVQSSLIADCYISHDPERGVVRNRTYVDAVRLYLGERSHLFCGFFLNFSLFGTGVVYTLTSATSMRAIQRANCYHRYGHDAPCSVGGDGYYMLLFGLAQVVLSQIPGFREMTGLSVFAAVMSFFYSFVGVGLGVAKVISNGVIMGGIGGIPMVSTTQKVWRVSQAVGDILFAYPFSLVLLEIEDTLRSPPPESETMKTATRASIVITAFFYLCCGCLGYAAFGDGTPGNLLTGFGFYEPYWLIGLANLCIVLHLLGGYQVYTQPVFGFADRHFGGAAAEVPVPVPLLGTRRVSVFRLCFRTAYVAATTALAVWFPYFNQVIGLLGAFTFWPLGIYFPVEMYLARNKVAPWSKQWLAIHAFSSVCLLICVFASFGSAVGVFGSETS >KQL29422 pep chromosome:Setaria_italica_v2.0:I:15657731:15658189:1 gene:SETIT_020466mg transcript:KQL29422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLMLVSSNNIKHSRTEGVWISDIACHGVCQM >KQL30886 pep chromosome:Setaria_italica_v2.0:I:34055643:34059482:1 gene:SETIT_016405mg transcript:KQL30886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKGSKLDEQEAVALCRGRADLLAAAARHRYALADSHDALAESLASAAAALHLLMTAPQPRLALPAARKGADAPPPPPTAAASPPHSSSHINFAPSSDSESGSVSSSPPRRLAGHDPLHPHPLPYPHYGYAGYGYAPEPTYGGYPPPGSLRLYYARSRPPPASVAVEQRAVASERVYYGSFEPPAAGGYPQYHSYGGEPAAPAAGRAPPPPPSPPRSSSWDFFNVFGDYDVYDNYCYDAGGMGAAAAASAYTPSRSSREVREEEGIPELEEDDAVVKQVAGEHSAPGSGARSRRSSLGGVSSSIAEVDEEENSVVDKEVIGGGSVARHQAPPQRNVAASAPTPRRTVDSSDVAGEIKAQFVRAADAVRALSPILEVGRRRYNHRSSVYHVSSRMVSAIALPHSDIGGVGLLDVGGEKVSGGRSLSLTLQKLYIWEKKLYDEVKAEEKMRLLLAKNAKRLKFMDQKGAEAQKIDTTRNMVRKLSTKIRISVRVIAKVSKKINRVRDEELWPQINALIQGFVRMWRDKLDCYQIQCQVMSEAKNLDSVVPDGSSRDLALELELELMKWIVNFSSWVNEQRSFIKALNGWLSLCLNYKAEETVDGVPPYSPGRVGAPLVFVICNSWSQAMDRFSEKEVIISIQALVSSVRKLSEKQNVEQTEQIIATRERERWNKILERKTVEINKEADVLNKKLALVPGRHSRLPSAQTYHDHLLDASSLQTSLQRVVQALESFASSSLQAFEQTLRHAEEERLSRENAKVS >KQL31423 pep chromosome:Setaria_italica_v2.0:I:37402422:37403059:-1 gene:SETIT_020426mg transcript:KQL31423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCVGGKAGGGAAAAGVHPRYVPERGQVLKGVLGALFGCFRPSKTRPLPR >KQL30104 pep chromosome:Setaria_italica_v2.0:I:28030560:28031633:1 gene:SETIT_019100mg transcript:KQL30104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACVEPAVAVRNHAAAGPAGLKRRRIAVGSAEQYEDISRLGEGAFGAVVKARHRATGRIVAIKRVGKAHGGHAALLREARFLEETSGGGANPFVVGFHGVVRRPDAFDLSLVMECVGPSLHNLLRQRPRGSPPLPESMVRAAMWQLLTGAKKMHGGHIVHRDIKPANILVGDDHRIVKLCDFGLAMSTDERPPYKPAGTLWYMAPEMLLEKPDYDERVDIWSLGCVMAELINNGSPLFQGFYGEGQLCAIFDVLGTPDDSTWPWFSSTAFATVVMPELDMQRENNLRELIPESKLSKEGFDVLSGLLTCNPEKRLTAAAALKHPWFDKIDVLELPKKEEFASPMSLQTKRRRMHAV >KQL32164 pep chromosome:Setaria_italica_v2.0:I:41619444:41621375:-1 gene:SETIT_017243mg transcript:KQL32164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRGALAPSSSGRRTPEHHRWAWSPAWSRPLSDRSSSSSSSFRSLFRSIGVWFTSLSTTSSMKRRSSKEPAVDVIKKPPLPAPTGKPSARGLYLSSGGGYRNGSGRQQQRPSFQFQSSVFSMDEILRATSNFSPALKVGQGGFGAVYKGVLPDGTTVAVKRAKHRMHNPHVDIEFRSEVKIMARIDHQSLVRFYGYIECGEERIVVVEFVPNGTLRDHLDRCNGRFLDLGTRLDIAIDVAHAVTYLHMYSDHPIIHRDIKSSNILLTHSLRAKVADFGFARLGAGEAAAHVTTQVKGTAGYLDPEYLKTCQLTDRSDVYSFGVLLVELASARRPIEAKREMKERLTARWAMARFIAGASADVLDPHLARTPAAERALEMLLELAFRCMVPVRNDRPAMSECCKALWAIRKTYRDMLAADVTPQPSDLPTGDLWRI >KQL31928 pep chromosome:Setaria_italica_v2.0:I:40488071:40490474:-1 gene:SETIT_018241mg transcript:KQL31928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRRRAPPSKPQPQPQPDAQEPPGDDAPLEERLAWNSQQESERRITAIKAIKDAEAGNIRSQMQLVRSYFSKEQLEANALEYFKENLPNLSIVPNEKYDVPELKWNDGDKCILGDFIDDKILQASIASLPTAGGLQFPGDSVGKDFYRRTSSFSDFAWSELPDGQMAGTSDAFQTPGAVSNRLSFGMTPKTVRLPKNGEMLLSVHGSPLGVYKEENLAAIQESGNGNEDAPC >KQL31660 pep chromosome:Setaria_italica_v2.0:I:39065406:39070257:-1 gene:SETIT_017279mg transcript:KQL31660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSTASSRSRSGTGTGTFPSPGTPNYNRHCAGNMQYSKGWSSERVPLGAGSNRRYGGSGVVLPFNNGRKLPSKWEDAEKWILSPVSCDGIGRMSAPAPHHRRPKSKSGPLGHPAGIPGAYAAVSPLVPCFDGVLAAANFAAHSPFSAGVLIPEHGRIGDFSSGRGRSGDDGSSRSYSAEKEPYILRSASIHAWTETLMEASAFANISEETTRDDKLQGQQEATSVISSPIIKKDVATQMSPEDSISSSPKARHSCSSLPSGHLLKEANTHIPKPEIRDVQVDDQVTVTRWSKRHVTRGSDKRSTNIIEWRKKTIETRAPSFDEKERERCMSKCKREEAKITAWENLQKAKAEAAIRKLEMKLEKKRSSSMDKILGKLRSAQKKAEDMRSAVSSNEDQCGVRAKKKASSFVKTGKPFSCCFTYRAC >KQL30035 pep chromosome:Setaria_italica_v2.0:I:27657181:27659602:1 gene:SETIT_018243mg transcript:KQL30035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGEGKAAAAAVRVLVVDDSPVDRKVVELLLRNHKGGAAPFHVTAVDSGKKAMELLGPKGQGRLDSSAADANELTVDIVLTDYCMPEMTGYDLLKAIKALSSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKSKDVQRLRNCSNSAKPKGADDAHQCKSLSSRRKITSEQRSQITRLAMVLNASSIELSHYFQFLFKFILLAYAVLCLGELLHRWSNGSFLSLWSS >KQL30036 pep chromosome:Setaria_italica_v2.0:I:27657534:27659602:1 gene:SETIT_018243mg transcript:KQL30036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLGPKGQGRLDSSAADANELTVDIVLTDYCMPEMTGYDLLKAIKALSSPNPIPVVVMSSENEPQRISRCLTAGAEDFILKPLKSKDVQRLRNCSNSAKPKGADDAHQCKSLSSRRKITSEQRSQITRLAMVLNASSIELSHYFQFLFKFILLAYAVLCLGELLHRWSNGSFLSLWSS >KQL30034 pep chromosome:Setaria_italica_v2.0:I:27657181:27659602:1 gene:SETIT_018243mg transcript:KQL30034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGEGKAAAAAVRVLVVDDSPVDRKVVELLLRNHKGGAAPFHVTAVDSGKKAMELLGPKGQGRLDSSAADANELTVDIVLTDYCMPEMTGYDLLKAIKALSSPNPIPVVVMSSENEPQRISSRCLTAGAEDFILKPLKSKDVQRLRNCSNSAKPKGADDAHQCKSLSSRRKITSEQRSQITRLAMVLNASSIELSHYFQFLFKFILLAYAVLCLGELLHRWSNGSFLSLWSS >KQL30455 pep chromosome:Setaria_italica_v2.0:I:30664246:30666047:1 gene:SETIT_018593mg transcript:KQL30455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAPPKKADTKAQALKVAKAVKSGTTKRKAKKIRTSVTFHRPRTLKKPRDPKYPRISAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >KQL29628 pep chromosome:Setaria_italica_v2.0:I:22173132:22175245:1 gene:SETIT_019819mg transcript:KQL29628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLLLGPPVIRGARPSPAAATAEAPASHPFLDLLDTGFNAPPPDAAADAKPRMARTENNSATYACSGNPCLDFFFQVVPDTTAQRVQELLAAAWARDPLTALKLVCNLRGVRGTGKSDREGFYAAALWVHAHHPRTLACNVPALAEFGYLKDFPELLYRLVHGADARKHAKAKADTEKMRRRVKSIRLQALAGRKRARAGVHGAAPPPAPVAVPTKPLLADFVNAAVLSTKSERSSKPGAMATTESHDQATMEVAVEPKHEGETEATELDQSSGKSMEKKKKGVPSSKKLAPGGKRRKIGLAAKWCPTPGSSFDRTTLLCEAVARQLFPRDSNPDYADLSEEHYAYRVLHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKALFKKHDEARFGKYLEDVEAGKAKIAAGALLPHEIAAAAYRGEDDNVSELQWSRMVEDLRKKGSLSNCIAVCDVSGSMGGLPMEVCIALGLLISELSEQPWAGRAITFSSRPQIHTIVGKTLQQKLSFVRYMDWGGNTNFQAVFDRILQTAVDARLAPEKMIRTLFVFSDMEFDMASANPWETDYRAICRKFRNAGYGDVVPQIVFWNLRDSRSTPVTSTQPGVAMVSGFSKNLVKLFLENDGVVSPEAVMAAAIAGEEYQKLSVFD >KQL30187 pep chromosome:Setaria_italica_v2.0:I:28728801:28730960:1 gene:SETIT_019477mg transcript:KQL30187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLANVLHCRHFHVTFVNTEYNHRRRLVRSRGPAAGAGLPGFRFATIPDGLPDVVPPVTCVVADNVMSFSLDAAREAGVPCALFWTASACGYMGYRHFQFLIDEGLAPLKDEEQLRNGCLDTPVGWARGVSKHMRLRDFPTFIYTMRRGDVLLDFMMRELTALDAMRAILPPPVYTIGPLSLLLERLAAAAADLASLPPVPILLCATPLCTATSTSHGTSAPHYELNQLSVYMYLTYTSPTIRWLDGWPTRSVVYVNYGCITTMSNEELVEFAWGLAGRGYDFLWIIRPDLVKGETAVLPPDWCEQEAVGVFLTHAGWNSTMESLCAGVSVLSVLCWPFFAEQQTNCRYACAEWGALEARIRKAMAGEKGREMRRRAGEWKDATVRATQPGGRALTNLDDLIRDVLLPSKSS >KQL30383 pep chromosome:Setaria_italica_v2.0:I:30233526:30236249:-1 gene:SETIT_018176mg transcript:KQL30383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGAEAAAAAASAEGLLRSRIPFQTDGELVLPPRGAGLVLVDLCNGFCTVGAGNLAPVAPNKQIEKMVGEAARLSKVFCDRNWPIFAFLDTHYTDKPEPPYPPHCIIGTGEENFVPDLEWLEKEPNVTVKRKSCIDGYISCIEKDGSSVFADWIGKYQIKTVLVLGICTDICVLDFASSTLAARNIDRVPPLQDVVIYSEGCATYDLPVEVATNIKGALAHPQDLMHHIGLYMAKGRGAKIVDRVVVEP >KQL32079 pep chromosome:Setaria_italica_v2.0:I:41224252:41226892:1 gene:SETIT_017901mg transcript:KQL32079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSCLSTAARAALRLPCPKFSGDAAGAQLQLQVQYASVFPRPARAHHRVVADHVAAPAVPVARRRLLAVTGMVSQEEAAATAVEEEEEEEVAEGQLLEQDEVAEQEQEQEQDGVVEASSDDGSASEAASTTTTKLYFGNLPYNCDSAQLAGIVQEYASPEMVEVLYDRTTGRSRGFAFVTMTTVQDCELVIKNLDGSLYGGRTMKVNFADRPKPKLPLYPETDHKLFVGNLSWTVTSEMLTEAFQRCGNVVGARVLYDGETGRSRGYGFVCYSTKEEMDEALSSLNGMEMEGREIRVNLALGKK >KQL32157 pep chromosome:Setaria_italica_v2.0:I:41571916:41575433:-1 gene:SETIT_017323mg transcript:KQL32157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDLFEGLPPPAAPAGGEARAPSIPPPPPPVVAPPPKSALKSSLKRSKPSSSDATTTSPPPAPAAAAPEGHVPEKRLRFRTTVDASETQILEAMQKITSHIGNPSKFSKASKLALQLIEAGSVKPGTINHFFAILKAAMSSPGACNEPSVRADYHTLFSAAQGVTELFNHQQKNQFDIWVLHAVVANDLFTDDSFVFSKAVGKIKDAILALPMATVDDDNDEAAALAAASKTATTAENKADDYVPTAPSNSLPDDSAHAAASESGEESSDPFGLDDLLAHKPKKSERVREKEVAALNRKAEEEESKRFLKSEREALLKCLEIAARRYRIPWTQTAIDIFAKHAYDNVNRFTRQQRDAIVKLWNSIKEQQIRRKQGKSVSGKLDVNAFEYLQEKYSHEKISIRHSVGGGGERRATQWLG >KQL29025 pep chromosome:Setaria_italica_v2.0:I:9763574:9764148:-1 gene:SETIT_019246mg transcript:KQL29025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHMYKDMPAILARQVKVSNLGFDWRGIQRKKDAGQNRIFIHRQPADMRLKGRCHNCEDRIRAKSDYCSPACKIVRKPNGKNANLVRDLVNVEFDEEPVRDVFCLGCLRAFASAHCANHTLHVHGADAEGGAAPNHAPVLVEIVEQDGWKLIAEDVIGQPVHLERLK >KQL31626 pep chromosome:Setaria_italica_v2.0:I:38897368:38899023:1 gene:SETIT_019755mg transcript:KQL31626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARGGLSGKYTRARRRSFLPLRCVSVRGPAPRRSHRLHPVAAAPPRGRVVRVPAAAGGAGRTRPAPRGASRPPPNGQIRLTAPSGGRRSWPSDTDPISHHRGRGILYLYAHATARRGVERIQSASGSRRQRRAGVRGRKKRGGSGQGGEAREGQGGGVRGQMGKYMRKCRGAAGEEVAGVEVTQVVGVRTRSRTAAAPAVAGGVAKVAPRRKKAVTPPNVAAGEPGADGDGGSCYIKLRSRTLFMAPPQQQPSAPRAPVPAEAVAAGQGAALVAGLSRCSSTASSVDVGCQDRSLACRSDTAEAGGDHILEISTSNSGNDPGRERRETTPSSRAQGEVSDLESDLADQKNARSSPATTSAPQLITPPADEIREFFAAAEKAQAERFAAKYNFDVVRGVPLDGGRFEWTPVVSI >KQL28525 pep chromosome:Setaria_italica_v2.0:I:6445462:6449368:-1 gene:SETIT_017486mg transcript:KQL28525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRACGGTAPAAVPALVRARLARRASSAAYAAATVADASSASSALEELAAERKGFAKVVLKKGKTQIFRDGSPMVYSGAVDRIIGRPPPKSGDVVLVADGTEKPIGWGLYNSVSMFCVRLMQLEEEARRDPTCALNMETLLEARISSAVNLRKSLGLPSANTNAYRLINSEGDRLSGLIVDIFADVAVIASSAAWVEKYREEIQFLVSKVNGISHIKWRPSADILKEEGLDILEKKEPASSCSTVKVMENGIVYLVSLEGQKTGFYADQRENRHFISLLSKDQRVLDICCYSGGFALNAAKGGADNVIGIDSSGSALDLANENIILNELNPGRISFVKGDATAFMKGAISKNELWDLVILDPPKLAPRKKVRVIAPLDLEDLLVII >KQL31806 pep chromosome:Setaria_italica_v2.0:I:39843889:39852795:1 gene:SETIT_016337mg transcript:KQL31806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSAAMEQEAEATFLQSFELHESESTLYILGTNSDKTLWRLLKIDRSEPSELVIDECSTVYTESEHYDLLKGLDEDHRSTGGVKFVAKFYGIIGFIKFLGPYYMLIITEQKKIGEIFGHPVYQVTRTAMVELANSKTRSTFQSSKDENRYKKILNALDLRKDFFFSYSYHIMRSLQKNLSDPHQEGWTLYETMFVWNEFLTRRIRNCLRNTLWTVALVHGFFKQDKFSISGKDIMLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVYEAVPGPSEVSSVVQNRGSIPLFWSQETSKLNLKPNIILHEMDSDYEATKLHFENLRARYGNPIIILNLIKTRERRESILRREFDKAIKIINKGLSEENHLRFLHWDLHQNSQGKPTNVLDVLLKVAFRALSLTEFFYCQIAPSSETAGHWPTLLSGLDPYLCDDNSNSDNTECTEIVGDISQEDISGSSDSSGNATAEDKVDNSELPPLKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHALGSIESPELGLEAPLAHHLMHFYESMGDTLAVQYSGSAAHNKIFSAKRGHLKLFIQSQEFFRTLQRHYSNTCIDANKQAAINLFLGYFQPQQEKPALWELESSSGEHNNELFDDHPSTLKRIKSDGGFLHESNASISGSGHCHNEPLSESQPDVQSGLQVPNLESDSVHENEVSSGYESGVSHLRYTPTASDILHVPRAETEYVNDSGDSNFLDLEWLSTSGNSSDERSIATSTPDANLSTENVISGITPDVVENQVAEIQAQKLPEDFVQWVNHGDTFWF >KQL29107 pep chromosome:Setaria_italica_v2.0:I:10325408:10327779:-1 gene:SETIT_018832mg transcript:KQL29107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGTGSFGKRRNKTHTLCIRCGRRSFHLQKSTCSSCGYPAARIRKYNWSVKAIRRKTTGTGRMRYLRHVPRRFKSNFREGTEATPKKSAAAAN >KQL28408 pep chromosome:Setaria_italica_v2.0:I:5668731:5675122:-1 gene:SETIT_016625mg transcript:KQL28408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEIEVVDDTETVSTAAATGDGAGPVVAAGAGQEGEEEAALKDDVYTGAAYGDLEKLHRLVEREGRSVTEPDALGYHALQWAALNNRVAAAQYILEHGADVNAIDHTGQTALHWSAVRGHIQVAELLLKEGAKMDAADLYGYQTTHVAAQYGQTAFLCHIIAKRNADHDVPDNDGRSPLHWAAYKGFADTIRLLLFLGAYRARQDKEGCTPLHWAAIRGNLESCTVLVQAGKKEDLTVQDNTGLTPAQVAADKNHRQVAFFLGNARRVHERGCGGNGYFRKLSKFGLAPLLWCIIIALIFVYTHSIILGDYNTNMTLPLGLFSWLGVFLATAGLVMFYRCSRKDPGYISKNVRDSQNQRDDEPLLKRDLDNLELLDGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKRNKWEFFMFLILEVSAMIITGVTAIIRDPASPASFGGWLGYTAINHSWVVSFVIMDLFLFFGIITLTVIQASQISRNVTTNEMANAMRYSYLRGPGGRFRNPYDHGVRKNCSDFFLKGYNEDIEKVVQTLQPDEEMGPIQMRSAVSQNSESVPLHANGSDHSSTHLQGNSNSHRQSSSKCCSNSKKSERTPLGLGLGLGRNSPSSRYLRSLLPL >KQL30908 pep chromosome:Setaria_italica_v2.0:I:34286840:34291771:1 gene:SETIT_016372mg transcript:KQL30908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALGALCRAGGWSYAAIWRSDRRDPRLLTIGECHCEDEARKVMEKMVNQVHVVGEGVIGRALISGEYQWICDDIPFSLSQISDADNLGLFQGYTWWQHQFLSGIKTIAVVPIPAFGVAQFGSMQKVSESLEFLDQVKGAAFLTESISWHPSARDVQKDVFTYNPQFQLNSSSITEGLVSIKAEAENARLLEDTITVDSLKNFSIASSNHSLHSFNGFTSNESCSGLNPHIVAMPVNSKSINSVKVFQSDSNLRHSYTSENAQQIKSTRQPGFNLASAATSYSSLANLPRIEHELSSTPNKLRYCQQSEKSSSFLDSYTSIFSTDTELKSTLFDNDTPFVQSDVIQEVGTTGSTSYACELHELPNEIWGEATGATKQVKKRDNENNGLLEGTTFDPVMHDWWDDTALLAGNTSHFGATGMNSIAEQASSGPSSVEERGLFSETIFEELLGFDSNISPVMASTDPLAGSVSGCRLPRYNLQDSFSVCNAQEPALKLPSISCTSENVPIGASKATPVSLQNLSTDDCVSLNTANSKVSQVNNPEGVKVVKKRARPGESTRPRPKDRQQIQERVKELREIVPNSAKCSIDALLDRTIKHMLFLQSVTKYAEKIKQADEPKMISKDSSAVLNDNSSGVVLKDDPSAGINGGATWAYEVAGQTMVCPIIVEDLAPPGQMLVEMLCEERGFFLEIADTIRGFGLTILKGLMELRDGKIMARFLVEANKNVTRMDIFLSLVQLLQQNSLNRSSDQLTKVINNGVPSFAEHQQSPISIPVGLAGR >KQL27796 pep chromosome:Setaria_italica_v2.0:I:717866:725339:-1 gene:SETIT_016537mg transcript:KQL27796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVELPLPAKVDFGNILAPAAAVEGVDGVVVGGGGPGGGGGEVLRRCADADRRHGGDVKQQSQNIEGFPSFRSKRTSLEVPMQKSLGFGLKSENGKRDFVGHDAIQSLHKQDSKIVAKKTIKLLDGPPCSKRPKLEPVQTGRDAEAKGHDFISQKNVPELAQCAASEKSRLLKQKRISDAKRIDKKNIRSGVRSKYDCFSSKAGLANFDSGFSGNSVLGAHGLKSDVRDITNHIENLSLSELLDGTYKYSSLGRDKGKKVLRTKDELLVSVRKAFSMLSDMDYCNGKDANLILSPKLPSASTSSYDIKEQCGDKPPPLVKDSSQINVCDTSLCPPKDILSRLTLPHGQDLDSLLAPGSESTAAVKPYMPSMTVHGASLPPFPWSHSQAGGYRQSVDCGKHGSSRSSSQWQWMRVGCNLTLDYEDSSVHKIDDLLQEMDAAKLSIMGSCDGRYNLCGMESTSGSLVQNIHSRKIGSENGSQQLQSLDHGDSSDGFQKHDSEHSLLKTPQAVSPKIQRAAEILCDMRRSTESWSAQGYSNGAIKWPKSPSEKVMKARKASSQFGTAESSSGSRNNDATWNGSNHSTKKIVDRKNDSARLNNPSKGTIRWPVPIEDGASPVRSERGLMLDTKQPHGNGGRHPVQVSSQARLEKEYENQQKLRKATLTSSLGSAGDWNRERNRRM >KQL27795 pep chromosome:Setaria_italica_v2.0:I:717565:725584:-1 gene:SETIT_016537mg transcript:KQL27795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVELPLPAKVDFGNILAPAAAVEGVDGVVVGGGGPGGGGGEVLRRCADADRRHGGDVKQQSQNIEGFPSFRSKRTSLEVPMQKSLGFGLKSENGKRDFVGHDAIQSLHKQDSKIVAKKTIKLLDGPPCSKRPKLEPVQTGRDAEAKGHDFISQKNVPELAQCAASEKSRLLKQKRISDAKRIDKKNIRSGVRSKYDCFSSKAGLANFDSGFSGNSVLGAHGLKSDVRDITNHIENLSLSELLDGTYKYSSLGRDKGKKVLRTKDELLVSVRKAFSMLSDMDYCNGKDANLILSPKLPSASTSSYDIKEQCGDKPPPLVKDSSQINVCDTSLCPPKDILSRLTLPHGQDLDSLLAPGSESTAAVKPYMPSMTVHGASLPPFPWSHSQAGGYRQSVDCGKHGSSRSSSQWQWMRVGCNLTLDYEDSSVHKIDDLLQEMDAAKLSIMGSCDGRYNLCGMESTSGSLVQNIHSRKIGSENGSQQLQSLDHGDSSDGFQKHDSEHSLLKTPQVSPKIQRAAEILCDMRRSTESWSAQGYSNGAIKWPKSPSEKVMKARKASSQFGTAESSSGSRNNDATWNGSNHSTKKIVDRKNDSARLNNPSKGTIRWPVPIEDGASPVRSERGLMLDTKQPHGNGGRHPVQVSSQARLEKEYENQQKLRKATLTSSLGSAGDWNRERNRRM >KQL29964 pep chromosome:Setaria_italica_v2.0:I:27069978:27071386:1 gene:SETIT_017935mg transcript:KQL29964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDGSQDHQDTASSDERSFVPPTTMTLLDPTENDNGGSRIGSPVGMDASKGKDVVPNAIQGGEDSGGKANTSRSEGKNSAAAIAAADAAGDGLTTKGKNSLAADDDGELKVQIIMERERRRRMKELFSTLRDLMPHVPKKVDKATLVEETIDFIRSLEKTKTQLEKQRQQQVLARQAAAEAGASSLSVSRTAHGMAALSDGWGPAPQQAPAAASAAARPVEFQTWSTPNVVLSVLTNGEAVINVCAPRQPRMLTMVVSVLKKHGIDVVSVQVAADRARSIFTIYTRENKNTNVSTI >KQL29201 pep chromosome:Setaria_italica_v2.0:I:11282725:11285678:1 gene:SETIT_017825mg transcript:KQL29201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDTSTLVSTLPNNIPASFLKQITDDFSPERELGKGAFGIVYKGILENGELIAVKKLGENPPMALDKTFNNEVGNLMAVRHENIVKLVGFCHESQKKLVPHNGRYIIVDITEIFLCYEYLWKGSLDNYLFAESNRLDWDTRFKIVKGICRGLHFLHNEMDRPVVHMDLKPENILLDDNMVPKIADFGLSRLFGQEQTRMHTQNVVGSLGYMAPEYLYRGEISTQSDIYSLGLLIIQISTGEKNIPDAEDKCGKKFIEKVHENWADRHITSKYASFDANRLQQIEMCVEIGLQCVDHERKKRPSIVDILDKLDGKHSV >KQL29618 pep chromosome:Setaria_italica_v2.0:I:22070869:22080867:1 gene:SETIT_016335mg transcript:KQL29618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTDRRLAATPVVVAGGGGGGVGGDGALEVVIDVSSSDTESDDPGGGGGKRPRLAAGRGNGGDREEKKARILAAGSAVPAGFLEPLPLPPGRLLPPPALERTVTKQFWKAGDYDGSPHLLAVEAAEHTDSGMEHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVANGATFVKIDMIENKKDGTRMLLIEDDGGGMNPDKMRHCMSLGYSAKSKVKNTIGQYGNGFKTSTMRLGADVLVFSRSRGIEGTRSTQSIGMLSYTFLRSTNKEDIIIDYEKEQGWKRKVRTRWTDWRMSLETIIQWSPYATEAELIQEFGSIGEQGTRIVIYNLWEDDEGELELDFDADANDIQIRGVNRDQKNIQKANQFPNSKHFFTYRHSLRSYASILYLWLPDNFRMILRGIEIEHHNIVNDLMLKKKITYKPAMANGFPKDTHMAAIVTIGFVKDAKHHIDIQGFNVYHKNRLIKPFWRVWTAAGSGGRGIIGVLEVNFVEPAHDKQDFERTNCLARLEARLNRIQKKYWSDNRHRIGYCVDSDSTSSGTGKRGLSSRRFEKGSQKSNYLQRVKNADRSCSDPANKNMNASMPSPRMTKPSSPNREFSSRTISQQRAEKSARKIRPERSVLHGSSNTSDGDTELAGTPSRSTSPVLRTPEKSFHKVNTSLPSLSLAGVKRSERIAARYKSKEANVTNGDGQSTVHPEAIIKQLKEENSSLKDRILMVQESLSRELQTERDKNKSLTERLEDMEKQLDTANKEQEAMIELFAEERNYRDQEEGNLKRKLSDASSTIQDLMKQLDAARNCRKR >KQL29617 pep chromosome:Setaria_italica_v2.0:I:22070869:22077623:1 gene:SETIT_016335mg transcript:KQL29617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTDRRLAATPVVVAGGGGGGVGGDGALEVVIDVSSSDTESDDPGGGGGKRPRLAAGRGNGGDREEKKARILAAGSAVPAGFLEPLPLPPGRLLPPPALERTVTKQFWKAGDYDGSPHLLAVEAAEHTDSGMEHVRVHPKFLHSNATSHKWALGAFAELLDNSLDEVANGATFVKIDMIENKKDGTRMLLIEDDGGGMNPDKMRHCMSLGYSAKSKVKNTIGQYGNGFKTSTMRLGADVLVFSRSRGIEGTRSTQSIGMLSYTFLRSTNKEDIIIDYEKEQGWKRKVRTRWTDWRMSLETIIQWSPYATEAELIQEFGSIGEQGTRIVIYNLWEDDEGELELDFDADANDIQIRGVNRDQKNIQKANQFPNSKHFFTYRHSLRSYASILYLWLPDNFRMILRGIEIEHHNIVNDLMLKKKITYKPAMANGFPKDTHMAAIVTIGFVKDAKHHIDIQGFNVYHKNRLIKPFWRVWTAAGSGGRGIIGVLEVNFVEPAHDKQDFERTNCLARLEARLNRIQKKYWSDNRHRIGYCVDSDSTSSGTGKRGLSSRRFEKGSQKSNYLQRVKNADRSCSDPANKNMNASMPSPRMTKPSSPNREFSSRTISQQRAEKSARKIRPERSVLHGSSNTSDGDTELAGTPSRSTSPVLRTPEKSFHKVNTSLPSLSLAGVKRSERIAARYKSKGLFGTAPSRASSLASQGLLHQLLNELY >KQL28031 pep chromosome:Setaria_italica_v2.0:I:2814564:2815014:1 gene:SETIT_020539mg transcript:KQL28031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFTSTHTSPRKDVRAGTTLLYVNVRYCTGTYRSNPPTVSSYA >KQL31454 pep chromosome:Setaria_italica_v2.0:I:37626732:37627484:-1 gene:SETIT_018842mg transcript:KQL31454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSNSFGTSWADQWDYGGDPSPRARGRQDGGGGGKKPGGVEKTKAAAATGLRKVKEGTASGFQWIKDKCQKKGGGGGKKQGAHEGSGIAGY >KQL29841 pep chromosome:Setaria_italica_v2.0:I:25422004:25424072:1 gene:SETIT_0198692mg transcript:KQL29841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYALSSIDSTIAQPYPIDSICISTPCSFHIPVGRAGKTKEVAKGLAIPVEEPQQQQVDPDIPPQVIRVYKKEGSDIAGRWHASNKAAKMADKMTAKTADNTQDIRRPGYYRPRTNIKYNLIIGVNDIPDLSDCPQRFEYENNVHVAKQKAGYLDPYAICEVRHNFPSKWGDNHDKLAKCKTNKEKKAKRLEKHKKATRKVSAYIAHMMITWQDRHPIWAPYNFQAYQYNINRGGIYNPERSVEMDVCTNFPYHKQPSCFVHYRYYVFEHIRVLGRYTTDPER >KQL29737 pep chromosome:Setaria_italica_v2.0:I:23963022:23964610:-1 gene:SETIT_020063mg transcript:KQL29737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVMAAAAVLPSCGAFGAPTAAAAAATTPPCTWSRRRRRALSPVVAMASAAQVRAPNKKPFAPPREVRRPVTHSLPPQKREIFESLDPWAADNILPLLKPVESSWQPQDYLPDASSEAFVDEVRELRARAAEIPDDLLVCLVGDMVTEEALPTYQTMLNTLDGGVRDETGASPTSWAVWTRAWTAEENRHGDLMNKYLFLTGRVDMRQVEKTIQYLIASGMDPKTETNPYQGFIYTSFQERATFISHGNTARHARRHGDAALARACGAIAADERRHETAYERVVGKLFEVDPDYTARAFADMMRKKVAMPAHLMTDGRDEDLFARFSAVAQRLGVYTARDYADIIEFLVRRWGVADLTGLSGEGRRAQEFVCSLGPRFRRLEERAHHRAKEAQFAPFSWIYDRQVQL >KQL30468 pep chromosome:Setaria_italica_v2.0:I:30732814:30738866:-1 gene:SETIT_016743mg transcript:KQL30468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSRKRSQLVDEGDSLQTSPRFSKTSSLKWLLLSLPRTNSDVSRKGQGEGPGRCPSLMDLCVARVCKDIDKYSTFTMLPRDLSQQIFNKLVESNCLTEASLQTFRDCALQDICLGEYPGVKDAWMEVVASQRKSLLSVDVSCSEVTDSGIDLLRDCSSIQSLACNYCDQISEHSLGMLSGLSNLTSLSFKRSNGVTAEGMRAFTNLINLVKLDLEGCLKIHGGLIHLKDLTKLESLNLRYCNYIADSDIKYLTDLTNLKDLQLSCCRITDLGVSYLRGLSKLTHLNLEGCTVTAACLEAISGLASLISLNLNRCGIYDDGCENFEGLRKLKVLNLGFNCITDACLVHLKELINLESLNLDSCKIGDEGLQYLKGLVLLQSLELSDTEVGNNGLHHLSGLRNLQNINISFTLVTDIGMKKISMLSSLKAVNLDNRQITDVGLAALTSLTGLTHLDLFGARITDYGANCFRCFKNLKSLEVCGGFITDAGVKNIKDLKALTQLNLSQNINLTDKTLELISGLTALVNLNVSNSRVSNAGLKHLKDMQNLRSLSLDSTRVTANEMKKLRATTLPNLISMRPE >KQL30469 pep chromosome:Setaria_italica_v2.0:I:30732415:30739834:-1 gene:SETIT_016743mg transcript:KQL30469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCSRKRSQLVDEGDSLQTSPRFSKTSSLKWLLLSLPRTNSDVSRKGQGEGPGRCPSLMDLCVARVCKDIDKYSTFTMLPRDLSQQIFNKLVESNCLTEASLQTFRDCALQDICLGEYPGVKDAWMEVVASQRKSLLSVDVSCSEVTDSGIDLLRDCSSIQSLACNYCDQISEHSLGMLSGLSNLTSLSFKRSNGVTAEGMRAFTNLINLVKLDLEGCLKIHGGLIHLKDLTKLESLNLRITDLGVSYLRGLSKLTHLNLEGCTVTAACLEAISGLASLISLNLNRCGIYDDGCENFEGLRKLKVLNLGFNCITDACLVHLKELINLESLNLDSCKIGDEGLQYLKGLVLLQSLELSDTEVGNNGLHHLSGLRNLQNINISFTLVTDIGMKKISMLSSLKAVNLDNRQITDVGLAALTSLTGLTHLDLFGARITDYGANCFRCFKNLKSLEVCGGFITDAGVKNIKDLKALTQLNLSQNINLTDKTLELISGLTALVNLNVSNSRVSNAGLKHLKDMQNLRSLSLDSTRVTANEMKKLRATTLPNLISMRPE >KQL31031 pep chromosome:Setaria_italica_v2.0:I:35143493:35145430:-1 gene:SETIT_016863mg transcript:KQL31031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGNPNPTGGPPQPRPPHPQQQPQPGGSPATPMTHLRPPSLAGSPFQGLFHTPPSHNPAFQIHMGASSPQTPLMAAAAAAAAQSAKRPPQKPPVRPPGPGSTSAAAAASAAAAYKASAAAAAVANSGGVDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKVDIQEALKTPPSLQRTLRIYVFNTFANQGPRAIPPPKNADPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSQFFKRVTIALDPQLYPENPLIIWENARSAAQQEGFEVKRKGDKEFVANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPNDPSFFMCDPQLKKVFGEDKLKFAMLSQKISQHLSAPPPINLEHKIKLSGNGASASACYDVLVDVPFPLQKEMMAFLANTEKHKDIEACDDVISASIKKIHEHRRRRAFFLGFSQSPVEFINGLIASQSKDLKLVAGEANRNIEKERRADFYNQPWVEDAVIRYLNRKPANEGPGGGAGGS >KQL31595 pep chromosome:Setaria_italica_v2.0:I:38628131:38630122:-1 gene:SETIT_017701mg transcript:KQL31595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFPKEHLACTAKAGVAAHLASLGCGFDIDDLEEKGGVVLQEEAAAAALPLGASAATAWDAALCPCSVAPGAVEECWDAQRRLSASPPPVPVAPGRGKAAASAARRRRRRPKAVKNTEEMESQRRNHIAVERNRRRQMNEYLAVLRSVMPPSYAQRGDQASIVAGAINFVKELEQLLQSLEAQKRRAGSCTEPQPAAAPFAGFFTFPQYSTGATGAVGSSDSSSSAGGDQSGGGGCAGARRGVADIEVAVAESHANVKVLAPRRPRQLLRMVVALQCLGLTVLHLNVTTTADHMAFYSFSLKMEDECRLSSVDDIAAAVNEIVAKVFDERVSQLLAAY >KQL29875 pep chromosome:Setaria_italica_v2.0:I:25817512:25818360:1 gene:SETIT_020630mg transcript:KQL29875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQQQRWQEGHRLCANNCGFFGSPATMDLCSKCYRDLNQQQPAAAPAPPAFLPSSSTAAASVAGVAAVAEPKAPAAVPAGGRQAGRCASCRKRVGLTGFACRCGATFCGVHRYPERHACAFDFRAAGRDAIARANPVVKGDKLKDKV >KQL30357 pep chromosome:Setaria_italica_v2.0:I:30078416:30085226:1 gene:SETIT_016520mg transcript:KQL30357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARHAGYRSHEVARGRELDLERSRRSKEYHHHHHRHPSRDRDSDRRRDAGRSGGREVSNRHRRHHSPYPPPRSRPSRREEDREPGEVSSGSGSEESGGRPLKARAPREDGVLGVCKDGSAVLPSKKRKHSPVIPDENVSELQAIDGFRSRRGIDTSVAELPLPSPPPLSDESPIDVVGRCPTMNLGVSVVTHEAEWSHEHEKNGVMEGEEDCPTTRNILTSRWADADQDEEEATVPKKKRSVSPGNLSALRSTKKVTSPELGEALRVKTRGSSSCSSNSVCSENWNIEVDGGDRMDVEEDGIDASAGCSLDVDSGSDARRSRTPEAVQPPRRCFNMLQSCRSIDEFERLNTINEGTYGVVFRVRDKKTGEIVALKKVKMDKEREGFPLTSLREINILLSFDHPSIVDVKEVVVGGHDDDTFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNILLNNRGEVKICDFGLSRQYGSPLKPYTQPVVTLWYRAPELLLGAKEYSTAIDMWSLGCIMAELLSKEPLFTGKNEIGQLDKIFRILGTPNEERWPGYSKLPGAKGKFVKQPYNRLRERFPPVSFTGGLTLSEAGFDLLTRLLTYDPEKRISADDALNHEWFREVPLPKTKDFMPTFPALNEQDRRIKKYKKSPDPLVEQQMKEQGSTGDRGLFG >KQL31254 pep chromosome:Setaria_italica_v2.0:I:36538925:36539102:1 gene:SETIT_0195682mg transcript:KQL31254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSPVARRARLLVSLLLCAGALGFLPRCHGAAEGEMPGYVTVSAASFEPASTCSAPDP >KQL28311 pep chromosome:Setaria_italica_v2.0:I:4963809:4968084:1 gene:SETIT_017002mg transcript:KQL28311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRGLTAVSRLRSRVTQEATTLGGVRWVQMQSASDLDLRSQLQELIPEQQDRLKKLKSEHGKVQLGNINVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVKGGEPLPEGLLWLLLTGKVPTKEQVDSLSKELLARSSVPAHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGLPKTKFWEPTYEDVLNLIARLPPVASYVYRRIFKDGKSIEADNALDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIEETGSDVTTDQLKDYVWKTLKSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPEDPLFQLVSKLYEVVPPILTELGKVMWLIMFSTLVLTYSHEHHSLLMYSQVKNPWPNVDAHSGVLLNHFGLSEARYVYPWHLDINLILTCDLSNLCMSTHVRNTLF >KQL28310 pep chromosome:Setaria_italica_v2.0:I:4963443:4968659:1 gene:SETIT_017002mg transcript:KQL28310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRGLTAVSRLRSRVTQEATTLGGVRWVQMQSASDLDLRSQLQELIPEQQDRLKKLKSEHGKVQLGNINVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVKGGEPLPEGLLWLLLTGKVPTKEQVDSLSKELLARSSVPAHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGLPKTKFWEPTYEDVLNLIARLPPVASYVYRRIFKDGKSIEADNALDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIEETGSDVTTDQLKDYVWKTLKSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPEDPLFQLVSKLYEVVPPILTELGKVMWLIMFSTLVLTYSHEHHSLLMYSQVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENYCKNKAA >KQL28312 pep chromosome:Setaria_italica_v2.0:I:4963443:4968659:1 gene:SETIT_017002mg transcript:KQL28312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFRGLTAVSRLRSRVTQEATTLGGVRWVQMQSASDLDLRSQLQELIPEQQDRLKKLKSEHGKVQLGNINVDMVLGGMRGMIGMLWETSLLDPEEGIRFRGLSIPECQKVLPTAVKGGEPLPEGLLWLLLTGKVPTKEQVDSLSKELLARSSVPAHVYKAIDALPVTAHPMTQFTTGVMALQVESEFQKAYDKGLPKTKFWEPTYEDVLNLIARLPPVASYVYRRIFKDGKSIEADNALDYAANFSHMLGFDDPKMLELMRLYITIHTDHEGGNVSAHTGHLVGSALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVIEETGSDVTTDQLKDYVWKTLKSGKVVPGFGHGVLRKTDPRYSCQREFALKHLPEDPLFQLVSKLYEVVPPILTELGKVKNPWPNVDAHSGVLLNHFGLSEARYYTVLFGVSRSMGIGSQLIWDRALGLPLERPKSVTMEWLENYCKNKAA >KQL31228 pep chromosome:Setaria_italica_v2.0:I:36363661:36375902:-1 gene:SETIT_016098mg transcript:KQL31228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSKGGKNKGKAQGTGQSVPAEPELTVTDGGEVVNPENGEVTESPAAEGGVADAEKTEGDAPVAAQPAKKQAEGELYLYSVPVRTQSGEKLELQLSPGDSVIDVKQFLLDAPETCFYTCYDLILHTKDGSTHQLEDYNEISEIADITSGGCSLEMVAAIYDERSIRSHLRRVRELLSLSSLHVSLSTSLALQQESAQGKSADSEKTVIQELDGLNFMEDTAGALTNLLASAQAEIKCVESIVFSSFNPPPSYRRLHGDLIYIDVVTLEGNKYCITGNSKSFYVNCSNGSILDPKPTKQGLEASTLVGLLQKISAKFKKGFREILDRRASAHPFENVQSLLPVTSWLGAHPVPEHRRDAARAEESVVLSYGTELIGMQRDWNEELQSCREFPHGNPQERILRGRALYKVTCDFVDAAVKGAVGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDSDYEHISKDQKPDCQNGPSKSTKVSSPDVGAKPGMNHGGSMEVSNSVSDISSDASAEAQIADSEQATYASANNDLKGTKAYQEADISGLYNLAMAIIDYRGHRVVAQSIIPGILQGDKSDSLLYGSVDNGKKISWNESFHSKVVEAAKRLHLKEHVVLDGSGNPVKLAATVECKGIVGSDDRHYILDLMRVTPRDSNYIGQEHRFCVLRPELVASFVEAESTKQSTRQKVPDALEESNDQVASTSDAKASSVEDDDKSEESSAPTREENDNSSAEIFFNPNVFTEYKLAGSPEEISADEELVKRAGTYLLEIVIPKFVQDLCSLDISPMDGQTLTDALHLHGINVRYLGKIAGMIKHLPHLWDLFSAEIIVRSAKHVIKEILRQSPDHDIGPAIAHFLNCFVGKVLGASTKGSLGNAQSKKGHENSQTQKSTKGPKLNNSAASRKGLSTYSHLTSDGIWSSIKEFAKSKYQFEVPDDARLSAKRVSVLRNLCQKVGITIAARKYNLDASTPFEASDILNLQPVVKHSVPTCTDAKNLMEAGKVRMAEGTLNEAYALFSEAFSLLQQITGPMHKDAANCCRYLAMVLYHAGDTAGAIVQQHRELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRTLLLLSLASGPDHPDVAATLINVAMMYQDASNMNTALRYLQEALMKNERLLGPDHVQTAVCYHALAIAFSCMQLYKLSIQHEKKTYDILAKQLGENDSRTKDSENWLGTFKVREEQVNAQKQKGQGTDASDNAIKFLKANPAFLQAMKAAAIQSGDGSANVNRSLNAAVVGEGLPRLRGVDERAARATAEARKKAVARGLNVRSGPVANNASDELAQILKLINSAAAASTASATANTQESASQGQASNGPAQNGTASEVKAADTNGPSVKSTGNTPVGLGTTLESKKQKSKQKS >KQL29172 pep chromosome:Setaria_italica_v2.0:I:10950974:10951354:-1 gene:SETIT_019719mg transcript:KQL29172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIVVLLLLTLLLTVHIARADEKQSGDKAHGSDSKKGDQDSQGGDAGKTSSKGDKNDHEGDGGQSSNKGNGDNGVGPVKKPHCKKPGHGPDPHGDGHGPPKNTDCDDEQDSPPSPSGGSAEAPSYP >KQL30431 pep chromosome:Setaria_italica_v2.0:I:30545914:30550097:-1 gene:SETIT_018234mg transcript:KQL30431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYVGNLDPRVTAREIEDEFRTFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRELDGKNGWRVELSTKAGGGRGRDRNGSDMKCYECGETGHFARECRLRIGSGGLGTGRRRSRSPRYRSRSRSRSRSPRYRRSPSYGRRSYSPRDRSPRRRSDSRSPPPPRARSLSRSPPPARARSYSKSPPPPPPRNNSRSPPPARDLSRSPPPPPARRSYSRSPGQQPQRDESPYGNGNDA >KQL30430 pep chromosome:Setaria_italica_v2.0:I:30545594:30550377:-1 gene:SETIT_018234mg transcript:KQL30430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYVGNLDPRVTAREIEDEFRTFGVLRSVWVARKPPGFAFIDFDDRRDAQDAIRELDGKNGWRVELSTKAGGGRGRDRNGSDMKCYECGETGHFARECRLRIGSGGLGTGRRRSRSPRYRSRSRSRSRSPRYRRSPSYGRRSYSPRDRSPRRRSDSRSPPPPRARSLSRSPPPARARSYSKSPPPPPPRNNSRSPPPARDLSRSPPPPPARRSYSRSPGQQPQRDESPYGNGNDA >KQL30499 pep chromosome:Setaria_italica_v2.0:I:31274367:31276156:1 gene:SETIT_020446mg transcript:KQL30499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSGSLRWLGLGWTGHPKPKNSCNNTGSNTSTDGTVTTRSEFI >KQL30330 pep chromosome:Setaria_italica_v2.0:I:29953613:29954438:1 gene:SETIT_018852mg transcript:KQL30330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGTPNKWTSSFQRLIYATFSLTSIFFFGCGSSKVHQSLSTALVLCTPKIGRFHMVSTRIPGNTADPQGCHTIRGVVSTEAFFLMPAQD >KQL28044 pep chromosome:Setaria_italica_v2.0:I:2898565:2902548:-1 gene:SETIT_017721mg transcript:KQL28044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARAGEAAETSGRGVGGAALPLAVRELLAGGVAGGVAKTAVAPLERVKILFQTRRAEFHGSGLIGSFQRIYRTEGILGFYRGNGASVARIVPYAALHYMAYEEYRRWIILGFPNVEQGPVLDLMAGSIAGGTAVICTYPLDLVRTKLAYQVKGAVNVGFSECMPSEQVYKGIRDCVKTIYRQNGLKGLYRGMAPSLYGIFPYSGLKFYFYETMKSHVPEEHRKAIIAKLGCGSVAGLLGQTITYPIDVVRRQMQVQALSSSSLVGRGTFESLVMIVKQQGWRQLFSGLSINYLKVVPSVAIGFTVYDSMKVWLKVPSREETAVAVLTEERSNSAPIPSS >KQL29518 pep chromosome:Setaria_italica_v2.0:I:19155492:19156413:1 gene:SETIT_019366mg transcript:KQL29518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RASWDDNTTKIFLDFCIDEKNKLNYNKKGLTKLGWHNLYTNFKQQTGRKYSCKQLQNKFNAFKRQYKDWRKLKDKSGTGWNNSTRTIDCDDEWWTTRNEENEANKHFCGKAFPFYDELTTLFWTTDTEGGPMLCVGGIGDRTPSSGSEGNPDTSTDENVAWLEDNVGRSSVGHVLQRSGKEHVVDSPPPKRTKSMEYYVECISESMMQRTMNERNLISHEEEEVMEMLHLVEQDGVPNGSELYFIATELFRSPARCASYRSITAAKNRIAWL >KQL29350 pep chromosome:Setaria_italica_v2.0:I:14215820:14216502:1 gene:SETIT_018622mg transcript:KQL29350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEEILTIDIKPGWKKGTKITFPEKGNEAPHIIPADIVFIIDEKPHDVFTRDGNDLIMTQKISLAEALTECTVNVTTLDGRNLTVPINNVIYPGYEEVVPREGMPIPKDPSKKGNLRIKFSIKFPSRLTSEQKAEIKRLLGS >KQL27712 pep chromosome:Setaria_italica_v2.0:I:208556:208834:1 gene:SETIT_020505mg transcript:KQL27712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRISSNTKMMQQGWYVMYEAAILIAAAALVTLV >KQL28895 pep chromosome:Setaria_italica_v2.0:I:8741705:8745405:1 gene:SETIT_017877mg transcript:KQL28895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGSSIVTVVPESDCGDDGLSEALGSIRLDVDSTSKPWSTSLANVALSSLAGLNDLLECPVCTNSMRPPILQCPNGHTICSSCKHRVDNHCPTCRQELGNIRCLALEKVAEQLQLPCKYQSMGCTEIHPYKNKLKHEELCRFRPYNCPYAGSECLITGDVPFLVSHLINDHKVDLHEGCTFNHRYVKPNPYEVENATWMLTVFKCFGQHFCLHFEAFLLGMAPVYMAFLRFMGEESEAQGFGYSLEVGGGGRKLTWQGTPRSIRDSHRKVRDSFDGLIIHRNMALFFSGGSRQELKLRITGRIWREQAQ >KQL30021 pep chromosome:Setaria_italica_v2.0:I:27522373:27527779:-1 gene:SETIT_016544mg transcript:KQL30021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFWWPGRRSSRPSSNRKDGPAPASAASVCCSPRHSVDAVPPTAYASASASPSSQWERAWARSLGSPAARRGADRAAAAAASTSLSGGGGSSGPGRAAAGRGLPLPRPVYNSAPQLLAPPSHAAAARGGSPVSSGSSSESDEAADSRNHRHADSTIYPGARTMPPDEHKCTMEEKHLVSHSAPREHHRFFEVPVTNVREIHLKSLEASTSAVSSRGRSFHKDTLYARTRSLSPSPRKHAFASSYASPRDLGFSPRSTVKRMDDLKSLSQPLPRPPAPITSCPIPSSLSASTQSLSEWKKGKLLGSGTFGQVYLGFNSESGKFCAIKEVQVILDDPKSKERLRQLNQEVDILRQLSHQNIVQYYGSELTDEALSIYLEFVSGGSIHKLLRDYGPFKEPVIRNYTRQILSGLAYLHGRKTVHRDIKGANVLVGPNGEVKLADFGMAKHIASYAEIHSFRGSPYWMAPEVIMNKNGYSLEVDIWSLGCTVIEMGTGRHPWHPHGDVPAMFKIVNTKYIPEIPESFSKEGKDFLSLCLKRDPAQRPSATQLLGHPFVQDHQSNKEPSSKRSIPPLGGIGGLRARGFAGFSSAFPSPNKTSSSHIDLRANMSLPVSPCSSPLQRVKQSNWSCIASPSHPALPSGSAAYNPVSYMQNQMRGSDPVPDPWHDIGQRPQSPYGSPKRF >KQL29763 pep chromosome:Setaria_italica_v2.0:I:24267717:24269602:-1 gene:SETIT_018645mg transcript:KQL29763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPDKPVDRARSARRDPAGVQTGRTRPRSANAGTEGGTQARKMSSGGGSDKSGSGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGHLPPSAPFPPLSSHLQVFWLVLMDT >KQL29762 pep chromosome:Setaria_italica_v2.0:I:24267191:24269602:-1 gene:SETIT_018645mg transcript:KQL29762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPDKPVDRARSARRDPAGVQTGRTRPRSANAGTEGGTQARKMSSGGGSDKSGSGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLTDGS >KQL29764 pep chromosome:Setaria_italica_v2.0:I:24267192:24269602:-1 gene:SETIT_018645mg transcript:KQL29764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPDKPVDRARSARRDPAGVQTGRTRPRSANAGTEGGTQARKMSSGGGSDKSGSGGGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNGMHCATLIF >KQL28019 pep chromosome:Setaria_italica_v2.0:I:2638434:2644279:-1 gene:SETIT_016516mg transcript:KQL28019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVGVGRAAARSSPKRYAGTDPPLSLAGPTVADLQRTAELEKFLVEAGLYEGKEESAKREEVLSEIGQIVKEWVKQLTSKKGYAEQLVERANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFVTLHGILAEKEEVTELQPVPDAHVPVLKFKFRGISIDLLYASLSPSEIPADFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAENFRTTLRCLKYWAKRRGVYSNITGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIKNDDLGFSIWDPRKNPRDRNHLMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIDVNKANWDALFEPFHFFEAYRKFLVVDIVAEDDDDLRLWKGWIESRLRQLTLKIERDTKGILQCHPYPCEYSDPAIECAHCAFYMGLSRKVGLKKCGQQFDIRGTVDEFMREIGMYSLWKPGMDLAVTHVRREQVPSYVFEQGYKKPSPTMHANQQEQSDGDGTLSPDLGVQLKRKYDSDGDGHVELRKSVKRALVSPPGEETPPHHGNSVSKVLCDSPVKLVSSALFSGAQTSPSHDDITFEQTQLTSSSHGSEDTSASGTSCAAMGAVVLADESSKPGNLTSDVVIDTVETMEVHTTSECVAQKDETKLEGIRSLTSSNCAEFLEGEVLAENVHLSGDEVI >KQL28017 pep chromosome:Setaria_italica_v2.0:I:2638434:2644279:-1 gene:SETIT_016516mg transcript:KQL28017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVGVGRAAARSSPKRYAGTDPPLSLAGPTVADLQRTAELEKFLVEAGLYEGKEESAKREEVLSEIGQIVKEWVKQLTSKKGYAEQLVERANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFVTLHGILAEKEEVTELQPVPDAHVPVLKFKFRGISIDLLYASLSPSEIPADFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAENFRTTLRCLKYWAKRRGVYSNITGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIKNDDLGFSIWDPRKNPRDRNHLMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIDVNKANWDALFEPFHFFEAYRKFLVVDIVAEDDDDLRLWKGWIESRLRQLTLKIERDTKGILQCHPYPCEYSDPAIECAHCAFYMGLSRKVGLKKCGQQFDIRGTVDEFMREIGMYSLWKPGMDLAVTHVRREQVPSYVFEQGYKKPSPTMHANQQEQSDGDGTLSPDLGVQLKRKYDSDGDGHVELRKSVKRALVSPPGEETPPHHGNSVSKVLCDSPVKLVSSALFSGAQTSPSHDDITFEQTQLTSSSHGSEDTSASGTSCAAMGAVVLADESSKPGNLTSDVVIDTVETMEVHTTSECVAQKDETKLEGIRSLTSSNCAEFLEGEVLAENVHLSGDEVI >KQL28018 pep chromosome:Setaria_italica_v2.0:I:2638434:2643942:-1 gene:SETIT_016516mg transcript:KQL28018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVGVGRAAARSSPKRYAGTDPPLSLAGPTVADLQRTAELEKFLVEAGLYEGKEESAKREEVLSEIGQIVKEWVKQLTSKKGYAEQLVERANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFVTLHGILAEKEEVTELQPVPDAHVPVLKFKFRGISIDLLYASLSPSEIPADFDISQGSVLCDVDEATVRSLNGCRVADQILRLVPNAENFRTTLRCLKYWAKRRGVYSNITGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWQWPNPVMLCAIKNDDLGFSIWDPRKNPRDRNHLMPIITPAYPCMNSSYNVSTSTLRVIMEQFQFGNKICQEIDVNKANWDALFEPFHFFEAYRKFLVVDIVAEDDDDLRLWKGWIESRLRQLTLKIERDTKGILQCHPYPCEYSDPAIECAHCAFYMGLSRKVGLKKCGQQFDIRGTVDEFMREIGMYSLWKPGMDLAVTHVRREQVPSYVFEQGYKKPSPTMHANQQEQSDGDGTLSPDLGVQLKRKYDSDGDGHVELRKSVKRALVSPPGEETPPHHGNSVSKVLCDSPVKLVSSALFSGAQTSPSHDDITFEQTQLTSSSHGSEDTSASGTSCAAMGAVVLADESSKPGNLTSDVVIDTVETMEVHTTSECVAQKDETKLEGIRSLTSSNCAEFLEGEVLAENVHLSGDEVI >KQL31040 pep chromosome:Setaria_italica_v2.0:I:35205906:35207051:1 gene:SETIT_019829mg transcript:KQL31040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRYSEERSQEAFDQSVHECGVCLSENTGRNFIQLPCNHSFCVKCMESYCGIHVKEGSVTRLACPDTSCRAPLPPPVLRRLLAEEGYARWESLALRRTLDTMPDVAYCPRCNAACVAAGDDAQCPAYFCGATSGTPASPRYATHAERQKLMRVSVREQMSEAQRLREQRKVEELLSLREVLRSTRQCPSCRMAIAKTEGCNKMVRDNCGQFCCYRCSRAISGYKHFVNGECGLFERVGRGRLPGQQAPDLDEDVEIKEPGWMRALRYKCPACGAKRTK >KQL28588 pep chromosome:Setaria_italica_v2.0:I:6854902:6860611:1 gene:SETIT_016249mg transcript:KQL28588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPPASVGAMWSQPRRNHDEEYATARDHRRSKRIGSRERAEFHGEFEDRYRSSHQSRENSYERDHEYGRHSYDSDYERGRRDSNWRRHDEGERERRDLSRERDESPYMRHSRSRSRGHDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFPTVEAARRMMEGTAENGLEIDGRNVFFEYSSKPTGGPSLGQENFSRPTYGHRTVAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGTTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGEVLRVAYAKSTHGPVSGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQNSNSESQRDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSYDQQTQQYVPCNDQNNTKAAGVVANENTTTSDSNTGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKTSLIANKKKMNNVLAMWKQRNQEGQAARIVLGDKEPSRSDDKSNHSHSGTGFSLKSKPSSDSGNVKDMNSSASYNSFGQGTSSTQMLDSDVKPRPVSNSLGTTVMGVIRGSTRGVIKSDTTFHALSDTGSAEPHTTATTSTKGLMTTPEALATPAPYKTDISALVSNTSSGVSGSGKRRFSEAPGQSQYRDRAAERRNLYGSSLGNDSVGLDSRFK >KQL28589 pep chromosome:Setaria_italica_v2.0:I:6855002:6859630:1 gene:SETIT_016249mg transcript:KQL28589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPPASVGAMWSQPRRNHDEEYATARDHRRSKRIGSRERAEFHGEFEDRYRSSHQSRENSYERDHEYGRHSYDSDYERGRRDSNWRRHDEGERERRDLSRERDESPYMRHSRSRSRGHDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFPTVEAARRMMEGTAENGLEIDGRNVFFEYSSKPTGGPSLGQENFSRPTYGHRTVAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGTTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGEVLRVAYAKSTHGPVSGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQNSNSESQRDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSYDQQTQQYVPCNDQNNTKAAGVVANENTTTSDSNTGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKTSLIANKKKMNNVLAMWKQRNQEGQAARIVLGDKEPSRSDDKSNHSHSGTGFSLKSKPSSDSGNVKDMNSSASYNSFGQGTSSTQMLDSDVKPRPVSNSLGTTVMGVIRGSTRGVIKSDTTFHALSDTGSAEPHTTATTSTKGLMTTPEALATPAPYKTDISALVSNTSSGVSGSGKRRFSEAPGQSQYRDRAAERRNLYGSSLGNDSVGLDSTGDYPSRKGSSEIGSMPFPPGVGERSSGEISNTENYEVITADRAIDESNVGNRILRNMGWQEGLVSSSPA >KQL28590 pep chromosome:Setaria_italica_v2.0:I:6854902:6860611:1 gene:SETIT_016249mg transcript:KQL28590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPPASVGAMWSQPRRNHDEEYATARDHRRSKRIGSRERAEFHGEFEDRYRSSHQSRENSYERDHEYGRHSYDSDYERGRRDSNWRRHDEGERERRDLSRERDESPYMRHSRSRSRGHDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFPTVEAARRMMEGTAENGLEIDGRNVFFEYSSKPTGGPSLGQENFSRPTYGHRTVAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGTTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGEVLRVAYAKSTHGPVSGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQNSNSESQRDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSYDQQTQQYVPCNDQNNTKAAGVVANENTTTSDSNTGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKTSLIANKKKMNNVLAMWKQRNQEGQAARIVLGDKEPSRSDDKSNHSHSGTGFSLKSKPSSDSGNVKDMNSSASYNSFGQGTSSTQMLDSDVKPRPVSNSLGTTVMGVIRGSTRGVIKSDTTFHALSDTGSAEPHTTATTSTKGLMTTPEALATPAPYKTDISALVSNTSSGVSGSGKRRFSEAPGQSQYRDRAAERRNLYGSSLGNDSVGLDSTGDYPSRKGSSEIGSMPFPPGVGERSSGEISNTENYEVITADRAIDESNVGNRILRNMGWQEGLGLGKDGSGIKEPVQAKSVDVRAGLGSQQRKADPSLEAQAGDSYKTIIQKKAIARFREMS >KQL28587 pep chromosome:Setaria_italica_v2.0:I:6854902:6859298:1 gene:SETIT_016249mg transcript:KQL28587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPPASVGAMWSQPRRNHDEEYATARDHRRSKRIGSRERAEFHGEFEDRYRSSHQSRENSYERDHEYGRHSYDSDYERGRRDSNWRRHDEGERERRDLSRERDESPYMRHSRSRSRGHDDRSRSRSRSRSPRARSRGRNQRDGFYDDNRFDRRREYDWDERRRGDSVAPSATVVVKGLSLKTNDDDLYQILAQWGPLRSVRVIKERNSGMSRGFAFIDFPTVEAARRMMEGTAENGLEIDGRNVFFEYSSKPTGGPSLGQENFSRPTYGHRTVAAPCDWICTICGCMNFARRTSCFQCNEPRTEDALPADATGTTPQFGRRGSELGPTHVLVVRGLDENADEEMLRYEFAKHAPIKDIRLVRDKFTHVSRGFAFVHFHSVEDATKALEATNGITLEKNGEVLRVAYAKSTHGPVSGGSQSNSLAAAAIEAASFAQQYDAIGWAPKEYNPDEKQNSNSESQRDGSAAQSGFVWDEKSGYYYDSASGFYYDGNTGLYYDSNSGVWYSYDQQTQQYVPCNDQNNTKAAGVVANENTTTSDSNTGKKVVISAPAATIKQSEKTSLPDAVQAAANAALAAEKREKEKAKEIKLASKTSLIANKKKMNNVLAMWKQRNQEGQAARIVLGDKEPSRSDDKSNHSHSGTGFSLKSKPSSDSGNVKDMNSSASYNSFGQGTSSTQMLDSDVKPRPVSNSLGTTVMGVIRGSTRGVIKSDTTFHALSDTGSAEPHTTATTSTKGLMTTPEALATPAPYKTDISALVSNTSSGVSGSGKRRFSEAPGQSQYRDRAAERRNLYGSSLGNDSVGLDSSKNTLS >KQL27882 pep chromosome:Setaria_italica_v2.0:I:1412338:1415794:-1 gene:SETIT_016554mg transcript:KQL27882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLAPPGGGVANWEEAAAAGPAPASSTAPSAPPRSRWASPIKVYYRKYPRRNPKPPPPPPPGPAPDLNPLPETLSSIPRPIRCPEDGVAAAAPSSTPPPFPDPAATSSTTPPFPDPAAPTSSSPAPPLAPAPPGEPSPGSGDIAPGINRDGAAVPNGHGKDWAMVAVQKAEKAKKRRARSELRRQLASELDQVRMLSKRLKAAAETLAQQEDSVPMPLAMMLPPSQVVDARYIRSQFSPTGPVTPIPAQAAFAPFRSLPPQAPLTVSVVHTEPFEVEKRTPKANQLYQNSEFLLAKDKFPPADTHGRKKPKHHKKKNRSLEPRGADFDAERRLYSHAFKKSSSLLSRLMKHKFAWVFNKPVDAVGLGLHDYFEIIRHPMDLGTIRGRLSHGQYRNPKEFAEDVRLTFQNAMTYNPKGHDVHIMAEQLLGIFEAQWPEIEAKVNYLALCPPLPKKFPPPPIDLRLLERSDSVKHHVALESNSRPISHTPTRPPSMKKPRAKDANKRDMTMDEKRKLSENLQNLPPEKLDAVVQLIKNKNLTVRQHDDEIEVEIDSMDAETLWELDRFVSNYKKNLSKQKRRAERAMLARQDAELRAQHSVQQLLAEERNTGEKSPKQNVMVGGQLASSAPNQNDNNGHVASRSNSSSGSSSDSGSSSSDSDSDSSSSDGSDAANLS >KQL27881 pep chromosome:Setaria_italica_v2.0:I:1408948:1415794:-1 gene:SETIT_016554mg transcript:KQL27881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLAPPGGGVANWEEAAAAGPAPASSTAPSAPPRSRWASPIKVYYRKYPRRNPKPPPPPPPGPAPDLNPLPETLSSIPRPIRCPEDGVAAAAPSSTPPPFPDPAATSSTTPPFPDPAAPTSSSPAPPLAPAPPGEPSPGSGDIAPGINRDGAAVPNGHGKDWAMVAVQKAEKAKKRRARSELRRQLASELDQVRMLSKRLKAAAETLAQQEDSVPMPLAMMLPPSQVVDARYIRSQFSPTGPVTPIPAQAAFAPFRSLPPQAPLTVSVVHTEPFEVEKRTPKANQLYQNSEFLLAKDKFPPADTHGRKKPKHHKKKNRSLEPRGADFDAERRLYSHAFKKSSSLLSRLMKHKFAWVFNKPVDAVGLGLHDYFEIIRHPMDLGTIRGRLSHGQYRNPKEFAEDVRLTFQNAMTYNPKGHDVHIMAEQLLGIFEAQWPEIEAKVNYLALCPPLPKKFPPPPIDLRLLERSDSVKHHVALESNSRPISHTPTRPPSMKKPRAKDANKRDMTMDEKRKLSENLQNLPPEKLDAVVQLIKNKNLTVRQHDDEIEVEIDSMDAETLWELDRFVSNYKKNLSKQKRRAERAMLARQDAELRAQHSVQQLLAEERNTGEKSPKQNVMVGGQLASSAPNQNDNNGHVASRSNSSSGSSSDSGSSSSDSDSDSSSSDGSDAANLS >KQL32046 pep chromosome:Setaria_italica_v2.0:I:41086067:41087459:1 gene:SETIT_020133mg transcript:KQL32046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYSKSSNGGEQQQEQLVCVTGAGGFIGSWLVKELLMRGYRVRGTARDPEDSKNAHLLALEGARERLTLCRADVLDYDSLRAAFTGCHGVLHVASPVSNDPELVPVAVEGTRNVINAAADEGVRRAVFTSTYGAVHMDPNRSPDAVLDETCWSDFDFCKQTGNLYCCAKMMAEITATEEAARRGLQLAVVVPCITTGPMLQQSLNFTSNHVVHYLMGAKRSYPNAVAAYVDVRDVARAHVLAYERPEARGRYLCIGTVLHRAQFIAMLMELFPQYPVTAKCEDDGKPMAKPYKFSNQRLKDLGLEFTPLKKSLCEAVVCMQKKGHLPVITEQQRSYL >KQL31135 pep chromosome:Setaria_italica_v2.0:I:35834591:35836801:-1 gene:SETIT_017024mg transcript:KQL31135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCVAQPRPANKAQVPFRQIFLRQRGFNSPGQEKTWPNRTVADSPPSQANSFGPGASTATPSRAAPRRTTRSPAQAHKNQPTGEPSPGLLPLLRCFHPSPEALPAPLPMPVASVAALRTAGGSGRCRGAGSPQVGLNGGRFLMMQRRELVTKAGIALAVSCSMATSSPASANGSAQGLEVLPFKPDGYNFWTWRGRRIHYVEQGAGQPIVLIHGFGASAFHWRYNIPELAKKYKVYAIDLLGFGWSEKALVDYEATIWMEQVSDFLREIVKEPAVLVGNSLGGFTTLFAATEVPELVRGVVLLNSAGQFADPNKPAAAPAEEEEESSPLSRFVVKPLKEAFQRVVLGFLFWQSKQPARVEKVLKSVYIDSSNVDEYLIGSITAPAADPNAGEVYYRLMSRFMSNQSRYTLDRLLGKLACPLLLLWGDLDPWVGPAKAARIQEFYADTAVVHLQAGHCPHDEAPEQANRALLEWLAAIDARAKPAEPSLQTV >KQL30618 pep chromosome:Setaria_italica_v2.0:I:32228842:32229786:-1 gene:SETIT_019081mg transcript:KQL30618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAPETLEPIQETLREINERTPEVRVGRFSTRVLLALGTEVASSPPEDGDAGHRHRAERPDKRPCALRMDWAACDLPLHGEDAHFGHAEAGFVGVADGVGGYRDRGVDAGAFARELMASALENVELTAKARRLRPKEVLKRAYETAVIKCTPGASTAVILSLDRTALSWAYVGDSAFAVFRGGRIVYRSIEQRRRFNFPYQLSSNGDGDSLTKAMVGDMSVRDGDVVVVGTDGLFDNMHDCQLERAVQMGTELGFSPKNMADIIASIAYDVSKNKRACSPFSLAHLKASGEGGCGGKEDDITVIVAYIVAKDS >KQL28753 pep chromosome:Setaria_italica_v2.0:I:7911126:7911588:1 gene:SETIT_018885mg transcript:KQL28753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINLLGHGIIITARINMITFVQLRIKLFSTFASKCVFQTLEKKGMNCLRNQPCLRFQLFLYFLSFCWERDYDCSLQPERLHYC >KQL31842 pep chromosome:Setaria_italica_v2.0:I:40030490:40031926:-1 gene:SETIT_017572mg transcript:KQL31842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGGPPNSRALQPPQQPGTPGRSRRRPDLTLPLPQRDLTSLAVPLPLPLPPSSAPSSTSSSGALSGPASLGAPTPPTSAGSAPPNPPPLCELERVRRIGSGAGGTVWMVRHRPTGRAYALKVLYGNHDDAVRRQITREIAILRTAEHPAVVRCHGMYEQAGELQILLEYMDGGSLEGRRIADEGFLAHVARQVLSGIAYLHRRHIVHRDIKPSNLLIDSGRRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGAYDGYAGDIWSFGLSILEFYMGRFPLGENLGKQGDWAALMCAICYSDSPQAPRTASPDFKNFISLCLQKNPANRPSAMRLLQHPFVAQPQPQPQPLAAPPPS >KQL30402 pep chromosome:Setaria_italica_v2.0:I:30298872:30301150:1 gene:SETIT_019019mg transcript:KQL30402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTSEELAKRSGMLTLVTSARTCSLMKEVHVLSPACGDNKHKYQAISTRRSGKI >KQL31959 pep chromosome:Setaria_italica_v2.0:I:40633388:40636466:1 gene:SETIT_016404mg transcript:KQL31959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSDAATEVLVPLAAVVGIAFAVAQWVLVSRVKLSPSARGGARDKDVLGDSLIEEEEGLNDHNVVVRCAEIQNAIAQGATSFLFTEYRYVGIFMSIFAVVIFVFLGSVEGFSTRTHPCTYSKGRECKPALFNALFSTVSFLLGAITSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLASNGLLVLYIAINLFKMYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHDFTGMCYPLLVSSVGIIVCLITTLFATDIFEIKAVKEIEPALKKQLIISTALMTVGIALISWLALPAKFTIFNFGEQKQVTNWGLFLCVAIGLWAGLIIGYVTEYYTSNAYGPVQDVADACRTGAATNVIFGLALGYKSVIIPIFAIAVGIYISFTIAAMYGIAVAALGMLSTIATGLSIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVIIGLVVGAMLPYWFSAMTMKSVGRAALEMVEEVRRQFNTIPGLMEGTGKPDYANCVKISTDASIKQMIPPGALVMLTPLVVGTFFGVETLSGVLAGALVSGVQVAISASNTGGAWDNAKKYIEAGASEHARALGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKLF >KQL30971 pep chromosome:Setaria_italica_v2.0:I:34772676:34773288:-1 gene:SETIT_018796mg transcript:KQL30971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KQL29635 pep chromosome:Setaria_italica_v2.0:I:22352349:22357498:1 gene:SETIT_017287mg transcript:KQL29635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAGGRRRQIYRREFSTGWGHQPVLMCTQSVLKGVTGRSWGTSHNGNGNSPKVNGNSTSRNSSSNPSKNKSNTPILQIILPVVTVLGLISAILMNIRRRSRQKKRKLSCAPSSEDIEELKSVLLDVSIIRAATQNFAEENKLGEGGFGQVYKGLMPDGQEIAVKRLARGSKQGLHDEKRDNMARDTRYRIICGIARGLVYLHDESRVKVIHRDLKPSNILLEMDMNPKISDFGLASVFENDHSKHITRRVAGTYGYMAPEYAVLGHVSTKSDVFSFGVIILEIVTGRKNSVSSSEATMARHLLSYVWENWTKGTITEIVDPSLRRSCAENMVLKCTHIGLLCVQENPSDRPNMSRVILMLVGRSTTLPPPSRPAFLFRLDDADQSNHRLDGVDQSHYEGALDLQGRSNKSNLSLNKVTITELEPR >KQL27909 pep chromosome:Setaria_italica_v2.0:I:1601081:1601776:1 gene:SETIT_019453mg transcript:KQL27909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IEKHKTACCTRPDIPFIMLHCIAPASTIPSSSCVWSEMVLRWNDGSRVARFRAIWLSLAAWALYALLRAPNTPGLVVIVEVVEVLLAAIFLATMAQMVFCPEHIVGPRPGGDDGEGDAAVLPLVDGQVPRVTDDDEELPKVQPARQCTASARVEGVRAVVARSYEHGGEVDGFVAECAVCLGEVEDGELVKRLPVCLHVFHGMCIDVWLRGHSTCPVCRCGVSAPSAGEMV >KQL30095 pep chromosome:Setaria_italica_v2.0:I:27977900:27978205:1 gene:SETIT_020325mg transcript:KQL30095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIFGLDLILRDWFTHFRFHTMRTEMSNPTLFGWQPLSIIILQ >KQL31458 pep chromosome:Setaria_italica_v2.0:I:37648109:37649298:1 gene:SETIT_019930mg transcript:KQL31458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPKFGSFKGEKGDSAAAAGAAAQRRDPYEVLGVGRNATEQEIKSAFRRMALKYHPDKNADDPVASEKFQEATFSYNILSDPDKRRQYDSSGFEAIEADGQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVEISQLQLGKSVCRKVEKQTAHFYSVDITDKEAKMGLVCRVHSTAKSKFK >KQL31470 pep chromosome:Setaria_italica_v2.0:I:37753115:37753838:1 gene:SETIT_020558mg transcript:KQL31470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEALLSKLPSVDPAAAGSLAATMMAKDEADAAERGECHGGAADVAAGCGGEGTSVAAAAATTTTAAAAPYYINVAKPSEGF >KQL27809 pep chromosome:Setaria_italica_v2.0:I:812866:814132:1 gene:SETIT_019449mg transcript:KQL27809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILKLGMASYSAPRMVVDTRQQLNEEASRAAEFI >KQL31222 pep chromosome:Setaria_italica_v2.0:I:36335613:36340408:-1 gene:SETIT_017485mg transcript:KQL31222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMTEKEREKQELLGRAAGGAAMQRSPVDKPPFTLGQIKKAIPPHCFERSVIKSFSYVVYDLVIVAALLYFALVFIPALPSPLHFAAWPLYWIMQGCVCTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSSLMVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKEAMPWYTPYVYNNPVGRLLHIVVQLTLGWPLYLTTNASGRPYPRFACHYDPYGPIYNDRERMQIFISDAGVMAVSFGLYKLAATFGFWWVVRIYAVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDPTPVAKATWREARECIYVEPEDRKGVFWYNNKF >KQL31223 pep chromosome:Setaria_italica_v2.0:I:36335613:36337771:-1 gene:SETIT_017485mg transcript:KQL31223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGRMTEKEREKQELLGRAAGGAAMQRSPVDKPPFTLGQIKKAIPPHCFERSVIKSFSYVVYDLVIVAALLYFALVFIPALPSPLHFAAWPLYWIMQGCVCTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSSLMVPYFSWKYSHRRHHSNTGSLERDEVFVPKKKEAMPWYTPYVYNNPVGRLLHIVVQLTLGWPLYLTTNASGRPYPRFACHYDPYGPIYNDRERMQIFISDAGVMAVSFGLYKLAATFGFWWVVRIYAVPLLIVNAWLVLITYLQHTHPALPHYDSSEWDWLRGALATMDRDYGILNRVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDPTPVAKATWREARECIYVEPEDRKGVFWYNNKF >KQL29051 pep chromosome:Setaria_italica_v2.0:I:9939426:9940811:1 gene:SETIT_019478mg transcript:KQL29051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAAGGFPNWVLLEPYVFRRDDDESFPDETHAPIRASGTTSWGADFRIAFSLAEPPLISRLYAQLPGVPGPRKARPLAMLKTHRHLALLVVISPTSDQPFDYSRRDHRLPRRRSSSATPRLLNMHSLGLWCGDKEEFVVAQLNLCVPTIGRSRIKAFADICLLRSSDQLGGNWESMRVPILNPSADDLWKLSQWQNTAIIPFQRWLCWIDYKRGILFCDVSGKVLAPTVSFLWFPEDKPSLTRTRKITMCGTIAGMSVVDHGPLKPGTGFTITCHTLVLAGGSMAWKEDYTVTSGDLPDCYQRGIPMHPQVDIDRPHVVHFLFIEFFGLAYEKMSVLSIDMSTKTMVSCYLYMNGNEILRRGDKCCQPDVDIDFVISKCLAPSPLPFPACEFPRFCNLSRYVLLLLHALL >KQL30805 pep chromosome:Setaria_italica_v2.0:I:33448378:33450514:1 gene:SETIT_016905mg transcript:KQL30805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMGDGIGVVAAALAVVLLPWLWAALAHLVWRPYAVARAFARQGVRGRPYRFFVGNTGEVRAMRAATSGLTLDRSSHDIVPRVMPHLRAWKSLYGKVFFWWSGSRPVLCVGNYDMVKRVLSDKAGLYRKPEPGPALVALMGRGLVLTEGEDWARHRSVVHPAFAMDKLKTMARAMEACAGEVVRSWEARAAEAAGGEATVEVGHQFKELTADVISHTAFGSSFRQGKEVFLAQRELQHIAFASFNKVRVPGMEYLPTKNNVRRWQLERKVRGTLMVIIDERLAAAKEARGYGTDLLGLMLEANAGFGGGQRVMNMDEIIDECKTFFFAGHDTTAHLLTWAMFLLGTHPEWQRRLREEVLRECGGAGTPLNGDALSKLKLVMMVLYETLRLYGAVNLTMRQATADVDLCGVKVPKGTLVSLPFAMLHRDEEVWGCDAGEFNPHRFLDGVGRAAAHPRALLSFSLGPRSCIGQDFAMLEAKITLALILQRFAFEVAPEYVHAPADFLTLQPRHGLPVVLKLLGP >KQL30146 pep chromosome:Setaria_italica_v2.0:I:28382859:28383523:-1 gene:SETIT_018985mg transcript:KQL30146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCMHTKTRDAQNVIIYICNSLFRTPNQLNLDRRTHGVNYPLPTLLSCISRFIEEKKTDR >KQL30606 pep chromosome:Setaria_italica_v2.0:I:32147590:32148081:-1 gene:SETIT_020513mg transcript:KQL30606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLTFGCSKNLCLQLRPCCRACSSKTPVKKHPCVESASSLIQ >KQL30257 pep chromosome:Setaria_italica_v2.0:I:29480140:29483163:-1 gene:SETIT_017799mg transcript:KQL30257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKRKRTDAPAKAAVAEKPDDSAPERPPRTLFGFKEPAPDAEPASEAAGSAAAAPFRNKEKVLITCSRRITYRYRHLMQDILSLLPHAKKDSKVESKQSKGNALNELLELRSCSSCLFFECRKQKDLYLWMVKSPGGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSTNFDEQPHWMLVKEMITQIFATPKDHRKVKPFHDHVFAFSIVDGHVWFRNYQISVPHNEIDKVDKGGLDKMTLIEVGPRFCLNPIKIFGGSFGGPTWYENPFYISPNQIRALEKRQKAGKYAKKVKAKVKRKMHEMENTLEPDEFAELWKGE >KQL28999 pep chromosome:Setaria_italica_v2.0:I:9614543:9618044:1 gene:SETIT_018396mg transcript:KQL28999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVSKKWIPELKHYAPGVPIILVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKQIGAPYYIECSSKTQLNVKGVFDAAIKVVLQPPKAKKKKKAQRGACSIL >KQL30681 pep chromosome:Setaria_italica_v2.0:I:32566159:32567635:1 gene:SETIT_019872mg transcript:KQL30681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNNAVIGGIAIDVERLKGIQEQNSEASLQQIEDLRTFVDGYLGSLQQNYELAKECLDVNFNGTKDVTTCLIPPCLIPREARRSNLRLPLTDFKDGNLAARGWLPPPVASAYAASKALVNAYSRLLARRQPSLVVCCVNPGFVRTGMSYGMGLVSAEAGAKPPVVLALRDEPGDSGLNFELFDVCDLRVPRRVCRRAPVLGHVLVTTASRPTMTMPRPVPVARPRPRQLEREARARRAKGRVGRDYRAVSDFERPTVPCAHCGRFDPAAAGPSNKD >KQL30825 pep chromosome:Setaria_italica_v2.0:I:33625168:33626132:-1 gene:SETIT_020009mg transcript:KQL30825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAAVRGAPQWLRGLLSEEFFDACAAHPGERKNDKNHFCVDCAAALCRHCLPHEPAHDVLQIWKYASCFVVRVDDLRRFDCAGIQRACMQLHTVSDHEVVFLNERTARKRSASAENPCAACARPMPSGHDYCSLFCKVKHLGESEHGLRRALRVSRREAAATPETQSGKRRPASSSDAGPSCGGSLRKRGRKQPEPERAPFC >KQL31516 pep chromosome:Setaria_italica_v2.0:I:38124736:38127514:1 gene:SETIT_017907mg transcript:KQL31516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGVGLALQTRAAGFGTGRRRGGLQSPIGSLRVADPAGAAVAVRARGSKPVAPLRAKKSSGGHENLHNSVDEALLLKRKSEEVLFYLNGRCIYLVGMMGSGKSTVGKIMSEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRDNESSVLRDLSSMRRLVVATGGGAVIRPVNWKYMKKGLSVWLDVPLDALARRIAKVGTASRPLLDQPSGDPYTMAFSKLSMLAEQRGDAYANADVRVSLEEIASKQGHDDVSKLSPTDIAIESLHKIESFVIEHTADDAAGDSQAESQVQRIQTL >KQL28992 pep chromosome:Setaria_italica_v2.0:I:9574689:9578545:1 gene:SETIT_016362mg transcript:KQL28992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKVGAGGSDEVYDDAVLCSLSTASFSSLVSRKRVRNLGKVGEQCDAVDPPVPRKLRSAIKKQVGRFVSASSRHVKKRRHLSAISAQISFIGQETRFNGNSLFTEEEEVIADVLLSLSQIPSLSELTADKATADTSNTNVASTSYSEGATKEGDEIVILPSAAKDLSSQATCIDKVVGRTNSIPYVNPVPGATDQSSSINPPSTENEQMQGTVVNLPSPSKDSSNNSTLKQQKVQFDDSQSYPAQKPEAPLWLVNSNKSDIAPHERENAKNSSTQEIVPLVQTPLPHTPDGYLIKPSSSKLAAHKNTISEASKFIAPGNQGKSYLQHSLVKNVGSTKAWKRSITHVYVSHVIQMHMNKEKAPQNQVKPEERPHDRFSRSPDGSAMQKNNNNPRDEKFYTVHFDVRVPVQPSAGLCDMSAGRQKIVSGNFLNLPTSTALPATQQQHLQYLHPQIAPRGPMPYPFSHLPYNRGNLAPAAALQQMPQQYMSNMGCAPRPGLPASSSAMMKPLHQLIPTQQQQQMWQYHVSQYQPRPDATPPAAWYGMPTLRPTMAMVPPTAMPPQMELFCAPYQAGGGSRQPQQLRLI >KQL28989 pep chromosome:Setaria_italica_v2.0:I:9571405:9578545:1 gene:SETIT_016362mg transcript:KQL28989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCFANACAGATNRTTREVKHGALRMLVNASSRLTRKNWRRNPTLHVRLQWEDCGTVQEEEKAAPAPRPRLAFTTHSPSSSSLNSSTSDLPAAAVPHQHPGRTKEKCLFACRFPFPLQPAAFFLPCPASSSPPPVAAAAAAALRAPARLRERFEEMQTGGGCNGKESEGGDLKLALRQKKLKAKSLKWRSSNSDMNSKVGAGGSDEVYDDAVLCSLSTASFSSLVSRKRVRNLGKVGEQCDAVDPPVPRKLRSAIKKQVGRFVSASSRHVKKRRHLSAISAQISFIGQETRFNGNSLFTEEEEVIADVLLSLSQIPSLSELTADKATADTSNTNVASTSYSEGATKEGDEIVILPSAAKDLSSQATCIDKVVGRTNSIPYVNPVPGATDQSSSINPPSTENEQMQGTVVNLPSPSKDSSNNSTLKQQKVQFDDSQSYPAQKPEAPLWLVNSNKSDIAPHERENAKNSSTQEIVPLVQTPLPHTPDGYLIKPSSSKLAAHKNTISEASKFIAPGNQGKHSLVKNVGSTKAWKRSITHVYVSHVIQMHMNKEKAPQNQVKPEERPHDRFSRSPDGSAMQKNNNNPRDEKFYTVHFDVRVPVQPSAGLCDMSAGRQKIVSGNFLNLPTSTALPATQQQHLQYLHPQIAPRGPMPYPFSHLPYNRGNLAPAAALQQMPQQYMSNMGCAPRPGLPASSSAMMKPLHQLIPTQQQQQMWQYHVSQYQPRPDATPPAAWYGMPTLRPTMAMVPPTAMPPQMELFCAPYQAGGGSRQPQQLRLI >KQL28990 pep chromosome:Setaria_italica_v2.0:I:9571405:9578545:1 gene:SETIT_016362mg transcript:KQL28990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCFANACAGATNRTTREVKHGALRMLVNASSRLTRKNWRRNPTLHVRLQWEDCGTVQEEEKAAPAPRPRLAFTTHSPSSSSLNSSTSDLPAAAVPHQHPGRTKEKCLFACRFPFPLQPAAFFLPCPASSSPPPVAAAAAAALRAPARLRERFEEMQTGGGCNGKESEGGDLKLALRQKKLKAKSLKWRSSNSDMNSKVGAGGSDEVYDDAVLCSLSTASFSSLVSRKRVRNLGKVGEQCDAVDPPVPRKLRSAIKKQVGRFVSASSRHVKKRRHLSAISAQISFIGQETRFNGNSLFTEEEEVIADVLLSLSQIPSLSELTADKATADTSNTNVASTSYSEGATKEGDEIVILPSAAKDLSSQATCIDKVVGRTNSIPYVNPVPGATDQSSSINPPSTENEQMQGTVVNLPSPSKDSSNNSTLKQQKVQFDDSQSYPAQKPEAPLWLVNSNKSDIAPHERENAKNSSTQEIVPLVQTPLPHTPDGYLIKPSSSKLAAHKNTISEASKFIAPGNQGKSYLQHSLVKNVGSTKAWKRSITHVYVSHVIQMHMNKEKAPQNQVKPEERPHDRFSRSPDGSAMQKNNNNPRDEKFYTVHFDVRVPVQPSAGLCDMSAGRQKIVSGNFLNLPTSTALPATQQQHLQYLHPQIAPRGPMPYPFSHLPYNRGNLAPAAALQQMPQQYMSNMGCAPRPGLPASSSAMMKPLHQLIPTQQQQQMWQYHVSQYQPRPDATPPAAWYGMPTLRPTMAMVPPTAMPPQMELFCAPYQAGGGSRQPQQLRLI >KQL28993 pep chromosome:Setaria_italica_v2.0:I:9574099:9578545:1 gene:SETIT_016362mg transcript:KQL28993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKVGAGGSDEVYDDAVLCSLSTASFSSLVSRKRVRNLGKVGEQCDAVDPPVPRKLRSAIKKQVGRFVSASSRHVKKRRHLSAISAQISFIGQETRFNGNSLFTEEEEVIADVLLSLSQIPSLSELTADKATADTSNTNVASTSYSEGATKEGDEIVILPSAAKDLSSQATCIDKVVGRTNSIPYVNPVPGATDQSSSINPPSTENEQMQGTVVNLPSPSKDSSNNSTLKQQKVQFDDSQSYPAQKPEAPLWLVNSNKSDIAPHERENAKNSSTQEIVPLVQTPLPHTPDGYLIKPSSSKLAAHKNTISEASKFIAPGNQGKSYLQHSLVKNVGSTKAWKRSITHVYVSHVIQMHMNKEKAPQNQVKPEERPHDRFSRSPDGSAMQKNNNNPRDEKFYTVHFDVRVPVQPSAGLCDMSAGRQKIVSGNFLNLPTSTALPATQQQHLQYLHPQIAPRGPMPYPFSHLPYNRGNLAPAAALQQMPQQYMSNMGCAPRPGLPASSSAMMKPLHQLIPTQQQQQMWQYHVSQYQPRPDATPPAAWYGMPTLRPTMAMVPPTAMPPQMELFCAPYQAGGGSRQPQQLRLI >KQL28991 pep chromosome:Setaria_italica_v2.0:I:9571405:9578545:1 gene:SETIT_016362mg transcript:KQL28991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCFANACAGATNRTTREVKHGALRMLVNASSRLTRKNWRRNPTLHVRLQWEDCGTVQEEEKAAPAPRPRLAFTTHSPSSSSLNSSTSDLPAAAVPHQHPGRTKEKCLFACRFPFPLQPAAFFLPCPASSSPPPVAAAAAAALRAPARLRERFEEMQTGGGCNGKESEGGDLKLALRQKKLKAKSLKWRSSNSDMNSKVGAGGSDEVYDDAVLCSLSTASFSSLVSRKRVRNLGKVGEQCDAVDPPVPRKLRSAIKKQVGRFVSASSRHVKKRRHLSAISAQISFIGQETRFNGNSLFTEEEEVIADVLLSLSQIPSLSELTADKATADTSNTNVASTSYSEGATKEGDEIVILPSAAKDLSSQATCIDKVVGRTNSIPYVNPVPGATDQSSSINPPSTENEQMQGTVVNLPSPSKDSSNNSTLKQQKVQFDDSQSYPAQKPEAPLWLVNSNKSDIAPHERENAKNSSTQEIVPLVQTPLPHTPDGYLIKPSSSKLAAHKNTISEASKFIAPGNQGKMLQSYLQHSLVKNVGSTKAWKRSITHVYVSHVIQMHMNKEKAPQNQVKPEERPHDRFSRSPDGSAMQKNNNNPRDEKFYTVHFDVRVPVQPSAGLCDMSAGRQKIVSGNFLNLPTSTALPATQQQHLQYLHPQIAPRGPMPYPFSHLPYNRGNLAPAAALQQMPQQYMSNMGCAPRPGLPASSSAMMKPLHQLIPTQQQQQMWQYHVSQYQPRPDATPPAAWYGMPTLRPTMAMVPPTAMPPQMELFCAPYQAGGGSRQPQQLRLI >KQL28988 pep chromosome:Setaria_italica_v2.0:I:9571405:9577126:1 gene:SETIT_016362mg transcript:KQL28988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCFANACAGATNRTTREVKHGALRMLVNASSRLTRKNWRRNPTLHVRLQWEDCGTVQEEEKAAPAPRPRLAFTTHSPSSSSLNSSTSDLPAAAVPHQHPGRTKEKCLFACRFPFPLQPAAFFLPCPASSSPPPVAAAAAAALRAPARLRERFEEMQTGGGCNGKESEGGDLKLALRQKKLKAKSLKWRSSNSDMNSKVGAGGSDEVYDDAVLCSLSTASFSSLVSRKRVRNLGKVGEQCDAVDPPVPRKLRSAIKKQVGRFVSASSRHVKKRRHLSAISAQISFIGQETRFNGNSLFTEEEEVIADVLLSLSQIPSLSELTADKATADTSNTNVASTSYSEGATKEGDEIVILPSAAKDLSSQATCIDKVVGRTNSIPYVNPVPGATDQSSSINPPSTENEQMQGTVVNLPSPSKDSSNNSTLKQQKVQFDDSQSYPAQKPEAPLWLVNSNKSDIAPHERENAKNSSTQEIVPLVQTPLPHTPDGYLIKPSSSKLAAHKNTISEASKFIAPGNQGKVHIISFILCLHTSIFLFNNFDRNDLS >KQL31203 pep chromosome:Setaria_italica_v2.0:I:36249701:36250151:1 gene:SETIT_020611mg transcript:KQL31203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPHVQTHALYYSTQMKGLSNEPSSMHIVLTSVPSRLASALSSG >KQL28671 pep chromosome:Setaria_italica_v2.0:I:7390545:7393667:1 gene:SETIT_016526mg transcript:KQL28671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPATSCSEQEMSSLLQFLAGLSGHNGLSASWRNGTDCCKWEGITCSADGMVIEIFLASRGLEGSISPSLADLSNLQRLNLSYNSFSGGLPSELLTSNIIVLLDVSFNQLSRVLQQNLSSSVPDHRSLQVLNISSNLFTGEFPSILWENKSNLVVLNASNNSFQGWMPSSFCISSTSFAELDLSYNQFSGSIPSGLGKCSALRVLKAGHNNLNRTLPDELFNVSSLEYLSFPDNGLRGILDGAHMMNLRNLAILDLGGNMLHGKIPNSIGQLKRLEELHLENNNMTGELPSTLSNCTNIITIDLKGNNFSGELQKVNFSNLSNLEVLDLLYNNFTGTIPESIYSCSNLIALRLSGNNLHGQLSPRIGNLKSLVFLSLGDNKFTNITNTLQILKNCRNLTSLLIGANFKGEAMPEDEKFGGFQNLRALSISECSLSGKIPLWLSKLKNLEMLFLQRNQLTGPIPAWIKNLTSLFHLDLSYNNLSGEIPIALMEMPMLTTEIAAIHSVFELPVYLGHSLQYRIISTFPKTLDLGHNNFTGAIPHEIIQLKLLAKLNFSFNALSGEIPQQLGNLTNLQILDLSSNHLTGAIPLALNNLHFLSKFNVSHNDLEGPIPSVGQLSTFPSSSFDGNPKLCGTMVARLCGSDEAPPVSVPSTEQSIKRMAFVIAFLTFFGVGVLYDQIVLSRYFG >KQL28845 pep chromosome:Setaria_italica_v2.0:I:8436003:8436719:-1 gene:SETIT_0180552mg transcript:KQL28845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCTQRSWKRPYGVGLLVAGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTFLERRFEGYNDYTPEQLIKDALSAIKETLQGEKLTSANCTVAIVGRKDDGTIEPFEMIDAKRIQEIIDSMEAVEEAPAPAAAEAEAESSSMQEEERGSDAAPMDI >KQL30698 pep chromosome:Setaria_italica_v2.0:I:32705136:32707727:-1 gene:SETIT_018146mg transcript:KQL30698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSSSSSSSAAFPLDHLAPSPTEQLCYVHCNCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPPAAPPAASQLSFGHSLLSPTSPHGLLDEFALQAPSILMDQASANLSSATTGRSNSSCGSNLPAAPMPAAKPPAVQQEPELAKSAPSVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKTFKAQDGAEDMLLKDGLYAAAAAAAAANMGITPF >KQL30493 pep chromosome:Setaria_italica_v2.0:I:30980609:30982156:1 gene:SETIT_016936mg transcript:KQL30493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMRHSMLLAKLAVLIVLPFLLLCYGVGNVYCSTIHENREDLRSLLDFKKRISDPNGALMNNWTTSTHFCQWNGVNCTSTPPYRVRELILTGLNLGGEISSSLGNLTSLNYLDLSNNSFHGPIPLLNKLQNLEYLFLGSNLLQGVIPDALTNCSNLVTLDLSGNNLNGLIPPRISFLTKLAYIILYSNHVTGEIPASLRNITNLQLVYFSKNQLNGRIPDEVMQMRNLMELHLDQNNLSGSIPEVWQMPNILLLDLSINNLSGRIPRALSNVSSLQEWSLASNMLGSTLPSNIGDALPNLMILYLGENYFEGHIPASLGNPPGLREIDLSTNYFTGQIPNSLGNLSLLSSLNLDQNMLQSTDNEGWEFIHALGKCRSLKRLSLSINRLQGAIPNSIANLSSTLTYLLMSENSLSGTVPPRIGKFSSLIQLSLDQNNLTGTIEEWVGNMTKLERLNLQSNSFVGIIPPSIGQLIRLKYLFLDENQFTGFIPPSFGNLKSLLQLHLSYNNFKGGVP >KQL28585 pep chromosome:Setaria_italica_v2.0:I:6838553:6839424:-1 gene:SETIT_019300mg transcript:KQL28585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPVGLRLRLPAGPRAHAPPEAGGGLAVGDQTTDKGQRASDRWWVARLKGRPPGQGQSDKLGPAHRLSVARPPYPAGYSRYHHLVRHDPSPALRLLLPRGPASPRLPFIKRAARSSTRTAPAPPAMKRLLRRLSGTVAAAEDGAGPAAARGHLRQKKGKGAASASAAVVPEGHVPVCVGEEGGPVERFAVRAELLGEPAFAALLRRAAQEYGYAHPGALRIPCPAAEFRRLLLRLSDDNPSSSSAAATTC >KQL27866 pep chromosome:Setaria_italica_v2.0:I:1324327:1325894:-1 gene:SETIT_020504mg transcript:KQL27866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASVAHLLVSSQSMLGREGQLWGFAGASCSFIFDLGACGQMAFFLIYILGGICGKCDKFCSHYRDNCLWHRPSIFSNWSMAGVSESKQASH >KQL30565 pep chromosome:Setaria_italica_v2.0:I:31742354:31747124:1 gene:SETIT_019949mg transcript:KQL30565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGEDKAFYNPELEEGNSIRDHPVNVSQSTSHRLYSKKHRQSYSPSFHISSKERHEQRMRNCFSYHDYHHALKKIEEVCSERLNKLFLHQNKDRKEFNILLKKQEFKFFQEQVCSYRVHYERVIPTARCHRMKLPKPSFCILRKVFRKYMQSQLIKFVKRQINDRNKEKRIKERWIFEATAGYLKKCFDETSLTYSGLEMEKSNWHLHAYSEGEQQFKFLDMQSLTTEIEAIASSRELEESHTIKENDMFQPEPVIENLQSPLETNGGAEHGLSVDAPEETATVDRMSSQSNHAPTMEFSEKNGTQVAFSSPPQNERENVERSCSRFVTDEASVLDKRADSENAPPSFGEKRRCISPGDNALEGSCSRSQSPPGSDSNIHETSLRHETISSVRWKIYHNLTFVDIISLQEPQAERLLSVNVNQMEQADIAGSKEQIASNSFSLTQHVTQQYGDHTCQTSAHQYQPSGWNNYSEQARLNSPRAPNVQHQTTTGSTSGQYMPESRIQSDPLTIEMSRLRNLQYLMTKRHLSEREKIISDCKMEIAECKRKFEEMSHNLEMETLHKMKDIEILHDKIRKQQILAETFQVVHKVSIGVASCNQRGAPRRTTREPNQPSGQQVSLFPSSATMYQSPQPSAQPSTNNFLRQPVMTTPQAIANTLGRPATNLTHAPSRLMGAGIAYNAPPPHLRNFLRLATVSG >KQL31681 pep chromosome:Setaria_italica_v2.0:I:39165316:39178638:1 gene:SETIT_016088mg transcript:KQL31681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAEVSPEGAAPGFGADLYAQATKALALRTPFEGEEAASRVPTLPARLVSWAGPGDARKKHKKIQLPPPDDAAVEPPPPAAAKVGLWEQFEAYFRPVTLADVEMLKPKLPFGYSKLDSCMLIPFLGTGEELMNQGETYDVAVFETSSYLGVGGAEVVSNRERGDQSGHLLSQKEKRDQNVDPDIHDVVVQQMVSDKDISRRSRVSIQPGGRPFEVDQAVSNGIVSAQCAEEEGSSLNWLLGATGRFVLTSERPNKKRKLLGVAAGLEQLVLLPRLGAEKSSTCDVCCLGESSMDSNRIVNCSNCKVSVHQKCYGLRVVPDGQWLCAWCTYLESNKDSGSTQPTPCVLCPKEKGALKPVKVEPTQTADVSHLKFAHLFCSLWAPEVFVEDMESMEPVTNLDNVQENRMKLTCSICKIKHGACVRCSHGTCRAAFHPICARESKHQMEIWGKSRHSNVELRAFCSKHSSVGYTSSVENSNHASEQSPTESGPNNTNLITGKIPKLRFTRKNKDKFMNCETSASSSGNLIRVETTEQDALANTVRNANAQPIRSRETGTGHPSVGGDRMRSPGDIAVVLRKLIDSGKVSVGDIASEVGISSESLEAALVGETTTFSHGLMLKIINWLQNSVHMHAVQGNACKGNSVVLQDDNSDGFDTTDTIDMKISSVPDDDKDVFVDVSDSAVTEPTLRRTKSNSKILEEDNATCATGVTILQNGNKNMVKDGADLECSPAKEFAKESTREFSPIGSKGVSKEEKGKLILNNTSGNNEFGTSMEIPNENRGVLLGRKNDNLTEAGLGSGLKEGVSSPDHCFSQGDHARDGENSIENGFRTPRHCDSNCSHGQPFFNFDDSHSYIHPFIKKKISHHWDITFNQNKEALNHHVEEPSCPSHEKIPVDSSEEHEDATDTAASDQVLKASSLEILEHAPDDEVEGEIVYLQARLLDNAVVLKHRYEKLIAKVVQNISRELDAFSRRKWDLIFVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAAASSSRNSTMRKDAKEDAAPANQESSPKLVAGSSRVGQRTKDSSKSSNSKLPPDNKFGSFHMPISSNENALHCDVCMRTETLLNRIFICSRCKAAVHIDCYRNLENSIGPWKCELCEDQDISLETPTTSDKLDCNGKKSPFARCGMCHGTSGAFRKTADGQWVHAFCAEWLLDTKYVRGQENPVEGMESLVEGKDTCCLCLRKVGLCLRCSSGDCHITFHPTCARNSGFYMNTKGFGTTSQHKAYCGKHSAQQKEEDAQRYGLEELRSMKRMRVELEKLRLLCERVIKREKVK >KQL31680 pep chromosome:Setaria_italica_v2.0:I:39165316:39176994:1 gene:SETIT_016088mg transcript:KQL31680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAEVSPEGAAPGFGADLYAQATKALALRTPFEGEEAASRVPTLPARLVSWAGPGDARKKHKKIQLPPPDDAAVEPPPPAAAKVGLWEQFEAYFRPVTLADVEMLKPKLPFGYSKLDSCMLIPFLGTGEELMNQGETYDVAVFETSSYLGVGGAEVVSNRERGDQSGHLLSQKEKRDQNVDPDIHDVVVQQMVSDKDISRRSRVSIQPGGRPFEVDQAVSNGIVSAQCAEEEGSSLNWLLGATGRFVLTSERPNKKRKLLGVAAGLEQLVLLPRLGAEKSSTCDVCCLGESSMDSNRIVNCSNCKVSVHQKCYGLRVVPDGQWLCAWCTYLESNKDSGSTQPTPCVLCPKEKGALKPVKVEPTQTADVSHLKFAHLFCSLWAPEVFVEDMESMEPVTNLDNVQENRMKLTCSICKIKHGACVRCSHGTCRAAFHPICARESKHQMEIWGKSRHSNVELRAFCSKHSSVGYTSSVENSNHASEQSPTESGPNNTNLITGKIPKLRFTRKNKDKFMNCETSASSSGNLIRVETTEQDALANTVRNANAQPIRSRETGTGHPSVGGDRMRSPGDIAVVLRKLIDSGKVSVGDIASEVGISSESLEAALVGETTTFSHGLMLKIINWLQNSVHMHAVQGNACKGNSVVLQDDNSDGFDTTDTIDMKISSVPDDDKDVFVDVSDSAVTEPTLRRTKSNSKILEEDNATCATGVTILQNGNKNMVKDGADLECSPAKEFAKESTREFSPIGSKGVSKEEKGKLILNNTSGNNEFGTSMEIPNENRGVLLGRKNDNLTEAGLGSGLKEGVSSPDHCFSQGDHARDGENSIENGFRTPRHCDSNCSHGQPFFNFDDSHSYIHPFIKKKISHHWDITFNQNKEALNHHVEEPSCPSHEKIPVDSSEEHEDATDTAASDQVLKASSLEILEHAPDDEVEGEIVYLQARLLDNAVVLKHRYEKLIAKVVQNISRELDAFSRRKWDLIFVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAAASSSRNSTMRKDAKEDAAPANQESSPKLVAGSSRVGQRTKDSSKSSNSKLPPDNKFGSFHMPISSNENALHCDVCMRTETLLNRIFICSRCKAAVHIDCYRNLENSIGPWKCELCEDQDISLETPTTSDKLDCNGKKSPFARCGMCHGTSGAFRKTADGQWVHAFCAEWLLDTKYVRGQENPVEGMESLVEGKDTCCLCLRKVGLCLRCSSGDCHITFHPTCARNSGFYMNTKGFGTTSQHKAYCGKHSAQQKEEDAQRYGLEELRSMKRMRVELEKLRLLCERVIKREKVKVCIPGNFTTYVVLKVELYEYMTVMLELEMLHGTMGHSVFTFSPTDLFYSNCCNLLHVWWFDIYHIFNSC >KQL31683 pep chromosome:Setaria_italica_v2.0:I:39165316:39180323:1 gene:SETIT_016088mg transcript:KQL31683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAEVSPEGAAPGFGADLYAQATKALALRTPFEGEEAASRVPTLPARLVSWAGPGDARKKHKKIQLPPPDDAAVEPPPPAAAKVGLWEQFEAYFRPVTLADVEMLKPKLPFGYSKLDSCMLIPFLGTGEELMNQGETYDVAVFETSSYLGVGGAEVVSNRERGDQSGHLLSQKEKRDQNVDPDIHDVVVQQMVSDKDISRRSRVSIQPGGRPFEVDQAVSNGIVSAQCAEEEGSSLNWLLGATGRFVLTSERPNKKRKLLGVAAGLEQLVLLPRLGAEKSSTCDVCCLGESSMDSNRIVNCSNCKVSVHQKCYGLRVVPDGQWLCAWCTYLESNKDSGSTQPTPCVLCPKEKGALKPVKVEPTQTADVSHLKFAHLFCSLWAPEVFVEDMESMEPVTNLDNVQENRMKLTCSICKIKHGACVRCSHGTCRAAFHPICARESKHQMEIWGKSRHSNVELRAFCSKHSSVGYTSSVENSNHASEQSPTESGPNNTNLITGKIPKLRFTRKNKDKFMNCETSASSSGNLIRVETTEQDALANTVRNANAQPIRSRETGTGHPSVGGDRMRSPGDIAVVLRKLIDSGKVSVGDIASEVGISSESLEAALVGETTTFSHGLMLKIINWLQNSVHMHAVQGNACKGNSVVLQDDNSDGFDTTDTIDMKISSVPDDDKDVFVDVSDSAVTEPTLRRTKSNSKILEEDNATCATGVTILQNGNKNMVKDGADLECSPAKEFAKESTREFSPIGSKGVSKEEKGKLILNNTSGNNEFGTSMEIPNENRGVLLGRKNDNLTEAGLGSGLKEGVSSPDHCFSQGDHARDGENSIENGFRTPRHCDSNCSHGQPFFNFDDSHSYIHPFIKKKISHHWDITFNQNKEALNHHVEEPSCPSHEKIPVDSSEEHEDATDTAASDQVLKASSLEILEHAPDDEVEGEIVYLQARLLDNAVVLKHRYEKLIAKVVQNISRELDAFSRRKWDLIFVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAAASSSRNSTMRKDAKEDAAPANQESSPKLVAGSSRVGQRTKDSSKSSNSKLPPDNKFGSFHMPISSNENALHCDVCMRTETLLNRIFICSRCKAAVHIDCYRNLENSIGPWKCELCEDQDISLETPTTSDKLDCNGKKSPFARCGMCHGTSGAFRKTADGQWVHAFCAEWLLDTKYVRGQENPVEGMESLVEGKDTCCLCLRKVGLCLRCSSGDCHITFHPTCARNSGFYMNTKGFGTTSQHKAYCGKHSAQQKEEDAQRYGLEELRSMKRMRVELEKLRLLCERVIKREKVKRETVLCDHDILAKTKDTVIFSYLACGASSESATTSVNNRSYSGTAQRSDDVTVDSTLSRKKTIRFSLNSRDAERNTADSSRTLISFKRKLSERGLHAGKQLPQRPAITSQKLDDGEKKTNDKKIEMFQKELVMTSDQASTQNQRLPKGYVYVPRDSLSKERPWNRNTQPHTPQEPGG >KQL31682 pep chromosome:Setaria_italica_v2.0:I:39165316:39180323:1 gene:SETIT_016088mg transcript:KQL31682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAEVSPEGAAPGFGADLYAQATKALALRTPFEGEEAASRVPTLPARLVSWAGPGDARKKHKKIQLPPPDDAAVEPPPPAAAKVGLWEQFEAYFRPVTLADVEMLKPKLPFGYSKLDSCMLIPFLGTGEELMNQGETYDVAVFETSSYLGVGGAEVVSNRERGDQSGHLLSQKEKRDQNVDPDIHDVVVQQMVSDKDISRRSRVSIQPGGRPFEVDQAVSNGIVSAQCAEEEGSSLNWLLGATGRFVLTSERPNKKRKLLGVAAGLEQLVLLPRLGAEKSSTCDVCCLGESSMDSNRIVNCSNCKVSVHQKCYGLRVVPDGQWLCAWCTYLESNKDSGSTQPTPCVLCPKEKGALKPVKVEPTQTADVSHLKFAHLFCSLWAPEVFVEDMESMEPVTNLDNVQENRMKLTCSICKIKHGACVRCSHGTCRAAFHPICARESKHQMEIWGKSRHSNVELRAFCSKHSSVGYTSSVENSNHASEQSPTESGPNNTNLITGKIPKLRFTRKNKDKFMNCETSASSSGNLIRVETTEQDALANTVRNANAQPIRSRETGTGHPSVGGDRMRSPGDIAVVLRKLIDSGKVSVGDIASEVGISSESLEAALVGETTTFSHGLMLKIINWLQNSVHMHAVQGNACKGNSVVLQDDNSDGFDTTDTIDMKISSVPDDDKDVFVDVSDSAVTEPTLRRTKSNSKILEEDNATCATGVTILQNGNKNMVKDGADLECSPAKEFAKESTREFSPIGSKGVSKEEKGKLILNNTSGNNEFGTSMEIPNENRGVLLGRKNDNLTEAGLGSGLKEGVSSPDHCFSQGDHARDGENSIENGFRTPRHCDSNCSHGQPFFNFDDSHSYIHPFIKKKISHHWDITFNQNKEALNHHEEPSCPSHEKIPVDSSEEHEDATDTAASDQVLKASSLEILEHAPDDEVEGEIVYLQARLLDNAVVLKHRYEKLIAKVVQNISRELDAFSRRKWDLIFVNQFLRDVREAKKRGRKEKRHKEAQAVLAAAAAAAASSSRNSTMRKDAKEDAAPANQESSPKLVAGSSRVGQRTKDSSKSSNSKLPPDNKFGSFHMPISSNENALHCDVCMRTETLLNRIFICSRCKAAVHIDCYRNLENSIGPWKCELCEDQDISLETPTTSDKLDCNGKKSPFARCGMCHGTSGAFRKTADGQWVHAFCAEWLLDTKYVRGQENPVEGMESLVEGKDTCCLCLRKVGLCLRCSSGDCHITFHPTCARNSGFYMNTKGFGTTSQHKAYCGKHSAQQKEEDAQRYGLEELRSMKRMRVELEKLRLLCERVIKREKVKRETVLCDHDILAKTKDTVIFSYLACGASSESATTSVNNRSYSGTAQRSDDVTVDSTLSRKKTIRFSLNSRDAERNTADSSRTLISFKRKLSERGLHAGKQLPQRPAITSQKLDDGEKKTNDKKIEMFQKELVMTSDQASTQNQRLPKGYVYVPRDSLSKERPWNRNTQPHTPQEPGG >KQL28654 pep chromosome:Setaria_italica_v2.0:I:7248597:7252565:-1 gene:SETIT_016527mg transcript:KQL28654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSLLNSKFYNKCKQAIKCTRTRLDLLRRKKQAMVKFLKKDVADLLLNGLESHAFARMEGLIVEMNQASCYDMIEQYCEYIVKQLNNLQKESDCPQEALEAVSTLIFAAARFPDLPELCDLRHIFTERYGSSVEPFVNSEFVQNLQNKSFTNEEKLQVMKTVAEEFSVPFDSKALEWKITCGPQNKHDLQKKSSTKREVEASARNGGKVDRHAVNERKSNPVPEGYGQKQEMKTKPKDIHVIPDGIGLLGEKSRKNYSDKLSEKGHMDNSLPPLDKKERNVQKEMKKYDKKDDHPRRELRNAEELDLNGLKKQDGGVVKPSGGPDRSWGHADLGLKTLGLEKQEIDSSRTLNGKTVNKAPPYSKPYRAMGEMSAEENSNSLYDRRKHAGEFGQPMRDRQHVPEKAASMRPPYVKPSFEKHIGAEEIGHLKGEQVYEPVSVRSRKPPARVDDYAGMAYEEKMANQAPDGRRRHSSKRNGSYDDYDQKVGHVVPLEGMGVNDINNARPFHQIPSERRKHRSRRHGSTSGSDYNGAIDDRESDEDEANTAIDFGNLLPRAPSSHRKHRSRSADPRKGGRDDEERMMDKLLMHYSKKGLDREERKERVKCRIPRPRADQHADGAGELSNKEGASAHRPERAVSLPPESASPKAKPKAPVRSLSMQPEMSRGNVHPPDFDELAARISALRNA >KQL30953 pep chromosome:Setaria_italica_v2.0:I:34596017:34599683:1 gene:SETIT_016607mg transcript:KQL30953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTPRLLPALGALPLLLCLCTLPAPARSQNATAPAPASVQGFNCSRNSTYPCQAYALYRAGFAGVPLEFAAIGDLFGVSRFMVAHANNLSTSAAPANGQPLLVPLQCGCPSRSPNAYAPTQYQITPGDTYWIISTTKLQNLTQYQAVERVNPTLVPTNLDVGVMVTFPVFCQCPAVTDNATALVTYVMQPGDTYATIAAAFAVDSQSLVSLNGPEPRTQQFAEILVPLRRPVSDFLPPIVRVNNASVKPASPPPSASPNTTVVSNDRNGVVTGLAVGLGVVGALWLLQMLLLACLCRRLKAKGRRGDAVVNGDGVEGGRFAKSSSGGGGERFLVSDISEWLDKYRVFKIEELERGTGGFDDAHLINGSVYKANIDGEVFAVKKMKWDACEELKILQKVNHSNLVKLEGFCINSSTGDCYLVYEYVENGSLDLWLLDRDRARRLDWRARLHIALDLAQGLQYIHEHTWPRVVHKDIKSSNVLLDARMRAKIANFGLAKTGHNAVTTHIVGTQGYIAPEYLADGLVTTKMDVFAYGVVLLELVSGREAADEGGEPLWADAEDRVFRGRDERLEARVAAWMDPALKEQTCPPGSVASVVSVARACLHKDPSKRPSMVDVAYTLSKADEHFADYSGESVSVDGSGEIAAR >KQL31850 pep chromosome:Setaria_italica_v2.0:I:40070071:40076374:1 gene:SETIT_016208mg transcript:KQL31850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLLRCSSFRSVSSSSSLHFRRLVLAWCVVAASLQAATAQQVRVGVILNLASPVAQRRKVGIKMALEDYYAAHQGSRTRVVPSFRDSGGEVVGAASAAVDLIKNQQVQAIIGPQSSAETAFVANLGTSTHVPILSSSATSPELSPAQTPFFVRTAANDSFQAAPVAAVLAAFRWHAAVVVYEDSPYGSGILPALADALQGVGARIMERAAVPVDADDGHIDAVLYRFMAMPTRMFVVHMKLFPAARLFRRARKAGMMTKDYAWIATDGVGSAVDALGADDIDAMEGVVSLRPYVQMTEQVRNFSARFRARLRWESPSADIYAHDPTISMLWSYDTAWAVAAVAEAAGVSSPAFQSPHRSTVTDLDRLGVSATGAALLKAVHETTFHGLAGNFKLVDGQLQPPAYEVINIIGKGARSVGFWTPEAGISQALHPNAAKGLKLSTVWPGDATSPPKGWVVSPNGQKLRVAVPVKRGFKQFVDVGNESTTGHQANVTGYCIEVFDAVMRKMPYPVGYEYVPIPNSSESYDKFVSLLPEQRADIIVGDVTITASRMAKVDFSMPFSDSGWSMVVAVRAETSTSMWIFLQPLTTSLWLASFAFFCFTGFVVWAIEHRINPEFRGTPWQQFGLIFYFSFSTLVFAHKEKLESNLSRFVVIIWVFVVLILTSSYTASLTSMLTIQKLQPTVTDVRELQRSGYHIGHQEGTFIVASLQKLGFDKARMKSYSTAEEYADALSRGPANGGVAAVFDEIPYLKLFLSQYCDGYAMVGPVYKTDGFGFVFPLGSPLTPDVSRVVLTLAEGEEMAQIEKKWFGEPGACPSQGSGAAAVGSSNLSFRSFGGLFLITGVVSGLTLLIYLATFVYHERGEVRAAEDEGSGSSSVRRLRAWLRHFDQKDLKCPTFKTWNEESVRDGSQMQRWVDDTVRNGRGGADSAVPAAGEEEAIGMSPFSISAASEMINAGSSPASELGTSFEQRMQEAPHSAEMTHSVEMMPRSTAS >KQL32135 pep chromosome:Setaria_italica_v2.0:I:41500889:41505083:-1 gene:SETIT_016538mg transcript:KQL32135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAPPPAPPPKLLYIAVADGGGRRGFRYTRPVLQSTLHLMGCKPRHAFKISKRVFNVMKSELLAASTSDGLTKQENYPALGDSTHTPKNLERSSSSIPFELYKNQTTVVISREQFVSVVCDALSMYKYVGPNQKADFLLACRIKERKESVTILLCGTSGCGKSTLSSLLGSRLGITTVVSTDSIRHMMRGFADEKQNPLLYASTYHAGDYLDPIAVAQAKAKRKANKPTIVSHPNTSGGKDDSSDGKSHHGSSELPPRTELIGNKQMAVEGYKAQSEMVIDSLDRLITSWEEQKESVIVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEEKHMERFAVRAKYMTLDPAKNRYIKYIRNIRAIQEYLCNRADKHLVPKINNTNVDQSVAAIHATVFSCLRRREAGEQLYDLNTNTVAVVDEEYRNQCAANTLGSKGMFQLIQRKGSSRNLMALLNTDGSVTKAWHVGTSDGNGDLNDITNSKKSAENPMLDPSQIGKAEAVNLQFGPFGISAWMSDTGGTSHTGSVEDLRVDSVETGGRNLSSCCSSPKMSDSTSKELMKEYSVYGSEEEADDPRDGETDEDLTDEERDNREIDAGSVDEHSTKSDEEYEDLAMQDVMENGDWSDDEQAVSSSKNSLALESTIHGRGTGEDDGMEGQYHHNLDLFLKMSQEVAGTRMPCAS >KQL30585 pep chromosome:Setaria_italica_v2.0:I:31941752:31942797:1 gene:SETIT_018709mg transcript:KQL30585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMRRGEPRLTGTMTLFASSSQCWWRRRPHWIRRRRRPGDPRRREKLKRRRRPATRRRRPLRRKSGRATRSWPGSSRASSRSSATTSTRRRPEAAQARQRHRHLQQRETNSKSRAAACPALAE >KQL29246 pep chromosome:Setaria_italica_v2.0:I:12015854:12019112:1 gene:SETIT_016810mg transcript:KQL29246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGVTTLSPLEDQLTLVPQCLFAAQPLDSILIFLAVPGMPLVPMRVLDSESVASVKLRIQRFRGFVATKQRLVFGGHDLTRNNSRVRDYGLADGNVLHLVVRLDEFRAITIETANGKKFKFQVESGHKVGYLKNKIAAETGEEIESLKDQKLVLGDEELEDHQLITDIAKKDDAVLHMFVREPAKVRTQKIDKETVLTVVTPGDEGNIHIDALNNTEHGHAPVEPIIVNRSVKLSPAIMQMISATIAGLENGYFPVMSAEGSGGVYFMKDQSGESNIAVFKPIDEEPMAENNPRGLPLSVDGEGLKRGTRVGEGALREVAAYVLDHPNDECRSEDGTGFSGVPPTALVRSFHMRKELKIGSLQIFVENQGSCEDMGPQAFPVKEVQKIAVLDIRLANADRHAGNILVCQDGDHVQLVPIDHGYCFPEKFEDCTFEWLYWPQARQPFGAETLAYIKLLDAGKDIALLKFHGWELSSQCARVLHVSTMLLKKGAERGLTPYDIGSIMCREMVNKESEIEALIEEAEDSILPETSDKTFLETVSEIMDRHLDNLL >KQL29247 pep chromosome:Setaria_italica_v2.0:I:12015854:12019112:1 gene:SETIT_016810mg transcript:KQL29247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGVTTLSPLEDQLTLVPQCLFAAQPLDSILIFLAVPGMPLVPMRVLDSESVASVKLRIQRFRGFVATKQRLVFGGHDLTRNNSRVRDYGLADGNVLHLVVRLDEFRAITIETANGKKFKFQVESGHKVGYLKNKIAAETGEEIESLKDQKLVLGDEELEDHQLITDIAKKDDAVLHMFVREPAKVRTQKIDKETVLTVVTPGDEGNIHIDALNNTEHGHAPVEPIIVNRSVKLSPAIMQMISATIAGLENGYFPVMSAEGSGGVYFMKDQSGESNIAVFKPIDEEPMAENNPRGLPLSVDGEGLKRGTRVGEGALREVAAYVLDHPNDECRSEDGTGFSGVPPTALVRSFHMRKELKIGSLQIFVENQGSCEDMGPQAFPVKEVQKIAVLDIRLANADRHAGNILVCQDGDHVQLVPIDHGYCFPEKFEDCTFEWLYWPQARQPFGAETLAYIKLLDAGKDIALLKFHGWELSSQCARVLHVSTMLLKKGAERGLTPYDIGSIMCREMVNKESEIEALIEEAEDSILPETSDKTFLETVSEIMDRHLDNLL >KQL28349 pep chromosome:Setaria_italica_v2.0:I:5319435:5322793:1 gene:SETIT_017155mg transcript:KQL28349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGNGSSSDVPIVSHKATQDETTLLLPIKADEDAVHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGLIPGILMIILVALLTEASIDMLVRCSHQGKITSYGWLMGDTFGQWGRIALQVSVVINNIGVLIVYMIIIGDVLSGTSATGVHHSGIFEGWFGPHVWNSRPVVLLATTLLVLAPLVSFKRLDSLRYTSALSVALAVVFVVITAGVAIVRLIQGTVEIPKLFPEIDGISSVWKLFTAVPVLVTAYICHYNVHSIDNELEDKTQIKPIVQTSLGLCSSVYIATSFFAYLLFGEATLADVLANFDSDLRIPFSSVFNDVVRVSYVVHIMLVFPIVFFALRLNLDGLLFPTARHISRDNRRFTIITISLLAVIYLAAIFIPSIWDAFQFTGATAAVLIGFIFPAMIILRDPYGVSTKRDKVLAVTMIVLAVVSNSVALYSDTLNIFYRKQEA >KQL29697 pep chromosome:Setaria_italica_v2.0:I:23487974:23489083:1 gene:SETIT_019071mg transcript:KQL29697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLQPHALIPGGVSSDLEQPLHFVAQAQTQVVQANQVTFAAPVENQMQELGNGEKASLISKEDPRGKATASASQWPCRVNWSADMAKLLVSAVSYVDDDIDDAEHGSRVRRMGKWSLVSSAMTERGFAASPQQCADKFHDLNKRYKRVTEILGWGTACKIVEKPALLEQMNISEKLKEEARKHLSSKNLHYEQMCSYHNHNRVSLLDDPVLQKMLRRMARGSPVEQGKKCTIRNVEDDLMLLSDDEEEDEEFNDDLEVSAEEHRHQGVHATKKLKHDREEGSHLSQDVAINMKIIQIQRERLKIRRETLEMRQSHLERMKSHKEQDKELQKMRLDNEMMELENDQLELEMERKIKEMEMMGIKPQRI >KQL31446 pep chromosome:Setaria_italica_v2.0:I:37574269:37576034:-1 gene:SETIT_017541mg transcript:KQL31446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATPAMWAGLVLAAMLLLAQSGPAAAAGLPRFAEAPEYRNGEGCLAPVAGAGVCDPGLVHIAMTLDAHYLRGSMAAIYSLLKHASCPESLFFHFLAAEGGGAPPVADLRAAVAASFPSLRFEIYPFRADAVAGLISASVRAALEAPLNYARNHLADLLPRCVPRAIYLDSDVLAVDDVRRLWETRLPAAAVVAAPEYCHANFSRYFTEAFWNDPVLGARVFAGRRRAPCYFNTGVMVIDLRRWRVGNYRQRIERWMEMQKEKRIYELGSLPPFLLVFAGEIEAVDHRWNQHGLGGDNVFGSCRPLHNGPVSLMHWSGKGKPWDRLDAGKPCPLDHTWKSYDLYIGENDSSASGQSRSALSSSAALPAAVFSW >KQL31165 pep chromosome:Setaria_italica_v2.0:I:36022715:36033504:1 gene:SETIT_016095mg transcript:KQL31165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQEEKIAEDHVKVDGNVDALSKEVGADLIGCHAGQKELQCPLQDLSEIACSIDLARNKSSPQEETNTSVSPLNDTGHNVDNNSCNGDTNYKGEELDMGNSGDEDHAVALWVKWRGKWQTGIRCCRVDYPLTTVKAKPTHDRKSYIVVFFPRTRSYSWVDMLLVLPIEECPSPLVNGTHRKWRKLVKDLGVPRRYIMQKLAISMLNLSDELHIEAVIDNARKATTWKEFALEASCCTDYTDLGKMLVKLQNMILPDYISCQWLQNLDMWKQKCMNAKDAETIEMLYEELRQSVLWSKVEELQNASVQPELVPEWKTWKQEVMKQYFPLHPAGNVGNFEKNNCYNDPALDQQVSRKRPKLEVRRGETQISHMGEVGQTAKEDPNPNNLPSNSVMHETVGALEVINQNNAGTFPGNSGANETTASGSANPALQNARLELDSFKSSRQCSAYIEAKGRQCGRWANDGDIYCCVHQSMHFLDHSREDKALTVEAPLCSGMTNMGRKCKHRAQHGTTFCKKHRLRTNLDAMHPENLLGSSEVPHMREESPNKWVEEVSKSQTMYSVDSETDKNVQAAMQVKLMPTVATEISGEKACATEKIDLCTASTSITNTDDVPLCIGIRSHDSIVECQDYAKRHTLYCEKHLPKFLKRARNGKSRLVSKDVFVNLLKGCTSRKDKICLHQACEFLYWFLRNNLSHQRTGLGSDHMPQILVEASKNPDVGQFLLKLISTEREKLENLWGFGTNRSKQIYSENKEGSAVLLHEEGANLSSGPKCKICTHEFSDDQALGLHWTSAHKKESRWLFRGYSCAVCMESFTNKKVLERHVQDVHGAQYLQYSILIRCMSCNSNFLNTDLLYPHIVSDHAQQFRLLDVPQRPNGRSVQQTEGTSGMLLYDNHNVEKDDGSQKFACRLCGLRFDLLPDLGRHHQVAHMDSSAVGNIPPGCGKYQLNRGRHYYSAFKKSLRPTSTLKKSSSSGIEKSFKFQSSGLSMVRSQTVESETASLGKLPDFQCSDVAETLFSKIQKTRPHPSNLDILSVARSVCCKTNLLAALEVKYGSLPENIFVKAAKLCSDNGIQIDWHHEEFVCPKGCKSRYNSNALPPIQLMSADFPEAPSVIDPPNIDEMWDMDEYHYVLDSKHFVWKLKKERVVLCEDVSFGREEVPIVCVIDVDAKDSFSTKPEELLPHGSSVPWQGLHYITKRVMDSSLVDSENSMPGCACSHTECFPEKCDHVSLFDGVYDNLVDIHGTPMHGRFAYDEDSKIILQEGYPIYECNSSCTCNSSCQNKVLQKGLLVKLELFRTENKGWAIRAAEPIPQGTFVCEYVGEVVKTDEAMKTAERMSSSECSYLFDIASQIDRERVQTVGTVKYMIDATRSGNVSRFINHSCSPNLSTRLVLVESKDCQLAHIGLFANQDIAAGEELAYDYRQKLVPGDGCPCHCGSKNCRGRVY >KQL30581 pep chromosome:Setaria_italica_v2.0:I:31910785:31914614:1 gene:SETIT_017709mg transcript:KQL30581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLRFFEPKAIDGQTVVLFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSETIKFSLLVLLLGVGIASVTDLNLNFLGSILSGLAIATTCVGQILTNTIQRKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTDRSVFAHKYTFPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTVRNILGILVAIFGMALYSYFSVREGKKKSAGDALPVSQMPDKETEPLLVSAKDGSDAKKANGVAHDC >KQL30579 pep chromosome:Setaria_italica_v2.0:I:31912179:31914614:1 gene:SETIT_017709mg transcript:KQL30579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLRFFEPKAIDGQTVVLFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSETIKFSLLVLLLGVGIASVTDLNLNFLGSILSGLAIATTCVGQILTNTIQRKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTDRSVFAHKYTFPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTVRNILGILVAIFGMALYSYFSVREGKKKSAGDALPVSQMPDKETEPLLVSAKDGSDAKKANGVAHDC >KQL30580 pep chromosome:Setaria_italica_v2.0:I:31910785:31914614:1 gene:SETIT_017709mg transcript:KQL30580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGFQLGVIGSLTLSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLRFFEPKAIDGQTVVLFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSETIKFSLLVLLLGVGIASVTDLNLNFLGSILSGLAIATTCVGQILTNTIQRKLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTDRSVFAHKYTFPVVGFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTVRNILGILVAIFGMALYSYFSVREGKKKSAGDALPVSQMPDKETEPLLVSAKDGSDAKKANGVAHDC >KQL31515 pep chromosome:Setaria_italica_v2.0:I:38108931:38119654:1 gene:SETIT_020120mg transcript:KQL31515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHAMRLRCLLMHPPLWSNSSSLGISASGVTGGCFVRRFSAVGAPRPHGPSRRLCRFYGSKGGVGSAEAHGASAASSAPGSSGRCIEQEHARLGERDQQEWLSGERFLTGCKRRESPFLTRRERFRSEFLRRVVPWEKGTLSWQNFPYYVNENARQLLSECVASHLRHKGVTSEYGSRLESSGGRILLQSSPGTELYRERFVRALAHELRVPLLVLDSTVLAPYDYGEDYSESEADDENAESEDEGSESEMEDEGDEDWTSNNEKSGESDDEDALKSVEDLKKSVDDLKKLVPCTIEEFAKRIVGSEESTASESSETPESPEDEKRPFQRGDRVKYVGDPAVSEADQRIILGKVPTQDGSRNAYTFISGRTLSNGQRGEVYEINGDQVAVIFDPPPEKSHDGDEDITSKEENAKPTIYWVDSQDIAHDHDTESDDWHIALEALCEVLPSLQPIIVYFPDSSQWLSRAVSKSDRREFVQRVEEMFDRLTGPVVLICGQNILAAASKDKEHPSPLKRLVGGLKGQKYSRSSDISKLFTNSLTVPLPEEDEQLRVFNNQIEEDRKIIISRHNLVKLHKVLEEHDLSCVELLHVKSDGVVLTKQKAEKVVGWARSHYLSSTTLPSIKGDRLIIPRESLDIAIKRLKEQGITTKKSSQNLKVLAKDEYERNFVSAVVPPNEIGVKFDDIGALEDVKRTLDELVTLPMRRPELFSHGNLLRPCKGVLLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGAFEHEATRRMRNEFMAAWDGLRSKESQRILILGATNRPFDLDDAVIRRLPRRIYVDLPDAQNRMKILKILLAKEKLESDFKFDELANATEGYSGSDLKNLCVAAAYRPVHELLEEEKKGGVSNESSYLRPLKLDDFIQAKAKVSPSVSYDATSMNELRKWNEQYGEGGSRTKSPFGFGN >KQL31602 pep chromosome:Setaria_italica_v2.0:I:38806168:38809239:-1 gene:SETIT_018684mg transcript:KQL31602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWEWEGDGEETAREEEETPVDFDFISLLAKPKDYYKILEVDYNASEETIRSSYIRLALKWHPDKKQGEENATSRFQEINEAYQVLSNPAKRQEYDKAGIIYVQDQNVVDYLNRHKGLILTCNGLGIRYSVW >KQL31781 pep chromosome:Setaria_italica_v2.0:I:39733149:39737164:1 gene:SETIT_016585mg transcript:KQL31781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATMATAAGAAALLYYTLNRRLQTERLNQEGECSNSRDVGARGVPDSPSRSRVSRRDVRAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGISFLLRRQGNLSVASIYAGNDSVELKGTEVIADLKYLLNLLTLCWHFSKKPFPLFLEATGYSAENVLMQEPKAGILKPAFTILLDRDKQCILLLIRGTHSIRDTLTAATGAVVPFHHTIVQEGGVSDLVLGYAHFGMVAAARWIAKLAAPCLAQALQMYPDFKIKVIGHSLGGGTAALLTYILREQKEFASTTCVAFAPAACMTWELAESGVHFITTVINGADLVPTFSAASVDDLRSEVTASAWLNDLRHQIEQTRILSTFYRSASALGSRLPSMANAKARVAGAGAILRPVSTGTQVVMRRARSVAQAAWTRPALQLSSWTCIGPRRRNNVSSTSTVTSEEIRTSTSGGSESTSLLTETTVETSETVASEAIQCTASQEVHNSVAVAVDALGLVDDKVDSDEDIVDHHVDEDRMTDVELWQQLESELYSKREGDDDDIAEEMTESTIAEEVGGVAEDVLSETKEVHRFYPPGKIMHILTSSREETAHEEEPDVHQDDATNGELQSSMGIFLTPRSLYGKLRLSKMMINDHYMPIYRRNIEQLISDLEKDSPDLTGDNINNTSS >KQL30856 pep chromosome:Setaria_italica_v2.0:I:33808975:33809547:1 gene:SETIT_019583mg transcript:KQL30856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILRFFSKRKREDSLPIRKEKSKSRWSPPRDLVKVNVDGSFVPQTGEAGVGVIAVLFHCASAVEAKARACVEGIRLAGEWTPGSVISEMDCAQINQASRSREDRSQVSFVVQEANDLKQLLANLEIVLVKRECNMIANDLAQLARRTCHSAVWLGCAPTCVIGLVEQDCNLMSPS >KQL30888 pep chromosome:Setaria_italica_v2.0:I:34064079:34068556:-1 gene:SETIT_017098mg transcript:KQL30888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVNLGLLLPLMDKYAAPTWAILISGFFMLLSVSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYVSLVNPDTSVYCGILRDGYEAFAMYCFGRYITACLGGEERTIAFLKREGGEDSGEPLLHHASEKGVIHHHFPINYILKPWRLGVRFYQIIKFGIFQYVIIKTLTASLSLILQPFGVYCDGEFKWGCGYPYFAVVLNFSQYWALYCLVEWYTATKDELAHIKPLAKFLSFKSIVFLTWWQGVIIAIMYSLGLVRSPLAQSLELKSSIQDFIICIEMGIASVVHLYVFPAKPYELLGKQYSPTNISVLGDYAASDPVDPDEIKDISRPTKVRLPQLEPDEIIATNIKESVRDFVIGSGEYVIKDFKFTVNQAVRPVEKRFDKMKKNIKFRQSRDDNWVNASTPERTIRGIDDPLISGSASDSGIGKGKRHRREPSSAGTVDGWEGTELAPDGFIIRGRRWEIKKS >KQL32229 pep chromosome:Setaria_italica_v2.0:I:41867144:41867640:-1 gene:SETIT_020631mg transcript:KQL32229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAALLGLFCYRQVSELHTWRPGAICGDANATNRNIVGTIYLDPWNL >KQL28153 pep chromosome:Setaria_italica_v2.0:I:3854498:3859012:-1 gene:SETIT_016673mg transcript:KQL28153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSELYRGYCQEDKETNKIASAYDLTSSDLNNFNLVVSYNSTYKGVTQSSVLPLSPLSFAPIMLRLPRLLNLVSNAYLQLRTGGPKMQFEFVKDMPRAQSEMTIDISFLVGRVVFVWMIMLLFPVILSNLVYEKQQKLRTIMKMHGLGDVAYWTISYCYFLLLSLLYMLILIVFGKGAGIMLFKLSDYKALFVVYFAYMNLQISFAFLMATYFSNVRTASGSWTTLMEFFPPFALYRIIYEFSPPPSPFYRTDFSGIHWGDLSDRKNGMKDILIIMALEWATFLLLTFFLDEFGTLGNGIRKMVSVCPSHVDGSSQASQKQTIQLQEFEYSVEMDRTDVLREREIVEQLLQESDSSYSIICDNLKKVYHGQDGNAEKIAVTGLSLSMQHGQCFGILGPNGAGKTSLISMAVEQSLKSVRLFDGGVADKRVAEYSGGMKRRLSVAISLIGDPKVVYMDEPSSGLDPASRRALWNAVLSAKQNRAIILTTHSMEEAEALCDKIGIMVNGRLQCIGTSTELKAKYGGTYVLTITTAAGEEEVVEQLVQSLCPAANRIYRIAGTQKFEMPKQGLRISQVFQAMQHAKGWLNIAAWGLSDATLEDAFIKVASESDISSV >KQL28962 pep chromosome:Setaria_italica_v2.0:I:9234987:9235402:-1 gene:SETIT_020280mg transcript:KQL28962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYHGRCLSYFMKEIKAIRYYQAFYFYGTHMSCLW >KQL29077 pep chromosome:Setaria_italica_v2.0:I:10163807:10166420:1 gene:SETIT_017664mg transcript:KQL29077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVMLPASMVLVQAFTMGALLLSKLVLNVGMEPFVLLAYRNIIGAIIVAPFAFYFDRGMLRKVNLRVFGWLSISALLGIVLAMGLHYYGLRATTAAYSIDFLNLIPVVTFAMAVVLRQEKLAGRMKLAGTAICVGGTMVASLYKGPLLHPPWPTHLLRHHPAATVPAAHHNMGLGTVYLCGSCVAYALWFIVQARVGREFPCKYLSTVLACVSGALQALLIGAAVTFFQAGGGGSAASWRLGWNLQLAAVVYMGAFNTGATFCLMSWAIARRGPIYPSMFNSLALVATTVLDSLLLGTLVSVGSLLGTLLIVVGLYAFLWGKATEIHHLQQQPPAAGDNHGHATTATST >KQL29913 pep chromosome:Setaria_italica_v2.0:I:26335631:26336929:1 gene:SETIT_019828mg transcript:KQL29913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVVRKSAPVVVRPSKTLPSSSAINLSSFDKCFVRVPTTVLLVFEHPIHEPAETIKSALSEALVHYYPISGRITASADDADESHIQCTGEGVAFVAASASCAFKEVEFFDPSSSRPKALVNELVSHYPPEGCGPTDPLLLMQVTEFSCGGFIVGVVWNHAVADGVGIAQFLQALGELARGQSSPSVVPVRWDGSLPRIPRAIVATRQLMVSVEPLDDVAFIDITVPSSLISYIRAEFQRHSNSNGHGQPCTFFEAVTAVLWQCRTRAIITNPNAPALLSFAADVRKLVGAREGYYGNCSTGPLAVATSGAVANGDIVEVVKMIRQAKDQVSEQFKKNNEHGDQVQVMDEKLFYQIRYNMFIVSSWKTLGLDKADFGGGTPARVMCYEQRMPRYPICITSLPCRGKDGASVFAACVKEEHADAFLKELATFI >KQL28151 pep chromosome:Setaria_italica_v2.0:I:3818265:3818859:-1 gene:SETIT_020463mg transcript:KQL28151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRLGAIRSLALVFFVMAVLMAISSVAAIRGGSGGICETPNPPSRQPGGGGCGPPP >KQL31019 pep chromosome:Setaria_italica_v2.0:I:35065540:35068854:1 gene:SETIT_017715mg transcript:KQL31019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHIAVERNRRRQMNEYLAVLRSLMPEPYVQRGDQASIVGGAIEYVKELEQQLQCLEAQKRTLLVHQHKAAMPDATPMHHSGSSTKTESATPPPTPSNCSSSVTEDAADHAPPPPFAQFFTYPQYVWCHSPRGPAAAAEDAGRPGVADIEVTLVETHASLRVMTPRRPGQLLGLVTGLQALRLAVLHLSVTTLDALVLYTISVKIEEGCGLATVDDIAAAVHHVLCIIDAEATEQQQMLAAAGPR >KQL27972 pep chromosome:Setaria_italica_v2.0:I:2179916:2184039:-1 gene:SETIT_016882mg transcript:KQL27972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTERAHRPIDPPRPGGGGSGEAGGGDSPARWDDDGERVEGLAGLNIFDQEADESPAKNGTGNSHDASCAPIANGCITDTTETLIETEPGKCFYDEPLHEHTGIWVPVSVPPMTAQDREEWHRGFGCNGGYFPEEEFSWELDEDNKEMTMWDVFADMVVAAKDRVKSAATYDFGRCGMSVVSNFFLQEAWKDMAQTLADANAGIANELLETEPTKWLPDSAATSCMLCSVRFHPIICSRHHCRFCGGVFCNGCTKGRSLMPPKFRTSEPQRVCDVCGVRLESIQPQLMNQISRASQLPTRDVTDLSTLRSWLNFPWAHTMEYEIYKAANSLRSYCKVGRLKPEKAIPDAILRQAKGLAIVTVVKVGMMVTYKLGTGLVVARRADGSWSPPSAISTCGVGYGAQAGGEIADFIIVLRNTDAIRTFSGKAHLSVGAGVSASAGHVGRVAEADFRAGDGGYAACYTYSCSKGAFVGCEFNGSIVSTRDTENARFYGGPVKASDILLGSMARPPAASPLYKALPELFDRIGK >KQL30878 pep chromosome:Setaria_italica_v2.0:I:33958154:33960306:1 gene:SETIT_016854mg transcript:KQL30878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPPRSPPAAKRPKMSSSSDPEADAESTSPSAAGEPRRPRYKRRKVAILLGYCGAGYQGMQKNPGARTIEGDLEEALYQAGAVPEADRAAPRRYDWARAARTDKGVSAAAQVVSGRFYVDPPGFIDRLNAQLAPQIHAYGYVRVTNSFSAKKFCDRRRYLYLLPVFALDPSAHPDREAVMASMGSGSELTKCLECSERGRKVPGVMGREGKLPSPGVNGTDAPVEGTVNTHDESGSIGAAKGDPTVSEETQAGNAELGSNGASDVVPSGSADADASIGNEENKLEATATEEKVQGMDFENSNGEEKPPTKSDFSYNDEMKERFNRILKYYVGTHNFHNFTTRTKAEDPAAKRFIISFAADRVVSLDGIDFVRCEVVGQSFMLHQIRKMIGLAVAVMRNCAPESIYDVAFRKDVKLNVPTAPEVGLYLDECMFTSYNKKWKDSHEAVSMEPYCEEAEEFKVKYIFPHIAAMEHKEGAVALWLHSLNHRNYPDFRYMETAGAEAKVGAEVAAGAEVNVGAENDGIEEVQMPSDNVSE >KQL29671 pep chromosome:Setaria_italica_v2.0:I:22818649:22827081:-1 gene:SETIT_016194mg transcript:KQL29671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAALASRVESWVRDQASRLPPWAAALPHAPRWPWPPPLPAWPWPGGRMRQRERMFREEVDRRRRQLRELCRAVRVDTFAELQELLCAMVLAECVYKRPVSEMMRYINKFKSDFGGTIVSLERVQPSLDHVPHRYLLAEAGDTLFATFIGTKDYKDIIADANILQGTMFHEETAQGFAPDVDSAQNDAQKGEENLGKSYRETSKKLRKSKPAVHRGFMARAKGIPALELYNLAKKRNRKLVLCGHSLGGAVAALATLAILRAIASSPSKEDNRLHVKCITFSQPPVGNAALRDYVHTRGWQDYFKSYCILEDLVPRILSPAYFHHYNAQTLEASFINKTDVKSEENMETSAERAKGNNGEQLVLGVGPVQKSLWRLSKLVPLEGVRKSLSVIQKQANVFRKAPSQLDSYLQSKIDESEEEPQSLEIQEGSQGIVLTPLSDKDGEHNEDTNRTEKINASETGRSKRWTRVPSLPSYVPFGELYLLGDSSVNTLSDSEYSKMTSVQSVISELRECLQSHSMKSYRARFQKIYDLCMCANAPIFTGIEQLPQFSHIQELIGLAAADSVELGHIVDPPVIRTATSILPLGWDGLPGGKNAEPLKVDIIGHGLQLCTHFQAQINGNWYSTVVETLPSATSYSPNEEMQPTLQKMRILVGHPLKQPPNYISEDFLVPLIKGADSTPDFGFESLFEDKDCCKGLSGFLIYGTNDFVTVRKKVYVRTRRVRLLGLEGAGKTSLLKAMLGQVKERNSVVLECIHVDLHGKGISSGLCYIDSTTVNLQELPSEVRRFKEELLLGVHDVSKRTDLVIAVHNLAHRIPQYQQSNTSRPQPALSLLLDEAKALGIPWILAITNKFSVSAHEQNTLISLAMEAYQASPEMTKVVNSTPFLMPSARNSLMPIGSSAGNLGNKDPANRSTYLPVNFVLSPFQRKDIVMHVEGVTALRQLVHQVVLNNEEPAFEELAHERLSLDLAREKAASLQAKQKPPKRDGSVTAAAVGASLGAGLGIVMAVIMGAASALRKP >KQL30533 pep chromosome:Setaria_italica_v2.0:I:31504820:31505970:1 gene:SETIT_018770mg transcript:KQL30533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSNPDSMDTDPPGGLSIAVERNPPESRLLQLGVKSWPKWGCPTGKFPVKFDARQTCYLLKGKVRAHIKGSSECVEFGAGDLVVFPKGLSCTWDVVAAVDKYYKFDSS >KQL31969 pep chromosome:Setaria_italica_v2.0:I:40699165:40706461:1 gene:SETIT_016572mg transcript:KQL31969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALEIMDPKMDSGIERSGYNSIEEAIEDGVAPLPLSLDRTLDVQRSIDVMDHLFSCEATWHKGHPLAQTVFTCLYLMRMERTSSHAVLHSFCRILRATCNAVISVVSTARTHEEEDIFTMSFGLPLRDEGDDKCLSILNSVEETISRQLRACKAQALSKKKTLEDLESLQDNPDLEEDYCRALLCRLRFRKHFYHVVMSMKKPHGRGLELARKHVASCLNELSLMLKSREFLKSQSNITLQDDENCTTASGCRPVGFDVSLNSRLLSPTPPRAVKVLSWSNAIRYFEKLLNDLDVICALSLDPVLENVLHYIVQFQKSVPDLVPRAFLQTLLVQDGKLYGQNLFSDVISRALSLPDIIGDKEFQMNEFVVQLGQLVINLLKILCTNTAWQRRKLGKSLQDWSTISIQLELALKREFGETRNVLHHENMCMRVSKQLLIWTQEHAYWVASRFLTLGFELDLYSPGEYCMVYWYMYVVFTKLIEKMQLRVLASSETSRRKGKKKKDHSKDSARDTTFSSSCLLLQCYVLLSEGLSMMLAVLRNESRSFQLPSIFNTEQERFMQHFDLLQKARVPEHISYYSFKESSSQAGIMDLVKYNFFKETRKIIPSLRGSFASEPEKLAELRRIEQVAEHNRIALNIISQVGPGDPSLRVSFEFTHHPHFAVAVVKRS >KQL29644 pep chromosome:Setaria_italica_v2.0:I:22442992:22459114:1 gene:SETIT_016187mg transcript:KQL29644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLAVVLRAALSHVPEERKAAEESLNQFQYTPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFIAKNWSPNDPDESPKVLESDKAMVRENILGFIVQVPPLLRAQLGESIKTIIHSDYPEQWPSLLHWVTHNLEIQNQIFGALYVLRVLARKYEFKSEEERIPLYHIVEETFPRLLSIFSKLVQIVNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNSWMVLFINLLERPVPVEGQPIDPEIRKSWGWWKVKKWTIHILNRLYTRFGDLKLQKPESKAFAQMFQKTYAGKILACHMLLLNAIRTGDYLPDRVINLVLQYLTNSVTKNSMYQMMQPQIDILLFEIIFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKNNLQKFIHFIVDIFRRYDEAPADLKPYRQKDGALLAIGTLCDKLKQTDPYKSELERMLVQHVFPEFSSRVGHLRAKAAWVAGQYAHINFSDPNNFRQAMHCIVSGMRDPDLPVRVDSVFALRSFVEACKDLDEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMASSEADDEADDSGALAAVGCLRAISTILESISSLPHLFIQIEPTLLPIMRRMLTSDGQDVYEEVLEIVSYMTFFSPTISLDMWTLWPLMMEALNDWAIDFFENILVPLDNYISRGTDHFLACKDPDYQQSLWNALQSIMMDENMEDSDIEPAPKLIEVLFQNCKGNVDQWVEHYLRITIERLRRTKKPYLKCLLVQVIANALYYNPALTLETLNKLGVAADIFNHWFVMLQQVKKSGARVNFKREHDKKVCCLGLTSLIGLPADKIPPEALDRIFKATLELLVAYKDQVAENKKQNEEAADDMDGFDADEEDDEEIDSDKDMGLDDEDGDEVSSLQLQKLAAEARGFQPADEDDDSDDDFSDDEELQSPIDEVDPFIFFVETVQGLQVSDPARFQNLMQTLDFRYQALASGIAQHAEERKVEIEKEKLEKANTQ >KQL29685 pep chromosome:Setaria_italica_v2.0:I:23118118:23122440:-1 gene:SETIT_016551mg transcript:KQL29685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDYDFPAAGGYDPMAAMGMGMGMGGLGLGGAMGMGTGGYGLGGPMGMGMGGYGLGGEDDAGAGAGEEEGDDPPAELKIGEEREIGKEGLKKKLVQEGEGWDRPGAGDEVEVHYTGTLLDGTKFDSSRDRDSPFKFTLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELLSWVNIKDICKDGGILKKVLSEGEKWENPKDPDEVFVKYEARLEDGTVVSKSDGVEFTVKDGLFCPAISKAVKTMKKNEKAFLTVKPQYGFGEQGRSASGEEAAVPPDATLHIDLQVVSWKTVTEIGHDKKVLKKILKEGEGYDRPNECAVVRVKLIGKLADGTLFVNKGHDGEEPFEFKTDEDQVIEGLDKAVLSMKKGEVALVTIPPHHAFGANETDQDLATVPPNSSVYYEVELVSFDKEKDSWDLKNNSEKIEAAAKKKDEGNVWFKVGKYARASKRYKKALSFVEYDSSFSEEEKQLSKPLKISCKLNNAACKLKLKDYKEAKELCTEVLELDCTNVKALYRRAQAHTHLVDLDLAEADIKKALEIDPDNRDVKMGYRRLKETVKEYKRRDAKLYGNMISKLSKVEDTEGNDHESRGQSKKHGMWPLAQLLSRFFTKDGTKGSTLWLVLRLLILVVLVVAVCVGYYMQSGTQEIDCINC >KQL29684 pep chromosome:Setaria_italica_v2.0:I:23118423:23122316:-1 gene:SETIT_016551mg transcript:KQL29684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDYDFPAAGGYDPMAAMGMGMGMGGLGLGGAMGMGTGGYGLGGPMGMGMGGYGLGGEDDAGAGAGEEEGDDPPAELKIGEEREIGKEGLKKKLVQEGEGWDRPGAGDEVEVHYTGTLLDGTKFDSSRDRDSPFKFTLGQGQVIKGWDLGIKTMKKGENAIFTIPPELAYGEDGSPPVIPPNATLQFDVELLSWVNIKDICKDGGILKKVLSEGEKWENPKDPDEVFVKYEARLEDGTVVSKSDGVEFTVKDGLFCPAISKAVKTMKKNEKAFLTVKPQYGFGEQGRSASGEEAAVPPDATLHIDLQVVSWKTVTEIGHDKKVLKKILKEGEGYDRPNECAVVRVKLIGKLADGTLFVNKGHDGEEPFEFKTDEDQVIEGLDKAVLSMKKGEVALVTIPPHHAFGANETDQDLATVPPNSSVYYEVELVSFDKVEKDSWDLKNNSEKIEAAAKKKDEGNVWFKVGKYARASKRYKKALSFVEYDSSFSEEEKQLSKPLKISCKLNNAACKLKLKDYKEAKELCTEVLELDCTNVKALYRRAQAHTHLVDLDLAEADIKKALEIDPDNRDVKMGYRRLKETVKEYKRRDAKLYGNMISKLSKVEDTEGNDHESRGQSKKHGMWPLAQLLSRFFTKDGTKGSTLWLVLRLLILVVLVVAVCVGYYMQSGTQEIDCINC >KQL29452 pep chromosome:Setaria_italica_v2.0:I:16323054:16329918:-1 gene:SETIT_016145mg transcript:KQL29452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGAAAAVANGLAMPFLTFIMGDLVDAFGAANRAGIVHVVSKIAVRFVYVAIASGVAGFLQVSCWMVTGERQAARIRGLYLETILRQDISFFDTETSTGEIIERMSSDTVLIQEAIGEKVVSFTGEKRAIDRYNEFLKTSYRSTVHQGIAMGVGIGSLLLIIFCSYALAVWYGGRLIIEKGYTGGYIINVLMAIMTGAMALGNSSSCVSAFASGRIAAYKMFATIYRTPEIDVHDTSGLVLENFMGDVELKDVHFSYPTRPEQSIFTGFSITIRTGTTMALVGESGSGKSTVISLVERFYDPQSGEVLLDGVNLKLLNLSWIRQKIGLVSQEPILFTTTIRQNIEYGKKGASEEEIRSAIMLANATKFIDKLPYGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDESTSALDAESEHVVQEALNNIMVNRTTIIVAHRLSTVKNADTISVLHRGQLVEQGSHAEMIKNSNGAYSQLIRLQEINAIRKVAYADNSSRIQTASYPANYISDHSSRKPSFERSMSIHSPQDGSRRNSQTFSSSELEKIGDDDVKLGKKVLRRLLYLHKPETKILVLGCTAAAANGAILPVFGLMISSAIKTFYEPPHKLLKDSVFWAEMYVTLGVLSILIMPVQYSMFYMAGGKLIERIRALSFTQVVYQEIGWFDDPLNSSGGIGSRLSTDAASIRSIAGDVLALIVQNISTAIVGIVIAMVANWKLACIVVCFVPCVFAQSYAQTRFMRGFSADAKKIYEQASTIASDAIGNIRTVASFCVEERIVENYRKKCEGPVKQGVRQGAISGAGYGFSFALLFCFYAVSFYVGALFIHNGTADVGQVFKVFFALTMMAVGVSQSSSMARDFSKVEDAALSIFGLIDRKSKIDASSEEGMTLGTVQGNIELQHVSFKYPARNDVEIFRDLCLRIPSGKTVALVGESGSGKSTVLSLIERFYDPDSGAILLDGKNLKSLKLSWLRQQVGLVGQEPVLFNDTIRANIAYGKKEQVSEEEIIAVAEAANAHGFISALSSGYDTIVGERGVQLSGGQKQRIAIARAILKDPKVLLLDEATSALDAESEHTLQEALDRLMVGRTTVIVAHRLSTITGADKIAVIKNGIVTEEGRHEQLLRAFPGGAYASLVALQSSSGS >KQL31248 pep chromosome:Setaria_italica_v2.0:I:36459774:36464170:1 gene:SETIT_016409mg transcript:KQL31248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAPAAAASLAGKRRRGDEGAGLRRVAEIVMVLAAAGEVRGGREPTAAERALAAEARERLAAAVAEGAVRPKDLFPGEAVRAVVEDLGLNRAKDPAAMGFRPPKASIADRLMLTKRKMEEVKEAPVQPTVSTPQTAVSTGMAEFHGFNGASKFGVGVPRNPQVAAVAATSPLTSTSPVVLKPPGSSPVKPVANSSGVALPHTGPSHLKLDKDVNGPLNLTRSGAAVVHPNKSTLDTSARSNVNAVQSSNQLLKNQDTKPVAVQAATGNTVVGHRATPGVAFVPPKPTFVNHNDIAKTVQQFLHQPANHPSWTPPSTEYMHSRLGCQICKVAITDTDSLLVCDACERGVHLKCLQQYGNKGVPKAEWHCSACLTQSKGKPLPPKYGKVTRTVVASKAAPPGGGAQVSLPGSAENMAAKENHQKLAANGNLMKPISIQGGSTVHNINVLALSAITAGSQSQLASTLRPPIGNTVKAETSSNGKEGTGQQCSSMLQPDVKPPPDKKLRSGSSLNSAGSANDIMNSEQTAEISGAEAKIKSEANSDPPLSRNEELVDSSGTSVEQTKIVGSEEKPSAQATSETDKMKDSETTANTGSSLDQRRNFATEEKLLSEATSEALTINDVKMTSTGIAIEEKLQTDAASDPPRIQDMEMGTNNGPPADQSINLVAEEKSQSEQTSSIGDTDVTANAGIPTDQTQHSNGSTENVVKKPPNGEPYKDMLGCNIVSDYVSTQKVASNGILHPKDETSCVHENEAVGCNTEAN >KQL29462 pep chromosome:Setaria_italica_v2.0:I:16734634:16735235:1 gene:SETIT_018727mg transcript:KQL29462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPTTAVSVILLWLALLTVAFHGCGGLLVRRTVSVAIPARKMLLAVTSFDAAASSSTGHHHHQNQQRQHHQHHQHHHHHVDRWNRQGIPPSSVGKGEEIDPRYGVQKRLVPTGPNPLHH >KQL30716 pep chromosome:Setaria_italica_v2.0:I:32841483:32844238:1 gene:SETIT_018700mg transcript:KQL30716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARLAFPLFLLLAAAEFAAATRSPSAFVQNAIYSNRITIFSKTYCPYSMRAKRIFRDLKEDPFVVELDLREDGRDIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTVNALSNGQLEKLLGKSQSQ >KQL30714 pep chromosome:Setaria_italica_v2.0:I:32841483:32844225:1 gene:SETIT_018700mg transcript:KQL30714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARLAFPLFLLLAAAEFAAATRSPSAFVQNAIYSNRITIFSKTYCPYSMRAKRIFRDLKEDPFVVELDLREDGRDIQSVLLDLVGRHTVPQVFVNGQHVGGSDDTVNALSNGQLEKLLGKSQSQ >KQL30715 pep chromosome:Setaria_italica_v2.0:I:32841483:32844238:1 gene:SETIT_018700mg transcript:KQL30715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARLAFPLFLLLAAAEFAAATRSPSAFVQNAIYSNRITIFSKTYCPYSMRAKRIFRDLKEDPFVVELDLRDTVNALSNGQLEKLLGKSQSQ >KQL30880 pep chromosome:Setaria_italica_v2.0:I:33998478:34000013:-1 gene:SETIT_020085mg transcript:KQL30880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRAASYVLAAVCLLVLAATVAEARHPRLVPAVFVFGDSTVDVGNNNDLNISVGARANYPKYGVDFPGSVPTGRFSNGLNTADLLARALGFKRSPPAYLSLTKKTVTPQMYKGINFASGGSGFADDTGRFLFGEVIPMSKQLEYFSGVVKHMTKLSGAKKTSSFLCRSIFLISAGSNDMFEYSASPGPSDDYKFLSGLVAAYKQSITALYEMGARKFSVISVPPLGCLPSQRLRRLKQMGTQGCFDPLNDLSLRSYPMLAAMLQDLARDLPGMAYSLADAFTMVSFVFENPRTDAWSFTELEAACCGGGPFGAAYACNETASLCADRDGHLFWDADHPTQAVSLVAAQTIFAGNRTFVNPINVRELALL >KQL31291 pep chromosome:Setaria_italica_v2.0:I:36732943:36734983:1 gene:SETIT_016792mg transcript:KQL31291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKAMPQTPPEISSAPDLKHCSKSASPLEIPQTNNACVSAPTVLPETANLSKLSHKLNSNEQKPDHHIKESVGELGNVNGSSTSFQTCEGSSQAKVSASARLTDPSEIGDRGNSSRCRPSTSSDVSDESSCSSMSSSTKPHKANDSRWEAIQMVRTRDGMLGLSHFRLLKRLGCGDIGSVYLSELNGTKSYFAMKVMDKASLASRKKLLRAQTEKEILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFPEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLIKSSNPDADALRKNSQGYCVQPACVEPSCIIQPSCAAPTTCFGPRFFSSKSKKDRKPKPEVATQINPWPELIAEPSDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFSARDLIRGLLVKEPQQRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEIERPSKLPPSPSGAADGSSGAPQKGSDGYLEFDFF >KQL30927 pep chromosome:Setaria_italica_v2.0:I:34452434:34453138:1 gene:SETIT_019129mg transcript:KQL30927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHWIAFMIQPKNGVVTVFDSLDYDQSTYKEFILILQKAYQHYITNGGIHNSKRPKEMVVRTNFPCHKQPSSSVHCGYYMCENIRMIRRYTTDPER >KQL31608 pep chromosome:Setaria_italica_v2.0:I:38843037:38849326:1 gene:SETIT_017524mg transcript:KQL31608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPPSSPPYSPFLFRNPPPRPSSTHPYASSSLSLSLRGWAPRGGSVWRARERINNDWAGRPRCPAPFRSPSFMLCLFRWSWTPTRPFPFPLPPSLLSFRIPSHHITAPTAGWPAAPSSPPPPPPPPRGTRETVRPPGVCCAGGDEGSAGMARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSQFASSSMNEIIDKYNTHSKNLGKAEQPSLDLNLEHSKYANLNEQLTEASLRLRQMRGEELEGLSVDELQQLEKNLEAGLHRVLQTKISELQRKSSQLAEENMQLRNQVSQIPPAGKQAVAETENVIAEDGQSSESVMTALHSGSSQDNDDGSDVSLKLGLPCVAWK >KQL31609 pep chromosome:Setaria_italica_v2.0:I:38843037:38849326:1 gene:SETIT_017524mg transcript:KQL31609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPPSSPPYSPFLFRNPPPRPSSTHPYASSSLSLSLRGWAPRGGSVWRARERINNDWAGRPRCPAPFRSPSFMLCLFRWSWTPTRPFPFPLPPSLLSFRIPSHHITAPTAGWPAAPSSPPPPPPPPRGTRETVRPPGVCCAGGDEGSAGMARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSQFASSSMNEIIDKYNTHSKNLGKAEQPSLDLNLEHSKYANLNEQLTEASLRLRQMRGEELEGLSVDELQQLEKNLEAGLHRVLQTKDQQFLEQISELQRKSSQLAEENMQLRNQVSQIPPAGKQAVAETENVIAEDGQSSESVMTALHSGSSQDNDDGSDVSLKLGLPCVAWK >KQL31610 pep chromosome:Setaria_italica_v2.0:I:38843037:38849326:1 gene:SETIT_017524mg transcript:KQL31610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPPSSPPYSPFLFRNPPPRPSSTHPYASSSLSLSLRGWAPRGGSVWRARERINNDWAGRPRCPAPFRSPSFMLCLFRWSWTPTRPFPFPLPPSLLSFRIPSHHITAPTAGWPAAPSSPPPPPPPPRGTRETVRPPGVCCAGGDEGSAGMARERREIKRIESAAARQVTFSKRRRGLFKKAEELSVLCDADVALIVFSSTGKLSQFASSSMNEIIDKYNTHSKNLGKAEQPSLDLNLEHSKYANLNEQLTEASLRLRQMRGEELEGLSVDELQQLEKNLEAGLHRVLQTKDQQFLEQISELQRKVSQIPPAGKQAVAETENVIAEDGQSSESVMTALHSGSSQDNDDGSDVSLKLGLPCVAWK >KQL27996 pep chromosome:Setaria_italica_v2.0:I:2367512:2369486:1 gene:SETIT_017476mg transcript:KQL27996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQCSGVDEVIISTSKLSIAQVTVDKRRKEANQALVPASSMGRRREGLSLRPAVLIASTCALLLLATALLLPPRPSPPRPLTTAPADAAAVRLDARVTRRSGNEVLWQLPPPSTPLRAALFAAPGCTIRATDFFDASPGCPRCAGLPEERRFTRAALGRGYAVLAVSSRAECWSLDEGKGSELAAVESIIRWWTTEEFPQLAGLPLVGIGASSGGYFLSALAARVKFSSVAVMIIEGVYGTMGDIPAGYPPALFVHMPKDAERAGLVADNMGKLKAKRVDVREIRCGDFAVSAEFLAERVPGLTRAVADALVDVLRRKGFVDEEGFLKKDGRSTPWKKAAEEAKVLPEGFHLERHVTEELNVAYAYHEFTSLKNTEIFEWFESHMNH >KQL30209 pep chromosome:Setaria_italica_v2.0:I:28894828:28896034:-1 gene:SETIT_018025mg transcript:KQL30209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGQAAVSFLTRIAKVAAGIGVAASAASTSLYTVDGGERAVIFDRLRGVLPRTEAEGTHLLVPILQKPFIFDIRTRPHSFSSTSGTKDLQMVSLTLRVLSRPDVDRLPEIFNSLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLTQRAREFNIVLDDVAITHLAYGPEFAQAVEKKQVAQQEAERSRFLVARADQERRAAIVRAEGESEAARLISEATTTAGNGLIELRRIEAAKEIAGVLARSPNVSYIPAGDNGQMLLGLNAAR >KQL27694 pep chromosome:Setaria_italica_v2.0:I:145211:146466:1 gene:SETIT_019569mg transcript:KQL27694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLLQAIIIFSAFATSAATGPASTVVAGMVFCDQCKDGARGLFDYPLYGARVAIQCGGGDTPLTVRESNTNWFGGFSIRMEGSPDMNRCTARVVQGTGHCGASGAPPRELTLAFRMLGLALYTVPPLLSQPEEAMDFCPGYDTRRRSPRWPVVAPAPSSQPQSPPAPASPFWRRRLPPIWRKPPTMPQDQPQPPPQVLPPPPPPSPPAPAQGSACTYDQWASPDHRCYWKVVTPNTTVAMAFGPLAAQRYGSELTLRDALEGRGDMYRTLLREATAALLNAYYNAPGGPFLYPTTASVIDHMNGALLSSAQRVLIEGARFRRANAGGGGPAGRTRLPCDLAPCAAQPPR >KQL30973 pep chromosome:Setaria_italica_v2.0:I:34785548:34786044:-1 gene:SETIT_018891mg transcript:KQL30973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFTPCSTSATLHLPLPFNYSRVATCPFTAGSSYRRPRRHQCVLKRLHVPCASPRTPCCLYGLQRSAKHGDLLSMTLWWTRQ >KQL31286 pep chromosome:Setaria_italica_v2.0:I:36700617:36705652:1 gene:SETIT_017374mg transcript:KQL31286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALCDFCGKQRSMIYCRSDAASLCLSCDRSVHSANALSRRHTRTLLCDRCGSQPAAAHCIEENTSLCQNCDWNGHDATSGATGHKRQAINCYSGCPSSSELSRIWSFVMDIPTVAAEPNCEDGISMMTIDDSDVTNHCDASDDKRLLEIANTTLMSDPPTGDKLKPLIGSPSGDGFNILPLATDQPAGSDSMTPKVPYATDDDKFNDGSMYEDLCVDDTDLTFENYEELFGTSHIQTEQLFDDAGIDSYFEMKEMPPFDSNEEPKYMQLECSNVVSADSAMSNQGARADSSLCIPVRQVRSSISQSFSGLTGESSAGDHQDCGVSPMLLMGEPPWHPPGPEGSVAGGSRDSALTRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFIKAGEAYDYDPLSQTRSY >KQL31966 pep chromosome:Setaria_italica_v2.0:I:40695096:40696470:1 gene:SETIT_017254mg transcript:KQL31966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGITPRRRPLLPVPRAFFSSNPPFPPPPPPANGPDAAHPLPSSPQSNPGAPPRDSASALFQDIRERLRVSPRSPPPRRIPMNHSPKELPDVRQALESFRRSGGLTSPSTPGASPSTPGATPSFMDLLKNQRPNTGQGLGSFDPIRGSLKGALPAQQRQPLQPTPFLSHKSDIFKKELERGGKAGEEEEKDSGTTLMRVYSYEDLGKRLGDLRPAGAVKDGKEWFSLEELQGRIAKLVELEKQEHRLGAKFAVIRKSILNLKQPEKTVQGQGVHLQTMLSLGGQMTPDYTRLPPQEELVERYFHPDHMSSEEKMKLELQRVRDEFKMSENDCGSARVQSKYFIISFLHHFHSTCERCSHNCAKIRMPCTLFHS >KQL31967 pep chromosome:Setaria_italica_v2.0:I:40695096:40698105:1 gene:SETIT_017254mg transcript:KQL31967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGITPRRRPLLPVPRAFFSSNPPFPPPPPPANGPDAAHPLPSSPQSNPGAPPRDSASALFQDIRERLRVSPRSPPPRRIPMNHSPKELPDVRQALESFRRSGGLTSPSTPGASPSTPGATPSFMDLLKNQRPNTGQGLGSFDPIRGSLKGALPAQQRQPLQPTPFLSHKSDIFKKELERGGKAGEEEEKDSGTTLMRVYSYEDLGKRLGDLRPAGAVKDGKEWFSLEELQGRIAKLVELEKQEHRLGAKFAVIRKSILNLKQPEKTVQGQGVHLQTMLSLGGQMTPDYTRLPPQEELVERYFHPDHMSSEEKMKLELQRVRDEFKMSENDCGSARVQIAQLTLKIKHLSAVLHKKDKHSRKGLQEMVQRRKKYLKYLRRTDWDSYCLVLSKLGLRDVPEYKAPDYKSKPTTKAKSKKNKSKRKKRKMKA >KQL28006 pep chromosome:Setaria_italica_v2.0:I:2487947:2488540:-1 gene:SETIT_019376mg transcript:KQL28006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAVAPLLATIIGSFGSGEHRRQQRTPSSHELRSCVADHDDNREAEDGGDPRDAARPAAEVEDARCECCGMSEECTPAYIGAVRRRFSGRWVCGLCAEAVAEEAGKNGGDREAALAAHMAVCRRFNGFGRTHHALFQADAVILIVRRLSGSGPRSPKFSDGPGDIGGGAKNALAAASSSGCTALVAGVRNDQVATN >KQL29729 pep chromosome:Setaria_italica_v2.0:I:23904849:23908283:-1 gene:SETIT_016879mg transcript:KQL29729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDATAAAPALGLTRPNAVDPPQVSFTAKDIEFSDWNGDMLAVAVTEKDLQSRAPDSKFENAVLKRLDGELGGLLSAAAAEEDFTGNPGQSVVLRVQGHGFKRVALIGFVARNAGCLQGLGESVASVAKAAHATSAAIVLASPCVIQEELKLNAAAAIASGTVLGLHEDSRFKSECKKVLLKQVDLIGLGTGPEVDQKLKHANHVSSGVTLGRDLVNSPANVLTPAALAQEALKIASTYSDVLTATILDAEKCRELRMGAYLAVAAAAANPPRFIHLCYKPTGGNVKRKLAIVGKGLTFDSGGYNIKAVPVARIELMKWDMGGSAAVFGAAKALGQIKPLGVEVHFIAAACENMISGTGMRPGDIVTASNGKTIEVDNTDAEGRLTLADALVYACNQGVDKIIDLATLTGAMRVALGPSIAGIFTPNDELAEEFVAASEVSGEKFWRLPMEESYWEDMKSSIADMLNTGPMQPKGGAITAALFLKQFVDEKVQWMHIDIAGTVWSHKKQAATGFGVATLVEWVLKNSSS >KQL29812 pep chromosome:Setaria_italica_v2.0:I:24977263:24985103:1 gene:SETIT_016210mg transcript:KQL29812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQVMDQRRHLSQFSNPTMAASSFSEELRLPTERQVGFWKPESLPHHIGNKSVASSPIEKPQPIGTKTVGRVDLQAYKLREQKTAFSLEHKIFGQERHVNLSPSLWRADQDPNRQSDSSLFPDGRRTNPNEAYNENGLFSSSLSEIFDKKLRLGSKNALVRQPVEKVDPTHVDDEPFELTEEIEAQIIGNILPDDDDLLSGVLDEVGYTAHANNGDDVDDDIFYTGGGMELEIDENKKITEPNGGVNEGLGLLNGTLNGEHPYGEHPSRTLFVRNINSNVEDSELKLLFEHYGDISNLYTACKHRGFVMISYYDIRSARNAMRALQNKPLRRRKLDIHYSIPKDNPSEKDINQGMLVVFNVDPSLTNDDIHQIFSDYGEIKEIRDAPQKGHHKIIEFYDVRAAEAAVRALSRSDLAGKKIKLETSRLGGTRRLTQHAPPELGQEEFGVCKLGSPSTNSPPMPSLVTSSGRENGSIHGLHSGLITSMSPFREASFPGLSSTIPQSLSSPIGIASATTHGNQASLAELSHSLGRMNGHMNYGFQGMGALHPHSLPEVHNGATNGAPYNLNTMAPGGINSNSRTAEAVDSRHLHKVGSGNLNGHSFDRAGEGALGFSRSGGGSLRGHQLMWNNSNNFHRHPNSPGLWQNLGSYVNNVPSRPPAQMHGVPRAPSHMLDSVLPMHHHHVGSAPAINPSLWDRRHGYAGELTEASSFHPGSVGSMGFPGSPQLHGLELNNLFSHTAGNRMDPTVSPAQIGAPSPQQRGPMFHGRNPMVPLPSFDSPGERMRSRRNDSGANQSDNKRQYELDVDRIMRGEDSRTTLMIKNIPNKYTSKMLLAAIDESHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRTSSGEENHHDVQTALTNGDTSSNGVDSSGPTKDAE >KQL29813 pep chromosome:Setaria_italica_v2.0:I:24977263:24985103:1 gene:SETIT_016210mg transcript:KQL29813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQVMDQRRHLSQFSNPTMAASSFSEELRLPTERQVGFWKPESLPHHIGNKSVASSPIEKPQPIGTKTVGRVDLQAYKLREQKTAFSLEHKIFGQERHVNLSPSLWRADQDPNRQSDSSLFPDGRRTNPNEAYNENGLFSSSLSEIFDKKLRLGSKNALVRQPVEKVDPTHVDDEPFELTEEIEAQIIGNILPDDDDLLSGVLDEVGYTAHANNGDDVDDDIFYTGGGMELEIDENKKITEPNGGVNEGLGLLNGTLNGEHPYGEHPSRTLFVRNINSNVEDSELKLLFEHYGDISNLYTACKHRGFVMISYYDIRSARNAMRALQNKPLRRRKLDIHYSIPKDNPSEKDINQGMLVVFNVDPSLTNDDIHQIFSDYGEIKEIRDAPQKGHHKIIEFYDVRAAEAAVRALSRSDLAGKKIKLETSRLGGTRRLTQHAPPELGQEEFGVCKLGSPSTNSPPMPSLAVTSSGRENGSIHGLHSGLITSMSPFREASFPGLSSTIPQSLSSPIGIASATTHGNQASLAELSHSLGRMNGHMNYGFQGMGALHPHSLPEVHNGATNGAPYNLNTMAPGGINSNSRTAEAVDSRHLHKVGSGNLNGHSFDRAGEGALGFSRSGGGSLRGHQLMWNNSNNFHRHPNSPGLWQNLGSYVNNVPSRPPAQMHGVPRAPSHMLDSVLPMHHHHVGSAPAINPSLWDRRHGYAGELTEASSFHPGSVGSMGFPGSPQLHGLELNNLFSHTAGNRMDPTVSPAQIGAPSPQQRGPMFHGRNPMVPLPSFDSPGERMRSRRNDSGANQSDNKRQYELDVDRIMRGEDSRTTLMIKNIPNKYTSKMLLAAIDESHKGTYDFIYLPIDFKNKCNVGYAFINMTNPQHIIPFYQSFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPMGTNIRARSGRSRTSSGEENHHDVQTALTNGDTSSNGVDSSGPTKDAE >KQL29380 pep chromosome:Setaria_italica_v2.0:I:14642526:14645226:-1 gene:SETIT_020076mg transcript:KQL29380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIMLCTCSGDQSRFEDIPRSPESLATRDFSANGSSSKIASRETTPDDSQVNEVESDLRETLSLNYEIYFSDAALLVLQGIDIRSLRPRMTSAIAESIKSRVPPRSSRRKTSQVNGMLMHVSMHSVSLLLEAILLKAKSLEGLGRVTALPSEMGIVVPEALQISYCPIYALMDIFHSALEYLPKLWMRSCCFEEAIIAYRRALAKPWNLDSERSANLQKDLAVTLLYCGVEVKFPEEFGQKGNLKLSLQEIKWDPDLVNHLMYALSLSGHHEVLASYLEMLLPGTYTRSERWSILALCNSAAGMDDSALNIIRNGFCNPEIMYSLAWENAMQRKLNAAVENATECLEMVMGGSVSAWKLLILVLSAQQNLQEAEAVADIAIDEAEKDDQLDILRLKAQIQASPKKEVWKSTTCSEVKSLQKLEMDAWLDLASIYTKLEAWHDSNICLDKARSIDFFYPKYWHVRVCSMLEGQSLHQEALMVFSFALSINPDYVPSMVSMAGILRNIGGNSLSNYCLR >KQL28243 pep chromosome:Setaria_italica_v2.0:I:4555519:4557339:-1 gene:SETIT_016685mg transcript:KQL28243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAALTSGGGRLTALLPHHHARIFSSSYSSSSTIASLFSDPTPPPDPAAAMQSAGVDLSHPDTVPALLLDPGLSGNYPAASRFFSWAASDPAAKAALNSRSFNSMLQLAAAHGDAERFWSLVASMRSRGYGISKPAFRAASESFRAKDMARDADLLQEAFAAHGRNAAAAEVCKVLRAPGKDDASKLAMLSESGVEVTDELVALVVEKVGQFPQQAMVFFRWVEQSAGAGISWDKVYNAMARVLGREDCIEEFREVLQKMRGKGLEMDRDVYVTVTDRFLKRKMVEDTVDLFRFMSSRPEKLSTDYFIFLLKKVVATGDLDLKLVTRVLRYYQHAGNEVKDSAFDSVLKSLRSVGRLGESGRVLKAMQEGGFEPDSAEHEKAVIAMCDAGNLEEARNYLTGVEESGHKLGPKIWSCLVQKYSLGENVDMAVSCFHEMLEKCGNENVGSALEALVSGLYKKKGAKEAFQVLKNLIAEKAVVPWQTTYKYLIHKLIRQGHLKQAFEVLGLMKSHGYPTFVDPFIPHISKSGTLDDALGLLNATSLRGLPSRIVYVRLFQALFKEERHEVAQQLLSQSPDSIQNHADVRDVFNRMKLEEPVTAALAEG >KQL28252 pep chromosome:Setaria_italica_v2.0:I:4580498:4580937:1 gene:SETIT_018714mg transcript:KQL28252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCLVRVSATLAIHLRVPAVKLRRRRRWRRLMVPGRLTPAAGAHDPSCCDATTGAWSGRELELDDLAARAHVPSCRLSLLSSFRVAHAETGYDITSLARAITCQNSQLLQRPIYGVRVSGH >KQL27779 pep chromosome:Setaria_italica_v2.0:I:615741:616872:-1 gene:SETIT_018089mg transcript:KQL27779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPVLAVVLLAVAWLSAAAAADGAATSTSSSPAPVGGWLKAHATFYGGADASGTMGGACGYGNLYSQGYGTRTAALSTALFNDGASCGQCYKIACDRKRANPMFCKPGVTVTVTATNFCPPNYGLPSDNGGWCNPPRPHFDMAQPAWEKIGVYSGGIIPVMYRRVPCLKRGGVRFTINGHDYFNLVLVANVAAAGSIKSMDVKSSSSDNWIPMARNWGANWHSLAYLTGQMLSFRVTDTDGQTLEFTNVVPKGWKFGQTFASKIQFK >KQL28919 pep chromosome:Setaria_italica_v2.0:I:8876998:8878776:-1 gene:SETIT_018140mg transcript:KQL28919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATSTFSPRPASLRPLRAGAKPQHHLHLLPSSRLRAGRRGSRLERAAAGEAPVEVAPPSEPEAEPAPAAASNGAAVEAPPAKAVEAVAAAAPVPVPAFRDARWVNGTWDLSKFEKGGVVDWDAVIDAEARRRKWLEDYPEATNTDEAVVFDTSVIPWWAWMKRFHLPEAEKLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLIRKNEDLDSLKKIIDETTFYDKQWQATWQDETTGGPKN >KQL28357 pep chromosome:Setaria_italica_v2.0:I:5342867:5348222:-1 gene:SETIT_016785mg transcript:KQL28357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELFGNLSSLSELDVSFNKLTGDLPNSIGSLSNLSSLYMQNNQFTGSVNVLRGLSSALTTLNIANNNFSGWIPKEFSSIPDLKLGGNSFANGPAPPPPPFMPPPPQRPRNRPKHPQGQGDAPKGSESPTIQSDKKQGLGTGPLVGIIAGSIVAVLCVLLLLVCCMRNARKRKDDASSESKDFVGPLTVNIERASSRETPEPNLEDTSIATVKLPPPEKMTPERVYGKNGSMRKTKVPITATPYTVASLQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSAALSLQEEDNFLEAVSSMSRLRHPNIVPLTGYCVEHGQRLLVYEYIGNGTLHDILHFSDEMSRKLTWNIRVRIALGTARALEYLHEVCLPSVVHRNFKSSNILLDEEHNPHLSDCGLAALTPNTERQVSTEVFGSFGYSAPEFAMSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQQLVRLMQRASIVRRQSGEELGFSYRAPEREGDMRDISF >KQL31524 pep chromosome:Setaria_italica_v2.0:I:38203189:38204635:1 gene:SETIT_017425mg transcript:KQL31524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLFHPAAAPLAVVSLLPPPCRLRLRLRVTLTRAGAAATSTAATPAPSTAPASTFAVEDYLVASCHLTPPQALKASKNLAHLKSASNADAVLAFLAGLGLSSKEVAALVASNPRVLCARIDRSLAPISAELRALGLSPSQIARLAQIGGRYFLCRSFVSKVRFWLPLFGSPERLLQASDWNYWLLTSDLEKVVEPNVAFLKQCGLSAVDISKLLVAAPRLVTMHPEYVQDAVRRATQLGVAPGSQMFRHALSTAGCIGQEKVDAKVAVLKETLGWSQEEVNLAVSKAPRILVASEERLRRNAEFLLNEVGLPPQYIARRSVLLMYSLERRIVPRHLVLKALKDMGLVEQDRCFFNVVAPTEEKFLEKFVAPYEESIPGLADAYESACSGKVPEGFQL >KQL31330 pep chromosome:Setaria_italica_v2.0:I:36938230:36943009:1 gene:SETIT_016428mg transcript:KQL31330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSNGVLAALNFITLLVSVALIGAGAYVLAQPATECQRLVRVPAMALGAAFLLLSLMAIAGACCRATPLLWAYVVAMLLILTGMFVATAFAFAVTNRGAAAAVSAAGYGGYRVGNYSDWLRDRVRDYETWRRIKSCIADAGVCGGGWVAGVQGGVNAGELYQRYLPLVQSGCCKPPAYCGFERVNATFWAAAAAGASTAVDCRAWSNDQRVLCLQCNACKAAVVTTAIHNWKAVAALNVAVLVLLCLSYLLGCCAIRNNSYRRLFFSHAHARLLSKRASSSPQSRAPLGRPAMKPQKNTPSSRKLSVAVATAMLVLLALAVVSLYDFNFADSYRYILRASISPSSAPSSTSTVPDTTSSPSTVPDNSSSSSASPTNYSSSASLATTVPVEACDLTRGQWVPDDEAPYYTNLTCPFIDDLQNCMKFGKPSLEFMRWRWQPDGCDLPRFDAARFLEAMRGKSMAFVGDSLARNHLKSLLCILSQVAQPVEVLTTAEIDVTGRAVRRDFHYGSHGFNVSLFWSPFLVKANLSNAEPGLGLWDMHLDTPDARWAAHISDFDYIVLSGTNWFFRPSVYREGGRVVGRNGGAAHDAATEMPVSGAVRAAFRTALGAIAAREGFHGKALVRTVTPAHFENGEWNTGGDCVRERPFRRGERDRDAVVAEFRAAQVDALRDTAATSKRKGAELRLLDITEAMELRPDGHPSRYGHPPGGSVEGSFVVDCLHWCLPGPVDLWSELLFQMLAAHQ >KQL29675 pep chromosome:Setaria_italica_v2.0:I:22858129:22861969:-1 gene:SETIT_017157mg transcript:KQL29675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTPVASAEEAAALRRRLRRLVAAVAAGSADAEAFDEAAEALAKLRDAELGPRKDRAGAGGGGGGVNKGGAEAAVVPEQFLCPISSEIMRDPVVLASGQTYDRRFIQEWLGAGNRTCPQTQQVLSNTILIPNHLVRSMISQWCTDNGITLPPVENQEEDLVTNNERKTFSKIFERIASSSNLSEQREAIKDLRLLTKCNSSLRAAIGEKPDSISQMISTVSNPELENNAEVLEDMVTTILNLSIHESNKKIIGDDPLAIPFLIRALQSGTMEARSNSAAAIFSLSALNSNKAKIGELGAMRPLVDLLEHGSMIAKKDAASAIFNLCMLHENKSRASKMTLKAIADDLLVDESLAILALLSGDHETVEEIGETGGVASMLRVIKEDQCKRNKENAAAVLFAVCMYDRTKLREVAEDENLNGSLAWLTQNGTSRARRKASGILDKMKRAVHHTHYSC >KQL30207 pep chromosome:Setaria_italica_v2.0:I:28886922:28887849:1 gene:SETIT_019847mg transcript:KQL30207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCARLAALLLLLVADTVASSRTEATSTSTATPSGAPGSAGAPDGVPSEPLPPCLEELLPCTAYLKTSKHPSPTCCTAMHNAAAAEMPCLCHLFADPKLLTIFNVTRDQMFRLPARCGLPVGCRAGASQDHDPVVEAPPPPAGTHQHHHGGASSRSSEFWSVWGVVASLVM >KQL30398 pep chromosome:Setaria_italica_v2.0:I:30285080:30285368:-1 gene:SETIT_019602mg transcript:KQL30398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRMRIFRINWWSWGNSSLRYYSLLLSFYFSLEAAVPEVLFFTLVILFFSGSCIPPFFFVPFASISYYS >KQL31672 pep chromosome:Setaria_italica_v2.0:I:39129717:39130695:1 gene:SETIT_018674mg transcript:KQL31672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAALSALPRAASRLAPCVRQPDFRALCAAAAAGEASKRRLVLYTKPGCCLCDGLKEKLHAASLLAGTPYSLASLELQERDITTNPEWERLYQYEIPVLAKVLHDGTEEILPRLSPRLSVELVQKKIYSAFDQ >KQL29101 pep chromosome:Setaria_italica_v2.0:I:10286761:10290053:1 gene:SETIT_016167mg transcript:KQL29101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGSRSRAVIPLLVLCVILLSRSENAAARRCGAGDLAALRGFSAGLDAAVDGWPIANASDDGCCDWPGVACDAAAGGGTAAVVGLVLPNRTLQGEVSASLAGLAALRVLNLSSNALRGAIPAALLRLRSLEVLDVSANALAGGLGAAAGVEIELPAVRVFNVSGNAFNGSHPVLAGAANLTEYDVSGNSFVGPVDAVALCGESPALRVLRLSMNRLSGAFPVGFGQCRSLTELSLDGNGIGGTLPDDLFGAASLQFLSLHTNAISGELSPRLRNLSSIVRLDLSFNAFSGPLPDVFDAFADLQELSAPSNKLSGELPTLSRCRRLRVLNLRNNSFAGDIGLDFRSLRSLAYLDLGVNSFTGPIPASLPKCRGMTALNLGRNKLTGEIPASFANFTSLSFLSLTGNTFSNVSSALRTLQSLPNLTSLVLTKNFHGGEEMPSDDAGIAGFPSIQVLVIANCELHGAIPSWIAGLRKLRVLDLSWNRLAGPIPPWIGQLDRLFYLDISNNSLQGEIPGSLTRMPGFIAAGTHGGGDDEDARVQDFPFFMRRNTSVQGRQYNQVDSFPPSLFLSHNNLTGGVPAALGALTKLHIVDLSWNKLSGSIPPELSGMTSLESLDLSHNSLYGVIPASLTQLSFISHFDVSHNNLSGEVPVGGQFSTFSRADFEGNPFLCGIHVARCARKDPPQADGGGGKERSATSAGVVAAISVGTALLLAVAAAVTWRVWSKRQEDNARVAADDGSGSLESAAKSTLVLLFPDDDGDGDGGERTMTVEDVMKATRNFDESRIVGCGGFGMVYRATLPDGREAAVKRLSGEFWQVEREFRAEVETLSRVRHRNLVPLQGYCRAGKDRLLIYPYMENGSLDHWLHVRQPGPGAAAALPWPARLGVARGAARGLAHLHASSEPRVLHRDIKSSNILLDARMEPRLADFGLARLVLPADTHVTTDLVGTLGYIPPEYGHSSVATYRGDVYSLGVVLLELVTGRRPVDMARPVGVGRDVTSWAVRMRREGRGEEVIDASVGEGRHREEAAKVLGVACACVSENPKARPTAQQVVEWLDAIAASAELVHPHRPATT >KQL31021 pep chromosome:Setaria_italica_v2.0:I:35083374:35084282:1 gene:SETIT_018661mg transcript:KQL31021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSDDQANCHDRRLCPMTLKDSQTPPMDARTLPVCPVPLILGSAPLFPTCGNFRWTEFSDRQEARDIAREPPRATIFATAYAGGRRHLHTRTAAPNQQIDRAEGTPRGPSPPRRRWTGSHEGESAQVRRGYFGKS >KQL27877 pep chromosome:Setaria_italica_v2.0:I:1369696:1372823:1 gene:SETIT_016203mg transcript:KQL27877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLNLLLLTLLLTPLFLTSSSDSVRPTDAELRPLLIIKRDWGNPTALSSWKNTSSNTTASSPFTHCEWAGVTCNDNGQVTALSFQNFNISNPIPASICSLKKLAYLDLSYNNLAGEFPAAALYGCSALHYLDLSNNLFSGVLPTDINGKLSMQMEHLNLSTNGFSGSVPSAIAGFPKLKSLILDTNSFNGTYPGSAIGSLTELETLTLASNPFSPGRIPHDFSKLKNLKMLWMSGMNLTGVIPYALSALTELTVLALYENNLEGAIPAFVWKLQKLEFVYLYANSFMGAIGPEFTAVNMQQLDLSGNSLSGVIPETIGNMKNLTLLCLYNNYFTGWIPSNIGLLPNLVDIRLFNNMLLGTLPPELGKHSPLGNLEVSNNYLTGVLGETLCFNKKLYNIVAFNNNFSGVFPAILGACDTLDNIMLQNNNFVGEFPEKIWSALPKLTSVMIQNNNLTGFLPSALSPKITRIEMGNNRFFGNIPESATGLRSFEAEKNLFSGGLPADMTMLANLTVLNLAGNQISGSIPTSIGALERLNSLNLSSNQITGEIPAGIGLLPVLIVLDLYNNKLSGSIPEDFNNNHFSFLNLSSNQLTGEVPTALQSPKYNNVFLDNPSLCAESNSGLPLPPCSRNSWRKIILSVAALFSFIAVCVGWSIYRRKKDRKDVTSWKMTPFHALDFTDHDILSNIREENLIGRGGSGKVYRIHLGSQKAAGKSADAAGGHSTVAVKKIGNAGKPDGDIDKEFEAEVASLGGLRHGNIINLLCCISGDDTKLLIYEYMENGSLDRWLHRRRRKIGPPLGWPTRLSIAMDVARGLSYHGFTRPVIHRDVKCSNILLDREFRAKIADFGLARILARAGESEPTSSVCGTFGYIAPEYVSRAKVSEKVDVYSFGVVLLELATGRGPQDGGTESGSCLAKWASKRCGNSNPCVDLIDGEIRDPAYLDDMVAVFELGVVCTGEDPSSRPPMNEVLNRLIQCGRSQMTLDDDDHYAKDLCGDDSFEFMV >KQL29030 pep chromosome:Setaria_italica_v2.0:I:9835141:9837762:1 gene:SETIT_019232mg transcript:KQL29030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKRAAAVSLSAASSPEASAMRRLRFCLPFLLLLVTVTIRALLSRFARRVSPPEPLPCGAAPTDATAGRWVPTPHPVPPPLYAASCPFHRNSYNCLRNGRPPLAALSWAPTRCGGAVVPRIDAAGFLAAARGRRVGLVGDSLSENLAVALLCALRSADPSARRWKRRGAWRGGYFPREDVTVAFHRAVLLAKYTWQPVESPEEVEKDGRKGIYRVDVDIPADEWINVTKFYDVLIVNTGHWWGTYKFPKETPLLFYRGGEPIEPPLSIHDGMKVVIKSMASYIDREMPSSRTLKLWRSQSPRHFDGGEWDHNGSCVTGRLLEEDELESWFDPRHGGVNKDARTVNMAIQEALAGSEFRLVNLTFMSEFRADGHPATWLGKKDAVAVYGQDCMHWCVPGVPDTWVDILAAQILHFFKHGKG >KQL27984 pep chromosome:Setaria_italica_v2.0:I:2286255:2294201:-1 gene:SETIT_016251mg transcript:KQL27984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECHVISLQLRRQNLSGVLPEEVLNLTYLRHLDLPRNFIQGPIPASWASLPVFNLSLQGNRISGRLPKELGRMPMLKSIVLESNQIEGPIPPELGNIISLERFFISANNITGELPPTFSRLTNMTDFRIDGTSISGKIPSFIKNWQRVNRIDMQGTLMSGPIPQEISLLKNLTELRVTDLSGPSMKFPPLQNALHLKELVLRNCSIYGEIPSYLGLMQYLKVLDISFNKLTGQIPINFQGMTALQYLYLTSNMLTGDLPDWMLKNRASNKKNMDISYNDFTGNPPSECQQANVNMVSSFSSSNDNSLQPCLRKNLPCMGKPRDSSLFINCGGKSVTVDGVTYKDDSSQIGTSTFVLSNDKKWAYSSTGDFVGNENADYIARNTSELTLAHPELYTEARLASLSLKYYGLCMDNGEYMVKLHFAEIVFTEDHTYSSNGKRVFDVFIQGAKVLGDFNIQDEAGGVRRAITKYFATNITENTLEIHLYWGGKGSTAIPYRGMYGPLISAISVTQTRRNHRGVSTGVVIAIIAVPCLAVILLLIACYFKIWKRKNVIGRQFCNQGRKTTISELQTRAQYFFTLKEIEHATKHFDPANKIGEGGFGPVYKGTLADGTAIAVKKLSSKSSQGNREFLNETGIIFTLRHPNLVRLFGCCIDGDQLLLIYEFLENNSLGRALFEHKLKLDWPTRYNICLGTAKGLVYLHEESTLKIIHRDIKPSNILLDEKLQPKISDFGLAKLNDDSGPVSTRIAGTVGYMAPEYATRGCLTRKADIYSYGVVTLEIVSGMSNTNSVSNEEYLHLLDWAEGLKQQGRLLEVVDRRLGSDYSQEQALRLLNVALLCTSTLPTQRPRMSSVVKMLCGQIPIEVSPDDADLIEDLHIAQPHHSLNNSQIDWSQIPSSDCSILLHDSKDSGCLPSSSSSSLKL >KQL27983 pep chromosome:Setaria_italica_v2.0:I:2286696:2294016:-1 gene:SETIT_016251mg transcript:KQL27983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECHVISLQLRRQNLSGVLPEEVLNLTYLRHLDLPRNFIQGPIPASWASLPVFNLSLQGNRISGRLPKELGRMPMLKSIVLESNQIEGPIPPELGNIISLERFFISANNITGELPPTFSRLTNMTDFRIDGTSISGKIPSFIKNWQRVNRIDMQGTLMSGPIPQEISLLKNLTELRVTDLSGPSMKFPPLQNALHLKELVLRNCSIYGEIPSYLGLMQYLKVLDISFNKLTGQIPINFQGMTALQYLYLTSNMLTGDLPDWMLKNRASNKKNMDISYNDFTGNPPSECQQANVNMVSSFSSSNDNSLQPCLRKNLPCMGKPRDSSLFINCGGKSVTVDGVTYKDDSSQIGTSTFVLSNDKKWAYSSTGDFVGNENADYIARNTSELTLAHPELYTEARLASLSLKYYGLCMDNGEYMVKLHFAEIVFTEDHTYSSNGKRVFDVFIQGAKVLGDFNIQDEAGGVRRAITKYFATNITENTLEIHLYWGGKGSTAIPYRGMYGPLISAISVTQTRRNHRGVSTGVVIAIIAVPCLAVILLLIACYFKIWKRKNVIGRQFCNQGRKTTISELQTRAQYFFTLKEIEHATKHFDPANKIGEGGFGPVYKGTLADGTAIAVKKLSSKSSQGNREFLNETGIIFTLRHPNLVRLFGCCIDGDQLLLIYEFLENNSLGRALFGRAEHKLKLDWPTRYNICLGTAKGLVYLHEESTLKIIHRDIKPSNILLDEKLQPKISDFGLAKLNDDSGPVSTRIAGTVGYMAPEYATRGCLTRKADIYSYGVVTLEIVSGMSNTNSVSNEEYLHLLDWAEGLKQQGRLLEVVDRRLGSDYSQEQALRLLNVALLCTSTLPTQRPRMSSVVKMLCGQIPIEVSPDDADLIEDLHIAQPHHSLNNSQIDWSQIPSSDCSILLHDSKDSGCLPSSSSSSLKL >KQL28238 pep chromosome:Setaria_italica_v2.0:I:4520151:4523329:-1 gene:SETIT_017578mg transcript:KQL28238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVDDVIDARGPAVAVEDGASGKPALPSLGVHGEEEHEGKGNEDNSGESEVINPPEEAGGEATSPPESRKPRLSNGNKGHGPKAVKSKSPRSGDEGQARRRTPNTSLPKAAVARVSNGDAGVGSNKAVKNESHPSSKDAALLDDSKEKSKTQKSSGQHSSIKRDEEESNCESTKPRKVGSTPSYGFTFKCDERSEKRREFYSKLEEKIHARELEISNLQAKSKENEEAELKMLRKSLNFKATPMPSFYKEPTPAKVELKKIPPTRAKSPKLGRSKNKSTSEAEENTTPDQPARLSLEEKVSQNGVKKSTPSHSAKKPQRKSLPRLPSEETGTLDAAASSSPARQLKSTKPAQETVSCRAATRS >KQL31567 pep chromosome:Setaria_italica_v2.0:I:38446581:38451470:-1 gene:SETIT_016589mg transcript:KQL31567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLYRGVSGIGGKPAAAVEGAYYDPKEPGENGIGGRGGARRRHLAAAAVRIGVLVLAAAALVGSVAWAGTLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGEVAGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGGGVVISYERQCTRDGRVACLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTFGAHLFERDLLTMCIANYEPSGSQVQITLERGIPAMIGSFATKQLPYPYLSFDMVHCAKCNIEWDKNDGIFLVEVNRLLRPDGYFVWTSNLNTHRALRDKENQKKWTAIRDFAESLCWEMLSQQDETIVWKKTNKRDCYTSRKSGPELCGHDLESPYYQPLNPCISGTRSQRWIPIEHRTTWPSQARQNSTELDIHGVHSEVFADDTSSWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLEKSHKHNRCSTLDIFLEVDRILRPEGWVIIRDTAPLIEAARSVVTQLRWDARILDLDIASDEKLLVCQKPFVRK >KQL31568 pep chromosome:Setaria_italica_v2.0:I:38447661:38451470:-1 gene:SETIT_016589mg transcript:KQL31568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPLYRGVSGIGGKPAAAVEGAYYDPKEPGENGIGGRGGARRRHLAAAAVRIGVLVLAAAALVGSVAWAGTLYAGRGAAARAAAAAAHRGYRRLQEQLVTDLLDIGEVAGGGVRAKEAEVCPPEYENYVPCYYNVTDAVDVSDLGGGVVISYERQCTRDGRVACLVAPPRSYRIPVRWPSGKGFIWKDNVRISGQEFSSGSLFKRMMVEEDQISFPSDAHMADGVEDYAHQIAEMIGLRNEFNFNEAGVRTVLDIECGFGTFGAHLFERDLLTMCIANYEPSGSQVQITLERGIPAMIGSFATKQLPYPYLSFDMVHCAKCNIEWDKNDGIFLVEVNRLLRPDGYFVWTSNLNTHRALRDKENQKKWTAIRDFAESLCWEMLSQQDETIVWKKTNKRDCYTSRKSGPELCGHDLESPYYQPLNPCISGTRSQRWIPIEHRTTWPSQARQNSTELDIHGVHSEVFADDTSSWDSMVRNYWSLLSPLIFSDHPKRPGDEDPQPPFNMLRNVLDMNAHFGGFNAALLKAGKSVWVMNVVPTNAPNYLPLIFDRGFIGVQHDWCEAFPTYPRTYDMVHADGLLSLEKSHKHNRCSTLDIFLEVDRILRPEVSNFLANYTDMGIDYSVANHVCNSHLEVVRCMCRITHKT >KQL27927 pep chromosome:Setaria_italica_v2.0:I:1779803:1783580:1 gene:SETIT_017677mg transcript:KQL27927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVEAAAAAAAAVEGPIRAEAKVAVAPAPEGEAKVEVEGDAPAAGEAEEEEEREYKSDMRKLEELMSKLNPCAEEFVPSSRRVPAPAPKPVGVLSADAPVFVSAAEYYGAAGGGSRDSSSDGSSNGSGNPLNRRRRNSFNQGRRRLGGRPRRADREDSVRRTVYVSDIDQHVTEQKLAEVFSNCGQVVDCRICGDPHSVLRFAFIEFADDAGARAALTLGGTMLGYYPVRVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVTEEDVKVFFQGTCGKVSRLRLLGDYVHSTCIAFVEFAQAESAIMALNFSGMVLGSLPIRVSPSKTPVRPRSPRVMSN >KQL30919 pep chromosome:Setaria_italica_v2.0:I:34387741:34389645:1 gene:SETIT_016794mg transcript:KQL30919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSCGAATVACRDAAGEEALLAASLLLPKAEVLVPVEDELTAPPVLTRKPRGRLARAVKEAWSVSLSVTFPMMPSMSAGAAGAEARSILGLALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYELLGVTTQRTVLMLLAAAVPIGGLWAHMRPLLLLCGQDAGIAAVAETYILASLPDLLLQAFLHPVRIYLRTQSINLPLTLCAALAIALHLPINYVLVSVLGLGIRGVALASVLANLNLLLFLLAYILFKGVHRRTGGFALSAESFRGWGELAGLALPSCVSVCLEWWWYEIMILLCGLLADPQATVASMGILIQTTSLIYIFPSSLGFGVSTRVSNELGANRPDQAGRAATAGLMLGFAFGGVASAFAWLVRGAWATMFTADPAIVALTASVLPILGACELGNCPQTAGCGVLRGSARPKDAASINLRSFYLVGTPVALVLAFWYHYDFQGLWLGLLAAQAACVVRMLLVIGRTDWAAEAKRAQQLAGAAGAVETKEGMEVGGDDKPGVTIDVVIERPKDQC >KQL28051 pep chromosome:Setaria_italica_v2.0:I:2940899:2942173:1 gene:SETIT_020130mg transcript:KQL28051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLLQSQVGAAPLPAATRPRIGRKNRLPCARASVRGGVRQLAVSIDELRLARDAVVAGGAEDHAVNGHAPAGGKAAEKLRAVAEAAADRAEMHDIIGRQRDNWNHLLLHSTNSLTLAASVMAALAPAAPSMVALKASAGVLLATAAVTMAAVNKIQPSQLAEEQRNATRLWRQLERDVRAALDGHGATPAATEAYVQDAMDRVLALDAAYPLPLLPGMLDKFPKTLEPTRWWPKRQVKNSKPANNGTRRGATAGNGWTQDLEDEMRGIVRVLRAKDEQEYVTAGKRVLAVNRVLAVAGPALAGAGAAAAAFAGAAGEAGSWASGAAVLCGALAAAANTVEHGGQLGMLFELCRNVSGFYRKVQEDIEACLDEADVERRENGEVFEAKVALLLGRSTSDLRQFREMALPSFKDEDMKDFAGKLF >KQL30188 pep chromosome:Setaria_italica_v2.0:I:28742114:28743921:1 gene:SETIT_016991mg transcript:KQL30188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATPPATGEKPQPHAVCLPFPAQGHITPMLKLAKVLHGRGFHVTFVNTEYNHRRLVRSRGAAAVAGLPGFRFAAIPDGLPASDADATQDPAAISHATKHHCPPHFRSLLAGLGGGGGAVACVVADNLMSFAVDAAGELRVPCALFWTASACGYMGYRNFRPLIDRGIIPLKDEEQLTNGFMDMPVDWARGMSKHMRLKDFPTFLRTTDPDDVLMTFQLHEVERSESAAAVIVNSFDELERPALDAMRAIIPAVYTIGPLASVAEQAVVPTGGPVDAISSSLWREDPTCLAWLEGREPRSVVYVNFGSVTVMSGEELAEFAWGLAGSGHDFLWIVRPDVVRGAGTASAAAAAALPPGFAEATRGRGLLASWCDQEAVLRHGAVGLFLTHSGWNSTMESLSAGVPMLCWPFFAEQQTNCRYKCAEWGVGMEVGDDVRREAVAARIREAMGGSEKGREMKRQAAEWKEAAARAAVESLANLDSLIDGVLLSGMGGRLATR >KQL29297 pep chromosome:Setaria_italica_v2.0:I:12913967:12915647:-1 gene:SETIT_019138mg transcript:KQL29297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FCPDVDNDGWFDYHREDPWSCGLTVGTYGATDDTKHQAQNPSSTSCSPDEAMHAISHKEAVDDLEFDKEIVDLLGEDITDEEAKIYLCQMTLERSPAQFWVSRNLGDYQEAEPDELDEVNRSLALHRIRAHEPSDYNSWDEYRKIFSDYASDKEYVEYYKEMSKKMKWLKDYIGHLHSESRKWRKLYNKTFIQALKIATGYPHIFPFL >KQL31911 pep chromosome:Setaria_italica_v2.0:I:40391500:40392549:1 gene:SETIT_019883mg transcript:KQL31911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIIRGKKLLALAISLLAVLALLLQPCAAARPISQTGTIDGSRSLHLPLRGSLLRGPESVAFDGDGAGPYSGVSDGRVLKWNGPARGWSTYAYSPGYNAKACTASRTRPAEVTESKCGRPLGLRFHYGSGNLYIADAYKGLMRVGPGGGEATVLVTKADGVPLRFTNGVDVDQVTGEVFFTDSSMNYPRSQHERVTATGDSTGRLMKYDPNTNRVTVLQSGITYPNGIAISADRTHLVVALTGPCKLMRYWIKGTKMGTSEPLADLPGYPDNVRSDGKGGFWVALHREKMELPFGPDSHLLAVRINADGKVIQVMRGPKSVRPTEVMEREGGELYMGSVELPYVAVVSE >KQL30380 pep chromosome:Setaria_italica_v2.0:I:30215386:30216642:-1 gene:SETIT_020101mg transcript:KQL30380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGGMKPLYSAPGHLRGVLARDPSVCLAAVEVFSFSVPTRKRTKRTRNHVEAEAEVLTVGGEKPVDPALDRLHKRMALELEALRELLRKAELIPRRPACKGSAAPAGGKSKRLLAPEPRMEAGGKTPSLKKRKVSPLLEQKHDQKQRKAPRMSPDEREQLAGRLASLAAVPDQIVEFLQQQFGSDADPQGEIEIDIHSVEESVLFELKARLDKLAEERLAADIVVPEQGDEDVDICAGSDSDSDSSSSSSDSDESSSGSGSGSESDSDEGVDGPAPPAVLPGENGAPVQPPREPAPEAAQSTNPMSVSGDGGGCTAPPALLPETAQPPPHRASEVAQSAEPKKKVQDVQRAAAPKAAVCLPSVLFRAKVRRELLKMERAVPPDESINQRDLRRLCIAEYGRPSVMRQLGLFLIKADA >KQL28675 pep chromosome:Setaria_italica_v2.0:I:7410560:7411267:1 gene:SETIT_019130mg transcript:KQL28675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASVPSSPCSSGTNVKEQIQILKATVSTPSATIETIIDGLSKLGSIYSCIDELICFPSSQRQQRKTVEEELERSLVLLDLCNAVQESFAELRTSVQEMQLGLKRGEDVTVQAKAQSFARLVKKVQKQLKKINSKVVLDTDSCRVVKLLSEAREIALLMLESTLYLLSKEVLMPSASKWSLVSKAFQKKRVACKEEQLQVLELEIVDLESELQIVFRRLIQCRVSLLNTLS >KQL28212 pep chromosome:Setaria_italica_v2.0:I:4328947:4332366:1 gene:SETIT_018013mg transcript:KQL28212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASARRLSAAASSSSSSTRVSQLAAALNPQRWMHDRNKKAMELIAKGWSALQEVDRVIDYADHNDKRLIPLLRGAKENFELALEIDNDNTHARYWLGKMHFKYHVPGACKAVGAALLVEAANMGDPDAQYELGCRLRIENDHVQSDQQAFHYIEQAVDQLHPGALYLLGAVYLTGDCVKRDIASAMWCFHRASEKGHAGAAIAYGSLLLKGAEVPEVITRFNSGKSPSTGKMRKRTAQQDPIKLAKEQFQIAAEAGCDLGLRWLKRLEDFEIQEEKLKQIQQ >KQL28703 pep chromosome:Setaria_italica_v2.0:I:7595731:7599231:1 gene:SETIT_016170mg transcript:KQL28703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLHFPYKKCSRRLPIPFLGLALLLLICMATPTSSCTEQEKASLLQFLAGLSNDAGLAKSWQGGTDCCKWEGVTCNRNRTVIEVSLASRGLEGRITPSLSNLTGLQHLNLSYNLLSGGLPRELLSSSSIVILDVSFNQLNGELHKLPSSTPGQPLQVINISSNMFTGQFTSTTWKAMENLVALNASNNSFTGQIPTHICNISPSFAVLDLCYNQFSGTVPPGLGNCSMLRVLKAGHNNLTGTLPDELFNATSLEYLSFPNNNLQGVLDGTRIINVRNLSTLDLGGNNFIGDIPDYIGQLKGLEELHLDYNNMSGELPSSLGSCANLITIDLKNNNFSGELTKVNFSNFPNLKTLDVMRNKFSGEIPESIYSCHKLTALRLSSNNFHGQLSKELSHLKSLSFLSLTGNSFTNLTNALQILKSSKNLTTLLIGNNFMHETMPDDDGIDGFVNLQVLSISECSLLGKIPHWLSKLRNLEMLFLHSNQLTGTIPDWISSLNFLFYVDISNNNLTGEIPTALTDMPMLKSEKTAASLDPRVFQLPIYVDTSFQYRKANAFQKVLNLGNNNFIGVIPPEIGLLKELLLLNLSFNTLHGDIPQSICNLTNLLVLDLSSNHLTGRIPTMLNNLHFLSKFNVSFNDLEGPVPTTGQFSTFTNASFDGNPKLCGPTLVHHCNSVGEHFTSKKQHNKKLMFVLAFAIIFGGIAILFLLVRLLVLFRGTSFRTKNRSTDDNDIGTLSFNSNSEHSLVMMPGSKGNENKLTLTDIVKATNNFGKENIIGCGGYGLVFKAELPDGSKLAIKKLNSEMCLMEREFTAEVEALSMAQHENLVPLWGYCIYGNSSFLIYSFLENGSLDDWLHNRDDNPGTFLDWPVRLKIAQGASRGLSYIHDVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYIPPEYGQGWVATLKGDIYSFGVVLLELLTGRRPVSVLSTSQELVPWVLEMRSQGKQIEVLDPALRGTGQEEQMLKMLEVACKCVNHIPSKRPPVMEVVSYLESIDGGLQHKSLSTNDNIVVQCI >KQL28274 pep chromosome:Setaria_italica_v2.0:I:4725106:4729092:1 gene:SETIT_020647mg transcript:KQL28274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRSACISPVDYLIWHVLHIQIEVTVVWPCAICRSICTAWMFEHTLVASNISSPCKLKWHNS >KQL28158 pep chromosome:Setaria_italica_v2.0:I:3881651:3884672:-1 gene:SETIT_017298mg transcript:KQL28158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKAIQIEHMKECLSSVEEVFGNDTSTNGDFIVINFFALEGWHLAELFQVKCIVAAPYFVPYSAPTTFERQFKHNFPLLYKYFQEAPTNTVCWKDIIHWMWALFTESWGSWRENCLNLSAIPFTDPVTNLPLWHVREESPLLLYGFSKEIVECPGYWPSGAHACGFWFLPMAWQFSCDKCMELSGNTNPSFGGVLCANHSSLEHFLIGNSYSSGPIFVGLSSIGSMGFLRNPKAFLMVLKVAIELTDYRFILFSSGYQPLDSAIQGIASSITKSSEAETPCDDSTLLFNGRLFCFSGSIPYSWLFPRCTAAIHHAGRYTQLKNISQRPSG >KQL28157 pep chromosome:Setaria_italica_v2.0:I:3880103:3884672:-1 gene:SETIT_017298mg transcript:KQL28157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKAIQIEHMKECLSSVEEVFGNDTSTNGDFIVINFFALEGWHLAELFQVKCIVAAPYFVPYSAPTTFERQFKHNFPLLYKYFQEAPTNTVCWKDIIHWMWALFTESWGSWRENCLNLSAIPFTDPVTNLPLWHVREESPLLLYGFSKEIVECPGYWPSGAHACGFWFLPMAWQFSCDKCMELSGNTNPSFGGVLCANHSSLEHFLIGNSYSSGPIFVGLSSIGSMGFLRNPKAFLMVLKVAIELTDYRFILFSSGYQPLDSAIQGIASSITKSSEAETPCDDSTLLFNGRLFCFSGSIPYSWLFPRCTAAIHHAGSGSTAAALLAGIPQLVCPFLLDQFYWAERLHWLGVAPEPLQRQHLIPNNDDALSIHNAADVLLGAIRSALSPEIKAQATRIAHKLSYEDGVGEAIKIFKERVLTQNKT >KQL31826 pep chromosome:Setaria_italica_v2.0:I:39961315:39961953:1 gene:SETIT_019343mg transcript:KQL31826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSSLRCCAVPSATPHSTSEAIVSGGRSGSGEMVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSNDTHYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >KQL32063 pep chromosome:Setaria_italica_v2.0:I:41164402:41164995:-1 gene:SETIT_018112mg transcript:KQL32063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVELGVTHVPREVFEDYLRDIAPRFTAETYRLLTHNCNNFSNEVAQFLVGAGIPEYILNLPSEVMSSPMGPLIMPMIQNLESTLRNNAAPQATQFVPTPASVSVPSAPDKAAVKREEPAPPPAAAEASKEAAAPASAPAPAADPLGSARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDGATMQQG >KQL32065 pep chromosome:Setaria_italica_v2.0:I:41163992:41165596:-1 gene:SETIT_018112mg transcript:KQL32065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGYKVTLNVYDLSNGLARQLSTSFLGKPIEAIWHTGVVVYGNEYFFGGGIQSTPAGSTPYGRPMRVVELGVTHVPREVFEDYLRDIAPRFTAETYRLLTHNCNNFSNEVAQFLVGAGIPEYILNLPSEVMSSPMGPLIMPMIQNLESTLRNNAAPQATQFVPTPASVSVPSAPDKAAVKREEPAPPPAAAEASKEAAAPASAPAPAADPLGSARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDGATMQQG >KQL32064 pep chromosome:Setaria_italica_v2.0:I:41163992:41165596:-1 gene:SETIT_018112mg transcript:KQL32064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVELGVTHVPREVFEDYLRDIAPRFTAETYRLLTHNCNNFSNEVAQFLVGAGIPEYILNLPSEVMSSPMGPLIMPMIQNLESTLRNNAAPQATQFVPTPASVSVPSAPDKAAVKREEPAPPPAAAEASKEAAAPASAPAPAADPLGSARGKVQEEVMREFAAIMASGTLRASEAAALAMRRVMERHGDGATMQQG >KQL32017 pep chromosome:Setaria_italica_v2.0:I:40880791:40883824:-1 gene:SETIT_016255mg transcript:KQL32017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTRAHIVRNDSLDGMLGDYVGGGKGGNKPSRGGGARNSTRLVATLTCLQLAFAIYATFLLYYMSPAVDMRVKPDLAWATRLAQHWKQLIATQPGDAPPLSPQEVCEHESIDFEQKKSTDEVMIRLKRELYDEVLAFQRLSFGAETLPELLRMRSRWSASGPNVPRVTVILNHFKRRTLCAQLDQLRRQTLPFHRAWVLSFGSPNEASLRRIVESYNDSRVSFVASGYDFKYYGRFQMALQSESDFVYVLDDDMIPGARMLEILCHVGGTEKYGNAVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDRIVQVDFLSSSWFLPGELVKTLFIETPFTFMTGEDLHLSYQLQKYMGAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDEQWWRALTSGYVTQWAAMHPQKVDALFYAHSLGEVRALAPLLERFRTTPGRKAYLVVSGGGHCPCEEAATVLKWPKVVCKDRRFKIFDLGLGALSGPSRSEVPVLQAVYASMRGIVQMHNPSVVVALADVDAKVKDALRMAADAAVNRTALVLLPRTAVSKVLWMATLKPAALPNWNRMRISVNIITQNRAKSLLRLLTSLRSAYYVGDEVPISFNMDSRVDASTLKVVNSFDWPHGPKTLRRRIIQGGLIRAVSESWYPASDDDYGLLLEDDIEVSPYYYLWVKYALLAYRYDPAVALPELSSISLYTPRLVEVVKERPKWNATEFFRKVHPNTPYLHQLPCSWGAVFFPKHWREFYAYMAARFTEDAKKNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQTSFSTNHMEPGAHISAKDNMLKHDKTDFEVPLVADDFSPLLPQGKMPPASKLPVVNLFNQAVSLKGLKSAGAKLGQDVLTCATKELVAVDNITGLPTNCTAF >KQL29214 pep chromosome:Setaria_italica_v2.0:I:11590981:11594911:1 gene:SETIT_018138mg transcript:KQL29214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLDIYYIYIMCNKNYVLRKTKFEIEGPSKNLDLAKICCKIIWFESSGSDDDAQLNTKPYLSRAHWNETQPRLLSIQPRPKSRAATKSPGSEAAAAARARGRGRRRRRRRRQGGEMLKFLSKVVVEYCPLDPRKAAAVELLAQCNGRKAKDSNPACSVELRRLPGPPPTEDPKSQPPLPPPRVLVTYLNGAEEAIVAAEGATAQGIRDQILARGRLIDTEQMFRDGGEKWPVLIPEEELGMSFPGIKPKKAEDKPQA >KQL28329 pep chromosome:Setaria_italica_v2.0:I:5107069:5110876:1 gene:SETIT_016184mg transcript:KQL28329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAGAVGSVIGKLGELLQAEYKLQKGLPQQIESLKHELESAQTALSKVGEVPPEQLDPQVRLWAREVREASYDMEDILDTFLIKVADPAEMDGLLKRLQKKMSKLFNKSKARHTIAGTIEDMKKRLQEVADRRDRFSVALSQPALPTKPDPRLAEMHKEAAQLIGIEESKAELTAMLLPTPHGNGDSDISGSNNKMKIVSVVGVGGLGKSTLAKAVYDEFRPRYDCGAFLSVGRKPDLTQVLKEIFLLLNEKEYKAIRDVKNESLLIGKLRKFLQNKRYFIVIDDVWDIPTWKTIKTALVDNDSGSRVITTTRNRDVASMEEVYKLRTLSLDHSKKLFKTRLFGVNGEYPANHPAEASEKILKKCGGVPLAIITMASLLVGKSREDWFDVCNAPGFYRGKVNQQVDDTEWILSLSYYDLPSYLRTCLLYLSVYPEDYEIEKDSLIWKWIAEGFVEKKRGTSLFQQGEEYFNQLVNRSMIQVVGSDYMGTSVCRVHDMVLDLISDLSNIENFVTISSNDNEGISPHQNKVRRLAHQNRIMKQTQHDDHMAQVRSLVACRCNIESWVLHPSFKLMRVLDLEGCRFELWEGWQGLKHLGNLLHLRYLGLRHTYGIHELPEEIGKLKFLQTLDLEYSSIGVLPSGVCQLTQLICLRGNFDTCAPDGSFLRKVTSLEYLSICINNLDEESQRQFMKDVGNLSKVRVLNIIINSLEGMVLSDLVHSLGNLHKLQHLELTGYNYEAAMREWDRVVLSQHLRHLDLGPILLRQLPSWISPTHLPVLRYLSLCVDHMDESSLRNLGELPELRFLWLSTDRPSMSCTVTIANINTMVDGFFKKLICCWLDGWMVQLVLSEDSTGVSFSIWNGMDAIAFGSKTKDEYNRSIAPPPVMPNLQELSYDVPVRALYKDGNGSCGDVRLSCLPSLLSVKIYVDCEDASADDVEKAEAELRDAVQLHPNRPIISLERCKQSTYQDGKESNDGDNVRNMATARSTTASKVIAKAAGRISRRHREFECRTCGVCFATIKALGGHRTRHFNQIL >KQL30914 pep chromosome:Setaria_italica_v2.0:I:34351147:34355243:-1 gene:SETIT_016602mg transcript:KQL30914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRSAATKLHIPPSAARRPTFLPFVAVLLLCSASYLLGVWQHGGFASPSESPAVSIATAVACTTTAAPRKKTSSARSRTQSRPLDFSAHHAAAADEAVAAAAASSGSSSSSSSSAAPRRYPACPVKYSEYTPCEDVERSLRYPRDRLVYRERHCPASERERLRCLVPAPRGYRTPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKLRFPGGGTMFPNGADAYIDDIGKLIPLHDGSIRTALDTGCGVASWGAYLLSRDILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARAFDMAHCSRCLIPWQLYDGLYLMEVDRVLRPGGYWILSGPPINWKKYWKGWERTKEDLNAEQQAIEAVARTLCWTKVKEAGDIAVWQKPYNHANCKAPFCSRNKNPDAAWYDKMEACVTPLPEVSGASDVAGGAVKKWPQRLTAVPPRVSRGGVRGVTAKSFAQDTELWRKRVRHYKLVIRQFEQKGRYRNVLDMNARLGGFAAALAAAGDPLWVMNMVPTLRNTTTLGAIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYNNRCEMDRILLEMDRILRPEGTVIIRDDVDMLVKVKSVADGIRWDSQIVDHEDGPLVREKILLVVKRYWTAQDQGQ >KQL32062 pep chromosome:Setaria_italica_v2.0:I:41163061:41163841:1 gene:SETIT_018267mg transcript:KQL32062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVAMSCRLVFPGGRDGDDGGSRVFSDASFGLVFPGGGTASGQDSRRRFVLCLLDGMELLCFPLGSFFFRVASSAAAADLVSGWVALVLHGGLMRTVRFLCCCCSLGKCQNCVLERTGGGEKGLLRLGSLTADVRLPRTSGGLPLCVRFFVWMRGNDGGGAFWSAAMQFEEQHRQSFPRKKKKGFVVISQSRGALLEKGIHLYLFLFSFNMTLSLLAKKKTFFLFHHH >KQL28748 pep chromosome:Setaria_italica_v2.0:I:7872921:7883108:-1 gene:SETIT_016058mg transcript:KQL28748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRGGGRGGGGRGGGGGGRGGGGGGGGGGGGGGNSSRTDLLAAGRKKLQQFRKKKGKREPGKKAAEADADAEAEEGAAKAEESTVPEPKSPVGLKFLAGVGGSSTPFEEAETSQAEQCNGEGPGAVESGSVENADAVREQETAADGSDAHNAGTSEQGVSEQHESQAADGEDLAIQATSGDGSGDLVEGAQLGEDNMELDASSERDGDGDDCNQLGEHQQVEMEPVEKPTSSDSEEVAEVPIPSQDTGADNNNDEGAQEMLTDVSRIPLDGDIQHDIEPTVSAEIVAATALEEELTVAASHEIPESTAGRGTEEETDGVGREAVEENPSTTHVTDEDLSVQAKPTGAVDTPLCEQDGDPALFRSVVLQGIVPDHFEDIQRHLYSATLSRDFLQLQLDEVAGHYSDVTQRSSDEITKLQVLLKETEESNLAVSKELHQCRHELSEVSTVKGDLELIMASLKEEINTSNLRCTRLESELHSSEENTKQMQSELADNRLLLEALQKENLELSASLASEKEAKKAAEEQQDHLSSDNRKLLSELSGLELNLASMKEEMDAGSSRCEVLEKELRSSNENLEHTLTELANYRALLESLQKDNLELSANFVSEKEAKKKLEEDNVDLCNEKGRLSSDLSELNDKLNLSYAKHKQLESHVKDTETYFEQLTEQLIEENLYASSSADVYQSAIKDLHAKYNVVLGQFQNVVHQESDLHLDPPKVNTENAERAITRPVLVGHGNHQCTPNLANTNDSCNSTALQLLKGHLEVSKGDLHDLEKLLERISSRSDGRVLVSKLIKSFEPKGNEDDTGLTEGEHDELRKSTREMIRRLGEKFMAMSSDITKTEEYVAELCNKIELSVKSTAQHDIDRQQTVVLAAKMDELAGKLSNYKETIDNLHNQVATVQQDANSNAERLIDQAELLQKDAVERISILEKERVSLSDLLIEVTNRLTSLGCTMFPNDSSESEDLSFRTLSCVDLVATSFQSLQEKLEAAQIDNAQLNSSLVELRKANCVAQERSEQAFETVKKLYDSLQELLCDSLKNSNEFGGGDSAEEPIESQYGRLIEHLKNLLHDHHTMLSTNADLESRLLSKCEEVEELNMRYSSLTKNLNDVCVMNEELKSASLSKNATQDELHSRCLAVAEKLVSHSVNHSSAGVQLISDSGEGFNKEDHILTTLLPCIEDGVASCIEKFENAAEEIRLSKICLQDINIFDQISFDKWSYPLPTLIKEEILPKLSDLQDRINQLNALNIQLETEVPVLRDGMKKLDEALGTSRTELQKKVSELEQFDQKLTSVKEKLSIAVAKGKGLIVQRDSLKQSLLEKSGEVEKLTQELQLKETLLKELEAKLKSYTEADRIEALESELSYIRNSATALRDSFLLKDSVLQRIEEVLEDLDLPEQFHSRDIVEKIELLSKMAVGTSFTLPDGDKRSSVDGHSESGVAMDVINDEQNSNSNPASDELKSKYEELHRKFYELAEHNNMLEQSLVERNSLIQKWEEVLGQISIPPQFRMLEAEDKIEWLGNRLLEVEQERDSLQLKIEHLEDSSEMLIADLEESHKRISELSAEVVAIKAEKDFFSQSLEKLRFEFLGLSEKAVQDEFVRDNLRKDLSELQEKLAEKTEESRHYHEMDTEIHKLLNLVQNTLQDGSDSEISSGDTSAVLCLGKLLRKLLDDYGTLLSKSTEGNFTERDIQLEDIKPSNDASTLVTGTSDKEIELNSLNNELDYARNNLALVEQQRNEAVEKTQSLMLEIETLHAQAQINKLQESDAEQMQKYQSLVLELESVGKQRDNLQEQLNQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTIEEMNAVIEKLKNERKQHIESLETEKSSLMDRLAENEKSLHETNQYLSGLLNALNKVDIAREFDTDPVTKVEKIAKFCLDLQETVVSSQNEVKKSKRATELLLAELNEAHERADNLQEELFKAEAALSESYKQYSVTESARADAVRHLEHVMHAQSQTRRKQLDHLMELNSTSSQLREVCFELSHHLVNAFSKDVDLICYMENFMKSSGKWIDGTNMMDVPIASKHVLSNRINSKKAHIPNAPLEIKMDDTDERQILHHLAIACRALSECVKDCNDLKRSIDEHGFSVEQKATELFDVMSNLQNRLTSQQNELESLRAKFVELQLEMKGRDEEIVSERRNMSLLYEACTSSVAEIEGMTDIYPGNQSYAVDHSADERIKSLVEQLVLAVKTSRNSNEGSTKELKATVLELQQELQAKDIQISTISSELSYQLRAAESSAKQLSVELEGAKMEVHNLAKQVDMLHNENKALETQVNELKNMESMASEQHGRIKELTDELSRKDQEIEGLMQALDEEEKELEVMENKSHELEQMLQEKEFALKSAEVSRTKALAKLATTVDKFDELHSLSENLLAEVENLQSQLQERDSEISFLRQEVTRSTNELLTTEESNKKYSSQINDFIKWLETALLQFGVHCDYDGTPVPVYMEMLSKKIGSLISESDDLRVVVQSKDSLLQVERTKMEELMRKSDALEASLSQKDSQIGLLRRDRASSQLNRSINLPGTSEIEQMNDKSPAVVTQLRGARKVNNDQIAIDVEMDKDKQLDDEDDDKAHGFKSLTMSRFVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGILIYWIALHALLASFI >KQL28747 pep chromosome:Setaria_italica_v2.0:I:7874048:7883108:-1 gene:SETIT_016058mg transcript:KQL28747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRGGGRGGGGRGGGGGGRGGGGGGGGGGGGGGNSSRTDLLAAGRKKLQQFRKKKGKREPGKKAAEADADAEAEEGAAKAEESTVPEPKSPVGLKFLAGVGGSSTPFEEAETSQAEQCNGEGPGAVESGSVENADAVREQETAADGSDAHNAGTSEQGVSEQHESQAADGEDLAIQATSGDGSGDLVEGAQLGEDNMELDASSERDGDGDDCNQLGEHQQVEMEPVEKPTSSDSEEVAEVPIPSQDTGADNNNDEGAQEMLTDVSRIPLDGDIQHDIEPTVSAEIVAATALEEELTVAASHEIPESTAGRGTEEETDGVGREAVEENPSTTHVTDEDLSVQAKPTGAVDTPLCEQDGDPALFRSVVLQGIVPDHFEDIQRHLYSATLSRDFLQLQLDEVAGHYSDVTQRSSDEITKLQVLLKETEESNLAVSKELHQCRHELSEVSTVKGDLELIMASLKEEINTSNLRCTRLESELHSSEENTKQMQSELADNRLLLEALQKENLELSASLASEKEAKKAAEEQQDHLSSDNRKLLSELSGLELNLASMKEEMDAGSSRCEVLEKELRSSNENLEHTLTELANYRALLESLQKDNLELSANFVSEKEAKKKLEEDNVDLCNEKGRLSSDLSELNDKLNLSYAKHKQLESHVKDTETYFEQLTEQLIEENLYASSSADVYQSAIKDLHAKYNVVLGQFQNVVHQESDLHLDPPKVNTENAERAITRPVLVGHGNHQCTPNLANTNDSCNSTALQLLKGHLEVSKGDLHDLEKLLERISSRSDGRVLVSKLIKSFEPKGNEDDTGLTEGEHDELRKSTREMIRRLGEKFMAMSSDITKTEEYVAELCNKIELSVKSTAQHDIDRQQTVVLAAKMDELAGKLSNYKETIDNLHNQVATVQQDANSNAERLIDQAELLQKDAVERISILEKERVSLSDLLIEVTNRLTSLGCTMFPNDSSESEDLSFRTLSCVDLVATSFQSLQEKLEAAQIDNAQLNSSLVELRKANCVAQERSEQAFETVKKLYDSLQELLCDSLKNSNEFGGGDSAEEPIESQYGRLIEHLKNLLHDHHTMLSTNADLESRLLSKCEEVEELNMRYSSLTKNLNDVCVMNEELKSASLSKNATQDELHSRCLAVAEKLVSHSVNHSSAGVQLISDSGEGFNKEDHILTTLLPCIEDGVASCIEKFENAAEEIRLSKICLQDINIFDQISFDKWSYPLPTLIKEEILPKLSDLQDRINQLNALNIQLETEVPVLRDGMKKLDEALGTSRTELQKKVSELEQFDQKLTSVKEKLSIAVAKGKGLIVQRDSLKQSLLEKSGEVEKLTQELQLKETLLKELEAKLKSYTEADRIEALESELSYIRNSATALRDSFLLKDSVLQRIEEVLEDLDLPEQFHSRDIVEKIELLSKMAVGTSFTLPDGDKRSSVDGHSESGVAMDVINDEQNSNSNPASDELKSKYEELHRKFYELAEHNNMLEQSLVERNSLIQKWEEVLGQISIPPQFRMLEAEDKIEWLGNRLLEVEQERDSLQLKIEHLEDSSEMLIADLEESHKRISELSAEVVAIKAEKDFFSQSLEKLRFEFLGLSEKAVQDEFVRDNLRKDLSELQEKLAEKTEESRHYHEMDTEIHKLLNLVQNTLQDGSDSEISSGDTSAVLCLGKLLRKLLDDYGTLLSKSTEGNFTERDIQLEDIKPSNDASTLVTGTSDKEIELNSLNNELDYARNNLALVEQQRNEAVEKTQSLMLEIETLHAQAQINKLQESDAEQMQKYQSLVLELESVGKQRDNLQEQLNQEEQKCTSLREKLNVAVRKGKGLVQHRDSLKQTIEEMNAVIEKLKNERKQHIESLETEKSSLMDRLAENEKSLHETNQYLSGLLNALNKVDIAREFDTDPVTKVEKIAKFCLDLQETVVSSQNEVKKSKRATELLLAELNEAHERADNLQEELFKAEAALSESYKQYSVTESARADAVRHLEHVMHAQSQTRRKQLDHLMELNSTSSQLREVCFELSHHLVNAFSKDVDLICYMENFMKSSGKWIDGTNMMDVPIASKHVLSNRINSKKAHIPNAPLEIKMDDTDERQILHHLAIACRALSECVKDCNDLKRSIDEHGFSVEQKATELFDVMSNLQNRLTSQQNELESLRAKFVELQLEMKGRDEEIVSERRNMSLLYEACTSSVAEIEGMTDIYPGNQSYAVDHSADERIKSLVEQLVLAVKTSRNSNEGSTKELKATVLELQQELQAKDIQISTISSELSYQLRAAESSAKQLSVELEGAKMEVHNLAKQVDMLHNENKALETQVNELKNMESMASEQHGRIKELTDELSRKDQEIEGLMQALDEEEKELEVMENKSHELEQMLQEKEFALKSAEVSRTKALAKLATTVDKFDELHSLSENLLAEVENLQSQLQERDSEISFLRQEVTRSTNELLTTEESNKKYSSQINDFIKWLETALLQFGVHCDYDGTPVPVYMEMLSKKIGSLISESDDLRVVVQSKDSLLQVERTKMEELMRKSDALEASLSQKDSQIGLLRRDRASSQLNRSINLPGTSEIEQMNDKSPAVVTQLRGARKVNNDQIAIDVEMDKDKQLDDEDDDKAHGFKSLTMSRFVPKFTRPISDRIDGMWVSGDRLLMRQPTLRLGILIYWIALHALLASFI >KQL27739 pep chromosome:Setaria_italica_v2.0:I:328769:329544:-1 gene:SETIT_019264mg transcript:KQL27739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLIGGNIAKAPSRSRHRSLREKQVTVGKYLLAMEPDLHVVDPPGVSCLTMVRPHPSDPIPQYGRHLESGFVAAAHKSLVVIYVTRYSGWYLVLDLASSSPRSFTIPGIAYPDSYRCAGPGATVITPLHPGAFVLAELLLSLRCPIKGLSSVMLCFWNSHSSSWDKKAGDLPAQVCHVWEVHMSFPVQSRNLLCWVHSDLHMSFVPLPPNSCEEFDQQRLPNPQDFRLSSTKVVHSISCFLEIIQ >KQL27932 pep chromosome:Setaria_italica_v2.0:I:1812730:1814181:1 gene:SETIT_019420mg transcript:KQL27932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSTTSAGARARVVLVASPGAGHLIPMAELARRVVAHPGLPATLVAFAGPDGDALSAVLSSLRDAGVDTSVLPAVPLDDLPADARVETVVSDLISRSVLRLRALLRDVATTAPLAALLPDLFAASVLALAAELGVPGYLFFPGSLSALYVLRRAVELNDGGATAGEYRDLPDPLQLPGGVSLRRADMPDGFRNSKKPAYGLLVEDYRRQYRSVGGFLVNTFYEMDPAAVEEFKQAAEQGTFPPAYPVGPLVRSSSDEEAASPCMEWLDRQPTGSVVHVSFGSAGALSVEQTAELAAGLEDSGHRFLWVVCMPSLDGENSDSGKSRRRRGEDDDPLAWLPEGFLERTSGRGLAVAACPPQSRVLSHPATAVFVSHCGWNSALESVASGVPMVSWPLDAEQRMNAAVLSESAGVALRPARRPRGRRAGRARGDRGGGAGADGAGEGRAVLWRTGDLRQAADKAWAPEGSSRRALEEVAGRWKWKA >KQL31322 pep chromosome:Setaria_italica_v2.0:I:36896832:36897341:1 gene:SETIT_019897mg transcript:KQL31322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAEIASVPYLSPASAASFKPHYHAATNDFLFQYSNLLVPHPSYYQDVAHLVHEASLPVGNKSNSEESDDYQRSLAEERRKRRMISNRESARRSRMRKQKQLSELWSQVVHLRSTNRQLLDQLNHVIRDCDRVLHENSQLRDEQTKLQKQLEKLPVETPESGVMNPDS >KQL29458 pep chromosome:Setaria_italica_v2.0:I:16424605:16425606:-1 gene:SETIT_019952mg transcript:KQL29458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSIMLVMPTVQWCELHTEIWGEIISHIDVLDVMSFSTTCKSLESVCKTLRATILKLGSAILVTCQPDQDGLWTKDDLKTGKFGLHDIFNALSFCCVNEGLQRRIWLGGKGDWLVTTNTSLDLEVLNPITRTKVPLPSFGNNLSGIELPSYREPNVIFPPFARDVAHVILSQTPSHTDGYEAITLFSDGLLAYTAQGENIWRVLKNPTDHDDNAYNYYPKVLLDVIVYRGWVIAVEEDGDIFAWDMSGPDLTPVHVPTPETTPSEEEVEHVFYLAISPSDQLILACLYGHVFGHNSNRNKMVWNEHDRFEQLDSISMFGFDEANVTWSIHPL >KQL28725 pep chromosome:Setaria_italica_v2.0:I:7764162:7765717:1 gene:SETIT_019289mg transcript:KQL28725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNLLMKKVVKPSSFDLDIKFDQRWMEDVTCPICLDIPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKVAHGIPVSVKVSSLAVAPLDSIHIISSNANNRPACPLCRGDVIGWFVIDEARLHLNQKKRCCEESSCSYAGNFHELQKHTQQKHPNSRPSEVDPARRVDWENLQQSSDIIDVLSTIHAQVPNGVVLGDYVIEYGDDEAGDEYEVYHRVRRNWWASCIFCKAFRRSSGGRRRARTRERRESGTRSSNRSSQESLTLEVPSRSVDIREIRFDEIDDEYIVTGAMPRVAGSRRMAGHYRDPRFRHRRSHM >KQL28576 pep chromosome:Setaria_italica_v2.0:I:6784522:6784978:1 gene:SETIT_020421mg transcript:KQL28576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQSYLLCNVLKSNRDDQHLGILSGSTQKAHICFVIQSKT >KQL29767 pep chromosome:Setaria_italica_v2.0:I:24286632:24289851:1 gene:SETIT_017801mg transcript:KQL29767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKAKEAVAAAPADKGKEAEEKKDEGGGGEEKKEDAPPPPPPPPEEVVMRVFMHCEGCARKVKKSLKGFDGVEDVSADSKAHKVVVKGKKAAADPMKVVERVQKKTGRKVELLSPMPPPKEEKKEEEKKEEPEPPKPEEKKEEPTVLAVVLKVHMHCEACAQVIKKRILKMKGVQSVEADLKASQVTVKGVFEEAKLADYVHRRTGKHAAIVKSEPVAAENGGDGNAKDDKKAAEGGEEKKDDGKEEKKDGGDAGGDGKEADKQKDDGSGGDGEEKDKDPAAMANLYMHYPRFNHPSGYGYGYQYPPQLFSDENPNACSVM >KQL29482 pep chromosome:Setaria_italica_v2.0:I:17293269:17297668:1 gene:SETIT_019446mg transcript:KQL29482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVQSDKVRNRTTPLQLSTRTGWDDEPEHARTPWHVLGDTHDQPLIWKRGLQQHTRQVHAAAAAVAHQAVHSGADLESAIGTCTGYRAESEGFMVGVKVNPLNGLPSGYQELLKFLLDHLEDKSVEALVEGLLEARAEVRPLLCGSQERLKDLIFLDIALDSTVRTAVERSYEQLNNAEPEKIMYFISMVLENLALSTEDNENLLCCLKGWNHALAMANNFDDQWALYAKAYLDRTRLALVTKGEEYHHTLQPSAEYLGSLLGVEQWTVNIFTEEIIRSGSAASLSLLLNRLDPVLRNIANLGSWQIISPVEVIGYVTVVDELLTVQNKSYDKPTVLVAKAVKGEEEIPDGVVAVLTPDMPDVLSHVSVRARNSKVLFATCFDPEILSELQRNDGKLISVKPTSADISYRETAESELLVANSSTAEDDKSEPSISLAKKQFPGKYAICADEFSDEMVGAKSRNIAYLKGKVPPSVGVPASVALPFGTFETVLSDKMNKHVAQNVKHLKEKLSQGELSALDEIRNALLNLIAPSNLVKELKEKMQDCGMPWPGDDVWASKWNERAYYSTRKVKLDHAYLSMAVLVQEIVSADYAFVIHTVNPSSGDRSEIYAEIVKGLGRPFFICKKDDLNSPKVLGYPSKPIGLFIKQSIIFRSDSNGEDLEGYAGAGLYDSVPMDNEEVVVLDYTTDRLITDVSFRNTILSSIAHAGYAIEELYGSPQDIEGVVKDGKIFVVQTRPQM >KQL30829 pep chromosome:Setaria_italica_v2.0:I:33645988:33647862:1 gene:SETIT_018227mg transcript:KQL30829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTLLRVSPSDLKMPFELKKQNSACLELVNKTDHRVAFKVKTTNPRKYAVRPASGFVPPRGSCGVSITMQAPKEIPPDYHCKDKFLVQSIVAEEGTTLKDIVPDMFSKVPGKLVEEFKLRVIYVPANPPSPVPEEAEEEDSLDSDVDHEVERPSTSNYISGHGHTSGSPTSHNEDVSMVSKSGEQESRYAEENKKMQKELVSSDDDFLSISRLVALSVSHTLLLHK >KQL30830 pep chromosome:Setaria_italica_v2.0:I:33645988:33648572:1 gene:SETIT_018227mg transcript:KQL30830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTLLRVSPSDLKMPFELKKQNSACLELVNKTDHRVAFKVKTTNPRKYAVRPASGFVPPRGSCGVSITMQAPKEIPPDYHCKDKFLVQSIVAEEGTTLKDIVPDMFSKVPGKLVEEFKLRVIYVPANPPSPVPEEAEEEDSLDSDVDHEVERPSTSNYISGHGHTSGSPTSHNEDVSMVSKSGEQESRYAEENKKMQKELELLRKTKPSPGGFSATFVLLIFLLSFILGYYLFGSRA >KQL28713 pep chromosome:Setaria_italica_v2.0:I:7657518:7658141:1 gene:SETIT_019025mg transcript:KQL28713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQCENISSIRDAVMPERRDGDQASEFAGVACRRRRPPAGGGRAVPHLQKPP >KQL30106 pep chromosome:Setaria_italica_v2.0:I:28060440:28061729:1 gene:SETIT_019401mg transcript:KQL30106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAVAPLALPALAGVAPRAVPVFHAGSTVATYADGGAPAVMRGYGGYEDVGADIDALLRDIHAAVLQRTPPGHAPQPVAAGADQPVNHDDFDLEPVLRDIRSIRIPAARFAGPDPVNSAVGTPTTPLASLPAPFSYGDAAAEDAADSAASTTTTQTSPNKQQDPGQIYDAEIDATFRAMEQDPAERPSALYLWTVQEGVITLADRANVVAWMYNFVGYYGLAPGTLHRAVSYVDRFLLSRKVNGYNVSGHLLLLGSVAVFTAAKYEDRRATLALNADGIACWHVGCSRRDVVDAERALCAALGCRLSGPTAYTFVEHFTRHGNDGGGADEGSTTVRSLAHHLADVALLDYRCIRFLPSTVAASAIALATLSMNPAASWSDDEIRVTGYTLEDLATCMDEIEETHGLQGAWPGCAQMTEDYMRSYGLLPH >KQL31152 pep chromosome:Setaria_italica_v2.0:I:35930255:35932351:1 gene:SETIT_016506mg transcript:KQL31152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLQKLLEAAAAASTPPAAAHLHAHLLRSGLLHSSHYLTAHVLESYPPGLARDLFDEIPCPTPRLANALLRAHLRARQWRAATLLVPRLRVRPDGFTFPLLLRACAMLPSLPHGRAVHAVAVRSCAASEDAFVAAAVVQMYARCGDMAGAVNAYGALEKPDVVLLTSVVTGYEQNGLAMEAMEFFARNVVGQGVVPTPVTLVSVISAAAQLGDARNGQASHAYLVRNNLGYNLALVNAVLGFYVKIGDVQAARRVFEGMADRDVVTWTSMIKGYLQSGDAHEALKVYREMVQVGVQPNSVTLVSVLQACALAVDVEEGRSTHHKSVKMGCELEVGVATALVDMYMKCSCHEEAMRLFHRMPKKDVVAWAAVISGLTQNGLPDESLQVFKCMLLDNHAPDAVTMVKVLSACLESGGARQAICLHGYLVRSGFDNKVFVAAALLDLYSKCGNLDSAIRVFESTTEKDVVLWSSMIAGYGAHGLGQEAVALYQTMIASSIKPNSVTFVSVLSACSHSCLVQEGRQIFDSMTQVYGVMPNPEHQSAMVDLLGRAGELQEAISVIHDMDGRAVAHAWCALLAACRVHNNTEMIEVVAKNLLNLDPDHVGYYNLLANIYAFDEKWESVNDTRDTVRGRGLRKVPGYSAVEVNNVEHRFIAGEWSHQDQENICTLLCDLSQKLRSEDCSFQIDTGLIIEDFALL >KQL29593 pep chromosome:Setaria_italica_v2.0:I:21712285:21712886:1 gene:SETIT_020316mg transcript:KQL29593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFLHPFAQLPAPQPPHPQMAKSVWTNGRAPCVHRTPRTTGQPLEPFAMPCPCSSFDPSTC >KQL31317 pep chromosome:Setaria_italica_v2.0:I:36873581:36874993:1 gene:SETIT_019365mg transcript:KQL31317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAPPQTHASNGSGKPHVLVVPFPAQGHLPPLLDLAALLAARGIAVTVAVTAGNAPLLEPLVTAFPSVGTVVLPFPSSPLLPAGCGENTKDQPGHLFRPLMASLTALRAPLLAWCEARPRGRRVTAVVSDLFAGWTQPLAAGLGVPRVVFVPTNALYLAMTQSLWRHVPRRRRPDDADEEFAFPEIPGAPRFPWRHLSSLFRKHVATGDEVSDAIRQFFLWNQDCECFVVNSFAALESDYLACVGRRALAVGPLSDAVGTSNVDRGGKPAVPPAEVAAWLDAHGDGSVVYISFGTQHAMPPAQAACVADALARSLAAFVWAVRSGTAVPAGFEAATASRGVVIRGWAPQVEILRHRAVGWFLTHCGWNSVLEAVVSGVALLAWPIEADQFTNAWLLAEAGVAVPVAEGADALPGAGQLAIAIAAAFGEEGKPVRDRAMELGRKAAAAVAEGGSSHGDTEELVRMLNTVV >KQL29760 pep chromosome:Setaria_italica_v2.0:I:24242610:24243600:1 gene:SETIT_018365mg transcript:KQL29760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPNGRDDAPIPNGGVGAEETVGRRKKVELVREAIHGLLEEKRMDGQGEKEMPARQRQEDEHLLSSLLTKPDALERDPDSDTLETHSLHPSHQPGRSETSKEVELADIAKDLNKIKRQNTVTHILLGAVIVLTAVWQVNEVSFLLWVQRKLSNPFKSLGDMIKGSLKLKGRKPVIESSPLPPVGVPDVSRPDLPSFVIGSTEGR >KQL32261 pep chromosome:Setaria_italica_v2.0:I:41988015:41991404:1 gene:SETIT_020148mg transcript:KQL32261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLMRRSDSIADMMPEALRQSRYHMKRCFQRYVAGGSRLMKKTQLLEELHRSAEDGRIHKDRLAEGFLGYVISSTHEAVVLPPLVNFAVRTNPGIWEYIKVHSADLTVDQITPSQYLKCKEMLYDHQWAQDDNSLEVDFGALDDLSTPRLTLPSSIGNGMHFVSRFMSSKLAGTTMSMKPLLDYLLALTHRGHDLMVNATLDTVSKLQTALLHADVFLAGLHGDTPYQKFEHKFQEWGLERGWGHTAEACRETISCLSEVLQAPDPTNMDSFFSRVPSLFSIVIFSIHGYFGQEKVLGLPDTGGQVVYILDQVRALEDELLQRINQQGLHFTPRILVLTRLIPEAKGTKCNVELEPIHNTRHSTILRVPFKTEDGQDLPHWVSRFDIYPYLERYAEDSCAKILETLQGKPDLVIGNYTDGNLVASLVSRKLGVTQGTIAHALEKTKYEDSDVKWREMDRKYHFSCQFTADMIAMNTSDFIIASTYQEIAGSKEKPGQYESHYAFTMPGRCRFATGINVFDPKFNIAAPGADQSVYFPFTLKQKRLTDLHPQIEELVYSKEDNDEHIGYLEDRSKPVIFSMARLDKVKNITGLVEWYGQNKRLRDLVNLVVVGGLLDPSQSKDREEIEEINKMHSLINKYQLKGQIRWIRAQTDRVRNGELYRCIADTKGAFVQPAFYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDEVSGFHINPLDGKKASNKIADFFQKCKEDPMYWNKISTAGLQRIYECYTWQIYATKVLNMGSMYGFWRTMDKEERQAKQRYLQMFYNLQFRKLAKAVPKVGERPEQPTAATVPDRLVSRPKERQVCPLLRNLLKKEQGSC >KQL27772 pep chromosome:Setaria_italica_v2.0:I:555220:556095:-1 gene:SETIT_018882mg transcript:KQL27772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPTSRFRCSYGHEVAAVQAPCLGRRAAQRGRGVVQSFSQQRDTASPIIFVIFSLDSCEPTFLISQPQKISEIWFTVRHHPLHP >KQL27992 pep chromosome:Setaria_italica_v2.0:I:2357218:2357262:1 gene:SETIT_0199142mg transcript:KQL27992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVPLHRFCQDLFP >KQL31084 pep chromosome:Setaria_italica_v2.0:I:35481575:35482721:1 gene:SETIT_018526mg transcript:KQL31084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARTMRSRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSA >KQL28484 pep chromosome:Setaria_italica_v2.0:I:6181699:6185109:-1 gene:SETIT_017338mg transcript:KQL28484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANDVDFCFGAMQAQQPYVVGFDAGAMAMPSVERPLLQHHSNLYDSFDLSAAAAAGGFPFQEPGLLPPASLPLAPPSLQLPLLTTSLLGVPTAAEMYHPFGGAGGAAAFLKREDGGGGALVDGGGGTIGLNLGRRTYFSPADVLAVDRLLTRSARLVDGVGMGMGLGMGVLGLGLGAAHHHQQQPPRCQAEGCKADLSAAKHYHRRHKVCEFHAKAAAVAAAGKQQRFCQQCSRFHVLAEFDEAKRSCRKRLTEHNRRRRKPAGAQAGKYSSPPPKRADTTCITTSCNSDHNKSSTAAAKSTAISPNGSGVSCLDAMDNGQPSSAAAEPTSLSLAALPLHDDKDDDGGLDSMLMRQVQGRRDDDEEHRRFMTSLVMQQQAQNQLDGGGGNILSCSSASDDQQNGGCNGFFEVDFI >KQL28875 pep chromosome:Setaria_italica_v2.0:I:8612677:8612735:-1 gene:SETIT_019838mg transcript:KQL28875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKTTRAAVLAASLLVLL >KQL28492 pep chromosome:Setaria_italica_v2.0:I:6235380:6235865:1 gene:SETIT_020639mg transcript:KQL28492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLPCHANPLGCSMTTNGHVSEVCIIGNWNCKKSSQFVAVVMMGWWL >KQL30105 pep chromosome:Setaria_italica_v2.0:I:28035961:28039859:-1 gene:SETIT_016939mg transcript:KQL30105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFVDPFVPAPAWPQDMVFTGTSWSGSASSLADSAGTYLAAAPDEDTEFHLQNRSKPVFLNGNAKEILSSVELHEQFLQAQLQDDVTQGLNFEMDGALMASTLGSVLSTPSAISLADSAPVVCSSNDSSGSEQSGLPQFLLGEQSVPSAAWPSTFMQIPSLVGEETSKSFGFGAVCNDDLLREACAADGKNYPQLGNMPSVPLQLHNDVEFNTGKMLSFAPGPGQQVNTNFEDLQISQKEFSSLHHLNLSSLVSGQLSSFNATGVAHNPKQSNEVSSGKNGLNAPPFMARSEVPNGSGIAANGAPKPRVRARRGQATDPHSIAERLRREKISDRMKNLQELVPNSNRTDKASMLDEIIDYVKFLQLQVKVLSMSRLGATEAVVPLLTESQTESSSGGLLLSPRSGRQQAGRSSLLGQSELRDGASFEQEVMQLMENNMTTAMQYLQSKGLCLMPIALASAISDQKGTSSEAVRPVNSGATDEEDGAGGENHDAKEMLRGLNAFGSAREMKSRA >KQL31270 pep chromosome:Setaria_italica_v2.0:I:36610714:36613124:-1 gene:SETIT_018527mg transcript:KQL31270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRGLLLLLLSAAAIAGVASAAGPFLSDGVFQVSAGSTGRSLLQAKKNCAMNFEFQNYTIITSKCKGPKFPADQCCGAFKEFACPFRDYINEDSSDCSSAMFSYINLYGKYPPGLFANMCREGKKGLACTDAQSSIAANGVQRAQSSSLVLVTLVCGLVALLFH >KQL29239 pep chromosome:Setaria_italica_v2.0:I:11947654:11950843:-1 gene:SETIT_019204mg transcript:KQL29239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFENKNGLYRISREVELSVLTEPVQLRIVSKQFENGIKYSGYKYIHQGISDGAILNLAAHDPIDWPNIISIWKSLIVQKYIQNKHNIGNRVEDMITYLETFLGESVKVLWEQWVETYAHYYEELKRAGSNPYNFANIISSIVIDEDPELGYTTLQNERLKEIEKLTLTNWKGIKEFSQHYLYNATTAKQGYNKSIVERYFNKLPDPLGSMIFEEYKKESNGKEYNISQAITFVFKQLRKICTSIQAQTSMKQSDYNFCNKTVQIPLTYGEEKYRTKKYPKNYKKGNVKIKKRYFLRRSDNRAPFLHKGNKRYSNKQEEQEKVLIIDSVNENILVCDDDIMDDESIYSIIETDEIEYNEEDESSNEELNLIEELADPGKRATCSLCIKQACMSCLEQQNDEKVVNTKYEENKTSPKEDCSNDKRENKYLVNTLQEFLIPRISFKIEQVLAYFTQDIIDLIWKKYAERQYKTFHDIQNYFMKLYQGVERNLGIIIITNIFPLLHLDDKLIVKPHHKFIILKADINLKYFRNIQRHTGEDISLQTIIDHGLVQDVYGTLEEILKSNLGKAIKEACKRLACV >KQL28789 pep chromosome:Setaria_italica_v2.0:I:8117852:8118043:-1 gene:SETIT_019486mg transcript:KQL28789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEVYAAAAIKDASKQYHIRGQHLISRKSSQVTISFTQESPNTLGDVRAPSPHILTANAGLS >KQL31177 pep chromosome:Setaria_italica_v2.0:I:36076251:36081905:-1 gene:SETIT_016566mg transcript:KQL31177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGDAGAAAAEAGSPRSPDLYDFSDDSDYAAASHHTAMRTDSVDRGSDETARIDVVYEKERVTIHLTQYGSGRISGKLRLFLQRGSLFLSWEPNEGADSLSTSSIGVEIEKYRNLYTIKALPLSDVRFIRRHTPTFRLDYIIIVLSSGLAYPPFYFYNGGIRELFATLKQHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVASVANAMSRQNSLSFTGANDESRHGTDGRHGEASSISQYGSKQKNKSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFQNNSGAHAYGRQQHEYLLDNEASDKQKNQYITPEKASMPSAPPEPDPLPLVWGKQRDRPLSAEEWAAFLDPEGRVMDSKALRKKVFYGGVDHVLREKVWKFLLGYHEYDSTYAEREYHAAMKRAEYEAIKSQWKSITATQAKRFTKFRERKGLIDKDVVRTDRSVPYYEGDDNRNVVVLRDILLTYSFYNFDLGYCQVSFHLADRPCKMIRTSCHLSGKHKTLGPLVFFY >KQL31176 pep chromosome:Setaria_italica_v2.0:I:36073780:36081905:-1 gene:SETIT_016566mg transcript:KQL31176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGDAGAAAAEAGSPRSPDLYDFSDDSDYAAASHHTAMRTDSVDRGSDETARIDVVYEKERVTIHLTQYGSGRISGKLRLFLQRGSLFLSWEPNEGADSLSTSSIGVEIEKYRNLYTIKALPLSDVRFIRRHTPTFRLDYIIIVLSSGLAYPPFYFYNGGIRELFATLKQHVFIIRSDDDPNVFLVNDFQDPLQKSLSSLELPGVASVANAMSRQNSLSFTGANDESRHGTDGRHGEASSISQYGSKQKNKSNDPGRDLSIQVLEKFSLVTKFARDTTSSLFQNNSGAHAYGRQQHEYLLDNEASDKQKNQYITPEKASMPSAPPEPDPLPLVWGKQRDRPLSAEEWAAFLDPEGRVMDSKALRKKVFYGGVDHVLREKVWKFLLGYHEYDSTYAEREYHAAMKRAEYEAIKSQWKSITATQAKRFTKFRERKGLIDKDVVRTDRSVPYYEGDDNRNVVVLRDILLTYSFYNFDLGYCQGMSDFLAPILYVMEDESESFWCFASLMERLGANFNRDQNGMHAQLLALSKLVELLDPPLHNYFRQNDCLNYFFCFRWVLIQFKREFSFDQIMLLWEVLWTHYLSEHFHLYLCVAILKRYRQRIIGEQMDFDTLLKFINELSGQINLDRALQDAEALCTIAGENGASCIPPGTPPSMPIETDGGLYVQQDDVL >KQL29712 pep chromosome:Setaria_italica_v2.0:I:23748622:23750361:1 gene:SETIT_016903mg transcript:KQL29712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISLTSLLLSLPQQWQPVLFALLSAISLLLLTRIRSRSSSGKKGLKLPPGPATVPLLGNLHQLGPLPHRALRDLARVHGPVMQLQLGKAPAVVISSAEAAWEALKAHDLDCCTRPVSPGTKRLTYDLKNVAFAPYGPYWREARKLLTVELLSARRVKAAWYARHDQVEKLISTLSHAEGKPVALDEHVLSLSDGIIGMVAFGNIYGSDKFSQNKNFQDALDDVMEMLSGSGSSAEDFLPKAIGRLVDRLTGFIARRERIFRQLDAFFEMVIEQHLDPKRAPPENGGDLVDVLIDLWKKPCGTFSFTKDHVKAIIFSTFVAGIDTNATTILWAMSELIRKPRVLKKAQAEIRAAVGGGDRVQPDDMTKLSYLRMVVKETLRLHPPTPLLLPRETMRHIQIGGYDVPAKTRIYVNAWVIGRDPANWPDDPEEFNPDRFETNEADFKGEHPVLMPFGTGRRICPGMSMAMATVEFTLATLLFGFQWALPEGRTADDVSMEEEGRLVCHRKTPLVLVPTVYRRGLE >KQL29138 pep chromosome:Setaria_italica_v2.0:I:10620377:10628598:1 gene:SETIT_016347mg transcript:KQL29138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAIRVTMEVGADGVALITIANPPVNALHPIIIAGLKDKYAEAMRRDDVVAIVLTGAKGKFCGGFDINVFTKVHQTGDVSLMPDVSVELVSNMMEEGKKPSVAAIQGLALGGGLELAMGCHARISTPEAQLGLPELTLGIIPGFGGTQRLPRLVGLPKAIEMMLQSKFIAAKEGKERGLIDALCSPDDLIKISRLWALDIANCRKPWIRSLGRTDRLGSLSEARAILGAARQQARKVAPNMPQHQACLDVIEEGVLYGGHAGVLKEAKVFKELVVAPTSKALVHVFFAQRSTTKVPGVTDIQLKPRQIRKVAVIGGGLMGSGIATALLVSNISVVLKEVNPQFLQRGEKMIAGNLEGLVKRGSLTKDKKNKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFADIEKICPKHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVALAVKDIYAEAFGERNLDSDLVDLMVKDGRQGKMNGKGYYIYEKGGKPKPDPSVQHVIEEYRKRAKTMPGGKPVTLTDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASVLGMGFPKFRGGLVFWADTVGAPYIYSKLSKWAEIYGPYFKPSPYLEQRAKSGVPLVIPLHVWFDIAAKFHVCSGFNFCSGLFPWQLLTRAVVIGNFHSNFWEMENIFSYFLGNLSFVEPASRVMRFRLCNNEACYLYTQFIF >KQL29137 pep chromosome:Setaria_italica_v2.0:I:10620377:10628598:1 gene:SETIT_016347mg transcript:KQL29137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAIRVTMEVGADGVALITIANPPVNALHPIIIAGLKDKYAEAMRRDDVVAIVLTGAKGKFCGGFDINVFTKVHQTGDVSLMPDVSVELVSNMMEEGKKPSVAAIQGLALGGGLELAMGCHARISTPEAQLGLPELTLGIIPGFGGTQRLPRLVGLPKAIEMMLQSKFIAAKEGKERGLIDALCSPDDLIKISRLWALDIANCRKPWIRSLGRTDRLGSLSEARAILGAARQQARKVAPNMPQHQACLDVIEEGVLYGGHAGVLKEAKVFKELVVAPTSKALVHVFFAQRSTTKVPGVTDIQLKPRQIRKVAVIGGGLMGSGIATALLVSNISVVLKEVNPQFLQRGEKMIAGNLEGLVKRGSLTKDKKNKAMSLLKGALDYSDFKDVDMVIEAVIEKIPLKQSIFADIEKICPKHCILATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITVGKIIKKVPVVVGNCTGFAVNRTFFPYTQGSHLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVALAVKDIYAEAFGERNLDSDLVDLMVKDGRQGKMNGKGYYIYEKGGKPKPDPSVQHVIEEYRKRAKTMPGGKPVTLTDQDILEMIFFPVVNEACRVMDENVVIRASDLDIASVLGMGFPKFRGGLVFWADTVGAPYIYSKLSKWAEIYGPYFKPSPYLEQRAKSGVPLSAPSTSQQGSARSRM >KQL30605 pep chromosome:Setaria_italica_v2.0:I:32132719:32135814:-1 gene:SETIT_019965mg transcript:KQL30605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPASAALGDGGILYIPSADSIAHCPSSCGDVKIFYPFGIGAGCFRQGFEVTCDNTTQPPKLFLMNNSTTEITWIDDDGFVEVPMFFNSSFGPGRNNMSWEWEAPAKGITITSRDNDFFVLGCDFDVNLFDYERNPVGSCMSRCHGGVLPTRGPCNGIGCCFISLRNAMSGFQATFSRADGMAGQSSASSMYPRIMAFISDVGEYYFTQNMTDLLLGWTNTRKIDGAVVEVAITDQPSCESARMNSASYACATNSICRNASSYGGYYCYCSRYSYSDQGGNPYLSEGCTQGDYNPESTEHCRRSCGNMNISFPFGFEEGCFGNEKFRLNCTAAGDTLFSTGDIQYRVTGISIEDGTLNVRNMLSNASTGKEEIIVSTDESGGMDVSGPVEDRLDFSMEYVDIVIRWAVTNSTCEQALQNITKYACRSENSSCLNVTHGKIFMGYRCKCSPGFKGNPYIQEGCTGIAIGISCGLGSIIVALGVTVLASKWKRGIQKRIRRAHFKKNQGLLLEQLISDESTTSKTKIFSLEELEEATNNFEATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQIEVDQFINEVVILSQIIHRNVVKLFGCCLEDEVPMLVYEFISNGTLYELLHSDTTVKCLLSWDDRIRIAMEAAGALAYLHSAATIPIFHRDVKSSNILLDDNFTTKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLIRKKPIFIDDLGAKQSLSHYFIEGLHAGSLVEILDKQVVEEADQEEINEIALLTEACLRVKGGDRPTMKEVEMRFQFLRTKRLRKGLAEKDADIEPLLCPQDKNLYGHIDLVNAGSSGCYSLEQEFASLPR >KQL31870 pep chromosome:Setaria_italica_v2.0:I:40192328:40196259:1 gene:SETIT_017011mg transcript:KQL31870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSLVGNSFGCSASGERLVSAARDGDLQEARALLEYNPRLARYSTFGGRNSPLHYAAAQGHHEIVSLLLESGVEINLRNYRGQTALMQACQYGHWEVVQTLILFNANVHRTDYLNGGTALHFAALHGHARCLRLVLADYVPSIPNFCTLTNHRSSEEDSAADFDHEALVKMVNQKADGGLTPLHMAALNGHVECVQLLLDLGASVSEVTIEDGTTIDLIGAGSTPLHYAACGGNAVCCQLLIARGACITAQNASGWTPLMVARSWQRNSIEEILSKEPESRIRTLPSPYLCLPLMSIMNIARECGWRYLNQSPVCIDPCAVCLEGSCSVAAEGCKHEFCTRCALYLCSTSYTSVTPAGAIPCPLCRHPIISFITLPGTSPIRELPRNSLSLSFCTTCPAVNSDSSAWIAAHLYRTEFQCGRMPPMGSSSFRSLSCQRIPAMKLNPAFCMGAMDTNPCLIRCSRFGSSLRRSASQGETTRRSWPITFNPIVATGS >KQL28293 pep chromosome:Setaria_italica_v2.0:I:4839635:4842604:1 gene:SETIT_018211mg transcript:KQL28293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQLFPEAHCQNWPPTAVQPMWKTIWETNSSCLREGVFRTKCDERLVDALPPENHNAGVAFLTPKNITPEKMSCMVHLAGTGDHTFERRLWLGGPLLKNNIANMVLESMQGGAKLQCVSGLLLLGKATIDEARSLLYSLQAEAGYGKMGICGLSIGGVHAVRDGGIPSSYTNCYTAISHFALCREDAAQKTGVTIEEVIDRLRSVLSLTEVTRFPVPKNPQTVIFVGATDDGYIPIIPYIQS >KQL28475 pep chromosome:Setaria_italica_v2.0:I:6118585:6124234:-1 gene:SETIT_016764mg transcript:KQL28475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGSSASVYLGVDVGTGSARAGLFDQKGKLLGSASSPIQIWKEKDCIEQSSTDIWHAVCAAVKSACSLANAAPEDVAGLGFAATCSLVAVDADGSPVSVSWSGDTRRNIIVWMDHRAVNQAERINASNSPVLQYCGGGVSPEMQAPKLLWVKENLQESWSMVCRWMDLSDWLAYRATGDDTRSLCTTVCKWTYLGHAHMEQWKESDSRDMEACGWDNVFWEEIGLGDLVEGNCAKIGRSVAFPGHPLGSGLTATAAKELGLLPGTPVGTSLIDAHAGGVGVMESIPDEELKADLSDEEAICHRMVLVCGTSTCHMAVSKNRLFVPGVWGPFWSAMVPEFWLTEGGQSATGALLDYIIENHVASPLLSNRASSQSISIYELLNKMLLSMSHEQISPFLSALTQDIHVLPDFHGNRSPMADPKSKGVIYGLTLDTSEKHLALLYLATIQGIAYGTRHIVEHCNAHGHKIDTLLACGGLAKNSVYIQEHADIIGCPIILPRENEPVLLGSAVLGAVAAKKFPGVRDAMKALNAAGKVVNPSSDPRVKKYHDAKYQIFRSLYEQQLSHRSTMEQALQ >KQL31471 pep chromosome:Setaria_italica_v2.0:I:37763736:37767275:1 gene:SETIT_018178mg transcript:KQL31471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLGRGSRDKVQQFMVITGASEKVALQALKTSDWHLEGAFDVFYSQPQVAVANTRHLEELYNRYKDPDADMIMVEGISQLCNDLQVDPQDIVMLVISWHMKASTMCEFTRQEFVGGLQSIGVDSIEKLRDKLPSLRAELKDDQKFREIYNFAFAWAREKGQKSLALETAIGMWRLLFADRNWPLIDHWCQFLQVRHNKAISRDTWAQLLEFVKTVDPQLTNYDEEGAWPYLIDEFVDYLKENGVVQHRQ >KQL28234 pep chromosome:Setaria_italica_v2.0:I:4490277:4491635:1 gene:SETIT_017577mg transcript:KQL28234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNHSTHPMMATTTTFELLQAQTELWCHTLAYLKSMALQSVIKLRIPTAIHRCGGAASLRELHEHLPVPASKLPCLSRLMKLLVISGIFREGKEGVYHLTPVSRLLVEDNAKGGKACLSQFALLATSPFHFRASQLLSEWLESEDDAVAETPFMMAHGAGFFPYTARDLEFGELFNGAMGADSRFVAEIVVRECGDVFAGLTSLIDVGGGDGTTAKAIAKAFPHVRCSVLELPQVADNMPVNGVVEFVAGDMMESIPPADVVLLKFVLHNWSDEDCVRILKRSKEAISTRGPKGKVIIIDTVAGSASSKRTLEAQLLMDVCMMMLTTGEERGEERWHRLFLDAGLSRYKINPILGSQSLIEVFP >KQL28758 pep chromosome:Setaria_italica_v2.0:I:7935819:7937279:1 gene:SETIT_019234mg transcript:KQL28758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSETNATAAAPHVVLLSSPGMGHVAPLAELARRLHDAHGFTATVLTYASSDSAAQRAFLASLPPAVGSASLPAVTLGDIPTGAAIETLLSVEAQRSVLALTEVLSGLRSTTNLVAFVADLFGADTLRAARDARVPGYLFFPSNLLMLSLMLHLPRLDADLAAGEFRDQPEPLRLPGCVPVPGADLLQPLQDRASDAYRWMVHHGERYRDAAGILVNTFDAVEPGAAAVLRRPEPWRPPVYPVGPVIRQHAAGSSPAADTTGCMDWLDAQPERSVLFVSFGSGGALSTAQTEELARGLELSGHRFLWVVRSPTDGGGANPGESYYDGSRSKDDPLSFLPPGFVERTKGLGHTRVLGHRATRAMLTHCGWNSVLESVAAGVPMIAWPLYAEQRENAVMLCEETMVALRPKVGDDGLVLAEDAAEVVKEMMGGGEKGEAACVKVMELKAAARSGLEPGGASYETLAEVVNKWKAASEAD >KQL30450 pep chromosome:Setaria_italica_v2.0:I:30619967:30620349:1 gene:SETIT_020454mg transcript:KQL30450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEMKSKGINIMTWRRVSRGWVHTSFFFHSHEALSA >KQL27759 pep chromosome:Setaria_italica_v2.0:I:442495:445492:1 gene:SETIT_019113mg transcript:KQL27759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAQSIVSKLGQLVVEELQEIRGVGDKIVLLTDELATMNAVLRMTSEADESAVDHLVGEWEKQVRELASDAEDCADIYRLRVNRPIPGKFLPYVLKWPKYQLEKLRSQRNLAADVKALLAPLPRSPRFAPVSAASASASARLRRADDDPDHQLVGIREQADTLAQRIKEIHADDDNRLKVFSIVGFGGLGKTTLAMELCRQLEADFERRAGGPLARLLLQIGKVKQDEDAGRTHANQLNIDQMDVEGLSTKLNELLVDKRYLVVIDDVWSLQAWEAIHIRLPENNCGSRIIVTTRIETVAKASSVSEDLVHRMKPLEQNASENLFVKIVFGSVGACLDGLKDTMSKILNKCGGLPLAIVKIASILASYNSVESVETWIRVSNSIGSQMENHPTLEGMRQVITLSYGYLPHHLKACMLYLSIFPEDYVIAKDRLLYRWIAEGLVAEKRGLTLFDVAEEYFDELISRNMIQLDKLPRRSWTYYGYRRTEEVCRVHDIMLEVMVSKSQEANFVCLVGRQYGGGGLARGLVRRLSVHGNVEDEEERSSSGRPPNNNNNKKAVEQRRRVRRGGIEAMNLQHVRSLGTFEVEKGLGKLLDRLGEFRLLRLLDLEGCKSLRNKHMRDVCRLYLVRFLSLRGTLINVIPSEIGDLECLESLDVQETRIHSMPPTVTKLSKLERLRVDRLYLPLWLGNMKALRKIGELQRLQFLCIELENSRWDPNKEEFLHELASSLSKTYALRTLHLSATDQLMDFLLQVSSPPPLLWSLIFRGHISRFPGWISSLKHLAEFSVDSTGLAGDELLGSLNGSYRGPELVTTKDKFPALRILDVSSSTFEQHTSIVGIDNLNNLKEVKFRGSKFDPWLESALYQVHETNKNRHKSNQIKVVVVGESW >KQL30683 pep chromosome:Setaria_italica_v2.0:I:32572957:32574604:1 gene:SETIT_017888mg transcript:KQL30683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAIPKPSEKRVALVTGGNRGMGFEICRQLASSGLAVVLTARDEKRGAEAVDRLRGLGLPDVVFHQLEITEPASAARLADFVRNKFGKLDVLVNNAGTMGVTMEVGEAAVKEIMSSGKDQNEIAEWLKQRTTQSTQQGEECLRINYHGTKKVTEALLPLVLSSADGRIINVTSAFGLLRFFSGEELRRELSGIDTLTKRRLDELSALFVEDLKRGELGRRGWPADRVYAAYQASKALVCAYTRILARENAGLRVNCVHPGYVETEMNCNTGNLTAAEGASVSVAVALAERGGVTGAYFDRTEMASFV >KQL30684 pep chromosome:Setaria_italica_v2.0:I:32572957:32574604:1 gene:SETIT_017888mg transcript:KQL30684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAIPKPSEKRVALVTGGNRGMGFEICRQLASSGLAVVLTARDEKRGAEAVDRLRGLGLPDVVFHQLEITEPASAARLADFVRNKFGKLDVLVNNAGTMGVTMEVGEAAVKEIMSSGKDQNEIAEWLKQRTTQSTQQGEECLRINYHGTKKVTEALLPLVLSSADGRIINVTSAFGLLRRRGAPAGAERHRHVDQAAAGRAVGAVRGGLEARGAGAPRVAGRPGVRGVPGVQGARVRLHEDPREGERRAAGQLRPPGLRRDRDEL >KQL29407 pep chromosome:Setaria_italica_v2.0:I:15155827:15159780:-1 gene:SETIT_017739mg transcript:KQL29407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPPPASPMAEAEAEARDWAEMPSDALAAVFGKLDVAEILTGAGLVCRAWRRLAATDPTLWRRVDMCLQGDLLETEEAEATARGAEAMARAAVDRAAGTMEAFWADDFVTDDLLRYISQRAPSLKSLQLSLCHHVSNEGFAEAINCLPQLEELEVTFCTLHGNVCDTIGRACPQLKRFRLNERWSILQSEFAPYEGMDDDTEALGIASTMPGLQELQLIGNNMTNDGLMAILDRCPHLESLDIRQCYNIQMDDAMKSKCARIRDLKLPHDSISDFKYRAHIVSANSGSDFEVDVYDDLLDPVTEDDDADFDDIDDFDDAGSDGGMYDDDFDI >KQL31290 pep chromosome:Setaria_italica_v2.0:I:36726211:36727584:-1 gene:SETIT_019849mg transcript:KQL31290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHHLRLARLLFDTMPARDAASYNILLTALAARGRVAEALELFDEMPEPSVRSWTALVAGFAQSGRPADALRVFRDMEGAGVAPNEVTVVAVLAACADVGDLDLGRKVHGYAKRQGYSRNVLVCNAAIDMYVKCGCVDAARGVFDAMDGRTVVSWSAMICGHAMHGEGDKALELFAAMRRRGMLPNGVTFVGLLHACSHMGLVDEGRAFFESMEKDHGIAPEIEHCGCMVDLLSRAGHLQEALEFINGMPVEPNSVVWGALLGGARLHRNVEVGEEATRRLAVLDPGNDGYYVVLSNIYAAAGRWEDVARVRRAMKLRGVKKTTGWSAISVGGTVHEFAAGDCAHPQAAAICETLDGLLEEARRRGYAPDTSVVLLDMDDGEKERVLARHSEKLAVAFGLMATPPGTTLRVMKNLRVCSDCHAAMKLISEITRREIVVRDRNRFHCFKNGICSCRDYW >KQL31090 pep chromosome:Setaria_italica_v2.0:I:35515697:35516196:-1 gene:SETIT_018746mg transcript:KQL31090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAAPRDAGDADNDDRRHIPRVIRNATDGGYGPAVPLQMARAHRWARYDDVVSALRLLEQAAREDARATVRGLFQHPAPFDAGARFPEAEVLLSVDHGKFGLVWMQLSSSCPDI >KQL30390 pep chromosome:Setaria_italica_v2.0:I:30253542:30257537:1 gene:SETIT_016906mg transcript:KQL30390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPPALEILVREPDGFTLWSGPPYPPGTTSPTHRIPKTACSATSFSADGARLLATVASASATVYDCRTLAVVRRFELPGLLAAALSPTGAYLQTFQKSSSPQEKNVTVWHVDTAVALYQHYQKSMSKATWPMIQFSADESVGCRMMPNEIQFFDPKDFTKGVLSRIRMPGIAAMQLATAPGSHVAGFVPEAKGVPASVQIFSCNKDAQNQAVARRSFFRCSTVQLHWNKGSTGLLVLAQADVDKTNQSYYGETKLNYLTTDRAFEGIVPLKKDGPVHDVQWSSSGSEFAVVYGFMPAKATIFNKKCNPLLELGEGPYNTIRWNPKGRFIVLAGFGNLPGDMAFWDYSEKKLVAKTKAECSVTSEWSPDGRHFMTATTAPRLQIDNGIKIFDHNGSLQFKKMFERLYQADWKPEAPERFGDIADLTTSLSSLRIEETKKQASAQGSKSAQTSSKAPVSTASKPTAYRPPHAKGSAEVQNKLFGGLAPAGGEMSKNALRNKKRREKQKEKKAAEGSGSPADES >KQL27837 pep chromosome:Setaria_italica_v2.0:I:1017161:1021545:1 gene:SETIT_016657mg transcript:KQL27837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMETRPAAEGSAEPFLPLRWESTGDQWWYATPIDWAAAGGHYDLVRELLRLDANLLVKLTSLRRIRRLESVWDDDARLADAARNRAAVARRLLLDCDPSGEGGPNNRLVRAGYGGWLLYTAAAAGDAGFVRELLAAQPLLVFGEGEYGVTDILYAAARSGRPEVFRLLLDAVLSPASCPGEEFRREMMNRAVHAAARGGNLEVLRELLRGCSDAAAYRDAQGSTILHAAAARGQVEVVNDLIASFDIANSVDDQGNTSLHIAAFRGHLPVVEALITASPSLISATNEAGDTFLHMALTGFGTPGFRRLDRQMELVRQLVGGAFVDVSSIINVQNDDGKTVLHLAVVGNLHSNLVELLMSMPSIDLNIHDNDGMTPLDLLRKQPRTASSEILIKQLILAGGITNSRDHETRSAIASQLKMHCIVGSPGTSFKISDAEIFLHAGIDVSGISERTTSFSSSVGRVDAEIPGPKLKRLNSFQDAAKHIKVLLKWPRRKGKKPGGGQKDLDDDASSVDSVKSWSHGETPTPLRQRYSRISSLFNNKRTYAGTSSPSEAMKKSGVLQPESVPASASWSSSSLVDKIEAVHLDNDQPSPYFSRLIRHTPKKYASLNSRLMNQPLRLGA >KQL27838 pep chromosome:Setaria_italica_v2.0:I:1017161:1020867:1 gene:SETIT_016657mg transcript:KQL27838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMETRPAAEGSAEPFLPLRWESTGDQWWYATPIDWAAAGGHYDLVRELLRLDANLLVKLTSLRRIRRLESVWDDDARLADAARNRAAVARRLLLDCDPSGEGGPNNRLVRAGYGGWLLYTAAAAGDAGFVRELLAAQPLLVFGEGEYGVTDILYAAARSGRPEVFRLLLDAVLSPASCPGEEFRREMMNRAVHAAARGGNLEVLRELLRGCSDAAAYRDAQGSTILHAAAARGQVEVVNDLIASFDIANSVDDQGNTSLHIAAFRGHLPVVEALITASPSLISATNEAGDTFLHMALTGFGTPGFRRLDRQMELVRQLVGGAFVDVSSIINVQNDDGKTVLHLAVVGNLHSNLVELLMSMPSIDLNIHDNDGMTPLDLLRKQPRTASSEILIKQLILAGGITNSRDHETRSAIASQLKMHCIVGSPGTSFKISDAEIFLHAGIDVSGISERTTSFSSSVGRVDAEIPGPKLKRLNSFQDAAKHIKVLLKWPRRKGKKPGGGQKDLDDDASSVDSVKSWSHGETPTPLRQRYSRISSLFNNKRTYAGTSSPSEAMKKSGVLQPESVPASASWSSSSLVDKIEAVHLDNDQPSPYFSRLIRHTPKKYASLNSRLMNQPLRLGA >KQL28146 pep chromosome:Setaria_italica_v2.0:I:3740175:3741994:-1 gene:SETIT_017923mg transcript:KQL28146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSKSAAAADESAVISTSAPTRPRAMQRVEIEGYSVEGISIAGHETCVMFPSLNLAFDIGRCPPFAVSQDLLFISHAHMDHIGGLPLYVATRGRRRMRPPTVFIPACLADLVRKLFEVHRAMDQSDLDHKLVPLEVGEEYELGKDLRVRPFKTYHVGYVIYRLKHKLKDEYAGLPGKELGTLRKSGVEITNTVSTPEIAFTGDTMSDFILDPDNADVLKAKILVVESTYIDDSKSIEDAREKGHTHLSEIASLSDKLENKAILLNHFSNRYTAEDIDVAINRLPPPFRSRVYALKEGF >KQL28145 pep chromosome:Setaria_italica_v2.0:I:3739989:3741994:-1 gene:SETIT_017923mg transcript:KQL28145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGSKSAAAADESAVISTSAPTRPRAMQRVEIEGYSVEGISIAGHETCVMFPSLNLAFDIGRCPPFAVSQDLLFISHAHMDHIGGLPLYVATRGRRRMRPPTVFIPACLADLVRKLFEVHRAMDQSDLDHKLVPLEVGEEYELGKDLRVRPFKTYHVGYVIYRLKHKLKDEYAGLPGKELGTLRKSGVEITNTVSTPEIAFTGDTMSDFILDPDNADVLKAKILVVESTYIDDSKSIEDAREKGHTHLSEDIDVAINRLPPPFRSRVYALKEGF >KQL29334 pep chromosome:Setaria_italica_v2.0:I:13922818:13926771:1 gene:SETIT_017890mg transcript:KQL29334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCCQTLLTAAAAAASSTPVWLHRLHAKEGLSFPSHLNIDDLLYGGRQPQPPPPLTPPVPLPPPSSNHQANLAVVVREPPPKVAAKPKQPQQQPRPPRNPSRPNPSSTNSPQPPPPPPPQPQPQLAAVISDVFAVPSSVPPGTPPPKAFRKQSRPRPRTDDDQPIPALPPPPRPHKDKKEKIATAKKRRRAERAAEADGERTSRTDVTVIDTSIDGWKAAKVLIRRGDIWKVRDKKPSAVSELEDAIAKGKRRAGLVSKLQRDKEKEKLKEKEATTSGYIQAGSGDVTKESDDSDKVLVVHQSQKAK >KQL29603 pep chromosome:Setaria_italica_v2.0:I:21798937:21800286:1 gene:SETIT_017645mg transcript:KQL29603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHSSPADHTYTIGYAMLPNKHDTFVQPSFIDLAARHGIRLVAVDASRPLVEQGPFDLIVHKLYGQPWRAQLEAFSALHPDVPVVDPPAAIECILDRFTMLDVVAGLGVAKEGAMATPRQVFVGDAAALAGGDDADAVPGGLRFPLIAKPVEVDGSAASHDLCLVYRREGLRGLRAPLVLQEFVNHGGVLFKVYVVGDHATCVMRSSLPDVPDERLHDLAADAAAPFANISLLPPPAAGDAEMPPQDFVNRVARELRRALGLHLINFDLIWARDADGNAKYFILDINYCPGYSKMPGFEPVLLEFFLEMLRGRPAHEQPCPGAGSGLGAEAHKTEVEASFIPSGAEPKQVQA >KQL30141 pep chromosome:Setaria_italica_v2.0:I:28358276:28360150:-1 gene:SETIT_017426mg transcript:KQL30141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAKPHLAFFLFPTQGHVTPAFQLATLLHHRHGFDVTFVHTEHNLRRLLRARGPPDALAGPPPGIRFVSVPDGLAPSDSDEDAAQDMAALHLSLPSMAPHFKELVLSSSELPAASCCLLVSDVDHILRAAEAIGMPRVTFWITAMQQGQRLVAEGLVPLKGSKGMRLKDFPSFVRATDPDDALLAMVLRAIMECHRTVPSAVVLHTFEELESQASSAMSDILPPIYAVGPVPLLLRQAADGAGGDNPAVDASGSSLSKEDRACLDWLDGKRPNSVVFASFGSLVELNEEHLVARLGAGRQRLRVPVQWVIRSDQQATAILPPEFLAETEGRGRVTSWCPQEAVLRHEAVGAFLQTHCGWNLLDLNLNSMLESVCAGVPMLCWPFAGDQQTNSRMAL >KQL30656 pep chromosome:Setaria_italica_v2.0:I:32421445:32422095:-1 gene:SETIT_019590mg transcript:KQL30656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPPRGRRGRSIATQLAPSRASLYPLPHLSFAPRFYPLVASPAPTTCSTQLPILHLSTCPRRIPMATAAASVAPPSTSTSSVLLLRRLPSPAPAFARRLGPPSLPPRRARLLLQVALTSEVSSDDVAAEEAAAAPKIGKRVRVTEPLRVYHVLKAPDLDIQGMEGVIKQYVCVWKGKRITANFPFKVEFQLAVEGQAKPVRFFVHLREDEFEFVDEE >KQL28030 pep chromosome:Setaria_italica_v2.0:I:2813647:2814323:1 gene:SETIT_018838mg transcript:KQL28030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKTKMVAIGIMMAILFVGIASATPAQEVCVDTTKEVPRDDTCICSKNCACAGMCILQGEGDVKTCFVDCVLKNDCQCPPKDQGAEKKTDG >KQL30156 pep chromosome:Setaria_italica_v2.0:I:28491999:28493411:1 gene:SETIT_017107mg transcript:KQL30156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGIHGRRHPFSEAAMEHDVAHGGGAGGVPAGEAFEAVLDQLEQEELSDSESGSESIEISDLKRRMWKDQMLLNKLEGRAGAFRAVGAEAGLSSRPLVLPGAGAEGLAEEETPDVRCQRKAMLRAQDGVLRHMLKMMEACNARGFVYGVIDEAGEPMSGSSDSLRGWWKDNVSFDRAGPMALTVPAGESPLGIASCLHRLQDIQDSTLGSVLSALIQHCEPPQRSFPLERGLAPPWWPTGQEVWWGAQGEVQAHQGVPPYRKPHDLKKAWKISLLSAVIKHMNPRFDQMRKLVWQSKRLQQKMSAKETEVWSKILRQEEVLSRRLKTSLQITPLDNDDDDDGLEDVVGRAHDKRKRELISTSRDNSWSSGSGGSRGGSGELAVMLPELAALVAADDESRLSPIDELVKLYCSHAPADQGGGGHPESGAVAVVPPVELDMFSAGVVPPDVPFDLIGSCSGLDDVFLLMLD >KQL29652 pep chromosome:Setaria_italica_v2.0:I:22624951:22631573:1 gene:SETIT_0161931mg transcript:KQL29652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPHLLHPSHSLPAFPAAASRSAAAVWPPPPGPHHSGSLLEFAAAPASRAHRPPARSAARADRPPPLELRPRPPRESQARAALRALACCRDASRGGARLCLWAAGEAGVRAWDLADAFRLPPARQRWGDEAAAPFRESSRTPQALCLVADPGRGVVWSGHADGRVMGWSADPGPDAGECLAWEAHRGPVFAFGRDLWSGSEGGVIKVWYGEAIEKSLVSQREEKRKTSFLVERSSIDLRDMVSDGGACPLPAVDVKLLLSDNSRSKVWSAGYLSFALWDSRTKELLKVVNIDGQVDTRFDILSAQDPCSYETKQTLFSSPRKEKARSPVGFLQRSRNALLGAADAVRRVAVKAGFGDDTRRIEAFTMSTDGMIWTGSANGSLAQWDGSGNRLQEFLHHSSSVQCICSFGTRLWVGYMDGSIQLLDLEGNLLGQWAAHSSPILSMAVGGSYIFTLAGHGGIRGWNLSSPGPLDSILRSELIEKDASYKNFEYMKVLVGSWNVGQEKASYESLRAWLKLPTPEVGVIVVGLQEVDMGAGFLAMSAAKETVGLEGSPNGEWWLDVIGQILKGHSFVRVGSRQMAGLIIAIWVRINLKQFIGDIDNAAVACGLGRAIGNKGAVGLRMRIHDRSICFVNCHFAAHMEAVSRRNEDFDHVFRSMTFSSPSNGLLTTSVSGSGTQLLRGANGSGLPELSDTDMIVFLGDLNYRLSNISYDEAMGLVSRRCFDWLRDNDQLRAEMRSGRVFQGLREGEFKFPPTYKFEKHIAGLSGYDSSEKKRIPAWCDRILYRDSRASSETECSLECPVVGSISLYDSCMEATDSDHKPVKCVFNLDIAHVDKQTMRKKYGEIMTSNKKVRYLLEGMEAFPEVNISTDDIILQDQNPSVVKLQNRSTKELACFEIIGQIPNSSGTPFSGFPSWLKVSPAVGMISPGQSVEVTLQHGQLRSQDYLSGTSGNSSGADQEKAATLLVTVTGVYSTARRDHKIHVQHQNGRDAFPGEEL >KQL31217 pep chromosome:Setaria_italica_v2.0:I:36308332:36309840:1 gene:SETIT_017617mg transcript:KQL31217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLLVLFLCLAFAACSASHHHDPSVVGYSQEDLALPSRLSELFTSWSVKHSKIYASPKEKVKRYEVFKKNLMHIAETNRKNGSYWLGLNQFADIDHEEFKTSYQGLKPGLSKIGASPRPPTTFRYANAVDLPWAVDWRYKGAVTPVKNQGKCGSCWAFSTVAAVEGINQIDTGKLVSLSEQELMDCDTTLDHGCGGGIMDFAFAFIMGNQGIHTEEDYPYLMEEGYCKERQPHASVVTITGYEDVPENSEISLLKALAHQPVSVGIAAGSRDFQFYKGGVFDGACSDELDHALTAVGYGSSYGQDYIVMKNSWGKNWGEQGYVRIKRGTGKPEGVCAIYTMASYPVKNATRWGWGA >KQL28111 pep chromosome:Setaria_italica_v2.0:I:3423353:3424062:-1 gene:SETIT_019004mg transcript:KQL28111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKIAQRDEFKKLSMKGFTEYITKTALLAKLLYKTSIAWLHHGQSINRKWTSKTNHF >KQL30874 pep chromosome:Setaria_italica_v2.0:I:33920198:33924029:-1 gene:SETIT_017651mg transcript:KQL30874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHAKTDSDVTSLAASTPPRSPRGRPAYYVLSPAASHPDVHLGASGRGAAAAEKMSLAGSTPAESPLHYHFHHHRHSHSGAVAGGGGGVHHSRESSTGRLLFSDQLRSGGDVAAVVSAPWRRLAQSSGAGSVGDDDDEDASLHGGFLASSQWRCYALGAFAFVAVFAFFLLVLWGASRSYKPHVVVKSVVFESYHIQGGTDRTGVPTKMMSMNATVRLRFRNRGTFFSLHVTATPFLLFYGELTVASGEMKEFYQPRKSGRMVTVSVVGKQVPLYGAGVSLHSKPNNGRLGPAVVPVRLAFVLRARAHILGLLLHSKFYRRVHCRLDIREAHLGRPVRGVAADCEYHDGR >KQL32211 pep chromosome:Setaria_italica_v2.0:I:41803512:41804169:-1 gene:SETIT_018881mg transcript:KQL32211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWATWVGTSVASAFFASLERCSCINLSTDDDDDRDDDEEAKDRPLILSSAPRHDDAAAAKPADLDLLPDSKDQKQEQPPVPPV >KQL29224 pep chromosome:Setaria_italica_v2.0:I:11744982:11745908:-1 gene:SETIT_019546mg transcript:KQL29224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKGAQILGHPPGVNFRPDDDELVEFFLLPRLRGEASWFPGVVVIDDDSASNTLPWNLLKRHGLVDDGNAYFFVHTNNEVARQDRYCPGDGTWVSQRQESGSSCICGETIKWRRTNLNLQMGRGKKGSMGWVMHEYTLTEPPCPFLKICHVTFTGHGKWRKRVPDDESDCQATGEPASKRPRVAVTAAAVDTSVPAIFQQEHSLPFPIDQGISAMTHQQQMVMMPMPDDKNDCQAANSSSATCAYGSTMTTADQDSGAAHAYAGEESAQDTDEETLEWFRLDGKDLLADAEPTAEQHYGLDQRDQLFW >KQL30513 pep chromosome:Setaria_italica_v2.0:I:31382693:31385149:-1 gene:SETIT_019637mg transcript:KQL30513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTESPITGPELPDEIMTEVLLRLPVKSILRFRAVCRAWAATLCSDEFCTLHMARETQTESGAPAASARCPKLLLIAPATAACDATAVYSCSPPCPGGANLMLTLDDLRGDFVGGVAAQCRGLILLHDAVAPAYYVVNAATRAVTRLPPCQKELYSSAGLGFDARAKEYKVMRLLRKPKDPDVSCEVYTLGGKYGDTWRPAAGRIPSSFSSTAYRAILCAFTWNLPPVLANGSLHWLIGGGSCSVIDLAASIITYSVTEETFGWVQSPPCGTSGAHLVELDGCLCMVRDLRHGSPDCSSALEIWKLQDYNSSVWSLDTHIDLSHYAGRNLVDQQVIRVLGAVGDGRSVTKKIIMATSGHTDTVHSYDALSKNVKTILSVADTGVSYTSGRTAIRICLFRETLAPVHKTHEEISFSSPLAKATKEILLRLPARSIVQFKRVSKQWRRFIEDKRFIQSYFAHKSMEKRIKIKMVSKGCGRRKFFHFAPLEKWQSEAANKGTWLDSKVVCSKPCHGLNLLITGERNYLYNPCTGYWSTNSFPGSLPCPPWETPTDGWIMQDHAFTIGNKSVGLGFNPRKQEHVAVIMLYEFKNFISREYILSCSVWHCRPGSGYQEGLVPPLPVNNMPPAYVAGVLYWMSDPLLGPSSEYAIVSFDIATDVFDVISCPPDINVANWSSQSTWHLFVVELVGKLCVVLADLMANELVIWKMEHDEWDIAYVVCLKASPDYSLVADVVMPLAVDPKDGRILLSTGRKMGFYDPVNETIEELYDADEILLPKKMTGAASSHGAPCEKPAIPLVPMLYEESLVSYPRMHETKWLR >KQL31569 pep chromosome:Setaria_italica_v2.0:I:38457206:38460050:-1 gene:SETIT_016290mg transcript:KQL31569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSEAQPPHPPNAEAVEQPPPVPMDQDEGREAAAEPMEDGEAATADASEAADPMEDGEAAGDSAAAAEPMEDDAPTSSPTPSAPSATAAVDDSTIARKRRRRKKQFPGMIPTAGVRVLRGSSSSYTHNASHLTGVPRRRGRPPTSSSLRLARELDSEALIALAAGFPADSLSEDEIAASVLPRIGGAEQSNYLVVRNHIVALWRSNPLSPVAANAALASIRSEHAPLVAAAHSFLSEHAYINFGLAPAVLSLPPRPPPSFPPPSVLIVGAGLAGLGAARHLIALGFKVAVIEGRLRPGGRVFTKTMRSSAAEYPDTVAAADLGGSVLTGINGNPLGVIARQLGFPLHKVRDKCPLYLPDGRPVDPDMDARVEAAFNQLLDKVCQLRQVIADGVPHGVDLSLGMALEAFRAAHGVAAEHEERMLLDWHLANLEYANAAPLADLSMAFWDQDDPYEMGGDHCFIPGGNSQFVRALADGIPIFYGQNVRRIQYGCDGVMVHTDKQSFRGDMVLCTVPLGVLKKGNIKFVPELPAQKKEAIQRLGFGLLNKVVMLFPYDFWDGRIDTFGHLTEDSGQRGEFFLFYSYSSVSGGPLLIALVAGESAVKFEQASPMENVEKVLETLRKIFSPKGIEVPNPLQAICTRWGTDSFTYGSYSYVAIGASGDDYDILAESVHDRVFFAGEATNRRYPATMHGALLSGYREAANILRAARRRAKKVDSPEKMDINVEVKVDANGEVKGNVKDIDSNIDLDDLFRSPDAAFGGFSVLHDPSTSEPDSISLLRVGIGARKLGSGSLFLYGLIMRKNVAVLAAVEGDERRLSTMYRDFGTRLVGLDSLGDAGESLISRIKAAARKQYKKYAWMVNSHL >KQL30542 pep chromosome:Setaria_italica_v2.0:I:31559346:31560098:-1 gene:SETIT_019508mg transcript:KQL30542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALSLLFSFRRPLPPDPLSFEFRARIYETAPRRDPRSLPPLSPAQPHPFSSFILECPTNRHRAIPSLAGVAVGRIRAVELSIRAAMQAPRGEGGFLGKRKEREYYPPYPSASSSQAQQAVLLQRPPQPFSRPDPRIAIKPDRAAALRLGAKPPLPRPGAAGPHAVGVGVGVGGNKLLAGYLAHEFLRCGTLLGERRLEPLGRKEKEPALAAPAPEPSRRYAEVSRLLMAGGARIPGIVNPSQLGRWLRIKE >KQL30193 pep chromosome:Setaria_italica_v2.0:I:28777902:28781350:1 gene:SETIT_018108mg transcript:KQL30193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHVREWELDHLDQQPVANWGTHCHEDDQSKTSSRPRERNPPSKATRGRQAGKQATNPKGAMAKPRGGGGGGLLDLEGHYAFYGAYHSNPVNVGIHELFVWPIFLTALLLLHLTAPSAHAAGIGAAVYGAYYFLLDRRAGALAAFLCFLCWAASGALAARLGFSAGWKVFCIGRLVELVLMVVLVAQLFCWTMQFIGHGVFEKRAPALLDNLVQAFLMAPFFVLLEILHTFGGYEPYPGFHDKVSKLIEEARKEWEDNKSKKSS >KQL30194 pep chromosome:Setaria_italica_v2.0:I:28777902:28781350:1 gene:SETIT_018108mg transcript:KQL30194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHVREWELDHLDQQPVANWGTHCHEDDQSKTSSRPRERNPPSKATRGRQAGKQATNPKGAMAKPRGGGGGGLLDLEGHYAFYGAYHSNPVNVGIHELFVWPIFLTALLLLHLTAPSAHAAGIGAAVYGAYYFLLDRRAGALAAFLCFLCWAASGALAARLGFSAGWKVVLVAQLFCWTMQFIGHGVFEKRAPALLDNLVQAFLMAPFFVLLEILHTFGGYEPYPGFHDKVSKLIEEARKEWEDNKSKKSS >KQL29414 pep chromosome:Setaria_italica_v2.0:I:15205457:15209950:-1 gene:SETIT_018587mg transcript:KQL29414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALPRRWPLLLQLGFAIAVLLAAAPAAEAWTGEIRGRVVCDVCGDAAIGPEDHALEGAEVAVLCITKSGEVINYQAFTNSKGTYTIAETMPESDRWESCLARPISSFHQHCTRRGDAHSGVKFTYSKPSGNSHTVKTFLYKPVNAPLYCS >KQL31359 pep chromosome:Setaria_italica_v2.0:I:37078876:37082852:-1 gene:SETIT_0169071mg transcript:KQL31359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEELKKKLQPLLFDDPDRGGVSTRVPLPEDTCNSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSSGPDELDSDEKAYRCASHEMHIFGPIGNGASSVVERAIFIPVHRILALKKINIFEKEKRQQILNEMRTLCEPCCYPGLVEFQGAFYMPDSGEISIALEYMDGGSLADVIRVKKSIPEPVLSHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRNENYSYAADIWSLGLTILECATGKFPYDVNEGPANLMLQ >KQL30534 pep chromosome:Setaria_italica_v2.0:I:31515697:31517345:1 gene:SETIT_017026mg transcript:KQL30534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCAASLAPLLGPAAANATGYLCDRFADTTSAVDSTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAYGTPSNGFIGRHFFGLKRLPQAGFDYDFFLFQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWVWSADGWASASRTSGKLLFGSGIIDFAGSSVVHMVGGIAGLWGALIEGPRIGRFDHAGRSVALRGHSASLVVLGTFLLWFGWFGFNPGSFLTILKSYGPAGSIHGQWSAVGRTAVTTTLAGSTAALTTLFGKRLQTGHWNVLDVCNGLLGGFAAITAGCSVVDPWAAVICGFVSAWVLIGLNALAARLRFDDPLEAAQLHGGCGAWGVIFTGLFARREYVEQIYGGGAPGRPYGLFMGGGGRLLAANVVMVLVIAAWVSVTMAPLFLALSKMGLLRVSAEDEMAGMDQTRHGGFAYAYHDDEDPSVRPKAVMSTQIANASSGEF >KQL28968 pep chromosome:Setaria_italica_v2.0:I:9262162:9263521:-1 gene:SETIT_017644mg transcript:KQL28968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGQLRRRTRARTPPSRADSGDDDLSCEACGSGDAAAELMLCDGCDRGFHIFCLRPILPRVPAGDWFCPSCRSPAAAKSAAAASPVAKKPKQFPLVQTKIVDFFKIQRSPLAAASSEAKKRKRKPAGALVVSKKKRKLLPFNPCEDPAQRLRQMASLATALTATGAAFSNHLTYQPGMAPRSANRAALEAGGMQVLPKEDVEALSQCQRMMERGECPPLLVVYDPVEGFTVEADRFIRDLTIITEYVGDVDYLRNREHDDGDSMMTLLSASAPSRSLVICPDRRSNIARFINGINNHTPEGRKKQNLKCVRYDVAGECRVLLVANRDISKGERLYYDYNGSEHEYPTHHFV >KQL29871 pep chromosome:Setaria_italica_v2.0:I:25773575:25775001:1 gene:SETIT_018123mg transcript:KQL29871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGAKPAASSKPNPFDSDSDSESQPARKPSGASSYQAPADAKKRYKDGFRDTGGLENQSVQELEHYAAYKAEETTDALAGCLRIAEDIKKDASDTLITLHKQGEQISRTHEKAVEIDQDLTKSESLLGSLGGFFSKPWKPKKTRQIKGPAMVSRDDSFKKKASRMEQRDKLGLSPRGKNANRHYGEANSAMDKVQIEKQKQDDALDDLSGVLGQLKGMAVDMGSELDRQNEALDHLQDDVDELNSRVKGANQRARKLAAK >KQL31799 pep chromosome:Setaria_italica_v2.0:I:39799809:39800858:1 gene:SETIT_019858mg transcript:KQL31799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIITGKRLLALAISLLAVLALLLQPCAAARPISQTATIDGSRSLHLPLRGSLLRGPESVAFDGDGAGPYSGISDGRVLKWNGLARGWSTYAYSPGYDAKACTASRTRPAEVTESRCGRPLGLRFHYGSGNLYIADAYKGLMRVGPGGGEAKVLVTKVDGVPLRFTNGVDVDQVTGEVFFTDSSMNYQRSQHERVTATGDSSGRLMKYDPKTNRVTVLQSGITYPNGLAISADRTHLVVALTGPCKLMRYWIKGTKAGTSEPLADLPGYPDNVRADGKGGFWVALHREKMELPFGPDSHLLAVRINADGKVIQVMRGPKSVRPTEVMEREGGKLYMGSVELPYVAVVSE >KQL29993 pep chromosome:Setaria_italica_v2.0:I:27351264:27355982:1 gene:SETIT_016122mg transcript:KQL29993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFGRKKKKADAAALALGSSVFAHADAVDVALMVLGLVGAIGDGMATPLRLLIASRIANDLGSGPDHIAQFASKINANVIKIVCIACAAWVMAFLEGYCWARTAERQASRMRARYLQAVLRQDVEYFDLRSGSASEVITGVSNDSIVVQDALAEKVPNFVMYVTMFAGSYAVGFALLWRMTLVTLPSSLLLIVPGVAYGRALTDLARRIRAQYARPGAIAEQAVSSVRTVYSFVAEKAAMARFAAALEESARLGLRQGLAKGVAIGSNGIAFAIYAFNIWYGGRLVMYHGYPGGTVFVVSSLIVIGGVSLGSALSNVKYFSEATAASDRILEMIRRVPKIDSESDAGEELANVAGEVEFRNVEFCYPSRPESPVLASFSLRVPAGHTVALVGHSGSGKSTAIALLERFYDPSAGEVALDGVDIRRLRLKWLRAQMGLVSQEPAMFAMSVRENILFGEEDATDDEVIAAAKAANAHNFISQLPQGYDTQVGERGAQMSGGQKQRIAIARAILRSPKILLLDEATSALDTESERIVQEALDVASRGRTTIVIAHRLSTIRNADGIAVVESGAVQELGSHSELIAKNGMYSSLVHLQQTRDSSEADEVVGGTCRTSPSAGQCSSNTSKMLSSASRSNWTLSTGDAGDGDGDSNEKPKLPVPSLRRMLLLNAPEWKYALVGSLSAILTGGIQPVYAYCMGCTFSIYYSADHGEIKHKTRLYALVFLGLVVISFLLNVGQHYSFGAMGEYLTKRIRERMLEKILTFEIGWFDQDDYSSGAICSQLAKDANIVRSLVGDRIALVIQTVSMVFIAFTVGLVISWRLALVMIAMQPFIIACSYARRVLLKRMSTKSTLAQSETSKIAADAVSNLRTITAFSSQGRILRLFGRAQEGPYRESIRQSWFAGLGLGASVSLTVFSWALNYWYCGKLMAERLISVEAVFQTTMILVTTGRVIADACSMTTDIAKGADAVSSVFAILDRQTKIEPDDPKGYKPEKLTGEVEIVDVDFAYPSRPDVTIFRGFSLSITAGKSTALVGQSGSGKSTIIGLVERFYDPLRGVVNVDGRDIRAYNLHALRRHIGLVSQEPTLFAGTIRENITLGVEAEAPASDAEVEAAARAANAHGFICGLKDGYGTRCGDRGVQLSGGQKQRVAIARAILRNPAILLLDEATSALDGRSEKTVQEALDRVMVGRTSVVVAHRLSTVRGCDAIAVLERGVVVEKGTHAALMARGSSGAYFGLVSLQRGGEKQG >KQL30192 pep chromosome:Setaria_italica_v2.0:I:28779274:28779784:1 gene:SETIT_020411mg transcript:KQL30192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRCIVNIMINIDILWFVVRWSAAARIKYQCIHS >KQL28529 pep chromosome:Setaria_italica_v2.0:I:6486505:6488939:-1 gene:SETIT_019581mg transcript:KQL28529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQDNIEKRRQASRDYKKMVKERRASNLHPDSIAMASPHFTPELVFPLADKSPLRISDDMEIPLVNGRPVYIQSAVEQSPTVVTPQLVPANHTIHSKHVTPGIRNSRLNQQNTRPAPNDATGIQTQPSVVDEPYSMPPHGGQAETHASMEEDDCDENIIFEDDEEEDEGYLFGGQEPDDWEADEDVDLETANEDPNKPDVLDPYDAVYANVPNMTHMLKPADNCEHCNAKKFESEPPGFCCHSGKIHLSTHETPLELMRLWSSSDADARHFHANIRYFNGHFSFTSMYCKLDRVTTDVRNCAIYTFRAHGQIYHNIRSFGKEDGHEPSHLELYFYDDDPSLEHRLRKCREKSAQEDREVIQRLKDILHGINPYSENLRSMGQVDNLEDYHVELNLDQRLDQRTYNVPLTSEVAAVWIEGSERRGQFDNSVVLQGKDRSIHGIRSYHGCYDALSYPLFFPRGELGWHNCIPKVGVTMAEVNKARAIRKARADGGGDDDAGSAGNKCVSHFAVDTYVKIESLCLDYIRNNQDILRANLYQGLVDSWRTGVEDADEVGKRTVLSPTFIGGPRNMRRRYMDAMAL >KQL29798 pep chromosome:Setaria_italica_v2.0:I:24722919:24727408:1 gene:SETIT_016947mg transcript:KQL29798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECSLATLRGQCRGRWTQSNSKLYPSSVSIGMPKRNYDHKIHLPFQSNAAREPKSAASSHFGFHGRGHRVETSVECFRLQSLMDSESMVSPSLMLISDEALLTISMIFAYLAGVVPSGNTSPRARNQGVNQHITEPSSSDSGRNAGLDPRDMWSEVRAKLSEALQANVQDASLDSREDELMSDRKNYPLSMLAIHGGPRLRLLLITFQLLEMEVRNISGSSEIVDGIRWLQVSTALIDGLIEPAFVKWIEEEQALENGQINEKLMKMISSKIREDDRIFKRFNRFGKSELYLDLIFFLRFGSARSDSCFDAKFLAEHGARILEDLVISLADVIASIYLELMSVDGDMSTEVVSSSLALCSLSTRELQKLRNEVAINWWLHQYFESVVSMYEDRFELYVLCRKQGEKPADNQAKRTNWRLAFRKPSAPALLDYVNISPFSLPARRTKELRALTGWRYYISLFLELSDIAMPFVRAAVSKVSAAVSYFWVSMIGRSLGLIFSGIRQSLGWI >KQL29799 pep chromosome:Setaria_italica_v2.0:I:24723875:24727408:1 gene:SETIT_016947mg transcript:KQL29799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSEVRAKLSEALQANVQDASLDSREDELMSDRKNYPLSMLAIHGGPRLRLLLITFQLLEMEVRNISGSSEIVDGIRWLQVSTALIDGLIEPAFVKWIEEEQALENGQINEKLMKMISSKIREDDRIFKRFNRFGKSELYLDLIFFLRFGSARSDSCFDAKFLAEHGARILEDLVISLADVIASIYLELMSVDGDMSTEVVSSSLALCSLSTRELQKLRNEVAINWWLHQYFESVVSMYEDRFELYVLCRKQGEKPADNQAKRTNWRLAFRKPSAPALLDYVNISPFSLPARRTKELRALTGWRYYISLFLELSDIAMPFVRAAVSKVSAAVSYFWVSMIGRSLGLIFSGIRQSLGWI >KQL28556 pep chromosome:Setaria_italica_v2.0:I:6665712:6666390:1 gene:SETIT_018922mg transcript:KQL28556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAKSKGQAHCMFHFPNLFCFPLQQAFDRENPSLVKLQGTSIRFNKPSLLLVRVYHNVSCSNRLFSICCVYNT >KQL27949 pep chromosome:Setaria_italica_v2.0:I:1995443:1997131:-1 gene:SETIT_017097mg transcript:KQL27949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRPLLHRGRLAVRDTRLRRARPRGTANPCPSIPLLLPPLEPHGKQVTTHQSSQGMARQRQAWRPSEATVRRPVLTFQNTPGRGSLTHSPRRSPFRVRSTDHDDPLLPPSLDAYLHLPVVMNALRSFLSHTATSIDHPSKKVVQLIECSTMVASSRVLLVLDLALLAAVSCLAGTAAALSTEYYNKRCPSLQPTVRSAVARAVAADPNAGAAVLRLFFHDCFVNGCDASVLLDDGTAPGEKGAGPNANSLRGYEVIDAAKSAVEAACPGAVSCADVLAIAARDAVSLLGGPSWNVRMGRRDARSASRDAANANLPGPGSGLPALVAAFKAKGLSARDMTALSGAHTVGRARCAEFRGRVNANAGEAINATFAAGLRGACPAAGGADGALAPLDPVTPDAFDNGYFRALVERRGLLHSDQELFNGGSQDALVRKYASNGAAFFSDFAKAMVRMGNLAPAPGTPLEVRINCRRPN >KQL30604 pep chromosome:Setaria_italica_v2.0:I:32127567:32129666:-1 gene:SETIT_017068mg transcript:KQL30604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVVLACLAAVPRALATAVHGDASSNGILHIPSNGSLTSCPTHCGDVEISYPFGIGSGCFRQGFELTCNQTARPPRLFLRNSTTQITSIDVGSNMASASTVGFNVTMGQGVDTYMSWGTPDGGAVISEINDALYVVGCGLEVYMFGNNWTDLIGSCMSICADDRTTERANVFGSCRDGIGCCSIELTRDLPAFMVKLVRLNGTRAQLNDVKVLLPWYYRFVLGDLYSSWVNTSNVHDTRIQIAITDQPNCERARVNKDSYACNNESNCQDLQYGRGYSCSCPNYYGKGNPYIVNGCIQAYDSTTPKENCTRSCGNISIPFPFGIEEGCYANDNFRLNCTSDGTVLDRRYAQYRVTRISLDDGSLAVSNMLNDTSSNNMERIVNSNYDGTGEFRDSYDMSSVVDGIYDFSREDEIVIKWVVANLTCQQAKQSNPKYYACISHNSNCQDIRRGKTNYGYLCKCNDGFHGNPYLQNNCTGIFLS >KQL31679 pep chromosome:Setaria_italica_v2.0:I:39160977:39162518:-1 gene:SETIT_017925mg transcript:KQL31679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRPSASAMDADAPPSPPRSKKRPSRRSDSDWSPNPSPRGSPTEHKRRRRTSAESAALALTAVAARASAAGSDAGRGGARRSQRPRRRTARADGGDGAIQMLGAAAARVGVQKHKWSDADEFTLLAAAVAFRERNGHAPRLPDMAELFDSICDSISPHIDQFMVYYKMKRLKSKFQHSDGPRDRRLRNMCSVLWGVGVVPSSEDDSDAGERSGVPDAAAMMPVVAEVLGEYWKTNERVMAGVPLEKGLSLLGKKEGRLLETKWRQQLDEEMQSQMRQHDLAKEVCGLLTDAIKGLGP >KQL31760 pep chromosome:Setaria_italica_v2.0:I:39623143:39625610:-1 gene:SETIT_017255mg transcript:KQL31760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLFRCFVGSEPAGSEMSGDERAPAAARTTKKKAVRRMASATARLRSLSLDDLSRTLATSGLHAFTLAELKAATRNFSSSHFIGEGGFGPVYKGFLDERLRPGELQPQHVAVKYLDADGPQGHREWLAEVVYLGMLSHPHLVKLIGYGCQDEQRMLVYEYMARGSLEHHLFKNLLSSLPWCTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDDTHVTTRVMGTHGYAAPEYILTGHLTSKSDVYSFGVVLLELLTGRRSVDKRRRGREQHLVDWARPYLRRPERLHRVMDPSLDGQYSGKAAHKAAMVAYHCLHSVPKSRPTMRDVVDTLEPLLAICGDVPAGPFVYTAPADDGKADEAAAAARKKCLASAVHAEGELLPAGNQRYARSVAGRKSSSPKLSRDRGA >KQL30786 pep chromosome:Setaria_italica_v2.0:I:33303523:33307667:1 gene:SETIT_017322mg transcript:KQL30786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRMPRKTSNNTKYYEVLGVSKSASQDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLSDPEKREIYDQYGEDALKEGMGGGSSSDFHSPFDIFEQLFPGSGTFGGGSSRGRRQKRGEDVVHTMKVSLEDLYNGTTKKLSLSRSVLCSKCKGKGSKSGASGTCHGCRGAGMRTITRQIGMGMIQQMNTICPECKGSGEIISEKDKCPGCKGSKVSQEKKVLEVHVEKGMQHGQKIVFQGQADEAPDTLTGDIVFVLQLKDHPKFKRKYDDLFVEHTISLTEALCGLQFVLTHLDGRQLLIKSNPGEVIKPGQHKAINDEGMPQHGRPFMKGRLFVEFNVEFPEPGALSPSQCQSLEKILPPKPGSQLSDMELDQCEETTLQDVNIEEEMRRRQQQKRQEAYDEDEEESGPRVQCAQQ >KQL31764 pep chromosome:Setaria_italica_v2.0:I:39650166:39655369:1 gene:SETIT_017634mg transcript:KQL31764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHERGGAASTSAAAPTSEEDALFIDLLHEAPLSGHREPRSIVGGTLYCILLVGFAAVAISAPWIFLFAPDMISPLLCSSNVILLVLTGIFQQYWVHQVRKVRLQGYYEFSQKLKRIARLPFATIACGTALMLLIMVWQPLVHILSVLLLLRIAIVVEVTCTGCFMGLYLWHIHRYNSLDGQPDILRSLYSALQPSNTFEDRRYYDARLSDQQMALLQYQRENIHYLSEEVLRLQECLSKYQRTDAGTTPQVDLAHLLASRDQELRALTAEMNQVHSELQLARGLIDEKETEIQRIRVSNNQYVEENDRLRAILGEWSARAAKLERALEAERVSNVELRKNIAKFRGHLYKEQEA >KQL30617 pep chromosome:Setaria_italica_v2.0:I:32226341:32227049:1 gene:SETIT_020568mg transcript:KQL30617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPSAQVMSATNDFQDKTVDECCSCCYDCCSSILDFLCCSS >KQL30688 pep chromosome:Setaria_italica_v2.0:I:32610378:32613051:1 gene:SETIT_017947mg transcript:KQL30688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKACDKPKPNYRKGLWSPEEDQKLRDYILRHGHGCWSALPAKAGLHRNGKSCRLRWINYLRPGLKHGMFSPEEEETVMSLHATLGNKWSRIARHLPGRTDNEVKNYWNSYLKKRVEGKEAPSTAATSSGADSEDSQCAKPGDGAAQEAPADSGSSEPRESSSADSSCLTGPPAACRPHAPVAPPKVMFADWLDMDMDCIVGGPVAAAPGLDAAGVVGASPSTGGDRNHRHHQVMSQGSVQVDGPSGVDDVSLHGFGDTGASCWEFQEHFDSIDQMSTTGFCDLLSMSEYFGLN >KQL30593 pep chromosome:Setaria_italica_v2.0:I:31997890:31999450:1 gene:SETIT_018719mg transcript:KQL30593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGMELRGCVCRIKSSALELLSMEEDLVTDLDDDLCDLVRRDLQLKATFLYIDLSRVIAHNECEERREEITLLANDFFYFMDELGDAVASRSVSVVKVCYGNTARALRKVVAAIAPAAAA >KQL28961 pep chromosome:Setaria_italica_v2.0:I:9233187:9236487:-1 gene:SETIT_017537mg transcript:KQL28961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYKLWVRRNRDLVRSLESLANGLTWILPERFANSEIAPEAVYALLGIVSSVNQHIIDAPTENHSFASKEQSIPWGLVVSILKDVEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEMANEEEMTVLEDNYGVNGNGVPVIYPMNGHSQNGHKAATNGLDGKNGVVSKSLERRAVAALNKFGENAKMMSDPMWMRRLQPTPEPTVMVVEKPTLASIWSAKGGTGRLFVLGEVVHIFRPLVYVLLIRKFGIRSWTPWLVSLAVELTSLGIHSHATDLNHRGGKVHQLSSAERDEATEKAEDDVGPLCDERSFFHQLYQASSPEGRTGAESGAIDWFPYR >KQL28960 pep chromosome:Setaria_italica_v2.0:I:9232824:9236704:-1 gene:SETIT_017537mg transcript:KQL28960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYKLWVRRNRDLVRSLESLANGLTWILPERFANSEIAPEAVYALLGIVSSVNQHIIDAPTENHSFASKEQSIPWGLVVSILKDVEAVVEVAAQHFVGDDRKWSFLAVTEAVKAGVRLAAFRESGYKMLLQGGEMANEEEMTVLEDNYGVNGNGVPVIYPMNGHSQNGHKAATNGLDGKNGVVSKSLERRAVAALNKFGENAKMMSDPMWMRRLQPTPEPTVMVVEKPTLASIWSAKGGTGRLFVLGEVVHIFRPLVYVLLIRKFGIRSWTPWLVSLAVELTSLGIHSHATDLNHRGGKVHQLSSAERDELKRRKMMWALYVMRDPFFTSYTKRHLQKAEQVLNPVPLIGFLTGKLMELLEGVQTRYTYTSGS >KQL28941 pep chromosome:Setaria_italica_v2.0:I:9102198:9104160:-1 gene:SETIT_020052mg transcript:KQL28941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGLVVAAGSGLGCDDDKEFFFDEAEEQEAQKEEQRVRAANAHKFALAKILDYDPKQGGRYYNRYHFEDFSRFDLDEESPLGPMRYTDKVCDWYLEYAAVNILSVKVSSLDVDFPINVYGTVIARDNIDCKCIYLFHREKDHCQLITSKVRSIIDLDWPKRALGLLDDAYVEIDLKITDDQGQEEEELSKGFVTIRGIAGRYLDKSIVESKDLATRLSTMEVKYAVVHEAVEATISIEVTEGEFSGKITASATGSRLNVVLHDSEVAGVMNAGNGKGDMQLMRSVDLLVTFVDTTGNVKSMGFTPGMKGRVEAGTSVGLTSMLVKVAWSLITN >KQL30295 pep chromosome:Setaria_italica_v2.0:I:29745605:29746687:-1 gene:SETIT_019503mg transcript:KQL30295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLKCALRGFDLRALLILLIGVPILIFAIYVHGQKVTYFLRPIWEKPPKPFTILPHYYHENVSMGNLCKLHGWKVRETPRRVFDAVLFSNELDILDIRWHELSPYVSEFVLLESNSTFTGIKKDLHFKENRQRFEFAESRLTYGMIGGRFVKGENPFVEESYQRVALDQLIKIAGITDDDLLIMSDVDEIPSGHTINLLRWCDDIPEILHLQLRNYLYSFQFLLDDKSWRASVHRYRSGKTSFCFRYINDFIFKMKAYSHVDRIRFKYFLNPKRIQHVICEGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPAYLLEQIDQYRYLLPGYCMRETGSG >KQL29858 pep chromosome:Setaria_italica_v2.0:I:25589399:25591095:-1 gene:SETIT_017145mg transcript:KQL29858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHRKKTPSSSSSSSSSASAALSAGGGGLKRSKSVAPRPEEQFPYSSSASSLAAESPRKKSFWSFLYLSSSPAYAHQAAASAPYAASGGAAAARRKSVSVASAAWASRANNSGAQDQQQPPGGATAASSTLGRTLEAIGEPESPSQSQVSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSNGFGDCTLRRVESHREPKPHKMRGGGGGGGGGLGHRGGASADDDDDEDDEYEHQHRIKCAGFFGGLGPASSSYWLSAAEGVAGGVSSGTRKSGGRSHRSWAWALASPMRAFRPTTTSTSTKTITVVPPSHVTSNGNTSASALSISSPTPPSSEAAAAPMATVTAAN >KQL29537 pep chromosome:Setaria_italica_v2.0:I:20266105:20270320:-1 gene:SETIT_016399mg transcript:KQL29537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSPSPTPRSPRRPEAIVAPDPSSADAQPSLDFGDPASLAALRALTDAGAATRLLHECVAYQRALDARLDSLLARRADIDRAAASLLRSAPPLLSLAASDAAALKESSSSTAALADALSSRVRHLDAAHSRADAALARAEAALDRSRALEAARRALAADDLAAAATAAHEFLTIDARFPTDDDLRRDLLDIKRRLEGLARRRLAAAVDAQDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGGLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGTQILRRYADYRKLARLASDINSYTKNLLSVVGSMASAAGGNEGPDPREIELYLEEILALTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKAFRNGSFNKMEQDLTGFYVIFEEFFMVENVRKAVRIDEPVPDGLTTSMVDDVFFVLQSCCRRAASTASINSVLAVLGGATSLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDISSEYVLKLRHEIEELCAEVFHAPADREKIKSCLSELGEISASFKKILHSGMEHLVASVAPRIRPVLDTVANVSYELDDAEYGENEVNDPWVQKLILAVNINVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >KQL29536 pep chromosome:Setaria_italica_v2.0:I:20266282:20270320:-1 gene:SETIT_016399mg transcript:KQL29536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSPSPTPRSPRRPEAIVAPDPSSADAQPSLDFGDPASLAALRALTDAGAATRLLHECVAYQRALDARLDSLLARRADIDRAAASLLRSAPPLLSLAASDAAALKESSSSTAALADALSSRVRHLDAAHSRADAALARAEAALDRSRALEAARRALAADDLAAAATAAHEFLTIDARFPTDDDLRRDLLDIKRRLEGLARRRLAAAVDAQDHPAVLRLVRLFPLLALADEGLQVYVAYLKKVVALRARADFEHLAELTSATQPTSERPDFVGGLTRLFKDIVLAVEENDAVLRELRGEDGVAYAIIELQEECDSRGTQILRRYADYRKLARLASDINSYTKNLLSVVGSMASAAGGNEGPDPREIELYLEEILALTQLGEDYTEFMVNKIRGLRDVKPELGPQAMKAFRNGSFNKMEQDLTGFYVIFEEFFMVENVRKAVRIDEPVPDGLTTSMVDDVFFVLQSCCRRAASTASINSVLAVLGGATSLLSNEYQEALQWRMREPNLGAKLFLGGVGVQKTGEEIATALNNMDISSEYVLKLRHEIEELCAEQVFHAPADREKIKSCLSELGEISASFKKILHSGMEHLVASVAPRIRPVLDTVANVSYELDDAEYGENEVNDPWVQKLILAVNINVAWLQPVMTSNNYDSFVHLIIDFIVKRLEVIMMQKRFSQLGGLQLDKEVRSLINHFSEMSQRPVRDKFSRLSQMSTILNFERVSEILDFWGDNAGHLTWLLTPAEVRRVLGLRIDFRPEAIAALRL >KQL31636 pep chromosome:Setaria_italica_v2.0:I:38968692:38973499:1 gene:SETIT_016741mg transcript:KQL31636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKRSRSPRAPPGGDDDKKRAAAAGWRGSGVRPEMVLVGFLLTLPLLFLVFGGRWGSSSSAFPSPSSSSTPVVSRHVAAGDRGATPQSQRPEAVTPKNLSASSPSPDKLLGGLLSSAFDESSCQSRYKSNLYRKPSPFPLSPYLAQKLRKYEAYHKKCGPRTKRYRRAIKQLKSGRNADDSECKYVVWFPCNGLGNRMLTIASTFLYALLTDRVLLTHVAPEQEGLFCEPFPGSSWVLPGDFPENNPHKLHIGAPESYANMLKSGAVRNDDPRSVPASSLPPYVYLHVEQFQLKLSDNVFCDEDQAMLSKFTWMILKSDSYFAPALFLTPMFEEELARMFPQKEAVFHHLGRYLFHPTNRVWGIIRRYYEAYLARVDEKIGFQIRIFPEKPIKFENMYDQLTRCIREQRLLPELGTVEPPANTTSGEAGKVKAVLIASLYSGYYEKIRGMYYESPTKTGEIVAVFQPSHEEQQQYTSNEHNQKALAEIYLLSYCDKIAMSAWSTFGYVAYSFAGVKPWILLRPDWNKEVSEVACVRSPSVEPCLHSPPLLGCRAKRDVDVAAVKPYVRHCEDVGFGLKLFDS >KQL28139 pep chromosome:Setaria_italica_v2.0:I:3720244:3721424:1 gene:SETIT_019697mg transcript:KQL28139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMERATWSYTYEKGLVDILKELANVPIFKGQNGWTAKGWRNITNKFNDMFPTTHFTKQQVQEKEKELKGNYKIIKKARKSGVGWNDTLGMIIAEPKGWEKLIKDNHKVAKFCKKPFPLYNSLELLYEGSVAIWDLNFTSIQPPPQRTELPTEPTPQNSKLPTEPTPQTSISEVQFAPASRNSEDQDVTGGKKRKQSQMAAKLGDYIDFRKDQIGKTLEKLEKKKKWLSDKQKADANEVFQSETNRKILVGTKNPNVRLIWLKKKIAH >KQL30080 pep chromosome:Setaria_italica_v2.0:I:27893367:27897796:-1 gene:SETIT_016742mg transcript:KQL30080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQGKPRGTPAKAKKSPLVEADEIGQASEVVLARESPLAPKNDQGCGVSHSDGDDETQESDGCVQNGWVDFGHSLQLLLFSRQWDLAESLVALADQQSLLDYGLSVALDAIWFLRTKRDLEGINGLIAKIVASGAKDFARAILRTSLLASCVAACQSKAISVGDSKEIVADRLHDRLRDCPGADHLKIEAGVKVQKFMEWALQCIHMHHCSEETQRYRWNSNTLQEVQLHLSAFRAFLDIAGDNLSGKIFTEAFDAACFPLTLFSSLFEPGWSSGSSAVSIKGLLSLLVEGGADNVNQCFLEAARFGSTELVRILLEIGYQNSLAVDIDMALVYASHYCKLDTMACLVDEGNASSFLGPMIKAAERGCLQVVHWFVSRGVSEIETCLALTTAASSGHFMVASYLLEQIPQQVLEALSTQILKAARGQGSRSLDGVAFLLRSNFLKDAAATYEAADLIARGATNGEPPDLVAFLKEHWSQAAFAEGVSAGEAHFVNVMRVLRRGASPICLDNLPSQMVLGIAYLPLYQACVSESGGQLLPQRLRGEMVEAMSRLGEPIGAESQGKDLVMALERHMPSFLVGS >KQL30081 pep chromosome:Setaria_italica_v2.0:I:27893367:27897906:-1 gene:SETIT_016742mg transcript:KQL30081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQGKPRGTPAKAKKSPLVEADEIGQASEVVLARESPLAPKNDQGCGVSHSDGDDETQESDGCVQNGWVDFGHSLQLLLFSRQWDLAESLVALADQQSLLDYGLSVALDAIWFLRTKRDLEGINGLIAKIVASGAKDFARAILRTSLLASCVAACQSKAISVGDSKEIVADRLHDRLRDCPGADHLKIEAGVKVQKFMEWALQCIHMHHCSEETQRYRWNSNTLQEVQLHLSAFRAFLDIAGDNLSGKIFTEAFDAACFPLTLFSSLFEPGWSSGSSAVSIKGLLSLLVEGGADNVNQCFLEAARFGSTELVRILLEIGYQNSLAVDIDMALVYASHYCKLDTMACLVDEGNASSFLGPMIKAAERGCLQVVHWFVSRGVSEIETCLALTTAASSGHFMVASYLLEQIPQQVLEALSTQILKAARGQGSRSLDGVAFLLRSNFLKDAAATYEAADLIARGATNGEPPDLVAFLKEHWSQAAFAEGVSAGEAHFVNVMRVLRRGASPICLDNLPSQMVLGIAYLPLYQACVSESGGQLLPQRLRGEMVEAMSRLGEPIGAESQGKDLVMALERHMPSFLVGS >KQL29362 pep chromosome:Setaria_italica_v2.0:I:14353654:14363639:-1 gene:SETIT_016165mg transcript:KQL29362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQMLGLRGSASKDRGRGGDASPSSSSAAAGGAGTPRSPWTPASASSPRSPFAAEAGGEGGGGRPLRLVYCDERGRFRMDPEALAALQLVKGPVGVVSVCGRARQGKSFILNQLLGRSSGFLVASTHRPCTKGLWMWSAPIKRTALDGTEYSLLLLDSEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTEMTKHIRVRANGGRSTASELGQFSPIFIWLLRDFYLDLVENDRKITPRDYLEIALRPLEGRGKDISSKNEIRESIRSLFPDRECFTLVRPLNNENELQRLDQIPLEKLRTEFQAGLDELTRFIFERTRPKQVAGTIMTGPVLAGVTQSFLDALNNGAVPTISSSWQSVEEAECRRAYDSAAEIYMSSFGHSRLAEEDALRDAHEAALRKALDAYNAAAVGTGISRAHYEKVLNNFCRKAFQDYKKNAFLEADKQCSNAIQNMEKKIRAACTAPGVKVSAVIQVLEASLAEYETSCTAPAKWRMLAAFLRQCLEGPILDLCLKLINEAESEKTSFALRCRSNEDQLELLKKQLEANEAHKSEYLKRYETAISEKQRTSSDLSGHLANLRTKCSTLEERCVSISKELDHVRHECTDWRAKYEQSSSQQNAEQDRYVAQLASLETRYSSAEGKLGAAREQAASAQEEAVEWKKKYETASLQAKTALERLASVQEQINKIAQEREGAIRAEFATHLEEKEEEIRKLVAKIRQAESEESVLTERLQVAESKTQGHNKETAGLKDEIKELMSKLEFLRDRAVSYEKQARMLEQEKKHLEEKFVSECKKYDEAEQRYKSAERDAKKATELADKEKDEAQRLSMEKVAVIERIQRQVDRLEQEKVNLLGEVQRMRNSESDAWSKVTLLESRVAEREKEMDDLLSRSNEQRSSTVHVLESLLATERAARAEANKRAEALSLQLQSTQGKLDILHQELTSIRLVETALDSKLRTTTRGKRLRDNEIGADSVQDMDIDPPERSRKRTKSNTSPLKALYEDGGSVHMGEDSVTVSTDTKGGNPDGYKKFTIAKLKEELTKHGFGAQLLELKNPTKKDILALYKKHVLCE >KQL32074 pep chromosome:Setaria_italica_v2.0:I:41215969:41217346:1 gene:SETIT_017438mg transcript:KQL32074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWATPSPPLPLRLRRPPGPPPRPGPFLTRHRRRLNRISASQDPLSTLSRLLWGRALPPAQLVLAVRHGWTAAWQVLMRQLAPSDPATGAFTRTPSRFPAVVGTPSSRLHLYVGLPCPWAHRTLVVRALLGLEARLPVSVAVPGDDGAWSFTPDSPDGLYGKRRLREVYAVRSGGFEGRASVPLLWDAERREVVCNESIEIIKYLCGLADADGGGGGGGGLDLWPSELRQDIDRWYGVIYPSVNNGVYRCGFAQSQEAYDAAASELFGALDRLEAHLASSRYLCGDRLTLADVCLFTTLIRFDLVYNTLFRCTRRKLAEYPSLHAYTRDIYQMPRVADTCDMEAIMAGYFKTLFPLNPGGIQPLRPASCDGESLLRPHGREALPSAAGTPLEAAAVS >KQL30424 pep chromosome:Setaria_italica_v2.0:I:30490909:30493360:1 gene:SETIT_017414mg transcript:KQL30424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETFAGLRIVASAVPQPRTLHCCLLRTALRGGQFRGGRLRARAAVAGAPEVDDDDAMSIDNLHRFFDLNVGRWNGSFYQFDAHGRVLQGISTRLSVSTYGEDDLISLLQSLYIKQASSRISIVDEEDSEPEWVEYKIKETNMFTVDKYQQIGFFPKEKAFALRYQTAGMLETVLRVGVLGEDDTGEDSPKNLKIPSRKPSIVCENCLYSLEANGRVRAFHIMDPKGVVDTLLVFHEKQGSIVSQPLFDSSVDPESASSNRINALLGRWEGHSVTKRSGVYGATLAEADTVVVLEMDGNGKLIQDTKSTKSGTSTTTTVNWIGSANNNLLQFDGGYEITLLPGGMYMGYPSDISKCVAQLDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFLESKV >KQL30770 pep chromosome:Setaria_italica_v2.0:I:33241207:33243574:1 gene:SETIT_017597mg transcript:KQL30770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLLLVDSAAASLCATTAARRHRRAAGRSGARFLSCSCSSRDEPATRAWPSYSSAGNNMPRAVRSVPRVNGWSGNGHGGWAAAREESSVLLEALEDEYGGMIVDADRLPSDADGFSRSLAASLSYWKSAGKKGVWLKLPLDRSEFIPLAVKEGFRYHHAEQSYLMLTYWIPDEPCLLPANASHQVGVGGFVINDQMEVLVVQEKYSASSLPGAWKLPTGFIHASEEIFTGAVREVKEETGIDTEFVDLIAFRHAHNVAFQKSDLFFICVLRPLSNDIRIDEAEIQAAKWMPLPELMEQPFIQDDHMFRKISDICVQRLRKRYCGLTAHHVVSRFDGGASTLYYNVAEPERGDRNGDDAA >KQL30446 pep chromosome:Setaria_italica_v2.0:I:30606684:30610683:1 gene:SETIT_016553mg transcript:KQL30446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALVSLFRIARRLPAPLAAARAPTPLLLRHLHGDAPPPPPPQDPPPFVSRILESEPSLTPSTEAEPAPDPFLDEFLARFVAAFRPELAAAFPDYDRAVLDEMLRLVADAVVCRVTGADPGPDAAELSDDLWAAVWKVSASVQEAMRRDQVRADLRHYLHCDEVKEMTRFAVDVGIRGSMLREFRFKWAREKLDEVEFYRGLDDMRAKAEAAANPAPAPVRRLTALPKRKGEVKFTMYGLDMSDPKWAEVAERTAEAEAHFVPQEAKPVEGKAKKAEEQLLRVDPRRGDPVPAMEEWKEDLRPKRVDWMALLERVKARNVELYLKVAEILLAEESFEANIRDYSKLIDLHAKANHVEGAEIILGKMKEKGVAPDILTSITLVHMYSKAGNLDRAKEAFEFIRNEGFKPDLKLFSSMIKCYISHGEPGQADNLLNTMKGMDIKATREMYTDVIRAYAQQGMVAAAQNVHRAMHFAGIESTPELFTMSIEAYGRIGDPENACLVFQHMRRSGHEPDDSSIAGVVAAHMKKNQLDQALLWLLSLEKEGFKPGVKTNLVLLDWLSMLQLVPEAEQLARKIKQLGEEPIEVHVFLADMYAKSRQEEKARRSLKMLEEKKKLLKADQFERIIRGLLDGGFSEEANKYYKMMKSFGFEPSETIEVGVKASLRMRGGLRPTGRH >KQL30447 pep chromosome:Setaria_italica_v2.0:I:30606684:30610390:1 gene:SETIT_016553mg transcript:KQL30447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALVSLFRIARRLPAPLAAARAPTPLLLRHLHGDAPPPPPPQDPPPFVSRILESEPSLTPSTEAEPAPDPFLDEFLARFVAAFRPELAAAFPDYDRAVLDEMLRLVADAVVCRVTGADPGPDAAELSDDLWAAVWKVSASVQEAMRRDQVRADLRHYLHCDEVKEMTRFAVDVGIRGSMLREFRFKWAREKLDEVEFYRGLDDMRAKAEAAANPAPAPVRRLTALPKRKGEVKFTMYGLDMSDPKWAEVAERTAEAEAHFVPQEAKPVEGKAKKAEEQLLRVDPRRGDPVPAMEEWKEDLRPKRVDWMALLERVKARNVELYLKVAEILLAEESFEANIRDYSKLIDLHAKANHVEGAEIILGKMKEKGVAPDILTSITLVHMYSKAGNLDRAKEAFEFIRNEGFKPDLKLFSSMIKCYISHGEPGQADNLLNTMKGMDIKATREMYTDVIRAYAQQGMVAAAQNVHRAMHFAGIESTPELFTMSIEAYGRIGDPENACLVFQHMRRSGHEPDDSSIAGVVAAHMKKNQLDQALLWLLSLEKEGFKPGVKTNLVLLDWLSMLQLVPEAEQLARKIKQLGEEPIEVHVFLADMYAKSRQEEKARRSLKMLEEKKKLLKADQFERIIRGLLDGGFSEEANKYYKMMKSFGFEPSETIEVGVKASLRMRGGLRPTGRH >KQL29002 pep chromosome:Setaria_italica_v2.0:I:9625734:9628477:1 gene:SETIT_019524mg transcript:KQL29002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSSSSCVNKPSLAPPVPGADGPPAARRIVAAHRLPLRASPDPDSPFGFAFSLDPDAPSLQLSRGFSPAPVTYIGTLPASVESKIVPSDELNDYLMENFSCLPVHLDGGRHAEFYDGFCKRYLWPLLHYLLPFTVADAGNLYFDEAEYRAFIAANRQFADRVIQVLSPDDGDLVIVHDYHLWALPTFLRRKCPRAGVGLFLHTPFPSAEILSSVAVCDELLRGLLNADLVGFHTSYYAHQFVSCCWGLLGVSYNISSLHGGHVGINYHGRTVLVKTFTVGVDMGRLRATLASPEAAAKAKEIAEAYRGRVLMVGVDDVDPFKGVGLKLLALEKMLEADQDMRRRVVLVQINNPARSAGGDADDVRSEAAQIERRINRRFGDGGELVVAIDGPVPMWVKAAYYAAADCCVVTAVRDGLNRIPYFYTVCREEGARRGGAVVVSQFAGCAASLGGAIRVNPLDPAALADAMRAAVTMDAEERQARHSANYGYLRAHDVADWARSFDKALNLACRDHAALLLVGFGLGLGYRAMALRPRFQALTAEHVRPSYRDAACRLILLDYDGTMVPEQQDERKGAPDAGIVRLLNELCADPKNVVFLVSGRGKDQLAAWFASCEKLGICAEHGYFTRWSKGDPWEVMNKQVVPGGTGSGWKAVAERVMRQYMEATAGSYMETKEIALAWHYQNADPVVGPCQAKELHDHLVGLLADEPASVAIGSQIVEVNPQGVNKGVAVRGVLSAMSRRGVAAPDLALCFGDGEADEDMFEALARSGARLAAGARVFACTVGKKATEAAFYVEEPADVVGLLRALARCYSY >KQL30979 pep chromosome:Setaria_italica_v2.0:I:34834317:34834475:-1 gene:SETIT_019626mg transcript:KQL30979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNQNGFHACSVVTYKQMLIRNKSIDVTQMKKKSDMFSGDQSEALAICKEGS >KQL27807 pep chromosome:Setaria_italica_v2.0:I:806102:810262:1 gene:SETIT_020230mg transcript:KQL27807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METALGAANWLLSKVLNKLSDDLVAGYVASRELGLNFDKIKTELKYTLGLLHGAQGRDFSHNPGLQGLLEDLSKKADEAEDALDELHYFMIQDELDGTREATPDLGDGLGAQALHARHAARNTAGNWLSCFSVCCRSQDAAAAAAAGTGNTSKAVASFNDIDSGYADKLIFDRVAMSNKIKQLIEDIHSLCPPISKLLEINNSSKIPPKSMERPAIGSTIRQEEFYGRSTIFKQTVDRMTSGTCSDETLSVLPIVGPGGIGKTTFTQHLYNNERTEKHFAVRIWVCVSTNFDVLKLTKEIRSCIPAVENEGETDNLDQLQKSIAKRLKSKRFLIVLDDIWQCSEDRWVNFLASFTMREAEKGSMVIVTTRFPYIAQMVKTTTPVNLEGLEPAEFWVFFQACVFGEVIAEHDNKEELIDIARQIANKLKCSPLAAKTVGRLLKKRFSREHWMGILQKKEWLNQTHNDDIMPALKISYDYLPFHLQKCFSYCGLFPEDYEFDSLEISRFWISIGIIDSCGQNDKIEDIGSKYLDELLDNGFLMKGDQNYYVMHDLMHELAQVVSSKECAYISCSSFRADDVQPSIRHLSILMSDDYNETFGEEMDKLKRRVDIGNLRSLMIFGGYETASLVNILKETFKEIKGLRVLFIFMNYTNSLPPSFSKLIHLRHLKLESPYYNRELCCPRIVSRFYHLKFLDLQKWRGMCDLPKDISRLVNLCHFVAREGFHTNIPEVGKMRLLEELKGFHVKKESARFELRELGQLVQLGGELSIRGLENVRSSKEAAEARLMAKRDLIKLGLFWSRGHQSKVDDILTNLQPHSNLRALCIVNHGGPAGPSWLCSNIHMKYLETLHLEGVSWSTLPPFGQIYHLRKLKLKNIVGICQFGPDFIGGITEKSFTHLKEVQLHHMPELVEWIGGGNTHLFSRLERITCSYCPKLTALPFSRCSSSSTQDNTIWFPNLCYLSTQECPKLSLPPLPHTRMLSSFRTDSLRYEERGLCIYKMPGELAFHNLGEVERLMIFDASLISFTDLQKLHPLRSIDVRSCEDTFLRGLDDDIVLYSVQSLDLSEFIVTRKSLSNLFKCFPALSYLSVGASSEHHDEVVVLQVPPSSSLRHVRLDGCKNLILPMEDGGGFQSLLSLKSVSIQGCNMLLSRWSTGEAAQGISPFPPHVEELSLWHEPSTLSMALFSNLTSLTELELRDCKNFTMDGFNPLITSTLDSLMVCNSRFDETDPYSIAADLLAEVARTKTMHAGSFHLVRLEVDSISAVLVAPICTRISATLRKLAFVRDWRVESFTEEQEQALQFLTSLQWLQFDRCRGLLSIPRGLHCLSSLETIIISGSPRIRSLPKKGLPDSLQMLRINESDCSAELYEECQKLRGTRPDIDVDFL >KQL29220 pep chromosome:Setaria_italica_v2.0:I:11660309:11661199:-1 gene:SETIT_019812mg transcript:KQL29220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKAAQILGHPPGVNFRPDDDELVEFFLLPRLRGEPSWFPGVVVIDDDSAANTLPWNLLKRHGLVDDGNAYFFVHTNNEVARQDRYCPGDGTWVSQRQESGSSCICGETIKWRRTNLNLQMGRGKKGSMGWVMHEYTLTEPPCPFLKICHVTFTGHGKWRKRVPDDESDCQATGEPASKRPRVAVTAAAVDTSVPAIFQQEHSLPFPIDQGISAMTHQQQMVMMPMPDDKNDCQAANSSSATCANGSTMTTADQDSGAAHAYAGEESAQDTDEETLEWFRLDGKDLLADAEPTAEQ >KQL28440 pep chromosome:Setaria_italica_v2.0:I:5903327:5907071:1 gene:SETIT_018976mg transcript:KQL28440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALGKLLLDMCCSFRLLERGSSSVLGIRCWSYALLYSIASDVVQTYYACDIVTKTRREDND >KQL28439 pep chromosome:Setaria_italica_v2.0:I:5902638:5907071:1 gene:SETIT_018976mg transcript:KQL28439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQKYQANTVFCCRLSSGSSSVLGIRCWSYALLYSIASDVVQTYYACDIVTKTRREDND >KQL30693 pep chromosome:Setaria_italica_v2.0:I:32663396:32664268:-1 gene:SETIT_018244mg transcript:KQL30693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYKAFPTLNHDTAKLIHLILHGIALVLGAVGIYFAFKNHNESGIANLYSLHSWIGIGTIILYGIQWIFGFVTFFFPGAAPNLRKSVLPWHILFGLFVYILALANASLGFLEKLTFLESGGLDKYGTEAFLVNFTALVVVLFGASVVVAAIAPARLEEPQGYAPIPES >KQL30692 pep chromosome:Setaria_italica_v2.0:I:32663163:32664705:-1 gene:SETIT_018244mg transcript:KQL30692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIPDVEILQVHPVLMLIGYIIIGSEAIMVYKAFPTLNHDTAKLIHLILHGIALVLGAVGIYFAFKNHNESGIANLYSLHSWIGIGTIILYGIQWIFGFVTFFFPGAAPNLRKSVLPWHILFGLFVYILALANASLGFLEKLTFLESGGLDKYGTEAFLVNFTALVVVLFGASVVVAAIAPARLEEPQGYAPIPES >KQL30694 pep chromosome:Setaria_italica_v2.0:I:32663163:32665668:-1 gene:SETIT_018244mg transcript:KQL30694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGVRAAPFTYVAHVLAVAAAAMVLVWAIHFRGGLAIEATNKNLIFNVHPVLMLIGYIIIGSEAIMVYKAFPTLNHDTAKLIHLILHGIALVLGAVGIYFAFKNHNESGIANLYSLHSWIGIGTIILYGIQWIFGFVTFFFPGAAPNLRKSVLPWHILFGLFVYILALANASLGFLEKLTFLESGGLDKYGTEAFLVNFTALVVVLFGASVVVAAIAPARLEEPQGYAPIPES >KQL28733 pep chromosome:Setaria_italica_v2.0:I:7798792:7799676:-1 gene:SETIT_019554mg transcript:KQL28733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVTTAGSAEEHPVSVEEFVGAHLSLGIGGGEGSSRSSPRQQGRPRTVQLFGEVLSLQVDDECHDGARRREPAAAAGRKKRDHSGSGARQNKKARTFQDGGDGGGGGGRKKLRLTGAQAAMLEDSFRSHNILSHAEKQELARRVGLSARQVEVWFQNRRARTKLKQTEVDCELLHRWCDRLTDENARLRRDLADLRASATARLAVCAACCDKQVAARAGEMA >KQL29046 pep chromosome:Setaria_italica_v2.0:I:9899369:9899574:-1 gene:SETIT_018975mg transcript:KQL29046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARAQDKLMTQLASARHNADEKCAAAELKRNHAAARTAEQAEHITRTGRVPPSFGCWNWCS >KQL29184 pep chromosome:Setaria_italica_v2.0:I:11087181:11088630:1 gene:SETIT_017963mg transcript:KQL29184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGKQGAALLALILASALVGLAAGGNFYEECDATWEPQNCWAYDDGNRLSLALVSNSSGSMIRSKKQFVYGTVSTMIQLVPGDSAGTVTTYYMSSLGDNHDEIDYEFLGNVTGQPYTIHTNVYAAGVGNKEMQFKPWFDPTAGYHNYTISWAPCMIVWYIDGVPIRAFRNYEASHGVAFPTSQPMYAYSSIWAAEDWATQGGRVKADWSKAPFVASYHGIDLDVCECYGGGSCVAGCAAAFGDAGRYYCSLNATQVGKMQWVQGNYRIYDYCADPKRWINGQEPVECGLAQY >KQL29429 pep chromosome:Setaria_italica_v2.0:I:15761259:15761876:1 gene:SETIT_020272mg transcript:KQL29429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASNACSSYNFCSLVSGLTPLSTNHYGHIQIAGTPASPVPLKHADRWTPGPELSAM >KQL29479 pep chromosome:Setaria_italica_v2.0:I:17207646:17208145:1 gene:SETIT_020591mg transcript:KQL29479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLDTVVSNGNYTNAGGPWPTLAPIKLRPWSVVVHMQTCGTVAPAIEKG >KQL29929 pep chromosome:Setaria_italica_v2.0:I:26568254:26570194:1 gene:SETIT_020197mg transcript:KQL29929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRSKRTSAPTLMEVPPPVAQSGQPAPPPSIPSMFGPATRHGNAGVGASTYPWSRPNNPEEFDVQEWGLDSYPPGGFLNILKSRPQAASNGSSSQAIHIDNDNNDGNCSRTEKRLIWTKEEDLRLKNDQYWKGVAYVFNSTTPKNRVRTAKQIKDHFGRIKKRVAWFYVLCKEPKWDTYLEHLDDLEPDKRKFSVDEDVGDERPIGGKKAKEQMKKRKKEQPCIINLEDELNNFLDAQKIENEGCKEMLETQRRVSNKNLEARKLAHLVAKEHKESIMLETYRALLIKETIGMPEDVKSEDVLALKCLREKLLIKMTKPSMLAAGFVARVKAVNTRHPRT >KQL28920 pep chromosome:Setaria_italica_v2.0:I:8879629:8881766:-1 gene:SETIT_019458mg transcript:KQL28920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLKTSKSRKRKASTPAEPPIPELSDEIVLNILVRLPVKTVLRCRAVCKAWLAIISDSSFTRAHLRCSASRCEQNPRFIITPHTLDHVPWEDLPTTFSNHIRFYQWQQGAPMATLMHDEDFGSQFGTVRYFAHCGWAAPRCYCDGLGLDPHMGKYKVVRAFYRSKDPHTNLGRNMGMEHHHRPPPRGLLHLSLADETYGITKLPASVVDPVLPNAFSLDELHGELYVTELTNYETTIIWTVPILEEDGGKGRRARTWKNLFFFNIHRYTESLVRISV >KQL30265 pep chromosome:Setaria_italica_v2.0:I:29526724:29529375:1 gene:SETIT_020204mg transcript:KQL30265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPETIGPLLARYGASRSLLAGAHLHSHLLKSGLLAACRNHLISFYSRCRLPRAARAVFDEIPDPCHVSWSSLVTAYSNNSMPREALGAFRAMRSRGVRCNEFALPVVLKCAPDARLGAQVHALAVATALDGDVFVANALVAMYGGFGMVDEARRMFDESGGAISKERNAVSWNGMMSAYVKNDRCGDAIGVFREMVWSGARPNEFGFSCVVNACTGARDSEAGRQVHAMVVRMGYDEDVFTANALVDMYSKLGDIDTAAVVFEKMPAVDVVSWNAFISGCVIHGHDHRALELLIQMKSSGLVPNVYTLSTILKACAGAGAFNLGRQIHGFMIKADAVSDEFIGVGLVDMYAKDGFLDDARKVFNFMPQKNLILWNALISGCSHDGQCGEALSLFRRMRMEGLDLDVNRTTLAAVLKSTASLEAISHTRQVHALAEKIGLLSDSHVINGLIDSYWKCDCLNDAVRVFEESCPDDIISSTSMITALSQSDHGEDAIKLFVQMLRKGLEPDSFVLSSLLNACASLSAYEQGKQVHAHLIKRQFTSDVFAGNALVYTYAKCGSIEDADMAFSGLPERGVVSWSAMIGGLAQHGQGKRSLELFHRMLDEGVAPNHITLTSVLSACNHAGLVDEAKKYFESMKEMFGIDRTEEHYSCMIDLLGRAGKLEDAMELVNNMPFEANAAVWGALLGASRVHQDPELGRLAAEKLFTLEPEKSGTHVLLANTYASAGMWDEVAKVRKLMKESNLKKEPAMSWVEMKDKVHTFIVGDKSHPKAKEIYGKLDELGDLMNKAGYVPNVEVDLHDVDKSEKELLLSHHSERLAVAFALISTPAGAPIRVKKNLRICRDCHVAFKFISKIVSREIIIRDINRFHHFRDGTCSCGDYW >KQL28686 pep chromosome:Setaria_italica_v2.0:I:7478364:7480456:1 gene:SETIT_019884mg transcript:KQL28686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFALALALLLSWASPTTSCTAQERTSLLRFLAGLSQDGGLTESWRNGTSCCTWEGIICNVNGTVSEVSLGSRGLEGRISPSLGDLSSLLRLNLSSNFFTGSLPTELMSSSSIVVLDVSSNRLSGALKDLKSSASDMPLQLLNISSNQLTGKLPSTMWEKTRGLVMLNASNNSFTGQILLLSASAHGPWHGHVPNQLGNCSALRVLKAGRNNLTGALPDELFNTTLLEHLSFHSNSLEGTIGGQHVIKLRNLVILNLGRNKFRGTIPASIGHLGRLEELHLNKNDMSGELPSSIGNCTNLRVINLRSNIFGGTLQKVNFSSLLNLKFLDLLLNDFSGEIPESIYSCSNLIGLRLSTNKFNGQLSPRIGNLKSLSFLSISINNFVNITGALHALKNSRNLTSLLMGVNFVGEVMPDPMPIDGFENLQILSIHSCQLSGKLPLWLSKLTSLRILKLSNNKLTGTIPVWISTLNFLYHIDMSNNSFIGEIPTALMEMPMLQSKKVPDYMNQRVFIMPIYMSASSEYRMLGAFPTTLNLGNNKFTGAIPPEIGQLKALLVLNLSFNKLHGEIPQSTGNLTRLQELDLSSNHLIGTIPPAMKSLHFLAMLNVSNNDLEGAIPTGGQFTTFSNSSFEGNPKLCGPMLAQHCNSAEPPLTSTVSKEQTYEKVIFVVAFCTFFVVGVLYDQIILS >KQL29733 pep chromosome:Setaria_italica_v2.0:I:23937013:23941533:1 gene:SETIT_018561mg transcript:KQL29733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPYEGGVFQLAFAIPEQYPLLPPQVRFLTRIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGFQSMAKMYTKLAAMPKKN >KQL29734 pep chromosome:Setaria_italica_v2.0:I:23937013:23941533:1 gene:SETIT_018561mg transcript:KQL29734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPYEGGVFQLAFAIPEQYPLLPPQVRFLTRIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGFQSMAKMYTKLAAMPKKN >KQL28640 pep chromosome:Setaria_italica_v2.0:I:7166659:7167594:-1 gene:SETIT_019154mg transcript:KQL28640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPHALCYRGGNCKAAYERFGFDGITLSAALQRRVPHGGGLLGAFIRLKKCWAFGDMHAPCQYATGGTALVPWKRRERLPLESKTGDSRSLFSPPPTSVSPPVCRRAAYKTPLVTRRDPGQDKYYTSLLQYSTSQKASIEMAAAAAAGGKAPSLVVAASMGAVEALKDQAGLCRWDYALRSLYHRAAAPRIHALSAALSDSTAGLPRAGAGRAAAAADVRMNKAYHLVCWGPN >KQL28890 pep chromosome:Setaria_italica_v2.0:I:8678925:8680895:1 gene:SETIT_020179mg transcript:KQL28890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTFQNLPRLRRTSLSLRRVYTSAPPPPRHEGDIVRWNSAITAHLRAGRVGAARRVFDEMPDRNVFTWNCMISGLVRNRMLADARGVFDAMPFRNSVSWAALLTGYARCGRVAEARELFDRMPDRNVVSWNAMVSGYVRNGMVDRARELFDVMPVRNDVSWLTMISGYMKRKRVREARELFDRMPSPSTSVCNALLSGYAEHGYLKDAEELFGRMQRQNLISWNVMITGYTQAGMMEVAQSLFDEMPEKDTVSWTAIVRGYLKNGDVDAAWKLFQDMPDRDILAWNTMIGGFVMSERLDDALRLFADMPDRDLVSWNTILQGYVQQGDMDSANTWFRRMPEKNETSWNTLISGYKDEGALSLLLEMTRGGYKPDQATWSVVISICASLVALGCGRMVHVCAIKTGFEHDALVMSSLISMYSKCGLIIEASQVFEMIMQRDTVTWNAMIATYAYHGLAAEALKLFDRMTKDGFTPDHSTFLSVLSACAHKGYLYEGCHYFRSMQQDWNLIPRSDHYSCMVDLFGRSGFVHQAYDFTRKIPSNLQINAWETLFSACNAHGDIQLGELIAKNVLQSRPSDGGMYTLLSNIYAAKEMWSSAASVRGLMKDRGLKKETGCSWIELKGDVVSFSSNDNAHPLIEQICQEVDSLSVLIEEAS >KQL30506 pep chromosome:Setaria_italica_v2.0:I:31286959:31288992:-1 gene:SETIT_019133mg transcript:KQL30506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPRRGGKRSIGRIYYAHPASGDRYYLRILLNIVKGCKSFKDIRKVDGVIHKTYKSTCYALGLLDDDNEWDDCIKEASFWASATQMRQLFCTILLFCEVTDPLKLWESNWELLSEDIERHQRRFMNFERLHLQPEQKKKLTLIEIEQLLRKGGKSLKDFNGMPLPDNTVMQGLRNRLLNEELNYDRNSLQKECVELLQKLNLDQRKAFDAITQSVNSKLGKLIFVNGYGGTGKTFLWKAITKSLRSEGKIVLAVASSGIAALLLPGGRTAHSRFHIPLNINNESTCDIKQGSLLAELLNKTSLILWDEAPMTNKHCFEALDKSLRDILRFTDENSKDKPFGGMTIVMGGDFRQTLPVIPKGRRTHIIDASLKRSYLWKHFEEIKLTTNMRLTAVTNSTEEKKKIQEFADWILSIGDGLAGDKDDEAWITIPQDLILQKGEDELETIVNNTYPDLSRNYSNRTYLEERAILCPRNEMVDNINSYIMSQIPGEETTYLSSDTVCKAISTKESEDQLYPTEFLNSLKFPGIPNHKLQLKVGLPIMLLRNINQSAGLCNGTRLTITQLGKWFIEAQIITGTNIGNKVYIPRIIMSPTESKWPFVLKRRQYPITVCFAMTINKSQGQSLKNVGLYLPKQVFTHGQLYVAVSRVTSREGLKILISDEESPEDNMAKNIVYKEIL >KQL31099 pep chromosome:Setaria_italica_v2.0:I:35612915:35613913:-1 gene:SETIT_019662mg transcript:KQL31099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLEQIHQTLGDIDARVPDALRVALGLGYRASPTPAPGEDDEVADFAASLLQPPATDDGAGDRGPTEHDAAAPRIDWASCYVPLHDHDAHFGNTKAGVLGVADGVGAYAESGVDAGAFSRGLMTSASAEVAALEPGAHVCPRALLERAYDETAASGAPGASTAVILSLAGNALEWAYIGDSAFAVLRGSKIVFLSTPQRHLSRTSLKKLRFSSTAARRKQRLFSSSDPPFQLSAAGEHSDSVLDAKAGQLAVRAGDVVVVGTDGLFDNILEEQLELAVQMGTRLGFSPKNMADIIAGVAYESSKRLRKGKPDDITVLIAFIVQSDL >KQL29758 pep chromosome:Setaria_italica_v2.0:I:24205533:24205748:-1 gene:SETIT_019619mg transcript:KQL29758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLPWEEVDWNLAQSNEPVYAEFCVKCHNLSLLSSSKEWNDDSMVLLYACDRYCYEDEEKFTKFQPLGPLQ >KQL32250 pep chromosome:Setaria_italica_v2.0:I:41927754:41930119:-1 gene:SETIT_018888mg transcript:KQL32250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGGMGNPVNVGIAVQADWENREFISNISLNVRRLFDFLLRFEATTKSKLASLNEKLDILERKLEVLEVQVSSATTNPSVFN >KQL29478 pep chromosome:Setaria_italica_v2.0:I:17203416:17203442:-1 gene:SETIT_019483mg transcript:KQL29478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRGGAA >KQL29157 pep chromosome:Setaria_italica_v2.0:I:10766511:10769882:-1 gene:SETIT_016775mg transcript:KQL29157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKGGGGGGADKRQCSFPASPPELQPEAVRRRWRPPSSRRKALLPAVCVAAAVAVAVVVLCAGGGSLDGVRDYLFFRDAQVNLTADHLLDGLLTAEFSYRSCRSRYEFGSYHKNSSHKPSPYLLSKLRKQEALQKRCGPGTPAYKNALRRLQSSDGAATGVEDGDCRYLVNISFRGLGNRMIAVASAFLYAVLTERVLLVHWGKQDAADVFCQPFPGATWLLPRASGKRSPLRNLDDYGGESKESLGNMLQSGGAVVVSADGNVSWASPRRPPAYVYLHLSGGYGFHDKLFYCGAHQRLLRGVPWLLMRTDSYLVPGLFLTPPFVGELEAMFPEKDAAFHHLGRYLFHPSNAVWRAVTNYHRANLAGAAGRRVVGIQIRVFDKKQPPQLVLDQLLSCVRNEKLLPGIAASNDDAVLVTSLSSWYYERIAAEYGGGVAGGGVHQPSQEGKQRWGDAAHDVKALSEMYLLSTCDVLVTSGFSTFGYVAQGLAGRRPWVMARPSPWEEWKEGQAAPEPPCRRALSVEPCFHSPSYYDCAAGRDVELDRVRPYIRRCVDVSWGIQLVNESSSRW >KQL31960 pep chromosome:Setaria_italica_v2.0:I:40639263:40642460:1 gene:SETIT_018477mg transcript:KQL31960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASSMLTQYDIEEVQEHCNYLFSQQEIVSLYERFCQLDRSAKGFISEDEFLSIPEFSLNPLSKRLLRMVDGLNFKDFVAFLSTFSTKASLRQEIELIFKVYDIDGKGKVTFKDLLEVLRDQTGSFMSEEQREQVVTKVLEEAGYTKDCSFSVEDFIQIIDHPGLKMEVEVPID >KQL30519 pep chromosome:Setaria_italica_v2.0:I:31434309:31436875:1 gene:SETIT_018809mg transcript:KQL30519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGLILSSLGRSMRRKRISSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKLKPYVSQCARDLTASTTSSTTAESSENKS >KQL32048 pep chromosome:Setaria_italica_v2.0:I:41092990:41100689:1 gene:SETIT_016447mg transcript:KQL32048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKKSRSRGGGGAAAPSGDDHDELARPPPLQAVLLADSFTLKFRPITLERPKVLLPLVHMPMIEYTLTWLESAGVDEAFVFCCAHSHQVKEYLERSGWAGKAGAGSMAVTAVESHDAISAGDALRVIYERGVIRGDFVLISGDTISNMSLKDALQEHMDRKKKDPLAVMTMIIKHSKPSILTHQTRLGNDEIVMAVDPETKELLYYEDRADNSHLYVTIDKDILTNNPTLQLHNDMEDCYIDICSPEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIYTHELRSGYAARIDNFRSYDTVSKDVIQRWTYPMVPDVISNRDCSESRLHRQGIYKASDVTLSYSAQIGANSVVGSATSIGDHCKVLNSVIGEGCKIGKNVLINGSYIWDNVIIEDGCKVSNSLVCDGVHLRAGAIVEPGCVLSFNVEVGKNVVVPAHSKVSLLPQPSNEDSDEELEYADTNSGITDSPPFSSMRSNGDQATVPSEDELGTSETGTCGVVGYIWTSGDTGILEEWRQSIAPIAKEKLEELQHAVCEDDASEDESNNPILPDKDGSSDSAVEDDDPFSKFEKEVEETFQRAMGGGVNRDNLILEINGLRLAYSLQHADCAGAVFYSVMKSALVAAQSTNDTLLKTTAEALGKWKDLLRNYTKTVDEEMEILLKFEEMCQETTKEFSPLFAKILPFLYDTEVVSEDAILRWAEEKEHADESDKIFVKQSEAFIQWLKEAEEEDDEEEE >KQL29115 pep chromosome:Setaria_italica_v2.0:I:10384306:10387504:1 gene:SETIT_016626mg transcript:KQL29115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHMKLLLASLSCVLLMQAASCDGTTGETVTTNWTCICAAHPLGESNSNSSLLSNCSSSCHCQQDEDGGTGSWNCTCASDKTLLKEEHAVLRDRSCFTSCNCTSGSSEEGKRHVSSKTVIITLLVCVVLTTTAFLVTTVYYFRRKDALSPRSQIYSFDKYTSWSSRSYLVSHRSSPLPQLKPKPRLKFLCSCPIICGGESGTFPGVIIRFSYAELEEATGKFSEEHLIGVGGSSKVYRGQLSDGKVVAVKKLRPLGGADEDFEFLSEIELLSRLNHCHVVPLLGYCSEIQGRQLERLLVFECMSNGNLRDCLDLKQGRKPMDWQTRVSIALGAARGLEYLHEAAAPRILHRDIKSTNILLDDKFRAKITDLGMAKCLMNDGVTSCSSSPARMLGTFGYFAPEYAIVGKASLKSDVFSFGVVILELITGRPPIHKSSSTRADESLVIWATSRLRDSRLVVAELPDPTLESKFPAEEMQIMAHLARECLQWDPEARPTMTEVVQILSTIAPPLHGAKRRNLPIAAAFNLTPSPHVGRCDPEPVDIEAPQECSTASFRWQQEPCAPPPPGRASWPGDRHKGAASGGAVVSGELVNGMLLMSPHGRSSWRPPPGDEEEEEAVDLTEPRLETFTQPALFR >KQL29116 pep chromosome:Setaria_italica_v2.0:I:10389056:10389530:1 gene:SETIT_0202062mg transcript:KQL29116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRHLPLHLPLLSRKPLPPAPPARRALCASSTPADTPAAEAPADAPAPSPAAAPANLPRREEPLHETVLHMIRRRPWTTRLENSIRLLSPTLDAPIVHGVISGAAAAGRANLALQFFRFAYRRAGLRPGRDTFPLIVPALASRRMLNQRAASSSTPC >KQL32059 pep chromosome:Setaria_italica_v2.0:I:41151274:41159330:-1 gene:SETIT_016341mg transcript:KQL32059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLMQQRHAQLQRTNASHPSLNGPINALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGNVSTTLQQIQARNQQTIDIKGEGNMGVPQRSLPMDPSSLYGQGIIHPKPGLSGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQYLAQAQAQGNLGNSTNYGDIDPRRLTALTRSGLNGKDGQPAGTDGCISSPMQSSSPKVRPDQEYLIKQTSSQQPQEQLQQQQQNQQQQQQQQQSQQQQMQQNNRKRKQPTSSGPANSTGTGNTVGPSANSPPSTPSTHTPGDGLGMAGNMCHVPKNLMMYGADGTGLASSSNQMDDLEQFGDVGSLDDNVESFLSNDDGDARDIFAALKRSPAEPNPTTSKGFTFSEVNCWRTSNSKVVCCHFSTDGKILASAGHEKKAVLWNMENFQTQYTSEEHGGIITDVRFRPNSNHLATSSFDRTIKLWNAADPGFSLHTFTGHSFQVTSLDFHPKKTDLLCSCDGNGEIRYWNVTQLTCMRAIKGGTAQVRFQPNAGQFLAAAAENMVSIFDIETHGKKYTLQGHNTDVQSVCWDNSGEYLASVSQDLVKVWSISSGECIHELSSNGNKFHSCVFHPSYTSLLVIGGYQSLEVWNMVKNQSLTVQAHEGLIAALAQSPVTGMVASASHDNSVKVWK >KQL32061 pep chromosome:Setaria_italica_v2.0:I:41151274:41159406:-1 gene:SETIT_016341mg transcript:KQL32061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLMQQRHAQLQRTNASHPSLNGPINALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGNVSTTLQQIQARNQQTIDIKGEGNMGVPQRSLPMDPSSLYGQGIIHPKPGLSGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQYLAQAQAQGNLGNSTNYGDIDPRRLTALTRSGLNGKDGQPAGTDGCISSPMQSSSPKVRPDQEYLIKTSSQQPQEQLQQQQQNQQQQQQQQQSQQQQMQQNNRKRKQPTSSGPANSTGTGNTVGPSANSPPSTPSTHTPGDGLGMAGNMCHVPKNLMMYGADGTGLASSSNQMDDLEQFGDVGSLDDNVESFLSNDDGDARDIFAALKRSPAEPNPTTSKGFTFSEVNCWRTSNSKVVCCHFSTDGKILASAGHEKKAVLWNMENFQTQYTSEEHGGIITDVRFRPNSNHLATSSFDRTIKLWNAADPGFSLHTFTGHSFQVTSLDFHPKKTDLLCSCDGNGEIRYWNVTQLTCMRAIKGGTAQVRFQPNAGQFLAAAAENMVSIFDIETHGKKYTLQGHNTDVQSVCWDNSGEYLASVSQDLVKVWSISSGECIHELSSNGNKFHSCVFHPSYTSLLVIGGYQSLEVWNMVKNQSLTVQAHEGLIAALAQSPVTGMVASASHDNSVKVWK >KQL32060 pep chromosome:Setaria_italica_v2.0:I:41151274:41159103:-1 gene:SETIT_016341mg transcript:KQL32060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSNWEADKMLDVYIYDYLLKRNLQTTAKAFMAEGKVAADPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAAAYLEAQQIKAREHQQQMQMQQLQLMQQRHAQLQRTNASHPSLNGPINALNSDGILGPSTASVLAAKMYEERLKHPHSMDSEGSQLLDASRMALLKSAATNHAGQLVPGTPGNVSTTLQQIQARNQQTIDIKGEGNMGVPQRSLPMDPSSLYGQGIIHPKPGLSGAGLNQGVSGLPLKGWPLTGIDQLRPNLGAQMQKPFLSTQSQFQLMSPQQQQQYLAQAQAQGNLGNSTNYGDIDPRRLTALTRSGLNGKDGQPAGTDGCISSPMQSSSPKVRPDQEYLIKQTSSQQPQEQLQQQQQNQQQQQQQQQSQQQQMQQNNRKRKQPTSSGPANSTGTGNTVGPSANSPPSTPSTHTPGDGLGMAGNMCHVPKNLMMYGADGTGLASSSNQMDDLEQFGDVGSLDDNVESFLSNDDGDARDIFAALKRSPAEPNPTTSKGFTFSEVNCWRTSNSKVVCCHFSTDGKILASAGHEKKAVLWNMENFQTQYTSEEHGGIITDVRFRPNSNHLATSSFDRTIKLWNAADPGFSLHTFTGHSFQVTSLDFHPKKTDLLCSCDGNGEIRYWNVTQLTCMRAIKGGTAQVRFQPNAGQFLAAAAENMVSIFDIETHGKKYTLQGHNTDVQSVCWDNSGEYLASVSQDLVKVWSISSGECIHELSSNGNKFHSCVFHPSYTSLLVIGGYQSLEVWNMVKNQSLTVQAHEGLIAALAQSPVTGMVASASHDNSVKVWK >KQL31174 pep chromosome:Setaria_italica_v2.0:I:36063507:36064261:1 gene:SETIT_018718mg transcript:KQL31174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSTPTMPATVTPLPGYGYQGSAAGGAEPPQHSSSGSIGTFFGVFAAVLVLTLLSCVFGRVCAAQAEGPDEVYDCTRLARRWSGWRAPRRSGGVKREAKAPPPVSVVEVPAALPPPEEP >KQL29390 pep chromosome:Setaria_italica_v2.0:I:14724549:14725016:1 gene:SETIT_019428mg transcript:KQL29390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIKVNTDGAFVCSSHQGATGVGIRGAEGDFYVAAARWLPAVASALVAEAEACRDGLLLLQGDGRRHVIIKVDSKELVNLWANRNSRSEIGTILEDIRELSRHLQYFELVHVNRSANCVDHACAQQAVVSRASNVWSGDVPAFLLQPLQNDCNHVD >KQL30332 pep chromosome:Setaria_italica_v2.0:I:29953613:29958831:-1 gene:SETIT_016245mg transcript:KQL30332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKEGRASSNKNMMADDREKKNKTTTWNGHNKVKSSKKVSTTSLEKMRKKEKLCNANNKKKMQICDDEEGKNRKESTTISERKDKEKMNKTHKEKMRAANSKERKILSGHDGVKKSVEVSTAFFGKEKKGKRLNKTINLELQSDEDEEEGVWNYDGKVKNGKVATAFSEEEKRKRRPNNNTEKETAALTPAVKEKRMRPSESTEMMMLHDKPNGRNVASNVSKEKKMDTSSGSNYKKRKREEPDSLSKKEKRVWCNASDKKIYSGTVQEKKICGSGKEKNRQAPFTFFKFIYNYFEEFLLIPPAVAPKLEDLTNRDVYLEDSEKRRSKVRLSVVDGSLAFHQGWDIFVSDHLIKLGEFLLFEYTARKRFSVRIFGIDSCERLDFKKDPKRVEQGIGYGPPQDNNNGSLIDRQCKTKGTSPLHSKKKTVILISDSGISADNEDTLNITSDADSTHHVTINTNKDLKRVQSGVGNLPDGVCRTKCISPPCSEGKTSSEIIVTGAAPLMHENDGRVGHELEKHDLDEDLMRKQGINSIPSDSITAVGKHQNHSKTIVSQNIYRKYAAPGGFRCLEKWTKAIVNSPATLDGTVPIEPENTRKTGSILVDGYGSIGLNAGNEYFCSEDHHTLVLPVFTMPVKEPLSADRVSKFRHVGTDTDHSINEKGGGAAVQIQTQGEQLEPVGSIVISQSNNIPLSANTAVLGEYGALGRNPAGPEGTCAFVESMLTVPVEKPLSPDGISKCGSSRTEIDHNVNGKGTIVQLETNMDQVELVGSSVCSQSSNVAMHANHVVVHEPEHYFSRQEGRKSANCAMTESLLPMKDKILELDGHSLLKFSLQLCVPDTTRKWLELPKSLFDTVKQKRHDRNVIMLKDPTNRLWTVLYHENPVFVGLTAGWKHFVAGNNLQTGDLCKLTKEADGDELVFSVQITKRRL >KQL30331 pep chromosome:Setaria_italica_v2.0:I:29955611:29958545:-1 gene:SETIT_016245mg transcript:KQL30331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKEGRASSNKNMMADDREKKNKTTTWNGHNKVKSSKKVSTTSLEKMRKKEKLCNANNKKKMQICDDEEGKNRKESTTISERKDKEKMNKTHKEKMRAANSKERKILSGHDGVKKSVEVSTAFFGKEKKGKRLNKTINLELQSDEDEEEGVWNYDGKVKNGKVATAFSEEEKRKRRPNNNTEKETAALTPAVKEKRMRPSESTEMMMLHDKPNGRNVASNVSKEKKMDTSSGSNYKKRKREEPDSLSKKEKRVWCNASDKKIYSGTVQEKKICGSGKEKNRQAPFTFFKFIYNYFEEFLLIPPAVAPKLEDLTNRDVYLEDSEKRRSKVRLSVVDGSLAFHQGWDIFVSDHLIKLGEFLLFEYTARKRFSVRIFGIDSCERLDFKKDPKRVEQGIGYGPPQDNNNGSLIDRQCKTKGTSPLHSKKKTVILISDSGISADNEDTLNITSDADSTHHVTINTNKDLKRVQSGVGNLPDGVCRTKCISPPCSEGKTSSEIIVTGAAPLMHENDGRVGHELEKHDLDEDLMRKQGINSIPSDSITAVGKHQNHSKTIVSQNIYRKYAAPGGFRCLEKWTKAIVNSPATLDGTVPIEPENTRKTGSILVDGYGSIGLNAGNEYFCSEDHHTLVLPVFTMPVKEPLSADRVSKFRHVGTDTDHSINEKGGGAAVQIQTQGEQLEPVGSIVISQSNNIPLSANTAVLGEYGALGRNPAGPEGTCAFVESMLTVPVEKPLSPDGISKCGSSRTEIDHNVNGKGTIVQLETNMDQVELVGSSVCSQSSNVAMHANHVVVHEPGCWIHSCRTLFLQTGR >KQL30300 pep chromosome:Setaria_italica_v2.0:I:29776974:29782071:1 gene:SETIT_016924mg transcript:KQL30300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPWGGLGGAGAWALDAERAEEEEREAAANPPPVPAPAAGFPSLREAAAGAGPGGKSKKKNKGTTLSLSEFAGYGPGRRQAPAPAPEPRGLTPAEMMMLPTGPRERSADELDRPRGLGGGFRSYGSGDRGGGGFDDDGRRGPPGRGADLDMPSRADEDRDWSMSKKSLAPSPADSAPRSRYGGLGGGAPASVGRADDDGDWSRGKKPLPSAPSRYPSLGGGGGFRDSPVSTDSSDRWSRAAPTNGERDRPRLVLDPPKRDASATPTPPAEAGRSRPSPFGAARPREDVLADKGLDWKKMETEIDQKKTSRPTSSQSSRPESAHSSRPGSPGSQVSAAGSEGVLRARPKVNPFGDAKPREVILQEKGKDWRKIDLELEHRRIDRPETNDEKVLKEEINLLKLELNENEAKMSDDDAKSLAEKITQMEKQLELLTIAMDDKIRFSQRPGSGAGRVTASPPTNFADESLIKESMERPGSRGGMDQYPKPTEERWGFQGSRDRGSFGGNRSSDRSSAGQRW >KQL27748 pep chromosome:Setaria_italica_v2.0:I:385067:386665:1 gene:SETIT_017818mg transcript:KQL27748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPVTLVGVGNSQRAEAHVHEQLEHSAPQERPQRDHGGLAVVAAAGERAEAGDEAVARPLPGGREEGEAGRLQRLAGEVAAHEAPVGPVGRGTDVAAAGAEEAGWVDGRWAVGEGGDALDEGAVREAAVGDEDERAGEAEGDDGAVARVHVLEERGEVEDGRRKSSTGGREEGACSC >KQL29223 pep chromosome:Setaria_italica_v2.0:I:11735087:11736013:-1 gene:SETIT_019409mg transcript:KQL29223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKGAQILGHPPGVNFRPDDDELVEFFLLPRLRGEASWFPGVVVIDDDSAANTLPWNLLKRHGLVDDGNAYFFVHTNNEVARQDRYCPGDGTWVSQRQESGSSCICGETIKWRRTNLNLQMGRGKKGSMGWVMHEYTLTEPPCPFLKICHVTFTGHGKWRKRVPDDESDCQATGEPASKRPRVAVTAAAVDTSVPAIFQQEHSLPFPIDQGISAMTHQQQMVMMPMPDDKSDCQPANSSSATCAYGSTMTTADQDSGAAHAYAGEESAQDTDEETLEWFRLDGKDLLADAEPTAEQHYGLDQQDQLFW >KQL28206 pep chromosome:Setaria_italica_v2.0:I:4299154:4299318:1 gene:SETIT_020424mg transcript:KQL28206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYILCFRLFFHVLGFYFRKEDRAMLEKRIV >KQL29364 pep chromosome:Setaria_italica_v2.0:I:14417601:14419322:-1 gene:SETIT_019528mg transcript:KQL29364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAFHLPLSLLLASLLLSTAAAAAASHNHHGVPGTHAHLLHHHGHGHGHHHRSPSTMTATARFDTAPSMHQNRVESESEENRQSLRVLEPFFTPAAAQAPSGEEAMAALGAAAADAEPKTPLDLPQPPSPPPSFVAAADLPPLAPQAGDARWSAPEAVAAPPPVDEPTATTTTTLPLPSPYPEAASPPPPVHGAAAGMASSGDDLGLQQLAKVLASLGYNEMASAATLLADSPSVATWPGAITVFAAPDIFLQAACPGCSRRHLLLDHMALGYFPYAELTAAPTVKLPSASVGFCLDVAAEHRPFSVHHASLYVDGVEISHPELYDDGRYVVHGLRGFVPPLSHASCIEGTHHHHHQVQVHHHSRRHHHLSAKSAAASAATAASIVRIMIRDAISRLRDSGFGFVALAMRVKFAELEKLANLTVFALDDQAIFTGGGHGYVSAVRFHIVPGHRLTRADLLRLRPGSILPTLDGEDQKLVITSGAGSATDEVRINYIPVKEPDAVINSRVAVHGIYVPFPRLHLANLAASVAVASAIQVNGSCDIGEPFGDCASTAMTSATIPAAQGYGGGQ >KQL28838 pep chromosome:Setaria_italica_v2.0:I:8405590:8409172:-1 gene:SETIT_018301mg transcript:KQL28838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEGSRGGEEEAAAVTTVADAAKAEDAAAVVPGSEGDDGKEVEERRRPAAYAAVVIGGTFDRLHQGHHLFLKAAAELARERIVIGVCDGPMLAKKQYADLIQPIEKRMENVKDYIKSIKPDLEVHAEPIVDPYGPSIVDQGLEAIIVSKETFPGGLAVNRRRAERGLSQLQIEAVELVPEEATGNKISSTAFRKLEAEKELRQQQETQQQATAQSECRT >KQL27765 pep chromosome:Setaria_italica_v2.0:I:488910:490951:1 gene:SETIT_016973mg transcript:KQL27765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTLSPAASASAATPPPRPIPGSYGPPVIGALRDRLDYFWFQSQDEFFRRRAAANRSTVFRTNIPPTFPFFVGIDPRVVAVVDAAAFTALFDNTLVDKRDILIGPYNPGAGFTGGTRVGVYLDTEEPEHERVKKFAMAILHRSATTWASAFRAGVDAMLDSIEADLAAKASDEKPSANYIVPLQQCIFRFLCKALVGADPSVDWVVDKFGFTILDVWLALQILPTQKIGIIQPLEELLIHSFPLPSFLIWPGYYRLYRFVEEHGAAAVAYAAEEHGIGKKDAINNLLFVLGFNAFGGFSVFLPFLVAKIGEAADPTGLRPRLREEVRAAMLKAATGGGDTVFGFKAAREMPLVRSTVYEMLRMQPPVPLQFGRARKDFVLRSHGAAFQVAKGEVLCGYQPLAMRDPEVFDRPEEFVPERFLGDEGQALLQHLFWSNGPETSQPAAGNKQCAAKEVVVDTACMLVAELFRRYDDFQVEGTSFTKLVKRQPSPTMSEPAAAGAQ >KQL30458 pep chromosome:Setaria_italica_v2.0:I:30675871:30679075:-1 gene:SETIT_019252mg transcript:KQL30458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PENLTPPPSPSPVPRSLRQSQFANHKNSPPRPFFSRAKPLNREELDLAGAAAAPLPTMASLFKDPSKLSAYRDRRFNGTQEEYEAALQASTTVYIGNMSFYTTEEQAYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDAEDAVKYISGTMLDDRPIRVDFDWGFEEGRQWGRGRSGGQMVQKELEAQRELVDYGGAFQPNAPPPYERGERKRGYGDSYRNDRGDYQRKRNRNDDRSAPEGSRRAPDSDPAEKNPRFREKGDSDEEDDDYDKRRRR >KQL31547 pep chromosome:Setaria_italica_v2.0:I:38321707:38323622:1 gene:SETIT_017760mg transcript:KQL31547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIDMYKYYNTSAHQIAASSSSDQELAKALEPFITSASSSSSSPYHYYSSSSMTQDSYMPTPSYATFATSPLPTAAATSSSSFSQLPPLYSSPYAASAASGVTGPMGLNQLGPAQIQQIQAQFMMQQQQRGLHAAFLGPRAQPMKQSGSPPLAPAQSKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEDAALAYDKAAFRLRGDMARLNFPALRRGGAHLAGPLHASVDAKLTAICQSLAGSKSGSPDAESSAASPPDSPKCSASTEGEEESVSAGSPPSPPLAPPVPEMAKLDFTEAPWDETEAFHLRKYPSWEIDWDSILS >KQL29238 pep chromosome:Setaria_italica_v2.0:I:11908229:11908773:-1 gene:SETIT_019915mg transcript:KQL29238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHYDDSDPSSKSSIVPKSPRYSYDEEIEYEKEEEEEDDGTNIEENLDEEAARAKMRAAKKRREEEREKKRREEQRAKKQAAKKRREQEEVDSEMANKRIRVDFDVSVGPSNAGPTAPAIVSPDPESSGNSSEGSS >KQL30608 pep chromosome:Setaria_italica_v2.0:I:32177866:32178261:1 gene:SETIT_020243mg transcript:KQL30608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCKCGKRPKQRELQRLLSMNQSGLSAVGKVVAP >KQL29474 pep chromosome:Setaria_italica_v2.0:I:17091337:17095228:1 gene:SETIT_017261mg transcript:KQL29474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASAWSKPGSWALAAEEQDELPPPPPPVVPAADFPDLATAATTKVPKKKKAQSMPLSDFNSGKFVTRASRGPGPEVTTFDLPTGPRERTEEELANTRGFGAGRWGGAGGGPRGSDEPRRGGSDREDFGPSRADEADDWGAGKKPLERRAMGGFGWDSSASRADDVNDWVSTKRTAPAPFPERRERGGGGFGGDSISRADDSASWVSNKSYSAPPPLPADGRRGGPVWGFNRDGGPDADSWGRRREEMSNGGDSSVGRPRLNLQKRTLPLANGTDGEKPEDNNKEDDKEEQRPRSRSSNPFGTARPREEVLAAKGEDWRKEEPIVEKLEIQPRTRSSNPFGAARPREEVLAEKGEDWRKIDEKLEAMKVWEAPLERRSFGRRGSPLAGEENDNTQLPEARAKSLEKPNAVEAAKESEEGSETTNGSALAN >KQL28757 pep chromosome:Setaria_italica_v2.0:I:7923323:7930306:-1 gene:SETIT_016271mg transcript:KQL28757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEQPNPAAPSEHSQPPPPAAVKGKEKAKKKEEDDLSEEDQALKEQLELYVLRAQDSDPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGTLKSYFETMPESELKKYMADILSVLALTMSLEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEFQKRQDDDLPIDALMELVQQIISFHMKHNAEPEAVDLLMEVEDLDLLVEHVDATNYKRACLYLTSSSKYLPAPDDMLALDIAYTIYMKFGDLASALRIALQLDKPMQYVKQVYTSTDDFLLKKQFSYIIARHGLAMEIDDEIASDDNDKEVLQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDTHVVAGALLGIGIVTCSVKNDCDPALAILMEYIGKDDSNIRIGAILGLGIAYAGSQKEELKMHLSAVLGDSQSPLEVLVFSAIALGLVFVGSCNEEIAQSIIFALMERSEAELSEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIGKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLPVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTPVLEGFVILKKNPEYHEE >KQL32147 pep chromosome:Setaria_italica_v2.0:I:41537123:41538590:-1 gene:SETIT_018424mg transcript:KQL32147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARLLPLLRRRLAAVIAESHAPSSRGFSFPPTTSAGLRSLLTVTEASNNASDKNSLDQEQEGSKIDTPPAPVAAPEASFRVRDTSNLKISPRHDLAMVFTCKVCETRSMKMASRDSYENGVVVARCGGCNNLHLIADRLGWFGEPGSIEDFLAAQGEEVKKGSTDTLNFSLEDLVGSQVSSKGPSEQN >KQL31043 pep chromosome:Setaria_italica_v2.0:I:35216954:35217997:1 gene:SETIT_019527mg transcript:KQL31043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKLRERRQLSLSVVPPALPPPFTYQEHPFGGMDTTPPGSSGPVIESVAELEKVGVLGHGADGTALRVRDGGGAALREADVHLRVAAAAPDNPHVVRLHGVFPGAACGDEFLRLVLEYVVNGSLGDVLRRWAASREHAIAGVARCPSNLLVGRHGEVKIADFGATHHVSAAGRAHSEPAAMGTCAYMSPERLDPEGFGAGPPSAAADFSSDVWALGVVLLECHMGRFPLVAAGERPDWEALVVAVCFGGAPEVPVAATPEFRSFVRRCLEKDWRRRPTVEELLGHPFVSGSPAFCATKEWLTNFDEELTIVRKGFT >KQL29385 pep chromosome:Setaria_italica_v2.0:I:14682172:14682795:1 gene:SETIT_019481mg transcript:KQL29385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGREQAKRVVRNGKKDTTTSPLCWTAGANTVVSDQDQEPIRIWILTCWLFLTVGISPGSWWAHHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHYWTSLLNILTLPCCVSGTFSIRSGLLAPVHSSATDDTRGRFLWRFFLLITGISMTLFYQMKQEASVRRTYKKEMVVARSTLVHLRHSARAQPRPVMLWNNFSSC >KQL31890 pep chromosome:Setaria_italica_v2.0:I:40305393:40307507:1 gene:SETIT_018952mg transcript:KQL31890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNPPSYGFKLYHACLIFRYTEHKLSKDPVTDCSHLRDFCKVVLQRCLSVSNIDDNEPRCRALLNT >KQL30266 pep chromosome:Setaria_italica_v2.0:I:29532585:29535476:-1 gene:SETIT_017946mg transcript:KQL30266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSTRPPPAASFAFLLALLFFSPVASAGQPKGVCVSPGGRFPAFSSEGKPPGRAPKGRRDLALCRIFRQNTCCDVTQTFPALVSVRNLALTGEGGQECIHLWELLECSICDPRVGVRPGPPVVCASFCDMVFKACSESYFSVDMKTQALSPCGLGDILCGKAHKWVSNGTELCHLAGFSVQVSETNSGLVDDTFCYGGKASLDSISDSWTSSKDRPTLSGVASWNVQDFQRWARDMPVGERISWAIGGMVLTAGLIFISKRKSYSHRQKQAAIVRNIRLRRLDSRANPQQTKQS >KQL29000 pep chromosome:Setaria_italica_v2.0:I:9619084:9620134:-1 gene:SETIT_018607mg transcript:KQL29000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRIIKELKDLQRDPPTSCSAGPVSDDIFHWQATIIGPSDSPYSGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDRLRYESTARGWTQKYAMG >KQL30133 pep chromosome:Setaria_italica_v2.0:I:28322213:28326011:1 gene:SETIT_019171mg transcript:KQL30133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCYISPSYLESLASAATEAISGNPVGERRQKEPRDTAGIIGAIRVSLRSMGDGEISVSAYDTAWVALVKSMDGGDGPHFPSSIDWIVQNQLYDGSWGDCTFFYAHDRIINTLACVIALASWGIHAEKCEKGLSFIRENMWRLVKEDEDWMLVGFEIALPSLLEMAKDLDLDIPYDHPALQEIYDRRDLKLNKIPKDVLHSIPTTLLHSIEGMPGLDWKRLLNLRFSDGSFMSSPAATAYALMETGDRKCLEFLGTIVNKFNGGAPFLYPVEIYERLWAIDRLERLGISSYFRCEIDDYLTYVYRHWTEEGLGYTRDCAVKDIDDTAMAFRLLRLHGYHVSPCVFKRFEKEDGEFVVYPGQSNQSVSAMYNMYRAADQAAFPGDDGGVLRRARRYCRAFLQGRRASGQLSDKWLIAEGLPGEVAYGLDFPWKASLPRVETRMYLEQYGGGADVWIGKVLYRMHLFNNDLYLKMAKADFISFQRSSRAEWHGLQRWCDKNNLEMYGVTSERALRAYFLAAANIFEPERAAERLGWAQTAVLAEAVTSHILSHSSSDNTRERILCRLASGSLKRLEHAFLFIVAGIQSQAKRLVTCVGCRGEKDSTAEDGLLNALNDLIDHLTSGNASDSLQGAWKQWLMEWTAENGSYKGNTALLLVRSVEICSGRLGSTEQNQKLPEYSQLEQLTYSICSKLGHGVHSQVCSLHTKNVENLDGQVDEEMQELAQSVSQISDPMNRVTKQTFLHVARSYCYVAHCSPETIDSHISKVLFEEVI >KQL28401 pep chromosome:Setaria_italica_v2.0:I:5595725:5597375:-1 gene:SETIT_019904mg transcript:KQL28401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAAFYHLCLFLVLLLPLLLLRQLRKRDVDVNGGIRLPPGPWRLPVIGSLHHLVGKPLVHRAFADLARRLGAPPLMYLKLGEVPVVVATSRDAAREVMRAHDVAFATRPWSPTIQIMMEDGVGLVFAPYGDLWRQLRRISVLELLSARRVQSFRRVREDEVARLVAAVAAAAAPPGEAVNVSHRIAVLVADSAVRAMIGDRFNRRDEFLALLEEGLKLVSGFNLGDLFPSSPLVNFLTGAARRAKENHRKNFELMECVIKQHEERRSMAAASGTVTDQEEEDLVDVLLRVQREGGLDVPLTMGIIKAVILDLFSAGSETSATTLQWAMAELMRNPDAMKKAQDELRGALNGKPKVTEDDLAQMKYLKLVIKETLRLHPAAPLLLPREARESCKILGYDVPEGTTVLVNAWAICRDPRYWDDPEEFKPERFESGTIDFKGLDFEYIPFGAGRRICPGMVFAQTNIELALAALLYHFDWKLADGLKPSELDMAEDIGITVRKKNDLLLHPIVRVPLQSTD >KQL30592 pep chromosome:Setaria_italica_v2.0:I:31984571:31989494:1 gene:SETIT_016745mg transcript:KQL30592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLTCLGRPSARGWPGVRAHAAAHPVPCQLLQRSLPEVYSQRHLRFQKPFGLGCCSPAPENHPHLYVIRHRKPRDRLRGVRNLNGWCRHGTPGLHGLTRAPVPFQHFLPRTHLESELGTPSRPSYPKATGAGTRQPPPQTPPPPPPLFPPSLPFGSRPEATSWPARVPTPTGSSAAGIHTANDQMASSPAAAAAEEVAPAPAPPEAAEAKGKGKRGGVLGRMWRALFGGREDFEKRLQYLSKEEAAVHARMRRRTQFSRRAVRNLIVLSVLAEVLAVVYAIMMTRDEDLTWQMRAIRVLPMFVLPAVSSLIYSTVVNFTRMLERKDQKTLEKLRAERKAKIDELKERTNYYLTQQLIQKYDLDPAAKAAAASVLASKLGEETGLKVHVGEEPKLDAAVARSNDVEILRSDGLRNRKQPNASGSRTGSPSGAHTPAHGTESSPTASAGLETAPAPMVVEHHQGTGASDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDYPHVTYYCPHCHALNTSKQSMGQYSGSNSGQSTPAVPADGMSTSSSVQEGELSNLTTLQELPKEGNAEKQETEAS >KQL29677 pep chromosome:Setaria_italica_v2.0:I:22994033:23003860:-1 gene:SETIT_016197mg transcript:KQL29677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQQQQHGGAVAGGGDARHHAPQPAVLGGGGGGDWLGFLGRGELEDPARNAPSPASFLLPPAPLDDRAAQPESKPKPGQLAGADEERHLALAHQNYRTGKYREALEHGNVVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPHFAECYGNMANAWKEKGDIDLAIRYYLTAIQLRSNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQEAYSCYIEALRIDPHFAIAWSNLAGLFMEAGDLDKALMYYKEAVKLKPSFADAYLNQGNVYKALGMPQDAIMCYQRALQARPDYAMAYGNLATIYYEQGQLDMAIRCYNQAIVCDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRIDPAAADALVNRGNTFKEIGRVNEAIQDYIHAARIRPNMAEAHANLASAYKDSGNVETAIVSYKQALQLRPDFPEATCNLLHTLQCVCDWENRDAMFRDVEEIIRRQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGLPSFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRENIEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMNSDMIARLINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEFVSPSCYAHIYSEKLVHLPHCYFVNDYKQKNQDCLTPVCPHKRSDYGLPEDKFIFACFNQLYKMDPEIFDTWCNILKRVPNSALWLLRFPAAGETRVRSHAAARGVRPDQIVFTDVAMKNEHIRRSQLADLFLDTPLCNAHTTGTDILWAGLPMITLPLEKMATRVAGSLCVATGLGEEMIVSSMKEYEERAVELALNPAKLQALTSKLKEVRMTCPLFDTARWVRNLERAYYKMWNLYCSGRHPEPFKVEEDNSTFPFDR >KQL29678 pep chromosome:Setaria_italica_v2.0:I:22993688:23004057:-1 gene:SETIT_016197mg transcript:KQL29678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQQQQHGGAVAGGGDARHHAPQPAVLGGGGGGDWLGFLGRGELEDPARNAPSPASFLLPPAPLDDRAAQPESKPKPGQLAGADEERHLALAHQNYRTGKYREALEHGNVVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPHFAECYGNMANAWKEKGDIDLAIRYYLTAIQLRSNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQEAYSCYIEALRIDPHFAIAWSNLAGLFMEAGDLDKALMYYKEAVKLKPSFADAYLNQGNVYKALGMPQDAIMCYQRALQARPDYAMAYGNLATIYYEQGQLDMAIRCYNQAIVCDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRIDPAAADALVNRGNTFKEIGRVNEAIQDYIHAARIRPNMAEAHANLASAYKDSGNVETAIVSYKQALQLRPDFPEATCNLLHTLQCVCDWENRDAMFRDVEEIIRRQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGLPSFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRENIEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMNSDMIARLINQDKIQILINLNGYTKGARNEIFALQPAPIQVSYMGFPGTTGAAYIDYLVTDEFVSPSCYAHIYSEKLVHLPHCYFVNDYKQHEGV >KQL29679 pep chromosome:Setaria_italica_v2.0:I:22995831:23004057:-1 gene:SETIT_016197mg transcript:KQL29679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLQQQQHGGAVAGGGDARHHAPQPAVLGGGGGGDWLGFLGRGELEDPARNAPSPASFLLPPAPLDDRAAQPESKPKPGQLAGADEERHLALAHQNYRTGKYREALEHGNVVYEKNPRRTDNLLLLGAIYYQIRNYDMCIAKNEEALAIDPHFAECYGNMANAWKEKGDIDLAIRYYLTAIQLRSNFCDAWSNLASAYTRKGRLNEAAQCCRQALAINPRLVDAHSNLGNLMKAQGFIQEAYSCYIEALRIDPHFAIAWSNLAGLFMEAGDLDKALMYYKEAVKLKPSFADAYLNQGNVYKALGMPQDAIMCYQRALQARPDYAMAYGNLATIYYEQGQLDMAIRCYNQAIVCDPQFVEAYNNMGNALKDAGRVEEAINCYRSCLALQANHPQALTNLGNIYMEWNLISAAASFYKAAISVTSGLSSPLNNLAVIYKQQGNYADAITCYTEVLRIDPAAADALVNRGNTFKEIGRVNEAIQDYIHAARIRPNMAEAHANLASAYKDSGNVETAIVSYKQALQLRPDFPEATCNLLHTLQCVCDWENRDAMFRDVEEIIRRQIKMSVLPSVQPFHAIAYPIDPMLALEISRKYAAHCSLIASRFGLPSFVHPPPVPVKAEGKHCRLRVGYVSSDFGNHPLSHLMGSVFGMHDRENIEVFCYALSQNDGTEWRQRIQSEAEHFVDVSAMNSDMIARLINQDKIQILINLNGYTKVINHAIHSANIAVTFTYLSVIVSHVQYLRMSGSCFSCAECIVWFFMQSFIPRNSFIHTTTLVCTFHLYHHPVSMRCRTRPHVID >KQL30631 pep chromosome:Setaria_italica_v2.0:I:32291612:32295339:1 gene:SETIT_016201mg transcript:KQL30631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLHLFCFFRILRPCLAALPRSSHDSADKLTLLSFKSMLLDPAGSLASWNSSNHLCSWRGVVCGRRHPERVIALQMNSFSLAGRISPFVGNLTFVRELDLSNNHLDGKIPEELGQLRRLQVINLTRNFLEGSIPAALGRCTQLLYLNLGFNHLQGELPSEIGSLKNIVFLNLVANGLSGQFPQFIANLSSIQALGLGNNTFSGPFPSFLHQLPNLSRVSIEFNNLSGVIPPSFWNISTLIGFSAAGNAISGTIPSNAFNNFPHLQVFYMENNLFHGRIPASLGNASHLSRIQLHTNFFSGSVPPEVGKLKDLQFLKLADNFLEANETIGWEFVNALTNCTQLQMLELAFNRFGGVLPGSFSNLSTSLFYLILENNTISGTIPEGIGNLINLQGLDLSNNHFTGALPSSLGMLQSLNGFSVANNKLNGSIPLSIGNLTQLNYLIFLSNEFGGTIPRALGNLTNLLELLLAYNNFVGSIPIEIFNIHTLTIALDLSHNKLEGSIQTEIRNLKNLVELHLESNMLSGEIPSSLGECQLLQNLYLANNLFTGSIPLALEEMKGLEILDLSRNNFSGQIPEFLENLSSLSHLNLSFNNFSGEVPTSGVFANATKISIQGNDELCGGIYYLHLPTCSSESSKRRHSSPVILVVIPLAATLGVLLLVYLFLTCHKKKSSENRSTESMEGHPLISYSQLVKATEGFSTTNLLGTGTFGSVFRGTLCCRNYENLVAVKVLKLQTPGAHKSFEAECEAMRNLRHRNLVKIITSCSSIDSKGDDFKAIVFDFMPNGSLEHWLHPGASNQLEQRCLNLHQTVSIIFDVAYALDYLHWHGVAPIVHCDLKPSNVLLDADMVAHVGDFGLAKILAEESSSFQPSTSSMGFRGTIGYAPPEYGAGNIISTHGDIYSYGIIILEMVTGRRPTDNTFGHGLSLRKYVEMAINNRVMDIVNIELVTELENENARVDGAPNRKMLHSLISLLKLGVLCSEETPSSRMSTKDIIKELHAIRKALT >KQL28127 pep chromosome:Setaria_italica_v2.0:I:3518230:3518652:1 gene:SETIT_019463mg transcript:KQL28127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEALLEQPVNQPELENHDGRRPSLLTVIGFIFLTFNSVMAVYRSNADGKTISFVVFFYLDLVVLFYFLRQFEKAPPDSPRREHIKMVVWLLTTMLTTAFSYMVAEIMPLPMQMLVWAMVGMTMLGGFYAFFLHQKGTKA >KQL29959 pep chromosome:Setaria_italica_v2.0:I:27016804:27017064:1 gene:SETIT_019305mg transcript:KQL29959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWPSLRIRDSFKHGYLQKLELNLGHMKHAQQQGQGQKGEGQDDQDGQAGDGKAPLLQDRSPPGSMLAGTLELAWDAVLLLLAWDT >KQL29315 pep chromosome:Setaria_italica_v2.0:I:13329458:13333570:-1 gene:SETIT_019403mg transcript:KQL29315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PREREGGRGGAQLFRFFLLRLVGSSHHTFPLPSLLSVRGELDLATSASEHRPRRRKLATDEPTMVSAETIRTAIGVIGNGTALVLFLSPVPTFYRIWKKRAVEQYSAIPYVATLLNCMMWVLYGLPVVHPHSMLVITINGTGMAIQLTYVTLFLLYSTGAARRKVMLLLAAEVAFVGAVAALVLTLAHTHERRSMIVGVLCVLFGTGMYAAPLAVMKMVIQTKSVEYMPLFLSLASLVNGICWTAYALIKFDLYITIPNGLGVLFAVAQLILYAIYYKSTQEIIEARKRKADQIAMTEVVVDATTTRASNTTAGY >KQL31646 pep chromosome:Setaria_italica_v2.0:I:39019319:39021025:-1 gene:SETIT_017283mg transcript:KQL31646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGMLLALFIALLGLSSNSAAGQVLFQGFNWESWKQNGGWYNFLMGKVDDIAEAGITHVWLPPASHSLAEQGYLPGRLYDLDASRYGNEAQLKSLIEAFHDKGVKVIADIVINHRTAEHQDGRGIYCMFEGGTPDSRLDWGPHMICSDDRAYSDGTGNPDTGADFGGAPDIDHLNPRVQRELIGWLNWLKTDIGFDAWRLDFAKGYSADVAKVYIDNTEPCFAVAEIWTSLAYGGDGKPYYDQNAHRQELVNWVDRVGRSGPATTFDFTTKGILNVAVDGELWRLRGADGKAPGLIGWWPAKAVTFIDNHDTGSTQHMWPFPADKVMQGYAYILTHPGSPMIFYDHFFDWGLKNEIAHLVSIRDRHGIQPDSELHIIEADADLYLAEIDGKVIVKIGSRFDCEHLIPEGFHVTAHGDGYAVWEKI >KQL31410 pep chromosome:Setaria_italica_v2.0:I:37327909:37329837:1 gene:SETIT_019580mg transcript:KQL31410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACLLRPYPPGLPPPRADPNPASHQPTRLKWSLGRRRRRRHILRCVASSTTTLQRDLLVRPSPRTEQNPGPANPRNLFDRMPERSVATVPSAANLLDERPRTKGRGVQEQDRGGAPKGGEKSRSAAVVALAHAGRNAEVVEHFRRMRREGVPVSRFVLPSVFRGCFGLRDSRMLRAVHGLVIKCSLCQHVVVGTALADTYVDFGLLEDARKVFEYISEPNVVSWSVIIGGYARSSRWEEAWGAFMAMQHSGVLPNVSVLVMAIQASGALGCLVRGKQMHAMGAVLGFGMNSTVWNCIIDMYRKCGSMESCSRVFDTMIGRDQVSWNTIISSYVRLGFFEEALDMIIQMQESGFTIDRFTLGSGVAACAHLGDIYSGRAFHGYLIRRALDTDVIRGTALVDMYGKCGNMGVARLVFDRMDERNYVSWDALLSGYVENGLVDSALDTFRQMESANIKPNQHTFANLLRLCGDRRYKEYGRQIHCHAIKVINQMNVVLETELIDMYAKCGCIEISQLLFLRMNERNLISWNTLLSGYVDDGQPVATINIYRQMELAYVRPDHYTLAGLLNLCRFQGLLQYGRQIHARLIKTGSEMNVVLQTLLVHMYFKCRRWRDAHNVCTLIRERNSHVYEAFFKVYGDDYLI >KQL29134 pep chromosome:Setaria_italica_v2.0:I:10576281:10576915:-1 gene:SETIT_018824mg transcript:KQL29134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAVAARSRALARAVSYSLLHRSCLPASRRASCINRLPLVSDGLLSALPLHSAVASARLQSAIASESRSWCLVPQGAVLPYMFLEGQDMVQWVV >KQL29133 pep chromosome:Setaria_italica_v2.0:I:10573798:10576915:-1 gene:SETIT_018824mg transcript:KQL29133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAVAARSRALARAVSYSLLHRSCLPASRRASCINRLPLVSDGLLSALPLHSAVASARLQSAIASESRSWCLVPQGNSMPL >KQL27911 pep chromosome:Setaria_italica_v2.0:I:1633605:1634414:-1 gene:SETIT_020290mg transcript:KQL27911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDVLKVQVRDLASPQLNPPNQPTILLVLAAIFSGQAGGGRLCLLVFAACVPPSLLCPPVCFFRFLESLLFCFAVAVTFLIPKFLDSGAEGQHTL >KQL30938 pep chromosome:Setaria_italica_v2.0:I:34527278:34528165:1 gene:SETIT_017949mg transcript:KQL30938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPAVPAKRRHGGGGAGFALGCGCKDAKSVSVSVSSSASPSATTGTSTTTTVTRRRSAGANPWASTTTDTLTTLTSASSSSLWEDAVAELGYKDGGCRMLPESSVVTTPSFSGLLRELSELERSVASWGARKGQNRHEDKLSPPPPPRHEHRKAKGDAKAAGDLRAAKEAPFGDAAGDGGGAGLEGSVAVVKQSDDPLGDFRRSMLQMIVENGIVAGEDLREMLRRFLTLNAPHHHDAILRAFAEIWDDVFVAASLDCATPRRASSASRREPGCRPPVPRTPPRHRRSPQAWRV >KQL28488 pep chromosome:Setaria_italica_v2.0:I:6214669:6217291:-1 gene:SETIT_017563mg transcript:KQL28488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGKIQKKRHGAAGGAGGGGGRLQGGIPFEKSKGQHILRNPALVDSIVAKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELNRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLRVPRNNFRPPPKVDSSVVRIEPTKPLPSVSFKEWDGLVRICFNRKNKTLGSIFKQKRVLELLEKNYKTMQSLQLTQDAEMGEEKMSADDVALLANMVEDLSMETGDEKEDDEMEMDDADMVGGGAASFKEKIMGILQQGDFAEKRGSKLGQDDFSNLLSLFNKAGTTKLSDPRERITP >KQL28487 pep chromosome:Setaria_italica_v2.0:I:6215813:6217202:-1 gene:SETIT_017563mg transcript:KQL28487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGKIQKKRHGAAGGAGGGGGRLQGGIPFEKSKGQHILRNPALVDSIVAKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELNRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLRVPRNNFRPPPKVDSSVVRIEPTKPLPSVSFKEWDGLVRICFNRKNKTLGSIFKQKRVLELLEKNYKTMQSLQLTQDAEMGEEKMSADDVALLANMVEDLSMETGDEKEDDEMEMDDADMVGGGAASFKEKIMGILQQGDFAEKRGSKLGQDDFSNLLSLFNKAGIHFS >KQL31393 pep chromosome:Setaria_italica_v2.0:I:37246029:37248466:1 gene:SETIT_0169761mg transcript:KQL31393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFEFADKHRGPYSSSLHAAVCPCYCDYSGYQDELLWGAAWLHKASRRREYREYIKRNEVVLGASDAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRVNADNFMCTLLPGISNHPQIQYSPGGLLFKVGSSNMQHVTQLSFLLLAYSNYLSHAGGRVSCGSSSASPAQLRRVAKRQVDYILGDNPLRMSYMVGYGSRFPRRIHHRASSIPSVAAHPAKIGCKAGAAYYASPAPNPNLLVGAVVGGPSDASDAFPDARAVFQQSEPTTYINAPLMGLLAYFSAHPNPAESGGD >KQL31768 pep chromosome:Setaria_italica_v2.0:I:39672073:39674778:1 gene:SETIT_019460mg transcript:KQL31768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALKHGTMRAHVALALAALVLAGDALQPALAGGFDYKDALTKTIIFLEAQRSGKLPPNNRVKWRGDSGLEDGKLANVDLTGGYYDAGDNVKYGLPLAFTVTTLAWTALAFKPELQSAKELDHVHEAIRWGTDYLLKCASRKKKLWVQVGDPNLDHQCWVRPENMKAPRTLYEIDEKTPGTEIAAETAAAFAASSMVFRHDKKYSRALLNKAKLLFLFAKSHQGSYDGECPFYCSYSGYNDELLWAATWLYLATKRQVYADFITHEAISSSVAEFSWDLKFPGAQVLLSEFNMTSGGGAQNFKTQADNFVCAVLPDTAFHQVFITPGGMIHLRDGANTQYVTGTSFLFIVYSDLLLRTGQSVMCGNQAVKPERLREFARQQMDYLLGANPRGSSYVVGFGANSPTQPHHRGASTPVLPPGYDVNCGLSFGEWFAPDRPNPNELTGAIMGGPDKDDRFVDKRANSSYTEPCTYINSLAIGPLAALAARGAQLVATH >KQL30722 pep chromosome:Setaria_italica_v2.0:I:32902414:32902966:1 gene:SETIT_020565mg transcript:KQL30722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGRAYIQLHKGRAYIQLYKGLLDQRCTSALLHRL >KQL31695 pep chromosome:Setaria_italica_v2.0:I:39243232:39246367:1 gene:SETIT_017699mg transcript:KQL31695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSARARRHVARQLRPTPYPIPSNRWKPMKESNQKKALPTSQKMDWEDANCSVCMEYPHNAVLILCSSHDKGCRPYMCGTSYRHSNCLDQFKKAYTKGALLQEVHANGAGTNLDSAPLTAGEKTESIDLACPLCRGKVKGWTVVEPARSYLNGKRRTCMQDGCSFVGTYKELRKHVKSEHPLAKPREVDPVLEQKWRLLEIERERQDALSTITATMGRAVVLGDYVLDLEDGVDLEDVESDADVDDGRGTENTRRMLLFIMRQVAQHHQNQRLQNATGASENAEDEYVVSNGANGTTPYSYPLEGEDEDDMVVAGGRSTDVLRPERRRRRRRRNRGRLFLGAN >KQL28389 pep chromosome:Setaria_italica_v2.0:I:5527653:5530258:1 gene:SETIT_019995mg transcript:KQL28389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVATHSGSPPPPPPRLYRRHRAAFPAGARRSAAAARGRIRSIYSSRNLSCLVSGTAKASVSGAETSSGGEDVNEIIGKVEAVESTTPGASFLAKVAIAIGIAATVTVISLVRKQPSSGPSFSLPQIVDASTQSDAAAATLGYSFSAFGKKVILPEYTPGWVYFCLLMAAGFGLLISEEALNVWAGISLSRTLSLDGTWQSLVNSFSANAPYIVSTVLWIYWGVCLSDMIPFFLGKLFRQTKASEGISSKIGIGKDKALSISRAVQKYGNLIGFVERFSIGVRNITAFLAGALGIPADCYFAGVCFGCLFTLPIQLALGFVLRERPVIALASVAAAV >KQL27810 pep chromosome:Setaria_italica_v2.0:I:814403:815766:-1 gene:SETIT_019811mg transcript:KQL27810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSESAAEAASTAAAPPLTSASAPPSRPKAKHRLEIEGYPVEGLSIGGQETCVIFPTLSLAFDIGRCPQRAISQEFLFISHGHLDHIGGLPMYVATRGLFRLRPATIFVPACLRDLVERLFEVHRAIDQSELKHNLVPLEVGEEYELRRDIKVRAFRTYHAIPSQGYVIYSVKQKLKQEFIGLPGSEIKRLKLSGVEITNTVSTPEIAFTGDTTSDFILDPDNADALGAKILVVESTFLDDSISVEHAREYGHTHLFEIASQSDKLGNKAILLIHFSARYTTEVG >KQL29874 pep chromosome:Setaria_italica_v2.0:I:25813216:25814773:-1 gene:SETIT_018567mg transcript:KQL29874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPIHEARAHADADRSPTDRPTSSSPSSSPSAASAWVSSSPPRSVRAMAAETVVLKVAMSCEGCAGAVRRVLTKMEGVETFDIDLKEQKVTVKGNVKPEDVFQTVSKSGKKTSYWEGEATAPAASAPAAEAAPSTAAEAPAAEAAPEITPAKADA >KQL30990 pep chromosome:Setaria_italica_v2.0:I:34888775:34891477:-1 gene:SETIT_017695mg transcript:KQL30990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQYPSNLLFHDRGEPERKEMDMPRPPQLAGVSPAAVYFASGGASGNRRKRPREAMAPPPAAAKEEYVNLFTLQPQQSTSFANMAQFQSQNRVSSSPSPAATALVSTGLRLAFDEQQQQQQQQQQQESTKQMNALRYSSSPSLFSTVSDELAAQVKQHDDEIDRFIREQGEQLRRAMADRLRRHNRAILVKADQSAARRLREKAAEAEREARRGAELEERLARLRGEAAAWQAKALSEQAAAVTLHAQLQQAAAVARASVEELGDAGPAESSSSAYVDPRRTGPSPDRACLGCRLRPASVVLLPCRHLSLCGECFAASDADAAMACPVCLCVRTGSVEAILC >KQL30989 pep chromosome:Setaria_italica_v2.0:I:34889996:34891145:-1 gene:SETIT_017695mg transcript:KQL30989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPRPPQLAGVSPAAVYFASGGASGNRRKRPREAMAPPPAAAKEEYVNLFTLQPQQSTSFANMAQFQSQNRVSSSPSPAATALVSTGLRLAFDEQQQQQQQQQQQESTKQMNALRYSSSPSLFSTVSDELAAQVKQHDDEIDRFIREQGEQLRRAMADRLRRHNRAILVKADQSAARRLREKAAEAEREARRGAELEERLARLRGEAAAWQAKALSEQAAAVTLHAQLQQAAAVARASVEELGDAGPAESSSSAYVDPRRTGPSPDRACLGCRLRPASVVLLPCRHLSLCGECFAASDADAAMACPVCLCVRTGSVEAILC >KQL31205 pep chromosome:Setaria_italica_v2.0:I:36251180:36251668:1 gene:SETIT_020602mg transcript:KQL31205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQYIRERETKSKTKRRASSFTWNGMEQHEMGEIFSFPLSSTF >KQL29540 pep chromosome:Setaria_italica_v2.0:I:20418433:20423594:-1 gene:SETIT_016381mg transcript:KQL29540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRGAAAVAAAAREEEESSPAQRLVEAALRGDSAAVEACLQAAADPVDADVPAASRVGVARLRVRSANVALREEAAGEVVVEAQELKTDVSPLFAAAHAGHARIVRALLEAGADVNQKLFLGYATTAAAREGNIDVLEMLMLAGATQDACEDALSEASLFGEAEAVRILVCSETLGSGAVAHALVTAASRGFDDIVIILLKNGADVNCTARVLLRSVKPALHANVDCTPLVAAIMSRQISTVKLLIEEGSYMDSLVQVGSWSWDPASPEEIRVGTCLGEHYNATWCAVEYYESSGEILKLLLDKAPWLLESPKKGRNLLCHAILCQNPSAVRLLLHVGANPRFPIMTEGGHVFCPMHLAARLGYAQVLKQLMLHGADVNAKTSTGDTPLMVSARTGHPDCFLELIKFGADLGIVNHEGDTAILIAERSSSHYSVVDTLLRTLNCGASLISSDMTVFSPLHFFARSGRAEPLLMMLHQSASDLNKLDGSGLTPVMVAVESGHVDIFRILVMVGADITIRNREGKSLMSIIQQKSSEIRDCFEQILLQASLTNTLTGQTLFRPLHYAARIGDKSSLLQLLTMGHDPNSLDEDGFTPLMHAAASGRLDSCKILVSHGGADYSLVNERNETALSLARRSSISSKATEEWLLGHLSRAHVLAGEELMKHTREGKGSPHQKTVRMTKSGVLTWGTSRRRNVTCKEARFGPSTSFTKNRRSIDGSAQHLIFQVVTVTGREVHFEASCASSVEFWVKGINLIVKESIWSTPDKETLESS >KQL29079 pep chromosome:Setaria_italica_v2.0:I:10208732:10213175:-1 gene:SETIT_017688mg transcript:KQL29079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPGHTPLIHGLPDEIALICLARVPRRFHNVLRCVSKRWRELLCSEEWRTCRKRNNLDESWIYVICRESGIKCYVLAPDPSSRSLRVMHIIEPPCSARQGVTIEALDKRLFFLGGCSWLNEPTDEVYCYDASSNCWSTAAPMPTPRCYFVSASLTEKLYITGGLGLTDKSPNSWDIYDSATDSWCAHKNPMLTPDIVKFVALDEELVTIHQAAWNRMYFAGIYDPLDRTWRGTENEIARCFSSPTVVVDETLYMLEQKLGTTLMMWQKDTKEWVMLGRLSDKVTRPPCQLVAIGRKIYVIGRGLSVVTIDMDTAARVDGFVVTSSTGPLVEQDLSPERSRVITI >KQL29281 pep chromosome:Setaria_italica_v2.0:I:12699799:12701810:-1 gene:SETIT_017662mg transcript:KQL29281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTLGLGAGAGLGLGLCFGAAALMQAVGANAEHLNKGREAHANRVVTPQRQYNVHSAEDIDESSAERLYDAMRYAKANAMLIQVPVLGTAKKFWRLSDKATRISRKLALILSSQHKIGKYLTAPLQLSDVWIGNNGSVKLRGVSFTARGFSIERVRDDYKYLAKVLIELIKFSGGDINNLPPDYREFLLLIGRGTVTMRDELLIVNNVALLPMENRTEVFLMLHDRIVNYRGREDEAKRKRILSKLPYKKDWLDTARANARINEWVTFPKKGMNQGVENVREYRRTPFDLLRLNRNIRCHLHQHNNDDIEETLYCEWPELLMVMEKMLYLEGELVATDIQNKFG >KQL29280 pep chromosome:Setaria_italica_v2.0:I:12698240:12701810:-1 gene:SETIT_017662mg transcript:KQL29280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTLGLGAGAGLGLGLCFGAAALMQAVGANAEHLNKGREAHANRVVTPQRQYNVHSAEDIDESSAERLYDAMRYAKANAMLIQVPVLGTAKKFWRLSDKATRISRKLALILSSQHKIGKYLTAPLQLSDVWIGNNGSVKLRGVSFTARGFSIERVRDDYKYLAKVLIELIKFSGGDINNLPPDYREFLLLIGRGTVTMRDELLIVNNVALLPMENRTEVFLMLHDRIVNYRGREDEAKRKRILSKLPYKKDWLDTARANARINEWVTFPKKGMNQGVENVREYRRTPFDLLRLNRNIRCHLHQHNNDDIEETLYCEWPELLMVMEKMLYLEAGRLNTCSQRSRHGKYGK >KQL30906 pep chromosome:Setaria_italica_v2.0:I:34271293:34271524:1 gene:SETIT_019606mg transcript:KQL30906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGRANPTSSLRSRRCLRMRPSPSLNARHPPPLPLLLPRPGRSSRAPTAAYSGDLAGPSLSASPLSCS >KQL28998 pep chromosome:Setaria_italica_v2.0:I:9609037:9612445:1 gene:SETIT_017559mg transcript:KQL28998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSILSDCSSARLLPLRRALLPQRVPRIRPCPALASSRRLFAAARPQLLPRPPRMDSVPAAAASSAESATVAADASAKVIDGKLVAKQVREEIAVEVTKMKDVIGIVPGLAVILVGSRKDSQTYVRNKKKACEAVGIKSYEVNLPEDSSEEEVIQHIASFNSDPSVHGILVQLPLPRHMNDENVLNAVSIEKDVDGFHPLNIGRLAMQGRDPFFVPCTPKGCMELLHRYGVEIKGKRAVVIGRSNIVGMPAALLLQKANATVSIVHSQTKNPEEITRQADIIIAAVGVANLVRGNWIKPGAAIIDVGINPVDDPESPRGYRLVGDVCYEEASKVAGAITPVPGGVGPMTIAMLLSNTLESAKRIHNFK >KQL30044 pep chromosome:Setaria_italica_v2.0:I:27705539:27710985:-1 gene:SETIT_016324mg transcript:KQL30044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSPRPPRRPEREGSYNYDIESMDGSGAGGVPPWRPQHNGSSEALLRYDDGGAGDRGSTHEPLLRKRTMNTTSQIAIVGANVCPIESLDYEVVENDLFKQDWRSRKKKQIFQYIVLKWSLVLLIGLLTGVVGFFNNLAVENIAGFKLLLTSDLMLNERYIKAFFVYGGCNLVLAASAAAICAYIAPAAAGSGIPEVKAYLNGVDAYSILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEVASWWRSALLWRTFFTTAVVAVVLRGLIEFCRSGKCGLFGKGGLIMFDLSSTVATYSTPDLIAIIVLGIIGGIFGGLFNFLLDKILRVYSIINERGAPFKILLTITISIITSMCSFGLPWLAACTPCPADAVEQCPTVGRSGNYKNFQCQPGYYNDLASLFFNTNDDAIRNLFSNGTENEFRMSSLFIFFTAIYCLGLVTYGVAVPSGLFIPVILAGATYGRIVGTLLGSISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLPMLPLVMLVLLISKTIADNFNKGVYDQIVVMKGLPYMEAHAEPYMRHLVAGDVVSGPLITFSGVEKVEKIVHALRITGHNGFPVVDEPPITETPELVGLVTRSHLLVLLNSKNFMKGQVKTSGSFVLRRFGAFDFAKPGSGKGLKIEDLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAILFRELGLRHLLVVPKAPDRPPIVGILTRHDFMPEHIHSLFPNLNPHKYHSASMAG >KQL29403 pep chromosome:Setaria_italica_v2.0:I:15137266:15138346:-1 gene:SETIT_017997mg transcript:KQL29403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDPGYGYGGYGYGYTAGAGGYNYDMASYGGGGAYHTANDQYPAAPATYEDPLAGRRQHDFPAPLTGLEFQATDTCPKNYVIFDQTYDRSRVMFHPSLANNLGSSGGGYGHDHGCYGYDQNYAGKGAYYGDGGGTASVRQKEDTDEIDALMSTEDGEDEDDVRSTGRTPGCGGAGGSPDSTCSSGGGGQKKKERMKKMVRTLKGIVPGGDRMDTPAVLDEAVRYLKSLKVEAKKLGARGLQPAACVRACMDCCFSLLEVAAFFLFSYLSMVAGVGSCNHHAESLMM >KQL29096 pep chromosome:Setaria_italica_v2.0:I:10267068:10269216:-1 gene:SETIT_019172mg transcript:KQL29096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSSEAAAREALSTEKAFEREKLPAWSEQITVRSVVVSTALGLFLSFIVMKLNLTSGIVPSLNMSAGLLAFFLMKTWTSALERCGIFPKPFTRQENTVVQTCVISCSSIAFSGGFGTYILGMSKKIAEGFDEAKTSINVEEPSLGRIIAFLFLVSFVGLFSIVPLRKIMIISYKLTYPSGSATAHLINSFHTPQGAIQAKQQVSILFKSFAGSFLWSLFQWFYSAGPGCGFSSFPTFGMEAYRRRFFFDFSATYVGVGMICPYIINFSLLLGSVVSWGLMWPYIESKRGLWYDAELPRSSLHGLNGYQIFISIAMIIGDGLFNFLSILVRTSYDMYLKRTKPAEAAAKPFAGVDISERQALSFDDRRRTQVFLKDQIPTSIAAGAYILLAAISVVAIPHIFRQLKPKHVVWAYVVAPVFAFCNAYGTGLTDWSLSSSYGKLAIFIFGASIGSQDGGVVAGLAACGLMMGIVSTASDLIQDFKTGYLTLTSPRSMFVSQVMGTGLGCIISPVVFWIFYKAYDVGLEEGYPAPYAKIYRGIALLGVNGWNQLPKYCLRFCLAFFLLAVAICALKEVAKARGWWVQDYIPSALGMAVPFFLGSFFTIDMCVGSIVLYLWSKSDRVRAHTFAPAVASGLICGDGIWSLPSSILSLLNINPPMCLRVFSADTNYQVEEFLWTLRNPAAT >KQL29698 pep chromosome:Setaria_italica_v2.0:I:23505723:23507232:-1 gene:SETIT_019496mg transcript:KQL29698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPSPGGRCPPSPWPPRRLPSLPPSLAGLLRRGAAPHDPDNPNPRPRSPPRGLPQDLLLRAAFDGNLRLVKEMARELGGDEGRAAEKVRAVRDSNGLGALHAAAARGKLPVCRYLVEELHLDVNSISEGVWETCFLQFPKFAVNVGSWFWYLLDNGSDTETLISTGLTPLVCTVGKGNCEIVQALVCKGAYIDALTTVGAALHFAAHNGRDDMVKILLNYHADVSMASLYFLSARDFCLGC >KQL28489 pep chromosome:Setaria_italica_v2.0:I:6220576:6222262:1 gene:SETIT_017602mg transcript:KQL28489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKIQKKRHGAAGGAGGGGGRLQGGIPFEKSKGQHILRNPALVDSIVAKAGLKPTDTVLEIGPGTGNLTKRLLEAGVKAVVAVELDPRMVLELNRRFQGHPLSSRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLSHRPIFRCAVIMFQREFAMRLVAQPGDSLYCRLSVNVQLLSRVSHLLKVGRNNFRPPPKVDSSVVRIEPRKPLPPVSFKEWDGLVRICFNRKNKTLGSIFKQKRVLELLEKNYKTMQSLQLTQDAEMGEEKMSADDVALLANMVEDLSMETGDEKEDDEMEMDDADMVGGGAASFKEKIMGILQQGDFAEKRGSKLSQVDFLYLLSLFNKAGIHFS >KQL29497 pep chromosome:Setaria_italica_v2.0:I:18118763:18123129:1 gene:SETIT_018008mg transcript:KQL29497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDVIWHCIRHNHCSFMAKITTGIFCRNPYNATGICNRSSCPLANSRYATIRDHDGVFYLYMKTAERAHLPKKLWERVKLPRNYEKAMDVINKDLEFWPKLLVHKIKQRLTKMTQYRIRMRKLQLKVREKVMTMPRKQTQRDLRRLEKAEKAAQLEKNIESELKERLKKGVYGDIYNIPFKEFDEVLEMERDQVVLEEEDEEEGEVEYVEGDDMEEMGDMEDMEDFGGLSDGGTDEDDRLDDQVTKKPKGSGSSLKQNAGKRSRKVMTEVEQDEETNTRQRTRM >KQL31897 pep chromosome:Setaria_italica_v2.0:I:40335515:40335976:-1 gene:SETIT_017118mg transcript:KQL31897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPLHPVTVLEQCHVSPSPAPAAGQPRALPLTFFDLVFWGFPPVQRLFFYDNADLLDASDFTLRELPKFKKSLAAALHHFYPLAGKLPCELSEGVAPEVLFSHGDSVPLTVAVSGDDFEDLAGDHARDTARLHPLLPALRQHGGSRSQDVLA >KQL29185 pep chromosome:Setaria_italica_v2.0:I:11135294:11136863:1 gene:SETIT_017966mg transcript:KQL29185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRALLLVAVAAAVAGLATANFRDDCDIPWEPQNARFTSDGNGLSMSLVSKNSGCMLRTKKQFIYGTVSTLIQLVPGDSAGTVTTYYASSVGDNHDEIDFEFLGNETGQPYTFHTNIYADGVGNKEMQFKPWFDPTTGYHNYTISWSPCMIVWYVDSVPIRVFRNYQASHGVSFPTSRPMFAYSSIWAAEDWATQGGRVKTDWSKAPFVANYDNIHLNICECGSGGYGGGCATGCPAAAATPYNGACQLSPAELGQMQWVQGTYRIYDYCVDYKRWVNGQKPVECDLPQH >KQL31532 pep chromosome:Setaria_italica_v2.0:I:38227961:38229016:-1 gene:SETIT_019216mg transcript:KQL31532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVFSGITARNLRGAIHASNLYSVSIQHKEFFCWKPTYQHISTNNSMRPNDVIEAQDMVPAEGNRSCSSSVQSTPEIEKKYVHRVYDAIAPHFSATRFAKWPKVAEFLNSLRPGSVVLDAGCGNGKYLGFNPDCFFIGCDISPPLIEICAGRGHEVLVADAVNLPYRDNFGDAAISIAVLHHLSTDERRRKAIEELIRVVRRGGLVLITVWAREQEDKSLLNKWTPLCEKYNEEWVDQSSPPVRSQSATLLESIAETDEDAGVMKQADDRLKKCHDSMEDKTIAACSNTDTDEKETNQQEYFVPWHLPFHRAEIGGASAAALENGFAKKDEKKGTVVYNRYYHVFVEGELQ >KQL31912 pep chromosome:Setaria_italica_v2.0:I:40393273:40394580:-1 gene:SETIT_019173mg transcript:KQL31912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGGNDAAAPRCPPHPGFIRGLCFLCGVKEENTEGGAPELAIGDENEMMKQGGGEGAAAAARCPPHPGFVSGFCSLCGAKEEDAGGSASRHAVGNVHGDPAPPASATTTTVPRASDLATLLRERKLTLILDLDHTLLNSTGLDDFSPSEERNGFTSSTRDDPGMGLFRLDTYGVPVLTKLRPFARGFLEQASAMFEMHVYTLASRAYAMAAVRLLDPDGVFFGARIVSSAESTRRDMKSLDVVPGAEAAAAVILDDSDSAWPGHQENLILMDRYHYFASTCRDFGYDISSMAALNRDEREHDGSLAVVLGVLKRVHRGFFDSVLDGDFPDVREVIREVRRQVLLGCTVAFSRVNYMEDFAEDTPMWTLAERLGAVCEVDVDETVTHVVVEDPGTEKAQWARDNNKFLVNSEWIKAASFRWCRQDELDFPVTRRG >KQL29720 pep chromosome:Setaria_italica_v2.0:I:23833153:23836153:-1 gene:SETIT_016867mg transcript:KQL29720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAGSAGRSARPVVALALLLLAVSAAAPVATAGASAAVNGDRLRAEQIRKQASDAAASAAALAAASRRLHLDRARHLRLLSSLHRNLTNTLRALSLAADAATVSDPFSDPAAAANASSSRQLDLQAKDLIRAARAAIADSKPLFDPQLKIQRLKDAIFAQNELLARAKKRGAFASLIAAKSIPKPLHCLAVRLTAERIARPEQYAEPVPPPRALEDPALFHYAIFSDNVLAASCVVRSAVANSIDPSKHVFHVVTDRMNLGAMQVIIRRMDLKGAHYEVKAFEDYKFLNSSYVPVLRQLESANLQKFYFENKLENATKDASNMKFRNPKYLSMLNHLRFYLPEMYPKLHRILFLDDDVVVQRDLTGLWKIDMDGKVNGAVETCFGSFHRYWQYMNFSHPLIKAKFSPNACGWAYGMNFFDLDSWRREKCTEQYHYWQNQNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEIRNAAVVHFNGNMKPWLDIGMNQFRHLWTKYVDYDDEYIRQCNFAPP >KQL27848 pep chromosome:Setaria_italica_v2.0:I:1158364:1162724:-1 gene:SETIT_017201mg transcript:KQL27848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSRGSSLAVFVVLLGALALLQSAAGAATCVGVAPAKRRPEVISITDFGGVGDGRTLNTWAFRKAVYRIQHQRRRGGTELRVPAGTWLTGSFNLTSHMTLFLARGAVLMATGDTRGWPLVEPLPSYGRGRELPGPRYASFITGDGLRDVVITGDKGVIDGQGEVWWNMWRRRTLQHTRPNLVEFMHSTGIHISNIVLKNSPFWNIHPVYCENVVVTNMMILAPHDSPNTDGVDPDSSSNVCIEDSYISTGDDLVAIKSGWDEYGIAYGRPSSGITIRRVRGSSPFSGIAIGSEASGGVRDVLVEDCSIFDSGYGIHIKTSVGRGGYIRNVTVDNVRMSRVRSGVRIAGDAGDHPDGRFSQRAVPMVDAVRIRNVWGVGIQQPGSLEGIRNSPFTRICLDNVKLFGWRTGAAWRCRDVRGAALGVQPWPCAELATSFASAGGSCG >KQL28303 pep chromosome:Setaria_italica_v2.0:I:4897007:4900990:1 gene:SETIT_016269mg transcript:KQL28303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLNLKQPLVLPGHQGNVVGARPAPSLPSRRVVGGSSSSSRRHGMPRISCSATEEIGGAVTAATVEKMLTVRATVEASTAIGRMYATRGLDDIGDLLGKSLLLELVSSEVDPKTGLEKERVTAFAHKTLVEGRYEAEFKVPASFGPVGAVLVENEHHKETFIKEIRLVTGGDDSSAVTFDCNSWVHSKFDNPEKRVFFTVKSYLPSETPEGLSELRKKELETLRGNGHGERKSFERVYDYDVYNDLGDPDRNPAHQRPVLGGSAEFPYPRRCRTGRARTQRDPLTERRDGHNYVPRDEWFSEVKQLTFGATTLRSGLHALLPAIQPLLIKKELRFQHFPAIDDLYSDGIPLPAQTGFDAIRTVVPRMVKLVEDTTDHVLRFEIPEMMGRDRFSWFKDEEFARQTLAGLNPLSIELLTEFPIKSKLDPAVYGPAESAITREILEKQMNNNLSVEEALAAKRLFILDYHDVFLPYVLRVREQPDTTLYGSRTVFFLTDAGTLMPLAIELTRPQSPTKPQWKRVFTHGPDATGAWLWKLAKAHVLTHDTGYHQLVSHWLRTHCCVEPYIIAANRQLSRLHPVYRLLHPHFRYTMEINALARESLINADGIIEAAFWPGKYSVELSSAAYATWQFDTEALPNDLVRRGLAVRKDDGELELVIKDYPYANDGLMVWNSIKQWAADYVKIYYKSDEAVAGDAELQAFWEEVRTVGHGDKKDEPWWPVLDGRDALVETLTTIMWVTSGHHSAVNFGQYHYGGYFPNRPTVIRKNMPVEEGRDDEMKRFLDQPEGTLLDMLPTQMQAITVMTTLDILSSHSPDEEYMGDHAEPAWLAEPMVKAAFEKFGGRMKEIEGYVDECNNNPELRNRCGAGVVPYELLKPFSKPGVTGRGIPNSISI >KQL30054 pep chromosome:Setaria_italica_v2.0:I:27755072:27755881:-1 gene:SETIT_019702mg transcript:KQL30054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGVSPTGIDCFCFGAENKLRIFPPNTYKFKPRDHIVLDEVQECILDNFWYQYNNKREDKGYMLAILNSLAEYFHTINGLIQPKESPENIEKKAIYVIYKGKNPGIYVSFEQVIAQKIEKDKDGGILWKKYTDIDQALTYARNILGVNYFLEPAAKEYIQKYKKIKELKPSSSRINIKEEGSSKAPTYKDILKKEADSSNEEYIDNKLKEKLESVFPQWKNNLKEEIMKEIRLEIDEKFKNMQKDYELKMEVPISEDDMMDFRGDSQE >KQL30904 pep chromosome:Setaria_italica_v2.0:I:34241922:34243978:1 gene:SETIT_018767mg transcript:KQL30904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCPSCGLLLQIDPGTGSHRLRLFCPLCPYVCAIQNKIVRKAKLVKKEVEPIFSNADAMKLAPKTATSCPRCNHGEAYFKQMQIRSADEPMTTFYRCCREECQLEWRDD >KQL32127 pep chromosome:Setaria_italica_v2.0:I:41455966:41456417:-1 gene:SETIT_019021mg transcript:KQL32127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLTCIQALVAAAPATRKTEINLPFEKLTTRVCSQDLKGRYFFSFQNLAHHWI >KQL31345 pep chromosome:Setaria_italica_v2.0:I:37009858:37010250:1 gene:SETIT_019370mg transcript:KQL31345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein THSVFLDTGLAMLFVVHCVVLLWALNRCCRAQASSRVAVGERAEEGGGGIDGLSADQVGELPCHKCKEGSGGGECAVCLEAFRAGDRCKVLPGCEHGFHAVCVDLWLRKSRRCPICRADGGQSAQKRRRG >KQL28515 pep chromosome:Setaria_italica_v2.0:I:6384433:6386595:-1 gene:SETIT_019331mg transcript:KQL28515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRPPGHTRVALAVVVAAAVVLLVSPPRPAAAQGTTEADVLIAFRDTLRGPDGALPGPLRSWGTPGPCSGNSSSWYGVSCHGNGTVQGLQLERLGLAGAAPDLSALAVLPGLRALSLADNALTGAFPNVSALGVLKMLYLSRNRLSGVIPEGTFRPMRGLRKLHLGFNEFSGPVPGSITSPRLLELSLANNRFEGCLPDFSQPELRFVDVSNNNLSGPIPAGLSRFNSSMFAGNKFLCGKPLDAECDGLGVPRSGMSTMTKIAIALIVLGVILCAVGIATGVLGRRRQRPKRRAAAEALGGGGDQTPSNPKLNTAPAVNIENAASTSQPRAAAAGGAAGGAAAAKRQRRDEHGRLVFIQEGRTRFEIEDLLRASAEVLGSGNFGSSYKATLCDGPAVVVKRFKDMNGVGREDFSEHMRRLGRLAHPNLLPLVAYLYKKEEKLLVTDYVVNGSLAQLLHGNRGSLLDWGKRLRIIKGAARGLAHLYDELPMLTVPHGHLKSSNVLLDGAFEAVLSDYALVPVVTSQIAAQVMVAYKAPECIAPQGKPSKKSDVWSLGILILEVLTGKFPANYLRQGRQGGDLAGWVQSVVTEERTGEVFDKDITGARGYEADMVKLLQVGLGCCDADVDRRWDLKTVIARMDEIRDPEPAAADSSSSSS >KQL28396 pep chromosome:Setaria_italica_v2.0:I:5569366:5573315:1 gene:SETIT_017544mg transcript:KQL28396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWASCCKRSDGAEPGRKKKKKDTAWRVFSLKELQLATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKSWSNKAETEFAVEVKILAQVRHKSLLSLRGYCSEGQERLIVYDYMPNLSIHSQLHGQHAAECNLGWERRMKIAIDSAEGIAYLHHYATPHIIHRDVKASNVLLDSNFQARVADFGFAKLIPDGATHVTTKVKGTLGYLAPEYAMLGKASESCDVFSFGIMLLELASGKKPVEKLNPTTKRTIAEWALPLARDKKFKEIADPKLNGNFIEDELKRMVLVGLACSQNKPEQRPIMSEVVELLKGESAEKLSNLENDELFKPEQTSSFQGSSGPDSSDCITEEKSSKADAIEAAVDSSETVPSAR >KQL27749 pep chromosome:Setaria_italica_v2.0:I:387730:389118:-1 gene:SETIT_019160mg transcript:KQL27749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAVPHHSLPSTLSPTTTTPAPPQKENPKPRRSPGPPARARRRGDQAMAAPEPPPPSGMGFFGMLSFRRSATAVASFDPAQDDELLALDALQAHVADRLQALSASSSPASPALSLPFLSKLLDAVLSSDAAFRAVLAVGPVAAALARPPADRLAADLLDRAVKTLDVLNAASLTLTSLRAAHRAALTAATCLLAPALHRAHLARARRAIARLFPDDARAGVGAGSASSPCSRTMRALSFSVSKNWSAGRHMNAMAAHLAPPPQAPAAAAGAGCGLGLALYTMSSVLVFAMWALVAAVPCQDRASAAVSPPVAPPKQAQWAAPMSALQERIAEEWRRREKKGSSSGPAPTAGLLAEMQAVESAARDLNCLLEEIAEEEEEEEEGHGIVGEERAREVTERAEELAAACRALEDGLAPLERQVRAVFHRVVACRAEVVRCIDHSSRTATAAASASAGVPPQHQHSF >KQL31037 pep chromosome:Setaria_italica_v2.0:I:35190109:35193012:-1 gene:SETIT_019273mg transcript:KQL31037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAAARPSPPPLIPCFPASRSGLHWLFSHFSLSHSPIGLWHRRRRLLLPSPLFYRGGGATTEQAVIRRGAPDTRGNGCTPRSEESPLPHATVPGPPGEEPGRSSSPPPELLMLDPRSEIYPTIEYRPIQPSDLEALEKIHLALFPIRYEREFFLNVVSGHGIVSWGAVDTSRSDDRRDEIIGFVTTRMIAAKDSEIEDLFRYNSSRKDLTLVYILTLGVVDSYRNLGIASSLVREVVKYAASISNCRGVYLHVISYNQPAISFYKKMLFKLVRRLPMFYYIRGQHYDSYLFVYYVNGGRSPCSPLEIVTSFVVDFRAFVKMLVAKFWSKEDHCGPRWARCQESNTLLAPQSNKRIISGDSTRCHV >KQL29048 pep chromosome:Setaria_italica_v2.0:I:9912368:9914722:-1 gene:SETIT_020376mg transcript:KQL29048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVLMSQLRAPNPYPVPPQRHRDVSGQDTKRRLKWRGCVPVLKTS >KQL30690 pep chromosome:Setaria_italica_v2.0:I:32638767:32640672:1 gene:SETIT_017934mg transcript:KQL30690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDRTKGLKKGPWTPEEDKLLVDYIQANGHGSWRLLPKLAGLNRCGKSCRLRWTNYLRPDIKRGPFSPEEQKSIVQLHAIVGNKWSMIAAQLPGRTDNEIKNYWNTHLKKQLRRMGLDEPPPGPAAGCPAARHMAQWETARLEAEARLSLLASSCSSGATATTATTSVSSSSTFAAGAEKASSKPADIFLRLWSSDIGDSFRRKTAAPALPAPPVKRKDDVVMIKQEALALPAPGDDSSAASNETEVAEALEEYQMFLDFAGEELGLFHGRYGGFSLFPPLEVLEASLDTAF >KQL31126 pep chromosome:Setaria_italica_v2.0:I:35789581:35795325:-1 gene:SETIT_016219mg transcript:KQL31126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDILYGKFLFSSCAAAKPPCKKLITSLAAGASPSTPSRPRSPNSKSLRPRRVRTVLSSPTKQASPPAVRSTSGAVKRRGRRSKAEGEQGVGGGGGERGGECVPSTEEASICVGTLYQNGDPLGRNELGRCVVEWLRQGMRSMASKLAAAELEGDLAAAALALEWGSAEGRLGFVIQAQPYLSAIPMPQGLEALCLKACTHYPTLFDHFQRELRDVLLSYQNQGLISDWRDTQSCRILKEMANSSQHRPAVRSTSPRPKAVHSSIGISLKKVRLMQARIEEFVRHMSDLLRIERDVELEFTQEELNATPMLDDDSEPPKPVEYLVSHGQAQQEQCDTICNLNVISSSTGLGGLHLVLFRVEGGHKLPPTTLSPGDMVCVRTCNSRGEGATSCKQGFVYNFGEDGCSITVALESRHGDATFSRLFGKSVRIDRIQGLADALTYERNLEALLLLQRNGLQEDNVSIGVVATLFGDSKDVVKMAKNNLTDWDESGGPDLSLSERYAYDASQLRALKLGLNKKRPVLIIQGPPGTGKTVLLTELIVRAVKQGERVLVTAPSNAAVDNMVESLSSTGLNIVRVGNPVRLSPSVASKSLGEIVNSRLRQFRKELERKRTDLRKDLRQCIEDDSLAAGIRQLLKQLGRDLENKEKETIREVLSGAQIVLSTNTGAADPLIRRTGSFDLVIIDEAGQAIEPACWIPILQGKRCILAGDHCQLAPVILSRKALDGGLGKSLLETASSLHDGLLTTRLTVQYRMHDSIAMWASREMYHGLLESSRSVASHLLADSPVVKATWITRCPLLLLDTRMPYGALNIGCKEHLDPAGTGSFYNEGEADIVTQQVLNLVHCGVSPTAIAVQSPYIAQVQLLREKLEQYPELSAVEVSTIDSFQGREADAVIISMVRSNPLGAVGFLGDSRRINVAITRARKHITVVCDTSTICHSTFLARLLRHIRRYGQVKHVAPGTLDGVSGIGFSQPTLPSIG >KQL28691 pep chromosome:Setaria_italica_v2.0:I:7503912:7504270:-1 gene:SETIT_020390mg transcript:KQL28691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALPFEVEAMFSATLKAVVDVRVKRVGRLLTT >KQL28692 pep chromosome:Setaria_italica_v2.0:I:7504038:7507882:-1 gene:SETIT_020390mg transcript:KQL28692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALPFEVEAMFSATLKAVVDVRVKRVGRLLTT >KQL31444 pep chromosome:Setaria_italica_v2.0:I:37567962:37569832:1 gene:SETIT_019560mg transcript:KQL31444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLSASALPWVNKPSEGSADGISPWPDSVHARLCSDQAATTSTRSSYTNMHLQLLRLDRRGRTARAGGANVPATLYQLMDTDEAMDDADAHIYTNTTYAWRTGENGAIAYNIDEFEEWDDNIKSTPILNSIPTNGLYGRSYDPKRPYCTSDAIRKLLRSGSLTVRNAFLCGMSLVNPFRKGNVGVLKPLGLMAFRCFQIDSSGERRSNTSAGYNLEKPIVDEEFIIDHPSTLPNMVYADVCEEAFWFVVKEKSAPQSDVDAVLSCIGSGQSQALLDALNHEVLHQFIPEKLQKKFPARKFPFTKMKIILLSDRDSGVVVEICRHTHIHCKDPNVRKLVLNRLKVASYSREELTEVLRMVYPNFIRDAYTVLVVQGNWAKLKVRERLPIPSIHLAPASALVSFNFVLVFLKE >KQL32002 pep chromosome:Setaria_italica_v2.0:I:40825401:40828017:-1 gene:SETIT_017127mg transcript:KQL32002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRLTGWAAAASAQRGFPAAAAPEWGARRRRRCHGAPMARLRCAPSPAPAVASSLGVGAAGQLQVGAEREWLWDCRGDVGGGARDYAREMEVAVRVVQVACTLCQRVQDSLLRPGADAGGRVHSKLDRSPVTVADWGVQAIVSWLLSNSFQDENVSIVAEEDDETLSSCDGAALLESVVEAVNGCLIEAPKYGLRPPEKELRAHDVIQAIRKCSSTGGPKGRFWVLDPVDGTLGFVRGDQYAIALALIEDGEVILGVLGCPNYPMKKEWLNYHQKYYRLISKVAPPPLGSWHKGCVMYAQKGCGQAWMQPLVHDFGKLDWRHPREIQVSSISDPVSATFCEPVEKANSSHSFTAGLAQSVGLRNQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVVIIQEAGGVVTDAGGCQLDFSKGVYLEGLDRGIIACSGELLHQTILDAVDASWNSSTL >KQL29741 pep chromosome:Setaria_italica_v2.0:I:23993088:23993750:-1 gene:SETIT_018303mg transcript:KQL29741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKATRYLKQLFTAIVAAVKSTAVGTRASSLRTRLIVLGIMRNKKLLLSAIQSKIHAIMGGGSSGSGQGGGYGNAVAGHGGGEGTEGARRGGAAGEHLFLAGGGRKAAVLESLPSFVVEQESRAVVLSSLPSFALERDGGGAGLARSPLAGGGEEVEYSEANGEKQLAIAAAPGSAEGEFRLEDEIDRVADVFIRRFHDQMKLQKLESFKRFCEMLERGA >KQL28141 pep chromosome:Setaria_italica_v2.0:I:3726309:3733784:1 gene:SETIT_016457mg transcript:KQL28141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPWRFPAGADLCPVCSARHFPFCPPPPLPPHPFPYELHPPPPPPHPFPYDPHPPPPPPMWGPPAPGPHNPHPYEFAGGEGPHKRMRVGEAPPFDPYGFAPPPPPGRASVEGDRLLGLIRDHGHNPLPGSAWRGEPCPPDAGFGYGGVRCYPSPYPQGGDFANFNHAGRLPPPVPLHDMNHGFGQGFAPGGGPHEKYLDSADHRYCQFHPEELPGVPPPPPLPPYAETDAIPQPPEPPFPSHRDYRAAPPRPAANSSLFPVLSGSPAMAVIPPSSRTLPQAQSMPNANCYDGHINDEGQGLIYRPLSEQHLIDGRSANAHHSLEDSKVTIINACDLFKQPHRASRPDHIVIILRGLPGSGKSYLAKALRDLEVENGANAPRIHSMDDYFMIEVEKKLEDKEGSKSSGASKGRRQLTKKVIEYCYEPEMEETYRSSMLKAFKKTLDEGNFTFVIVDDRNLRVADFAQFWATAKHSGYEVYLLEAPYKDPTGCAARNVHGFTLDEIKKMATDWEEAPPLYLQLDIRVDMDTEDIDDAIETTSTTAENSKKAIQEAPHNESHEGFSKPGENWNAEVEDDLDAFKELGQSKWSKDFEDDTEKSENAEGNTHALSGLAQTYSTHKKRVSWGDRLEKGGFSIAATKRKLSSSLVIGPGSGYNLVSNPLAEDNSTGMKGKTNNETKKRFSEQLRDEGQSFRAVFDKRKQRIGVFENGDDE >KQL28142 pep chromosome:Setaria_italica_v2.0:I:3726309:3733784:1 gene:SETIT_016457mg transcript:KQL28142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPWRFPAGADLCPVCSARHFPFCPPPPLPPHPFPYELHPPPPPPHPFPYDPHPPPPPPMWGPPAPGPHNPHPYEFAGGEGPHKRMRVGEAPPFDPYGFAPPPPPGRASVEGDRLLGLIRDHGHNPLPGSAWRGEPCPPDAGFGYGGVRCYPSPYPQGGDFANFNHAGRLPPPVPLHDMNHGFGQGFAPGGGPHEKYLDSADHRYCQFHPEELPGVPPPPPLPPYAETDAIPQPPEPPFPSHRDYRAAPPRPAANSSLFPVLSGSPAMAVIPPSSRTLPQAQSMPNANCYDGHINDEGQGLIYRPLSEQHLIDGRSANAHHSLEDSKVTIINACDLFKQPHRASRPDHIVIILRGLPGSGKSYLAKALRDLEVENGANAPRIHSMDDYFMIEVEKKLEDKEGSKSSGASKGRRQLTKKVIEYCYEPEMEETYRSSMLKAFKKTLDEGNFTFVIVDDRNLRVADFAQFWATAKHSGYEVYLLEAPYKDPTGCAARNVHGFTLDEIKKMATDWEEAPPLYLQLDIRSLFHDDNLHGHSIQEVDMDTEDIDDAIETTSTTAENSKKAIQEAPHNESHEGFSKPGENWNAEVEDDLDAFKELGQSKWSKDFEDDTEKSENAEGNTHALSGLAQTYSTHKKRVSWGDRLEKGGFSIAATKRKLSSSLVIGPGSGYNLVSNPLAEDNSTGMKGKTNNETKKRFSEQLRDEGQSFRAVFDKRKQRIGVFENGDDE >KQL29392 pep chromosome:Setaria_italica_v2.0:I:14761456:14770038:1 gene:SETIT_016757mg transcript:KQL29392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGTFWREPPFHPPPPFPTVPSPFPVPNPNPQIPRPPPMAAADADAAEVERLYELGERLSSAKDKSEHAADYEAIIAAVKGQSVKAKQLAAQLIPRFFRSFPTLATRAMSAMFDLVEMEELAIRIQAIRGFPLLGKDTEFVSKIADILGQLLTSEENVERDAVHKALMSLIRQDVKNSLQPLFKHVESGSEIREKIICFLRDKVFPLKAELLKPQAEMERFITDLIKKSVQDVTGSEFELFMGFLRSLSIFGDSAPKESFQELIEIIQAQADLDSQFNVSDIDHIERWSSCMYMALPIFMRGASSSKFLNYFVKQIVPAFEKIPEEKKLDLLKTIAASSPYAAAQDSRQLLPSVVQLLKKYMPGKKVEDINHNYVECLLYTFHHLAHKTPNTTNSLCGYKIVTGQPSDRLGEDFSEHYKDFTERLTGTEETVRAAIKRLTQGMADFNKAISSAKTEEEKTKIKGDQQTATRTMRSYNNILAMTQPLHSKSPSFIGDKKITLSWMEQPKKPAATTAGEKRSQPATNGNGPASKRGRGGMQNQLVNRAFEGLSRGGRGSGRGRGRGGRGRGRGWGGYR >KQL30901 pep chromosome:Setaria_italica_v2.0:I:34226863:34230205:1 gene:SETIT_016419mg transcript:KQL30901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKLQERVPIRRTAWKLADLTVFSLLLALLTNRATSLLGGAGAAQRFWLAVAALACEAWFTLLWLLNMNCKWNPVRFETYTGRLSERGDELPAVDVFVTTADPELEPPVVTVNTVLSLLALDYPAGKLACYVSDDGCSPLTCYALREAAAFARQWVPFCRRHGVGVRAPFAYFSSGPPEDRMADKEFFREWTFMKNEYEKLVGRIENAEENSLLQSDNELADFVGADRRNHPTIIKILWDNSKSKTGEGFPSLIYVSREKSPKFHHHFKAGAMNVLTRVSAVLTNAPIMLNVDCDMFANNPQVILHAMCLLLGFDDEVHSGFVQAPQIFYNALQDDPFGNQTEVMYKKLGYGFAGLQGIYYNGTGCFHRRKVIYSMPPDSHIIIPTGGILSGSPSWEELRVKLGSQKELIESARSIISGDMLAVPIVGLSSRIKVANEVSACSYEASTGWGQEIGWVYGSTTEDILTGQRIHAAGWKSALMNTNPPAFLGSAPTGGPASLTQYKRWATGLFEILVSRNNPILLSICKRLHFRQCLAYLVIDVWPLRAPFELCYSLLGPYCLLTNHSFLPKSSEPGFSITLALFLTYNIYNFMEYMDCQLSARAWWNNQRMQRIISSSAWLLAFLTVLLKTLGLSETVFEVTRKDKSSSNGDGSTDDADPGRFTFDSSPVFIPATALAILNIVAVAVGAWRVVAGAVEDKPGGPGVGEFVCCGWLLLCFWPFVTGLVGKRSYGIPWSVKLKAGLLVAAFVHFCR >KQL31889 pep chromosome:Setaria_italica_v2.0:I:40291061:40292186:-1 gene:SETIT_019063mg transcript:KQL31889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTRLLGVDVAWLPLLLVVTLIVGVMLGIFASGCMAGEWALLFYGRVEYVPEHRLWFGFSSQVWDELTPPLPKRWIPVESYLQSLGTGKFCIVKTFDTVEEGWCREKNGNMFKNVERFAVFTGVKVERGSRGAFRMIKHKSRRYSFGQRIVQTFLF >KQL28660 pep chromosome:Setaria_italica_v2.0:I:7292221:7295723:-1 gene:SETIT_017691mg transcript:KQL28660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPVLASPECAPRGRATVSASDLAPLFSAQRRHLDHFFDRLDMSQAAAFAQALLDAPGAVFFTGVGKSGIVARKIAQTLASLGFARAGFLAPVDALHGDIGALFPGDVLVLLSKSGASDELLALAPCARAKGSYLISLTSAASGGDCPLAAACDLNVHLPLQGEICPFGLAPVTSTAIQMVFGDTVVAAIMEARRLSRDQYASNHPAGKIGKTLIFKVKDVMKKQNELPLCKEGDMIMDQLTELTSKGCGCLLVVDEEHHLIGTFTDGDLRRTLKASGPDIFNLTVGEMCNRNPRTITAEAMAVEAMEKMESPPSPVQFLPVVDDNNVVCGIITLHGLVSAGL >KQL28743 pep chromosome:Setaria_italica_v2.0:I:7854297:7857578:-1 gene:SETIT_017177mg transcript:KQL28743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQNIGASNRDDAFYRYKMPRMITKIEGRGNGIKTNVVNMVDIAKALARPPSYTTKYFGCELGAQSKFDEKTGISLVNGAHDTAKLAGLLEVFIKKYVQCYGCGNPETEILISKTQMISLKCAACGFISDVDMRDKLTTFILKNPPEQKKGGKDKKAMRRAEKERLKEGEAADEELKKLKKDAKKKGASSKESTAKSSKKKAAAGGSDEDHSTSPTRSRDGDNAAADEEDDDDDVQWQTDTSLEAAKQRMQEQLSAATAEMVMLSTEGTEKKKKQATHKETTANGSAKAQEISEPAVIKPSPYDELVGDIKASLGSAPTPTQLKAVLASSTLPPQDVMNALLDALFDGVGKGFAKEVVKNKKYLAAAVPDEGAQTLLVQAIESFGGKCSPEALKEVPVVLKALYDGDVLEEETIVEWYNAAVAAGKNSQVVKNAKPFVEWLQSAESEEEDDE >KQL31015 pep chromosome:Setaria_italica_v2.0:I:35035090:35036379:1 gene:SETIT_020151mg transcript:KQL31015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPPHLFLCPISMELMDDPVTVSTGVTYDRRSIEQWIFGYGRATCPATMQPLANLDLTPNHTLKRVIGSWLDRGSPSPSTSASSSPSTSSLSSPAHELLATPLSRMLGAERLRSALADLEETPFKVTALKNIRACMAGDVAMQCEFVSSGGIQAVARVMAQALAESAAGGDFSAFTACEEAAAVLALLPLADAASVGLVLAPECMRPVMALLQRGGAEARLHAMDIVTKISNAGAGDWTAAAGVEVDDVLKPLLELLADEVSTRLSSRALDVLLDVVARSRDGPAKAVEVGAVHVLVELLADADDRHDAERILLLLKRLCKCPEGRLAFAEHDLSVAAVGKTMLRVSQLATQLAVKVLWLVSVVAPSEKVLEDMVLTGAVAKLLGLLHVETPPATKQKTVRMVRINGGFWRQYSCFPTDLRDYLRLLD >KQL31129 pep chromosome:Setaria_italica_v2.0:I:35808896:35812585:-1 gene:SETIT_017195mg transcript:KQL31129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTITHYVLDPFLETGSPAQVQKAVPKPPPPPPEKATPVPVAPVRTQTSPASLYATPESTTLPDSPSSFPGTWSPYLINHKRRGASLAKTLSQGDAVGEVRELKLPVTLPGLSKSCEAVEVHEPEFAFQQANNGQAEGDCGVEEPFNGQDGILQKGKGTVAAENGQDQPEFEFQRGSLEALVRPVNVARPSNGAAPNNAESDAFFELQDSMSVASNTETDEAGVHERWWKPSSPLGTSVGTPGAEFYDAFEEISSDGGTRSSRAMDDDLREMRLSLLMEIERRKQAEEALENWQAEWKKLSHHLSRVALSLPSPSTAENTDDSSVDPGAELCQQITVSQLVAAAIARGLARAEVESEMETVIAAKNFDIARLSDRVQYYEAANREMSQRNQEAIEMSRQQRKERKKRQKWFWGSVGLAVTLGATAIAWSYLPSSQPQASADSNSASSE >KQL28423 pep chromosome:Setaria_italica_v2.0:I:5777866:5779204:-1 gene:SETIT_019198mg transcript:KQL28423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSISESLSLGETGSRRIARISGAQPSTSKAIVPYKPFPHAVLLNQNIDVDNMDDHNINLGISTLPPPLTESVEQVEVLGIEASPLGSVGDGKDEAELLSAEHSEQNMVYVVGRACSPREQLPARASGSSAW >KQL29161 pep chromosome:Setaria_italica_v2.0:I:10804413:10804926:1 gene:SETIT_020371mg transcript:KQL29161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSIFFSNTAFTKYSHQKPVVCIVVTLPMSKMSLLCDRK >KQL30420 pep chromosome:Setaria_italica_v2.0:I:30447639:30449077:1 gene:SETIT_018942mg transcript:KQL30420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGKQKIDAQRRNAEKNQKAKGSQIEARAVGLKVVCPICKVQLANEKQLTDHYGSKHPKEKPPSTSNA >KQL27732 pep chromosome:Setaria_italica_v2.0:I:287952:290424:1 gene:SETIT_017639mg transcript:KQL27732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASSLSELGFDAGDASSGFFRPVSDDATATPTSHRRRLTKVSVIGAGNVGMAIAQTILTRDLADEIALVDAVPDKLRGEMLDLQHAAAFLPRTRLVSDTDLAVTRGSDLAIVTAGARQIPGETRLNLLQRNVALFRKIVPALAEHSPEALLLVVSNPVDVLTYVAWKLSGFPASRVIGSGTNLDSSRFRFLLAEHLDVNAQDVQAYMVGEHGDSSVAVWSSVSVAGMPVLKSLQASHRCFDEEALEGIRRSVVDSAYEVISLKGYTSWAIGYSVASLAASLLRDQRRIHPVSVLARGFHGIAPENDVFLSLPARLGRGGVLGVAEMELTEEEAKKLRRSAKTLWENCQQLGL >KQL31361 pep chromosome:Setaria_italica_v2.0:I:37088792:37091434:1 gene:SETIT_019960mg transcript:KQL31361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPAGLPPLPPQLGTLRSTQDQRAAREPLLSFDWGVPAGGGGSWEYAAWGVDDAAARGAGARLRRAAEAVRAAAAEMWAFARKDPRKPVFAAKVAVALALITLLVFLREPSDIVSHSVWAILTVVVVFEFSIGATLSKGLNRGLGTLIAGGLALAVAELAAQMGKYDMVILIISTFVVGFCATLTKLHPKMKPYEYGFRVFLLTFCYVTVSGYNTGKFTATAISRFVLIAVGAAVSLTINIGIHPIWAGEDLHKLVAKNFSGVAKSLEGCVDGYLSCMEYERIPSKILTYQEACDDPLYSGYRAAVEASAQEEALLGFAIWEPPHGPYKMMKYPWKNYTKVGGALRHCSFAVMALHGCILSEIQAPPESRKVFCAELHRVGQEGAKVLRELGQRVKTMTKLSSPNILSEVHFAAEELQKKIDEKSYLLVNTERWEVIPRHEGTSQIHENSNATDKDNKDESPEHTAVDIGSVHISNSFASNPFLARVDSSNPFLGRYDSGSMVGGSLKPQPSWPARQSFHSNLPFEGGESRTYESASALSLATFASLLIEFVARLQNLVDAFEELSDKANFKDPVEEPAAASRESCGFLLRIRKFLRLQG >KQL29535 pep chromosome:Setaria_italica_v2.0:I:20262669:20263943:1 gene:SETIT_020128mg transcript:KQL29535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFESSTTTIRGRGKNKRKWTVAEDDELVKALYKISLDPRWKGEGGFKNGYCSVLETHLAEKLPNCGISAVPTLSQEYFISTCNATFSGLYGVAFPYYDSLAALYGSDIATGEGAEGLSEAVGNIEKEFAVEGGNHQEEEEDRMSRETPRCSTDSASSSLNRRKTDRKGKDHVSAISSDPILDMLHEVQGDLKGVSTNMGKIAAVIECEVVVREKNSKEDHQQKLREKATTELRKLGFTGSEQVKAASVFVRIPDQMSMLLTLDEILRREFILNMLEQEDGIGKLNSFIELCSTARSVHLELLLF >KQL28161 pep chromosome:Setaria_italica_v2.0:I:3895192:3895845:1 gene:SETIT_019765mg transcript:KQL28161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIGLESFTVDHILTRVPAADTVRLQAVCREWRAAIASDRFVQAYQAVRAAAAQPPEIIFFASAKAGSTTFYREARELVTVGNLRANDVVLSGTKPCRGLTLLFQPSASAYHVCNLSAGEHVSLHPCSPAWRDTPTMSPYVLSGAGLGFDPAANEHKVVRLYEDWENKQRCEVYGLRSGGWRPCAGQVPPHAAK >KQL29044 pep chromosome:Setaria_italica_v2.0:I:9891362:9894409:-1 gene:SETIT_017607mg transcript:KQL29044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPTALSLSAPAISACRARPPPPPLPLRLACRPTASSSSSSRRLRPRRLAVSPRAEVGTGTGTGDVEALRAGVSVYKPRSYDVLVSDAARSRLRHRRRQDPPRDRVPVSSPRADSCSDEFIDANIQLALVVARKLKELKGTRSCIVFPDQPEKRRASQLFKTAIDTFSGVDTNRWKSDEPPSLYIFINSSTRDLASIEKYVEKFATSVPALLFNLELDTLSDLGLLGFPPKDSHYRFLSQFTPVFYIRQRDYSKTIAVAPYIVNYSGAVFRQYPGTWQVMLKQADGSYACVAESAARFTLGQAKEELLRVIGLQEEGSSLEFLRRGYKNATWWEENVDQESRPHGELELLHLLAGC >KQL31193 pep chromosome:Setaria_italica_v2.0:I:36180469:36181160:-1 gene:SETIT_018647mg transcript:KQL31193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSLAQAVAALVGTCTRRLSRAARRLHHLRPRDGVAASFSSRAIVPFLGGGGVVKKASSSSSSKSKTKGRKAAAEEQADDGVWRKEIMMGERCQPLDFSGVIYYDAEGHRLAQPPPPRSPLRSPLPASVKLAANAGGY >KQL29876 pep chromosome:Setaria_italica_v2.0:I:25845557:25845840:1 gene:SETIT_018856mg transcript:KQL29876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLNTKLYLQNCYIMKENERLRKAALLLNQENQALLSELKHRLAKSTTATGGGNNAAAAANRASPKPGTDAALPAQAGGKGKPAPKPK >KQL30999 pep chromosome:Setaria_italica_v2.0:I:34946660:34950760:1 gene:SETIT_017049mg transcript:KQL30999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRTEFGPSQPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEGGGPDLIVDDGGDATLLIHEGVKAEEEYEKSGKIPDPESTDNAEFKIVLTIIRDGLKSDPKKYRKMKERLVGVSEETTTGVKRLYQMQETGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKSQADYISVPIEGPYKPAHYRY >KQL31000 pep chromosome:Setaria_italica_v2.0:I:34946660:34950760:1 gene:SETIT_017049mg transcript:KQL31000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRTEFGPSQPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEGGGPDLIVDDGGDATLLIHEGVKAEEEYEKSGKIPDPESTDNAEFKIVLTIIRDGLKSDPKKYRKMKERLVGVSEETTTGVKRLYQMQETGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETKTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGARLTKLSKSQADYISVPIEGPYKPAHYRY >KQL31142 pep chromosome:Setaria_italica_v2.0:I:35879212:35879649:-1 gene:SETIT_020449mg transcript:KQL31142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLRKACLSYYKHLGDQVIYMISSCIGVLESMTIQYFPR >KQL29857 pep chromosome:Setaria_italica_v2.0:I:25565348:25568889:-1 gene:SETIT_017350mg transcript:KQL29857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAEELQRGVCGATKPLNGPLGEEVRARDAVAGPGGHEVEQVVGGAEVALPRHRGVDRPVLDPLQLHVHQRRALLRREQRRPRRRCVPPPPPLPRRRPQAAPRHGLPRRPSARLVGPKGNEEMGRPVAVFADAERVSRREEMADGKQGEGSAVALKASPSAAAMAWGLRGGSNPLEEWSARVKAIEAGFRAWMAKQPIHIEAAVTTAVGAVQGGALGGLMGSLSADGGSPFPMPQPPPNANPDAMASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGQEDIQGSMAAAFGSGVLFSIVSGMGTPNPAVNAITTGAAFAVFQGGFFMIGQKFSKQQGGEDTYYSQTRSMLNKLGLEKYEKNFKKGLLTDQTLPLLTDSALRDVKIPPGPRLLILDQIKRDAELVRAN >KQL29019 pep chromosome:Setaria_italica_v2.0:I:9740877:9745408:-1 gene:SETIT_017169mg transcript:KQL29019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPPRPLLHLLLTLALALTVAAAGKISADRTPISRDIYHSSDSLLREIKDLVARHSNKLSMDTIRTSNKGYSAELFVVTFNPVKESVDNGSKVHILLSFGQHGRELITSEVALRLLYILTEKHKIAGVDLSSFEKVLDNLVIKVVPMENLNGRRRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGIAPFSEPETQIMRELSRSFKPHVWVNVHSGMEALFMPYDHKNTTPNGASAHLMRSVLENLNHRHFQDSCLVGSGGGSVGYLAHGTTTDYMHDIAKVPMPFTFEIYGDEKASTDDCFKMFNPVDKTTFDVGKSSIPVPTIFLVVLFLFDNHRFCQQRVINKWCMAFLILFEEGLRNLQDSQIVSQGALDNWVPIGGDVERNVERKSSRERRKLEGLDLGMQELRTYFRLFMVSTFLLMFMFCTRISKNRNRDSGNAFDP >KQL29018 pep chromosome:Setaria_italica_v2.0:I:9740480:9745524:-1 gene:SETIT_017169mg transcript:KQL29018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPPRPLLHLLLTLALALTVAAAGKISADRTPISRDIYHSSDSLLREIKDLVARHSNKLSMDTIRTSNKGYSAELFVVTFNPVKESVDNGSKVHILLSFGQHGRELITSEVALRLLYILTEKHKIAGVDLSSFEKVLDNLVIKVVPMENLNGRRRVEEGELCDRRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGIAPFSEPETQIMRELSRSFKPHVWVNVHSGMEALFMPYDHKNTTPNGASAHLMRSVLENLNHRHFQDSCLVGSGGGSVGYLAHGTTTDYMHDIAKVPMPFTFEIYGDEKASTDDCFKMFNPVDKTTFDRVINKWCMAFLILFEEGLRNLQDSQIVSQGALDNWVPIGGDVERNVERKSSRERRKLEGLDLGMQELRTYFRLFMVSTFLLMFMFCTRISKNRNRDSGNAFDP >KQL29445 pep chromosome:Setaria_italica_v2.0:I:16125343:16127218:-1 gene:SETIT_018984mg transcript:KQL29445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLGNTFYFLLLWQLLAFISLVSDNTGRKQLQKTIKDSPAKLSKLIDSKMMMPA >KQL29444 pep chromosome:Setaria_italica_v2.0:I:16124485:16127218:-1 gene:SETIT_018984mg transcript:KQL29444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLASKPSLGNTFYFLLLWQLLAFISLVSDNTGRKQLQKTIKDSPAKLSKLIDSKMMMPA >KQL29837 pep chromosome:Setaria_italica_v2.0:I:25362290:25367640:-1 gene:SETIT_016199mg transcript:KQL29837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTGASGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYSVVSAGWARVKEQGPKGGEQNPYLTELLRLEEVAKQQGVGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTIRVYLLPSFQFVQIYVAGVQAPSMGRRSSAPTVVAETDGTANGANGEDSEGAPAQLTTAQRLAASAASAEIPPDRFGREAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYPDGETAKDLALELVENGLAKYVEWSANMLDVEVKIKLKNAELKAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRINLSSIRAPKMGNARRDEKPDNFAREAKEFLRTRLIGKQVAVEMEYSRRISTVDGQNAAPTANAADTRVLDYGSVFLGSPSQADGEDVSSAPSSASQPGVNIAELLLSRGFARISKHRDYEERSHYYDALLAAESRAEKAKKGVHSQKESPVMHITDLTTVSAKKAKDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGKGEPYSDEAIALMRRRILQRDVEIEVEAVDRTGTFIGSLWESKTNMGSVLLEAGLAKLSSFGLDRISDAYVLTRAEQSAKQQKLKVILYFFLLRYTKGKARTDTSLFKIWENYVEGEQATNGSTPESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSLDNSWNRAMIVNGPRAVESPNDKFEVFYIDYGNQEVVPYSRLRPVDPSVSSSPALTQLCSLAFIKVPTLEDDFGQEAAEYLSECLLSSSKQYRAMIEDRDTSGGKSKGQGTGNVLIVTLVDAETESSINATMLEEGLARLERSKRWDTRERKTALQNLEQFQEKAKKERLRIWQYGDVESDEDEQAPAARKPGGRR >KQL29836 pep chromosome:Setaria_italica_v2.0:I:25361974:25368797:-1 gene:SETIT_016199mg transcript:KQL29836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNTGASGWLRGKVKAVTSGDCLLIMGSTKAEIPPEKSITLSYLMAPRLARRGGVDEPFAWESREFLRKLCIGKEVTFRVDYTAPNIGREFGTVYLGDKNVAYSVVSAGWARVKEQGPKGGEQNPYLTELLRLEEVAKQQGVGRWSKEPGAAEESIRDLPPSAIGEASGFDAKGFAVANKGKSLEAIVEQVRDGSTIRVYLLPSFQFVQIYVAGVQAPSMGRRSSAPTVVAETDGTANGANGEDSEGAPAQLTTAQRLAASAASAEIPPDRFGREAKHFTETRVLNRDVRIVVEGTDSFSNIIGSVYYPDGETAKDLALELVENGLAKYVEWSANMLDVEVKIKLKNAELKAKKDQLRIWTGFKPPVTNSKPIHDQKFTGKVVEVVSGDCIIVADDAAPYGSPSAERRINLSSIRAPKMGNARRDEKPDNFAREAKEFLRTRLIGKQVAVEMEYSRRISTVDGQNAAPTANAADTRVLDYGSVFLGSPSQADGEDVSSAPSSASQPGVNIAELLLSRGFARISKHRDYEERSHYYDALLAAESRAEKAKKGVHSQKESPVMHITDLTTVSAKKAKDFLPFLQRNRRHSAIVEYVFSGHRFKLTIPKETCSIAFSLSGVRCPGKGEPYSDEAIALMRRRILQRDVEIEVEAVDRTGTFIGSLWESKTNMGSVLLEAGLAKLSSFGLDRISDAYVLTRAEQSAKQQKLKIWENYVEGEQATNGSTPESKQKEILKVVVTEVLGGGKFYVQTVGDQRVASIQQQLASLKLKDAPVIGAFNPVKGEIVLAQFSLDNSWNRAMIVNGPRAVESPNDKFEVFYIDYGNQEVVPYSRLRPVDPSVSSSPALTQLCSLAFIKVPTLEDDFGQEAAEYLSECLLSSSKQYRAMIEDRDTSGGKSKGQGTGNVLIVTLVDAETESSINATMLEEGLARLERSKRWDTRERKTALQNLEQFQEKAKKERLRIWQYGDVESDEDEQAPAARKPGGRR >KQL31127 pep chromosome:Setaria_italica_v2.0:I:35803789:35805298:1 gene:SETIT_019907mg transcript:KQL31127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLLLPSPRPRLPLTLTSPSSCRHPQCEGHLLLPRRRPWVRLGVRVAEMVSTEGDTMPGRDELRSPLREANRWAPVEATLNWASKWLVAGSYVFAVFWKHDVEIMWILLGASANYLLSLILKKMLNHERPAPDLRSDPGMPSSHAQSICFAATLLVLSWYYYLGTNYLTMILGPATLSMATYLSWLRVSRRLHTANQVMAGAALGSAFGALWFALWRSLVQEALLTSSLSARIAVILGSAVSCVGIVVYMRGRILHRGGR >KQL27895 pep chromosome:Setaria_italica_v2.0:I:1475259:1475856:1 gene:SETIT_019456mg transcript:KQL27895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPGRRGSGSGSTIMLSTASSSAGGDDDGVKCLACYGAVVAFVSLLLFCALAATAGLVQAGAVSGFAVVFFSVIGCLVPGSASTRGRGDDAEGASALRRAGSFACECAANAEGGGKPGGNALCAVCLEGLQRGEAVRRLPACGHHHKECVDMWLRTHTTCPLCRCDLLPLACASKTVTAAARVLGDVLPPV >KQL29551 pep chromosome:Setaria_italica_v2.0:I:21071037:21072125:1 gene:SETIT_019633mg transcript:KQL29551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPIIGFSMREVRAYMERDGLDSRMLDFGMGLSLGVVYGSLPDPPVSPAAPLSPAGAGCAPDGVDRISRLPEEVLRNVISHLPAKDAARTAALAWRWRGLWRSVPLALVDAHLLPDGGAGGRPRDMLGRDSPGVAAAVSRVLTAHPGPFRCVHLTCSTMDAHRAEIARWLELLAAKGVKELVFVNRPWPLDLRLPASLFSCTSLTCLHIGVWRLPDTAALPLAAGFPNLRELGLHIVLMEDRDLAFLLDRSPVLETLVIFFAYQTEVRLRLVSRTLRCIQVCFSHFVDIAVVDAPCLERLLLWVTWGRGSEGSERSRVKIGHAPKLRVVRYLQLEMQGLEIGDTIIKVPFKLNCIHRVLGF >KQL28806 pep chromosome:Setaria_italica_v2.0:I:8204045:8206504:-1 gene:SETIT_019618mg transcript:KQL28806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHPTHHSPTLIWLPPHAGRSTLSSPSTRFRWRGALAVSRLRRRRTKNGRRPAASTSCHRRGAGLTSGSRVGMRGEANGGEDRRPRGEDQEHEDDEEEERRGGEGAPPQRHVQAQRPAARPSTDPQHQQHPPPPPGVMRNVGYVGKHRLSAAISRLDQELQSLQEELNELETMEPASTACQDVITSTEGKPDPLLPITSGPENSSWDRWFQRVRSSRSNKWWASRGSDFS >KQL30301 pep chromosome:Setaria_italica_v2.0:I:29783304:29784816:-1 gene:SETIT_018370mg transcript:KQL30301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQQGAVVFSLLAAVLLCGCLPAPAAAGVHLSTLPKALAVTASPKPGQVLHAGVDTVTVTWSLNTTEPAGADAAYKNVKVNLCYAPVSQKDRGWRKSEDDLSKDKACQFKLTQQAYAAGARRSFDYAVAKDIPSGTYYVRAYALDASGTQVAYGQTGPEAAFVVAGITGIHASIKVAAGVFSAFSVVALAFFFVIENRKKNK >KQL27709 pep chromosome:Setaria_italica_v2.0:I:192778:204177:1 gene:SETIT_016141mg transcript:KQL27709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPPQGDDAAKKDKDVPPPSYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITRGIRNIIQANNRIEARNDPNIYLRYTNVHVGTPSVQVDYKVEEITPHFCRLTDRTYSAPIKVDIEYTVGKQHELQFKRNVLIGYMPIMLRSYACILHGKDEAELARLGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVITLDKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVVQMVGRDPRYGDLLFPSIQECASERIYTQQQALQYMDEKVTYPGAGNQKEGRSKSILRDVFIAHVPVKNGNFRQKCIYTGVMLRRMMDAILNANTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSQAVELMNKNSDKTRSSPSDFSQLIKHESITSGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALLTHVTTDQEEGPLMNLCKSLGVEDLSLLSGEEIHAPGSFLVMFNGLILGKHRQPQTFANAMRNLRRSGKIGEFVSIFVNEKQHCIHIASDGGRVCRPLIIADKGISRVKEHHMKELRDGVRSFDDFLRDGLIEYLDVNEENNALIALYEHVDQDDVERSSITHIEIEPLSILGVVSGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMGYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVIKEYYGEGVSDRIAKPQRDKDGALVKQNMRALDEDGIAAPGQIIRNHDVYVNKQSPKVIDRRGAGAPLMDRDYKDSPAIYKGVDGETTVVDRVMLCSDTNEKLSIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPRFDIGFVIFVHVLSLHYSRKKFSSFIFLIWLSSSSHER >KQL27710 pep chromosome:Setaria_italica_v2.0:I:192778:208071:1 gene:SETIT_016141mg transcript:KQL27710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPPQGDDAAKKDKDVPPPSYRSLAAPVANPVDKFALLPAFLKVRGLVKEHIDSFNYFITRGIRNIIQANNRIEARNDPNIYLRYTNVHVGTPSVQVDYKVEEITPHFCRLTDRTYSAPIKVDIEYTVGKQHELQFKRNVLIGYMPIMLRSYACILHGKDEAELARLGECPLDPGGYFIVKGTEKVILIQEQLSKNRIIIDTDSKGRVIASVTSSTHEIKSKTVITLDKEKIYLQLNQFTKPIPIIVVMKAMGMESDQEVVQMVGRDPRYGDLLFPSIQECASERIYTQQQALQYMDEKVTYPGAGNQKEGRSKSILRDVFIAHVPVKNGNFRQKCIYTGVMLRRMMDAILNANTFDDKDYVGNKRLELSGQLISLLFEDLFKTMNSQAVELMNKNSDKTRSSPSDFSQLIKHESITSGLERAISTGNWDIKRFRMHRKGVSQVLSRLSYMASLGYMTRITPQFEKTRKTSGPRALQPSQWGMLCPCDTPEGEACGLTKNLALLTHVTTDQEEGPLMNLCKSLGVEDLSLLSGEEIHAPGSFLVMFNGLILGKHRQPQTFANAMRNLRRSGKIGEFVSIFVNEKQHCIHIASDGGRVCRPLIIADKGISRVKEHHMKELRDGVRSFDDFLRDGLIEYLDVNEENNALIALYEHVDQDDVERSSITHIEIEPLSILGVVSGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLFRADSLLYLLVYAQRPLLTTKTIELVGYDKLGAGQNATVAVMGYSGYDIEDAIVMNKSSLDRGFGRCIAMKKYTVIKEYYGEGVSDRIAKPQRDKDGALVKQNMRALDEDGIAAPGQIIRNHDVYVNKQSPKVIDRRGAGAPLMDRDYKDSPAIYKGVDGETTVVDRVMLCSDTNEKLSIKCIIRHTRRPEVGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTIGKMIELIGGKAGVSCGRFHYGSAFGEPSGNADKVEDISNTLIKHGFSYNGKDFLYSGILGHPLEAYIFMGPIYYQKLKHMVLDKMHARASGPRVLLTRQPTEGRSRDGGLRLGEMERDCLIAYGASMLIFERLLLSSDPYQVQVCRKCGLLGYYNYKLKTSYCSMCKNGENMAKMRLPYACKLLFQELQSMNVVPRLKLTEG >KQL31758 pep chromosome:Setaria_italica_v2.0:I:39592766:39600240:1 gene:SETIT_016227mg transcript:KQL31758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLLRALAAFLLLAAVAVADDGATLLEIKKSFSNGGNALHDWSGEGASPTYCSWRGVLCDNVTFAVAALNLSGLNLEGEISPAIGSLKRVISIDLKSNGLSGQIPDEIGDCSLLETLILKNNQLVGVIPSTLSQLPNLKILDLAQNKLSGEIPNLIYWNEVLQYLGLRSNNLEGSLSPDMCQLTGLWYFDVKNNSLMGTIPETIGNCTSFQVLDLSNNQLTGEIPFNIGFLQVATLSLQGNKFSGPIPSVIGLMQALAVLDLSFNELSGPIPSILGNLTYTEKLYLQGNRLTGSIPPELGNMTTLHYLELNDNLLTGFIPPDLGKLTELFDLNLANNNLGGPIPDNISSCINLISLNAYDNKLNGTIPRSFQKLESLTYLNLSSNHLSGALPIEVARMRNLDTLDLSCNMITGSIPSAIGRLEHLLRLNLSKNALVGHIPAEFGNLRSIMEIDLSSNYLRGLIPQEVGMLQNLILLKLENNSITGDVSPLTNCFSLNNLNVSYNDLAGIVPTDNNFSRFSPDSFLGNPGLCGYWRGSRSSCSPLSSSIERKRRSSISKAAFLGIGVGGLVILLVILAAACWPHNSPVLKDVSVSKPDNLVAASSSVPPKLVILHMNMALYVYDDIMRMTENLSEKYIIGYGASSTVYRCDLKNCKSVAIKKLYTHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPAGNLLFYDYMENGSLWDVLHVASSKKEKLDWEARLKIALGAAQGLAYLHHECSPRIIHRDVKSKNILLDKDYEAHLADFGIAKSLCVSLTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDDECNLHHLILSKAADNTVMEMVDPDITDTCKDLGEVKKVFQLALLCSKRQPSDRPTMHEVVRVLDSLVCPDPPPKQAQPQGSEQSATAPSYVSEYVSLRGGTALSCANSSSASDAELFMKFGEVISRNTE >KQL29999 pep chromosome:Setaria_italica_v2.0:I:27406185:27406895:1 gene:SETIT_020273mg transcript:KQL29999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNVLNFTSSCNIHLMQFCNFCCEILMYRQLPSKRKLPSDADIHSLLKICHHLQYCVLMGSKEFICIVFSRYLLPSVKVFP >KQL29465 pep chromosome:Setaria_italica_v2.0:I:16766376:16767349:1 gene:SETIT_018817mg transcript:KQL29465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVTVHINLQNYIVDSDNIETTLCLEKRCAYSFIAKAVRIGAAVCYFCPVQSSLLIQKPWHILCLNHGLGYFILFSRKGGFIDVIWEEFASTCCSSS >KQL29463 pep chromosome:Setaria_italica_v2.0:I:16766601:16768108:1 gene:SETIT_018817mg transcript:KQL29463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVTVHINLQNYIVDSDNIETTLCLEKRCAYSFIAKAVRIGAAVCYFCPVQSSLLIQKPWHILCLNHGLGYFILFSRKGGFIDVIWEEFASTCCSSS >KQL29464 pep chromosome:Setaria_italica_v2.0:I:16766601:16768754:1 gene:SETIT_018817mg transcript:KQL29464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVTVHINLQNYIVDSDNIETTLCLEKRCAYSFIAKAVRIGAAVCYFCPVQSSLLIQKPWHILCLNHGLGYFILFSRKGGFIDVIWEEFASTCCSSS >KQL31202 pep chromosome:Setaria_italica_v2.0:I:36243354:36244139:1 gene:SETIT_019320mg transcript:KQL31202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASVALTLPPVAGGANFAAVAIAAASFVLVSYEPDAWQGRLRRILDLSPGTTLPPRRDVMPTRAYPLAAHGIAEPGLLTSVLLLLPERLGRPRSPCRSPTCLRHAGDGRGVTGAARVRRPSASLALVAVYMPLLSTTCWDVAAIATRQAVAREGVRARAATRAQVWGLALTSVWNIYQYMSPRARGPRVGLVGFLAVAVETDAPLVILVMLPVRPCPC >KQL31566 pep chromosome:Setaria_italica_v2.0:I:38442043:38445182:-1 gene:SETIT_017816mg transcript:KQL31566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRQPWWMALLLPFLLAGVGSSAAASVPTDACRVPTTVESVLGTPEMCSTLDRLGDPVGVIEGDEVTLAKAVNLLHMNKDDYIAILFYASWCPFSQECKPNFETLASLFPTIRHFAFEESAIRPSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYTDVSGINALVKSTAGEAMVHSLDDIEHKKDAEQENCPFWWARSPEKILQQDTYLALATTFVILRLLYLLFPKIDSFARWAWRRHNLFANLTGAHEYFLNYLEQARQKFHRLYPSSSKRGNLQEGAMNATAWASKSLASVSIGEPSTMGRTNSTSELR >KQL31565 pep chromosome:Setaria_italica_v2.0:I:38442251:38443296:-1 gene:SETIT_017816mg transcript:KQL31565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILPYFSMHHGAHSHKNANQILRHWLPYSQLFGILHLRNLQLGQGKSSNRVKKILFLSVILLFGGIVICCWNCSIISRYGIHGFPTLFLLNSTMRVRYHGPRTVKSLAAFYTDVSGINALVKSTAGEAMVHSLDDIEHKKDAEQENCPFWWARSPEKILQQDTYLALATTFVILRLLYLLFPKIDSFARWAWRRHNLFANLTGAHEYFLNYLEQARQKFHRLYPSSSKRGNLQEGAMNATAWASKSLASVSIGEPSTMGRTNSTSELR >KQL30494 pep chromosome:Setaria_italica_v2.0:I:30982707:30982938:-1 gene:SETIT_020360mg transcript:KQL30494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRIFRWRILLRSSHSTRKLFSASCSSVSRILIATSILTWTNLPL >KQL31816 pep chromosome:Setaria_italica_v2.0:I:39914004:39915572:-1 gene:SETIT_017041mg transcript:KQL31816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLARALRSLGPAAAAREGPLLAWLSSTRLASSSTPPEYEMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSNYPLLLACSLRKNVIPVLSYLEKLGVTRARLPAFVRAYPACLHASVAVDLSPVVKALRGLDVDRQDIPRVLERYPDVLGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHYPFFLGMRVGTTIKPLCDYITSLGLPMRILARILEKRPYILGYDLEETVKPNVEALLSFGIRKEALPLVIAQYPSILGLPLKVKLAAQQYFFNLKLQIDPDGFARAVENLPQLVSLNQNVILKPVEFLRGRGISNEDVARMVVRCPQILLQRIELMKNSLYFFKSEIKRPMSELLEYPEYFTYSLESRIKPRYMRVTSKGIRCSLDWFLNCSDLRFEERMRGDFIEGDAPGPLFTMGGKLQMPGSQLVSDDDNEDTDDEVLYRRTVML >KQL31817 pep chromosome:Setaria_italica_v2.0:I:39912904:39915572:-1 gene:SETIT_017041mg transcript:KQL31817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLARALRSLGPAAAAREGPLLAWLSSTRLASSSTPPEYEMPSVTWGVIQGRKERLVSRVLALDFLRSAGVSDPAGELEAVELPSSLEVLQERLDFLLRLGLSTDDLSNYPLLLACSLRKNVIPVLSYLEKLGVTRARLPAFVRAYPACLHASVAVDLSPVVKALRGLDVDRQDIPRVLERYPDVLGLKPDGTISTSVAYLVGIVGVAPRDIGPMVTHYPFFLGMRVGTTIKPLCDYITSLGLPMRILARILEKRPYILGYDLEETVKPNVEALLSFGIRKEALPLVIAQYPSILGLPLKVKLAAQQYFFNLKLQIDPDGFARAVENLPQLVSLNQNVILKPVEFLRGRGISNEDVARMVVRCPQILLQRIELMKNSLYFFKSEIKRPMSELLEYPEYFTYSLESRIKPRYMRVTSKGIRCSLDWFLNCSDLRFEERMRGDFIEGDAPGPLFTMGGKLQMPGSQLVSDDDNEDTDDEVLYRRTVML >KQL31642 pep chromosome:Setaria_italica_v2.0:I:38998618:39000477:1 gene:SETIT_019763mg transcript:KQL31642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRKPAAPEIPVSQGAMACDAWPEAEGARPEHWPLPRKKRLALDRKRWNTVVNVVLVAFVMAVPPVVVVYAGGGGAPAVWIAAAKAQLRRGSGDRSFPYATSPPDKLLGGLLPDGLDERSCRSRYESSMYRRNPGRRPSPLLIAKLRKHEELQRRCGPNTNAYNLAIEQLRAGKSAGSPECKYVVSISYRGLGNRILAAASAFLYAVLTERALLVDPSNKMDELFCEPFPGTTWLLPRDFPLASYTNFSIDTAESYGNMLRNKVLSADAPPAELPAFAYLHLDHDYGHEDKMFFCDDDQRLLSNVQWLLMRTDLYTVPGLFLITAFQEELDALFPERDAAFHHLARYLFYPTNHVWGLVTRYYRAYLARADLRVGIQVRNFDPRHAQSPHVLRQITSCVWREKVLPEVLATGEHAAPATPGAARSTAVLMTSLRPWYYERIKGMYWDHATATGEDVSVHQPSHEGQQQFGKRSHDGRAWAEMYLLSLCDVLVTSGWSTFGYVAQGLGGVAPWVLHKQPANLTAAPDPPCFRDVSMEPCFHAPHVYDCKMKRGLDTGEVLPHVRHCQDVSWGLKLVDPKLYKV >KQL30014 pep chromosome:Setaria_italica_v2.0:I:27483928:27486513:-1 gene:SETIT_017902mg transcript:KQL30014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWCATCSYNWNVSLTVLTRYECAVNIEDLIKLSDVMAEHSLGPNGGLVYCMDYLEKNIDWLEQKLQPLIEDHYLLFDFPGQVELFFLHSNARSVINKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIENYGNLAFNLDFYTDVQDLSYLQHHLEQDPRSAKYRKLTKELCDVIDDFGLVNFSTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSAVEFSKIAAAPLDWDYYRTAEVQEKYMKDDEFVQQTSRMQ >KQL30015 pep chromosome:Setaria_italica_v2.0:I:27483611:27487166:-1 gene:SETIT_017902mg transcript:KQL30015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGQVVIGPPGSGKTTYCNGMSQFLSLLGRKVAVVNLDPANDALPYECAVNIEDLIKLSDVMAEHSLGPNGGLVYCMDYLEKNIDWLEQKLQPLIEDHYLLFDFPGQVELFFLHSNARSVINKLIKKLNLRLTAVHLIDAHLCCDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIENYGNLAFNLDFYTDVQDLSYLQHHLEQDPRSAKYRKLTKELCDVIDDFGLVNFSTLDIQDKESVGNLVKLIDKSNGYIFSSIDSSAVEFSKIAAAPLDWDYYRTAEVQEKYMKDDEFVQQTSRMQ >KQL29446 pep chromosome:Setaria_italica_v2.0:I:16125418:16126064:1 gene:SETIT_018998mg transcript:KQL29446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTCLNNKPSALRRITAYSPQQRASNHPWKQKKMCGFATQLVSHDVTVNETEEAILI >KQL30529 pep chromosome:Setaria_italica_v2.0:I:31478170:31479185:-1 gene:SETIT_019068mg transcript:KQL30529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDTCGEFCAAAFLVVVFVVAILGGAGTPENPVYHVGIDAVAGLNPSTDLARPALYPEFDLRVHIGQGLWGHHGDACLELGSSVAVSYRRVPLAAAAVPSRRCAGSSHGSGGGAAGSVPVVARGSGVRLPGAVRDALARDLWWGFGPAEFDVSLTVPYQGRWKVVSCKAKVGDAAALQGGPCNVTVVEPTLNLVFWADDLPIRF >KQL31406 pep chromosome:Setaria_italica_v2.0:I:37312183:37313965:-1 gene:SETIT_017628mg transcript:KQL31406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLSLGHVTSCSPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSNPEVSAEEPWYGIEQEYTLLQKDTNWPLGWPVGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVVVTFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEVIKAAIEKLKLRHKEHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIIWKP >KQL31407 pep chromosome:Setaria_italica_v2.0:I:37311840:37315319:-1 gene:SETIT_017628mg transcript:KQL31407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLTDLVNLNLSDTTEKIIAEYIWIGGSGMDLRSKARTLSGPVTDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDCYTPAGEPIPTNKRHNAAKIFSNPEVSAEEPWYGIEQEYTLLQKDTNWPLGWPVGGFPGPQGPYYCGIGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDQVWVARYILERITEIAGVVVTFDPKPIPGDWNGAGAHTNYSTKSMRNDGGYEVIKAAIEKLKLRHKEHIAAYGEGNERRLTGKHETADINTFSWGVANRGASVRVGRETEQNGKGYFEDRRPASNMDPYVVTSMIAETTIIWKP >KQL27778 pep chromosome:Setaria_italica_v2.0:I:608529:614657:1 gene:SETIT_018002mg transcript:KQL27778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGDAKPSVLIPMPSRDRDRDLLVPPAPAAAAAAHASPSTRTGAESDDDESKPSSASAASAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYVTWWFFRFVDGFFSPIYAHLGINIFGLGFVTSIAFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKELVIIRHPRIGEYAFGFITSEVLLQGYSSEEQMCCVYVPTNHLYIGDIFLVSSSDVIRPNISVREGIEIVVSGGMSMPQVLSILESEPNQLSRIRSTRS >KQL27777 pep chromosome:Setaria_italica_v2.0:I:608529:611935:1 gene:SETIT_018002mg transcript:KQL27777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGDAKPSVLIPMPSRDRDRDLLVPPAPAAAAAAHASPSTRTGAESDDDESKPSSASAASAAAQTGREAFHKVVHSWASKKFMTGCVILFPIAVTFYVTWWFFRFVDGFFSPIYAHLGINIFGLGFVTSIAFIFVVGVFMSSWLGASILGLGEWFIKRMPFVRHIYNASKQISAAISPDQNKHAFKELVIIRHPRIGEYAFGFITSEVLLQVCFI >KQL30660 pep chromosome:Setaria_italica_v2.0:I:32451027:32462555:1 gene:SETIT_016243mg transcript:KQL30660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSMVYYGNTSIGEVEVWPKGEASAGLAVAAWAREIRVDRLSPPSERCPPLAVMHTVAVGARCLVMESRPPAATDVAPLPLVAMHAACLRDNKTAVVPLGDEELHLVAMTSRRNLANHACFWGYKLPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTSRSFEDRIDALQRKQSNETDPQRRNGMLSEIKRYQDDKSILKQYIEGDQVYDDGKMYKAQPEVVPPLSDNQQPMTRPVIRLQDKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLNDRMVCVKSGLKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVHYEDEINDISTAPDVGNYLIREDENVAAVNGNRDALPFDGMADAEVERRMKVCNAQAFHPTVASFVMPVAPPQNFISSSVAPIAPPLGMMPPPFNQPVVQPGFSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDATPPLPAIPPVQVPVPPVQPHGNWFPAEDGMNPSNLNIGPAGFTVESDSLLYEKKQPPHPSFFHGGDNPMSSDRFSYQNQRFPSQLPHADDHHILQNHGPPKYRSFSGEELSGRHVPTNQRNNQLESGRHFAQYTGTPAGILEGIALKCGSKVEYRSTLCDTAELQFSIEVWIVGEKIGEGIGRTRREAQRQAAEMSLRNLANKYLSSDPNKMTDLKENGFSSNRNFFGYSGNNRDDILPVPSTSEESRFMKMEENNSRKTGGSVAALKELVEVGGQILGKGVASTWEEAKLQAADEALGTLRSMLGQLGHKRSGSPRSVAPNFNKRFKPDFPRTMQRVPYGTYSRIEGHVP >KQL30661 pep chromosome:Setaria_italica_v2.0:I:32451027:32462555:1 gene:SETIT_016243mg transcript:KQL30661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSMVYYGNTSIGEVEVWPKGEASAGLAVAAWAREIRVDRLSPPSERCPPLAVMHTVAVGARCLVMESRPPAATDVAPLPLVAMHAACLRDNKTAVVPLGDEELHLVAMTSRRNLANHACFWGYKLPFGLYNSCLTMLNLRCLGIVFDLDETLIVANTSRSFEDRIDALQRKQSNETDPQRRNGMLSEIKRYQDDKSILKQYIEGDQVYDDGKMYKAQPEVVPPLSDNQQPMTRPVIRLQDKNIILTRINPLIRDTSVLVRLRPAWEDLRSYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSRLINSVQLNDRMVCVKSGLKKSLLNVFHDGSCHPGMALVIDDRLKVWDEKDQSRVHVVPAFTPYYAPQAEANCSIPVLCVARNVACNVRGGFFKDFDEGLLPRISNVHYEDEINDISTAPDVGNYLIREDENVAAVNGNRDALPFDGMADAEVERRMKVCNAQAFHPTVASFVMPVAPPQNFISSSVAPIAPPLGMMPPPFNQPVVQPGFSDPLQGSPAREEGEVPESELDPDTRRRLLILQHGQDTRDATPPLPAIPPVQVPVPPVQPHGNWFPAEDGMNPSNLNIGPAGFTVESDSLLYEKKQPPHPSFFHGGDNPMSSDRFSYQNQRFPSQLPHADDHHILQNHGPPKYRSFSGEELSGRHVPTNQRNNQLESGRHFAQYTGTPAGILEGIALKCGSKVEYRSTLCDTAELQFSIEVWIVGEKIGEGIGRTRREAQRQAAEMSLRNLANKYLSSDPNKMTDLKENGFSSNRNFFGYSGNNRDDILPVPSTSEESRFMKMEENNSRKTGGSVAALKELCTAEGYNLVFQARPYAPDGSVGKESYAQVEVGGQILGKGVASTWEEAKLQAADEALGTLRSMLGQLGHKRSGSPRSVAPNFNKRFKPDFPRTMQRVPYGTYSRIEGHVP >KQL28384 pep chromosome:Setaria_italica_v2.0:I:5503360:5503995:-1 gene:SETIT_018636mg transcript:KQL28384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRLLRLSAPSVAAPASSRLSGCGALESATASPCAASLARATHCSPVSPWPWPEISRIPHGGHGGSRAVGLVRPLRRRGARTLAPYAPRSRPGLDLLRLNKAARPFGARAGAIRRGFAAWVGVKSVGGRRAPPHFLPARR >KQL29826 pep chromosome:Setaria_italica_v2.0:I:25224541:25228578:1 gene:SETIT_017328mg transcript:KQL29826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSAINNSEGNEKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLIAKYSNLVFVLYCMSLVFVVAFNHYLYRSGETIISNSSKDAGTYWRTMLPFSYAVVSGAIGSCSVLFAKSLSNMLRLTMSSRYEFHSLFTYSIVLLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIIMFVLGMTFVFLGISLLAPDDSKAADTKDGSSATEDSVIDMDRNGKMQMEETEADDSNSFVSSVKVKAKRVLLKAKSACSMSLGLGEESISASSVLAMPMVSSRTTGFRGNDRSKYVPLRTTDWSNL >KQL29827 pep chromosome:Setaria_italica_v2.0:I:25224541:25228578:1 gene:SETIT_017328mg transcript:KQL29827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDWVIGALINIVGSVAINFGTNLLKLGHDQREKLSAINNSEGNEKFVPKSVMHFQTWRIGILFFAAGNCLNFMSFAYAAQSLLAALGSIQFVSNIAFAYFVLNKTISVKVMVATTFIVFGNIFLVSFGNHQSPVYTPEQLIAKYSNLVFVLYCMSLVFVVAFNHYLYRSGETIISNSSKDAGTYWRTMLPFSYAVVSGAIGSCSVLFAKSLSNMLRLTMSSRYEFHSLFTYSIVLLFLCTAGFWMARLNEGLSLFDAILIVPMFQIAWTFFSICTGFVYFQEYQVFDTLRIIMFVLGMTFVFLGISLLAPDDSKADTKDGSSATEDSVIDMDRNGKMQMEETEADDSNSFVSSVKVKAKRVLLKAKSACSMSLGLGEESISASSVLAMPMVSSRTTGFRGNDRSKYVPLRTTDWSNL >KQL29436 pep chromosome:Setaria_italica_v2.0:I:15780955:15781848:-1 gene:SETIT_019887mg transcript:KQL29436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSASAAAALLPFPPPSSSDESDDAKTLRSQPVLEPEVASPPPQQQQLRQWLELERDCNMAMKALACVGDVDQVVNLFAELMLSASSAGVVPSVLCYNTLLNALVEDGRAAETRKVFDEMLATGVAPNVSSFNILVKLYAWRTVEFHLAYKEIHGMRVHKLEPDVSTYSTLVTGLCQVGKLDEAWGVLDWMLQEGCCPMVHTYTPIVQGYCREGRIEEARKLIDFMEDSGCPPNAVTYNVLIRALCDDARFDEVKQVLAEIKMKGQKPNTVTYNIYMDALSKKGMAKEALQQFEDMQ >KQL32047 pep chromosome:Setaria_italica_v2.0:I:41090081:41091535:1 gene:SETIT_017727mg transcript:KQL32047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYSKASNGGEQQQEQLVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPEDSKNAHLLALEGARERLTLCRADVLDYDSLRAAFTGCHGVLHVASPMSNDPELVPVAVEGTRNVINAAADGGVRRAVFTSSYGAVHMDPNRSPDTVLDETCWSDYDFCEQTNNLYCCAKMMAEVTATEEAARRGLPLAVVVPCITTGPMLQQALNFSSNLAARYLMGTKRSYTNAVAAYVDVRDVARAHVLAYERPEARGRYLCIAAVLHRAQLIAMLRELFPQYPVTAKCEDDGKPMAKPYKFSNQRARELGLEFTPLKKSLYEAVVCMQQKGHLPVITQQQRSYL >KQL30338 pep chromosome:Setaria_italica_v2.0:I:29983751:29986279:1 gene:SETIT_016568mg transcript:KQL30338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQAYITAAIGLCLEKLTKKELETTKDVLNSILQGVSCRLESPIDLVRKMASAVALTFSKVVDPKNPLYLDDNCCENVDWEFGVLSPKEIKAPLHVVESKNKPKSRENKSNAGEKKAKAVKQDVPDVKPKIVEIKSIDHDQISDTVTNEQFEGEECDEESMNIDAHSDSSLEPYDLSDDDTDLQKKFSHLSDIAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDLAMEGEEDSAEEKRQKALVALLVTCPFESLDVMTKLLYSSSVDISQRILIIDVMTEAAQELAETKIVKTEQRHGNLITDSSPSWLVPSNRGPPGAGPWREVSEPGTPLSWSHRYEREVPSRSGQVKSGKSRKWGLGKAKDLQVEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASALAPALLDMIRSRDVSQHAEAYVRRSVLFAASCILISLHPSYVASSLIEGNQDISTGLEWIRTWALQVAEADPDTECTSMAMTCLRLHSEMALQTSRALESADHSKTGRALPTKLDSIIIPFGNM >KQL30337 pep chromosome:Setaria_italica_v2.0:I:29982282:29986560:1 gene:SETIT_016568mg transcript:KQL30337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQHVSQSQFWFNIVETLKDQHSIERLTEELLRQLASQHISDEEAYWILWTLFNQSFKRLTVMRAMFVDKFLLWKTFPLCCLRWILHYAIFECPPNSATETLMQRTPNFFAIGLCLEKLTKKELETTKDVLNSILQGVSCRLESPIDLVRKMASAVALTFSKVVDPKNPLYLDDNCCENVDWEFGVLSPKEIKAPLHVVESKNKPKSRENKSNAGEKKAKAVKQDVPDVKPKIVEIKSIDHDQISDTVTNEQFEGEECDEESMNIDAHSDSSLEPYDLSDDDTDLQKKFSHLSDIAAALRKPDDPDGVENALSSAEKLVRASPDELRHNSGDLVRALVHVRCSDLAMEGEEDSAEEKRQKALVALLVTCPFESLDVMTKLLYSSSVDISQRILIIDVMTEAAQELAETKIVKTEQRHGNLITDSSPSWLVPSNRGPPGAGPWREVSEPGTPLSWSHRYEREVPSRSGQVKSGKSRKWGLGKAKDLQVEWSKNRFPLYAAAFMLPVMQGYDKRSHGVDLLNRDFVVLGKLIYMLGVCMKCMAMHPEASALAPALLDMIRSRDVSQHAEAYVRRSVLFAASCILISLHPSYVASSLIEGNQDISTGLEWIRTWALQVAEADPDTECTSMAMTCLRLHSEMALQTSRALESADHSKTGRALPTKLDSIIIPFGNM >KQL30143 pep chromosome:Setaria_italica_v2.0:I:28375799:28376321:1 gene:SETIT_020432mg transcript:KQL30143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPRLSTGTRIFLDSFQDLTGIILSVVGDVPVDHEA >KQL32213 pep chromosome:Setaria_italica_v2.0:I:41811656:41816779:-1 gene:SETIT_019250mg transcript:KQL32213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIPKEVYNNLDTGSQDEKWWEGVDLQKLILAHNNLEVLREDLRNLSSLVVLNISHNKISSLPAAIGDLPLLKSLDVSFNQINTLPEEIGLATALVKVDFSNNCLTELPSSLAKCPDLLELKASNNNISRIPDILGCSKLSKLDLEGNKLVTVSENMFASWTMLTELNLAKNLLTTTPDSIGALSKLIRLDMHQNKITSIPPSIKGCSSLAELYMGNNLLSSIPADIGTLSKLGILDLHSNQLKEYPVGACNLKLSFLDLSNNSLSGLPAELGKMTTLRRLLLTGNPMRTLRSSLVSGPTTTLLKFLRSRLSSDEEGSGSTSTPTKDDQIAAARRLSLSSKELNLSSLGVTSVPAVAWETSDVVKLDLSKNSIEDLPNELSLCSSLQSLVLSNNKIKRWPHTVISSLTSLSSLKLDNNSLAEISSTDLLSLSKLEVLDLSGNASALPEPSAVSASPQLQELYLRRMKLHEFPNGLLGLKQLRILDLSQNNLTTVPEGIKNFTALIELDLSDNNITALPAELGLLEPNLQVLKLDGNPLRSIRRTLLERGTKAILKYLKEKLPAE >KQL29457 pep chromosome:Setaria_italica_v2.0:I:16410021:16411699:1 gene:SETIT_019924mg transcript:KQL29457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKLKLRNYSINGTCKISRRSNTLIDPVFPDLPDNCRSASYMCECAILSTWNEHVDVVNALIIDRFPGTKHVYYSFDSVEDDTRNNYPLDFLNSVTPNGLPPHELTIKKNCPVILLHNLDPHNGLCNGTRLIVVNGQHAGKRLFIPSIPMSPLEDLSLSFKFERKQFPICLSFAMTINKAQGQTIPNVGIYLPEPIFAQGHLYVALSRDVSHETTWVLARKNKDMDPTGKGTKNIVYRDVLET >KQL32182 pep chromosome:Setaria_italica_v2.0:I:41686404:41687683:-1 gene:SETIT_019694mg transcript:KQL32182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKQTLLLSLLLTVLELVSLPAPSCQDVNFEVWLRNQPTDPGKDVGCVKTDVALSSAETNKVTNSIDPTTELRPEDGSYKTISEAIANIPDGSTKRYVLYLKAGAVFREKLFLSRSKPFVTIRSSDPNNPAVIVWNDTATTPGKDGKPLGVDNSSTVTIESDYFIAYSVVFKNDAPLPKLGENKGEAPALRVLGTKAIFYNCTIDGGQGALYDQSGLHYFKSSVIKGTIDFIFGSAKSFYEDCSIVSVNKEITTLPMAPLQQQQRDKTIKAAPGESGFSFKTCTFKGDGQNIYLGRVGTPFVFSYSQIDKELMAIISDGGRVQLAERIGSTYYADFKSYGPGLAKMLTKDLTYAEAKPFLGVHYISGQTWILSIPPEHLDAPAP >KQL30315 pep chromosome:Setaria_italica_v2.0:I:29880070:29882418:1 gene:SETIT_019227mg transcript:KQL30315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRADFAALRASPADVRVVTSDGSSIPAHSSVLAAASPVLERMIVGARRGWDADRTVVRVLGAPSDAVAAFLHFLYCCPDPSREKAAAAEDWAEDALGAHGAALLALAHAYRVPWLKRRVEAAVAARLTAERAVDALKLAALCDAPRLHLACARLAAKDLAAVQRSEGWRFAGRHDAGLQLELLQLLHDADQRKERWERERASQHVYRQLSDAMVLLDHIFAAADGACEACKEASPCEDGVRRGLEQLMRHFAACGGRNRKPAACQRCRRAFQLLRLHASVCERAGGEPCRVPLCSNLKAKMQEEGVDKTWKLLVKKVTRARVMPALASREVPEAVKKSWAKYSSRRAGRFR >KQL27751 pep chromosome:Setaria_italica_v2.0:I:392156:397951:-1 gene:SETIT_016268mg transcript:KQL27751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKRKRGGGRGRPRKEDKDAAPAADSDPTAAEENGENRGDEEMPEPHDSAKENGVEGVAEVSKPSKRRRKDPVADPSSPEFSGSGRLRQRRNVAAVQEQEPEKKRKIDKDSTMCHQCQRNDSGRVVRYPHLSEDDFATNCPVCRKNCNCKACLRGDISTADKCSVSEEDKIKFSMRIVRFLLPWLKEFHQEQMLEKSVEASIRGIDSCKMEVPLANCGSDERIYCNNCRTSIVDFHRSCNKCSYDICLSCCRELRQGLNPVGDVSSDKVTSLPDAGGKEDSQQGGSHCKVTSQEPSDGQNDILINNAVPSEDCNPSLRRWRVNSNGTIPCPPNEFGGCGSSLLELKCLFGEKFIADLLEKAKSLVNDVTVLELGGSNCSCFTESSGINNGTSRKSACRENSHDNHIYCPTARDVQNESLEHFQEHWLKGQPVIVRDTLALTSGLSWEPMVMWRALREKRDKKTDERLSVIALECLTWCEVDFNIRMFFDGYSRGAVGAEDLPVLLKLKDWPQHSSFEERLPRHNSEFMSALPFRAYTDPKYGPLNLAVKLPESVIKPDLGPKTYIAYGVAQELGIGDSVTKLHCDMSDAVNILTHTDEIKLKVKRIKAIEKKKESLKKKEEGGSQGSQTDLESTIEPRRKGLRSGSNIQQPALDVASEQEEIVQKSAVAVEAEGNLKNANGQQTDQSYEDHMNVPFSKGKSEVALSATNGGEKLGNGFSREDKIESPSDAEENFEPKVGKIAISLEPKDDAAPFAEGNQSEGGALWDIFRREDVSKLHDYLMKHAKEFRHCNYEPVVQVAHPIHDQCFYLTNEHKRKLKEEYGIEPWTFEQKLGEAVFIPAGCPHQVRNLKSCIKVALDFVSPENVRECIRLTEEFRLLPKGHRVNEDKLEVCQ >KQL31246 pep chromosome:Setaria_italica_v2.0:I:36456402:36457016:1 gene:SETIT_019667mg transcript:KQL31246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYHHYTSTSQFVFAAVDSNPNPAHKPKTTVQIPVTSPSEEWAREEQGSRDAAAAARIQAAFRGHQVRRHVAAVRAADAEATRLERLLRRQETVDAVRGDGRERARFSEALMAVLLRLDAVPGHYPAVRDARRAVSRRVVGLQEVFDAVVAAPGAQTCGVPASLEQALEGIWGAGEAPMPAPAVEEEDVRRSGSCWGRFFGGV >KQL29210 pep chromosome:Setaria_italica_v2.0:I:11436222:11445510:1 gene:SETIT_016832mg transcript:KQL29210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASALQPDRHLLLLAGRHRPLLRVLPPRAPLRRPRWRVAGRVVPSGDGAGVGAGLASTVEVEAEALPVLMPVVVEELGGWGGGEGQEEDKEEAGGAARRPSWWEGLPERYKLIGATSLAFAICNMDKVNLSVAIIPMAHQYGWDSSTAGLVQSSFFLGFALSQLPGGWLAKLFGGRKVLVAGIVAWSLAISIIPFVAGFLPGLVLSRILVGIGEGLSPSSAADLIARFIPLQERSRAVSVVYDGSSFGSVLGLLFAPPIIQNLGWESAFYILGLLGIIWCLGFESLKEQKLGENEGTLNLGPSSAGSDGLISSAVSSKSSNSSLEDTQNSLKDVPWGAFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSQELNLSLAEAAWVSILPPLGSMVVTSIVAPFADNLISNGVDTTKVRKICQTISFVSPAIFLMLSSMDLGLPPWEIVAFLTIGLSLSNFALSGLYCTHQDISREYASILLGITTTIGSVPGIVGIALTGYLLDSTHSWSVSLFAPAIFFYLTGTAVWLAFASSEPQDFAKSERES >KQL29209 pep chromosome:Setaria_italica_v2.0:I:11436244:11444895:1 gene:SETIT_016832mg transcript:KQL29209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASALQPDRHLLLLAGRHRPLLRVLPPRAPLRRPRWRVAGRVVPSGDGAGVGAGLASTVEVEAEALPVLMPVVVEELGGWGGGEGQEEDKEEAGGAARRPSWWEGLPERYKLIGATSLAFAICNMDKVNLSVAIIPMAHQYGWDSSTAGLVQSSFFLGFALSQLPGGWLAKLFGGRKVLVAGIVAWSLAISIIPFVAGFLPGLVLSRILVGIGEGLSPSSAADLIARFIPLQERSRAVSVVYDGSSFGSVLGLLFAPPIIQNLGWESAFYILGLLGIIWCLGFESLKEQKLGENEGTLNLGPSSAGSDGLISSAVSSKSSNSSLEDTQNSLKDVPWGAFFKSKAVWAMIYAHFCGSWGHYTCLSWLPTFFSQELNLSLAEAAWVSILPPLGSMVVTSIVAPFADNLISNGVDTTKVRKICQTISFVSPAIFLMLSSMDLGLPPWEIVAFLTIGLSLSNFALSGHGVKLTITFRIFVMVPGSKPNIFFDKQGFIVPIKISLVNMLAFSWESQPP >KQL28210 pep chromosome:Setaria_italica_v2.0:I:4312618:4313029:1 gene:SETIT_020250mg transcript:KQL28210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNMNSTLMLVMSMSLKKHNPCHLERTSIIFQQRV >KQL29655 pep chromosome:Setaria_italica_v2.0:I:22642177:22642800:1 gene:SETIT_019687mg transcript:KQL29655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRPSGGDAEAPLLSASGSGAQTAPVPTTTVVGKALSSTADLAKHLPTGAVLAFEMLSPSVTADGTCNAANRALTGCLIGACALCCFVLCFTDSYRDAATGALRYGFVTPSGRLLPVEGGGPPPPRDERYRLTARDVAHGLLSFAVFLAVAMVDRNVVACFYPVESASTRQLLAAVPVAAGAAGSFLFAMFPSTRRGIGFPVGSS >KQL30986 pep chromosome:Setaria_italica_v2.0:I:34860692:34861272:-1 gene:SETIT_019795mg transcript:KQL30986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTSSYFHAFGNPDFAAVFSGGSGGGGGGSAQAHRPRRSTDGGAGAAEEGGSPASTRRAPSMFCVPDTEAEEPNRFLDECALCRKALCGDIFMYRGDTPFCSDECRREQIETDRVRHRRKKQHSLMLAQQQAAAAAMAQRDHRPQRQLQPQH >KQL29265 pep chromosome:Setaria_italica_v2.0:I:12388296:12392726:-1 gene:SETIT_017683mg transcript:KQL29265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDSIECVSYSDGMEDDDDAAAVTSAQLPRPFLKSASSAGSAAAAAVNVVVVSDRAGGPVPGGTGAGPLVISPATGVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEVFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDSGACIPNLFS >KQL29264 pep chromosome:Setaria_italica_v2.0:I:12387540:12392726:-1 gene:SETIT_017683mg transcript:KQL29264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDSIECVSYSDGMEDDDDAAAVTSAQLPRPFLKSASSAGSAAAAAVNVVVVSDRAGGPVPGGTGAGPLVISPATGVHELLECPVCTNSMYPPIHQCQNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYYSLGCPEVFPYYSKLKHESQCNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDARNYSYSLEVGANGRKMIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDSGACIPNLFS >KQL29076 pep chromosome:Setaria_italica_v2.0:I:10160302:10161282:1 gene:SETIT_018912mg transcript:KQL29076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTLSDRYIPVCMFLNKCCSASSVTSLCSLKIMKIHICSALCCRMAVRCCKSRRSNHHFRWRKINVLQNGYSCIKVC >KQL28427 pep chromosome:Setaria_italica_v2.0:I:5798068:5804551:1 gene:SETIT_016214mg transcript:KQL28427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAASRLARAARAAAAATARRQAPGASREVLPRALAPLAGDASAFAAAATRRPAWFAAPLGRFPVGAGGAGLMAPPRRLFHSTAPAQHSAAGTSSSSQIAPGEFTEMAWEGIVGAVDAARLSKQQIVESEHLMKALLEQKDGLARRIFSKAGVDNTSVLQATDEFISRQPKVSGDTSGPIIGSSFVSILDSAKKHKKEYGDEFVSVEHILQAFTSDKRFGQQLFKDLKIMENDLKEAISAVRGSQRVTDQNPEGKYQALEKYGIDLTESARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRRLISLDMGALLAGAKFRGEFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVAAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKERLSKLEAELESLKQKQKNLSEHWEYEKSLMTRIGSIKEETDRVNLEIEAAEREYDLSRAAELKYGTLLSLQKQLEEAENKLVEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTESVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTTDSKEAVYEIMKKQVIEMARQTFRPEFLNRIDEYIVFQPLDTSEINHIVEIQLNRVKNRLKQQKIRLQYTPEAVELLGSLGFDPNYGARPVKRVIQQMVENEIALGVLKGDFKEDDTILVDVSSAAIAKGLAPQKKLVLQRVENRSEELVAND >KQL28426 pep chromosome:Setaria_italica_v2.0:I:5798068:5804551:1 gene:SETIT_016214mg transcript:KQL28426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAASRLARAARAAAAATARRQAPGASREVLPRALAPLAGDASAFAAAATRRPAWFAAPLGRFPVGAGGAGLMAPPRRLFHSTAPAQHSAAGTSSSSQIAPGEFTEMAWEGIVGAVDAARLSKQQIVESEHLMKALLEQKDGLARRIFSKAGVDNTSVLQATDEFISRQPKVSGDTSGPIIGSSFVSILDSAKKHKKEYGDEFVSVEHILQAFTSDKRFGQQLFKDLKIMENDLKEAISAVRGSQRVTDQNPEGKYQALEKYGIDLTESARRGKLDPVIGRDDEVRRCIQILCRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRRLISLDMGALLAGAKFRGEFEERLKAVLKEVTASNGQIILFIDEIHTVVGAAAGGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDAALERRFQQVYCGEPAVEDTISILRGLRERYELHHGVKISDGALVAAAVLSDRYITGRFLPDKAIDLVDEAAAKLKMEITSKPIELDEVDREIIRLEMEKLSLKNDTDKASKERLSKLEAELESLKQKQKNLSEHWEYEKSLMTRIGSIKEETDRVNLEIEAAEREYDLSRAAELKYGTLLSLQKQLEEAENKLVEFQQSGKSMLREEVTDVDIAEIVSKWTGIPVSNLQQSEREKLLLLEDVLHKRVIGQDIAVKSVANAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKTLAEFLFNTENALIRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTESVRRRPYSVVLFDEIEKAHQDVFNILLQLLDDGRITDSQGRTVSFTNCVIIMTSNIGSPLILDTLRNTTDSKEAVYEIMKKQVIEMARQTFRPEFLNRIDEYIVFQPLDTSEINHIVEIQLNRVKNRLKQQKIRLQYTPEAVELLGSLGFDPNYGARPVKRVIQQMVENEIALGVLKGDFKEDDTILVDVSSAAIAKGLAPQKKLVLQRVENRSEELVAND >KQL30834 pep chromosome:Setaria_italica_v2.0:I:33667805:33670611:-1 gene:SETIT_020295mg transcript:KQL30834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQPLKLFLKHMDAVLLICTHLIYCRKIQCMVAMKVWLHEIIEGNVVTRNETISDDSGEDPTYSRYLSASHGLTVLDIYVLPLYFLLGWWS >KQL30833 pep chromosome:Setaria_italica_v2.0:I:33667597:33668198:-1 gene:SETIT_020295mg transcript:KQL30833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAAEAFFSRLLLHYLFLLHLFPIRFGFMRS >KQL31736 pep chromosome:Setaria_italica_v2.0:I:39456077:39458417:1 gene:SETIT_017371mg transcript:KQL31736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDRRAPLLGAGGRPPSMRRRDSARSLRSSFLARLPDKVRAGLDPERPADADLARARGLSQGEREYYEKQLATLRTFEEVEALCMPGEFDSDGSDHGAFDDMVEQKQSEFAMKISNYTNIVLLVFKVYATIRTGSMAIAASTLDSLLDLMAGGILWFTHLSMKRVNIYMYPIGKLRVQPVGIIVFAAIMATLGFQVLVKAIEQLVENKPGAKMTSEQLIWLYSIMLSATAVKLALWFYCKSSGNSIVRAYAKDHYFDVITNVVGLVAAVLGDKFVWWIDPAGAVLLAVYTIVNWSKTVLENAVTLVGRCAPPEMLQMLTYLAMKHDTRVKRVDTVRAYSFGVLYFVEVDIELSEDMPLREAHTIGESLQEKIEKLPEVERAFVHIDFESTHKPEHKVRSRLPATDP >KQL30099 pep chromosome:Setaria_italica_v2.0:I:27994123:27994314:-1 gene:SETIT_019873mg transcript:KQL30099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPPPNLRPNAAALSSTPTSAGADFATASDSDADAGGGRGRVSSPRRRDRKGAPRDRLDSDADAA >KQL31550 pep chromosome:Setaria_italica_v2.0:I:38345329:38348441:1 gene:SETIT_017900mg transcript:KQL31550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHWSLEPSCWERYGKCEEFVTGSILLSNHDCSYEVSVAKTCSHLTRRKTTRKYPGTAMSLALLQGYSSAEEDDDHAAGAGAELSESGESSAEEAGSDGDEASAPPKPASRPRRRPNPKGGDAGGGEGDSSLPSALEAFADVSGPPEFLRHRVAEPEEGTEALGVLDRRGKEGSKHPPPGAVVVAQPQLVAIRERVTTTSSNPPGSVTSGSVDGKRVIGAANPGPEDAADLLRMCLQCGVPKTYSHAKGMVCPLCGDRPAQPKEPEKKKGSTVKDKEKIKRMKGQSSHASWKSETEMALRQQFD >KQL28602 pep chromosome:Setaria_italica_v2.0:I:6959746:6961443:-1 gene:SETIT_017331mg transcript:KQL28602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVASNVHAGPAAAGAMSFGWLGPRLSFGSPRDAAAVVEVAEEPKAEPAISKDFIDFEFSLGGAATMLPADELFADGKLLPLRPQATAGKAEPERRDTSLVAEILPSTPERVKAVHPAAAEAALDPYVFSPKAPTCSSRWRELLRLRKVQTPQKPSPAASPSASPAPTAATPSRASNSSAARSLKLLLLQRNGGRASGAAASDLSAAPLLRDSSDSEASISLASSRFSLSSSSSSSAHDHDDFPRHSLDSVDPTPRPRIRLVRSHPQAAPQPQAHTPTASVPSRAGHSPARRRPSTPQAPPPPSMVSVDSPRMNASGKIVFQGLERSSSSPAGSVHSSMRSRSRVMDRSYSAGVRATPVVLNVPVCSRPVFGFFKDKKDATAKDAASSRPRSALGRRTAATPAPGGANCRDLGNGN >KQL28528 pep chromosome:Setaria_italica_v2.0:I:6475604:6480098:-1 gene:SETIT_016782mg transcript:KQL28528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATASTAATLLPGPVVGSAASAPSTSRRRRLLAFRCQSTSVDKEQEQPPKPKQRNMLDNASNLLTNFLSGGSLGAMPVAEGAVTDLFGKPLFFSLYDWFLEHGSVYKLAFGPKSFVVVSDPMVARHILRENAFCYDKGVLAEILKPIMGKGLIPADLDTWKQRRKVITPGFHALFIEAMVRIFTKCSERTISKLEELTESESQVIVDLEAEFSNLALDIIGLGVFNFDFDSVTKESPVIKAVYGTLFEAEHRSTFYIPYWNLPLTKWIVPRQRKFHSDLKVINDCLDNLIKNAKETRQEADVEKLQQRDYSSLKDVSLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWSVFLLAQSPTKMRKAQTEVDSVLSNGAITVESLKKLEYIKLIILEALRLYPQPPLLIRRSLRPDKLPGGYNGAKEGYEIPAGTDIFVSIYNLHRSPYFWDRPNEFEPERFSVPKKDESIEGWSGFDPERSPGAMYPNEIIADFAFLPFGGGPRKCVGDQFALLESTVALALLLRKFDVELRGSPDEVEMVTGATIHTKNGLWCRLRRRTT >KQL29950 pep chromosome:Setaria_italica_v2.0:I:26911853:26912347:1 gene:SETIT_019787mg transcript:KQL29950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSNPPPNPPPSSPLAAGSPSSATHQKPAMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KQL28185 pep chromosome:Setaria_italica_v2.0:I:4121090:4122656:-1 gene:SETIT_017028mg transcript:KQL28185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSPPPPPPPHFVLVPLVAQGHIIPLVDLARLLAARGARATVVTTPLNVDRLRGVAEQAARAGLPLEFVGLPFPPAGSGLPQDCQNADMVADNSQFLPFFFALRELAGPFDAYVRSLAPRPSCIISDWCSPWTAGVASSLGIPRLFFHGPSCFFALCEIMAVDHGLLELIAAAGDDERHLVPGMPVPVTVTKATPPGLFCYPGTELLRREAMEAMRTADGAVLNTFSDLEAQFLEGYEAALGKPVWALGPLSLCNRDEDSTTSRGKTAVDGKQAIMAWLDEQAPGSVVYVSFGSVARKLPKQLFEIGHGLEDSGRSFLWVVKEREAAAPEVREWLDALEARTAGRGLVVRGWAPQLAVLSHRAVGGFVTHCGWNSVLESIAHGVPVVTWPHFSDQFLNERLVVDVLGVGVPVGVASPVMIFDDESAPVARGDIARAMSALMGGGAEADERRKAKEYGEKARRAMEEGGSSYETLTRLIQSFTRSEDKEH >KQL31786 pep chromosome:Setaria_italica_v2.0:I:39754472:39757093:-1 gene:SETIT_018154mg transcript:KQL31786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQLSGAGVGAAAFSAKGKASLEKLRLPAMVTVGEVRPARRAFRGLVVRAATVVAPKYKTLKPLADRVLVKIKSSEEKTTGGILLPTTAQSRPQGGEVVAVGEGRTIGDKKVDVSIQVGAQVVYSKYAGTEVELNDYNHLVLKEDDIIGILETDDVKDMKPLNDRVLIKVAEAEDKTPGGLLLTETTKEKPSIGTVVAVGPGPLDEEGNRSPLSVSAGSTVLYSKYAGSEFKGADGTNYIVLRVSDLMAVLS >KQL30839 pep chromosome:Setaria_italica_v2.0:I:33696750:33699924:-1 gene:SETIT_017550mg transcript:KQL30839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFVGHIGDRVDLRNLLTIGMIGTGLFTAAFGAGYWLNIHNFYYFLGIQLIAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNISGSLIAAALLKFGWGWSFVVPGVMIALVGLIVFLFLPSGPEAIGSEDDHLNDSGKNEIGTPLLEGQTEVTEKPVGFIEALRIPGVVPFALCLFFCKLVAYTFLYWLPFYISHTGGVIGGILAGHMSDRLDARALTAASFTFSAIPTLFFYRMYGSISLCWNIILMFITGMLVNGPYALITTAVSADLGTHSSLRGNSRALATVTAIIDGTGSAGAAVGPLLTGYISAKSWTAVFTMLMVSALVAGLLLSRLVMAEVSAKLESQRSAAATGLPVSSVEEA >KQL30841 pep chromosome:Setaria_italica_v2.0:I:33723466:33725083:-1 gene:SETIT_019388mg transcript:KQL30841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSASGMAVCDECKLKFLELKAKRSFRFIVFKINEKVQQVVVDRLGEPGESYEDFTACLPADECRYAVFDFDFVTDENCQKSKIFFISWAPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIIKSRAL >KQL30451 pep chromosome:Setaria_italica_v2.0:I:30622493:30629096:-1 gene:SETIT_016412mg transcript:KQL30451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDQPVISLRPGGGGIGLRAPRLFPTAFAAATGAGDFLRPHGGSSTGFAAKLGDSCFEPLERVRYTRDQLLEMREIVDIAKEILKLQQDINVLLLGEEREDQNWARNDSNVQTQSQNRYTEKDTRDWRSRSETLPVVGEEKSWDKIREAKESRVSSGKQEQFAAKAQTRPTPALVKAEVPWSVRRGNLSEKERVLKTVKGILNKLTPEKFDLLKGQLIEAGITTADILKDVITLIFEKAVFEPTFCQMYAQLCSELNESLPSFPPEEPGDREITFKRVLLNNCQEAFEGAGNLRDEVEKLTGPDQEMERRDKERLVKLRTLGNIRLIGELLKQKMVTEKIVHHIVQELLGSDSNSCPAEENVEAICQFFNTIGKQLDENPKSRRFNDVYFNRLKELTSNTQLAVRLRFMVRDVLDLRSNNWVPRREEIKAKKISEIHTEAEMKLGLRPGSTANIRNGRTGTGGSLSPGAFPMNGPGTGGMMPGMPGTRKMPGMPGLDNDNWEVPRSKSRADPLRSHTQLVNKPSVVNSRLLPQGSGALVSGKTSALLGSGGPISRPSSFSPGLAVAPAPAAAPVVEKPAAAPKPNLPSLQKKAVSLLEEYFHVCILDEAQQCIEELKSPDYYPEVVKEAINLALDKGANSIDPLVKLLEHLHSKNIFKTVDLETGCLLYGSLLDDLAIDLPKAPAHFGEVVGRLILSRCLGIGVLEDTLKKMEDTFFRSAVFKAATTVIGAHSSGQAILSSHIAEIEACSNLLSLK >KQL28984 pep chromosome:Setaria_italica_v2.0:I:9446075:9448719:-1 gene:SETIT_020240mg transcript:KQL28984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPARTFSLFSVEPLLLSASPTAAARNEHGGRPRGGSIRPLVAASKALLPISDFDLQQEGLTSIQKILQQRRSSAREMITTIDNLKRLCIDHYFEEEIESAMGACMDLIHSDDLFDATLALRLMREAGHDVSADDVLRRFTDDTGEFKIALSNDIRGLLSLHDMSHLDMGDEASLNKAKEFTRKHLASAIRYLEPGLACYVRQSLDHPYHLSLMQYKARHHLSYLQTLPTRDTAMEELAISEFQLNKRLHQKEMQEVKGWWMDLGLFHEIPVVRDQVLKWYMWTTATFQGYSLSSFQKRKGSSLSRYRIETTKIIALIYVVDDIFDLVGTPQELSLFTEAIKIWNTEAADLLPSGIRSCYKTIYTSTNEIANMVEEEHGFNPVNHLKNSWAVLFDGFMIEARWLATDQVPTAEDYLRNGAVTSGVPLTLAHIFAMLGYDQSNEAAELADHIPSIISCPAKILRLWDDLGSAEDEAQEGLDGSYRDFYMMENLSCTQADAEEHMRGLIAREWEELNREGFSRRTFASRFTQVSLNAARMVGVMYSYDKDGRLLVLEDYARMLLL >KQL29207 pep chromosome:Setaria_italica_v2.0:I:11374173:11376922:1 gene:SETIT_016721mg transcript:KQL29207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATFLLLLLLLLSCHAPAPALSLPPRPPVRCGSGDNAACVLSNAYAAWSSDRADCPVSAVAYPASEREVVAAVARASADGARIKVVSGFAHTIPKLACPGGGGGNASTLLISTARLAAVEVDAAARTVTADAGAPLRAVIDAAEARGLSLTAAPYWEGVSIGGLVSTGSHGSSWWGRGGAVHDHVVGLRLVAPAGEADGWARVLPLRRGDELFPAALVSLGLLGVITKITLSLEPRFKRSITYEYRDDSTFQDDFAAHAARHEFADITWYPSQHTAVYRVDDRAPLNASGDGVNDFIGFQPTAIAVTAGLRALETSLERSRNVRGKCAMAAVEGAAKRLVGNGLKNNGLLFTGYPVVGYQGKMQTSGSCARSPASDLLRACGWDPRFRGLFFYESTAIFSPPARFRDFVLDVKRLRDAAGAESLCGVDVYNGLLVRFVRASAAHLGQPEDSVVVDFNYYRASDPAAPRLSGDVWEEVEQLAFVKHGARPHWAKNRLVAFAGVRGKYPRWGQFAAAKRRLDPRGLFDSPWSDEVVGGVEVEVDKGDGCALDGRCVCLEDRHCSPGQGYYCRPGLVFTEARVCRYSVSQNQ >KQL29725 pep chromosome:Setaria_italica_v2.0:I:23879152:23879447:-1 gene:SETIT_0190461mg transcript:KQL29725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAQLTPGGVRAIVDGALPAQIQPVLQVLQVRQVTNPNPNPNPNTSERYRMTLSDGAHSHQAILATAFNPFVWDGTLRVGTIVHLNEFICNTIHDK >KQL27811 pep chromosome:Setaria_italica_v2.0:I:823842:824315:-1 gene:SETIT_019215mg transcript:KQL27811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRSEDESQISSRPARQHQPRPPPESEKLKRQLARLCDLEPGPSPRRQLARKDEKHLLLGLCTVNKTIRRWEQEERGCELDKVLLRIAIVIYLFDC >KQL28768 pep chromosome:Setaria_italica_v2.0:I:7965400:7972714:-1 gene:SETIT_016207mg transcript:KQL28768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNGAVSGMVSRKVLPACGGLCYLCPSLRPRSRQPVKRYKKIIADIFPSSQEDEPNERRIGKLCEYVARNPQRVPKITDYLEQRCYKELRSEHYGYAKVVVLIYRRLLVSCKEQIPLLASSLLSIIQTLLDQSRQDDMRIIGCEALFDFVVTQVDGTYQFNLEELVPRLCKLSQVVREDEKANALRAAALQSLCAMVWFMGELSHISSEFDDVVQVVLESYEPQKVQNDNRATENPGGHWFLFDSCLVGKMPKILVFGQESVCITWPRCPGRQPHSAVLWIPYFGTSIILIHGHPRMDLHFVFYWTCKWQHINLMISILVKHLEHKAILKQPEMQLSIVEVISALAEQSRAQASAATIGAISDLVRHMKKTLHVALGSKDLEVVKWNDKLRKAFDECIVQLSKKVGDAGPVLDMMSVMLENISRTPLVAIAATSAVYRTAQIIASIPNLSYKNKVFPEALFHQLLLAMVHPDHETRVAAHRIFSVVIVPSSVSPFPNLKSPDQRRKHDVQRTLSRAVSVFSSSAALFDKLRWEKNSFRENAHEGSMNRILHGIDNKTAAPNDFQGSRSLRHSLEVSSASRKYSSTSLKESQNSLTESTNDTESTVLRLSSHQATLLLSSIWAQAISPKNTPQNYEAIAHTYSLLLLFLGSKASIFEALSPSFQIAFSLLSYSLGTDSLPPSCRRSLFTLATSMIVFASRTFDVAPLLPICKSMLNDGTMDPFLRLVHENKLQVVKDYLEDPSKSYGSTEDNENALRSISAVELTERNSRESMVSAIVNSIAYIPDAELEDIRSQLLTDFSPDDMCPTSAHFFESPGKNSESRPGDDADYQEAELIDVTNDNYTFAEVSATKPTATDIPAITTNLLSIDELLETVVNDTSSETQRCSAPAAPDIPFQEMTSHCEALSMGKHHKMSVLMSFKNNKQAPIVPNNQINHVEAGHTSNNQNTNPFLQQSLDGYPQSMAAGELQDAAGVQQQHFLRLPASSPYDNFLKAAGC >KQL28872 pep chromosome:Setaria_italica_v2.0:I:8576861:8581981:-1 gene:SETIT_020199mg transcript:KQL28872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAAAFLLLLQLFGCVAPLPMKSADRYKDPSQPLNTRIDDLLGRMTLAEKIGQMSQIEREKATPDVINKYFIGSVLSGGGSVPEKNAPPEAWVGMVNGMQSGALSTRLGIPMLYGIDAVHGHGNVYKATIFPHNVGLGCTRDPELAKRIGAAVALEVRATGIPYIFAPCVAVCRDPRWGRCYESFSEHPELVQNMTSIISGFQGEIPAGGTLGVPFMAGQRNVAACSKHYVGDGGTTNGINENNTVATFHELLSIHMPPYYNAVIRGVSTVMISYSSWNGLKMHANRFLITDFLKKELRFRGFVISDWQGLDRITTPEHADYILSIKLGILAGIDMVMIPFNYTEFIDGLTLLVNNGTIPMSRIDDAVRRILRVKFTMGLFENPYADPSLTGELGKQEHRDLAREAVRKSLVLLKNGKPGDKPLLPLPKKAYGGILVAGSHANDLGSQCGGWTITWQGLTGNNLTAGTTILDGIKRAVVPGTDVVYSENPDAGFIQQNKARFDYAIVVVGEPPYAETFGDNLNLTIPAPGPAIIQNVCGSIKCVVVIVSGRPLVLEPYINTMNALVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFRSVNQLPMNVGDARYDPLFPFGFGLETQPSTY >KQL31463 pep chromosome:Setaria_italica_v2.0:I:37667692:37690152:-1 gene:SETIT_016076mg transcript:KQL31463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAELHKESSEEWSKKAGELEGVIKALETHLTQVEDEYKEKLEKETLFRRDLEKEAANLKQKLEKCEFDLENTRKSSELSLIPLTSVAADSTALIDARIQELPISDELNQNDLMVVPKVPSGVSGTALAASLLRDGWSLAKIYEKYQEATDAFLHERRGRRHAEAVLERVLHEIEEKAELILDERAEHERMVEAYALMDQKLQQALLEHDNFENIIRNLKSELKRRERDHSVAQKEIDDLQKQVAVLLKECQDIQLRCGSSLPNVNNGAFSASIGSVLSNVEQNMKDNIAFKDINGLVQQNVQLRNQVHMLSADLDKKDMELRESFQIELKKITDDAASRVEKVMKKSEEQAIMIESLHRSVAMYRKLCEEQQKTRSNVESVPSNLQDSDRTDLMVLFEGSQEVSKKAYEQVSERARSLDEELTKLRTELVSLRSERDKAVLEAGFARDRLNGFTAELEHQRKETNSISLRNAELMHLIVDYERRLREDSDSKQAFEENSRKILMEVSMLKHEKEILEKSEKRALDEVHDLTERVHRLQATLDTIHTTEEVRENARSMERRNHEEHIKRLERDWAELKKELQEQRDHVRVLTLDKKNTFDSCMKQVEDMRKELQSSLKAASDAESRAAIAEAKCSDLEAKLKSRKVIFRDAGRDISATSEENDELFQLKEELEKYKEEAQANKNYMVQYKEIAHSNEVALKQLESAHQDYKEEAEVGRKALEDEIAKLRDKLSDMEKSYVMKCEEAASAIESKEKQITSLMNEISVLRTDVSQRLPQVEKLEMELASSKSALDEQYKRWRTAQENYERQVILQSETIQELTNTSKQLSSLQHEITILRQTADAQKAENDALRTLGEQEKVGLLKEKDDALRKYNELNDQNKILHNQLESLHIRLAEKERSAAGLSSQRTDSHAEDDLHSVISYLRRSKEIAETEISLLKQEKSRLQIELESALKSAKEAQDLLRSQVDNARTLMLKDEEFKSLQLQVREINLLRESNIQLREENRHNFEECQKFRDEAQKAKMESERLQSLVLEKEVNAEICKKELEMQKAEIANLNQRISELVENSKGIDLNTYEGMKNELQNIKSTLRETSMELESAKKLLSEKEVAIRNLEEKLAVSQSELDSREKKLNDVEASLKSEMDRLKKINFSIKRKLDNLIKEKEEVIKENQSLQKQMEDLKSSQKTMSENTLEQAIKEKDFRIQTLERTLEKERDDNKKEKANRKRNEKLQLNILQRVQQEKKQVEESIEKHKQAVKEVIENYPGLSSEVPPVSALEEQVLSYFRTAKDMEESSSPFRDAAVTQTPAVETAPVDAPTSVAGRPVDTPPRLTKPKMMEDRAVATLPKPSTELRRPGGRRPLVRPTLERTEEPQADTDTSAVDVSMVGQDKGGSSLEREASGSLPVSQPSSRKRLISSAQTIDSAAQGEANDANPPSKKPKEEEASQGTSELKSGQPPVGDVSTHVGVLPSTDDQDGQQSTEEMDADQASTPMEEVEATKDDDMGDKDDSGAHVDASLDTKGQDADVSIEINAVPVEDVLAKSDALVESFDDDQKIEDLKEDAQLTTATDVDDEMEEGELPEEPEQPLESALGETNREPTSDIGEQAGNVFRAASPGMLTEKSDVDVSEVEGDTTAEHAAVEPDQSPVAQSGTADSPSRAADASPAREPSPNPVQAGAFSRPQNTSTTTEAREPSSNPAQAGASSEPRNTSTVTEATETRSRTINLTERARQNRQTRFQQRAQQPATARGRGQLTQRKDAAGRGSRGRGGRQT >KQL29066 pep chromosome:Setaria_italica_v2.0:I:10077261:10079163:1 gene:SETIT_016891mg transcript:KQL29066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMERGAPGSSLHGMTGREPTFAFSTEDATAASKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMAIIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDIIRKCGATPFTAWRLAYFVPGSLHIVMGILVLTMGQDLPDGNLRSLQKKGDANKDKFSKVMWYAITNYRTWIFVLLYGYCMGVELTTDNVIAEYYFDHFNLDLRVAGIIAACFGMANIVARPLGGILSDVGARYWGMRARLWNIWILQTAGGAFCLWLGRATTLPASITAMVLFSFCAQAACGAIFGVTPFISRRSLGIISGMTGAGGNFGAGLTQLLFFTSSKYSTGMGLEYMGIMIMACTLPVVFVHFPQWGSMLFPANAGAVEEHYYSSEWNEEEKSKGLHSASLKFAENCRSERGKRNVIQATSSTQPNNTPEHV >KQL29010 pep chromosome:Setaria_italica_v2.0:I:9683421:9685441:1 gene:SETIT_019792mg transcript:KQL29010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVASLSTPAPAAAARRRRFSPAASASLRRVASGGGSSWRSERRLMSELERTVTAGAAERVIRSYVGTKSERAALAALSRLLMDSDPLAIPFYEAVTMARWFKWSSIHAAAVAALLEVNGSTGESRSLISDSISQHLQSTDEVALFYCDLMAAFSSRGLKDRAVDFYTELRSMPLTRHKTYTAMIKSLCLMGLATEAEEALREMVSLGYQPEAFQFGLVAKCYGKSGSLVEMERVIASMSDAGIRLGTGAANIVLSCYSSCRDHSKMLAWLKKMKKLRIVPTTKAYNFVLNSCPTLASVVQELNPSLPLSTTGLVKKLKSVSTLASEAELVHELLASSSVLDKAMEWSETEVKLNLHGFSTIAAYVLMLQWVDVIKGRTLPLEVSVVCGIGKHSDVRGEPKVRELAQEVLSRMGSPLRLSTRNKGRLVAKRDRVTRWLASLPVPDEVSDKSPDASSQQPFVFTLFRKLGQFFSTLV >KQL29856 pep chromosome:Setaria_italica_v2.0:I:25559590:25564759:1 gene:SETIT_016236mg transcript:KQL29856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCCCSTSSSVLAGGRGGAARRLAAPAAARWGAAGFWNRAVVLAHPLPRRASSSRPSPRRARRGLIMAVFERFTERAVKAVVLSQREARGLGEAAVAPRHLFLGLVAEDRSSGGYLSSGIGIERAREECRGIGARDVDAPPPPPPKTGSGLDTDVPFSAASKQVFEVAVVLSKNMGSSFISPEHLAIALFTLDDPTTNNLLRSLGADPSQLSSVAVDRLQAELAKDGRDPAGASSFKIPEKAPAAAGRSAFSQSLTKKKGKGALDQFCLDLTTQASGGFIDPIIGREEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALRIANGDVPIYLVAKRILSLDVGLLIAGAKERGELESRVTNIIREVREAGDVILFIDEVHNLIGSGTVGKGKGSSLDIGNLLKPALARGELQCIAATTLDEHRMHFEKDKALARRFQPVLVAEPSQEDAVKILLGLREKYETYHKCRFTLEAINAAVYLSARYIPDRQLPDKAIDLIDEAGSRARMESFNRKKEGQSSILLKSPDEYWQEIRAAQAMHEVVLSNKEKYSPNANDQESGNADVEAPYEDNRGSTSTSSLSADEPIVVGTEEIARVASLWSGIPVQQLTADDKKLLVGLDDELRKRVIGQDDAVVAISRAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYIGYGETGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQIFEDGHLTDSQGRRVSFKNTLIVMTSNVGSTSISKGRRSIGFLKEDTESSSYVAMKSLVMEELKAFFRPELLNRIDEMVVFRPLEKTQMLAILDIILKEVKGRLLALGIGLEVSDAMKDLICQEGYDKSYGARPLRRAVTHMIEDVISEAILFGEFKPGNTILMDIDAEGKPCMSHLDQQIVQVTDPTRAF >KQL28209 pep chromosome:Setaria_italica_v2.0:I:4311195:4313806:1 gene:SETIT_018524mg transcript:KQL28209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQAASSRPSSSERSSSSAHHMDMEVKEGMESDEEIRRVPELGLELPGGASTSGREAGPGAGGPERAQSSTAQASSRRRVRSPADKEHKRLKRLLRNRVSAQQARERKKAYLTDLEVKVKDLEKKNSEMEERLSTLQNENQMLRQILKNTTVSRRGPGSTASGEGQ >KQL29667 pep chromosome:Setaria_italica_v2.0:I:22802429:22811046:-1 gene:SETIT_016687mg transcript:KQL29667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHQQQMAPPSRSSVNGFPHRKLDRESSGRHDNKTNLVRSSSGGLGGAENGINLGHASHSRDRLVYVLTQLIGHHVDVHVKNGSIISGIFHATNSDKDFGVVLKMAQVIKDGSARGQRYATDVVKKPETMIIPARELVQVFAKDVALGGDELPKGPGHDKRKDLLIDSAISRTHYLEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEEIYTTKLERGPHMRELEKHASRIAREIEGEDTKDIHLAEERGLFLGDDLDHDEEIKYSAVRRDTDNSKYKPFTNVPSSTRHVDSFNRTVNIDPKDSLACSSTMDEQSPSYMFDDTDSSSNIQTNNVSQPTSDDPSNKPFSVDENRLDKKLSKDSNENLDNRKLQPENNLSGGGRPLISEGLDGPPSSSHAYEPSSSGQGFKSPETLDSTLSVKYPSTMEPVTSSQRPGSSASSTSERIAANSVASAPGLSPSSSIGSLTSEKSTLNPNAKEFKLNPNAKSFTPSASLRPPHPPASDASYYYPNNMPAAPLGPGLPVGMGFPPAYGGQPVMYNTQPGTPPQGYMHPAGPQYGQQMMMGQNRPVYYYAPEMQQYRGRNF >KQL29669 pep chromosome:Setaria_italica_v2.0:I:22802940:22809522:-1 gene:SETIT_016687mg transcript:KQL29669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHQQQMAPPSRSSVNGFPHRKLDRESSGRHDNKTNLVRSSSGGLGGAENGINLGHASHSRDRLVYVLTQLIGHHVDVHVKNGSIISGIFHATNSDKDFGVVLKMAQVIKDGSARGQRYATDVVKKPETMIIPARELVQVFAKDVALGGDELPKGPGHDKRKDLLIDSAISRTHYLEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEEIYTTKLERGPHMRELEKHASRIAREIEGEDTKDIHLAEERGLFLGDDLDHDEEIKYSAVRRDTDNSKYKPFTNVPSSTRHVDSFNRTVNIDPKDSLACSSTMDEQSPSYMFDDTDSSSNIQTNNVSQPTSDDPSNKPFSVDENRLDKKLSKDSNENLDNRKLQPENNQLSGGGRPLISEGLDGPPSSSHAYEPSSSGQGFKSPETLDSTLSVKYPSTMEPVTSSQRPGSSASSTSERIAANSVASAPGLSPSSSIGSLTSEKSTLNPNAKEFKLNPNAKSFTPSASLRPPHPPASDASYYYPNNMPAAPLGPGLPVGMGFPPAYGGQPVMYNTQPGTPPQGYMHPAGPQYGQQMMMGQNRPVYYYAPEMQQYRGRNF >KQL29666 pep chromosome:Setaria_italica_v2.0:I:22802429:22811046:-1 gene:SETIT_016687mg transcript:KQL29666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHQQQMAPPSRSSVNGFPHRKLDRESSGRHDNKTNLVRSSSGGLGGAENGINLGHASHSRDRLVYVLTQLIGHHVDVHVKNGSIISGIFHATNSDKDFGVVLKMAQVIKDGSARGQRYATDVVKKPETMIIPARELVQVFAKDVALGGDELPKGPGHDKRKDLLIDSAISRTHYLEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEEIYTTKLERGPHMRELEKHASRIAREIEGEDTKDIHLAEERGLFLGDDLDHDEEIKYSAVRRDTDNSKYKPFTNVPSSTRHVDSFNRTVNIDPKDSLACSSTMDEQSPSYMFDDTDSSSNIQTNNVSQPTSDDPSNKPFSVDENRLDKKLSKDSNENLDNRKLQPENNLSGGGRPLISEGLDGPPSSSHAYEPSSSGQGFKSPETLDSTLSVKYPSTMEPVTSSQRPGSSASSTSERIAANSVASAPGLSPSSSIGSLTSEKSTLNPNAKEFKLNPNAKSFTPSASLRPPHPPASDASYYYPNNMPAAPLGPGLPVGMGHMVASLSCIILNLEHHPRVTCILLGRSMGSR >KQL29668 pep chromosome:Setaria_italica_v2.0:I:22802940:22809522:-1 gene:SETIT_016687mg transcript:KQL29668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHQQQMAPPSRSSVNGFPHRKLDRESSGRHDNKTNLVRSSSGGLGGAENGINLGHASHSRDRLVYVLTQLIGHHVDVHVKNGSIISGIFHATNSDKDFGVVLKMAQVIKDGSARGQRYATDVVKKPETMIIPARELVQVFAKDVALGGDELPKGPGHDKRKDLLIDSAISRTHYLEERELERWAPDEGDSECIELEKYDRKGNRSWDQFETNAALFGVKSTFNEEIYTTKLERGPHMRELEKHASRIAREIEGEDTKDIHLAEERGLFLGDDLDHDEEIKYSAVRRDTDNSKYKPFTNVPSSTRHVDSFNRTVNIDPKDSLACSSTMDEQSPSYMFDDTDSSSNIQTNNVSQPTSDDPSNKPFSVDENRLDKKLSKDSNENLDNRKLQPENNLSGGGRPLISEGLDGPPSSSHAYEPSSSGQGFKSPETLDSTLSVKYPSTMEPVTSSQRPGSSASSTSERIAANSVASAPGLSPSSSIGSLTSEKSTLNPNAKEFKLNPNAKSFTPSASLRPPHPPASDASYYYPNNMPAAPLGPGLPVGMGFPPAYGGQPVMYNTQPGTPPQGYMHPAGPQYGQQMMMGQNRPVYYYAPEMQQYRGRNF >KQL30939 pep chromosome:Setaria_italica_v2.0:I:34534131:34534823:1 gene:SETIT_019951mg transcript:KQL30939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRPSMGRQKIEIRRIESDEARQVCFSKRRAGLFKKASELSILCGADVAAVVFSPAGKAFSFGHPSVESILDRFLDTSPGAGGGLSSAGDRAVSELNRQYGELRAQLDAEKARQERADETIRKERAARSQAMAWIDADLGAMGHDDLVAFWGALIGVQAAVAASADQLLRDALLVGRRGRQPAQLAGGAAFDVGAFGVGMQPPPGFAGVDLQVQGFGGQATILGPSF >KQL32276 pep chromosome:Setaria_italica_v2.0:I:42034505:42041191:1 gene:SETIT_016613mg transcript:KQL32276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAPPPAAMPPPSEDPAAAPNAQPFLPPPNRGWKRKNPAAAYQPPALGDLQVQNRAKARRWFKSSNPNPNPRKYFFPKNKNKAAAPRNTTSFIIRAKRSGGIASLVSPCPVTPAVLPTPRLSPSREGLADMAQAQWGVDGYGSMKGLIRLRSSPQPANPNATAASDDDEEGNSSGSDVEEHVEVERRLDHDLSRFEMVYPGRGEDAGGYVFEDDDEYDQDAHVARLEEENLTLKERLFLMEQEVGDMRRRLEALEARGSRSRSSSSRAATATAAAAAMDTPTAKAAWNANYGVVSSGDRRLAFSRQLSSNSATPRLARSDSSISMPMPMPPLYQAPKPSKKLLRLATASRPMRRLALLLALNVAYSATELAIGLFTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRTKPDNLYTYGYKRLEVLAAFTNAVFLLFLSFSLAVEALHAFMQDESEHKHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRNAEDMNYHSVCLHVLADSIRSAGLILASWFLSLGIENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPGNVPPSAFAKCSRQITACEDVSEVWQGRFWELVPGQAVGSLSIRVKSGADDRAVLEHAHGLYQDLGIQDLTVQTDES >KQL32275 pep chromosome:Setaria_italica_v2.0:I:42034505:42041191:1 gene:SETIT_016613mg transcript:KQL32275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAPPPAAMPPPSEDPAAAPNAQPFLPPPNRGWKRKNPAAAYQPPALGDLQVQNRAKARRWFKSSNPNPNPRKYFFPKNKNKAAAPRNTTSFIIRAKRSGGIASLVSPCPVTPAVLPTPRLSPSREGLADMAQAQWGVDGYGSMKGLIRLRSSPQPANPNATAASDDDEEGNSSGSDVEEHVEVERRLDHDLSRFEMVYPGRGEDAGGYVFEDDDEYDQDAHVARLEEENLTLKERLFLMEQEVGDMRRRLEALEARGSRSRSSSSRAATATAAAAAMDTPTAKAAWNANYGVVSSGDRRLAFSRQLSSNSATPRLARSDSSISMPMPMPPLYQAPKPSKKLLRLATASRPMRRLALLLALNVAYSATELAIGLFTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRTKPDNLYTYGYKRLEVLAAFTNAVFLLFLSFSLAVEALHAFMQDESEHKCVPFMSKHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRNAEDMNYHSVCLHVLADSIRSAGLILASWFLSLGIENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPGNVPPSAFAKCSRQITACEDVSEVWQGRFWELVPGQAVGSLSIRVKSGADDRAVLEHAHGLYQDLGIQDLTVQTDES >KQL32277 pep chromosome:Setaria_italica_v2.0:I:42034505:42038573:1 gene:SETIT_016613mg transcript:KQL32277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGAPPPAAMPPPSEDPAAAPNAQPFLPPPNRGWKRKNPAAAYQPPALGDLQVQNRAKARRWFKSSNPNPNPRKYFFPKNKNKAAAPRNTTSFIIRAKRSGGIASLVSPCPVTPAVLPTPRLSPSREGLADMAQAQWGVDGYGSMKGLIRLRSSPQPANPNATAASDDDEEGNSSGSDVEEHVEVERRLDHDLSRFEMVYPGRGEDAGGYVFEDDDEYDQDAHVARLEEENLTLKERLFLMEQEVGDMRRRLEALEARGSRSRSSSSRAATATAAAAAMDTPTAKAAWNANYGVVSSGDRRLAFSRQLSSNSATPRLARSDSSISMPMPMPPLYQAPKPSKKLLRLATASRPMRRLALLLALNVAYSATELAIGLFTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRTKPDNLYTYGYKRLEVLAAFTNAVFLLFLSFSLAVEALHAFMQDESEHKHYLIVSAVTNLLVNLLGVWFFRSYARVNIVYRNAEDMNYHSVCLHVLADSIRSAGLILASWFLSLGIENAEVLCLGIVSVAVFMLVLPLFKATGNILLQIAPGNVPPSAFAKCSRQITACEDVSEVWQGRFWELVPGQAVGSLSIRVKPFDTELQSLL >KQL29612 pep chromosome:Setaria_italica_v2.0:I:22016906:22018938:1 gene:SETIT_016689mg transcript:KQL29612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDADAAPAAASLNHWNRLIQLAAASGSYADCLRLYAGSLVAAGLRGDASTFPSLAKSCAALRLPGLGRAIHARAFLSGAAVSRDAFVRTSLIDMYAKCGRLPDARRLFDETPRSSRTLVAWNCMVSAYGRSSQVEEAVAVFNAMRRAEVRPSGSTLVGLLSGCADSVSARNLGVCLYGLSVKSGLDADLLVSNSVLTMLVRGNQLGAARSLFDRVENKSVVTWSAMASGYLQAKDCIEVFDLFRVMRAAEQSMDSVLLANLTTAATLFGNLLVAKAVHALVIKGGFQCQNDLAASLVNLYAKCGDPLAAKEIFDSVHYKNAVLWTSMLNGYVECGCPDKALETFDAMLCAKVEPNKATLLAVLSACANLGYPNLGLQVEEHVIAMGLQSDLQVSTGLVDMYCKCGSIQHAREIFDSVSNRDLAIWSAMINGYACNGEGSEAVTLFSEMQNRGVRPDAIVFTHILTACNHCGLVDEGLNCFHSIAMEYSIKPSIEHYMCMMDLLCKAGHLSSAMKFFKEMPVQLRNQVLAPLISAHRAHGVDSSIEFVSEELLNLDSQDSGHCILISNMLSCLGEWKKARNYRRIISKQGLVKKPGCSYIELGA >KQL29420 pep chromosome:Setaria_italica_v2.0:I:15576345:15576590:1 gene:SETIT_019500mg transcript:KQL29420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQEVAKVKKKTQDSAELLGVLENLKGITSNQVASECVLQSYTSGNTQLVNRTDEQALSQF >KQL30734 pep chromosome:Setaria_italica_v2.0:I:32985041:32987643:-1 gene:SETIT_017113mg transcript:KQL30734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCFRCFSSKGKKGKVEKRREGTTAPASPAAASFPSTVSTGARSNLSFSTASSAVGMSLSEDSSAAVRAAASKSSGSASVSSARSIPELYEERGANSLREFGFRELRAATSDFSRLLKVGEGGFGSVYKGVVRLPGGSAGGTVVAIKKLNPNGHQGHKQWLAEVHFLGVVEHPNLVNLIGYCASQSERGPQRLLVYEFMPNKTLDDHLFNKAYPVLPWDTRLEIALGAAEGLTYLHEGLEVQVIYRDFKASNVLLDEEFRAKLSDFGLAREGPSADHTHVSTAVMGTLGYAAPDYVETGHLTTKSDVWSFGVVLYEILTGRRSMERHRPKNEQKLLEWVKQYPVESKQFSRIIDTRLEGHYSKQGTRKIAKLANSCLAKHRRDRPTMREVVESLKQAMQHKELNGDAGALGESSPPDEVSGKPTTEDVAVASARRRMLHLAALGENANSIARRRFMLMRAVAAPTPT >KQL28830 pep chromosome:Setaria_italica_v2.0:I:8357127:8359413:-1 gene:SETIT_017061mg transcript:KQL28830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLRSRQEPRRVSNGVIIGAMLLSLCVLSIVKARYCATPFGKAEDQLQEQMNASIRMETEESPARTPGEEEEDEEKEEVLPSTAPAVTKPAAVATPTTGGRDKKGKAKPTCYMTSKRSERCDASGDIRVDGNRSTIYVSGIDREWRTKPYARYHDPVAMAHVREYTLKPLGDGAPACTRNHSVPGFLFSNGGFSGNLYHDYTDVLVPLFISTHQFKRRVQFLLSGMKPWWVGKFTPFFRQLTRFDVIDVDNDQEVHCFPRIVAGATFHKDMGVDPRRSPGHVSVVDFKRALRRAFGLEREAASRGGATGAGKPRLLIISRRGSRRFLNEREMARAGAEAGFEVRVAEPDQHTDMAGFARLVNSADVMVGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTSVTFKEPAADMEVSYMDYEVKLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKAAYLDKQNIRMDLDRFKSALREAMSRLP >KQL28829 pep chromosome:Setaria_italica_v2.0:I:8357490:8358890:-1 gene:SETIT_017061mg transcript:KQL28829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASIRMETEESPARTPGEEEEDEEKEEVLPSTAPAVTKPAAVATPTTGGRDKKGKAKPTCYMTSKRSERCDASGDIRVDGNRSTIYVSGIDREWRTKPYARYHDPVAMAHVREYTLKPLGDGAPACTRNHSVPGFLFSNGGFSGNLYHDYTDVLVPLFISTHQFKRRVQFLLSGMKPWWVGKFTPFFRQLTRFDVIDVDNDQEVHCFPRIVAGATFHKDMGVDPRRSPGHVSVVDFKRALRRAFGLEREAASRGGATGAGKPRLLIISRRGSRRFLNEREMARAGAEAGFEVRVAEPDQHTDMAGFARLVNSADVMVGVHGAGLTNMVFLPRGAVLIQVVPFGGLEWLTSVTFKEPAADMEVSYMDYEVKLEESSLIDQYPRNHQVLTDPYAVHKQGWDALKAAYLDKQNIRMDLDRFKSALREAMSRLP >KQL28272 pep chromosome:Setaria_italica_v2.0:I:4713083:4713522:1 gene:SETIT_019143mg transcript:KQL28272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EPTRRAHAHIDTPANRSAARRRRRRQSPSRSERLRRRRRPERMGLGGRGVVGERWSQRVLWICALGSAVSLYFVAVERQAQNRARAVAEGLRALDGAGGGAGEDV >KQL29601 pep chromosome:Setaria_italica_v2.0:I:21739525:21740088:-1 gene:SETIT_019013mg transcript:KQL29601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRFVQFASTRPLRWFSPVQSLRFYLISLFHSYPLPPSIKGCKFRGRFLPLSYF >KQL28973 pep chromosome:Setaria_italica_v2.0:I:9312655:9314454:1 gene:SETIT_020362mg transcript:KQL28973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATIDPINMPKYPGKFFVKLKGYTTGKTHQLRICL >KQL30170 pep chromosome:Setaria_italica_v2.0:I:28567701:28570633:1 gene:SETIT_017526mg transcript:KQL30170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGALPLLRLPVLLALCLAVAACFCGGGNAAAAERKTVGVHELKRGDDFSIKVTNWGATLMSVILPDSKGNLDDVVLGYDTVAEYVNGTAYFGGLIGRVANRVAGARFTLDGKAYRLYPNDGNNSLHGGHRGFSKVIWTVMEHVGGGDSPYISLYYHSFDGEQGFPGDLDVYVTYRLSPPHVLSVRMNATARGSATPVNLAHHAYWNLGGHGSGPVLGETVQLLASRYTPVDAALIPTGALAPVAGTPYDFRAPAPVGARLAELLRGGVAGYDTNYAVDGADDSGTAATSLRPVARVRDGATGRAMELWADQPGVQFYTANGLGGVRGKGGSVYERYGALCMETQGFPDAVNHPGFPSQIVRPGQVYKHDMVFKFSF >KQL28877 pep chromosome:Setaria_italica_v2.0:I:8614849:8618067:-1 gene:SETIT_020046mg transcript:KQL28877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAIEALQSLQWILSAGTNIVEAIQLNNELERLRDTLPKARVLICRSEWGMFKDKELAKLVSRLKDTTYDAEDLLRELDDQVLRKRIEDADRSRAGQLLSSSLNLAKTLVRRSKTRIRETQDRLEKVVAEIEGMLNLMGLMSVEPSQIMPETSSVISAPEVVGRDGERDALAEMLGVMIGREVPRDQVIKLLGVPLTGNRGGTGRIAGSNGKRAAASNGVASTSRAKQPKGNGGRAGLAETKCTNNVSVISIVGIGGVGKTTLAQFIYNDPRVKHHFGVMIWVCVSDFFDKRRITKEIIESIPGEEYNSSSSLNALQIELMKRLKTCPKFLLVLDDIWPNANADWEAFYAPLKYGPKGSMILVTTRSPVVATRVTTSNCKPVQLEGLPTDILWDFFKKCAFGTNDPESYSQLQDIARSISTRLCGSPLAAKTLGRLLNMSLTERHWRAIQKSELWELRHEENEILPALQLSYLYLPEEVKRCFVFCSMFPKDYSFEREEIVDIWVAQGFVAPGGSIRPEDVGITYLDELRNRFLFQTDPMFPNKTRYVMHDLIHDMAVSFSMDECLVMQDLRNQNKSRMQNTVRHMSIEVDGESLSRMGDIQHLNKLHSLRFGIRFDVEITWFNQLSNILFLSLKGCKLVKLPDSICELNSLRYLDISHSNVQELPEKLWCLYSLQVFDASRSRLKKIHQDVTKLINLRQLVLPAEASRALSREEALEARLVEKQYLKELVLHFRDFGPILPCSTENGVLEGLRPHSRIECLKVHGFCGDRFPSWFKPEDLPTLITLELSECWHISSRIPFFADGTQVGLRGDDGTQHAAGSISRSNGIAPFAFSRLTDLRVYRCVWLTNLEQFLTPEKLPSIKSIVLDTCSSLTSIPFHSFVGFVCLRDLKIYYCEELECPQEMVLPPSLQRLCIGYCGELERSFPACLENLTSLTLLQLDGCHNIKCISLNSIGSNMLKCLVIHDCRELSSIGGLQSLVSIQHVDLHYCPKLTEVQLPFEKKELRTKEGKELLNFLSPWY >KQL29555 pep chromosome:Setaria_italica_v2.0:I:21239167:21240054:-1 gene:SETIT_019692mg transcript:KQL29555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLPPIALLLVLAGLTSPAAAFNITRLLGEFPDFTTFNNLLSQAKLADDINRRQTITVLAVDNGAAGGISSLPSDVQRKVLSMHVVLDYYDTAKLGAIKNHTTLLTTMFQSSGQATDRMGFLNFTKRSDGTMVFGSAEPGAPKNSQMVKSVASRPYNISVLQVSAPIVPPGVGGSADAGAPPPHKATALAPAPAAKGKKGAPSPKDEETPAPAPSDDDGGADAPDADAPGPAADGPAADGPTADGPGADGPAADAPAHKKSSDDAADAPEGSAAGRVVAGAGLGIVALLMAII >KQL31478 pep chromosome:Setaria_italica_v2.0:I:37829760:37830317:1 gene:SETIT_0191871mg transcript:KQL31478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HEEETHGSVGSGYGYGRKGHEDDDDDEQKAYRKPKPVYGDDGEHQAYRKPKPAYGDDDEHQAYRKPKPAAYDGDERPSYGRKKNGDDDDSDDDDKRKPRYKKYDDDDSDDDDKKKRYEKNNRRRHDYDD >KQL32027 pep chromosome:Setaria_italica_v2.0:I:40951107:40955241:1 gene:SETIT_017507mg transcript:KQL32027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAPALLHHRGVSGRGTLPVHHGDCRVPPGACFMGTSPMLGPMRVSLVCSASPNNHRPRNSDISRQQKGGSSRGKGKPYQDKDDSESIDEFDSDIMFSKNGPPISLASNSRPQATSAPGEREKEIVELFKRVQAQLRARGKSREDKKPEPAKVQGERGSVDSLLKLLRKHSVDQRRKSSDDKEQNFDLTRRSSDSGNRQSSTIFGTKSDTQEEQKKPPSAPFKRPASNFRRRSPVPGVKFHPVTNADADRKSIASNVADAVQQSKTVLDERTATDEPDSVSPYEPDSVISSENISLDDFDAISDDESDTEDLNEEYPEPSLEIADVTDTDESHDNSAEESSDLSSLKVAELRELAKSRGIKGYSKMKKNELVEILSGMA >KQL27683 pep chromosome:Setaria_italica_v2.0:I:91004:92146:1 gene:SETIT_019109mg transcript:KQL27683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKQLPARVEALSGLSAIPAEYVRPADERADLGDALELARTHADDHTAPRIPVVDISPFLLACSKDDDAKQQNEQLSECVEAVRAAAAEWGVMHISGHGIPGEVMDRLRAAGAAFFALPIEAKEAYANDPAAGRLQGYGSRLATNASGQREWEDYLFHLLHPDGLADHALWPAHPPDYVAATRDFGRRIRDLASALLAVLSVGLLGPGRGEALEKALITRAGEHEHDDDLRLQLKINYYPRCPQPELAVGVEAHTDVSALSFIIHNGVPGLQVRHGGRWVTARDEPGTIIVHVGDALEILSNGRYTSVLHRGLVNRDAVRVSWVVFCEPPPDLVLLRPMPELVTPDNPARFTPRTFKQHIDSKLFKKHQQLAVTDDDEQTN >KQL28165 pep chromosome:Setaria_italica_v2.0:I:3905842:3907230:1 gene:SETIT_017832mg transcript:KQL28165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACGVTFRSCRRRPTSGSSAWCDRTAWLAAGRGGEQGGWVGARRRWLLGTRCEKGRNSPACHLSPKSNKWQPSQKRPLGPGSSKLGPQYLIPGRDFARWACLMRGGPVKAGACPAHSTGARRFPGNNHTNSSRLLSPSFASRSISSPKSQIHTCPLPPINQTRRLPTGRPDLLGSLCTPHTLHAGSLLRLASEAAWRRLASHRRPWRRRRRWRRRRPREGGEGSTGRPLTGASPTRSWSPRSSPPTRFTRAQLRPAAPAAEQSRAHGVMEGILSCLVSWPSSVQPEFWIEFPSSKLHFSRLHLYGDGDRRPCILL >KQL29592 pep chromosome:Setaria_italica_v2.0:I:21663471:21669718:-1 gene:SETIT_016677mg transcript:KQL29592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLDRLARPCFEGPSSHDERKERKSDVGNSEEDRRTRIGSLKKKAINASNKFRHSLKKKNRRKSDIRGNSISIEDVRDFEELQTVDAFRQSLILDEMLPAKHDDYHMLLRFLKARKFDIEKAKHMWGDMLQWRSEFGADTIIEDFEYTELDEVLQYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTTMDRYVKYHVKEFEKSFLIKFPACSIAAKRHIDSSTTILDVQAVSLKNFSKTARDLMTRLQNIDNDNYPETLYRMFIVNAGPGFRILWSTVKSFLDPKTTSKIQVLGAKFQTKLLEIINANQLPEFLGGSCTCSELGGCLKGEKGPWTDPNILKMVISGKACCKRQIVAVSNDDEKIIAYGKLKYSAKRGSDTSTAESGSEADDITSPKAIRTYGKNPMLTPVREEQAKLMKAANVSAGYSEYDVCVPMIDKVVDGTYFSDNTKCSESRHARVIAWLVAFVMMVTTLFRAFFSRIPKNTLDKSSEVDDNLSAYSLDYSTTKEEFRPPSPAPEFTEADILSSVLKRLAELEEKIDVLQSKPSEMPSEKEELLNAAVRRVDALEAELIVTKKALYDALMKQEELLAYIDRQEFARFEKKKKFFCF >KQL29591 pep chromosome:Setaria_italica_v2.0:I:21663708:21667342:-1 gene:SETIT_016677mg transcript:KQL29591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAKHDDYHMLLRFLKARKFDIEKAKHMWGDMLQWRSEFGADTIIEDFEYTELDEVLQYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTTMDRYVKYHVKEFEKSFLIKFPACSIAAKRHIDSSTTILDVQAVSLKNFSKTARDLMTRLQNIDNDNYPETLYRMFIVNAGPGFRILWSTVKSFLDPKTTSKIQVLGAKFQTKLLEIINANQLPEFLGGSCTCSELGGCLKGEKGPWTDPNILKMVISGKACCKRQIVAVSNDDEKIIAYGKLKYSAKRGSDTSTAESGSEADDITSPKAIRTYGKNPMLTPVREEQAKLMKAANVSAGYSEYDVCVPMIDKVVDGTYFSDNTKCSESRHARVIAWLVAFVMMVTTLFRAFFSRIPKNTLDKSSEVDDNLSAYSLDYSTTKEEFRPPSPAPEFTEADILSSVLKRLAELEEKIDVLQSKPSEMPSEKEELLNAAVRRVDALEAELIVTKKALYDALMKQEELLAYIDRQEFARFEKKKKFFCF >KQL29590 pep chromosome:Setaria_italica_v2.0:I:21663471:21669718:-1 gene:SETIT_016677mg transcript:KQL29590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAKHDDYHMLLRFLKARKFDIEKAKHMWGDMLQWRSEFGADTIIEDFEYTELDEVLQYYPHGYHGVDKEGRPVYIERLGKVDPNKLMHVTTMDRYVKYHVKEFEKSFLIKFPACSIAAKRHIDSSTTILDVQAVSLKNFSKTARDLMTRLQNIDNDNYPETLYRMFIVNAGPGFRILWSTVKSFLDPKTTSKIQVLGAKFQTKLLEIINANQLPEFLGGSCTCSELGGCLKGEKGPWTDPNILKMVISGKACCKRQIVAVSNDDEKIIAYGKLKYSAKRGSDTSTAESGSEADDITSPKAIRTYGKNPMLTPVREEAKLMKAANVSAGYSEYDVCVPMIDKVVDGTYFSDNTKCSESRHARVIAWLVAFVMMVTTLFRAFFSRIPKNTLDKSSEVDDNLSAYSLDYSTTKEEFRPPSPAPEFTEADILSSVLKRLAELEEKIDVLQSKPSEMPSEKEELLNAAVRRVDALEAELIVTKKALYDALMKQEELLAYIDRQEFARFEKKKKFFCF >KQL31121 pep chromosome:Setaria_italica_v2.0:I:35767531:35770406:1 gene:SETIT_017109mg transcript:KQL31121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLLFVCLLAPFVLACAARGRRRRAAAPASACGKALPLPPGSMGWPYVGETFQLYSSKNPNVFFARKQNRYGPIFKTHILGCPCVMVSSPEAARFVLVTQAHLFKPTFPASKERMLGPQAIFFQQGDYHAHLRRLVSRAFSPEAIRASVPAIEAIALRSLRSWDGQLVNTFQEMKLYALNVALLSIFGEEEMRYIEELKQCYLTLEKGYNSMPVNLPGTLFHKAMKARKRLGDIVAHIISARRQRQRGSSDLLASFLDDREALTDAQIADNVIGVIFAARDTTASVLTWMVKFLGDHPSVLRAVIEEQEEIARSKGSADAALTWADTRRMRMTSRVIQETMRVASILSFTFREAVEDVEYQGYLIPKGWKVLPLFRNIHHSPDHFTCPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKLEMLVLFHHLATKYRWSTSKSESGVQFGPFALPLNGLPMTFTRKD >KQL31459 pep chromosome:Setaria_italica_v2.0:I:37655931:37657313:1 gene:SETIT_018322mg transcript:KQL31459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLGFPVYRFEQNNSAAAAKDPDSAFFKRLDGFQPCEVNELKAGTHYFAVYGDNFFKSASYTIEVVCAEPFSAEKERLRSVEAKIIAKRSELSKFESEYREVLAKFTEMTSRYAQEMQTIDELLKERNAIHASYTNNPTLQRSSSSSKGKSPSKGSKSEDDQTVKKEKKSKSQPMEESRSDDDATKNKKEKKPKDRIRRKKWFNIHMKVDKRRPC >KQL30850 pep chromosome:Setaria_italica_v2.0:I:33778643:33781951:1 gene:SETIT_016623mg transcript:KQL30850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRRASKAAKSTINYHRLSLDEHQLFEPDALITKDRDANHQPRQSPQLRRTYTDRRLTTPQFVSALTGIWNLVGQSESSGTTQRSESHGVSSRDDPMCFSRDQQGHILTPCCVESSSDLRSRNCLSTPKSIYEDLSSVKKMLMLAPFSSILGASSTWSDMHSISKIGGAHYLQYRNIYSMQTEKKEKSGTSQSSKRSICEETCAASTNMDIGDDNYSSQTRSTPAELCTSSNEEAKTAHDCESSLRDTESNLEIFHDDPNRSASSVHQIEIAKEGRIMLGNQISSKACIDAHLDTLTRMSRLVADDVVLDPANADQYAYGDDMSLQHSVDKWSHEHQPTFEHRCDGAVTINRHAVAGALAGTAVSVSLHPVDTVKTIIQANSSGQSSFYHTLRRTLVERGVLGLYGGLTSKLACSAPISAIYTLTYEIVKGALLPVLPEEHHSIAHCAAGGCSSIATSFLFTPSECIKQQMQVGSQYQNCWNALVGCLKRGGIASLYAGWGAVLCRNIPHSIVKFYAYESLKQSILKSAPENVKLSSGETLICGGFAGSTAALFTTPFDVVKTRVQLQALSPVSKYDGVLHALKEIFLQEGLRGLYRGLTPRLAIYISQGAIFFTSYEFLKTIMFPEQEVHASSF >KQL30849 pep chromosome:Setaria_italica_v2.0:I:33778643:33781704:1 gene:SETIT_016623mg transcript:KQL30849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRRASKAAKSTINYHRLSLDEHQLFEPDALITKDRDANHQPRQSPQLRRTYTDRRLTTPQFVSALTGIWNLVGQSESSGTTQRSESHGVSSRDDPMCFSRDQQGHILTPCCVESSSDLRSRNCLSTPKSIYEDLSSVKKMLMLAPFSSILGASSTWSDMHSISKIGGAHYLQYRNIYSMQTEKKEKSGTSQSSKRSICEETCAASTNMDIGDDNYSSQTRSTPAELCTSSNEEAKTAHDCESSLRDTESNLEIFHDDPNRSASSVHQIEIAKEGRIMLGNQISSKACIDAHLDTLTRMSRLVADDVVLDPANADQYAYGDDMSLQHSVDKWSHEHQPTFEHRCDGAVTINRHAVAGALAGTAVSVSLHPVDTVKTIIQANSSGQSSFYHTLRRTLVERGVLGLYGGLTSKLACSAPISAIYTLTYEIVKGALLPVLPEEHHSIAHCAAGGCSSIATSFLFTPSECIKQQMQVGSQYQNCWNALVGCLKRGGIASLYAGWGAVLCRNIPHSIVKFYAYESLKQSILKSAPENVKLSSGETLICGGFAGSTAALFTTPFDVVKTRVQLQALSPVSKYDGVLHALKEIFLQEGLRGLYRYKHLPEEYHAIAFELLFIPVRRSY >KQL31370 pep chromosome:Setaria_italica_v2.0:I:37142412:37145800:-1 gene:SETIT_019444mg transcript:KQL31370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPSWLIDCKRMASKIKNASGPTQHTTYTWNSNPTKECPKCNHIIDNSDIVDEWPGLPKGVKFDPSDQELLWHLLAKIGKVGVKPHPFIDEFIPTIDSNEGLCYIHPQKLPGVKQDGSVSHFFHRTFKAYSTGTKKRRKINTDELVEVRWNKTGKTKPVVIGGTHLGCKKIMVMYASNIKGGKQEKTNWVMHQYHVGTGEDEKDGEFVVSKLFYQQQPKAVEKNSEGMVELLEPVYAAVDLAACPPLMDWSALPFEEGNSNQETVQKSEHNSDQDKEDTNHPASEKVEDQDSHPSEDPKWWEGESQFLMNSQQLAECMSICDEFLQSQNSSGSGDEPSKSRPCLAEYAQLPADDLKKDLEECQKLDDSDNTNMEPECTPEFRLSQLDCFATTWIEGKI >KQL28090 pep chromosome:Setaria_italica_v2.0:I:3240878:3242713:1 gene:SETIT_019278mg transcript:KQL28090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRFVALALLTSFISVVAGQLRPLPAAGLPGDLFNLGIASRLRTDGNATARASTDFGQMVTAAPEAVFHPRTPADIAALIRFSASSPAPFPVAPRGQGHSWRGQSLAPGGVVVDMRSMGRGRRGARINVSAAGAEPYVDAGGEQLWIDVLRATLRHGLAPRVWTDYLRLTVGGTLSNAGIGGQAFRHGPQIANVHELDVVTGTGEMVTCSRDKNSDLFFAALGGLGQFGVITRARIMLETAPKRVRWVRLAYTDVVTFTKDQEFLISDRAREVGFNYVEGQVQLNRSFAEGPKSTPFFSATDLNRLAKLALRKGSAAIYYIEGAMYYNEDNPESVDQKMEALMDNLSFEPGFVFTKDVTFVQFLDRVLEEERVLRSAGVWEVPHPWLNLFVPRSRILDFDNGVFKGLLRDANPAGIILMYPMNKDKWDDRMTAMTPTDDEDVFYAVSLLWSALSVDEVVKLERENESVMNLCDKAGIKWKQYLPHHTSQDGWQQHFGAKWGKITELKAKYDPQAILSPGQKIFPSRAEAVGIATA >KQL30128 pep chromosome:Setaria_italica_v2.0:I:28275416:28281055:1 gene:SETIT_017272mg transcript:KQL30128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMAAAAAPTDAPAAAAAAAPHHHPHAHAAAAPPHPHAHAPHPHHHMPQPRWVVIPYPPPHHPMVAAPPPPPPQFVKHFAPPASVTPPPPPSAGSGGNGGEDNRTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRHSGVSEGYGFVEFYSHASAEKALQNFSGHVMPNTDRAFKLNWASYSMGEKRSELASDHSIFVGDLAVDVTDDMLLDLFSSKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKTHAMTEMNGVYCSTRPIRVGPATPRRSQGDSGSSPPRQSDADSTNRTVYVGGLDPNVSEEELRKAFAKYGDLASVKIPVGKQCGFVQFVNRADAEEALQGLNGSTIGKQAVRLSWGRSPASKQSRGDSGHRRNGNGMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPYYGNQQLVS >KQL29092 pep chromosome:Setaria_italica_v2.0:I:10257484:10257979:1 gene:SETIT_020613mg transcript:KQL29092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRRNFSENIVFAIKHPITETIATYCHQCTSTESLQF >KQL29454 pep chromosome:Setaria_italica_v2.0:I:16340135:16345350:1 gene:SETIT_020006mg transcript:KQL29454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEEKQHKLKKQCEAYNRRKDRDATQYQKICEKERQKYANMQPEQKKARIEQAITNRELRSSKTTKGSIAMENPPYMETDMSVEVSTSSLNVKHTKHVTPGERQTLLARQNKKFMKKQKRAIYSSSEEHVSMSEEDNDIEMQKQPEMMNLGNDRVIFVQDTNEDDYMFSCQEWDRDVDIEIREDEETSTKISDPYDIVYNNIPDNTNMLKNKENCIYCNAKKFYRSDPKHLELYFYDDDPSLEHRYRRCREEKYEQDKHVVTILTQILRENPYSKQFITLGQNEKLDDYKLILNLDQRLDQRTYNAPITSEVAAVWIEGNERRNTFGKNIILHGNNNEIQGIKSYYGCYDPLSYPLFFPRAELGWHSNIPRANTILEELRKDNHSNSYTDSSSKLWVTMREYYCYRFHARPSIFNPILHGGRLFQQFAVDTYIKIESSRLDFIWYHQKEIKADLYKGLLDSIQEGEQKGDKVGKRTVLASSFIGGPRDKLRRYLDAMALVRKYGKPDIFLTMTCNPNWEEITRELQFAQTPQDRPDLVVRVFRAKLEEMKNQLFKKHILGKVKVYTYVVEFQKRGLPHAHFLLIMTGKYKYTCQEQYDRIISAELPDKHKYPDLYKMVIKHMMHGACGILNKNCPCTKNRASCKNNYPRPFNETTIQGKDSYPLYRRRNDGRNETVQNCKLDNRWVVPYNPYLLRFFNCHINVEVCSSIKAVKYLFKYIYKGHDRASVSVTGADDEGEIDEIRQLQNARWVTPPEALWRIYGFELSKINPSVMQLQLHLPNMHMPRKVLAIEETESYIPGWQSSDNTIDDCMTEAELFHMPSSLRRLFATILVFCEPSNINTIWNNHLEAMSEDYSRNCKSKHMVQQMVLKNIRDMLQSMGKDIRSFPLPEIDEQQNTTNDVPREIIEESTIEVDQEDASLHTYLNKEQKAAYEKILAAVNIDSGGLFFVYGPGGTGKTFLYRALLATVRGQGKIALATATSGVATSIMPGGRTAHSRFKIPLRIDDGSILVFGGDFRQVLPIVRKGTRAQIVDASLRRSGLWNCMQQLELVHNMRAQKDPWFAEYLLRIGNGTEETNENGEICLPTNICVQHTTNGNGLDTLIDNIYQMDNALLKDPTYITSRAILSTRNDCVDNINLKMIERFQGDEMVYYSFDSVEDDPHNYYPIEFLDTLTPNGVPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNSIDTEIKKKKISTTETYTKNIVYTEVLTK >KQL32069 pep chromosome:Setaria_italica_v2.0:I:41199626:41200065:1 gene:SETIT_018505mg transcript:KQL32069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVCCLGRARGGPKVFMQHDCAACHSMLPYAGLAEAAAAGGGEVEARAAEIVVVHEEAQPEPAAATALHGGACPPDLSVIAKMLEGLRLSNLYTADELKKRMALPTPVWLQLLQPYMRN >KQL32068 pep chromosome:Setaria_italica_v2.0:I:41199333:41200284:1 gene:SETIT_018505mg transcript:KQL32068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARGLVSKLLQLQGNTHRLSGTGVGALAGFFSAAAAASASDGGSNNNACPAYPWPQDGARGGPKVFMQHDCAACHSMLPYAGLAEAAAAGGGEVEARAAEIVVVHEEAQPEPAAATALHGGACPPDLSVIAKMLEGLRLSNLYTADELKKRMALPTPVWLQLLQPYMRN >KQL28140 pep chromosome:Setaria_italica_v2.0:I:3728786:3729463:-1 gene:SETIT_018995mg transcript:KQL28140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLNSTKYHEGPTKVGTVQMFKESIASLLEMKHHNIENPTKSKMIFSDCLPKKSFTTK >KQL31450 pep chromosome:Setaria_italica_v2.0:I:37608872:37614508:-1 gene:SETIT_016716mg transcript:KQL31450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLRRRLPLLRLLRQLHTESAASASSSTTPPLPLQKPPAASSPSVATGSRRLGFLNATSLASARGASGSSSAAAYLAVGAAAALASLQVAYADGNEQGPVGPAVSADPTEGEDLARKEKRRIMELVQSRGMQRGSYPQFDVAMKGQKVVVKFNMPSTCNISHLIVDLVTHIGLEAEQHGGGSEVLVRAWDSPAARQITLNPPKKTSTGDLNEDGLCVLIFEPLIGSDYSEIEFIKRGSFSLKELEALISALKVAGEKDVKGSSGKGNKNTPRKGNGQRSKHVPSMEKVVSDLEAMGVRVYGFDETSSVPMDGTVIWENLAGYEPQKREIEDTILLALQSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSSARVIAKQAGVPLLYVPLEVVMSKYYGESERLLGSVFSLANDLPDGGIIFLDEVDSFAMARDSEMHEATRRILSVILRQIDGFEQDRRVVVIAATNRKEDLDPALISRFDSIICFGLPDQQTRMEIAAQYAKHLSKSELVQFSLATEGMSGRDIRDACQQAERHWASKLIRGQVSKDEKGEPSLPPIAEYVSCAEQRRKSLPDRTRWTSRSPALKLA >KQL27764 pep chromosome:Setaria_italica_v2.0:I:482961:486002:-1 gene:SETIT_019751mg transcript:KQL27764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLQFTCKKLPIPLLGFAVLLLISLASSCTEQEKNSLLQFLAGLLQDAGLAKLWQEGKDCCEWEGIVCSGNRTVIEVSLEHRGLEGSITHLLSNRTDSSVLQYFGLGNCSKLRVLKAGYNYLSGTLPDELFNATSLEHLSFPRNGLQGMLDGTHIFKLSNLAILDLGENKFSGMISDSIDQLKRLQELHLDYNNMSGELPSTLSNCTNLITLDLKCNNFSGELHKVGFSNFPNLKTLDLLLNDFSGTIPESIYPCRNLIALRLSGNKFHGQLSKGLGNLKSLSFLSLGNSFSNITNTLQIRSSKNLTTLLLGMNFMNETMPDNARIDGFENLRVLDMYGSLLSGEIPLWISELANLEMLSLQGNRLSGSIPAWINTLEYLFYLDISNNNLTGEIPTTLMAMPMLTSEKTVAHLDKRVFDLPVYHSPSLELRVPIALPKALYLSRNKFTGVIPPEIGQLEALISLNISFNNLTGPIPRSICNLTNLEQLDLSNNNLRGEIPAALENLHFLSDFDISNNDMEGPIPTGGQFFTFPNSSFAGNPRMCGPGLNHHCTSVEVGPPTGSRGLCGSNIVFPVSFNGTIQILRLGKPCFSNVSWIEE >KQL29687 pep chromosome:Setaria_italica_v2.0:I:23171907:23172320:1 gene:SETIT_019962mg transcript:KQL29687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NVAQIAGLNTMGISLPRVDYAPYGLNPPHTHPRGTEILTVLEGSLYVGFVTSNPDNELFTKVINKGDVFVFPQGLIHFQFNYGTKNAVAPAALSSQNPGVITVANAVFGSKPSIPDDILAKAFQLDKMTVDRIQAQL >KQL30167 pep chromosome:Setaria_italica_v2.0:I:28535451:28540656:-1 gene:SETIT_016642mg transcript:KQL30167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAGRWWAVVLAVAVLLGPGRVVANTEGDALYSLRQSLKDANNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAQLSGVLVPQLGQLKNLQYLELYSNNISGTIPPELGNLTNLVSLDLYMNNFSGNIPDSLGNLLKLRFLRLNNNSLVGPIPESLTNISTLQVLDLSNNNLSGQVPSTGSFSLFTPISFANNPLLCGPGTSKPCPGAPPFSPPPPFNPPSVPTPSTGASSTGAIAGGVAAGAALVFAVPAIAFAMWRRRKPEEHFFDVPAEEDPEVHLGQLKKFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERQPSEPPLDWETRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDRKVEMLVDPDLQNAYQETEVENLIQVALLCTQGSPLDRPKMSEVVRMLEGDGLAERWDEWQKVEVVRQEAESAPLRNDWIVDSTYNLRAVELSGPR >KQL31734 pep chromosome:Setaria_italica_v2.0:I:39451258:39451755:-1 gene:SETIT_020571mg transcript:KQL31734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLKVQAYGFEGVMHVLHIAARIWCLRRSWEGDLFTRRMHLLIEL >KQL29637 pep chromosome:Setaria_italica_v2.0:I:22359812:22363949:-1 gene:SETIT_016643mg transcript:KQL29637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPPHLRGVAPAPVAAAPSFLRLPVHTRLRVPAPRAAAASPVPASHSPHEHDADLLSRAADVADRSAGLTSPHPNFGCVIARPQRENDSAESFVVGEGFLYAQGTPCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAIGSLVQAGIARVVVGLRHPLKHLRGKAIQALRSEGIQVDVVGEDLQTKPFEGALKSCLTVNAPLLYRAAFNVPFSVLKYAMTADGKIAASSGHASWVSGKASRGRVFELRGRSDAVIVGGNTVRFDDPRLTARHVKGHVPVRIVMSQSLNLPEEANLWNVNEAYTIVATQRGARRDFQKKLAMKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVCAFVAPKIVGGVNAPTPVGELGMSQMTQAISFIDVTYEQIDRDMLISGFIQPIPDLSPVIPSVDKIPSIDPEVSPYETDIISFYKTWDTFGAFSNFSSHPIHMPDDNGDYFTWPTVEHYYQAHKFVGVDNPQARTIIQEIKLAKSPEEAARIGRTRQKEFPELVCLNIVLSFNLTMVGRDEGKS >KQL29636 pep chromosome:Setaria_italica_v2.0:I:22358937:22363949:-1 gene:SETIT_016643mg transcript:KQL29636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPPHLRGVAPAPVAAAPSFLRLPVHTRLRVPAPRAAAASPVPASHSPHEHDADLLSRAADVADRSAGLTSPHPNFGCVIARPQRENDSAESFVVGEGFLYAQGTPCAELLAAQEAGEHARGATAYLNLEPGDCYGDSTAIGSLVQAGIARVVVGLRHPLKHLRGKAIQALRSEGIQVDVVGEDLQTKPFEGALKSCLTVNAPLLYRAAFNVPFSVLKYAMTADGKIAASSGHASWVSGKASRGRVFELRGRSDAVIVGGNTVRFDDPRLTARHVKGHVPVRIVMSQSLNLPEEANLWNVNEAYTIVATQRGARRDFQKKLAMKGVEVVEFDMLNPRDVMSYCYDRGYLSVLWECGGTLAASAISASVIHKVCAFVAPKIVGGVNAPTPVGELGMSQMTQAISFIDVTYEQIDRDMLISGFIQPIPDLSPVIPSVDKIPSIDPEVSPYETDIISFYKTWDTFGAFSNFSSHPIHMPDDNGDYFTWPTVEHYYQAHKFVGVDNPQARTIIQEIKLAKSPEEAARIGRTRQKEFPELVRTDWETKKIDVMYRAIKCKFATYPSLTNMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMQLRSEILGTFPASVKAEDAA >KQL31485 pep chromosome:Setaria_italica_v2.0:I:37873470:37875818:1 gene:SETIT_017972mg transcript:KQL31485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLVAPPPMARPGRRRPSTSVHRRGRTLAALPYRCRCGRRHLIGASSAAALLPLVAPPSPAAPPIDPEVMLERVHPSRPDWYEEFYATAMDQGMKSYESEIAGYKAKLFSQLSATGKNILELGVGTGPNFKYYASDDGVNVIGVDPNKHMEDYARTAAVSAGLPPSSFTFKRGVAEALPAENSSMDVVIGTLVLCSVNNIDMALREIYRVLKPGGLYLFVEHVAAPDGSLLRFVQGAFDPLQQFVADGCHLTRKTGENIRDVGFSSLSLDSVRLSNAYIISPHVYGVASK >KQL29751 pep chromosome:Setaria_italica_v2.0:I:24142075:24147251:1 gene:SETIT_018483mg transcript:KQL29751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCRALALHPLPASAPRARVSAHRLRPSSVRVRCCASAGDRAEPPQEAVLKAISQIASSKGRVAQTTNVIMGGTVTDDATDEWLVLDKKVNTYPTVRGFTAIGTGGDDFVQSMVVAVESVIEERIPEGQISQKVSAKGKYVSVKIGPIRVVSSEQVQAVYNAMKKDMRMKFFL >KQL29029 pep chromosome:Setaria_italica_v2.0:I:9823531:9827506:-1 gene:SETIT_017300mg transcript:KQL29029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNICGGSGKADVAEDFRPSSPGTTMTSSKTSGSITTSQSTTGKLSSVGSSFMASAGSRSTGSGFDEGGKYLDGQILEAPNLRTFTFMELKAATKNFRPDSVLGEGGFGRVYKGWVDEKTMAPTKNGTGMVVAVKKLNSESMQGYEEWQSEINFLGRLSHPNLVKLLGYCFEDKELLLLFRRGCAPLSWELRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDANYNAKLSDFGLAKLGPTGSNSHITTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVMLEMLSGQRALDPNRPNGQLSLADWAKPYLADRRRLARLMDPRFEGQYNSKQAFQAAQLTLNCLAGEPRSRPSMKEVVETLEQIESMKSRAREARGGGSGSSRDRHHGRSAAAHQRSSPRAGGEPRGRGGSRAANGHAAKAR >KQL27782 pep chromosome:Setaria_italica_v2.0:I:634306:635476:-1 gene:SETIT_018142mg transcript:KQL27782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAYARAAFVLALGCALAAVAAGQEWLRAHATFYGGADASGTMGGACGYGNLYAQGYGTRTTALSTALFGDGASCGQCYKLVCDRKTDRTWCKPGVSVTVTATNFCPPNWSIPSDRGGWCNPPRPHFDMAQPAWEKIGVYRGGIIPVIYKRVSCVKKGGVRFAVNGHDYFNLVLVTNVAGPGSIRAMDVRGSRSPDWMPMARNWGANWHSLTYLTGQGLSFRVTVTDGQTIVFANVVPPNWRFGQSFASNLQFKL >KQL31880 pep chromosome:Setaria_italica_v2.0:I:40252252:40262503:1 gene:SETIT_016304mg transcript:KQL31880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLRIQAPSSTASPLNMVGPSRNPVSCEPQYPRIPTPWGRFVYPIAQRFAFSPRTIAVNAAASMAAAPEERLDVLNAAGEKTGVSKPRSEVHRDGDYHRAVHVWIYSESTGELLLQRRADCKDSWPGQWDISSAGHISAGDSSLFSAQRELEEELGIKLPVDAFELLFVFLQECVINNGTYTNNEYNDVYLVTTLTPIPLEAFTLQESEVSAVRYMCFDEYKSCLAKESGEYVPYDVNGQYGQLFNIIEERYKDNTESRSLTLQKQISRYAPIHLEPDLTTLSEGDREALGYILKAAIVIDDIFYEQVWNSNRMLRDWLKGHSESSAFDKLKWAYYSINKSPWSCLDENKAFLSTADSAVKLLTDATKPVSGWKGVQYRAAFPLDKPPGAKFYPPDMDKKEFELWKSSLTDKEQKEATGFFTVIKRHDSLSSPSLTQSDGSDQTKTSDDLFIVPYSKEYRSSLEKAAELLEKASVCSDSPSLKNFLRTKANAFLSNDYYESDIAWMELDSNLDVTIGPYETYEDALFSYKATFEAFVGIRDDTATSQVKLFGDQLQDLERNLPMDNIFKSDSVSAAPIRVINLLYNSGDVKGPQTIAFNLPNDERIVNERGTAMVMLKNVSEAKFKHILKPIADACIREEQKEYVDFEPYYTHIVCHECCHGIGPHSITLRSGKKSTVRLELQEFHSALEEAKADIVGLWALNFLIKKGLLPKSLSQSMYVSFLAGCFRSIRFGLEEAHGKGQALQFNWLYDKGAFVLHSDGKFSVDFTKVEDAVESLSREILTIQAKGDKAAAQSLLQSRATLTEPLRVALEKIEHMQVPVDISPKFGTANKLLGNI >KQL31833 pep chromosome:Setaria_italica_v2.0:I:39997300:39998086:-1 gene:SETIT_018965mg transcript:KQL31833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLNKAIYSSSFHLSKDTICREKRIAVSSSEPCLFYCAHLTCASRMKRVKTYLHYSPTVPIKR >KQL27859 pep chromosome:Setaria_italica_v2.0:I:1263727:1264750:1 gene:SETIT_018670mg transcript:KQL27859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCIQQSSSSSGSRGAFAGEGRRPAAHQLQRSGGGRRRSASGDDEEEGQEGAAPPPPVVKMKMVLTKGELGWLVARLNAGDRRLEDVLHEMAACKREGRAGAADGWRPSLESIVECPAETATEAAAAANSADD >KQL29438 pep chromosome:Setaria_italica_v2.0:I:15791825:15800346:-1 gene:SETIT_017192mg transcript:KQL29438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEPFNRVVRLTARAFYDDISMKGDNQPKSSRGDNRGVAVVILDALTKKQWFREEDLAKALKLHSKQLRRILRFFEEEKLITRYHRKESTKGAKGCNAAASAASDDQPVTKERQEKVKIHTHSYCCLDYAQLCDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSSFDALQLVSYIDEYFHCESCNGELVAESAKLASEEMGDGIDNVRKCRHEKLKGMLQRVDEQLKPLITQLDRVKNLPAPEFGSLKSLERAILGAFTNCDIGASDSSRNSQGPYGMLIRKTEVEFEIIDGVKEGAESGKNGTELKVLPPWLNLEKKQMGETCILELVETTEDKNDQNQDPKDKSIQEEYVKAYYEALMKKQEVEDAKGIMQEEDEKVVSDSQSERQLGKKYKWQDAEEGTEWEEEQPAGNAAETYKSADFSVDVQESGDGEDGIVWEEG >KQL30621 pep chromosome:Setaria_italica_v2.0:I:32238726:32239574:-1 gene:SETIT_019136mg transcript:KQL30621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDEIANFAAVLLPPRAHGEHDGDACPQWDDDEDVPRWDLRMEFASCYLKHHDEDAHFGHAETCVVGVADGVGCYRDRGVDASSFAQGLMRSAHEEAVNAAPGTHVCPHTLLERAYQKTAASGTPAASTATIVSLVGRSLRWAHVGDSGFAVFRDGRLLLRLRKQQRRFNCPVSLKTEGGGAGVADAEVGEAPARAGDVVVVGTDGLFDNVFDDELERIVQMGVALGFSPLNMAEVVAAFAHEAARCTYRDTPYSVEKRKQKGAASTCGKPDDITVVVALIVS >KQL29949 pep chromosome:Setaria_italica_v2.0:I:26905716:26906039:-1 gene:SETIT_019950mg transcript:KQL29949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYEEEQEGQAEPQAKAMGVDASDAPYLDLQGDREKQAYAILKDRAFGHTKAYEPELLEKIGMDIDFASVWSAIGWDEFSSVEELGSRPLTIQFLCALREVTNGVSF >KQL30763 pep chromosome:Setaria_italica_v2.0:I:33216564:33217005:1 gene:SETIT_020332mg transcript:KQL30763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLQDDKTADRRCKGRGKHRTDLLRVLLLYRIAECSKIRFAG >KQL32223 pep chromosome:Setaria_italica_v2.0:I:41855044:41856649:-1 gene:SETIT_018960mg transcript:KQL32223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFYCSHCSYRGEEHLYQGTRRFVDISLYIRGESSLDIFGQSVVWLLQILSSVYHYVIIVDVEP >KQL28894 pep chromosome:Setaria_italica_v2.0:I:8719126:8720496:-1 gene:SETIT_019748mg transcript:KQL28894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSAPDLLEEYVEKVEERKQEERLRDARLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWSAGTVAIVGSFGVTLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGKRLGLWIIVPQQLIVEVGTDVVYMVTGGQSLKKVYDLVCAGRCKDIRLAFWIMAFAAVQLPLSQFPNFNSISAVSAAAALMSLTYSMIAFFASAFKGAHAGAAADYALRAPTTAGKVFGVLNALGAVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWRGVIVAYAIVGLCYFAVAFAGYYAFGNTVDPNVLISLEKPRWLVAAANLMVAVHVVGSYQVFAMPVFDMMETVLVKKHRFTPGIGLRLVARSAYVLATMFVGMTFPFFDGLLGFFGGFGFAPTTYFIPCIIWLMLKKPKKYGFTWFFNIFCILIGVLLMIASPIGGMRQIIHDVNSFKFYS >KQL31970 pep chromosome:Setaria_italica_v2.0:I:40717716:40719727:-1 gene:SETIT_019384mg transcript:KQL31970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTGKKEGSRPCGKAGLWVGHEHDCMSRSLMLKCAHLTVAVP >KQL29401 pep chromosome:Setaria_italica_v2.0:I:15118962:15119868:1 gene:SETIT_019313mg transcript:KQL29401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGLTDGGQRTAADERRRRCVSRQVERENAAMRCVRRLRVGEPTPDGSREQGRRSDHAAGSAAAAAAAAAAQTHGSDFDSIDPLFHLLRVLPFSFLRPPRTRLRLPSNLALPSPMTVFSLILLTYFAVVSGLVYDVIVEPPGIGSAQDPATGAVRPVVFLPGRVNGQYIIEGLSSGFMFLLGGIGIILLDLAADRTRPRSLRVSFGGSGAVAVVIAYAMAMLFLRIKIPGYLW >KQL31490 pep chromosome:Setaria_italica_v2.0:I:37904962:37908092:1 gene:SETIT_017037mg transcript:KQL31490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPAQLTFGHVELNRKILLPEARPSPRIPATMTSLSSAVALPSSCRARPAGGSRRARLLVTRAAASSPKLPNGRRLRVAVVGGGPAGGAAAEALAKGGVETVLIERKMDNCKPCGGAIPLCMVSEFDLPLDLVDRKVRKMKMISPSNVAVDIGRTLAPHEYIGMVRREVLDAYLRSRAQSAGAEVVNGLFLRYEAPKEPNGSYVVHYNQYDSSNGKVGGEKKSLEVDAIVGADGANSRVAKDMGAGDYEYAIAFQERVKIPDDKMVYYEERAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQAATRLRAKDKIEGGKIIRVEAHPIPEHPRPKRVSGRVTLVGDAAGYVTKCSGEGIYFAAKSGRMAAEAIVAGSANGTRMVEESDLRKYLAEFDRLYWPTYKVLDILQKVFYRSNAAREAFVEMCADDYVQKMTFDSYLYKRVVPGNPLDDIKLAVNTIGSLVRATALRREMEKVTL >KQL28192 pep chromosome:Setaria_italica_v2.0:I:4201730:4203285:1 gene:SETIT_017538mg transcript:KQL28192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLAMAGPVILLLLCTMCRLALGITDGLLPNGNFERGPLPSQLRGTRVLGSSSIPSWQTSGFVEYIPSGQKQGDMLLVVPEGAYAVRLGNEASIRQRLRGAAPGARYSLTFSAARTCAQAERLNISASGQSGLLAIQTTYSSNGWDSYAWAWVAAVDSSGEVEVSIHNPGVADDPACGPLIDSVAVKALNPPRRTNRNLVKNGDFEEGPYILPGTKWGVLIPSRVVDDHSPLPGWMVESLKAVRYVDGGSFAVPRGRRAVELLAGREGAVAQVVRTVPGRRYALSFTVGDAGNACRGSLVVEAYAGRESVKVAYESEGKGGARRAVLPFRAAAARTRIVFFSSFYSTRSDDLSSLCGPVLDDVAVVSVRAKRG >KQL32090 pep chromosome:Setaria_italica_v2.0:I:41283013:41290921:1 gene:SETIT_019126mg transcript:KQL32090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAAAARLRELAPAPGAELDAPGAAALAECCVGLLRTGGGGGDTEATREALEALCAAGGGEAMRGHADGLAPLVVARLGDGDAAVREAARRFLVLLMEMKEMNARTESTHPNSCMSDTDDQHVHCATIKMEFSDTSQVRKSSKEKISTRDISLLAGEGDITRKLIEPIKVFSEKDLLREIEKVVSTLQPDNEWSIRITAMQRVEGLVLGGAADYSAFPMLLKQLVTPLITQLLDRRSSVVKQACHLLNFLSKELLRDFEPYAELLIPVLLKNVVITILVIAESADNCIKENDRSAVLRARCCEYAILMLEYWVDTPEIQRSADAYEDLIKYCIADATSEMINDEDAETHQRHLPPVESVKLSQPQLSSCTPAVMDKVVKVDSETSFSSGDLQPSQRLYHQYDDMASKAQDQSSKDDTLAIGSSFEDKTTLGKEENTNRDTGKCDSDKSAGVNSSSCDLPSAAPIATGAPSEMPLTEADVVTIVQDKVECVPNAEQITPQQVQGSEDPSELTSLSPAVNLRGSGNLLKQNPIEESSDAGSGGKLGPQQERKHCFSTPKKSAVSKEPRNSYTPNFRRPLLSKQMTNWFYASTKSDLDEKKLILGEMISNMDLPSSLTEALSLGLNPRSDWMMKVYALDFLKQCLLERGPKGIQEVAQNFEKVMRLVCRYLDDPHHKVAQAALSSLAEIMPAFKKPFEHYLDKTLPHIFSRLNDPKESIKQQCLAILKHASEIYFIDSLLPALLRSLDEQKSPKSKLAVLEFANASFVKCTINSESYSSSSFLKPWFGKLALLFKDKSKKLKEVVVVGFSSIYSHYDPASMLSFLVSMSMEEQKRLIRAMKQLIPKIESDIEEFLQQRRHKQRAPSFDIFTAKSPLHPASQSAKSPLHPAYRSAKSPLRHTYQYAKSPIHPTYRSAKSPMHPAHQSNSVKTDDCFSSALQCLPNISLEAQECCSERIEFESSNKSYDHKAEMMDKKSSTLRPRNSLPRRIDFSVISDNIVQSARRDSQTMKMFDEPNASELSINIRNHEVTGNGCQDHKDTVRQPEEVSEMNGHCVPTKNLHQMSSSLLEMLDDPDVPTRELALSLLVEILEKHRKAMESCVEILIVKLLHATKDAALKVVNQAHICLTTVVTQFDPLRCLGAISSQLACQDEKILIISINSLSKLVIRLSQENLMAHLSTFLPALLDAFENHSPYVRKAVMVCVVDTYLKLGPSLLPYLEGLDSAQLQLVTTYASRLSQPRFIAADG >KQL28166 pep chromosome:Setaria_italica_v2.0:I:3909585:3920476:1 gene:SETIT_016125mg transcript:KQL28166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKVHPGHPLPSLIACPFPFPPPLPHPSVTPTHSHFFASSRRHQPPSWFPPLTSSRHPLRRGAAWRAHGLAVVQTASGEWHARAHGRRRGVATARPRRGLWPQRCAGVQAWGLPRLRHGRGGVRDTGDPGPRRPAATRRWRRPTDYATLPRRKEQGEVSGSRQRDGGERRDDDFGRRSGRRLQLPFHERPPSSFSSFSSPLPPFSSIPLPPHGPLLPLSRVGGGGWAAPEEDGEGWAMWPAFANLPTSPRAAPAPPPGPAARGHRPRSAAPRAPTQLPRSANPRSTASHGCASARRPPRVAAPAVAVAGATMPLRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRMWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSVGADNEIADGDRLPLAVKELGSCDLYPQTLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAVRESTSRIKIYSKNFQEKKSIRPSFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVMRGDFDRANDVLSSIPKEQYDSVARFLESRGMLEEALEIATDTNYRFDLAVQLGQLEIAKAIATEVQSESKWKQLGELAMSTGKLDMAEECLLHAMDLSGLLLLYSSLGDADGITKLASVAKEQGKNNVSFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNPKAAESLADPNEYPNLFDDWQIALNVEATVAPKRGIYPPAEEYMNYAERSNESLVEAFKNMHVDEEVLPDDNEDTVHEVVEDGGVEESQEDAVEVDAEDDVVEESQDDGVEVEAEGSTDGAVLVNGNSSEEQWGTKNEEPSA >KQL28168 pep chromosome:Setaria_italica_v2.0:I:3909585:3920476:1 gene:SETIT_016125mg transcript:KQL28168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKVHPGHPLPSLIACPFPFPPPLPHPSVTPTHSHFFASSRRHQPPSWFPPLTSSRHPLRRGAAWRAHGLAVVQTASGEWHARAHGRRRGVATARPRRGLWPQRCAGVQAWGLPRLRHGRGGVRDTGDPGPRRPAATRRWRRPTDYATLPRRKEQGEVSGSRQRDGGERRDDDFGRRSGRRLQLPFHERPPSSFSSFSSPLPPFSSIPLPPHGPLLPLSRVGGGGWAAPEEDGEGWAMWPAFANLPTSPRAAPAPPPGPAARGHRPRSAAPRAPTQLPRSANPRSTASHGCASARRPPRVAAPAVAVAGATMPLRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRMWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSVGADNEIADGDRLPLAVKELGSCDLYPQTLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAVRESTSRIKIYSKNFQEKKSIRPSFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVMRGDFDRANDVLSSIPKEQYDSVARFLESRGMLEEALEIATDTNYRFDLAVQLGQLEIAKAIATEVQSESKWKQLGELAMSTGKLDMAEECLLHAMDLSGLLLLYSSLGDADGITKLASVAKEQGKNNVSFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNPKAAESLADPNEYPNLFDDWQIALNVEATVAPKRGIYPPAEEYMNYAERSNESLVEAFKNMHVDEEVLPDDNEDTVHEVVEDGGVEESQEDAVEVDAEDDVVEESQDDGVEVEAEGSTDGAVLVNGNSSEEQWVLTPEQ >KQL28167 pep chromosome:Setaria_italica_v2.0:I:3909585:3919710:1 gene:SETIT_016125mg transcript:KQL28167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKVHPGHPLPSLIACPFPFPPPLPHPSVTPTHSHFFASSRRHQPPSWFPPLTSSRHPLRRGAAWRAHGLAVVQTASGEWHARAHGRRRGVATARPRRGLWPQRCAGVQAWGLPRLRHGRGGVRDTGDPGPRRPAATRRWRRPTDYATLPRRKEQGEVSGSRQRDGGERRDDDFGRRSGRRLQLPFHERPPSSFSSFSSPLPPFSSIPLPPHGPLLPLSRVGGGGWAAPEEDGEGWAMWPAFANLPTSPRAAPAPPPGPAARGHRPRSAAPRAPTQLPRSANPRSTASHGCASARRPPRVAAPAVAVAGATMPLRLDIKRKLAQRSERVKSVDLHPTEPWILSSLYSGSVCIWNYQTQTMVKSFEVTDLPVRSAKFIARKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTQPFVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPELPIIITGSEDGTVRMWHSTTYRLENTLNYGLERVWALGYMKGSRRVVIGYDEGTIMIKIGREVPVASMDSSGKIIWAKHNEIQTVNIKSVGADNEIADGDRLPLAVKELGSCDLYPQTLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSTDGEYAVRESTSRIKIYSKNFQEKKSIRPSFSAERIFGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVTIASDTSFYILKYNRDVVSSHLDGGGSVGEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTLFHLDRPMYLLGYLANQSRVYLIDKQFNVVGYTLLLSLIEYKTLVMRGDFDRANDVLSSIPKEQYDSVARFLESRGMLEEALEIATDTNYRFDLAVQLGQLEIAKAIATEVQSESKWKQLGELAMSTGKLDMAEECLLHAMDLSGLLLLYSSLGDADGITKLASVAKEQGKNNVSFLCFFMLGKLEECLQLLIESNRIPEAALMARSYLPSKVPEIVALWKKDLQKVNPKAAESLADPNEYPNLFDDWQIALNVEATVAPKRGIYPPAEEYMNYAERSNESLVEAFKNMHVDEEVLPDDNEDTVHEVVEDGGVEESQEDAVEVDAEDDVVEESQDDGVEVEAEGSTDGAVLVNGNSSEEQWGTKNEEPSA >KQL28710 pep chromosome:Setaria_italica_v2.0:I:7633756:7647523:1 gene:SETIT_016066mg transcript:KQL28710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDQSAILFAEGAIKSIKLSLSTEEEICTYSINDCPVNHPSQLANPFLGLPLEAGKCEACGASENDKCEGHFGYIELPVPIYHPCHISELRQLLSLICFKCLRIKKGKVNKQNGKETASATLSCYYCRDIPALSLKETKTTDGAIRLELRAPPRKHMTERSWNFLDKYGFHYGGSAHSRLLLPEEALNILKKVPDDTRRKLAARGYTVQSGYVMKYLPVPPNCLYIPEFTDGQSIMSYDISIALLKKVLQKIEQIKRSRSGSPNFESHEEESCDIQLAVGQYIHLRGTTRGPQDNSKRFAVGTDTAALSTKQWLEKMRTLFISKGSGFSSRSVLTGDPYIGVDVIGLPSEVAKRITFEEQVTDININRLQEVVDKGLCLTYRDGKDTYAITVGSKGHTTLKVGQTISRRIVDGDVVFLNRPPSTHKHSLQAFYAYVHDDHTVKINPLMCSPFSADFDGDCVHIYYPQSLAAKAEALELFSVEKQLISSHSGQVNLQLGNDSLVAMKAMSSRTMLSKELANQLAMFVPFSLPAPAVIKSIPAWTITQIVQGALPAKLTCQGKTHLVRDGNIIKLDLDKDHVQDSFNDLVSSVLSEKGPGEALQFLNVLQPLLMEFLLLDGFSVTLKDFNVPKAILEEVHKNIQKQSLILEQSRFSKSQIAEMRVENNLKGVKQQISEFVIKCSDLGLLIDPKKEASMSKVVQQLGFVGLQLYHEGKLYSSRLVEDCFSNFVNKHSDIGDEHPPEAYGLVQSSYFHGLNPYEELVHSISTREAIVRSSRGLTEPGTLFKNLMAILRDVVICYDGTVRNTCSNSIIQLKYKEDDETDFPSALPPGEPVGVLAATAISTPAYRAVLDSSQSNNASWESMKEILQTKVGYKNDMKDRKVILFLNDCSCPKKFCKERAALTVQSCLRRVTLADCATDISIEGERQKYVVCIFRHQKQINLDETSEAAPTLVGHIHLDKAQLERINISTQDILQKCEEISGRYGKKKGHLCHLFKKITFSTCDCSFAQNTIDGYLHVAPCVQFSFSDDNTILSESVERAVNVIADSVCSVLLDTIIKGDPRIQSAKIIWVESEATSWVKNTQNTLKGEPTLEIIVEKDEAVRNGYAWRTVMDACIPVLNLIDTRRSIPYGIQQVKELLGISCAFDQVVQRLSTTVKMVAKGVLKDHLILVANSMTCTGNLIGFNTSGYKATFRSLKVQVPFTESTLFTPMKCFEKAAEKCDSDSLGCVVSSCSWGKHAAIGTGSSFQILWNENQLKSNKEYGDGLYDFLALVRTDQEKAGYAFLDDVDYLVEENAVDDVCLSPEPDGTHGKPTFEDNVEEQGIQNGSSWENDTTMNSSWEQNASAGNDSGDWGGWSNGAAAATKPLDQDNSCWDAHATVENNSTDWGGWGTEKQTNGEQAEINTWADKGAGMESSAGDDNWEMKSSAPEKSKKNLDHDTWGNMPAPASASASENTWGEQKGDGGDGAWEKQTGSCKEQGMDVDQDSWGKKTMPPSSNMWDKKKSDGVHGNWEEQPSSWNEQMLNVDQDSWGDAREKNNSHAGDSRWPEQASTYKRKRTNADAGSWDNMAMAPSNNAWDAGEGFGRSITKSDAGSSWGNKDKMETDEHSKIPKEFDPWNTGKSNESSWEKTDALQDSWGNSAADNNNTQEGSWDKIAVKDTNSQQDSWGNVAVQNKNTQNGSWDNGAEKVPTSDAQDSWGNLAATPAGNSDAKQSDSWDGWNAAPAENSQGKCNETTDSGDNKGWKSEGWGGKSGNWSGQRNNSGRPPRGPDERGPPPPRQRFELTAEEKNILLEIEPIMMRVRRIFREACNGVRLQPEDEKFILEKVLENHPEKQSKVSSAIDYITVDKHETFQDTRCFYVVSTDGSRADFSYFKCLENFVRKSFKEDADTFCMKYLRPRRRQAPPADGGVAPGAPAEAPPSAAAETEQWTPVPPAEVPLSAAAETEQVTPASPSEVQQETLGSPASALEETPEPDSTGDAGIQEKQPDPTHPASPAAAAPEEAPEQDPTDNGGILAKGPDSTPASPVVALQVAPDPDSTGPDLND >KQL29873 pep chromosome:Setaria_italica_v2.0:I:25809585:25811981:-1 gene:SETIT_019566mg transcript:KQL29873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPHLLPRSSTIISSAVNPASPHNGIHRSSSSIPNAATTPAAAAQLSLLRAHARAGRMRPAREVFDAMPAPGRSLVAWTALMSGYATHGPATEALELLLCMLGLHLRPDAFVFSVALRACAAVGSLRLGRQLHGAVAKLGYVGADLFVANGLVTMYSSCQSLRCAEKVFSGIAAPDIVSLTSMLSAYTENGCDAEALMLFMEMVCDGVACDAFTLSVALMAASSLGHVGLGHQLHCCMIKMGLVGNEFLDNCLIGFYGRSGELLLMRKVFDEMDCKDLVSWNTIIQSYAGNLCDKEALAHFRAMMFECAECDEFTLGSILHVVTRRGAFDHGMEIHGYLIRAGLDSDKHVMSALMDMYVNRATLHKRHQMFPLRMLKYYLSAQGELDQFIVASSLKSCASDLDLAAGRMLHACILKSSMNPDSYVTSSLVDMYAKCGALEESNLLFSRTKNPGTAAWSAVIAGNCLNGQYGRAVHLFRRMQAEHVQPNEFTYTAVLTACMALGDAVSGMEIHSNSIRNGYGSNTSILKSLVNFYLRQGRYHQALKLCLSLSNHEVSWGTLVEAFYQAGDHVGIVNLFHVIQCCGVEVDHHTARLILSSCGKLALLEEGLQAHAYMTKRGLASTACMNTHLINMYSNCGSLRHAFDAFNYMPDKDASSWTSIITANVENGCPETAVRLFSQMLSKEKYHPTSKAFLSALKACAKTGLVSEAFRFFVSMTDVYKIQPSEGHYSHMIEVLGRAGMFKEAEHFIDSVVPSESCASARSLLCATRQNGNDKTVKLEADKLAIARLVPGAR >KQL30983 pep chromosome:Setaria_italica_v2.0:I:34849058:34853728:-1 gene:SETIT_017488mg transcript:KQL30983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAWRVSQRAVTFTSPLGIGRSQIRNPFPAMASLSPAAASSPKRLRVYSSANVNGDGAGSGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDSQILDTLDAVLDVGGVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVNEDHEDVHRLYLAIYKSFVEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLFSRSKVDPSSEIMVLDRFCPDERSKSWRVQAVAVAPDRFESRKALPEKWRGMRDDELSAETGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >KQL30984 pep chromosome:Setaria_italica_v2.0:I:34850043:34853723:-1 gene:SETIT_017488mg transcript:KQL30984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAWRVSQRAVTFTSPLGIGRSQIRNPFPAMASLSPAAASSPKRLRVYSSANVNGDGAGSGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDSQILDTLDAVLDVGGVYDPSRHRYDHHQKGFNEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVNEDHEDVHRLYLAIYKSFVEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLFSRSKVDPSSEIMVLDRFCPWKLHLFELEEELKVDPLTTYVLYQDERSKSWRVQAVAVAPDRFESRKALPEKWRGMRDDELSAETGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >KQL30414 pep chromosome:Setaria_italica_v2.0:I:30405882:30407309:1 gene:SETIT_018056mg transcript:KQL30414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRQHRISYDIFKSMRHMKMLMKPDNLWMKYVFSVILTGCYGRNISTAHSPSNDRNHISCPSNEQVQWSIVSTSDPVGPQMPRRWSRSAGRHRYEGPATRTSQLHQDISSFVHRLTEHPSFTETEQSDRKANRYATRCKNARRRPCSGSPRPSWGSPRSTPSTRLFVVPAAVMFLSGAERNKGGIHRDLSAARAQQRRPRLRRRLLRVHGRNLRVGVHRGRHRRRVRDRGLRRHHAAQGPDGAAATLGVAMGQQYPAQPPYGGCSAKQPGGTTA >KQL29661 pep chromosome:Setaria_italica_v2.0:I:22771432:22771993:1 gene:SETIT_020606mg transcript:KQL29661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLKVMRCSASLFSPKRTALHCLVRTYQHSRFLATTMKSSLRHQQV >KQL29366 pep chromosome:Setaria_italica_v2.0:I:14430065:14430522:-1 gene:SETIT_020580mg transcript:KQL29366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGAMLDAAAVPDGRPRPRRGGKGAAMTRKRGGG >KQL31377 pep chromosome:Setaria_italica_v2.0:I:37175282:37176736:1 gene:SETIT_020049mg transcript:KQL31377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSAQLRRLKPLYQLEVNNILAIVTEPFAAAAVLKAAELGPGEILERLRALRPAHMFLAAFLRAAAAALYLRLRPRAVYLVDYACFRTNPNCRVPFATFLEHSRVWPGFDERSVRFMTRLLERSGLGEETCLPYAQHYIPPSRDLESSRAEAELVIFSAIDDLLAKTGLSPQDIDILVVNCSLFAPTPSFTDMIMRRYKLREDVRAVHLAGMGCSAGLISVELARNLLQVAPRGAHALVVSTETITPNYYMGQERAMLLPNCLFRMGGAAALLSTNGANARFRLARVVRTLRGASDSAYRCVYQEEDDRGNVGINLSKDLMNIAGDALKANITAMGPLVLPASEQLLFALSFIARKVLNNRIKPYIPDFRTAFEHFCIHAGGRAVIDELQRSLTLSDEQVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWMIGFGSGFKCNSAAWECIRPAANADGPWANCIHRYPVHIPDVLKH >KQL31772 pep chromosome:Setaria_italica_v2.0:I:39694027:39696370:1 gene:SETIT_016375mg transcript:KQL31772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARRAWVFCLLLTVAGAVATADAESEAEALSTYIVHVAPAHAPRSSRPRVLSSAYRSFLRGHLPAGPAPRLLYSYAHAATGFAARLTERQAAHLASQDSVLAVVPDATHQLHTTLTPSFLGLSASSGLLPASGGATDVVIGIIDTGVYPKDRASFAADPSLPPPPSTFRGRCVSTPAFNASAYCNNKLVGAKFFNLGYEAAHGGVIEETESRSPLDTNGHGTHTSSTAAGSAVADAAFFDYAKGKAVGMAPGARIAAYKACWTRGCTYSDILMAFDEAIKDGVNVISVSLGAVGRAPQFYSDTTAVGAFSAVRKGIVVSASAGNAGPGEFTAVNVAPWILTVGASTINRQFPANIVLGNGETFTGTSLYAGMPLGPSKIALVYGGDVGSSVCESGKLNTSTVAGKIVVCDPGVNGRAAKGEAVKLARGAGAILVSSKAFGEQALATAHVLPATAVTFAAAEKIKNYIRTNASPVATIVFQGTVIGRTPSSPRMASFSSRGPNFIAPEIFKPDVTAPGVDILAAWTGENSPSELDSDTRRVKFNIISGTSMSCPHVSGIAALLRQAHPEWSPAAIKSALMTTAYNVDNAGDIIKDMSTGEASTPFVRGAGHVDPNCAVDPGLVYDAGTDDYISFLCALGYTAKQIAVLTRDGSVTDCSKRSGSVGDHNYPAFSVVFSSGDGKVTQRRVVRNVGSNAMATYTASVTSPAGVRVTVEPPTLQFSATQKTQEYAITFAAQQGSVTEKYTFGSIVWSDGKHKVTSPIAITWPASQQVAAM >KQL28927 pep chromosome:Setaria_italica_v2.0:I:8953315:8953545:1 gene:SETIT_018951mg transcript:KQL28927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIGVGGLGQWPSNVVAETTLIGLPPIITIQLFTLNPPPTYFQKSPSSMTADNLPSPRGSGDCHAGA >KQL29786 pep chromosome:Setaria_italica_v2.0:I:24527133:24529687:1 gene:SETIT_017295mg transcript:KQL29786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEELLRCQIHEWYPAFRRHSIPTVIIPLPAAFLRYLAGQAAYPDPDADSDEETLPFLLPVITSGRQPFAPIHAHHPDPVSLLNSELFFGSSNEDVHDPDADHPHRPESPELEAVIDAAIAELGGAALPKLNWSSPKDATFMSADGTTRCTCFAEVAMLLRASDCVAHDLASARQSCEDFVRPEGARRNARKVSAGAEEGARSNANEADGSRGINDAPSDSREIGEEGGKTNGAVGDLVDSHEEESDGDTWVDDGFQYYLALRKWYPGLRPESEFRCFVRERKLVAVSQRDASAYYPSLPGWSSEVQPKIKAFFEEVIEPQFGSNNYTFDVYVRADGRVKLIDFNPWGGYTLPLLFTWEELEEEGRGHELEFRVVMQQGAVRPGLMTAVPYDMLDWGEGSGWDVLLKKADEELGRQMNSLDGDS >KQL29193 pep chromosome:Setaria_italica_v2.0:I:11200584:11208422:1 gene:SETIT_016166mg transcript:KQL29193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASKCCSQLKCSLCSSGCLGQTPDSPRESRGKLSRGRGKGIGGSDDSSDDLGEDDDSLNQMNTRESTVGISRLSRVSSQFLPPDGSRKVQVPLGNYDLRYSFLSQRGYYPESLDKPNQDSYCIHTPFGASPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDNRFRTDAVLALHSAFVATNSQLHDDNLDDSMSGTTAITILVRGKTIYVANTGDSRAVIAEKRGDNIVAVDLSIDQTPYRFDELERVKECGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVIADPEIFVLDLNSKNPFFVLASDGVFEFLSSQTVVDMISKYKDPRDACAEIVAESYRLWLQYETRTDDITIIVVHINGLTDVESTQTVTKVSLQPSPQVVGLACSESPLIVSSNTNNQRSRHDLSRARLRALESSLENGQLWVPSSPSHRKTWEEQAHIERVLHDHFLFRKITDSQCHVLLDCMQRVEVKPGDIVVQQGGDGDCFYVVGSGEYEVLAIQEEEGKETTKVLHRYTADKLSSFGELALMHNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNIASLKLLRSVELFTRFTVLQLSQLAESLVEVSFADGQTIVDKNDDVSALYVIQRGRVRLVLAADQMNSDAWDLISAHTKQAQSSQENGNYVVEIGEGGHFGEWTLIGETITFTAIAVGDVTCSTIAKEKFDTIVGPLPKLSQADSRIKESLVTKENVADDDFPFRRVQLSDLEWKMCIYAAECSEIGLVRIRGSDKIRSLKRFYIKRVQDLHKEVQVFEEKELMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHTPLNESSAKFFAASVVVALEELHQKSIIYRGVSADILMLDRSGHLQLVDFRFAKKIEGERTYTTCGIADSLAPEIVLGRGHGFPADWWALGVLIYFMLQSDMPFGSWRESELEPVSKIAKGHLVMPLIFSAEVIDLITKLLVVDENVRLGTSGAGAVKKHPWFDGIDWEQIASGTYTVPDEITERMDSCIETLNEDLTASPSVPIEDPDDLTAPEWIQDW >KQL29192 pep chromosome:Setaria_italica_v2.0:I:11200584:11208422:1 gene:SETIT_016166mg transcript:KQL29192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSASKCCSQLKCSLCSSGCLGQTPDSPRESRGKLSRGRGKGIGGSDDSSDDLGEDDDSLNQMNTRESTVGISRLSRVSSQFLPPDGSRKVQVPLGNYDLRYSFLSQRGYYPESLDKPNQDSYCIHTPFGASPDDHFFGVFDGHGEYGAQCSQFVKRRLCENLLRDNRFRTDAVLALHSAFVATNSQLHDDNLDDSMSGTTAITILVRGKTIYVANTGDSRAVIAEKRGDNIVAVDLSIDQTPYRFDELERVKECGARVLTLDQIEGLKNPDVQCWGTEESDDGDPPRLWVQNGMYPGTAFTRSIGDSVAESIGVIADPEIFVLDLNSKNPFFVLASDGVFEFLSSQTVVDMISKYKDPRDACAEIVAESYRLWLQYETRTDDITIIVVHINGLTDVESTQTVTKVSLQPSPQVVGLACSESPLIVSSNTNNQRSRHDLSRARLRALESSLENGQLWVPSSPSHRKTWEEQAHIERVLHDHFLFRKITDSQCHVLLDCMQRVEVKPGDIVVQQGGDGDCFYVVGSGEYEVLAIQEEEGKETTKVLHRYTADKLSSFGELALMHNKPLQASVRAVTSGTLWALKREDFRGILMSEFSNIASLKLLRSVELFTRFTVLQLSQLAESLVEVSFADGQTIVDKAQSSQENGNYVVEIGEGGHFGEWTLIGETITFTAIAVGDVTCSTIAKEKFDTIVGPLPKLSQADSRIKESLVTKENVADDDFPFRRVQLSDLEWKMCIYAAECSEIGLVRIRGSDKIRSLKRFYIKRVQDLHKEVQVFEEKELMKSLSQSTCVPEVLCTCADQSYLGILLNCCLCCSLASILHTPLNESSAKFFAASVVVALEELHQKSIIYRGVSADILMLDRSGHLQLVDFRFAKKIEGERTYTTCGIADSLAPEIVLGRGHGFPADWWALGVLIYFMLQSDMPFGSWRESELEPVSKIAKGHLVMPLIFSAEVIDLITKLLVVDENVRLGTSGAGAVKKHPWFDGIDWEQIASGTYTVPDEITERMDSCIETLNEDLTASPSVPIEDPDDLTAPEWIQDW >KQL30404 pep chromosome:Setaria_italica_v2.0:I:30312321:30315551:-1 gene:SETIT_017589mg transcript:KQL30404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASFSQPFPSLDKCTKGGENDRISYATSAMQGRAETMTDAVSILLRAAVPDLDDLTSFFGVYDGHGGASVALFCAKQLHIELRNHQDYQANLPDAMRSVFFRMDELLELSDEWKESLRPATSNNLMQSLRALCCVPKIKETDPYVPPQETGSTACVAVIRGHQIIVGNVGDSRCVASRNGQAIVLSANHKPLNQTERNRIQAAGGQVLRDPTAEGKRAGVPGINGRLTVSRAIGDFAYKHKSGLTREQQMVTCEPSVRSLDITHDVEFLIVATDAIWDTMMTSQGVVDLVCHYIRNGVNDRAICEQLCQRSLKSLDNSTVILVRFNPLSRLPPPLPKNVPLGALLEEDEELEGGEMALLLG >KQL31158 pep chromosome:Setaria_italica_v2.0:I:35985632:35992120:-1 gene:SETIT_018468mg transcript:KQL31158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSAPTRVMMAVNESSLKGYPHPSISCRTAFDWTLSKLVRSNPGGFHFLFLHVQVPDEDGFDDMDSIYASPEDFRQMKQRDKIRGLHLLEYFVNQCHQLGIKCEAWIRHGDPKEVICSEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCVKHAECPVITIKRKATEAPQDPVDD >KQL29416 pep chromosome:Setaria_italica_v2.0:I:15494213:15497843:-1 gene:SETIT_019425mg transcript:KQL29416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVVEFFDGPPTFTDLVDRTMRKYGCRVDEMTLRGHFDRGKARTHYYYKDVVHEENVVGLEVVVEIVHMPGPNIVLRDEESEILQHVLSETKSAFDLAIANDDFPNDTFERDEANIDDDVISMGSEDSEFEDDGVEECDVELPFVPNDKDISMVHKDICESSMVNSEGIPFSESPVIKKGMKFKSLEELKFFLADYGVRLDRPFSAVHSNKNLRYNCTAKYLGRRILGIICKNSETLVSSLMESIFTFSGYRVKYSKAWRVKQHAVALLWGDWKESYGMVPRVLTAMAYYNPWVKWFTDSCGMMHPDNGVLKHVLQRVFWCFPQSSVAFQHCHPVILVDGTFLTGEYKGTLLMAVGVDPEQQLVPLAFALAESENNESWSWFMKLVWQHVLGPSRQVCMISDRHHGLRNCANDHMDGFLPLKNDRMIGKLKTLCKVHTKIEFNEKLEDLVKDLNNDATKWLKGEMEDKDKWAQAFDEGGMCWGIMTMNYSESLNVVFKGIQSRPVSGIIEYSFEKCNAYFVDRWQKARAMLDEGHRTGKVADEFISKAELRSVHHLPEPYGLERMRLRGDMDVSQGRLSADYGTSDFDVDKSENRCSKCHKIIKNRRNRKSKATKSRANNNRPRSSNMRVGALLVFCMVIIIAFIERFDAITIIWLLTSMVDRWCPETHTFHLSFGKITITMQDVAMILGLPVAEQLGLT >KQL28239 pep chromosome:Setaria_italica_v2.0:I:4526515:4528913:1 gene:SETIT_017121mg transcript:KQL28239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAPRNVPRTPPACSNARPPRCRHTFLLRSRLPPPLLAPSSSPRHHRETAVMSSPRWKSGDGRRRLLLLLCCCLLAFPCCHAQATTTNISHRSDHDSRSFVGTYGINYGRIADNLPAPEDVVRLLKLARIRNVKIYDADHKVLDAFRGSGLNLVVAIPNEFLKDMAANPSKAMDWLNENVQPYHPSTRIVGITVGNEVLGGGDTGLAEALVGAVANVHDALKMLRLDRDIELTTPHSEAVFSNSYPPSACVFREDLMVYLRPLLDFFSRTGAPFYVNAYPFLAYMSDPSHIDINYALFKPNSGIVDPKTNLHYDNMFEAQLDAAYFALEAAGYPGMEVRVAETGWASAGDATEAGASMVNAVTYNRNLRKRLFLRKGTPYRPDRVAKAYIFALFNEDLKPGPTTERHYGLFKPDGSVSINIGLKGLVPSSAPPSPLFFKRVRAWGWIVQYSAALLPCTLIFLALAT >KQL27762 pep chromosome:Setaria_italica_v2.0:I:477019:479572:-1 gene:SETIT_019526mg transcript:KQL27762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLQFTCKKLPIPLLGSAVLLLISLATPTSSCTEQEKNSLLQFEDTHCCNWEGITCNGNKTVIDVSLASRGLEGSITPSLGNLTGLQRLNLSCNSLYGGLPLELVSSSTIMDLDVLNISSNLFTGQFTSATLKGMQNLIALNASNNSFTGQIPTHFCNISPSFAVLQLCFNKLSGNIPPGLGDCSKLRVLKAGHNNLSGTLPDELFNATSLEHLSFPRNGLQGMLDDTHIFKLRNLAILDLGENKFSGIIPDSIGQLTRLQELHLDYNIMSGELPSTFSNCTNLITLDLKSNNFSGELHKVGFSNFPDLKTLDLLWNNFGGTIPESIYSCRNLIALRLSANKFHGQLSKGLGNLKSLSFLSLGNNSFSNITNALQILRSSKNLTTLLLGMNFMNETMPDNARIDGFENLRVLDMYGSLLSGEIPFWISELANLEILSLRGNRLTGPIPAWINTLEYLFYLHISNNNLTGEIPTTLMAMPMLTSEKTAAHLDKRVFDLPVYHSPSLQYRKPIAYLKALCLSRNKFTGVIPPEIGQLKALFSLDISFNNLTGPIPPSICNLTNLEVLDLSNNNLTGEILAALENLHFLSDFNISNNDMEGPIPTGGQFCTFPNSSFAGNPRMCGPGLNHHCTSVEVGLAPTGSKGLLLIEVKIVAFYTTTSKKLVAQIVCLGVDY >KQL28296 pep chromosome:Setaria_italica_v2.0:I:4846745:4847292:1 gene:SETIT_019000mg transcript:KQL28296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVVTCSDQFTIKLPLYCRVCNSLKKTVAELSSLNHGDYLVANQTPNDTSLSPSQK >KQL30171 pep chromosome:Setaria_italica_v2.0:I:28579721:28579984:-1 gene:SETIT_019053mg transcript:KQL30171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKIIVGALVLALLVMGAAEAQILPTPCCRIDCCDGKPECCAAGPYMAAVDYAAAATSPTADVDGVVVRNARARPAGAGRKVGAGN >KQL31044 pep chromosome:Setaria_italica_v2.0:I:35218755:35219750:-1 gene:SETIT_017751mg transcript:KQL31044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPWLLFAWAVLAASAVARASPPLQVGFYEHSCPQAEDIVRNAVRRAVARDPGLAAGLIRMHFHDCFVRGCDASILLESTPWQKAERDSTANNPSLRGFEVIDEAKAIVEAHCPRTVSCADIVAFAARDGAALAGGIDYRVPSGRRDGRVSVEGEVLDGNVPFPTFTVGELVENFRRKGLSADDMVTLSGAHSIGRSHCSSVTDRLYNFQGEPGRTDPELDPAYAADLKRRCPPSMSNMDYPTTVPLDPVTPAGLDNQYFKNVLAHKVPFTSDQALLDSPWTAGLVAFHAAVGQAWEAKFAAAMVKMGAIEVLTGQEGEIREKCSVVNHY >KQL28833 pep chromosome:Setaria_italica_v2.0:I:8369629:8375826:1 gene:SETIT_016957mg transcript:KQL28833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFTEYGEASQFQIQEVIGKGSYGVVAAAIDTHTGERVAIKKIKDVFENVSDAARILREIKLLRLLRHPNIVQIKHIMLPPTRREFRDIYVVFELMESDLHQVINANDNLTPEHHRFFLYQLLRALKYIHAAHVFHRDLKPRNILANSDSKLKICDFGLARASFNDSPSSIFWTDYVATRWYRAPELCGSFYSSYTPAIDIWSIGCIFAEVLTGTPLFPGKNVVHQLDLITDLLGTPSSESLSRIHSDRARQYLIDMRMKHPIPFSHKFHDADPLALRLLERLLAFDPKDRPTAEEALADQYFRGLAKLECEPSAQPISKLDFEFEGRKLTKDDVREMIYREILEYHPQMLQEYIEGGEQIHFLYPSGVDRFQRQFAHLEENYRRGVASTPLRRQPTSLPRERVCSSEDCHNQDSDNEEGRAASYVARTTISPPRSQEEGCKQQSANHSSDSNSCAKSYLKSAANISASRCAIKRNKGPKEKGTSKDVKQVAVHGLLDKVPRVLS >KQL28834 pep chromosome:Setaria_italica_v2.0:I:8372253:8375826:1 gene:SETIT_016957mg transcript:KQL28834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFTEYGEASQFQIQEVIGKGSYGVVAAAIDTHTGERVAIKKIKDVFENVSDAARILREIKLLRLLRHPNIVQIKHIMLPPTRREFRDIYVVFELMESDLHQVINANDNLTPEHHRFFLYQLLRALKYIHAAHVFHRDLKPRNILANSDSKLKICDFGLARASFNDSPSSIFWTDYVATRWYRAPELCGSFYSSYTPAIDIWSIGCIFAEVLTGTPLFPGKNVVHQLDLITDLLGTPSSESLSRIHSDRARQYLIDMRMKHPIPFSHKFHDADPLALRLLERLLAFDPKDRPTAEEALADQYFRGLAKLECEPSAQPISKLDFEFEGRKLTKDDVREMIYREILEYHPQMLQEYIEGGEQIHFLYPSGVDRFQRQFAHLEENYRRGVASTPLRRQPTSLPRERVCSSEDCHNQDSDNEEGRAASYVARTTISPPRSQEEGCKQQSANHSSDSNSCAKSYLKSAANISASRCAIKRNKGPKARY >KQL27999 pep chromosome:Setaria_italica_v2.0:I:2383069:2383946:-1 gene:SETIT_020234mg transcript:KQL27999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPPPPPPFGSPAAAGGGKPDRKTVERNRRNQMNALYSRLDLLVRSGSSPSSAPPEVQRGAPVMTRPDRLEEAAAYIRQTTERVERLKERKRELTAARASSSQQGSGSSSAAGPAAVEVEVQHLGSGLHAILVTGGRPSDGASFHRAVRAVEEAGGEVQNAHFSVVGARAIYTIHTLVAEGGIERVEQRLKAALRGGA >KQL31673 pep chromosome:Setaria_italica_v2.0:I:39131556:39133478:-1 gene:SETIT_017434mg transcript:KQL31673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGRAEQAFPNGAPATRFSRCRCGAVVGKVVSAKCASVLLLAVGGFLSAAFVMLHLRASGGGVPDDPDILAEIEAGFILLVPHSQIASQGGTLEKEIYDQIGVPNSKVSVSMRPYNYTNTTYVKFGVLPDPRNASMSVKSINTLRTSLIRLTLQQLNLSLTPSVFGDPLCLEILGFPGGITVLLPHNASHADSIQPIFNITFDSTIHEVREFLKEMKNELAIRLQQTPDEELFVKLTNTNGSTVAAPVTVQVSIAPIDRSNFLQPYRLKQLAQIITEWSSRNLGLNTLIFGRIRDLKLSPLLEAFIPSCAPSLPPMPTPFPSWPPISERPKTNAYRGLSCPALVKKQNEATPHRRLMRVSPQLSTWLHRKYASEGKKNSIALVAPTFIAPVTESK >KQL28598 pep chromosome:Setaria_italica_v2.0:I:6920085:6921027:-1 gene:SETIT_020143mg transcript:KQL28598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHLLPLLVLLLTAAASTCITALTSASPPLPVAFFSFFMWGLASAALGVHLPRLGRGGAERRGHAGSRRSPADRPTRTSTSPETQLESHLQFTVVRGRRAGLRRPAISPYKETDRVNEWFRAPTTKIIWVLTRLCNEILHLLVGECACVVLR >KQL31216 pep chromosome:Setaria_italica_v2.0:I:36304025:36307060:1 gene:SETIT_017764mg transcript:KQL31216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATAAAAAKQKPKKHIHLFYCSECEELALKIAASSDAIELQSINWRSFDDGFPNLFINKAHDIRGQHVAFLASFSSPAVIFEQISVIFALPKLFIASFTLVLPFFPTGSFERVEEEGDVATAFTLARILSMIPKSRGGPTSVVIYDIHALQERFYFGDDVLPCFETGIPLLLQRLRQLPDADNITIAFPDDGAWKRFHKMLQHFPMIVCNKVREGDKRIVRIKEGNPEGRHVVIVDDLVQSGGTLRECQKALASHGAAKVSAYVTHAVFPKQSYERFMTSNSAGPGDQFAYFWITDSCPHTVKAIGQRPPFEVLSLAGSIADALQI >KQL28567 pep chromosome:Setaria_italica_v2.0:I:6741146:6744841:1 gene:SETIT_018275mg transcript:KQL28567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQQERVSKSSSSSISSSTQESEEELTIGTLITEAANTTNSAKSLGRRLSHLDSIPHTPRVNGKIPDFNNATIDHESLLERLGTYGLAEYQIEGDGNCQFRALADQIFRNPDYHKHVRKAVVKQLKEFKKHYEGYVPMEYKVYLKKMKRSGEWGDHVTLQAAADRFAAKICLLTSFRDTCLVEIVPRDATPTRELWLSFWCEVHYNSLYAIEDLPSRKTKKKHWLF >KQL31829 pep chromosome:Setaria_italica_v2.0:I:39977098:39977489:-1 gene:SETIT_020443mg transcript:KQL31829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVIIKISRTVFCTLALHYIYTCNTSYTTRSGNGQQL >KQL31499 pep chromosome:Setaria_italica_v2.0:I:37963067:37965640:1 gene:SETIT_017389mg transcript:KQL31499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFAVLKALLASCCPGNNPDGMQHRPDGFVGKRPNPLLPVATNAHPPARPPLTFVLTDGDRRARHRYIHISPPLAPAAATNLPQETQLRLLLPPSLLPVGEIRVGPAPPVINPSRPSRPQFRLQKSAAGGRGGSGRGALLLPGAMGIGAVSDELLGTFVPIAVYWLYSGLYVALDGVGRLDGYRLHTREEAATKNVVSKATVVRGVLVQQAFQVAVSLTLFAVIGDESGTGQKQPPALVIVLQFITAMVVMDTWQYFMHRYMHINKFLYKHIHSKHHTLVVPYSFGALYNHPLEGLILDTIGGALSFLVSGMTPRTSIFFFSFATIKTVDDHCGLWLPGNILQALFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYSIEQRKGGGVESRPAKLD >KQL32086 pep chromosome:Setaria_italica_v2.0:I:41265152:41268585:1 gene:SETIT_016205mg transcript:KQL32086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAEKLVVEVVAAHNLMPKDGQGSSSAYVEVEFDHQKRRTRARLKELNPVWNERLVFPVADPDDLPYRAIDVGVYNDRAAPGAGAAGPHGRNFLGKVRVPAAGVPAPGEEVVPQLFTLEKRSLFSHIRGEITLKIYRINSGDVVVKSKPDKPAKAVVAGPEVVAAPTVTGPKKQPQPQHQHQHPVVTVQPPPPQPEPPMDIMPQPAPMVMKPVMHADPYPVPAMFSGPGDFSLKETRPRLGGGAVADKASATYDLVEQVEYLYVRVVRARGVPMVGEAVAEVKLGNYRGVTPAVPSHSWDQVFAFSKETIQSSFVEVYVRARGSDDHVGRVWFDLAEVPHRAPPDSTLAPQWYNMEDRKGQRGGAEVMVAVWFGTQADEAFAEAWHSKAAGVHGNGPLGSIKSKVYVAPKLWYLRISIIEAQDLFPADKGPLAIGRFPELFVRAQVGNQIMRTRPAPMVSTRGPSSPFWNEDLMFVVAEPFEEFLVLSVEDRVSPGRDELLGRLVVPVSTIERRWDWKPVVSRWFGLDRGTGGGNVSGSNVHRFGSRRMHLRLSLDGGYHVLDEATAYSSDLQPTAKQLWKPHVGVLELGVLGATGLIPMKTRDGRGATADAYCVAKYAQKWIRTRTVVDSLCPRWNEQYTWEVFDPCTVITVGVFDNCHVDKPASGNTMVAVRDNCIGKVRIRLSTLETDRVYTHAYPLLMLHPSGIKKMGELHLAVRFCCGNVGNMFHAYVRPLLPKMHYAEPLLVRQVETLRFQATNVVAARLGRAEPPLGKEVVEYMLDHRSHLWSMRRSKANFFRLVNVLSGPITIGKWFELVCSWQRPVHSCLAVFTFLVFVTMPELILPTAFLAMAFTGLWRYRVRPRNPPHMEMRLSHADGATADELDEEFDTFPSSRGDVVRFRYDRLRSVAGRVQTVVGDIATQGERMQGLLSWRDPRATLLFSIACVLAAVIAYCVPMKVMIGLWGLYAMRPPRFRSRMPSPLMNFFRRLPSRADILL >KQL28728 pep chromosome:Setaria_italica_v2.0:I:7783173:7783855:1 gene:SETIT_019010mg transcript:KQL28728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHITYTLFTTIQATTQSHLTGTNTGHISTAHSLFEVISFPCLKTRAQAVSISCFHG >KQL31984 pep chromosome:Setaria_italica_v2.0:I:40765845:40772680:-1 gene:SETIT_017013mg transcript:KQL31984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALSTLPFVPSNPSPSSRAPADAAFPPRRVHFSAAVRSGGGDLPLACAAPRHRGRAPRRRRGGRLLVWASADYYATLGVPRSATNKDIKAAYRKLARQYHPDVNKEPGATEKFKEISAAYEILSDEQKRALYDQYGEAGVKSAVGGSGGAYTTNPFDLFETFFGASMGGFSGMDQSTFRTRRRSTAVQGEDIRYDVILGFTEAIFGTEKDIILSHLETCDTCGGSGSRAGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSICPACAGEGEVISEYCRKCSGEGRIRVRKEIKVKIPPGVSKGSTLRVRGEGDAGPKGGPPGDLYVCLDIEEPSDIKRDGINLYSTVSVSYIEAILGTTKKVRTVDGTSELRIPPGTQPGDVIVLAKQGVPSLNKPSIRGDHLFTVTVTIPKRISGREKELLEELASLSGGGFARTAAKPKPAKPKPVHEEKEVGTSQEDAGKSNEGEGGWLKKLTDFAGSIANGAAKWLNDNL >KQL31943 pep chromosome:Setaria_italica_v2.0:I:40557287:40557983:1 gene:SETIT_018768mg transcript:KQL31943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKTSILLLLLLLLASCAALSLWVPGSRPGSSVWVRQRQEGRRLHAAVAARRRLGQRTPVKKPPSPNPNGMTTMVQPMPPPPPNSVSKSPRRGMQVGDGAAHQHRQPLN >KQL30416 pep chromosome:Setaria_italica_v2.0:I:30410052:30413257:1 gene:SETIT_016708mg transcript:KQL30416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSKHLAKFAMLIQLALLLLCYGVGNVHCVHENSKDLQSLLDFKQGVTTDPNRALSSWNTSVHYCRWSGVMCTPTRPWRVSALNLTGKSLAGEITSSLINLTLLSQLDLSSNSFYGQLPPLGGLQLLQTIYLNNNSLDGTIPDALTNCSNLVNLDLHSNKLSGVIPPKIGLLSNLDFLDLSSNNLTGVIPPTFPNLTRLSFCRLRSNQLEGSIPDGLWQMSNMGLLLLGNNTLSGEIPRTINMSRLQVLALDFNRLGKTLPSNFGDVVPRLTKLALDANMFEGHIPASLGNASSLQLIDLSVNHFTGQIPASLGKLSSLNFLNLESNQLETWDSQSWEFLHALSNCRDLHRLSLYDNRLQGVIPDSIGNLSVSLERLLLGRNYLSGILPKPHLSAGLSATEQYFSLTTNQPFQLFSRLISPAEQYIDSLNKLTGGIPDGLGQCQNLATMKMDQNFLTGGIPYDGFVYPKTSLKQFFSKYEMILENRYKEWQADEESSHRTPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDVSLRGVPGESTTLVDICPYSFSLFSILLHVYEHNTRRSCFPLSLKVTVTDAIVTGEVLV >KQL29797 pep chromosome:Setaria_italica_v2.0:I:24701491:24704623:-1 gene:SETIT_017911mg transcript:KQL29797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEDTKKKEADDSTPQHRRKAGLKFAPKVLPKKDPKIIPKTEPQEENKALTIDKKMMSGLGSLQSSYGPGSGTKAEKQGTPVQVAFGRADPSIARTFPTRRSFSSDVSAVKLPKEHDEPWDYNCTDYPVTLPLRRPNSGNAEILDEEEFGHSSGRAQVSELSAAEELGLMQRVDTPQLLFFQFPTTLPLPRQADPDAGTNMNMNAKSMGDNRKRRLDSIHGCGLKELPDGFMGKMLVYKSGKVKMTLGDVLFDVSAGSNCMFPQEVVAINTREKHCCGIGEIGKRAIVTPDINSLLESIEI >KQL29027 pep chromosome:Setaria_italica_v2.0:I:9817435:9818187:-1 gene:SETIT_019939mg transcript:KQL29027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAIVDAVRDQAALCLLLLSHLGSLDKGTPTANLAFSPISFHALLSLLAAGASGATRDQINAFLGPAGAEAHAALASAVLSGRNGGGDGREAEVRRDTAVWADASLRLSPAFADTAAAVYRAEARSVSFMDNPREAAAEINGRGRGLAADLSSDHRCR >KQL31041 pep chromosome:Setaria_italica_v2.0:I:35207322:35210693:-1 gene:SETIT_017357mg transcript:KQL31041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALPVRFLASPEPGGWRRRPRRGSLKPVSAALMTNPAYFEVGRFLGGYGFMNITSYSSSQFGEPSNVAGIQDLGLGYSAEEIERLRVQDVGEGEVTIRLYEGRVVQGPLRGTQAVFKVYPGARAGASEADLMALNELRTHAFLQSDASDICDNIQFLLGAFETATGEQWLAFRDDGRYSAADYAKITSERQLKEQSGDMPFWNPFSRAYKLELRRYFVLKLLNGALSGLVHMHNHDRLHQSLGPSSVVLNTVMEKEGPYLVPRLRDLAFSVDIGYSSVGAGALSDGLWRRASAAGASTPLEKRAFGIADDIYGAGLLIAYMAFIPFCEAGIMDGISLQRLLESTFRLDIYAAREYCLADDRLSEAVNFLDLGDGAGWELLQAMLDPDYRKRPTAEAVLNHRFITGAVLRNY >KQL31042 pep chromosome:Setaria_italica_v2.0:I:35207803:35210693:-1 gene:SETIT_017357mg transcript:KQL31042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALPVRFLASPEPGGWRRRPRRGSLKPVSAALMTNPAYFEVGRFLGGYGFMNITSYSSSQFGEPSNVAGIQDLGLGYSAEEIERLRVQDVGEGEVTIRLYEGRVVQGPLRGTQAVFKVYPGARAGASEADLMALNELRTHAFLQSDASDICDNIQFLLGAFETATGEQWLAFRDDGRYSAADYAKITSERQLKEQSGDMPFWNPFSRAYKLELRRYFVLKLLNGALSGLVHMHNHDRLHQSLGPSSVVLNTVMEKEGPYLVPRLRDLAFSVDIGYSSVGAGALSDGLWRRASAAGASTPLEKRAFGIADDMQVFLFFYFNKNDHLHLRVLDKTVMEPGCLLHTWHLFHFVKQALWMAFPCRDFWRALSGLTFMRQESIVWQMTGCRKL >KQL30835 pep chromosome:Setaria_italica_v2.0:I:33671242:33674455:1 gene:SETIT_018260mg transcript:KQL30835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAAVDLEARQLRILGRIADLELAVQQRRIGALSISAASPEDGEAEAGATEARLSVLLAARGVRDFAFRRVPAEYYDRPLEERRGLLRADSVAQLCKSIVMVNTQATADVVDCSNPKNSKYYVVVVQYMARLNAENIKNFLYELNEKQIPKKRFNMRLAPEEESHKLTGFVHNAVTCIGMETDIPVIIDEAITKLDEGFFWLGGGEVDLKLGMRTSQFLSAFSPFVVKCS >KQL29859 pep chromosome:Setaria_italica_v2.0:I:25648337:25648573:1 gene:SETIT_018947mg transcript:KQL29859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWASSVSFFRIHTRAFFFHRTPPSPTEQRGQLGPALQLPAKSIGGGAKRDSVWGKNFAGRAQSEPEP >KQL30294 pep chromosome:Setaria_italica_v2.0:I:29736937:29740003:-1 gene:SETIT_017393mg transcript:KQL30294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWLITCRGIAKKIRYTTPSGNHRISELIAEARRECPNCSHVIDNSDVAMQWPGLPAGVKFDPSDLELLEHLEEKIGLGGSRPHVLIDEFIPIIDNDEGICYSHPENLPGMKTDGSNAHFFHRVSKAYGRGQRKRRRVISCSSDHTVTDEHVRWHKTGRSKPIYDNGVKKGWKKIMVLYKTSHRGEKPDRAHWVMHQYHLGQEEDEKDGDLVVSKIFCQITNKSMEVSETEAAYDKPDASASVIGPKTPTPKTNAPQPRLANNSPCETEQNVSILQDQLLLQDEGEPIIPIVSLEDDAMNPAWCAGAEEQQAVGGASRAQLNLDEPLLCREDPNSLNDEALLPMDYPILSQCRNEILDRSLNTFYGLPDLHNVDLGTPPDLQLGDLQFGSQESLGSWLDRI >KQL29368 pep chromosome:Setaria_italica_v2.0:I:14437361:14439530:1 gene:SETIT_019769mg transcript:KQL29368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEAYDSDGDVIRFLQIVLPPESHLLAGPSDVPVASHVGAPQDAPAAVQMGMALQTPSLNPDPAFGFPAPTTDIENHQALATDVASHVIVAPQDVPAVQMDMALERLPPPPNPDLAFGFPAQTTDDVENNQALVMDEQPPQAYGFPDDPVPSVMASNDPMPSFETLFDDDIVLSNLGAMSLHGDQFMDDDGDEDEFEAFIRSIEDDAGHTNMGSAIAEHTVPRVEDMNFVPFVLGELDCSNCRSVREMLHEGANHKLHFDVHVVELGTFQHAIFDRTYIDANGETILNEKVYFDLRQRTHEWVQNFIDNRVEMLKNDTSGQLKDSCSTFSAAVCTNISMPANNDDAHRELEMDMLRKIFSSPTAPTEAVGPQFAPEGAQPATRAEENINADDILFDAANWPGAGLSPAILESCQIAVQDGVSSASAADYSLLEKERKRNSDVAMEDILKCLHMSKKDAAKKLNISSTSLKRLCRKNNTNRWPGRKIISIDNKIKKLEQAAQRNVGTTGLLTIKEKLDKLRFERAQLYAPIMNGTCTQENKKHNGDAGPS >KQL31824 pep chromosome:Setaria_italica_v2.0:I:39950730:39951695:-1 gene:SETIT_019638mg transcript:KQL31824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHGGFPRLSASSTALVLLPLVLLVFTFFFVYPREFELQAMMSACAPPATAGVASSSSRLAEPAVVRKPDFRLLIGVLTRADLYERRHLLRMVYGLQLASGDLAAHVDVRFVFCRLYKDDQRVLVPLEILAHGDVIVLDGCEENLNGGKTYTFLSAVAELYADDPYDYVMKADDDILFRLPQLVESLGAMPREDMYYGATIPCSSMDPFREYMAGMGYALSWDLVEWIATSDVARNHSVGTEDMLTGKWLRIGGKGKNRFNAKPAIHDYRNPVPVDQCEHEFMPSTIGVHRLKSNPRWAEALKYFNFTAGLKSSKFYKFE >KQL29576 pep chromosome:Setaria_italica_v2.0:I:21426317:21426520:1 gene:SETIT_019973mg transcript:KQL29576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLVVVCDEPERVVGTYQAPGRCPYCGGGVVAADVESAPRLCYFPLCFRIRRRFYCSLCSRRLVSVA >KQL30639 pep chromosome:Setaria_italica_v2.0:I:32335439:32337039:-1 gene:SETIT_018272mg transcript:KQL30639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSSGSHVIGVAVTSKAYAIEEGTRDRPAAKKDGDRLAVSLTHPSPYASFSYKHSSKGQENHWVNKLGRRAQSFRDHVTLGPKLSETVKGKLSLGARILQAGGVERVFRHAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTRRIAFRSDRSLAVTSPAGDVVARVPYKVVVPLRRIKRVRPSENADKPEQKYIQVATVDGFEFWFMGFVSYQRCCKYMLQVISEL >KQL28469 pep chromosome:Setaria_italica_v2.0:I:6086803:6087466:1 gene:SETIT_018919mg transcript:KQL28469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLPLNRHHQKPSSNQERNQTNTTEKTTGEETVTIGFCHLLIHGCIKVPTEFQVYQYNLLRKQKGAGLNKRKS >KQL29237 pep chromosome:Setaria_italica_v2.0:I:11906507:11906698:1 gene:SETIT_019148mg transcript:KQL29237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAWLKILVAQRSAGKQNWWEVDVEALGADELPMFVRVLEVLRTNIQHHLDAMESSRKEKMQH >KQL30074 pep chromosome:Setaria_italica_v2.0:I:27878423:27879096:1 gene:SETIT_020587mg transcript:KQL30074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKFSDVLFHCITHNHWFASLHFFVTVICPDSIIRICFCICQIITITYKVGLFSYA >KQL31304 pep chromosome:Setaria_italica_v2.0:I:36762022:36762771:-1 gene:SETIT_020117mg transcript:KQL31304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRFAVFAISCALLAASVAALPPAVFNVGDERGWAVPSGNGTETYNHWAKRNHFQVGDILSFKYANDSVLLVSHDDYKQCSTETPLSRFTSGDTKFRLDGTGPFYFVSGVPGHCEAGQRMIARVRAPSSLTGAPAAAPGMPPTAMGSGTPTPAASSPAAPSVVVGSGSASTPTPTPSPSPMPAASGATRRALSVVSSVVVGLVVVGVVTLLVEV >KQL28593 pep chromosome:Setaria_italica_v2.0:I:6876310:6878733:1 gene:SETIT_019685mg transcript:KQL28593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLAAQLAAVLQACIKRSGGPKPSRAHAKTAHARVLAAGLAADTFLLNRLVELYSLSGLPCHALRAFRALPHPNVYSYNAAISAACRAGDLAAARDLLVRMPERNAVSWNTVISAVARSDSPGDALAMYEGMLQEGLAPTHFTLASVLSACGAMAALDVGRRCHGLAVKVGLDGNQFVENALLGMYTKCGSVADAVRLFDGMASPNEVSFTAMMGGLAQSGAVDSALRLFARMSRIGVRVDPVAVSSVLGACAQARTDEYSIVRAIRLGQSIHALVVRKGFGLDLHVGNSLMDMYAKCMEVGEAMKVFESMPSVSIVSWNILITGYGQVGLYAKAMEVLDLMQESGFEPNEVTYSNMLASCIKARDVPSARVMFDKISKPSVTTWNTLLSGYCQEELHQDTIELFRRMQHQNVQPDRTTLAVILSSCSRLGILELGKQVHSASVRLLLHNDMFVANGLVDMYSKCGQVGVAQIIFSKMTERDVVCWNSMISGLAIHSLNEEAFDFFKQMRENGMFPTESSYASMINSCARLSSIPQGRQIHAQVLKDGYEQNVYVGSALIDMYAKCGNMDDARLFFDYMSAKNIVAWNEMIHGYAQNGLGEKAVELFEYMLTTKEQPDSVTFIAVLTGCSHAGLVDEAIAFFNSMESNYGITPLVEHYTCLIDALGRAGCFVEVEAVIDKMPCKDDPIIWEVLLAACVVHHNAELGEYAAKHLFRLDPKNPSPYVLLSNIYASLGRHGDASAVRALMSSRGVVKGRGYSWIDHKDGARAFMVADDLGTNVGELTMFSDDVDTSGITEVHRDETCAS >KQL28972 pep chromosome:Setaria_italica_v2.0:I:9307652:9310980:1 gene:SETIT_018257mg transcript:KQL28972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWDAEDFQPAAPVVIAEPLKNQWADEDVEEDDVKDSWEEEDEEKPKPPPVEKAAAKPSSKAPAKKGKQQASTSAEEPDEPPLSPTSEKIRQQRLVEEADFKSTTELFAKKGGDQKSLDTFIPKSESDFAEYAELIANKLRPYEKSFHYMGLLKNVMRLSMTSLKGADAKDISSSVTAIANEKIKAEKEAAAGKKKQGAKKKQLHIEKGDDDFIPGRGGGYDDPDEYDFM >KQL30019 pep chromosome:Setaria_italica_v2.0:I:27512110:27514288:-1 gene:SETIT_019379mg transcript:KQL30019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEMEYYDVLGVCPAASDDEIRKAYYIKARQVHPDKNPNDPQAAEKFQALGEAYQVLSDPLQRKAYDGYGKNSISRDNILDGTVVFTLLFGSELFEDYVGHLAMATMASTEMTSDNDNPEKLQDRLRNVQREREEKLARFLKEFLSQYVRGDKEGFANRAEAEAKRLSTTSSGLDILRTIGYVYSRQAAKELGKKAMYLGVPFVAEWVRNKGHLWKSQITAAKGALQLLQLQEEACRQSSKDGIATEQDVDLQMRMNKDLMMSSLWKLNVVDIEMTLLHVCEMVLYENNVKKEDLKARATALKILGKIFQREKEALPAPGPGPSGPSKRTVLDDDSSSDDSSDDDIARTVPYRTPAFTQEIGIGRLFRCLCNPAYDVDDDFEPRK >KQL27910 pep chromosome:Setaria_italica_v2.0:I:1611472:1612152:-1 gene:SETIT_019980mg transcript:KQL27910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADEPDTCCRWSRDFVVAHAVFASGFVTAPVAVLLLVHRPREGRAIFFAAFAALCTAASLILCLHFYAELRRPPWPRWRSASGGGQQLAEGESFVGVDQETTARETSHALRHPEHEQPMTRRVEMQAALASGRIPSYEYLGDVDVDGGAAEDCAVCLGEVEKGETVRRLPACRHVFHRECIDLWLRGHVTCPVCRSGVLPAAPERAVEELVVNIGVVHGRPTATI >KQL31156 pep chromosome:Setaria_italica_v2.0:I:35975949:35980888:-1 gene:SETIT_016346mg transcript:KQL31156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVWEPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARRSRLHPGTHYLARGLNACSGTGNEVECEKLVWVPQKGGGRIPFSSYIWWRGTIPLWWGAEIKNAVSVEAEIYVADDPYNGSLQYYQRLTNVSRQKKSGMVPIVCVNLLRYAEGKTESVLVDCFKESLQYMKSTGKLGSTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGNYYDVRQQLKECKGSIICNDDINGGFCMESTQNGVIRFNCADSLDRTNAANAMFGLSSRYSEYDSRNARSLPPGWEERFDSVTGKSFYIDHNTRTTTREHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVKITVQRRFHNYVNDRSRQKQLEMFLGLRLYKHLLSIPIFPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLIWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGAEDSSYPASVDVRVGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNVQESTYLPLLYDFEELEGELNFLNRVVALSFHPSAISRTPITLGEIEVLGISLPWADMLNNSGRAPEFMELLHKKSSAHCDLGSKSFANSSGPVNDSLGSEGSYTKSSSSAQPGGLENLLEFLTGDFDMSKSHITENTSFGNGEQTNFLDDGFDVNPFASASEVPVPTVNNQVEECGSTQLYLKFFESFSGYNKVSICKKIILFYYFSYLIFLYLC >KQL31155 pep chromosome:Setaria_italica_v2.0:I:35975949:35980452:-1 gene:SETIT_016346mg transcript:KQL31155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSKSLPRPKDTSVVVVVLETTEVNIVISLSTRADTQHGEDVFDSEAAALNYITDGSRILSKSTTYGKAVLGYAVLGSYALLLVATQLSATVPNLPGGGCIHTVAESQWIKIQLQNPQPQGNGEQKNIRELADLDIDGKYYFCETRDVTRPFPSRMTVWEPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARRSRLHPGTHYLARGLNACSGTGNEVECEKLVWVPQKGGGRIPFSSYIWWRGTIPLWWGAEIKNAVSVEAEIYVADDPYNGSLQYYQRLTNVSRQKKSGMVPIVCVNLLRYAEGKTESVLVDCFKESLQYMKSTGKLGSTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGNYYDVRQQLKECKGSIICNDDINGGFCMESTQNGVIRFNCADSLDRTNAANAMFGLSSRYSEYDSRNARSLPPGWEERFDSVTGKSFYIDHNTRTTTREHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVKITVQRRFHNYVNDRSRQKQLEMFLGLRLYKHLLSIPIFPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLIWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGAEDSSYPASVDVRVGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNVQESTYLPLLYDFEELEGELNFLNRVVALSFHPSAISRTPITLD >KQL31157 pep chromosome:Setaria_italica_v2.0:I:35975983:35979937:-1 gene:SETIT_016346mg transcript:KQL31157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVWEPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARRSRLHPGTHYLARGLNACSGTGNEVECEKLVWVPQKGGGRIPFSSYIWWRGTIPLWWGAEIKNAVSVEAEIYVADDPYNGSLQYYQRLTNVSRQKKSGMVPIVCVNLLRYAEGKTESVLVDCFKESLQYMKSTGKLGSTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGNYYDVRQQLKECKGSIICNDDINGGFCMESTQNGVIRFNCADSLDRTNAANAMFGLSSRYSEYDSRNARSLPPGWEERFDSVTGKSFYIDHNTRTTTREHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVKITVQRRFHNYVNDRSRQKQLEMFLGLRLYKHLLSIPIFPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLIWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGAEDSSYPASVDVRVGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNVQESTYLPLLYDFEELEGELNFLNRVVALSFHPSAISRTPITLGEIEVLGISLPWADMLNNSGRAPEFMELLHKKSSAHCDLGSKSFANSSGPVNDSLGSEGSYTKSSSSAQPGGLENLLEFLTGDFDMSKSHITENTSFGNGEQTNFLDDGFDVNPFASASEVPVPTVNNQVEECGSTQLYLKFFESFSGYNKVSICKKIILFYYFSYLIFLYLC >KQL29418 pep chromosome:Setaria_italica_v2.0:I:15538845:15539380:1 gene:SETIT_020434mg transcript:KQL29418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGNFILPLLHQTMRNDKMQHQVFRSDLYTLTLYGKSIRFLQGYFQVGQLFHCSV >KQL27967 pep chromosome:Setaria_italica_v2.0:I:2140503:2140749:1 gene:SETIT_020297mg transcript:KQL27967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHRYHLWLLLHSLKCFLFPYYLPRPSQPATWLVVYRSRAASTR >KQL31601 pep chromosome:Setaria_italica_v2.0:I:38791951:38794244:-1 gene:SETIT_017604mg transcript:KQL31601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGYDCAASVLLCAEDNAAILGLDDEGEESSWAGATPPRDTVVAAAAAAAGIAADGFLTEFPLQSDECVAALVERETEHMPVEGYPQKLQRRYGGLDLAAVRRDAVDWIWKVIDHYNFAPLTAVLSVNYLDRFLSTYELPLLAVACLSLASKMEETFAPLPLDLQVPEAKFVFEGRTIKRMELVVLSTLKWRMHAVTACSFIEYFLHKLSDLGVPSLLARSRSADLILSTAKGAEFVVFRPSEIAASVALAAIGECRSSVIERAATSCKYLDKERVLRCHEMIKEKITMGSIILKSAGSSISSVPQSPIGVLDAAACLSQQSDDATVGSPATCYHSSSTSKRRRITRRLL >KQL31600 pep chromosome:Setaria_italica_v2.0:I:38792426:38794114:-1 gene:SETIT_017604mg transcript:KQL31600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGYDCAASVLLCAEDNAAILGLDDEGEESSWAGATPPRDTVVAAAAAAAGIAADGFLTEFPLQSDECVAALVERETEHMPVEGYPQKLQRRYGGLDLAAVRRDAVDWIWKVIDHYNFAPLTAVLSVNYLDRFLSTYELPEGNPWMTQLLAVACLSLASKMEETFAPLPLDLQVPEAKFVFEGRTIKRMELVVLSTLKWRMHAVTACSFIEYFLHKLSDLGVPSLLARSRSADLILSTAKGAEFVVFRPSEIAASVALAAIGECRSSVIERAATSCKYLDKERVLRCHEMIKEKITMGSIILKSAGSSISSVPQSPIGVLDAAACLSQQSDDATVGSPATCYHSSSTSKRRRITRRLL >KQL32254 pep chromosome:Setaria_italica_v2.0:I:41941466:41945678:-1 gene:SETIT_016110mg transcript:KQL32254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHSLGFFSAVYSRLRAASSAWRRNDAPARDTQRSLEATVRSRLARRAGAARRFGRSLAFVSFNLEVLLFVYAFWRARRRNFNWRQPLQALPMLVIPALATLIYAAFLRFTRTLDLKDKKTLQRLHHQSGSGSHHHDQDSAKVHLLPSLLPLILSVLLYISVTYIIYLGHVLLTSSSFCSVLCNLVFVYCLVQKCDPVDDASNFSSESDPAGTSKLGKHHRSSSNLRDDYGGDGSWDHSKDFQPMHSDGLRRRIFSIEKIHITNSSAFRQLINWSSEHLSDDPEDLNHMEGTAAEHRSNSGDDVAENGAACSTAQISSLLHGCSIAHIISNATNHADVSSSMSCPKSNGGLAEADAVQTVLTGPESDLSAIAGLHHEGVENESSKLHVSEDNRMPFISEKELLLSSNAVKNMEHHSGTSGFTLCGQETENEDTAGGFCFVKISPELSFLSSPELVVEGGKDASEKEPCQLDEKEENDVSANIEEALVGTPLVNTAEPDYGTTGFSLRPQDSNMMEAPAVINIFSAIPESNQPASVELLAENYVDSKDAQISDVHLPEQKGQEDFLDPLVVNSFEYSFVSEFLSEGASKHQNNAVASPYDGGDAENAISDSMSIQFIPEANIMEALQDVQETLPNPHHRSAAAEMTKVLGVVKEGLSEGASNHQNSIVASSDDGGDAEDVISDSVSVQLIPEANIMEVLQDVQKTLSDPPQRSSFRSQIFLSSSEINNDEAYSMENEESPASKGGGSVSKDEMNFAFLDTPILLNEDTSGESWTDNAGCSRCIPESNRTHSLHDGKLALPRTSERANVGLEESLISLDQEINLEIFSLYSRSSSCVSEVNMTETLRSGILPALENDDDFSFDEMTSMMGPNVKHAENYTDNARSAEFVPEINMTETLNVGKEATARLEHEVSSNFDISLEAPDIGNGVEKFDNSLDLVLWPFEPVVDASEGMQAAQGFSMLQSENDFSFVKTNVTTKDVNNDGDLQNSQETSIDALGSAQPGLSKSEDEGVSTLRGTCKSPDAVGVIDSDNVLMANYASDLRSAVGNHFFSQNASPEAQPQDPLMFKESLVYPDELSVSENNSDNAKLLFCSTKVNLIESLDGDKRGTDQQQAETNLVSEDTYMACQGSTSEKYLDNFGYQHISDANITKTLQSTDRLLSEMFHDGSFCTAGTSVSLDGGTDADNSSANSGSEMRTAQNNTVILLGFQGPEKAIVSISQYEVDRAEKNGSDSICAEATMTGDIQGFLEERKVCEWRCTDKEPKDLKVKDMEEDVQGLDEDHEVSHMSSDVALNFYLLY >KQL31570 pep chromosome:Setaria_italica_v2.0:I:38472003:38478876:1 gene:SETIT_017819mg transcript:KQL31570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSSSGAGGSPAHAPPGGGGGGGGGSGGGAPYHNRSRFGDTTLTKVFVGGLAWETPSDGLRQHFEAYGEILEAVVITDRETGRSKGYGFVIFRHPDSAARAVQNPNPVIAGRRANCNIAAFGPPRPAAQARGRGGGGGGARGPHMQDQPQLGPPYRVPSQMTPPHGAAVFYHPQYGYWYPPDYPFQQALYNPQVLQHYYPQMYGPTSPSGPPYQYMGYMPGGPSPRTGFSSSMQQPARPPFFQQPTAQMEGSFPSGSSLPPNFRLQLPPHTVSRESDDASGSQSAPPTSSAEATSTNNQEASGPVTSNSDANTSN >KQL29156 pep chromosome:Setaria_italica_v2.0:I:10761228:10762878:-1 gene:SETIT_017473mg transcript:KQL29156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAITSSFLYAVLTERVLLVDGGRDAGELFCEPFPGTTWLLQLAGAGWFSPLRSLQGYLGGSKQSLGNMLQSGGPVSVSADGNVSWSAPRPPTYLYVHLAGRYGFHDSLFFCGAHQRLLGEVPWLFLWTDNYIVPGLFLTPPFRGELEAMFPEKDAVFYHLGHYLFHPANPVWHAVTRYYWSNLAGAARRVGVQIRVFQKNQPPQAVLDQLLSCVRGEGLLQETTTVAANGTSSSDTAVLVTSLSSWYYERLRDEYGGGVHQPSHEGRQRWHNAAHDMRALSEMVLLSMCDELVTSGYSTFGYVAQGLAGLRPWVMTRAPMWADDWTEGLDPREPCPRAISVEPCFHSPSAYDCAAGRDVELDKVTPYIRHCVDVKWGIKLVNESSSQW >KQL29596 pep chromosome:Setaria_italica_v2.0:I:21715588:21719860:1 gene:SETIT_019261mg transcript:KQL29596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLASLRFTAFRSHASASTCGGNTSLADGGRLSFLVPEVDQVDTVPPENMHKWRMVIAYDGTKFKGWQYQPSPPTIQCFLENALIRITKLDRKKLCLVGAGRTDTGVHAWGQVAHFTTPFAYDCLHSIHSAINGLLPPEIRVREISAARPEFHARSSTKSKIYHYKIYNEAIMDPFHNCYAYHSAYKLNPHAMRQAAKHFVGIHDFTSFANAAHNDRERSPIKKITRFDVTEMGALLQLEVEGTGFLYRQVRNMVALLLQVGKEALPPDIVPVIIAARDRKELARVALSAPPHGLYLMSVNYDEEILKPPEGSPPNSFVSLELSTRGPECLLVSGYRIWSRDPYKLSLYTHRFFS >KQL27708 pep chromosome:Setaria_italica_v2.0:I:183949:189251:-1 gene:SETIT_016297mg transcript:KQL27708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAMDGSTWEDMMRRILPPGTPIPEAPPNLDYSIALEYDGPPVPYDLPRVDPVEIPAIPTAEPVSGPQVPGGLPVAPVVEPIRLPVSRIARCADPVSMQVGGSSESVLHNEEFDDDDDDSRTQSHGSAQSSPGPQNRPEMQEGRRAPVVTFGFTPNSKYESKEFEEMSEQYVAVTKKEKRRRACYRCGKRKWESKESCIVCDARYCSYCVLRMMGSMPEGRKCVTCIGQPIDESKRAKLGKNSRTLSRLLSPLEVRQILKAEKECQANQLRPEQLIVNGCPLRPEELTDLLSCSRPPQKLKPGKYWYDKESGLWGKEGEKPDRIISSNLNFTGKLQANASNGNTQVFINGREITKIELKILKVANVQCPRDTHFWVYDDGRYEEEGQNNIKGKIWESALTRFACALFSLPVPPGNSNGTRDEVPYSARTVPDYLDQKRIQKLLLLGPPGAGTSTIFKQAKYLYGTRFTQEELEGIKLMIQSNMFKYLGILLEGRERFEEEALSRLNCTTSEGDETQHDDSKANGSNSCVYSINARLKKFSDWLLDIIAMGDLDAFFPAATREYAPFVDEMWKDPAIQATFKRKEELHFLPDVAEHFLSRAIEVSSNEYEPSEKDVIFAEGVTQGNGLAFIEFTLDDRSPMSEPYIDNPEAHSQPLTKYQLIRVSAKGMNDGCKWVEMFEDVRMVIFCIALSDYDQLGPPVNGSGRPLVNKMMQSKELFEATIRQPCFCDTPFVLVLNKYDLFEEKINRAPLSSCEWFNDFCPVRTHHNNQSLAHQAYYYIAMKFKDMYFAHTNRKLFVWQARARDRQTVDEAFKYIREVLKWEEEKDENYYQEESFYSTTEMSSSPFIRAE >KQL29125 pep chromosome:Setaria_italica_v2.0:I:10457711:10462289:-1 gene:SETIT_019345mg transcript:KQL29125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDGVVYEEDTDEEGNIFSGQEWEDIEVQINENESTVPEHSNINDPYDLVYSNIPDNTHKLKPVENCKYCDAKKFHHEPEGLCCRKGQIKLANLETPHQLMRLWTSNDSDAIHFRKNIRFFNGHFSFTSLYCHLDRDTTTMRNSGIYTFRAHGQIYHNIRSFGKDGSDPKHLELYFYDDDPSLEHRYRYCRKEMYEQDKHVLLIITNTLRNNPYSEQFRTLGQEENIEEYRVMLNLDQRLDQRTYNAPITSEVAAVWVEGNERRNTFDKNVILHGNNNEIQGIRSYAGCYDPLSYPLFFPRGELGWHADIPKVGITTEDVKKARANKNNKNNDPDSSGRMWVTMREYYCYKFHVRPNIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDKVGKRTVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEEITNELGVGQTPQDRPDLVVRVFRAKLEEMKKELLEKHMLGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHGPCGTLNRNCACTKNRKSCKNYYPRPFNATTIQGKDSYPLYRRRDDGNNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTPPEALWRIYGFDLSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVEKSMLTAYFEANKIHEKARSILYRDFPEHYTWQTQGKFWQQRKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGTTCYEDLRTVDGQILPSFREAAEKRGLIEADNTLDDCLTEAELFRMPSSLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNAKMVEQMVLINIREMLQSMGKDIRSFPLPEINEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKTAYNEILTAIDRDEGGLFFVDGPGGTGKTFLYRALLATVRGQGKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTAKLLQTASLIIWDEASMTKRQAIEALDKSMRDIMDIPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRKSELWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSGLDRLIDSVYQMNSACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDSVEDDSHNYYPPEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTAKNIKILTAENDDEDEDNKNKPSEKNKKRKKRNTKNRYTKNIVYSEVLTK >KQL29449 pep chromosome:Setaria_italica_v2.0:I:16175968:16177109:1 gene:SETIT_019717mg transcript:KQL29449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHVCADAFNFDSNSQTKMDSFDDRRRGYRTLFLWGGTLRVFRRANNTFACPICPSTRHQWRILNEVKDHILGMAKSLPLRGENKKWSCHLVVARNEGWM >KQL30644 pep chromosome:Setaria_italica_v2.0:I:32360200:32360875:-1 gene:SETIT_018867mg transcript:KQL30644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVAAVEAGVVAVASGRGCSSRLVRGLYWRLRAVLRRLRSERARHARARFSFHYDALSYALNFDDGRAAAADLVLVASSDALLR >KQL32124 pep chromosome:Setaria_italica_v2.0:I:41443086:41445011:1 gene:SETIT_017942mg transcript:KQL32124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPLEPTRPVSSAACDAHTAQMSSPQSHIHPRKSHGSSPQPRRARARCFTPPTSPRSWIGLHACHCQHETAAATSHRDPRPRASLTTDPTPTWFHALLTYINTRTRRSAAKGSPTFQCRASTKVDASVRLELDENPEAIISGEWPENPFLLSYDDLRAYLESQEAVQEGDQRVALLSETMSTPVLVATAEQTLEEVECHFEAVSGLPVVDSSLRCVGVVVKNDRAKASHGPQTKIEEVMTSSAITLASDKTVMDAAVLMLKKKIHRVPIVNQDEQVIGIITRADVLRELEGLLKI >KQL32249 pep chromosome:Setaria_italica_v2.0:I:41924869:41926130:-1 gene:SETIT_020221mg transcript:KQL32249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAALCRRSPSLLCRRHLLIRLLSSQTQLQAPPPPTPTTPADLSRLKSSIRDAATKPDALAALFLSGLPHPAFLADRPLFALSVHRLASAGRRDLVASILSSSLTALPSPHPSEGFLIRLICLYSAAGMPDHSLTPPSERALSALLSAYHDNRLYDRVVEAFNTLPADLAIKPGIVSHNVLLKTLVASGDLTAARKVFDEMPDRAGVQPDIISCNEILKGYLNAGDDAAFDQLLKEITKRHLKPNVGTYNLRMALLCARGRSFEAQELLDAMGAKGVPPNRAIFNTVIKGLCNEGEVGAAMALFREMREVPRQNGRGVSPNFETYIMLLEALVNKSVFDPALEVCKECLRNKWAPPFQAVKGLVQGLLKSRKAKQAKEVLTAMRKAVKGDAKEEWVKVEAQFQLVLADKKA >KQL30541 pep chromosome:Setaria_italica_v2.0:I:31550088:31555607:-1 gene:SETIT_017352mg transcript:KQL30541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGHPPEPGKEEVATTASGPGARDLDDPQFMCCVCLDILYKPVVISCGHMSCFWCVHKAMHIFQESHCAVCRQPFKHFPSICQLMHHLLRKLDPADYKRREEEVLEEEKRIEMYSPQIIEFLNSKNNVGNDADNRNEDSKTRPPQEVSLNGSVVDDHSKKIKLEDVSCPLCKEMLYQPAVLNCGHVYCVSCLPSLTEEALKCDVCGSLHPGCFPNVCLDLDHFLEEYFPAEYESRGQKVQSKKGQCNREGSSSGTSSAKGSSRAQHDDDLLNIHIGVGCDSCGEYPIHGKRYKCKDCTELIGFDLCGACYESSLKLPGRFNQQHTPDHRMELDNSALLGRVHQDLLMMEGGVIAPGAMVQIVFENQGMGDNGEGPGEAAIQEPVDVPGAMLHIAVDGQEMEDNGQEDDQEA >KQL30928 pep chromosome:Setaria_italica_v2.0:I:34468314:34473622:1 gene:SETIT_016511mg transcript:KQL30928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFGKRLVADQLEEWREYYINYKMMKKKVKQYVQQTQTGGKNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIENLGEQRAALMEQSDVSQICQLREAYREVGYDLVKLLRFLDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPYSQLQQIFKQVGVVAVVGALSRNLAYLQDHRGSFSSIYDHPSITFKDPVIEQINHSVQKLTHSTNFLQFLGQHALIVPDDMHSGSDLVDDQSYHFLSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNRSYFRPLVFSSIMLFLGNLLYALAYDLNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGLLQTKFRIYGLTFNQNTLPGWVMCVAWLVYLCWLWISFKEPGHIATDNSVNSQSSDSDHQVSGNLEDGLGQPLLVDVKERHDEDGEDNDDNEEDPEESHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWTTSTVAIFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIVMSFCFTPQYSVPQYVTSALITFVFSEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQSRLLNATLLPSLLICVASIVATFCTYNSLY >KQL30929 pep chromosome:Setaria_italica_v2.0:I:34468314:34473622:1 gene:SETIT_016511mg transcript:KQL30929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFGKRLVADQLEEWREYYINYKMMKKKVKQYVQQTQTGGKNREQVLKEFSRMLDDQIEKIVLFLLQQQGHLASRIENLGEQRAALMEQSDVSQICQLREAYREVGYDLVKLLRFLDMNATGIRKILKKFDKRFGYKFTDYYVSTRANHPYSQLQQIFKQVGVVAVVGALSRNLAYLQDHRGSFSSIYDHPSITFKDPVIEQINHSVQKLTHSTNFLQFLGQHALIVPDDMHSGSDLVDDQSYHFLSLLLNLVNTFLYMVNTYIIVPTADDYSVSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNRSYFRPLVFSSIMLFLGNLLYALAYDLNSLTVLIVGRLLCGLGSARAVNRRYISDCVPLKTRLQASAGFVSASALGMACGPALAGLLQTKFRIYGLTFNQNTLPGWVMCVAWLVYLCWLWISFKEPGHIATDNSVNSQSSDSDHQVSGNLEDGLGQPLLVDVKERHDEDGEDNDDNEEDPEESHKPATSLAAAYRLLTPSVKVQLLIYFMLKFAMEILLSESSVVTTFYFNWTTSTVAIFLAVLGLTVLPVNVIVGSYVTNLFQDRQILVASEIMVLIGIVMSFCFTPQYSVPQYVTSALITFVFSEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVAADMTITAAGYLGQSRLLNATLLPSLLICVASIVATFCTYNSLY >KQL29052 pep chromosome:Setaria_italica_v2.0:I:9944419:9944941:1 gene:SETIT_019573mg transcript:KQL29052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQQHASESDPSSQVSQVFEKSLQYVKRFSRYKNPDAMRQVRETLSRYGLAEFELCTLGNLCPDTSGEATALVPSLKSGGRFV >KQL31063 pep chromosome:Setaria_italica_v2.0:I:35357457:35360915:1 gene:SETIT_017463mg transcript:KQL31063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEDATSENLDGPDWNSNLEICDLVNTEKVNSVELIRGIKKRIMLKDARIQYLSLILLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRVRFPGRDNESLAPIFTPPRSVAEADIDANLQQQAFEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPEQDALQDDLTSTLVQQCHQSQHTIQRIIETVGDNEAVLFEALSVNDEIQKVLSKYEEMKQPRASENAEQRPVVIPIATEHEDSAAVGNEDALVRKPAAARARSGGDDDILDDLDEMIFGKKGGSSSQEAPKKPDPKKDDLISF >KQL31064 pep chromosome:Setaria_italica_v2.0:I:35357717:35360915:1 gene:SETIT_017463mg transcript:KQL31064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLMDKVSAFGERLKITGTEVSKKMTAGMSSMSFKMKELFQGQTPADKIVEDATSENLDGPDWNSNLEICDLVNTEKVNSVELIRGIKKRIMLKDARIQYLSLILLETIVKNCEKAFSEVAAERVLDEMVRLIDDPQTVVNNRNKALMLIEAWGESGDELRYLPVYEETYKSLKSRVRFPGRDNESLAPIFTPPRSVAEADIDANLQQQAFEDVHVHTYTAEETKEAFDVARNSIELLSTVLSSSPEQDALQDDLTSTLVQQCHQSQHTIQRIIETVGDNEAVLFEALSVNDEIQKVLSKYEEMKQPRASENAEQRPVVIPIATEHEDSAAVGNEDALVRKPAAARARSGGDDDILDDLDEMIFGKKGGSSSQEAPKKPDPKKDDLISF >KQL28458 pep chromosome:Setaria_italica_v2.0:I:6035150:6036389:1 gene:SETIT_017936mg transcript:KQL28458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAATTAKLAAGIHGGGRRYALLLALWDSEYAQKVYGGYYNVFVSAFGGDGGERWDCFRVIAGEFPAPEDLASYDGFVVSGSPHDAHGDDPWIRRLCALVQALHAMEKRVLGICFGHQVLCRALGGRVGKARNGWDVGVKKVTFVQDCLEGFDFLAGDLDVQLPSSASLIEVHQDEVWDTPPEATVLASSEKTRVEVFAVGEHALGIQGHPEYTTDILHNLIDRLTTQGAIQGCVGEDARRTVAETGGPDRAFWTSLCKNFLNGGRQSCGRPTPVVTGRQRLLHRRRSDGPVGLQC >KQL30023 pep chromosome:Setaria_italica_v2.0:I:27550583:27553741:-1 gene:SETIT_017084mg transcript:KQL30023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYLCVFYHRLLDYRRPEVESLAELFSGPGSGESVEWRMPENHHADSPFHLVRLPGDERLAAQVANRSLLVKGIYELWGHGTTYEELEKSVREYPDERKLPFLTPDSTFKIVVDSFGKVISSQEQNEIMQSLTYIPFKGRVNLKKPDHRFFVMETDDYGSNNGLPPVAKRTIFFGREVGAADRHLLPTYQLKSRKYIGPTAMDAEMAFLMANQGLARPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRVVRDGRGPDCNVWSNFEQYKLPEPLGLLRADNNLPPWRPGLKEMFDAIICDPPYGVRAGGRKSGGRKIIKGVKGPYTVPDEKRDNHIPSTAPYSLAECVHDLLHLAARMLVMGGRLVFFYPVLRGEDGADSRVASPQFPEHPCFKLIASCEQILSYRYSRVLLTMVKVAPYTEEIERVAEERHREFRENHQKWMEEGNLHSAVFEPAQDAKPDRELKPKYRGKYV >KQL30290 pep chromosome:Setaria_italica_v2.0:I:29660856:29663412:-1 gene:SETIT_016752mg transcript:KQL30290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVAKVPPALWLLLGVVAVAFGVAATPAQASGTNHYDFFIKETNVTRLCHEKAVLAVNGQFPGPTIYARRGDVVVVNVHNQGHKNITLHWHGVDQPRNPWFDGPEYITQCPIRPGANFTYTIILSDEEGTLWWHAHSDFDRATVHGAIVVHPKLGSTYPYPKPHKEIPIILGEWWNVDVEQLLEEAKRTGGDVNVSDANTINGQPGDMFPCSRNGTFRAVVEHGKTYLLRIINAGLTNDMFFAVAGHRLTVVGTDGRYLKPFAADHVMIASGQTVDALLHAGRAPNGGGRYYMAARTFQTNLQLSINNSTATAVLEYTDAAGPPELPVLPAVRDIGAATAYTARLRSLASEAHPADVPARVDERMLVTVSVNVLPCGGGANSNETCDGPINGTRLSASLNNVSFVSPAVDVLDAYYSSIAGVYEPDFPDRPPVAFNFTDSEPAQELWFTRRGTKVKVVEYGAVVEVVFQGTGILGAEPHPIHLHGYAFYVVGRGFGNFDESKDPAAYNLVDPPYQNTVSVPAGGWAAIRFRAANPGVWFMHCHFDRHTVWGMDTVFIVKNGKTPDAQMLPRPPSMPKC >KQL31342 pep chromosome:Setaria_italica_v2.0:I:37001602:37002641:1 gene:SETIT_018465mg transcript:KQL31342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDESMQLTCTRRSIDPAARRRVVLVASMAGVTLSVLLLVAGVVAMLVLHILIVFWALRRGVALRAAPDEERAAAEGLSAEDLNGLPCHDHESKAGAGGGECAVCLEAFRAGDRCRALPGCEHGFHAQCVDPWLRKSRVCPVCRAVVVVVAVGRGKAAGTVAAASSEVVAERQGGADR >KQL32010 pep chromosome:Setaria_italica_v2.0:I:40846361:40849534:-1 gene:SETIT_017805mg transcript:KQL32010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGGGGLNRSSSRGQLPPQELLDDLCSRFLLNVPKEELESFERILFLLEQAHWFYEDNSVEHNPNLKSLSFKDFTSLMFKSCTALRPYIAHLDDIYKDFNNYKFRVPVSGAIILDDTYERCLLVKGWKAGASWSFPRGKRNKDEEDHTCAVREVLEETGCDVSTLLNLDDYIEVSIGQQRVRLYIITGVKRDTVFAPQTKKEISEISWHRIDDLLPASDDAVSRGVNGMKLYMVAPFLTGLKAWIATHRPPLYQKSEASARGTVWKAKNSSSGGAPVENPVARAGSDAQHVDNRPGRSFRNFRFDTASILQSMEASFLRT >KQL32011 pep chromosome:Setaria_italica_v2.0:I:40846816:40849591:-1 gene:SETIT_017805mg transcript:KQL32011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGGGGLNRSSSRGQLPPQELLDDLCSRFLLNVPKEELESFERILFLLEQAHWFYEDNSVEHNPNLKSLSFKDFTSLMFKSCTALRPYIAHLDDIYKDFNNYKFRVPVSGAIILDDTYERCLLVKGWKAGASWSFPRGKRNKDEEDHTCAVREVLEETGCDVSTLLNLDDYIEVSIGQQRVRLYIITGVKRDTVFAPQTKKEISEISWHRIDDLLPASDDAVSRGVNGMKLYMVAPFLTSVSSDPHFVSFIFGADAVMGH >KQL32009 pep chromosome:Setaria_italica_v2.0:I:40846156:40849591:-1 gene:SETIT_017805mg transcript:KQL32009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGGGGLNRSSSRGQLPPQELLDDLCSRFLLNVPKEELESFERILFLLEQAHWFYEDNSVEHNPNLKSLSFKDFTSLMFKSCTALRPYIAHLDDIYKDFNNYKFRVPVSGAIILDDTYERCLLVKGWKAGASWSFPRGKRNKDEEDHTCAVREVLEETGCDVSTLLNLDDYIEVSIGQQRVRLYIITGVKRDTVFAPQTKKEISEISWHRIDDLLPASDDAVSRGVNGMKLYMVAPFLTLGLPRIVPRCIRNQRHLLEVLCGRQRIHQAVVPQ >KQL30131 pep chromosome:Setaria_italica_v2.0:I:28289630:28293872:-1 gene:SETIT_016460mg transcript:KQL30131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESESESHAGERGLVPVGGTSGRHEALKNDGFVRKDQSWYVNSDIPSDLLVKVGDVSFHLHKYPMISRSGRMSRIIYETASADPDTAAVDLDDLPGGAGSFELAARFCYGMAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSIVVLKSCEGLSPWAENLQIVRRCSESIAWKACANPRGVRWAYTGSGGGGRTPRTAGGTASPRWNLGGGGSGGGESKESSPSRQQAVPPADWWFEDVSVLRIDHFVRVVTAIKVKGMRFDLIGAAITHYASKWLPGLTKDGPHGGAVDEPWAQASPGGGLHMIIAGGGGKDDIAASAPAREQRMVVESLISITPPQRDSVSCGFLLRLLRLAIMLKAAPALVTELEKRVGMQLEQAALADLLIPSYGRADTAYDVDLVQRLVEHFLVQEQTAELASSSPGRGEPSPPLPPEYYGARAAAAAAPSAAAAGLNAKARVARLLDSYLSEVSRDRNLSLTKFQVLAESLPESARTCDDGLYRAVDSYLKAHPTLTEHERKRLCRVMDCQKLSMDACMHAAQNERLPLRVVVQVLFSEQVKISNALASSPGAAALLGKAAPDAAPLVAAPPPTRRQLLDGTPQSFQDGWAAAKKDINTLKFELESMKAKYLELQHEMDALQKQVDRGAPSPAAGPKAGGKHQTGPSAWSSGWRKLGRLAKMTGADAAGTDGHVPGAPGEAPRKPRRWRNSIS >KQL31288 pep chromosome:Setaria_italica_v2.0:I:36716201:36719531:1 gene:SETIT_017400mg transcript:KQL31288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLRWWRADASEVMAVTAMGVWEAVLAGGGRRFIKRKDSDAGETGRALEELRSSLYNEMHSSEIAKRQQQRFCGPSVALTFNFAVAVGIIMANKMVMGSVGFKFPIALSLIHYAVAFLLMATLKTFSLLPVAPPLKSTPFSSIFALGAVMSLSTGLANVSLKHNSVGFYQMAKIAVTPTIVVAEFMLFQKKVSTQKAMTLAVVSFGVAIATVTDLEFNFFGACVALAWIVPSAVNKILWSSLQQSGNWTALALMWKTTPITIFFLLTLMPLLDPPGLLLFSWNFRNSCAIIISALFGFLLQWSGALALGATSALSHVVLGQFKTIVIVLSGYLIFGSDPGITSICGAVVALGGMSFYTYLGLKKDSATSGKKAPSRQNSFMSKPKTAADNDNADSDKEGTV >KQL31013 pep chromosome:Setaria_italica_v2.0:I:35022475:35024372:1 gene:SETIT_019298mg transcript:KQL31013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVACQLTAHGHNVQLFTSHHDKTRCFEENVSDKLMHRYYLSASFQSVFCCHFPDLLLALHTYSSKLQKLYRKPIGKLGLILSCATVFQSCDACMADLIPVNSKLNFLPINCFERKKKLVLTISAFALINSVVSMPSSGHDKHQKENVEYLEPRRLAVTEGVSDHFTFDEHFGIVPLEAMAAKKPVIACNSGGPVETIANELTGFICEPSPIELLKAISTLSTELYGAEFSTRKFGDLLNCYVLNVYNQRTE >KQL27703 pep chromosome:Setaria_italica_v2.0:I:161039:163461:1 gene:SETIT_018529mg transcript:KQL27703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQVRRSLSMSRPRSCPAADDRGWNQLHVAARKGDLKEVRRLLDEGMDVNAPAWGPKSPGATALHLAAQGGHVKIMDELLERGANIDARTKGACGWTPLHIAAKERNKKAVRFLIENGAFLPPEMNDHRFNPPLHYCSGLEWAYEMKRMQDESDSPGETSLSSDS >KQL28761 pep chromosome:Setaria_italica_v2.0:I:7944206:7949258:-1 gene:SETIT_016778mg transcript:KQL28761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGFALAAASPSPALLTGERLVVFLFAARVALAAPALLAAPLAVLAAAALAVELAVDGSASPLRRFRTRPGASSGILLGATTLPSVMLSQLIQRSRLLPADPNGPEEFAYLEMQYWAASISCLGVLAFFLWHLRQSPSNGVSKHLKYGPLLVALYLVTYFLSFLLKTDGGLMVMTNMVYMLCHGVAAVILIKHILEKFPSCSSFGEALLVSSGLVLYFGDMLAHTLSKMDFSMSSKAFIHTPGTRSDMTTIIQGILLGLFLLPLLYKSSLQFWDYCKGKQRTEAAVEHTQKRIGSAVFYTLLLVVLMFLVPSWTHLVQGLKVHPFVWIINYMFTDSHERLALCAYWICVICVSVRRFYSISKQSKTERILLRKYYHLVAVLIFSPAVIFQPAFLDLAFGAAFAVFLILEMIRIWEIYPLGRVVHQFMNAFTDHRDSEILIVSHFSLLLGCALPKWMSSGLNDRPLAPFAGILSLGIGDTMASMIGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILVSLLASSGYILSQNWLSLLIAVTLSGLLEAYTAQLDNAFIPLVFYSLLCL >KQL29571 pep chromosome:Setaria_italica_v2.0:I:21396698:21397171:1 gene:SETIT_020321mg transcript:KQL29571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSTIPNVLIVDLSRLCCISKRMTYIRCPY >KQL29139 pep chromosome:Setaria_italica_v2.0:I:10622700:10623077:-1 gene:SETIT_020320mg transcript:KQL29139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNSILFRDKHMSMDKSQLKYLLKTSFP >KQL28359 pep chromosome:Setaria_italica_v2.0:I:5369373:5369672:1 gene:SETIT_020392mg transcript:KQL28359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQRPPLRAWGRASGLQLGTGCSGAEMGDGARRGPATTPWPWAAGGVKAEVAARAEAVPTMTPWPLGESERRGVRRG >KQL29735 pep chromosome:Setaria_italica_v2.0:I:23946381:23948075:-1 gene:SETIT_017244mg transcript:KQL29735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPVAAPERGGDLIGALPDGVLEDIIGFLPAQDAVRTCVLARRWRHLWKSAKALHVVGGDGKFLESVKELREFVEYLLLSRGGAPLDTFELRFGNFGDFNFLGFSDEDLPSLKIWFWYVVTRKARVLRLRLHNDFEGFHALPELGGLPMASKHLTRLELHGVAVYRSFPDFSSCPALQHLEFNKCEFSLVKKISSDSLKYLSITESICGFYDSFRTHICAPNLVSLRLDKVYGCTPVLESMPSLVEAFVRIPENCLDQCGQWHANYWDCDCKSCDHHDNSVDGHDCCVLLKGLSEAKKLALFSCPVMYIFKRDLRCCPMFSKLKSLLLNKYWSEPDDLRALACIFEHSPVLEKLTLQLFLEVPTNVEEMKGSPDPAEVSAAISECLQIVKVKCEVFDEKVLNVLKFLRKLGIRKSLLMPSMFLLFAFTYGKGSK >KQL31281 pep chromosome:Setaria_italica_v2.0:I:36688220:36691031:-1 gene:SETIT_020354mg transcript:KQL31281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKTAAIGSCHGLPASRVDGGFSWSELDDNFDNDGWED >KQL30807 pep chromosome:Setaria_italica_v2.0:I:33474327:33475791:-1 gene:SETIT_017969mg transcript:KQL30807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSHTLLLGALVVLALLVSPIACSRKLAKPNKHRPSHKPAVRARSNYTATPSASDAYGSGGWLSAGATYYGAPNGDGSDGGACGYQTAVGQRPFSSMISAGSPSLFKGGKGCGACYEVKCDSNPACSGQPATVVITDECPGGVCLAEAAHFDMSGTSMGAMAKPGMADRLRAAGILKVQYKRVPCKYSGLNIAFRVDQGSNPFYFEVLIEFEDGDGDLSAVDLMEAGCGTWTPMVQNWGALWRYNSNTGKALRAPFSLRLTSESGKVLVANNVIPAGWTAGATYRSLVNYS >KQL30871 pep chromosome:Setaria_italica_v2.0:I:33901390:33901723:-1 gene:SETIT_020145mg transcript:KQL30871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRFMDLRAFILRTRVLKLYRQALRITQRAPEHARDELRKTTRAEFEKYRHCDDNQKIRFLISEGKQRLKGLDELLDMTGNG >KQL32031 pep chromosome:Setaria_italica_v2.0:I:41002948:41007298:-1 gene:SETIT_017252mg transcript:KQL32031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLACCYNDPEMQIDPDTVYPIRPDCRDDAPKTRFKPRPGLTLSPKRWKLLHNEEGVLDIAGMIKRVQRGGVHPTIKGEVWEFLLGCYDPKSTTEQRNQLRQQRRLEYENLKTKCREMDTTVGSGRVITMPVITEDGQPIEDPNSDRGARPSSVGSEQQTSGATLPKEVIQWKLTLHQIGLDVNRTDRVLVYYESQANLARLWDILAVYSWVDKDIGYCQGMSDLCSPISIILEHEADAFWCFERLMRRVRGNFISTSTSIGVRAQLTTLSTIMKSVDPKLHEHLEHLDGGEYLFAFRMLMVLFRREFSFVDTMYLWELMWSMEYNPNLFSMLESDNGTTRATTNDESVLRQCGKFERKILQAAKKEEEIPLSVFVVASVLEARNKKLLGEAKGLDDVVKILNEITGSLDAKKACREALQIHEKYLNTVKA >KQL30324 pep chromosome:Setaria_italica_v2.0:I:29942607:29945375:1 gene:SETIT_016827mg transcript:KQL30324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMARHDNWNFCAHSGLVAYACDLDILLHYQMVGPVIKLLLLIAVTVILVLWLIIGIMGSILAGFAYGFLAPAMATFDAVGEGKEKPLVHCFLDGTWSAITGSCTVVRDMKDMLLHSYFSIMDEVRLHAPPDGKPYEIRLLHIPGAILAAACGLVVDAIMFTLIALYKFPVMLYKGWKRLIEDLIGREGPFLETACVPFAGLAILLWPFAVIGAFLASIICSVPFGTYAAVVVYQESSLFLGLSYVISSVSIFDEYTNDVLDMAPGSCFPRFKYQKDEASSHGGSLSRPASFKDKHDGKKAPQRVTSFKSSFDEFNPFKLLDLLFIECKHYGKDLVAEGVITPKDIEGTKAGKVSTGVLNFGLPAYVILKALLRSAKANSDGLVLSDGSEITSDNRPKSKFFEWFFDPLMVIKDQIKAENFTEEEEAYLEKQVLLISDPKRVKENLIRLPSLSEQKQAEIGAFARRLQGITKSISRYPTAKRRFDVLVKSLSEELARTMGGSQSANGSQVRKTRSGIVRMLSQSSLGKTTGIRGDDHEAQITGDVNTE >KQL29099 pep chromosome:Setaria_italica_v2.0:I:10273758:10277185:1 gene:SETIT_017058mg transcript:KQL29099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLIEQGSGNSMNMSVAAYFQFTRTTKSHGDKPSKDAAAEQNEIAISTHHCTVHPHTSPRRHPLSAKIRFPSPLPPPPPPLMAGDLRHRRAPPPEDGDEGASPAEESAAAVDNGKEGAGERNGKREALGWLEWGRGWMAIVGEFLFQRIAASHLANPLELPPLDGVSIVVTGATSGIGLEIARQLAQAGAHVVMAVRRPKVAQELIQKWQNENSETGTPLNAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFAIGEPQRFSKDGHEEHMQVNHLAPALLAMLLIPSLLRGSPSRIVNVNSIMHTVGFVDAEDMNLRKRKYRSWLGYSNSKLAQVKFSSMLHKRIPAEAGINVVCASPGIVDTNVVSSTSNSFFL >KQL29098 pep chromosome:Setaria_italica_v2.0:I:10273758:10278239:1 gene:SETIT_017058mg transcript:KQL29098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLIEQGSGNSMNMSVAAYFQFTRTTKSHGDKPSKDAAAEQNEIAISTHHCTVHPHTSPRRHPLSAKIRFPSPLPPPPPPLMAGDLRHRRAPPPEDGDEGASPAEESAAAVDNGKEGAGERNGKREALGWLEWGRGWMAIVGEFLFQRIAASHLANPLELPPLDGVSIVVTGATSGIGLEIARQLAQAGAHVVMAVRRPKVAQELIQKWQNENSETGTPLNAEVMELDLLSLDSVVKFADAWNARMAPLHVLINNAGIFAIGEPQRFSKDGHEEHMQVNHLAPALLAMLLIPSLLRGSPSRIVNVNSIMHTVGFVDAEDMNLRKRKYRSWLGYSNSKLAQVKFSSMLHKRIPAEAGINVVCASPGIVDTNVARDLPKVVVAAYHLIPYFIFNAQEGSRSTLFAASDPQVPEYCEMLKSENWPVCACISYDCNPMNASEEAHNLETSELVWEKTLEMIGLPPDALEKLIAGESVQCCYGQQEAE >KQL28657 pep chromosome:Setaria_italica_v2.0:I:7277193:7281108:1 gene:SETIT_018498mg transcript:KQL28657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPDVEMEAAPQSPAQPPAPALAAGGEGWSMLSRARALLEEGKPSLALQAVLLAIRSQGGEQALIQTMDRACELYTQRLQATPSVDELASLLAQCAIAEAQSSNANPPRGPGSDPVDMLNSDEACILAVSGRKQIILDAFADGSSFICLKCGGLYSTSRKDEHLAYWCRTA >KQL28186 pep chromosome:Setaria_italica_v2.0:I:4132071:4133582:-1 gene:SETIT_019404mg transcript:KQL28186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTEPAVAATAPVKTRPRPASHFVLVPFVAQGHIIPLVDLARILAERGARATVVTTPLNAARLRGAAEHAARAGLPLELVELPFPPAGSGLPHDCQNADMIADNYQFLPFFLALRELAGPFDAYVRALAPRPSCIISDWCNPWTAGVATSLGIPRLFFHGPSCFFSLCELMAANHGLYERIATAGDEDKHAVPGVPVPVTVTKANVPGFLIHPFLEELRAEAMEAMCTADGVVVNTFSDLEGKFLACYEAELGKPVWALGPLCLSNRDAQATASRGTMAAAAAQKAITAWLDEQAPGSVVYVSFGSIARKLPKQLFEVGHGLEDSGEPFLWVVKEREAAAPEVREWLDALEARTAGRGLVVRGWAPQLAVLSHRAVGGFVTHCGWNSLLEAIAHGVPVVTWPHFSDQFFNEQLAVDVLGVGVPIGVTAPVMIFDDETVPVAQEDVVRAVAALMGGGKEADERRKKAKEYGEKARKAMEQGGSSYENLTRFVHSFTRSEAKEH >KQL29063 pep chromosome:Setaria_italica_v2.0:I:10063647:10068749:1 gene:SETIT_017768mg transcript:KQL29063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLVVATTKDPASIGPAAAFLAMPGWSPGPPIAEGMESFTNGNVRLLKHEHSIIEEDNLDQRWQEATGEPVSEVIFLSKHTAVSNRPALTVHPIGVPHLRDDETPPQGGTPGWAAIPNPRIGPWLRLMQKIAAEQGLVPEFEITLEATHHGPVTSTPTMFVEIGSTEEYWGRQDAAQAIALSLPPSLSIGQLNIVLRKGLGLEDGNAVGSWQGNSEKVLLGIGGGHYAPRHMDIVNKDGVWVGHLLSGYSLPMETPSQINGKTSGEVAGMWKHSIKVSYEATKAAFPGGEVIAHLDHKSFKSWQKNAVTSYLQEQNIRIGKPSDFF >KQL28425 pep chromosome:Setaria_italica_v2.0:I:5791855:5796147:1 gene:SETIT_016630mg transcript:KQL28425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEEVKLQAKANGGHGAKDQFPVGMRVLAVDDDPTCLKVLENLLLRCQYHVTTTGQAATALKLLREKKDQFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGETQTVMKGITHGACDYLLKPVRIEQLRTIWQHVVRRKSSDAKNHGNDNDDSDKKLQLASAEGDNGGVNRNKRTSRKGRDDNGDDGDDSDDSNENGDSSTQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVENITRENVASHLQKYRLYLKRLSADASRQANLTAAFGGRNPAYVNMGLEAFRHYNAYGRYRPVPTTNHSQSNNLLARMNSPAFGMHGLLPSQPLQIGHTQNNMSTSLGNVGGMNSGNLIRGAHMPLQDSSKCFPTGPSGNSFPNISNSTALVPTNNLPLQSLEPSNQQHLGRMHSSSADPFNSFVGESPQFPDLGRCNTTWPTAVSSSNIHELGQKDSMPQPNLRANGPKLEPLSSFTEASSQIPLLGNEMQSQVASLASNGLPMPFNQEAVPFAYRSSTNSRDMLNNNLALSNSGINSSLPNLRLDNSMVPRQTLDGGNSGGVPPLQDGRIDQQAVNSQLNYNNDLMGTSRLQRGLSGGLDDIVVDMFRPDRSDDSIPFIDGDWELV >KQL30010 pep chromosome:Setaria_italica_v2.0:I:27459803:27461525:-1 gene:SETIT_0175142mg transcript:KQL30010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEPRFLWNSYLLEPLIENRLNQYLLPVIQGSFQNIHAEVGSEKVNVTLIARRCTRRIGTRMWRRGADAEGYAANFVESEQIMQSKGFTASYVQVRGSMPFLWEQIVDLTYKPSFDIVRQEEAPRVLERHFHDLQKKYGAVLAVDLVNTGGGEGRLRERYAKSIEPILSEDLRYVHFDFHRVCGHVHFERLSQLYDQIKDYLRKHRYVAS >KQL32260 pep chromosome:Setaria_italica_v2.0:I:41979786:41985104:-1 gene:SETIT_016307mg transcript:KQL32260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAAAAAHKRKRPDGEVDLSAADAVEVLDLRAGKRLLLAFERRLRDNLEARMKYPDDPARFADSEIALHAEADRLRLLAGAPELFPDLVPIGLASSLASLLTHDNADLAAAAASLLADLTDSDDPDDLAAVQALADALVEANALDLLVHNLSRFSEADPDEAEAVHNTLAVLENLLDLRPHLADKVCDGTKLLRWLLSRLKAREFDANKQYASEILAILLQNSPANQKRLGQINGVDGLLQAVAMYKSRDPKTSDEEEMLENLFDCLCCVLMPLENKERFVKAEGVELMIIIMKQKKLAYSSAIRTLDFAMTRFPPACERFVDVLGLKTAFAAFMGKANDPIPVNKKNKKESYQEELEERIISIIASLFGGIIKGSRRMRLLGKFVENECEKIDRLMEFYTRYSDRVKEETERLDSLDLEDLEMDDDERYNRKLEAGLYTLQLIALILGHIWHSGNSQMRARVELLLRQNKLTKQDVKDILEEYHDNIGDLDGPEEKERAQARAKEIIASPVAAACGVVTPSLPLLPSATMYYPHLAVSVSMAAAAAHPFLRRPSSSVPSLLHSTPTSWRSRRRAIAAVSVQGDDDGFFTVDLDPDDYAAESESDDDSPWEGALVYRRDAAVHHLEHATTLERLGLGDLSSNDSRARAAAMGLGAPDQPQTPVLVSLDVTRRRARLRLDGIVRTVITLGCFRCAEPAPQGIFANFSLLLTEDPVEEPDVVDLGTIYEEDTASGAGTLGEDDQDVDWDDRLHFPAGDKEIDISKNIRDIIHLEITMDAVCSPSCKGLCLACGENLNTSSCSCSKEKPREPKNVKGPGPLKELLKPIQKR >KQL28722 pep chromosome:Setaria_italica_v2.0:I:7745839:7751784:1 gene:SETIT_019294mg transcript:KQL28722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCAAVLLFYILQYTSSQPDSFIRIDCGIPENSSYQDLTSSIIYVSDHGFISSGENHNISADYIKPSLAQRYYNVRFFPDGTRNCYTLRSLVAGNKYFVRAAFYYGNYDGLNMLPVFDLYLGTNRWHEVQFSDAGATNWMDIIVVAPADYLQVCLVNKGMETPFISGMDLRPLKSNLYPEANSSQPLVLINSNRFNIGLTDDSIVRYPLDPHDRIWSTFDTIPSWKETSATYAVRNYLTDAYDVPSAIMQNAATPANGSRIDFSWNPSDPSVNISSRYFFVFYFSELLSVASNELRQFDIIVDNRWNTKPYTPPYQFAESFSGTVQGQAGHSVSLVATKNAKLPPILNAMVKPISETATNPGDARTMMAIQETFGVSKNWIGDPCAPKAFAWEGLNCTYPPVGLPRITAFNLSSSGLAGSIASYLGDLKALQSLFGNNENLCGNGTTCRSGRKNTNGAFLSAIIIPTVAIIALFVTLIVLLRRTRKEKEFSYGELKHITNNFSQEIGKGGFGAVFLGYLENGNPVAVKLRSESSSQGNKEFWLRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRGCFKSLTWEQRLQIALDAAQGLEYLHVACKPALIHRDVKSRNILLTTDLGAKIADFGLTEAFSDLKTHITTEPAGTMGYMDPDVSIHIGEWVHQNLDQGSIESIIDSSMGGDYDVNSVWKVADLALHCKEEISRERPTMTDVVAQIKESVELEARRDMKRNSAISEASPGPAMR >KQL31215 pep chromosome:Setaria_italica_v2.0:I:36297385:36303355:1 gene:SETIT_016136mg transcript:KQL31215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYPEELRTPPLSLVSIVGCPELHPSISAALSSQQPPMNTLALPDFTKASILARSGKARDPLAPPQAPAGILKKDWLLKHRTRVPAAVAALFRADQVSGDPAQWLQACSDLENLKSAIQGINTKLVVVLVQAQASDELSEDVTVALRKRAEIDSKHLVVLVEHDEAEWNRSLSKLKNVFAELCSAFYKEEGRRIKARIEKRNFSSVELSIRYCFKVAVYAEFRRDWPEALKFYEEGVRVLREMIGTSTRLPPTQRLVEIKAVAEQFHFKISTLLLHAGKVVEAIMWFRKHIRSYERVVGTPEVAFLHWEWFSRQFLVFGELIETTSATVPDTLSPRFGTADNALTEWEFQPAYYYQLAATYLREKRYAIECSSSTANLTTEANGIPESVMPSVYVGQYVRLFEQGDTVSVLPLSDTEYTSYALSEAERFQDSYEIIALFRKAYESFQSLGATRMASSCSRGMAIEYYAAGDFSNAKQLFDGVAGLYRQEGWTTLLWENLGYLRECSRKLNFPKDFISYSLEMAALPLFSGSVEETRENKIKSGPAGSPTISRRENILQEVVNVLERKQPPEGNDDGFSNAMEETTHLDIDQISPLRMVLTASVAFHDQSVKPGSPLLISVSLLSHLPSPVVVDQLEVQFNQSDCNFVIHSTQEDSPPLDSNLHGQVVEATSLTLFTNKWMRLTREIKSGQSGKLECLLVKATINKHLVICCHAESPASMEDFPLWKFEDQVETLPTKDNVLAFSGQKLIQVEEPDAQVDVVLNSAGPALVGEIFIVPVTVFSKGHTVHSGELKINLVDARGGGLLMSPREAEESESHHVELLGVSTVSDGKESKEEVDSIRKIQYSFGVVSVPTLSVGDSWSCKLEIKWHRAKSVMLYVSLGYSLGSSEEEALHRLNVHRSLQIEGQIPLLVTHQLLRPFRREPLLLSEIRSLGDGDKKCSLAMNESNMFIVNARNCTEVPLRLHSMTIEPDDDGKQLCSVQQVSGISNGHAVIAPSEEYKGIFSVNPRASNSNFHLGEICLNWSRDSRLGEAQERRVIMKQRLPEVSVEEPPLVVSMECPPYVILGIPFTFYVKIHNSTPLLQEIKYSLVDSQNFVFSGAHNHAAFILPKSEHIVSHKLVPLGSGSQQLPKITVTSVRYSAALTPSASAATVFVYPSEPKFNLETSCSASDQCVS >KQL28611 pep chromosome:Setaria_italica_v2.0:I:7015902:7017323:-1 gene:SETIT_017099mg transcript:KQL28611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRPSPSLPAWATANALFRRHRRLLPLLLPAASLRDLLPVLSYCLVSGLARNPFVASRLLLTSSGLSLPFSLLLLSHLPASSLSSFSFNSLIRASPSVLALRLFDQMRRRGVPADPYTLPFLIRACNGSDPPLCKSLHGQGVRLGYGCHLFTQTALMNMYFACGSVVAARRVFEEMPARDVVAWTGLVSGYVDSGMYLKAVEVFQEMRGADDLVWPNEATVVSVASACTGLGSLEYATGLHSYVEKIGLEGKLIVKNALVDMYGKCGSIESARRLFCLMCEKDLHSWTAMISGLASHGHGKEAVALFFSMLEAGLNPDSTTFIVVLSACSHSGLVDEGIHVFNSMESEYRVSLSIKHYGCMVDLFSRAGLIHRAYEFVSTMPFEPNLEILGALLSACSINNELEIGELVLNKIESVCSYKGGAGVLLSNMYANQNLWQEVDTIRRKIKTEAIARKPPGQSLIATEVLCMSW >KQL28132 pep chromosome:Setaria_italica_v2.0:I:3663634:3667386:-1 gene:SETIT_019474mg transcript:KQL28132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPMALPLFVTILLIVCCSAEAMPVLLSLTDSQKASTSSATDRAALLSFRSHIKSDPSRALASWGNQSIATCLWRGVTCGLSGRVTALDLPGLGLLGVITPELGNLTYLTQLHLPQNRLSGALPPELGNLLDLQHLDISENSIGGQIPPSLSNCRLLETMNFSSNRLQLEIPHQLGSLKNLKVLHVGNNNLTGSIPSEIGDLVNLNLLDVSYNNLTGKIPSEIGELKGIGKINLKSNQLMGPIPSTLGNLSALTYISIRSNKLTGSIPPLQGLSSISFLQLADNNLTGRIPSWLGNLSSLTVIDFRKNGLVGNIPESLGDLKLLKALSLSFNKLVGSVPNSLGNLHALTEFYIDNNELEGSIAPSLFNISSLEIFNIQFNHLNGSFPLDLGSRLPNLELFLVNGNRFHGDIPPALCNTSSIQMIQMQTNFLSGKIPHCFGLRQKNLSVFGLGQNQLEATNSAEWSFLSSLTNCSHLKLIDLGENKLQGELPDLIGNLSSNLFFLNVQINNITGKIPESIGNLIGLNVLGMDINLFEGNIPSSIGNLKKLNALSISNNNLSGSIPVTFGNLSALSRLGLDGNSLSGGIPSSLSRCPLQDLNLSHNRLTGPIPKELFLVSTLSNSLILDHNLLTGPLPSEVGNLRNVAGLDFSSNNISGEIPPSIGNCQSLQHLSISGNFLQGVIPSSLGQLNGLLELDLSHNNLSGRIPNFLGNMRGLTNLNLSFNNFEGEVPKDGIFLNVTAISILGNNGLCGGISQLNLPLCSSHPSNTHSQKKTMVISIVAGVLFLTSVVVLFAIIHWRSKTRREEKHESLLTEQHMRVSYAELVNATNDFSSENLVGVGSFGSVYKGRMTNHDQQLVIAVKVFNLQTRGALKSFDAECETLRYVRHRNLLKVLTVCSSTDFRGDDFKALIYEFLPNGNLNEWLHLHPEMEGEKKVLDLVQRISIAIDVASAIDYLHHHNPFPIIHCDLKPTNVLLDNNMVAQVGDFGLARFLHEDSSDILEQSTGWAAMRGTIGYAAPEYGQGNNASIQGDVYSFGILLLEMFTGKRPTDSEVTEGCNLHKYVEMALQDQAINVIDQHLLSVTEDDEGRTRGNQQTIREKRIACIVSALEIGISCSKDLPADRMQIRDALKELLVDREKLIRGS >KQL28807 pep chromosome:Setaria_italica_v2.0:I:8217963:8218408:-1 gene:SETIT_020282mg transcript:KQL28807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSKTDLPNRKNRKRWIGTVPVPIWPKSSASTVWRPRAAASWRWHLANRLAISSLSSLSC >KQL28862 pep chromosome:Setaria_italica_v2.0:I:8527147:8527664:-1 gene:SETIT_019422mg transcript:KQL28862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQEVKKLLRNQHRRHDAHVEVKDEDRSQDGAKVWVLGMSGIGGIALPLQQLKPVKTGGRRRHDADEERDGGGEKGEDEEPVTPRGEGCRIPAEAATCPPAPKKPRTAVSIIRSGAGRRCNCDDGEVLDDGFGGRLCQPSCQGELAASAAGRPCARVSVVLESKQ >KQL29615 pep chromosome:Setaria_italica_v2.0:I:22023369:22026944:1 gene:SETIT_018173mg transcript:KQL29615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTSEDGDILALLSEPSLTEEQLEASESDDILPAILEAIKSNAKAVEPSPEEAAWADSCFVQTSELSDHDWGAMRNALLDALEKPTESPFDTSEAVHDQGVHSISEAKRQHDDVHMEQMDNSDDDKDSSQDCEVADVIRGADEHGKQMDSYAVKPEDGDELASPEVLEQAESTDSIFKVWDLELSFSDDDDGELELIKDLKKLLKENGSPPEAVYPTLPLDDTTKSLDQINIDELVVGLSDLSIQQTNK >KQL29614 pep chromosome:Setaria_italica_v2.0:I:22023369:22026944:1 gene:SETIT_018173mg transcript:KQL29614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTSEDGDILALLSEPSLTEEQLEASESDDILPAILEAIKSNAKAVEPSPEEAAWADSCFVQTSELSDHDWGAMRNALLDALEKPTESPFDTSEAVHDQGVHSISEAKRQHDDVHMEQMDNSDDDKDSSQDCEVADVIRGADEHGKQMDSYAVKPEDGDELASPEVLEQAESTDSIFKVWDLELSFSDDDDGELELIKDLKKLLKENGSPPEAVYPTLPLDDTTKSLDQINIDELVVGLSDLSIQQTNK >KQL31351 pep chromosome:Setaria_italica_v2.0:I:37028252:37029373:-1 gene:SETIT_020462mg transcript:KQL31351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSAFNLITLLERSLSAFQILTVPFHNLQAHH >KQL30931 pep chromosome:Setaria_italica_v2.0:I:34481029:34481399:-1 gene:SETIT_020391mg transcript:KQL30931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHVQCKQKCSKGYREQLLMLYLRCLLVQPM >KQL29787 pep chromosome:Setaria_italica_v2.0:I:24615392:24616285:-1 gene:SETIT_019121mg transcript:KQL29787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEIFRVIANYLRVENLLRDTHGVRVEEQMGIFMFMLSHNTNTDRLKKEFQNSGETSHRKIIEFFDIISALTHRFLKLPNVNQTHGGWITNQIISTHLIMLSCQRGITTTQMNCNQMKEAPYETKLLFRSMSTERATWSYMYEKGLGDILKELANVPMNITNKFNDMFPTSHFTKQQVKEKEKELKANYKIIKEARKSGVGWNDTLGMIIAEPKGWEKLIKVRSLKWRIHFI >KQL29387 pep chromosome:Setaria_italica_v2.0:I:14690339:14690883:1 gene:SETIT_020638mg transcript:KQL29387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFGEAKSISGHQTLQLRKRPYGVKGSMYVVTLPALQRCLEDGPDAAERRPRSGFPTSRGTGDGHLEAHHDLQATPARPGKVTRLGVRGSIVPAASRTSYSSFYKERVRDLFSATEKNQLR >KQL27875 pep chromosome:Setaria_italica_v2.0:I:1360996:1363135:1 gene:SETIT_016486mg transcript:KQL27875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSGRRRRRESFVAVSIRLPVGPTGQQAQLLGRPRFEPTASPRGRIWKRVHACDGMGLARTLMCRVVFVSRRRRKRCVGRGEPMTQHELPRSHPLRSHVSAPPDTRPAPAPPRQRQRQTPAAHRQFISLPPITLQPITKPKPQATTSDQATNRAQEEVGGGQQGQAMNLEEIGKYRSEAQQRSADAIRAAEERFNKANNNQAPSAATVVSYQETTRQPAAKQQGESHGHGVGHGSEPQQWLAETAADARERCNKAMGTSPAAAHGGKASPAGHAPAGAAPPHQQGRREQEGGGQARAHLTRQEEMPDRRSAAEAASAAVERHDRGGGEASAGQGVKEKAARAAGAKAKGAEAKDAGARGAQAAAGKAQEASGAAADRTKQAAATAKDATAGAAGTTAEYARQAAAKAKDVTLGTRETAAEYAKQAAAKGKDVSVTAAGTAAEYAKQAAVKGKDVTVSAGGTAAEYARAAAEKAKEAAVATARTTAGYTQQAAAKATEMTADTARKVAEYAREKAEQGKERQAEEPREDVAWEAAGKARHMTGQHEDTTGAMARRVGDTAGQAKDMVKDVTGSMAQKASDTAGRAKDTAMDAAGSVAQRSRDTAAEAGDKTKDATSHAEHKAGEVKDKASGTGKADGCGGGVTTKAKL >KQL27876 pep chromosome:Setaria_italica_v2.0:I:1360996:1363670:1 gene:SETIT_016486mg transcript:KQL27876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSGRRRRRESFVAVSIRLPVGPTGQQAQLLGRPRFEPTASPRGRIWKRVHACDGMGLARTLMCRVVFVSRRRRKRCVGRGEPMTQHELPRSHPLRSHVSAPPDTRPAPAPPRQRQRQTPAAHRQFISLPPITLQPITKPKPQATTSDQATNRAQEEVGGGQQGQAMNLEEIGKYRSEAQQRSADAIRAAEERFNKANNNQAPSAATVVSYQETTRQPAAKQQGESHGHGVGHGSEPQQWLAETAADARERCNKAMGTSPAAAHGGKASPAGHAPAGAAPPHQQGRREQEGGGQARAHLTRQEEMPDRRSAAEAASAAVERHDRGGGEASAGQGVKEKAARAAGAKAKGAEAKDAGARGAQAAAGKAQEASGAAADRTKQAAATAKDATAGAAGTTAEYARQAAAKAKDVTLGTRETAAEYAKQAAAKGKDVSVTAAGTAAEYAKQAAVKGKDVTVSAGGTAAEYARAAAEKAKEAAVATARTTAGYTQQAAAKATEMTADTARKVAEYAREKAEQGKERQAEEPREDVAWEAAGKARHMTGQHEDTTGAMARRVGDTAGQAKDMVKDVTGSMAQKASDTAGRAKDTAMDAAGSVAQRSRDTAAEAGDKTKDATSHAEHKAGEVKDKASGTGKADGCGGGVTTKAKGGGGEDTTVVGDVLETVGAMVVGLAQHTKGLIAGEEELVPVEGGEEGKVTEARKEEKRKTA >KQL30247 pep chromosome:Setaria_italica_v2.0:I:29336409:29342320:1 gene:SETIT_016242mg transcript:KQL30247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVAGRRRKQNQSRAHDARLLHETTCAVSHWADARGPGDRGAGRLAPPPAPRALFRSARTPRPHAHRPQATAQKKKRVPWNFRNFCYILLPKAEQHSNNTQANIVYKSVVLSSIIASPPVSISLSLSLVLKIERGRRTRIALPPSPVRLPCSSASLPGPGVLGDTASGAAATAAADAAAGGLVGGGSAMGRGSRACSVLGSALLLLLVSLGSAAAQKGSTWKTLSGKAPVIIAKGGFSGLFPDSSDLAYQFVPIASSPDTALLCDVRLTKDGAGICLPNIKMDNCTFISDVFPQGKSTYNVNGVSTTGWFSVDFTSTDLQNVTLRQSIFSRPSYFDGSMQIVPVEAVLSVFKAPAVWLNVQHDSFYSQFKLSMRSYILSLSKQYIADYISSPEVNFLTSISGRVSKRTKLVFRFLDERSIEPSTNQTYGSMLKNLTFVKTFASGILVPKNYIWPVTPDNYLLSYTSVAADAHKAGLEIYAADFANDFTISYNYSYDPLAEYLYFIDNDAFSVDGVLTDFPITPSEAVGCFSNLNNSKTDHAKPLVISHNGASGDYPDCTDQAYQKAVDDGADVIDCPVQVTKDGIPICMSSIDLIDVTNVAKSEFASQTTTINDLKAGPGVFTFNLTWDDISKNLKPMISSPMNKYLLFRNPRNKNAGNFMRLSDFLAFAKDKDLSGIMITVENAAFMAEKLGFGVVDAVIKALDDSGYNKQTAQKVMIQSTNSSVLVKFKQETKYDLVYMIEESVRDAAPSSLADIKKFASAVSVNTQSVFPTTNQFLINQTNKLVPTLQSAGLSVYAYVLMNEFTSQPYDFFSDATAQINAYVQSAKVDGIITDFPGTAHRYKLNSCMGKNAPDFMRPAQPGGLISVMDQRAQPPAAAPMPLLTDSDVAEPPLPPVSNTTTASSPSHAALRMKTDVSILVTLLVLCASLLI >KQL31411 pep chromosome:Setaria_italica_v2.0:I:37330662:37333343:-1 gene:SETIT_017562mg transcript:KQL31411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASSPSPEQPLLRAPSPPPNPRAGAGASSGSPASPSPSAARPSRLAALIGRAAGRRGPSMLVRETAALQLQRRRADWAHSRPVVALDIAWNVAFAAAAAAVLASSTEESPVKPLRLWLVGYAAQCLVHVGIVCADTGRGPARARGSASDIESAGAGTDSSDTDGEDDEEAEERSSYTSRCESMNTMVSFLWWIIGFYWIVSGGEVLELGAPRLYWLTVVFLAFDVFFAVFCVVVAFFIGIALCCCLPCVIAILYALAGQEGASDADISVLPRYRYSDPSENGEKGTDEGVMIPILNNSGTSTSERILLREDAECCICLSSYEDGAELSALPCNHHFHWTCITKWLRMHATCPLCKYNILKGSDSA >KQL28631 pep chromosome:Setaria_italica_v2.0:I:7133492:7133852:-1 gene:SETIT_020553mg transcript:KQL28631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWMGSNQQKAAACHHPSIPKQVTRAWMIIRYTKEFQQ >KQL31749 pep chromosome:Setaria_italica_v2.0:I:39560894:39562572:-1 gene:SETIT_017511mg transcript:KQL31749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMSGRAGGGATAGRYPFTASQWQELEHQALIYKCLASGKPIPSYLMPPLRRILDSALATSPSLAFPPQPSLGWGCFGMGFSRKPDEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPAPSSNASSAASATTTTSSPAPTYHRPAPTAHDAAPYHALYGGGSPYAASARPAGAYHAAQVSPFHLHLDTTHPHPPLPPSYYSVDQRDYAYGHTAKEVGEHAFFSDSTTERDRQQAAGQWQFKNLGMEPKHSAAPLFSAGGYGNGAASAYAVDLTREDDEERRRQQQQHCFVLGADLRLERPSGHDAAPEQKPLRPFFDEWPHEKANKGGSWMGLDGETQLSMSIPMATNDLPITSRYRNGA >KQL31750 pep chromosome:Setaria_italica_v2.0:I:39560894:39562758:-1 gene:SETIT_017511mg transcript:KQL31750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMSGRAGGGATAGRYPFTASQWQELEHQALIYKCLASGKPIPSYLMPPLRRILDSALATSPSLAFPPQPSLGWGCFGMGFSRKPDEDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEMSLATPAPSSNASSAASATTTTSSPAPTYHRPAPTAHDAAPYHALYGGGSPYAASARPAGAYHAAQVSPFHLHLDTTHPHPPLPPSYYSVDQRDYAYGHTAKEVGEHAFFSDSTTERDRQQAAGQWQFKNLGMEPKHSAAPLFSAGGYGNGAASAYAVDLTREDDEERRRQQQQHCFVLGADLRLERPSGHDAAPEQKPLRPFFDEWPHEKANKGGSWMGLDGETQLSMSIPMATNDLPITSRYRNDE >KQL29293 pep chromosome:Setaria_italica_v2.0:I:12870384:12876319:-1 gene:SETIT_018338mg transcript:KQL29293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPASVSLQPFTATPASILIPRRAAARNSIDFTELRRAGRSSGNLVMSSMPNPASGSETSGREDFNVGDKDAVVIVDHGSRRQESNLMLNDFVEMFRTRTGYKIVEPAHMELAEPTIKDAFGKCVQQGASRIIVSPYFLSPGRHWKQDIPALAAEASKEHSNVPYIVTAPLGLHELMVDIMNDRIKYCLQHVGGDVDECTVCAGTGKCRLYS >KQL28316 pep chromosome:Setaria_italica_v2.0:I:4997052:5000173:-1 gene:SETIT_018098mg transcript:KQL28316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMNSDLGGLGGRPTNPQANPFGGALNGTGSGLIRTGLEAYGGRILDSSSEFMQSNITQYLSDPQYYFQVNSQYVRNKLKVILFPFLHRGHWTRITEPVGGRLSYKPPVQDINAPDLYIPLMAFGTYIVVAGYALGVLGRFTPEALTLQFSKGILGWFLQVILIKGLLYSLGSGEAPLLDIVAYAGYGFAGTSLAMLARIFWNYLYYFIMPWFCICTGVFLVKTMKRVLLGGPRSYERHPSRNHYFLLFLAVVQFPMLFWLGNISG >KQL28980 pep chromosome:Setaria_italica_v2.0:I:9380095:9382936:-1 gene:SETIT_019061mg transcript:KQL28980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVDNLKRLCIAHYFEEEIESAMGVCMDLVDSGDLLDATLSFRLMRENGHDVSALSRSVKVYDDVLRRFTNSAGEFSLAPSKDIVGLLSLHDMSHLDIGEEASLYKAKVFSSKHLASAIRYLEPGLARYVRHSLDHPYHLSLMQYKARHHLSYLQSLPSKKCATAMQQLAIAEFHLNKLLHQKEMEEVKRWWMGLGLAQEIPAARDQLLKWYMWSMMILHGSSISKYRVELIKVISLVYIVDDIFDLVGTQDELSLFTEEIKMWNTEPCDSLPSCMRSCYKALYTTMNEIADVAEKQHGVNPANHLRKA >KQL32070 pep chromosome:Setaria_italica_v2.0:I:41202002:41202809:-1 gene:SETIT_019647mg transcript:KQL32070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSVFRRVNVKELISNASVYACAAESSGAMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKGTRFHPGNYVSMGKDHTLFCLKEGHVRFEHNKLTGRKWVHADLMAGHLLHPVYARGSTTAADLDAQLTMQLLMLFPRTVPSCAYHHHHQVPLITQCNLFQ >KQL29728 pep chromosome:Setaria_italica_v2.0:I:23899865:23902035:-1 gene:SETIT_019395mg transcript:KQL29728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRTHVAAVEQAAVQDATKLEAPVVIVTGASRGIGKATALALGKAGCKVLVNYARSSKEAEEVSKEIEASGGQAITFGGDVSKEADVETMIKTAVDTWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGRIINIASVVGLTGNAGQANYAAAKAGVIGFTKTVAREYASRNINANVIAPGFIASDMTAELGEELEKKILSNIPLGRYGRPEDVAGLVEFLALSPAASYITGQVLTIDGGMVM >KQL31952 pep chromosome:Setaria_italica_v2.0:I:40586486:40593006:1 gene:SETIT_016497mg transcript:KQL31952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHAVESPEPPCCYATVISPFGKGQCSNFLEAVNQCSPGAWAVWEEHARWQSALRNTNAPRRCPRSLSLSWHQIQIPLFGTSNPKEREAKIPLTPLHSPRGDEQPTAAQLPFVLIFSGWGATGGAILFGFTRGRRAPQRQSCSAMALPVPFVRLSLLLLVALPFCAAHPAPGFHAPREFQTPALDSADGFGLVARRSIAEAPADINITTNSSFVLAADRTYRKDPLNGFRKYPGGWNISEIHYFASVGYTAIPLFAIALVWFVVFFLVMLGICCHHCCCPHRSYKYSRAAYALSLILLILFTCAAITGCIMLYDGQGKFHKSTTHTLKFVVSQANYTVDNLRNLSDSLSAAKKVDIGRFLLPSDMQSQIDEIQAKLNSSATDLAIKTTDNAAKIKKLLNQVRLALIIIAAVMLLLAFIGFVLSIFGLEFLVSVLVVIGWILVTGTFILCGVFLLLHNVTSDTCVAMEEWVAHPIEHTALDEIIPCVEPATANESLYRSRQVTFQLVNLVNQVITNVSNQNFPSPPPVATPFYYNQSGPLMPLLCNPFTPDLSNRTCTRGEVTLDNATQVYKSFECQTTTVSGAEICTTVGRVTPRIYGQMAAGVTVSQGLYQYGPFLIQLEDCTFVRDTFTTINQDYCPGLQRYSKWVYIGLVMVSAAVMLSLIFWVIYARERRHRVYNKQFIAQHQQYPVEDKPAPTAPHA >KQL31951 pep chromosome:Setaria_italica_v2.0:I:40586486:40593006:1 gene:SETIT_016497mg transcript:KQL31951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHAVESPEPPCCYATVISPFGKGQCSNFLEAVNQCSPGAWAVWEEHARWQSALRNTNAPRRCPRSLSLSWHQIQIPLFGTSNPKEREAKIPLTPLHSPRGDEQPTAAQLPFVLIFSGWGATGGAILFGFTRGRRAPQRQSCSAMALPVPFVRLSLLLLVALPFCAAHPAPGFHAPREFQTPALDSDGFGLVARRSIAEAPADINITTNSSFVLAADRTYRKDPLNGFRKYPGGWNISEIHYFASVGYTAIPLFAIALVWFVVFFLVMLGICCHHCCCPHRSYKYSRAAYALSLILLILFTCAAITGCIMLYDGQGKFHKSTTHTLKFVVSQANYTVDNLRNLSDSLSAAKKVDIGRFLLPSDMQSQIDEIQAKLNSSATDLAIKTTDNAAKIKKLLNQVRLALIIIAAVMLLLAFIGFVLSIFGLEFLVSVLVVIGWILVTGTFILCGVFLLLHNVTSDTCVAMEEWVAHPIEHTALDEIIPCVEPATANESLYRSRQVTFQLVNLVNQVITNVSNQNFPSPPPVATPFYYNQSGPLMPLLCNPFTPDLSNRTCTRGEVTLDNATQVYKSFECQTTTVSGAEICTTVGRVTPRIYGQMAAGVTVSQGLYQYGPFLIQLEDCTFVRDTFTTINQDYCPGLQRYSKWVYIGLVMVSAAVMLSLIFWVIYARERRHRVYNKQFIAQHQQYPVEDKPAPTAPHA >KQL29095 pep chromosome:Setaria_italica_v2.0:I:10265057:10266331:1 gene:SETIT_019790mg transcript:KQL29095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVAIGMLCPYMITASLFIGSAVSWGIVTPYLATKAGVWYSADLSPGSLRGIRGYKIFIGVSMILADGLFNFLSIMFCTLCAMYNRRSQPMQGGGVDDDGDTQLPFHNLNAAEQQKAMQSFDDRRRAQVFVRDHIPNSVSILCYILLAVVSTITIPYLYPQIKPHHVTLIYLAAPVFAFCDAYGFGVTDMNLSSTYGKLAMLVVGSVVGRANGGVIAGLVSCGVVMGTMSSSNNLMQELKTGYLTLTSPRAVFISQAIGTALGCVVNPLMFWALYKVQDDESELFDVPYARVYRGIAMLSSGQRGLPMHSLWLCRTFFALALALSVLRDVAARRRWRVAEYLPSTICVAIAFVVPAHMPIDMFTGSLAMYLWRRADPRKARAFSAAAASGLICGDGLGILLSSVVALTHARAPICIKFVSSSDN >KQL31663 pep chromosome:Setaria_italica_v2.0:I:39081275:39084328:-1 gene:SETIT_017111mg transcript:KQL31663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADGGFYSDFMVLRPDRGGFYDLAHLVWSCKVSENAAVDCPAGTEIDDWRRRWAVFVSLAAQVLLLWAKKPVALLGRVTEYWMNLLDENGGGLLVLVVRALQGKLKFPDRSSPNYRSCVGLLDTRIELDKEIKHGDSNYHAALSIMAAKLAYESEVVIKNVVEKHWKMKFSAFYNCWNDFRGDYTTQAFVFADRAADASLAVVAFSGTRPFDTEQWCADVDFSWYEIPGVGKVHGGFMKALGLQRHGGWPEHLDNQDAQKPFAYYAIRETLRSFLSENAGARFAVAGHSLGGALAVLFPAVLALHREEGVLARLEGVYTFGQPRVGDESLGRFMARYLDQPSRYFRFVYCNDIVPRVPYDDSALQFRHFGTCLYFDSLYQGRVTREEPNKNYFSLLTVAPKVVNAAWELVRSFLIGYVAGPDYAEGWLMRLARVAGLLLPGLPPHSPRDYVNSTRLGAHSLGPLS >KQL31414 pep chromosome:Setaria_italica_v2.0:I:37360099:37362662:1 gene:SETIT_020080mg transcript:KQL31414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKCKMKCFAPSVFQIRYAGYKGVVAVDPRSNRKLSLRKSMLKFQSENITLDVLAYSKYQPCFLNQQLITLLSTLGVGDSVFESKQEEAVRQLNRMLTEPQAAIEAIKLIPMGEVTNMVKELLLCGYQPDHEPYLSMLLQTFRASKLLELKTKSRIFIPQGWTMMGCLDETRTLKYGHVFIQASYCADDRHKFVVTGMVVVAKNPCLHPSDIRVLHAVDVLDLHHMFDCVVFPQQGPRPHPNECSGSDLDGDIYFVSWDQTLIPSRLVEPMDYTQQPAETLDHDVTIKEIQKYITNYIVNESLGIIANAHVVFADKEHLKAESLPCIELAKLFSIAVDFPKTGVPVQIPHELHVREYPDFMEKPNKATYGSKGVIRKLYREIKKHTPHVKYFTMDVARRSSDSDLIVDGYEDYITEAIEFKQEYDFKLGYLMDHYGIKSEAEIMSGCILKMARNFTKSCDADAIRMAVRSLRKEAMSWFSEMAMDENGVGQDDLDAKASAWYHVTYHPEYWGCYNEGYVRDRPHLISFPWCVYDRLVNIKQRRNLKRKMVLK >KQL31896 pep chromosome:Setaria_italica_v2.0:I:40323942:40326588:-1 gene:SETIT_016494mg transcript:KQL31896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVEILESCMVTPSDAATPKHGVWLSNLDLLVARSHTPTIYVYRPSPGPGLLLAGRPQGRPVPGATRYYPLAGRLGLDGAGRPEIHCTGDGVLFVTARTDAALQDLGGFVPSDELRRMLVPSADGGGDERAGILAMFQVTFFKCGGVCVGAAIHHMAADGLAALDFVNTWAAIARGAGGEAAPRRPWLDRTLLRARSPPDVRFDHAEYSRRGGGGSKAPFDSAILPVSRAQVDALKGGKKLSTFKAVVAHVWRCACRARGLAAAEDTRLYMTADARSRVTPPLPDGYLGNAIFRASAVAKVGDVVSGSLDAAADMISGATARLDDEYVRSLVDHLEQVVSDAAGLRKGEWVMPETDLWVISWQGLPIYDADFGWGRPAFMSRACLQFSGLVYLVPGPDGDGRLDVVVAMEPKSLARFKELLYEDLNPKHTNWNMMATSNVSHQAATPPEPLRGRVAIVTGGSGGIGAAVTAHLASLGARVVVGYVGDPAPADQLVAALNSGSRPPAAPPRAVAVDADVSDPAQVARLFDAAEAAFGPDLHVLVAAAGVQDAAYPRIADTTPEQWDAAFGVNARGAFLCCREAARRLVRGGGGRIVTFSSSNVGSLRPGYGAYVATKAAVEAMTKVLAKELAGTGITANSVAPGPIATPMFYAGKTEERVAAAARECPMGRIGEPEDVAPVVGFLCTDEAGWINGQVIRVNGGYV >KQL29195 pep chromosome:Setaria_italica_v2.0:I:11224058:11227056:1 gene:SETIT_017959mg transcript:KQL29195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMKNAGDRLISALSLARYRHLKLLNLEFAQDIEDRHFVHLKEMSGISLENLEFLNLNACQKISDKGIEAITSLCPNLHGLSIYWIVGLTDSSIGHITKYCRQIVDLNLSGCKNISDKGMRLIANSYQGLKKLNITRCVKLTDDGLKEVLQKCSLVESLNLYALSSFTDKIYKDIGLLSNLTFLDLCGAQNLTDDGLVYISRCGGLTYLNLTWCVRVTDAGVVAIAQGCRSLKLLSLFGIVGVTDACLEALSKSCSHSLTTLDVNGCIGIKRRSRDDLLKLFPLLSCFKVHS >KQL31876 pep chromosome:Setaria_italica_v2.0:I:40224660:40228055:-1 gene:SETIT_017545mg transcript:KQL31876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAPSQIICASFNQDNSLFSVGTKDGFKIFDARNGRLCYENNLGGFNIVEMLFGSSLLVIVGTGEKPAMSPRRLCLFNTRTGASKKDLNFRTSILSVRLSMKRLVVVLQDRTFVYDLNKTTILEEIETVPNTKGLCAFAPNSEECYLALPASTSKGSALVYKASKPELICQIDAHQAPLAAMVFSSNGMYLATASEKGTMVRVHLVTQATKSHSFRRGTYPSTIYSLAFSPSVDLPDVLVATSSSGSLHMFFLDAARNGRRQGNTLLSSVIPGSVTDALDPANHHVIHNVVPANIKSCLAVNSVENSQNSSKLPALKTVIYIVTHDGYFREYVIGTTKSNESSWSLEREFNLLEAGLSSLKQNEQHIVID >KQL30314 pep chromosome:Setaria_italica_v2.0:I:29855386:29857777:1 gene:SETIT_019681mg transcript:KQL30314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRRSRKLDHETCSCRRLKDLIHRVISRLTVTEAGRTSVLSSRWRKRWTYHSNLCFDGTCSELAGYGTDRFVAHVTTVLQHHSRLVVDRFELRSPLLGKEHAHHLDRWFDFAASTKARHVALDLSPLHYEHRIVASPNKYRLPANQLAAPAGIVSLLLVNVCLELPPVSDGSRLLGFKALKKLELKFVVDLGDLTPFLASCPALEWLSISSSLIGHLVVPRQARCLRYLRLDCIGMHSIHLDATSLTTFEYVGTSSIPIKTNHGLKLSQASIFIPWFFDGVSYFWDELSCWLAPVDRLLLSLGMDAETRRFAKNPTEFIHLRHLTLFCHILRDPESPLVVLRLTQVLESAPQLEHLGLMNSSDPVPFLSKAPDCSIHPHVHHHLKTVQMTGVIGLSGQLELAKYILLSATAVEYMTLSLATPRYRRV >KQL31630 pep chromosome:Setaria_italica_v2.0:I:38947095:38955414:-1 gene:SETIT_019738mg transcript:KQL31630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRSKHNRLGVDGGEEEEEEEEEIVGISSDSDDSESEAERGAEADDDDDEYVGETSDAGGGDEAEERGSSDSGDGGDGGRPLQGGRRGVMAPDRERKSQNVDALVRGNLVVRRQPLIPRILSVSDAAAIARKPFKPPCQNGYSENNEQLARRLSARKRFVPWGSTQTFAVTHNLPQSPAAASVSSSEKEEPLPPGIEPLILWQREECDKENCDSAAIEVDHLLVRYLRPHQREGVQFMFDCVSGSLSDDGISGCILADDMGLGKTLQSITLLYTLLCQGFDDKPMVKRAVIVTPTSLVSNWESEIIKWLKGRVQLLALCESTRADVLSGIESFLKPLSRLQVLIISYETFRMHSSKFERPGSCDLLICDEAHRLKNDQTLTNKALAALPCKRRILLSGTPMQNDLEEFYSMVNFTNPGVLGDASYFRRYYEAPIICGREPTASAEEKKLGSERSAELSAKINQFILRRTNALLSNHLPPKIVEVVCCKLTPLQTTLYNHFIHSKNVKRLISEEAKQSKILAYITALKKLCNHPKLIYDTIKSNNSGGSGFDDCLRFFPPELFSGRSGSWTGGGGMWVELSGKMHVLARLLGHLRQKTDDRIVLVSNYTQTLDLFVQLCRERRYPYVRLDGATSISKRQKLVNQFNDLSRDEFVFLLSSKAGGCGLNLVGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVHIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQTDNKMQGSSLSTEDLRDLFTFHEQVRSEIHENLKCSRCNKDGNSLLDGNGFDLGATEHKSSLPGVQDYIDIGGFGEISGCLQKMNSSHHQIGRPSEEDLGSWGHHCDPSTVPDTILQSSAGDEVSFVFTNQVDGKLVPVESMARSAPHQPNGIAANGDKEAGKTNSPSKPGKQSLLGKNLKMMGFSLKNSSLKCPTRSRTASPNCLQGLKKTSPSLDYQPQTKKLHVASDMSDDDFV >KQL30473 pep chromosome:Setaria_italica_v2.0:I:30761289:30763945:1 gene:SETIT_018667mg transcript:KQL30473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTACFVIVSKNDIPIYEAEVGSAPKKEDLAYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYVTAGHTRFMLLHDSRSDDGIKSFFQEVHELYIKIFLNPLYLPGSRIASSHFDTKVRALARKYL >KQL30472 pep chromosome:Setaria_italica_v2.0:I:30761289:30763945:1 gene:SETIT_018667mg transcript:KQL30472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTACFVIVSKNDIPIYEAEVGSAPKKEDLAYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYVTAGHTRFMLLHDSRSDDGIKSFFQEVHELYIKIFLNPLYLPGSRIASSHFDTKVRALARKYL >KQL27702 pep chromosome:Setaria_italica_v2.0:I:162468:163461:-1 gene:SETIT_018997mg transcript:KQL27702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKTMITQMAKSDVKTRIPRYDTGTNAQEYSAITKYPGHLQQSTYPTTITQNTRLHA >KQL30116 pep chromosome:Setaria_italica_v2.0:I:28172420:28172989:1 gene:SETIT_018677mg transcript:KQL30116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKRVAPTLPMLSLVALIMLLVAGLSAAAASGDTGVVIRLPSDAAASGDARAGEATARAMPGDYAERPWKCCDMQVCTLSVVLPSCWCHDRLERCSLACKECSKVRGSDPPRYVCKDMYRGEPAPMCTTHA >KQL29244 pep chromosome:Setaria_italica_v2.0:I:11999762:12000001:1 gene:SETIT_020560mg transcript:KQL29244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGNIGHPHPLTKDFVTFRDVPFRTLDTKCRIKVHFSIVV >KQL31483 pep chromosome:Setaria_italica_v2.0:I:37868316:37869626:1 gene:SETIT_018354mg transcript:KQL31483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLKSHSPVAAALHSARRADLHGRGPLGRFHDRGFRSSNSKRSAKVSAFPSLDMVPLMATMVDHVNMSRDYVVTKSIWHLSDTALKSVYTFYAMFTVWGVCFFASMKDPFYDSDTYRSQGGDGTVHWYYDKQEDLEASARNDLLREELLEEIEQRVGGLRELEEAGREEQLTQ >KQL31481 pep chromosome:Setaria_italica_v2.0:I:37868316:37869626:1 gene:SETIT_018354mg transcript:KQL31481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLKSHSPVAAALHSARRADLHGRGPVTLGRFHDRGFRSSNSKRSAKVSAFPSLDMVPLMATMVDHVNMSRDYVVTKSIWHLSDTALKSVYTFYAMFTVWGVCFFASMKDPFYDSDTYRSQGGDGTVHWYYDKQEDLEASARNDLLREELLEEIEQRVGGLRELEEAGREEQLTQ >KQL31482 pep chromosome:Setaria_italica_v2.0:I:37868316:37869626:1 gene:SETIT_018354mg transcript:KQL31482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLKSHSPVAAALHSARRADLHGRGPVTVHIPSSFTSMFQHFFQFSCRLFTCSGAVSLQLGRFHDRGFRSSNSKRSAKVSAFPSLDMVPLMATMVDHVNMSRDYVVTKSIWHLSDTALKSVYTFYAMFTVWGVCFFASMKDPFYDSDTYRSQGGDGTVHWYYDKQEDLEASARNDLLREELLEEIEQRVGGLRELEEAGREEQLTQ >KQL30946 pep chromosome:Setaria_italica_v2.0:I:34553125:34558207:-1 gene:SETIT_017977mg transcript:KQL30946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASTPPLPPPTQPQSDAAGSGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPNYGLKTDSSSIHRNSGMNATVSSWAVSSIPPAVASSMVKDLGPGTLGPNNFCSSSTEGPPRTWQPGETNDQINQVPSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQVSSSTTCL >KQL30945 pep chromosome:Setaria_italica_v2.0:I:34553125:34558207:-1 gene:SETIT_017977mg transcript:KQL30945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASTPPLPPPTQPQSDAAGSGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPNYGLKTDSSSIHRNSGMNATVSSWAVSSIPPAVASSMVKDLGPGTLGPNNFCSSSTEGPPRTWQPGETNDQINQVPSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQRKLLSSYSTSGGLELGSSRSSALATSAPFMIKGE >KQL30947 pep chromosome:Setaria_italica_v2.0:I:34553904:34558044:-1 gene:SETIT_017977mg transcript:KQL30947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASTPPLPPPTQPQSDAAGSGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPNYGLKTDSSSIHRNSGMNATVSSWAVSSIPPAVASSMVKDLGPGTLGPNNFCSSSTEGPPRTWQPGETNDQINQVPSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQRKLLSSYSTSGGLELGSSRSSALATSAPFM >KQL30944 pep chromosome:Setaria_italica_v2.0:I:34553125:34558207:-1 gene:SETIT_017977mg transcript:KQL30944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASTPPLPPPTQPQSDAAGSGEDASKKVRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKNGTSEHVPPPRPKRKAAHPYPQKASKNEPNYGLKTDSSSIHRNSGMNATVSSWAVSSIPPAVASSMVKEDLGPGTLGPNNFCSSSTEGPPRTWQPGETNDQINQVPSLRLMPDFAQVYSFLGSVFDPSTSGHLQKLKEMNPIDVETALLLMRNLSINLTSPDFEDQRKLLSSYSTSGGLELGSSRSSALATSAPFMIKGE >KQL29408 pep chromosome:Setaria_italica_v2.0:I:15163407:15167336:-1 gene:SETIT_019668mg transcript:KQL29408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTPVTPNWANLPDDTLLTVFERLGSVDVLLGVCRSWLRVATGEPRLWRCVDLADCCFDPTTDMEAMARAAVDRAAGCLEHFAADRFATSKLLRYIAKRTNCLKSLHLLTCMDFWYNDLVILGKRNPNLEELELTGCLPLFANRLHNNVLYDILDKCPHLESLDIHQCFNIEVDAALKAKCSRLKGVKFPKDSTKDYEYETFVESTMPGSLTFQIDPPPPLPNYEYPSSDEDGECDDEDCEDDVEDNERMMRIVRVMTITWNLLLVAL >KQL30723 pep chromosome:Setaria_italica_v2.0:I:32920655:32922134:-1 gene:SETIT_018237mg transcript:KQL30723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDCQFMVVPPRHPYEDGGGHFMHHQLMVAGDHDPAGAGRGGGGERKRRFTEEQVRSLETTFHARRAKLEPREKAELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRAQYDALHARVESLRQEKVALATQLDELKGRLNGRQDQQQQSGSCEVNGAEAADDRRNTNSASCVVEDDGAVTPAVDVSEESAAAATAEYCYDDHVAYGGLPDPFCTTPDLWDTWPLLEWNAVA >KQL29132 pep chromosome:Setaria_italica_v2.0:I:10546924:10550036:-1 gene:SETIT_018892mg transcript:KQL29132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAVAARSRALARAVSYSLLHRSCLPASRRASCINRLPLVSDGLLSALPLHSAVASARLQSAIASESRSWCLVPQGNSMPL >KQL30244 pep chromosome:Setaria_italica_v2.0:I:29300659:29301065:1 gene:SETIT_019322mg transcript:KQL30244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKALLVLALLASAAVLATVADQQAHDNAKEKAATNDDAGVNHWHGGGHHGGGGGGYRCRYRCCYYGHHGCERCCATPDEVPQPQLHN >KQL31685 pep chromosome:Setaria_italica_v2.0:I:39186968:39187802:1 gene:SETIT_018749mg transcript:KQL31685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEEKRGKVKKGWLAVRVGAEGDEGGFQRFVIPIAYLYHPLFRRLLEAARDAYGYDYSAGPLRLPCSVDEFLRLRALVDRETQAAPSSSSHRVHAGGHGHYSLSPCTRAKVSS >KQL29252 pep chromosome:Setaria_italica_v2.0:I:12152103:12153316:-1 gene:SETIT_019565mg transcript:KQL29252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIAFVAFMVPLGLSAAISAAEELVVLLVQNIWGLAYSNNEEVTKCHKGCGQQKIGAFISIASYYIVGIPSAFFFAFLCCSYFGFQALKANGRVFSSTLPVDMLT >KQL28442 pep chromosome:Setaria_italica_v2.0:I:5911546:5913992:-1 gene:SETIT_017703mg transcript:KQL28442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVCVTGAGGFIGSWIVKLLLARGYAVRGTSRLADDPKNEHLWALDGAAERLTMLQVDLLDRASLRTAFDGCDGVIHTASPMHDKPEEIIEPIIAGTRNVVEAAADAGVRRLVISSTIGTMYMNPHRDPDAPLDESSWSDLEHCKSTKNWYCYAKTIAEQNAWEAARARGLDLAVVIPVVVLGELMQPSMNTSTLHILKYLTGNAKEYVNESHAYVHVKDAAEAHVRVLEAPGAGGRRYVCAERTLHRGELCRILAELFPEYPIPTRCKDDMNPPKKGYKFTNQPLKDLGIRFTPVHEYLYEAVKSLQEKGFLPKASATKVTERRSSPPQKQPAPVLISKL >KQL28199 pep chromosome:Setaria_italica_v2.0:I:4272968:4278588:-1 gene:SETIT_016315mg transcript:KQL28199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHALGELGKTKKPLCYKGSTFHRVIKGIMAQGGDLAKRSGSGGESIYSGKFADEACVLRHDDRGLLTTADTGSQFCITFQPNSHLDRKHTVFGKLVIGNDVLKRIEQVDVDAPDSTPVVPVRIVDCGELTDRKHDQKRAAKSKLLKDISSDEESDEGQHKGRRKKSSKRKRKKRRYSYSESDSSSESETESSDSESDSDTYSSDSSDVSSSSDDRRRRRKRHTKKNKHKRSRRKRDHRRERRRRKRDRKSKHKSKRMLESGSEAESESDSSSEDARSKRHRRGRKSKASSQVSAENLAAVAVLKEATSTQQMSGMPRSPAQEDNSPLQNGEIHNNGVNESKTERNAATMPVLTGNRSKSRSQSMSANHSMSKSMSISPRRSPIKRSITTPKRSASRSPVHHSRSRSPVRAPKRSKTRSPARQRSISRSPARRSPSKSSPREASRSPTPRISRSPVKAQRRSISRSSARSMQRRTPSRSPERTHIRKSVSPSPPVEKRRSITRTSSRSPLRSVSRSPVRFSRSPHRPARRSPMRSPRRNIRRSLSRSPVRIPRRSVSRSPVRGGRSRRNTSRSPSPPRRAISPPPNNGRSPSRTGSPDGSPKRIRRGRGFTQRYSFARQYRSPSADRSHRYGGRSDRDR >KQL28198 pep chromosome:Setaria_italica_v2.0:I:4271902:4282040:-1 gene:SETIT_016315mg transcript:KQL28198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRNPIVFLDVSIGDEQDSERMIFELFADVAPLTSEKFRALCTGELGKTKKPLCYKGSTFHRVIKGIMAQGGDLAKRSGSGGESIYSGKFADEACVLRHDDRGLLTTADTGSQFCITFQPNSHLDRKHTVFGKLVIGNDVLKRIEQVDVDAPDSTPVVPVRIVDCGELTDRKHDQKRAAKSKLLKDISSDEESDEGQHKGRRKKSSKRKRKKRRYSYSESDSSSESETESSDSESDSDTYSSDSSDVSSSSDDRRRRRKRHTKKNKHKRSRRKRDHRRERRRRKRDRKSKHKSKRMLESGSEAESESDSSSEDARSKRHRRGRKSKASSQVSAENLAAVAVLKEATSTQQMSGMPRSPAQEDNSPLQNGEIHNNGVNESKTERNAATMPVLTGNRSKSRSQSMSANHSMSKSMSISPRRSPIKRSITTPKRSASRSPVHHSRSRSPVRAPKRSKTRSPARQRSISRSPARRSPSKSSPREASRSPTPRISRSPVKAQRRSISRSSARSMQRRTPSRSPERTHIRKSVSPSPPVEKRRSITRTSSRSPLRSVSRSPVRFSRSPHRPARRSPMRSPRRNIRRSLSRSPVRIPRRSVSRSPVRGGRSRRNTSRSPSPPRRAISPPPNNGRSPSRTGSPDGSPKRIRRGRGFTQRYSFARQYRSPSADRSHRYGGRSDRDRYMGYRGSRHRSPPRRYRSPPRGRPSSPRYRRRSRSTSRSPVHRERRRGGGYSRSPVRSRSPPAGKPRSRGERARSVSRSRLSGSRSRSPPPAHDRSPPDSPSPKRASDEKSRSPSPSQSRSVSASPSPGGKKGLVSYGDGSPDSAGK >KQL30258 pep chromosome:Setaria_italica_v2.0:I:29487662:29493686:1 gene:SETIT_016569mg transcript:KQL30258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLNPFGGKAQSGLEGRTVDVGSVKITVRNAIAQGGFSCVYLACDTVHPSKLYALKHIICNDSESLDLVMKEIQVMNLLKGHPNVVTLVAHDVFDMGRTKEALLVMEFCEKSLVSAMESRGSGYYEEKKVLLIFRDACNAVFAMHGQSPPIAHRDLKAENVLLGFDGAWKICDFGSTSTNHKCFNKPEEMGVEEDIIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPEQPKYSTAVTGLIKDMLEASPNVRPDITQVWFRVNELLPLELQKRLPDGPSSAISMSLQDEGAHKRTHVMPRRNPPPPPREQSNSSLSHGSSRAGDAPLGAFWATQHAQGADNRKPLFDEEPIKPSLSSKQNQSRVDTSISIPGDRHGHSGQSSRTSKAPSNSLPNNGFAGVSDTNLFKETQSSVKVKANQAQPKTKCEKDPFNSFVADFDTHNLNIAAGKASELELELSSLKEQLKKTTLEKDEMTAKYEKLSAICRSQRQELQELKRTLAETTPPSNKVSSRTQDSGPQRKEKIEGTVWELEHGMLASNSKSASSDAKTWQVFPDTKTQAQARPKVDHATNGRQNLTKSTNPGPSPDAWGFGTDNYRTSAAAASTATQINRTTAQGSSSQRFSTGVAKKVEQPSGWAGF >KQL32231 pep chromosome:Setaria_italica_v2.0:I:41872132:41874003:1 gene:SETIT_018448mg transcript:KQL32231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAAAAAATIISGAAAKLQSCPKSARAGSAQNPGAGAATVGSAASASSAPRTRLPRMKGAVVVWEEEERREDGEEVEKEAGVVPVPVAAMMDGSAWRSSHSMVWPSDLWPSSRVSWKTRAAQMMGMRMRRPRPSTLLWRSLEGALRTARALPLPLPLEAGTSCLSAAAPPWAGLVVIPYLPP >KQL32154 pep chromosome:Setaria_italica_v2.0:I:41557426:41559828:-1 gene:SETIT_016671mg transcript:KQL32154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRAASLLLRSRLRGPVPSPARKTLNPLPAPPRRHFSPRPPPPVPASAAAAAVAEAAEEAFEAARSTNDMLAAFSRLEAAVPANDRRLALACLKLGQHLEASGSADPSRVLSLALRCLGILEASPNASTSVPASDAVSLAMALHLAGSASFDLSRFHDALSFLARSLRLLTPLIPDRGVAFGAGEESEVFDVRPVAHAVRLQLANVKTALGRREEALADMRACLDLKESILPPGSRELGAAYRDLAEAHATLLDFKQALPLCQKALELHESTLGKNSMEVAQDRRLLGVIYTGLEQHEQALEQNEISQKVMKSWGAAGPELLHAEVDAANIKIALGKFDEAISVLKNVAKKVDKDSEMRALVFISMAKALANQEKAGDTKRCLEIACDILEKKELAAPDQVAEAYIEVSSLYEMVNEFDKAISLLKRSLGMLERIPQAQHLEGNVAARIGWLLLLTGKVSEAVPYLEDAVERMKDSFGPKHYGVGYVYNNLGAAYMEMDRPQSAAQMFALAKEVMDVSLGPHHSDTIEACQSLANAYNVMGSYPLAMEFQKRVVDSWRSHGPDARDELKEAIRLYNQIKTKAFASLSPGGSANALPEPQEQETDSDSTKAVQQ >KQL28016 pep chromosome:Setaria_italica_v2.0:I:2624208:2624973:1 gene:SETIT_018739mg transcript:KQL28016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLVIKVRKEIKIMSVDGSEVLKMPSSTSSLKVQESLPDVLPVKAPAAVVDPGAAVRVKLVISKQELKKMLDKEGMSLDDMVSLMRKEQAKDDREQECCGGWRPALESIPEGRDL >KQL29493 pep chromosome:Setaria_italica_v2.0:I:17906348:17908807:-1 gene:SETIT_017836mg transcript:KQL29493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAHRAVIGFLLVFLASLSGAASKSFTITNNCEYTVWPGILSSAGSAGMDATGFALAPRESRAMPVPSGWSGRLWGRTLCSTDAGTGKFSCVTGDCGSGKQDCAGGGAAPPATLAEFTIDGSGGMDFYDVSLVDGYNLPVLVAPHGAAGGGNCAPTGCMLDLNRACPADLRVASTSSPGGGGVACKSACEAFGSAEYCCSGDHGNPSTCKPSAYSQFFKNACPRAYSYAYDDATSTFTCAGGDTTYAITFCPSTTSVKADGASPQAAGDLPLMNDTMVYLGADQLIAATATARPPSSLLHAVLAVAAIVLAGALC >KQL29494 pep chromosome:Setaria_italica_v2.0:I:17907787:17908899:-1 gene:SETIT_017836mg transcript:KQL29494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAHRAVIGFLLVFLASLSGAASKSFTITNNCEYTVWPGILSSAGSAGMDATGFALAPRESRAMPVPSGWSGRLWGRTLCSTDAGTGKFSCVTGDCGSGKQDCAGGGAAPPATLAEFTIDGSGGMDFYDVSLVDGYNLPVLVAPHGAAGGGNCAPTGCMLDLNRACPADLRVASTSSPGGGGVACKSACEAFGSAEYCCSGDHGNPSTCKPSAYSQFFKNACPRAYSYAYDDATSTFTCAGGDTTYAITFCPSTTRYEHTSCVTCYLTRTCSYRTFLVADYCDQNELIVLGCVCKQA >KQL29365 pep chromosome:Setaria_italica_v2.0:I:14424673:14428609:1 gene:SETIT_018036mg transcript:KQL29365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLHCSPRVHLHRLSPTRRLPLASSFPLPRRRLRRSTAIHAEPDAPPPPPSSAAEPEPPDSGAVDAEGEGPVELRAPTLFSTDDNPTPLQTATSLLLTGAISVFLFRSLRRRARRAKELRVRSSGVKKPNNLTEEALEGLRMMSASPIETEKPPSPIQALLGGIAAGVIALILYKFTTTIEASLNRQTISDSFSVRQITITIRTIITGLCYLATSVFGINAVGLILYSLQLTFQSIMDDDSNSSSTGKISEQSNTSNSESASSDLQQISDKSKNSAE >KQL28997 pep chromosome:Setaria_italica_v2.0:I:9603099:9607936:1 gene:SETIT_016776mg transcript:KQL28997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTLLAGSPWLRLRLRADAPARPFARHIHLGRALSARARVRASAAADAEPAGPVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGRFVLRVEDTDLERSTRKSEEAVLADLAWLGLQWDEGPDVGGEFGPYRQSERNSLYKQYAEKLLDSGAVYRCFCSNEELEQMKEVAKQRQLPPVYMGKWATASDVEVEQELEKGTPYTYRFRVPKEGSLKINDLIRGEVSWNLDTLGDFVIMRSNGQPVYNFCVTVDDATMQISHVIRAEEHLPNTLRQALIYKALGFPMPSFAHVSLILAPDKSKLSKRHGATSVGQYKEMGYLPQAMVNYLALLGWGDGTENEFFTIDDLVEKFTINRVNKSGAVFDATKLKWMNGQHLRSFPPDELIKALEDRWKKTCILQESESGFAKEAAELLKDGIDLITEADAALTNLLSYPLHATLSSDEAKPVVQDKISEVASGLISAYDSGELTQALAEGRDGWQKWVKGFGKSLKRKGKGLFMPLRVLLTGKLHGPDMGGSIALIHKAGICGAVTQSNFVTLDERFRILKEVDWESLVKEHESPAESAVPAAS >KQL30553 pep chromosome:Setaria_italica_v2.0:I:31606795:31609724:1 gene:SETIT_018376mg transcript:KQL30553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVFTPSLEGMKHVKAENGVILTKPFLEVCKQILPVLDKFGAAMAIVKSDIGGNITRLENKYSSDPSKYEHLYTMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLEHPDWTMSQACTDSYTKTLKKFHGWLASSSFTVAMKLAPNRDKFMEVISGTGDINADIEKFCTTFSPFLKENHDFLASVGLDDMKAS >KQL30552 pep chromosome:Setaria_italica_v2.0:I:31606795:31609724:1 gene:SETIT_018376mg transcript:KQL30552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVFTPSLEGMKHVKAENGVILTKPFLEVCKQILPVLDKFGAAMAIVKSDIGGNITRLENKYSSDPSKYEHLYTMVQEEVQNKTAKGSSSCTNGLLWLTRAMDFLVELFRNLLEHPDWTMSQACTDSYTKTLKKFHGWLASSSFTRNG >KQL31690 pep chromosome:Setaria_italica_v2.0:I:39218007:39222646:1 gene:SETIT_017260mg transcript:KQL31690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIPSSSRSRRQSLEASLGRAAEGAASQELPEISGLDAMVAARLEAAVEGEQAVLATQVVQRDAVEVSARSNEGPEAEPGSRVIESETAQVSRREVAAVVKLGNADIEKEKGDPVKVGHLQVERTKAVVMKAPTETTGALVQVVAESTSRDNIEHWISPSPHRRIKRTITAWIKGEHLGSGSFGSVYEAISDDGFFFAVKEVSLMDQGVDAKQRILQLEHEISLLSRLEHENIVQYFGTDKEGGKLYIFLELVTQGSLAALYQKYHLQDSQVSAYTRQILNGLLYLHQRNVLHRDIKCANILVDASGLVKLADFGLAKEMSILSQARSSKGTVFWMAPEVAKAKPHGPPADIWSLGCTVLEMLTGKVPYPDMEWIHALLNIGRGIPPEIPNTLSEDARDFIEKCVQANPNDRPSAAQLLEHPFVQRPLQH >KQL29838 pep chromosome:Setaria_italica_v2.0:I:25373347:25374324:1 gene:SETIT_019333mg transcript:KQL29838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRFPGLFKFRGVASPRNHRSFHHHRHPPPPPAPATMSDLRPPEHQVAGHRASASKLGPVVDGSGLFYKPLQAGDRGEHELAFYEAFSAHAAVPPRIRDAFFPRFHGTRLLPTEARPGEPHPHLVLDDLLAGLEAPSVADIKIGAITWPPSSPEPYVAKCLAKDRGTTSVLLGFRVSGVRVVGPGGAVWRTERPEVKALDTAGVRRMLRRYVSSDSGEVVDCALAAAVYGGEGGVLSQLRELKAWFEEQTLFHFYSASILLGYDANAVSATGVGGGVRVKLVDFAHVAEGDGVIDHNFLGGLCSLIKFISEIVSETPDTPPLGP >KQL30510 pep chromosome:Setaria_italica_v2.0:I:31340526:31344660:-1 gene:SETIT_016258mg transcript:KQL30510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTKPVTGQAPGDGLPVQRIIAELKKITNHVEEKPGADGSSSSRKSNATSLKMLLEKEMAKEVESKRRPPSVIGRLMGLEEDLPTEEPIVCHTKIDLTRDLNASNKTLHGKEHRQSIRLKTQDHQSRDETIEYNDVYEVSEHRSGTSCFQDQTSLKGWPSETKSKQFDIVQEKFIKPKCFAMEEKLLHTKELQEALEVPHSNKDLFLQNPEEHNSSFSRQLNGLHTSQAPPQTKRITVLKPIKSVETDGIKQSRTEQVSKQNVLSMRKFHQIPSSKEEIPSQPSRIVLLRPTPGKPGISKAKLISRVNSFQLINRNGLNGSVDYNHATVGSSGLVHGIVQRWQDGCHQRDDSLLSSAYSNGYGGDESSFSDSEVDYSSGSEIDCIEDRGTLGDSEGGSPLSKHSWNCRRYEGPYSSSSLSKISHFSESSVIREAKKQLSERWAVVTCDEISQEQVQQSRRTCTLGEMLSIKEVKKEDVSTEILSASSNRSCSLDNESTTWSTYVTSRKNGENGERSPRKLPRSNSVPVISSTFGNMVVDAQASNPESRKLKMVVVSNKGKSSLKGRVSDFFLSRSKKPTRQKRTYHSSDCVVQRLEACNISSRLAYNHKLDANGKSVDCEDRIDSFSTQISTSMSERSSIGVTVSLDCPRGSLDKLGVNKGLNSNRDQPSPTSVLDAPSEDSSCNEPETSGRTSKNTTVSRSSAIETVARFISWDDSASESRLLSTPRTSLMSDVDDDESECHVLVQNIMSSAGLGSSQSNMVFTGWHLPDYPLDPVLCNKVSELQEKSSYRRLLFDCVNIALIEIGENALLSAFPWRKRHSRTWRNTSSPDLGVEVWSILKDWIYGARMFVVSRRDNAGIMLDRIVKQEVEGRGWVNSMMLQVVGITEHLEGGVMEELVEEAVLDFAVCFRR >KQL27766 pep chromosome:Setaria_italica_v2.0:I:522242:522477:1 gene:SETIT_020517mg transcript:KQL27766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTKLFIGHSTKNSIQEAKHQNFIYYSNCLRKLI >KQL28294 pep chromosome:Setaria_italica_v2.0:I:4844760:4846639:1 gene:SETIT_018508mg transcript:KQL28294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAQLAASSIDSIVNGGDGGKGPVIAATAAVAVAQVEEKAIVVQPPLVAETSEAAAVIPKAKEGPNRCATCRKRVGLTGFNCRCGNMYCAVHRYSDKHECHFDYRTAARDAIAKANPVVKAEKLDKI >KQL28295 pep chromosome:Setaria_italica_v2.0:I:4844760:4846639:1 gene:SETIT_018508mg transcript:KQL28295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHKEAGCQQPEGPILCINNCGFFGSAATMNMCSKCHKEMIMKQEQAQLAASSIDSIVNGGDGGKGPVIAATAAVAVAQVEEKAIVVQPPLVAETSEAAAVIPKAKEGPNRCATCRKRVGLTGFNCRCGNMYCAVHRYSDKHECHFDYRTAARDAIAKANPVVKAEKLDKI >KQL29893 pep chromosome:Setaria_italica_v2.0:I:26080006:26084258:1 gene:SETIT_017557mg transcript:KQL29893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWAQQNCTGPCSPARPTDIICCRRQASGALGPTNRLNNPMGRWAPRSSRSPRLSGEGVGEVGTHRADGRMAAAGGRKVAVAAVQFACTDVEAENVATAERLIREAHKKGAKIVLIQELFEGHYFCQAQRLDFFRRAKPYKGNPTIIRMQQLAKELEVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKFYFNPGDTGFKAFKTKYATIGVGICWDQWFPECARAMVLQGAEILFYPTAIGSEPQDGNLDSREHWKRVMQGHAGANLVPLVASNRIGRETVETEHGKSTITFYGNSFIAGPTGEIVKLANDKDEEVLVAEFDLDEIKSTRHGWGIFRDRRPELYKVLLTLDGEK >KQL31487 pep chromosome:Setaria_italica_v2.0:I:37889983:37894059:-1 gene:SETIT_016839mg transcript:KQL31487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGHALRAAGDVFFRASDDQLSISPVIAAAVVEWCVQAWGKVRAELLVPLLRATVVMCMVMSVIVLAEKVFLGTVSSVMKLRRRRPWRMYRCDPIARPDEEDAGSEAYPMVLVQIPMYNEKEVYQLSIGAACRLTWPVDRLIVQVLDDSTDAVIKELVKSECERWAAEGINIKYETRKDRAGYKAGNLKEGMRHAYVRGCEFVAMFDADFQPAPDFLVKTVPFLVHNPSVALVQTRWKFVNANDCLLTRMQEMSMDYHFKVEQEAGSSLCNFFGYNGTAGVWRTQAIVESGGWEERTTAEDMDLALRAGLLGWEFVYDGSIKVKSELPSTLKAYRSQQHRWSCGPALLFKKMFWEILSAKKVSVWKKLYMIYDFFIARRIVGTFFTLFFFSILIPLIILFPEAQIPVWELIYIPTAIILLNSVGTPRSIHLILLWVLFENAMALHRFKAILIGFFEADRANEWIVTQKLGNLQKLKSIASVTGNGRFKDRFHCLEIFIGLFLLVSACFDYIYRDDYLYLFVLPQSIMYFAIGFQFIGLSLSED >KQL27935 pep chromosome:Setaria_italica_v2.0:I:1846576:1857977:-1 gene:SETIT_016359mg transcript:KQL27935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPGDEGRSQTQSSDSNWPSHLDHKVQSLSLTKQEKNLDSSLYCREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKECFDTIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLNSNPAAIIKKVAGLVSDFYKRPNPHLSPARTSSEDLSHFLENRGVQLLGHIRHGSCRPRAILFKVLADSVGIDSKLLVGIPNEEPHGYDDSSKHMSVVVMLKSAEFLVDLMRFPGQLVPFSSKAVITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKVPSLRNIMLKSTNSMEGKMSSSHSDPNVANAFCGRSRKKIVDEHQRTASSSPEHPLSRVRGRSMLGDRQYGNSVAVSRSDGASTSNTRRARRRSISITPEISDDIVRAVRAMSESMRQNRLSRGQNDGSLGPSNDSHKHETAGDSNDDEVSIRRPSALEGLRRQINSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWCIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTPENMKDFCNEISILSRVRHPNVILFLGACMKPPHLSLVTEYMELGSLYSLIHSKMHKIKLHWKRRLKMLRDICRGLMCMHRLKIVHRDLKSANCLVNKYWTVKICDFGLSRIMSDSSMDDNSSAGTPEWMAPELIRNEPFTEKCDIFSFGVIMWELCTLSRPWEGIPPVQIVYSVANDGARLEIPDGPLGSLIADCWAEPERRPSCQEILTRLLDCEYTLC >KQL27936 pep chromosome:Setaria_italica_v2.0:I:1848455:1857977:-1 gene:SETIT_016359mg transcript:KQL27936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLPGDEGRSQTQSSDSNWPSHLDHKVQSLSLTKQEKNLDSSLYCREAGHSLQAAQTLWSTGSLSGPIPNGFYSIIPEKRLKECFDTIPSPDDLYSLGIEGFKAEIILVDIERDKKISALKQLCTALVKGLNSNPAAIIKKVAGLVSDFYKRPNPHLSPARTSSEDLSHFLENRGVQLLGHIRHGSCRPRAILFKVLADSVGIDSKLLVGIPNEEPHGYDDSSKHMSVVVMLKSAEFLVDLMRFPGQLVPFSSKAVITSHISAAGESDSVDYDSCDSPLEPNSPLCAQRQEQDDSNRSFKVPSLRNIMLKSTNSMEGKMSSSHSDPNVANAFCGRSRKKIVDEHQRTASSSPEHPLSRVRGRSMLGDRQYGNSVAVSRSDGASTSNTRRARRRSISITPEISDDIVRAVRAMSESMRQNRLSRGQNDGSLGPSNDSHKHETAGDSNDDEVSIRRPSALEGLRRQINSQKAVSLPSSPHRSSILASDLRGPSDFTEADLMSTWNKVLQSSPFLNKPLLPYEEWCIEFSEITVGIRVGVGFFGEVFRGLWNGTDVAIKVFLEQDLTPENMKDFCNEISILRFYLYLSP >KQL32133 pep chromosome:Setaria_italica_v2.0:I:41490983:41493462:1 gene:SETIT_018433mg transcript:KQL32133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPAHAAAAVAAGEAVMYHGRVETRSTPPRLGFRPGARWAAISLPPGPRHAAPAAAAAKERVVGEGEGPAWVELEPIAGEQQLDRALAEAQQLDLPIVLLWMASWCRKCIYLKPKLEKLAAEYYPRVRFYCVDVNAVPQKLVNRAGVTKMPSIQLWSDSQKQAEVIGGHKSWLVIDDVRRMIEREE >KQL28429 pep chromosome:Setaria_italica_v2.0:I:5826255:5830184:-1 gene:SETIT_018027mg transcript:KQL28429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHGLATDPSRTLRCPVASRVSTAPLGVVSSLSFNRGRKEKNKIFINVDRYTKYSTPLCYAQRNARITPRATASFGDMADSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNYLISKYAGHKPSVDAIESAHDRIIMQSFFDRKKPKMDLKKKFRELSQSRAVKAIQGRFQTPRSKVIWQTAITFILLGALTLAFPTEEGPTLQVAISCAANIYFIYQRVKSGWRAFFYGFGSFFASWFLGTFLMVSVIPPILPGPRNLEVSTACVTYALLFISSTFLK >KQL28430 pep chromosome:Setaria_italica_v2.0:I:5825954:5831037:-1 gene:SETIT_018027mg transcript:KQL28430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHGLATDPSRTLRCPVASRVSTAPLGVVSSLSFNRGRKEKNKIFINVDRYTKYSTPLCYAQRNARITPRATASFGDMADSSTPIFPRIHVKDPYQRLGISREASEEEIRAARNYLISKYAGHKPSVDAIESAHDRIIMQSFFDRKKPKMDLKKKFRELSQSRAVKAIQGRFQTPRSKVIWQTAITFILLGALTLAFPTEEGPTLQVAISCAANIYFIYQRVKSGWRAFFYGFGSFFASWFLGTFLMVSVIPPILPGPRNLEVSTACVTYALLFISSTFLK >KQL28115 pep chromosome:Setaria_italica_v2.0:I:3434755:3436947:1 gene:SETIT_017713mg transcript:KQL28115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMQEGRKGIPSLLSSQGECIASNITQLIGWTPLIELKNIAKKDGINARLIGKIEPYQPLSSVKDRSALRLIEDAEEKNLISPGITTLVAATSGNLGIGVALVAAQKGYKFIAVMPAKIAIDKQILLRYLGVEVILVDPAINGFKGLLDRVEQLKNEMENVYVIDQFTNPANPDAHFRWTGPEIWKDTEGKVDIFVAASGSGGTLTGTGRYLKMKSPSVKLMCVEPAESAVISGGEPAYHKIQGIGPGFVPEILDTSQIDEIITVTAQEAMDVARRLAREEGLLVGISSGANAAACLKVAAREENKGKMIVTMFSSGGERYLNSELFAQAKEECVNINMAF >KQL28981 pep chromosome:Setaria_italica_v2.0:I:9385832:9386298:-1 gene:SETIT_020307mg transcript:KQL28981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLRVHVRDSFPDREVESLEQLGGKLQNLSDKFFGQHRSLVVAF >KQL28337 pep chromosome:Setaria_italica_v2.0:I:5195264:5196322:1 gene:SETIT_019901mg transcript:KQL28337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPIGSVRTCRLRRISHLIDQTANIWDEAAIRRFFYPCNVDEILKIKLPSTPSADWIAWNFEKTGMLSVRIAYKLAMREKYEMGATGSNSSIEGERALWKRVWSAGVPSKVRVFAWKARALRNELRKQVALLAEADLRNTGLERLLAILDRCWNVKNNLLQAGEQISIASSVLFLTHYIEALFQIQQQQVEGDTRGKKKLDRGRNAHPVVKKPAADGRWVPPPGETLQINVDGAFIKETGGAAVGCLLRHCRDAEEVEAQACLEGIRMAGRWPDREAILE >KQL30610 pep chromosome:Setaria_italica_v2.0:I:32196599:32198299:1 gene:SETIT_017139mg transcript:KQL30610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAILLRQGRRAHAHARGLPLSRALSNTTASSDPGATTGSSARRRLRREFDPDRAVSILETIDTASMSAGATRNTLSVAARRLSVAGRVADAEALISSYLVACTTEPYLAAVLCSYASANLHEKALDAFRSTAPSLPTPISALPFNALLSTFLRCRRHHRIPALFAELSKEFSITPNDTSYGILVKAYCMNRDDAKAKQTLDQMREQGISPTTKIYTPLIDSMYKQKKTEEAELLWKEMVESGCKPDVAVYNVKVMYYGLYGKLEEVMEVMEEMEADGVKPDIITYNFLMSSYCRNGKFVDAKAVYHSLAEKRFSPNAATYKYMLAGLCANGDFDAGLGIFKESLKRNKVPDFFTMKGFVEGLVKGGMVAEAKKVIADMHKKFRVSGWKKLEKELGLDLDSGDTSCSKGTSGKIVAEAKSVAADAEALELEGSAAEETAVSEESSDDEVPVPGEIPRGPT >KQL32008 pep chromosome:Setaria_italica_v2.0:I:40839516:40845890:-1 gene:SETIT_016233mg transcript:KQL32008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLRPPALHICAVSGAGGGKEKWAPQPQRSWWGGNKPSLPRQPRQSGGNGGRGGGGGGGALDQVLGVLRRDGEFFQATAGAPLRDVFWLRFLEKKQKQPKPVPKPAQQQQMLQEEEVEVEELPREAPAFPPPAYPTGLSCVELMAADFQALKVYAGSARHSLARRFLRSKEQSKSQQQPKPKPQEQQKEQQQILQPPAFPPPSYPPGLSCMELMMADLEALKIYINYYSTILTTPLPQHYDPDLLAQYFASRPHVLAFRTIQILFAFVSAVVKMQISKRSQLTAEATYSSGNSSNNFDASQYMVGQLLKEMFLDLGPTFVKVGQSLSTRPDIIGSEFCEALAELHERVPPFPREDVMKIIEGEFECPVSHIFSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLAFIRKVANRRSNISLYADELGRGFVGELDYNIEAANATKFLEVHSRYPFMLVPKVLKQLTRKRVLTMEWVAGENPKELLSLTKGVSEKVPEASEKQKLEAKSRLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMERKHQRAMLASIIHIVNGDWSSLVYDLTEMDVVPPNTNLRRVTMELEDALGEVTFEEGIPEIKFSKVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEEFFLSFLLIGLAVAADETFKTFQAAYPYVVRKLLSNNSLATRRLLNQAIFNKKKEFQWQKISAFLKSASARSNFKYIGGALPVPDTKDVNVDRLVEISGSFSLDRATATPERALHTANLCVRLLLSKDSAVIRRLIMTANAKSLARDLISRDALMFRVLLSKVIADVVCQWMLNVTGLKRVAENDGHLVLSEESSTMVALQAVVRDRRMQVIFSKFVRELREEPVLMVRVSWNMFVISVTSAAVGLHRFMVFLSEKYLPTPPPPVPPPRQVQIQTL >KQL32007 pep chromosome:Setaria_italica_v2.0:I:40839273:40845980:-1 gene:SETIT_016233mg transcript:KQL32007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLRPPALHICAVSGAGGGKEKWAPQPQRSWWGGNKPSLPRQPRQSGGNGGRGGGGGGGALDQVLGVLRRDGEFFQATAGAPLRDVFWLRFLEKKQKQPKPVPKPAQQQQMLQEEEVEVEELPREAPAFPPPAYPTGLSCVELMAADFQALKVYAGSARHSLARRFLRSKEQSKSQQQPKPKPQEQQKEQQQILQPPAFPPPSYPPGLSCMELMMADLEALKIYINYYSTILTTPLPQHYDPDLLAQYFASRPHVLAFRTIQILFAFVSAVVKMQISKRSQLTAEATYSSGNSSNNFDASQYMVGQLLKEMFLDLGPTFVKVGQSLSTRPDIIGSEFCEALAELHERVPPFPREDVMKIIEGEFECPVSHIFSYISDEPVAAASFGQVYQGRTVDGALVAIKVQRPNLLPSVLRDIYILRLGLAFIRKVANRRSNISLYADELGRGFVGELDYNIEAANATKFLEVHSRYPFMLVPKVLKQLTRKRVLTMEWVAGENPKELLSLTKGVSEKVPEASEKQKLEAKSRLLDLVNKGVEASLVQLLETGLLHADPHPGNLRYTPEGRVGFLDFGLLCMMERKHQRAMLASIIHIVNGDWSSLVYDLTEMDVVPPNTNLRRVTMELEDALGEVTFEEGIPEIKFSKVLGKIWSVAFKYHFRMPPYYTLVLRSLASLEGLAVAADETFKTFQAAYPYVVRKLLSNNSLATRRLLNQAIFNKKKEFQWQKISAFLKSASARSNFKYIGGALPVPDTKDVNVDRLVEISGSFSLDRATATPERALHTANLCVRLLLSKDSAVIRRLIMTANAKSLARDLISRDALMFRVLLSKVIADVVCQWMLNVTGLKRVAENDGHLVLSEESSTMVALQAVVRDRRMQVIFSKFVRELREEPVLMVRVSWNMFVISVTSAAVGLHRFMVFLSEKYLPTPPPPVPPPRQVQIQTL >KQL28836 pep chromosome:Setaria_italica_v2.0:I:8400786:8402502:1 gene:SETIT_017974mg transcript:KQL28836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMAAAAAPPPRLDSVLSFGNALPGDGADADAHRPGTDERAFSDAGSEYDDDDDAGFEFAFAPPLVARGTGGGAEDLAPADDIFAHGRILPAYPVFDRHHLLDRGDDEATAPAPQVASTAPPSPDTYCAWAPRSAPGSPARERDFPKSASTGEARRSWRLRDLVSGAGGRSHSDGKEKFLFFQPTTTASAAPSKTAGSKATAEMAGSSRQAAKPSAPAQKQSKKKGGKAAGAAVTEMDMATAHKLFYAKQGGGALAGDRRQQQQSYLPYRPGIVGFFTAAHALGRSHHPY >KQL29961 pep chromosome:Setaria_italica_v2.0:I:27034013:27034958:-1 gene:SETIT_019443mg transcript:KQL29961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPQSSPALVALTEDAEAAVIVAALTHVITHGRGAAPTPPGTSLAVPPCPSTATGCHLGHVGQAACHGEPSPPAHVVSGTSARSPAPEAQRATAAAAASARRGYRGVRRRPSGKWAAEIRDPRKAARVWLGTFVTAEDAARAYDAAALRFRGSRAMLNFPEDVLSLGHMPAAAGSRQPGSGWDRTMDRSPCPEIVSRREAMDGFIGGGNGRFLGFWSIGISSSSLTPKPTCSAAPVVAPLLSESHRTGSSGIEDDAEGVWERTNSAR >KQL32018 pep chromosome:Setaria_italica_v2.0:I:40892613:40894132:1 gene:SETIT_017227mg transcript:KQL32018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKCRRPAPRDGDGDGDATAILDDADLEEILLRLPSAADLARSAALVCRRWRRVSSAPAFLRRFRRLHPPQILGFFICKGGRPHRYDVLNRSPLPVLDPTFLPVVAPNPGVGGAVGRCRDFSLSSLPTVDHWSLADSRDGLLLFCSSCDRSTNDRDLPDLRDIPKHFAVCDPLSGHSILLPKPGAGLYLGSYYLGAALVISDKDEGGTGIFSFEVLIATYVLREGPCLCAFSSSSRQWVVLPCPDTYELYNYETPWIDDGARDSGHVYWVVHDWGMDYEHILVLDLQTKKFSTINLPCSGMCDKYNRNIKVMRSEGDRDLRVVAMAWSRCALHFWRHDRSRSAKGRWLKEDVVKFSGVDGLLDLRMAGGSGNSYLIRIVDAGEGFVFIKHYEAPWVFVLNLKEMTMQKLPNRERFCGHALPYRMALSPHLPNFREGNH >KQL32114 pep chromosome:Setaria_italica_v2.0:I:41406632:41409415:-1 gene:SETIT_017147mg transcript:KQL32114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIDRQRVLLAHLLPSSSSPSSQPQLAASECAAGDSAAYQRTSSFGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPGDIGDIVVGTVLGPGSQRANECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSINSIGWEGQVNPRISAFQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATASGKFKDEIVPVPTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPIFKKDGTTTAGAAEDNYFH >KQL32111 pep chromosome:Setaria_italica_v2.0:I:41405719:41409415:-1 gene:SETIT_017147mg transcript:KQL32111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIDRQRVLLAHLLPSSSSPSSQPQLAASECAAGDSAAYQRTSSFGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPGDIGDIVVGTVLGPGSQRANECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSINSIGWEGQVNPRISAFQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATASGKFKDEIVPVPTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPIFKKDGTTTAGNSSQVSDGAGAVLLMKRSVALKKGLPILGVFSFAAVGVDPAVMGVGPAVAIPAAVKSAGLEIEDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIAMGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDTVDELSNVRDIQSHNFLSRDAK >KQL32113 pep chromosome:Setaria_italica_v2.0:I:41406482:41409325:-1 gene:SETIT_017147mg transcript:KQL32113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIDRQRVLLAHLLPSSSSPSSQPQLAASECAAGDSAAYQRTSSFGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPGDIGDIVVGTVLGPGSQRANECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSINSIGWEGQVNPRISAFQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATASGKFKDEIVPVPTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPIFKKDGTTTAGNSSQVSDGAGAVLLMKRSVALKKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLEIEDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIAMGHPLGATGN >KQL32112 pep chromosome:Setaria_italica_v2.0:I:41406055:41409325:-1 gene:SETIT_017147mg transcript:KQL32112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIDRQRVLLAHLLPSSSSPSSQPQLAASECAAGDSAAYQRTSSFGDDVVVVAAYRTPICKAKRGGFKDTYPEDLLTVVLKAVLDNTKINPGDIGDIVVGTVLGPGSQRANECRMAAFYAGFPETVPVRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMSINSIGWEGQVNPRISAFQKAQDCLLPMGITSENVAHRYGVTRQEQDQAAAESHRRAAAATASGKFKDEIVPVPTKIVDPKTGEEKKVVISVDDGIRPGTTASGLAKLKPIFKKDGTTTAGNSSQVSDGAGAVLLMKRSVALKKGLPILGVFRSFAAVGVDPAVMGVGPAVAIPAAVKSAGLEIEDIDLFELNEAFASQFVYCCNKLGLDRSKVNVNGGAIAMGHPLGATGARCVATLLNEMKRRGRDCRFGVVTMCIGSGMGAAAVFERGDTVDELSNVRDIQSHNFLSRDAK >KQL30761 pep chromosome:Setaria_italica_v2.0:I:33201387:33203572:-1 gene:SETIT_016725mg transcript:KQL30761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASSREAEAARAAAREHTKRCRERRRLMREAVRLRRHLAASHAAYLRSLGAVASALMRFAVGEPLPVSDHTPPAVLVHREVAPSSPPPLLRAIEQHQREPQEQQQQQQQEDGVSVDVGAAATRTEGVGAAATATRTEGIVGGAEEELRIVVRHRSLAEVAAGLEEYFMKASAAGDVVSSLLETSTTEYKGGSHSFLGALCCVSAPAVDRVDSMGGRQRHSSTLQQLLAWEKKLYKDVKARERLQIRHDKKLAVLRDQEYSRKIGVDIQKLKSAWDRARAQLDTATQSVDATASAIAELRDTHLARQLLCLFHATLDMWRTMRRHHEAQGRIAQQLRGLSSRTSTEPTTEIHHKATRALEAAMSTWCATMAATAKHQRDYVHAVHGWLKLTLAPVSGGGTGAAVSSPVAAELAAFADRWGKVLDRAHCVDVLKAIKGFAAAAHAVHALQGDELRVAARMRRRSRELDRKSRMLRQVEKSYYDSYLPGGMSLWHWGRPMLREDHLQARDARNEVAQRRDEIEACRKMVEDEMRRHAKAIDATRTATVTCGQEKLPAVFQAMAAFSAALADSLEAVCRAPLQQNTHTQQ >KQL27908 pep chromosome:Setaria_italica_v2.0:I:1581376:1583477:1 gene:SETIT_019155mg transcript:KQL27908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLHSGDDVKVAGREGVVSLAFVASFSSDLARAFVPQQYPRSASQQEPERRRRALRRRRGARTRSRSPGNSLHRGLGVGKFFRSATFGVGGYETAYDWCIRFYPDGFNSSGNNAASVGLFLELRNTEAEVRASFEFRLVDQATGQSTKRSELEASAFLHDDCLVVECDVTVIVNEPRVEETAAIGPGVEVQVPPSNLSDNLRKLLEDKQGADVTFRVGDEVFPAHKIILATRSTVFNAELFGPMGEKNTTGQLCIDVEDMHPDVFRALLQFIYTDTMPDMGEYAMERLKLMCEEIICRSLDVENVATMLALADQHHCTTLVDACAEFTASSNRIGNVVASQGYVHLKRACPVVLVDMLERVAKCRIAA >KQL28633 pep chromosome:Setaria_italica_v2.0:I:7148846:7149128:-1 gene:SETIT_020431mg transcript:KQL28633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCLWSFKVLYTDMGVGISVGLGCGYPIRQIFLGARQITHGIQHGCQNLALVWGV >KQL28977 pep chromosome:Setaria_italica_v2.0:I:9362140:9369587:1 gene:SETIT_016888mg transcript:KQL28977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHLRLLSAAHPIPAPAAAPTNLRRLQLPAPPLPAARLRLLRATRLEESRGSSRAGPCRCAGSAVARVGDDGGDQAAGIWEQVRDIVVFAGPALGLWICGPLMSLIDTMVIGQTSALQLAALGPGTVFCDYLSYIFMFLSVATSNMVATSLAKKDKELAQHQVSMLLFIALACGIGMFLFTKVFGTQVLTAFTGSGNYEIVTSANTYAQIRGFAWPAVLVGLVAQSASLGVKDSWGPLKALAAASVINGVGDIVLCSVCGYGIAGAAWATMVSQIVAAFMMMRNLNNKGFRAFSFTIPSARELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMINILCMCTVWGEPLSQTAQSFMPELIYGANRNLTKARMLLKSLVIIGAITGAVLGTVGTLVPWLFPSLFTNDRMVVQQMHIILAPYFSALLVTPSVHSLEGTLLAGRDLRYLSQSMSVCFCIGTLLLMVLRNKFGSLPGCWWILVLFQWGRFASGFQRLISPTGMLYNENFNQVEYIKTKAT >KQL28976 pep chromosome:Setaria_italica_v2.0:I:9363518:9369025:1 gene:SETIT_016888mg transcript:KQL28976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDKELAQHQVSMLLFIALACGIGMFLFTKVFGTQVLTAFTGSGNYEIVTSANTYAQIRGFAWPAVLVGLVAQSASLGVKDSWGPLKALAAASVINGVGDIVLCSVCGYGIAGAAWATMVSQIVAAFMMMRNLNNKGFRAFSFTIPSARELLQIFEIAAPVFVTMTSKVAFYALLTYSATSMGAITLAAHQVMINILCMCTVWGEPLSQTAQSFMPELIYGANRNLTKARMLLKSLVIIGAITGAVLGTVGTLVPWLFPSLFTNDRMVVQQMHIILAPYFSALLVTPSVHSLEGTLLAGRDLRYLSQSMSVCFCIGTLLLMVLRNKFGSLPGCWWILVLFQWGRFASGFQRLISPTGMLYNENFNQVEYIKTKAT >KQL30149 pep chromosome:Setaria_italica_v2.0:I:28388565:28393555:-1 gene:SETIT_017320mg transcript:KQL30149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTARSPTAEASPPAAADKHRSGSAAGSGLRSLASAASGWWDRWAVMGSGLSKLERAFGDQFPEGERYFGLENFGNTCYCNSVLQALYYCTPFREQLLEYYAKSRNVEDAEENLLTCLADLFSQISASKKKTGVIAPKRFIQMVRKLNEYFRGYMHQDAHEFLNFLLNEIVDILEKETRSAKVSPETTSPEKVSNGAAVNGVRKEPLVTWVHKNFQGTLTNETRCLMCETVTAKDETFFDLSVDIEQNSSLTSCLKNFFSTETLNADDKFFCDKCCSLQEAEKRMKIKKVPQILVIHLKRFKFIEQLNRHKKLSYRVVYPLELKLSSNSDDADCEYSLFAVVVHLGSGPNQGHYVAKIKSHDHWLSFDDDNVEMIPESTLQTFYGSSREYSGNTDHGYILFYERVGGNCDEKADPPEGSV >KQL30485 pep chromosome:Setaria_italica_v2.0:I:30872980:30873844:-1 gene:SETIT_020294mg transcript:KQL30485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHFHPHLCRRAHPQPQRESLHAATFLECWVCMNDVAAVNFMRGESSLKSHKAGKGYMSWRSRK >KQL30486 pep chromosome:Setaria_italica_v2.0:I:30873376:30873805:-1 gene:SETIT_020294mg transcript:KQL30486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYGGGATHRLAYSRLVMMLDFYGTSLSSSSVSPCSSSTTTRISSCRYIFGVLGMHE >KQL31234 pep chromosome:Setaria_italica_v2.0:I:36392396:36393371:-1 gene:SETIT_018402mg transcript:KQL31234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYAQEHVYLHPWDRVTAAVWRKFTDPASRTALSHVADVHTLHRRLDSDTGRLHAARSITVRSPPLPFILRRLLPSAAASPNGAALCHCVETSLVDAQRRAMDVVVRNVSLRGLIEVEERASYRPHPDRPDEWTQFRQETTIRCRPLAALAAVAEKVETRCAERFLQNSAKGREVVERICRYLEAESAGAAPSAV >KQL29663 pep chromosome:Setaria_italica_v2.0:I:22782892:22786103:1 gene:SETIT_016204mg transcript:KQL29663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQHETSDAEALVSAASRNLSSSSSAFVSANQSPFFTPRSLSARAPEHAGPENKCSANGIALKISDILSGDSFVQPEQPPSANVGVLPSDVSPPISLCTSSNFGTPAIVYNNPSFVSTFNGPCQGSSSATSNGGRSARKEKQKRLGGMYRKSSSSQPTTSAASVSRLRSYDVYIGFHGRKASLLRFTNWLRAELEIHGISCFVSDRSRCRNSHSHDAVERIMNASTYGVVVLTKKSFGNPYTIEELRNFFGKKNLIPIFFDLDAADCLARDIIEKRGELWEKHGGKLWMLYGGIEHEWMESVDALSRVVDVQLEVNDGNWRDCILQAVILLATKLGRRSVVDRVNRWKGRMEKEEFPIPRNDDFVGRKKELSELELILFGDVTGDGEREYFELKTKQRRKGLAVRRSANNHEQVNTDDSKGKEPVLWKETEKDIEMQRLDSPLRHGRPLRVKNGIRHGRKKRSRKILYGKGIACISGEPGIGKTELVLEYAYRFFQRYKMVLWVRGESRYIRQNYLALRTFLEVDLSVDSHLHEKGSDRCFEEQEEEAIAKIRQELMRDIPFLVIIDNLESEKDWWDKRVIMDLLPHFGGETHFIITTRLPRMINLEPMKLSYLSGAEAMTLMKGGVKDYPLVEIDALKIIEEKLGRLPLGLSIVGAILSELPITPTRLLDTLNRTPLVRNFSWNEREVLSLKNHEILVRLLDVCLSIFDHADGPRSLATRMVQVSGWFAPSAVPVHMLALAAHKVPKKHRRGPRWRKLWQTLTCGLATSRMKRSEAEAAAMLMRFGIARCSAKPDHVQFHDLIRLYARQRGGTRTAQAVVQSVYLQGSIKHSSEHLWSSCFMVFGFGSDPLLVELRPSELMFFVKQIVVPLAIHTFITYSRCNAALELLRLCTDALERAAESMLAHAGKWRETSFSCFRQAQSEAQYTYLWQELAILKASVLETRAKLMLRGGQYDIGDDLIRKAIFIRTSICGEHHPDTVSARETLSKLTRLLTTVQLS >KQL28511 pep chromosome:Setaria_italica_v2.0:I:6342852:6345163:-1 gene:SETIT_017778mg transcript:KQL28511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCASELELEAFIRSREDAAAAAVAAAEHKPGHDIAAQAAFGAGVFSPGDLSGFSFADSNTLNGSIPNHVWSHNHNVRHPAVSTTIESQSSICAASPTSATNLYLKESQTLGGTSGSDSDSESLLDIEGGPCEQSTNPQDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKLAEDMVARGALTCGLGSLGLSPVLNPRQACRGPDVLSGLDFAGDDPCFAGLSPTEQVQNSPLQSIASLESLENRIASEVTSCGGPGVDVWPWDGGLSK >KQL28509 pep chromosome:Setaria_italica_v2.0:I:6342852:6345163:-1 gene:SETIT_017778mg transcript:KQL28509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCASELELEAFIRSREDAAAAAVAAAEHKPGHDIAAQAAFGAGVFSPGDLSGFSFADSNTLNGSIPNHVWSHNHNVRHPAVSTTIESQSSICAAASPTSATNLYLKESQTLGGTSGSDSDSESLLDIEGGPCEQSTNPQDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKLAEDMVARGALTCGLGSLGLSPVLNPRQACRGPDVLSGLDFAGDDPCFAGLSPTEQVQNSPLQSIASLESLENRIASEVTSCGGPGVDVWPWDGGLSK >KQL28510 pep chromosome:Setaria_italica_v2.0:I:6342852:6345163:-1 gene:SETIT_017778mg transcript:KQL28510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCASELELEAFIRSREDAAAAAVAAAEHKPGHDIAAQAAFGAGVFSPGDLSGFSFADSNTLNGSIPNHVWSHNHNVRHPAVSTTIESQSSICASSHYIRSLAAAASPTSATNLYLKESQTLGGTSGSDSDSESLLDIEGGPCEQSTNPQDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKLAEDMVARGALTCGLGSLGLSPVLNPRQACRGPDVLSGLDFAGDDPCFAGLSPTEQVQNSPLQSIASLESLENRIASEVTSCGGPGVDVWPWDGGLSK >KQL28508 pep chromosome:Setaria_italica_v2.0:I:6341933:6345399:-1 gene:SETIT_017778mg transcript:KQL28508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCASELELEAFIRSREDAAAAAVAAAEHKPGHDIAAQAAFGAGVFSPGDLSGFSFADSNTLNGSIPNHVWSHNHNVRHPAVSTTIESQSSICASPTSATNLYLKESQTLGGTSGSDSDSESLLDIEGGPCEQSTNPQDVKRMRRMVSNRESARRSRKRKQAHLADLETQVDQLRGENASLFKQLTDANQQFTTAVTDNRILKSDVEALRVKVKLAEDMVARGALTCGLGSLGLSPVLNPRQACRGPDVLSGLDFAGDDPCFAGLSPTEQVQNSPLQSIASLESLENRIASEVTSCGGPGVDVWPWDGGLSK >KQL29337 pep chromosome:Setaria_italica_v2.0:I:13933345:13937008:1 gene:SETIT_020562mg transcript:KQL29337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDESAPRAGAEAEAALGLSPQLFVDEVLDRIADISAEAFEYCLHEAAAPGVLGAATAAQKAAELQRGLNGIRHVVKNALDKRMTNWEKYCFEHCFNIPEGFMVPEDDNSCAKDSHKDGTNSYLDVELDSIRRKLESANKESENLQREMSSLENQTTYKRKLDSAIAEIQKLFDDKFVQENFEDLAKAIPVLQQKIIGMKKKRTETGNLIDQQVWNTNGLRDSKRQALGNGFTACTEDIQGIVNILQNK >KQL29335 pep chromosome:Setaria_italica_v2.0:I:13933345:13937008:1 gene:SETIT_020562mg transcript:KQL29335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDESAPRAGAEAEAALGLSPQLFVDEVLDRIADISAEAFEYCLHEAAAPGVLGAATAAQKAAELQRGLNGIRHVVKNALDKRMTNWEKYCFEHCFNIPEGFMVPEDDNSCAKDSHKDGTNSYLDVELDSIRRKLESANKESENLQREMSSLENQTTYKRKLDSAIAEIQKLFDDKFVQENFEDLAKAIPVLQQKIIGMKKKRTETGNLIDQQVWNTNGLRDSKRQALGFTACTEDIQGIVNILQNK >KQL29336 pep chromosome:Setaria_italica_v2.0:I:13933345:13937008:1 gene:SETIT_020562mg transcript:KQL29336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGDESAPRAGAEAEAALGLSPQLFVDEVLDRIADISAEAFEYCLHEAAAPGVLGAATAAQKAAELQRGLNGIRHVVKNALDKRMTNWEKYCFEHCFNIPEGFMVPEDVRTLYSKDNSCAKDSHKDGTNSYLDVELDSIRRKLESANKESENLQREMSSLENQTTYKRKLDSAIAEIQKLFDDKFVQENFEDLAKAIPVLQQKIIGMKKKRTETGNLIDQQVWNTNGLRDSKRQALGNGFTACTEDIQGIVNILQNK >KQL29085 pep chromosome:Setaria_italica_v2.0:I:10233385:10239031:1 gene:SETIT_016354mg transcript:KQL29085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFAGAAPAAGVFAGSGTAAARPFILRRRGGASRSATGRVRLLRAPPPRAAGGGNGGDLPPLDKWDMMELDFGRFLGEDPKLTLAKILVKKSDPDASSLDVEKLIATKKDKLDDILREFMDANKKDQDFKTPESVSPQPTISKPVEGKSSLNISRPVMGKPKQDGPPLTLLRPAGSKPKQDEPSLAQLRPVGSKAKEDIPLLTLSRPIGSKPIVRGTVVQDSWPSKESLAAATDDSEVGSISRTSDVDVTLRKPTVYQSEDDDLKSKLKMKPNIDLKMRKDMNEDLTKISLLQKPDVAKDIANPDQDHASASSTTISSAEDNIEFEPETKGLDAKLVTENFHESSGLDDNSSAGPQPSSQTVMQETNTSAGSVDNQSATSNNFSMQAFLQGRPKRENQSAEVLPSPVDEKMNATGNRNYVDDGGNVLPSKLEDITESDWTRLEHYASTGEKVEVELINCSPKGFVVSLDSLIGFLPYRNLATKWKFLAFETWLRRKGGDPSLYKHSLGLEDGLEVPDRNIEPESSSISEVAGEDQGSLPSKPKLEDLLRAYNQEKSKFLSSFIGQRLRVSVVLADRNSKRIFFSMKPKESEELIQKKKSLMAKLNVGDIVQCTIKRFVYFGIFVEVEGVPALIQQWEVSWDDTLDPAVSYKIGQVVDAKVIQLDYNNNRIFLSLKDVKPNPSVGALEAVIGEDLSLGEALEPVQADFEWPEVDALMEEMRKIEDVRDVYKGRFFQSPGLAPTFQVYMAPVIGPKYKLLARYGNNVQEVMVETTLDKERLKEAILTCTNRVS >KQL31825 pep chromosome:Setaria_italica_v2.0:I:39959579:39960532:-1 gene:SETIT_019701mg transcript:KQL31825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPISLVLLTVILLAVIYLVVFPNGFKLAEVFGSSSCDNGAAASTTSSAVQLTAGAVDLRVFIGIVTVPGAYERRALLRLAYSLQPRPVRAVVDVRFVLCAVDKEEDRILVSLEIIAHGDILVLNCTENMNDGKTYAYFSAVPRLFAAEPYDYVGKTDDDTYYRLASLADSLRGKARRDAYHGYLTPCHWRPEKQYMSGMGYIVSWDVAAWIAGTPELRDDHDDWEDVNFGGWLRKGGRYKNVYNEEPRMYDYWDREMDADVNCFRHEHMADTVAVHKLKDRLKWARTLFFFNATQGLKPSKMYNIDLQNNIYRV >KQL32183 pep chromosome:Setaria_italica_v2.0:I:41691606:41693199:1 gene:SETIT_017575mg transcript:KQL32183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAASVLSRLPHHGHGSAFVDAATGRSLSFLALHRGALSLASGLRLGLGLRRGDAVLVLSRNSLLLPQILLGVLAAGGVVVAADPDATAAEIAAAAHGSGAVMIVAEPEAEVAGVGVPLLLTSRSLLSLSAEELIDGGDPMVLSLSEEDDDLAAFLSSSASRTVADLVAAVQVPGLDEDEGRRVCLASLPMCSAHGLALIALGLPAAGVTTVLPHPGAASSSLWEAVATHAATDVVAAPEALAGGPMPLDASSKLSSLRRVMVAPTPLTPEARHQFRRRLPWVHLMELGLSLSLGGGGLMLTQADAAVIHHNSQPAEQMSATKEAASTVPPLNKIQKIILGDISSRSSTSKHPVTGSNRQAFSKM >KQL27860 pep chromosome:Setaria_italica_v2.0:I:1269471:1273308:1 gene:SETIT_019866mg transcript:KQL27860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRAWMYGIRRHSHTFMSEVSKFVDVAKKHAGICKTKQIRCTYFDCSNNIVREDTDVIKRHLIKRGFVDGYTIWSYHGEVGGTFNNTDIDTNCDEVGRDDANENDHVTTDDDYDHGDQNDDQTDAHAEPQVDEECDVDMEDMLHHIKPEVLLGVAKGLENFETLKKVAKDHNSFNDLLTLLGNSLLKPNFVPKNRYEAKKIINPLKMLGYKDDPWVLAERVGQVFYITDPTNAKKYIAVSGKQRILGVEGVVDIEDYNQYKEFDLFKDHERRIKCVEASIDKSIKPWLRTDYEGRIVKG >KQL29428 pep chromosome:Setaria_italica_v2.0:I:15759778:15762103:1 gene:SETIT_018750mg transcript:KQL29428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLASAVPSAWASPALTRDTAGSCRRACIRHHPLGGAQVRSACGLACRYTRGGARRLPVALAAGGSSVGDVFVTEGSTNVKFPQELIVPGYTGSLVILGTGTFFCHIESNMAWE >KQL32072 pep chromosome:Setaria_italica_v2.0:I:41208091:41210527:1 gene:SETIT_018643mg transcript:KQL32072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KQL31494 pep chromosome:Setaria_italica_v2.0:I:37930310:37934799:1 gene:SETIT_017716mg transcript:KQL31494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATMPLDQCKQSKRAVSFFFLLLLLLFPVGTHVCSRTHVARSPEMSTNSRSNSRANFNNEIHDISTVQNSTMPPMYYSDRSLADFFPPHLLKKVVSEVVSTFLLVFVTCGAAAISASDLNRISQLGQSVAGGLIVTVMIYAVGHISGAHMNPAVTLAFAVFRHFPWIQVPFYWAAQFTGAICASFVLKAVLHPITVIGTTTPTGPHWHALVIEVIVTFNMMFVTLAVATDTRAVGELAGLAVGSAVCITSIFAGAVSGGSMNPARTLGPALASNLYTGLWIYFLGPVLGTLSGAWTYTYIRFEEAPSNKDAPQKLSSFKLRRLQSQSVAADDDELDHIQV >KQL29772 pep chromosome:Setaria_italica_v2.0:I:24346306:24347884:1 gene:SETIT_017693mg transcript:KQL29772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNAALPSLVATLLIVATQSAAGGGQQLTVGYYNAKKCGGVEPIVSDEVYRALAADRSKGAALIRLFFHDCWVKGCDGSVLLDASPRNPYPEKAAGSSIGLRGFDVIDRIKSRLESVYPGVVSCADILAFAARDATRYLSDGHIDYAVPSGRRDGVVSRAKDADDTLPGSTFSFADLKTNFAKKKFDAEELVVLSGAHAIGSAHYPSFRDRLAAPRGEIDARYQTALRNAARNRSRLVANNIRDESYAFKKDAGYPTAPVNGRRDYLNNTYYHNAIDNRVLFRSDWALRTDAFAFGKLKEYRDKPKEWDSDFAEAMVKLSKLPAEGKDFEIRKNCSAINNPSRY >KQL31962 pep chromosome:Setaria_italica_v2.0:I:40665532:40667031:1 gene:SETIT_017386mg transcript:KQL31962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGEGFLRVLRALRDAARRVEAGEGRGPALQALLALEAGADDLLAGDPNLSALRRLLYRLRALSCSVDLCGAGEEGGGVIGFLRAQCRRCGARRGIARVAGAVAGEIQAWIDRETIARLVAELRRSEGGGGADAARALLAELEARLLSVGRFDPRLQRALLQHGVFATVEAKLGDPAVCDGCAAAVLALVRFNKDVFVGPVLMGPAVGALVAAASGSPAPLRALNGLVAAIRSPLVDELHARGEVPRLVALLCAPDPRVRTLALEFALRVGYYGRKEVVDALLAEGLVKRLLCLQRSDDLGGSLADADDPYCSPKAKPDAKGVSLLARLLGRRCEDDGAAALASERPFASAVARFAVQVEVGEGLSPREKRAAKLEILRRAREAAVSPAEEATVLAEVLWGATP >KQL29431 pep chromosome:Setaria_italica_v2.0:I:15766428:15766817:1 gene:SETIT_020533mg transcript:KQL29431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQHSMWITHLALTLRSGKKRLVLRALILTLFSIPFSKHRL >KQL30422 pep chromosome:Setaria_italica_v2.0:I:30472813:30473475:-1 gene:SETIT_018728mg transcript:KQL30422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMTSSRSCKEITRGHDEGLRRRMACFELLQHYSPPEIAKVAVPNLFCHQLNFCCSASRMFCVCSADAVSVSRRSELFCRLHALHSMENCSSLHRHHLRYCWNCEYLLICSPKLLTERPI >KQL30463 pep chromosome:Setaria_italica_v2.0:I:30699705:30706897:-1 gene:SETIT_016383mg transcript:KQL30463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGAPDAEERPQQLQDRLREVGERLQAPPDEAEDLLKLLIEVEECLLKVEQSPPESTSNAMRAATEALVKKELVGHTDPDVRLAVASCISEITRITAPDAPYDDDAMRDVFSLIVGAFQNLDDTESPLFARRLSILDTVAKVRSCVVMLDLECDDLINDMFHHFLRTVSSEHSNAVISCMETIMRLVIEESEDVQPQIASCLLQNVRKEDKESSSPSFELAEKVIGACREKLKPVFLQSLKGTSLSEYSQIVALVCEEGSDDREENNADPSGKDTVDDGKLSERTISDELPQESSKAEQDISRPEQDGTSMNGNTAAAISSDATPADTGADSIAAEPKKKSSLDSDKSIKPNPSDKSEATEHSGSDTKKEDIVASGEEGTNGAADDTSKPADITPVKPRRGRPPGPKSLEKKAAGKNKPSRFSSDSAGKLTKRSAKDEVKSSVKKAGEAESSKKPQKSSSKQQKDETLSEEDPAKDLSLKEMISPKSSTKGPGRTKGQSTENSTPKRKQEQETEELPRSRKNKGLDGSLVGARIKVWWPDDKMFYNGVVESFDSGSKRHKVAYDDGDVEVLLLRDEKWDFISEDKGASVASQTPRGRKRKGDAVKEENTETPKSDAVDPPKKRGRPKGVRSTNGAPNDNSSATPSTKGKAASKDVKDTPETGPNLKNEVEKTSKDKASGSTEKTKDELPKDADDKSASKSKEASSKGKDSKDEGKSTEGKGRPGRKPKNTPAVSEVDKEKRKEKDGKTAETEQEASANASTGKKRRRKA >KQL30462 pep chromosome:Setaria_italica_v2.0:I:30699318:30707212:-1 gene:SETIT_016383mg transcript:KQL30462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGAPDAEERPQQLQDRLREVGERLQAPPDEAEDLLKLLIEVEECLLKVEQSPPESTSNAMRAATEALVKKELVGHTDPDVRLAVASCISEITRITAPDAPYDDDAMRDVFSLIVGAFQNLDDTESPLFARRLSILDTVAKVRSCVVMLDLECDDLINDMFHHFLRTVSSEHSNAVISCMETIMRLVIEESEDVQPQIASCLLQNVRKEDKESSSPSFELAEKVIGACREKLKPVFLQSLKGTSLSEYSQIVALVCEEGSDDREENNADPSGKDTVDDGKLSERTISDELPQESSKAEQDISRPEQDGTSMNGNTAAAISSDATPADTDSIAAEPKKKSSLDSDKSIKPNPSDKSEATEHSGSDTKKEDIVASGEEGTNGAADDTSKPADITPVKPRRGRPPGPKSLEKKAAGKNKPSRFSSDSAGKLTKRSAKDEVKSSVKKAGEAESSKKPQKSSSKQQKDETLSEEDPAKDLSLKEMISPKSSTKGPGRTKGQSTENSTPKRKQEQETEELPRSRKNKGLDGSLVGARIKVWWPDDKMFYNGVVESFDSGSKRHKVAYDDGDVEVLLLRDEKWDFISEDKGASVASQTPRGRKRKGDAVKEENTETPKSDAVDPPKKRGRPKGVRSTNGAPNDNSSATPSTKGKAASKDVKDTPETGPNLKNEVEKTSKDKASGSTEKTKDELPKDADDKSASKSKEASSKGKDSKDEGKSTEGKGRPGRKPKNTPAVSEVDKEKRKEKDGKTAETEQEASANASTGKKRRRKA >KQL29834 pep chromosome:Setaria_italica_v2.0:I:25347987:25349996:1 gene:SETIT_018916mg transcript:KQL29834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLVILEMPMACAAIRVSSGSKHWNSYYHHMNEVGKMKCAPKSFSLEEKQSEQDSCILDCFYLSYASRDTSKYK >KQL29833 pep chromosome:Setaria_italica_v2.0:I:25347987:25350145:1 gene:SETIT_018916mg transcript:KQL29833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLVILEMPMACAAIRVSSGSKHWNSYYHHMNEVGKMKCAPKSFSLEEKQSEQDSCILDCFYLSYASRDTSKYK >KQL28826 pep chromosome:Setaria_italica_v2.0:I:8327944:8328561:-1 gene:SETIT_019072mg transcript:KQL28826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAAESLNRRNDSEKQPGEAEKTETADNSDEYDLPDSLSLDFYAESLGKLNISAESSSEYLGSDLDIHLRGVVAYLSHTFPNVSDDFIIDALKLQEFDVDLTIDMLSHLCEGDGCGHSAEAMRQENGTPKRQYWGMDKSPKAANQQDK >KQL30920 pep chromosome:Setaria_italica_v2.0:I:34400322:34401508:1 gene:SETIT_018982mg transcript:KQL30920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPSRGQKRLCSDQLNEDIRREEWVLLVFRQSPRLRICEHHFHNWKKWSTPPIEITRVAE >KQL27887 pep chromosome:Setaria_italica_v2.0:I:1435079:1435579:-1 gene:SETIT_019185mg transcript:KQL27887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSHLRPHDPITNTSERRLLLIDPHPLEGNPIDPSQMAVLNLGSCGGGDKENAPPAAARGIAVKIHVTMKRPGVGGKATRRRPPLRDITGLFLAAPSRPPPAALLALAEAELPEAVRARAGAPDGAAAKQGRYSLRKGFR >KQL28879 pep chromosome:Setaria_italica_v2.0:I:8630318:8630525:-1 gene:SETIT_020588mg transcript:KQL28879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERNGLIVPLIVATGKGDSSSTQQINNK >KQL29702 pep chromosome:Setaria_italica_v2.0:I:23589139:23590216:-1 gene:SETIT_019977mg transcript:KQL29702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFPCNPMLYYTIISLELEPMQEQVHDLLQYVSGFLEQEFLVRIVSAFPSLLGLGLFQLENPFQRGLLLDASPIPFGLCWIMFLAFPLDYQTLGFIKAVVAPFGDLLHWFEGPNKSRILTQCLCCCLPRNYPGGNGRSWSVPVLILGGHIPNAVPADEDPIPTDGNPHLGHGGVNIQHNNVDPHWHHDFVGVAQAVQADARMNNVQVQAAEEDLAPQNQNDMQNDEVMEDGIQNHDAMQNSDNEGDWPTWPTVEEVHHNIQIPHQPVSPKTRYPLINQARLQNTFVPIGLTSS >KQL28280 pep chromosome:Setaria_italica_v2.0:I:4769770:4770255:-1 gene:SETIT_0174181mg transcript:KQL28280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAAVVAALLAAPPALANKAPQEVAVPADGGAPSVPAGPLDIVHLGAKGDGKSDSTQAILKAWKNACDATGTHKIVIPAGNYLTGALELKGPCKSSIIIRLDGNLLGTGDLGAYKKNWIEIQNVDNFQINGHGTIDGQGPLVWKKNECQKSYNCKILPN >KQL29369 pep chromosome:Setaria_italica_v2.0:I:14476041:14476616:1 gene:SETIT_020115mg transcript:KQL29369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASAFGAMAIVLLVVLSTSPAVYSLRPSLGVCHASGYLPGRSGNCVKSNDPDCCVDGKRYPQYRCSPPVTSSTPATLTLNSFEKGKDGGGPSECDNSYHSDDEMVVALSTGWFSNMARCGHRIKITANGNSVYAKVVDECDSVHGCDDDHNFEAPCANNIVDASPAVWNALGLDQSTGEQDITWSDGDE >KQL31871 pep chromosome:Setaria_italica_v2.0:I:40201759:40203111:1 gene:SETIT_018431mg transcript:KQL31871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPGSVGMPGSKKFRQAILKNLLLGLRKGGAASRGMGFHERRSAIRRAADAALATARGAAPRWSRSLAAELSPQGRLPPATSAPSSSECKLPSKMSCAKRIPRRRLRARPKSRATAKQAAGALARVMVRKRARALREIVPGGRGMDECTLLGETLDYAVSLKAQVEAMQLLLRTLQAPKNPSLLKHQ >KQL28849 pep chromosome:Setaria_italica_v2.0:I:8444575:8449188:-1 gene:SETIT_016647mg transcript:KQL28849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHLDRLARPCFEGCSSHDERRDHKSDFEISEDEKKTRMGSLKKKAIDASTKIRHSLKKNRRKSGSRVLSVSIEDVRDLEELRAVEAFRQALLLDELLPARHDDYHMMLRFLKARKMDIEKAKQMWTDMLQWRKEYGTDTIVDDFEYSELDAVLQYYPHGYHGVDKEGRPVYIERLGKVDPSKLMNVTTMDRYVKYHVKEFERSFSIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIQRLQKIDNDNYPETLYQMFIVNAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQPKLLEIIDASELPEFLGGTCTCPEYGGCLKGEKGPWKDPNILKLVQSGEVQCARQIVTISNGEEKFISYAKPKHHNTRGSDTSTAESGSEADDVTSPKALRSYISHPKLTPVREEVKVVRATSFSTRLPEYDVPVVDKAVDATWKREQPRKTPLPPKDSSLKTATRPSDSSLDKIVATLMACLMAIVMLVRSVKDLATKRLPYKTESEESYSTLYPDSTKEEFRPPSPTPGFAEADLFAAVLQRLGELEEKVQMLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQRKKKTMFCY >KQL28848 pep chromosome:Setaria_italica_v2.0:I:8444778:8448781:-1 gene:SETIT_016647mg transcript:KQL28848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHLDRLARPCFEGCSSHDERRDHKSDFEISEDEKKTRMGSLKKKAIDASTKIRHSLKKNRRKSGSRVLSVSIEDVRDLEELRAVEAFRQALLLDELLPARHDDYHMMLRFLKARKMDIEKAKQMWTDMLQWRKEYGTDTIVDDFEYSELDAVLQYYPHGYHGVDKEGRPVYIERLGKVDPSKLMNVTTMDRYVKYHVKEFERSFSIKFPACSLAAKRHIDSSTTILDVQGVGLKNFSKTARELIQRLQKIDNDNYPETLYQMFIVNAGPGFRLLWSTVKSFLDPKTTAKIHVLGNKYQPKLLEIIDASELPEFLGGTCTCPEYGGCLKGEKGPWKDPNILKLVQSGEVQCARQIVTISNGEEKFISYAKPKHHNTRGSDTSTAESGSEADDVTSPKALRSYISHPKLTPVREEVKVVRATSFSTRLPEYDVPVVDKAVDATWKREQPRKTPLPPKDSSLKTATRPSDSSLDKIVATLMACLMAIVMLVRSVKDLATKRLPYKTESEESYSTLYPDSTKEEFRPPSPTPGFAEADLFAAVLQRLGELEEKVQMLQEKPSEMPCEKEELLNAAVRRVDALEAELIVTKKALHEALIRQEELLAYIDSKEVAKAQRKKKTMFCY >KQL31250 pep chromosome:Setaria_italica_v2.0:I:36517403:36520296:1 gene:SETIT_019628mg transcript:KQL31250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDWFARINCNIGGNLNKMQTIGLYNIEMWKAAGMALDRVELVWLSDEINRHADEYWPLAMDVSRKTTLHRIKSVLPYYFRSYSTKRTVVHLRNRCNFKLQVDIWLLGMGQHEANLLAREYCKRVKRRNKPIALSHNILPNLLQYPEEEHRRNSLLAIYMEDNEFYHGLILYSEEATFLLCTLRKELVSLTCLLVLLLLDPICNPCLEYIKYIILPWHGKFEVVRKKEDGGDKTFLSMEELTNDYASGALQPGDLKLALAKSLNKILQTGTGRCSIRCHYIS >KQL27680 pep chromosome:Setaria_italica_v2.0:I:82225:86187:1 gene:SETIT_020621mg transcript:KQL27680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASKARELREFVEACKKDPSLLADPNLAFFRDYLESLGAKIPPAATAKATSFDKPKKSSMDDIDDDDDDDDEEDLDMRDATPEPDELDEEIVESDLELEGDIVQSDHDDPPQKMGDPSIEVTEENRDASQEAKGKAMEAMSEGKLDDAIEHLTNAIVLNPLSAIMYGTRASVFIKLKKPAAAIRDANAALEINPDSAKGYKTRGMAYAMLGKWEEAARDLHTASNMDYDEEINAVLKKVEPNAHKIVEHRRKYDRLRKEREEKRAERDRLRRRAEAQAAYEKAKRQEQSSSRSSGGASPRGFPGGMPGGGFPGGMPGGGFPGGMPGGFPGGAMPGGFPGGGPGNVDMSKILNDPDLMAAFSDPEVMAALQDVMNNPANFARHQANPKVGPIIAKMMAKFSGSQ >KQL29622 pep chromosome:Setaria_italica_v2.0:I:22101347:22105769:1 gene:SETIT_017168mg transcript:KQL29622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAPAAAAAAEEKAVANAAAAAKGKEKAEVLPVAAVAEPGRGRFVAYPAPVAEHADVVADAARFRAVLERLHAHMGTRLKVPIIGGKDLDLHQLYKEVTSRGGIDKVKAENRWREVTASFVFPATATNASFMLKKYYMSLLYHFEQLYFFRVQGWYQEEIDSRTNSIEVKTKAQASHKRKRGTNSSPSDPASSSDSVDVDVIIDGKFEHGYIVTVIMGSKSTKAILYNCTEEPALPTPVPPAASNSTDLKGGRRRRRRRKKLSTTDPRHPKPNRSGYNFFFQDQHRILKPQYPGQDRLISKMIGERWNNLSPEDKAVYQERGVQDKERYRTQLAAYKEEQRTGQPVSNAVPIQQRLPQTEVTIDEVDSKVSEGDMLLSNQGYSSSDESEHSGLKTVDELNTDTSPEMSMETTEMSMETTGSPGHPDPSADGDRFELRRREIPKADEKQSMPPDP >KQL30090 pep chromosome:Setaria_italica_v2.0:I:27970820:27973459:1 gene:SETIT_0183712mg transcript:KQL30090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDGGGAAAGAAAVHHHTRSPEDVFRDFRARRAGIVKALTTDVEKFYQMCDPEKENLCLYGLPNETWEVTLPAEEVPPELPEPALGINFARDGMIEKDWLSLVAVHSDAWLLSVAFYFGARFGFDKDARRRLFTMINNLPTVYELVTGVAKKQSKAPNGSSKSSKSNSK >KQL29560 pep chromosome:Setaria_italica_v2.0:I:21275048:21275812:1 gene:SETIT_019045mg transcript:KQL29560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATGTGKMKLSIDVAKVIDGEVINADKMQIFARFDITTNKVSIHDRCGIPHHLIGVVPATTPDFHVSFFRSLATATANFIVRRNLMPVIVGGSNSLIHGLLVDYFDSSLANPFALANYWPSLRFQCCFLRIHANELVLDEYLNHCVDDMVDASLVKELKDYFDASSNFVQQTRLGKAIGVKELGKYFMGHQSYCDAIEEMKDNTQSLAKAQNAKIHHMVDIWGWPIFSLDATETIRAHINGSDHMAEAKAWQRD >KQL30836 pep chromosome:Setaria_italica_v2.0:I:33674815:33676034:-1 gene:SETIT_019704mg transcript:KQL30836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPSSTLALKGQLKLSTTTTVLIAAFILTLYINSCEARHLRVHGKDYSRMLPASSSPPKGVTDGGASKGKMRSSSEVPVGSNMDTSMGNEAVIAAKKEMASSGDSCRGSLRKRSEGMKVRSSLRERSVLGAESNSELIVGSNTTTAYTAETLVAMDYLDAHPAPAVHNR >KQL29231 pep chromosome:Setaria_italica_v2.0:I:11790273:11791489:1 gene:SETIT_019405mg transcript:KQL29231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKREIEKSTLVAASTAMILLVFGDCDLLGEIFIRLGFTTDLVRAAAACRRWLWAASDPTFLRRFRDIHPPRLLGFYLTTFKTNQRFSVDFIPLLPQPPELAAVVRRESFSLGSYVSRSTRVMDCRNGRVVVNLFRDGDFTYGEHSPLHPARVLSKECGNGLSYFWFEFDYSEVEEKATARVYKLQDDAWSMQTSATTQISGLYSSTLAALSFFLVDDKIHMGITVHNILVLDLTSSTFSTIKYPDTVEFDGDIMLSRTNDSGICLVHVSELQLQVWLHKGCDGSMVDWLLVNSICLRDLCTKMNISNSTTEDDDDDDDDVFIHAVGDNAEFVFMQMYGCVLYLDVRHSALQKVCSMTERNACVSSTHPFLMAWPPIFPALEE >KQL28683 pep chromosome:Setaria_italica_v2.0:I:7462061:7464304:-1 gene:SETIT_019816mg transcript:KQL28683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVMEAGGYVSLEETKEYKCILDQTFTREEDFYEFYNDYAYHKGFGIRKGRVRYKTGTQEVIWRRLMCSCEGYRSVKYFERMDQKRQPRALTRCGCTARLDVEWSESIGIWYVKDFVDHVFVLRSHRGLNDPQKAEAVELGLGGLRPFQIMDVMEASHGGPGETGFLSQDLYNFFSRYKKGKVEGSDVEFVLNHMRQMQEKDPKFFFTFSVDAQGRLKNLFWSDAQSQIDYGIFGDIVVFDSTYRVNRYNLPFVPYIGVNHHRSTVVFGCGILSDETILSYVWLLEALPEAMHQKHPKSLITDGDAAMMRAIEIVMPDADHRLCSWHIEQNMLKRFRGSKLKDFRKFIYHAMEEGEFDRLWREFRGTHNIKEDNLWVNRMYELRRKWAATFTRGRHFLGMQSNQRSESLNSRLHNHLDRKMSLVDLMEHYEFCLSRIRRNEIELDAKALCSIPFTKISADVLEKSAAQIFTPTIFQKVSFQIRKSSNWSVTEVTLQNGCLRYEVSLQGNNKRWFHVTCTFGSSLVDARCHCRKLEREGIPCAHTFCVMKYSRIESIPSCCVFVRWTMNAKSAFPTEMRTNTHVWTEQMDRYHSLRSKGNRALFKVSRSQGETKRVMKLLDDILKEDTQEQGMEEETTFGPLPAHFSAANQPGGTKVLDPVKIVSKGAPRSNKRWKASHEFWGTV >KQL29282 pep chromosome:Setaria_italica_v2.0:I:12705461:12707601:-1 gene:SETIT_017388mg transcript:KQL29282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHRESSEPAPETASAASAAAAAAASVLGDDDLLREILLRLGLPTALVRAAAVSRRWLRCASDPAFLRRFRARHPPRLLGFYVNTGDAQRLRFVPLARDPELAAVVRRGSFDLGEEAGSVRDCRNGRVLVFVGRKYVVCSPLHPGRGNDNLPEPPFPPEAYTLLYYLNDFLFYENTDDSVSCTSVTVMCSPRQAWVHLSDLQAGVWGEGRYSGMIDLPVPGTLRGCDHHELFSNGKLYMMCLPHHIVGFDLPSMSSFCIELPDAVQYEYLENIGLSCAEGSGIFLIHLKGFQISVWLYRTDCSSIGTWKLIDTICLQQAFRHLAELTWDLLPDVIRVAAVGDNADFVLLRIDHKLYYMHISSRTVEMVYEASPGHGSLYGVYPFMMPWPPTFPALNGGHDHDQ >KQL27905 pep chromosome:Setaria_italica_v2.0:I:1555227:1555820:1 gene:SETIT_019658mg transcript:KQL27905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESDEPDTCYRWSCNFIVAHAVLATGFVTAPVAVVHLLRRPHSGAATFFAMFAVFCATVSSILCCRFYADLNRPPWPRWFSATASGGQQQDDSGGGGGLESTRELSNDLRQPELPVMVRLEMQAALVTDRIPTYEHGDGAVDCAVCLGDVEKGETVRRLPACHHVFHRECVDQWLRAHATCPVCRSGVLPERPPEFL >KQL29370 pep chromosome:Setaria_italica_v2.0:I:14503834:14504795:1 gene:SETIT_018101mg transcript:KQL29370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASHTVLSLLLLLPFLFAAAADVAPGPSPPGELNLTGILENGGQYSTLLRLLQTTRIAQQLTEQLKNSYDGLTFFAPNDDAFTKLKTGTLNGLSDQQKIQLLLYHVLPRYYSVTTFQTASNPLPTEGSGPGGMYTVNVTTTTSSHLVNMSTGVVDVPISSTLVARFPLAVYSIDAVLLPEQLFGASRKAVAPAPAGQAAGAAAGKAAARKKGGVPKSDVAAEPSAAGKETEDSTKAAAACRGMSAGWTTIAAFALMAVVNLVGA >KQL29911 pep chromosome:Setaria_italica_v2.0:I:26265454:26266002:1 gene:SETIT_019520mg transcript:KQL29911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSKSLLLAAVALAATLLAADATVETTCRAAAGVDARVDYGFCVSELSRHRDSPGADAWGLAKVAANLGVNNAGGAVRDAEALLAGPPGKGAGDDAKRRAALGQCRRLYFDMELAFAGAYDDINARDYAAGKEMAAAAAALARRCDDVFAEAGIPSSPLARRGEYAGQIGVVCTAITDLIK >KQL31782 pep chromosome:Setaria_italica_v2.0:I:39731530:39733320:-1 gene:SETIT_018285mg transcript:KQL31782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDWRWYLNQCAAARARSIGLAWAEPARVLGGERDSSGCGSYRCEFSGRGDREIGRRGRGRAGAEAGDGGLIRVKSCGAATGEGLPDEARLLLPFRGRSASPPPAPRLLPVSIRRSPSASLPADPNPSNGVHAPRGASIPRGPAPQEFAAAAARFLLSLVGVPNPEGAVAHGGREDLAADERLRVAAARGTGPPRRWRVTPLRISVAPPSIPRTYSVASLPRSA >KQL28460 pep chromosome:Setaria_italica_v2.0:I:6040245:6041141:1 gene:SETIT_019548mg transcript:KQL28460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAKPVRRYALLLALWDSDYAKKVYGGYYNVFVAAFGGDGGGERWDCFRVIAGEFPAAEDLGSYDGFVVSGSPHDAHGEEPWVRCLCALVQALHAMGKRVLGICFGHQVLCRALGGRVGRARGGWDVGVRTVTFARGLQDHRSLLGSLGELPRSAAIVEVHRDEVWEAPPGATVLASSEKTRVEAFAVGEVALGIQGHPEYTTDILHNLIDRLTAQNDIQGRAADDARRTAAETGGPDRAFWTGLCKNFLRGGPMSTPLPAPPEVTGRAATAAAVVGSCFASAAPMVQLACRASIN >KQL29432 pep chromosome:Setaria_italica_v2.0:I:15773490:15774248:1 gene:SETIT_019020mg transcript:KQL29432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISISENQDLSQVDAEIKSATVNYALYDGFFGNSPVSPSLRSSTAQLLEALLTK >KQL30507 pep chromosome:Setaria_italica_v2.0:I:31291589:31294372:-1 gene:SETIT_019209mg transcript:KQL30507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTLSEIRPGKELWNIKARVTRLWNATLLSSGEQLSLDMILIDQEGTMMHGVINKAYMDKFKPLIEEGNVYTIANVRITPAAQKYRPVVNDRIVNFLPTTTLKTVKDTEDIPKYSFNFMSTDMLSSRINVDMYLSDVIGVAAHIGPIEETRTNFGFTKIRDIVLLMDDHEVKVRFWGDKVEEVDDETKSHVIAITSTTVRKFGRYSLSSTNATKVYVDLPIPETKDAHDSSIDNIVKEIHIEDHLKGTLQDQMQYNRRTLEELNLILFEASNQDKVFTVEATINEINENNVCRFKIKLQISDPTTSASCVLFDKEAEMIINESADSMISSADHDSKEVPESIQKICGQTLIFQFRLTEYNLTSFRPDYTVSKIFFLKEKSSSRIGMQSVKKEVEDNSDKCYTITTENEEVDTDDSFTKKDEYISNSDVIGDENLSSSKRSKKHLKKGVRLKRKSKKVVMQTNTSTTTVFQQTEMSFLYLGKYFLST >KQL32155 pep chromosome:Setaria_italica_v2.0:I:41560613:41562277:-1 gene:SETIT_017170mg transcript:KQL32155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKILDRLTVAASPPAPGGVLPLTFFDVPWLFTGPVERVFFYPYPHTAEHFTAHLLPHLVSSLSAALHRFYPLVGRVRPCPDGGGGYEFCSAGGDAGEGVELTFAESSDDFDELSGCGPRDVARLYALVPQLPRAEDGTFALAAAQVTVFAGRGIAVGVSIHHVACDDSSYMHFVKTWAGQCRVAAGEEHAEGALPPPPFLDRGVVADPEGLAARTLDEMRQLAANGPPPPPPPPPTGPPPKLVIASFALTRDRIDRLKQRVVADGGERVHCSAFTVACAFAWACLARVDGGRADAERRAHLLFSVECRRRLAPPIPQEYLGNCLRPCFVEVGLGELLGGDGVVAAASAIGASVRALDDGVLAGAGGWFHKILSLVPERPMSVGGSPRYGVYETDFGLGRPIKVELVSIDKTPGTVSLAEGRDKLAGIEIGVVLPEADMARFSSCFADGLEQLL >KQL28561 pep chromosome:Setaria_italica_v2.0:I:6710207:6713507:1 gene:SETIT_017436mg transcript:KQL28561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTASPHLPLASASARSSSFPKRSLRSSCLPPRRGPSLRAGSVKEWREFEDEAGAVKEWREFEDAVRRKDLSRALRFLQSVEPAAAAAAGTAATQVVAVPVPPGRNWEVLDACIDADDMRLVGRAYQFLVDRGVLANFGKCKNIVLEGPREVTPSVLKEMTGLEAEKLAPKKWGLSGSSPYVLIGFLGGVSFLLTQGIDLRPKLGAVLALATTDAIFLGGTCLAQISSFWPPYKRRILVHEAGHLLTAYLMGCPIRGVILDPFVALRMGIQGQAGTQFWDEKMEKELADGHLSSTAFDRYSMILFAGIAAEALVYGEAEGGENDENLFRSLCLLLNPPPSVAQMANRARWSVMQSYNLLKWHKKAHRAVVKALEDGHSLSVVIRRIEETIASDR >KQL27980 pep chromosome:Setaria_italica_v2.0:I:2261777:2262306:1 gene:SETIT_020457mg transcript:KQL27980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTASHHCPQMLPERRAAAVQRGGAEHPLQCPALPMRSTASRHLPRSLV >KQL30622 pep chromosome:Setaria_italica_v2.0:I:32240984:32241973:-1 gene:SETIT_019722mg transcript:KQL30622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPSQRAEKNKAQIKMEQHMVQTLIEIDGRIPGALRVAFGISGRPTPAPGHHDEVANFAAVLLAPRDGASEPMDCDEPVAPGGLRMVFESCYIKDHDEDAHFGHAAAGVVGVADGVGGYRDRVDASAFSRGLMNNAYMEVVTAAPGTHVCPRTLLERAHMMTAAAGTPAASTAVIVSLAGRTLKWAYVGDSGFLVFRDGRILARSQPQQHSFNCPYQLNSERDGTSVAEAEVGEVPAKEGDIVVVGTDGLFDNVTEDELERIVRMGTALGFSPKNMAEVMAAFAFEAARCGNRDTPYSVLGRSEPGRAFFTGGKPDDITVVVAYIVPSSL >KQL32092 pep chromosome:Setaria_italica_v2.0:I:41312445:41313487:1 gene:SETIT_019660mg transcript:KQL32092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRNLKIKMSTCKRIVKELRSYEKDVEKEAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCHKRLEAALADLKATLIGEAESTIAEVEAVVKPTEE >KQL28937 pep chromosome:Setaria_italica_v2.0:I:9030756:9035068:1 gene:SETIT_020073mg transcript:KQL28937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPQEEEAAIDGIPEAGVEEEEDPEVVEPWVPSSDSEPEPDADRPALEPLDPFPASSKPELLPAQTAVAERKDEGEEARQRWPGWPGASVFRLVVPADQVLVSATEEVEAELSPVMNAAIKIFKHINGIEEINSDGTLYASAPEICSVRLLVPAAQAVHLIGKQGVTIKSIQESTGATIRIIDEDELLSCETPDERIVEIHGASFKVHNALKSVLGLLRKFLVDHGVLHLFDMKNQEVAQPQDTSKENQFIDDYPLAVNHDFWLYDQRSHGNPIGSGLLYGQDQFFCDPYCSSDISHATDSLMTQLDHANPKGGRFLYGCNPSSHDLYSLDLSQPTDPLITQITQTMKIPLPYAEEIIGVRGENIEFIRSVSGAVVVLEEIGDYPDEVLVMIKGSSSQVQTAHQLLLEVLSGNREPPPPPRRSCYRDAEAGPRLPSSPPHAGSRMLNSPHAGPRWLHSPPHGIATSREYLPWHYEDEPPGDHRRYPAHHDHRGYYWP >KQL31861 pep chromosome:Setaria_italica_v2.0:I:40148758:40152191:-1 gene:SETIT_018514mg transcript:KQL31861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYVGNLDARVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDLDGKNGWRVELSRNSSGRGGRDRYGGSESKCYECGETGHFARECRLRVGSGGLGSGRRRSRSRSRSRSRSRSRSPRYRRSPSYSRRSYSPRYRSPRRRSPSPARGRSYSRSP >KQL31862 pep chromosome:Setaria_italica_v2.0:I:40149841:40151389:-1 gene:SETIT_018514mg transcript:KQL31862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVYVGNLDARVTSGELEDEFRVFGVLRSVWVARKPPGFAFIDFDDRRDAEDAIRDLDGKNGWRVELSRNSSGRGGRDRYGGSESKCYECGETGHFARECRLRVGSGGLGSGRRRSRSRSRSRSRSRSRSPRYRRSPSYSRRSYSPRYRSPRRRSPSPARGRSYSRSP >KQL28616 pep chromosome:Setaria_italica_v2.0:I:7055662:7079200:1 gene:SETIT_016055mg transcript:KQL28616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVKGVADLLRKSSPASPGAGGSGGSRGGGDRGGTGSPSADRVAAPPSPRVRFSDSGEEGVLNALWQKYENAIDKAEKKKSLQIFAMHFIKAFKDWEPGHIEQSVDQESLSDDTVLGCSTGHPSEVILILIQEISQITSSITETAVVKLKTLTSLLAADEQLSNKTVENMRTMQKVLVYIVTVISNFMDLEPTTTRISQFLNSSRHALSSNYLATVGPSTSKNMVSDKNWQKKAIVSVMEAGGVNWLVELLRVIRRLNLKEQWTDLSLHFITLYSLRSTISENTRAQNHFRSIGGLEVLLDGLGLPSSKFSVSKQSFVPSDERRGILRLQILSLEILREAEFHQQKFLDPQASLKLDKESTGPSPTLESISNPVDILDASEWNEYSVKLSIALCSFLLPPKEIKYCPAPADVSQISLSISLAYWEQCARWIIKVLSTVFPCIKACASETELPNHIRILANTLQHYMLCTFRKVLISAPALLKSFREEGLWDLIFSEKFFYFGSSVNYIHQIIHETWNDQLIDAPKSTDSKSFNETDVNILQAEAISFLEFAATLNENSNNLPECSALLGALEHCTYDPGLAGAIVKSFHVILQLATEQTLASFKSINVLTRVLKAACLQAQEVRKLSHPQDDLDQNGSQSRNVLTASSDERIKNACTFVELAFNLFKDYVTISDIGRIAVLHNANCIECLFDLFQEEYLRKHIVEQVLALFRLPPSSAQDHAAKMHLCSKYLETFTRVKENEKGFAELSIDLLVNMREIIMIDRAYYQNLFRDGECFLHIVSLLNGTFNEAVGEHLVLNVLQTLTALLAENDESKAAFRLLVGAGYQTLQSLLLDFCKWIPSPKLLDALLDMLVDGAFDINEKTTIKSSTSLQHYGLMVLQQLLKGSITNRTACFRAGLLSFLLDWFSVEEGDDIVIKIAELIQIIGGHSISGKDIRKFFALLRGEEIVAKQKHGSLLLTSVSHMLKEKGPEAFFEFSGHDSSVSPKHQCVLLPLSLPTKQWKFLCVTHTIGRTFSGGSQLRCYVDGDLVSSEKCRYAKVNEVMTRCSVGTELMPIGEEPSSLGFESTFAFTGQMGPVYAFSDALSPEQIRGIYNLGPSYMYSFLGDQNLLTNDDSLYKGILDARDGISSKMIFGLNAQASNNRTLFNVSSVLDGPDKSKFEATTMGGTKLCSRRLLQEIIYCVGGVSVFFPLLVHFDDAVVQNGESAASDELAGMSEVLLKDALSQFYLNPHIWAYATYEVQRELYLFLIQYFEADGKFLPMLCGLPRIIDVVRQFYSDKVDSRSSKPLLVSKKVIGERPSMEQIRKIRLLLLSLAEMSLKLKVSQHDIKALVSFLERSQDVACIEDILHMIIRALSQNSLLPSFLEQVNSLGGCYIFINLLKREFEPIRLLGLQLLGKLLVGVPSEKKGPKIFGLPVGRPRSIAEDIRKGTTAASQLFFYSISERLFKFPLSDHLCASLFDVLLGGASPKQVLQKRSQSDALKDRSSTSASLAPFFVPQILVCIFKYIQSCEDASARTKILSDLLDLLDSNPSNVESLMEYGWSSWLETSAKLDVFRNYKSNSVAKDNGLETNELILVRNMYSLVLSYCIFSVKGGWHQLEDTTNFLLLKIEQGQLPNSCLLRDIFEDLIGSLLETSSEENVFNSQPCRDNILYLLNLSHELFVDQIGIKLLFPSLDMSAQLSSNDSLEDDINSAVVEIMNIENNDLVTSLPWSNTLFVNGEKLSDDWWSYFDKIWTLLCYLNDKGQNRLTPKGSNAAGPSIGQRARGLVESLNIPAAEMAAVVVTGGISSALGGKTNKIADKAMMLRGERFPRIVFHLIIMYLCKAGLENASKCVQQFISLLPNLISEDDQCKNRLHFLIWSLLRVRSQYGELDDGARFQVVSHLILETVIYGKSMLATSTLGRDDSTEANSNKEAGFVLNLVQKDRVLAAATDEVKYMKDATIDRMKLLQELHSKLDERSVQDNERLQSFEDDIQFAKTAAISADDNRKAAFQLAFDEDQQIVADKWIHILRALSDERGPWSAAPFPNNIVTYWKLDKTEDKWRRRLKLKRNYKFDERLCHPSSTKSSNENTAPTVDPSGSTKIPEKLKHLLLKGVRGITGDINSESFEDNNDTSDSPQTIPPENHPVSDTADSADSDYHAIVQNRKESPTSGDSDYIEVLSSVHCVLVTPKRKLAGQLTITRNALHFSFEFLVEGTGGSSVFNRFQDRKDSDSKNEMGGLEKPKANLDGGRGNAAESSDTQIKNQSNKIKHHRRWKITRIKAVHWTRYLLQYTATEIFFDDGNAPVFLNFSSQNDAKSVGSLLVSLRNDALFPKGTSRDKNSLISFVDRKVALEMAESARESWRRREISNFEYLMILNTLAGRSYNDLTQYPTFPWIIADYSSEKLDFNKSSTFRDLSKPVGALDAKRFKAFEDRYLNFVDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTALHRNLQGGKFDHADRLFQSIESTYRNCLSNTSDVKELIPEFFYMPEFLENSNSYHLGIKQDGEPLGDVGLPPWAKGSPEEFIYINREALESEYVSSNLHNWIDLIFGYKQRGKPAVEAANIFYYLTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIAHPLYFAPQSITVTSVVPTTITPSSVLFIGLLDSNIVLLNEGLILSVKLWLTTQLQSGGNFTFSGSLEPFFGIGSDVISPRKIGTSLAENVEFGRQCLAAVQIHGDNYLILCGNWENSFQIISLSDGKIVQSIRQHKDVVSCVAVSSDGSVIATGSYDTTVMIWYAFRGRSNDKRSRNANYDISTKDHVIIESPSHILCGHDDIITCIFVSTELDIVISGSKDGTCMFHTLCEGTYVRSIRHPSGASLSKLVTSQHGRLVIYSDSDLSLHMYSINGKHIASSESNSRLSCMELSCCGEFMVCAGDHGQIVLRSMHSLDVVWRYEGAGKTITSLVVTPEECFLAGTKDGSLIVFSIENPLLRKSTMQRHKAKPSIGG >KQL31430 pep chromosome:Setaria_italica_v2.0:I:37443258:37443787:1 gene:SETIT_020559mg transcript:KQL31430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISENLCKHTTKWSTRIFLRCSPLHADSVATQWCATLISPTAPLDN >KQL31409 pep chromosome:Setaria_italica_v2.0:I:37324576:37327667:1 gene:SETIT_016893mg transcript:KQL31409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPLSHQALFAAVRSADAAAVRALLADAEASGTSLAALAAAQTDAGETALYVAAEAGSEEVVRLLLPLYDLEAATVRSRIDLDAFHVAAKQGHTGVVKEFLGRWPELCSVCDSSKTSPLYSAAVKDHLDVVNAILDTDDSCIRIVRKNGKTSLHTAARIGYHRIVKALIERDPGIVPIRDRKGQTALHMAVKGKNTDVVEELLMADVSILNVRDKKGNTALQIATRKWRPQMVQLLLSYETLEVNAVNSQNETAMDLADKVPYGESKTEIIEWLTEAGAKNARNVGKIDEASELRRTVSDIKHNVQAQLSENAKTNKRVTGIRKELQKLHREAIQNTINSVTMVATLIASIAFVAIFNLPGQYFQDVNDGGDIGEAHIAKLTGFRVFCLLNATALFISLAVVVVQITLVAWETGAQKQVIKIVNKLMWTACLSTGAAFISLAYVVVGPQHAWMAFTISAIGGPIMIGTLLFLAYLLLRPRFKFGDDRQRRIKRASGSKSFSWSLHEGLSDLEAFSDHDKKIYAL >KQL31461 pep chromosome:Setaria_italica_v2.0:I:37662124:37667508:1 gene:SETIT_016751mg transcript:KQL31461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPEPCLPAPARLAVVALLLAALIGGAVGVDGYGRARRLHMKNKVLEMFYHAYDNYMTYAFPHDELKPLTKSFTDSLSELGNLNLEHLPQDYNGSALTLVESLSSLVVLGNITEFERGILWLSENLTFDVDARINLFECNIRLLGGLISAHLLAKDYSSQLKDEPYQDQLLHLAESLGNRFLPAFETPTGLPYAWINLKYGVMENETTETSTSGCGSLILEMGVLSRLTGDSRYEAAALRALRKLWSMRSSLNLVGTTLDVLTGKWIEYSSGIGAGVDSFYEYLIKAYILFGSDEYWDMFHSAYLAVQKYFRHGPWYHEADMRTGEATHWQLTSLQAFWPGLQTLLGDVAAANLSHREFYNVWQRFGVLPERYLLDYGVLHPTEKYYPLRPEFAESTFYLYQATKDPWYLEVGETIIGSLNYYTKVDGGFASVRDVSTMKLEDHQHSFFLSETCKYLFLLYDDSFLRNQNYIFTTEGHPLPVRSTWHAKFPATHVLSNWTFVKDENQPIRVSALSSQVCPETVFRKSIGSPWESACHVPDVYASHRCRTDDDCGTEAVTCKKRTCSMAGYCSLWLVIY >KQL28415 pep chromosome:Setaria_italica_v2.0:I:5707034:5710587:1 gene:SETIT_018050mg transcript:KQL28415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVCGKRSSSIFGDELIPSPSSPPSPPHHHHPSKRARCSPARRREALLHHLLPLFPDMDPQLLERALEASGDDLDSAIKSLTELRLESAEAILSATVGASENGLSAALKLSAEGTVSNGRVVTEHPPAIDINKTNNHSSEWVELFVREMMSSSDIDDARARASRALEAFEKSIMDRVGPEAVQNLHRENVMLKEQLAIILRENAVLKRGVAIQHERQKEFDARTQEVDSLKQLVLQYQEQLKTLEINNYALRVHLKQAQQNNSMPGRFPPDVF >KQL32105 pep chromosome:Setaria_italica_v2.0:I:41383064:41388947:-1 gene:SETIT_017523mg transcript:KQL32105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAVAIHQFAECITCHAWSPDQSMIAFCPNNNELHIYKFIKDKWEKLHVLSKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGSDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQENNWWISKVIRKRHESSVTSIAWHPNNIYLATTSTDGKCRVFSTFIKGVDTRGSQSSTSTDSKFGEQIAQLDLSSTWTFGVRWSPSGKTLAYAGHNSMIYFVDEVESAPAAQNLTLRDLPLRDVLFVSERMVIGVGFDCNPMIFAADQTGLWSFVRFLDERKATPSTSKASQLSEALGKLYGQSKQGTSSDTVEPSKPRGGAHENCITCIVPLTKGRDGTIKRFSTSGLDGKIVVWDLENHITVAK >KQL32104 pep chromosome:Setaria_italica_v2.0:I:41383042:41388947:-1 gene:SETIT_017523mg transcript:KQL32104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAVAIHQFAECITCHAWSPDQSMIAFCPNNNELHIYKFIKDKWEKLHVLSKHDQIVSGIDWSRSSNKIVTVSHDRNSYVWTQEGSDWVPTLVILKLNRAALCVQWSPKENKFAVGSGAKSVCICYYEQENNWWISKVIRKRHESSVTSIAWHPNNIYLATTSTDGKCRVFSTFIKGVDTRGSQSSTSTDSKFGEQIAQLDLSSTWTFGVRWSPSGKTLAYAGHNSMIYFVDEVESAPAAQNLTLRDLPLRDVLFVSERMVIGVGFDCNPMIFAADQTGLWSFVRFLDERKATPSTSKASQLSEALGKLYGQSKQGTSSDTVEPSKPRGGAHENCITCIVPLTKGRDGTIKRFSTSGLDGKIVVWDLENHITVAK >KQL28726 pep chromosome:Setaria_italica_v2.0:I:7766567:7772157:-1 gene:SETIT_016664mg transcript:KQL28726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSDSDGGVGGDDEEEEEEEVRIGGGEGGASPGFALAIEGVLGACGMVVSDALEPDFPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDTAVVSGIRRCLEEGTEFQGDLLNFRKDGSPYMAKLQITPIYGDDDMITHYMGIQFFNDSNVDLGPSPGSVTKELARSTWIAPDNTPPPTSVGKGNFWEHSSLFLLSDEVLCQKILSKLSPRDIAAVNSVCKRLYHMTKNDDLWRMVCQNAWGGEATRALEIVAGSRNLAWGRLARELTTLESVAWRKLTIGGTVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLSASKPEWRHINVSSAPPGRWGHTLSCLNGSRLILFGGCGGQGLLNDVFILDLDAQHPTWREIPGIAPPVPRSWHSSCTVDGTKLVVSGGCADSGVLLSDTYLLDVTMEKPVWREIPASWTPPSRLGHSLSVYDGKKILMFGGLAKSGPLRLRSSDVFTLDLSDDNPSWRCITGSGMPGAGNPAGVGPPPRLDHVAVSLPGGRVLIFGGSVAGLHSASQLHLLDPAEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWTLTEIHELSLISSLVSR >KQL30532 pep chromosome:Setaria_italica_v2.0:I:31484271:31484620:1 gene:SETIT_020484mg transcript:KQL30532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLPPKPLLTFHSIPPEYIYLQARLTTTMTQTRETKDRC >KQL28305 pep chromosome:Setaria_italica_v2.0:I:4926459:4928548:1 gene:SETIT_019784mg transcript:KQL28305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDLRILKELVNVPMFNGQNGWTTEGWRSITNKLNDMFPTAHFTKQQVQEKEKELKGNYKIIKEATKSGVGWNDTLGMIIAESKGWEKLIKDNHKVAKFHKKSFPLYNSLELLYKESTEVQSALASRNSKDQDVTGGKKHKQSQMAAKLGDYIDFRKDQIEKNSRKKHIDIVDAMEGPSDEQKVIANKVFQSETNIKILVGTKNPSV >KQL28353 pep chromosome:Setaria_italica_v2.0:I:5324106:5326323:1 gene:SETIT_018683mg transcript:KQL28353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSKVHVLKKLLLYAVLGTNSQLALLEKMNDRVAQEYSNYGDVAAGLRVFVEQLNEKNQGFDEYVSHIDAIDQQVTEFEAVVSMLDKHVALLEKKVKSAYHISSSTQ >KQL28350 pep chromosome:Setaria_italica_v2.0:I:5323479:5326323:1 gene:SETIT_018683mg transcript:KQL28350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANPAVAEAETAAAGQRDELAESLAELFTNVSLMVRGELQGTNSQLALLEKMNDRVAQEYSNYGDVAAGLRVFVEQLNEKNQGFDEYVSHIDAIDQQVTEFEAVVSMLDKHVALLEKKVKSAYHISSSTQ >KQL28352 pep chromosome:Setaria_italica_v2.0:I:5324106:5326323:1 gene:SETIT_018683mg transcript:KQL28352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRVAQEYSNYGDVAAGLRVFVEQLNEKNQGFDEYVSHIDAIDQQVTEFEAVVSMLDKHVALLEKKVKSAYHISSSTQ >KQL28351 pep chromosome:Setaria_italica_v2.0:I:5323479:5326323:1 gene:SETIT_018683mg transcript:KQL28351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANPAVAEAETAAAGQRDELAESLAELFTNVSLMGTNSQLALLEKMNDRVAQEYSNYGDVAAGLRVFVEQLNEKNQGFDEYVSHIDAIDQQVTEFEAVVSMLDKHVALLEKKVKSAYHISSSTQ >KQL27813 pep chromosome:Setaria_italica_v2.0:I:839259:841187:1 gene:SETIT_019558mg transcript:KQL27813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIGEFAAEKAHTPTHPGTPASAPATRSNNAKHLLEIEGYPVEGVSVGGKETCVIFPTLSLAFDIGMCPQQAISQEFLFVSHGHLDHIGGLHIYVAARAFLGLRPPTIFVPACLQDHVARLFEVYHAIAHSELNYNLVPLEGYVIYSVNKKLKQEFIGLPGSEIKQLRLSGVEITNMVSTPEIAFTGDTTLDFILDPDNNADVLRAKILVVESTFLDDESHSVEHARKYGHTHLSEIARQSDKLENKAILLFHFSARYTTEEIDAAINRLPPYFRSRIYALKEGFE >KQL28000 pep chromosome:Setaria_italica_v2.0:I:2392519:2392715:1 gene:SETIT_018977mg transcript:KQL28000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMRRRSATSRPTDRSRHGYYIRSSCRSTCSLPASSLLGDRCIVGSYVAAARSHACRHGYV >KQL31823 pep chromosome:Setaria_italica_v2.0:I:39945256:39949250:-1 gene:SETIT_017286mg transcript:KQL31823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPEDDIMNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KQL28880 pep chromosome:Setaria_italica_v2.0:I:8642408:8645420:1 gene:SETIT_017656mg transcript:KQL28880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEAFRVGGHFGSSHPSGAMEDPMQSQNQFLFNARPAPLQLQLFGSPAVPAVGPSGYINYTGNNHLSVMSQARNTNIDTGNEKQLKLQMSLNNYHQQDADQLAHVGNPSAVSTGLRLSYEDDEHNSSITSGSGSMSSLPTTLPLLDDLMAEIDKENEEISYYLRLQAEQIGKQMKEVNQRRMISFLANLERAVGKKLREKELEAEAMNRKSKELNEQIRQVALEVQSWQSAALHNQSVANNLKSRLMQVVAQSTNLTREGSGDSEEDNAASSQNPNAGAPGCFFQSSLLGGRSTTATVGLGACRWCGGKEASVLVMPCRHLCLCADCERVSDVCPVCRFPKSGSVEINMS >KQL27817 pep chromosome:Setaria_italica_v2.0:I:867534:869348:1 gene:SETIT_019730mg transcript:KQL27817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRPPTIFVPACLRDHVVRLFEVYRAIAQSELNYNLVPLEVGEEYKLRTGLKVRAFRTCHVIPSQGYVIYSVKKKLKQECGGWSVLVVVEFKFKHSLVLTTCLMCYGFYAPSRIHTTSDFLDPDNADVLRAKILVVESTFLDDESHSVEHARKYGHTHLSEIASQSDKLGNKAILLFHFSARYTTEEIDAAINRLPPSFRSRVCALKEGFE >KQL29739 pep chromosome:Setaria_italica_v2.0:I:23986665:23988761:1 gene:SETIT_019989mg transcript:KQL29739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAPPPPQPESPSAGAGAGGGGDKVLAAAQHIVKSLATSKNAADDMIRILSGFDNRLSSITNDHLFPSPDPSSGPASGSASASGSSASEISAAAAFDAADQLIQLWDATPEALVFEAPEDDVAQYLAAVDVAVDHLARGGPGGARAGVAVQLAMARLEEELRHLMVRHAVPIDPTGLFFSLRRLSLESMDDLDASSEFDAATPHSLDGTPAGPETARGAVLGSNPFEDQVFDPVRPEAVDELRAIADRMARAGYSRELADAYCGVRRDVLDEYLSVLGVERLSIDEVQRIEWKLLNDKMKKWVHGVKTVVRVLLAGERRLCDQVLTASDDLMEECFLESTKGCIMQILSFGDAVAVCPRSPEKVPRILDMYEALSEVIPEMRDLCIGSSGDGVISDVQAILDRLGDAVRGNLFEFGKMLQQETSRRAMTAGEIHPMTRYVMNYLRLLVVYSETLDVLLADDNCDQDAFRNSDDQDQEHLQSMTPLGRRLLKLISYLEANLEEKSRLYEDAALECIFAMNNLLYIVQKVKDSELGKILGDHWIKRRSGKIRQYSKSYLRISWTKALSYFKEDGHGSGSGSGSGSGSGSGSGSGSGHSSSRMSIKERFKNFNMAFEEIYRNQTLWKVPDPQLREELKISISENVIPAYRAFLGRYGNQVDGGRNPGKYIKYTPEDLESQLSALFEGSSVSANHSRRRT >KQL28731 pep chromosome:Setaria_italica_v2.0:I:7790345:7793659:1 gene:SETIT_016661mg transcript:KQL28731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAASAAAAAAAANSDDDNNYEEYIPVAKRRALEAERLRQLRLSKPAPSSAASSLAMPPPPPPPPTQPSAPDAAAKPSLLVKSTQLKRAAPEVTATEQRIQQEKEVIENLSSDSKSLRSVREIAKGIIYTEPIQTGWKPPLRLRRMPLAKANELRRKWHIIVDGNDVPPPARDFRDLRLPEPILRKLRERGIVQPTPIQVQGLPVVLSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEILMPIVPGEGPFGMIICPSRELAKQTYDVIEEFLLPLKDAGYPEIRPLLCIGGVDMRTQLDVLKKGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEEDIKEVFDHFKDQRQTLLFSATMPQKIQNFAKNALVQPVTVNVGRAGAANLDVIQEVEYVKEDARIIYLLECLQKTPPPVLIFCENKVDVDYIHEYLLLKGVEAVAIHGGKDQEERQNAIDSFKSGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQTETTLLDLKHLLKEAKQRIPPVLAELDDPLEDEEIIAKESGVKGCAYCGGLGHRVGDCPKLEHQKSMAIAGSRKDYFGGGGYRGEI >KQL29689 pep chromosome:Setaria_italica_v2.0:I:23229305:23230264:-1 gene:SETIT_018331mg transcript:KQL29689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLLFLALLAMACSCAIASDPSLLQDFCVADKTSLVQVNGFACKDGKDVTAEDFYFSGLDKVGNISSKQGSAVTAVNVAQIPGLNTMGISMVRIDYAPNGMNPPHTHPRATEILTVLEGSLFVGFVTSNPNNALITKALNKGDVFVFPKGLIHFQFNNGTNNAVALAGLSSQNPGVITIANTVFGSKPSIADNILAKAFQVNKATVDLMQAQF >KQL28749 pep chromosome:Setaria_italica_v2.0:I:7886461:7890224:1 gene:SETIT_017554mg transcript:KQL28749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMVDPPNGLGSQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKIHNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPAHRRSFKDVYLVYELMDTDLHQIIKSPQGLSNDHCQYFLFQLLRGLKYLHSAEILHRDLKPGNLLVNANCDLKICDFGLARTNSSKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSESDLEFIDNPKARRYIKSLPYTPGVPLATMYPHAHPLAIDLLQKMLIFDPTKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENISSEMIREMMWQEMLHYHPEVVTAVSMS >KQL29271 pep chromosome:Setaria_italica_v2.0:I:12553172:12553731:1 gene:SETIT_020327mg transcript:KQL29271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGVASIFISPSNTLATVDIKGLLLLSTCKHHMASFIVSITSSTWNFMSLLLLSTKSDS >KQL30998 pep chromosome:Setaria_italica_v2.0:I:34948821:34954771:-1 gene:SETIT_017180mg transcript:KQL30998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRFYWPMVAAAVGFRLVLVLFGGDLHLASRPEVSTPLTSLRRLAEGYWLKQASMSPYSGSMYHGSPLLLTVLGPLTRTRSGGKHAHVYCSLIFVAVDFLAAMLIRATGRRLQMSRNISLKSLDLTKAVNNSANVCAGGIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVLATHLSLYPAILIVPVILLLGYGPDAPPSKVFLLKSSSASKSDMSEYDKRTSLEVQHFSWMTVVHFIFWLFIWSCYVLLLSSIILKKVGGLNEMFGKTYGFILTVKDLSPNIGVLWYFFAEVFDFFRSFFLIVFNMNIIFMVLPLAIRLKHRPCFLAFVYTAIIAMLKSYPSAGDSALYLGLLGLFANELAEMQFTFFLFFGYIGVSLLSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESVGSMIKHDRKLRLLVTS >KQL31866 pep chromosome:Setaria_italica_v2.0:I:40169401:40171601:1 gene:SETIT_0163032mg transcript:KQL31866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSCHNLLDLAAADEVPLPSPTPLRLPRVMSVASPASPTSPPTPPRRVIVSHRLPLRAAPDPAAPFGFAFTVDAGTVAYQLRSGLPASAPVLHIGTLPAAAAEAASDELSNYLLANFSCLPVYLPSDLHHRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFQRTLYHSFLSANRAFADRLTEVLSPDEDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLVRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGDVVRRVAEAYKGRRLMIGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDVQGVQDEAKAISARVNARFGTPGYTPIVLIDGPLTAHEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESNALGEDSPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNAALRMPEAEQRLRHEKHYKYVSTHDVAYWARSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVPSYRRTENRLILLDYDGTVMPENSIDKTPSSEVISVLNRLCEDPKNRVFIVSGRGKDELSKWFAPCEKLGIAAEHGYFT >KQL31865 pep chromosome:Setaria_italica_v2.0:I:40169659:40171601:1 gene:SETIT_0163032mg transcript:KQL31865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSCHNLLDLAAADEVPLPSPTPLRLPRVMSVASPASPTSPPTPPRRVIVSHRLPLRAAPDPAAPFGFAFTVDAGTVAYQLRSGLPASAPVLHIGTLPAAAAEAASDELSNYLLANFSCLPVYLPSDLHHRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFQRTLYHSFLSANRAFADRLTEVLSPDEDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLVRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVTVKILPVGIDMGQLRSVVSAPETGDVVRRVAEAYKGRRLMIGVDDVDLFKGIGLKFLAMEQLLVEHPELRGRAVLVQIANPARSEGRDVQGVQDEAKAISARVNARFGTPGYTPIVLIDGPLTAHEKAAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESNALGEDSPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNAALRMPEAEQRLRHEKHYKYVSTHDVAYWARSFDQDLQRACKDHFSRRHWGIGFGMSFKVVALGPNFRRLSVEHIVPSYRRTENRLILLDYDGTVMPENSIDKTPSSEVISVLNRLCEDPKNRVFIVSGRGKDELSKWFAPCEKLGIAAEHGYFT >KQL30348 pep chromosome:Setaria_italica_v2.0:I:30054985:30056539:1 gene:SETIT_016962mg transcript:KQL30348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRGLRRLRRCCDAGRSLLPLPLRRSSSSSSSTRPSQDSSGTALTAEEEAAAERIKSSLFKVRKGSVEDLVRSLGAECSEIRLTTGVADSLLRRFGDDWKSALGFFQWAQSRGDGYAHTPYACSRMVDLLGKMRQIDRMWDLLSEMHCRGLVTVDIVSKSIRRLAAARRWKDAIVLFDQLEDMGLERNTETMNVLLDALCKEKKIELAREVFVVLSPHITPDAYTFNIFVHGWCSMRRIDEAMWTIEEMKNKGFPPTVITYTAVIEAYCKQRKFRRVYELLDSMGSQGCHPNVITYTMIMTSLAKCERFEEALSVSDRMRSSGCEPDTLFYNSLINILGKAGHLFEASQVFRVEMPRNGVPRNLATYNTMISILCQYGRDDDALALLKEMEAQSCKPDLQTYQPLLRLFLSRRGHGDAVDHLLSELVNKSGLGLDLDTYTLLIHGLCRVGETDWAYRLYDQMVGSEIVPRRKTCELLLSETQRQNMEAYVERIRNDTISFGLCP >KQL28963 pep chromosome:Setaria_italica_v2.0:I:9238033:9241521:-1 gene:SETIT_017970mg transcript:KQL28963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEKVEKIGEGTYGVVYKAKDRHTNETIALKKIRLEQEDEGVPSTAIREISLLKEMHHRNIVRLQDVVHNDKCIYLVFEYLDLDLKKHMDSSPDFKNHRIVKSFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNLLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARHYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRILGTPNEETWPGVASLPDYKSTFPKWPSVDFATVVPTLEPAGIDLLSKMLRLDPSKRINARSALEHEYFKDLEVA >KQL28424 pep chromosome:Setaria_italica_v2.0:I:5779800:5781030:1 gene:SETIT_018603mg transcript:KQL28424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLKTLCLRLIRCRSQITANPLHRNSTRARTRLVGQPQRAASRVRGGSRRPGQRRVRVAVVLGDRRVRGLRGRGPSGMRQSWEAALWAALMPARSCSRTCSQRGSREKAEHGGGRGACTGTAAAFAPVAPPPPHRPTMLSRVRRRAEAP >KQL29941 pep chromosome:Setaria_italica_v2.0:I:26733135:26733646:-1 gene:SETIT_020590mg transcript:KQL29941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGCVHAVIARSCMRGVDHQWSTGAEEEMETKGRGN >KQL30094 pep chromosome:Setaria_italica_v2.0:I:27977332:27979271:1 gene:SETIT_018953mg transcript:KQL30094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGKGLNATGEFFRRRDEWRRHPMVGNQLRHATPGLGIAIVAFGIYLVGEAAYNRLYRPSGDHHH >KQL31311 pep chromosome:Setaria_italica_v2.0:I:36824918:36826286:1 gene:SETIT_018221mg transcript:KQL31311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRGGGGGGRFLLRLRCEGERREWRRRGRREEGRQRRGMVGEYRRRRSHVDRREGVRVVAREADAVRRRRGRGGRGRCHGSRGAGAAAAATAVGARGGRRGAARVLRVRGRRGGGRCGGGGRRRRRSLGLAAAAADGDVAEDAALGPVPAAALAEVARLRQVVVVVVAELGVGGLAPRALDRLGRRGRRRDPAAPRPGALLRLRAPEVSGRGPAEQPPRQAGDGLELLHGSERRRLSLTC >KQL29307 pep chromosome:Setaria_italica_v2.0:I:13035398:13039182:-1 gene:SETIT_018937mg transcript:KQL29307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALFNFHSFVTVVLLLICTCTYLKMHFPSLLIRRTGFRGFFWKAARIGERLSPWVAIGCSVMGISILFW >KQL29433 pep chromosome:Setaria_italica_v2.0:I:15775190:15777996:-1 gene:SETIT_018771mg transcript:KQL29433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLNRGNSHRVPMSILRCHNGVLLGVIGVLHPSADAAAPLPSAVMPLDTGGLTSSAAIGSTATLLVLPHGILGGLGARSLIVSTSLQSYLHFSCFLLSAPPGFCNPERP >KQL30240 pep chromosome:Setaria_italica_v2.0:I:29249717:29250664:1 gene:SETIT_019879mg transcript:KQL30240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIYYYTSNGVCTFQFSQCPAQLVFSAQNKAYFEVKAQIGGQGRGLLLRSRQMHFWCGTASAGRSFGGGCVLLRSRSTRAPAPVDGTASSCLSIAGVPPQHLQPRCPVSDQDSMAQAQANKENVSPSWLPQIGMKFRNLDDAWSFWVNYGGHVGFEVRKRYTNECKYDGKATSSRYVCAKEGGRARDKRDHAIKNPRAETRTCCPVRMGLTLNRVEGNYEVFDLILEHNHVLYLPQTFHLMSSQRKISEVQAFEIEAADDSGIRPKAAHELSCRQVGPMNLSYTCYA >KQL31556 pep chromosome:Setaria_italica_v2.0:I:38374218:38377432:-1 gene:SETIT_017844mg transcript:KQL31556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLTVPAAVPPVAEDCEQLHKAFEGWGTNEKLIISILAHRDAAHRRAIRRAYAEAYGKELLRALGDEIHGKFERAVILWTLDPAERDAVLANEEAKKWHPGGRVLVEIACARTPAQLFAAKQAYHDRFKRSLEEDVAAHITGDFRKLLVPLVSAYRYDGPEVNTSLAHSEAKILHEKIHKKAYSDEEIIRILTTRSKAQLLATFNSYKDQFDHPINKDLKADPKDEFLATLRAIIRCFTCPDRYFEKILRLALGGMGTDEDDLTRVITTRAEVDLKLIKEAYQKRNSVTLERAVAKDTTRDYEDIILALLGAE >KQL28069 pep chromosome:Setaria_italica_v2.0:I:3119323:3124331:1 gene:SETIT_016980mg transcript:KQL28069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPQRKNPSRGPAVTGGNGRPPRRMASRDAAERAAFFARREAAAVLRRVLRGDATRRSAGSIKSLVYSPTVRNKRATFALVCQTLKYLPILKEVLGSSGVLNSKWKKQEELVVVTAYDILFGQGTAVSGSAEQLILANKDILSSALDRICAKRKVSSVEDLVGQKTAVKPKPRFLRVNTLKTTAESVIEELSKIHMVYKDDMVPDMLVLPPGTDLHSHPLVTNGKVFLQGKASCMVAVALNPKPGWKVIDACAAPGNKTVHLAALMNGEGSIIACELNKERAKTLQHTVRRSGANNIQTVNGDFLDIDSKDPSYAEVRAILLDPSCSGSGISTERLDYLLPSHSRDDQDDASTSSRVMKLSAFQKKALSHALSFPSVARVVYSTCSIHQAENEDVVNAVLPLATSLGFELATPFPQWHRRGLPVFEGSEHLLRTDPEDDLEGFFIALFVRKAANGGVEEPSEDVAVEVPRKVSRRRHGLRTFSSLRLSRMILCSNRVLW >KQL29103 pep chromosome:Setaria_italica_v2.0:I:10300187:10301204:-1 gene:SETIT_018627mg transcript:KQL29103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQQHASESDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRETLSRYGLAEFELCTLGNLCPDTSGEATALVPSLKSGGRFVGDAGNEKIEKMLNDLSLIKKFE >KQL29173 pep chromosome:Setaria_italica_v2.0:I:10966484:10967905:-1 gene:SETIT_020070mg transcript:KQL29173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPHVVVVPYPGSGNVNPALQLAKLLHRHGAYVTFVNTEHNHRRVQDTEGAGAVRGREGFRFETIPDGLSEADRGKQDYGTGLALSITTRCAAPFRALVERLNGDEPGVPRVTCVLATMLMGFAIGVARELRIPTMVFWTTSAASLMADMRLRDLEGRGYVPLKDESYLTNGYVETTVIDWIPGMPPMNLGDLSSFLRTTDPDDFCLRLIEAEANNCSKAGALILNTFDSLEAEVLAALRAEYPRIYTIGTLGSLLRRGAAATDDNSTDCDDSPGLSLWKQDAECLAWLDTQAPRSVVYVNFGSHTVLSPEQLAEFAWGLAASGHTFLWSIRDDLVRGGGGGLAALPPAFTAETAGRCRVTAWCPQEQVLRHPAVDGFLTHSGWNSTCESVAAGVPMACWPGFADQYTNCRYACEAWGIGVRLDAEVRREQVAERVREVMASGEMRRNVARWKTEAAAATSPGGSSYENFLAM >KQL30083 pep chromosome:Setaria_italica_v2.0:I:27937458:27938592:1 gene:SETIT_018823mg transcript:KQL30083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHESTGSKCYSVDGVYVVLSPSRSAWEVPSSSGALLRVDSYRSNLLSVFPPCGSGGWCLRGSFSSLSPSLFLEVHEGVFIKSPIGGGSGSMSVKKP >KQL31075 pep chromosome:Setaria_italica_v2.0:I:35436994:35439907:1 gene:SETIT_016783mg transcript:KQL31075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDAMERGERAPLLPESHGPKVEDDSLHVPLLKDKKRTGSKAPTVVLGFQCLESTGFFGISTNLVVYLEKVLHGSNLASASKVTTWTGTSYLTPIFGAIIADTFLGNYNTILISLVVYLLGMMSVTFSAFLPTAAVLGGSSVFGAQTVAFIGLYLVAIGSGGVRSSLLPFGAEQFDDDNEKDRESKGSFFSWFYLCVSFGPIVSGLFIVWIQDNVSWGLGFGISTACIALAFGVFVLATPMYKRRMPTGTPLKRLSQVIVAACRKISRKVPADASLLYEVSDKVDPQPKLAHTGDFSLLDKAAVITESDFEEVTEEAGSSWKLCTVTQVEELKILLRLLPIWATSIIVSAAYAQMNTTFIQQGSAMNMSILSVSVPPASMASFEVACVFSWVMLYSKVIVPALRGFSSGGDGEPSLLQRMGAGRLLMALAMAVSGLVEMKRLDSAARGEEISIAWQLPQYFFLAGAEVFCYIAQLEFFYAEAPDTMKSTCTSLALLTIALGSYLCSFIYAIVAAFTATADSPGWICDNLNQGHLDYFFWTMAAMCTLNFVVYSGFAKNYKFKTVLS >KQL30520 pep chromosome:Setaria_italica_v2.0:I:31440627:31442718:1 gene:SETIT_018744mg transcript:KQL30520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEKAKEIVASSPVVVFSKTYCPFCTRVKQLLAQLGANYKAVELDVESDGSDLQSALAEWTGQKTVPNVFIKGQHIGGCDATVAMHNDGKLVPLLAEAGAIASASAKATATPSL >KQL30140 pep chromosome:Setaria_italica_v2.0:I:28356330:28356525:-1 gene:SETIT_020153mg transcript:KQL30140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDMWASLPPELLVDIFRRLEAAAALRCTGVCKPWRRAIAGNAASCLRPRPDCRDRRRQHGALR >KQL30550 pep chromosome:Setaria_italica_v2.0:I:31601545:31603182:-1 gene:SETIT_017910mg transcript:KQL30550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSDGNRIRLQPMWRKVAYGGRQPGYDDNHTDESFLEEMVMNANVVKRDLLKVMIDSVSISQYLCIVALVVSTWTLTLNLDINETTLLKLDVGLLLVGFSVLLLTTCPFSLKLLAKYVLNISFFISGLYVLAPIYHTLTRSISSDSIWALAVSLLLVHLFLHDYSGSTIRPPGALNNPKLTSNISLNASIVASVLVASRLPSRLHVFAIMLFSLQVFLFAPLITFCVKKYHFRLHLLFSFALMVVTLSVTYQLHRMFFILLLALLVFISIVCPYWLIRIQEYKFEINGPWDEAKLCFDITE >KQL31179 pep chromosome:Setaria_italica_v2.0:I:36099337:36101960:1 gene:SETIT_020087mg transcript:KQL31179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLDLGDEKCSAAVGEGAYVMASIMAITGIMATVLVLSGLFHSALRRLGQPSIISHILAGIVVGPTVLGRAMDLRELGMQDAGRALSGTIYFVRMVFMFFIGLELDLRYLRHNLRRSLAVACGGSALCFVLAVLAGPFSYGLLHPGQASFQPDKIYASTALFTLVLTSTASPVLIRIVTELKLTGSETGQLAIGAAFANDMASLTALSVIIVTHTVYGESVPKEDDLSPAFKAARLAVMAATAWVAVSLAAWVARLLNRLKRGRQYISKYELCGMLILVMILSLLEQVLGYSASMTAFLIGLAMPREGPTARTLMDRLAYPVHQLIMPLCFGAIGARLDFAKIGHFTAVQLIVAVAFTTLLSAAGKVAGTVLACRALGIATREAVVLGALLNVKGYSDILAINFGNKVHVWGEPVQVVLLLSSIINTFMAGPASAAIVRQQRRAFRYRSRCLQDLRVDDEIRVLVCVHSAGGVHPMLTIANLSKGSALLPVPAYLLHLVELVTSRKYAITHQLYHARDGGEDEDEWGYAREIDMVAEAVAAFTYDNAIPVRQMTAISNLTSMDTDVRHSVEDARASLVIMPYHMEQRYDGRMVSRGEGRRLLNQRVLQRALCTVGILVERRFAQEVTGEHLQEAVAYAARMAAQPSAEVTVCRFLLPSGKGLLGNQDTAEEAMLDEEFMADMYGRFVAPGQVAYIERHVSNGAETVNVLSSMVGTCSLFVVGRGGKADGDRGVMTRDMGGWDEECPELGPIGELLASDDLAGCGSVLVVQQHNVRQPKHRMRTWNMDIQQFLPQAQHHQADLAGSDAVVDILGSSSMASNFSLNRPN >KQL27767 pep chromosome:Setaria_italica_v2.0:I:520710:522991:-1 gene:SETIT_017987mg transcript:KQL27767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTHSSKDWSSIARAVPGRSRKQCRDRWTHYLDPAVNQMPWSEQEEIDLIRAHKIHGNKWCELAKFFPGRTGKAIKNYWTGAMKKKIKSNLGSGSPEQLSPCPNDSLIPVGRDSTATSSQDSSNNIPISSADLPVRLKSKHGLTEACRNACTLKEKGPDSIHDEGSIPHSVNVSQMVDGRTVGSSLRILTEEKLVSPLSSVDEKVSCVAANSPKPLKEEESTNFLEIPPALSFQSSNVHSDAICSSADPESRQLHLASIADLLDMSYCESLMVIAPDSTNDEDFKLGM >KQL32202 pep chromosome:Setaria_italica_v2.0:I:41777360:41779523:-1 gene:SETIT_018903mg transcript:KQL32202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKNSLAKRKKQYEFDLKREKEAKEQQAKKLQAKKSKMKIDGGDKKRKGGQFKVGKKKVKTKLSALAKAKAAQAMEVDK >KQL27978 pep chromosome:Setaria_italica_v2.0:I:2256092:2258864:1 gene:SETIT_018035mg transcript:KQL27978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGDNSTNGARDQRAMEEGRKEEFATDQGCAALSVPFIQKIIAEIFGTYFLIFAGCGAVTINASRNGQITFPGVAIVWGLAVMVMVYAVGHISGAHFNPAVTFAFATCGRFPWRQLPAYVLAQMLGATLASGTLRLMFGGRHEHFPGTLPTGSDVQSLVLEIITTFYLMFVISGVATDNRAIGELAGLAVGATILLNVLIAGPVSGASMNPARSVGPALVSGQYRSIWVYVVGPVVGAVAGAWAYNLIRFTNKPLREITKSTSFLKSMNRMNSASS >KQL29072 pep chromosome:Setaria_italica_v2.0:I:10150069:10154303:1 gene:SETIT_016970mg transcript:KQL29072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRLLVFLCFAAAVAVAAAGEDTLHLPRDASFPAAQAERLIRALNLLPKEAGPAGSGDAPSVAPGELLERRVRLPGVPDGIQDLGHHAGYFRLPHTHDARMFYFFFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIANNMSLAWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYDFLQVFFKKHPEFAKNDFYITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPQIQYKAYTDYALEMNLIGKSDYERINRFIPPCELAIKMCGTDGKASCMAAYMVCNNIFNSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEALGVGDIEFVSCSSTVYEAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSSESSFVVDGAEAGVLKSHGPLSFLKVVI >KQL29074 pep chromosome:Setaria_italica_v2.0:I:10150069:10154939:1 gene:SETIT_016970mg transcript:KQL29074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRLLVFLCFAAAVAVAAAGEDTLHLPRDASFPAAQAERLIRALNLLPKEAGPAGSGDAPSVAPGELLERRVRLPGVPDGIQDLGHHAGYFRLPHTHDARMFYFFFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIANNMSLAWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYDFLQVFFKKHPEFAKNDFYITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPQIQYKAYTDYALEMNLIGKSDYERINRFIPPCELAIKMCGTDGKASCMAAYMVCNNIFNSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEALGVGDIEFVSCSSTVYEAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSSESSFVVDGAEAGVLKSHGPLSFLKVHDAGHMVPMDQPKASLEMLRRFTEGKLKESVPESMVFKAVM >KQL29073 pep chromosome:Setaria_italica_v2.0:I:10150069:10154939:1 gene:SETIT_016970mg transcript:KQL29073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRLLVFLCFAAAVAVAAAGEDTLHLPRDASFPAAQAERLIRALNLLPKEAGPAGSGDAPSVAPGELLERRVRLPGVPDGIQDLGHHAGYFRLPHTHDARMFYFFFESRGKKEDPVVIWLTGGPGCSSELAVFYENGPFTIANNMSLAWNKFGWDTISNIIFVDQPTGTGFSYSSDDRDTRHDETGVSNDLYDFLQVFFKKHPEFAKNDFYITGESYAGHYIPAFASRVHQGNKANEGIHINLKGFAIGNGLTDPQIQYKAYTDYALEMNLIGKSDYERINRFIPPCELAIKMCANDSGTDGKASCMAAYMVCNNIFNSIMKLVGTKNYYDVRKECEGKLCYDFSNLEKFFGDKAVKEALGVGDIEFVSCSSTVYEAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLGNSRWVHSMEWSGQKDFVSSSESSFVVDGAEAGVLKSHGPLSFLKVHDAGHMVPMDQPKASLEMLRRFTEGKLKESVPESMVFKAVM >KQL30678 pep chromosome:Setaria_italica_v2.0:I:32534393:32535117:-1 gene:SETIT_019940mg transcript:KQL30678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGPDGPYILFAGRDASRALAQMSFEPSDLTSDISGLGPFEAEALQEWEYKFKSKYVTVGTIKKTVLVAEEDCTSTVTTERDIDASTLESDHVPEPKETGASNQGSVVEETTETPDVDGKELLDSDAMKTSSQVDAVEKPDETPNVAVKNSNADVKNNSSTEEAVEPKQTPQIVDDKNRCKPEDATEKKNKAADAVDLKNTATSHEDAGQPKETGNI >KQL29453 pep chromosome:Setaria_italica_v2.0:I:16331781:16335564:1 gene:SETIT_019436mg transcript:KQL29453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNIEAPTDVDLNDRPFKKSKYSESIVSESDNQIGCCDPLDKAYDYLPKDYTMSDFDFYAQMAIETSLESDVPVKIDGISVKQKELLCLLDQSKYVEDDVISAYICCIKDRAHLRNMNDIKFYYENPFVTGLIKRDGLLGINEGGNFITEIVKKYLKHEMILLPVSIEETHWYLAILNVKNCEIHEDLIDHNWKNLQVTEWTITEQLEKPIQKDGSSCGLFMLKFMEYWTGETLSHAITQEDISQFRQKLASILFCWKTNTAEMTTKSVQNHGTEENSNDVSLMSILSTMSEQELLGGLCTYIKSINCAETLKKVWIQNSKPYSITLTVKKLQEMINEDLPWTMIVTTDFGRPPNYRKNLDVEWLAETVRSWPGISYSVSRCKTILMPIEFNGGFILIVLNKDTRTLYILDPTPLNPIYQYNPNARYVKKLLWTAEYLPKAMSKTCPGSIWNEDIFLWRQIIIPDVTVQNRELSGYLVSLFMSTWKDVELPLPVLKDGYKLRKRILGQLLTYKDNECKYNMPSGVLDFISCICNTQQ >KQL29742 pep chromosome:Setaria_italica_v2.0:I:24012040:24014949:1 gene:SETIT_016735mg transcript:KQL29742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVARRSHRLYRLVSESRTAMLTEAAAELTAVTERASTAATKIRKRCVVSPSGASPDRQGRALRLKRGVRLIGHRRGGSGTGTGTGGASPRASSGRKRRMSESSWNRHCRHGHADVETRSAASARKLFSKISRRKSKVLKDDGEQRSWHNGHAHGQWFSDVMSHGGTMEGRTPARPGDRAAEMRDLHNSLTASTELVRVLANVLGPAGALSPTAASVLAALRSELDAARARARRLARHHGRHGGGDDEEHHLRRQLEEEARAWKARHREKAAAAARLVASELDGERRSRRRAERGSLRAATRELERERAARERLQKVCDELARGGTAVEEEDELRREAEAAALEELEREREMLQLADELREERVRMKLAEARIQFEEKNAAVDRLRQELEAFLGTNSTNDRQESPVHDEHRHAVDDHRSLQLVLASEFGVDGIDRVITDKTGQEEDGNDGEADDDGSEGSDIELNMDGNSWSYMTTASRATTAKNAAASVHGSLSDRGTECGAFDRGSHGVRDALELKEWDDGCSDDDTRDLDEDAERYEAIKNLREQMLAGNGFVFLSQGEPDADRDRHRQGLVSQIEDGGLW >KQL29649 pep chromosome:Setaria_italica_v2.0:I:22616024:22617725:1 gene:SETIT_017336mg transcript:KQL29649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASYSHPSFIPAGSLRHHHHDASTRFSPLPSPPPPRALLWRRPRRLAPTTFCSVPSLPRLGRVGWPRREGNAWLLSFHADTAAAPDAALGDPSKALSALLPLVVAATAVAALGNPATFSWVSKDYYAPALGGIMLSIGIKLSIDDFALAFKRPVPLSIGYAAQYVLKPLLGVMIAKAFRMPSAFFAGFVLTCCVSGAQLSSYASFLSKGDVALSILLTSCSTISSVVVTPVLTGLLIGSVVPVDGIAMAKSILQVVLVPVTVGLFLNTYAKGVVNVIQPVMPFVAMVCTSLCIGSPLAINRSKILSQEGFFLLLPIVAFHIVSFVAGYWISKLPQWRQEEPVCRTISVCTGMQSSTLAGLLATQFLGTSQAVPAACSVVIMAIFGLTLGSYWGSGSRIRDVSGGFLPQASAGVSS >KQL31077 pep chromosome:Setaria_italica_v2.0:I:35460826:35464552:1 gene:SETIT_016682mg transcript:KQL31077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDAMERGERASSLRPKLLALPSKLRDFRRSPSLSLGTPRHLTPRTPERQLSLGARSTPYGRTPRTPAGLRWIGATSPGPGDTEKGHGPETQDDSLQVPLLSDEKCVGSKAPLVVLGFECLDSIAFNGISTNLVMYLETVLHGSNVASASNVTMWFGTSFLTPIFGAIIADAFWGNYITILVSLTIYVLGMILVTTSAFLPTATVLGGGSVFGAHTVAFVGLYLVAIGSGGVRASLLPFGAEQFDDDNAVDRESKLSFFSWFYLCVDFGPIVSGLFIVWIQEKVSWGLGFGISTACIALAFGAFVLATPMFKRPMSTGKVSADAGMLDEVSDKVDIISESGFKDIAEEAGSSWNLRAMMQGEELKILLRLLPIWVTAVILSSAYTQMNTTFIQQGNAMNLSVLSVKVPAATMGSFEVVCVLTWVLLYGKAIVPALRGCGFSFGGHGEPSQLQRMGVGRILMALSMAVAALVEMKRLASAARGEEITIAWQIPQYFFLAGAEVFCYIAQLEFFYAEAPDTMKSTCTSLALLTIALGSYLSSFIYAIVAAFTATAGSPGWISDNLNQGHLDYFFWAMAAMCTLNFVVYSVFAKYYKPKNQDSALIVS >KQL31655 pep chromosome:Setaria_italica_v2.0:I:39054619:39056001:1 gene:SETIT_018456mg transcript:KQL31655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAGRHHQIMAGGGGGGMKKVGPAAAPAMGLQKQNSWSPDIERDEAWERRRRGMRRGGSALRRVRSVTDDDLDELRGCIDLGFGFEPPAARTGCPACGGAGRSRLLETLPALDLYYAVHGGGGGGAEGCSCGAASEVSSEESPLGSPMSILAPGDTPETVKMRLKQWAQVVALSMLTRH >KQL28084 pep chromosome:Setaria_italica_v2.0:I:3188750:3191464:1 gene:SETIT_018437mg transcript:KQL28084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNILSQSWRNGAHVLQEGNPAPALYTCWRQFHSGQMLSSSRSFFGVEDFVDEDNSRPYTYKKEKRSKNPHKHISFKQRTIAYMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAALKSRSDIPACLAVGRFLAERAKEADAYTCTYTPREREKFEGKIRAVVQSLIDNGINVKLYLD >KQL28597 pep chromosome:Setaria_italica_v2.0:I:6900689:6903087:-1 gene:SETIT_018085mg transcript:KQL28597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGVAAAADSPGAAAARPSRYESQKRRDWQTFGQYLRNHRPPLELGRCSGAHVLEFLRYLDQFGKTKVHAPGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRKRHPPAHRQAKQQHDAAAAAGQHHHHHHHHQGAVAHAAVVVTERRAPADVADPPAPHFLIPHAHFLHGHFLAPVTEPADPAAGVVGGGGTGDDLVMAMAAAAEAHAAAAGYLMPLSVFH >KQL29514 pep chromosome:Setaria_italica_v2.0:I:18901271:18902029:-1 gene:SETIT_020418mg transcript:KQL29514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYPVLNTTLRSSPLSSRSLTKLAGGLKGSGGSSPATTVHDISTWMRGWCDSNS >KQL29513 pep chromosome:Setaria_italica_v2.0:I:18901715:18902017:-1 gene:SETIT_020418mg transcript:KQL29513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLEMWEEEISTYTRMIQVIGFTSRVKNTLEILLVVKLHGPMDNYPVLNTTLRSSPLSSRSLTKLAGGLKGSGGSSPATTVHDISTWMRGWCGTHSSTR >KQL29918 pep chromosome:Setaria_italica_v2.0:I:26441368:26443823:1 gene:SETIT_020210mg transcript:KQL29918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLNPYPTTLDMYGRDMTAAARNTDPIIGLDGEIGRVVCTLCRRTKKNSVLVGAPGVGKTAIAEGLAQRIATGAVPEPLHGARVVEVDVGALVAGTTLRGMFEDRINRVIKEAEDAGGKVILFIDEMHMLVGAGGAPGGKVDAANLLKPALARGRIRCVGATTLDEYRKYIEKDAALERRFQKVLVEEPSTDTTMHILQGLRRRYEAHHGLKILDSAIIAVTQLAARYITDRQFPDKAIDLIDEACANTRMQIDNQKNVYASQKILLKKVKKAIVSPEHVAQVVSQWTGIPVHTLDHEEEKLVHLADRLHERVVGQDEAVNLVAQAVLRSRAGLDKRGQPIGSFLFLGSTGVGKTELAKALAEQLFDSEKMLIRFDMSEYVGARSVLRLIGSPP >KQL30648 pep chromosome:Setaria_italica_v2.0:I:32385924:32388974:-1 gene:SETIT_017498mg transcript:KQL30648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAASSSPPAAITCRAAVAWGPGQPLVMEEVEVAPPGAMEIRVKVVSTSVCRSDVTAWQSKAQPDLFPRIFGHEASGVVESVGEGVTEFQVGDHVLTVFIGECQSCKHCVSGKSNMCQKLGLERKGVMHSDQMTRFSVKGKPVYHYCAVSSFSEYTVVHSGCAVKVGLTVPMDRVCLLSCGVSAGLGAAWNVADVSEGSSVVIFGLGTVGLSVAQGAKLRGASKIIGVDTNPEKQEKGKAFGVTDFINPNELSEPVQQVIKRMTDGGADYSFECVGDTGVVSTALQSCSDGWGVTVTLGVPKAKPEVSAHYAFLLSGRTLKGSLFGGWRPKSDLPSLVNKYADKEIQVDGLVTHDIPFGDINRALELMLESKCLRCVIHMSQ >KQL31002 pep chromosome:Setaria_italica_v2.0:I:34957158:34959548:1 gene:SETIT_017499mg transcript:KQL31002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDGSSGGAGVGGGGSREDEVQIQITGSSKPETSSANETAPQNSPTKHWHWWLMVALNIFFLIAGQTASTLLGRFYYNQGGNSKWMSTFVQTAGFPVLFIALYLFRSKSTQTTTASPETSVTKITLIYIALGLIIAADDLMYSYGLLYLPVSTYSLICASQLAFNAVFSYFLNAQKFTALIFNSVILLTFSAALLGVDEDSQGTNGLSHGRYILGFMLTLGASATYSLILSLMQVTFEKVIKRETFSVVLNMQIYTALVATIASLIGLFASGEWKTLKGEMHAFSSGRLSYVMTLLWTAISWQIASVGVVGLIFVVSSLFSNVISTLALPIIPVFAVIFFHDKMDGVKIIAMLLAIWGFISYGYQIYVNDKKARKTSVSVE >KQL28187 pep chromosome:Setaria_italica_v2.0:I:4134657:4138739:-1 gene:SETIT_019621mg transcript:KQL28187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESITCMSKEKKATTRHAKRSRYSGPNLPEDIWCHVHSLLSMRDSARVASVSHTFLRSWRHHPNLIFSKETMGLKHNACEGGDIARAFTSEVYQILKKHSGTGVKTLEFDIFDCRNLSSCHLNTWLQIAITPGIESLTLKLPLKYKERYSFPCSLLFGAKGNSIQHLHLTYCAFHPPIGIDFLRRLTKLYLREVLITEEDEKNLSMDCANESNFLCYAITNLPYIVPQVETIYLSSISEMVNTPMPAAKFLHLKYLEVYLDGDLYAGYDYLSLVSFIDASPVLETFMFGVSGLDQRGMTSNSVFESAAPLRQMPEHKHDSLKDSATSLERFTLDRVYDRLDKDNLGRCCVTSARKTGACCPLTDEAILEAGRGLMAIDVYIMGRVPSTVKRDVRKPCSRCHTLQP >KQL30956 pep chromosome:Setaria_italica_v2.0:I:34613776:34614278:-1 gene:SETIT_020427mg transcript:KQL30956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRTLIAINSIDTGSSQRTNARGTLDLAVEIRRGGRRRWPAWRRGASPRAPPWPSGASSAGAWVGGDWAQRLVRWI >KQL30843 pep chromosome:Setaria_italica_v2.0:I:33741905:33744358:-1 gene:SETIT_016371mg transcript:KQL30843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLPRFGPFGPLPPPPLDEFGIKETRPRLAGGRAGGYDLVERMEYLYVRVVKARDLKWTGAFDPFADVKLGGYSCATRHIEKTASPEWNDVFAFSRERIQASFLDVVVRGKGFAKDDYVGKLRFDLCDAPVRVPPDSALAPQWYHVLDKNGERKGEVMMAVWFGTQADECFPLAVHADAPLAVDAKLAAHIRCKQYTVPRLWYVRVNIIEARDVAFVDKARVGEVFVRSRIAGQVHKTKTCVSRLPSYGWNEDHLFVAAEPFEDHLILSVEDRVKVDKEEVIGHVHIPFKEFERRWDARPIRPRWFNLVRPEGAAKIEKFSTKICVRLCLEGGYRVLTEPVHYLSDVRPAARELWHNRPPIGLIELGIHNAFGLSSMRTRDGRGSCDAYCVAKYGVKWFRTQTVIDSLAPRFHQQCYWEVHDHCTVLTVAVFHNCQVGDKGGLVTGDPVKDVLLGKVRIRLSTLETGRIYTHAYPLVSLHGGGIKKMGELQLAVRFSSTSTLGLLQTYARPHLPPMHYHHPLPIVHQETLRREAVALIAHRLGRMDPPLRRECIEHLCEAHSHRWSMRRSKAHFFRVMAALAPLFAALRWFVDVCHWRNPATTIAVHIIYAMLVCCPNLILPTFFLYKFLLGLWNYRRRPRHPWHVDTKVSHAEMAHQDELDEEFDEFPTKRPPEVVRMRYDRLRSLGARIQEIVGDVAAHVERARCAMTWRDPRATAVYLLACLFLAVTTFLAPFQAVALLTGFYLMRHPALRQRLPDVPTNFFRRLPCKVDCLL >KQL28371 pep chromosome:Setaria_italica_v2.0:I:5445522:5446319:1 gene:SETIT_018970mg transcript:KQL28371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACKLLVSSISLPFLFIAFVASKLHWNTPFQLLQAVYEQRMHDLEVRTSKIIVFAIAGKCFF >KQL32288 pep chromosome:Setaria_italica_v2.0:I:42126360:42128163:-1 gene:SETIT_018537mg transcript:KQL32288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIQAWLQSVLATKDFIHLMFSSMFFTSQLHLKIVALPVLCWALDHVARFLSRNFNRSSFYWRYLEQPCLWVETNNTKLSLLSSNAEIALDFLLIISLFLWRRSIIQTFMYFQVLKLMYHAPVTSSYHQSAWTKIGSSVNPYIHRYAPFLQTPISAIQRWWFR >KQL28301 pep chromosome:Setaria_italica_v2.0:I:4875632:4876882:1 gene:SETIT_019118mg transcript:KQL28301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASRALPPPPASPAAPAIRAGWALFDPFVLTGEEAVAEDPAAAAACTTSAGRGVCVSLRLADPPAASYVEMRTDAEPFGTPSVVATDGDLLLIDMFVKVPATSSHEQNSFVYRAHPSSPSLRLLPHRDDWYAYTGIVDRRRDGTEEEEEFVAVAFSTEVIFAGGARWGERPEGCEDEDDEVGKLTRFSSSTGQCEVLTLPIPFDPSKGLYKFTWRTDKVFPFYGLMCFVDYHRGILLCDVFAAGSPELRFLPFPEIEVWDDEYDYCHGRRLPEAYRTVDVSRGLMRFVDVSDGLFGRRRNPLTTPEMEWEKDSVLQVQDLWNSSYEFRRSPLPRRAPEFPTVCRHDPDVVQFALLDPKCRTKNAWVIMVDTRQMELQAYVPYTNQAKEGADEHEVVGCLFYDKPLMCSDLNNF >KQL29171 pep chromosome:Setaria_italica_v2.0:I:10916833:10917750:-1 gene:SETIT_019472mg transcript:KQL29171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YQDNNPPLLPPSITPATPPTYQFNSPPPSPVSNVPVTPPFSTLPPPTSAPPTTPPTYQENNPPPPKASSPLATTPTYQASTPPPAPSFTTPMTPPTYQVGNPPPPPAPNNFQPPPPPMGPLATPPSSQGNGPSLPPPTSSNPPPPPTYQAPPQPVPPNGPQGWQKVNNPHETLYWQIGRFIVLMHKLVHNKDQFTLVDVMSVSMQPAGKGNNYFVVFKAADENRKVRRYQALVWGIPGSTAQPWKVLSFQVIGD >KQL32073 pep chromosome:Setaria_italica_v2.0:I:41214516:41215435:-1 gene:SETIT_019822mg transcript:KQL32073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIRLPRPAITFRASAEDDQWRLHCFPLADRKLSYTDDGDTPSDQFEAYVYGQRKPTSFKSWACQPLPPPPFVRDPACRKLHPQITSYAVAGGGAQILVSAEGAGTYCMDTGTRKLSAVGRWMLPLYGRVEYVPELGLWFGLSAKHQLSDADLSDLDSRPRRVGTWKEEFDPPEDWKLLQEPQLVNLGSGRFCVARFFHTTTTAGYYGDEFIDRAFGVLTGVEVVPRVYERNRTGSGDASNNCDGCNGNGNGGRAKLRMVKHRSRCHVSANGTVIESVF >KQL30674 pep chromosome:Setaria_italica_v2.0:I:32526587:32527081:-1 gene:SETIT_018913mg transcript:KQL30674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLLFLGIELATVTCSCRLLKPAVAAVAPEHGGGALWAARAPPRTAPGVGGDAGAWAVQGESKRLVPQGPNPLHN >KQL30798 pep chromosome:Setaria_italica_v2.0:I:33378069:33379358:-1 gene:SETIT_019890mg transcript:KQL30798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLSRSWSVSASEISKVLAGGVGGRRSSNFVVDRLSGMLMPETLALAAASGTNISPRKRTLRSRSAISAHQVHHSVHHTVHAIGKWFHHWDSGSRVDKARAERARLHAAVSVASVAAAVAAVAAAGAAGPDADVVEGSWMETALASATQLLASHCVEIAELAGADHDQVASAVEAAVDVRSPGDLLTLTAAAATALRGATAMRNRAQREARSRAAVAPYEKAGSYRADVWCKEGALLKRGRKGKHRFFFGVLHWKQVAVYINRKSQVIVKLKSKHIGGAFSKKKKGVVYGVYDDIPAWPAHEGGGAPGSAAETCHFGLRTAQGLLEFQCESRAQRQDWVEAVKNLIRQVAGGTAQLEHSFESLRLSSS >KQL28032 pep chromosome:Setaria_italica_v2.0:I:2834411:2836150:1 gene:SETIT_017379mg transcript:KQL28032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPPCTTTTSIHIRPSKRPAMPLSSSPSLALALLALVTLTGVLPLASSSRGHGVAATTNGVPPLEKHELLMLERFHGWMAEHGRSYATAEEKLRRFEIYRRNVEFIEAANRDGRLTYTLGENAFTDLTHEEFLATHTNRAPRPEEEDEEPEEETVITTRAGHVSEGPYLPAVDKVPDRVNWTAEGKVTEVKNQRHCGSCWAFAAVAVIESAYAIAKRVQPPVLSEQELIDCDSYDSGCSSGLSWSAMKWVKKHGGIALDSQYPYKQRKDACQEKKRLPHAVSVRDFEFVKKKSEEELMKAVARRPVAVGFDSNDNCFRHYTHGVYNSMCIKNGELVGPACSGNNLTHDMAIVGYVGKGNDKGKYWIAKNSWGKGWGQKGYVWLKKDVADPEGLCGIVATRPSYPIV >KQL28994 pep chromosome:Setaria_italica_v2.0:I:9584100:9584994:-1 gene:SETIT_018864mg transcript:KQL28994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSELLLKESVTTAAGSMPFADDALHHWLGSPNMLPGLLMASPISTASQWQGDGGAGIYLSATHAPCIYFGTYSTSTMYYLITPAP >KQL31332 pep chromosome:Setaria_italica_v2.0:I:36957810:36959102:-1 gene:SETIT_019688mg transcript:KQL31332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNSSGGSGQVVVRRTASATGLLFVLLLVAFTATNYSSLSTNRLIDAASSRVKTAACDVSSGEWVPDPAAPYYTNATCPFIDSRQDCMKYGKPELGSILRWRWQPHGCDLPRFDPAAFLRLVRHKSMAFVGDSVARNHMQSLMCLLSTVEHPQEIEPKDCMHCTRSYHYREHNFTVTVFWTPFLFMDPHNVYLDEPDPEWARHAAAYDYVVLNGAKWFTRPVVLHERGRVLGCSDCGGDPSNATRVPPHRAVRASFRTALRTLRGLPGFRGRVVVRTVAPPHYENGKWYDGGNCLRTRPVRSGEAASALPETEAAFHAAQVEEFRAAAAEAEPGRFVLLDVSGMMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPIDAWSELLLHLLTS >KQL30824 pep chromosome:Setaria_italica_v2.0:I:33619636:33620266:-1 gene:SETIT_020404mg transcript:KQL30824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDARLFTRTCGNICMELASHRPINIAVVLYCILCIIFYGAEVS >KQL29696 pep chromosome:Setaria_italica_v2.0:I:23469795:23470902:1 gene:SETIT_019502mg transcript:KQL29696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLRPHALTPGGAPSNMEQPLCFAPAVNQMQEIENGIKASLSFNNEPRGRATASASQRQRRVKWNGDMAKLLVSAVSYIDDDIDADHGSRSRVKRMGKWKLVSSAMTKRGFAASPQQCEDKFHDLNKKYKSLTEILGWGTACGIVEKPALLEQMNLSDKLKDEARKLLSSKNLHYEEMCSYHNRNRQCLLDDPSLQRMLWSMARGSPVEQGMECPTGYGEDDHIVLPDDKEEGGDEFNDDPEGSTEDHHHQRVHGTKMLKHDREEGSHLSQDAANDMKAIQIKRERLKIKRETLEMRQSHMKQMRSIKEQDKELRKMRLDNEMMELENDKLELELELKIKEMEMMGIKSKRI >KQL31878 pep chromosome:Setaria_italica_v2.0:I:40242612:40244716:1 gene:SETIT_016816mg transcript:KQL31878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTMTPAATVPLPPLLLLRPSSAVAAAAPRLKNPAATAPAGGAVHGVRWAGGGGGRRKRRCRAAVVEEAGAQEDGVLLPKEGDDAAATAAAGRYDWKEEWYPLYLAKEVPDDAALPLTVFDRQLVLWRDGDGVLRCHEDRCPHRLAKLSEGQIVDGRLECLYHGWQFDGEGKCVKIPQLPEGAKIPRSACARNYEVRDSQGVVWVWMSDANPPDDRKLPWFEPYAREGFTDLSTVHELPYDHSILLENLMDPAHVPISHDRTDWTAKREDAQALFFDVTERTARGFAGYWGRTRTPHLRNLLRFEAPCVLTNTLEFTDKDGKDQCFSAHFLCRPAGQGKSMLIVRFGSTVRSPIVKLLPSWYFHQNACKVFEQDMGFLSSQNEVLIREKVPTRELYLNLRSSDTWVAEYRKWMDRAGHGMPYYFGHSSLAPPPVPAVVEQAPAGAVAGISATFPAKGGVGTVHAPNPTNRYFRHVVHCKECRASVKKYTSLKNAFAVLAAAAVAASILAATRQWKAILLAASAVLAAASYACDAVLSLITTNFIRNHRRL >KQL30764 pep chromosome:Setaria_italica_v2.0:I:33215885:33217436:-1 gene:SETIT_018915mg transcript:KQL30764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMQQQRSSPLLVASQVVQSSDCVQHPPHGQCFESQGSCSPSAVHSPYSGSMFSIADVNMHFFFKFKDPGDIHGKV >KQL31214 pep chromosome:Setaria_italica_v2.0:I:36293449:36296374:1 gene:SETIT_018297mg transcript:KQL31214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMAVRARTAAPLLGTGRRTSVAVRHSPSLSFACAASARPRARLGVGLRARSGRAAGAPRAVPPGIVASAEVEQSYIMVKPDGVQRGLVGEIISRFEKKGFLLKGLKLFQCSKDLAQEHYKDLKDKPFFPTLIDYITSGPVVCMAWEGDGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIVLWFKEGELCQWESVQTAWLIE >KQL30537 pep chromosome:Setaria_italica_v2.0:I:31536988:31544228:-1 gene:SETIT_016479mg transcript:KQL30537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAPAGRPACDGSDGMCGLGSARRWGRTCRVPVSRFVEPAAAGGSPAPDGAGCGERALGEGRRAVPGQLGYVGGGVPLALGGHRSKSKGRLAMATRPGPLTEWPWQRLGNFKYVVMAPVVVHGSRRVAGAGGWGELDLAFALILPSLLLRMVHNQIWISAARYQTARSKHRIVDRGIDFDQVDRERGWDDQIILNGLLFYVAYLLIPSARHMPAWRTDGAVAMALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIVYYILFAIPMLTTIYMGNGSVLGIVLYIAYIDFMNNMGHCNFELVPKWMFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDQLYESSLKGTEETPDLVHLTHMTNLQSAYHLRIGFASIASKPSDSSMWYMWTLWPLAWLSMVLAWVYGSSAFVVERIKLNKLKMQTWAVPRYNFQYGLNWERESINDLIEKAILDADARGVKVLSLGLLNQAKQLNGGGELFRQKYPKLRVRLVDGSGLATAVVLKSIPHDAKQVFLHAGPSKIAYATAFALCEKGVKVIMNPNNKYDMLKSQIADSRASYLKHSSNHMPQIWLVDNIDDKEQKMAPEGAIFIPISQFPIKKIRKDCTYLSTPAMKIPETMQNVHACENWLPRKVMSAWRIAGILHALEGWTKHECGDAMMDAEKAWSAAIRHGFVPLNKA >KQL30538 pep chromosome:Setaria_italica_v2.0:I:31536988:31545036:-1 gene:SETIT_016479mg transcript:KQL30538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVVVHGSRRVAGAGGWGELDLAFALILPSLLLRMVHNQIWISAARYQTARSKHRIVDRGIDFDQVDRERGWDDQIILNGLLFYVAYLLIPSARHMPAWRTDGAVAMALLHAGPVEFLYYWFHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIVYYILFAIPMLTTIYMGNGSVLGIVLYIAYIDFMNNMGHCNFELVPKWMFQVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDQLYESSLKGTEETPDLVHLTHMTNLQSAYHLRIGFASIASKPSDSSMWYMWTLWPLAWLSMVLAWVYGSSAFVVERIKLNKLKMQTWAVPRYNFQYGLNWERESINDLIEKAILDADARGVKVLSLGLLNQAKQLNGGGELFRQKYPKLRVRLVDGSGLATAVVLKSIPHDAKQVFLHAGPSKIAYATAFALCEKGVKVIMNPNNKYDMLKSQIADSRASYLKHSSNHMPQIWLVDNIDDKEQKMAPEGAIFIPISQFPIKKIRKDCTYLSTPAMKIPETMQNVHACENWLPRKVMSAWRIAGILHALEGWTKHECGDAMMDAEKAWSAAIRHGFVPLNKA >KQL27820 pep chromosome:Setaria_italica_v2.0:I:877185:877610:1 gene:SETIT_020256mg transcript:KQL27820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFVRVLRLPNSDSSTAVGILLIPAAYVSGSVDGFDAVLAWWSERQSQYGVVSLPRC >KQL29343 pep chromosome:Setaria_italica_v2.0:I:14071106:14072095:1 gene:SETIT_019603mg transcript:KQL29343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLLREASWWPQRVSRPVVVAAGEEKGGGSTGTATLGREGSGGVERARSLTVDDLEELKGCVDLGFDFSYHEIPELCGMLPALELCYSVSRRQRARGHTHGDEEGDLFNRLIS >KQL30925 pep chromosome:Setaria_italica_v2.0:I:34435563:34436971:-1 gene:SETIT_018120mg transcript:KQL30925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSIKTFSRDLSTLQRLANPRQPRAYSAAGAHRRLPRPPSIRRGAAVVACAPGSEQRSSPAIATQQARPGTGTLSVEFRTREGCRLGIARYPDFVYNAQGGRGVGAARGAESGEDGNGTVLVDFDVASLYIPPMSGATTRFLGLPLPPFLKIDILPEALGGTIDRATGQVDLKFRSRFCFSVGSIYKAPPLFIDTTLTSEESRGAIRSGTGERMDDKGRCKLVGVAVVDPIDDLFMNTFLSLPTECIAYLNATISVATSR >KQL27790 pep chromosome:Setaria_italica_v2.0:I:687951:690486:-1 gene:SETIT_018043mg transcript:KQL27790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPISARRYQQFPLVHNNGLPRFSRVKMACLGIKQTRYHSDTIVSSSASHGDAGKLHPVVKMCGITSARDAEMAVEAGAKLIGMILWPNSKRSVSLSEAKEISRVAQSYGAESVGVFVDDDEETILRVSDSCDLNFIQLHGDESRALVHNLSKNNRIVYVLNADEDGKLIKLPPVLEYALDWFLVDSAKGGSGKGFNWQKFQMPSVKSKNGWLLAGGLHADNVCEAFSSLKPNGVDVSSGICGPDGIQKDPNRISSFISNVKSLSCRS >KQL27789 pep chromosome:Setaria_italica_v2.0:I:687951:690344:-1 gene:SETIT_018043mg transcript:KQL27789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGVGFSVMASPISARRYQQFPLVHNNGLPRFSRVKMACLGIKQTRYHSDTIVSSSASHGDAGKLHPVVKMCGITSARDAEMAVEAGAKLIGMILWPNSKRSVSLSEAKEISRVAQSYGAESVGVFVDDDEETILRVSDSCDLNFIQLHGDESRALVHNLSKNNRIVYVLNADEDGKLIKLPPVLEYALDWFLVDSAKGGSGKGFNWQKFQMPSVKSKNGWLLAGGLHADNVCEAFSSLKPNGVDVSSGICGPDGIQKDPNRISSFISNVKSLSCRS >KQL28101 pep chromosome:Setaria_italica_v2.0:I:3312971:3318164:1 gene:SETIT_017398mg transcript:KQL28101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRWLPEAAKPARGYATSRAVTRGNLWRLPRSHQAGSIPGEPSPFRRRMASASASGAPAAAEVLRRRRIISSRLYLDDIRAPRSVTRPRSPPPLQPRFAAVGRNNRAPFAQAPVKWGHVRGFLEDAGLLQSDRIVEPLEASEDDLLLVHSESYLNSLKSSEEVARIVEVPAVALLPNLLVQQKLLYPFRKQVGGSVLSAKLALERGWAINIGGGHHCSAEEGGGFCAYADISLCIHFAFVCLNISRVMIIDLDAHQGNGHEKDFGGDGRVYTLDMYNSGIYPFDHVAKKYIDQKVELDSGTKTEDYLENLDKALNVTCS >KQL28103 pep chromosome:Setaria_italica_v2.0:I:3312971:3318808:1 gene:SETIT_017398mg transcript:KQL28103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRWLPEAAKPARGYATSRAVTRGNLWRLPRSHQAGSIPGEPSPFRRRMASASASGAPAAAEVLRRRRIISSRLYLDDIRAPRSVTRPRSPPPLQPRFAAVGRNNRAPFAQAPVKWGHVRGFLEDAGLLQSDRIVEPLEASEDDLLLVHSESYLNSLKSSEEVARIVEVPAVALLPNLLVQQKLLYPFRKQVGGSVLSAKLALERGWAINIGGGHHCSAEEGGGFCAYADISLCIHFAFVCLNISRVMIIDLDAHQGNGHEKDFGGDGRVYTLDMYNSGIYPFDHVAKKYIDQKVELDVAESRFLPQLIVYNAGTDILDGDPLGRLKVSPEGVAIRDEKVFRFAKDQSIPLLMLTSGGYMKSSARVIADSIINLSKKNLIDIGEKSPWIVAESYPGLVFGM >KQL28102 pep chromosome:Setaria_italica_v2.0:I:3312971:3322398:1 gene:SETIT_017398mg transcript:KQL28102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRWLPEAAKPARGYATSRAVTRGNLWRLPRSHQAGSIPGEPSPFRRRMASASASGAPAAAEVLRRRRIISSRLYLDDIRAPRSVTRPRSPPPLQPRFAAVGRNNRAPFAQAPVKWGHVRGFLEDAGLLQSDRIVEPLEASEDDLLLVHSESYLNSLKSSEEVARIVEVPAVALLPNLLVQQKLLYPFRKQVGGSVLSAKLALERGWAINIGGGHHCSAEEGGGFCAYADISLCIHFAFVCLNISRVMIIDLDAHQGNGHEKDFGGDGRVYTLDMYNSGIYPFDHVAKKYIDQKVELDVAESRFLPQLIVYNAGTDILDGDPLGRLKVSPEGVAIRDEKVFRFAKDQSIPLLMLTSGGYMKSSARVIADSIINLSKKNLIDIGEKSPWIVAESYPGLVFGM >KQL29502 pep chromosome:Setaria_italica_v2.0:I:18364226:18365319:1 gene:SETIT_020024mg transcript:KQL29502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQEHRAPIALLLILIVSVSGVSSRTLTITNHCGHTVWPGILSSSGSPTLETTGFALEPGQSRSLPAPHGWSGRLWGRTHCSVDSAGRFSCVTGNCGSGQLDCAGHGAKPPATLAEFTFDGHGGLDFYDVSLVDGYNLPMLVEPRHTHGAAAGPNCVVTGCVMDLNGACPSELRVGSSDGRAVACRSACEAFGSAEHCCHGEHGNPNTCWPTVYSQFFKKSCPRAYSYAYDDATSTFTCGGGGTSYAITFCPSTTSVKSVGTDAASVGGGLVGSSSWPVAPRHGSSLVLLGVAIAALAPIF >KQL30169 pep chromosome:Setaria_italica_v2.0:I:28560679:28565466:1 gene:SETIT_016944mg transcript:KQL30169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQFNTKKCLPATSFPLALSMRAQRRPGEAPGGFMPSTVLAPRPDYVAVEEAQGLVDHTDNSYDSTVRDHDGFRLSTEPSASTTEASFGVSSDVVTVVSNPGQQAHRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGRRCLQLGCTKSAEGKTDHCIAHGGGRRCGYAGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQYPDCRKGAQGSTLYCKAHGGGKRCVFEGCAKGAEGSTPLCKAHGGGKRCMYEGGGVCPKSVHGGTEFCVAHGGGKRCAVPGCGKSARGRTDRCVKHGGGKRCRVDGCGKSAQGSTEYCKAHGGGKRCVFGGGCEKFARGRSGLCAAHGTLVASQQHRCGGAGAGMIGPGLFHGIVRSASAANMNNNEYSSSGVSTVSDCGDGSPVLAVGRLELIPPQVLVPHSMKSLTPAAPAPERSREGGVVAVPEGRVHGGGLLSLLGGSFRNVDVDRL >KQL30168 pep chromosome:Setaria_italica_v2.0:I:28560679:28565466:1 gene:SETIT_016944mg transcript:KQL30168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQFNTKKCLPATSFPLALSMRAQRRPGEAPGGFMPSTVLAPRPDYVAVEEAQGLVDHTDNSYDSTVRDHDGFRLSTEPSASTTEASFGVSSDVVTVVSNPGQQAHRRHPKKCRFKGCSKGARGASGLCIAHGGGQRCQKPGCHKGAESRTAYCKAHGGGRRCLQLGCTKSAEGKTDHCIAHGGGRRCGYAGCPKAARGKSGRCIKHGGGKRCSVEGCIRSAEGRVGLCISHGGGRRCQYPDCRKGAQGSTLYCKAHGGGKRCVFEGCAKGAEGSTPLCKAHGGGKRCMYEGGGVCPKSVHGGTEFCVAHGGGKRCAVPGCGKSARGRTDRCVKHGGGKRCRVDGCGKSAQGSTEYCKAHGGGKRCVFGGGCEKFARGRSGLCAAHGTLVASQQHRCGGAGAGMIGPGLFHGIVRSASAANMNNNEYSSSGVSTVSDCGDGSPVLAVGRLELIPPQVLVPHSMKSLTPAAPAPERSREGGVVAVPEGRVHGGGLLSLLGGSFRNVDVDRL >KQL27884 pep chromosome:Setaria_italica_v2.0:I:1429265:1430688:1 gene:SETIT_017209mg transcript:KQL27884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIIARRSKPHLVAPACPTPCETKTLSDMDDHPGNRAYVPLVEFFVRDDDGLGPEDPAAAIEAALGEALVYYYPVAGRMQETAGGKLAVSCNGEGVAFVEADAAVRLEELGTPLLPPYPCVEELLCDAGDAHVVVGKPIVFMQVTRFQCGGFAIGLRINHCIADGFGMIQFLRAVADVARGEAAPAVLPVWERHLLMARAPPSTGYVHRKLMLLLKDPPAAGAGATPPAASVVCRHFLFGPAEISALRGRVAGYDDLGASCTRFELLTAALWRCRVAAWGLADDQRAVLVFAVNVRRRWVRIPPGYYGNALVFHVVEADAGELRGRPLGHAVVLVREAKADTSEEHVRSTADFMASMREMPPATYHEETYMMSDWTRLGEDEVDFGWARRVGGGVAMPPSPLVSFNAKCVNSDGDESVIVTMALPEAVMERFEKEIDKIWSKN >KQL29412 pep chromosome:Setaria_italica_v2.0:I:15199405:15199715:1 gene:SETIT_018999mg transcript:KQL29412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAYKSTWRSAPWTAGDSTRNYRDDILCLTERRGVPQVWINYASKEQVYLAPSVRYK >KQL30930 pep chromosome:Setaria_italica_v2.0:I:34474205:34476457:1 gene:SETIT_019398mg transcript:KQL30930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALVSAQTMAVFTVASFFALLCVAQLARAVNYTFMREAVHAPPVAYYDYIIIGGGTAGCPLAATLSERSRVLLLERGGSPYEDGRVLSMVHFSDVLADTSASSASQRFVSEDGVINSRPRVLGGGSCINAGFFTRAGAGYVRAVGWDPREVLAAYRWVEDVVAFQPALGPWQAAVRRGLLETGVLPDNGFTYDHIPGTKVGGSIFDADGRRHTAADLLRYSNPDGIDLFLRARVARILFGYKGTKPVARGVVYRDAQGGTHVAYLNRGAANEVILSAGALGSPQQLMLSGIGPADHLRSLGIDVILDLPGVGQGMSDNPMNAIYVPSPSPVEVSLIQVVGITRFGSYIEGASGANWNSHPSGTQPPPRNFGMFSPQTGQLATVPPAQRTPEAIARAVEAMSQVPDAALRGGFILEKVLGPQSTGHLALRNLDPDDNPSVRFNYFAHPDDLRRCVAGISGIERVIRSRAFSRFTYPNFAFPATLNVTADFPVNTLYGRRGGAGGGGGSDPRALEQFCRDTVMTIWHYHGGCQVGRVVDRDYKVLGVEALRVIDGSTFNASPGTNPQATVMMLGRYMGVKLLRERMLFEGPGRKNP >KQL30968 pep chromosome:Setaria_italica_v2.0:I:34757775:34761251:-1 gene:SETIT_019911mg transcript:KQL30968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDYYDSDDDVVAAMIDYYDSDDDVVLMRQESPDGHGFRARSTSTRGKEATSRYGSWEQSELEMHMFSWSIQDVLNKNLLKKKASICFLAFPSISSLHVLHAQGQQVKKIPRTFTSLKDYMQSFTVPLIEETRADLCSALEGIKHAPAAEVIRMEQLATDQAIFSIMVKKADPNSTQRDQVYAPRDADILVLTDQKPKHSSDLVRTGKSYLIGSVLKAEGGDGTVVRLSRSPAEGRPLFAVFLINMTTYNRIQNAVDVHAAACKNTGIIEKMLNPKFGQEYNASCFLDGELGGLESFELNPSQLKAVQDCVSAVQRPTCSVRLIWGPPGTGKTKTISALLWSMLLKNHRTVTCAPTNTAVVEVASRVLGLMEESSGGGGGKKCFLSDVVLFGNEDRMGVHGNLAKIFLESRVGRLQQCLMPGTGWTQSLSSMLGLLEHPLVQYDRYIEGIEKEISDLVSEENEIRDELALSLRKREQLSNKKIAEKVQGMQKKLLVIEKKVREIKKDKMSFQAYFQSNYTPLVNELCGCVETFGNDLPRSATSEENFRLMAEVPPLLEGFGELVQSEPDEQLQALFKNEEDERSLSSLFRSLVTQVQAHVSFELKEARSSCVQKLRDLSVSFQLPDMFDSRMIEEFLLRRAKSVLCTASSSYRLHYLPNAQPFEVLVVDEAAQLKECESLIALQLPGVRHAVLIGDEYQLPALVKSKVCEDAEFGRSLFQRLTSLKQPKHLLDVQYRMHPWISKFPVQSFYGGQITDGPNVLNRDYERRYLTGPMYGAYSFINVDGGNESTGKHDRSLINPVETAAVARIVQRLFKESVDTGRAVRVGVVSPYKGQVRAIQEKLTGAYAMREGFSVKVRSVDGFQGAEEDVIIFSAVRSNTAGKIGFLADINRTNVALTRAKHCLWILGDAKTLACGKTIWREIVADAKDRGCFFDAKDDKDLSNAIIKAANEVENLLKLDSLRIGSGSRPGVRS >KQL28147 pep chromosome:Setaria_italica_v2.0:I:3748807:3756570:-1 gene:SETIT_016330mg transcript:KQL28147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVCFSTQLIDGDGVFNVSGLENFMKEVSLGECGLSYAVVSIMGPQSSGKSTLLNHLFRTNFREMDAFKGRSQTTKGIWLAKAQDIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKSRTPLENLEPILREDIQKIWDAVPKPHAHKETPLSEFFNVQVVALSSYEEKEELFKEQVSDLRDRFQHSIAPGGLAGDRRGVVPASGFSFSSQQFWKVIKENKDLDLPAHKVMVATVRCEEIGNEKVASFTADEEWQQFEEAVQHDYCPGFGKKISSLLDRCLSEYDMEAIYFDEGVRNSKRQQLESKLLQLVNPAYQSLLNHLRARTLEAFKESFDKALEKEGFAAAARDCTQTFLEKFDKGSEDAAIQQVNWDTSKVKDKLKRDIEAHVASVRTTKLSEVCAKYEAQLSKALAEPVEALLDSASEDTWPAIRKLLERETKAAVLGLESAVSSFELDEATEKELLVKLEKHGKSVVESKAKEEAGRVLIRMKDKFSTLFSRDADSMPRVWTGKEDIKAITKTARSASMKLLSTMAAIRLEEDGDNIENTLSIALVDAARPAGTTDRSIQLSDPLASSSWERVPEEKTLITPVQCKNLWRQFKAETEYTVTQAIAAQEANKRNNNWLPPPWALAAMAILGFNEFMTLLRNPLYLLVIFVVYLVGKAIWVQSEIGREFQHGFLPAILSLSTKFVPTIMNILKRLADEGQRPAAGPERQREMELQPKSTRNGSSYSNVTSAGSSSVTTSEIGPEYSSPVAQ >KQL28114 pep chromosome:Setaria_italica_v2.0:I:3426820:3428935:-1 gene:SETIT_016823mg transcript:KQL28114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTICNGALGPVAHQSVPVLVLISFVSLFSAFLIYFYAPFWSVRKVPGPPTRFPLGHLHLLAKNGPDVFRAIAKEYGPIFRFHMGRQPLVIVANAELCKEVGIKKFKDIRNRSTPPPTVGSLHQDALFLTRDSTWSAMRNTVVPLYQPARLAGLIPVMQSYVDALVANIAGCPDQDCIPFCQLSLRMAIDIIGKTAFGVEFGLSKDSAGSGCSGGEVDDDIREFLKEYKRSMEFIKMDLSSSLSTILGLFLPCVQTPCRRLLRRLPGTADYKMDENERRLCRRIDAIIAGRRRDRASRRRDGDGDGDGDAARSAPLDFIAALLDAMESGGGKELALEDRHVRALAYEHLIAGTKTTAFTLSSVVYLVSCHPRVEEKLLRELDGSAPPGGRAPNAEELQSRFPYLDQVIKEAMRFHLVSPLIARQTSERVEIGGHVLPKGAYVWLAPGVLARDAAQFPEPEEFRPERFAAGAEEERARHPYAHIPFGVGPRACIGHKFALQQVKLAVVGLYRRYVFRHSPAMESPIQFDFDLVLAFRHGVKLRAIRRE >KQL27685 pep chromosome:Setaria_italica_v2.0:I:101989:102420:-1 gene:SETIT_019050mg transcript:KQL27685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESKQQQPWRTCGFTWPPRSYPCSFCKREFRSAQALGGHMNVHRRDRARLRHGLSPPPAAPSRAAAAVIPNLNYPPPQSHRHRPTTTSSSIMAAVSLELGVGVVCSCGSTSAAATTSATPVAPAGPEEDDGGLDLELRLGVSSS >KQL28259 pep chromosome:Setaria_italica_v2.0:I:4618362:4620719:1 gene:SETIT_0194102mg transcript:KQL28259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYNIESSNADCLDSRPLKKPKCEQLNDCDLSPSPRSSTSLASSCNNIESSHVYDLDARPLKEVKCEQMNDVDISLSPPSATTLPSSSPEKDPYIIDSIVAEKVSCSDWIDLESDNDRRILLDDEKHQNHHEQFKVDQTYDYLPQDYEMTDLDYCALMTIESSLESDILVKIDDIFVTQSQLSCLLDPKKFLNDDVISAYICCIKYQAHLESRNDVKFYFENPFITVILKRDGKLGVGQDGNHITKIVRNYLKHEMVLIPINIKETHWYLAIINTQKCEIQVLDSLCWDSNRVDLADTLQGLQFHLDIIGRQQNLISHNWKDLQIISWTITEQVQEPMQKDGYKLAGILLCWKTNTAQTTHQNMSLLGSSNDQKETKATDSLLEETKYQSLMSILSKINENELVGGLCDYIKSINCPETLEKVWVRNSKPYSISLTVR >KQL31780 pep chromosome:Setaria_italica_v2.0:I:39731106:39731496:-1 gene:SETIT_020536mg transcript:KQL31780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPDTPLCQQDEDHLQEKATHVILSAHDSDESPRNQSQSTT >KQL31190 pep chromosome:Setaria_italica_v2.0:I:36169044:36170129:1 gene:SETIT_018542mg transcript:KQL31190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALSRMLIDRFFPDTGAVGDARPPMDWKETRDAHVFRMDVPGLAKDQVAVELVDGRILRVRGGKWDDVAAAAADKDGAVPGHGEGKEEEGGDGAVRWHCRERPGARAFETQFRLPDDAAADEVRAAMVDGVLTVTVPKRKGGGKKRHHGANKPVCCRFWP >KQL31945 pep chromosome:Setaria_italica_v2.0:I:40567074:40568034:-1 gene:SETIT_019473mg transcript:KQL31945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPEPLLSDIIKRITRTGDRNSLSIVSKQLYDVDAEERGTIHVGCGLHPATESLSSLCSRFPNLWKVDINYSGNDDGSFGVNFTKQAVKGGLLNL >KQL31132 pep chromosome:Setaria_italica_v2.0:I:35821450:35825032:-1 gene:SETIT_018380mg transcript:KQL31132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTPVLNERILSSMSQKHVAAHPWHDLEIGPGAPEVFNCVVEIPRGTKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPMDVLILMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFTDIKDLPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAKDAIEAIKYSMDLYGSYIIESLRK >KQL31131 pep chromosome:Setaria_italica_v2.0:I:35821450:35825687:-1 gene:SETIT_018380mg transcript:KQL31131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTPVLNERILSSMSQKHVAAHPWHDLEIGPGAPEVFNCVVEIPRGTKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPMDVLILMQEQVVPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHFTDIKDLPPHRLQEIRRFFEDYKKNENKEVAVNEFLPAKDAIEAIKYSMDLYGSYIIESLRK >KQL29660 pep chromosome:Setaria_italica_v2.0:I:22725811:22734124:-1 gene:SETIT_016390mg transcript:KQL29660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVDPAAPLLVTCGGDTVKLFDVTVESGDPCVLAYTPSPGNPVNAVKWNHTNLIVASAGDDKKISLWHKKGHNVGQLPTSSIDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHLLVTAGDDGSVHLWDATAKAPKMSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSGSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVIGLCWQRSKPVIVNENSSSEVALLGGTSEESVLMPDPLPSATPTSFSSGVVTTGLRSSLTANTSTMDETPYRTRSLSGGPLSKLQAPRSNYNLKDDMDVFSPLVDVQPFTPSSGNWWDEHGSDDTKKDDKTGEKKLSTTRKFPYMEGNDEPHPISDWRSTANSRQDSISSVTTTSMPSWKSELSISSPETATGNALPDRLAQRQQISRFGASAFSTGGLAFTALQDSSSAASHSLKGSLTSNILMNLQNKGILSNANSSLDASSPNLQSSLPSSYVSKAVSSLNPDQPGAAQSTSMWRPTTYTDRMSSSSVFSDGLASAFGSTKSKKTGAETKDELLSSLLSRQEAAIASSSASPLASNGVVPPQSANTGSSTDQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQEMEMSGVLNLVLEKVEGLTKEVQQLRRENQQLRQQLL >KQL29659 pep chromosome:Setaria_italica_v2.0:I:22726283:22734124:-1 gene:SETIT_016390mg transcript:KQL29659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVDPAAPLLVTCGGDTVKLFDVTVESGDPCVLAYTPSPGNPVNAVKWNHTNLIVASAGDDKKISLWHKKGHNVGQLPTSSIDRGDDIEECIYSISFSNKGSRYLCSGGSGHIVRIWDLQRKRCIKWLSGHTDTITGVMYNCKDEHLASISMKGDLILHNLASGARAAELSDPNGQVLRVLDYSRNSRHLLVTAGDDGSVHLWDATAKAPKMSWLKQHSAPTSGVCISPSSDKIIATVGLDKKLYTLDSGSRRPTHTIPHEAPFSSLAYNDDGTILAAGTNSGRVVFYDVRGKPQPLTILRAYNSSEAVIGLCWQRSKPVIVNENSSSEVALLGGTSEESVLMPDPLPSATPTSFSSGVVTTGLRSSLTANTSTMDETPYRTRSLSGGPLSKLQAPRSNYNLKDDMDVFSPLVDVQPFTPSSGNWWDEHGSDDTKKDDKTGEKKLSTTRKFPYMEGNDEPHPISDWRSTANSRQDSISSVTTTSMPSWKSELSISSPETATGNALPDRLAQRQQISRFGASAFSTGGLAFTALQDSSSAASHSLKGSLTSNILMNLQNKGILSNANSSLDASSPNLQSSLPSSYVSKAVSSLNPDQPGAAQSTSMWRPTTYTDRMSSSSVFSDGLASAFGSTKSKKTGAETKDELLSSLLSRQEAAIASSSASPLASNGVVPPQSANTGSSTDQQGASSFSLQYVQRMLEESLGSVQKSIHEDVRNLHIELLRQFHMQESVDKNPRFFFHCLYFSYPRWKCPAC >KQL29374 pep chromosome:Setaria_italica_v2.0:I:14606301:14611126:1 gene:SETIT_018536mg transcript:KQL29374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPVAKLGTLLLKTMSKPIATRLKTEASRHPKFRQLIINLAQANHRISTNIQRRVYGHATNVEIRPLNEEKAVQAAADLIGELFVFSVAGAAVIFEVQRSARSEARKEEARKKEIEAIRQKEDQLAEEILNMKQKLSELERFANSQGLSGLFRSSSVPDQTKPT >KQL29363 pep chromosome:Setaria_italica_v2.0:I:14405444:14410064:-1 gene:SETIT_020155mg transcript:KQL29363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVIRSTGQWRILKVVPPHTCHSSQPKRVHAQCTAKYLGQRILGIVRADSKTSVPSLVESIFAFSGYHVKYSKAWRAKQHAVALLWGDLKESYGMVARVLTAMAYYNPEVKWFTDSCGMTQPNNGVLKHVLQRDGGPSVPTSCGRGAAAYDLQHRGHLLANLHEELKPLRARVHSPLRWDERYMLYLQRVGFLDIVVQVVAGLPLIDGSLLTAMVNRWRPETHISHLPCGEMIVTMQDVAMILGLPLEGLPVTGIIQKMHISIRPPELEEGDNSKKTSGVSFAWLREVFSVCHPGGDDELVQRHTRVWLWHFVSTFLLPDAVGNT >KQL30147 pep chromosome:Setaria_italica_v2.0:I:28384117:28388166:-1 gene:SETIT_017782mg transcript:KQL30147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSTPAAAAVPSRRKVALYLTLLTLQYGAQPLISKRFVRQDTIVTSLVLATEGAKVICAIILLIAEGGLKKQFSNWSLTGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLLWTAFFTYLILGQKQSSKQILALTLLISAAVLLSVGESSSKGSKGGSSDYVLLYGIIPVTVASMLSGLASSLCQWASQVKKHTSYMMTIEMSFIGSLCLLASTYRSPDGEAIRKYGFFHEWTLWTTVPVLMNAVGGILVGLVTTYAGGVRKGFVIVSALLVTALLQFIFDGKPPSHYCLMALPLVMTSIFIYQKYPYADRKKKD >KQL29892 pep chromosome:Setaria_italica_v2.0:I:26078762:26079872:-1 gene:SETIT_018783mg transcript:KQL29892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRAVGVGRALVPQPAWAAVAEAVARRMEGVGGGGRVPRYFSDKASGRLLSEEERAAENVYIQMEREKLEKLRRKADKDKAEAAKRAAAAKGEKKKGEEDHPS >KQL29891 pep chromosome:Setaria_italica_v2.0:I:26079106:26079646:-1 gene:SETIT_018783mg transcript:KQL29891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRAVGVGRALVPQPAWAAVAEAVARRMEGVGGGGRVPRYFSDKASGRLLSEEERAAENVYIQKMEREKLEKLRRKADKDKAEAAKRAAAAKGEKKKGEEDHPS >KQL28642 pep chromosome:Setaria_italica_v2.0:I:7171439:7171921:-1 gene:SETIT_018964mg transcript:KQL28642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWQPCRSTAACRTNLFCRRACLSRSHRARTPRRRAAEIGDTPRDRSLGSLTDRSLDYYLIRTA >KQL27955 pep chromosome:Setaria_italica_v2.0:I:2073190:2073710:-1 gene:SETIT_020309mg transcript:KQL27955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDTEPLTLWLICFFLLQRHQVSLVLASFVVNSLNVQSKIHLLNH >KQL28261 pep chromosome:Setaria_italica_v2.0:I:4623215:4623506:1 gene:SETIT_0194101mg transcript:KQL28261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELSGYFVSLFMCIWKDEELRLPILKDGYELRKQFMAQLLTYKENECEDNMPAGVRDFLSCINATK >KQL28326 pep chromosome:Setaria_italica_v2.0:I:5072254:5072827:1 gene:SETIT_019600mg transcript:KQL28326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFLNKRHMAGQGRAGKVRCQECEWALLKAACRFCSIGCKLAALPKNLDFTVSFAVLQKNDDHPSAQEGEAGTRAASKQQTAMAAEPLSGQHCGHCKDVPGNI >KQL29796 pep chromosome:Setaria_italica_v2.0:I:24697073:24700814:1 gene:SETIT_017215mg transcript:KQL29796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLASRLLLRRPTATMCILRSCSHVRHFSTQLLEGVHKYSKPTRGRNFLPNYQLWSRSFASENGDLVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVSSPEAGVIEKFIASEGDTVTPGTKVAVISKSAIPNEAHVSPPEEASQKETPPPPPAEKNKVEEKSPKVEPVKKQEPKLTMPPLKSSPSEPLLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNMMKLRSDYKDKFVEKHGVKLGLMSCFVKASVSALQNQPVVNAVIDGDDIIYRDYIDISVAVGTSKGLVVPVIRDTDRMNFADIEKGINNLAKKANAGALSIDEMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPMVVDGKITARPMMYLALTYDHRLVDGREAVLFLRRIKDVVEDPRRLLLDI >KQL28520 pep chromosome:Setaria_italica_v2.0:I:6409109:6410141:-1 gene:SETIT_019339mg transcript:KQL28520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNENNVESTEEDSDSNDDCGSYSTPPEYEPSPPRTRRCLDEDDHEYDPTTDHQIPEKGILVIEVLGTKGEPILPEGISIRDKLQTWITTINWENVPTTTKDVLWATLKERFTFPEGQEKFARNFAEGLLGRCFRNWRPTLNKEYVQKGKNDSEDFGRIPSEMWEEFIQQKNTLEAKNPHHLGAGGYAAKIAKWRREEEERRRAGLPDLFEGLDECSRNWVLARISTITPDDKEKQ >KQL31948 pep chromosome:Setaria_italica_v2.0:I:40576802:40580925:1 gene:SETIT_017649mg transcript:KQL31948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVEGLEDAGRLDFGDQGIDKHADFAMERVCENTVSVDFKQTKLNNFVPFIRSGEWSDIGGRDYMEDAHVCISDLAKNFGYKAVDDEVISFYGVFDGHGGKDAAHYVRDNLPRVIVEDADFPLELEKVVKRSFVETDSKFAETFSHHKGLSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGTAIEMSKDHRPCCINERKRVESLGGYVDDGYLNGQLAVTRALGDWHLEGMKEMGEPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDFFSNQNAVDFARRKLQDHNDLRLCCREIVDEAIRRGARDNLTAVMVSFHQEAPPQTRVNRTGRVARSISAEGLHNLRVLLEGQ >KQL31949 pep chromosome:Setaria_italica_v2.0:I:40578240:40580925:1 gene:SETIT_017649mg transcript:KQL31949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVCENTVSVDFKQTKLNNFVPFIRSGEWSDIGGRDYMEDAHVCISDLAKNFGYKAVDDEVISFYGVFDGHGGKDAAHYVRDNLPRVIVEDADFPLELEKVVKRSFVETDSKFAETFSHHKGLSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGTAIEMSKDHRPCCINERKRVESLGGYVDDGYLNGQLAVTRALGDWHLEGMKEMGEPGGPLSAEPELKMITLTKDDEFLIIGSDGIWDFFSNQNAVDFARRKLQDHNDLRLCCREIVDEAIRRGARDNLTAVMVSFHQEAPPQTRVNRTGRVARSISAEGLHNLRVLLEGQ >KQL28366 pep chromosome:Setaria_italica_v2.0:I:5427894:5431180:-1 gene:SETIT_017623mg transcript:KQL28366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRPGAGAALLLQQQLVAAAVLLLTTTVAASPRVPAVIVFGDSTVDTGNNNVIPTPLRADFPPYGRDMPGGPRATGRFGNGRLPPDLISEALGLPPLVPAYLDPAYGIDDFARGVCFASAGTGIDNATASVLEVEYYAEYQRRLRARLGRSRAAAVIRDALHVVSIGTNDFLENYFLLATGRFAQFTVDEFEDFLVAGAREFLARIHRLGARRVTFAGLAAIGCLPLERTTNALRGGGCVEEYNEVARRYNAKLQDMVRGLRAEFPKLKLAYISVYESFLNLITDPAKFGLENVEEGCCATGKFEMGFMCNDDAPLTCDDADKFLFWDAFHPTEKVNRLMANHTLEVCYQEGVL >KQL28837 pep chromosome:Setaria_italica_v2.0:I:8404740:8405476:1 gene:SETIT_020400mg transcript:KQL28837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPIQSCFNVSHVDRNLGTASPSVHRAGFCDYINFFLIRYTFCFHLIFLVSIFYIW >KQL30356 pep chromosome:Setaria_italica_v2.0:I:30074966:30076259:1 gene:SETIT_020189mg transcript:KQL30356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSPGMMSRSYDAGSGGRGLLACYVRAKPRPSKWDDAQRWLSSSSSSQAPDDDRRRSSCADDRLLLPSASQKGRHSWSTSDAAAAAVPAAAREDGEAEAGAETKRMDSVLAYGQQPPRSLSLRDIGTEMTPAGSKEASRANTPRATLAAEPSPAPSTTRRSSESHASRRRPDATSGGSPPGQAAAAACDGAGAGAEERKEAAAAGAPAAVSPATAWDAAERAKHMARYRREEMKIQAWENRRRQKAELEMKMAEAKAERMKLRAREKTASKLASAQAAAREKRAAAEAKLSRRAARVGDRADVLRRTGHLPSSSGFSLKLPLLCS >KQL31848 pep chromosome:Setaria_italica_v2.0:I:40061397:40064696:-1 gene:SETIT_019713mg transcript:KQL31848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRFPSAAASLVALWVLGALVGHRAAAAEPVRVGVILDLASVAGRRWRTSIRMAVEDYYAVHANSTTRVELRFRDSSGDAVAAVSAAVDLIKNAQVQAIIHGAQTAAEAEFVAHIGGRAHVPVLSFSAVPAAPTPFSVRASADDDSSQAAPVAGVLGNFRWRAAVLLHEDSPSGAAIAPALADALRGVGASVAHRAAVPAGASDDRLDAVLYRVSAATTTRVFVVHMSLTLALRLFRRAVTAGMMSEGYVWIATAAVGEADALSPEDIDAMRGVVAVRRYAPPTRQAADFAKRFTARLQRDNDGARDIPVPTVSTLRAYDAAWAAAAAAAVEEAGISGSAFEPPEGSTGPTELDQLGVSATGEKLLKALRDTAFDGLAGKFRVLDGKLQAPAYEIVNFAAEGPRTVGLWTRKSGISPELDNDGSGEGLKDVVFPGLEQSDIRVPKGWAFSPAGQELVIAVPVKHGFWEFVQVYNDTTTNRTVISGYCIDVFDAAIKALPYPVYYRYEPFYGIGGGNSGSYEQLVDLVPGQKADAVVGDVAITGSRMAEVDFTMPFTESGWSMVVAVQSQTATGMFFFLKPLTPALWLASLAAFIFTGFVIWVIEHRINPEFRGTPLQQFGIIFHFAFSTLVFAHRENVESNLSKFLMVIWVFAVLILTSSYTASLTSMLTVQKLNPSVTDVNYLINNGDYVGYQEGSFVAGELLKMNFDQSKLRSYRTPAEYADALSRGSDNGGVAAVFDEVPYLKIFLSQYCDGYTMAGPVYKGTGFGFVFPKGSPMAPEVSRAIVGLTEGYDMGLIERKWFGAPGACGGDGVDASTASLTLWNFSGLFLITAVASSIVLIVYLATFVYRERHELRAAEPGSGSVSLKRFRAWLQHYDRKDMTAPHFKQQFWSDSSPSTNGSSHGKKRERAEQEEATAMRDFGGPGASSLSDHSRMDSASPLERKGSGELRTPFEQRIGEGATEKRASTPERKPSLKVPQNTEGRKKLPLSP >KQL27989 pep chromosome:Setaria_italica_v2.0:I:2347383:2351950:-1 gene:SETIT_016352mg transcript:KQL27989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPNKEILEHDRKRQVELKLLVLRDALEEQGYTEGEIEERVEEARMAAEAEAAAAVAAEEAGGGRPALPGRGFTDTQSHHVAARKEKQLEAMRAALGLNAEDVKKGDVESDVESGELVPGKYAEELDTAGQKDSKDMKDAKTSKKKKGSDKRSQSKSSRKSKYDSDSEQEHDMKTKKKKSSHRDSEDDSGTDYDEKKEKKHSKKTHLGSDDDSETDRKKARRGKNSHHDSDSDTYGDYGKKKTEHAKNNRDERKKIAVKSSRHDSESDTDNEYGKKKTEHAKNNCDERKKIPVKGSRHDSDSDTDSDYGKKKKTEHAKNNRDERKKIPVKSSHHDSDSDTDGDYGKKKTEVEKNNRNEKKKIPVKSSCQGFEDEKPGESRYKDSSHHYSEDGKPIKNKESSRHDSEDEKPRKYEESSRRDLEGEKPRLSKYKGGSHSDYDQKRQKSVKKSNYSSESESDSDSDQGERSKRGKISQQKSEHDRLKSGSRNVDYRTNKSVKNLSHDSDGEKLRSKVLRKDKYSGDSETDSDARVEAKKKLEKSYHHDPKKDKQAQKFKEKKDDYGKNIDKHKRHDSDSESDGYSRDRKRQLNAAVTKKDVPEKRRVASSSESSDYSSSSLSSSESDLSADSYKERKRGQGERRGDELNRQRQKEERKELEKQKQREEERKELEKQKQRDEERMEMEKKRQQEREEERPRDREQDRRKGGNGVERDYKRKLEDDRYDPNLSRVRDEGYRGHQNRGDERRHEEHGRHRARDLDIHDSKRSRHDDDSYYHSRSDRGDRYSRDEHRDRRRH >KQL28284 pep chromosome:Setaria_italica_v2.0:I:4809505:4814144:-1 gene:SETIT_018044mg transcript:KQL28284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQVFYRALMLSAVGGLSTAIGALFVVLNPAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFAGVLFFGFIVKFIPEPDFSPEADPSEKKADDGGSGKDMMRKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSVKGLHVGLNLAVAIALHNIPEGVAVALPIYFATKSKWKAFYMAAGSGLAEPVGVIAVAYLFPSSLNPDILEGLLGSVGGVMAFLTLHEMLPLAFDYCGQKRAVKAVFVGMACMSASLYFLEISLPKEISL >KQL28285 pep chromosome:Setaria_italica_v2.0:I:4809200:4814289:-1 gene:SETIT_018044mg transcript:KQL28285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQVFYRALMLSAVGGLSTAIGALFVVLNPAPNLKMLGLLQGFAAGLMLSISFLDLAHNAMNSIGFLKGNLWFFAGVLFFGFIVKFIPEPDFSPEADPSEKKADDGGSGKDMMRKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSVKGLHVGLNLAVAIALHNIPEGVAVALPIYFATKSKWKAFYMAAGSGLAEPVGVIALTCFQAA >KQL31520 pep chromosome:Setaria_italica_v2.0:I:38171398:38173439:-1 gene:SETIT_018202mg transcript:KQL31520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPRRRRHRASLAATLPGKWRPERRAPGGGDRAAPLPLRRGTPQAPRTPPDPTPNRRLNIEVDVQVRTHAQGEGDAGRGAAMEGDAAFSWAPVILWFLFPALGGLLFGYDIGATSGATISVQSADLSGTTWFNRSSVQLGLVASGSLYGALGGSILTHRIADFLGRRIELVTAAALYILGALVTGFAPNFVGLIIGRILYGIGIGLAMHGAPLYIAETSPPQICGTLISLKELFIALGILVVI >KQL29904 pep chromosome:Setaria_italica_v2.0:I:26204360:26205490:-1 gene:SETIT_017783mg transcript:KQL29904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTTTTTTVEDLPADVLACALRRLDGRSLAAASCATAGLRALAADPDTWRALCLARWPSLLAARPDLLSSSSAVSPQRLFADAFPFPCLPVDAAADAAPLAGPELPSELVSAVDLYHGGAPLLSRVVETAASSTWFLSSPFRVDAVECKSPAPIVGLGLGPGRAGGAVAAPAELELSWIVVEPRGGRAVNVSSRRPVAVDRHWYTGEALVRYAVVLGGCKFEATVTCSEETGSVREISLAVEDADGAAVSGEGTLRLLAAAMAGPRKGGDGQEETAKRRYEEFVRSKRVRKESKARKEVLVDLCCSAVSAVAVLSFVAAVVLR >KQL32098 pep chromosome:Setaria_italica_v2.0:I:41362293:41364349:1 gene:SETIT_018923mg transcript:KQL32098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRARGGRRRSSPPPRRSRRLLRALLLVVLPRAQIRRRREVASRHGVVSDTYYATRIQNYHVLVTEVLEVSKT >KQL32100 pep chromosome:Setaria_italica_v2.0:I:41362328:41363428:1 gene:SETIT_018923mg transcript:KQL32100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRARGGRRRSSPPPRRSRRLLRALLLVVLPRAQIRRRREVASRHGVVSGLWSNLHGLWSFVDAR >KQL32099 pep chromosome:Setaria_italica_v2.0:I:41362293:41365246:1 gene:SETIT_018923mg transcript:KQL32099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRARGGRRRSSPPPRRSRRLLRALLLVVLPRAQIRRRREVASRHGVVSVIDILSI >KQL32101 pep chromosome:Setaria_italica_v2.0:I:41362293:41365246:1 gene:SETIT_018923mg transcript:KQL32101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRARGGRRRSSPPPRRSRRLLRALLLVVLPRAQIRRRREVASRHGVVSDTYYATRIQNYHVLVTEVLE >KQL28649 pep chromosome:Setaria_italica_v2.0:I:7211602:7219427:1 gene:SETIT_0201942mg transcript:KQL28649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPDPSPASELPFRISFSGHGGHLRLDPTPQPSSAIPDFVPPPAYPPGSPSSVKEYLEANYLNPELHLPTAADAGRVWDLDWFALAKPPLEPSAPRTMLAPAWEPPFRRGRGTSQSASEPQVWDPESVQMEMGEVFGSGTGGLAPRMPGPAKDFVRGSINNRPFRPGGLLDDDAEVAALEKAFPEGARNGDWVHELMTGGPAQVAPPGFRKGLELGPLKEYKSHWKCFRNGELVEEQPASSSNDTMEKYSVQFDDLFKIAWEEDAANKALQEDDVQQSAEDEGTEGVGEQKVDALQDASETVTKLDAEKHEVDAISDDPGTQTDLDLMLSAEVQYARRESGVSGDDKPTQDGKVWALVGGDEDIVTNFYKLVPDMAIEFPFELDKFQKEAIYYLEKGESVFVAAHTSAGKTVVAEYAFALATKHCTRAVYTAPIKTISNQKYRDFSGKFDVGLLTGDVSIRPEATCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDAERGVVWEEVPNTVEFADWIGRTKQKKIRVTSTNKRPVPLEHCLFYSGEVYKICERDTFLTQGFREAKDSFKKKNSNKLGVKPGPKSGTPAVRAGTQGKNPDTSNRGRDQKYPKHHNANSGSAVVSQSSSGPKRPDSSFWMPLVNNLLKKSLVPVVIFCFSKNRCDRSADSMFGADLTSSSEKSEIRVFCDKVFSRLKGSDRNLPQVVGIQSLLRRGIGVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEHRKLLPGEYIQMAGRAGRRGLDNIGTVIIMCRDEIPEESDLKNLIVGKPTRLESQFRLTYTMILHLLRVEELK >KQL30951 pep chromosome:Setaria_italica_v2.0:I:34569214:34570884:-1 gene:SETIT_019093mg transcript:KQL30951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAAGGGGKESPAASLLRFVLLLLLPLTVLYIFYTLHAILSSTPACSPDDLVTAKGMATALPASHLSTNNNNLTSSTPSPPSPPPPPAVPPATTLQHVVFGIAASARLWEKRKEYIKIWWRPGGGMRGFVWMDRPVRPSSVPEGLPPIMVSSDTSSFPYTHRRGHRSAIRISRIVSETFRLGLPDARWFVMGDDDTVFLPDNLLAVLSRLDHRQPYYIGSPSESHLQNIYFSYGMAFGGGGFAISRPLAARLERMQDACIRRYPSLYGSDDRIQACMAELGVPLTRHPGFHQYDVYGDLLGLLAAHPVAPLVSLHHLDVVRPLFPDARSRPAAVRRLFEGPVMLDSAGTMQQSICYDEARRWTVSVAWGFVVMVARGAIPAREMETPARTFQNWYRRADYKSHAFNTRPLARNPCEMPALYYLAAARRAVARGGETTVTRYQRWRRRNEKRPACRWKIPDPDALLDTVLVVKKPDPALWDRSPRRNCCRVLSSPGAGENGNKTMTIDVGVCEDWEINQL >KQL29435 pep chromosome:Setaria_italica_v2.0:I:15780601:15780777:1 gene:SETIT_019938mg transcript:KQL29435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDECIVGHNICMKPLIIDQILGYRKLPVHAKTLNQNVIRTCVWYDTFLDHVYQELQNS >KQL31598 pep chromosome:Setaria_italica_v2.0:I:38773089:38776450:1 gene:SETIT_016581mg transcript:KQL31598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPHSSSTSSSPAPHRASPPQIPQSLTLAPPTASSASPSSSSASGMRDAAEDDSDSPPSQMSEDDPGGGGGDRWEPDLRGGNGGGGRWAPPDQVLENVLESVLEFLTAARDRNAASLVCRSWYRAEAQTRRELFIGNCYAVSPRRAVERFGGLRSVVLKGKPRFADFSLVPYGWGAYVSPWVAALGPAYPRLERICLKRMTISDDDLHLVAKSFPLFRELSLVCCDGFSTVGLAAIAKLCRHLRVLDLIEDYVEDEDDELVDWISKFPESNTSLESLVFDCVSVPFNFEALEVLVARSPALRRLRVNHHVSVEQLRRLMARAPQLTHFGTGAFRSEAAPGGGLSVTELATSFAASRSLICLSGFRDVNPEYLPAIYPVCAKLTSLNFSFASLTAEELKPVIRNCINLRTFWVLDTVGDEGLRAVADTCSELRELRVFPLDASEDSEGSVSDVGLQAISEGCRKLESILYFCQRMTNAAVIAMSKNCPDLVVFRLCIMGRHRPDRITGEPMDDGFGAIVMNCKKLTRLSVSGLLTDKAFAYIGKYGKLIKTLSVAFAGNSDMSLQYIFEGCTKLQKLEVRDSPFSDKGLLSGLNYFYNMRFLWMNSCRLTMRGCKDVAQQMQNLVVEVIKDHPDDEGEAEIVDKLYLYRSLAGPRNDAPPFVTLL >KQL31713 pep chromosome:Setaria_italica_v2.0:I:39326360:39332021:-1 gene:SETIT_016557mg transcript:KQL31713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGGEKQHFFPLTSLQIGDLQSYLAELTIFLCPHTKKFLILLDNRPWLLDQDTKPAHLWQLMVTKSRFSPFANSRTRRKRDETEGKLVFSASPISAPHLRNKPSRWYSLIDEAMREKKLQVNKLKDARILNRELHQTLYGFIIFEVDWADVRGINYLNELQTDTSMAVESKIMKRWEFDSVNQASSLITSWFSGNDSECQLLQDYLDSISPNGDVFYDARNDFLTPEWEDSPSDSDDSCHVQIIRESSSFTDPSYTPPPCSGPYKRRKIIKFDDGSSMSEESYSEIVTSPKYSSSSCSSCGSDNESAKTLLEPSTYKDVLICFRFDDHDLPFRLKEVILSDVRLLTLLEYGLPSWVIFLQSYPVFCKIYRPWMCPLARALYVLMSLITVLIGFYDLYKNVPMLKATASRLFGPFFDWIETWEMISRLKYLGTMLFLHNFQQAFTWSLKIVRAVKSALSVLTKPIAGPILEVFEFTLPMWNFCAETVGYLSSVVMVSLETSWSVVLGTMQMIIWPFWFVFSTLVNIVNSVLYPVLWLLGEILAAPFRLVIGLSSFVVDLFVDIISVLRQSWSTLSALYQAGSVPRSHVLTSDNSIWGSLWKDLLYQIFRAIRSILYGFVAFFSTCNRHRLSIYNHIQVFLRRLSHVSTSGRYTAYREGARKYSSQNHPRRKTKTR >KQL27675 pep chromosome:Setaria_italica_v2.0:I:55108:57492:1 gene:SETIT_018185mg transcript:KQL27675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALASPMASLSFRSGRISAAAIGSVARTGRAAPVGSSAFPFLRSSFVSSSSTSSASASPASLSAAVSASLAFTSSSSFAAKTALPPNDLLRSATARQRRHLATRSSSSSPSETSTDDSQSPKQEKAPFGYTRKDVLLIGVGVTAFGVGLKYGLELVGVDPLQAGNVVQLLVVLGMTVGWISTYMFRVANKDMTYAQQLRDYEKQVMEKRLESLSEAELQALLEQVEEEKQRLPQNPEEPNAVTFKKK >KQL28064 pep chromosome:Setaria_italica_v2.0:I:3071227:3075104:1 gene:SETIT_019650mg transcript:KQL28064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEGEVAASTPQPSATTASGSNPKRQRGKRTQNHIPEKGILFIEFLGSKGEPILPEVIAARFRNICGAIVRDKMETWTQLDVLWAILKEKFTFPEGQEESARKFAEGLLGRCFMNCRSTLNTKYVKKGKNARDDIDRHHLGAGGYAAKIAKWRREEEERRIAGLSDLFEGLDEHSRNWVLARIPTFTPDGKVTFKRPNTDKIYHLQNDQASYRKRDRYKKDLKEKMREIAKQEFLTSQQLQTMTNPIVSDAQRQAEPTLQLAHTGFVAPSSAGSIANVRYPVDDIQVDTPCRLVTTGMEVTDHVFPKELLPEYAWVQVVTVLDESCELDIPIDEGIDVLVPENYEHGKPFLYRWDQLEGPWELNKLHGWIMTAMKQGVRAITTCVPKKIVIDFEDLHRLYRHQYLDMNLITDAMKRGRIDERQVQVPQATSRTALCGYYVCEFLRNNGRYRTNPEDMPRISNHQLTLLEGQINNICTDMARFIQHQICHEDGEFFDPNGVLMADECNGLRRWTK >KQL28443 pep chromosome:Setaria_italica_v2.0:I:5915541:5918446:1 gene:SETIT_018128mg transcript:KQL28443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALGAEQLSLAAVRDALVRLEDSVVFALLERARHPHNAPAYAPAGGGGGGGRSLVEFFVREAEALNAKAGHYQKPEDVPFFPQDLPSPLFPTKSSPKVLHPLASLVTVNDAIWKMYFDELLPLFTVDGDDGSYAETVALDFACLQVLSRRVHIGKYVAEVKFKDAPHDYSPLIQAKDSNSLMDLLTFKAVEEKVKKRVEKKARTFGQNVTLEDNAGKQEVTAGDSECKVDPKVLSKLYDQWVMPLTKDVEVEYLLRRLD >KQL31390 pep chromosome:Setaria_italica_v2.0:I:37234893:37236451:-1 gene:SETIT_019861mg transcript:KQL31390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREQQGSGEVAGSRGGAIRSLLGVERRLAEGDAEGAVGVSSGKQAAGAGAGGGEEERKAVVRVVAADMPAALQRRAFRCARDELAAMPRYPRRLEPKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHARGGFLYFSVDKVYILLFRTAVEPSPH >KQL27821 pep chromosome:Setaria_italica_v2.0:I:879611:883833:-1 gene:SETIT_016103mg transcript:KQL27821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METALGAANWLLSKVLNKLSDDLVAGYLASRELGLNFDKIKTELKYTLGLLHGAQGRDFSHNPGLQGLLEDLSKKADEAEDALDELHYFMIQDELHGTREATPDLGDGLGAQALHARHAARNTAGNWLSCFSVCCRSQDAAAAGTGNTSKAVDSFNHIDSGYADKLIFDRVAMSNKIKQLIEDIHSLCPRISKLLEINNSSKIPPKSMERPAIGSTIRQEEFYGRSTIFKQTVDRMTSGTCSDETLSILPIVGPGGIGKTTFTQHLYNNERTEKHFAVRVWVCVSTNFDVLKLTKEIRSCIPAGENECETDNLDQLQKSIAKRLKSKRFLIVLDDIWQCSEDRWVNFLASFTMKEAEKGSMVIVTTRFPYIAEMVKTTTPVNLEGLEPAEFWLFFQACVFGEVIAEHDNKEELIDIARQIANKLKCSPLAAKTVGRLLKKRFSREHWMGILQKKEWLNQTHNDDIMPALKISYDYLPFHLQKCFSYCGLFPEDYKFDSLEISRFWISIGIIDSCGQNDKIEDIGSKYLDELLDNGFLMKGDQNYYVMHDLMHELAQIVSSKECAYISCSSFRADDVQPSIRHLSILMSNDYNETFGEEMDKLKRRVDIGNLRSLMIFGGYRRARLVNILKETFKEIKGLRVLFIFMNSTNSLPPSFSKLIHLRHLKLESPYYNSQLCCPRIVSRFYHLKFLDLQNWRGMCDLPKDISRLVNLCHFGAGEEFHTNIPEVGKMRLLQELKGFHVKKESAGFELRELGQLVQLGGELSIRGLENVRSSKEAAEARLMAKRDLIKLGLFWSRGHQSKVDDILTNLQPHSNLRALCIVNHGGPAGPSWLCSNIHMKYLETLHLEGVSWSTLPPFGQIYHLRKLKLKNIVGICQFGPDFIGGITEKSFTHLKEVQLHDMPELVEWIGGGNTHLFSRLERIRCSNCPKLTALPFSRCFSSSTQDNTIWFPNLCNLSTFECPKLSLPPLPHTRMLSSFRTDSLCYVERDLYIYKMPSELAFHNVGEVERLIIPDASLISFTDLQKLHPLRSIQVRSCEDTFLRGLDDDIVLYSVQSLHLSHFIVTRKSLSNLFKCFPALSDLSVGASSEHHDEVVVLQVPPSSSLRKIWLYGCKNLILPMEDGGGFQSLLSLKSVSIDDCNMLLSRWSTGEAAQGISPFPPHVEELCLRDEPSTLSMALFSNLTSLTKLGLRDCKNFTMDGFNPRITSTLESLEVFNSRDDETDPYSIAADLLAEVARTKTMPAGSFQLVTLEVDSISAVLVAPICTRISATLRELGFLNDWRVESFTEEQEQALQLLTSLQRLQFLGCRVLLSIPRGLHWLSSLKTLYIHRSPRIRSLPKKGLPDSLQELFIFQCSAELYEECQKLRGTRPDVDVDVAYVISS >KQL28387 pep chromosome:Setaria_italica_v2.0:I:5512224:5514085:1 gene:SETIT_018616mg transcript:KQL28387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKWAQKTVVIPAQRRGCHLITPKILREIEGDLSGFKCGLAHFFLQHTSASLTINENYDSDVQADTETFLNRIVPEGHNAPWKHTMEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDHASPRKIVITLNGV >KQL30410 pep chromosome:Setaria_italica_v2.0:I:30386076:30387327:1 gene:SETIT_018242mg transcript:KQL30410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLRSEGLRELEEYLKEHTFDSVEDAFEYLWNNQKDALAAMSQQSSLDNYEFGSESKVAPEQEEAGSVPASEQAPSVPEQGRCSEASYEEIAQNGKKWMREEVMVAFNKYIEDKDDFEDIQYEFDELQHQCFSVENYHKIFHHFNFTVKMKVNGSADWTSALFFAEVKEIFRQKIYFCTPLELYENGHCDACKKQGMDDLRHPIIGVYDRGNPDTEFPFMYGCDADGFLYDSE >KQL30210 pep chromosome:Setaria_italica_v2.0:I:28901974:28904949:1 gene:SETIT_016853mg transcript:KQL30210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKVELHLANNELEQTRKTLAEARVNGLPTSKEADSNKDTSPRSEIHQQGQGGNILLKNKKNADDCDEACLVPISAENGAVEKLDINRCSPDLPSFMERNKKPNFYHNGCTQRIHALKQRTKGTGASLKQNPKQATALNSCSKTRKNNAAKNPCHTRSIMEDILQTKFLGKYKGKRGRRSRPSGKHDNSSEHGEAEDKLSDTSDGNGCLLLIQALEQELSPPQMSAGQGVDALTDMKEDLKISRRDAGLNQGTAFPELLDVLAVNNMQVKKRKRTKTIRVLEDELSDSKSVPGSANTLLRTTSEKSVSDNELISEVAENRSDTSAKNNGPPLKCTTENFMHQTSVDNGQFEPENSSTVLLQSTKSEPIDYGNLVVDQLEQRTPNTNTASCKEVLEDGSCTLASHKADASTVSSLDKEENSKASSGLPVQALEKPDASIAISLNKEEHAKTASGASMQAEGARHIKYTFNRRKRKCVSIYSTPQRAVPEKSSDLGSPPKKQNPHPNPVMQEHPVGSPQRDNHLVHIAQQLILLSEHK >KQL30664 pep chromosome:Setaria_italica_v2.0:I:32489686:32491032:1 gene:SETIT_020205mg transcript:KQL30664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLSHVVSDLCIGRPKVLTLLPSTPVAAALAALRAGADPFVFVDAEPAAHRLKRGAAAAYVKVGVADILCYVCGDAGNLRDPAAALGRPVSAVAAGYGVSHRVDPQTRLLDAIDVLLTDGCQSLLVPLHARGRKRHHQVPSDAVATADCCVLTREDIVRHLFGSISHFSPVAVLTVASLGLVRRDVHAVHVADDGLDAIPLLRRAVSDGTAVAVVADDNALVGEICPSVLASCDVESVSAAFAALSVGDVMTYIDCSLSHTPPEFLLRAVRAQLKDRGLDAIAELMDTAEAASLPLSPSSSSTSSDEDSPSGRGRRPRRMSSGSLGWRSTEDVMACHSGSSLVAVMAQALAHRVGYVWVVDETSSALVGVVRFADVLAVLLEHLRPQSQLLCR >KQL29844 pep chromosome:Setaria_italica_v2.0:I:25446667:25451911:1 gene:SETIT_019918mg transcript:KQL29844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGHFDCGKARAHYVLMKLASDANWKHYKDGVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETECDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHLQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVVLVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCTVHKEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLAEPFGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRYKVGRRMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEPWHRDDALPMFYHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSEIVFSPTCYRDRELWRCTSPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWQNKEGCDPEGGSYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQPERAPLHDYMGQQLARLSNEAGVIMEHAVGEGDSLLRAFAERMNCMTSSDAHDGANVQGTSSGSRRTALVTTPRAATPSTAVGPSRRSRGKEPASPQESEDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSQ >KQL28840 pep chromosome:Setaria_italica_v2.0:I:8419167:8419894:1 gene:SETIT_018268mg transcript:KQL28840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGGATSGVWDGVVPPECAPSPRILRLSPALRWEEAHEPLHADIDVGNVLGVGTGMPFAHAVLAAGKGAEATVGLVPCAQGGTPLANWTRGTELYERMVTRARAAMAGCNGGGELAAILWYQGETDAMKREDAELYQGRMEALVRDVRRDLGRPDLLVIQVGIATAQYNGKFLGRVREAQKAVTATVPNVKYVDAMGLPIASDKTHLTTEAQVQLGNMLAKSYLETL >KQL29372 pep chromosome:Setaria_italica_v2.0:I:14544818:14545547:-1 gene:SETIT_019447mg transcript:KQL29372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFKAAAMSLVVVVILAISAVVSAEAAAVVDAPSPAPPVPLNLTEILTKDTQYNAIIRLLKDTEVTSQVTSLIGSDRNADGLDCAGPDRRGVCGAPAQSQLVLFHILPWYYSLVSFQTTTNPLRTQASGQHGVCTVNVTSGGERQVNVSTLGKPLYSVYPGWPCTPSTSAVKDGAEAPAPAVGKRQEQAPSSTVADQAPADEKADDEAAGTATKRTRC >KQL29065 pep chromosome:Setaria_italica_v2.0:I:10071880:10074790:1 gene:SETIT_019867mg transcript:KQL29065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDFIICYWREEEDDDMMMFLFPALYLMSFAREGGVKKKRYTLAEIGEVKVRRLLEGHVKNCQVTFRMEPHIFKEVATYLRRKILFVDTRITMEEKLGFSLYILSRNASYEDLAVIPTLSRHFLQSPDPNQVHSKIQNNPRYYPFFKNCLGTIDGTHIPSISYTKAHIQDKEGQLKRDYKMLKVARMQSGSKWNEQRNMVEGSPAMWENLVVTFPKIKKFQNNKASFPLYDALGELYDGHLVEGTYNFTSIESQCEEETLLQIDDVEDGAQAEEEALQEIHEACDENDEEKDARDEEEEARNCKIEAMMERFIEMRTKQAEDETKQLARENKVREKKSSDKEAAKGDKFSIKRCILVINTMEVTKQENVKAYAIFTKSKENEETFICASEEDQESALIWLRNEMVWQK >KQL30921 pep chromosome:Setaria_italica_v2.0:I:34401905:34402861:-1 gene:SETIT_019727mg transcript:KQL30921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGAQRDKWQIAPNYQKGLEFEKHLASFCRPICADRGIWQVSMSEFTFIVDLNSRTCGCRKWNVAGIPCCHAVSAIQAFNHKPEEYVDDLFKKEAYLLAYAGQIIPVPDKTQWLQTPFPDVGPPRFNVQPGRPKKKRRKARGERHVPSRSSKRVAIRCSRCKGFGHNEGTKTKGSQEKRGRKPKTDATSSSQQQSQHVTPITAPQKGSKPRHDANSGSQQQPQMMSTSQPEVQLYSQPPPDPIPTNNWYGYGMLHQVDGGPTVDRIRASRERFLRKKK >KQL30251 pep chromosome:Setaria_italica_v2.0:I:29406551:29406906:-1 gene:SETIT_019323mg transcript:KQL30251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYFIRGRFSIGNSRCNIHVHNRFWDGRIEMISINSHRTNNHFNTWGMTIHNRPHIFLPLTCTPNWDHLPLNVERGT >KQL30642 pep chromosome:Setaria_italica_v2.0:I:32348536:32349209:1 gene:SETIT_018419mg transcript:KQL30642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIATSRLVLALAGVLLVAMAGRCSGDDHGHRRELADGCQQSGTLRPDKGHSCDECCKAGKSYPTYRCSPPVVSGSTKAIMTLNDFDAGGDGGDPSKCDEKFHKNTERVVALSTGWYAGGKRCGKNVRINAKGKSVLAKVVDECDSLHGCDSEHAFQPPCRPNVVDASQAVWDALGITGDEVGEYGITWSDA >KQL31170 pep chromosome:Setaria_italica_v2.0:I:36048390:36051951:-1 gene:SETIT_016835mg transcript:KQL31170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSRHHRQWLVRYIVKHTRLLHAIDRSRRQGNERGSPGEAIACVPPKHAARIGNARARWPVARTMKRPGIRAPGHGRTENSQLRPVSSASRRSGPSIRAGTNPKAPPKPWGLLRPRANSSPLGGAASLMDWATRFRIRNPSPAAASHFAGERRRPGGRASFRPMSSAAPVEEPAASEAKRRPSGESFIRRHLRTLAPYQPILPFEVLSARLGRRPEDIIKLDANENPYGPPPEVATALGSLKFPYVYPDPESRHLRAALAEDCGIESEYILAGCGADELIDLIMRCVLEPGDKIIDCPPTFTMYEFDASVNGALVIKVPRLPDFSLDVDRIVEVVKQDNPKCIFLTSPNNPDGSVINNEDLLKILDLPILVVLDEAYIEFSSLQTRMSWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVSACAALQNPTYLENVKNLLLQERERLFNLLKGIPFLKPFPSHSNFILCEVTSGKDAKKIKEDLAKMGVMIRHYDKKELKGYIRISVGKPEHTDALMKGLNALQL >KQL31573 pep chromosome:Setaria_italica_v2.0:I:38500397:38502485:1 gene:SETIT_017045mg transcript:KQL31573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGGAAQSKPHAVCLPYPAQGHITPMLNVAKLLHARGFDVTFVNTEYNQARLVRTRGAAAVAGVPGFRFATIPDGLPPSEDDDVTQDIPSLCKSTTETCLGPFRRLLAALNDPATGHPPVTCVVSDVVMGFSIDAAKELGLPYVQLWTASTISFLGYQHYRLLMTRGLAPLKDVEQLTNGFLDTPVEDVPGLRNMRFRDFPSFIRTTDPDEFMVHYVLKETGRSAGASAVIFNTFDELEGEAVAAMESLGLARKVYTLGPLPLLAREDPPTPRSSISLSLWKEQEECLRWLDGRDPGAVVYVNFGSITVMTNEQLVEFAWGLANSGRQFLWIIRRDLVKGDSAVLPPEFLAATADRGLMASWCPQQEVLNHPAVGAFLTHSGWNSTLETICGGVPVISWPFFADQQTNCRYQCSEWGVGMEIDSNVRRDAVASLITELMEGEQGKEMRRKAREWRDKAIEAAKPGGASQRNFDDLVRDVLLPKN >KQL28257 pep chromosome:Setaria_italica_v2.0:I:4602333:4602955:1 gene:SETIT_020310mg transcript:KQL28257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGMVFEPHAGAAVGGGDVVFCVAIALLSLLSMIIFAAAAGGEGKPRRSGRASGPVFVGGKGCGCGGCRAGAGVCGTYLS >KQL30560 pep chromosome:Setaria_italica_v2.0:I:31699769:31701253:1 gene:SETIT_019777mg transcript:KQL30560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGILNARPSSWLAAVAAAAAKPASFPSAHVVLLTSGHLSSRASVNSLLRAAPFPSACALLLRLLHLHRLLPDHISLSFSLHSCTRVPSHQLTSLVHSLAVRLGHCRDVYVVNAAVSSYFTASDVASADRLFAEISRSKDVTDVVTWTTMVTGHANAGNLRRARWFFEAMPERNVVSWNAMLGAYARAGRLSEARKLFDAMPDRNAATWSSMINGLVHSGHCEEALRVFGDIVGSCVVPNEAALVSAVSACTQLRSAEHGAWVHAYAERKLHGAMSITLATAIVDMYGKCGSIHNAVRVFAAMPVRNIYSWNSMIAGLAMNGGERQALSLLWKMQMAGIRPNDITFIGLLSACSHSGLVSEGRRVFDSMVEDFKIQPVPEHYGIMVDLLGRSGRVREAVYFVKSMPVEPHPGLWGALASACKMHGEVELGEEVAKKLIELEPRHGSRYILLSNLYGSANRWDDMATVRELLKRRKVPKGTGNTVVGNDIQQTE >KQL30577 pep chromosome:Setaria_italica_v2.0:I:31882633:31883095:1 gene:SETIT_018992mg transcript:KQL30577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLLIGPGGMISSGISRLCNTICQRKKKRSYREIIQRIFQHRSAAIYEATSAYKVED >KQL32238 pep chromosome:Setaria_italica_v2.0:I:41899625:41901813:-1 gene:SETIT_018063mg transcript:KQL32238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPDFSPSQFTSSQNAAADSTTPSKMRGASGTMPLTVKQIADAQQSGTGDKGAPFVVDGVETANIRLVGMVNGKAERTTDVSFTLDDGTGRLDFIRWVNDASDSSETAAIQNGMYVSVIGSLKGLQERKRATAFSIRPITDFNEVTLHFIQCVRMHIENTKSKVGSPARTNSSMGLSVSNGFSESSTPTSLKSNPAPVTGGANGNDTDLNTQVLNIFREPANIESEHGVHIHEIVKRLKLPEEKIREAIVYNEDCGHIYSTIDDFHFKSALTD >KQL28025 pep chromosome:Setaria_italica_v2.0:I:2727373:2728083:-1 gene:SETIT_019145mg transcript:KQL28025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCVTRACALAIATAACVCLPGALVYAIVRVAAARRFGATFALAIVLVFWFTVSAAYYPRVCADLIPWSALLRRLRRQRAPRPRHHPPGGGAGAVVAASSAMALPLPAVAERQGGHGGTTTLPQNPPLVPPPPLPCVVTNGTLASYGRRQRDDGALLPLFVVRRQGYGGMDALPREPPAARAADDGEAPCKRCAVCLCDVEKVETAAWLPVCLHMFHRHCIDQWLHQHGHSTCPIC >KQL30204 pep chromosome:Setaria_italica_v2.0:I:28872065:28876447:-1 gene:SETIT_016898mg transcript:KQL30204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTSSSCDVSGNPSPPAAGVAGAASAGAAAWPRRQCRDVFWLVVFLLHLLVFGAALALFGLNRFRQADRFNIGRYANLTAEPWGSTPAAGSPDPAPAPPPPSVYRSEDPSVPASELTETYWKFYGAAGGVGAALAWAWLAAAAWRKDGGKVVMRTAVHCLTAYLAVVSVLCFWGKHFFWGVALAVGAFLHFLYVMSVLDRFPFTMLVLQKAVRMVWELPDVMRISYAFVVVMLCWMALWSFGISGIVAFGIPNGGQWWLLLIFSVSLFWTGAVLSNTLHVTVSGMVFLVLIHGGPAAATMPPKPLLKSLQYAVTTSFGSICYGSLFTAAIRTLRWEIRGIRSKIGSNECLLCCIDFLFHIVETLVRFFNKYAYVQIAVNGESFNRSARDAWELFQSTGMEALVAYDCSGAILLMSTILGGLVTGTCTGVWTYFKQSDKAVMVGSTSMLMGMILVGLTVVVVESAVTSIYICYAEDPLLIQRWDPEFFELLSEALHKRLQYRSARARQILNGRLDHLPHTSSI >KQL27745 pep chromosome:Setaria_italica_v2.0:I:352587:356810:1 gene:SETIT_017635mg transcript:KQL27745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVGDARGLEAEAAAAAEAARELREAAAALVATRAAEEEALRRRAVALDADVRRLQGSLPALDPSAVDKVEEELERARVAIADSDVASFLPSKRNGKFLKMFLGPVNVRVARKEDKLKIKDEYNNYRDRTAYKFLLFPSILLLLRWWIWDGCLPAWAVQVYQAWLLFLYASFALRENVLIANGSDIRPWWIYHHYLAMVMALISLTWEIKGQPDCSSKQRGVQLFLRWAIMQGIAMHLQNRYQRQRLRTRIALGKAKRMDVVAGETAGVEGQLLLLYPVLFILQGFEAYVGVLLLQTALHGLASEWQVVVCGILLVVMAVGNFVNTVETLVLKLRFKAKMKRAKSRQDRPHQN >KQL29276 pep chromosome:Setaria_italica_v2.0:I:12636299:12637364:-1 gene:SETIT_018109mg transcript:KQL29276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAKTTQHHFVLIHGVCHGAWCWYRVATALEAVGHRVTALDMAGCGASPGRGEEVASFEVYSRPLLEVVAVLPEGEKAVLVAHSFGGQSLALAMETYPEKVAVAVFVTATMPAAGKPMTYAFKQLSQGKGPDFFMDCTLGTTGDPQNPDKTFLFGPKYMARRVYQLSPPEDLTLAIAMVRPSRRFLNDETMTGNVLTAERYGAVRRVYVVAEDDEWKPAEMQRLMASWNPGTEVMGLQGADHMPMFSKPRELSELLMEIANK >KQL31109 pep chromosome:Setaria_italica_v2.0:I:35701315:35705231:-1 gene:SETIT_019032mg transcript:KQL31109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVTCCLRFPAVPCPHWPELTSWDSKKAVNRLYMAQWMVVLFVEEHSLI >KQL28135 pep chromosome:Setaria_italica_v2.0:I:3694952:3698532:-1 gene:SETIT_016253mg transcript:KQL28135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQELGNLPDLETLQLSSNQFSGMIPDSLGNLSALTFLSIATNNIEGSIPPLQGLSSIEHLILARNHLEGTIPSWLGNLTSLQIIDLQHNGLVGQISESVGNLELLTILSLSENNLSGSMPHTLGKLHALTGLYLMTNQIGGSLPPSLFNLSSLQVLNIQQNNFTGGFPSNTGNKLSKLTKFLVSDNQFHGVLPSSLCNASMLQMIQTVKNFLHGTIPQCLGAQQKNLSVVRIVGNQFEATNDADWGFLTSLTNCSNMLELGLSYNKLQGVLPNSIGNLSTRLEYLAIGNNNLTGAIPEGIGNLINLNYLSMGNNIFKGAIPLSFGNLKKLDRLYLLNNALSGPIPVTLGNLTKLTRLRLSTNMISEVIPSSLSNCPLEAIDLSHNNLSGLLPRELLSMSTLSAMMDLSHNSLSGTLPSELGNLKNLGDLDFSNNRISGEIPASIGECRSLEYLNTSGNLLQGSIPLSLGNLRGLLVLDLSYNNLSGTIPEILSSLPGLSSLNLSFNKFQGAVPQDGVFLNATATLIAGNDGLCGGNPQLKLPPCSNHSTKKPLQKLAIIVAICSGCVSVALVFALSSLYRKNKKIKANPQSSVIGEQHMRVSYAELAHATNGFSSENLIGAGSFGSVYKGRMRGNEQHALVAVKVINLMQRGASQSFIAECKTLRCARHRNLVKILTVCSSTDFQGRDFMAIVYEFLPNGNLDQRLHQHITEDGEQKALDLIERLHIAIDVASSLDYLHQHKPTPIIHCDLKPSNVLLDTDMVAHVGDFGLARFLYQDMEKSSGWASMRGSIGYAAPEYGLGNEVSTQGDVYSYGILLLEMFTGKRPTDNDFGEAIGLRSYVQMSLPHSTAIIIDHQLLTEMEGGEASNFNSSIISEMIMACITSVLQVGIRCSEETPTDRPPIGDALRELQAVRDKLHSHR >KQL30432 pep chromosome:Setaria_italica_v2.0:I:30551958:30552607:1 gene:SETIT_019877mg transcript:KQL30432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPITLLMDSFSLQLKNLVRRQNIAVSRFGPPKRDPRADPHHALARRAAGSLAISPDGSISAELSHPSRPRPLVLLLSILPLHALHLQIPNVLLAPPGRRAAWPDGARRRSSAGSPRGGGTAGTKRVRDHARLLEQGCAGRPLCASLPPAASA >KQL28134 pep chromosome:Setaria_italica_v2.0:I:3676054:3680084:-1 gene:SETIT_016140mg transcript:KQL28134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSIRSLLLAIVILQPFAGALTPASMAGPATATATTNAAVVSTDHLALMAFKSLIKSDPSQALASWGNRSVPICQWHGVACGVLGHRRGHVVALDLAELNLLGTISPSIGNLSYLRHLSLRRNRLHGVLPPELGHLQELKHLSLSYNFIEGQIPVSLSNCSRMKNMLLYSNKFRGQIPGELGSLHNLEVLAVGINRLTGSIPSSIWTLLNLQMLIVEYNNLTGEISPEIGNLANLTVLGFGSNQFSGPIPASIGNLSELNFFSFSTNNLTGSIPPLEGLSSLTVFELDRNNLKGRIPAWLGNLSSLVTLNLDRNSLEGNIPEALGNLGMLTVLSLSTNNLQGTIPHSIGNLHSLQNLHIDYNNELEGPLPPSIFNMSSLEVLDLQGNRLNGSFPPDLGNTLPALQLFLASENQFHGSIPPSLCNASMIQWIQTVDNLLSGTIPDCLGVNQKNLSVLTFAENQLETRNDRDWGFMFSLTNCSSLQLLDVGDNRLRGELPNSVGNLSKSMWYFGVNFNSITGNIPEGIGNLVGLNFINLGNNLFDGPIPDSLGKLKKLNRLYLSINNLSGSIPSSISNLQMLNLLSLGGNALGGEIPPSLSSCPLQVLDLSYNSLTGSIPKELFFISTMSDSLHLEHNFLSGSLPSDVGNLKNLRLLDLSDNRFSGEIPSSLGECHSLQHLNTSGNFIQGKIPPSLQQLRGLQVLDLSHNNLSGSIPTFLESMSGLVSLNLSFNNLEGDVPKNGIFSNASAVSIVGNDGLCNGIPQLKLPPCLSHSTNKKKPTWELAITISTCSVILFIILVTTVLVHHYHTKKEKSKAQIPLISEPHMRISYAELASATNSFASENLIGAGSFGSVYKGSMTSNGQQLLVAVKVLNLTQRGASQSFFAECETLRCIRHRNLVKILTVCSSIDFHGGNFKALVYEFLPNGNLDRWVHQHPIEDGEHKATDISLRAQIAIDVASALEYLHQSKPLPIIHCDLKPSNVLLDSGMVAHVGDFGLARFLHQDADKSSGWASMRGTIGYVAPEYGLGNEASTHGDVYSYGILLLELFTGKRPTDSEFGEGLGLHKYVEMALPDRVATVVDKHLLQEIKDGEGSASNSTRVADMKISCITSILQVGVQCSEEIPTDRMEITDAVKELQGIRDRLQKHLCREETQLS >KQL29310 pep chromosome:Setaria_italica_v2.0:I:13107906:13109123:1 gene:SETIT_018316mg transcript:KQL29310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHLQTSMPQRCCTVVESTSRRPGQIQVSRPSCRGAADALAAAHKPFATKFPTPSLPCPRSIHARVSSRLSPPAMPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVTRMLGNGRCEALCIDGTKRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGEIPDNVRLNEGVVDEEDTGAQDDYIQFEDEDIDKI >KQL29320 pep chromosome:Setaria_italica_v2.0:I:13611392:13612258:1 gene:SETIT_019922mg transcript:KQL29320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVRFFDGGVVKQNGELENTNESIEFFEDPPSFSDLVDRVMRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLVVIVKIVRMPGPNVVMREEVAVVNHNGTQESEMLHHVLGEIERDFDLAIANDDFSNNIFERDEANIDVDNVSMGSEDCELEEDGVVGVEVEEESLFESGTCTSYPDATPAASFPGLHRRWQRQCAAHASKEGVSPKRSF >KQL29607 pep chromosome:Setaria_italica_v2.0:I:21996248:22000923:1 gene:SETIT_020486mg transcript:KQL29607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGERRTVFVTVGTTCFDALVMAVDSPEVKKALLQKGYTDLLIQMGRGTYVPSKVSGDATLQVDHFTFSPSIADNMRTASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLFCARPQTLGETIRAMDLGTLVPYVPGDAKPVVTLINKFLGFPVD >KQL29606 pep chromosome:Setaria_italica_v2.0:I:21998046:22000242:1 gene:SETIT_020486mg transcript:KQL29606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGERRTVFVTVGTTCFDALVMAVDSPEVKKALLQKGYTDLLIQMGRGTYVPSKVSGDATLQVDHFTFSPSIADNMRTASLVISHAGTYGVFLWWQIRKGFRKHI >KQL29552 pep chromosome:Setaria_italica_v2.0:I:21139865:21140466:-1 gene:SETIT_018761mg transcript:KQL29552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKDGLVRRVEHPGQEDEGSLSGGGGRRKVLVHVPSGEVVTSYEVLERRLRELGWERYVNDPCLLLFHQRSTVHLISVPRDFARFRLVHMYDIVVKTRNVFEVRDAA >KQL30161 pep chromosome:Setaria_italica_v2.0:I:28513391:28515816:1 gene:SETIT_020599mg transcript:KQL30161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNRSFSFLLSQLALENHQERGGETKEQKERSQEEAMSSSSTHPREHQERERKGGEGWRGSWRDELTRRIEATAAATCLCS >KQL28039 pep chromosome:Setaria_italica_v2.0:I:2856268:2856819:1 gene:SETIT_020279mg transcript:KQL28039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSCFLKIKYCRAPFKKFRCLVKYSVTDSSLPCSI >KQL28563 pep chromosome:Setaria_italica_v2.0:I:6728231:6728614:-1 gene:SETIT_020168mg transcript:KQL28563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSSMLPLISSIRGMSVWNNVMAKMKLMAKKNDKSDYLILIDACIEFRWYRIMRDKKLKTRIDVPFGAVEMEYMFIKHGIEAIVEVDIPKELVGDHVLLVARSRGFQDELTIHDAVVEKTIERFQL >KQL29130 pep chromosome:Setaria_italica_v2.0:I:10525891:10527114:1 gene:SETIT_019400mg transcript:KQL29130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPDRSFSRLLGSDPINPRPENTSFQYIPNFTPNHISNSFNHSQFPPTSFNHAHYPQNCPPTHGVQNFNPFGPPGNFQQYAQYPPKYLGFQQQAQFVHSGGIFGSPAGASSHGSNSTTPQSQRREVEQAEEVNDSNGSSLDEGRRGVRINYTEEENLQLASAWLKHSVDPGNGNDKTGESYWRSVAEEFNSNKLLLPSLMDFCSGESYDMLMEKARIMFRRENKQRPFTLEYNKRTKVDGFGAYTSSSNQDTNDRERHKEKHREGQKKTKAWMRGKGKEKSRSTGKKTVEAAAAKVKFDKMSKYLEYADKDTSNYSAARLKMHNQILAELSKDLYPPSDD >KQL29313 pep chromosome:Setaria_italica_v2.0:I:13245400:13246323:-1 gene:SETIT_019043mg transcript:KQL29313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALASHKRPAPEEASPVEAGKNKRPRYQLEDIDDYEMLEEIGEGVFGVVAKARDRRTREIVAVKWFRGDGEDRATVMLPAVMIEAGCLAACRGHPGVVQLRSVATDEATGDLYIVMELVAGPSLRSHLTTRRPFSEDETRDSMRQLLRVAEKLHAKGMIHRNINPDNILVGPDGALKVCGFGCTTPEKCVWKLCPEKPAWTLQYCAPEQLIGFGCYGPKADVWALGCVMAELLTGEPLLTATTEDEMIEQMEDVHDEFDNMGVEEAFEEIDLSLAGREVLAGLLAFDSDERLTAADALKHRWFTE >KQL28379 pep chromosome:Setaria_italica_v2.0:I:5488174:5488729:1 gene:SETIT_0206501mg transcript:KQL28379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WSHGGGRDPTNCRPSSSTGSSRWPRSTSSWPTRSTSPSAASTASSPPMRSAATGCSSLRRCHAHRRKVRRDQSASCGGLLLHHRQHLHQAGARQDVERHTQAAPVRVVH >KQL29144 pep chromosome:Setaria_italica_v2.0:I:10695908:10698955:1 gene:SETIT_018253mg transcript:KQL29144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHNHTTGSCRGRRAEAGGAMATTLSLSSPLFLAAPARARDVALCGVPSASTSWSTANALSKGYMVRVHERGKQRRMAIVSVIGRKSTTRETVVPDPDYRLPIAILGIAGAFAYADNLLAAAPVGLLGLLLLFQTTRVRFVFDNEALEVKVGDQLQESGENVFVGGKNRWKYSTFINWELWWPQFPILVYFKESQTKPEGQIHFFPVIFNGRQLYDVMVERAGPSKTSGPK >KQL30751 pep chromosome:Setaria_italica_v2.0:I:33139821:33140817:-1 gene:SETIT_018392mg transcript:KQL30751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLVIAGLVLLAVAAAPAHATDYVVGGSSGWTSGVDYTTWAKGKTFSVGDNLVFQYSAMHTVAEVSSADYSACSASNSLQSYSDQNTKIALTAPGTRYFICGTPGHCSGGMKVAVTVAAADATAPAASSPPATTPAAPGSDDDTPPETTTTPSTPTTAPTTRATPSSTGGASAGEAPLAMGVLACAAGLVGLALMA >KQL28831 pep chromosome:Setaria_italica_v2.0:I:8363012:8367584:1 gene:SETIT_016876mg transcript:KQL28831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTKTTPRPRGGKARPRAAAAASAVKAKPAAAAEGISPSGELSLQLEHVSLFSFLSDRCPTAAASGLTPFEALLEEEEDGYRADPAAPPPLPQPQPPAPLPQEASPMDADEPMEEKDCCILSQDFFCTPDYITPEMPQVANEFDDDKENIPCPKSPEKSANPRSKRYRTDCSSKGLESTEFSFDRQITPVHFDSLIQDDSEEEKLMQPALHKRGGYVSQSAMALRCRVMPPPCVKNPYLNTDPCIDDAVYGVRQCNSAGFSPSIGGNGLSRYRTDFHEIEKIGHGNFSVVFKVLNRIDGCLYAVKRSIKQLHNDMERRQAVKEVQAMAALGSHENIVRYFTSWFENEQLYIQMELCDRCLSVNRNQPLKHGEALELLYQICEGLDFIHECGIAHLDVKPDNIYVSNGIYKLGDFGCATLINRSLAIEDGDSRYMPPEMLNDKYEHLDKVDIFSLGAAIYELIRGTPLPESGPHFTSIREGKIPLLPGCPMQFQNLIKSMMDPDPLRRPSAKEILRHPTFEKLHKVPAKK >KQL31667 pep chromosome:Setaria_italica_v2.0:I:39101013:39102232:1 gene:SETIT_017866mg transcript:KQL31667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTAPAHYGGARHRTCRMYWCYQCGRALRIISYPSTDVFCPRCFGRFLHEIDAPPRPAFPPPPPHFLPNPFHPQHQYDGRPRRWVIYGGEPTTTVPGRAFRQPAPAPPRRRVPSPPPPPPVPRRPSTPPAIDPGNYFTGPNLNNLIEEITQNDRPGPAPAPSSAIDSLPTVRITAAHLSDGPQCPVCKEDFELGEAARQLPCKHAYHSDCIVPWLRLHNSCPVCRYQLPGAGGGSNGGGQAAPRGGNRNREQRDREPPTMVRWGPFSWLWPPRGLDDPDDDAWEHGRRRRQHDVADAGAFYAWWRSLFLI >KQL28516 pep chromosome:Setaria_italica_v2.0:I:6387149:6390878:-1 gene:SETIT_017462mg transcript:KQL28516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAENLAASEALAPGPARRRGSKRAAAECRRRWEALAAEYGAVRRWEVRTGGRYWEMGAAARRKAGLPVEFDAEVYGAMDALIRVEEALLADAAGGGGGAEEVEGLVGGGASVEVGEQDGVHVREVGEAEDGVQENETVEEANGEEEDEEEEVEEEEEEDEKQEEMQVDGSNADASNDLEGHEVGTNSEPEKSQNNAWELANKLQENAQHIHTILKEEADEDAGQNHALAGSVSPDAMKTTRQKADELIKSLGGLVSYLNQFTELVKENGFENIVGMT >KQL28936 pep chromosome:Setaria_italica_v2.0:I:9028003:9030325:1 gene:SETIT_018107mg transcript:KQL28936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRKCGARVPRAVAVLAGLLERAAERGDAEGAPPASLSAFRGKALPAIPVRRYAERIYRYAGCSPACFVVSYVYLDRLAQQRTLVDGEGEEEEEEMAAAAVVGVVDSYSVHRLLITAVLVAAKFMDDMHYNNAYFARVGGVEVAEMNGLELELLFALRFRLNVTPDTFARYCAALEAHMLVPPPPPPAAAAAAPVADSGEDQEAERCRRDHRAALLLVTKTKDAAVTAAVHGGQVGIGISRAAAGSSVPPIAVPRAAVEMVAR >KQL30249 pep chromosome:Setaria_italica_v2.0:I:29354509:29358353:-1 gene:SETIT_016872mg transcript:KQL30249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVVEQIGRGAYGSAYLVLHKAERKRYVMKKIRLSKQNDKFQRTAYQEMSLMASLSNPYIVEYKDGWVDEGTSVCIVTSHCEGGDMAERIKKARGVLFSEERVCRWFTQLLLALDYLHCNRVLHRDLKCSNILLTRDNNIRLADFGLAKLLMEDLASSVVGTPNYMCPEILADIPYGYKSDIWSLGCCMFEILAHRPAFKATDMAALVNKINRSSISPMPPMYSSALKQIVKSMLRKNPEHRPTAGELLRHPHLQPYLAESCSCSPIYLPVKPTKSNLGDKQSKKPSSGRKRTIKANGSNGTLETAAEHTVEGRDSSTNFSDASTIGTQEALILQMPVDLDARNKEPQSNEVLSFQHAEENLMATTDGQIDSTIRLKAIRTSNVKDEAPVSVSNQKPNEAPIPNEELTIGVVQEERKDVKPRSYQVPKPGSGDTTMTEGSSPISTLKLVHTESAPAEWDHLNIVQQRADALESLLELCAKLLEQERLEELAGVLRPFGEGAVSSRETAIWLTKSLMSPPKFGESPTKLL >KQL30421 pep chromosome:Setaria_italica_v2.0:I:30449924:30453506:-1 gene:SETIT_017527mg transcript:KQL30421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATTTTSSSASTTTAQNPNPFNLNINLPPWLNGLRGPFTFLCPPPPPPPPPPPPPPPPPPEPVVPRSRRLPGLRVTTEYDSEEGVFANKVSCKLAGGLAKLRLSFQSDPQGQWQGGGEEGDPLQHLFARPFLGLITKHFSVLYDVEERNALLSGDAALPGGAVRLRGSHDVKLQKGEISVITRLGDPLYKLELSSLVPYGGLPRATFHFPIGQVSVEERRNEEEEKLLSVYGIAKSDFLDGVLTAQYDGNNVDLRYCYKDNKLTLVPSVSLPSNAVSIDFKRRFGPSDKLSYRYNFETDDWNAVYKHTLGKNFKVKAGYDSEVRVGWASLWVGEEGGKTKTAPMKTKLQLMLQVPQDNFQNPAFLFNVKRRWDL >KQL30781 pep chromosome:Setaria_italica_v2.0:I:33272928:33275690:-1 gene:SETIT_017349mg transcript:KQL30781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAGAAEADTPSQEPVRTGRSNTILLPIVGILFAYLLYRFLRPRLRGLRLDRYVPSWVRAPAWLRRRAPSGSTVLPYFAPIADRLGALPYLGPFAERLGVGPQGGGGYGGHGAQALVKFPGGEALSVAAILEAPGEVVAKSAHSTLYRAAMRSGEAAVLLRFVRPACAVGADEAYAAAGRIGAVTHPNLVPLRAVYVGPRGEKLLVHPFYAAGSLHRFLQEGIAESQRWNIVCNLSLGIAKGLDHLHTGLEKPMVHGNLKTSNILLDANYECRISDYGIYLLLNPAAAQEMLESSAAQGYKAPELIKMRDATRESDIYSLGVVLLEMLAQKEHADDGRPNPRDILLPASFKNLVLERKISEAFSSDLARHCRRSGKERNLNAYFELATACCSPSPSLRPNTKHVLKRLEEIAR >KQL30965 pep chromosome:Setaria_italica_v2.0:I:34748111:34751167:1 gene:SETIT_018010mg transcript:KQL30965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAPLPAAVAGWWERVNGSPAWQDGIFGALAVLYGIIAAASFIQVARIQRRVPEYGWTIQKVFQFLNFVVNTARCSIFAFRRLVQQVNPPIFQHVILDLPGLAFFTTYAMLALFWAEILYQARGLMTDRLRSGFYTINGVVYVLQGFLWLCLWWNPNHSMLVISKLFIAGLSFFTALGFLLYGGRLFLMLKYFPLESKGRQQKLREVGRVASICFCCFLARCIMMCFTAFNKEADLDVLYHPVLNFFYYLLVEILPSSLVLYILRRIPAKLQLSQYHPISGS >KQL30252 pep chromosome:Setaria_italica_v2.0:I:29449627:29452928:1 gene:SETIT_018337mg transcript:KQL30252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVRTATRPALTDTSGGGFFIRKVAPPGAVLVKGAVKPLARQARTPSSNKENVPPVGTLRTAPKRRSPLPNWYPRTPLRDITSIVKALERRSRLQDAAARQHIQWTEDSSPSVDPITPVQAESMPTTEKAQAVVTPTTSLADGKLKATPSGYSLQATPSKPNDPALSDLMEKKLSSSIEQIEKMVRRNLKKTPKAAQPSKRVVQRRILMSMR >KQL31936 pep chromosome:Setaria_italica_v2.0:I:40531364:40531765:1 gene:SETIT_020474mg transcript:KQL31936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATDSVDAIAVVVLLCTMGWELGRKPSCLAADLLLGRLGALSWCRARDVGNFSYTK >KQL30102 pep chromosome:Setaria_italica_v2.0:I:28017476:28018600:-1 gene:SETIT_020068mg transcript:KQL30102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVWLKEFQTFEDKSSAINLDTGLNELLATMIKKYIKPDQKLAVGSLEYKKIIEEHGYGVSGMYDGTVMELMWGLKNCMHCLVPGELTNKNRPVMSEGMKIVNDDIIEVVWLVYNCDYCVDKHSETLHKAGKVLKRISDINSEDWDLLKLATALKMVCYPEELLIGDPRQIFSGDEHINLRQDAPLYKDKLWGRAISIVYNQILRARIIRHKWRRRLPHYLKEVKEAYEAEQSQHH >KQL28765 pep chromosome:Setaria_italica_v2.0:I:7956548:7958088:1 gene:SETIT_017281mg transcript:KQL28765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGMAPERVVEGFSEEFLETFLALIRRAHRHSRVAATVVYNEYIADRHHVHMNSTRWATLTEFVKFLGREGYCKVEDTPKGWFMTYIDRDSEQAVKARLKRKRIKSDMAEDERQERMIARQIERAQKSLAKANDGDEGNVAEGESESESGSEEDYSGSDDDGEEREDDSKEANKATGKIAIALQKSVPGPKVNPFEDKPKVNFGFDEEDSGVREKKDEVDKKKGKDVKAAEARRSALDELMKEEEKAKERSNRKDYWLCPGIVVKVMSKSLAEKGYYKQKGVVKKVIDKYVGEIEMLESKHVLRVDQDELETVIPQIGGLVRIVNGAYRGSKARLLSVDTEKFCAKVQVEKGLYDGKILRAVEYEDICKISS >KQL31091 pep chromosome:Setaria_italica_v2.0:I:35518920:35525174:-1 gene:SETIT_016317mg transcript:KQL31091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSVEGGADGDGGCCGVGDTSPGTIVWVRRRNGSWWPGRILGPEELPPSQIMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDACIEKAEATQGTLVKKREKYARREDAILHALELERKQLASKYQTQGFKPEPTGNISACTKHRKDLGSTRYKSKKSKKRKDASVPLEVKKEAGHCFVHAGSKRNFSECLAQGNVVSNHMGDFSHLRSSQGGSTLESKERSTIVKKNRSDGSDFEDSFVSKSDRRRPLAHVLQISEKSPHHLEQNDDYGTLLIGENNGPSLATSRARRSKYTYMASDSGETQSHSDLPSVQMTSTGADFENESYLQPGYFSEEQTSSDFVEKQITESSERECSESETEDDAELLQNATVILPIESCAPDPYSIPVSDKFRHVDYNDNEATYCTYMSQLNESEEEDGSSELGVSQWHMKGKRNSRNAAKRLVDMTDGNTWLDKYNSSLKGSWHKTNGGNPRKESMQTSCEQFIGQSFYQVKEEPNYDSDETDLFEDTSHSEANLYRGKNYHSSLRATRDLNRGYSYFNDYENDSSNVSPLNKDADRIYRVDRNVYWDGPSFYQRKFTSRFGGMGPMLFDVNLKVQASYQGEHVPLVSLMSRLNGKAIVGHPIQIEILEDGSTDHLVFCGDSSLQESTTAPPAWPTGRRTNMQRVPRSNPSGALLDGDNDGGLAYSDWEMKPTLRKYSSSSNHQVKANKKSSSNARRSSSKSHKKSSKKTNLSSQKVRALSSISTGKKHHGEGSQAKAHWRNDIFGGLIKSGGAVPLVTCVPTKVVFTRILEAVGRPPVSVAHRVRMASPSLRDPP >KQL29111 pep chromosome:Setaria_italica_v2.0:I:10356191:10357410:1 gene:SETIT_019407mg transcript:KQL29111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSDASSSLRDLGSVRVEEQLQQLKGQRPSSPVVGKLTPRDAARHKPPPHYTFLLDVLHGRELCLTASTNETMLTIWTLPVVDKGLNSPWERRYSINVSGLFHTMALPPCSSGIILWRAEAIYRYNLVTCKLTTLCDMDRMAFQGRRERKWKDLFTFDVKPYTESLVRITNHLC >KQL30625 pep chromosome:Setaria_italica_v2.0:I:32262563:32265150:1 gene:SETIT_019382mg transcript:KQL30625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFNKFQEAVRTLAKNPMFARDPRHLQFEADVNRLFLYTSYYRLGENAEEKDAEEIIDLASKASVTDQQKQVQDNVHYQLSDICQTMDSILRPHVTNDPSNNPSEAESHSRRSGLSFAVGGAASANKQSAAIPATRPLTRAELSNKFRDHFGYTLDIRPSGIPHKDAGQGLFLSGEANVGAVLAIYPGVIYSPAYYRYIPGYPRIDACNNYLITRYDGTIIDAKPWCLGGETRELWDGSDLVDYNAVPPKGSENNSDRVWRMLSKPLEKSVRESFSEVLERRNPLAFGHFANHPPKGSSPNVMICPYDFPLTEKEMRVHIPNVTFGGEEPIKMKRFGSFYFKSGSSDNQAGDSLVLKTLVLVSTRSIHDEELFLNYRYSNSKRRPEWYSPVDEEEDKRRWG >KQL29219 pep chromosome:Setaria_italica_v2.0:I:11620148:11621038:-1 gene:SETIT_019235mg transcript:KQL29219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKAAQILGHPPGVNFRPDDDELVEFFLLPRLRGEPSWFPGVVVIDDDSAANTLPWNLLKRHGLVDDGNAYFFVHTNNEVARQDRYCPGDGTWVSQRQESGSSCICGETIKWRRTNLNLQMGRGKKGSMGWVMHEYTLTEPPCPFLKICHVTFTGHGKWRKRVPDDESDCQATGEPASKRPRVAVTAAAVDTSVPAIFQQEHSLPFPIDQGISAMTHQQQMVMMPMPDDKNDCQATNSSSASCAYGSTMTTADQDSGAAHAYAGEESAQDTDEETLEWFRLDGKDLLADAEPTAEQ >KQL31803 pep chromosome:Setaria_italica_v2.0:I:39823267:39827654:-1 gene:SETIT_018064mg transcript:KQL31803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGEKCGDAAAAAPGEAGSDLYAVLGLKKECSDAELKVAYRKLAMRWHPDKCSSSSSVKHMEEAKEKFQEIQSAYSVLSDANKRFLYDVGVYDDEDDEDSLQGMGDFIGEMAQMMSQARPTRQESFEELQQLFVDMFQSDLDSGFCNGPSKGHHVYGQAQSRTSSTSPSSSPSPPPPTKVMDAEVPSCNGFNKRGSSAMDSGKPPRPVDAGVGQSQAGFCFGMSDTKQVPQTRGPNTSRRRNGRKQKLSSKHDVSSEDGTAGSQHQQHVVV >KQL31804 pep chromosome:Setaria_italica_v2.0:I:39824799:39827654:-1 gene:SETIT_018064mg transcript:KQL31804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGEKCGDAAAAAPGEAGSDLYAVLGLKKECSDAELKVAYRKLAMRWHPDKCSSSSSVKHMEEAKEKFQEIQSAYSVLSDANKRFLYDVGVYDDEDDEDSLQGMGDFIGEMAQMMSQARPTRQESFEELQQLFVDMFQSDLDSGFCNGPSKGHHVYGQAQSRTSSTSPSSSPSPPPPTKVMDAEVPSCNGFNKRGSSAMDSGKPPRPVDAGVGQSQAGFCFGVSAPVIINLLCLIMMPCGRNHSYLLCR >KQL30673 pep chromosome:Setaria_italica_v2.0:I:32523165:32524276:1 gene:SETIT_019208mg transcript:KQL30673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NMDPYAILKCRSQEQRSSIAAGKGTNPEWNENFVFTVSDRTTDLLIKLMDSDTGTADDFVGEATIPLEAVYSERSIPPTIYNVVKGEKYCGEIKVGLTFTPE >KQL31394 pep chromosome:Setaria_italica_v2.0:I:37251299:37251727:-1 gene:SETIT_019919mg transcript:KQL31394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDGDMIPSSPSAETSPSSSDTATESTGSFFRDRSTTLGTLMGVSLADEEPGQGQDHHQPERDAGEGTETPRAPAHEGEGWRWRGRWRRRRWRSAGGGGGGWWRLCRENRIR >KQL31086 pep chromosome:Setaria_italica_v2.0:I:35486384:35488446:-1 gene:SETIT_019640mg transcript:KQL31086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVLFCAFKRNLVKEVKVCHQPKTLFFNSESLAHKLIGMAQSFVRTDNINLMYPGGHFGTRYQGGEDHWETQYMFTKLSPITRSIFPEDDNVLRNYLQKNGKSIEHTWFVPILPMVLVNGIEVNGNGWSTYIPKYNPRDIVANLRRLLNDEYTEPMHPWYMGFKVTGVTYTITGIIEAVDNTMLRITELPICCWTQDYRECNILSDSGDVYIDILLSEEDMVISKQEGLAKKFNLATTIGPINMHLFGPDGNIRKYDTPEQKFFKLRLEFYEKRKEALLEKIKLSLKSLNNKVRFIFCIVNDDIIISKRKKAELLLELQQKNFDPLPEKNEESPEAARGLTKSDYEYLLSVPISTLTWENIQELIDEKNKLENELEKLSQTSPRSLWLSDLNALEKELDVLDRMDAEVVEERKARIEK >KQL32014 pep chromosome:Setaria_italica_v2.0:I:40856464:40859622:1 gene:SETIT_018091mg transcript:KQL32014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPALSLSRAPSSSFSASPVDRCHRSTGALRRCGPSFAYKPAAGICYASQAVELLPSLYPEIVVRDARLEECWEVADTHCSSFFPDYKFPLDLVLRIDRYIALLSGFSVPPGCTRTCLVAVNSNSVTNGFDIECGDPREAEFQKYNLSRGSIAGILTVDTVADYLPRRGPLKQRRYCLYSKCRSAKGGTSERNC >KQL32013 pep chromosome:Setaria_italica_v2.0:I:40856464:40859622:1 gene:SETIT_018091mg transcript:KQL32013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPALSLSRAPSSSFSASPVDRCHRSTGALRRCGPSFAYKPAAGICYASQAVELLPSLYPEIVVRDARLEECWEVADTHCSSFFPDYKFPLDLVLRIDRYIALLSGFSVPPGCTRTCLVAVNSNSVTNGFDIECGDPREAEFQKYNLSRGSIAGILTVDTVADYLPRRGPLKQRRTGIAYIANVAVRKEERRKGIAKMLVQEAEARARSWGCRTMALHCDVNNIAALRLYKNQGFKCIRVPEGAKWPEPKIAKGVQYNFMMKLVPKI >KQL29190 pep chromosome:Setaria_italica_v2.0:I:11171551:11178029:1 gene:SETIT_016266mg transcript:KQL29190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSTGSEPYCVQAPARRSGKAASPEKMLNCFVRSVALVERAGNALGTLAFTWATVVLLGGYPTVLRPTDDFWFATAIVFLEAARMFSRNNRLDYQVFFHTRGAVRSLGWKGMVVIVSLSNVINYLFMISRKHLKASPEFGSILIIGMLILTAAVGQFLSPGALKLLGHIPPRRSISLCSPLVAILLLAPSIHYSDGPDLMPERRFRSTMAKWTVFIVLLLAVLLLTISRLRFRRITELLYSIVLESFGNLQIPAAVLRVVLALVRLIPHNYYDGDNTGDKTNLAPSLSIFYGMVLGQGILYLVACTLDIFTFIPRRSLARHGGFKGHQLGMETIKLYHGYVLEKCMEKDALAPKKISLSSFAMDSLNSDSPKIQLHGIQLIHNLLQTEPTKTQLLAKLTTCPNTSARLIKMLDWTDPRNATIRLFAAKVTAELAKGLRVITIPWKIQNVSTLLDYGNKQGERGNPLMDTDDDEQETMHDPVLNCSGYHEERLIAVPDTGNLLETQDRSTQQQVGTSEQSSWIVRCWRCMASIPKDEPLADTDKDLLPALGINCEEIVKATGLIPKIIGFTSYRRSDTTYTDTQGKVLVTSSLQLLHRLTSIKGEIGITLRHKISKHAFLLRNLAKILADSSSSQEFRKLVAGIIRNLAVDGNTRQEIGCIRVIITRLMQEFLSLGRPLGTHADHKLRKVAGQALAMLAMESAHNCSVMLYETGHVFIKELTTMIHDDKYRCVAASLLRNMCLHARHELNELDLQAISYSVREVLERIMDAEGAELEILICLGSQICKVIPEEFARELEHGCIKDRFVKRLVDALNANMEPSALCPGIRRVILEQVINMMEHDPHDASCFNNCRMMEAVSMVEDTASKAENYSIFLGHVGLMEHREPLTSLAARVKRYWG >KQL29853 pep chromosome:Setaria_italica_v2.0:I:25524354:25525220:1 gene:SETIT_019351mg transcript:KQL29853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRSVSPPPRGRNPAPPNGVDSSRPAAETFLRLFWLLACSVPPLNGKPGPAQPTRRSSPPSRFAPPSRLAGRLFNRTRLRISPRISMANPRVFFDMTVGGAPAGRIVMELYANEVPRTAENFRALCTGEKGVGKSGKPLHYKGSTFHRVIPDFMCQGGDFTRGNGTGGESIYGEKFADEKFVRKHTGPGILSMANAGPNTNGSQFFICTVATPWLDGKHVVFGQVVEGMNVVKAIEKVGSRSGSTAKEVKIADCGQLS >KQL30189 pep chromosome:Setaria_italica_v2.0:I:28744827:28745570:-1 gene:SETIT_020386mg transcript:KQL30189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLRPMLETMSWKYVLFYVRLKSKYLDLDLTTAMAGVPAGRRADYVRVANELVNNMTEFDRFVRTPKVYESYLFYEKTLKSLDDVAEFLV >KQL27854 pep chromosome:Setaria_italica_v2.0:I:1218524:1222195:-1 gene:SETIT_017565mg transcript:KQL27854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIGSLLRALVLRAAASAGRRRGPRVLCGGRAADVRVTPRHGYGWRGFRAVAGRMMLDSSDPASPAAAADAGQVQPQRRAAGAVAQAHDGGYASGGWEREDGKLSCGYSSFRGKRATMEDFYDVKLTEIDGQAVSLFGVFDGHGGSRAAEYLKEHLFENLLKHPEFLTDTKLAISETYQKTDTDFLESEASAFRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAMALSEDHKPNRIDERKRIENAGGIVIWAGTWRVGGVLAMSRAFGNRLLKPYVVAEPEIQEEQVNGELECLVLASDGLWDVVENEVITLLPSSAVQLSRQLFNFKWKHCVTAFRVCFGVAFLLLTKWSTVLRRHILVCFL >KQL27853 pep chromosome:Setaria_italica_v2.0:I:1218094:1222195:-1 gene:SETIT_017565mg transcript:KQL27853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIGSLLRALVLRAAASAGRRRGPRVLCGGRAADVRVTPRHGYGWRGFRAVAGRMMLDSSDPASPAAAADAGQVQPQRRAAGAVAQAHDGGYASGGWEREDGKLSCGYSSFRGKRATMEDFYDVKLTEIDGQAVSLFGVFDGHGGSRAAEYLKEHLFENLLKHPEFLTDTKLAISETYQKTDTDFLESEASAFRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAMALSEDHKPNRIDERKRIENAGGIVIWAGTWRVGGVLAMSRAFGNRLLKPYVVAEPEIQEEQVNGELECLVLASDGLWDVVENEEAVSLGKSEDAPESAARKLTEIAYSRGSADNITCIVVQFHHDKTG >KQL27798 pep chromosome:Setaria_italica_v2.0:I:721283:721736:-1 gene:SETIT_020361mg transcript:KQL27798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRNKCCKDKCVVWMDGLLLMPVEGALVVISLVPMKFIMQCLKITLFKEVFPSGFPLMMFPLAWGNSSLPHSSATWFQYAWVVLS >KQL28003 pep chromosome:Setaria_italica_v2.0:I:2478543:2482385:-1 gene:SETIT_017702mg transcript:KQL28003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGAGNPQAAAASGEAEAKMETEEQEGPVLCLDLTSYQLHDLSEVEIPPTLEEVDLTANRLTSVDPRIGRLAGLRKISFRQNLLDDDAVAPLSSWDAIAGLQELVLRDNKLTRIPDASIFKGLLIFDVSFNEISSLTGLSKVSSTLKELYVSKNEVPKMEELEHFHALEILELGSNGLRVMENLGTLTNLQELWLGRNRIRTVNLCGLKLIKKISLQSNRLTSMDGFQECVALEELYLSHNGIQKMEGLSTLQNLRVLDVSSNKLTTIENIETLTRLEDLWLNDNQIPSLDGIEAALAGSREKLTTIYLERNPCAKTPNYSSTLKKIFPNLEQIDSDIIA >KQL28012 pep chromosome:Setaria_italica_v2.0:I:2545888:2550548:1 gene:SETIT_018041mg transcript:KQL28012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAEGNKALRNWMGEPRPRDQDDEEKTLQLSLGLPGGGGGGAWGAAGREKGNHHHHHSAADTSMLSLGYSNAAAFSPCSQGKAKGSPAAAPATGHALASTNNASQTRSPNAPVIGWPPVRTFRRNLATSSKASLDHQNGKKAAKPEETTKRAPFVKINMDGIPIGRKIDLNALDSYENLSLAVDKLFRGLLAAQQDPLAAGTRECSQEEVAISGLLDGTGEYTLVYEDYEGDRVLVGDVPWGMFVSSVKRLRVLKTSDLSSSLTPSGRKRAVAEC >KQL29765 pep chromosome:Setaria_italica_v2.0:I:24268303:24268869:1 gene:SETIT_020583mg transcript:KQL29765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATRVLQANANPYFNIHYRGVNIQVKNISMITTTMLTICDY >KQL31650 pep chromosome:Setaria_italica_v2.0:I:39038011:39041453:-1 gene:SETIT_016715mg transcript:KQL31650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASLQRFLPPSAHASSSSSSRRRPGRARAAVSLPSSSPPSAGEVPAERLEPRVEERVGGYWVLKEKYRTGLNPQEKVKIEKEPMGLFMEGGIKDLAKIPMEEIDAAKVTKDDVDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSEQTRYLASVIEAYGEDGCADVTTRQNWQIRGVTLPDVPAILEGLRAVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSSYITNNSQGNPTITNLPRKWNVCVIGSHDLYEHPHINDLAYMPAVKDGKFGFNLLVGGFISPKRWGEALPLDAWVPGDDIIPVCKAILEAFRDLGNRGNRQKTRMMWLIDELGMEVFRSEVEKRMPNGVLERAAPEDLIDAKWERRDYLGVHPQKQEGLSYVGLHVPVGRLQASDMFELARLADEYGTGELRLTVEQNIVLPNVRNERLDALLAEPLLQERLSPQPSLLLKGLVACTGKQFCGQAIIETKARALQVTREVEKRVSVPRPVRMHWTGCPNSCAQVQVADIGFMGCLTKGSDGKVCEAADIFVGGRVGSDSHLADVYKKAVPCDDLVPVVADILVERFGAVPREREEDEE >KQL28568 pep chromosome:Setaria_italica_v2.0:I:6745728:6747862:-1 gene:SETIT_020158mg transcript:KQL28568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRLSPAAVAPRSTGPASTPPRSGASSATRWSLTPPLPAGAGRARRGAERLSPATGPALGARNGRGVLLFLLAEPPWDPSVLICTVGLLIVIALIILRAILSPFFAINSSEKEEFYESTSPDLLPGIRRDKFVEVPQIIWGLNNQKIAFARACLTARFLNRSLLMPSLSASLFYKEVDLLQPINFDKVFDFNKFNARCHGFVKLARYSEVSNRTEPFKLQKGSGRKWTVERDLDQLQQFIGGRADDFEVIEIVGKHPFLWPDHWPVKDYAKIFDCLVLVPEIETEVVKVISKIREAGQRARHEAGFSHSKQRRDGSTNLPVPYVAVHMRIEKDWMIHCKKWEQRSHLHEICSSKEEIIHKVSQITDLRRPVVVYLAVADSLLEDDSITSGWRVGMVAYEKKKLGVTDIYDRQPYLIKSAIDFEVCSRADVFVGNSFSTFSNLVVLSRTEKLYNLGKVSSCGENIGLSSYAYNVMGDDGGPQRWMTDMSDTNLQRLSYGTNNVSCH >KQL29083 pep chromosome:Setaria_italica_v2.0:I:10226010:10227062:-1 gene:SETIT_020286mg transcript:KQL29083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGRAVTYVRWAGAAPARRVVTSAADGTHRLWEWESAAAAAAAREVRSYSGHASARSFVGMGVWRAAGLVASGSESNHVFVYDLRWAKPIWVHPFVVGGAHSPVTTTSTSPSSVGEAAAAAGGFVSAVAWRQGSDHDGGGALVAGGSDGVLKMFTCHRRRAAGDGDDNASLLL >KQL28934 pep chromosome:Setaria_italica_v2.0:I:9023652:9027214:1 gene:SETIT_018163mg transcript:KQL28934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFYSSSSAAYGAAPGGGWGYDSLKNFRQITPAVQTHLKLVYLTLCVALASSAVGAYLHVVWNIGGMLTMLGCVGSIAWLFSVPVYEERKRYGLLMAAALLEGASVGPLIKLAVDFDPSILVTAFVGTAIAFACFSCAAIVAKRREYLYLGGLLSSGLSILLWLQFAASIFGHSTSSFMFEVYFGLLIFLGYMVYDTQEIIERAHRGDMDYIKHALTLFTDFVAVLVRILVIMLKNAADKSEDKKRKNRRS >KQL30747 pep chromosome:Setaria_italica_v2.0:I:33111522:33113280:-1 gene:SETIT_018595mg transcript:KQL30747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVASALHLHRHPRLLPPLAAPPSGFPPRLSPSWCRPAEYAGRLEARAAWHRRNSSTPARSTASSASHFPRRCSRPIRCRSSLEVWPIRCRRRAQLRTGTEPGFHTSMGARARRGASCLSNSRVQRYGDEERARCRGGCRRSSLATAYAS >KQL30746 pep chromosome:Setaria_italica_v2.0:I:33112613:33113068:-1 gene:SETIT_018595mg transcript:KQL30746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVASALHLHRHPRLLPPLAAPPSGFPPRLSPSWCRPAEYAGRLEARAAWHRRNSSTPARSTASSASHFPRRCSRPIRCRSSLEVWPIRCRRRAQLRTGTEPGFHTSMGARARRGASCLSNSRVQRYGDEERARCRGGCRRSSLATAYAS >KQL27934 pep chromosome:Setaria_italica_v2.0:I:1841131:1842747:1 gene:SETIT_016987mg transcript:KQL27934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTGASDTSPSTTELPLPACTEELPPSPQNQVVLFASPGAGHLIPLVELARRLVVDHGLAVTVVTLTGMSNPATDAAVLSSLPASAATAVLPAVSLDDLPPDIGFGTLMFELVRRSLHHLRALMEGLSGSPVTALVCDFFGTAALPVAAELGVQGYVFFPNSFALISIMRHIVELHGDAAAGEYRDLPDPLPLPGGPALRHADLPDGFRDRTDPVYAYLVEEARRYGRADGFLVNSFEELEIAMAETFKRDAEDGAFPPVYPVGPFVRSSTGEKADESAFLEWLDHQPKDSVVYVSFGTGGALSVEQTAELAAGLEASGHRFLWVVRMPSLDGNPCALGTVPGDKDDPLAWLPEGFLERTSGRGLAVAAWAPQVRVLSHPATAAFVSHCGWNSTLESVAAGVPMVAWPLYAEQKTNATILTEVIGVALRPAAAREEIAAAVRELVVGEKGSAVRRRARELREAAARAWSPEGSSRRALGEVAGKWKVALVSGNGRIA >KQL29258 pep chromosome:Setaria_italica_v2.0:I:12292827:12294655:1 gene:SETIT_020032mg transcript:KQL29258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVSIYYGGTVERDEYGCVKFVGMQCEVVIFDEKPSFSELVARAREELHCYENDEIIVEGILHLGSPLNIQRKIVPIRCAGQWEKYVRTVLPLLRSRAHDGFLALQYDDRYTPLLQMAGLDVISYQVRRGMPRFNSAAITALVDRWRPETHSFHLPFGEMTVTLQDCQKMLGLSIRGQPVTGPCVSDGWRARVAAFLGRELDEQGTRTSGVLISWLREHFGQCPQDADAETVGHYCRAWILHLFACVLFPDGTGDAASWMWIHCLTDWHQAGSYSWGSAVLSFLYRQLCEACRRSSGSPSVGGCVYLLQLWMWSRLPVGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSVNWQPYDGDDPLPFPLSFVCVQDDDIYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSIELHNVDRKKKRKISDWPAFHHAYIVEWEQYEQNLDENNEPHTNTAYRHYQSWYQGATRHRLREAWTQDDYAEIQSSDDEDT >KQL30219 pep chromosome:Setaria_italica_v2.0:I:29024365:29025851:1 gene:SETIT_018819mg transcript:KQL30219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMNATHGPWQDRRAWGRGATGHEVSEVYKEMERAGCTPDRKAREMLHDTSVTLEQKGCQYSILQLTCCTLRMMQWLSDNVCKMLWQVPIEIGTLLF >KQL29984 pep chromosome:Setaria_italica_v2.0:I:27270243:27273014:-1 gene:SETIT_017275mg transcript:KQL29984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNQAERSITMALRLLPLPLLLLFVLRPQGCVASGDGGGEPAEFEIPRDGSVLELDESNFEAAVRAADFLFVDFYAPWCGHCKRLAPQLDEAAPVLAGLSTPIVVAKVNADKYRKLGSKYGVDGFPTLMLFDHGVPSEYTGSRNADLLVQNLKKFVAPDVSVLESDSAIKGFVEAADISFPLFIGFGVDESLIAEYGAKYKKKAWFSIAKDFSEDMMVVYDFDKFPALVSINPKYNEQSVFYGPFEGTFLEDFIRQSLLPLTVPINKETLKLLKDDGRKVVLTILEDESDENSPQLIKVLRSAANANHDLVFGYVGVQQWLEFTDTFDVKSSQLPKIMVWDTKEEYEVVEGSERLEEGDYGSQVSRFLEGYRAGRTIKNKVGRGSPTLLGLNAIYILIFLIAILGALMYFSGQGEEQQRPRRTHED >KQL29983 pep chromosome:Setaria_italica_v2.0:I:27270243:27272289:-1 gene:SETIT_017275mg transcript:KQL29983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRLACLLQLDEAAPVLAGLSTPIVVAKVNADKYRKLGSKYGVDGFPTLMLFDHGVPSEYTGSRNADLLVQNLKKFVAPDVSVLESDSAIKGFVEAADISFPLFIGFGVDESLIAEYGAKYKKKAWFSIAKDFSEDMMVVYDFDKFPALVSINPKYNEQSVFYGPFEGTFLEDFIRQSLLPLTVPINKETLKLLKDDGRKVVLTILEDESDENSPQLIKVLRSAANANHDLVFGYVGVQQWLEFTDTFDVKSSQLPKIMVWDTKEEYEVVEGSERLEEGDYGSQVSRFLEGYRAGRTIKNKVGRGSPTLLGLNAIYILIFLIAILGALMYFSGQGEEQQRPRRTHED >KQL31919 pep chromosome:Setaria_italica_v2.0:I:40422976:40424079:1 gene:SETIT_020104mg transcript:KQL31919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRKGFDERCGDVGAVKWPAPQRKQHLYLLLDDWERGYSVRRLDTDAFDSDAGANPPAPPERFAEPPVARIEAEHANDDRNFVSHGTKIFAMQPGEASPAIPAFDARTLGLSLCPWPSSSHRDYRLPFFASAAGKLFVFTDAYAAYLGDQPPPGSKVPWAWTAMEARPPFYASQVRCYALHPDGRTLFVSAGSRRRHRSGTFSLDADRLEWTRHGDWLLPFRGQAYFDAELEAWVGLCGERDGGDGRLCACDVTPVAAELTSPPSPKLGEDKLFRRNPELHLGAKLVESMFHKDDEHLLRDHKVDVVDAYYNCPPQRRRVLYMTTFGLKYNKEGQLRTTLQRAHGCKMYKRPHDSGGSTKPLEFWL >KQL28314 pep chromosome:Setaria_italica_v2.0:I:4974416:4975292:1 gene:SETIT_019931mg transcript:KQL28314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRVSLSYCFLGSFKILSDTLKILFITNCYSYVIQVSAPNVCSLRLTIFGKVHLDRMPFLVSAWVYLCDGGVSHPAQSGYDLIAAICNAQHLELFRFNLFLQDIMENSTLEGLSFCKLKSLYIGEWRVIDFYGPLAYFLQCAPNWAALTLDQWKLKPVSALTRDIGEFRKVRLLLKEKTKPKETEVVWF >KQL31741 pep chromosome:Setaria_italica_v2.0:I:39500937:39501345:-1 gene:SETIT_020038mg transcript:KQL31741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSHLLLVAIALLVVSSDKTVKVSARCPDPDSVALNPGEPCDNQTCRTDCATKYHDGVGICLNPTSCYCEYCLDHHSTLAASTPATQSRMN >KQL28065 pep chromosome:Setaria_italica_v2.0:I:3079227:3080386:-1 gene:SETIT_018384mg transcript:KQL28065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMERSVSCAERGSVYGGAADIRSYSASYARPAAAQASSRVVKRARSVSSWARPAPPPVQRSGSTKSVAAGGGGARPTPGLNLRSYSASYAASYGPTITADGGGGGGQLKRSGSVTNWTSANRRSVNLRGYTPSFAALDDTATAVPAAKKAAALDDAELQRRKRLVMYKTYDVEGKVRESVRRGVTWIKGKCSRVVYGWW >KQL31172 pep chromosome:Setaria_italica_v2.0:I:36058693:36059178:1 gene:SETIT_018816mg transcript:KQL31172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYPHFVEMKGYHNLLGDCVIRDVAACSRPSQNRATLSGTENCRVSSISPTFNAKITTYLAPLLCKLAFFNRCKPNTLKYVDIPPRPFEAKKKKPRTQ >KQL31036 pep chromosome:Setaria_italica_v2.0:I:35187244:35188836:-1 gene:SETIT_017091mg transcript:KQL31036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKHLIPNHAAGLTYAARDYAIRDDYGGGDGGGSDPFEGFPDAVLGLIVAKLPFRSAVAASAISRRWRGAVAAAPALDIDFAVAFPEAPRRRAAFAAAATAALAPRSAPPPPHPLRRLRLALEGLFDQAFAASAADHLASWLAAAAARGVERLEIRLPRSRLAVLPPSLLACTGLTSLTLRLDHYALPLPSLTPLTRLSRLHLASVSLKGDDDFFGDLFSHCTELRYLILEQCRISALRLAGPSRLCSLAITDCTWTEQSSLALFEMPELRTLRYSGAMATRHIIDGEVSLDEVLLAIEKPQVKPREATLRELIALVGNVRSLLLSPWCIEQFEEWWKVRLDRVRQLSCIIERREEGALSIAPLLSNCPNVEELRVSVVPSQGKRRRCSDGECHGVLCSKGVAVKHLKGVRMKYIDESKSGLELVKLLLKNAPQLETMNIVPSMDGLEQAKFRRRVLKFRKSSRNASIQFCTAG >KQL29034 pep chromosome:Setaria_italica_v2.0:I:9847517:9852061:1 gene:SETIT_017424mg transcript:KQL29034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRTDPLAKSIHGTNPQNLVEKIVRSKIYQSTYWKEQCFGLTAETLVDKAMELDHTGGTYGGNRKPTPFLCLALKMLQIQPDKDIVVEFIKNEDYKYVRVLGAFYLRLTGTVADVYQYLEPLYNDYRKLRHKLSDGQFALTHVDEFIDELLTKDYSCDTALPRIQKRWVLEASGTLEPRRSALEDDFEEEEEDKEEQPMEIDQPNGHEKDNHRGRSPARDRDRDRDRDRDRDRDRDRKHERHHRDRDHDRDRDYDRDYGRGRDRDRDRDRERDRNRDRDRDRHRLRDDDYSRDRDRDRERDGRERERRDRDRGRHRSRSRSRDRRDRDREDGEYRRRRDRGSVSPRGRGEDGATREEPKRKKEKKEKKGEPNAPDPNDPEIIEMNKLRASLGLKPLK >KQL30378 pep chromosome:Setaria_italica_v2.0:I:30205317:30207509:1 gene:SETIT_018693mg transcript:KQL30378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFNVVQKNKRQWKQDRKRAAHGEPGTGKLKQRTAPISMSGKRKRKIERRLNRDQKEAAMIKALENNMGDVDMVSAEESSEAAKGKSQVKVSVKKSSRIQIKRLKGKGRKKAKNAKPPTKGKVDAMVE >KQL31592 pep chromosome:Setaria_italica_v2.0:I:38592470:38593030:-1 gene:SETIT_019586mg transcript:KQL31592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGGKAKSGKKAEGARCRRHPRHRQQGAGVCASCLRDRLSHLSLSASSSLPSVVRGGGDEDNEYGYEEEEGASSCSEASTAYSSEGTSAASSGCASPAPGEPAFHDEVRRAARVSLLMRHERIVGDDDAVAVFLARRREQRRTATSFWSKLLHATRGGGKKEGCSLAACGKAIEERGAAAKWVLF >KQL32075 pep chromosome:Setaria_italica_v2.0:I:41217822:41219998:-1 gene:SETIT_018170mg transcript:KQL32075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGVDVPLPTEKLSIEPSRDGGTRGGVVLVATGSFNPPTYMHLRMFELAKDELQQRGYCVLGGYMSPVNDAYKKKDLLPAAHRIRFCELACKSSSFVMVDPWEAMQKGYQRTLTVLSRIRNSLCKDGLADQGSLKVMLLCGSDLLESFSTPGVWIPDQVRTICKNFGVICIRREGKDVGKLIAGSDILQECRDNIISVDEIVPNQISSSRVRDCIKRCLSIKYLTCDEVIEYIREHSLFMEAEGGDTRL >KQL29656 pep chromosome:Setaria_italica_v2.0:I:22662081:22665913:-1 gene:SETIT_019928mg transcript:KQL29656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFGFLKTEKENNQPQIEKTSKRVGYPAYAKVKEDKKGKQWYFDHVEEAHNHKLHPSPRMVRYMHAHKQREAVMDDMFAIISRNGVAHQAAMNPFTEKDVKNMKATNVQAEHTDDINKLIEFFKDCEAQNPQFQWDPKLDSQGVFKAFKKCMGGSRTRCILTDQYQAMGVAIAKEFPGHMPQLTNLFGMYVKRNFKDKFYFVLNHPLTPMEFEDSTLDSLYRQRELYVPAYFKDQYCGKMASTQRSESSNFVMKSCFVDKHTALHRFAKKTLDFVHSRKMKKSEETYHGTVIARGSQEASGHLKSKLY >KQL28802 pep chromosome:Setaria_italica_v2.0:I:8160230:8162541:-1 gene:SETIT_019435mg transcript:KQL28802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APVDGADGFQDDNHVAPQSPPHNIGASSQRGVGSADWGVFSSMKIVLFKSKLNFLIPCGFLAILIDYISQNQGWVFPMSLLGIIPLAERLGFATEQLGLFTGRTAGGLLNATFGNATELVISIHALRSGKLRVVQQSLLGSILSNTLLVLGCAFFGGGLACGKTEQAFRKEDAVLNSGLLLMAVMGLVSPAMLYYTHTEVNLGQSALALSRFSSCIMLVAYAAFVYFELSNSRRRDEACEGGGRDQGDDDYEYAYPEISKWEAIAWLAIFTAWISVFSGFLVDAIEGASKAWKIPIAFISTVLLPIVGNAAEHASAVMSAIKDKLDISLGVAIGSSTQISMFVIPFSVVTGWMMGQPMDLNFHLFETASLLITVLVVAFLLQDGTSNCFKGLMLILCYLIVAASFYVYADPNIDGKVSHLSFFWDKGFSPL >KQL30780 pep chromosome:Setaria_italica_v2.0:I:33264641:33267950:1 gene:SETIT_016730mg transcript:KQL30780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTRRPKSRRHQLPISSGAMEEADDHRPSSSTGRPFLSGLCSAALRRKPLGAHASAAASGEGLVRQLGVLELVLLGIGASIGAGIFVITGTVARDTGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNEITAFLVFTQLMVDYHIGAASIARSLASYFIQFLELLPFLKGHIPSWIGHGEEFFGGIVSINILAPILLILLTAILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVTNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKSPQRDLPIGILGSLLACVLLYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPTRHTPLHSQIWVGCVAAVMAGLFNVHMLSHILSVGTLTGYSVVSACAITLRWNDKATSRRSLGIMSIWQEGVLCLVIVALCGFIVGLCYRYNYAIAFMVVAFLIAIAASFALQFRQVYVDPPGFSCPGVPLVPVISVFFNMVLFAQLHEEAWYRFVILSLIAVGIYAGYGQYNAAPYSSDHSSIGYHGVPSEAP >KQL30779 pep chromosome:Setaria_italica_v2.0:I:33264641:33267460:1 gene:SETIT_016730mg transcript:KQL30779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTRRPKSRRHQLPISSGAMEEADDHRPSSSTGRPFLSGLCSAALRRKPLGAHASAAASGEGLVRQLGVLELVLLGIGASIGAGIFVITGTVARDTGPGVTISFVLAGAACVLNALCYAELASRFPAVVGGAYLYTYAAFNEITAFLVFTQLMVDYHIGAASIARSLASYFIQFLELLPFLKGHIPSWIGHGEEFFGGIVSINILAPILLILLTAILCYGVKESSAVNTFMTTLKIVIVIVVVFAGVFEVDVTNWSPFMPNGFKSVVTGATVVFFAYVGFDAVANSAEEAKSPQRDLPIGILGSLLACVLLYVAVCLVITGMVPYTLLGEDAPLAEAFAAKGLKFVTVLISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSIFAKVHPTRHTPLHSQIWVGCVAAVMAGLFNVHMLSHILSVGTLTGYSVVSACAITLRWNDKATSRRSLGIMSIWQEGVLCLVIVALCGFIVGLCYRYNYAIAFMVVAFLIAIAASFALQFRQVYVDPPGFSCPGVPLVPVISVFFNMVLFAQVCTALHVRFVLVSVTDFNTK >KQL31448 pep chromosome:Setaria_italica_v2.0:I:37589455:37591340:-1 gene:SETIT_019635mg transcript:KQL31448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALPQHQQQEARPRPMLAGGGAAKSRHHAYSRKEKSLGLLCSNFMVLYDRDDVESIGLDEAAKSLGVERRRIYDIVNVLESVGILVRKAKNRYTWIGFDGVPMALRELKERALREKSGLAPLQTEQQSASTMSDDEDDDKLGNPDGDIENEKLSQTMDNPSDKPGAPRCRLRSGTLLFASFLFNMCYMCHHRKEKSLGLLTQNFVKLFLTMEVDTISLDEAAKLLLGEGHEETNMRTKVRRLYDIANVLSSLNLIEKIQQGDTRKPAFRWLGRAAKPSTENSVTVAVPPPGKSVSNKRAFGTDITNTDMLRSNLHSKIQKKAKLGERGDILKNCKVAVQSRLGQGKNNGFVYGPFHPAGARKHELDGGNKPGQRERAEDWESLSVSFRPQYQNQ >KQL31167 pep chromosome:Setaria_italica_v2.0:I:36041656:36043652:1 gene:SETIT_019142mg transcript:KQL31167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRESSETLRNKCAACYRQYNRMEHLVEHMKVNYHSVHEPRCGVCGKHCRSFESLREHLIGPLPKVECARVFSVRGCSICLNIFDSNAAVRYHRAACQYTRAAPMPRGGITGRAVALACKMVGGGSDGSVDLCARVCLIGEDENIIFQTYVKPTAPVTNYRYEVTGVRPEYLRDAMPLKVAQRRIQEILCNGEPLWKLRPRSYGRAKILVGHGLEHDLERLGLEYPAFMIRDTAKYPPLLKTSKLSNSLKYLTQAYLGYDIQTGIQDPYEDCVAAMRLYIRMRSQAHPRDYNSGSGEAQNNYPAWRQRELERMSPEELLALSASDYYCWCLDY >KQL29722 pep chromosome:Setaria_italica_v2.0:I:23855469:23861450:1 gene:SETIT_018306mg transcript:KQL29722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRRRRLLGLCSGKDSLPVDLPKPIENEKPVEVVHSNVKTFSVHPLPPTSDVLTKSSNGSDDSKEEKTQYYPGKEIKRRKRHRRKQYVDQEPCIMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQDEAARLYDRAAFMCGREPNFELSEEEKQELRKYTWEDFLAVTRNTITSKKQKKVGLLRRSKADLFMGQSDGDTEMANGGGSSNSDNGDAETSAS >KQL31373 pep chromosome:Setaria_italica_v2.0:I:37151552:37155979:-1 gene:SETIT_020175mg transcript:KQL31373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GITTSRNKRRASRNQQDKISGPSLPMDIWCHIHSLMPMRDAAQVACVSRAFARSWRGRPNLTFSEETFGLNENTCQKDKLVRYFTNKAFNLEVYASYDEKNNCHLEHLDSWLQIAVKPGIEELILSLFGIIGAKYNFPCSLFADGAGESLQYLFLASCEFHPTTKFGCLKSLTRLQLYMVHIADGELECFLAGCVVVESLDLRYCSEIICLKIPCLQRLSFLEVSTCSNLEAIESKAPNLSSFDFAGDLDVKLSLGNSPQIKKLRMRCKDATYYACTELPSKMPNLESLTIDSPTETVNAPMLSSQFLHLKFLAIIVLDEMDYDFLSLGSSFFDASPSLETFFDASLQMMPRHHHDKLKRVDITNFTSARTLVELACHIVESAKSLVRLTLDTTKGGPRCFGGNPYSCSLIRSRDAILEAERAVQAVEMYIKPKVSSTVELNVVEPCNRCRATEL >KQL29031 pep chromosome:Setaria_italica_v2.0:I:9838708:9842263:1 gene:SETIT_017586mg transcript:KQL29031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHEEAAAWSEEAARRVWGGAVPLQVHLHDADVTALPPPPPFLTLGPRIGYLPLLIPVIKAHFSNALPPGVDTVWFEYKGLPLKWYVPIGVLFDLLCADPERPWNLIVHFRGYPSEILSPCEGEDSVKWSYMNSLKEATFIITGNSKSVMNMSQADQVALWQSVTKGNLDGYKNISTRLKLGPFEEDGLVQTASTERQQSSDEPESPGPGRPCRVPVRFYVRGVQEDLEDIEDAMPVSDWESVSYINRPFEIRKVEGRSYITLGHALQTLLPEFFSSDPQNAEELGSAGGGSDTTNSSRSSQEAEPASASLREATKQAKVKLVRVQGIELDMDIPFLWVANNLKNPEYYLHICVYVSTRKQ >KQL29897 pep chromosome:Setaria_italica_v2.0:I:26102216:26107045:-1 gene:SETIT_017435mg transcript:KQL29897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLRWRPTVNERAFIERSLESDLRVDGRHSFDFRTLKITFGREDGSSEVQLGETHVLGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPVFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTIGGDDGQQVIVHDPEARDPIPLTIHHMPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATINSNGDICSIQKAGGEGVMSSVVMQCLRIASVKAADITSKIKKAVDSYTTEKALKKVKRLPTSLPQKINVTDVTMEDKGDGELETQTVKTPSDVQEISKDPATMGKASSHEDAQPMLTESSNAEVKSTSSSGAAGESEETQETGSPKSLKDAIKPKHKRKKKKTDRS >KQL32087 pep chromosome:Setaria_italica_v2.0:I:41269451:41272119:-1 gene:SETIT_018071mg transcript:KQL32087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRLLQGPLAAAPLPLPCRLAVARGIRAMSSAAPAPEPAQRSRRPLRGVVFDMDGTLTVPVIDFQAMYREVLGGDAAYAAARAAGGGSVDILHCIEGWAPDKQRHAYEVIARFEKEGLDRLQIMPGASELCGFLDSKRIRRGLITRNVKDAVDLFHQRFGMMFAPALSREFRPYKPDPAPLLHICSTWNIPPHEVIMVGDSLKDDVVCGKRAGAFTCLLDETGRYGPHDSLPEEVKPDFKVSSLTEVFTMLEENFDLAPANLTPASAESRI >KQL30344 pep chromosome:Setaria_italica_v2.0:I:30027368:30030282:-1 gene:SETIT_017653mg transcript:KQL30344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGGGGGRGDVPDDANEHCPGTQSEDAGKADACAGCPNQQICATAPKGPDPDVVAIVERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMEHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQPLSDLSEVFDSSKGGAEKMCIEMGVPFLGKVPMDPQLCKAAEEGRSCFADQKCSASAPALQSIVKKFIKPE >KQL30345 pep chromosome:Setaria_italica_v2.0:I:30027601:30030212:-1 gene:SETIT_017653mg transcript:KQL30345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGGGGGRGDVPDDANEHCPGTQSEDAGKADACAGCPNQQICATAPKGPDPDVVAIVERMATVKHKILVLSGKGGVGKSTFSAQLSFALAEMEHQVGLLDIDICGPSIPKMLGLEGQDIHQSNLGWSPVYVESNLGVMSIGFMLPNPDDAVIWRGPRKNGLIKQFLKDVDWGEIDYLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEINFCKKVGVPVLGVVENMSGLRQPLSDLRFVKSGESGEADATEWVLNCIREKAPELLSVVACSEVFDSSKGGAEKMCIEMGVPFLGKVPMDPQLCKAAEEGRSCFADQKCSASAPALQSIVKKFIKPE >KQL28524 pep chromosome:Setaria_italica_v2.0:I:6441584:6444971:1 gene:SETIT_017672mg transcript:KQL28524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHAAGSSSGGSGDGGAAPRRNTRKPKYSKFTQQELPACKPILTPKWVISVFVLVGVIFVPIGVASLRASQQVVEIVDRYDDACVPANVTDKLAYIRNKAIPKTCTRNLTITKDMKQPIFVYYQLDNFYQNHRRYVKSRNDAQLRDRSKASDTSNCDPEATVDGKPIVPCGLIAWSLFNDTYNLIRNNENLTVDKKDISWKSDREHKFGSDVFPSNFQKGPLQGGKILNPNLSLSKQEDLIVWMRTAALPTFRKLYGRIYVDLKVNDTITVHLENNYNTYSFGGKKKLVLSTTTWLGGKNDFLGLAYLTVGGLCFFLAFAFTLLYLIKPRKLGDNNYLSWNRPPVGR >KQL27761 pep chromosome:Setaria_italica_v2.0:I:469413:471548:-1 gene:SETIT_019954mg transcript:KQL27761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLQFTCKKLPIPLLGCAVLLLISLASSCTEQEKNSLLQFLAGLLQDAGLAKLWQEGKDCCEWEGIVCNGNRTVIEVSLEYRGLEGSITPSLGNLTGLQRLNLSCNSLYGGLPLELVSSSTIMVLDVSFNQLNGDLHQLPSSASGQPLQVLNISSNLFTGQFTSATLKGVENLIALNASNNSFTGQIPTHFCNMSPSFAVLELCYNKLSGSIPPGLGNCSKLRVLKVGHNNISGTLPDELFNATSLEHLSFPRNGLQGMIDDTYIFKLSNLAILDLGENKFSGMIPDSIGQLTRLQELHLDFNNMYGELPSTLSNCTNLITLDLKINNFSGELHKVSFSNFPNLKTLDLLWNNFSGTIPESIYSCRNLIALRLSGNKFHGQLSKRLGNLKSLSFLSLANNNFSNITNALQILRSSKNLTTLFLGGNFMNETMPDNAKIDGFENLWLLNIDSCLLSGEIPFWISELANLEILSLKGNRLTGAIPAWINTLEYLIYLDISNNNLTGEIPTTLMAMPMLTSEKTAAHLDKRVFDLPVYHSPSLQYRKPIAYPKALYLSRNKFTGVIPPEIGQLKALISLAISFNNLTGPIPPSICNLTNMEVLDLSNNNLTGEIPAALENLYFLAVFDVSNNNLEGPILTGRQFNTFPNSSFAGNPRMCGPGLNHHCTSVEVGLAPTGSKGLVFVGVGVLYDQMVLSRYFG >KQL30370 pep chromosome:Setaria_italica_v2.0:I:30160137:30165571:1 gene:SETIT_018664mg transcript:KQL30370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEISEIKKIGIGLVGFGILFSFLGVILFFDRGLLALGNIFFLTGVGLLLGWQSMWQLFTKKANLKGSVPFFIGLFLLFVRWPVAGIIMELYGSFVLFSGYGPPIQAFLYQIPIIGWILQYPFQLFAQLRRKRA >KQL29278 pep chromosome:Setaria_italica_v2.0:I:12672186:12678074:-1 gene:SETIT_016320mg transcript:KQL29278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGNTKFDASQYAFFGNNAVEEVDLGGLDDDDGDTAFVEHGDEEYPLYGRDNILEDEGVGSFTDVDDLAGTFSKLTRIVNEPKQPGIVGHRGSVSRQSSNADWVQETGSSYWPAQPVLDTDHGLDKKNWWSQPPHSVDFMDSRLHRTSSSPHQDAQYNHAEPILGAKPSPLHRTSSYPQQDPQYNNTEPIPVPKSSFISYPPSGAASHSSPSQPHHMNMPSPPTAFQMPMSAQNDLPLPQFHHGGTPPGPPFGRELAHMGPMGVATKNVQQNHVLNSGQMHGNGARFMPGLMQHQLQRPNGLMPPQMQPPRQQHGMLPIQQSPPHFSQLHAQMLGPHHSPPQSMQMFGPQHPPSQVMGRFDGNFTMPDLSDPRARSMLQHGRLGQRYPHQGYEVNNMRMDNGWPRFRSKYMSTEEIENIARMQQAATHINDPYIDDYYHQACLAKKSAGAQLKHHFCPTLIRDPSSRARSKDEPHAYLQVDALGRLPFSSIRRPRPLLDVEQASAPSENTEKSASKPLDQEPMLAARITIEDGLCLLLDVDDIDRLLQFSQQQDGGLQLRNRRQALLEQLAESLQLVDPLAPNKNAPLSSYDDLVFLRIVTLPKGRKLLSRYLELVTSGSVLARIACMAVFRHLRTIFGNMPSEISAAETMTRLARAISTCVFRMELSDLSACLAAIVCSSLQPPLRPLGSPAGDWASVIIKSVLDRATVLLTDQHVASAYSVQNRALWQASFDAFFGLLTQYCMTKFDSVVHTAQLQPAAAAVISREMPVELLRASLPHTNADQRKQLLSFAQRTVPVGTHSSHGSGSGPMTSDSVPS >KQL28505 pep chromosome:Setaria_italica_v2.0:I:6320756:6325083:-1 gene:SETIT_017477mg transcript:KQL28505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVWQRSSKDMTAMPPPRHRGAAKKPMWIIVLLSLVCVALMGAYVYPPRRYSACYFFASSVCTPFKDWLPNVARERTDEEIVSSVVNRDLLSMPMPVSKNPKIAFMFLTPGSLPFEKLWEKFLQGHDGRYSIYIHASREKPVHSSSLFVGREIRSEKVVWGRISMVDAEKRLLANALEDVDNQFFILLSDSCVPLHTFDYIYNYLMGTNVSFIDCFLDPGPHGTGRYSTEMLPEIEQRDFRKGAQWFAITRRHALLILADNLYYNKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGIANWSLTHVDWSEGKWHPRSYRAADVTYELLKNITSVSENFHITSDDKKVATVAPCMWNGTKRPCYLFARKFYPETLNNLLKLFSSYTSA >KQL28579 pep chromosome:Setaria_italica_v2.0:I:6794833:6795294:1 gene:SETIT_020444mg transcript:KQL28579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCDDELGMGRTLSTYLNLRIKPLSLILCAVSYHADHGFV >KQL29398 pep chromosome:Setaria_italica_v2.0:I:15085409:15087355:1 gene:SETIT_016951mg transcript:KQL29398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPVESSLLLFLVLLSPLVASAGIAKLNSSSPLFGIEFPPFNTAVSDTGCDGKLVVAEEARDEQTQPASLSPSLKLQMTRRAAAAGWTRKDSFLDSAQKDAVRIETMHRRAAARAGGERAYSPRRALSERLVATVESGVAVGSGEYLMDVYVGTPPRRFQMIMDTGSDLNWLQCAPCLDCFEQRGPVFDPAASSSYRNVTCGDQRCGLVAPPEAPRACHRPGEDPCPYYYWYGDQSNTTGDLALESFTVNLTAPGASRRVDGVVFGCGHRNRGLFHGAAGLLGLGRGPLSFASQLRAVYGHTFSYCLVDHGSDVASKVVFGDDDALLLAHPRLNYTAFAPASSPADTFYYVQLKGVLVGGELLNISSDTWGVSKDGSGGTIIDSGTTLSYFAEPTYQVIRRAFMERMGRSYPLIRDFPVLNPCYNVSGVERPEVPELTLAFADGAVWDFPAENYFIRLDDDVMCLAVLGTPRSGMSIIGNFQQQNFHLVYDLKGNRLGFAPRRCAEV >KQL30298 pep chromosome:Setaria_italica_v2.0:I:29764538:29768397:-1 gene:SETIT_017536mg transcript:KQL30298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSTPLLRRLLAPSPSPTPSTPLAGAASRRSVTYMPRPGDGAPRGVTLIPGDGIGPLVTGAVRQVMEAMHAPIYFETYEVRGDMPTVPPEVIESIRRNKVCLKGGLATPVGGGVSSLNVQLRKELDLYAALVNCFNLPGLPTRHDNVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVAKKYPGIQYNEIIVDNCCMQLVAKPEQFDVMVTPNLYGNLVANVAAGIAGGTGVMPGGNVGQDHAVFEQGASAGNVGNENIVEKKRANPVALLLSSAMMLRHLQFPSFADRLETAVKRVIAEGNYRTKDLGGTSTTQEVTDAVIAKLD >KQL31661 pep chromosome:Setaria_italica_v2.0:I:39073279:39073816:-1 gene:SETIT_020276mg transcript:KQL31661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGALHQATILSKIRHLEETAWCGRGCCEEDGWGREGGFHCNDEGGSKHCGIFYQIDARGCYALGTLTLSGSQYLRLV >KQL31993 pep chromosome:Setaria_italica_v2.0:I:40808946:40809680:1 gene:SETIT_018907mg transcript:KQL31993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKFVFPCWWLMLGTWVSALAYCNFTTKSFRCPQHMSSNFTAGEAFQSVLLCIGEHVIFHQKYTSQSYKYNINFKLI >KQL31072 pep chromosome:Setaria_italica_v2.0:I:35408455:35410836:1 gene:SETIT_017390mg transcript:KQL31072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSAHTTTSLHSPCTTVSNAGFRQKQVIFFTSNRRGGRRHGGARTFQISCSVEKPVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANNFDLMYEQVKAIKEGQTIEKPIYNHVTGLLDPPEVITPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIQASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKHFNPVYLFDEGSSISWVPCGRKLTCSYPGIKFAYGPDTYFGHEVSVLEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLYEQIVAERAGAPAESAKV >KQL31071 pep chromosome:Setaria_italica_v2.0:I:35408455:35410214:1 gene:SETIT_017390mg transcript:KQL31071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSAHTTTSLHSPCTTVSNAGFRQKQVIFFTSNRRGGRRHGGARTFQISCSVEKPVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANNFDLMYEQVKAIKEGQTIEKPIYNHVTGLLDPPEVITPPKIFVIEGLHPMFDERVRDLLDFSIYLDISDEVKFAWKIQRDMAERGHSLESIQASIEARKPDFDAFIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVKHFNPVYLFDEGSSISWVPCGRKLTCSYPGIKFAYGPDTYFGHEVIHLCLFVFFSQEKVCLLLGN >KQL28705 pep chromosome:Setaria_italica_v2.0:I:7607809:7610958:1 gene:SETIT_016179mg transcript:KQL28705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALHFSHMKYSNRFPTTFLSLALVLLLFLASPTSACTEQERNSLLQFLGGLSQGGNLTLTWKNGTDCCTWEGITCSPDRTVTDVFLSSRSLQGFISPFLGNLTGLLRLNLSYNLLSGGLPLELVSSNSIIVLDVSFNQLNGNLQELPSSTPARPLKVLNISSNLFSGQIPSTTWEVMKSLVALNVSNNSFTGQVPTTLCVNAPSFTLLELSYNQISGSIPPELGDCSNLKYLSAGHNNLNGTLPDGLLDITSLEHLSFPNNQLQGSLNNISKLKNLVTLNLGGNFFDGNIPDSIGELKRLQEISLDHNQMSGEIPSTLSNCTKIITIDLNSNSFSGQLTKVNFSNLPNLKTIDLMGNKFSGAIPESIYSCNNLTALRLSFNSFHGQLSEKIGNLKFLSFLSLVDISLTNITSAFQILRSCNNLTTLLIGLNFKHEIMPQDDRIDGFENLQVLSMYECSLLGRVPPWLSKLTNLEVLDLHSNKLTGLIPDWMNNLKSLFCLDISNNSLTGEIPTALMEMPMLKTDNVAPKIFELPIYAAPALQYRMPSAIPKLLNLAANNFTGVIPAEIGQLKVLLSLNLSFNKLSGEIPEAICNITNLQVLDLSSNDITGTIPAALNDLHFLSRFNVSNNDLEGTIPTGGQLSTFTNSSFDGNLKLCGPMLVNHCSSAEAPSTSKKQRKKTAIFALAIGVFFGGIAILFLVSCFIIFFRSTSFMTRHRSNSKDMIEEIPSSFRLEQSLVMVPGKGEKDKITFTDLVKATNNFDKENIIGCGGYGLVYKAFLPDGSKVAIKKLSSEMFLMDREFTAEVHALSMAQHDNLVPLWGYSIQGNSRFLIYSYMENGSLDDWLHNRDDGASSFLGWPMRLKIAQGASQGLSYIHNVCKPHIVHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTIELVGTLGYVPPEYGQGWVATLRGDMYSFGVVLLELLTGQRPVPISFVSKELVQWVWEMRSKGKQIEVLDPALRGTGYEEQMLKMLETACQCVNRNPSMRPTIQEVVSCLDSIDTNLRIQNSVNIE >KQL27833 pep chromosome:Setaria_italica_v2.0:I:991747:994346:1 gene:SETIT_018321mg transcript:KQL27833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPAAAMATATATATLPLRLLASKTLTLSSLPYARRSLSVSAVAHRRWQLRAAADPDAVEVEFVEPEAEAEEEEPAVPEPVEAQLAAAGAGKDADIFAVVMIGSRQYIVMPGRYIYTQRLKGANVNDQIILNKVLLVSTRDKAYIGMPVVTNAAVHAVVEEQGRDDKVIVYKYKKKKKYQRKLGHRQPNTRLRITGISGYEEYPADPILDPAAA >KQL28643 pep chromosome:Setaria_italica_v2.0:I:7174716:7175544:1 gene:SETIT_020255mg transcript:KQL28643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGRESRWPEFAALRRALMARDAAVPGRELRQQQQQPGWHGGDHAAAAGGNGKRAKVMHLLLWGPK >KQL28912 pep chromosome:Setaria_italica_v2.0:I:8836564:8840659:-1 gene:SETIT_016610mg transcript:KQL28912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPAAVLHAALLRASSSASRLPPCITFNSLLAAAASSPHPRLRALALPALALAHAAGRVPLDSYALCPVLRAAPSAAGMLHALAAKSGWLGSVYVSCALAASYGGSGQFLDARRLFDESPAKNGVFGNAVLAAYVGAEKWTPVLGFARRFLELRLQVNGCTMTAVVRACGEVANSDLGVQAHGHAIRKLGGVEADVFLVSALLDMYAKCGLVHQAERVFCLAQQENGGRGDVVLWTAMLNAYGRHGQCKEVIQMYDLMVASGIYPDQLAMLAVLSACQHAGEVAKGLKHFESMHENYGLVPTPEHYGCVVSMLCRAGEVAKAWEIATKNGCDSAIGVSTWGALLSACQDCGNVEIGRMAAQKAIELEPANVGIYIELSNLYARACLWEEIDQLREESNGGGQKQPWYDNNEGKECIPSLRAFCSAAELYKHSGPLTSCEHTRTHSGCPVLGPKMGGSFSAFNKFGLPGLSTATTKQVYERHFKNKKTGKFEDFHIAYVEFCKYFNTVMPGQDFDTPSLEIIQEFYEKKWEPEKNDERRKELFFEFMKKEVKEATVDDSFFIAAGLAAPVAAVIGKRASGHIPYVKSLRLDMVPNVVFVPMVTLLGIMGATAWQMSSKSAAAKGEEEAKEDEKQRKDQSSNKAN >KQL28665 pep chromosome:Setaria_italica_v2.0:I:7364588:7366665:-1 gene:SETIT_018685mg transcript:KQL28665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADTSSSDKEQVIPTIDADQAHALLSSGHGYIDVRMREDFDKGHAPGSRNVPYYLSVTPQGKEKNPQFEEEVASLFGKDDVFIVGFKNARNLQGGYRSFLQSANQQPAQQQ >KQL28666 pep chromosome:Setaria_italica_v2.0:I:7365248:7366643:-1 gene:SETIT_018685mg transcript:KQL28666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADTSSSDKEQVIPTIDADQAHALLSSGHGYIDVRMREDFDKGHAPGSRNVPYYLSVTPQGKEKNPQFEEEVASLFGKDDVFIVGCNTGNRSRFATADLLNAGFKNARNLQGGYRSFLQSANQQPAQQQ >KQL30530 pep chromosome:Setaria_italica_v2.0:I:31479578:31480495:-1 gene:SETIT_018748mg transcript:KQL30530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTGGRRAQRRWTSARISSLNRPEEKLRVAPGRRWRREYGDGAGGSKGWVHRNVRQAVVPSGEDAKQPLYHVALSTPYVAGLDPLAGQALTREGPAARRGRVRCRSSCGLRAS >KQL31771 pep chromosome:Setaria_italica_v2.0:I:39689626:39691961:1 gene:SETIT_019948mg transcript:KQL31771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVGVLCVLLAAVTAAAAAAETEAHSSYIVHVAEEHAPRPSSRPRLLARAYTTFLRDSLPAGISRPAPRLLYSYAHAATGFAARLTERQAAHLASQRSVLAIVPDATQQLQTTRTPSFLGLSHSSGLLPRSNGATDVVIGVIDSGIYPKDRASFVADASLPLPPRKFQGTCVSTPSFNASAYCNNKLVGARFFYQGYEAAHGRLNETKESKSPLDTIGHGTHTASTAAGSAGADAAFFSYAKGKAIGMAPGARIAAYKPCWTKGCTDSDTSWRLKRPSPTGAVRRGIVVSASSGNFGPGEFTAVNIAPWILTVGASTIDRHFPASVVLGNGETFTGTSIYAGVPLGEAMLPLVYGGDVGSNVCEAGKLKASLVAGKIVVCDPGVNGRAAKGEAVKLAGGAGTILVSSKEFGEQAMTTAHILPATAVVFTDAEKIKNYIRSNASPVATIKFQGTVVGQTPSSPRMASFSSRGPNRWAPEILKPDVTAPGVDILAAWTGENSPSQLDSDPRRVKYNIISGTSMSCPHVSGIAALLRQAHPDWSPAAIKSALMTTAYNLDNAGDIIKDMSTGKASTPFVRGAGHVEPNRAVDPGLVYDAGADDYFSFLCALGYTTEQIAVFKTKDDPAVDCSTRTASAGDHNYPAFSAVFNSTNGEVTQRRVVRNVGSNVEAKYTPSVSVPAGVRVMVKPRKLRFSAAQKTQQYEITFMARGEGSVADKYTFGSIVWSDGEHKVTSPIAITWPWPAPRAAAM >KQL27815 pep chromosome:Setaria_italica_v2.0:I:851070:858815:-1 gene:SETIT_016104mg transcript:KQL27815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METALGAANWLLSKVLNKLSDDLVAGYVASHELGLNFDKIKTELKYTLGLLHGAQGRDFSHNPGLQGLLEDLSKKADEAEDALDELHYFMIQDELDGTREATPDLGDGLGAQALHARHAARNTAGNWLSCFSVCCRSQDDAAAAAAAGTGNTSKAVDSFNHIDSGYADKLIFDRVAMSNKIKQLIEDIHSLCPPISKLLEINNSSKIPPKSMERPAIGSTIRQEEFYGRSTIFKQTVDRMTSGTCSDETLSVLPIVGPGGIGKTTFTQHLYNDQRTEEHFAVRVWVCVSTNFDVLKLTKEIRSCIPAGENEGETDNLDQLQKSIAKRLKSKRFLIVLDDIWHSSEDRWVNFLASFTMREAEKGSMVIVTTRFPYIAQMVKTTTPVNLEGLEPAEFWVFFQACVFGEVIAEHDNKEELIDIARQIANKLKCSPLAAKTVGRLLKKRFSREHWMGILQKKEWLNQTHNDDIMPALKISYDYLPFHLQKCFSYCGLFPEDYKFDSLEISRFWISIGIIDSCSQNDKIEDIGSKYLDELLDNGFLMKGDQNYYVMHDLMHELAQVVSSKECAYISCSSFRADDVQPSIRHLSILMSDDYNETFGEEMDKLRRRVDIGNLRSLMISGEYERASLVNILKETFKEIKGLRVLFIFMNSANSLPPSFSKLIHLRHLKLMSDYSNSKLCCPRIVSRFYHLKFLDLQDWGRMCDLPKDISRLVNLCHFGAWEEFHTNIPEVGKMRLLRELKGFHVKKESAGFELRELGQLAQLGGKLSIRGLENVRSSKEAAEARLMAKRDLIKLELFWSREHQSKVDDILDDLQPHSNLRDHRIVNHGGPAGPSWLCGNIRMKNLETLHLEGVSWSTLPPFGQLYHLRKLKLKNIVGICQFGPDFSGGITEKSFTHLKEVQLHDMPELVEWIGGGNTHLFSRLERIKCSYCPKLTALPFSRCSSSSTQDNTIWFPNLCYLSTDECPKLSLPPLPHSRMLSSIRTDSLFYEERVLSIKMPGEFAFHNLGEVEHLMTCDASLISFTDLQKLHPLRSIQVRSCEDTFLRGLDDDIVLYSVQSLHLSDFIVTRKSLSNLFKCFPALSDLSVGASSEHHDEVVVLQVPPSSSLRKIWLYGCKNLILPMEDGGGFQSLLSLKSVCICDCNMLWSRWSTGEAAQGISPFSPQVEELYLCDEPSTLSMALFSNLTSLTKLKLRDCKNFTMDGFNPRITSTLESLEVFNSRDDETDPYSIAADLLAEVVRTKTMPAGSFQLVTLVVDSISAVLVAPICTRISATLRELGFLNDWRVESFTEEQEQALQLLTSLQRLQFDGCRVLLSIPRGLHCLSSLETLRIRESPRIRSLPKKGLPDSLKEFYVFDCSDELYEECRKLRGTRPDINTM >KQL31992 pep chromosome:Setaria_italica_v2.0:I:40806413:40808758:1 gene:SETIT_016367mg transcript:KQL31992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPCDCFLHVPAPPLTLLQNCTASASCRDKGLTFLIHSVYAPARTYEICPEPAVPCTVARQTGKGKKKSNWVHYGGSLPAMLEALEDVQDVEEALWSWKDTLSNRERTILLKEQKDWRRAVEIFDWFRRERGHELNVIHYNVVLCAVGRARRWDLVLSLWHEMHSCGVAPDNSTYGTLIDVCCKGGRERMTLLWLGDMCKRGLTPDEVTMSIVLQAHKKAGEYEMAELFFRKWSSDSSRRMEGHPRYSLYTYNTLIDTYGKAGQLEKVSDTFNQMLREGVAPSVVTFNTMIHVWGKHHRMERVASLVKMMEEFQCFPDRRTYNILISLYRECNDIDVAEYYFWKMKAENLVPDVVSCRTLLYGYSIRGMVTKAEALLKEMDERGFLIDEYTQSALTRMYVNAGMLEQAWHWFDRFHHQMNSECFCANIDAFGEKGYIVLAEKAFICCLKKKMLSVSVCNVMIKGYGLVEKLDEACEVADGMERYGILPDYVTYSSLIQLLSTAKLPKKALHYLKKMQAVKLLSDCVPYSMVISSFAKNGDLRMVEYLFREMITSGIRADVFLYSILIDAYAEAGKVQQAAAYFGLIKKDGLCESATIYNSLIKLYTKVGYVAEARETYKLLRSLDTDANPYASNCMIDLYCDHCMVKEASEIFESLKARGSANEFSYAMMVCLYKKIGRYDVAHRICKEMQALGLLTQAQSYNSVIQMYVSGGRMEDALKIFKKMLVSNTPPNDATFKALNVILVRSGVTRNKIRKLELLRRNNTHDYLCQWYTALSLQL >KQL30328 pep chromosome:Setaria_italica_v2.0:I:29949233:29951372:-1 gene:SETIT_017773mg transcript:KQL30328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTCAHVEFLRAQPAWALALAAVGLLVALRAAIRLALWVYAAFLRPGKPLRRRYGAWAVVTGATDGIGRAIAFRLAAAGLGLVLVGRNPEKLAAVAAEIKAKHPKVPEVRTFVLDFAGEGLAAGVEALKEAIRGLDVGVLVNNAGVSYPYARYFHEVDEELMRSLIRVNVEGVTRVTHAVLPGMVERKRGAIVNIGSGAASVVPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIRKSSFMVPSADTYARAAVRHIGYEPRCTPYWPHSVMWFLISILPESLIDSVRLGMCIKIRKKGLAKDAKKKSL >KQL30636 pep chromosome:Setaria_italica_v2.0:I:32317405:32317858:1 gene:SETIT_020353mg transcript:KQL30636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWSPNCSASRRNSTPRWCYPRPRAARRAAPWPRAAPSQSCLASSPSMQAGGLARAASILGLGRGGAQD >KQL31051 pep chromosome:Setaria_italica_v2.0:I:35281307:35281696:-1 gene:SETIT_019597mg transcript:KQL31051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYPWGLGTARLARLFVPLYGRRPRLRMPRVWRAASRRSRMLWSDLSSAPKMKMARHSAFFASRAACPSGSSGEFSARRICRYSDSTTGRFRARSSAVAQISPLPAPPFAIIPPPCSPRRTTPTGTRGFW >KQL29835 pep chromosome:Setaria_italica_v2.0:I:25353276:25353840:-1 gene:SETIT_020266mg transcript:KQL29835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTSCSDSEGACGEVRLVQRAGPVRDQLARILLPDARSRVSRKCISRILLIWS >KQL31443 pep chromosome:Setaria_italica_v2.0:I:37562211:37564237:1 gene:SETIT_020415mg transcript:KQL31443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQVITACFSSFSTPLSLWIRFRAFLVAIGSYMSWMAPGQQAN >KQL30713 pep chromosome:Setaria_italica_v2.0:I:32838588:32840495:1 gene:SETIT_018081mg transcript:KQL30713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLNPAPPSSSGSSAAQQQQPPPLCDICQEKRGLLFCKEDRAILCRDCDVSVHTASELTMRHTRFLLTGVRLSAEPAACPAPPSEDENSSGSFCCGSAGDAAPPSSAPATSHGSDSSSISEYLTKTLPGWHVEDFLVDEAAAAANNGVSADASYQGGLARIGIMPDGYSAWMAQDQLFGDSAAAAGVGRASRERWVPQMMYGGAELAVAGSKRPRTASTAYSYW >KQL31512 pep chromosome:Setaria_italica_v2.0:I:38085406:38086683:-1 gene:SETIT_019132mg transcript:KQL31512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGQSCLISRSLPSSCEQESRLAYMTYHLLEITRSKRPPGTLSIEHDVAAVAALTKRTKSAENQKGEPLDSQGSTDQGDSDSSTLISSIGRDNSINCLARCSRSDYGSIASLNRSFRSLVRNGDLYKERRQLGISEHWVYFSCNVQEWEAYDPYRSRWMTLPRMPHNECFMCSDKESLAVGTELLVFGKEILSHIILSYSILTNSWSRGVEMNAPRCLFGSASFGEKAIIAGGMDADGRVLRSAELYNSETKRWITLPSMNKARRMCSGVFMDGKFYVIGGMASNTEVLTCGEEYDLDRGTWRVIDNMSEGLNGASGAPPLVAVVENELYAAQYAGKLVRKYNKRDNSWTTLGELPERPEAVNGWGIAFRGCGERLLVIGGPRVLGGGMIELHSWIPREGPLQWNMIGSKPSGNFVYNCAVMGC >KQL27893 pep chromosome:Setaria_italica_v2.0:I:1465442:1466089:1 gene:SETIT_019553mg transcript:KQL27893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLYPRHRLQASSVVDGGDQDIEADGYNPFYGIAVVCVTIFLFCVLAASVSVWKALAYAALAALLLGVAGCFAPKGWFRPSGRGASAELVVVTVTAATGPAVPGHACALVNAPPAFAFQCPVEAGGGGGEAAAASCVVCSVCLEDVRGGEMVRQVPACRHVFHVGCIDMWLHSHRTCPMCRCVVSPPVAKVTPKDAAAEAAPESSDDHHELPPV >KQL29081 pep chromosome:Setaria_italica_v2.0:I:10216744:10218257:-1 gene:SETIT_017899mg transcript:KQL29081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRSCEKPKMNYRKGLWSPEEDQRLRDYILKHGLGCWSAVPAKAGLQRNGKSCRLRWINYLRPGLKRGMFSQEEEDVVINLQAKLGNKWSQIAMHLPGRTDNEVKNYWNSYLKKRVMQAQGGSNPKSPPELTSMSTTEPAMSMSMPHHHHVKNSSGGSTTTSHDQDATNISSNGGGSHGLSAPAPLLTAQQPFDHQAQQQPKNFVFSDWGVPAPAPAPESYSVSAHWPASTASSGNVTPSHGGAFGDRMSGSYGALPLHQDHQIGAAAAGHGAATGIAGSGYFDLLNMGDIYGGFSSTNDDLLF >KQL28014 pep chromosome:Setaria_italica_v2.0:I:2591777:2593625:1 gene:SETIT_017956mg transcript:KQL28014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSYLLLLLVCNNGRVTKLSFPNFNITNPIPASICSLKNLSYLDLSYNNLTDHFPTVLYGCSALSYLDLSNNLFSGALPADIDKLSSEMEHLNLSSNGFTGSQQAYNKKLHELVVFNNSFSGVLPANIGDCQTLDNIMAYNNNFTGEFPEKVWWAFPMLATVMIQNNGFTGTLPSVISPNITRIEMGNNLFSGAVPSSAPGLNAFKAQNNQFFGALPANMSGFANLTDLNLAGNRISGSIPPSIQSLKSLNYLNLSSNQISGEIPAAIGSLAVLNILDLSNNKLSRWSPASC >KQL31367 pep chromosome:Setaria_italica_v2.0:I:37132377:37132795:-1 gene:SETIT_020498mg transcript:KQL31367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPGTRGPPGPKLGFGTNVANISTGFKSDRY >KQL31518 pep chromosome:Setaria_italica_v2.0:I:38148379:38152221:-1 gene:SETIT_019864mg transcript:KQL31518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKETKITRLCHETTILAVNDQFPGPTIYARKGDVVVVNVYNQGNKNITLHWHGVDQPRNPWFDGPEYITQCPIQPGANFTYRIIFSEEEGTLWWHAHSDYDRATVHGAIVIHPKRGSAYPYLKPHKEIPIILGEWWNVDVGKLFEETRTGGDANISDANTINGQPGDQFPCSKNGTFRMLMFFGVAGHRLTVVGTDGRYLKPFSVESIMSSPGQTMDVLLEANRPTDGSGNSRYYMAARTFSSNPQLESNNSNATAILEYTDAPPSAGPPDFPNLPTVDDIAAATAYTAQLRSLVTKDHPIDVPAHVDEHMLVTIAVNLLPCGANETCKGPINGSRLAASLNNVSFVAPSVDVLDAYYYSIRGVYEPDFPNKPPFVFDFTDSTTPPLELSFTKRGTKVKVVEYGAVVELVFQDTGILGAESHPIHLHGFSFYVVGRGFGNFDKNKHPATYNLVDPPYQNSVSVPKAGWATIRFRAANPGVWFMHCHFERHTVWSMHTVFIVKNGRTPDARMMPRRSTMLKC >KQL30941 pep chromosome:Setaria_italica_v2.0:I:34546348:34548708:1 gene:SETIT_016535mg transcript:KQL30941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSSPSSPPLAHGASTAAAPASSVAFLRGAAARRDAPLTSAVHAALLKSGELHPAQPLAVSNSLLHAYLQCGLLSPALRLLDETPRRDAATYASLISAHCRRGAPLDALRAFLDMLARGGTGDQAEDGTVRPNEFTASVILQACGLARDGRLGRMVHGYLIAGGFCGDPFVVGSLVNMYAKVGDAASARRLVFGLSCRDVVSWTAIISGCVLNGMLDEALEVFVMMLEDGVLPNNVTMLSVIQACSLMGASELFGPVHALVVLLELKDDASVVNSLIIMFAKNGFVEEAVWLFNDLYLKRSQKNGEGIHAHLIKMGAFPSISVGNSLMGMYARFEQVDAVHLVFGVMEVKDIVSWNTIISCLAKSDHVNEAMELFSVLHARGGGLVPDLVTVLSIVQACSNAGLLHQGQMLHGCIMKSGFVYDVSICNALISMYAKLGRIDFAEMIFERMDIKDLVSWNSMITAYGMHGDGHSALRIFNQLKDAGTPSPNAITFVSVISACSHAGLISEGYKCFKSMRTDHGIEPSMDHYACVVDLLGRSGKFAEAEEFIRDMPVAPNSSIWGPLLAACQLHGNVDLAEKAANELSALEPESDIWRVSLSNTYAFARRWKDAAMIRTEMRRVGLRKETGWSFVDVGGVEGFKFVSADTRHHDAEKIYSVWHSMNKHMADLAADVHKLSPIGAV >KQL30100 pep chromosome:Setaria_italica_v2.0:I:28005200:28011497:1 gene:SETIT_016606mg transcript:KQL30100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLRWRRLAGAATRAASTLTAAGAAAGRECSPAAAGAAAPLPPPPPHRRVQERRKWESSSSGGSSSSSSTDEPEPRRIRAEAHCPRCSKHMDILFAHRGLPPAAPGGYQALNLCPNCRSAYFFRPHDLAPLQGTFVEIGRVRADLLDHPAARARDSSFWEAIRTSSSSRDDGDGGGVAVHVPPGPPFHPNLNVVRVAGGGGGGGGGGGAGGEEGAGNEGWGGSSLGKDLPTPKEICKGLDKYVIGQDRAKKVLSVAVYNHYKRIYHQSLQKGSGADSGGFDGEADDDDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVADFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRGSGISSAQVTSSLLESVESGDLIAYGLIPEFIGRFPILVSLAALNEDQLVQVLMEPKNALGKQFKKLFSMNNVKLHFTDGALRIIAKKAMSKNTGARGLRTILENILMDSMYEIPDAKSGEKRIDAVVVDEDAVGSVDQPGCGAKILYGDGALDQYLSKIKASGDGAGSEVDGEAELSPSRAIGM >KQL29987 pep chromosome:Setaria_italica_v2.0:I:27304311:27304849:1 gene:SETIT_020270mg transcript:KQL29987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHPSDRAGRLRGWRVRRGRRQSPRLGDALAEWLSMLSLYRSCKRAAACFAAKAKSPAA >KQL31922 pep chromosome:Setaria_italica_v2.0:I:40441789:40443886:-1 gene:SETIT_020239mg transcript:KQL31922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVARRSGLAVADFLHLASRTPNPKPFSSSALSSSSSSAPPKTARVREDDLSRHLLRLRFRPPRGAAAAAVERWAQVRGHVSQLELHRAIVQLRGARRYEHALEVFSWMDSCNSLELSSWDHAARLGLIAKAHGTSQAEEYYKKLKSAAAKTAASFPLLHCYVTDRNVQKAESFMAELQSCGLPVDPHTFNEMMKLYVATYQYEKVLSVIDLMKRNNVPRNVLSYNLWMNACAQVSGVASVQSVFKEMVNDGMVEIGWSTYCTIANIFRMHGLTTKAQACLRKAETKLSPTGRLGYSFVMTCYAALNDTDGVMRLWEASKSVPGRIPNANYMTAMLCSIKVGDVGRAEWIFGSWEADCRKHDVRVSNVLLGAYVRNGWIEKAERLHIHILEKGARPNYKTWEILMEGYVQSKQMDKAADTMKKCLSLLKSCHWRPPLELLEAIAKHFEERGSADDAYRYTKVLQRFNLTSLPLYKSLLRAYINAGIVPPNILEMIAKDQIIMDEEMDRLIILAVDFVN >KQL30572 pep chromosome:Setaria_italica_v2.0:I:31837525:31843956:-1 gene:SETIT_016513mg transcript:KQL30572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSKRYLLMTALILIIMVISVAMNAALIVALVIKDCGLAEFVRIPNTSFVQIVLVALLSFNFTICLVFKLLWSVRTSVDEKIDPQVLLENGHNHRVGQKKNPSTDATDINDHVLGELEDTIKAPGAGLDPVLGSECETTPEQIMIFNENDETFDVGETPLSKISQEHLGQTVGKEIPISKDLCVKPTDPVSCHNSFPPSEHEGIARSLILPSGQPSTSKVHSTKNMLDMIDYLAVEGFANGEIVRNAVSDALSQQVAELISTRRKEKNETLRFCNEYASSVNFRQFREPFSAHLQFADFLDVFWRNLFDLHGKLTEKGKIIRLDLLIGLDIEEKIKSCPCCFDGTPEGLCGPFNPVNLSIMKTPPLFKINNSICPEQGNCTLPAVVHLRKCIMDSFLQEDRSLLESDEVSYFVMENDHIQYEVPIYKKLHRIAKSIGMGSNSTSYRAPCPLEELSAMTVLVKKCSSQQVAQQASKTNVYFSYNELKGLLLNSFRACILNLSNLEKADWLFQHRGGHDEKLVGLAAEMVIFRDICPIGAFSPNAVVDSDGKMFNVCTSVLGCGDACIWLPSLIISFGVWCISRIFEVLLLEKQPVLLGEYSRVLNRLQGILVPAFLNPVPPVSICPCAKAVENSRLLDGSQRISAGAVLEKLIEVEARAAIFGPEHAGVHDKEKENLELVLNRYRAWLSKVVFGE >KQL28438 pep chromosome:Setaria_italica_v2.0:I:5904519:5904965:-1 gene:SETIT_020540mg transcript:KQL28438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTFLINLHVSTNVRAKLISFLHACISILVSSYTNMASKIVHDLPE >KQL29948 pep chromosome:Setaria_italica_v2.0:I:26865326:26866074:1 gene:SETIT_018928mg transcript:KQL29948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFVHIKDYFAVHYLQHSTHAKSRCSVDWLFSHHTAFNAQSQSWNDPLILFIQQCRRKPAVKFNTTGKSEM >KQL29531 pep chromosome:Setaria_italica_v2.0:I:19940723:19941728:1 gene:SETIT_019766mg transcript:KQL29531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHSVVTIPLAAAVAMLYARLVATLVRPDLSRLLVLAPFKLLLLTSGRGPLDPALRPIPFVFTATLPVKLLPQSPDAAAGAENVAIMVALLQVFHVKDQMHPYAVFALYGIYIYCFLDFLLPCLAALGRALGMGLEPQFHKPYRSASLQDFWGRRWNLMASAVLRPAVYVPVRAGLGAPAGVLATFLVSGLMHEVIAYDITFRLPTGQLTAFFLLHGASVCTEKWCARRWPEYTRLPRVVGTPLVVLFVVGTALWLFFPPLFGDGMDDRFIAEFNALLASLVDAGGTLLQLAGI >KQL29141 pep chromosome:Setaria_italica_v2.0:I:10639257:10642639:1 gene:SETIT_017689mg transcript:KQL29141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGIATSLLISNISVVLKEVNPQFLQRGQKMIAANLEGLVKRGSLTKDKINKAMSLLKGALDYSEFKDVDMVIEAVIENIPLKQSIFSDIEKICPPHCIFATNTSTIDLNVVGEKTNSQDRIIGAHFFSPAHIMPLLEIVRTEKTSPQAILDLITLGKIIKKVPVVVGNCTGFAVNRAFFPYGQGSKLLVSLGIDVFRIDRVISSFGMPMGPFQLQDVAGYGVGLAAKHIFAAAFGERNFSCDLMDLMVQDGRQGKSNGKGYYIYEKGRKPKPDPSVQHVIEEYRNRAKTMPGGKPVILTDLDILEMIFFPVVNEACRVMDENVVIQASDLDIASVLGMGFPKYR >KQL29208 pep chromosome:Setaria_italica_v2.0:I:11378052:11379761:-1 gene:SETIT_019239mg transcript:KQL29208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVRIGSAYPTTLPSPRMLAADRELHSPRRELPSGFRKSLNPIYADAVHDAPPLSGSSSTTTTATATATASDSSSSSAAANHDEDADAAPAVKRVPARRHTGGGGDGRWEAIRAAEPPLSLGHFRLLRRLGYGDIGSVYLVELRAGGAGGRGALFAMKVMDKGSLAGRNKLARARTEREILGLLDHPFLPTLYSHFETDKFCCLLMEYCCGGNLHSLRQKQPNKRFTEDAARFYASEVLLALEYLHMLGVVYRDLKPENVLVREEGHIMLSDFDLSLRCSVSPALVRSPSGRVSGGGGLAHVCMLPRILPAKKSKKKKNKGDKDKAELDDEPVATSGSGKKKPPPPTSLEFTAEPTGARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGATPFKGSGNRATLFNVVAQPLRFPDSPAVSAAARDLIRGLLAKEPQNRLAYRRGAAEVKQHPFFEGVNWALVRSALPPYIPDAAVDHGSQFACDAAGGAPAAQAQSGTPKSAGRKTSSRHTDSSHVDFEYF >KQL29303 pep chromosome:Setaria_italica_v2.0:I:13000244:13000733:1 gene:SETIT_019028mg transcript:KQL29303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFVCCNKGVSLGCAHEGRTMRACIYYFTCPTSKSNEFMSLRKYFPRQIGI >KQL29610 pep chromosome:Setaria_italica_v2.0:I:22008204:22008869:1 gene:SETIT_018706mg transcript:KQL29610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAVIARGGRGGYSIWSPISGPCPAAALSSPCWPIVRSLMEEKALASITSMERDRRRLWWTRPHHRVDEAAASPPLSMVCPPPP >KQL29609 pep chromosome:Setaria_italica_v2.0:I:22008204:22008467:1 gene:SETIT_018706mg transcript:KQL29609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAVIARGGRGGYSIWSPISGPCPAAALSSPCWPIVRSLMEEKALASITSMERDRRRLWWTRPHHRVDEAAASPPLSMVCPPPP >KQL29611 pep chromosome:Setaria_italica_v2.0:I:22008204:22008869:1 gene:SETIT_018706mg transcript:KQL29611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAVIARGGRGGYSIWSPISGPCPAAALSSPCWPIVRSLMEEKALASITSMERDRRRLWWTRPHHRVDEAAASPPLSMNASAFRDHVLPIKMEMDQQPPVAAQHVQVCHWGRTSDACCCVA >KQL29461 pep chromosome:Setaria_italica_v2.0:I:16656249:16658227:1 gene:SETIT_019966mg transcript:KQL29461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLSPYASPLPDLCCDGAVPPRLSPSPTGGPSWEQVHDGLRAGEANPCTCTDPHLPPTRLDTEPWRLETWPHLVWRGDPSTPPNRHPSCSSTPTRLQPTVTPSTSAVAPTPKPNPVNPWCSLVGRPSPSPNPPGGIAWGADLGGFDRNLDHLWQRSESVGKFLKSNPPQPHQMDEGSSYGAQIPPRGGGRSGRDIGGHRREDAQKTEGRVNYGQWDDRRGNFRGGRYSNQHRRQRESERNLNQNFNRNHQFDLRNNLNQGREREYLSKTQEDGGDKEVQQQEAAKPPINKGIHDIEMIDNSGRRDQNLKREEDRMPDENKTNMGICKKCGKIGHRTKDCYKPLLCPRCKKEGHDMANCALITIKEGEVTARQVEGEFKVGPTSTWRWYAKKVVENKFQIKFPTARKVEELSFFTGMQMRMVLDVSFKVEQWNPHAGAKAEISLAWACYVGSLVGIPLEVDKINLKRLDYVRVRIGCKDVTKVPATVEALLDMHFYDFTFQREDSRQTIAHTSMSPPEGLDRSTYEAVYRDVSNMEATLRDGIVHMETKGL >KQL31719 pep chromosome:Setaria_italica_v2.0:I:39360069:39368255:1 gene:SETIT_016143mg transcript:KQL31719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPEPSPPVTTATFPAAGEGHEQHLSTNSSSLFPLFPLAASTAQSQWLSNPSFSFDASSLNIPTTNSSSLPTPLSPSSEDDAPPAPAPAKYDLVPSETDSDDDRGSRSKGRGRKKRRREKERYDGAAASRKAGVRAWAGSEMKPAKDYYVDAKGDHDNLAFGSLYRMDVARYRSQSMLEARGLNRSMFFNRRFGSSHIDLDSDLDGLDNKVRAGGRYYSAKNAVFERNKGFKHLKVMKGDISAMLAEDFVPFDAQSVPVKSTTVEQELEESWEDEILRRTREFNKMSRERPHDEKVWLAFAQFQDKVASTQPQKAARLQTTERKISILEKAVELNPDNEELLLYLLKSYGERDSTENLLVKWERILTKHPDSCKLWKQYLLLCQGEFSRFKVSEVRKSYVYAVQALSAACTKLCRQVNENVDPKSQSSSLAQLELGLVDIFVNLCRFEWQTGHRELATGLFQAQMEFSLFSPPLYLTTSSKQRLFEHFWNSGGARIGEDGALGWSAWLAKDEESRQNLAAQENSQETETGGWSGWFDPSVGNTDTNKSPEPSTDGNDAEDLDAEDTPAQDDVESLLKKLGIDVDAESNSEVKDAETWNRWASMELSRDNEQWMPLHEKSGLLHSGDVPSGEDNVQLSRVILFEDVTEFLFSLSSEEARFSLICQFIDFYGGKISRWTSTNSSSWLDRILSLETITDDILEDLSAVSDIVNKNQDSNSCKLESLLGSMHDLSQRPGLAKFLRNAILLLLDVFPRNHVLEEAILVTTEMFAAKENSSSKANTSRSLAKNLLKKDRQDFLLCGIYGRTEAMHRNFEQARKIFDMALLSTEATTEDLRKKVPILYLWYAEMEIAVSTSRNNSDSMHRAIYILSCLGSNIKYAPFIGPISRPQVLRARQGFKEQIRSLRSGFASGGIKEESVALICSASLFESMTSGYSSGLEVIEEIFPMALSDSSHNSEFEDLWVYYIKLLQKNLKRLSLSRIWPSVSQGVHKYPYNPKSYSAMLTLSYLYSVSNNLRLTLDKCSQRDPSIITLLFALSFEWSKAGSDNRIHSLFERALADDKLQKSVLLWRCYLAYEAEKACNASAARRVFFRAIHACPWSKRLWLDGFQKLSSILTLKELSDLQEVMRDKELNIRTDIYEILLQDETDT >KQL28896 pep chromosome:Setaria_italica_v2.0:I:8746282:8746629:-1 gene:SETIT_020126mg transcript:KQL28896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSASPPSSEVIPKLEDNEVVIFWDLLFIGLRFELDPVLVDILRPFDIYLHQLTPNTLIRLSTYIWICKTTKIKASAAGFAAAHKVHHQPKYLLEDEGGEVIEKEAQFRCLNFI >KQL31363 pep chromosome:Setaria_italica_v2.0:I:37095788:37099309:1 gene:SETIT_017445mg transcript:KQL31363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVLPPLLVGGGLSVSVSVSTATRAISAFHLSPSALRSTARHRGRHRSPVLATASESPSTPLAAAMAESHSRFSRWVVVMDRPPAAAGGSGVSRAEAVDYYASTLAGVVGSEKEAQMRIYEASWDGSYEFRCEIDEGASKELAKMPGVLSVQPDMGQRSQMDNDSLSLSTANLVSIGDGASKSSSGKNEFWLIRMEKPGVEVVTKAQMVDHYTQILMKVLGNEQDAQVSIYHISWERDYGFCCHIDEECAKELADVPGVLSVRPDTNFGSDNKDYKGKNGFKSSEGTGAADIKTKRLFVTGLSFYTSEKTLRAAFEPFGELVEVKIIMDKISKRSKGYAFIEYTTEEAGGAALKAMNGQIINGWMIVVDVAKTRSRDHQSGRPNQTFRPPYHSR >KQL29426 pep chromosome:Setaria_italica_v2.0:I:15676297:15677141:-1 gene:SETIT_019439mg transcript:KQL29426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEWWTRIGTMSGVSRKGLRSLLLLVCWQLWLERNVRMFQRTERHARVLLSHIRDETRTWESAGAKHLATLFEGA >KQL28363 pep chromosome:Setaria_italica_v2.0:I:5412685:5413923:-1 gene:SETIT_020487mg transcript:KQL28363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKSYFSCLFPHKRKEVKCCYITAQLRRAAARFSDNPQSPATRCRCADQCIVIIIRSGKQQQQQRRSGGSSCRLQEKGRTKYFSPFPLSLSSG >KQL28541 pep chromosome:Setaria_italica_v2.0:I:6575156:6575650:-1 gene:SETIT_018933mg transcript:KQL28541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKRKENKWNRSWKGLYERRRVQSRWCISLSMNRARTAKIYTNWQPLLPCASCSSGKACCLPMLNPWEPI >KQL28599 pep chromosome:Setaria_italica_v2.0:I:6942610:6942879:1 gene:SETIT_020081mg transcript:KQL28599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPTEHATVQSLWTIDRPATVPSRQFSTVILLVCWMIWKQRNDLVFQRLKPSHPRFWLQCRDEARLWSLRFKQADRFVADVWCYYFPC >KQL28717 pep chromosome:Setaria_italica_v2.0:I:7705289:7705801:-1 gene:SETIT_019355mg transcript:KQL28717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGINLGGTTLIEYDMKIKTGGHEKDDLQLTDGISVIGSMDTWDCSVFTCRIIGDCGAIDISASRLDHAVEATVEVVISEVQGSFSMCLGCFISELDEEIQLFDGTIVESRGLGRSVVAVVMGTQMDLKFKVGSEPYGSSEYCCSFKARNHGRASELIKTDFASISVK >KQL32240 pep chromosome:Setaria_italica_v2.0:I:41910767:41910990:1 gene:SETIT_0161442mg transcript:KQL32240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTHSTDSLRWRSFSSRKKEKLEVGTWGQEPLTLSSRSARRRLRSVQSLSKAAPTKKKRAKRPMTKRLTGGAGS >KQL30401 pep chromosome:Setaria_italica_v2.0:I:30295620:30297375:1 gene:SETIT_017654mg transcript:KQL30401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVEEDGYSSRCDLCDTEVIHSMTELLLQGLATASVDSTTGDIFKSASSVAVAVKAELENYMLVRTEALVRESVVGDEDHSEQLMKASTRPTEFLSDLIDDFVALKKNVLSHVSGFLSSESRLNKIKDFMQKMETENVWALDARKATAETILVSIDMKCIFHCPERFVEQDKLADHRSQCKFRVVDCKNDGCSASFSAIHIEDHDSICPFKALPCDQLCEQHVMRCEMDRHCATVCPMKLINCPFYHVGCETAFPQGNLDNHCSKLLQTHMLYVLQATTRQNATVNDMNQRLQLLEKAQSLNEMSGALDVRSLTLIIKEQEAKIKKLEHDIKTQEAKMKKLENEFRSRNA >KQL29594 pep chromosome:Setaria_italica_v2.0:I:21713225:21713725:-1 gene:SETIT_018957mg transcript:KQL29594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHFSMWAPLLTRLLMARNLAATWQPLVALVGPSLMCISRAVLPTRPTVIARVRGTVLVDWVQRI >KQL27842 pep chromosome:Setaria_italica_v2.0:I:1047936:1048325:-1 gene:SETIT_019726mg transcript:KQL27842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWFPAVPPALAAADGGRIKKQRAGLPKLLHKLFIKVLRLRPSSAAEEFYGYRMGGGGSGEEYCYYYSYGGAGSSWAGVLSSIPEEDDSSEEGTPDVAPGPAVLRKAQSERFVVGPPDAATVVHVEVLL >KQL32256 pep chromosome:Setaria_italica_v2.0:I:41947822:41951013:-1 gene:SETIT_017376mg transcript:KQL32256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTTSRRGPGAARNMDDDNLTFETSPGVEVVSSFDQMGIKDDLLRGIYGYGFEKPSAIQQRAVLPIINGRDVIAQAQSGTGKTSMISLTVCQIVDTAVREVQALILSPTRELASQTERVMLAIGDYLNIQVHACIGGKSIGEDIRRLENGVHVVSGTPGRVCDMIKRRTLRTRAIKLLVLDEADEMLSRGFKDQIYDVYRYLPPELQVVLISATLPHEILEITSKFMTEPVRILVKRDELTLEGIKQFFVAVEKEEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTERMRSNNFTVSAMHGDMPQQERDAIMSEFRSGATRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVRKDDIRILRDIEQYYSTQIDEMPMNVADLI >KQL27951 pep chromosome:Setaria_italica_v2.0:I:2037274:2039775:1 gene:SETIT_017780mg transcript:KQL27951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLSSRRAVAAAVACVLALALGAAAQLSPTFYDGSCPNLQSIVRSAMAAAVQQEPRMGASILRLFFHDCFVQGCDASVLLDDSPTLTGEKNAAPNANSLRGYEVIDSIKSQVEAACPGTVSCADILALAARDGVNLLSGPTWAVPLGRRDTRTASQASANSNLPSPSSSAATLVSAFASKGLDSRDLVALSGAHTVGSARCSSFRSRVYNDTNINSGFAAKRRQICAAQSGGTDGNLAPLDALSPVRFDNGYFRNVVGQFGLLHSDQELFGAGGPVDGITTQYARNGAAFARDFITAMIKMGNISPLTGSSGEIRANCRKPN >KQL30640 pep chromosome:Setaria_italica_v2.0:I:32340572:32341990:-1 gene:SETIT_018155mg transcript:KQL30640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSTTEGHVVGIPVNNRVYGIEEPDEFPIPVSSRAYGIEEPDLAYGIEEPEFLAEETPDHGGFPSSFQSSYDANRSTTADRPTSKHGRKGDNIAQGIKEHVTLGPKLSDTVKGKLTLGAKILQAGGVEKMFRQWFSVDKNEKLLRSSQCYLSTTAGPIAGLLFVSTARVAFRSDRSLAVSSPRGDRARVPYKVTIPLRKMKAVRPSENKHRPEQKYVQLVTNDGFEFWFMGFVSYNRSLQHLEQAVAYAQQAQ >KQL30200 pep chromosome:Setaria_italica_v2.0:I:28840026:28841563:1 gene:SETIT_019862mg transcript:KQL30200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FWQREAASLRQQLHNLQENHRQLTGEDLSGLNVKELQSLENQLETSLRGVRSKKDHLLIDEIHELNRKASLFHQENMDLYNKINQIRQESAELYKKIYQTEGPSEVNRESPTPYNFAVVENTDVPVQLGLNTLPQQNSIEPSNVPKLGLQLNP >KQL28112 pep chromosome:Setaria_italica_v2.0:I:3422471:3426806:1 gene:SETIT_017512mg transcript:KQL28112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAAAGARKPSASSTVALTLSLALASAGLLFLLLRLSPSSPSPTPHPHRRLRLRARAHAQHHQIPFDPVVADLERRLEDREWERLAAAGLHAPGMEAAPVPEDLLSDGEAGADEDYINDAARFNVTRRVEELFPRIDVDPADGAVTGDELAAWNLANARREVLHRTARELELHDRDRDGRLAFGEYERPSWAWRFDDHNSTNDGVGWWKEEHFSAADMDDDGFLNLTEFNDFLHPADTANPKLIHWLCKEEVRERDKDNDGKLNFQEFFSGLFYSIRHYDDEGITDDTGGSDAPAKKSFSHLDLDNDGLLSADELKPIIDNLHPSEHFYAKQQADYVISQADTDKDGQLSMKEMIENPYVFYNALFTENDYGFHDELR >KQL28113 pep chromosome:Setaria_italica_v2.0:I:3422471:3426806:1 gene:SETIT_017512mg transcript:KQL28113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAAAGARKPSASSTVALTLSLALASAGLLFLLLRLSPSSPSPTPHPHRRLRLRARAHAQHHQIPFDPVVADLERRLEDREWERLAAAGLHAPGMEAAPVPEDLLSDGEAGADEDYINDAARFNVTRRVEELFPRIDVDPADGAVTGDELAAWNLANARREVLHRTARELELHDRDRDGRLAFGEYERPSWAWRFDDHNSTNDGVGWWKEEHFSAADMDDDGFLNLTEFNDFLHPADTANPKLIHWLCKEEVRERDKDNDGKLNFQEFFSGLFYSIRHYDDEGITDDTGGSDAPAKKSFSHLDLDNDGLLSADELKPIIDNLHPSEHFYAKQQADYVISQADTDKDGQLSMKEMIENPYVFYNALFTENDYGFHDELR >KQL31480 pep chromosome:Setaria_italica_v2.0:I:37866825:37867608:-1 gene:SETIT_019302mg transcript:KQL31480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGKAPVSEHEETSKSKAAALEVASGGGSRAASRGLAVLDLILRFVAVIATVGSAIAMGTTNQTLPFFTQFLRFKAQYDDLPTLTFFVVANSIVAAYLVLSIPLSIVHTIRSRAKYSRLVLVFFDAAMLALVTSAASAAAAIVYLAHKGNARANWFAICQQFDAFCERISGTLIGSFAAMALLVLLIVLSAAALARR >KQL31621 pep chromosome:Setaria_italica_v2.0:I:38873467:38874663:-1 gene:SETIT_018599mg transcript:KQL31621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGRRGWSPFDAIRSFPSTPESLMSQIDAAIASTEYAYACALLDPAPAPASASSQPQPQPTPEEGQGEGGAASPPACYDARVADEAYRAACAALGAGRPDAAVRSLRVALASCPPEKTAAVAKVRSMLAIASAQLHKQQHQAQQSRK >KQL27728 pep chromosome:Setaria_italica_v2.0:I:272614:279528:1 gene:SETIT_016087mg transcript:KQL27728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFAPDDQTDADFFDKLVDDDDAPANDAPDAALARDVSDVSLADDDPPAPPPATEAAPPEGGSPKAGAGLHTTVKQVQWASFGGGPDDGADPFADLSGGAAADSFFGSQTLDTSVGTSDHDFFAGNQSLAAQVTDQDFFGGTSTSDQNADGQLQRTGSGAVDSTDPKYFETMYPGWKYDEVTQQWYQVDTSNTTGNAAQVVDNSSQNLQQQQLDASYLQNSAHAGLEPIAEEGSATAGVSSWGQGGASEYPPNMLFYAEYPGWYFDTNTQQWQSLESYQQAAMQAGTTGAVQTAATSGSGAVATSGGTGYNAKQTEDLTVHNQVNQHNSFTNSFAPQSQRQTTDAFGNTVQSESATDNSLTSRFNGFDQHANAETISSFTSQQVAFNTAETVTDHYGGHKSFESSSLQTGYSSSDSQQSSYKAFEPSAGYHAGYKAFEPAMGHQTSHKAFEPSMSNQSGYKAFEPSMSNQSGYKAFEPSTGHRSASKGFMPSTGHQASYKEFETSTGHQPSNMGFDTSANHQGYGDVNGAVNTQGFVPMQNTYDVQNQANANPQGHLPNSYLSTENSMNFNQQQFLGASAFGHSHHEGRSSAGRPPHALVSFGFGGKLIVMKETSSMATSFNSGNQGDPSGTVSVLNLSEVVLDKVDPSSITNGSALGYFNALCRQHVPGPLVGGSAASKDVNKWLDEMISWYEPSSTEFQRGDTRKLLISLLKILCQHYGKLRSPFGSDQEETDGPEMAVTKLFSSCKRTGVHMGDYGSIVHCMKNIPSEGQMQAVAQEVQNLLVSGRRKEALQCAQGGQLWGPAIILALQLGDQFYVDTVKKMAHSHFVSGSPLRTLCLLIAGQPADVFNVESNVNSDYGTSHQPMEPGPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKIEVAAAHSCYLVAELNIDSYSESARLCLIGADHLKCPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGKISDSLRYCQASMKVLKASGRAPELEAWKQLFSSLEERIRTHQQGGYGTNLAPAKLVGKIFTSLDKSISRMMGTPSAPLPPLPHGSVNDRESHSVPAAAKFVNSQSVMAMSSLMPSASMQSMTEIADNSGGAGRKIAHNRSVSEPDFGRTSKQGAGSDGTQSSASGSGSSRFGWLGSTLQKTMGFVSKSHRQAKLGDQNKFYYDEKLKRWVEEGAAIPAEEPPLPPPPTKPSFQNGMPDHNLNGPMSGSHAPNGVIEWKSSNSSEQGLGMPPIPPSQNQFSARGRMGVRSRYVDTFNKSGVSGAVPSYNKPAAPSVTPPAGAKFFMPTAAAAADQMMPQPHQAAEIHSETIHQDERSASPPAETSFSSPPPSTQFSAPIASTIHRQSSMDNISTPYQGSGVSSLSNNSSFSRSRAASWSGTYSEQYSAFSGSRSPKGQTMPSPLMPGNPSHSRSNSNSSLQLNGLAEDLHEVEL >KQL27729 pep chromosome:Setaria_italica_v2.0:I:272614:279528:1 gene:SETIT_016087mg transcript:KQL27729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFAPDDQTDADFFDKLVDDDDAPANDAPDAALARDVSDVSLADDDPPAPPPATEAAPPEGGSPKAGAGLHTTVKQVQWASFGGGPDDGADPFADLSGGAAADSFFGSQTLDTSVGTSDHDFFAGNQSLAAQVTDQDFFGGTSTSDQNADGQLQRTGSGAVDSTDPKYFETMYPGWKYDEVTQQWYQVDTSNTTGNAAQVVDNSSQNLQQQQLDASYLQNSAHAGLEPIAEEGSATAGVSSWGQGGASEYPPNMLFYAEYPGWYFDTNTQQWQSLESYQQAAMQAGTTGAVQTAATSGSGAVATSGGTGYNAKQTEDLTVHNQVNQHNSFTNSFAPQSQRQTTDAFGNTVQSESATDNSLTSRFNGFDQHANAETISSFTSQQVAFNTAETVTDHYGGHKSFESSSLQTGYSSSDSQQSSYKAFEPSAGYHAGYKAFEPAMGHQTSHKAFEPSMSNQSGYKAFEPSMSNQSGYKAFEPSTGHRSASKGFMPSTGHQASYKEFETSTGYNTSFKIFEPSSAQHASYMGSQPSSGHQPSNMGFDTSANHQGYGDVNGAVNTQGFVPMQNTYDVQNQANANPQGHLPNSYLSTENSMNFNQQQFLGASAFGHSHHEGRSSAGRPPHALVSFGFGGKLIVMKETSSMATSFNSGNQGDPSGTVSVLNLSEVVLDKVDPSSITNGSALGYFNALCRQHVPGPLVGGSAASKDVNKWLDEMISWYEPSSTEFQRGDTRKLLISLLKILCQHYGKLRSPFGSDQEETDGPEMAVTKLFSSCKRTGVHMGDYGSIVHCMKNIPSEGQMQAVAQEVQNLLVSGRRKEALQCAQGGQLWGPAIILALQLGDQFYVDTVKKMAHSHFVSGSPLRTLCLLIAGQPADVFNVESNVNSDYGTSHQPMEPGPNGMLDDWEENLAIITANRTKGDDLVITHLGDCLWKEKIEVAAAHSCYLVAELNIDSYSESARLCLIGADHLKCPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGKISDSLRYCQASMKVLKASGRAPELEAWKQLFSSLEERIRTHQQGGYGTNLAPAKLVGKIFTSLDKSISRMMGTPSAPLPPLPHGSVNDRESHSVPAAAKFVNSQSVMAMSSLMPSASMQSMTEIADNSGGAGRKIAHNRSVSEPDFGRTSKQGAGSDGTQSSASGSGSSRFGWLGSTLQKTMGFVSKSHRQAKLGDQNKFYYDEKLKRWVEEGAAIPAEEPPLPPPPTKPSFQNGMPDHNLNGPMSGSHAPNGVIEWKSSNSSEQGLGMPPIPPSQNQFSARGRMGVRSRYVDTFNKSGVSGAVPSYNKPAAPSVTPPAGAKFFMPTAAAAADQMMPQPHQAAEIHSETIHQDERSASPPAETSFSSPPPSTQFSAPIASTIHRQSSMDNISTPYQGSGVSSLSNNSSFSRSRAASWSGTYSEQYSAFSGSRSPKGQTMPSPLMPGNPSHSRSNSNSSLQLNGLAEDLHEVEL >KQL31391 pep chromosome:Setaria_italica_v2.0:I:37237262:37238225:-1 gene:SETIT_020074mg transcript:KQL31391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRRRGQDNQSQSPCKRSRPAPKKHLYSVIDDWEKGYLRRVNLHRPGPRAPSEPAAFRFVAPASETIFVAMGSNIAIVSSGGGAQAPTLVYDTAAAALAVAPPLPGWISGLNVGVESGAGLPLAFEAFSSRGDRPRSGHGKAWPRRPPPFGEDGTVVSYAVQSDGRTIFVPTRVARGWHRGNGSTYSFDTTRREWRCQGAWVLPFHGQGYFDRELDAWVGLLFHALCVGSARSPSN >KQL31716 pep chromosome:Setaria_italica_v2.0:I:39342404:39345045:1 gene:SETIT_018121mg transcript:KQL31716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVKKRPRISSAAGRRPSRKEVLERKKAIDELIRKAIAVKDHLVQFPAFHKFQGNGLSVYLESGHGDQLTLPVRKYIQNLLKVNMEEPYGPEWPSEEKVKRQEMVAPEARYIFIKQYSNGFTTECSMNQDAGVEHMHTPCNEGHLVGFVHYRFVLEEELPVVYVYELQMEPSAQGKGLGKFLMQLIEQIACKNQMGAVMLTVQKANTQAMAFYTKLRYVISSTSPSRVDPQIGLEKSYEILCKTFDCEAKSKLEDGDEEL >KQL32268 pep chromosome:Setaria_italica_v2.0:I:42005655:42007426:1 gene:SETIT_016805mg transcript:KQL32268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCRGSFTPTYTSTTADEYKRGSSRSFVTSSSATSLLSAASSSSAAAGSRAVGSMRGGHQQQLSSPTAVLGHATPPLRELYAVGRKLGQGQFGTTYLCTELATGTALACKSIAKRKLLTPEDVDDVRREIHIMHHLAGHASVVTIKGAYEDPLYVHIVMELCEGGELFDRIVDRGYFSERKAAEIARVIVGVVEACHSLGVMHRDLKPENFLLLKNNDGKDGDGDEAELKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKHYGPEADVWTAGVIIYILLSGVPPFWAETQQGIFDAVLRGTIDFDSEPWPAISDSAKDLIRRMLRSPPAERLTAHQVLCHPWICENGVAPDRPLDPAVLSRLKHFSAMNRLKKMALRVIAQSLSDEELAGLKEMFKAMDTDASGAITFDELKEGLRRHGSNLRESEVRDLMDAADVDRSGTIDYDEFIAATVHMSKLDREEHLLAAFAYFDKDGSGYITVDELEQACRDHNMADVGIDDIIREVDQDNDGRIDYGEFVAMMKKGIIGHGRLTMRHNSDGSVLHGACTTMDPCL >KQL29352 pep chromosome:Setaria_italica_v2.0:I:14247846:14250387:-1 gene:SETIT_018535mg transcript:KQL29352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHLVGLVKVRVVRGVNLAIRDLRSSDPYVVVRIGKQKLKTRVIKKSTNPEWNEELTLSIENPADPVRLEVFDKDTFVDDSMGNAELDIRPLVDVVKMKLQDVPDKTIVKKVVPNRENCLAEESSIYVSEGKVKQDLVVRLKNVECGEIELQLQWVDLPGSKGV >KQL29016 pep chromosome:Setaria_italica_v2.0:I:9732700:9733080:-1 gene:SETIT_020425mg transcript:KQL29016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYHACLQEIKGWVVSVGLGRHIIIYQMRHHIGLCCT >KQL29776 pep chromosome:Setaria_italica_v2.0:I:24355100:24377597:1 gene:SETIT_016065mg transcript:KQL29776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRRPAAGGGGGGGGGGGGGGGGNWRRSGSAAAKEQRLRLGAEELLESRLGFAPYTDGERRLGWLLTFSPSSWEDEDTGKIYSCIDLYFVSQDGSTFKVKYKFRPYFYAATKDKMELEVEAYIRRRYEGEISDIEIIEKEDLDLKNHLSGLKRKYLKLQFDTVQQLMRVRNDLLHVVEKNEEKLDAVEAFETIHGVKRVERPQDYINYIIELREYDVPYHVRFAIDKDVRCGQWYNVSVSGSDALLQRREDLLQRAEVHVCAFDIETTKLPLKFPDAEYDTVMMISYMIDGQGYLIINRECVGEDIEDLEYTPKPEFEGHFRVKNVPTELDLLKAWFAHMQEVKPGIYVTYNGDFFDWPFLEKRAAHHGIKMNEEIGFQCDSNQGECRAKFSCHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAMEQPQTMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAFKANVICPNKHQADLEKFYNNRLLESETYIGGHVECLETGVFRSDLPTKFQLEPSAYEQLIGNLDRDLQYAISVEGKLDIASVTNYDEVKDAIKQKLVSLRDHPIREERPLIYHLDVAAMYPNIILTNRLQPPSIVTDVDCTACDFNRPGKNCLRKLEWVWRGETYTAKKNDYYHIKRQIESELIQSGGIASSKPFLDLSKPEHLLKLKDRLKKYCQKAHKRVVDKPITEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKTWKGKLAEAKASGNSIKIQEAQDLVVLYDSLQLAHKAGKKLTISYPCVMLNVDVARNNTNDQYQTLKDPVNKLYTTHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNEDGTLAELKGFEIKRRGELKLIKVFQAEVFDKFLHGSTLEECYSAVASVANRWLDLLDNQGIDIADSELLDFISESSTMSKSLVDYGEQKSCAVTTAKRLAEFLGDSMVKDKGLHCQYIVAREPQGTPVSERAVPVAIFETDPEIAKHYLRKWCRISSDANIRSIVDWSYYKQRLSSAIQKIITIPAAMQKISNPVPRVLHPDWLHKKVREKDDRFRQRKLRDIFSPLAKDEGMRSLNGTGDMEDLLMSNKDLRKKSSHGLDIDKENDPNGASVGAGSNNSKNQQNSITGLNVPLSSQIENAAADESIDRSTDYQGWLDAKKRKWKHVREQKKRRRLGAAATFDGPTNALLSSRNVNQLPGNSRNRSTFFQKQELALFRSHWQIIQLDSSTTPGRFFAWVVAEGIMFKIPINVPRVFYLNSKAPVTEEFPGRRVKKILPHGRPCFNLIEVVTTEEQFRAEGKKLAAHLAEPDVEGIYETKIPPELNAVLQIGCVCKVDKSAKKRSIQDGWDLAELQMKTTAECSYLEQTVSFFYLYHSLSEGRAVYVFYFPTSFRIHAVVVNPFRNKEISPSFLEKQFRDACQALGSVPENLTFHVDYQTSIDAGSKYVQRMLLEYRQQHPGPVIGVIECPKLQAIKAAVRALDDFPCVTIPSNARDNNYQALGWQATAGRTSMQRCAASAQWFNERISLARYAHVPLGNFELDWLLFTADVFFSRALRDQQQVLWISDDGIPDLGGTYEGDTCFADEVIQPALTYPGAYRRVSVELKIHHLAVNSLLKSSQVDEMEGGSISSFGSDMPPGPHATETDFDDASLCLPAFQVLKQLIQRCISDAVSSGNVFADAILQHLYRWLCSPRSKLHDPALHRLLHNVMKKVFALLLAEFRKLGANVIFANFSKIIIDTGKVDLASAHAYCDSLLKTLQTRDLFEWIELEPLHFWHSLLFMDQYNYGGIQAKTQNVDSSDDDNDIDIVSSWNIAEYLPKDTQDHFVLIVSEFLYIPWKFMKEQVATQATIRDDTSCTPSITVMAAENLEGQVIGHLRDKISTYFADKLLRTVSDILHHFKGKSKSESDEPASRESDPHTHKGDAALEFIKHICAVLALDQNVQHDILRMRKNLLKLVRVKEFAPEAQFQEPCASFTLPNVICSYCNDCRDLDLCRDSTLQGQEWRCAVPQCGQPYHREQMENALLQVVRQRERLYHLQDLVCLRCRQVKAAHLSEQCSCGGSFRCKEESSYFLSKMRVFLNVAVNQKFQLLQDCVEWILEVR >KQL31844 pep chromosome:Setaria_italica_v2.0:I:40050134:40051650:1 gene:SETIT_020106mg transcript:KQL31844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSELLPEDVLADVLRRLAPRWLATSRCVCRSWRATVDNRGLLSAVADLLPRTVAGIFLQHSSTWMSSFLARPSVGPMVSADLDYTFSGDGDKIYDSFEVRDHCNGLLLLQNCVVNPATQQWAHLPPRPPLPCVGPDYFYADEYLVYDPTDSPYYEVFSILRVRRKFELSPRHQIPSLEGSSFTFKKLDPALEELEWPPSPCVLHVFSSRTGRWERRSFVREGVAAGTIAHMRIDPYEHRYAVYWRGALYVQCQTDFIMRLSLSSEKYQLIQLPVTFEPDGCSDEAYARRLSIGKSEKGVYSVLVDENQIKVWILDDSDGQIKWVSKQLVVDLPKLQDKICCKSQSHGPWVLQGINFRIDKARGFGNPATEQFEWDSDNDNLLPDNGNGTGYKHHITFLGFHPYKEVIFLNDSSVRVLAYHLNSSKIQDIGYIYPEEDVYSLGNDRVWVERSFPYTPCWMRDFPQNV >KQL27763 pep chromosome:Setaria_italica_v2.0:I:480404:481198:1 gene:SETIT_020472mg transcript:KQL27763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLSRMLSLNMAMLLQVFLPIYEYECMMLSTLRQSIAIFCMHLVLSALVHRATPP >KQL29532 pep chromosome:Setaria_italica_v2.0:I:20115391:20116128:1 gene:SETIT_019487mg transcript:KQL29532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVWCPEVASKAYIDGVRALAGHDDLAGSAEVAELVAAMAGGWNAQLVVEAPDVPAQSSISSSRPPATCLALAAAARRTGGRYACVLPEGGSASAAAVFAGVRSQEDADAGAESPSPSPTVVVASDADEAMARLEVIDARRRDAAAVLRAARPGARGMVVVRHGDGRRRGATALAAASMAAGTRVVRSVYLPIGKGVEVLHVGVGKGPSLHSRGGARGTGRWIRHVNHDTGEEHVFRRQ >KQL30371 pep chromosome:Setaria_italica_v2.0:I:30168104:30168899:-1 gene:SETIT_019016mg transcript:KQL30371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASAHRRSARTGGSALFLIRDRRAGTAPTRPEIVATQAPICRTKWFKDFTVSKW >KQL29014 pep chromosome:Setaria_italica_v2.0:I:9725723:9727581:-1 gene:SETIT_017126mg transcript:KQL29014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAAAAAAGGFPNWVLLEPYVFRRDDDESFPDETHAPIRASGTTSWGADFRIAFSLAEPPLISRLYAQLPGVPGPRKARPLTMLKTHRHLALLVVISPMSDQPAIHNFFIFRADENNPSSSSSLRLLPHCTDTKFDYSRRDHRLPRRRSSSATPRLLNMHSLGLWCGDKEEFVVAQLNLCVPTIGRSRIKAFADICLLRSSDQLGGNWESMRVPILNPSADDLWKLSQWQNTAIIPFQRWLCWIDYKRGILFCDVSDKVLAPTVSFLWFPEDKPSLTRTRKITMCGTIAGMSVVDHGRLLKFVKVARDDGLYYEALKPGTGFTITCHTLVLAGGSMAWKEDYTVTSGDLPDCYQRGIPMHPQVDIDRPHVVHFLFIEFFGLAYEKMSVLSIDMSTKTMVSCYLYMNGNEILRRGDKCCQPDVDIDFVISKCLAPSPLPFPACEFPRFCNLSRYVLLLLHALL >KQL28982 pep chromosome:Setaria_italica_v2.0:I:9386650:9388512:-1 gene:SETIT_016662mg transcript:KQL28982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPPEPEPPAVAAARKLHHLLRSRDLRPALSYFRTLPSPLTLLPTHALNALLRALAAAGRVRAATSLFRSIPAPTPHSFNSLLAALLRRGRRRAASALLAAFLRSPDASPDVTTLNTLLHGLSTASPRPSAHTLLKLFRFLPETYAFAPDAITYNSLLSALCRGGDLATARKLFDGMHVSEEDSKSGAFANVVTYTTMIRAYCAKGLADEALALFKVMVANGVPPNRVTFNTMVQGFCEAGRMELVKEVFEMESFKPDTCTFNTLMAAHYSEGRFEDAMKVFDQMTELHVRRDSASYSMVIRALCESREFGRAEELVDEILEEELLKKRGGSVPLIAAYNPVFVYFCENGKAKKARILFGQLLDRRSKVDFQAFKTLILGHCKEGNFEEAYELVLSMLKRDLIPDNECYIAVIDGFMQKGRMKSAWETLHRMLNSGLRPSTSTFHSVLLGLLKKDGCAKEAADLIEIMLERKIRQNVDLSTNLIDVLFKSDLNDRAYKITKRLYDNGYYIKMEKLIETLCEDKKFIDAAEFTLFSLQKHHELGVAVHSLVLDGLSMDGKASEAFRLFYELIENGSTSAVAAPRSVILLHHALEEAGKMKEADFVGKQMSRATARIRQRS >KQL30657 pep chromosome:Setaria_italica_v2.0:I:32422795:32424901:-1 gene:SETIT_017670mg transcript:KQL30657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPPPPMLSVPEKKTAAAELFRDRHFFSATAFSDIRDARAAVAVPNPQAQPPASRRALLLRYHRLLFSARDDPCAFDETLSFTWHDAFRPHLKHAAASLRFEKAALVFNVGAAASRIAAAHSRATEEGVRAACGEFQRAAGAFRAVGEMMEEEEGTTVDMSSQASSMLERLMLAQAQECCFERALAGGKSPAVCSKVARQAALFYEEAYSALIAPPLQNHFERSWVSQIQLKAALFNAEACYRYAIDLHEKTEIGEEIARLQVGINVIVDAKRTARGAPGPLYDYASRLEQDTNKSLDAAKDENYRIYLFRIPSATSLTPLPAASLVRSASLGEILEAKTENGTQSL >KQL29456 pep chromosome:Setaria_italica_v2.0:I:16389780:16390221:1 gene:SETIT_020372mg transcript:KQL29456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSDCWVIDDLRHHSVTAWLKGYIVVMRLPQYNPSSV >KQL28482 pep chromosome:Setaria_italica_v2.0:I:6162831:6166287:-1 gene:SETIT_019181mg transcript:KQL28482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQRRGETVIHTQLYLGCFLLSIWLDRIANELSI >KQL28858 pep chromosome:Setaria_italica_v2.0:I:8508908:8510106:1 gene:SETIT_018238mg transcript:KQL28858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAGLPSDILVEVTASIATRSATPLIDVVNLRRSCKVFRDATAARKVGRCMAVHREWRLHWWDKARFLSVLRRCAASGNPEASYILGLEEFCNRRRKASGLRHLRRAMEHGHAAAAYMLGMITLHDSLRSSAGAAEQALEGLDWFSASASAGPSRTRRRMASVRREAVSVMRRLTMRRWRMAEPPTPCPNSWCGKVETEMVEAWDGDGDGEDERWFCSRTCRWKHEYCKFIEKI >KQL28377 pep chromosome:Setaria_italica_v2.0:I:5481093:5482960:1 gene:SETIT_016917mg transcript:KQL28377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAAVPVAAAAASAPPHLLLICFPAQGHVNPMLRLAKRVAAKGLLVTFSSVSSVGAKLAASAGVSSGGDGVRVGRGRVRFEFLEDGDPAGPDLDDLMRHLESAGPPAFAALLRRQASEGRPVACVVANPFMPWTIGVAAGAGIPSAVLWVQSCAVFSLYYHHVHGLVEFPPEDDAEARFSLPGLPEMTVADVPSFLLPSNPYKLLAGAIVEQFRTIGQASWVLVNSFTELESGVAAALRGVTPRPPELIPVGPLVEAVGWQDGDGDGGGDEVRGDLMKAAEECVGWLDLHPPRSVVYVSVGSVVVLSPAEVAEMAHGLASTGRPFLWVVRPDTQPHLPPGFPASVAAGRGAVVAWSPQERVLAHPSTACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDARFLVEELGMGVRLRAGSPELQREAVREAVEDAVAGPRAEAMRASARRWSEAARRAVGPGGSSDAHVQAFVDEVARRAACGGAAGAAKARAQESSEDASSLVGVAERQERVEVAVP >KQL31371 pep chromosome:Setaria_italica_v2.0:I:37148152:37148953:1 gene:SETIT_018687mg transcript:KQL31371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRGRASGGGLAAALLIIALLVATSSAPIAEAAASHMVGDYGGWKFNVDRWTKGRTFRAGDQLVFRYNRAVHDVAVVDAAAYRSCVVPRGAKVLRSGRDKVRLGRGTHYFVCTVRGHCQAGMKIAVRAV >KQL32255 pep chromosome:Setaria_italica_v2.0:I:41946440:41947415:-1 gene:SETIT_018373mg transcript:KQL32255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMGGGEVGTPRGLARSSSGVWWKLGDAAAASDASSEVERRLRGIAEEEAAVRARVERRHAAAPAVRRRIAAASMGLEAVALVYGLWTAARRRGNSSRRLKLLHLLPALAVPAMATLVLAAFARFRRTLDGRDQQHLERLRTERKAKIGSFRGSHHNLQKLIEKYDPDDAADSSNNSSDAAATKKKLKRTHSRLSFHVGDE >KQL28662 pep chromosome:Setaria_italica_v2.0:I:7305360:7309346:1 gene:SETIT_016830mg transcript:KQL28662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIARAAAGVACSKEHQRIYAEWFALADPDGDGRVTGADATSFFVMSGLSRADLKQVWAIADSKRQGYLGFAEFVTAMQLVSLAQAGNEITQDSLNREDLSTLDPPVMEGVDELVARSKAVVKRVHPEDNGTPQVQASSIYHWFGSKSAQKAQMPLTAVTSVIDGLKRLYLEKLKPLEVAYRFNDFASPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHVGPEPTTDRFVVVMSGPDGRTIPGNTIAVQADMPFTGLTTFGGAFLSKFECSQMPHPLLEHITFVDSPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVISSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNETAVGPIGKDLFEREQDDLLCDLKDIPKKACDRQVNEFVKRARAAKIHAYIIGHLKKEMPAMMGKAKAQQRLIDNLEDEFAKVQREYHLPAGDFPDVEHFKQVLGGYNIDKFEKLKPKMVQAVDDMLAYDIPELLKNFKNPYE >KQL29409 pep chromosome:Setaria_italica_v2.0:I:15186613:15188556:1 gene:SETIT_019088mg transcript:KQL29409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQAVIGPCVSEGWRARVAAFLGQEVEEQGTHTSGVLISWLREDFSQCPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWIHCLTDWHQAGLYSWGSAVLCFLYRHLWMWTRLPVGRPEIMPRRPWFPGETPRRQPTWAYIWVQVKVSHTRLDRAYLDYINEIDALTAHSPYDGDDPLPFPLSFMCGPDDDLYRMVCPLICFYAVEYHLPDRVARQFGRRQIWPTPATSTSVELHTVDRKKKRKVSEWATFHQAYIQEWDQFGDNVDENDEPHTNSAYRQYQSWYQGAMRHRLRAAWTEDDYADIHSSDDEDTVYDQSTRAGRQVEVGPILDRMGRTLQSSVRDIEHFRPRVRDPETRSFLERLSNRLRRAAARCGCRTVTTRDVHVPSLREGGVGTSSQGPSGSKSIASEEDDDDDDDEQRAEELGPSQLQEAPLTQPTQVVGGTRLRRPRPPYTPGTDALGHKGKGKTRRQ >KQL29773 pep chromosome:Setaria_italica_v2.0:I:24348235:24349386:1 gene:SETIT_018105mg transcript:KQL29773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLERRMRKEMRTMKWPELKWGQACEERTEEKEEGSSAISAAKKTKKEEKKCRRTFFLRRMGLPRPTPRRPLASSHHPAIPVLPCGWARPPLSQHLPIQYASPPPPRLRHRSRHRLQIEAPSVSSNPAAAAAAVVASTQTPAPLSSPAPIAAAPSSLAPTPPPSPPTRRCRVLQPGAQAFAPQPGVAVAPNQPPSSPPARRRHRLLQPGAAIISSKPLPLSGGGHAAPSPPPRHLTTEVKQLNHILSIINVETNLIWETAKILW >KQL29747 pep chromosome:Setaria_italica_v2.0:I:24090417:24091006:-1 gene:SETIT_019104mg transcript:KQL29747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRHPPSLAALPTKVAIEIAGDLTVTLERPMDDHCSLRATCSFMRYVCGDRTIGRCLAIDQFRLTMSLNEPVNYGTLLASLTQVSFLTGIKVIFGENRSTRPCLDDLARAAAGGHKVAAYLVTLFLYRDNGGTGDDDTARRMRLSNKGCRLCREQVANVIHQTTWCKWGKPLPLPA >KQL30840 pep chromosome:Setaria_italica_v2.0:I:33701665:33720640:-1 gene:SETIT_019054mg transcript:KQL30840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRHFFAFPARPLLLSLPPPLGARPRPCTAPPPLISREHFPKTPTSLLPFGDEAYAVNDEEVSDTEMSACSPSAPSEPSPPLRRRLAPVVASDVPEEVVRAVDAVIMGGGVEHLREMVSEENGEVSHFIVDVLMVTMGGVDGLDEGAGDGLGAATGLPPSIMSSSRAAAIAAELVPYLPCGVEPSPRTRMARGLLATLSACTRNRTMCSASGLLAVLLDVAEKLFVGMGQGSKWDGTPIVQCIQVLGGHSVSVRDLHSWLLLIKKALVTRWATPLTLALENAVASNEAKGPAVTFEFDGESSGLLGPGDNRWPFSNGFGFATWIYVESFSASLDTDTASAAVAAAAASTSGKSSPSAAAAAACTLAGEGTKHMPRLFSFLTSDSHGIETYFNGQFLVVESGAGKGKASLHFTYEFKPRCWYFVGLEHTSKQALLGKAESELRLYVDGDLHESCPFEPPRIVKPLAFCCIGTNPSPTIAGLQRRRRQCPLFAEMGPIYIFTDPIGPERMSRLASRGGDALPSFGNGAGFPWKSTSNHIREAAEDSYALDIEIGGSLHLLYHPSLLNGRFCSDASPSGSTGTHRRPAEVLGMVHVSHRVRPAESLWALAYGGPMALLPLIVSNVEMDNLEPILGDLSLSLATASLSVPIFRIISLAVQHPGNKDELCRIHGPELLSQVLHYLLDTLSKIESGKKEILSAEELVTAIVSLCQSQRNDHGQKVQLFSTLLLDLKMWSSCNYFLQKKLLSSLADMVFAESTCMRDANALQMLLDGCRRCYWVIHEADSIDTFTLTGHERPLGNVNALVDELLVVIELLIGAAPSTFASDDVRCLVGFVVDCPQPNQVARVLLLIYRLIAHPNTSRANLFAQSFISRGVVEALLVLLQREAKSGDSNIFHSSNVPQNAASWNGSSNLTNKDLELKTANGEESCKDHQIQSVQHQEPTSHETGSGHESTSKWCLLKGQFLNNLGGIDVPNISDNVQNSVYNIDGGDGVLVGIVHVLGALVASGHLTFTSSTVRPKLPSGFLTTCKGEGNTMFEDRVSLLLFALQKAFQAAPKRLMTRNVYRALISSVIDVSSPKDNLKNLHDSGCHFKHIPLLMVLLCSLPYASRAFQAHAIQDLLYLVCSHPKNRSTMTSISEWPEWILEILISNHEDVEAAIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGSLLDFSAQELQVQQTGGIAATAAGVAVEDLVPKETKVQAEKAANLSVALAENAIVLMMLVEDHLRSRSQHFFMSCLVDSAASPASVASLAASRSNSLSRSGSEHLEAGGSRQSLSSDAGGLPVDVLASTADTNGQLSAEVMERVTAAAAAEPYGSVRHAFVSYGSCISDLSEGWKYRTRLWYGVCIPPKSNVFGGGGSGFVSWKSVLEKDSNGNWIELPLVKKSVAMLQALLLDSGLGGCLATGVGSGPGMGVMGALNQLLDSDQPFFCMLRLILVSMREDDSGEDDIFTRNISMKNEISEGLGCQTGSMLPLDGHSSASIKKCPAALLWRVLGPILNMPVSETKRQRVLVASSILYSELWHAVSSDRKPLRKKYVGLIMPPFVAFLKRYRSILAGIHELTSPDAQNPLAVDDWASAADTSPVEVGVSMISPGWAAAFASPPVAMALAMIAAGASGTETIAPPTNKLRRRDTSLLERRSAKLHTFSSFQKPLDTTPSLPTSAPKDKAAAKAAALAAARDLERSAKIGSRRGLSAVAMATSVQRRSAGDIERAQRWNTSEAMGAAWMECLQSADSKSVSGRDFSALSYKYVALLVSSFALARNLQRVEMERRTQVEILNRSCMSIGLRAWRHLLHCLIETSRLYGPFGELLCTPDSIFWKLDLTESSLRMRRFMKRNYNWLNHLGATANYGEQKFLCDGADSNACHSEDGDSLPTNVLSTSSLITVDGGHEDIRQGETENICSSVDDQLTNSSPLDQSLTGSVDSRSSDFSGVRNLVRSTVVAPGYRPSNERIIIELPSMMIRPLKVVRGTFQVTSKRINFIVDEHTSDSYMDDITSTSGQYDQQDRDRSWLISSLHQIYSRRYLLRQSALELFMVDRSNFLFDFEDVGARTHAYRAIVHAKPPYLNDIFLATQRPEQILKQTQLMERWAKWEISNFEYLMELNTLAGRSYNDITQAFFYYMILSPGSNMFATVPYVLYLFLQYPVFPWVIADYKSKTLDLESPSTYRDLSKPIGALNPARLKKFQDHYSSFKDPIIPKFHYSSHYSSPGTVLYYLSRIEPFSTLSAQLQRAKFDHDDCMFSDVNKTWNSVLEGLDDVKELVPELFYLPEVFTNLNSSGRLGSVALPPWAENPVDFIHIHRKALESDHVSTHLHEWIDLIFGYKQRGKDAVVANNVFPHVTYDGMVDIDKITDPMQRRATQNQISNFGQTPSQLLTVPHIRRRTLTEILQVQTIFRNPNEVRSYALPSPDHCNVPASAMLISKDCIVVIDSNVPTVHVALHHWQPNTPDGLGAPFLFHHGKNAINSSGGAIFRIFKGSSGSTEDYQFPRAVAFAASAVQNSSAVVVTCDKEVITGRHADNSVKMISPDGARTVETAFGHLAPVTCLALSADSNYLVTGSRDTTVILWRIRQVGSSQEKNAPEPPPSTPTTPTSPQATGSSSNSSSSKNLETYRRRRIEGPMHILRGHLGEVTCCSVSSDLGLVSSSSVSGVLLHSLRTGRLIKKLDVPEAHSICLSSQGIVLIWNESEKRLSTFTVNGIPIATSVLSPFSGRVSCIETSADGQFAVMGTCSASNCNHKGSNATEDDYELDKPCGDEDVQESNETRLSVDAPSICLLDLYKLEVIHTLKLGEGMDVTALALNKENTTLLVSTADKQLIVFTGPAANNPMSLKVADRSVLHESDGLLKP >KQL31614 pep chromosome:Setaria_italica_v2.0:I:38854822:38858667:-1 gene:SETIT_017149mg transcript:KQL31614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAISQEAFDAMVRENMEDLGMDADEALADAVEALTLQGADLSGIIKRVPGEAAAAEVSPMVRVLDELKASHSASGGLGQDLDGLVSLIDELRGLCCSGDGTENAAIAVRNGGVEALVALCASARVAQERLLGSALKALSSLLRDIGSTEKFRQSEGPKIVMDILKGGSESSDLLDAGFSVVAAGSAGNEVVKESFMDLKVDELILHVMREKSKTNVQSLYDAIRVLLTPDDNRVVASQVYGYSRRFAEIGIAEVLVSALREQVAPSSLPSACAALKSIAVNDEICRSISENGGTDVLLQCIDEAGEQKNKVIAKSCCSLLSKLAASDANKSVIIQRGGFDRSLKLASRFSEDPSILQEVMSMVTVLTLRSPENAARAMEIGYGTLAIQAMQRFPSSGQTQKQACLMIRNLVVRNPENRTILLNDGAEKLIRKAKVMHGSCKDAASSALRDLGLDNYNA >KQL31465 pep chromosome:Setaria_italica_v2.0:I:37712738:37718481:-1 gene:SETIT_016861mg transcript:KQL31465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGGGAAPKQDDLTPHPVKDQLPGVSYCITSPPPWPEAVLLGFQHYLVMLGTTVIIPTALVPQMGGNNEDKAVVIQTLLFVAGINTLLQSFFGTRLPAVIGGSYTFVVPTISIILAGRYANEPNPHTKFLRIMRGTQGALIVASALQIIVGFSGLWRNVARYLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPELILLVIFAMYLPHTVHMLKSIFDRFAVLFTIPIVWLYAYLLTVGGAYRNAPPKTQFHCRTDRSGLIGGAPWIRVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPIPPSVLSRGIGWQGIGILLDGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIFAALYCIFFAYAGSAGLGFLQFCNLNSFRTKFILGFSVFMGLSVPQYFNEYTSVAGYGPVHTHSRWFNDIVNVLFSSKAFVAGFVAYLLDNTIHRHENSVRKDRGYHWWDKFRSYRTDTRSEEFYSLPFNLNKFFPSV >KQL29376 pep chromosome:Setaria_italica_v2.0:I:14617154:14620438:-1 gene:SETIT_018152mg transcript:KQL29376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTAATAAAALTGAITAALRAPAAAALRAPAAAHGGIPATPALHPAAASAAPSSSPADLSRWPQRRGYSQFASGFTPLKPKPLESIIDVERAKGLSPEHLVAAWDDYHLGRGHIGASMKTKLYHLLEQRSSTCRHFVIPLWKGSGYTTMFMQVQMPYMIFTGLEDYKARGTQASPYYTVTHYTEFAETKDTVLIRGDVVFTSKLTDSEAKTLLETAHSFYLNDVRYRLVERFNKETHEFEFKDVLQVLDMPTM >KQL27843 pep chromosome:Setaria_italica_v2.0:I:1096291:1099680:1 gene:SETIT_017482mg transcript:KQL27843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGLCELMVDGHRDLRHLSGAEDLFSILETWEECMNGGGGGGGGGSSEAMPAYSQSSTGGSDSGAVAGARPAANSRRRSRDEERGVGRGAPVPKKQKVSAAAAITAQDAAADEGAAKMSHIAVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLRSLEAKKHRKAYAEQVLSPRTTVSAASPRPLVKPTPPLSPRVAVPISPRTPTPGSPYKPPPAGGAAAGSRVPHPAAYMMPSPAMTPATSSSSSSYSHDQQHYPATSQPYLPTLDSLVTELAAQAAGAGRPAAAGLALPDVRVEFAGPNLVLKTVSHRAPGQALKIIAALESLSLEILHVSVSTVDDTMVHSFTIKIGIECELSAEELVHEIQQTLL >KQL30882 pep chromosome:Setaria_italica_v2.0:I:34006106:34007683:-1 gene:SETIT_017975mg transcript:KQL30882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERNTQLHHQGGEAQEKAADQVEVKDRGILDTLLGRKKPEDQEKKQEEELVTGMEKVTVAEPEKHEHKKEEHEAGEKKESLLAKLHRTSSSSSSSSDEEEEVIDENGEIVKRKKKKGLKEKIKEKLPGHKDHAEGEHHTAVPAPAPAPVETHAYKEDDHKPYVPAPAPPPVETHVHHHDHAVVVQKIEDDAKTDAPPAPEEEKKGLLDKIKEKLPGGHKKPEDAAGAPAVHAPAPTPHTEDVSSPDGKEKKGLLGKIMDKIPGYNKGSGEEDHKAAGAAAGEHKTTSS >KQL28354 pep chromosome:Setaria_italica_v2.0:I:5329220:5334117:1 gene:SETIT_017345mg transcript:KQL28354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVTSTVAARFAFFPPSPPSYGVEQPSPPPPPPPPAAAAAPAAGAAAVEPEGEGKEKVKGGGDGSRVVELTGVPRRGNVEARRLRTKRGTEVVAMHVRQAGAKLTLLYSHGNAADLGQMYELFVELSSHLNVNLMGYDYSGYGQSSGKPSEQNTYADIEAVYRCLIETYGASEDNIILYGQSVGSGPTLDLASRLTRLRAVVLHSPILSGLRVMYPVKHTYWFDIYKNIDKIPLVRCPVLVIHGTADEVVDCSHGRALWELAKVKYEPLWIKGGNHCNLELYPEYIRHLKKFVGAIEKSPPPPPIDESTESSGPSDRAPAEPECTAEVSRKSTDCRDKTRPSVDHRHSTDRREKPRGSTDRRDKSRKSVDHPDKPRASVDQSDRPRKSIDRFGGMMKSVRLCNIDCFKVTATSGS >KQL28678 pep chromosome:Setaria_italica_v2.0:I:7420911:7421240:-1 gene:SETIT_019814mg transcript:KQL28678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRVTLLWINLLNRSPAPSSRSLMLHSNTCNCSSLQTTEVFFWNAFRTIEDLGIAICLARSCTVDSRREVVISLASLNSLRILQSSLSEVIFFAAFLKCFFAFLINRK >KQL29768 pep chromosome:Setaria_italica_v2.0:I:24315997:24316182:1 gene:SETIT_0191412mg transcript:KQL29768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYLLFHTVLMHISAAIVILIYIPLSIPVKLFVWAFVKPLRKEDLRGKVVLITGSSSGIGE >KQL29012 pep chromosome:Setaria_italica_v2.0:I:9688146:9694266:-1 gene:SETIT_017470mg transcript:KQL29012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIGHHGVATLRRYKYSGVDHSLVAKYILQPFWSRFVNIFPLWFPPNMITLTGFMFLLTSAFLGFLYSPHLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGKATFWFWVISAVPFYFATWEHFFTNTLILPIVNGPTEGLMLIYLCHIFTFFTGAEWWAQDFQKSMPLLGWVPLIPEIPVYDIVLGLMIAFAVIPTIGSNIHNVYKVVEARKGSMLLALAMLFPFGLLLAGVLVWSYLSPSDIMRNQPHLLIIGTGFAFGFLVGRMILAHLCDEPKGLKTGMCMALAYFPFAIANALTAQLDDGNPLVDEQLVLLMYCLFTVALYVHFATSVIHEITNALGIHCFRITRKRA >KQL29388 pep chromosome:Setaria_italica_v2.0:I:14696869:14697684:1 gene:SETIT_019094mg transcript:KQL29388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMHFSFELLIEWNFTPETFLGEVQIRSVRILIGLGLTWFTRYWFPEESISPLAKPFLTLPLDSYFVRTQSMEAPPTYVATSSIACSYFVFPLISHQIWCFSIPSCYGEQRQKYNRFLHLSGSRFSLFLFLTPPRVVPNVWHFPYFVGATSTNSLMIKLQPKIYDYIMLTVRILFIPSVCSQVPVIVICLPEPRGLSVETFTSNRRFLMVFPLITAALSTPPDIWCQIVAPFLIYSIIEFAIFVALIVQVREEGWTSRMRESGSIEKKEE >KQL27921 pep chromosome:Setaria_italica_v2.0:I:1720724:1724323:-1 gene:SETIT_018688mg transcript:KQL27921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTPAAVREMQKDLEVQANALSKIQKDISKNHQVRKQYTIQVGENELVLKELELLNDGANVYKLIGPVLVKQDLAEAKANVKKRIEYISAELKRMDRALKDLEDKQNSKKESIFKLQQRMQAVQAKA >KQL31191 pep chromosome:Setaria_italica_v2.0:I:36178662:36179227:-1 gene:SETIT_018525mg transcript:KQL31191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAAAAQKSHPRTEQGDGESKRTMGMSFAQAVAALMGTCARRLSRAARRLHLRPPREGVAASFSPRAIVPFLGGGGVIKKVLSSPSSKSKRRRKAEDELSFEWEDDGVWRKEIMMGERCQPLDFSGAIHYDAEGRRLEQPPTPRSPLRSPLPASAIMLKANAGGH >KQL32067 pep chromosome:Setaria_italica_v2.0:I:41180428:41183289:-1 gene:SETIT_016640mg transcript:KQL32067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPGPLSRWPWHDLGNYKYALLAPWAARSTYKFVVASGSGGERDLLSFAVLPVLLLRLLYSQLWISVSRHQTARSKHRIVNKSLDFEQVDRERNWDDQILLTALLFYAVNAAVPVAQGVPWWNPKGLVVTALLHAGPVEFLYYWLHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEEVIYFALFAIPLLTTVGTGTASVLVANAYLVYIDFMNYLGHCNFELVPKLLFDVFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPLYDYLYGTLDKSSDDLYERTLHGRGEEAPDVVHLTHLTTPDSVLHLRLGFASFASAPLAIAYLPRAAAAALAKLTSLLLGSTFRSEANRLDSLNIETWVVPRYTSQYVSKEGLYAIGRLLEKAVADAEASGARVLTLGLLNQKNELNRNGELYVIRKPNLKTKIVDGTSLAVAAVLHMIPQGTKDVLLLGDATKVSTVLASALCEREIQVQIVDKDLYDCLKQELRPELHKHLLLSCSYSSKVWLVGDGLTDEEQRKAQAGVHFVPYSQFPPAAARVDDCVYHSTPALVVPGSFENLHACENWLPRRVMSAWRAAGIVHALEKWDAHECGGRVTGVDKAWRAALAHGFRPYDRHHAAVAK >KQL29822 pep chromosome:Setaria_italica_v2.0:I:25174736:25178414:-1 gene:SETIT_016316mg transcript:KQL29822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRGIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTHADEAERGITIKSTGISLYYEMTEESLKSYKGERDGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVSVQTETVLRQALGKRIRPVLTVNKMDRCFLELQVEGEEAYQPFSCVIENANVIMATYEDKLFGDIQVYPEKGTVAFSAGLHGWAFTLTSFAKMYASKFGVDETKMMERLWGENFFDPATKKWTTKNTGSPTCKRVNQLCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKADEKELIGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYGVENLYEGPLDDVYATAIRNCDPEGPLMLYVSKMIPACDRGGSLPLAVSSQARRKDLFVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNKKEVDAFPIRAMKFSVLPVVRVAVQCMVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAETIVSPPVVSFRETVLEKSCRTVMSTSPNKHNHLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVGIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAASSGQAFPQCVFDHWDTMSSDPLEAGSQAAQLVLDFRKRKGLKEQMTPLSEFEDKL >KQL29221 pep chromosome:Setaria_italica_v2.0:I:11683087:11683977:-1 gene:SETIT_020174mg transcript:KQL29221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKAAQILGHPPGVNFRPDDDELVEFFLLPRLRGEPSWFPVVVVIDDDSAANTLPWNLLKRHGLVDDGNAYFFVHTNNEVARQDRYCPGDGTWVSQRQESGSSCICGETIKWRRTNLNLQMGRGKKGSMGWVMHEYTLTEPPCPFLKICNVTFTGHGKWRKRVPDDESDCQATGEPASKRPRVAVTAAAVDTSVPAIFQQEHSLPFPIDQGISAMTHQQQMVMMPMPDDKNDCQPANSSSATCAYGSTMTTADQDSGAAHAYAGEESAQDTDEETLEWFRLDGKDLLADAEPTAEQ >KQL31074 pep chromosome:Setaria_italica_v2.0:I:35418955:35422712:1 gene:SETIT_017095mg transcript:KQL31074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDYFLQRMEGDHRQASGDLTDVVRAGGAMQAGVADLSSAATAGWQLPAAEPGAGLFPPPQPSPSDGAGPSDDGFGDAFAGLSDAFGTGGNFRACSSGGAADFFDFEAPVGGRGGGGALVDTGGGGVVARGMQMAPALSPREIRPYPVMMAGGDAVKLGVPTMMPGLAVGPPCAFDAVAGMQMPSPHGGGIKRRKNQARKVVCIPAPAASAGGRTTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRTDPTLLVITYNSEHNHPWPTQRNALAGSTRSHHAKNSKSNSSHNLQKPIVKAEPDQTATAASATTATAATSTTTTATTSTASNSTPTTTTMAVKEEAMVGSEMEKGTIDHGTSVALDHGDLMQQMFSQSYRPMIPEGGHHVDDFFADLAELESDPMSLIFPCGDPGREKATTPKGLGADPLFNMLDWGTMATNVVATSAGSSFEQGESGLL >KQL31703 pep chromosome:Setaria_italica_v2.0:I:39292654:39292947:1 gene:SETIT_020114mg transcript:KQL31703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISDLCLHFAANRTTEAKSLADTLLAAAKGTPLECLEACASNLTSMDDVLANLPAGQDDMNAYLTAKNFRANFKHDDPPICERDCRNKASTADETIIT >KQL29104 pep chromosome:Setaria_italica_v2.0:I:10302956:10303558:1 gene:SETIT_018926mg transcript:KQL29104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDAARMKGIIMVLTDRYFKFISVILISLVLEGSTCDSHPKIYDFKTARMLAKISVGRLILFYFAVGTTDFS >KQL30837 pep chromosome:Setaria_italica_v2.0:I:33683627:33683839:1 gene:SETIT_0165082mg transcript:KQL30837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAALFGAERSGAADLVGGGKVLFWPEGKARALVEPRSVLDCTLSHSPNNSKSTLSSSLGGGAADSTGVAA >KQL28677 pep chromosome:Setaria_italica_v2.0:I:7419299:7419637:-1 gene:SETIT_019111mg transcript:KQL28677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLRRETLLWINFLNKVSTPLSRSTITNSISCKCSSSHVTLLLWKVLDKRDHLLELGVTICFDRRYGKDSSMEIPISLASANCLTTLQLSWSTVVGFLLIFLNCLCMFLTKRM >KQL31403 pep chromosome:Setaria_italica_v2.0:I:37302630:37303837:1 gene:SETIT_018680mg transcript:KQL31403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEWVVLGYAAGAEAVMLLLLTLPGLDGLRRGMVSVVRSALKPMMSVVPFCLFLLMDIYWKYETRPTCDDEHACTPSEHLRHQKSIMKSQRNALLIAAALLLYWILFSVTSLVVRLQQLQQRVDKLKKRDD >KQL30612 pep chromosome:Setaria_italica_v2.0:I:32202680:32203838:-1 gene:SETIT_020557mg transcript:KQL30612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYANVVVDPKEARRRKKRRIKNKKKELEQAKRLQEAKQDPEKANKIAWDTARRRAAGEKVHDDPKLIKESLKKEEKRQQKHAAQWKERQKTVDNQRKEKQKKRTENIRERAHQKKMRKIEKREKKLMRPGFEGRKDGYVNE >KQL31385 pep chromosome:Setaria_italica_v2.0:I:37215175:37227016:-1 gene:SETIT_016082mg transcript:KQL31385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGHGPRQPMSPAISASAVVPQQRQMQLHHHPARPAIADLFTLYLGMNSKQRAEDPSRESSNKLQKRVTAMNRDLPPRDEQFISDYEQLRMQYPDQDQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLRWDNLLPSLLNAVSSMEAPMGQGVPVTGGGPVTPSSSAMAMPNAPNFHPSNPASPLSVMNTIGSPTQSGVDQPVGANVSPIKAAEFSSAAQLSAAARGDQSRRGAEIGYLHLLSCRIILAGLESNLKPATHAVIFQHMVNWLVNWDQRPHSMDEADAMQTWRMEKPLHEWMHLCLDVIWILVNEEKCRIPFYELVRCNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSYPSIAGESVTNLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRSQSQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNSLSSDPRKVETTRKIISFHKEDKSLDANNIGPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFTNLDERATGMFWVLSFTMAQPACEAVMNWFTSAGMADLIQGPNMQPNERIMMMRETYPLSMSLLSGLAINLCMKLAFQLEETIFLGQAVPSIAMVETYVRLLLIAPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLKDFFFVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMIYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQAIQAWQQAETTVINQCNQLLSPSAEPNYVMTYLSHSFPQHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQFEVQRGHLAQDLLSKAITNLSFFIWTHELLPLDILLLALIDRDDDPYALRIVISLLEKPELQQRVKAFCSSRSPEHWLKNQHPKRVELQKALGNHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAFYSSLLAFHPLRFTFVRDILAYFYGHLPIKLIGRILNLLGVSTKTPFSESFAKYLVSSNSSVCPPPEYFANLLLNLVNNVIPPLSSKSKSNPADTTRSTFNKHHASSQAGGIGNTDGQRAFYQNQDPGSYTQLVLETAAIEILSLPVSAAQIVSSLVQIIAHVQAMLIQSNSGQGMSGGLGQSSGLPTSPSGGGAESAGPNQANSAASGINATNFVSRSGYSSQQLSVLMIQACGLLLAQLPPEFHMQLYSEAARVIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPQEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQVPNPVDASEITDIIDFFRHHAVMYEGQGGPVQSTSKPKLEILTLCGKVIEILRPDVQHLLSHLKTDPNCSIYAATHPKLVQNTS >KQL31386 pep chromosome:Setaria_italica_v2.0:I:37215175:37227073:-1 gene:SETIT_016082mg transcript:KQL31386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGHGPRQPMSPAISASAVVPQQRQMQLHHHPARPAIADLFTLYLGMNSKQRAEDPSRESSNKLQKRVTAMNRDLPPRDEQFISDYEQLRMQYPDQDQLQAVTESVLISFVLQCSSHAPQSEFLLFATRCLCARGHLRWDNLLPSLLNAVSSMEAPMGQGVPVTGGGPVTPSSSAMAMPNAPNFHPSNPASPLSVMNTIGSPTQSGVDQPVGANVSPIKAAEFSSAAQLSAAARGDQSRRGAEIGYLHLLSCRIILAGLESNLKPATHAVIFQHMVNWLVNWDQRPHSMDEADAMQTWRMEKPLHEWMHLCLDVIWILVNEEKCRIPFYELVRCNLQFLENIPDDEALVSIIMEIHRRRDMVCMHMQMLDQHLHCPTFATHRFLSQSYPSIAGESVTNLRYSPITYPSVLGEPLHGEDLANSIPKGGLDWERALRCLRHALRTTPSPDWWRRVLLVAPCYRSQSQQSSTPGAVFSPDMIGEAVADRTIELLRLTNSETQCWQDWLLFADIFFFLMKSGCIDFLDFVDKLASRVTNSDQQILRSNHVTWLLAQIIRIEIVMNSLSSDPRKVETTRKIISFHKEDKSLDANNIGPQSILLDFISSSQTLRIWSFNTSIREHLNSDQLQKGKQIDEWWKQMTKASGERMIDFTNLDERATGMFWVLSFTMAQPACEAVMNWFTSAGMADLIQGPNMQPNERIMMMRETYPLSMSLLSGLAINLCMKLAFQLEETIFLGQAVPSIAMVETYVRLLLIAPHSLFRPHFTTLTQRSPSILSKSGVSLLLLEILNYRLLPLYRYHGKSKALMYDVTKIISMIKGKRGEHRLFRLAENLCMNLILSLKDFFFVKKELKGPTEFTETLNRITIISLAITIKTRGIAEVEHMIYLQPLLEQIMATSQHTWSEKTLRYFPPLIRDFLMGRMDKRGQAIQAWQQAETTVINQCNQLLSPSAEPNYVMTYLSHSFPQHRQYLCAGAWMLMNGHLEINSANLARVLREFSPEEVTANIYTMVDVLLHHIQFEVQRGHLAQDLLSKAITNLSFFIWTHELLPLDILLLALIDRDDDPYALRIVISLLEKPELQQRVKAFCSSRSPEHWLKNQHPKRVELQKALGNHLSWKDRYPPFFDDIAARLLPVIPLIIYRLIENDATDIADRVLAFYSSLLAFHPLRFTFVRDILAYFYGHLPIKLIGRILNLLGVSTKTPFSESFAKYLVSSNSSVCPPPEYFANLLLNLVNNVIPPLSSKSKSNPADTTRSTFNKHHASSQAGGIGNTDGQRAFYQNQDPGSYTQLVLETAAIEILSLPVSAAQIVSSLVQIIAHVQAMLIQSNSGQGMSGGLGQSSGLPTSPSGGGAESAGPNQANSAASGINATNFVSRSGYSSQQLSVLMIQACGLLLAQLPPEFHMQLYSEAARVIKDCWWLADSSRPVKELDSAVGYALLDPTWASQDNTSTAIGNIVALLHSFFSNLPQEWLESTHTVIKHLRPVNSVAMLRIAFRILGPLLPRLAFARPLFMKTLALLFNVLGDVFGKNSQVPNPVDASEITDIIDFLHHAVMYEGQGGPVQSTSKPKLEILTLCGKVIEILRPDVQHLLSHLKTDPNCSIYAATHPKLVQNTS >KQL28494 pep chromosome:Setaria_italica_v2.0:I:6272953:6274530:1 gene:SETIT_018797mg transcript:KQL28494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVDHAFSISDEDDLVGGAVGGPRGAPVKEIAFAAALLAFGALGAVGGLFMAANQVGGDRAHGIFFMILGIVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >KQL30638 pep chromosome:Setaria_italica_v2.0:I:32333475:32334047:1 gene:SETIT_019644mg transcript:KQL30638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLLLIPLLAATLPCIIGDATQLVYDTDGHELISNSSYYVLPVERGVGGGLTKSYQWRRCNFLVLQMRNEAKVGMAVRIEPLNASISDPVAVHLSTNVKISFDVITVCAEPMYWHVSDLPPFSTSQPRQFIAVGKDEGAEMPSPPSPDMLFRIERNNGAMKGYKLVSCIGTEPCKYLGLHAFKKENWLTT >KQL31147 pep chromosome:Setaria_italica_v2.0:I:35886490:35891110:1 gene:SETIT_016683mg transcript:KQL31147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLRLRSLGRAAPALAGAAAAVASLTNVAYADGASLFRRHSEPSNPGDANNLGATAFGRDPETLERMARALREINSSPLAKQVFELMRKQEETRLAELEVEKVQHAINEKLRDIERKQKDAEDYRNNLQQQAQAKAQSLRYEDELARKRMQAEREVQRRQDAELVKMQEASAIRKEEARRATEQKILEEMIQTEKEKAKADQETDRIKALADAEARAHEARELEEITRRTMVEKMKGEKEKWLAAINTTFSHVEGGFRMLLTDRSKLMMGIGGVTALAAGVYTTREGARVTWGYINRILGQPSLIRESSMPKFPLPMSRLLKPSSASLSSGAGFENVILHPSLKRRIEHLARATANTKSHGAPFRNMLFYGPPGTGKTLVAREMARKSGLDYAMMTGGDVAPLGSEAVTKIHQIFDWAKKSKKGMLVFIDEADAFLCERNSIHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDAAITDRIDEVIEFPLPGEEERFQLLKLYLNQYILKEEGKGSSLGALFKKQQRKIQVKDISDDLLKEAARKINGFSGREIAKLMASVQAAVYGRPDCILDPQLFSEVVEYKVTEHHQRIKLASEGMP >KQL30632 pep chromosome:Setaria_italica_v2.0:I:32295706:32298647:1 gene:SETIT_018521mg transcript:KQL30632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEKPEEAAKQPMDAEAGDPVDPRELVSSDDEIDYSVEPEFYDPDLDDVDERWMNRQRKGHTSDAVLSCPACFTTLCVDCQRHEKYVNQYRAMFVRNCKVKTDQILREGKSKRKNRKGKAAEPSTTSEAESKGQAYHPVCCEVCSTEVGVFDEDEVYHFFNVIPSNS >KQL27723 pep chromosome:Setaria_italica_v2.0:I:262053:265876:1 gene:SETIT_016825mg transcript:KQL27723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASPHLPFLSFPKTPPPPPPLAAPRPLTLHHRPRAANAAPPPSPPDGAGPAAPTRGDRFLGRQLATEAAASVLAPEDAERRRLRKEKRRALARKPSGLASCYGCGAPLQTAEEGAPGYVEPATYDLKKRHHQLRTVLCGRCKLLSHGHMVTAVGGHGGYPGGKQFVSAEQLREKLSYLRHEKALIVKLVDIVDFNGSFLARVRDFAGANPIILVITKVDLLPRDTDLNCVGDWVVESVVKKKLNVLSVHLTSSKSLVGITGVIAEIQQEKKGRDVYILGSANVGKSAFISALLRTMAYKDPVAAAAQKYKPIQSAVPGTTLGPIQIEAFLGGGKLYDTPGVHLHHRQAAVIHADDLPSLAPQSRLKGRCFPANDTDVELSGNSLFWAGLVRIDVVKALPRTRLTFYGPKKLKVHVVPTTEADQFYKTEVAVTLTPPTGKERAEGWAGLQGVRELKIKYEERDRPACDIAISGLGWISVEPSGVPSNNPDDNVKEEYGDGELHLMVHVPKPVEVFVRSPLPVGKAASQWYRYQELTEEEEELRPKWHY >KQL28229 pep chromosome:Setaria_italica_v2.0:I:4470437:4473312:1 gene:SETIT_018492mg transcript:KQL28229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNCGSLSSWVRRFVACVGGCFGCAQPTPIIAVDEPSKGLRIQGRSVKRRHLSDDFWSSSPHEMENSALQSRHSMSSISTAAQPNDQHAAGSSSNPNEFVNQGLLQWHQTRQQWIGKRKRNSQGQQSREPKIRLVVQVSFFFLLWNTKGLSLHFCSGQNSSLCCNFSYMK >KQL28230 pep chromosome:Setaria_italica_v2.0:I:4470437:4474125:1 gene:SETIT_018492mg transcript:KQL28230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNCGSLSSWVRRFVACVGGCFGCAQPTPIIAVDEPSKGLRIQGRSVKRRHLSDDFWSSSPHEMENSALQSRHSMSSISTAAQPNDQHAAGSSSNPNEFVNQGLLQWHQTRQQWIGKRKRNSQGQQSREPKISHYATYESLLGSTKPFAQSIPLSEMVDFLVVSWEQEGLYD >KQL31035 pep chromosome:Setaria_italica_v2.0:I:35177489:35177964:-1 gene:SETIT_020249mg transcript:KQL31035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNQSPKECCFRDSCLTMRVPSCDRPIHIIMSMRRFFFPNKSSAKV >KQL31331 pep chromosome:Setaria_italica_v2.0:I:36952929:36953439:-1 gene:SETIT_018861mg transcript:KQL31331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKCAIAVFLVLAVISNTSTCCVRAICSGCPTPKPKPGMPCFHAGSSQHPCTTEKCSRLCEHLNHNGTLAYCRSASPGVCCCPNE >KQL31263 pep chromosome:Setaria_italica_v2.0:I:36583968:36588374:-1 gene:SETIT_017504mg transcript:KQL31263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGILWERLPVTREKYRQTRLEQYKNYENVPNSGEEAIKDCNPTEKGGMYYEFRQNTRSVKSTILHFQLRNLVWATSKHDVYFTSSYSIRHWSALSGMNTELMNVEGHVAPREKCSGSLSEGFSQTQVSTLAVKDNLLIAGGFQGELICKHLDREGISFCGRTTYDDNAITNAVEIFNTSSGAVHFIASNNDSGVRDYDMEGFRLCKYFQFEWPVNHTSLSPDRKVVVIVGDDPDGLLIDANSGKTLHSIKGHRDFSFASAWSPDGRTFATGNQDKTCRIWDTRNLSKAVHVLRGNLGAIRSIRFTSDGQFMSMAEPADFVHVYDVKSDYNRRQELDFFGEISGTSFSPDTDMLFVGVWDRTYGSLLQFGRLHNYSYLDSLF >KQL28172 pep chromosome:Setaria_italica_v2.0:I:3942405:3947089:-1 gene:SETIT_016267mg transcript:KQL28172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQESNSVCLKRKLVDDCLSKDCKSRRVKSENGPSFDPSAKRCNCCCTRPNLANDCVNFLKSGAPSRIMYYKQGSWHNFPEQIMKSLIEEFRGNKSSVVSVMDDEPILVDFLSMTLVNLKTRKQRSVAWFDDTGKRFFPSLFFDEESDEMTKGDSGNVDSTAQGIMVDKAATSPPEVVKQVVLESNPPVPDKPSTVDVLRKKITSVERGSESFLFVQDLFLSGMDPFATPSNILHIHRYSPNDITAQCRFEAFERQMKSTKEARGDANVRYGWLGSRKNDIVRILINGLGTTANPVEKAGLSAGVYLSPENRAFTSVGLCDVDEKGVQYMLLCRMILGNMEAVEPGSQESFPSSEIYDSGVDDCSNPKCYVMWPSHLSTHIRLEYLVSFKLVPKVRHYLLDLKGLWFNPSPKEVAMDISTLQPVMSETGEGPNSPWISFSVLFGVIQGNISSVAKELLFHHYEELKEGIISREEMVKKMIIIVGEKILLEALKKLHYCPSLWYKPSVETVSSDPVMAAPEQSLDKSDMVSKGHDCLAASGVPEKSSSTSAISGGSASVEAKGRDSPMQIMPPGNLATPCAKSQDSFVGRVAPIVHDGLLRTISGNSASPGREVCKSATPNAGCSGYASLAQTNASKPHGVSAPGLTPKGYESVVPSLALGNSKSAGVKRLNSAPKMTPEGQEFLSLGIRSPSPAPHLVKLQAISASVAIPPVHVPSLRKSLPMSTEGRDSRGLPITPNGNGGSAFSKAPKRHESPIADTITKGHDSLALGILPKGNGVPASSKVPKRHESAIADTSTKGHDSIALSITSKGHDGAGSSKTPKQPADTLPESGHSQGQDVASKVHKAPKPITGELKKEQAAVPAAQDYPSGPSLDASSHVTGAASALVALSTLREKGGL >KQL30333 pep chromosome:Setaria_italica_v2.0:I:29963728:29966455:-1 gene:SETIT_018286mg transcript:KQL30333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDAMAAACCVLPVLVSLLAIRFVYVLWRSGQPLSGSPTAGLRCLIVLGSGGHTAEMMNVVTAVQKDRFTPRYYVAALTDNMSLQKAQVYEQSLIQSGEKTIKNAHFVQIYRSREVGQSYFTSIATTLLATLHAMWLVIRIRPQVIFCNGPGTCFPLCVCAFVLKVLGLGWSSIFYIESIARVKKLSLSGLLLYKLRIADQFFVQWPQLQQKYPRAYYAGRLM >KQL30828 pep chromosome:Setaria_italica_v2.0:I:33643771:33644388:1 gene:SETIT_019703mg transcript:KQL30828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAPPTTSPSAPARPALLGSVAGLDAAVSLRLHALFLPVPRLLLKALEVAGDGRIWLPVPISLLLLSASPANASGAVSPLLVGLVAGLVIDLALVGLVKVVVRRPRPAYNAKDMYVAVAADHWSFPSGHSSRAFLVAAFLAAGGFQPREALFLWAAATSASRVLLGRHYVLDIVAGALLGVFEAWLSNLLLRFMCAQSTFLVC >KQL30009 pep chromosome:Setaria_italica_v2.0:I:27456641:27458116:-1 gene:SETIT_020229mg transcript:KQL30009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRRILDRSASISQREDGLTARALVISVLNGSADSILTTIAGRFEIEVTSLALQRFGPARFLLILPTAEMMERVYDGGRSIITSIRLHVMRWTRFLQSTAASLPSVVEVDIQGIPTHAWELSMVELLLNEYCWISGIHPDTADRRDSFRVVAWCSSPSNFASEMELEIVEPTMMADDLQPGKRTLIYPVTVSVVAALDMLPRPKDPPSPPPADDEGRRRHRWRRRRQRSSSASANGASASPSRGNRTSAHALLGPHPAEIAHGASQDGAAASSGVLLRDGSAPLDDIQDSTASASPEGVSPPVTLRTAAGLGPEREASMSASPLADRAQRASAFNVGLLAQVVSPCAPRISEKVTATLTNVPHDNFEDENTSEGLKANPDGPDAEAVGPSPLRAQDESDGSADTLAGSGPMSQPSGMVEASTWSPILEAVWLSEDPGTTPPKSRVMARCAVHSPQAASWADGDPDHESIKYSLSECSGSQLKGAPRFCLNSC >KQL28949 pep chromosome:Setaria_italica_v2.0:I:9141933:9142145:1 gene:SETIT_020093mg transcript:KQL28949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPKSSWPEVVGWPASAAVTQINSDRPDVAIEVVPAGTNVAPGYNASRVRVFFDAGNASGPVLYTPVVG >KQL30526 pep chromosome:Setaria_italica_v2.0:I:31468624:31469879:1 gene:SETIT_019876mg transcript:KQL30526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKHGRRGGEARTLEKLWAVALARRVGFGLRLQVQGVQGHPHGHTPAACGRARRCHVLQRANCRRLVPHVSSRSPSPTPHARSTDHGARARAVKLQPAMRRDATSRSHTSNRPSPPSPCKTRPSTYKTPTSAHLQFQLHTTRARAPSQKPHPRQLNPSPPRSRRERIASCKQASSRVLRALALAGAVFLSVLLAPGAFAAEAAAPRAGLEFHVGGPRGWRVPDANTSYGWWAMNNRFHVGDRLYFKYAHDSVLVVDRPSFDACNATEPLAAFADGATTVRLDRPGFFCFISGEPGHCEQGQRLVVRVMVHPALAAAPGPAAASAPGTSARPGHGGGRPGASSSGAAAEVAAAAGVAVAAAVAALVGVVLVLP >KQL30898 pep chromosome:Setaria_italica_v2.0:I:34209133:34211780:1 gene:SETIT_019625mg transcript:KQL30898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAGGATTRRRYNRSTVPRLRWTSELHRSFVRAVDCLGGQDKATPKLILQLMDVRGLTIAHVKSHLQMQPRLGHLKHSFTVDEGGPKEFICPPMKRAKAGAATVTHESMQGNSDMGAPGTRRCGDDYTQAVPVGCSRRITECLRWQWQRDAAAASTLQELGFWVRGTEPFKIGRPIANRFSPVVRQLSSKEIKCENRCFLFGTATRDEAAKKLSPLRPLGSIDPKAAVAAVSSWPREGSCVLSPPPSSTSFSGCSGPGRGSCLFAGQRINLDLSLSICGS >KQL29557 pep chromosome:Setaria_italica_v2.0:I:21254572:21254765:-1 gene:SETIT_018986mg transcript:KQL29557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHMDCQVKQLLLLVVCFAMKLLVLAMNAARANISSCGSNLSADCCIRSRINLGRFISLW >KQL28575 pep chromosome:Setaria_italica_v2.0:I:6779809:6781588:1 gene:SETIT_017353mg transcript:KQL28575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTPAAAATVGHRSFVRANPRSDRFHALSFHHVELWCADAASAAGRFSFALGAPLAARSDHSTGNSAHASLLLRSDSLAFLFTAPYAHGVDAATASLPSFSAPAARRFAADHGLAVRAIALRVADAEDSFRASVAAGARPAFEPVELGLGFRLAEVELYGDVVLRYVSHPDATDDAPFLPGFEDVISSRGAPDYGLRRFDHVVGNVPELAPVAAYFTAEDVGTAESGLNAVVLANNSETVLLPLTEPVHGTKRRSQIQTYLDHHGGPGVQHIAMASDDVLRTLRKMRARSAMGGFEFLAPPPPNYYDGVRRRAGDVLSEAQIKECQELGVLVDRDDQGVLLQIFTKPVGDRPTFFLEIIQRIGCMEKDETGLDYQRGACGGFGKGNFSELFKSVEEYEKSLEAKQADALQES >KQL31783 pep chromosome:Setaria_italica_v2.0:I:39737388:39741993:-1 gene:SETIT_019782mg transcript:KQL31783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVLPIKGASAASVRNKKAPKELENVLNQYFGYSGFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALENQVASLKNKGIPAEFLSSTQTAHTKQAIHEDLDSGTPSLKLLYVTPELVATPGFMAKLKKLYHRGLLGLVAIDEAHCISTWGHDFRPSYRKLSSLRKQFPDIPLLALTATAVPKVQKDVISSLCLQNPVILRASFNRPNIFYEVRYKDLLDDVYSDISNLLKSSGNVCSIIYCLERAACDDLCMHLSQQGISSAAYHAGLNSKVRSSVLDDWLSSRTQVVVATVAFGFRVHMQSFDDKLTTHSHPVVYSLLLLVSLFYLIINTNVVPYFTYIAASIWGFGLVCGCCSHRMGIDRQDVRIVCHFNLPKSMESFYQESGRAGRDQQPSRSVLYYGLDDRRRMEFILRNTKNKKSQSSSSSNELSEKALADFSQVQPTLCQRSCDACKHPNLVSSRLEELRRVPNCRFNKVSLVFQSSLVNPAHLDTEFWNREDGASISGEDISDSDDGNEVLSNIAISKIPSKAGLDAKFKALERAENAYYQGKGQTKQQGGGLVDKKSISQALRDACCKRLLGALGQAKLRLGNLPCDETASATHLEKECFKKYEKVGKTFYNSQIAATVRWLSSATFNQMHDRFHFLIDQDTDHGASSSPNIVPESPPAATEVVGARPGETSNYKTNDKPQNIHDLEKMKHSDELAKTAAASAGNMELPAIPSFREFLSQKGKDRLKSSSNSSAGSQPSGIRRKSSDLVERQEASKKMKP >KQL28586 pep chromosome:Setaria_italica_v2.0:I:6854323:6854876:1 gene:SETIT_020318mg transcript:KQL28586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNIGKPSHPNAVLACGIHVLCSCKMCGHNPLYLISLLSLDTF >KQL31028 pep chromosome:Setaria_italica_v2.0:I:35123062:35123978:1 gene:SETIT_019027mg transcript:KQL31028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPLNLQSATDCARSAMKVLFQQVSVTEDDMFTSIKQGSLNLCRDKDSLAF >KQL28083 pep chromosome:Setaria_italica_v2.0:I:3177200:3178994:-1 gene:SETIT_017096mg transcript:KQL28083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCKPCKLNNSTHNHGTTTHGYSVLKQSCTQIKLEYHLASHEARASRLAVAMARGGGPRHRRERDHRLHRLLLHAGGERVIPPEQLRHHQPPHCHRHVLPGALLVALRGHAGLRAVRPHHVLQQPLPLAVGAGGEAVGDELAAALEHVLIARRQRLVPEQHLPFLDLVRGVVALHDHVAPRAAVLEPHRRVHAQRLPDHRLHQRHLLHGGEGDGLGGVRGDDGSDLAGEPGVQLRAATDEPFDEVWQEELVPAVRVEDTEEDEVVEELIARDGARIGLLGILEDAGGDVGEVRRELGVVAAHEERREAEGMEEQVLERPRLDGLARERVELEHQPLVSLRRGAVADDFGRWRAAEQGGAGEVEHLAVVVLVELVGAGRLEAGFDAVEHGGEGGAERRRDVVHSRSGEADHDALERRVARVGGVEEREREVAAEERERGHVGAVERAGLVDTGVVEQDAEAARVEESNDTGVAGD >KQL30087 pep chromosome:Setaria_italica_v2.0:I:27946372:27950637:1 gene:SETIT_017571mg transcript:KQL30087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTSPRRRLGARCSAMPAAKATDRKVATSELVLEGRGFVLLAAALWIAHKCIPAVAASRTRRRGEERRGEEMEVGFLGLGIMGKAMATNLLRHGYRVTVWNRTLAKCQELVALGATVGETPAAVVAKCRYTIAMLSDPSAALSVVFDKDGVLEQIGDGKGYIDMSTVDAATSSKISEAVKQKGGAFVEAPVSGSKKPAEDGQLVILAAGDKTLYDEIVPAFDVLGKKSFFLGEIGNGAKMKLVVNMVMGSMMNSLSEGLSLADKSGLSPQALLDVLDLGAIANPMFKLKGPAMLQGSYNPAFPLKHQQKDMRLALALGDENAVSMPVSAAANEAFKKARSLGLGDLDFSAVYEVVKGGAGGSGQA >KQL29075 pep chromosome:Setaria_italica_v2.0:I:10156907:10158297:1 gene:SETIT_017496mg transcript:KQL29075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDDTLRGHGAGAAAGVAPERARLHVAMLSLQFGYAGFHVVSRLALNMGVSKLVFPVYRNLIALCLLAPFAYYLEKKDRPAMTPGLLLQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALGIERVSLRRLDGLAKVAGTALCVAGASVITLFKGPAVFGPAAALDVAHHHQPAAPASGGGGKSWMLGCLYLMGHCVSWSGWLVLQAPVLKRYPARLSVTSYTCLFGLLQFLAVAAVAERDAAAWALGSGAELLTVLYAGLVASGVAFAVQTWCIDRSGPVFVAVYQPVQTLLVAVMASLILGERFYLGGIIGAVLIIAGLYLVLWGKSEERALAAKEDAAAAAGTICDEAAAASCLKQPLLPPATSEAV >KQL31488 pep chromosome:Setaria_italica_v2.0:I:37894927:37899530:-1 gene:SETIT_016492mg transcript:KQL31488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAIASSSSAFLLLVASSSPRRRRSRVGAALRSYRYSYGGAELRLHWTRRGLLRNGAAVVRAAAEPAGGEGEEAAAAGESSTPKGVTVQGSKAKAAGSAPPPKPVTSAPKQRHSAAKQNGALGSSSASKPGAPASEPIAESTAPVSERTETETDASAKVEEPKPTALDDAKESVGIAEPVEAKAGAAVAADAATSAADGSEDKEPGPLAGPNVMNVVVVASECAPFCKTGGLGDVVGALPKALARRGHRVMVVIPRYGEYAEARDLGVRRRYRVAGQDSEVTYFHSYIDGVDFVFIEAPPFRHRHNDIYGGERLDVLKRMILFCKAAVEVPWYAPCGGTVYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMQYARSVLVIHNIAHQGRGPVDDFFNFDLPEHYIDHFKLYDPVGGDHSNVFAAGLKMADRVVTVSRGYLWELRTSEGGWGLHDIINQNDWKLDGIVNGIDMSEWNPAVDVHLHSDDYTNYTFETLDTGKRQCKAALQRQLGLQVRDDVPLIGFIGRLDHQKGVDIIADAIHWIAGQDVQLVMLGTGRPDLEEMLRRCEAEHGDKVRAWVGFSVPMAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVAPFDPFADAGLGWTFDRAEANRMIDALGHCLNTYRNYKESWRGLQARGMAQDLSWDHAAELYEDVLVKAKYQW >KQL31910 pep chromosome:Setaria_italica_v2.0:I:40384637:40385956:1 gene:SETIT_019672mg transcript:KQL31910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEESTAPARFSPSSPPTVGTLLTRASAAGPPRGRDCSSPRSLLSRILHRGRRGGGGFGCRLRLFPRYCSSVAAAKEDAHATANEEHLREAAAPKVVGDQLALRESPRSSLAGKKATAAEDALPASLGLGASLVLLLSKSAAELSRMAELRAQMERLMLDVRADARSCNGRPSGSEGHTDGASVVKGPFVRAGDDEGAVPQSAPASRGTSENAGHRDMDRMEAELEAELSRLQQASSDEEHASPWRDRELETEAKSSASSRSHSAICSGSDDDGVDEDGATDSDSDGNQDNDNEEEDELDAESNGKSPPHGGVSARELERRLHALLQSRHEARIAELESALERARRKLRETEREASRWRDTAKLATRFTDESRLR >KQL31519 pep chromosome:Setaria_italica_v2.0:I:38168367:38170139:-1 gene:SETIT_019724mg transcript:KQL31519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKKADYTRLCHRKSILTVNARKGDVVTVDVHNQGDKNITLHWHGVDQPRNPWSDGPEFIMQCPIQPGASFAYRIILRGGGDFDRATVHGAIVIRPWRGSAYPFQKPHREIPIILGEWWKGDVRQLLADALHTGGEFQPSDANTINSQPGDLFPCSRDGTFTLSVEHGKTYTLRLINAALANEFFFGVAGHRLTVVGTDASYVKPFTVDHVFIAPGQTVTALLRADRALLRNTRYYMTARPLSTNPLVLLDNSTATAVLEYTDTAPPTAPRLRSLASAAHPARVPRRVDERMLVTIAVNEIACAPDEHCQGPRGNRLAASLNNVSFEAPRDTNILGAYYHHRSRKAGGVPGAEFPSTPPLLFNFTADNLPPELRLTARSTRMKVLEYGTVVEVVLQNTAILGAESNPIHLHGFSFYVVGTGPGNFDGDRDPAGYNLVDPPYQNTVVVPRGGWSAIRFRATNPGASMAAVCPFSTYAKFQFSWPLFCVAHVVVGVWFMHSHFELHMVWEMDTVLIVKDGNGAEEKMMPPPPGMPRC >KQL28332 pep chromosome:Setaria_italica_v2.0:I:5151665:5151841:1 gene:SETIT_018363mg transcript:KQL28332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EMEDGGGEDVFFNEKLNQQTLGEFGASLSLTKRDEKLTAAAATSRLKRALSIIQEDAE >KQL27731 pep chromosome:Setaria_italica_v2.0:I:280197:286802:1 gene:SETIT_016850mg transcript:KQL27731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAQLLRHSRKLRSLQNAVGCERSGLVRYFSSSPGSFVKENGVGKRTGGARFSKHSQPAKELETFALGVSRSYTWTRASNSLIPSAVSGVNGPFSCGQVASARPFSSSADLPPHQEIGMPSLSPTMTEGNIAKWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIQGDGAKEIKVGEIIAITVEEEADIEKFKDYKPSSSAEPVAPAESKAQPEPSQPKVEEKAPTKTPEPKAPKNEEASQSGDRIFASPLARKLAEDNNVPLSSVKGTGPDGRILKADIEDYLAKGGQSEAFAAPGLGYVDIPNAQIRKVTANRLLASKQTIPHYYLTVDARVDKLVKLRGELNPLQDASGGKKISINDLVIKAAALALRKVPQCNSSWMNDFIRQYHNVNINVAVQTEHGLFVPVIRDADKKGLGTIAEEVKQLAQKARDNTLKPADYEGGTFTVSNLGGPFGIKQFCAIINPPQSAILAIGSAEKRVIPGSADGQYEFGSFMSATLSCDHRVIDGAIGAEFLKAFKGYIENPTSMLL >KQL30313 pep chromosome:Setaria_italica_v2.0:I:29854046:29854520:1 gene:SETIT_020579mg transcript:KQL30313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRESSNF >KQL32190 pep chromosome:Setaria_italica_v2.0:I:41724110:41729618:1 gene:SETIT_016443mg transcript:KQL32190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYHHRMGAAADFRRDLEDLVCDHLGGCFSPAPSSSSSCSAAGGGGAGHEPDEAESSAARRRRRESRLLSRWVARQAEEVLSSMEREVERRNREAELLALARLHPVSTLDPSSFLLSSPATPPPRPQPTAPPSLLQMWRELEHRRADADQPFDREPSPDTADRDRVRQIARRLTDPADGATAAAATGEWLGETERQRVRLVREWVQMASQPRDSRAASRRDEPPRLRGRQARLDVITRMARERQRELQGISGYHAVSEFPRRSRNRIQGLLRGRFLRNGALLVEEERPPSVAARELGQLRQSHRTPTLRSESVVSSQDVSQSDASVPESGRLLGNDESQRGAEARAFTDSEDSAQTVLENVDLQEADADRAEVHSPSIPLDGTAVMQESLTQGDNMRQDETEDDTVFWQSSLDSRLDRWPSEIEEGADRNWGDNAEDLHSETVEDDDREHDHLQEEPDDWHDDESHGTVENWQDDYQDSALDTGPIPRTENRFIPPDDDNVYSMELRELLSRRSVSNLLSNGFGESLERLIRSYVQRSGHGPLNWNLDATMPPSNSPNENQEQERNTETRQFQAPVNRPALVIPPPPLPPRQPLWHRELRHNNWSSRHREWDAINDLRSDMGRLQQGMSNMQRMLEACMDMQLELQRSVRQEVSAALNRFAGAEGSSMDLTDDGSKWNQVRKGTCCVCCDTQIDSLLYRCGHMCTCSKCANELVRSGGKCPLCRAPIVEVVRAYSVM >KQL31356 pep chromosome:Setaria_italica_v2.0:I:37055070:37064379:-1 gene:SETIT_016904mg transcript:KQL31356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEELKKKLQPLLFDDPDRGGVSTRVPLPEDTCNSYVVSDGGTVNLLSRSLGEYNINEHGFHKRSAGPDESDSDEKAYCCASHEMHIFGPIGNGASSVVERAIFIPVHRILALKKINVFEKEKRQQILNEMRTLCESCCYSGLVEFQGAFYMPDSGEISIALEYMDGGSLADVIRVKKSIPELVLSHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRIENYSYAADIWSLGLTILECATGKFPYDVNEGPANLMLQILDDPSPTPPQDAYSSEFCSFVNDCLQKDADARPTCEQLLSHPFIKRYLKTDVDLAAYVKSVVDPTERLKQIAEMLAIHYYLLFNGSDGIWHHMKTFYMEQSTFSFSGKVYVGQNDIFDSLSNIRKKLKGDRPREKIVHVVEKLHCRANGDSGIAIRVSGSFIVGNQVLVCGDGVKAEGMPSLDELSIDIPSKRVGQFREQFIMQPGNLMSCYYISKQDLYIIQS >KQL31357 pep chromosome:Setaria_italica_v2.0:I:37055238:37062395:-1 gene:SETIT_016904mg transcript:KQL31357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFGPIGNGASSVVERAIFIPVHRILALKKINVFEKEKRQQILNEMRTLCESCCYSGLVEFQGAFYMPDSGEISIALEYMDGGSLADVIRVKKSIPELVLSHMLQKVLLGLRYLHEVRHLVHRDIKPANLLVNLKGEAKITDFGVSAGLDNTMAMCATFVGTVTYMSPERIRIENYSYAADIWSLGLTILECATGKFPYDVNEGPANLMLQILDDPSPTPPQDAYSSEFCSFVNDCLQKDADARPTCEQLLSHPFIKRYLKTDVDLAAYVKSVVDPTERLKQIAEMLAIHYYLLFNGSDGIWHHMKTFYMEQSTFSFSGKVYVGQNDIFDSLSNIRKKLKGDRPREKIVHVVEKLHCRANGDSGIAIRVSGSFIVGNQVLVCGDGVKAEGMPSLDELSIDIPSKRVGQFREQFIMQPGNLMSCYYISKQDLYIIQS >KQL28399 pep chromosome:Setaria_italica_v2.0:I:5585863:5587261:-1 gene:SETIT_020140mg transcript:KQL28399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALPPGPWQLPVIGSLHHLLLRNRSLPHRAMAGIARRLGAPPLIYLRLGEVPVVVASSRDAARAFLKTHDANFASRPWSSTVRTMMVDGQGLLFARYGALWRQMRKITILELLSARRVQSFRRVREEEVRRLVASVAAAAANGGGEAAVNVSERVAMLVTDSVMRIMIGDRFERREEFLEGLDEAIKITSGFNLSDMFPSSRLARLVGGTARRAEANHRKNFELMDYAIKQHEQRRAAMAAAADSAGEEEEDLMDVLLRVQKEGGLEVPLTMSIIKAVILDLFGAGSETSANTLQWAMSELMRNPRVMHKAQAELRDSLHGKPTVTEDDMADLKYLKLIIRETMRLHPAVPLLLPRECHESCKVMGYDVPKGTTVLVNVWAISRDPKYWDDAETFRPERFESTTVDLKGRDFEFTPFGAGRRICPGMTFAQATIG >KQL29291 pep chromosome:Setaria_italica_v2.0:I:12855453:12855980:1 gene:SETIT_020489mg transcript:KQL29291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDGCNWGGIGHLGPERRMQMFNFHFVFSFLLRGLHLA >KQL31722 pep chromosome:Setaria_italica_v2.0:I:39377369:39377679:-1 gene:SETIT_019039mg transcript:KQL31722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAQGSGRVGDESARGRPSNPAPKRGGVKKAILKFCCFCCASS >KQL32208 pep chromosome:Setaria_italica_v2.0:I:41790632:41796069:-1 gene:SETIT_016318mg transcript:KQL32208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGPRTFPGGLSKWQYKRMHEKLARQKQHGLLRHEKQLYLARLRSEIRASHLPGAADPAAPPGEGPTSSRSHIRALADRFRKPGAEDLWNEDDGPLRRAKGPSTGIPPGGQQQQQLDSGKPRGGANWKDWDDMAPEQPKPRMEAGARGKGPSLAAFNSKREYRTVAPFWSHWSSPSLGFFGPKRCYSVTSPCTMSWHLGVELRPLGVAGLAKAGNGREEAALALFNQERLYSVAARRFGRKWRPDSSDEDEKGTSKPKRNLRFGKFGASSEEDSEIDESGDTSTIRRRWSSAALRNCDMKKERRVLKSYEEENNDLAGRIRELREEIKNREVLGTERRRYESKGESLLTSKRFDECGISPMTVQALTDAGYVQTTVVQEAALPICLDGKDVLVKAKTGTGKSAAFLLPAIESVLNAMKNNTNHRVSPIFALVLCPTRELAIQLTAEANVLLKYHKGIGVQSLIGGTRFKLDQKRLESDPCQILVATPGRLLDHIENKSSFSVRLMGLKLLVLDEADHLLDLGFRKDIEKIADSLPRQRQTLLFSATIPKEVRRVSQLVLKRDHVFVDTVGLGAVETPTKVQQSCLVVPHELHFHMVHHLLREHIDREVDYKVIVFCTTAMVTEFMYIMLRDLKLNVREIHSRKPQLYRTRISEEFRDSNRLILVTSDVSTRGVNYPDVTLVIQVGAPPDREHYIHRLGRTGREGKSGEGIILLAPWEEYFMNEIGDLPIEKYPAPDIDQEMKQKVDDSIKIVDMSIKEAAYHAWLGYYNSIADIGRDKTMLADLANRFGASIGLEKPPALYRKTALKMGLKDVPGIRIRK >KQL28461 pep chromosome:Setaria_italica_v2.0:I:6045867:6050596:-1 gene:SETIT_018172mg transcript:KQL28461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSPNPAASGDAAAPAPAPSASSAIDFLTLCYRLKTTKRAGWVKRGVQAPESVADHMYRMGVMALVAADLPGVDRDRCVKMAIVHDIAEAIVGDITPSDNVPKEEKSRMEKEALDHMCELLGGGSRAQEIRELWMEYENNASLEAKVVKDFDKIEMILQALEYEKEQGRDLEEFFQSTAGKFQTDIGKAWAAEIASRRKPK >KQL31543 pep chromosome:Setaria_italica_v2.0:I:38288748:38292733:-1 gene:SETIT_017655mg transcript:KQL31543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASGVTNGHGKEAALYEEQKSKIGKVKTELGQLSGKSALYCSDASIARYLIARNWDVRKATKMLKKTLKWRLEYKPDEIRWDDISDEAVTGKIYRADYFDKSGRSILVMRPGCQNTKDAKGQVKYLVYCMENAILNLPHDVLQGHYPERLGVAILYNAPKFFEPFWKMASPILERKTRNKVKFVYSDRPDTMKIIEDLFNMDELECAFGGKNPATFNINDYAVRMREDDKKMPSFWSPENSALASEPYLMSNQKPQESSSSGVKAEETEPEKREETDTAPEKRAESDTESEKEEETQTESSTVEQKSLPGEDTAPADKSGL >KQL31542 pep chromosome:Setaria_italica_v2.0:I:38289231:38291241:-1 gene:SETIT_017655mg transcript:KQL31542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASGVTNGHGKEAALYEEQKSKIGKVKTELGQLSGKSALYCSDASIARYLIARNWDVRKATKMLKKTLKWRLEYKPDEIRWDDISDEAVTGKIYRADYFDKSGRSILVMRPGCQNTKDAKGQVKYLVYCMENAILNLPHGQDQMVWLIDFAGFNLGNLSINVTKLTADVLQGHYPERLGVAILYNAPKFFEPFWKMASPILERKTRNKVKFVYSDRPDTMKIIEDLFNMDELECAFGGKNPATFNINDYAVRMREDDKKMPSFWSPENSALASEPYLMSNQKPQESSSSGVKAEETEPEKREETDTAPEKRAESDTESEKEEETQTESSTVEQKSLPGEDTAPADKSGL >KQL30216 pep chromosome:Setaria_italica_v2.0:I:28998881:29002516:-1 gene:SETIT_017864mg transcript:KQL30216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGAAAGRLLAEVDWERESYPAYDDFLALPAFVLFFPTVRFFLDRFVFERVARRLILGQGHQRTSNETEETRKKIRKFKESAWKCVYFLSGELLALSVTYNEPWFTNTRYFWVGPGEQVWPDQKIKLKLKAVYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATVVLIVLSYVFRFARVGSIVLAIHDASDVFLEVGKMSKYSHCDWLANISFLLFVVSWILLRLTYFPFWILRSTSYEVLLTLDKKKHNFDGPIYYYVFNSLLFSLLVLHIYWWVLIYRMLVRQIRTRNVGDDVRSDSEEEDEHED >KQL30217 pep chromosome:Setaria_italica_v2.0:I:28998394:29002711:-1 gene:SETIT_017864mg transcript:KQL30217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGAAAGRLLAEVDWERESYPAYDDFLALPAFVLFFPTVRFFLDRFVFERVARRLILGQGHQRTSNETEETRKKIRKFKESAWKCVYFLSGELLALSVTYNEPWFTNTRYFWVGPGEQVWPDQKIKLKLKAVYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATVVLIVLSYVFRFARVGSIVLAIHDASDVFLEVGKMSKYSHCDWLANISFLLFVVSWILLRLTYFPFWILRSTRL >KQL31891 pep chromosome:Setaria_italica_v2.0:I:40310843:40317619:1 gene:SETIT_016102mg transcript:KQL31891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACEIRAPGAVLLRKSELPAEKNYANGHTDAAVKRRVAAMPAAAPTTPRRHPSPNAGRASSPTPAGSQAKRSPSTERRPATPSRLSSGSSRPTTPSRISAPTSPSSAPSSPSSSSSSSSTPVRDAVSETQSAPRRLSGGRAPDGLWPSMRSLSSTFQLESKGKRITSSSSADQVKMRDAAPADRKRSPLRGRTTPEQSENPHAKVIDHHRWPAMMGGRVSASAMSKSMDLTDKISRSALPSVPSRGVSPKRTTMSSAANALSRSIDLADKIDRLVSSSVSSRGGSPRRSPASSGANDVPKSIGVGKDLKPASLGISLRRASPIRKAASDGTRNLSESMDLTENDNSTLSSSVSSPSISPSASVSSVSNAASQATTKSSERLNGPVSNLSSSRGLSPRRTTSGGIGTLSKSVDFPEKDRRPASSRGVSPRRRLASDGVNDIARNMDFAEKDNRVVSSSIPSRGVSPRRRLASDGVDTISRSIDFSGKDIRPSTSSSASRGISPRRRLASDGISAVTKGMDFSDNANRPSTSSAASRGISPRPQLASDGIATVSKTPDLADRHDRPSTSYAAPRGMSPRRRFASDASNVTSERINFTEKDSGTVSSSVAPRGVSTLRRLSSDGVETISKSMEVVEKDTRPTTSSAALRGLSPRRRLASDGVNVISKSMDLFENSNKPVTMSAAARGVSPRRRLASDGVNVISKSIDFVENSNKPLTMSAAARGLSPRRRLSSDGIESISKSTDFAEKSIRPSTSSMASRGVSPRKWLGSDGVNAILKSAEFADKNCRPSSSSAAIRGVSPRSRSASNAISTGVDFAEKDSRPSTSSISLCQTPKNSRLQCDGVNTISKDVETSSTVSGCTSDSRLDDTGALVKGVDNSEKITVAMQDGGDGDGPGRMDSTDIGTGAVLLSIASQERSSSRPVVDDVKNTSENVDATQKGNRAISVKIPSRGASPRRRLASDCIDTISKTIDFTEKDKKPMTVSVPSRGVSPRRTARSDSANIMSKSMDFADKCNGPISSMIPSRVVSTRRVLGPDGANAMSRSMDLTDKIRQQISSTVQSSRASPRKTPLAYNRVKGPEVLSGDVESPASADGNESQEENASSSPDAPNNSEKFTPPKRLARTSSSPCRVLIRPSSPSNASSTSSFASRRLPSPSKTRPSTPVSPCSSVRSDSASSILSYIGDATRGKKSPAHMEEAHQLRLLHNRNLQWRFTNAYVDEMQSIQKMSAETMLYSVWDANSRMCDSMVMKRSHVQRLRQEVKLGIVLKEQMDYLDHWAALQTEHSSSLSSATEALKASTLRLPVSGGAKADVLTVKNAVSSAVDIMQAMGSSICHLLSKLQGTHSLVTELSAVAAKESTLLNEYRELLATAAALQVQESSLRTQLIQQTE >KQL28275 pep chromosome:Setaria_italica_v2.0:I:4754861:4755059:-1 gene:SETIT_020615mg transcript:KQL28275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCHGAVNIATTGDGDGGGEGRSFFDASVVRLAWTQMGMSAAQLDAKP >KQL31814 pep chromosome:Setaria_italica_v2.0:I:39908759:39909808:-1 gene:SETIT_019669mg transcript:KQL31814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVPGKKVLTLAISLLAVLALLLQPCAAARPISQTATIDGSRSLHLPLRGSLLRGPESVAFDGDGAGPYSGVSDGRVLKWNGLVRGWSTYAYSPGYDAKACTASRTRPAEVTESMCGRPLGLRFHYGSGNLYIADAYKGLMRVGPGGGEAKVLVTKADGVPLRFTNGVDIDQVTGEVFFTDSSMNYQRSQHERVTATGDSTGRLMKYDPKTNHVTVLQSGITYPNGLAISADRTHLVVALTGPCKLMRYWIKGSKAGTSEPLADLPGYPDNVRADIKGGFWVALHREKMELPFGPDSHLLAVRINANGKIVQVMRGPKSVRPTEVMEREGGKLYMGSVELPYVAVVSE >KQL31558 pep chromosome:Setaria_italica_v2.0:I:38385327:38388971:1 gene:SETIT_017584mg transcript:KQL31558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLPALGSKAAHFVSDLTTVILNPVSERETSHLPEVDEVQENSEDDKDSEHNSDGLDGPDTSSFRAFLISFLSSSSSNNNDSMEIIPEQNVDTSYPTLTPMGKGSKGRSGLISRGKHSIGKIISKAARIGGFKQGTAEPKIDRETVNHTQPVASVSELEESKEVASVSALPTMSEPSILLSELMQSILYASLPVLAQGRNWVLLYSTWRHGISLSTLYRRSMLCPGFSLLVVGDKKGTVFGGLVEAPLQPSSSKKYQGTNNCFVFTNLHDRPVIYRPTGANNYFTVCSADYLALGGGGHFALYLDGDLLTGSSSNSETFNNECLSHSPDFSVKDVELWGFVYPSKYEEMLTLCRTEKPGICRW >KQL29645 pep chromosome:Setaria_italica_v2.0:I:22498436:22501842:-1 gene:SETIT_019539mg transcript:KQL29645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLIIEELGTKGEPILPEGISARFRNICGAIVRNKLQNMITTSNWKKVPQTKKDVLWATVKERFTFPEGQEKFARHFAKGLLGRCFRNWRSNLNKEYVQKGKNARDEFENTMKDMKSAEKPHHLGAGGYAAKIAKWRREEEEWRRASLLDMFAGLDERSGNWIQEPSTELIYKRLAQLAEAEKKGLFRPDREKDQLTAMIRTAKHSGRVLGMSSTLPWDKPFPNDQASYRKCDRYKKNLEDKKREIAKQEFLEFLANQHIATLADPIVSDGQQPAEPTMLLAQTRFITPSSAGSIAKVRYPVDDIQAPIEYEHDKPFLYRWDLLEGPWELNKLHGWIMNAMKQGIPVITTHVPTKVFLGVLPFQIVIDFEDLHRLYCQQCLDVNLISVWCLDHWICIMILPKLGEAVVLDSASYDRHRYKNFIGIIQNCITNSCLYILKARTSLTYLKMDPTIQKRTKAMRIIYNKYCHKQPSSPVPCGYYVCKFIKNNGSNYNKIKDKQIDNICTDTARFILREIYHEDGAFFNKHGVLMTDEYIFRFVVWLEIHISICRLKTSGN >KQL29771 pep chromosome:Setaria_italica_v2.0:I:24335907:24337291:1 gene:SETIT_017708mg transcript:KQL29771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSAAVLSLCVVLAFLAGQSAAGRYYSDKVQDKVRKEVEKAMAYNPGLGAALVRLVFHDCWINGCDGSVLLDKTPTDGTNTEKKAVTNIGLAGFEVIDTIKQKVGANTSCADILVFAGRDAADILSGGKIFYKLDGGLKDGVVSSAAAADANLPESTFDFTDLQNNFAASGRGFTVKELVALSGAHSIGVAHLSSYQDRLAADATPIDSDYQLALNKTTPLELLKKGQNPTVPNNPRDASAAFQKDAAYDPVTLGVSPTRNVLDNSYYHNNLENKVLFKSDWVLRTDGVAAGKLQDYKDNAAMWNSDFAAAMAKLSGLPPQGKNLEIRKNCRFTNQQYYY >KQL32224 pep chromosome:Setaria_italica_v2.0:I:41857079:41859000:-1 gene:SETIT_018460mg transcript:KQL32224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLRRGVEPIRATQIRSVLAQTISLGMILASALIIWKGLMVVTGSESPLVVVLSESMEPGFKRGDILFLHMNKDPVRAGEIVVFNVDGRPIPIVHRVIEVHERHDTAGFDILTKGDNNLHDDRMLYAHGQLWIQQQHIMGRAIGYLPYAGWLTIAMTEKPVLKYVLIAALGLLVVASKE >KQL29054 pep chromosome:Setaria_italica_v2.0:I:9951704:9953822:1 gene:SETIT_019547mg transcript:KQL29054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGHRDGQDVQGSCEGISLVRAVSDTAAIHVPRRFQEQLQRRSSPDLFTSADFPNWVMLEPFVFRRDDDKSFPDESKAPVRASGTTSWQAQFRFAFDLAEPPRISRLYAQLPGFPGPRKGRPLAMVLTHRHLALAKSSSLERLPCCTEDLELVLHDGSPSHRPRESGSRLQCVSSMGLLCQGEEEEEFAVAELKLYPDRRKCKIFADIFLFLKSAGKWSSSRVPILHSGNPDDLWHLYIWQAHRVIPVDRWLCWIDCMQASSSTIWFHCGVSAFDAAGTLKFVDVTRDDGITGYKALKPGAGFTMTCHTLLLPSSLSSSSMVWNKDWKVTSDELWSNDNCLPRQVPMFPQDNIDMPHLVHFLISDFTYVMRKMWVVTIDMNTRTVESFYQYLNGQEDIGTEQEFLTDQKSVCPSSFLPSEFSKYLSS >KQL31240 pep chromosome:Setaria_italica_v2.0:I:36415981:36419564:1 gene:SETIT_017474mg transcript:KQL31240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQVNQPTVLHKLGGQFHLSSSFSEGVRARNICPSVSSYERRFPTRNYMTQSLWGPSMSVSGGINVPAVSSSPLFANAPAEKGGKNFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTIKDEGFSSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGDRQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGNLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >KQL31241 pep chromosome:Setaria_italica_v2.0:I:36416133:36419564:1 gene:SETIT_017474mg transcript:KQL31241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQVNQPTVLHKLGGQFHLSSSFSEGVRARNICPSVSSYERRFPTRNYMTQSLWGPSMSVSGGINVPAVSSSPLFANAPAEKGGKNFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGDCFKRTIKDEGFSSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGDRQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGLYDSIKPVVLTGNLQDNFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFQQILKKEGPKSLFKGAGANILRAIAGAGVLSGYDQLQILFFGKKYGSGGA >KQL29816 pep chromosome:Setaria_italica_v2.0:I:25076531:25080741:1 gene:SETIT_018024mg transcript:KQL29816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPATAPSPYHAQAQQHGAYGSTMPYYDVGVGSSAISSHQTGHLAAPAPAMSPHQQATPEAGTAAAAAAASQAAEVQEGNVMRRHYRGVRRRPWGKWAAEIRDPAKAARVWLGTFDTAEAAAMAYDDAALRFKGAKAKLNFPERVRGRTGQGVFLVTPGIPQLPPVAPLPPSPLPTVAVPFPDLMRYAQLLQGGNSRNVVAGDTGYLAPPPAQASSSVQILDFSTRQLLRGSPTTFGRQPSTTSASMSTTTASSSPSMWPHVEATTSGVDETHDESRNAPD >KQL30329 pep chromosome:Setaria_italica_v2.0:I:29952905:29953379:-1 gene:SETIT_018605mg transcript:KQL30329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARHGTSALHPGWLAGGRALQPLLCSTDARAVPCRGIEEVGVGLGFPPARPLRPPPSTPPIISAHQTQPPPLALPCPLPSVRPPPIAASQQQPAEQDAPGAPWTWLLDLPRLPVSPTRPTRLRFCRCRHSVPRPQDVMLGWVSLPNT >KQL29311 pep chromosome:Setaria_italica_v2.0:I:13133993:13135219:-1 gene:SETIT_019788mg transcript:KQL29311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SISSNDKVELCLMAKEKKKKDNKGERQKIELPSSLVKELQLLKSEHASLVCKYDSLANDYACATESLSCVASLEKANEVLIAQLEKLTSEHMDLKAIHKELECSHEKLVESYAILHIAHEVIITSVKSIQTLSHTCSCSQVNVDLSCTKICCSQATQSSIEHVFVESCNDLIAQEKDELIQEAERLKKDLSELKGKSQVQPSHDNCEIMVKKLEKGSTVTYSAPQLHLKISKSKIQEKNKFEHVKCFNCSKMGHFASTCSTKLKGKEALSKRQRSLAKKRGHMGKDCTNGNTFKSNLVHYNFSKLRNHKVGTYAIRVTGSP >KQL30885 pep chromosome:Setaria_italica_v2.0:I:34033942:34034653:1 gene:SETIT_020495mg transcript:KQL30885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPARFATPSATPRHVVVTTRPASPMPRIWWVADLMRWMSRAKRRSAKRQHADVHGAESAAAVSQLDAAVDPDELERKAAFERLDNLGRCIADVESSGEKVFRALVNTRVSLLNILSPAF >KQL30709 pep chromosome:Setaria_italica_v2.0:I:32786270:32792798:-1 gene:SETIT_016281mg transcript:KQL30709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGDELVQWDKMAGPEAVNGGGGGAGRMDRIQVLVRLRPLSEKEIARGEPAEWECINDTTVMFRSTFPDRPTAPTAYAFDRVFHSDCSTKEVYEEGVREVALSVVSGINSSIFAYGQTSSGKTYTMTGVTEYTVADIYDYINKHEERAFVLKFSAIEIYNEVVRDLLSAENTPLRLWDDAEKGTYVENLTEVVLRDWNHLKGLISVCEAQRRTGETFLNEKSSRSHQILRLTVESTAREFLGKDKSTTLVASANFVDLAGSERASQALSAGTRLKEGCHINRSLLALGTVIRKLSMGSNAHIPYRDSKLTRILQPSLGGNARTAIICTLSPATSHIEQSRNTLLFGSCAKEVVTNAQVNVVMSDKALVKHLQKEVARLESELRQPASNSSLEALVKEKDNQIRKMEKEIKELKSQRDLAQSRLQNLLQTVGDHPKHSGSGKRSARSPPSIGMPPGIIRDDSSQVSQDDSDLYKEVRCIETSGTGGNEQLDMSAGESSSPQGSNVNSSLRGNGSNTSVNSRRSRLLGESPITLEQHLENIRRPFASVGRDLGSSTRNSSGSRLLGRSRSCRSLTASTMFDGMEADDGTPVHRSLVGFPGRPERDHTSGSVLNYDAESETLSRAGSIVSAKTNGACDAEFTGIGEFVAELKEMAQVHYQKQYGDQNANGEFGEGTIKSIGLDPIADASQSPSRWPLEFEKKQQEIIELWHACSISLVHRTYFFLLFKGDQADSIYMEVELRRLSFLRDTYSRGGTPSNVVVGSLNSSPAASAKKLQREREMLARQMQKRLTAVERDHLYSKWGISLDSKKRKLQVARRLWTETKDLEHVRESASLVAKLLGLQEPGLREMFGLSFAPQQQPPPRRRSSNGWKYGLPSFG >KQL31813 pep chromosome:Setaria_italica_v2.0:I:39903683:39904720:-1 gene:SETIT_019852mg transcript:KQL31813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVPGKKVLTLAISLLAVLALLLQPCAAARPISQTATIDGSRSLHLPLRGSLLRGPESVAFDGDGAGPYSGVSDGRVLKWNGLVRGWSTYAYSPGYDAKACTASRTRPAEVTESMCGRPLGLRFHYGSGNLYIADAYKGLMRVGPGGGEAKVLVTKADGVPLRFTNGVDIDQVTGEVFFTDSSMNYQRSQHERVTATGDSTGRLMKYDPKTNHVTVLQSGITYPNGLAISADRTHLVVALTGPCKLMRYWIKGSKAGTSEPLADLPGYPDNVRADIKGGFWVALHREKMELPFGPDSHLLAVRINANGKIVQVMRGPKSVRPTEVMEREGGKLYMGWWNYLMWQ >KQL28457 pep chromosome:Setaria_italica_v2.0:I:6032115:6033163:1 gene:SETIT_017978mg transcript:KQL28457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVATTKPAADGRGGRRYALLLALWDSEYAKKAYGGYYNVFVAAFGRAGGGAGDEGETWDCFRVIAGEFPAPEDLASYDGFVVSGSPHDAHGEEPWVRRLCALVQALHAMGKRVLGVCFGHQVLCRALGGTVGRARGGWDVGVKKVHQDEVWEVPPGATVLASSEKTRVEVFAVGEHALGIQGHPEYTTDTLHNLIDRLTAQGAIEARAGEDARRTVAETGGPDRAFWTGLCKVFLRGGGSSPRPAAPVRDTASEVMTSSVGAAARCFTSAAPIVQFARSTSVAGVARTW >KQL32216 pep chromosome:Setaria_italica_v2.0:I:41825540:41829669:1 gene:SETIT_016693mg transcript:KQL32216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGAGGGGVTAIRVPYRHLRDAEMELVSLNGTPRAGDAPPKDPEHRNGDAAHHEGSRGSSRTKLVLACMVAAGVQFGWALQLSLLTPYIQTLGIDHAMASFIWLCGPITGFVVQPCVGVWSDKCRSKYGRRRPFILAGCLMICAAVTLVGFSADLGYILGDTTEHCSTYKGSRFRAAIVFILGFWMLDLANNTVQGPARALLADLSGPDQCNSANAIFCSWMAVGNILGFSAGASGNWHRWFPFLTTRACCEACGNLKAAFLIAVVFLLFCMSVTLYFAEEIPLEPKDAQGLSDSAPLLNGSRDDGHTLNEQNNERLPNGHVDRNNVSANSNTEEFTDVNSNLNRDNGEVFNDGPGAVLVNILTSMRHLPPGMHSVLVVMALTWLSWFPFFLFDTDWMGREVYHGDPNGDLSERKAYENGVREGAFGLLLNSVVLGIGSFLVDPLCRMFGARLVWAISNFTVFICMMATTILSWISSDLYSSKLHHIIGANKTVKIAALVVFSILGLPLSITYSVPFSVTAELTAGTGGGQGLATGVLNLAIVVPQIVVSLGAGPWDALYGGGNIPAFALASIFSLAAGVLAVLKLPKLSNSYQSAGFHGFG >KQL28517 pep chromosome:Setaria_italica_v2.0:I:6393777:6396752:1 gene:SETIT_017293mg transcript:KQL28517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGARFHGMIGGGGGGGGKGMQDNEINGFYNMPYYQKFGEGSHMSVDSADGFNLANCAGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPNHPTEILNNYEQWTIDLGRLDMGDPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAHLMEQQFVQEVMMLSRLSHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDVARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPSLTHIMTRCWDANPEVRPAFTEIVCMLESAEMEIVSNVRKARFRCCMSQPMTTD >KQL28518 pep chromosome:Setaria_italica_v2.0:I:6394423:6397113:1 gene:SETIT_017293mg transcript:KQL28518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGARFHGMIGGGGGGGGKGMQDNEINGFYNMPYYQKFGEGSHMSVDSADGFNLANCAGGSVAMSVDNSSVGSNESRTVILKHPGLRDAPTASYSVGNSVFRPNRVAAHTLNEDALARVLMDPNHPTEILNNYEQWTIDLGRLDMGDPFAQGAFGKLYRGTYNGEDVAIKLLEKPENDPERAHLMEQQFVQEVMMLSRLSHPNIVRFIGACRKSIVWCIITEYAKGGSVRQFLARRQNKSVPLRLAVKQALDVARGMAYVHALGFIHRDLKSDNLLIAADKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDHKVDVYSFGIVLWELITGMLPFTNMTAVQAAFAVVNKGARPVIPQDCLPSLTHIMTRCWDANPEVRPAFTEIVCMLESAEMEIVSNVRKARFRCCMSQPMTTD >KQL28822 pep chromosome:Setaria_italica_v2.0:I:8299491:8302510:1 gene:SETIT_016430mg transcript:KQL28822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVDVDGVLQRFDFRLDRGDDGHGRGSDPCASDRSRSGGGGAEGKEVGGGGAVKERIARALRIYKEAAGDGGGALVQVWAPARDGGRRVLATRGQPFVLAPPQCHRLFQYRTVSLTHAFPVGGAGVPGERGLPGRVFDAGAPEWTPNVQYYGTGEYARISYALIYDIQAALALPILEPATGSCVAVIELVTTSPRIRFADEVDKLSKALQEVALRGSEICRPAPEVCNDDAAEMAMSEVSDILTTVGEAHKLPLAQAWVRCKRCSSSTDDHASLTAAGTPFYLADADQRLLGFREDCVEHHLRPGRGGVVEEAAAARGPRFCADVTKYSMDVYPLAHHARFCGLAGCLAVCVQLRRDGDDASMDDSSREGCVLEFFLPLDCRDGTEQKAAADAIAATITEHFGNGHLKATVISGLEDLSFEIDADGDCVLRPDPVIMADAPEFELNDHGGDERDSDEEGLHLAAAVGTADIEAPKMNSGDQNGGEDPRSQVGEKKKKTKRKNEKTVSLEVLQRYFSGSLKDAARSLGVCPTTMKRICRQHGISRWPFRKIAKANRSLDKIKRVFESVPGSSNPMAASTPAATASHQAPAVATARGDHALPCLSSALGVASSQGSCHAPPPPPRDAALRTPLHGVDAGVVTVKASYKGDIIRFRVPSSAGVATVKREVAKRLGLEASEFDVKYLDDDNEWVLLSCDADFQECLDVVPALSGTSTSSGSGAAQPVIRLMVQELAEIDGSSCGSSD >KQL32193 pep chromosome:Setaria_italica_v2.0:I:41731374:41734344:-1 gene:SETIT_020275mg transcript:KQL32193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVACLLPLFLIPVVNALPYLFDLILRKVYRLFGWEYRRPERAPPACPYKPAAQKNDEGASESKPLVEPHGAAAEDKKEE >KQL29753 pep chromosome:Setaria_italica_v2.0:I:24166328:24166830:1 gene:SETIT_020304mg transcript:KQL29753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWIFMFKGMCPVSHKNRSSKFNSQNLINISIKPLMNPLMCK >KQL31111 pep chromosome:Setaria_italica_v2.0:I:35705752:35707648:1 gene:SETIT_017776mg transcript:KQL31111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLLLLLTPAGLLAALAFLARPRAARVPLKGRHVFITGGSSGIGLAMATAAAREGARVSILARNLARLEEARAAIQRDSGRGDVGVHAADVRDAAAVERALREAGPVDVLVCNHGVFVPQELERQDMEEIKWMVDINLMGTFHLIKAALPAMKARTRETRLPGSIAIMSSQAGQVGIYGYTAYSASKFALRGLGEALQHEVITDNIHVSLIFPPDTETPGLEEEHKRRPELTNIIAGSSGGMKANDVAKKALDGIKSAKFIVPCNFEGAMLAVATAGLSPQSSPVMAFLEVIGAGLMRFAALCFQWNWFSTIEGYYAKNKKRE >KQL29199 pep chromosome:Setaria_italica_v2.0:I:11260886:11261828:1 gene:SETIT_019200mg transcript:KQL29199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDREIGSPAVGDLDDVEGNLRHQANLDRRLIVHGKQPLDAIEDEDEFIFEEEELQAKMCGIWDLKGEVVSRDLRDNRYLLEFKSERGLKYVLRGGPWKYRGDALIIVRYDGFTRLSDVVIESIPLWVCLYDILIGMMTDSFVRALGSKIGGVMEIGEAVRDFKRVRVNFPLANALQPSVHIRVKGHGVMVFLVKHEGVPHFCFTCGRIGHADRECPDEVHGDGGVRYGTKLRASPHKKEVGRTISFHASPPAAKRGLNFSGGQRDRVLASLKPQMSEGAHSYSAFSGGVHH >KQL28342 pep chromosome:Setaria_italica_v2.0:I:5232378:5235680:1 gene:SETIT_017008mg transcript:KQL28342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVKPAAGMPAYHHRALPGPGQGPVPAAHGMMHREVRDPYGPGMHLPPPGHGHGHGLGPGPFPYDMLPPPEVLEQKLAAQRGEMQKLAVENDRLAVSHGSLRKELAAAQQELQRLQAQGEAAKAAEEQDMRGLLDKVGKMEAELKACESVKVELQQAHAEAQNLVAVRQNMVADVQKLSKDLQRNLGEAQQLPALVAERDAARQEYEHLRSTYEYERKLRVDHSESLQAMKRNYDSMVTELEKLRAELRNAANIDKSGIFYNPITSQKDDGTSSHLSVGQIAYDGYGRAQARTTPTGLVDPLSGSPAGTGLHSGFDPSRGNTYDASRVASFSSSKSGTHDVSRVASGFDSLKSAGYDASKAQAAATVAHGSSAGSYGSNQATPPQYAWVQSASTYGSVQMPPSYAPGSVPSSYGVATVRPYGSAQALPSYGQAQAPAGYGHTQLPSSYGLAQAPSAFAVAQGSSPYGLATQPPVYGSGRAAGNSGSNYEAPHGRK >KQL30915 pep chromosome:Setaria_italica_v2.0:I:34364985:34367533:1 gene:SETIT_019266mg transcript:KQL30915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGSSILGADGEWGETSLGDMPESCVAAVLLYLDPPEICQVARLNRAFRGAASADCVWAVKLPANYRYLAALAAAADDEVRGDGDDNDKRFFLAATKKEIYARLCRPTLFDAGRKANQRKCGSKLMFTRCYKFGLLIDCTCCSWDGLIMSSFYSFSYVVSFWYCRFHSVAYLQQIWWLEVDGELEFCFPAGSYSLFFHLHLGRPYRRMGRRLCATEHMHGWDVTPTRFQLSTSDEQQVTSEYYLHLHEQGGWKLYHVGDFVVSNPDEPMKLKFSMMQIDCTHTKGGLCVDSVFIYPKGYKPERANIVCM >KQL28159 pep chromosome:Setaria_italica_v2.0:I:3887613:3888642:1 gene:SETIT_019512mg transcript:KQL28159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFTSGSFKKYADLKQQVALDDLESGGGGEAEGPDLDRFFEDVEVVKEDLRGLEALHRRLQSAHEEGKTAHDAAAVRVLRARADADADQVLRHARAVKARLEALDRVNAASRKLPGCGPGSSTDRTRTSVVIGLGKKLKDLMDDFQVRDGGAAVLHGDRREGGGQHGGGAHRVGRERDVPAEGDPAGPGPGRRARAGAGRGVGDPERHGAVREMERSLRELHQVFLDMAALVEAQGHQLNDIESHVARASSFVLRGAVELETAREYQKGSRKWACVAVVAGAVLVAVIVLPIVINLHLLTVR >KQL30729 pep chromosome:Setaria_italica_v2.0:I:32941737:32945418:-1 gene:SETIT_016534mg transcript:KQL30729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPARGGAPSPPEIEKCVADTEDLESEPVATADRRGEERVPPWREQVTVRGLVAALLIGFVYTVIVLKLALTTGIIPTLNVSAALLAFLALRGWTRALGRLRLRPRLGISGAPRPFTRQENTVVQTCAVACYTMAFGGGFGSSLLALDKKTYELAGVNTPGNAPGSYKEPGVGWMTGFLLAISFVGLLNLLPLRKALVIDYKLTYPSGTATAVLINGFHTPKGEENAKKQVNGFLRCFAVSLLWSFFQWFYTGGEGCGFLQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNLSTLFGAILSWGILWPLISKQKGNWYPANVPESSMTSLFGYKSFLCVALIMGDGLYHFTKVMVITVNSLHERSNNKHIKKVKNEDTVAVDDMSKRDEVFNMDSIPNWLAYTGYALLSIIAIVAIPIMFRQVKWYYVIVAYVLAPVLGFSNAYGTGLTDMNMSYNYGKIALFIFAAWGGKDNGVIAGLVGCGIVKQLVQVSAELMHDFKTGHLTLTSPRSMLVGQVIGTAMGCVISPLTFMLFFRAFDVGNPDGYWKAPYALIFRNMAVLGVEGISALPAHCLELSVGFFALAVLANVARDLLPRRFGECVPLPTAMAVPFLVGANFAVDMCVGSLVVFALRKVGNGEEAALLVPAIASGFICGDGIWTFPSSLLSLAKVTPPICMKFAPGS >KQL31918 pep chromosome:Setaria_italica_v2.0:I:40421206:40422486:1 gene:SETIT_019418mg transcript:KQL31918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGSRRRRLRKSMFKQGGNGDGDARCPPHPGFVGGFCFRCGAKEEDAEGGAPPCPAHRGLSFLSGVKDGGAEGRAPPGGAPAVRRKTQESPAVTASATASIPRASDLETLLRARKLTLILDLDHTLLNSTGFRQLSPTEEINGFTRHARDDPSAGLFRLDGRTLRMLTKLRPFVRGFLEQASAMFEMHVYTLGGQDYARAVVKLLDPDGVYFGARIVSCDESTRQDMKNLDVVPGAEAVAVVIIDDSDYVWPEHKENLILMDRYLYFASSCRQFGYSVSSLAELRRDEREHDGSLAVMLEVLKRVHRGFFDSVLGGHCSDVREVIRAVRREVLRGCTVAFSRVIPLEYVAGDHPIWKLAEQLGAVCAANADATVTHVVALDPGTEKARWARHNNKFLVNPNWVKAASFRWCRPNEQEFPVTRGR >KQL28250 pep chromosome:Setaria_italica_v2.0:I:4575284:4575755:1 gene:SETIT_020387mg transcript:KQL28250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTLPTANWALCCMIVSVALPAYWYAAFVNWVKLNC >KQL31954 pep chromosome:Setaria_italica_v2.0:I:40598362:40599092:1 gene:SETIT_018763mg transcript:KQL31954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPCSPSLAAAVGRGPRSTAVPGNNKKLTCLCSPTNHPGSFRCSRHRNGPRGPAAPSASEARGRAAKGRSVRALLLQRISCPSDRDRQRQRRRSGDFQPRPSRLRLMNM >KQL27818 pep chromosome:Setaria_italica_v2.0:I:872277:874467:1 gene:SETIT_017880mg transcript:KQL27818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVSESAAEAASTAAAPPLTSASAPPSRPKAKHRLEIEGYPVEGLSIGGQETCVIFPTLSLAFDIGRCPQRAISQEFLFISHGHLDHIGGLPMYVATRGLFRLRPATIFVPACLRDLVERLFEVHRAIDQSELKHNLVPLEVGEEYELRRDIKVRAFRTYHAIPSQGYVIYSVKQKLKQEFIGLPGSEIKRLKLSGVEITNTVSTPEIAFTGDTTSDFILDPDNADVLGAKILVVESTFLDDSISVEHAREYGHTHLFEIASQSDKLGNKAILLIHFSARYTTEEIDAAINRLPPSFRSRVYALKEGF >KQL30442 pep chromosome:Setaria_italica_v2.0:I:30587167:30587564:-1 gene:SETIT_020245mg transcript:KQL30442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNMQLSMQILMRSVSPKKLLKLSIIIVHVPLIS >KQL30942 pep chromosome:Setaria_italica_v2.0:I:34549223:34550889:-1 gene:SETIT_019383mg transcript:KQL30942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAPWPPPPPSSWARSPSPPRHEPAPAPPRPIVTPPGCPCAPGRGRDRPSRQPSRPSTSRGGRGAKPPALPFRVGHGFDLHRLEPDLPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPRWKGADSSVFMREAVKLMHEAGYELGNLDATLILQKPKISPFKETIRSNLCDLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMRK >KQL28306 pep chromosome:Setaria_italica_v2.0:I:4940590:4945389:1 gene:SETIT_019352mg transcript:KQL28306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSPLDGPPSPPSLRDRLVSPHHCLPAFIFMCPPGGVKDSFSLFIIFVCFIASSAFAGSSDIENLYDLRDAVAKSKDSLSDWFGTETCPCNWRGITCEGDTVVAINLSSVRLHIPFPLCITAFRSLGMLNLSGCDLSGQIPEALGNLQQLQYLDLSSNQLAGPIPFSLYDLKTLKEIVLDRNSVSGQLSPAIGQLQNLTKLSISRNNISGELPPELGSLKNLEVLDLQLNRFNGSIPEAFGNLTRLFYLDASRNKLTGSIFPGISALLNLLTIDFSSNSLVGPIPNEITHLKMLERLALGFNHFTGGIPKEIGNMKHLKELSLTECSLSGTIPWSIGGLGSLVELDISGNDFNSELPASVGDLGNMTILNARKAKLVGRIPKQLGNCKKLTLLRLSFNSFTGSIPEELAGLKNIAHFEVEDNQLSGTISDWIKKWANVVSVNLGNNKFYGLVPPTICQAKLLQSLDLHCNDLTGSIKETFEGCKNLVHLDLQGNHFHGGIPEYLAKLPLTILDLSYNNFTGELPGKLFESSTFLELSLDNNNLTGHIPESIGKLHSLQRLRMGSNHLEGPIPLAVGALENLTEISLDGNRLSGSIPQELFNCRNLVKLNLSSNSLMGPIPRSISQLTSVTGLVLSHNQLSGSIPAEICGGFTNPTHPESEYVQHHGFLDLSYNLLSGRIPPAIKNCVILEELLLQGNLLNGSIPAEVAELKNITKIDLSFNALVGPMLPWSAPLLKLQGLFLSNNHLSGNIPAEIGRILPNIAVLNLSGNAFMATLPQSLLCSKTLNRLDVSNNNLSGKIPLSCTGYGEWSSSLIFFNASSNHLSGSLDESISKFRQLSYLDIHNNSLTGSLPSALFNLSFWGYLDLSKNDFSGAIPCGICNISNNGFVNISGNNFGMHSLSDCPASGICAADSINRRGSHTPHVILTVVAICVAVTVVIVVLLVFFLRWKLLRNNRSLPLVPTTASQSSATTEPSSMEPPSINLATFEHALLRFTLEDILKATNNFSNVHIIGQGGFGTVYKAALPEGRRVAIKRLYGSHQFLGDRQFLAEMETIGKVKHRNLVPLLGYCARGDERFLIYEHMSHGSLETWLRDRANAPKAIGWPDRLRICIGSAHGLMFLHHGFVPRIIHRDMKSSNILLDENMEPRISDFGLARIISAYDTHVSTNVAGTLGYIPPEYAMTMKCTAKGDVFSFGVVMLEVLTGRPPTGQEVEEGGGNIIDWVRWMIAQGREGELFDPSLPVSGLWREQMVRVLAIALDCTADEPRNRPTMPDVVKGLKIAELMESEPHDLPGRVAQP >KQL30434 pep chromosome:Setaria_italica_v2.0:I:30556586:30558985:-1 gene:SETIT_018589mg transcript:KQL30434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSTSLCFSASIPPPPASCSSGSSRFLAIQHVSSAPSLFRWAIQYKQSGHTLHRRSHVLAFASADAPQGKRNSGANVVMVDPLEAKRLAAKQMQEIRAKEKLKRRRQAEAINGALAMIGLTAGLVLEGQTGKGILGQLAGYLAAISSLFEQ >KQL32281 pep chromosome:Setaria_italica_v2.0:I:42047420:42050039:-1 gene:SETIT_017754mg transcript:KQL32281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLLLLPSSTSTYSKRVPPPQSRCFASPSRVSFPPRARHRIRLVRAAEQPDGAASSGSGDDATANPTNNGLPKNRRDILLEYVKNVQPEFMELFIKRAPAQVVEAMRQTVTNMIGTLPPQFFAVTVTTVAENLAQLMYSVLMTGYMFRNAQYRLELQQSLEQIALPEPKEEEDSADYAPGTQKKVTGEVIRWNKATGPERIDAVKYIELLEAEIDELSRQVARKSSQGSNELLEYLKSLEPQNLKELTSTAGEDVVFAMNAFIKRLLAVSDPEKMKTTVSETSANQLANLLFWLMMVGYSMRNIEVRFDMERVLGAPPKVAELPPGETI >KQL30817 pep chromosome:Setaria_italica_v2.0:I:33601505:33602207:1 gene:SETIT_018708mg transcript:KQL30817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWEIDDHVCDLRMTAAWWRSPRSYMACSGLRWSVLRGNGWFGVGYCRDDGAGDKGYLSAFSAGWWWCGVVVVAQALALRVLRRWLNPTDHRVLLCFCFELSRLLGAILVALLLYPVWDISSF >KQL31425 pep chromosome:Setaria_italica_v2.0:I:37409318:37409689:1 gene:SETIT_018925mg transcript:KQL31425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARRFVVPAIMVFLVFSAATVSAARPLAGEELSGEATAGESVVVRFLRQIYRRRLSGPGHSCQTWNPNGGC >KQL29386 pep chromosome:Setaria_italica_v2.0:I:14687937:14688947:1 gene:SETIT_019087mg transcript:KQL29386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLLKYCKRRGLLIELGGEAILVIRSERGLARKLAPFKSHSLLIRICYARYADELLLGIVGAVFLLIEIQKRITHFLQSGLNLWVGSAGSTTIAARSTVEFPGTVIREVPPRTTPIQFLRELEKRLRVKHRIHITSCHLCSAIHSKLRDLGYSIPIKELTKGMSGRGPLLDAVQLAETLGKDGLKSPHVSVLWGTVKHIRQRSRGISLLHSSGQSKVPSGVQQAVSRSGMSVLKNKLYTPFGRKAAGEGRGHWAGSFSSEFPIQIEAPIKKILRRLWDRGLISRRRPRPIHVASLTNVSDRDIVNWSAGIVISPLSYYRCCDNLYQVRTIVNYQIR >KQL28820 pep chromosome:Setaria_italica_v2.0:I:8277128:8287793:-1 gene:SETIT_016113mg transcript:KQL28820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGPPASPSSRSHGNAAGAGSATAMMLPGPPGRGNGGCIDLSPAGLLAHGAGSSVVVSDPRSMQLLCVLPMPSSALASFVTAVRWAPPAAAPCSLAGNDDDDDGRPLRLAAGDRHGRIAVWDARARAVLCWLNLDEARGVAPGSGGGVQDLCWVHHASGWLLASIHGPSLLCIWETSNNPRVLWMFDAAPEYLSCLRRDPFDARHLCAIGLRGFLLSAIPRHDSDISLHEHRVTCNAGDVAELQRLEKEIAAPAPAPALAAFPLFVTRICFSPLWRQILFVTFPRELIVFDLSYSTALSVTPLPRGFGKFLDVMADPDLDLLYCTHVDGKLSIWKRKEGEQVHLLCAVEELMPSIGTVVPPPAVLATTIWQSESIFRNIDKQCQDLSQTNASLSFITDTNSEQNVYKGTLTYVTSISEDGKIWSWLLTFDKSAHPNKANLGANLRSHFSANAIIYSTVKPTNVSISVTNIGKEPGGGNNPAPAVPLVALGTQNGTIEVVDVVANAVSVSFSVHSSTVRGLRWLGNSRLVSFSYNQVNDKTGGYNNKLIITCLRSGLNRSFRVLQKPERAPIRALRASSSGRYLLILFRDAPVEVWAMTKNPMMLRSLALPFTVLEWTLPAAPRPGQNASSKSSTSKERSAEAPGAENSDETCESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFASSDGLVTAMAYRLPHVVMGDRSGNIRWWDVTTGLSSSFSTHREGIRRIKFSPVVHGDRSRGRIAVLFYDNTFSIFDLDSPDPLANALLQPQSPGTLVLELDWLSTRTKKDEPLVLCIAGADSSFRLIEVNIDSKGGSVSKPVAMKERFRPMPFCLPVLFPTAHALALRMILQLGVKPSWFECNNNDKLGSSSFKVAPAFGDLRSYMIETTLPPIGDAVVAELLLKVLEPYRKEGCILDDGRARLYSAVVNKGAYARFAFAAAIFGDFEEALFWLQLPQALRHFLDKSASRSRDNISQSSLHRDSEQGSALNRIVSRERSASGKFAKNAANYGQLSSMAFKQEQLWFNANERIPWHDKLDGEEALQKRVHELVSLGNLEAAVSLLLSTPPEGSNFYPNALRAVVLSSAVSQSLHELAVKVVAANMVRTDKSLSGTHLLCAVGRYQEACSQLQDAGCWIDAATLAASHLHGSDYARVLQRWADYVLRGEHNMWRALILYVAAGALPEALDTLRKNQRPDTAAMFLLACHEIYSQITAEAEPADDTSGSELTPEQNEKLRFPSKNVADEDLIAVSEVFGQYQQKLVHLCMDTEPSAD >KQL29329 pep chromosome:Setaria_italica_v2.0:I:13782175:13785192:1 gene:SETIT_017228mg transcript:KQL29329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMAADPSSPAPPAAATALPEPPYHHPPADHPATPPPKPAAAAGAGLRTPSPSPSVQVSGYSLHDLLLLSPPPPSSRRHRSRTRGAAGTGVDESMEMVAVAGTPTPPRRRRRGAAEQCAAPALASPRNTRRARRRLEKDVEAEEDAARRARRRKSTRAAPKAAVAVDKAAAVNEEDTSLALVPACPDATRGTDFVEQSGWEGLCERIAELVMWRNVAKSALWFGFGSMFFFSCSFSREITFSPISALCHLGVMILGLAFFKDSVPQRPQVERGRSFQLTEEDVLRASRAVLPIANSMISTAQVIFSGEPSMTLKVLPVLLFGAKYGSLVTVWRLLAAGFFTSFTVPKLYSSYSSQIHRRVEILRDRAQESWKSCPRKKLVAATAVTMCWNLFSVKTRVMAAFVSLVILRYNQKYRKVDINTDVEICQDNEGQQMETDE >KQL28948 pep chromosome:Setaria_italica_v2.0:I:9139949:9140170:-1 gene:SETIT_019211mg transcript:KQL28948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGADPKRTSWPELLGIPATPAVMRINHDRPELAVEVLPLGIKLTKGFNPKRVRVFYDPRDSAGLVAKVPVVG >KQL32045 pep chromosome:Setaria_italica_v2.0:I:41081688:41083214:1 gene:SETIT_017734mg transcript:KQL32045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYSKASNGEEQQQLVCVTGAGGFIGSWVVKELLLRGYRVRGTARDPADSKNAHLLALEGAKERLTLCRADVLDFDSLRAAFTGCHGVFHVASPVSNDPELVPVAVEGTRNVISAAADEGVRRVVFTSSYGAVHMDPNRSPDAILDETCWSDYDFCKQTDNLYCCAKMMAEITATEEAARRGLQLAVVVPCMTMGPMLQQTLNFSSNHVARYLMGTKRSYPNAVAAYVDVRDVARAHVLAFERPEARGRYLCIGTVLHRAQLIAMLRELFPQYPVTAKCEDDGKPMAKPYRFSNQRVKDLGMEFTPLKKSLYEAVVSMQKKGHLPVIREQQRAYL >KQL28365 pep chromosome:Setaria_italica_v2.0:I:5424438:5425533:1 gene:SETIT_019236mg transcript:KQL28365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYPPGGFMSILQSGQPFFPHVSAPWPPMGKESQLAPPKNNSGNQNSKKRSKGKTIINLDDGNDGRTAKHLVFDSDEDVRLKNEKYWGDVHGHYNKTIPTNRKREVKHLKDCWTKIKRWVVFFCGSWKKATSIYLSGYSDEQLKDMAKQFYLDDYKEGPFTIEHCWKILRDEPKWHAVLEELENPNKRSLDGEDEAIGTSRTPEAKKPNGKGRVSDDDGTSYDKDLKKFIDIQAATKKRQEDFIEAQERISEKKFQTARLNKEATLLESYKKLLCMDTREMTEDIRAEHVLALKMLREKLAGNSN >KQL29945 pep chromosome:Setaria_italica_v2.0:I:26822633:26825031:-1 gene:SETIT_017178mg transcript:KQL29945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPRHGGHRAALLAAVVLASMLAAARAQQAQGGDASSGSGGPDQVSTAMVALLAAVVAVFVFIAASTVYLRHCSGYAVSTRSTSGDGGRAAGAPSSLDAFFASRSRWQRRRRSRGLDAEVVEAFPTMTYTEAKALRVGKGGGALECAVCLSEFEGGDRLRLLLPKCSHAFHPDCIGEWLAGHVTCPVCRCNLEPDHKDTTSDDGEPTSFPPTIPLASSISSDTAVALQYAGPLPVAVVIDVVTEEEEEERRQEALELQRIGTHRRAMRSRSGTQPPTAAKLARWHSTGHSHSLAARLDRDLELFTLRLPEQVRREMVAAAAERSSQLRRGRRRATAEGGGARSAPLVGQLGRWQSLLGRTFSGRLSFFSASRVTVGSGGGEVSSSSSYSYARLRGKRVAAVDVADDVPAEGSICLDSIGVGGSGSCASAKATPEEVAAAANEKEVKKQLRT >KQL32091 pep chromosome:Setaria_italica_v2.0:I:41300625:41303871:1 gene:SETIT_016276mg transcript:KQL32091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINMFDLSNGVVATKMLTDKAHRDVSPAGRDRSNTFKMAINPPVQTEDKQRDSQARRNSPTKRSNSPTKRSGGTPVKMLMEQDMWKEGMPNEEPLNVVARLMGLHDAPVQSSGFEENYRNIKLKKESKCHQNQKAGLRHQHTWNGFSDQPSRINSSQSKYQGIEPCCEKRMSLVREKFAEAKRLATDEKLLHSKEFQDALQFLSSNRDLFLEFLDEPNPLLSSNRYEFQPAAPPSEVKQITILKPSEPTKRKGSVLVGRQLFLDGDESERNRYRRHQSLDVSPANSSLSEPTKIVVLKPGLANSHDPGIVGSPLSSAEDSEDESMMTVDETACSRRLAKEITWQMRMRLKDKQDEESMLSCEYPEFYIGDDSFSKSEVEIAKEMSGETSEDLEFGTPTSGRSWDFLSRSGSPYSASCSSQTSHRREPSVVREGKKKILERWSMVSSTVSSEEEMEGRRSMGTLGDMLTISKVKDQEEIEGETVESQASELEAEEPFSCLPRSRSLPVSLSYGGIESNGVASGTHAAQKERIRKSSSFREKVSSLFSKNKKSTREKVDPSASDRLKHEGAVTNGDVKEDWNHLVLHNYGKQNTCLDTDEKNIMQGLVTSSCHTNNTANIPAKDISSMSSLGAPGIFGDPQDQPSPVSVLDGPFICDNNNRRLLYSSENFIASSPQALSRSPLIGSFSRSLSWEDPPLEVMSPNSLRLSRLFSKADEDLDSLTFIQKLVHSCGMDREGCILAEPLDPKLLAKFSDYQEDGMKSGKRRSKQRLLFDAVNEALAELTSMAELAAYPWGRSCSLEHRDCKNGSSNSVDEEIWRVIRNWSILEKYPPGEAIERNLLLEMILKREVAEAASADTARLEIFKLGAAVCSMVLEDLVEETLLDLTNN >KQL30229 pep chromosome:Setaria_italica_v2.0:I:29091793:29094271:-1 gene:SETIT_019392mg transcript:KQL30229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAGKGRERVVEVEDLSATTKDAAVVSTKPTKRYPLALWIAILGLIMLVGVYIFSLSLKQNGMLFGLLQTNMIEKEREKPCHDPRIPDTEIPYVHYPTPNTYDREECACMGVRFFAILSMQRSGSGWVETLLNSHPNISSNGEIFSVKPRRNNITEITKTLDKLYNLDWYSSAAKNECTAAVGLKWMLNQGLMKHHQEIVKYFNQRGVSAIFLLRRNLLQRYVSILANAHDSAMKQLNGTHKAHVHSKQEAEILAQYKPTIDKKTLITELKRSDKLAVDALMNFKNTRHVVLYYEDVVKNRTKLMDVLDFLRLPKRKLSSRHVKIHTKRLRDHIDNWADVSNALTGTRFESFLNGRSRR >KQL29889 pep chromosome:Setaria_italica_v2.0:I:26072902:26074416:1 gene:SETIT_019721mg transcript:KQL29889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRYNDTAETVKVGGIKYKLKQLHWHSPSEHTINGQRFAMELHMVHVTDDGNITVVAILYRYGKPDPFLFQIKDQLAELYSEGCKAEKGDPLPVGVVDMTELKQGADRYYRYVGSLTAPPCTENVIWNILAEVREMTKEQAVDLMAPLEGSYRRNSRPLQALNGRMVQLYDRSLKIRKML >KQL29877 pep chromosome:Setaria_italica_v2.0:I:25856382:25857676:1 gene:SETIT_019923mg transcript:KQL29877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQPERGSPKWNPGSSSSLWYPTSSHNHYAQLQNAAADQCWHRLHTSSGTTSMFCSSTSAHGSSSSSNLSFTAVTDKKKVYEDQLRGIICYRDENGEMICEGYDEGPRLGIRLPEQACFPWLRKRVICSLIRLNHSSHTNDPRAVTDFIQLATLQVFEDVDVVQLKDDQKRKL >KQL31244 pep chromosome:Setaria_italica_v2.0:I:36432928:36437001:1 gene:SETIT_016490mg transcript:KQL31244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGFVGVVVSDPSLQGQFTQVELRSLKAKFVSLKRDSGHVTTKNLPGLMKKLRGLNEVVSEEEIAAFLSESYPDSDQEIEFESFLREYLNLQARVSAKVGGGAGGGGGKTSSSFLKSSTTTLLHNLNQAEKSSYVAHINTYLREDPFLRKYLPIDPSGNQLFDLIRDGVLLCKLINVAVPGTIDERAINKKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLVLGLISQIIKIQLLADLNLKKTPQLVELFDDSKDIDEVLSLSPEKMLLRWMNHHLKKAGYKKTVNNFSSDVKDGEAYAYLLKALAPEHSPETTLDTKDPDERAKLVLEQAEKLDCKRYLTPKDITEGSANLNLAFVAQIFQHRNGLTSDTKQVTLTQTATRDDVLLSREERAFRMWINSLGVESYVNNVFEDVRNGWVLLEVLDKVSPGSVNWKLASKPPIKLPFRKLENCNQVVKIGKELKFSLVNLAGNDIVQGNKKLIVALLWQLMRFNILQLLNKLRFHSQGSQGKEITDADILNWANSKVKASGRTSRMESFKDKSLSNGLFFLELLSAVQPRVVNWKVVTKGEADEDKKLNATYIISVARKLGCSVFLLPEDIIEVNQKMILTLTASIMYWSLQKQPQSQSEMPEQSEPSSMTSDAASDIASEDGASTTAPSESEEVNSLSDSMSNLTTDDATSNAPSTENGNGVAGS >KQL31451 pep chromosome:Setaria_italica_v2.0:I:37614858:37617030:-1 gene:SETIT_017494mg transcript:KQL31451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVSIVSYRSLNMVLAVLLACVISLLPSPAMAAAAARGEEVHLVPAVYVFGDSTVDVGNNQYLPGNSALQLPYGIDFPHSRPTGRFSNGYNVADFVAKLLGFKRSPPAYLSLTPRTSGQILRGLRGANYASGGSGILDTTGNTITLTKQIEYFADTKSKMAASSGGGSQGSSPSVDELLSKSLFLISDGGNDMFAFLQQNRSASEAPSFYADLLSNYTRHLRALHGLGARRFGIVDVPPLGCVPSVRVTSPDGASRCVDGANALARGFNDALRALLANLTASGALPGARYSVGSSYNVVSHFTAHPAAAGFREVASACCGGGRLNAQTGCTPNATYCSDRNRYLFWDGVHGTQATSRKGAVAIFSAPPQMGFAAPINFKQLVSS >KQL30322 pep chromosome:Setaria_italica_v2.0:I:29930271:29930456:-1 gene:SETIT_019391mg transcript:KQL30322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGEALVSAVLKEVLRKMRSAVGEQIKARWKLKKDMESIKSMVELVQALLRDADVGGMP >KQL29417 pep chromosome:Setaria_italica_v2.0:I:15525822:15526597:-1 gene:SETIT_018887mg transcript:KQL29417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSGGRRQHLRETAFHGVWLQPLLIMDTLFMLRSNWVCQLHADIDKFRKDLAAELIFAEANKAHDVKAAGEALMNQEDDDDAE >KQL30623 pep chromosome:Setaria_italica_v2.0:I:32252508:32254887:-1 gene:SETIT_019167mg transcript:KQL30623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEPELVPLRPEAAVILVPFPAQGHVSPMLRLARALAARGVAATVAVPDFVHRRIVSACDQAGCGDGVGVELASIDSGVPDDGEGEPPGFASFVHTMEHRMPASLEEMLMTTRRDVGCLIADVLASWAVPVAARCGVPAVGFWPAMLATYRVVAAIPELIDKGLISDSGIPISTKRLNNGEQKVNGDYQIGDGLHVLPGVLELSTAELPWLVGDAACLKSRFAYWLWIMERAKGLRAILVNTFPAEAVAGDDSAKHLHASQAPQILQVGPLPTNGSFSCGTKDDLLRDDSPPVKNPSMWQADETCMEWLDQQRAGSVIYVSFGSWVPSIGRDAINELALGLEATGRPFMWALKDEPSWREGLPSQYAEAVAGRGKIVDWAPQEDVLRHRALGCYLTHCGWNSTLEAIQHGVRLLCYPVSGDQFINCAYIVKMWQTGIRLSSTKRSVVEDCVEKIMEGEEGRRMQDKVVVLRQRVMMGEARCAAKRNLDSFVDGIMRGDFVLGQL >KQL27865 pep chromosome:Setaria_italica_v2.0:I:1310685:1311939:-1 gene:SETIT_017641mg transcript:KQL27865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSCSPLTVPPGFRFHPTDEELLYYYLRKKVAYEPIDLDVIREIDLNKLEPWDLKDRCRIGTGPQDEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLSNGGRKVGLRKTLVFYTGRAPHGKKTDWIMHEYRLDDDNVEVPITEDGWVVCRVFKKKSIQRGFDQQQGMAAAVVHDEELHSFQHSPTGGATTPVDQKHGLHQLMHGGFFPAFDPSMHLPHLTNAEVPTLGTPAFISGTPAAVAVNPLGMGSSPHNLVKLTTSSCGTAGDMLLNGSERFGAVAAADWSILDKLLASHQNLDQLFHGKFGGTPVGVSQHYQQRQQQLMEMSATSLQRLPLHYLGCETADL >KQL28715 pep chromosome:Setaria_italica_v2.0:I:7666857:7670742:-1 gene:SETIT_019151mg transcript:KQL28715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPAEQKKLSNSVARLAFIIFGKSAKWTHQCCWAELGRRRTRGVGCSGRRVVRPTSRAPPRPYPAGRHRRALSFSHARFFASSGALTPPPLLPQCTASPLRRDVSSLSWPVRRLAGGRQRSRDKKRHSRCLPIPPFSRVSRFPHGYRNISDSSGVRDEEELDKQDRRLHIPSSKDFMISLRERKMNEFVTPYGVEPSVHSEDEMRTSVDIIFGDKDASTTNLDVIDGERDTTGETVDIGGCKLSGGDEEYVSSAAEILPHSSHRDEYRIADRNENPTDCNISNGTCTLHKPRRMLQIFSLNLAKIPVEGASVELYGYVAARDDLDPLLNYVGSLINMSGPKQGIDLYGTILIEYDMKIKVGKQEKDDLQLIAGVSIMDDIDTCDRRALTSRIHGDHGAVDITTSRLDHAVEATVEVLVSEVQGSFDLRLGCFVSGMPEEIRLFDGAIGESRGLKKSVVAVVMDTWMDLKFRVGADSSIPVEHCCSFKANEHGHAIQRKKTDFALISVKVTWSTLLRGF >KQL30435 pep chromosome:Setaria_italica_v2.0:I:30559200:30561369:1 gene:SETIT_017428mg transcript:KQL30435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATAIVAGHGLALRRSLPLPNPPGRPTTVSLSARSLPHARRRTIVPVSPSPRRPCRCRSISSESSTAASAASDTVEEDAEPAETYDEDEDEELDPQAEVCYLDPDADPEAIREWELDFCSRPILDARGKKVWELVVCDATLSLQFTRYFPNNAINSVTLRDALASVPEALGVPLPDRVRFFRSQMQTIITRACGELGLKAVPSRRCVSLLLWLDERYEAVYSRHPGFQAGTRPLLALDNPFPTTLPENLVGDKWAFVQLPFSAVREEVESLERRYAFGAGLDLDLLGFELDDSTLVPGVAVESSRAKPLAAWMNGLEICAMEVDTGRGSLILSAGVSTRYIYSGYEKTPAATQEAEAWEAAKKACGGLHFLAIQENLNSDGCVGFWLLLDLPPPPV >KQL31380 pep chromosome:Setaria_italica_v2.0:I:37202461:37203062:-1 gene:SETIT_018845mg transcript:KQL31380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFHALCPIFGGPPWLFYVPRRGFVSCVNRSGRVHLSRHWQYLSARVRHCCCTLHPARSKIKVQQFPDTDSARDNLIRFVFSKPRLKNFPH >KQL28959 pep chromosome:Setaria_italica_v2.0:I:9229303:9232309:1 gene:SETIT_016321mg transcript:KQL28959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPRRGPAAPAASASAAPLADHLESAIFAPPPPSPPPPSPATILSAWSRLRDPTSSSPADALAALETLHLHRRALRLSSTHAALLLPLLPLHPRLVAPLLAASPHLLPASFPDSLPISPRLLLLGARAFAMSAKDLPSNSSSGNSASTAKNLGRGESANGHDDNDPVVAVGRMLEDVEQGGQSIDDLDHLALAGIGYALASADEVQFRRILVSLLRICGRIGSLAVGVRVLKLVEWLVIGFVESRKMRKVQVLFEMILPEKCETEGYVLFPVVMAACGGLRALRVASARYRLDFDPRLKEVPERTIRFAAERVVLEGRRADDQRILVQCIALGLTRCGQVMFHESVLQCICMGLLKELLPLPDMLRMSVEIAEGKSAEFVKAQVNQHLDSVLFKEAGPVTGVLCNQYSCANDKAKNFVETCVWEYAQEIYCHLRAALLLHRGKQDDLITEIDKIAEASFLMVVVFAAEVTKHRLNAKSSESFQPEVAARILVAFSSVEHLRRLRLPEYTEAVRRAVLVNQENAAAIALFIESMPSYAELTNQPDLPSLAGTKYIWHRDEVQTSRILFYLRVVPTCVGLIPAHMIRDKVASIMFLYLQHPNEKVTSASHSVMVSFLSSGSGTDQDDRTALKEQLIFYYIKRSLEAYPGVTPFDGLASGVAALVRHLPAGSPAILFCIHSLVVKAKDLCDTAMIQDKSLWRSWEESTEPCKKALDLLLRLIFLVDIQSFPYMLKELAEFVTLLPKEAQDVLLDDMHAHVAESDDVTRKPVLVSWLQSLSYISSQSSRSESRSKATSASSVGSDELTLNRTMARL >KQL30702 pep chromosome:Setaria_italica_v2.0:I:32750884:32753575:1 gene:SETIT_017938mg transcript:KQL30702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQISCLAAVLLVHVGGAPAANAAPGTFVYAGCSPSRYEPNTAFESNLNSLLASMSSAASSGATYSSFTSGAAGEEAVAAAADGAGPAPASAAYGLYQCRGDLRPGECVSCVRDTVARVGSVCANARAASLQSDGCFVRYGARGLVGREAADASVAYRRCSAGTSGDAGFLSARGAVLAELQQGVGATTASGGYKVSASGPVHGVAQCVGGVPASDCAACVSQAVAQLAGTCGAALAADLYLVQCSVRYWANSNYYRSSQDNSGDDFGRTLAIIIGIMAGLALLVVFISFLREACN >KQL31688 pep chromosome:Setaria_italica_v2.0:I:39213517:39215532:1 gene:SETIT_019416mg transcript:KQL31688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRHRSGRPPRLTSRNAIRQSAYVPRPAGLARFSPPRDQTDDAAGSSRADDSDLEDGGELGAAEEVEEVEETGDGTALSEASASSVVAVAKRRITSWRKLELVGAGSFGRVYKAVGEKKKASLTLPSSLIGPESLKKQSASQLQQEIVLLSQLEHKNIVQYFGAEKEETVLSIFLEFVSEGSLVSVYEKRLLEESTVSAYTRQILTGFGLSASS >KQL29971 pep chromosome:Setaria_italica_v2.0:I:27156328:27165014:1 gene:SETIT_016111mg transcript:KQL29971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPGKETVAPAPPPREPKTPAEAPHAVVEPGEETTTPPPPPPPRETEPTDGVAPALDPALPTAESARRAPPQEKPDAAAAGPPEQTTTEAGGLPPTPTKAEEVAALPPPAEKPSLGRVVTAAVEAEKQLPVAAAPAPPQQQSPPQQQQESGTAKEASSVHAEKKDAAPVAAHEGEEKKPGEKLAALAPMAQDGRRGWRHLQAAVRLIFLRCKRAPRGDQSTPQSPMPEDKGGETKPPASVQEEKKPTLADEGETKPPAPGGEQQASGQEQVATSPPPPPPPTETSSLERAATAAEAEKPLAAPPPQQPPQKEEGGAPGQASSVQEEEEKGAAPAKAPEGEEKPARRRWRRFKAAVLLLFLRPNSSPRHGDQLSMDKEDEVQPPAPAGKQPASGLEDRKTIQAEKPQRKDSAVGVPAEPHKGEMKPSAPDGEVPASGQKEQKPATAPKPYGKDSTGGVLDKPGVKRKDERGESKRRHDESQAGAVAGGDAGPEGTPAGNTKTTSPPGSLAKKFQKAVKRLLGILSWYKEHRSSRVGGEPQAGAPPMEEGKGADEIKPATAPDGDKGADAGTKPSPADGKQPASGSEEEKRKKWEREEERLEEILEGAFTRLLAAEYHQLRPIRKKCLLTFSVFDLASEVKKQVMVYWWVSEFNLRHRSDQSVKSAADAAPTETRRSTCWQARKTAAAAAAAGSGGHPPAPEGSKAEGIFSELSSHGFLEPMKNWCSRVIHGCKVNPLVHWMLKRRARDDRFADLDVKGSPADLQHSSSILCLTARNRELLQKMRMADESQQAGNKTDTTTTTSQQKPGAPSQDKAPDQDPKNTESIPIEEIAKLFKRKQVILNVNAHVYPVSKSTFFHLADSLVVLQLGRWCNLDDKTYMEVDGLESLSTIGLLKNLRYLSLRGLSRLTELPKGIRWLRKLAILDMRGCQNLVNVASKITTPLKQLTHLDLTECYMLEHIGRGITSVSELQVFKGFVFATGTQGNKACRLQDLKRLRKLQKLTVRITTDANVGRSEMAELKHLTSLRKLTITWSEIPSILDGDSDTVKGRRAELIEKWTSFELPQELLKLDLRCYPKNELKLKKHQNLKKLYLRGGDLERFSIGDESKPINSSEKTNSIKTLRLRYLKNFSMEWKDIRLLLGDIEYMEIVTKDEKLMKDVNKDQKDIEEESKLVKDVEIKGQKDRGEEPKPVKEKKIIYSTLDENGVWVKDQKEEDNLRLIAQASKEKEAQDAMEKSKVSGPIEDPNKAASIVKDANEDDNTRKRQSEGKQEHVATDEVPQQLKTEPTPTV >KQL30548 pep chromosome:Setaria_italica_v2.0:I:31585192:31586562:-1 gene:SETIT_020047mg transcript:KQL30548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRACARAHGTTLSRALSTTSAGTEPGALSVSDATRRLRREHDPDRAVSILEAIDKASISAASTRHALSLAARRLSRSGRSADVEALLYSHLPASTTEPHLAAVLCSYASANLPEKALEAFRSAAPSLPTPISPLPFNALLSTFIRCRCHRRVPVLFSELSKEFSITPNATSYGILVKAYCMTRDDVKAKQALDQLREQGISPTTNIYTSLIDSMYKQKKTEEAEHLWKEMVESGCKPDVAAYNVKAMNYGLNGKPEEVLEVMMEMEADGVKPDTITYNFLMTSYCRSGKLEDAKVLYHSLAEKGCSANAATYKHMLAALCAHGDFDAGLGIAKESLKRHKVPDFKTMKGLVEGLAKGGRVAEAKEIIAEVKKRFPENSMSGWKKLEKELGLDSDSRDTQSKGTSGETAVESKSVAADAHELEGSVIEETAVSEESSDDEVPVPEVSSSKEVPQGPA >KQL29015 pep chromosome:Setaria_italica_v2.0:I:9727690:9730352:-1 gene:SETIT_019240mg transcript:KQL29015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPGKAAADAPPSPGLLPSRRGRRLAAVLAPLLLFLAAALSFPSTLRLQLLLAAVPASTLPRPRTTVVASRPPSSPPAPRVAVCLVGGARRFELTGPSIARHVLHQNQSGASAAAVDVFLHSPLDADAYKLSLLARAAPATVNLATVRVFRPEHVEETPDRAQVLTAANSPNGIQGLLQYFRLVEGCLDLIRDRESRGNFTYAAVLRTRVDGFWSAPLHLADLLPAANATTANDDAHYYAVPEGSRFGGLNDRLGFGGRHATEAALSRLSMLPRLAAAGYRNLNSEAAFRAQLDVAGVAGRERRLPFCVLSDRVYAFPPVAGYGVPVASLASPGPLSGAKCRPCRPACRAGCAARSVDALESGWSWIEHRNGTDVEICDASGPWEDGWEAVFDAAAGAGAGKERRRVARMGARECVAEMEAFRARTERWDAPSPAEICRIGLIARTPAAKATTSGGSA >KQL29243 pep chromosome:Setaria_italica_v2.0:I:11995909:12001019:1 gene:SETIT_016392mg transcript:KQL29243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLADALAAGGSGGVEAAAGADCSGMAVEEIVQHPLPGYGAPVALSFCPDDRRVAYLYSPDGTLHRKVYIFDTAHQRQELLFGPPDGGGLEEGNLSAEERLRRERARERGLGVTRYEWRARHSGSSRAGIVVPLPSGVYFQDLSGSEPVLKLKSSPTSPIIDPLLSPNGNMIAYVKDDELHTLGFSNGENKQLTFGARESRKIHGLAEYIAQEEMERKMGFWWSPDSKHLAFTEVDSTEIPLYRIMHQGKSSVGPDAQEDHAYPFAGAANVKVRLGVVSSHGGEVTWMDLLCGDPNGSHGDEEYLARVNWMNNNALAVQVLNRSHTKLKLLKFDITTGKREVLLEEQHDTWITLHDCFTPLDKGVNSKHPGGFIWASEKTGFRHLYVHDKNGVCLGPLTQGDWMVEQIAAVNESSGIVYFTGTLDGPLETNLYQTNLFAGWDLPLQPPKRLTHGTGRHSVILEHQLLRFIDVYDSIKSPPVILLCSLLDGRVIMPLFEQPSTVPSLKKFQQLSPEIVEITAKDGTTLYGTLYLPDERKYGPPPYKTLVNVYGGPGVQLVSDTWMSTVDMRAQYLRSKGILVWKMDNRGSARRGLHFEGQLKYNIGRVDAEDQLEGAEWLINKGLAKPGHIGIYGWSYGGFLSAMCLARFPDTFCCAVSGAPVTAWDGYDTFYTEKFMGIPSEHPDAYEYGSIMHHTKNLKGKLLLIHGMIDENVHFRHTARLINSLMAEGKPYEILLFPDERHMPRRLSDRIYMEERIWDFVERSL >KQL28788 pep chromosome:Setaria_italica_v2.0:I:8113055:8113658:1 gene:SETIT_020461mg transcript:KQL28788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQKASMITSLKQSSYTNSITSMMDYSSTQLLATPGISMSARLGSGRASVCEGLYFFSEQHSNSKFRVSDIRNQSDSLQPDHGGANRRKHQRVRS >KQL28041 pep chromosome:Setaria_italica_v2.0:I:2871145:2871597:-1 gene:SETIT_020017mg transcript:KQL28041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTLNKEYVQKGKNAREDFGRIPPEMWEEFIQQKNMLEAKILSEENTMKAMKFAQNPHHLGVGGYTAKIAKWRREEEEWRRVCLPDIFEGLDERSRNWVLARIPKVTLEDKVKFKHPTIDEIYERLEQLAEAQKKGLFNSDREKDKANRRD >KQL29878 pep chromosome:Setaria_italica_v2.0:I:25858215:25863508:-1 gene:SETIT_016357mg transcript:KQL29878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHETRSRAHAAAQEEGKAASKKQKTESKDQERGQHVTSKNKKSAENKGQDGESEAPMKNRKLKAEESEPNGKGTAAREFTEFCKAIGEHLSVEDMRKILQANEQDASGSEDAVVARCEDMMFYGPLKKCPICGGQLEFKGWKYKCTGNYSEWAHCTFSTNDPPRKSGPIEVPEDIKDDFVHKWLKQQEGKEFPKRDVDEEAHIFSGMMIALSGRMSRSHGYFKEQITKHGGKVNNSVLGVTCVVASPAERDKGGSGGFAEALERGTPVVSENWIMDSIEKKEVQPLAAYDIASDVVPEGRGLPLGQLDPSEEAIETLAAEVKLAGKRAVHKDSKLEKDGGCIFEKDGIIYNCAFALCDLGCDMNQLCIMQLVMVPENRLHLFYKKGPIGHDQMAEERVEDFGSRVNDAIKEFVRLFEEVTGNEFEPWEREKKFEKKSMKMYPLDMDVGVDVRHGGAALRQLGTAAAHCKLDPAVSFLVKQLCGQEIYRYALMEMAQDLPDLPIGMLSDLHLRRGEEMLLQMRRDAESVPESGPEADAFWTEISAKWFTLFPTTRPYVLRGFEQIADNVASGLETIRDINDASRLIGDVFGSTLDDPLSECYKKLGCSINPVAEDSEDYKMILRYLETTYEPVKVDDVVYGVSVERIYAVESSSFPSYEKIKNLPNKILLWCGTRSSNLLRHLHKGFLPAVCHLPVPGYMFGKAIVCSDAAAEAARYGFTAVDRPEGYLVLAVASLGNEITEVTGTPGAERAPWRGGAMASNRVHVHLLHDSSGRGRGRVFGNLREFA >KQL29862 pep chromosome:Setaria_italica_v2.0:I:25686720:25690344:-1 gene:SETIT_016943mg transcript:KQL29862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTRPRAGASGNPDAPYTAAAALLLEPKLEDEELPLQQLASPAPFVSLDQLMPATGPALPEPPRPLEALLQGQQLPPFLSKTYDLVSEPALDGVISWGAAGNSFVVWDPSTFARDVLPHNFKHNNFSSFVRQLNTYGFRKVHADRWEFAHEDFLRDSKHLLKRIVRRRSSPAQQSSIQPGSSSGESSLDPELHTLRREKNALLEEVARLKQEHRQTIEQMSTLNHRLESAEDRQKQMVSFLAKLLQNPSFVRQLKLHREQKEIDSTRVKRKFLKHVPHGSIESGESSSQHGGESGSHFPASSPMATCVQDDIAELQNFLLEDDDLNFGMDPDNIGLDRVEAPDDIGALVQGFDTQEELELGSGIELLEMPPASGPLGQDPTIGRSKGKSVLCPGLDATSSEASYLGSISDTMGVLSPSTMLGTASTMMDADEEQMWGVDASAPLQSTCSGSSQQTFSSLASDPYLMDIGNKPEKFWDLDFQTLDQEDLQLDKCAIDDPTLQQQQRNMKKP >KQL30220 pep chromosome:Setaria_italica_v2.0:I:29028591:29033315:-1 gene:SETIT_017059mg transcript:KQL30220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEKNSSQDTVQISGNVTLQNVSYEKDVMEIKLADTVDSDNYGGNFVKDVCVDEGAILHRKISEEKPVDKRSSPNFSCQMIPANSDIRYGKKDDSKKYMHELKPEAVVPVDFAPDYDNEKQHSSGKEYDLEDRTTTSCIAGDPSEKISLQELLRLESAEESRHASTINSESSEIHEEAVGQTSKDGFPDAQVALTNTSQPVSSGTSSKENTSGCSGTMSECHDAASALDVREPHKIDRYNPFIDHRLEDASEPERSIPGITDAASADSICAIDNAVNGSTGLDEVETVEPGTDAVSTSSSDIQSSGKSDDHSGSIFSKAITGAVDETAVATSSSSNTEPSNANGDNQEKCVSDGVADQIDEEHRVGSDGAVNKSSTLAQDHSVAEQTASESSKSTARIGNDNPSEPNFFGPSIMSGPLSMSGHIAYSGNVSLRSDSSTTSTRSFAFPVLQREWISSPVRMAKAERRRNRRRRAWRKGLICCKF >KQL29229 pep chromosome:Setaria_italica_v2.0:I:11776203:11778896:1 gene:SETIT_019917mg transcript:KQL29229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSRPPPPLPAAASAAAIEVILLRLSFPTYLIRAVVVSKCWLHHASDPTFLRRFIDLNPPRLLGFYLTSLSHHQRRLADVIPMLPQPPKLTSITTPETICAGTLIFDRRGCFNLNGYEGPTLRTVDCRNGRVFISLYHPAEYKFTRGVHTPLHCQGSESGYGLSYFWFTMEFIMNNRGSHVYVYKLQDNVWHMLFSARTQLNCFAPRSPNNLIVEDKFYVAATRTSILVLDLTSSSYPTIDLLDGVLNKGNTVLSRANDSGVYLVHLKELQRSIWLLRGTNGSMGDRSLVDTIFLRDMCANLGAVGDNAEFAFLDMGQCILYLDVRSRALRNVYEKNEYYDSDGCWIRPYMMSWPPIFPVLKE >KQL31489 pep chromosome:Setaria_italica_v2.0:I:37901489:37902468:-1 gene:SETIT_019402mg transcript:KQL31489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPHILLVDDSCVDRLVASRLLRSCNIRVTAMEGPKQALKFLYMILTDYCMPEITGYDLLVELKIGSFMKKKSPRFNHLPVVIACTEDILERIKKCLDGGAKDYVIKPIKVVNVPYLLSYI >KQL28793 pep chromosome:Setaria_italica_v2.0:I:8125188:8125697:-1 gene:SETIT_020447mg transcript:KQL28793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVITISPSQCMLYSKALFLFLLLLQNSPDYNLSGALYSFFSEF >KQL30262 pep chromosome:Setaria_italica_v2.0:I:29516458:29517920:-1 gene:SETIT_0193142mg transcript:KQL30262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLRLDLSPEEEVIVSMNMNTIRTNGFVLEEDLHASPGNHYLLKAVPFSKNITFGVQDVKELISMLADSQGDCSIISSYKLDKTDSVCPSRVRAMLASRACRMSIMIGDPLTKAEMRKILKNLTGLRSPWNCPHGRPTMRHLVDLRAIKNKGES >KQL28325 pep chromosome:Setaria_italica_v2.0:I:5052470:5053017:1 gene:SETIT_020088mg transcript:KQL28325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKEMARLDAERAPPAWLSPLLETKFFEPCPEHPAIRSTRNSGCNFFCTQCAGAHALCSGCLAADHAGHQIIQIRKSSSHCAVKVAEIEHLLSVSQVQTYLINGELAVFLDKRTILGQGKPGAARCEECDRGLQDMDCLFCSLGCK >KQL29202 pep chromosome:Setaria_italica_v2.0:I:11294259:11295887:1 gene:SETIT_020131mg transcript:KQL29202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAFRFRPKELELVEFYLLPRARGQDPYPGVIIEDDAAGSSLPWDLFERHGLGSEDEAYFLVRTSEAKKPGARQDRSCDGGVGSWKIQSSLEKSLLVGGEKISCRKSNLNLHMGKGKNGGSVGWVMHEYSIAAPPCPSLVKICHIAFTGHGKKRKRVPDYQEDCQIGQALSQRARVVATAADQVPTQDDILPQSPLLASSDFLGFPSAASANAEQYQELEQQVPTTDEEKVMMPQLMVEYASADEQRSHLVLTDDSVLQSPYLNISDYLGFPSAAPANAEQYQDLEQQVPSTEEQQVMMPQLMVHTSADEERSQFVPTDDIFLLSPLLDVSDYLGFPSAEPANAEQYQELEQQVPSTAEEDVMMPQLMVYSSADEERSQLVLTNDDIFQQSPLLNSSDSLVFPSAATANAEQGQELEQQVPSTEEEQVMVPQLMEQQPSSMAELEQLNAGELGVDVHSSNFAEQEEFLSSIAVDNNRVVPRIGNMAGGHQDQQDFWSLSRAAGVQSNCAVPDMAAGVLGCA >KQL31611 pep chromosome:Setaria_italica_v2.0:I:38847294:38847802:1 gene:SETIT_020523mg transcript:KQL31611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVILQLRFFNHESFKECVKYINGFIVPFFSINIFWNCMSGTNRGKQNFF >KQL27780 pep chromosome:Setaria_italica_v2.0:I:620929:622047:-1 gene:SETIT_018084mg transcript:KQL27780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARVLAVVLLAVVSLSAAAAADDAATGTSSSPAPVGGWLKAHATFYGGADASGTMGGACGYGNLYSQGYGTRTAALSAALFNDGASCGQCYKIACDRKRANPLFCKPGVTVTVTATNFCPPNYGLPSDNGGWCNPPRPHFDMAQPAWEKIGVYRGGIIPVMYRRVPCVKRGGVRFTINGHDYFNLVLVANVAAAGSIKSMDVKASNSNNWIPMARNWGANWHSLAYLTGQMLSFRVTDTDGQTLEFTNVVPKGWKFGQTFASKLQFK >KQL28447 pep chromosome:Setaria_italica_v2.0:I:5967956:5969039:1 gene:SETIT_018657mg transcript:KQL28447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVNNMPIFLSQIMELSLNTISPLLKTSKVGTKMRTIQFMLILALALVALSSGVSALGGAGGCGWTPSKDSGIPNGQCVGPEMSDKCSSACRREFVTNATGECRDGGVCECYYCTNISPPCCSNGTSTNVTTKLV >KQL28652 pep chromosome:Setaria_italica_v2.0:I:7229511:7233636:1 gene:SETIT_017878mg transcript:KQL28652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARASHLRALLSRAVAPSLPPPPARALHQIAGLGAPQLGAAFLSRARFFSADASTAAAQGGSKAPAAAAAPATGGDGGSDGQSGKSEQGDAGKSVRGGPVSWLSFLLLLVTGGGIIVYYDQEKKRHIEELKNRTTAVKPGQSVGTAAIGGPFKLLNHDGKPVTEKDFLGKWTLLYFGFTHCPDICPDELQKMAAAIDKIKEKAKLDIVPVFITVDPERDTVEQVRDYVKEFHPDLIGLTGPTDEIRQVARAYRVYYMKTVEEGSDYLVDHSIVMYLMNPKMEFVKFYGKNYDTDSLADGIIKEIKEHK >KQL31479 pep chromosome:Setaria_italica_v2.0:I:37856144:37859071:1 gene:SETIT_018278mg transcript:KQL31479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEAAAPAPDEGGLDERGAALHLPRLLAGVVSGALTGLFALAGALTGAVTGAVAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWCSDRLGSHGASSMADFIEQLIQARFAQEQYTSSGYTSSRWQVSISDFGHDDLYDIFGDISSKGLSLESLKKLPNYVVTDQMRDSFGEILSCPICLQDLVAGETARRLPNCSHTFHQPCVDKWLVDHGSCPVCRQQV >KQL30575 pep chromosome:Setaria_italica_v2.0:I:31867101:31875401:1 gene:SETIT_017311mg transcript:KQL30575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTVIQVDIGTPEGDMSFHSSASRLDFKGYQAVYGDSEASPSSDNSEGDAVHEANFEALSKLKVKDLVSPVNVHLGQHFTKPPSRYSEGALIKKLEELGIGRPSTYASIMKVLQDRKYVTIKSRVLHPEFRGRMVSAFLLHHFSEVADYSFTANMETELDNVSGGSTEWKGLLKDYWERFSKYCADASKLDGRKVERMFEEKFGPILFPDDDKDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARSLSQQEDDTEPTEESPKSFEPRLLGVMPDSDEKVFLKQGPYGHYVQIGEDKKGLYPKRASLSEVKDIDTVTLEDAIELLQYPKILGKHPDDDHPVLITHSKVGYNIKHRRSLAAVPKNMDPKEITLEHALKLLSGKSVRQIGRPKGKAKKKEPLEWH >KQL30574 pep chromosome:Setaria_italica_v2.0:I:31867101:31875401:1 gene:SETIT_017311mg transcript:KQL30574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTVIQVDIGTPEGDMSFHSSASRLDFKGYQAVYGDSEASPSSDNSEGDAVHEANFEALSKLKVKDLVSPVNVHLGQHFTKPPSRYSEGALIKKLEELGIGRPSTYASIMKVLQDRKYVTIKSRVLHPEFRGRMVSAFLLHHFSEVADYSFTANMETELDNVSGGSTEWKGLLKDYWERFSKYCADASKLDGRKVERMFEEKFGPILFPDDDKDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARSLSQQEDDTEPTEESPKSFEPRLLGVMPDSDEKVFLKQGPYGHYVQIGEDKKGLYPKRASLSEELKTIIIELLFQVKDIDTVTLEDAIELLQYPKILGKHPDDDHPVLITHSKVGYNIKHRRSLAAVPKNMDPKEITLEHALKLLSGKSVRQIGRPKGKAKKKEPLEWH >KQL30406 pep chromosome:Setaria_italica_v2.0:I:30335257:30337437:-1 gene:SETIT_018410mg transcript:KQL30406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVNNAAVSFNEVDTNSVGHAETVLRTNFYGAKMLTEALLPLFRRAPETSRILNISSQLGLLNKLKDPSLRRMLLDEASLTERDIEGMASRFLAEVGDGTWRGRGWPEVWPDYAVSKLALNAYSRLLASRLTGHGVSVNCFCPGFTRTDMTRGIGKRTAEEAGRVAAGLALLHPRDLPTGKFFKWRTPQLYSKL >KQL30122 pep chromosome:Setaria_italica_v2.0:I:28244077:28249097:-1 gene:SETIT_017427mg transcript:KQL30122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKAGAMDRRSSARWRVLVLCAFSFGLGMLFTDRFWTAPDTSNHIMSQRRRQDQELQLVSEDCNTKRKHGEDKDIMGEVTKTHEAIQSLDKSISTLQMELAAKRSTLELLRSSGSPVTSETSQPRKKAFVVIGVNTAFSSRKRRDSVRETWMPQGEKLQQLEEQKGIVIRFTIGHSATSNSILDKAIDSEDAQHHDFLRLDHVEGYHELSAKTKIFFSTAVGIWDADFYVKVDDDVHVNLGMLATTLARHKSKPRTYIGCMKSGPVLADKNVKYHEPEYWKFGEEGNKYFRHATGQIYAVSKDLATYISINQPILHKYANEDVSLGSWFIGLEVNHIDERNMCCGTPPDCEWKGQAGNVCVASFDWSCSGICKSVERIKDVHARCGEGDSAVWSALI >KQL30686 pep chromosome:Setaria_italica_v2.0:I:32582501:32584251:1 gene:SETIT_017884mg transcript:KQL30686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNTGNQSVKEVAVVTGGNRGIGLEICRQLASNGVTVILTARDEKRGAEAVSILGTLGLSNVLFHQLDVSDPSSAVRLADFIKEKFGKLDILVNNAAIAGTTSEIGNPETFRQEVAGMELKERVDRIRKHMTEPYKQAEECLRTNYHGTKAVTKALLPLLRFSSHGRIVNISSRYGLLRFFRGEELKQELSNIDNLSEERLDDLSELFLKDFKDGQVERRGWPTEGGFIAYKVSKALMNAYSRILAKEHPSLCINCVHPGFVQTDMSFQVGDLTVEEGARGALMMALAPKGGMTGGFLNRTEVAPFV >KQL31927 pep chromosome:Setaria_italica_v2.0:I:40476934:40487598:-1 gene:SETIT_016092mg transcript:KQL31927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKIARTTQASATEYYLHDLPSTYNLVLLDVVSRDRFLKSVRCKHDEGLLLVKVYFKRAGEPIDLKEHERRLERIRNTFKGIEGSHVWPFQVWLQTDKAAYLLRQYFFNNLHDRLSTRPFLSQIAKKWLAFQLIHAVEQSHSKGVCHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDYSFYFDTGGRRRCYLAPERFYEHGGESQVAADAPLQPSMDIFSLGCVLAELFLDGVALFEFSNLLSYRRGQYDPMLLLHKIQDTGIRDMILHMIQLDPQKRLPCRSYLQKYESVVFPVYFSNFLHKFFSDIVPLDSDARVEKTQDNFEKILEIMMDSSTIEQIGRCTLSEHSEPSGRKGTGGQNLNSPGDSKKSTSVKKNALVDHQQIAGDINFLLKEVENRSNSTSTKITKDMDQDHIRSSQLVNPAGRGTGSGLTTRNNVVSHVQKISKSNLCSLVAGYDGQSDTYSFDIFQQIDSKESCEGMVLLASLLCSCIRSVKKPELRRASLILLKFSSTYIDDDNRLQLVVPYVIAMLSDPAAIVRCAALETLCDVLCLVQDFPISDAVIFPEYILPMLSLLPDDTEESVRVCYASNIHKLALTAYRFLLRSRSIADIGPLDESVIAPRSQSADSPAKKQDQFYAQLAELRKNIYEIVQDLAMGQKQTPNVRRALLQDIGYLCYFFGHKQSNDFLLPILPAFLNDHDEQLRAVFFGQIVFVCYFIGSRSVEEYLLPYLEQALSDGMEAVLVNALDCLTMMCKSGYLRKRVIVSLLGKVLPLLRYPINWVKRSAVRFVAACSESLGAVDTYVYLSPHLRQFLHREPPSLSSEPALLSCLKPPLPKSIFYQALEDAQDMGDILLKGGGKKELTVLGGRYPGIAQSGSSINLEDVARLKGPNISSNMPFDVKDSVSSDKSLYSGFALHASAGNSSFYDGLSKGIPSYSVCTDKRGLGETQPLPDSSVYKASIRLPWLEPNRPGVHTRDDYFSSKRRELSINDSIKSSSSLQGDSIPNSDTGGLPSFSRSAVNLETGWKPRGILVAHLQEHRLSVNDIAVSNDNTFFVTASDDSSIKIWDTRKLEKDIAFRSRLTYSMGTSRALCTTMVRGTSQVAVGASDGTLHLFSVDCARGVGSVVERYSGIVDVKRNDIKEGAILSAVNCSSDSFSPTVLFSTEHCGIHKWDTRTNSESWSFKSSPEEGYISALVVGQCGNWFISGSSRGALTLWDNRFLLPVNSWYYSTVSPIEKLCLLIPPPSSISSAGRPLVFVAAGCNEVSLWNAENGSCHQVFRTASTENEAVMPKTPSRPLNKSTTKDVRRPGNYKYRIDELNDPPVRHPGIRSLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSAKEVRSAKGDILEKAVGNNECYDIRSSFGVQVVQEMYKQTTTVSGLTPKTQLALAAADSAGCHRDTILALASFNLSSQRLISASRDGAVKVWK >KQL31926 pep chromosome:Setaria_italica_v2.0:I:40479326:40487486:-1 gene:SETIT_016092mg transcript:KQL31926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKIARTTQASATEYYLHDLPSTYNLVLLDVVSRDRFLKSVRCKHDEGLLLVKVYFKRAGEPIDLKEHERRLERIRNTFKGIEGSHVWPFQVWLQTDKAAYLLRQYFFNNLHDRLSTRPFLSQIAKKWLAFQLIHAVEQSHSKGVCHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDYSFYFDTGGRRRCYLAPERFYEHGGESQVAADAPLQPSMDIFSLGCVLAELFLDGVALFEFSNLLSYRRGQYDPMLLLHKIQDTGIRDMILHMIQLDPQKRLPCRSYLQKYESVVFPVYFSNFLHKFFSDIVPLDSDARVEKTQDNFEKILEIMMDSSTIEQIGRCTLSEHSEPSGRKGTGGQNLNSPGDSKKSTSVKKNALVDHQQIAGDINFLLKEVENRSNSTSTKITKDMDQDHIRSSQLVNPAGRGTGSGLTTRNNVVSHVQKISKSNLCSLVAGYDGQSDTYSFDIFQQIDSKESCEGMVLLASLLCSCIRSVKKPELRRASLILLKFSSTYIDDDNRLQLVVPYVIAMLSDPAAIVRCAALETLCDVLCLVQDFPISDAVIFPEYILPMLSLLPDDTEESVRVCYASNIHKLALTAYRFLLRSRSIADIGPLDESVIAPRSQSADSPAKKQDQFYAQLAELRKNIYEIVQDLAMGQKQTPNVRRALLQDIGYLCYFFGHKQSNDFLLPILPAFLNDHDEQLRAVFFGQIVFVCYFIGSRSVEEYLLPYLEQALSDGMEAVLVNALDCLTMMCKSGYLRKRVIVSLLGKVLPLLRYPINWVKRSAVRFVAACSESLGAVDTYVYLSPHLRQFLHREPPSLSSEPALLSCLKPPLPKSIFYQALEDAQDMGDILLKGGGKKELTVLGGRYPGIAQSGSSINLEDVARLKGPNISSNMPFDVKDSVSSDKSLYSGFALHASAGNSSFYDGLSKGIPSYSVCTDKRGLGETQPLPDSSVYKASIRLPWLEPNRPGVHTRDDYFSSKRRELSINDSIKSSSSLQGDSIPNSDTGGLPSFSRSAVNLETGWKPRGILVAHLQEHRLSVNDIAVSNDNTFFVTASDDSSIKIWDTRKLEKDIAFRSRLTYSMGTSRALCTTMVRGTSQVAVGASDGTLHLFSVDCARGVGSVVERYSGIVDVKRNDIKEGAILSAVNCSSDSFSPTVLFSTEHCGIHKWDTRTNSESWSFKSSPEEGYISALVVGQCGNWFISGSSRGALTLWDNRFLLPVNSWYYSTVSPIEKLCLLIPPPSSISSAGRPLVFVAAGCNEVSLWNAENGSCHQVFRTASTENEAVMPKTPSRPLNKSTTKDVRRPGNYKYRIDELNDPPVRHPGIRSLLPLPGGDLLTGGTDLKIRYWDQARPEQSFCIAGPSAKEVRSAKGDILEKAVGNNECYDIRSSFGVQVVQEMYKQTTTVSGLTPKTQLALAAADSAGCHRDTILALASFNLSSQRLISASRDGAVKVWK >KQL31995 pep chromosome:Setaria_italica_v2.0:I:40812004:40813051:-1 gene:SETIT_020152mg transcript:KQL31995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSKHMLVLSLLLVLFELGSLPTTLCQEPATNDTQDAGCAKKDAALSSAETIKEPCRIIVWNDTATTLGKDGKPLGVDGSSTMTIEGKERRGTSTATLRVMGTKATFYNCTIDGGQGAPYDQMGLHYFKACVPSKAPSTSPSDLPSRSTRNARSFRLLKEAAAALPMAPPEQQRPRNPIKAAPGESGLAFKTCTIEGEGEKIYLGLGRVGTPVIYCYTDIGKEILSMLTLHQNCCRN >KQL29032 pep chromosome:Setaria_italica_v2.0:I:9845968:9846452:-1 gene:SETIT_020459mg transcript:KQL29032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLMYTSTTHPTLHVLLQLSKFNESITVYSVCTMQHRLNPLANL >KQL31268 pep chromosome:Setaria_italica_v2.0:I:36608052:36610196:-1 gene:SETIT_018516mg transcript:KQL31268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVACGRLLLFLLSAAALAGVASAAGPFLSDGVFQVSAGSTGRSLLQARKDCPVNFEFQNYTIITSKCKGPKFPAKQCCAALKEFACPFYMYLNDDSSSCATTMFTYINLYGKYPPGLFSSECKEGKLGLSCADVPQRDITTANGGQHAQSSLLAWITVLSVVVALLFH >KQL29298 pep chromosome:Setaria_italica_v2.0:I:12961459:12962107:1 gene:SETIT_018853mg transcript:KQL29298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIINFKQSICGLQFGNSATVCTIQSGNIEISHSDPVLICHALLSNGIGTNLVPADNGYQQQIQAKETTVLAHFIFYQSLYQQSMSTQRS >KQL32144 pep chromosome:Setaria_italica_v2.0:I:41527118:41527390:-1 gene:SETIT_020477mg transcript:KQL32144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFRSIMFHILLASRYYRTSILTVFNSQNVL >KQL29289 pep chromosome:Setaria_italica_v2.0:I:12820646:12822935:1 gene:SETIT_019888mg transcript:KQL29289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKRERTEPTTAPPSAASAALVSVVLGNDDLLREILLRLIFPTTLVHAALIAKRWLRHASDPAFLCLFRARHPPALLGFYASQLDFSRPHIVPISQAPELATAIHRASFPGGHYLLVKDCRNGRGLVLSSIDRVFHQLEVLDLLQPTGGVVLIPPPPPDCDCEADGIFLPDPGDGGEGGEGVVAAQQITTDTVTAVYAEVTPPARGKIYMATDTGFVPVLDLAALGLSVLQLPNRVQPISLNLLYREYDSKLFLIHAEGFMLSIWHHKEDGNGAHNWVLVHDKIIVHEACIRHEHALLKGADDNLEFVFLWLEASEVLVHILLKSRIEKVYDELTMRDKRYIGIKPFMMHVIPSVAMTFPKVRFSSTIR >KQL30512 pep chromosome:Setaria_italica_v2.0:I:31354953:31366969:-1 gene:SETIT_016097mg transcript:KQL30512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METALEAARAKDTKERLAGVERLHEALEAAARRGLSAAEVTSLVDTCMDLARDGNFRIAQGGLQAVSAAAVLAGDHFKIHLNALVPAAVERLGDGKQPVREAARQLLVTLMEVSSPTIIVERAGNYAWTHKSWRVREEFVRTVAAAVGLFASTELPLQRVLLSPVLQLMNDLNRSVRDAAISCIEEMYKNMGSQFHEELQRHNLPAYMLKEINSRLDKIEPNAPSSNGARMQCRANESRSISANPKRGSPRKKSAPRESTLFGGGMDINEKPVEPIRVHSEKELVRDFEKVASALNPEKDWSIRIAAMQRIEALVYGGAMDYPSFLMLLKQLVPPLSSQLSDRRSSIVKQACHLLNVLSKELLGDFEPSAEIFIPVLFKLVVITVLVIAESADNCIKSILRNCKVSRVLPLIADTAKNDHSAILRARCCEYALLILEYWADTPEIRRSADLYEDLIKSCVADAMSEVRAMARTCYRMFTKTWPERSRRLFMSFDPAIQRIINDEDGGKHKRHPSPSLHERGVQLSRASSHAGGNHFGYGTSAIVAMDKGAAISSESSLSSSSLLLSQSKTIGRSAERSIESVLSSSKEKVSAIESLVKGVSMSDRHNFSAIRSTSLDHGVDHTSSHDSPTISATRASYSSLNGITSSRNDGSSKERSSSPYLRNLSSELISGLSLPSLRSSGRSQDGSTMEENHDTWPTRRSPKVQMHKHYVDMPNRDVRYRNSLNSHVPHFQGPLRKQVASRASASGRHRFDDDHVPSNDMRGYTNGLASLNDALSEGLSPSSDWEARVAAFNFIQILLQQGQKGIQDITQHFEKVMKLFFCYMDDSHHKVAHAAFSTLADIIPAFKKYFESYVERILPHIFSRLIDPKELVRHPCSSTLEIVGQTYSIDTLLPALVRSLDEQRSPRAKLAVLEFANKSFDNYTVDSEGYSNSGFLKLWLTKLAPLIHEKNAKLKEASISGMISVYSHFDSAVVINFILSLSIDEQNIVRRALKQYTPRIEVDLVNYLQNKKERPHPKSYDQVDSSASSEDGYALTFKKSFPFGRFSDSLVDTESRKKMNTVQESALLNVPISRTTSDVCTDHAKQCFGRASEADVLMQSRELKNNTCTVVEAVHSWADYPEKSDATMDDENSTGTTRLDLGHLPSDGHSSALAIPGEHTQEGDPFVDRSSVNIIPHTSNGPSVPHLLHRIGNGGEVSCLDRQEALRQLVRASTNKDNFIWKKYFNQILTTVLEVLDDADSSVREISLLLVAEMLHNQKDSMEQSIEIVLEKLLHVTKDDVAKVSNEAHQCLYVVLAKYDPFRCLAIIVPLLASDDEKTLVMCINCLTKLVGRLSHDELVTQLPSFLPAVFDAFSNQSPDVRKAVVFCLVDMYIILGKEFVPYLEGLSSTQLRLVTIYANRISQARSGAPVAATQ >KQL28177 pep chromosome:Setaria_italica_v2.0:I:4025821:4025988:1 gene:SETIT_020001mg transcript:KQL28177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DCGVPFGREEEAAATMDEPFQGEDRNLSAVDHVKKRHHEKGFFYAWSVRSPQATR >KQL28951 pep chromosome:Setaria_italica_v2.0:I:9148081:9148462:-1 gene:SETIT_019247mg transcript:KQL28951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPINSPKILAACSFIDLHKRQLQLTVHPRNQKGGSPAAAAGGSADDDGGGKSSWPELVGRHVVEAVAVIKSQRQDVFVKFFGAGDAQPPDFDAHRVCLFLDGDLAVVRTPVVG >KQL29067 pep chromosome:Setaria_italica_v2.0:I:10085116:10086693:-1 gene:SETIT_019202mg transcript:KQL29067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGERGAPGSSLHGMTGREPTFAFSTEDATAASKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMAIIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDIIRKCGATPFTAWRLAYFVPGSLHIVMGILVLTMGQDLPDGNLRSLQKKGDANKDKFSKVMWYAITNYRTWIFVLLYGYCMGVELTTDNVIAEYYFDHFNLDLRVAGIIAACFGMANIVARPLGGILSDVGARYWGMRARLWNIWILQTAGGAFCLWLGRATTLPASITAMVLFSFCAQAACGAIFGVTPFISRRSLGIISGMTGAGGNFGAGLTQLLFFTSSKYSTGMGLEYMGIMIMACTLPVVFVHFPQWGSMLFPANAGAVEEHYYSSEWNEEEKSKGLHSASLKFAENCRSERGKRNVIQATSSTQPNNTPEN >KQL30870 pep chromosome:Setaria_italica_v2.0:I:33898452:33898934:1 gene:SETIT_019101mg transcript:KQL30870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVWAVSLAVASLAIGMLGVLGVWLCYLFDAVARGRPPRTPPPTPQAASEEEEEEYGGKNGLSEAELMRLGGVAVLESTDGGEGKEEDDEGEALCPICLDAMEPGRAVRVLPGCNRVFHQDCVDRWLAISPRCPVCNVWAAPPRSPASSPPAPKTGWDP >KQL30496 pep chromosome:Setaria_italica_v2.0:I:31032905:31036027:1 gene:SETIT_016282mg transcript:KQL30496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMRHSMLLAKLAVLIVLPFLLLCYGVGNVYCSTIHENREDLRSLLDFKKRISSDPNGALMSNWTTNTHFCQWNGVNCTSTPPYRVRELILTGLNLGGEISSSLGNLTSLNYLDLSNNSFHGPIPLLNKLQNLEYLLLGSNLLQGVIPDALTNCSNLVTLDLSGNNLNGLIPPRISFLTKLAYIKLYSNHVTGEIPASLRNITNLQLVHFSKNQLNGRIPDEVMQMPNLMELHLNQNNLSGSIPEVWQMPNILVLDLSVNNLSGRIPRALSNVSSLQGLSLASNMLGSTLPSNIGDALPNLTILYLGENYFEGHIPASLGNPPGLGVIDLSSNYFTGQIPNSLGNLSQLSSLNLDQNMLQSTDNEGWEFIHALGKCRSLKKLSLSINRLQGAIPNSIVNLSSTLTYLLMSENNLSGTVPPRIGRFSSLVQLSLDQNYLTGTIEEWVGNMTKLERLNLRSNSFVGIIPPSIGQLTRLTYLFLAENQFTGFIPPSFGNLKSLSELHLSYNNFKGGIPSELGSFRVLTILNLSSNRFSGGIPETLGKFEQIQTIQMDQNILTGNVPSTFRTLNTLSRLNLSHNNLSGPLPDFLNDLKSLTKLDISYNNFQGEVPTDGVFANATIISLNDNPGLCGGATDLHLRSCHVGNKRVGVVNYLIKILIPIFGFMSLVLLVYFLFLEKKTRRANASEQSFGEHFEKVTYNDLAQATRDFSESNLIGRGSYGSVYRGKLKESKMEVAVKVFDLEMQGAERSFLSECEALRSIQHRNLLPIITACSTVDKVGNVFKALVYELMPNGSLDTWLHHKGDEEAPKRLGLTKIISIAINVADALDYLHYDCGRPTVHCDLKPSNILLDDDMNALLGDFGIARFYQGPQSHGRFS >KQL27775 pep chromosome:Setaria_italica_v2.0:I:595313:596499:-1 gene:SETIT_020020mg transcript:KQL27775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKFSSPLVADRRQRKKIRRRTPAAGSDDTELLLLLTGKKPVPHLLVTEVFYTPPSHNPSSVPCAFNRRGAIVSVPLDGAAAGVGKARHPSAAIRRRGRLADLYRRCVSFIGATPHGRLAFAGSRGVFLVNPVTDALRGVDTVGYCQKAVVAAAAGHGCSLFVSLGSLFAPPTLWRLDEDGEGWSKWPVAATSEQTVDILSADGSVSRVDAGKPPPLLMEKLPVASLADNFSPPCNKTLAGEGHLVESDGEVLFVRKLLAVKEPFCAHAEFVDIVGFEVYKLDVAERRWAKVERLPGGCGDTAIFVSPESAFAVRTTSGTAAAERVMGNCVYFVGEKRCCYACRVYGGSTWGAYSMERREVLFEHAVESRGGRTEALWFLPSVV >KQL28612 pep chromosome:Setaria_italica_v2.0:I:7021706:7022686:-1 gene:SETIT_019299mg transcript:KQL28612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAMAKEPEMVAVAEAGGERKRKKTLGRQKIEIKRIKCASATHVCFSKRRNGLYKKATELCVLTGASLAIVVFSPADKPYSLGYPSVHAVLEGYLDPASYVPPTAAEAAALAAAAREYECERERLDKALEAEKRRRIALDAAARAAGVWTGDDDVRLKGMPELVAMLAALERVQAEANMMQRAHEVIAEEAIMMQQCAAAAGAGDAAISAFQFEYPGAGTFTADGAGGAGGSSYYQEAMGAQMMLMGGNVVSHGAHAPLPFAPMLLPPDLPPQPHFNYGSDRYNIAGYGYGYGYDLVDGSNHGAAYEMEGFHGTRTTTTCNFFG >KQL31840 pep chromosome:Setaria_italica_v2.0:I:40017905:40018641:-1 gene:SETIT_018511mg transcript:KQL31840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSSRTSSRLSWCLVLVGVVLLASSPGSAAAPRRLLQTCVGQDFDVPHAHLRAKNNYTQELSDRAAAWVAQFKDNCAAAAPAAGINVFLGPAGATWLPSDAVAAWAEEEQHFDYGSNTCADGKGCGRYTQMVWRNSKEFGCATVDCASGETLMACHYEPQGNVMGQKPF >KQL28370 pep chromosome:Setaria_italica_v2.0:I:5442059:5442552:1 gene:SETIT_020345mg transcript:KQL28370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSVFRVIFLFASLFFDEATNLVNYMLDLRVERRHPSSPVACEFEECGGHMKLADTL >KQL32043 pep chromosome:Setaria_italica_v2.0:I:41076154:41078173:1 gene:SETIT_017036mg transcript:KQL32043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYGGRIQPRPHDNQLAYVNGETKILSLERPLRFADFAARLAALAGNMGDICIKYQLPGEDLDALVSVTNDEDLEHLVLEYDRLHLLRPAPGSGGGSNRGSTLRLRVFLFPVQSPPPPPPPAGLLEPKAERHWFVEALNTIPQPKQETSPSPVPAQQSPPQQKQESVFAQQSSPPQAKHETVFVQQPPPQTVLQMAPPQPHMVLAAASPDYLFGLDNGFVPPPAVKVKDPAGDPPTVRENVPVELPAKNDDRHPNPAGDHVAVSPVVSPAEFQRQIQELEKLQVADNATHQPPPASASAPAAAPAPAPAPAALPRNGSDDSLTRAYPPATATPPANADYYLPKFPEKPPVPPPSSAPPATAYLQVPGRYTSVAPGSGADHAPVFFIPAPHGYFAATASPGATSFPAMYAVAPPNANANGNGSAPSPAVSNATAYAPAPQVAYDSNGRAIYYTSMLPQYPSAVNGMSAAGAVLGTEPAKPVAVKPTVS >KQL32044 pep chromosome:Setaria_italica_v2.0:I:41076154:41078706:1 gene:SETIT_017036mg transcript:KQL32044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYGGRIQPRPHDNQLAYVNGETKILSLERPLRFADFAARLAALAGNMGDICIKYQLPGEDLDALVSVTNDEDLEHLVLEYDRLHLLRPAPGSGGGSNRGSTLRLRVFLFPVQSPPPPPPPAGLLEPKAERHWFVEALNTIPQPKQETSPSPVPAQQSPPQQKQESVFAQQSSPPQAKHETVFVQQPPPQTVLQMAPPQPHMVLAAASPDYLFGLDNGFVPPPAVKVKDPAGDPPTVRENVPVELPAKNDDRHPNPAGDHVAVSPVVSPAEFQRQIQELEKLQVADNATHQPPPASASAPAAAPAPAPAPAALPRNGSDDSLTRAYPPATATPPANADYYLPKFPEKPPVPPPSSAPPATAYLQVPGRYTSVAPGSGADHAPVFFIPAPHGYFAATASPGHVIVLGPSEGTPGRAGIRIGGGTSTLKYTRWQTIF >KQL27743 pep chromosome:Setaria_italica_v2.0:I:350736:351024:1 gene:SETIT_020531mg transcript:KQL27743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVACMALQRSMIYFFPMPISVKEIHGRK >KQL28688 pep chromosome:Setaria_italica_v2.0:I:7492816:7492869:1 gene:SETIT_0193041mg transcript:KQL28688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEKVIFAIAFGAFFVVGV >KQL28368 pep chromosome:Setaria_italica_v2.0:I:5436173:5438189:1 gene:SETIT_019183mg transcript:KQL28368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASEHGADQGETEDQEDLVRQLPDDALADVLRRLPRRGLAASRCVRKAWRDVIDGRRLLLPHLLPHKVGGIFINFNVLESWEFLARPTTTAAGPATSGDFDYLPDLNEGSFLRDHCNGLLLLYDVVANPATRSEEYFYEDEYLVYDPNVSPHFEVFSIPRIWHKEKPGNFGYDSAKDKLDPSLEELEWPPSQYVLNTYRVIKPPAVDIKVPMTGGLHLGRSEKGVYCALANYYSLLSYQLRWMLKHEANLNSVLACPWIFQDINYYDRAGGYNVDAAEQAKFEWDSDNDNVLQINSKICCGYTYLLGFHPYKEVIFLYESMKRGFAYHLNDSKVQDLGNMYPRNHGRVAGNHALVRESFPYTPCWIEDFPINNIIDAEDHFKV >KQL31979 pep chromosome:Setaria_italica_v2.0:I:40743097:40747066:-1 gene:SETIT_017277mg transcript:KQL31979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAPAPAAMAVDDAEDDQLASMSTEDIIRAARLLDNEIRVHKDELQRTNLELESVKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQRLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >KQL31980 pep chromosome:Setaria_italica_v2.0:I:40743388:40746060:-1 gene:SETIT_017277mg transcript:KQL31980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVIGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQRLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >KQL28431 pep chromosome:Setaria_italica_v2.0:I:5854596:5863042:-1 gene:SETIT_016178mg transcript:KQL28431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAPPEQMGASYPHMFLIFLLFHGANAASNAPAGPKWQTLSGRPPQVIARGGFSGLFPDSSQYAYQFALSTSLPDVVLFCDLQFSSDSTGFCKTGLTLDNSTTVSEVFPKMEKTYKVHGEDVHGWFSLDFTADQLIQNVTLIQNIFSRPSTFDGSMGMYTLDDIVELRPPQIWLNVQYNSFFLEHKLSTEDYILGLPKKFSLTYISSTEIDFLKSLGGKLKKSKTKLVFRFLNEDVIEPSTKKTYGELLKDLKSIKDFAVGILVPKTYIWPLNKDQYLSPSTSLVKDAHALGLEVYASGFANDIATSYNYSYDPSAEYLQFIDNSDFSVDGVLTDFPPTASGAIEDTRPLIITHNGASGVFAGSTDLAYQEAIKDAADIIDCSVQMSKDGVAFCMHSADLSPHTTAATAFVSKSSTVHEIQNKSGIFSFELSWSEIQTLKPDIFSPFAQAGLKRNPASKNAGRFLTLPQFLDMAKASNVSGILIEMEHASYLAKRGLGVVESVSSALTKAGYDKETKQQVFIQSDDSSVLSAFKKFPAFKRVLNLEMEFSGASQPSLDDIKKFADGVRIHRSSVAQITGYFMTRFTDTVGSLQAANLTVFIGVLKNEFMNLGFDYFADPTVEIVTYSSAVMADGLITDYPATAASYFRSPCSDMSLNLSYSILPAQPGALVHLAAPGALAPAAGPAPLLEPKDVVDPPLPSVKAHPAWRHTTYTGADTHTTSSSFLPWRRSPRTPAPLRRRLLAYTAATARPPLPEPGGPPPLHAVALGGYIYSSRNLSCLVSGTAKASVSGAETSSGGEDVNEIIGAVEAVESTTPGASFLAKVAIAIGIAATVTVISLVRKQPSSGPSFSLPQIVDASTQSDAAAATLGYSFSAFGKKVIIPEYTPGGVCLSDMIPFFLGKLFRQTKASEGISSKIGIGKDKALSISRAVQKYGNLIGFVERFSIGVRNITAFLAGALGIPADCYFAGVCFGCLLTLPIQGHNAPWKHTMEGPDDMPAHIKSSMFGCALTIPITDGRLNMGTWQGIWLCEHRDHASPRKIVITLNGV >KQL28432 pep chromosome:Setaria_italica_v2.0:I:5855729:5863042:-1 gene:SETIT_016178mg transcript:KQL28432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAPPEQMGASYPHMFLIFLLFHGANAASNAPAGPKWQTLSGRPPQVIARGGFSGLFPDSSQYAYQFALSTSLPDVVLFCDLQFSSDSTGFCKTGLTLDNSTTVSEVFPKMEKTYKVHGEDVHGWFSLDFTADQLIQNVTLIQNIFSRPSTFDGSMGMYTLDDIVELRPPQIWLNVQYNSFFLEHKLSTEDYILGLPKKFSLTYISSTEIDFLKSLGGKLKKSKTKLVFRFLNEDVIEPSTKKTYGELLKDLKSIKDFAVGILVPKTYIWPLNKDQYLSPSTSLVKDAHALGLEVYASGFANDIATSYNYSYDPSAEYLQFIDNSDFSVDGVLTDFPPTASGAIEDTRPLIITHNGASGVFAGSTDLAYQEAIKDAADIIDCSVQMSKDGVAFCMHSADLSPHTTAATAFVSKSSTVHEIQNKSGIFSFELSWSEIQTLKPDIFSPFAQAGLKRNPASKNAGRFLTLPQFLDMAKASNVSGILIEMEHASYLAKRGLGVVESVSSALTKAGYDKETKQQVFIQSDDSSVLSAFKKFPAFKRVLNLEMEFSGASQPSLDDIKKFADGVRIHRSSVAQITGYFMTRFTDTVGSLQAANLTVFIGVLKNEFMNLGFDYFADPTVEIVTYSSAVMADGLITDYPATAASYFRSPCSDMSLNLSYSILPAQPGALVHLAAPGALAPAAGPAPLLEPKDVVDPPLPSVKAHPAWRHTTYTGADTHTTSSSFLPWRRSPRTPAPLRRRLLAYTAATARPPLPEPGGPPPLHAVALGGYIYSSRNLSCLVSGTAKASVSGAETSSGGEDVNEIIGAVEAVESTTPGASFLAKVAIAIGIAATVTVISLVRKQPSSGPSFSLPQIVDASTQSDAAAATLGYSFSAFGKKVIIPEYTPGGVCLSDMIPFFLGKLFRQTKASEGISSKIGIGKDKALSISRAVQKYGNLIGFVERFSIGVRNITAFLAGALGIPADCYFAGVCFGCLLTLPIQLALGFVLRERPVIALASVAAAVGICTAFPYAAAACTALFFYLRRPDSSS >KQL29657 pep chromosome:Setaria_italica_v2.0:I:22682306:22684599:-1 gene:SETIT_017614mg transcript:KQL29657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRLSSINVENIEENRRALRELLFCAPGALQYLSGVILFEETLYQKTKDGKPFVDVLNEGGVLPGIKVDKGTIEVAGTNKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLNIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGSHDIERCAYITEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDAKKVAPEVIAEYTVRSLQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLNTKKPWSLSFSFGRALQASTLKAWGGKVENVEKARAAFLTRCKANSEATLGTYKGDAAAGEGVSESLHVKDYKY >KQL29942 pep chromosome:Setaria_italica_v2.0:I:26733677:26734720:1 gene:SETIT_018630mg transcript:KQL29942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRMNSLRDLTLHLSRPADRLLQSGGHGWFWSCAPMELCCREGPDGGTGPRGKNPRKWFAVPRSRGLPLNGCMPRVAWQQLGTTRSSSRTSTSRHGSGANGRRVRLLLTPAWKQPAAAMDSIVGEEAVELLQALTPEPQSME >KQL30492 pep chromosome:Setaria_italica_v2.0:I:30974737:30974846:1 gene:SETIT_0201161mg transcript:KQL30492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSCTRLCPRERMSMREVAINLHAIRRSYVAAIKQE >KQL28869 pep chromosome:Setaria_italica_v2.0:I:8556396:8560139:-1 gene:SETIT_016841mg transcript:KQL28869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAREVGETGREARHGVLQSGSDTGDHKDKTVELEKDEQFQGQPKWRKFLAHVGPGALVAIGFLDPSNLETDMQAGADFKYELLWVVLVGMIFALLIQTLAANLGVKTGRHLAELCREEYPRYVNICLWIIAELAVISDDIPEVLGTAFAFNILLKIPVWAGVILTVFSTLLLLGVQRFGARKLEFIIAAFMFTMAACFFGELSYLRPSAKEVVKGMFVPSLQGKGAAANAIALFGAIITPYNLFLHSALVLSRKTPRSVKSIRAACRYFLIECSLAFIVAFLINVSVVVVAGTICNADNLSPTDSNTCSDLTLQSAPMLLRNVLGRSSSVVYAVALLASGQSTTISCTFAGQVIMQGFLDMKMKNWVRNLITRVIAIAPSLIVSIVSGPSGAGKLIIFSSMVLSFEMPFALIPLLKFCNSSKKVGPLKESIYTVVIAWILSFALIVVNTYFLVWTYVDWLVHNHLPKYANALVSIVVFALMAAYLVFVVYLTFRRDTVSTYVPVSERAQGQVEAGGAQAVASAADADQPAPFRKDLADASM >KQL29272 pep chromosome:Setaria_italica_v2.0:I:12555061:12555203:-1 gene:SETIT_020258mg transcript:KQL29272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFQGLSIGRVEWAAVLLRTSSIHTVVPN >KQL28637 pep chromosome:Setaria_italica_v2.0:I:7152210:7156276:-1 gene:SETIT_020033mg transcript:KQL28637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIRFVLLLVATATLIKPSGGVEAEEEDWERFLLQWRQHTSLPLPLLNGDLVDKIWSICIRDMVGAEEILGNVLSFASDELLSHSSENVLKTVLFLELLALLSHEKLSTTCDCIRANCFGLGIPQELSIALGTYLESHKPFLDSNFYSRRHLADKSIGDAPSMAPAFVPSMSSGDEVQFPQSVTETPSTPSNSLNIEPPNQPHHHKPAHKGVTPPFSPLEKHKDYVKLVLIAVLPTAAVSFVAAFLIFYCCGCNKSKVSVSEQRDDHPLLHMQLANVPGSSPDAHCPASPHHKDDKRVGSSKAGVSMGQCFSCCFIGSSDTTPTSQVIGGTLENNATSDAPKPMPPPPPPPPLPPPIKKAPPPPPGPPKGSKARLAQLSPVESSRSEGSSAGEQTSESSEAEVNAPRPKLRPFYWDKVLANPDQSMAWHDIKFGSFHVNEDMIEELFGYSAGKRNSLKDKELPSMDPAAQNISLLNVKKSCNLGVVFKAMNVRVQEIHDALIEGNELPRVLLETILRMKPTDEEEQKLRLYNRDFLQLGLAEQVMKALIDIPFAFKRINALLFMSSLQEDASSLGDSFLQLEAACGELKHRLFLKLLEAVLKTGNRLNDGTFRGGANAFKLDTLLKLSDVKGADGKTTLLHFVVQEIIRSEGVREARSAMESGRSPSSTSDDNSNGSLEVEEDGDYYSHRGLKIVSGLSTEMDNVKRVAALDAEALSASVVNLRHELLKSKEFLSEIATIEEKSGFRRSLECFVEYADNETNFLMKEEKRLRSLVKKTIRYFHGNDSKDDDFRLFVIVRDFLVMLDKACKEVGASQKKGTNKLRNNGNPTSQPTLQEQQFPAVIDDHSDNSDSND >KQL29662 pep chromosome:Setaria_italica_v2.0:I:22769774:22772481:-1 gene:SETIT_018467mg transcript:KQL29662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGVEEASAEGEGKGGVGGGARGGEMGTATEAVAAVSLEALRKRMADFARERDWEQFHSPRNLLLALVGEMGELSEIFQWKGEVPKGLPGWDEAEKEHLGEELADVLLYLVRLSDMCGVDLGKAALRKMEINARKYPVGQCKGSSKKHTHYGSTNSVTASARDNVNTMNKEDNNGV >KQL30913 pep chromosome:Setaria_italica_v2.0:I:34332422:34336031:-1 gene:SETIT_020220mg transcript:KQL30913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVCVALWAVTLAMVMTFIMWAYRWSHPKANGRLPPGSLGLPLLGETLQFFAPNPTCDVSPFVKERLGRYGNIFKTSIVGRSVVVSADPDLNYFVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLRAVLLAETDRACHASLSSWARRPSVELKDAISTMIFDLTAKKLISYEPSKSSENLRKNFVAFIRGLISFPVDIPGTAYHECMQGRKNAMKVLKKMMRERIADKGRQSEDFFDVLIEELRREKPVMTEAIALDLMFVLLFASFETTALALTLGVKLLAENPRVLQALTEEHEAIVSNRKDRDAELTWADYKSMTFTSQVILEIVRLANIVPGIFRKALQDIEFKGYTIPAGWGVMVCPPAVHLSPEIYDDPLAFNPWRWQDKAEITGGTKHFMAFGGGLRFCVGTDLSKVLMATFIHCLVTKYSWRTIKGGNIVRTPGLSFPDGFHVQLFPKS >KQL28533 pep chromosome:Setaria_italica_v2.0:I:6518922:6523775:1 gene:SETIT_016209mg transcript:KQL28533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLQLTALAGGADDEMEEVALLGSYDEEAGVAAGDDAGMRRVQVRVAGMTCSACTGAVEAALSARRGVRRAAVSLLQNRADVVFDPALAKDEDIVEAIEDAGFEAEILPDSTVSQPKSQKTLSGQFRIGGMTCAACVNSVEGILKKLPGVKGAVVALATSLGEVEYDPSAISKNEIVQAIEDAGFDAVLLQSSEQNKVLLSVTGLHTEGDVDVLHDILKKIEGLRQFGVNFANSEVEIVFDPEVVGLRQIVDTIEMESNGRLKAHVQNPYLRAASNDAQEASKMLHLLRSSLLLSIPVFFIRMVCPHIPLISSFVLMHFGPFRIGDLLKWILVSMVQFVIGKRFYVAAYRALRHGSTNMDVLVVIGTTASYVYSVCALLYGAFTGFHPPIYFETSAMIITFVLFGKYLEVLAKGKTSDAIKKLVELAPATALLLLKDKEGKYSGEKEIDASLVQPGDALKVLPGSKVPADGFVIWGTSHVNESMVTGESVPISKEVSSPVIGGTMNLHGVLHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSLLTFFAWFLCGWLGAYPNSWSAETSNCFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLVKGGDALERAQNVKYVIFDKTGTLTQGKATVTTVKVCSGMDLGEFLTLVASAEASSEHPLAKAILDYAFHFHFFGKLPSGKDSIKKRKEEILSQWLLEAADFSALPGKGIQCWISGKKILVGNRALITENGVNIPDEAEHFLVDMELSAKTGILVAYDGSFIGLIGITDPLKREAAVVVQGLKKMGVHPVMVTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVIRSLQKDGSVVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPVAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >KQL29658 pep chromosome:Setaria_italica_v2.0:I:22698063:22698553:1 gene:SETIT_019825mg transcript:KQL29658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSHTRFSERTNTLLSMAKDLSRGFSVHVAVITFSPTSEPKRYGAPTVDSVIHTYHPKIHRSLSPFCSETTSVGKQNWWDVDVEALGVDELPVFVRALEVLRTNIQRHLDTMESSQKEKMQP >KQL28536 pep chromosome:Setaria_italica_v2.0:I:6543164:6543572:-1 gene:SETIT_019671mg transcript:KQL28536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKIAALVLLLLALGAQADMCKKRSRTFEGRCGLNMNCATVCVAEHYTGGFCKGFFHRECMCTKDCSDDGDNGGGNLLPPGDGDYGPPAGGRRPC >KQL32001 pep chromosome:Setaria_italica_v2.0:I:40823962:40825137:1 gene:SETIT_017458mg transcript:KQL32001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLHCDIAASPSFSSTGSSNGGGDNVAGSDGSVRIYACFAHGSSNSLECYEPGANTWRRVGALPGIPDGHILKGFAVVALGESVYVIGGRLCKRERGAAGVFHDTDVSVRADVLRYDVRRGEWQHCAPLLVPRFDFACAPCGGRICVAGGQCSLAGARGTAAAEVYDEEKGQWSALPDMSTLRYKCVGVTWQGSFHVVGGFAESTLTAGDAALLTPGATVLQSSALERSSAEVFHCSRGTWEILPGMWQLDVPPNQIVAVADRLFSSGDCLNSWKGHVEVYDGELNIWSIWDHSALPDLSLLASLPSSAQRLYLTMAAVGTQLYFLAGYQVPSGDDDGSRTVSLVHSFNTSATPGLVPAWSSFQPKMEPDDIEDGSKELFSQCCSVQLSS >KQL28774 pep chromosome:Setaria_italica_v2.0:I:8024880:8026080:-1 gene:SETIT_018375mg transcript:KQL28774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDLPPAEEATAAAAVKEAEEEEAAAEEDLIEIVEEGSGRLDIARYVDHVRDLSAGAIATFEGTTRDHFAGRHVVELRYEAYAAMARRRLAGILREARSRHALRRLAVAHRLGPVPAGEASVFVAASATHRADAMEACRYVIDELKASVPIWKKEVYDDGEVWKENREFLDRHSGAAAPAPVPAAKTGGCCGSKVRVQEA >KQL30232 pep chromosome:Setaria_italica_v2.0:I:29124614:29125117:-1 gene:SETIT_020183mg transcript:KQL30232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAQPSRPGGGVNDGASRDESPAATETVTVGQALQAVALSPAGARPVDRADASAIQATEKSVTGLGRVVPGGVAAAAHRAAEANEREAAAADARDGGEVGKVVTLRDVLGDAASVMPAGSNRAATWVDAEKVAAATGSSAGRGGGGMGEVADALAAAAHINEGSTL >KQL31010 pep chromosome:Setaria_italica_v2.0:I:35003773:35006850:-1 gene:SETIT_016684mg transcript:KQL31010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRVILVEDEEMAAPTPLPPKQDKCCEYTLDGSVDIKGRPAVKGKSGGWLAGGLILVNQGLATLAFFGVNVNLVLFLTRVLGQSNGDAANNVSKWTGTVYMFSLIGAFLSDSYWGRYKTCAIFQAIFVLGLALLSVSSHLYLIRPEGCGMEHAPCGPHSGKELGIFYIALYMIAFGNGGYQPNVATFGSDQFDEEDPAEAHSKVSFFSYFYLALNLGSLFSNTFLSYLEDEGRWALGFWASTAAAAAALLLFLSGTLRYRYFNPGGNPIGRVCQVAFAACRNWKAGASPGVVTLYEGDEKTDAGGRRLLHTQGFSFLDRAARADTDSKLGKRDPWKLCTVTQVEEVKSILRLLPIWLCTILYSVVFTQMASLFVVQGAAMRRTTPFAGFSVPPSSMSAFDILTVAATIFLYRRAICPFLARLTGRPAGPTELQRMGLGLVVGALAMATAGTVEHFRKAGATAAMSSDLHIMWQVPQYSLIGVSEVMMYVGQLEFFNDQMPDGLKSFGSALCMMSMSLGNYFSDVIVSAVTRVTTTRGRAGWIPADLNEGHLDRFYFLLAIIAVADFAVYLVCASRYGSGKVDGRSSDDEEEGTAGQVEIPGAERMT >KQL30626 pep chromosome:Setaria_italica_v2.0:I:32273181:32276130:1 gene:SETIT_017175mg transcript:KQL30626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATFAHTAKLHHGRGLGTPSCSASAVELRPSHGLHTRRRPPWRLLATTPAATSNAPAELTGPGCSSIGYGEAEELGPFLVQKGKPELKWNNYSWNTEANLMFLESPVGVGFSYTNTSSDLQNLGDKITADDAYVFLLNWFKRFPQYKSHDFYIAGESYAGHYVPQLSEKIFDGNKAGPRENYINFKGLMVGNALMDDETDQTGMIDYAWDHAVISDRVYGDVKARCNFSMVKVTGACDAALQEYFAVYRLIDMYSLYTPVCTDGSGSTASRGGHRKVAVHGAAPRIFSKYRGWIMKPAGYDPCTAEYAEVYFNRPDVQAALHANVTKIGYNWTHCSDVISTWNDAAFSTLPIIRKLIAGGLKVWVFSGDTDGRIPVTATRLTLNKLGLKTVQEWTPWYDHLQVGGWTIVYEGLTFVTIRGAGHEVPMHAPRQALTLFSNFLAGTKMPPTAFP >KQL29143 pep chromosome:Setaria_italica_v2.0:I:10684892:10691628:-1 gene:SETIT_016959mg transcript:KQL29143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGRNQQHPQQQQPKPAILAPVGEMKAPAVAPQQPKPPAPAMPVPRQWPMAIKPPSTEAKTVTPKKKKHCNCRNSKCLKMYCECFQELQFCDGCNCSNCGNIVGNEKARNEAMEAIRQRNPLAFQPKIENGPSTHNVRKDTSGAVPLVPKHNKGCHCKKSGCLKKYCECYQANVLCSKNCRCMDCKNFEGSEERKALVQGDYASDGNKTQQAARVAINGTIGSSGYNHSPVRRKRSREDAVGIRINSEGSMPETQFQQGNHADTSLLAPYSTGFDGHSAANSQSKSYNPIYRSPLANTIHLSEVNDLVTQLVTVCRMAAATIADNKVDGTTVEKEFHVNGELSNGNCKQQQLSEASRMDILTRGCSDPPNSNEMDSHWSDTAKDSRPASPTTQALMCDEQDTTFGNDYRSSYPSVSCDQDISEINAAQENLVLTGLREYLRVIITRGKINEHKSSSEAAMELDGRQHGAMPAFAPREVRENIPSSNGIETPGINQKLTHDDGSKR >KQL28378 pep chromosome:Setaria_italica_v2.0:I:5487387:5487596:1 gene:SETIT_0206502mg transcript:KQL28378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTSTPTSAPW >KQL28874 pep chromosome:Setaria_italica_v2.0:I:8609843:8610681:-1 gene:SETIT_018699mg transcript:KQL28874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKMRAAVLAVCLLVVLLSGQPPRVSGEPPGYDKCYRECYDHCQTTHKPKWACRIRCHSICDRHREVAAAASEFAGDDGACKEICLASVGGSGTTATGGDGLTDADVAACVDGCTGYYRKLNAKHV >KQL30175 pep chromosome:Setaria_italica_v2.0:I:28613086:28614540:-1 gene:SETIT_019433mg transcript:KQL30175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASTPGKSLLVLASVVTVLLVLISAVESYDGGHAVTHSAVARRSRLGTRHACHRRTMTPHRYVLAEKSNTTGGSKNNSSPATSKATSPMPAPLAEPSKHHRSHHKHRVRNWIVGFVVGSLAVVVSGLVLFRLGMNCIRGQCMARPRMTIFTPKLIRHADHLAFLEEEDGVASLEMIGRGGCGEVFRAQLPVEREWEEPRFIAIKKIEKHGGGDAPNDLSGVESRQLRRWSRQVQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEYMKRGSLHDALKAGGIAGLPWPARIHVALGIATGLEYLHFSHRPQILHRDLKPANILLDDDLEPRIADFGLAKLMPDAHTHVTASNLAGTWGYIAPEYHQTLRFTAKCDVYSFGVILAELATGKPPSDQFFIQMDEVVGLVKWLRRVMMAEEHEQAIDPAIAGAGHDEQIVLALRIAVLCTADDPKERPSAKDVRCMLSQIKIKELVKISSPKSS >KQL31289 pep chromosome:Setaria_italica_v2.0:I:36720046:36725566:1 gene:SETIT_016463mg transcript:KQL31289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKGPKSKQPPPPVTAAKKGSKAAPPPKAAKRVPKKQELLESSDDSDTEPQQLQEEDSDLDVPSDSDAEELSGSDAEADELSGSDADADGGSSSGDEVEENEEEEGDDESDDDPLADDFLADSDEGSEGGDSGVESDDSDDLEAKSRAIDERKRKVEEEADDELTTNIRSESDEFRLPTAEELEEEAHLPPNLPNLKRRISEIVRVLSNFTKLRQKDVPRKDYVNQLKTDIISYYGYNDFLVEALIEMFPAVELVELLEAFEKRPPECLRTNTLKTRRRDLAAALIPRGFNLDPIGKWSKVGLVVYDSTISAGATTEYMAGHYMKQGASSFLPVMALAPQEKERIVDMAAAPGGKTTYIGALMKNTGIIYANEFNEKRLHGLLGNIHRMGVTNTIVCNYDGKELPKVLGMNSVDRVLLDAPCTGTGTIWKDPQIKTSKDIDDIRNCAFVQKQLLLAAIDLVDANSKTGGYIVYSTCSIMIPENEAVIDYALKKRNVKLVPCGLDFGRPGFIRFREHRFHTSLDKTRRFYPHVNNMDGFFVAKLKKLSNTIPVTSESSKASEVAAEKADPSGDDEDKEAVPEEQEQEKGVPDKDEKAVRMKNHKETKKSNKERSVPKETTHVPDRPAKHLKKQKKDAMETDGPESTEKNGDGKEVHQEQTKQAGHKKKFASDRTKKFGPKSTSGIKEKKPVSDKKRKRKWQFKLRRDWENMKEKSDKRRKV >KQL30962 pep chromosome:Setaria_italica_v2.0:I:34726900:34727256:1 gene:SETIT_019504mg transcript:KQL30962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APSAARAQSLPPTAPPTLLFPFPLPLPRLSQSPPHLVLSGGQATHQITSLGGGMILVAIVAELMEEYMVLVARVLEQLLHGAPFPRRMRFLMLRSLPFAAPPLPPPPPAHALHVATRG >KQL31923 pep chromosome:Setaria_italica_v2.0:I:40444616:40448312:-1 gene:SETIT_016546mg transcript:KQL31923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEERNGAMGRVRDQFPAGMRVLAVDDDPVCLKVLETLLRRCQYHVTTTNQAAIALRMLRENRDLFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSGNGETKTVMKGITHGACDYLLKPVRLEELRNIWQHVVRRKFSNRERANVDGYEECNRPSNADFDNVHSQITCGSPDQSGRPSKKRKEYHSEEEDEGEESNGQENDDSSTPKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVDKLTRENVASHLQKYRLYLKRLSAVASQQASIVAALGGSDPFMHMGAFEGLQGYQAFTSSAPLSSFSPQGLLNRNNPTSFGIQGMCASRPIQIATGNSTISHSVGDVNKYHLSFPGTSNRPGNLAQGLATSVGQVQVPQKWIQEETHDLSTIISGNGLVNGVPGTLRSATNSPLLQQDLVECRHAKIVIQPSSSVNSEHLECTAGVSSSLMDSHVSQQSGVSLSAFSASALPMNGSFGSTNVAKLGATSSGGTNICSSNGLRVARDNEVGATSLGSVILLPPDTAQNQKYLNFGGGSSLRHNMDGGNRDSVLDSKLVWSSLPTSQPPSTIRSHHPLNQRSNNGTLGVKMIEQTSASASTAAPQTKFDMFTSGDILTAKNALDSSFPRLNSELSSSSCSFDGLLNSIIKVEKDDTSFNDDLGCDFYSLGACI >KQL28975 pep chromosome:Setaria_italica_v2.0:I:9334257:9341956:-1 gene:SETIT_017732mg transcript:KQL28975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVESTSASEGPPPQDAWHAEFQRLLPLWESLRDSSKVIIPISISRVNQFDAARLDVEMSAMLKEQLVKVFSLMKPGLLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERVVPIIGKEVRTGLEGPGLSVSQKIFYCISFVGGQYIWSRLQSFSAFRRWGDLEQRPLARRAWGLVQNAEGLYQAASFFNLLLFLYGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSAGSFGDEADCPICRSSPSIPFIALPCQHRYCYYCLHTRCSATSSYRCPRCNEVVVAIQRQGSV >KQL29550 pep chromosome:Setaria_italica_v2.0:I:20852726:20853028:-1 gene:SETIT_019052mg transcript:KQL29550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHFAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSVATEIDKDADNTSDCERRYKAFLQEINTFELPLLKSKAVVDANIREKESFNELQVEIE >KQL29818 pep chromosome:Setaria_italica_v2.0:I:25096570:25099878:1 gene:SETIT_017280mg transcript:KQL29818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAARAKVLLAVASLSCLLLSSPAASAAAGAEVAGGVAHRNIERIAGSAGDVLEDNPVGRLKVFVYDLPSKYNKRIVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLKPEEADWFYAPVYTTCDLTPAGLPLPFKSPRMMRSAIQFISHKWPFWNKTDGADHFFVVPHDFGACFHYQEEKAIERGILPMLRRATLVQTFGQKNHVCLKEGSIIIPPYAPPQKMQAHLIPPDTPRSIFVYFRGLFYDNGNDPEGGYYARGTRASLWENFKNNPLFDISTDHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVDEEDVPKLDSILTSIPVEDILRKQRLLANPSMKKAMLFPQPAQPRDAFHQILNGLARKLPHTQRVYLQPGEKHLNWTAGPVGDLKSW >KQL28661 pep chromosome:Setaria_italica_v2.0:I:7299768:7304886:-1 gene:SETIT_016800mg transcript:KQL28661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMMQPQIILLKEGTDTSQGRAQVVSNINACTAVADTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPYVEDGVHPHSLIRSYRAAGNMAIQRVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAICNDDRLNLIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGTVQTSVNNVIDEVLGSCEVFEEKQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEISKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGDGANYGVDINTGGIADSFANFVWEPAVVKINAINAATEASCLILSVDETVKNPKSESAQGDAAAGAMAGRGGGAMRGRGGRGMRRR >KQL28201 pep chromosome:Setaria_italica_v2.0:I:4288430:4288901:-1 gene:SETIT_020248mg transcript:KQL28201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAARRKRRHWTMASGQVPAAPSAKADMAGDSVLVRLELDWATRSGTGSSSGSPCGPI >KQL29903 pep chromosome:Setaria_italica_v2.0:I:26188968:26193155:1 gene:SETIT_017292mg transcript:KQL29903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIRLPEPPGVDGMETPEIFSGGGSGAKVVRRAVLIGNGSPGAENQCLGLARALGLADNLTLYRVTRPRGGINEWLHFLPISLHKFIDQVLRQFFRNTRFTIVVQGRKPYRVLNSSSVGLSTILEPDVKKIVTVARETYEKEGPTLVVACGWDTISYSSLIRKLASDNVFVIQIQHPRSRLDRFDLVVTPRHDYYALTASGQQEIPRLFRRWITPQEPPRSNVVLTVGALHQADSAALRLAAIAWHDELAPLPKPLLVVNIGGPTRNCKYGIDLAKQLISSLYNVLDSCGSVRISFSRRTPWKVSDIVFKEFAGHPKVYIWEGEEPNPHMGHLAWGDAFVITADSISMLSEACSTGKPVYVIGTEHCKWKFSAFHKALRERGVVRPFTGLEDISNSWSYAPLNDAIEVATRVREVIAERGWTVG >KQL31946 pep chromosome:Setaria_italica_v2.0:I:40568286:40569884:-1 gene:SETIT_019756mg transcript:KQL31946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLSEPLLAEIIKRITRTSDLNSLSLVSKQLYNAEAEERGTLRVGCCLHPATEALSSLCVRFPNLWKIEINYSGWTSKQGRQLDNQGLLVLSSQCPLLTDLTLSFCSYINDTGIGYLAYCKKLKALRLNFAPAISSSGLLSVAVGCKSLSAFHLVDCMKVGSVEWLEYLGRAGSVVELVVKDCKGISQYDFLKFGPGWMKLEKFEFEINDNYWLSGPPLDPSFDAHYQYKYDICCENLKELRLAHIITVPEIGLRFLLRKCKALEKLCLDYVIGLDEREMIALFRNCSNLRSLSLRLMPLRSGPGMDFRTPLTDESLKALGLTCHMLEVIELTFTFCSSRYPSEIGFTQEGIVMLVQSCPIRVLMLNGANNFDDEGMKGLSSAQFLETLELVDCERITDAGISCITSTPSLSSLTLRQCKKVTDNGMDELARSWKLESLTVVGCRRVSRKAVQGAARSVHYSTESESFASLKGMIKMETSQCSTIEIEESLGYRWLLFSVSHILVEVVDYMLY >KQL31645 pep chromosome:Setaria_italica_v2.0:I:39010152:39011166:-1 gene:SETIT_018144mg transcript:KQL31645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRAGGFHLPNAEQENSLLLRALISVVSGDNAVPALLPEEAEAPVVEAPAPCTCTTCGANGCAAGGCELLAVTGIGSSSDSDDGGECSASASRAAITGGVGKLRRRQRGRVSKYRGVRRRPWGKWAAEIRDPHRAVRKWLGTFDTAEDAARAYDIAAIEFRGRRAKLNFPADVTPAPAPSWAPTSTYHHHLPQPLPESLHETCRSNASSPVQVALVAAAPAGQHGARLVPKERDIWDGLNEIMMMDDGSFWSSMP >KQL28386 pep chromosome:Setaria_italica_v2.0:I:5505661:5506077:1 gene:SETIT_020343mg transcript:KQL28386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGITERTTTGMLLMQTGNKIYNSFCCPVISTMITDSE >KQL31714 pep chromosome:Setaria_italica_v2.0:I:39333753:39340597:1 gene:SETIT_016191mg transcript:KQL31714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSHEEEEEVEEEYDMEEEEEEERSGKRSRGGGRGKRSRGVESFIDDAASEDDDADDDDDDDDDDYGGGGRGRGSKRLRSSILIDDMAQVDEDTDIDDAGSGGDDDFIDDTRDDDDIRGNDVRRRPMPHSSSMMEDEEALQEYLRRLQERSKYGAASHSDFDEEVTEIEQQALLPSVKDPKLWMVKCAIGHERETAVCLMQKFLDRPDLQIKSVVALEHLKNFIYVEAEKEAHVKEACKGLRNIFASEKITLVPIREMADVLSVESKSVDLSRDSWVRMKLGVYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLDRNEIAKNKSFVTPPRFFSVDEARRRNRDSGEYFDVVGSLMFKDGFLYKTFSMKSISTQNIQPSFDELKKFKRPGDDLNEDVASLATLFSNRKKGHFMKGDAVIVIKGDLKNLKGSVEKVEDGTVHIQPKLPGLPRTLAFSEKDLCKYFDPGDHVKVVSGVQEGARGMVVKVEGHVLIILSDTTKEHIRAFADHVVESSEVTAGITRIGDYELHDLVLLNNLSFGIIIRVESEAFQILKGVPDKPELVLVNLREVKSKIYRRTSAKDRSNNTVSTKDVVRVVEGACKGKQGPVEHIHRGILFIYDRHYLEHSGFICAKSQSCLLVGGSTGSRRGNAMDIVDPFHAFSCTARTLQSPGRLPPRGPHINFGGRFGGRGGGGRGHDALVNRCIKIKSGPYKGYRGRVKEVTGALVRIELDSLMKIVTVKREDIGDTAAVATPFRETRYSRGVETPVHPSQTPLHHIQTPMKDSGATPIRDGMRTPMPSRAWVPMSPPRDSWEDGDRSTWASSPAYQPGTPRARPYEAPTPGSGWANGWGDASGNAQSTYAPSTPVVQPMTPVPAASYLPGTPGGQPMTPGDAGMDLMSPVIGGEAEGTWLLPDVLVNVSRGGDEVTDGVVKEVFPDGSCRVALGSQGNGDELIASANELEVVRPKKNEKLKIMNGSMRGVTGKLIGVDGSDGIVKVEGTLDVKIVDMVILGKVAA >KQL29756 pep chromosome:Setaria_italica_v2.0:I:24181872:24182207:-1 gene:SETIT_019744mg transcript:KQL29756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STPDTPLSSTSTHAQNSGPAPRPPSPLSVLAVARIGSAGGGSMADWGPVVVGVVLFVLLSPGLLCELPGTHRHVDFGGFHTNGKAIFVHTLIFFAAFTILTLALHVHIYTG >KQL31536 pep chromosome:Setaria_italica_v2.0:I:38256498:38261174:1 gene:SETIT_016915mg transcript:KQL31536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPVLFPLLLLAVAAAAFTAEAATTLSSRMVHRLSDEARLEAGPRGEWWPRRGSGEYYRALVRSDLQRQKQRLGGKYQLLSLSKGGSIFSPGNGLGWLYYTWVDVGTPNTSFLVALDTGSDLFWVPCDCIQCAPLSGYRGNLDRDLGIYKPAESTTSRHLPCSHELCLLGSGCTNPKQPCPYNIEYFSENTTSSGLLIEDTLHLASRQGHVPVNASVIIGCGRKQSGDILDGIAPDGLLGLGMADISVPSFLARAGLVRNSFSMCFKEDNSGRIFFGDQGVSTQQSTPFVPLYGKLQTYAVNVDKSCIGHKCLEDTGFEALVDSGTSFTSLPPDVYKAVTVEFDKQMNASRVPFEDSTWKYCYSASPLEMPDVPTITLTFAANKSFQAVSPILPFNDKQGALAGFCLAVLPSPEPIGIIAQNFLVGYHVVFDRENMKLGWYRSECRDVDNSTTVPLGPSQHNSPEDPLPSNEQQTSPAVTPAVAGTAPPSSATTNLQKLLASSYPLLLLTVSTVFFIS >KQL31168 pep chromosome:Setaria_italica_v2.0:I:36043939:36045901:-1 gene:SETIT_017740mg transcript:KQL31168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMPRLPLLLKIAAAAAAGALALIVAARLRREDAVASLRREIRECVSALVEEEGDGDGGGGGGGGAKEVASSPAPSVLITGFRAHGKSSLVNTACRALAAEDGPLLLRAEASPPGGGTDGPRRRRRVKAVVAGADGDGAGDGDNVVDLLDAPPLPEAARLSRDDIDAAIIGGNPECVVLVLRCDAPAKERNAAIRRLPEISAAVRNKGLNLIVVLTFKKAMRSIRQAEELLREVSFRARTDCVYFIENYTWSNNGPNLHHPPVIKNDFETHFTVLTIIRQCLEFIKLNRSQSKDKGDKQAKPDEPKPKNPPAEAKQCRKFNDSSVRLFFNSSET >KQL29584 pep chromosome:Setaria_italica_v2.0:I:21592099:21592995:-1 gene:SETIT_020298mg transcript:KQL29584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCVRWYPKERKQTPRKQKAHRAMDDIKESIAELKYYKDNIFKPQKSKR >KQL31968 pep chromosome:Setaria_italica_v2.0:I:40704793:40705242:1 gene:SETIT_020302mg transcript:KQL31968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAIITRGREYTLYKNAQPQMLILVGTQV >KQL28552 pep chromosome:Setaria_italica_v2.0:I:6635109:6637210:-1 gene:SETIT_018557mg transcript:KQL28552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAASSRLMWASRAAAYLRISTFPRAFSTVMKDLKYADTHEWVKVEGDSATVGITDHAQDHLGDVVYVELPEVGISVSQGKNFGAVESVKATSDINSPVSGEVVEVNEKLSEEPGLVNASPYDKGWIIKVKLSDSGELNSLMDDEKYSKFCEEEDNH >KQL30448 pep chromosome:Setaria_italica_v2.0:I:30617928:30618774:-1 gene:SETIT_020136mg transcript:KQL30448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGRHTIILMQPSQNRASRTFMDYNSINHALDGICGLYERKIRDINPMVPNITYDISDLYNFIDGLADISALVFDHSIQAFLPYDRQWIKQKLFQHLKKLSQR >KQL30827 pep chromosome:Setaria_italica_v2.0:I:33635702:33637177:1 gene:SETIT_018074mg transcript:KQL30827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASEQCRIQTRRLPLLWPLNAAVYSFCLSLAPAGGPGARANGLKSLPRPQAASNATTPGNKLRAAPPRSHGHSALAARGLPERARTGPRSGHARPLCAPSTRSNCKRHTRHGARRVVHAEQHLHHARERAAAAAATAGGGRSRLRRRQRLRNWDRKRRPRGEEDDLHHLPRRVPRGELGQRRDRAERTRGRRRRHHHGGRLRLGLLARLGTRLRRPRLRPRPAGGLRRGDVAEGVPGAPAPSAREERVVELLGRHRDPGGHVVGRHGLAVE >KQL30514 pep chromosome:Setaria_italica_v2.0:I:31396509:31398319:1 gene:SETIT_017576mg transcript:KQL30514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAPAPLLVSILCLLAAAAPPAASAARAFFVFGDSLVDNGNNNYLLTTARADAPPYGIDFPTHRATGRFSNGLNIPDIISEHLGAEPALPYLSPELRGEKLLVGANFASAGVGILNDTGIQFVNIIRIGDQLQYFREYQRKLRALIGEEQAAQLVNQALVLITLGGNDFVNNYYLVPMSVRSRQYALPDYVRFIVSEYRKILLRLYELGARKVIVTGTGPLGCVPAELALHSQNGECATELTRAVNLFNPQLVDMARGLNRELGADVFVTANTLRMNFDYINNPERFGFTNVQVACCGQGPYNGIGLCTPASNVCNNRDVFAFWDAFHPTERANRIIVGQFMNGDTDYMHPMNLSTILAMDREGL >KQL28397 pep chromosome:Setaria_italica_v2.0:I:5574323:5576194:-1 gene:SETIT_019190mg transcript:KQL28397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIPAKFFWPPPGNTLSTDGMTPAAMRHGLDPATLNVYQDTVLGFLYAFLPKPPVSAAAALSGAAATEGGEGIDRISGLPDILLRRILARLPAKDGARTATLSTRWRGLWRSAPLVLVDTHFLPRGGAEGRPPRPGPASRRAPRRRRRPPRAPGPFPFVSLSCGFMKAVDRDRDVLARWFQLLATKGVDELVFVNRPSPFAGLRLPAALFSCASLRRLYLGAWRFVDTSTLPRGASFPRLQELVLGAVALEDSDLDFLLATSPVLEILAIIGSVHKLNARLASQSLRCAQFCLATHEEVASGTRPSPKTTVPSVQMLALHLHFKLCNEVKMLPSFLRCFPNVETLCIQSEETSEPSDKRNLKYWQETGPINCVQSQLKRLVFREFHGEENEFAFLIFIAENARVLENMVLVMELRTPSAPEDLAAKMKALETARWASGSNKAGYLLSRPGVGGGSAWCLKAGSDFKCNDPFLCLV >KQL31662 pep chromosome:Setaria_italica_v2.0:I:39075515:39080335:1 gene:SETIT_016348mg transcript:KQL31662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTAEAMDVEAPAKPPSTASATKSRSPHDLLAETRASIEKVAARMLAIKRDGVPKSELRELVTQMSLLLVTLRQVNREILMEEDKVKAETEAAKAPVDSTTLQLHNLLYEKNHYVKAIRTCLDFQTKYPGIELVPEEEFQRAAPADIRDKTLAADASHDLMLKRLNFELVQRKELCKLHEKLALQRSSLLETIANQKKFLSSLPSHLKSLKKASLPVQQQLGMQHTKKLKQHHAAELLPTPLYIAYTQLLGQKEAFGENIEVEIMGSTKDAQIFAQQQAKKENGTLSNGDNNRMDDDVIDDDEVAQRRRSRSKKNVMKEANNPAVAYQLHPLKIIVHVYDTEDSGTKRRKLITLRFEYLAKLNVVCVGIEESEGLDNNVLCNLFPDDTGLELPHQMAKIYAGEPPNFTDKNSRPYKWAQHLAGIDFLPEVPPSAGDDSIRALSSSDLSSGLALYRQQNRAQTILQRIRSRKVTQMALMWQLDYLTKLKWPRIDHKNTPWASRTPLCSLHSWTMTGSFPEPLSRSSLMVSGAASSVDSDLERRSVTNWEETESIREDGELPVVVHAENEPRGSAILPSEMSPEVRSHSRGLSLISKSATPSKLSISHSFGRNEDDLDLLMYSDSELEDPPFIHEETEKGNLVIDNSWEDYASKEFTMVLSKAMKNGPKVMLEAKVKISIEYPLRPPLFELRLLSEKSETLKWHNDLRAMETEVNLHILRSLPSSCEDYILTHQVMCLAMLFDMHFDEDYEKRKVTSVIDVGLCKPVSGTMLTRSVRGRDRRQTIYWRGADCSSSYL >KQL27919 pep chromosome:Setaria_italica_v2.0:I:1702468:1711016:-1 gene:SETIT_016487mg transcript:KQL27919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEIVEVGPSSRPLRYVQPPLEQWHAPPPPPPPPPPPPPPPHHHQQQGGGAGDAGEPVAQQFDSEKLPQTLVSEIRPFLRAANQVEAENPRVAYLCRFHAFEKAHTMDRLSTGRGVRQFKTALLQRLEQDERSTKSKMTQRGDAREMKLFYERKRKANALDELLPVLQEVLKALLSGTGLENLVAGEDFTDKSGLLRYNILPLHPKFSQRPIMLLPEIKVAVSAVFNVRSLPSANIKDDKTHTDIFRWLQSWFGFQTGNVANQREHLILLLANMHARLNPKSSSAQLLDDRAVDELLAKTFENYLTWCKFLGRKSNIWLPSVKQEIQQHKLLYIALYLLIWGEASNLRLMPECLCFIFHHMSYELYGVLSGAVSLITGEKVRPAYGGDDESFLNDVVRPIYNVVFKEAQKNKDGAADHSTWRNYDDLNEFFWSTDCFKLGWPMRPNNDFFFISSESDNSQQTEQQNPQVPHESSSTENCLNSEAAEQRQQQTTSPSSEQWLGKTNFVEVRSFWHLFRSFDRMWTLLVLGLQVLIIMAWHGLGSPTQLLDPIIFEDILSIFITNAVLRVIQVILDIAFSWRTKRTMRFDQILRFTLKLSLAVAWAIILPIFYASSQNYKACSAKQSKTFLGMFCLSKYMVVVALYLASNPQLYVGRGMQEGLVHSFLDDSLVKSISWTHRYGILYSVLFSVVCVVLSIILVRFGQWEW >KQL30568 pep chromosome:Setaria_italica_v2.0:I:31766821:31771430:-1 gene:SETIT_016467mg transcript:KQL30568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECETGLVRSLHGDGLCMSAQAAAPRADPLNWGKAAEDLSGSHLDEVKRMVAEFREPLVKIQGASLSIAQVAAVAAGAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGADGHVLPAEATRAAMLVRINTLLQGYSGIRFEILETIAKLLNANVTPCLPLRGTITASGDLVPLSYIAGLITGRQNSVAVAPDGRKVDAAEAFKIAGIEHGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAIMAEVISAVFCEVMTGKPEFTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRFATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMTSTFLIALCQAIDLRHLEENMKAAVKNCVTQVAKKTLSMNAMGGLHIARFCEKDLQTAIDREAVFAYADDPCSPNYPLMQKLRAVLIEHALANGDAERVVETSIFAKVAEFEQQVRAALPKEVEAARAAVESGRPMVPNRIKECRSYPLYRFVREELGAEYLTGEKTRSPGEELNKVLVAINERKHIDPLLECLKEWNGEPLPLC >KQL29211 pep chromosome:Setaria_italica_v2.0:I:11467473:11467968:1 gene:SETIT_019005mg transcript:KQL29211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALENLESHPLDMGPAKVRSTEAQHQAVLRWDDVFGIGIKLPATKRCVLRSPPTSR >KQL31166 pep chromosome:Setaria_italica_v2.0:I:36034047:36034812:1 gene:SETIT_018574mg transcript:KQL31166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAAKKPAEEEPAEKAAPAEKAPAGKKPKAEKRLPAGKSAGKEGGDKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KQL29395 pep chromosome:Setaria_italica_v2.0:I:14867243:14870219:-1 gene:SETIT_016722mg transcript:KQL29395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVERAKLVRSLRQESRRLRLLVLVIGFFLVTLTFVIVSKPDALLFNLNGRLSVDQAPRSLLIRQRVDADDAGSRRSADTLAAAAEDPKVVDDDNAAKEAHANTRGASEEEKRVLTSEPEQGKKMEDTASGGEDEEGSKVLLEGHQEHQEHKVTLPTVSNYTIRDAAEDSDNGKQEDGKTDSEIKLAMAVAQSNGRDRSHQPALDNLEWDKPLCDFSNFRANVCEMRGNIRIHPNGSSVMYMEPAGSNRDEQWKIKPYPRKGDELCLSHITEVTVQSSKVAPECTKYHDVPAVIFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYAVVFEKLTKYPLIDFNKDTEVRCFKHAIIGLHAYMEFTIDPLKAPHNYSMVDFNTFMRRTYSLPRDSVTALGEIPKTRPRLLIISRQRTRMFLNLKEIVAMAEEIGYEVVVEEANVNSNVAHFAKVVNSVDVMMGVHGAGLTNCVFLPHSAILIQIVPWGALDGICRIDFGYPAEQMGLRYKHYSIGVHESSLTDQYPMDHEIFKNPLAFHKNGFEFVRQTFMDTQNVRLDCNRFRPILLEALDQLNQ >KQL29396 pep chromosome:Setaria_italica_v2.0:I:14867588:14869567:-1 gene:SETIT_016722mg transcript:KQL29396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTASGGEDEEGSKVLLEGHQEHQEHKVTLPTVSNYTIRDAAEDSDNGKQEDGKTDSEIKLAMAVAQSNGRDRSHQPALDNLEWDKPLCDFSNFRANVCEMRGNIRIHPNGSSVMYMEPAGSNRDEQWKIKPYPRKGDELCLSHITEVTVQSSKVAPECTKYHDVPAVIFALTGYTGNLFHDFTDVLVPLFTTASEFNGEVQFLITDMAIWWTRKYAVVFEKLTKYPLIDFNKDTEVRCFKHAIIGLHAYMEFTIDPLKAPHNYSMVDFNTFMRRTYSLPRDSVTALGEIPKTRPRLLIISRQRTRMFLNLKEIVAMAEEIGYEVVVEEANVNSNVAHFAKVVNSVDVMMGVHGAGLTNCVFLPHSAILIQIVPWGALDGICRIDFGYPAEQMGLRYKHYSIGVHESSLTDQYPMDHEIFKNPLAFHKNGFEFVRQTFMDTQNVRLDCNRFRPILLEALDQLNQ >KQL27889 pep chromosome:Setaria_italica_v2.0:I:1451445:1451936:1 gene:SETIT_019055mg transcript:KQL27889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYGYGPTAATADALEVAAAGGSYRVCDTVVLVCLACASGLIVLTVAVCFRRAFAHGYAAAAVGGNGAGPAAAASGRNRCGLPPSALSAIPMLAYRRGAAGAGAGWAQCAICLAVVRDGETVRLLPACGHLFHVECIDLWLRSHATCPLCRRDVGEAAAEKV >KQL28033 pep chromosome:Setaria_italica_v2.0:I:2842867:2844263:1 gene:SETIT_018428mg transcript:KQL28033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPCNVPSHDESCDERGGDYSESASFSGASSESLCSSASNLSDDATSSPPLHPSEPSSASSSMLQLDTEDPLYELSTLLAQLPIRKGLSKYYQGKSQSFTSISDATCVQDLAKKISYSKRMKTCKSYSAGLDMNQRSNNLPRASKKVITKRPSNASVAKVMSRTSNTSHSYSRSKPSAHQNKRYTNAY >KQL28797 pep chromosome:Setaria_italica_v2.0:I:8147642:8150644:-1 gene:SETIT_018320mg transcript:KQL28797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRDKKRNQKRVLAQRTAAPRPGEGKDFLPLEGGPGKRLRKVQQPEEPENTATVVYIGHIPHGFYEDQMQGFFKQFGDIKRLRIARNRKTGKSKHYGFIEFESPLVAKVVADEMNNYLLFEHTLRVSLVPPEKVHPKLWRGVRRGFIPIDRVAIERKRHNKDKTTEEHKKMVEGIVKRDEKRRKRIKAAGIDYECPALLGSIQPSAKKIKFDEDQ >KQL28796 pep chromosome:Setaria_italica_v2.0:I:8147801:8150396:-1 gene:SETIT_018320mg transcript:KQL28796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRDKKRNQKRVLAQRTAAPRPGEGKDFLPLEGGPGKRLRKVQQPEEPENTATVVYIGHIPHGFYEDQMQGFFKQFGDIKRLRIARNRKTGKSKHYGFIEFESPLVAKVVADEMNNYLLFEHTLRVSLVPPEKVHPKLWRGVRRGFIPIDRVAIERKRHNKDKTTEEHKKMVEGIVKRDEKRRKRIKAAGIDYECPALLGSIQPSAKKIKFDEDQ >KQL30649 pep chromosome:Setaria_italica_v2.0:I:32389551:32394565:-1 gene:SETIT_016454mg transcript:KQL30649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVEAWVREKPIRTFLARLAQRRAAAAAAFLASSTATAAIDGEGGGEGSIPQLSSIANSVVSRCSRILAISTENLQQSFGTDFPHNCNEPNTYARELLEYCCHKALHEVTTRPDYLADKNLRRLMFDMMLAWETPGSEDASVENGSIVRDSLEIEDEDEGSIFYANSTNLAVQVDDKKTVGLNAFARIAPSCPIIADLVTVHNLFDVLTSSSGGRLHFLVYDKYLKSLDRELRSVKGIMQSPLASSLHLDAGECILAIDGDKPIHPVLQHIGISAWPGRLVLTTHALYFQSIRVGYGDNIVKYDLATDSNQVIKRDLTGPLGVRLFDKAVMYKSSTLTDPIYFDFPELGGPSRRDYWLAITREVLQVNRFIRKFNLGDVQRAEALSKAILGILRYSAVKEAFHIAPSHFKTTLTFSLAEKLPKGDMVLEALYNNYFQLLDSSLSHLATDSAVDKMPENHSVPFSLYALSRMGFLLLKRKDETEKEISFCAVCFGVTKSLEAALEESICYSERIESARATVDQVKVEGIDANLALMQELLFPLIQVGKIVYSLSQWEDPLKSLLFLAFMLYVIQRGLVSYIVPFVFLTFAVVILWRKYIGGGKLLEVLEVKPPPSKNAVEQILILQEAISKLEDSLQAVNIALLKFRSVLFASVPKATEVVAAVLIAAATFLVFVPSRHLLLMFALELYTREMPLRKQNTEKFRRRIREWWARIPAAPVQMIRPNETKKKR >KQL29485 pep chromosome:Setaria_italica_v2.0:I:17431856:17436980:-1 gene:SETIT_019624mg transcript:KQL29485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSQCYTAGAENRHVFLDWEVSYAVRSPLGVAKRVIAINGRLPGPMLNLTTNDVAHVNVVNTLDEPFLLTWNGLQMRRNSWNDGVAGTNCAIPPGENWTYVFQAKDEVGSFFYRPSLGLHAAAGGHGPIRVNNRPVVAVPFDQPDGEFDVLIGDWYNMDVKEMKGYLDRGRDLPSPDGILINGLGPYAADFTFKPGRTYRLRVSNVGTRTSLSFRIQGHKLQLVEAEGTYTLQKHYASLDVHPGQSLSVLVAADQPPRPSYYMVVSSLFIKPELFGVGNVLYAGSGGRPPPPGDAPLEDLSSHNGYDRSMEQARTVRMNLTCGAARPNPQGSFRYGRINVTRTILLRNGDAEVGGRRRCTVNGVSFADAATPLKLADHFDVAGVFAVVSGRPERRRQPSLGTAVIDARYRDFVQIVFENRLPSLQTWHLDGYSFFVAGMGWGKWSPDARLKYNLIDAIYRSTVQVYPASWTAVLVSLDNEGMWNLRSQSLDRRYLGQEIYIRVSQGSSEVPNPRDELPMPSNALLCGKAMSLKLGRA >KQL30959 pep chromosome:Setaria_italica_v2.0:I:34659458:34659982:-1 gene:SETIT_019529mg transcript:KQL30959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPLVSYCLAVPKPIVTFCKVLSVIRDAVLLMLAVVGLCRFPFDDSSRRLSAEGAPRPEEVKAKLPAVEYGQLVAERLASSPSGGRASCHGDHGDGEEAESVAASSTCIVCLEALEAADEVRRLGNCAHAFHRGCIDRWIDLGRGTCPLCRSDLLPRPRGRGGLGRLANLLTRV >KQL27706 pep chromosome:Setaria_italica_v2.0:I:180261:183548:1 gene:SETIT_016700mg transcript:KQL27706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFGTCGLNKPAPAAFATNKHLPPLPSPPVVSIPRKMKMRHQRKCRFTVNAAKEIHFNKDGSAIRKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKIVAAGANPVQITRGIEKTAKALVNELQKMSKEVEDSELADVAAVSAGNNYEIGNIIAEAMAKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMTVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIVAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIRDEVGLSLDKADKEVLGTAAKVVVTKDSTTIVGDGTTQEEVNKRVMQIKNQIEATDQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLDNDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVIEKVCTQNFVLYNLAVCSLCVKQV >KQL27707 pep chromosome:Setaria_italica_v2.0:I:179729:184974:1 gene:SETIT_016700mg transcript:KQL27707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFGTCGLNKPAPAAFATNKHLPPLPSPPVVSIPRKMKMRHQRKCRFTVNAAKEIHFNKDGSAIRKLQNGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGMITEGVKIVAAGANPVQITRGIEKTAKALVNELQKMSKEVEDSELADVAAVSAGNNYEIGNIIAEAMAKVGRQGVVTLEEGKSAENNLYVVEGMQFDRGYISPYFVTDSEKMTVEYENCKLLLVDKKINNARDLITILEDAIRGGYPILIVAEDIEQEALATLVVNRLRGALKIAAIKAPGFGERKSQYLDDIATLTGGTVIRDEVGLSLDKADKEVLGTAAKVVVTKDSTTIVGDGTTQEEVNKRVMQIKNQIEATDQEYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIIETLDNDEQKVGAEIVRKSLSYPLKLIAKNAGVNGSVVIEKVLANKNFRYGYNAATGNYEDLMAAGIIDPTKVVRCCLEHAASVAKTFITSDAVVVDIQVPEQAPAANPMGGGSGFGF >KQL29967 pep chromosome:Setaria_italica_v2.0:I:27106017:27107564:-1 gene:SETIT_017372mg transcript:KQL29967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSVPLALGLDTAGVQVPWYFRCPISLELMRDPVTVSTGQTYDRASIESWVATGNTTCPVTRAPLADFTLIPNHTLRRLIQEWCVAHRSMGVERIPTPKQPADADLVRSLVAQGPGLPALRRLRALARESDKNRLVMATRETRAALVEVAFGSGSGASEELEAEAMAVLALVGLGEAEAAEVVGREERVTRLGKVLAAGGPLEARVNAGAVVEAAASASGAEARAVLGAAEGVMEGLVALAEEKAHARAVRVGIRGLFALCLAKENRPRAVSAGAASALARRVSEGGAGEPERALAAVERLCRAEGGRDAVVAGAGGGPAAVAALVRAMSGRAAEHAAGALVAVVGGSEALQVEAVRAGAMSQLLLMVQGGCSERAKRKAQHLLKLLRSAWPTTDCIANSDDFLQPY >KQL30739 pep chromosome:Setaria_italica_v2.0:I:33041260:33043602:-1 gene:SETIT_016370mg transcript:KQL30739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGMEGGGARVSPTSSGRRRHKALAPGRFQISPGVVFDGNRSGLETLQMSCDTAAGGGLSDSLSHGGSVDGELESPAIDGDNEVSRGGGGGGVGGDCGFESVDGDLVAKEGDSAAKEGDSLPKNCKIHEGLDNNGVMAEPHGANPGDLPGLKCNGTAEQRDSVGEDCSLQFLMNGDGTIPGLRKGRKAVAQWRFQTGYKPKWARDLLSGNRSGEIEGPVSMVGDGSSKSAPVMACNRSRIKGSATGGHHSKVQKGTGSAPKKRKVHEDDHRTSLVRENMLTKLREFRIIYKKLLEEEEVKWSGRGHGVRPDIAAFNIFREKFGADHDDMRYDGSIPGVRIGDVFNSIMELSIVGIHRAQSLSVDHIKKKDGTCLAVSVVSYAQPSASDSLDFLLHVGSVAATYDQKLGGTDVALMESMETDTPVRVIHAFVTELGNDCGPKPLTTYVYGGLYLVEKFHREKTTGDQYVNTFHLRRMAEQQQIDIQVLKTKKPESFDGTFTVDISGGLEKVPISAINSISNEHLMTFRYISQIQYPLNFRPDPPSGCDCVGGCSVSQKCACTVKNGGEFPYDDIGENIEEKPLIYECGPSCKCPPTCRNRVSQRGIKFRFQVFKTNSMGWGVRTLDFIPNGSFVCEYIGELLEEEEAQKRKSDEYLFAIGNKYHDVPRWKAQIKTIPSLQNVPSEDDEIGFAIDALNQGNFARFINHSCTPNLYTQNVLHDHDNISMPHVMLFASEDIPPLKELSYDYNYEIDKVYDSDGNIKMKPCFCGSVECTGRLY >KQL31009 pep chromosome:Setaria_italica_v2.0:I:34995949:34999398:-1 gene:SETIT_019808mg transcript:KQL31009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGPRSKLDHETRARRQKEFDSERKWKLSMAKKIAQRANKSIVDQATKGERKQKEEEHRMRKVALNISKDVKKFWIKIEKLHQLELEERKKKALDKQLDFLLGQTERYSTMLAENLVDMPYPQKLENGTLQTNQSSQTEEVAEENENAAIPDDPDNMEVDGDYESSLDEEPEDDEHTIDEDEAQITEAERNEELAALQGKSNGNISSIDEQEDKDYVAADEGKDDEATLSEEEELAKKEVPDHLEEVISAINT >KQL32153 pep chromosome:Setaria_italica_v2.0:I:41555302:41556288:1 gene:SETIT_019350mg transcript:KQL32153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRGSSNIFPMPQIPALLFPPPPPPPLPSSYSLSSSASSRHAPSITSFPILVLTVLGILTTSVLLLAYYIFIIRCCLKWHRSSPSNAAGLIGRRGRRQNATSSTSTTSSVPVSGAAPAEARGLEEAIIQALPAFRYRKAFKNASATADSGAPTSECVVCLGEFEDEERVRMLPACLHVFHVGCIDTWLQSNANCPLCRAAISGHCLLPPLDHPPRPEPDEVVIQVGHTAEEEAAQAQHQQASMAVASYEPAEDTTAYLQVSSDKRKNMNAWRDIDISSKADECNAERKDRDVLPLRRSFSMGEMAGGEVYLQIHNILQRNTHLHGDD >KQL30547 pep chromosome:Setaria_italica_v2.0:I:31579121:31582771:-1 gene:SETIT_016380mg transcript:KQL30547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGEKRGHPLLRGGGARRERYTHGFSSSQMAALTALCGALVPSLPPPVSRNGHHPEEDGGPGGGERGGNKVVEEFLLASAADPPVPDEVAELMSRRCLPEALALVRAVLWLLGTRLGALALCGARCLSWRFPFVRRFAELPPEEREGAMQRWSRQTLLPPLRIFFLITKVFCLYVFYSWTDENSENPHWRATGYSPPLADEEHAAAAEEGRPEKRPLDDGVVETTNETDASLAASLAAKGLAVTEDAARNVCTVECDVVIVGSGCGGGVSAAVLAGAGYKVVVIEKGSYFTARDYTAVEAPSMEQLYEGGGFVSTLSGGALILAGSAVGGGTAVNWSACIKTPDEVRAEWARDQGIPLFATDEYAAAMDRVFERLGVTHGCNEEGLQNKVLRKGCEKLGYKVESVSRNSSEGHYCGSCGFGCRTGDKRGTDTTWLVDAVSHGAVILTGCKAEKLLLMERAGTGGADGRAKRCAGVVARSTNPAVTRTLEVRARATVSACGSLLTPVLLRGSGLSNRHIGKNLHLHPTALVWGYFPESTTAEPDLKGKMYEGGIITSLHKVEGPPGAPARAILETPAMGLAAAGTQFPWVSGRDMKERMLRYGRTVHLFSLVRDRGSGTVHGERRVAYHLDAADREDMREGLRRALRVLAAAGAAEIGTHRSDGQRFVCRGATEAALEEFLDGVDVVRGPKSKAEAWSLFCTAHQMGSCRMGATAADGAVDARGESWEAQRLYVCDGSVLPSAVGVNPMVTIQSVAYCLATGIAESLRRDPAF >KQL30567 pep chromosome:Setaria_italica_v2.0:I:31757351:31761757:-1 gene:SETIT_016504mg transcript:KQL30567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGLIVENDPLNWGAAAAELAGSHLDEVKRMVAQARQPVVKIEGSTLRVGQVAAVASARDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGTGSDGHTLPSEVTRAAMLVRINTLLQGYSGIRFEILEAITKLLNSGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTADGRKVDAAEAFKIAGIEGGFFKLNPKEGLAIVNGTSVGSALAATVLYDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILEGSEFMKHAKKVNELDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLGNPITNHVQSAEQHNQDVNSLGLVSARKTAEAIDILKLMSSTYMVALCQAIDLRHLEENIKTSVKNTVTQVAKKVLTMNPTGDLSSARFSEKDLITAIDREGVFTYAEDAASASLPLMQKLRAVLVDHAFSSGDEPSMFSKITKFEEELRAVLPQEVEAARVAVAEGTAAVENRIKDSRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFIGISQGKLIDPMLECLKEWDGKPLPIN >KQL31236 pep chromosome:Setaria_italica_v2.0:I:36397996:36398617:1 gene:SETIT_020576mg transcript:KQL31236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLRSSSCTRMGKSLCYAHNILDLARPRHSGWLAASWGSLLAGP >KQL30425 pep chromosome:Setaria_italica_v2.0:I:30509791:30512066:-1 gene:SETIT_017183mg transcript:KQL30425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSMDPQVDIHGDWVSAVAPQLKLLCLTVIGLLLANPRVQVVPRATFKLLSKLVFALFLPCLIFVHLGKSVTFDNILHWWFIPVNVLVSTVIGCILGYIVALICRPPPHLFRFTVIMTGFGNTGNLPIAIIGSVCHTSDHPFGPACDTKGIAYVSFAQWVAVILVYTLVYHMMEPPMQFYEIVGEGDEIQEEPEQVSNYSRSLLHEAEWPGMFDKVTEHSKTPFIARVFMSISGSSQNTFPDIDFTEEGTSGAGPSSPKSIRCLAEPRVVRRIRVVAEKTPIQHVLQPPTIASLLAIIIGMVPVLKNFVFGADAPLSFFTDSLDILAAAVVPSVMLILGGMLAEGPKDNALGIRTTIGIIVARLLVLPCIGIGVVTLADKLHLLVEEDHMYRFVLSLQYSTPSAILLAAIAGLRGYGVKEASALLFWQHICAVFSLSLYLIVYFKLMSFI >KQL31562 pep chromosome:Setaria_italica_v2.0:I:38418467:38419951:-1 gene:SETIT_017785mg transcript:KQL31562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDENGLKKGPWTPEEDEKLMEYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFTQEEEQTILRLHSVLGNKWSAIAKHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDFFAALPQLIALANLRQLVEQRPWDDHAARLQVEAVQAAKLQCLQNLIQSAASIATNPSSSSINTIPDLEQIGLLSPPQMSSLSSLPSPSFLESISGQDIVAGQLPDIQIPNSFSEQPTSNDANQNSDFTPKSSVEGENETPKTLLLSENSLPPLTDFPISNFGDACSASSCDGSSIQFPSWPELFDEQFLSEFV >KQL30073 pep chromosome:Setaria_italica_v2.0:I:27863957:27865453:1 gene:SETIT_019115mg transcript:KQL30073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLELLRFLKLSLVSLHQAANDRNSSFYGRTELPSSMPNLRSLDINSSTLSFWVLVLGRDLDYFFLVSFLDASPSLEVFILIFQFMEHKSIFVDPSGLRKIRERPYDKVKQVNIINFTSERTLVELACHILESATSLKRLTVYTTRRRCSRTGREPPRDRRLRRRAPGAFGLRPPPPP >KQL30865 pep chromosome:Setaria_italica_v2.0:I:33854486:33855367:1 gene:SETIT_018412mg transcript:KQL30865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPRRTRPSTFRCAAATLLAVAVVVVIVVLLWLFLHPSKLVLSVDHASTTGFNFTAAGGLAGAFDLTLRAFNPNERAGVSYRWLDVGVWYNGTYLAGAHAPGFTQSPEDETRVDVAARAAPDAWTLPRDVQEGIKRERTAGKLTVDVHVVAKVRFRYGVVRTRKYTVRASCPAVAIDFASPTSFHRVPCYVHI >KQL28996 pep chromosome:Setaria_italica_v2.0:I:9589320:9594884:1 gene:SETIT_017112mg transcript:KQL28996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRKRRRRDGSDAPSIHPRNRYAAAAPDFAALAELYPSFRPFVSVSERGHASVDFTDFSATRELTRVLLLHDHGVNWWIPDGQLCPTVPNRSNYIHWIEDLLSSNLIPPIPSSSGMVRGFDIGTGANCIYPLLGASLLGWSFVGSDVTDVGLEWAKKNVESNPHLAELIEIRNANAASFSSESEAIVKEAETENILEPVEDAEMQKPPVLVGVVKENESFDFCMCNPPFFESIEEAGLNPKTSCGGTVKEMVCPGGELAFVTRIIEDSVSLKNSFRWFTSMVGRKANLKLLMSKAREAGASVVKTTEFVQGHTARWGLAWSFIAPGNKVLRSSTPAKNHHSFMLQGLRREHGAFQVLKSTEAFFIASKLSCKIDTLSFSVDVTLSDEQTEAAILHGDDYAGSLENSSAKLQSVVKGISFRITVFEQIPGTLVIKGSLLNKALSGTFSSLFSQLEDTLRMECLSKAR >KQL28119 pep chromosome:Setaria_italica_v2.0:I:3477075:3480363:1 gene:SETIT_018543mg transcript:KQL28119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAHQAVTPRPREEDPAAERLIFVPVEQQADYQQDGRQGNNGDGAFPWLTLLGFGFLTFNSVMAILRAQGDRMAIAFVGFSYADLVALFACLRMYESAPAGSSKRDWLKIAVWILTTLLTLAFSGKVAAVMPPPVAVVVWLMAFATIAGGFVTFFIYKEKK >KQL28405 pep chromosome:Setaria_italica_v2.0:I:5640525:5640848:-1 gene:SETIT_019080mg transcript:KQL28405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ACLEGIQLAAEWINKPTIIESDCLTIVKVLHEMGVNRSGITNIVKELKASMTLLLQVRVRKIGRECNRVAYELAQLAKLITNCAVWRMRAPSCVNELLKLDCNPIFD >KQL31916 pep chromosome:Setaria_italica_v2.0:I:40409940:40414094:1 gene:SETIT_017553mg transcript:KQL31916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSGREMQGGGGGQDDFFDQMLSTLPTAWSELGSGKSPWELPAGASEDPAAFDESALLASRLRHHQIGGDKPIMLHLSDLHGLAGGEDAGAAGFLPLPLFTDRAREDMDAAFKSPNAAGGSMPAQSFGGGAAAGGGGSAPTGAPAAGAPSGGGAAPPRQQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAGVGPLVANMSSEGNGNGNGTSDSGDGNAANGGNNGENGGSSLKVTEQQVARLMEEDMGTAMQYLQGKGLCLMPISLASAISSATSSSLLSRPSIGSMGGARGLGHEGSNPASPPLMNGAGGDDSRTGKDAGAGSKQ >KQL28344 pep chromosome:Setaria_italica_v2.0:I:5297153:5297992:1 gene:SETIT_018606mg transcript:KQL28344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLARIAMAMEDGGGASSSVAQPAAMGMGYERHFQPPPFWSTPTPYLFIGFAVVMALIAVALAVLLCTRRKEDEGGRGAEGGQVMAVRVLAPLDREDAAVPRVLVVMAGHSAPSFLASAAPFASFAAAAAGDDAKPQQHVGGKDGADAV >KQL29985 pep chromosome:Setaria_italica_v2.0:I:27273336:27275814:-1 gene:SETIT_018830mg transcript:KQL29985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNHGIRASLRFLVSTAEAASAPASRGFHSTGVRQMGAHAHEEPYYVHAKHMYNLHRMKHQQLKVSLAVLAAVGTGVGVPVYAVVFQQKKTASA >KQL31334 pep chromosome:Setaria_italica_v2.0:I:36968151:36969184:1 gene:SETIT_019156mg transcript:KQL31334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASIPEDLIIEKILPWLPAKSLVRFRSVCKAWNYEIPTRHFIELHRERSRPKIDLMPWMQGYRFVASSRHLIVLGYRNGYLLSNPATKDILHLPPASWYKARDTYIHCTGFGFVSSLGKYKLVSVSFGTGDTCTCDVFTVGIDDSWRTCKSPPFPVSTSHSMPYLNANLHMLSLGSIDFSGFKDEIWRVLLPKEFELREMRGFLCFVCCIPGRTVDVWMMRDYANGVWSKYLVIDGTHLGIKKGLYGFPLEVMSDGRIFIEMDDGRWFYFDPKDGSFQLVGHPGRGARNAVYAENLVPILGF >KQL28049 pep chromosome:Setaria_italica_v2.0:I:2926056:2929605:1 gene:SETIT_018070mg transcript:KQL28049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAVAFFYGHGARWVCFQSLRIPNLYTLHVSRSLISHHERTEAACAVLGCRRRSRWADRSKGFRRWGWWSVAMAAAGGAGRGGGKGEGSLAYRAWRQYLLQLQQHPLRTKMVTAGCLAGVSDSVAQKLSGYQKIEKRRLFLKMLFGFAYGGPFGHFLHKILDYIFKGKKDTKTIAKKVLLEQVTSSPWNNLLFLFYYGYVVERRPLKDVTIRVKKQYPSVQLSAWMFWPIVSWINHQYMPLQFRVIFHSFVACCWGIFLNLRARAMSLKQA >KQL29672 pep chromosome:Setaria_italica_v2.0:I:22832201:22832972:1 gene:SETIT_018532mg transcript:KQL29672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLSCAHPISLGEGKDGRRKRRHLAQFIPNPSPTWLLAVQKGAAARPLVDVREWRGEAEVVQRKGAAAVGDGNGTATAAATGDRKGVAAAAGVKRTCKAAASWMCLPLLDRSLIPPSTGAVLKVWIRLPGNSLLHRVLHQTYCFNFLMRCERGIHSCILESGF >KQL29348 pep chromosome:Setaria_italica_v2.0:I:14211080:14212261:1 gene:SETIT_018369mg transcript:KQL29348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKVLQVERGASDDELKKAYRKLAMKWHPDKNPSNKKEAEAKFKQISEAYEVLSDSQKRAVYDQYGEEGLKGQVPPPGAGGPSGSSYYGGNASTFQFNPRSADDIFAEFFGFSSPFSSMGGMGGMGGGAERGMRGSRFGMFGDDIFGSYPQFPGEASMHVPQRPQKASPIENRLPCNLADLYKGTTKKMKISREILDAGG >KQL30037 pep chromosome:Setaria_italica_v2.0:I:27667835:27671020:-1 gene:SETIT_018197mg transcript:KQL30037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPKPGGGAAAAARAAAAGPRTVLITGVSRGLGRALALELARRGHAVVGCGRSAEHLRSLEAEITSPSRHFLTVADVRSDSSVAELAKAAVERKQVPDVIVNNAGTINKNNKTWNVPAEDFDMVVDTNIKGTANVLRHFVPLMIEKRHGIIVNLSSGWGRSAAAEVAPYCASKWAIEGLTRSLAKELPPGLAAIALSPGVVNTDMLTSCFGSSAALYQTTETWAPKAATMILSLSLDDNGASLTV >KQL29570 pep chromosome:Setaria_italica_v2.0:I:21395320:21399180:1 gene:SETIT_018133mg transcript:KQL29570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMAVSYGSGQKDGAPHLELSDSPSIQRRKMGLLSALLRWNELDPPSRSEQLRNDRVCNLYQHNRNPFVDHPEYANLIWRNPPAESSPFTGKSQKAWVNEFHYENKGKDENEFIEVVIHTSLDAKDLMLTLYNGANGRMYRSLNLADREVFTVTEGSSGYLLYTVCTPLQNGPADGIALIYCRDMRKAKVLDFLSYEGRLRAQDGPAKGVISTDIMFKETEESSDRDSLGLSGSKIGEFAWRKMVGNATPGKLNAGQMF >KQL32120 pep chromosome:Setaria_italica_v2.0:I:41427560:41429125:-1 gene:SETIT_018512mg transcript:KQL32120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGLGLLAAVAVLALASGAASQAPAPAPSSSVDCGAAVTGLLPCLSYVQQGSSQGKPAMECCAGVKGALKSPATVACLCAAFKQKYPIAINMTRAATLPAACGEDQAAFSKCNIKVPGAPTEGPAPASGSAPAASSPGASKSAAARSPVSAFAVVAAVAAPLLSYYYL >KQL31728 pep chromosome:Setaria_italica_v2.0:I:39399654:39400180:-1 gene:SETIT_020610mg transcript:KQL31728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLRTHNIRLRETIRKGSNSLALDIPSRKQRAHQ >KQL29569 pep chromosome:Setaria_italica_v2.0:I:21393970:21394581:1 gene:SETIT_020311mg transcript:KQL29569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRRSRFWMQLMQNTLRHPLKCMVLCNRLWD >KQL32128 pep chromosome:Setaria_italica_v2.0:I:41459839:41461342:1 gene:SETIT_017916mg transcript:KQL32128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWASLVWQGSQESRTPNGLRSNPIASAGRGGRVLSPSPIAIPLHGNTLLPRPPHLNLTTEATAAHTSSISLCSPPPSVSAGSSLAATTTTTQLIMGKKSGRNGGDKEAAAKATAFVLKVPMHCRCDGCADKIRAGVKDLTLNHGIEALDQSALWTKGELRVASTADPEKLRRRLHKATGKSVGLVILKPQAADKAAEKEATAAALEELLRRSLQQQGQYGHGHGQAAWANQVLPGAYGYGAPAPAYHPWAVQVQQPEAYPSYQSAYPAASTGGAWGAYAYPTAPAAAQLGHGAYGGGWPY >KQL29619 pep chromosome:Setaria_italica_v2.0:I:22082060:22084350:1 gene:SETIT_019135mg transcript:KQL29619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPPLAAGTTSSPSPPTAAVCAHPFPRASHKPQRATIRNSPAAATSSSRWVNPRAPAPPPRRGAGGGGTNQRLHHLVRLGDLDAALLLVESMRDPERPAVVPCTLLIKKLCAACRLDDAERVLEASERAGTADAVARNTLVAGYCRAGGRLADAERMLSSLAVSGAADVVTYNTLVAGYCREGRLDDARRLVAGMPLAPNSYTNSTLLKGLCSAKEWDDAEELVAEMIRSGCPPNNLTFGMMIHSLCQNGLVDRAMGVLDQMSNCGCTRGVIVYNEIISCLAELGRVEEALDLFNRMPCKPDIFSYNTAIKGLCRDERWEDAGKLIAEMVTKDCPPDEVTFNTVISYLCHRGLVDCAMEVVEQMPKYGCKPDNFTYSALVNAFSEYGWVDDALELLRSIPWRPNTVCYRSVLKGLCRADRWEDVGKLVAEMIRNNLTIDEVTFGLIIDYLCQKGLVGYGIEVIEEMSNYGCSPDIIMYNSLINGFVEYGSVDDALKLFKNMSCKRNIVTYNYMLKGLCRAEQWEDAGRLIAEMVKDECLPNEVTFSTLISYLCQKGLVECAIEVFEKMPKYNCMPNVIIYSTLINGLSEQDRVDDALKLLNNMPCKADTICYSSALKGLCRAERWEDAGELILEMIRKNCPPDEVTNIQYAHWFIVPEWAG >KQL29736 pep chromosome:Setaria_italica_v2.0:I:23956823:23962414:1 gene:SETIT_017327mg transcript:KQL29736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSLRCGDCGAQLRSVEEAQAHAEATNHANFVESTEAVLNLVCSDCGKPCRSQTEVDLHTKRTGHKNFADKTAEAAKPIDLEAPLKPASSSDATDVDAPASASASEEPQEMVVPEVNKEMLADLEAMGFATARATRALHFSGNSTIEGAINWLSEHQEDADIDEMPLVPANSKTEDNKPSLTPEEMKIKAQELRERARKKKEEEERRMEREREKERIRIGKELLEAKRIEEQNERKRMIELRRLEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPAASKPSAPPPVEEKKSALPVRPATKAEQMRDCLRNLKQQNKDDDAKVKRAFQTLLTYIGNVAKNPDEEKFRKIRLTNATFQERVGNLHGGIEFLELCGFEKLEGNEFLFLARDKVDKAILNTAGAELNSAITNPFFGVL >KQL30454 pep chromosome:Setaria_italica_v2.0:I:30660457:30663542:1 gene:SETIT_017771mg transcript:KQL30454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLRTAAALAPPPSSPLSAREPHGRCLTLACSRRAPARPLRARLLPTPHVLGRAGARFRRLSATEADEAAQTATQEDSETEVTGDSAADDGAGSTDETPSIIVTALQSYKEALINDDEAKAAEIEAFLLSIEDEKNSFMNKITVLDAELATQRERILRISADFDNFRKRTENEKLNMMSNVQGELIENFLPVLDNFERAKSQIKVETEGEEKINNSYQSIYKQFIEILNSLGVEDVETVGKPFDPMLHEAIMREESTEYEEGIILQEFRKGFKLGERLLRPAMVKVSAGPGPEKSGDDEDPTEVEDSVAPLKVEDAEDDDGDAE >KQL30731 pep chromosome:Setaria_italica_v2.0:I:32971693:32975126:-1 gene:SETIT_016547mg transcript:KQL30731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDIVDRARNGGGDEIQKHELVPEDDMESDPAAAREKEHLQPWREQVTLRGMVAALLIGFMFTVIVMKIALTTGLVPTLNVSAALIAFLALRGWTRVLERLGVAHRPFTRQENCVIETCAVACYTIAFGGGFGSTLLGMDKRTYELSGNSPANVPGSYKDPGFGWMAGFMAAISFSGLLSLIPLRKVLVIDYNLTYPSGTATAVLINGFHTKQGDKNAKMQVRGFLKYFGLSFIWSFFQWFYTGGDICGFVQFPTFGLKAWKQTFFFDFSMTYVGAGMICSHLVNISTLLGAILSWGILWPLISKQKGEWYPANVPESSMKSLYGYKAFLCIALIMGDGLYHFFKVLGVTAMSLHERLNRKRASNRVANGNGDEMVPLDDLQRDEVFKDGSFPAWAAYAGYAGLSVIAVITIPIMFRQIKWYHVIVAYVIAPLLGFANSYGTGLTDINMAYNYGKIALFIFAAWAGKDNGVIAGLAGGTLVKQLVMASADLMHDFKTGHLTMTSPRSLLVAQFIGTAMGCIVAPLTFLLFYNAFDIGNPTGYWKAPYGLIYRNMAVLGVEGFSALPKHCLTLSAGFFAFAFIFSVARDILPRKYGRLVPLPMAMAVPFLVGGSFAIDMCVGSLIVFVWNKMNKEEAAFMVPAMASGLICGDGIWTFPSSLLALAKIKPPICMKFTPGS >KQL29500 pep chromosome:Setaria_italica_v2.0:I:18281140:18281615:-1 gene:SETIT_020570mg transcript:KQL29500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKVFLFQSLAVPLTKVFLTVRPIWNFQWFYIYESFLTKLFYVQHHYSHGSPCIVC >KQL29785 pep chromosome:Setaria_italica_v2.0:I:24450689:24451081:1 gene:SETIT_019095mg transcript:KQL29785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYAAAEQAWYMPAAAAPMAESAVARVERLASESAVVVFSVSSCCMCHAVKRLFCGMGVHPTVHELDLDPCGRELERALARLLGYGPAAAPVVPVVFIGGKLVGAMDRVMAAHINGSLVPLLKEAGALWL >KQL28225 pep chromosome:Setaria_italica_v2.0:I:4406124:4407323:1 gene:SETIT_020438mg transcript:KQL28225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLATRLCNLLLSRSEAGKIRLSARFCPHACGNYRVRDPMGS >KQL31638 pep chromosome:Setaria_italica_v2.0:I:38986765:38987064:1 gene:SETIT_019541mg transcript:KQL31638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGRPSHSHGSSGGDEERLPLRGGLETERPPPGGHHAAAEQLKEARRGGSRFWRASVRAGLVLCLLSVPAVLLLLRWQADSSPQWVFDFEAPEEDDDDQ >KQL30325 pep chromosome:Setaria_italica_v2.0:I:29945888:29946168:1 gene:SETIT_0199962mg transcript:KQL30325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDLQVLDAIFVPTFRCKCSSCDTTSLTAVAGHEAFVKSPSELCPVQCSAGGKRQPILLHQPRRVIRSRGGIRLICSPPPMGIPSRRIHLLV >KQL29957 pep chromosome:Setaria_italica_v2.0:I:26979745:26983508:1 gene:SETIT_017909mg transcript:KQL29957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTAAQHLPRTEARSLSGHEGAVLAVRFNRDGNYCLSCGKDRTLRLWNPHTGAHVKTYKSHAREVRDVHSSSDNAKLVSCGADRQIFYWDVASGRVIRKFRGHNSEVNSVKFNEYNAVVVSAGYDRTVRAFDCRSQSSDPIQTIDTFQDSVMSVNLTKTEIIAGSVDGTVRTFDIRMGRETVDNLGHPVNCITLSNDGNCYLANCLDSTVRLLDKSSGELLQEYKGHACKSFKMDCCLTNDDAFVVGGSEDGYVFFWELVDAPVVARFRAHSSVVTSISYHPTKACMLTSSVDGSIRVWT >KQL29122 pep chromosome:Setaria_italica_v2.0:I:10432508:10436702:-1 gene:SETIT_017898mg transcript:KQL29122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGDALRQAFLMPRRAYAALRDDEHSLPRLRRPLAVAAACCVAAAVAAAACVSLGIVFPAEPAERPFCRERRMLEALPAAASSREEEPEAYRYRGGAFYMTTAEAADFYWMVVFVPSAVLFGASLTYLVAGMSVAYAAPRRHPMICIVENNFCASRRGGVRCLAILNAVFAVVFGLMAIVLGSTLLALGSTCSVPLFWCYEITAWGLAILYGGTAFSLRRKAAVVLDEGDYATHNVGLEMLESKMEVTPEMQRRINDGFKQWMGSSLLSSDDEDEASDDYIEHNGPSLTASVEQHRQENDLET >KQL31869 pep chromosome:Setaria_italica_v2.0:I:40180829:40182157:-1 gene:SETIT_020171mg transcript:KQL31869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSTWLMPPPSPPQPPSSALDNAEAKISPSILFIVAILAIVFFVCGLLHLMVRHLLRLRRRRRAREDAESVTAFQGQLQQLFHLHDAGVDQAFIDALPVFLYRNVVGGAGQSEGKDPFDCAVCLCEFSADDQLRLLPKCSHAFHLECIDTWLLSHSTCPLCRRSLLAELSPTCSPVVMVLESESSRDMGAPATRATDDADGEPSGLALAQEGAEEVVEVKLGKFMCVEGNANANAAANDGDGAGTSTDGNCDANAKAGLGQRRCHSMGSYEYVMDERASLRVAIKPPKKKPAVSKSRRGGAMSECEFGASKRGETLLRLPFTAMVQKQQQQSDAAMAKLSKDSFSVSKIWMVPSKKEPNAAGERRAVSFRWPVSKDDEEGKDKKSGSEADWDVEAGSCGGNSVVSSLAEERPSFARRTLLWVVGGRQQSRVGAVHDQDT >KQL32282 pep chromosome:Setaria_italica_v2.0:I:42051876:42053282:1 gene:SETIT_017767mg transcript:KQL32282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASPTTTVILMAVAAAAALLGGAEALSLDLYDETCPEAEAAVTAAVRQAMAKDRTVAAGLLRMHFHDCFVRGCDASVLLDSTANVTAEKDGPPNASLHAFYVIDNAKAAVEALCPGVVSCADILALAARDAVALSGGPSWVVPVGRRDGRVSLASETSSALPGPRASFDQLKQAFHARGLSTKDLVVLSGGHTLGFAHCSSFQDRIRSPNGGGGADPALSPSFAASLRRACPANNTARAAGSGLDATEAAFDNTYYRMLQTGRGLLGSDDALLTHPKTRAFVALYAASQEAFFRAFAKSMLRMGGLNGGDEVRANCRRVNHHLSN >KQL32178 pep chromosome:Setaria_italica_v2.0:I:41655146:41655648:-1 gene:SETIT_018979mg transcript:KQL32178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQRGRSLISAEGNWVLQPRAPPPVVVSASIRRNRVAVPTPTFPGHRARLCSLLCDEKGT >KQL28144 pep chromosome:Setaria_italica_v2.0:I:3738358:3739680:1 gene:SETIT_017224mg transcript:KQL28144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLRWSRPGSLLVLAAAFLASAAASSATSIADWDEHWQKRRELAEASAREAYKPDPFNVTNSFNAAVHRSTSPRREMREKKKKSNGPCRATNPIDKCWRCRKNWATDRQRLARCARGFGRAATGGLGGKIYVVTDPTDADVVNPRPGTLRWGAIQPGPLWIIFARSMIIQLSQELLVSSDKTIDGRGAQVHIANGGGITVQFARNVIIHGLHVHDVKHTDGGLMRDSPTHMGPRTKADGDGISLFGATDVWIDHISMSNCEDGLIDVVQSSTGVTISNCHFTNHNDVMLFGASDSYPQDQVMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSNAPTIISQGNRYIAPPNIAAKLITKHYADEGVWKNWVWHTEDDLFMNGAVFQPSGGAVPRKINKKEWVKPKPGSYVTRLTRFSGTLSCIPGRKC >KQL28197 pep chromosome:Setaria_italica_v2.0:I:4277534:4277989:1 gene:SETIT_018988mg transcript:KQL28197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLVLSKFEHFETVIKTIIPGLDMKKIGTAVIFYRRRVYDKEYYTVERNGTVYHKIKM >KQL31436 pep chromosome:Setaria_italica_v2.0:I:37490035:37493654:1 gene:SETIT_0162471mg transcript:KQL31436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRICCGLSIIMHLYLGGAFDVESLVENLLSKLAGNQDIVVNVYDVTNASEAMVLYGPPSLDDQVPLLHVSMLDFGDPFRKHEMRCRYREKPPMPWSAITNPLGTFVIWMLLGYIICAAWSRYDKVSEDCRKMEELKTQAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLGTDLTMTQKDYAQTAQMCGRALITLINDVLDRAKIEAGKLELEAVPFDLRSLMDDVISLFSSKSLEKCIELAVFVCDDVPKVVLGDPWRFRQILTNLVGNAVKFTERGHVFVRVCLAENSNVEANQVIHGTMNGKDGKIESTANGAFNTLSGFEAADRRNNWQYFKLLLSDKESLLDDLDGENSNQNDSDRVTLAISIEDTGVGIPLQAQDRVFTPFMQADSSTSRNYGGTGIGLSISKCLAELMGGQISFTSRPFVGSTFTFSATLRRSYKDASADSRKSLSEALPTAFKGMKAILVDGRPVRSAVTRYHLKRLGIIVQVVNNMSAGLKALSGQNGSTISREKATMLFIESDFWRPETDVQLLNRLREHKNGQLPDAPKAVLLVTSEADKDKYGFIFDIVMCKPLRASTIASCIQQLLKVEMPERKENQNRPSFLRSLLVGKNILIVDDNKVNLRVAAAALKKYGAKVHCVESGKDAISLLQPPHCFDACFMDVQMPEMDGFEATRQIRQMEMKANEERKNKLASIEGSTFAEYHLPVLAMTADVIQATYEECLKSGMNGYVSKPFDEEQLYQAVSRYVVGTTDSAV >KQL30766 pep chromosome:Setaria_italica_v2.0:I:33220066:33222090:1 gene:SETIT_019191mg transcript:KQL30766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRWTGVVHVPLSRGGSLFRVAASLVLSPAKTLAVPRANAILFTGDRVRGTGDPAIERLSDAAHIAGVLAGKLSGETNAWVVDAACFTGPFAVYRELVPSVDAAGHPKGYNPTGFPAAAGIANILAHGIAEIQNKFLGCSAKESAVNQHRSTTLSSYCPPRTIILGFSKGGVVVNQLMAELSFWASESMKNLFDVSQPNPSHSSHNLLVPTSISDVLPSVSEFHYVDVGLNCAGAYITDQAVIKKIGDYVLHTGRNLRFVLHGTPRQWSDPNRLWICKEKDIMLQLLRDEAQRCDGRLLISEKMYFD >KQL31572 pep chromosome:Setaria_italica_v2.0:I:38482190:38483967:-1 gene:SETIT_017031mg transcript:KQL31572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDAPRRPHAVLIPQPAQGHVTPMLHLAKALHAKGFHITYVNSEYNHRRLLRSRGPDSLAGADGFCFEAVPDGLPPSDNDDVTQDIAALCLSTTEHSAAPFRDLLARLNARPGSRAPAVSCVIADGVMSFAQRVAEEMGILALVFWTTSACGFMGYLHFAELIRRGYVPLKDESDLTNGYLDTAIDWIPGMPGMRLKDIPSFIRTTDRDDVMLNFDGGEAQNARKARGVILNTYDALEQGVVDALRREFPRVYTVGPLAAFANAARGGELDAIGGNLWKEDTSCLRWLDTQRPGSVVYVNFGSITVMTPAQLTEFAWGLAGCGRPFLWIIRPDLVSGENAMLPEEFVTDTKEGGVLASWCPQELVLSHPSVGLFLTHCGWNSTLESICSGVPMLCWPFFAEQPTNCRYVCAKWGIGMEIDSDVRREEVARLVREAMDDESGKARRVKAMVWKEKAKEAVEEGGSSRKNLDRLVEFLLAGNDDFQAESA >KQL29928 pep chromosome:Setaria_italica_v2.0:I:26563622:26566652:-1 gene:SETIT_019448mg transcript:KQL29928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAADPRLVRNTCILARVDHGKTSLADHLVASGGDGLLHPKLAGSAMFMDHLPEEQRRAITMKSSSVALRHAHPTGVHRVHLIDSPGHADFCSEVSAAARLSDSALTVVDAAKCVRVQTHAALRQAFVECLRPCLVLNKLDRLITDLLLSPEEAYALLGRTLAEVNSIYSALRSGSYFSSLLQDDDGRLAGGGGGGDDEDEDDGTPSIPTRETADQQPAFVQNVLKPLWKLYQRGLMPNSSEWMHNNVVSVFNQVSPLELHSKEPKVSLHAVLRAWLPLAESVMEMLVECTPDPVAAQAFRVPRLMPERRVAASGCASIVTEAEQVRSCVAACSTSKSAPVVVFVSKMFAVPYSMLPSKGLNGEHLNHNHGHNELEPDEECFLAFARVFSGVLRAGQKVFVLSPLYDPVKGDDASGKHVQEVELHCLYEMLGQDLLPVDSVAAGNVVAIQGLGQHVLKSATLSSTKNCWPFSSMTSQLSPMLKLLNQADPLVVYTVSQRGEHVLAAAGEVHLERCIKDLQERFANVQLEVSKPLVSFKETIQGECVSLMGSMKAQQGFVERTTPNGRFTVRVQVINLPNALTKVLAENEELLGQIIEGESSQFAQDGSNSTATLRQRVISAIDSEVEAISEQVEKEKLERCRKTLLGYLQRIMALGPSHVGPNFLLLPDLKSTSGVTASQNGWGGILVSSRCHVSERLGETQASTYSLDFEALRNSIVSGFQFATNAGPICDEPIRGVAFVVESYISVNNSDAVAPMKSSLQVITVVKEACREAVLQSKPRLVEPIYFCELTTPMEQVHAAFSVLRDLHAHLPVAESSEFAEKLRKRTSGAASAILAFSHWESISQDPFFTPKTQEETEEFGDRSSIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLARKV >KQL31136 pep chromosome:Setaria_italica_v2.0:I:35847349:35850797:1 gene:SETIT_017269mg transcript:KQL31136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKSIVGQLKEMRDGIGSMSRRAGASDGRAGHGRAGSRHSWPGLWSEQPQPQRHGHGQEGSQQQHQGRWANLPPELLLDVIQRVEASEATWPARRQVVLCAHVCRSWREVTKEVVKTLEECGRITFPISLKQPGPREQPVQCFVKRDRATSTYLLYLGLSPSLNVENDKLLLAARKVRRATRTSFVISLASNDFSHSSSTYVGKLKPNFLGTKFTIFDSQPPSDAVVLPNNKPSKRQSKQVSPRLPLGNYNVATVTYELTVLRNRGPRRMQCTMHSIPAQCIQEGGKCPTPTGTIHSLDEPSTLPSTKGKEPAVEFSSTSLSADLSGPACTSEAPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASVDPSLNVPAAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLTSFDTKPACE >KQL30748 pep chromosome:Setaria_italica_v2.0:I:33113473:33116480:-1 gene:SETIT_018250mg transcript:KQL30748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGTLVSVYPEELTFLFELEKACYCNLKVVNNSEHHVAFKVKTTSPRKYFVRPNASIVQPWDSCTITITLQAQKEYPPDMQCKDKFLIQSTKVAASTDMDEIPPDTFNKEAEKVIEEMKLKVVYTLPSGGSDDSSVSSLGSRSFKGASDDLTMLKNASLEEIQTIQRLKDERDNMLQQNQQMQRELDVLRRRRSRKGDTGFSLTFAAFAGLIGVLVGLLMSLIFSSPPATA >KQL29142 pep chromosome:Setaria_italica_v2.0:I:10662960:10667248:-1 gene:SETIT_019937mg transcript:KQL29142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAILFVALTALGGGGCVPSERAALLDVRRGFTGDPDGALSSWTGLDTDCCRWRGVVCDNATGRVAELRLCNALADAGSTGLSGKISPARLSHNSFSRGGVASPSPPLPRFIGALSTLRYLNLSNTYVTGEVPPQLGNLSALVTLDLSYLAGLYSADLSWLAGLTSLEYLDMGSVNLNASVGWARDVNTLPSLGVLSLPLCELTMTPPANLTRLQRLDLSSNAINASTAEDAWLWHVPTLTYLDLSGNSLSSPFPDAIGNMTGLQVLDLNGNAMAGMIPATLQHLCLLQVLDMTVNQISGDMSDFMARLPRCALGHLRVLQLSAANVSGRLPEWIGDIPLVDPVSLEWIDLSHNSMSMEIRPTWKPPCKLVYAYFPDVRMGPQFPAWIRHQPEIRYLDISHSGIVDTLPRWFWKSFADAVYLNISVNQISGRLPSSLRFMNSSLAIYLGANNLTGSVPLLPEKLLVLDLSRNSLSGPIPSEFGAPELVELDVSSNRINGTVPESLCQFPNLMHLDNGGGRATSTTQRRRRQLRGVRLRGGDAAPQHAVPGAPARDGRERLSAQRRRRPLRAVAVRGLRRPAPLLLPPMLGWICLTLPGKDGFIHEPRTRNQVLMTSPRGRCGMGRISSMSRLVVLQPPMIRGEFPVFLKHCKDMTFLDLAQNMFSGILPEWIGSKLPSLTHLRLRSNMFTGNIPTQLAELGDLQLLDLADNRISGYIPSYGASGNDRIVDSLPIVTKGQDRGVIYMVSLDLSDNVLDGEIPEELSALTGLVNLNLSRNHLTGTVPWYIGAIKKLESLGLLDSLSHLNMSWNNLSGRIPQGNQLQSLANPAYIYTGNAGLCGPPLLKNCSSGDDDGVQAPLHGEKGLPKIVFFYLGLAVGFVVGLWLVFCSLLFVKTWRFAYFRAIDKTYDIVYVFVVVRLSSRGAKESTTS >KQL29476 pep chromosome:Setaria_italica_v2.0:I:17108214:17108537:-1 gene:SETIT_0193532mg transcript:KQL29476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVSQVLPKNSSNSFLKSVGIKPVGTSQASSSSNEIELREQLAAEAKAAVQDELEDLKKRSEEAEEKLARTERELEEMKKLTEINTKAMEENNALLKRILSLNNASST >KQL29916 pep chromosome:Setaria_italica_v2.0:I:26376525:26378069:-1 gene:SETIT_019248mg transcript:KQL29916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLPPGILFAPEDEVAVGHYLLPRLLGWPLPVDGLVLDDDPLSAPPQELLERNGRKEEAFFFAEGQARCRKGTRQKRTCAGGGWWEGQKTCAEGDKLHVPGGGGEEAAWRKKALNFHCSGAGKKGSTGWVMHEYAVTAPEDLARSPLRLYHIRLSSYGRKQSGAMEVPRALGLPPGFLFAPEDGDVVAHYLLPRVLGQPLPMDGLILDDDPLTAPPWELLERNGRKEDAFFFALGQVKSGKGSRQKRTCAGGGFWNGERTCVDGEELRVPGLGGKEVVVAWRKKALSFQDGGAEKGSTGWVMHEYAITAPDHLAEPQLMLYRIRFSDHGRKRKRGEADPCAGEAAPAAARRRVAEDTLLHIDMLTSSSDPIPICSSTVLADEADVDCVNGAEHQAAPVTVSAGQDLIPGDIDTSWDMGFLENIDIDELLRSIEDPSSPNRAVLPAVTDTGAYVDADDSFFPVPNQFFAAC >KQL30635 pep chromosome:Setaria_italica_v2.0:I:32312442:32315740:-1 gene:SETIT_016739mg transcript:KQL30635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSIGQVHRNVLALASSRSCFVLGDRLSFRMLSQQRAAGFHQTAWRGSQIVEDRGGPLTLASLEVQNRVEYGKKEKMARTGGPKPSSRGSSLNVKPRVSSSLNMKPRVSSLNVKPAKSALPKSTGIKKTLKVDEALFSAKSFEELGLPPLLVDRLNKEGLTAPTEVQSAAIPIIAQKHDVVIQSYTGSGKTLAYLLPILSEIGPLKRPMEQDNSEKRSGIEAVIIAPSRELGMQIVREVEKILGPNDKRLVQQLVGGANRSRQEEALKKNKPIIVVGTPGRISEISAAGKLHTHGCRFLVLDEVDQLLSFNYREDMHRILEHVGKKPGTTSRDILGPLARRSERQTILVSATIPFSVIRAARSWGHDPVLIRAKSVLPLDSITVPRPALSQTDANSSSPSNSVNQAAVGSLPPSLEHYYCTAKVQHKVDTLRRCIHALEAQTVIAFMNNTKPLKDVVFKLEARGIKATELHGDLGKLARSTVLKKFKDGEFRVLVTNELSARGLDVPECDLVVNLDLPTDSTHYAHRAGRTGRLGRKGIVVTICEENEAFVVRKMRKQLAVAIKPCEFTEGELVVHKEEDEE >KQL30152 pep chromosome:Setaria_italica_v2.0:I:28420992:28422483:1 gene:SETIT_019893mg transcript:KQL30152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLQAFFPEILKKMNNAQQDAYCIFDSQVLTTFVSSFFLAGMLASLVAGHLTKTLGRRTSMLIGGLLFLAGALLNFTAVNISMLVIGRILLGVAVGFTSLIAPARWRGAFTTAFHFFTNVGMFLADMVNYGANTIPNWGWRLLLGVGIVPAAVIIASVALIPDTPNSLVLRGMLDEARASLRRVRGAAADTDAELKDIVRAAEQERRHGSGALRRLCRREYRPHLLTGVIVVSVFTPLLFYTVGFTSQKAILGSIITDAVSLASIAVAALTVAMAWIFGAQLGADGAKAMPRGYAAAAVVLVCVYTAGFGVSWGPLSWVVTSEIFPLEVRPAAMGLGGAISAALTFAQSQSFLEMLCSFKYGTFLFYAGWVVFMTVFVAAFLPETRGVPIESMGAAWQRHWYWKRFVTPAAPASAPANKWADGPV >KQL31658 pep chromosome:Setaria_italica_v2.0:I:39057566:39059117:1 gene:SETIT_018668mg transcript:KQL31658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGVAPNHKPNWSMSTRFSGQQTDQTTYTGTLHTGTCFAKGQNLCSEIHRQSIRLRDWSSELTAMSTPETLAIVSSCAPTIYTPPDESNCFIQVYSLPRTCQCPPQTNSLCRGSNLPAPSLQDLQKPSNDGYV >KQL30826 pep chromosome:Setaria_italica_v2.0:I:33628636:33630653:1 gene:SETIT_019103mg transcript:KQL30826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGPSWESQDIPCSQTDRKLFAAATKITVGDGSKTSFWDSGWRWSSISNPAQHAKKYPAYPVLMSIICSSSAGTFKLFDPIIEKEYTLKNSSLVPCDDYFQMLLFAKHGWVLVLRGNKYMYATNPFTGEMLELPEMPWLGHQFDGISFSSSPKSPDCVVCAVEKERRSENILLYVMVWHAGDDHWTRVKMYDHTQFRTAYSNPVFYRDKFYCLGTRGNLGVFNPQSMKWRVLDKPGAIPDDDPMP >KQL30088 pep chromosome:Setaria_italica_v2.0:I:27963351:27964541:1 gene:SETIT_019974mg transcript:KQL30088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEDLYAATQGARYCYSSKDKQQERAMAMGAEEDGGGQEGELIPGLPDDVAMECLARVPSRSHRRMRRVCRGWRGAVGSAEFRRRRRTAGAAEDIVFLVQSAPAGGDGKGSTPECALAALNLTTGEWRRVKGPAEAWGPVPLFAQCAAAGDGRHVAVVGGWDPDTLRPTSDVRVLDVPAGTWRRGRQMPDNRSFFGCAGGGDGNVYVAGGHDESKNALRSALAYSVADDAWRALPDMSEERDEPQLVATPGGGVLAASGYPTEAQGAFKKTAERFAAGAWTDEGDVVPDTAETCLASVQGKLWAVRAGKGGVREWDGVPRAWRDVAAGPPGVEACVKAVGVGDGDGAALFVFGTVADAAEGGKYSAWVMEAGGAPWKRVPVPSRFSGFVYSAAAVRI >KQL30508 pep chromosome:Setaria_italica_v2.0:I:31301814:31302193:-1 gene:SETIT_020632mg transcript:KQL30508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALSLGDWNVQESANCSRVSKQREILFSTRAPF >KQL28918 pep chromosome:Setaria_italica_v2.0:I:8872865:8876957:1 gene:SETIT_017973mg transcript:KQL28918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAHSKLYSDDVSLVVIVVDTNPFFWAAAALPFADFFANLVHFVNSLLLLNHLNRVVVIAAGVSSCSYIFDSSDASAAGGVGVTATFDKASRKVEEFIAQDARATAGNGSVVSGNAASLLSGALSLALCYIQRIFRSGTRHPQPRILCLQGSPDGPEQYVAVMNSIFSAQRSMVPIDSCIVGTQDSAFLQQASYITGGVYLKPQELNGLFQYLAVVFATDLHSRTFLRLPKTLGVDFRASCFCHKKTIDMGYVCSVCLSIFCKNQKKCSTCGSEFSRVMPDLNSMPDQSK >KQL29997 pep chromosome:Setaria_italica_v2.0:I:27370238:27373586:-1 gene:SETIT_020211mg transcript:KQL29997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAGAVGSVIGKLGELLQAEYKLQKGLPEQIESLKHELESAQTALSKVGEVPPEQLDPQVRLWASEVREASYDMEDILDTFLIEVADPAEKKDGLLKRLQKKMSKLFNKSKARHTIAGAIEDMKKRLQEVADRRDRFSVAVALPAPATKPDPRLADMHKEAAQLIGIDKARAELIAMLQPMSHGNGDSDVSSGGGSSSSSKMKIVSVVGVGGLGKTTLAKAVYDELGPRYDCGAFLSVGRKPDLAQILKEIFLLLDKKEYEAIRDVKNESLLIGELRKFLQNKRYFIVIDDVWDIPTWKTIKSALVENNSGSRVITTTRNRDVANREEVYELRALSPDHSEKLFITRLFGVNGKYPTHHPAEASEKILKKCGSVPLAIITMASLLVGKSREDWFDVCNSPGFYRGKGNQQVDDTEWILSLSYYDLPSYLRTCLLYLSVYPEDYEIEKDSLIWKWIAEGFVEKKSGTSLFQQGEEYFNQLINRSMIQAVESKRMGIVSGCRVHDMVLDLIRDLSYKENFVTISSNDDERTSPHQNRVRRLAHHNRIMKQTQQDDYMDMAQVRSLVACRCDFDSWILHPSFKIMRVLVLEGCGVPWEAWQGLIKHLGNLLHLRYFSLRNTFGGFYELPEEIGKLKFLQTLDLESSGIRVLPSAVCQLTQLVCLRGDRLHTYAPDGSFLRKVMSLEYLRIRIDNLDEESQRQFMKDLGNLSELRVLDITVDRLEGMVRSDLVHSLGNLHKLEHLEFHSAKFLPFRRVPSWISPTHLPILRYLWLPVDHMNESSLRNLGGLPELHTLWLMTTRRMLPACTATVANITAGDGFFKKLRCCWLYGWMVQLVLNENSTGVSFSIWNGMGAIAFGSKTKDEYSKSVAPPPVMPNLQELFFDIPVRALYKDGKGSCGDLGLECLPLLRSVQIAVNCEGASANDVEKAETELRNTAKLHPNSPRINFKRYNEHKIMAQSTDQDDEE >KQL32222 pep chromosome:Setaria_italica_v2.0:I:41852883:41854827:-1 gene:SETIT_019498mg transcript:KQL32222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPAGVDLSRSLCAAVIKSTFRPHLHLPLLTGAPALLAAVLQRLSPLPSAALAFFRALPPPHPLDASFALLRLLAPHPRHHPAARALLRDLSLRHPLSSPLLLPSLLADDGPHVPSWLLLVLSQSARPDDAVRVFDQMRARGIAPDAHALTALLTALARARMTATARRVFDEMARAGVAFNTHVCNAMLHVCLKAGDAARAEALMTRMDAAGVPLDQFSFNTIIALYCRKGMRYEAMCVRERMDKEGIQADTVTWNSLIHGLCKDGRVKEAARLFTEMIAAQVPPDNVTYTTLIDGYCRAGDIGEAVKLREEMEARGMPPGVATYNAILRKLCEDGNMKEVNQLLNEMDERKLQADHVTCNTLINAYCKRGDMASACKVKRKMMESGLQLNQFTYKALIHGFCKAKELDKAKEALFEMVDAGFSPNYSVFSWLVDGFCKKNNVDAVLLIPDELMKRGFPLDKAVCRSLIRRLCRKGLVDQAQNVFDQMQGKGLVGDSLVYATLAYAYLTEGKPAAASNTLDDMAKNQLHITPQIYNSLCTSYADEKETLNMLWVRAIERGLITKSVYKLLHQARLESTKPAVESGGYAPALRPDYL >KQL29509 pep chromosome:Setaria_italica_v2.0:I:18873325:18874566:-1 gene:SETIT_020594mg transcript:KQL29509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPRVESKFAGDLGRCCRLLSEEARCSGADPISTTKLWWNYGEQTLVLLNQNYGDWRIG >KQL29510 pep chromosome:Setaria_italica_v2.0:I:18873421:18874566:-1 gene:SETIT_020594mg transcript:KQL29510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRSAGKIKVSILDTCDFLLPGTTYNFISNALDSTGGGTGSMIRLESIPVYVGAESGIQICW >KQL29828 pep chromosome:Setaria_italica_v2.0:I:25230017:25231378:1 gene:SETIT_017843mg transcript:KQL29828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSPRRRPKPAAIDITWVSCRGVRSSLPFHTPCLYVSVFVAPSSARGVHGHRRPHRVKTPTDRAGGVNPEWDAPLRLYLPDASSPPADEAELAAGKNSKKGDGDDDVLLLRFEIKAEVAVLGDKLTASAAVPVPGLVADGCTRRVSYQLAGPDGRQPNGVISFSYAFHDSRNGGDDDDGHSSDSEPVATPPSPCPTESSSSTSPLAHPLPPTTAAPRLYPAIEWPPAEQIIPIYPPPVTAEAAVTNSRYYPPPVEPVAVYPPSAGTAWSCSLYPPAGEAVPASGMYPTVDLAPVSCYPPAVYGVECGYAAAPPV >KQL30478 pep chromosome:Setaria_italica_v2.0:I:30798553:30800575:-1 gene:SETIT_018617mg transcript:KQL30478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAWCLSAPAAAPPVAPAPGALGVPASASGGAAALTRVAAPVRRRRRWAALVVCAAPDEEKITRRSPLDFPIEWERPKPGRRPDIFPKFSPMKTPLPHPLPADDPLDDDEEEEEEEQPPPEEPQEDDPDKEEPEEDDPDKPTE >KQL30988 pep chromosome:Setaria_italica_v2.0:I:34885301:34885955:-1 gene:SETIT_018772mg transcript:KQL30988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSSFFDIEPLECGATCRCAMDACSLCGKRLAGDCDIFMYRGDTPFCSEECRYRQMVSDDLGAGKSKPFMMELPAMKKEQQRHMHERPAAAEPARVQIAANVPVAI >KQL29544 pep chromosome:Setaria_italica_v2.0:I:20718600:20722272:1 gene:SETIT_019786mg transcript:KQL29544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAVHPRRLFLPALQLLLLLLLPVARARVTALIVFGDSTVDAGNNNAIPTVVRSNFPPYGRDFVPGGRRATGRFSNGRVATDFYSEALGLGRDFVPAYLDPGYGIRDFATGVCFASAGSGLDVATSRVFRVIPLWKQVDMFREYKARLAAHLGAAEAHAVVAGAVYAISIGTNDFIENYFALTTTRFLEFTLPEYTDYLVGLARAFLAELYGLGARRIGFTGLTAMGCLPVERARARAAALGLGGGGCNEGYNAAARGFNAALAAMVAELGGELPGADIRVAELYDFFEGVVRDPGRHGFARVDVGCCGTGTYEMGYPCGAWAAAPGGTCPDADRYVFWDAVHPTERASRVVAEHLMNSTFGRFE >KQL30587 pep chromosome:Setaria_italica_v2.0:I:31952101:31955257:1 gene:SETIT_017990mg transcript:KQL30587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIEASGPEAGEFSAKDYSDPPPAPLIDAEELTKWSLYRAAIAEFVATLLFLYITVATVIGYKHQTDAAASGPDAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYIIAQCLGAICGVGLVKGFQSAYFVRYGGGANELSAGYSKGTGLAAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDQWIFWVGPLIGAAIAAAYHQYVLRASAAKLGSFRSNA >KQL32200 pep chromosome:Setaria_italica_v2.0:I:41768161:41769747:1 gene:SETIT_019910mg transcript:KQL32200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALALLLCAFIYAAWVSPAATRRRLRRAGFDGPRPSFPFGNLPEITATLKASNKGALPLVPSSSSSGGEQGSADMHASVFPYFARWRQAFGKVFVYWLGTEPFVYVSDPEFLKAATGGALGKRWGKPDLFRRDRMPMFGRGLVMAEGDEWARHRNIIAPAFSATNLDGMLGVMQRATDRMLARWADAVANGGGVVDVERGVVRNAAEIIAEASFGVDVADDDEAAGARVFEKLQAMQVMLFQSNRLVGVPLGKLLHLRKTYEAWKLGREIDALLVDIIDHRRRHGNNRSNNKDLLSLLLAGSKSTEQRRHLTTRELVDECKTFFFGGHETTALALSWTLLMLAAHPEWQDALREEVEQEVGGEASSPLDAAALGRLTKMGWVMSEVLRLYPPSPNVQRQALEDVDVVVGAAPDGNIPIPRGTNMWVDVVAMHRDPALWGDDAHQFRPERFAKDPLHGGCRHRMGFLPFGFGGRICVGRNLTAMEYRVVLAMVLRRFRLSVAPQYRHAPRIMLSLRPSAGIQLHLTPL >KQL31309 pep chromosome:Setaria_italica_v2.0:I:36802219:36803412:-1 gene:SETIT_019290mg transcript:KQL31309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVGARSVSVLFFTAAVLVATSAPPCHAAHNITAILSGRRDLGEFSRELTATGLADDIDGRNTITVLAVDDASMAPLKARGLPRETLRHVLSLHVLVDYYDDAKLHRLPGGSADVSTLFQASGDAPGSAGMVEIAERRGGRVAFSPQDDGDARGAAVFYVRSVHQAPYNISVLQVSGVMSSPAAEAPGSSPSPSGLNFTDVMSKNGCGRFAGLIAATPGAAATFDKHAHDLGGFTFFCPADQAVEAFEPTFKKLPGDARLAVVLYHGALGHHSLQTLRADGSRMATLASLDGGNTTAVLAVRHAGDKVTLVSATQNTARVTRTLADADPVAVYMIDALLVPFSFPGLASSPPGLRRSDGGDGHQTSGSAPVWPLRGWVASTLVFTTLLAAVASGRV >KQL30637 pep chromosome:Setaria_italica_v2.0:I:32318412:32320694:-1 gene:SETIT_017340mg transcript:KQL30637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPLGGGGALINEVLTDDELRTVLTRLGPEAERDAFGLVCRRWLRIQSSERRRLRARAGPDMLRRLAARFPGVLELDLSQNPSRSFYPGVIDDDLNVIAGSFRNLRILALQNCKGISDVGVAKLGDGLPSLQSLDVSRCIKLSDRGLKAVALGCQKLRQLHITGCKLITDNLLIVLSKSCLQLEDLGAAGCNSITDAGISALADGCHHIKLLDISKCNKVGDPGVCEIAEVSSSHLVSIKLLDCSKVGDKSIYSLAKFCHNLETLVIGGCRNISDSSIQALALACSSSLRSLRMDWCLKITDTSLRCLLSNCKLLVAIDVGCCDQITDVAFQDGEGSGFQSELRVLKISSCVQLTVAGVSSVIKSFKALEYLDVRSCPQVTRDSCEQAGVQFPSACKVNFYGSLLESDPSAERFF >KQL30799 pep chromosome:Setaria_italica_v2.0:I:33380410:33381506:-1 gene:SETIT_019510mg transcript:KQL30799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCVVGCLQQCFSPSALRSYLAEFISTFLFVFATAGSAISARMLTPDSTSSDASSLVATAVAQAFALFVAVFIAADASGGHANPAVTFAFAICGHIAVLPAVLYCAAQLLGATFACLVVHILSAGQAVPTTRIAVDMTGFGASVLEAAATFMVVYTVHAACDPRRVRAGGGRSAAETATGSLAIGLVTGACALATGSLTGASMNPARSFGPAVVSGDFRNQAVYWAGPMVGAALAAIVHQHVMYPASLRPGSVETVVV >KQL31581 pep chromosome:Setaria_italica_v2.0:I:38561359:38561884:-1 gene:SETIT_020626mg transcript:KQL31581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRNKRYGLLQIFVGKNAKASAFWAQQSKKGKNINISLSIIICKRKPQEAELGSGGK >KQL30186 pep chromosome:Setaria_italica_v2.0:I:28716400:28718150:1 gene:SETIT_017025mg transcript:KQL30186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSTPTAAGEKPHVVCMPFPAQGHVTPMMKLAKILHGRGFHVTYVNSAYNHRRFVRSRGAGAVAGLPGFSFATIPDGLPPCDADATQDTVALCYSTMTTCLPHFKALLADLNRRAAAGDAPPVTCVVADGCLTFSIDAAAELGVPCALLWTASACGALAYHHLRLFVDKGLVPLKDAEQLTNGFLDTPVDWARGMSKHMRLRDYPSFLRTTDPGDKMLEYGLHEMEHCKDAAAIIYNTFDELEQPALDALRADFTPAAYTVGPLSLLAEQLVPAGGPLDALGSSLWKEDSTCLEWLGGRSARSVVYVNYGSITVMTNQQLLEFAWGLAGSGYDFLWVIRPDLVAGDAAVLPPEFMEATRGKGLLASWCPQEAVLRHEAVGVFLTHSGWNSTLESLSGGVPMLSWPFFSEQLTNSLYKRLEWGVALEVGGDARRDVVEERIREVMAGEKGKEMRKRAAEWKEAAARATRPGGSSFNNLDRLIKDVLLKRS >KQL28564 pep chromosome:Setaria_italica_v2.0:I:6731050:6732877:1 gene:SETIT_018534mg transcript:KQL28564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHATPPAAAAGGVTATVDLSPAATDLGGAHHLPCGIKQNGGAPVSDYFKPRSTGVEVEGVRVEEAFFRGRKLQGATLALPDGYRGYVLEKKSGGKDKQNSDGEVSNFVARAEFQNITYWNHDTTPSAEDSLPRCFHWLTVANAMHKPVTSEELANMSAMQNQDN >KQL29901 pep chromosome:Setaria_italica_v2.0:I:26161003:26161554:1 gene:SETIT_018326mg transcript:KQL29901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGATPCAARVLGATEHLCAPRGLAVARVVPARAPGSSSSSHYALGSRLAVFTRCRQTTGGGSAAATTMDAPDRQQGDAPRVAAPRRPMPPALQTPPVVSAPPRAGGNGSPPFGMGGGLPLIYFFPFIPIIGIP >KQL27888 pep chromosome:Setaria_italica_v2.0:I:1445190:1446601:-1 gene:SETIT_018434mg transcript:KQL27888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAADASAGTPAATAPPGRGRCCTSGATLELVGAFTAVCLVLYGVILYMNYLYVRWSGSDGVHRTDSGAGPPARKRPAAGMDKAALAAMPVLMFKADAHGSGDGSASPMECAVCLSAMQDGDAVRALPGCRHAFHAACVDVWLCARATCPVCRARPALPPPQQAPKAGAKASAAGSSGRRQPDLESQV >KQL31429 pep chromosome:Setaria_italica_v2.0:I:37426839:37427066:1 gene:SETIT_020072mg transcript:KQL31429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMSKFVWSLMIAAVFMLLVVSGSARRLDGEKWTGEAASGDLHSMQFVKHLFLQQLGAGASGRSYDKNGPPSHP >KQL32019 pep chromosome:Setaria_italica_v2.0:I:40897299:40902965:-1 gene:SETIT_016238mg transcript:KQL32019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVFDQTVRDLKREVNKKVLKVPGIEQKILDATSNEPWGPHGSLLADIAQATNNYHEYQMIMNIVWKRINDTGKNWRHVYKGLIVLDYLVAHGTERVIDDIREHSYQISTLADFQYIDSSGRDQGSNVRRKSQSLVSLVNDKERIQEVRQKALTTRDKYRSAFATSGTHRSPGGYGGGYDNDRYEGSYGSRYDNRNGNGREREYGYRDEDRYGGPGDTPNREGDRYSRDSNERYGRDYREDEYKGSHSNHEYAEGSGRRSYGRERDSYGDDEAYSSRGRGSNADGPTQDERPIERKLSNQQIASPPPNYEDVTRDGQDNLPNDRNGGSVPAAVPKVSSPPVPATSVPAEQVNGVHDSTVEDVPAPPTAHAEPNGFDEFDPRGSVLDSSPPVNPAPVVNSLEMDLFGSDPISSLALVSVPQPTTTSNVEPPANSGFETNDFMGMPPTSSGFGEIDASNPFGDPTPFKAVHDESPAVPQTNAAPAGSIQSTGPGADANPFQAASAASFGFGDTLGDLTFASNTASEQQDIFANTASFPSQVSAANPSVVLQQPVSTNFPSQAPQPAVAGPPPVTHAAPTAFAPSQAPQAAPAFAYSQAPYPAAANQSQVPQAASTSFAPSQAPQPVAPNVPSGQSNFFMQTASGAGFDSQSGVPLQNGAPSYIPSQTSQFTAPANQQPSQPSFLPQTGTSAPQPALISRGASQPLGVPNSVPSATSFPLQSSSSAPPETLISALQVSQTEPVKKFEPKSKLWSDTLSRGLVNLDISGPKANPHADIGVDFDSINRKEKRQEKKINQAPVVSTVTMGKAMGAGSGIGRSGAGSMAPPPNPMGAGRPMGMGMGTGAGYGGGMGMNRPMGMGMGMGMGMGMNQQQMGMGMGMNQQPMGMGMGMNQQPMGGMNMGMGMNQGMPMRPPMGMGPGGMPGAGYNQMGAAYGGQQPYGGYR >KQL30311 pep chromosome:Setaria_italica_v2.0:I:29834163:29836833:-1 gene:SETIT_016567mg transcript:KQL30311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSPYQTEAGRNPNPCHDSMRAWIVHGRDKLAPQCAAWALGDFAFQGAASDPDHQCGSSSLPAPSQFPNRSNRPRACCRRRRTAPHRRPPRAHPYPATYHALPPPARVPFGYPSISTPCTPSSSWHVETIYTDQQLGRASEMASELVAMALRFLREYVRVSDLAVTAAVLFVFSAVRNRLSTKPGAPMMWPVVGVIPALVAHFDNIYDWGAAALARTGGTFHYRGTWGGGSSGVITSVPANVEHVLKTNFANYPKGPYYRERFVELLGEGIFNADGEAWSAQRRAASTEMHSARFLEFSAGTIGGLVRGKMLPLLDRIAERGEAVDMQDLLLRFTFDNICAAAFGIDAGCLAEGLPDVPFASAFERATELSLARFVTPPFVWKAKRLLGVGSERALVEATRAVREFAERTVAERRAELRKTGTLAGRCDLLSRLMSSPPADLPGGYSDEFLRDFCISFILAGRDTSSVALAWFFWLLASHPDVESRVLADVVRAADADDKSGKSMDYLHAALTESMRLYPPVPADFKEALEDDVLPDGTAVRAAQRVIYFTYAMGRDKVLWGPDCLEFRPERWLDKRGAFAGGAESPYKYVVFNAGPRLCVGKRFAYTQMKAVAAAVLARFRVEVLPGQEAVKPKLNTTLYMKHGLMVRFARREQRHEPGHAVPAAGQVD >KQL29869 pep chromosome:Setaria_italica_v2.0:I:25750573:25760537:-1 gene:SETIT_016350mg transcript:KQL29869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFVVPRGAPVGGGSRRRGAMRAAAASGKVVVPEGENDGLTSSADSAQFQSDELEVPDITEDQIESSETMHVAREADAQALNREVLGSAPQVKPRTVPPPGDGQKIFQIDSMLQGYKYHLEYRYSLYRRIRSDIDEHEGGLEAFSRSYEKFGFNRSAEGVTYREWAPGAHSAALVGDFNNWDPNADRMSKNEFGVWEVFLPNNADGSSPIPHGSRVKVRMDTPSGIKDSIPAWIRYSVQAPGAIPYDGIYYDPPEEVKHVFKHPQPKRPKSLRIYETHVGMSSPEPKINTYANFRDEVLPRIKKLGYNAVQIMAIQEHSYYGSFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDVVHSHASSNTLDGLNGFDGTDTHYFHSGPRGHHWMWDSRLFNYGNWEVIRFLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVTFTGNFNEYFGFATDVDAVVYLMLVNDLIHGLYPEAVTIGEDVSGMPTFALPVHDGGVGFDYRLHMAVADKWIELMKQSDESWKMADIVHTLTNRRWLEKCVTYSESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPTIDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGPQRLPSGKFIPGNNNSYDKCRRRFDLGDADYLRYHGMQEFDRAMQHLEEKYGFMTDDHQYISRKHEEDKVIVFEKGDLVFVFNFHCNNSYFDYRVGCRRSGVYKVVLDSDAGLFGGFGRIHHTAEHFTDGSHDNRPHSFSVYTPSRTCVVYAPADTIVPESSEEVISK >KQL29353 pep chromosome:Setaria_italica_v2.0:I:14259051:14260367:1 gene:SETIT_019947mg transcript:KQL29353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSYMSLSRKRVTLPAPLISLPTPTTNRWVEFREVELEHIFWRWDVSAMVFWKGNIREYRGQEYLRVILVDEQGTKMEAVACGDHHMMFNSVLIEGETYNFLGVYFTPTYVDPIPNMYRLCEYYTFVLLPDTIVKTPQRPIWILECPRAFRKFEDVYCQPVDTFAAYIFFVVEDVIGVVVHASEIQDRGDFRRRPNRHVVIMNQRYVKNFIIIHVNDPHLQRHIWEWRRAAYQFKTLAALYVKISTMQGGVTTTDYSQIIFSPICSDAYDLK >KQL30685 pep chromosome:Setaria_italica_v2.0:I:32577339:32580932:1 gene:SETIT_019057mg transcript:KQL30685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IALVTGGNKGIGLEICKQLASSGVTVVLTARDERRGIEAVGALGALGLSNVVFHQLEVSDPSSAARSADFIKEKFGKLDILVNNAGTVGTTTEIGNPETFWHELASIDPVERLEWIRKRTTEPHEKAEECLRTNYHGIKFVTKALLPLLLSSSHGRIINMSSRYGLLRFFRGEELKQELNNVDNLSEERLDELSELFLKDFKDGQLKPRGWPTDGGYSAYRVSKALMNAYSRILAKEHPSLCINCVHPGYVQTDMNFQDGDLTVEEGARGPLMMALLPKGGMTGAYIYCTEVASFM >KQL29632 pep chromosome:Setaria_italica_v2.0:I:22281227:22282015:-1 gene:SETIT_019711mg transcript:KQL29632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRARMYGIRRHSHTFMSEVSKFVEVAEKHAHICNTKQIHCLCFNCSNNIVWENTDVIKRHLIKRGFVDGYTIWSHHGEARDTFNNTDFDTDSDEVGGDDANEYNYIILNDDYDRGDQNGDQTDARVEPQAKFSWSDNSFNNLLTLLGKLLPKPKFVLKNKYEAKKIINPLKMRVQRIHTCRNSRPYIYGPTRRFGRS >KQL30619 pep chromosome:Setaria_italica_v2.0:I:32235117:32238390:1 gene:SETIT_016654mg transcript:KQL30619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNYGELYNVLGWGDPYFAVNAEGHLCARPHGRETMPGQEIDIHSVILKALETPTNGGKSKAQFPMILRFPDVLKNRLDSLHAAFKGAIDSLGYTSRYQGVFPIKVNQNKAVVQDLVAFGYDESYGLEAGSKPELLIAISCLTKAKPGAYLVCNGYKDADYIALALSARAMGLNTIIVLEMEEELDIVIDQYEKLKLKLGDKVDFEPVIGVRAKLLTKIPGHYGSTAGKHGKFGLLAERIYAVAKKLKEGGRLHWLKLLHFHVGSMIPTTDIVYNAASEAAGIYCELVNTWGAEMTTLDCGGGLGVDYDGTRSGSSDMSVAYGIEQYASSIVQAVRLKCAYQGVAHPVLCTESGRAMASHHSMIILEALSAIQEPQDEDTSEQLLSKIQDLSSKHPGRATAANGGGAFEGIYSHAAELKKHGIEMYKLGKKLSKRIVGDANPIYNYHMNLSVFSLVPDFWGIKQLFPMMPVSRLNEKPGLNGTLVDITCDSDGKIEKFISGGETLPLHPLGPEHGGYYVAALLSGAYQEALSCKHNLFSGPTLVRVKSNGKDGGFDIVSVDLGPTAEEVIATMRYNVEEDISNVIQELAKTKEVWETVEPLMTKGLTTMPYLNEYEPPKTIT >KQL30620 pep chromosome:Setaria_italica_v2.0:I:32235117:32238390:1 gene:SETIT_016654mg transcript:KQL30620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNYGELYNVLGWGDPYFAVNAEGHLCARPHGRETMPGQEIDIHSVILKALETPTNGGKSKAQFPMILRFPDVLKNRLDSLHAAFKGAIDSLGYTSRYQGVFPIKVNQNKAVVQDLVAFGYDESYGLEAGSKPELLIAISCLTKAKPGAYLVCNGYKDADYIALALSARAMGLNTIIVLEMEEELDIVIDQYEKLKLKLGDKVDFEPVIGVRAKLLTKIPGHYGSTAGKHGKFGLLAERIYAVAKKLKEGGRLHWLKLLHFHVGSMIPTTDIVYNAASEAAGIYCELVNTWGAEMTTLDCGGGLGVDYDGTRSGSSDMSVAYGIEQYASSIVQAVRLKCAYQGVAHPVLCTESGRAMASHHSMIILEALSAIQEPQDEDTSEQLLSKIQDLSSKHPGRATAANGGGAFEGIYSHAAELKKHGIEMYKLGKKLSKRIVGDANPIYNYHMNLSVFSLVPDFWGIKQLFPMMPVSRLNEKPGLNGTLVDITCDSDGKIEKFISGGETLPLHPLGPEHGGYYVAALLSGAYQEALSCKHNLFSGPTLVRVKSNGKDGGFDIVSVDLGPTAEEVIATMRYNVEEDISNVIQELAKTKEVWETVEPLMTKGLTTMPYLNEYEPPKTIT >KQL28156 pep chromosome:Setaria_italica_v2.0:I:3878925:3879329:-1 gene:SETIT_019632mg transcript:KQL28156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHLALRLHAIAAALPASSGGHGHPGAAVAAVAAFLALCALALALCASHSAPGPGRLRRALASVSRRRTEPVIAAVHQVQPGGGEASPCVWQRGILMGGKCQLPDFSGVINYDPAGNLVAPARPGRAVPALGW >KQL28262 pep chromosome:Setaria_italica_v2.0:I:4627452:4632661:1 gene:SETIT_019194mg transcript:KQL28262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPANIATDNSKNVTQFATSIRQRKHVTPGEREALLAYRDEKLTDKIMEKTHATSDEDCSTNTKVIDDLESIKHPTNMKKEHSNINDPYDLVYSNIPDNTHKLKPVKNCKYCDAKKFHHEPEGLCCRKGQIKLANLETPHQLMRLWTSNDSDAIHFRKNIRFFNGHFSFTSLYCRLDRDTTTMKNSGIYTFRAHGQIYHNIRSFGKDGSDPKHLELYFYDDDPTLEHRYRYCRKEMYEQDKHVLLIITNTLRNNPYSEQFRSLGQEENLEDYRVMLNLDQRLDQRTYNAPITSEVAAVWVEGNERRNTFDRNVILHGNNNEIQGIQSYAGCYDPLSYPLFFPRGELGWHADIPKVGITTEDVKKARANQNNKNNDPDSSGRLWVTMREYYCYKFHVRPKIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDAVGKRTVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEDITNELEFGQTPQDRPDLVVRVFRAKLEEMKKELLEEHILGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHGPCGTLNKNCACTKNRKSCKNYYPRPFNATTIQGKDSYPLYRRHDDGRNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVEKSMLTAYFEANKIHEKARGILYRDFPEHYTWQTQGKFWQQRKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGATCYEDLRTIDAKILPSFREAAERRGLIEADNTLDDCLTEAELFRMPSSLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNARTVEQMVLINIREMLQSMGKDIRSFPLPEIDEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKAAYNEILTAIDRDEGGLFFVDGPGGTGKTFLYRALLATVRGQGKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTAKLLQAASLIIWDEASMTKRQAIEALDKSMRDIMDVPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLSRSELWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSGLDKLIDSIYQMNNACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDSVEDDPHNYYPLEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTAKNIKILTAENDDEDEDNKQEKRKKRKTKRDMSDKKEVNQKDTTDRYTKNIVYSEVLTK >KQL31579 pep chromosome:Setaria_italica_v2.0:I:38533943:38535538:1 gene:SETIT_017856mg transcript:KQL31579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSTASLAATLLAFALLFQACLAGRRLTALVQEPAITMKYHRGALLSGRIAVNFIWYGNFSAPQRAVITDFVSSLSAPPAAAGQPEPSVATWFKTARKYYANSKARFPALYVGTHVLDSSYSLGKRLTDGDLLKLAAKGAPSRAINVVLTADDVAVDGFCMSRCGTHGASPRSRSGRFAYVWVGNPASQCPGQCAWPFHQPVYGPQAAPLTPPNGDVGADGMVISLASMIVGTVTNPFGNGFYQEGSADAPLEAATACAGVYGKGAYPGYAGSLLVDQASGASFNANGAHGRRYLVPALVDPDTSSCATLG >KQL27774 pep chromosome:Setaria_italica_v2.0:I:573180:574287:1 gene:SETIT_018581mg transcript:KQL27774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLRSPSPPFIRSAGCPPAPTESRTIAKPAGSCIVLERARPARLEEEEEERRSTRMLEGKARVEDTDMPARMQAAATSAASRALDLFDVADCRAIAGHIKTEFDKRYGVGWQCVVGANFGCFFTHTSGTFIYFSLERLSFLLFKAAAAVDAT >KQL30700 pep chromosome:Setaria_italica_v2.0:I:32727895:32729164:-1 gene:SETIT_017679mg transcript:KQL30700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAFSHVPPGFRFHPTDEELVDYYLRKKVASNKIDLDVIKDVDLYKIEPWDLQEKCKIGMEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYTKNCLVGMRKTLVFYRGRAPNGQKSDWIMHEYRLETTENGTAPEEGWVVCRVFKKRVATVRRMADGAPCWFDDHVAGFMPPDIGSPRQLMMHHHHHPTAAAYGGQQLYHCKPELEYHHLLPSQEAFLQQLPQLESPKPPGAYIAQGSCSLQSSDEASKYAAALQAPMEPASYMAATGDDDSVTDWRVLDKFVASQLFSHGDGAPKEAGYSNPAQVFHAEHKQPQEALDYASTSASGGGGEADLWK >KQL31576 pep chromosome:Setaria_italica_v2.0:I:38519326:38519523:-1 gene:SETIT_020231mg transcript:KQL31576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRAKAVVFALVVLLVCSAQQSMGARRRMELYQPNPADMLSYHNGQVLHGDIAVSILWYGQFTQ >KQL28923 pep chromosome:Setaria_italica_v2.0:I:8897228:8902422:1 gene:SETIT_018136mg transcript:KQL28923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDPQDCDNINGTCWLHSTRHMLQIFSFKLANIPVKRGPVELYGYIAARDTLDPLLNYVINFSRDDPIIVEQGSLINMSGPKRGIQLVDTTLIEYDMKIKTGGHESEDLQLIDGVSLVDDMDTWNCSPFTWRMHGGCGAIDITASRLNFAVEATVEVAISQVQSDFSMCLSCFTSGLHEEIRLFDGAIAESCGLKRSVIAVVMGAQMDLKFKVASVLCIPGEHCCSFKATKHGRATQEIKTDFALITVKVTWSTLD >KQL31128 pep chromosome:Setaria_italica_v2.0:I:35806027:35807293:1 gene:SETIT_019757mg transcript:KQL31128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SAIHPNPGSSLIPLPRSRRDAPFLHWLSSRRSSVSRSPVAAPRTMPCSLLPAPSPRPCLIASLRRPPHCKDRFLLPRTRRRPRLRLGYVRMAEMARVGSGEVSSPEVGVSVESDPMLGGEESLGPRREASRWAPVEAALNRMSKWLVAGCFAFVALWKHDAEVIWILLGAVTNSLLSVVLKKLLNHERPSALRSDPGMPSSHAQSIFYGATILVLS >KQL28124 pep chromosome:Setaria_italica_v2.0:I:3507436:3507741:1 gene:SETIT_020313mg transcript:KQL28124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIIPSICAGSVVSINDLIIYRLSLSNVYIRCVYSFS >KQL31800 pep chromosome:Setaria_italica_v2.0:I:39809333:39811666:-1 gene:SETIT_016895mg transcript:KQL31800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSLGASQRAQNSEEPKPFLEEEKRESPGRANQNQLPPLPLQMKAFTKLWPALAESKLAIAVFAGLFVGAVVLFSVPPQFPLHGANGSRADETNGPAQPPLADMSAEAPAPTKQAQEKEATSSPKNSTGKEIAPISVLPPLKPICDLSDRRYDGCEMWGDARTASGANKSSVYFIPPPSQLATAAAATWSIRSQSRKMIGVREVTVRSLDLSSLHEAPDCTVRRSVPAVVFALGGLTFNYWHAFSDVLVPLFTTARAFGGEVDLVATGAQAWFLSKYRRVLGALSKYEVVDLDADGEVRCYPHLIVGLRGHRDFDIDPARAPNNYDMRAFRLFVRGAYSLPPPTAPLPWRSSGGKKPRLMIILRRSTRRFVNEDAIVGAIERAGFEAVRMEPTAAAGMDAVSREVDACDALVGAHGAGLTNMVFMRTGAVVVQVIPWGKMEPYGEGFFGAPAAHMGIRHVSYSVAAEESTLYDKYGKEHPVITDPDVFYRNGSNARLYWREQNIRLNTTRFAPTLEMVKRMLRE >KQL27832 pep chromosome:Setaria_italica_v2.0:I:972364:972818:1 gene:SETIT_020624mg transcript:KQL27832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPHQLIIDAPTNFRNCSKSILLLLLQKESCTVAPEKIIWSTEHKTKEKENFSLGN >KQL28926 pep chromosome:Setaria_italica_v2.0:I:8928257:8940446:1 gene:SETIT_016070mg transcript:KQL28926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQHPPPRQELDLDAFLPSSPTSSTTSDADADHRRAVDDLLLLLSSSDSDSEESAPVPSTSSRALPRVQAPAPPAEPSPLRSPPASPSPRRSTSAAPSETLSSLVARTFSGNGASSSYSKPLPSLFRGVRPSPKPGAALAAAAAASRAVLTPHAAAIKSRRSVSAPVEKLLEEGSGSEASEELPSTGSLEAEVEEKGNSEVVPEPTEQTASGSGTEEFEEGKHVEVETEESSESMKLVEASTLDSVVADDFSGHEQTAENGSMVETDQVENQTAVVYEENAYDQTGDDNYVQSAQSMDPIGSVSEESFDDDREADRSNSIIEDQVESESLIDNVIKERMEQLEVSRKAEKNAEKKQKVSMKPLELAEELEKRQASFGQHWEEGAAAQPMQLEGIGKGPPAIGYMQIEMDNPVTRAMSSPSFRPDHGSPQVLAVHRSYIAMGTSKGAVIVIPSKYSIHQADDTDAKMLFFWNQGEKTQSPVTAMCFNQQGDLLLVGYGDGHMTIWDVQKATAAKVIYGEHTAPVVHVCFIRQSKAITGDSKGVVLLHTFSIIPVINRLTVKGTQRLFDGNTGIVLSACPLLVDESFGFSNSSSQGNQTTSSGGGGLGSMMGGVVGGVVGVDSGWKFFNESSSTVEDGVVVMFIMYQHALVVRLRTNIDHVDHIETFSRPDGAREGSIAYAAWKYTSSLNDSSSLDEERLSWLALAWDRQVQVAKFVKSKIVKHKEWKLDSAAIGVAWLDDQMLVVLNLRGQLCLFSKDGSELRRTTFVPDGLLFDDTILHHAHFSNRFGNPEKHFNSSVAVRGATVYILGPTFLTVSRLLPWKERIEALKRAGDWMGALDMAMRLYDGQTQGVVDLPRTVDSIREAIMPYLVELLLSYISYVFEYISIALSNHTGKGGDSDVLIEADRSLLTQREEQYARVGGVAVEYCVHIGRDDILFDTVFSKFVAAQSGGMFLEVLEPYILKDMLGSLPPEIMQALVEHYSGKGWLQRVEQCILHMDISSLDFNQVVRLCREHGLYGALIYLFNQGLSDFRTPLEELLSVVQNRNRKDAASTGYRMLVYLKYCFQGRAFPPGHGIIPRSRLHSVREELLQFLLEESKSLTSEVFKGFNASCGKCPNICYLLWMDTEATLEVLKCAFAQDSFEPGDEPSSTVNASVSEDEDGIIAENPGSQNNMVQNVLDDIIDIVGLENEVIRSVVMGTDDSEFWPSEKEFGYLIEFVSFFVSHKRAIASKRVVMHILTYLTSSYDDTRARTQKEKEVLQLFNALPQNDWNSDFVLNLCSDAHFHQACGLIFTTRNQNLSALDSYMKDRDEPFHAFIFIDKRLFELADDEALSFRTTVISRFPELVKLSRECAFVLVIDHFCDNIQQILAELRSDPHSLFLFLKTAIEVHLSGKLDFSGLSLRSNQTVELHYSSSDLEDYQKRLSNPSKLDHNPVSVDDELVELYLELLCQYERRSVLKFLETFDSYRLERCLHLCLDYGVTDAAAFLQERVGDVGSALALILAGLDEKISLFISSVENTFSGVASKSISETKQPDIVLEMSEAHPVLDALRASIGLCQRNSQRLDPEESQSLWFQLLDSFSEPLKRLYGSQDVNEKSARSKGMEVPIEHLKGKRPSQQMRISAKQRCLNVLRKIFSQFVGEIIEVMAGYIPLPAIMAKLLSDNGSQEFGDFKFVIHRMLSMYLYEKRILETAKSVIEDDSFYTLSLLKRGVCHGFAPQTFACCICNCSLSKEGAISAIRLFSCGHATHLQCESEQSRSSNRESKDGCPVCLSTSNTQGRNKSPMFDNGLVKYSGAELEASHGVHQTHEIDHAERSRGLQNMSRYEILSNLQKEQKSFHIETVPPLKLAPPAIYHEKIQKRTSLVGEPSKHSVRSQKPQKIWQMKEQKSKQAGNRLPQKSNVLR >KQL28571 pep chromosome:Setaria_italica_v2.0:I:6764526:6765326:-1 gene:SETIT_0190892mg transcript:KQL28571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PWHRHDSLPRSITCGKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSEIVLSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSEDDADIADAYDTVTRHGTQPERAPLHDYM >KQL30235 pep chromosome:Setaria_italica_v2.0:I:29179967:29180398:-1 gene:SETIT_019177mg transcript:KQL30235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAQPSRPGGGVNDGASRDESPAATETITVGQALQAVALSPAGARPVDHADATAIQAVEKSVTGLGRVVPGGVAAAAHRAAEANEREGAAADARDGGEVGKVVTLRDVLGDAASVMPAGRNRAATWVDAEKVAAAQRILVPN >KQL30243 pep chromosome:Setaria_italica_v2.0:I:29297635:29300075:1 gene:SETIT_017729mg transcript:KQL30243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAVGHRAANVGFPSRSRLRGGFLLPGRARFFSGKPLNPRGPRLFSTFVASINFAFLVDARVEGAEAAPLRVESLPQPRTHGGGDFVHLKAVDGEAQRLPRALRGILLDHQKMACTDLLKAALLSTPLFAVPLEALAETCQPPNSFANMPIFIAVALIGAAVGGLLARRRKEELKRLNNQLRQINTALRRQAQIESFAPGLTYAPVKRTTETDVVVDPRKQQLVSNLRNGKNYMRNQDLDKAVVEFRTALELAESIGDRFEEKKAARGLGASLQRQGKYREAMRQYGKVLELSKETGEDSGCTEAYGAIADCYAELGDLERAAKVYDKYISRLQPGE >KQL27928 pep chromosome:Setaria_italica_v2.0:I:1784597:1791242:-1 gene:SETIT_016228mg transcript:KQL27928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAPMERHQSIDAQLRLLAPGKVSEDDKLVEYDALLVDRFLDILQDLHGPHLREFVQECYELSAEYENDRDEARLGELGSKLTSLPPGDSIVVASSFSHMLNLANLAEEVQIAHRRRIKLKRGDFADEASAPTESDIEETLKRLVSQLGKSREEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHGRIRNCLRQLYAKDITADDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRIDTALKNIGINERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCSDELRIRADELHRSSKRAAKHYIEFWKQVPPNEPYRVILGDVRDKLYYTRERSRHLLTTGISEIPEEATFTNVEQFLEPLELCYRSLCACGDKPIADGSLLDFLRQVSTFGLALVKLDIRQESDRHTDVLDSITTHLGIGSYAEWSEEKRQDWLLSELRGKRPLFGSDLPLTEETADVLGAFHVLAELPADCFGAYIISMATAPSDVLAVELLQRECHVKHPLRVVPLFEKLADLEAAPAAVARLFSIDWYMNRINGKQEVMIGYSDSGKDAGRLSAAWQMYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRYTAATLEHGMHPPISPKPEWRALMDEMAIVATKEYRSIVFQEPRFVEYFRSATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHLMQKDIRNIHTLKEMYNEWPFFRVTLDLLEMVFAKGDPGIAAVYDKLLVAEDLQSFGEQLRKNYEETKELLLQVAGHKDVLEGDPYLKQRLRLRESYITTLNVCQAYTLKRIRDPGFQVSPQPALSKEFTDESQPAQLVQLNPESEYAPGLEDTLILTMKGIAAGMQNTG >KQL28638 pep chromosome:Setaria_italica_v2.0:I:7157898:7160360:1 gene:SETIT_017133mg transcript:KQL28638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERRNILMDRYEIGRQLGQGNFAKVYYARNLTSGQAVAIKIIDKDKVTRVGLIVQIKREISIMRLVRHPNVLQLFEVMASKSKIYFVLEYAKGGELFNKISKGKFSEDVARRYFHQLISAVEYCHSRGVYHRDLKPENLLLDDNDNLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVLSRRGYDGSKADIWSCGVILFVLVAGYLPFHDPNLIELYRKISKAEYRCPRSFSVELKDLLFRMLDPDPSTRIPISRIKRSTWYRKPFELNPPKVKHDTARDKVCNGEATTSNSRECSNSEENQVLQNLPNMNAFDIISLSTGFDLSNLFEEKYGRKEERFTTRQPAETVFAKLHELAERLKLKIKKKDNGVLKLAAPKEGIKGFLELDAEIFELAPSFLLVELKKTNGDTIEYKKLVKDEIRPALKDMVWAWQSDRHQQQEQIMQGEQQQSPLPPGPPQQ >KQL32081 pep chromosome:Setaria_italica_v2.0:I:41233740:41237551:1 gene:SETIT_017508mg transcript:KQL32081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTQGQVITCKAAVAYEPNKPLVIEDVQVAPPQAGEVRVKILFTALCHTDHYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTDVQPGDHVIPCYQAECKECKFCKSGKTNLCGKIRSATGAGVMMNDLKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKINPQAPLDKVCLLGCGVSTGLGAVWNTAKVEAGSVVAVFGLGTVGLAVAEGAKSAGASRIIGIDIDSKKFDVAKNFGVTEFVNPKDHDKPIQQVLVDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEIATRPFQLVTGRVWKGTAFGGFKSRTHVPWLVEKYLNKEIKVDEYITHNMNLADMNKAFHLLHEGGCLRCVLAMQD >KQL29931 pep chromosome:Setaria_italica_v2.0:I:26593282:26596631:1 gene:SETIT_016173mg transcript:KQL29931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCGRSLLCTMLGLSVLTMATTASASGGDGAALLAFKAELSDGGALALASWNGSTGFCSWEGVSCTRRRNPPRVVGLNLLKKGLAGTLSAAIGNLTFLRALELGFNWLRGDVPASLGRLRRLRYLDLGYNAFSGEIPANLSWCVAMEQMFLDANNLAGRIPAELGDRLTQVQVLRLKNNSLTGPVPASLANMSSLLHLALANNQLDGPIPPGLAGLAGLRHLDLGVNKLHGALALSMYNLSLLRTFHVEGNQLHGSIPADIGSKFPVMKDFSLANNRFTGGIPASLSNLTTLTSLQLSINGFTGLVPGDLGRMRRLQYLYLSYNLLEANDTEGWEFIASLANCSQLVQLSLGVNSFGGQLPSAVVNLSATLQYLSLSYCSISGSIPQDIGNLVGLSVLEFGNTSISGVIPDSIGKLVNLVQLSMERARLSGLIPLSLGNLTQLNVITAYSNSLEGPIPASIGKLRNMYRLDLSENYLLNGSIPKEILLPSLSSNLNLAHNSFSGPLPSEVSNLVNLNQLILSGNRLSGQIPDTIGNCLVLDTLMLDDNMFEGSIPQSLHNVKGLRVLNLTMNRLSGRIPDDLSNIGALQELYLAHNNLSGLIPASLEKLVSLLTFDASFNDLQGQVPNGGVFSNLTAISVTGNSELCGGIPQLRLAPCSTHPSSISKEDRSKSLMISLTTIGAMLLLVSVTVTVWKLKRRPEGQAPPTVTEEGFQRVSYQALLRGTDGFSESNLLGKGRYGSVYKCAFEGEDTPVAVKVFDLQQSGSSKSFQAECEALRRVRHRSLVKIITCCSSIDSQGQDFKALVIDLMPNGSLDGWLHPKYSIATLNNTLSLTQRLDIAVHVMDALDYLHNHCHPPIVHCDVKPSNILLTEDMSARVGDFGISRILLESANKAGQNSNSTIGIRGSIGYVAPEYGEGSPISTVGDVYSLGISLLEMFTGRSPTDDMFRESVDLHKFSEAALPDRVLEIADPTIWLHNDANDNITRSRVQECLVSAIRIGVSCSKQQPRERMPIRGAAMEMHAVRDETLMLASSLAVEHEGEREDDTLIGYAPTISK >KQL28696 pep chromosome:Setaria_italica_v2.0:I:7519650:7521479:1 gene:SETIT_020044mg transcript:KQL28696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLHSSWNNKRTRLPPPIHLLGLALLLLPSLPSPTSSCTKQEKVSLLRFLEGLSQDSGLTASWRNDTNCCMWKGIICDADDAVKEISLASMSLEGRISSASLGNLTSMLSLNLSCNSLSGDLPEELLLSRSMVVFDVSFNNLNGDLHKLPSTTGRPMQVINISSNRFAGQIPSTTLESMENLVAINMSNNSFTGKIPSTICAKNHSFHIPVGLGSCSALRVLKAGHNKLTGTLPDELYNATSLEHLSFPNNRLQGALSPEYIVKSNNLVILDLAENELTGEIPANIGQLNRLEELHLETIILRGNSFHGELNNVNFSTLSDLKILDFMLNKFTGTVPESLYFCSNLIALRLSSNNFHGQFSPRLGNLKSLKFLSLTNNSFTNITNALQVLKSSWNLTTLLIGTNFKGEAMPEDETIDGYQNLQVLSIADCSLSGKIPHWLSKVKKLRELLLSNNQLTGPIPALTNYCPKLLNLGNNKFSGVIPMEIGHLKGLAALNLSFNNLHGEVPQSNCNLTNLRVLELSNNHLTGEIPAALENLHFLSFFF >KQL32203 pep chromosome:Setaria_italica_v2.0:I:41779794:41782456:1 gene:SETIT_018287mg transcript:KQL32203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCEKRRDQGLEIRRRGRRFETRSSGRHPCRPRTANHSDAWRVGRGRLAVLRVSLSSCQGRERSMEPKEATNADMVAPAAGAKSGPPVPVDNAAAAPADRDAVLAKVEMDRKLSMIKAWEESEKSKAENKAQKKMSSIMSWENTKKAAVEAKLRTREEKLEKKKAEYAEKMRNQIAAIHKEAEEKRAAVEAMRHEAILKYEDMAAKHRSKGTTPAKKFLGCF >KQL30558 pep chromosome:Setaria_italica_v2.0:I:31676859:31679385:-1 gene:SETIT_016416mg transcript:KQL30558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILALIAALVALTAWPPPAAEAAAMQPSPTCLRRCGDIDIPYPFGVGAGCHLETGDWTFVLSCNRTADGRLRLYNYQIEVLDMSVRLGQLRIYSIINPWCYNSTTAAMNGQSNWWYNMSITNFRINDALNRFTVIGCNSLAYFRSLNDTSDTSRYMTGCMAMCPGVARLADGSCAGVGCCQTAIPSGLNGYQVSFEEKFNTSGIASFSPCSYAVLVEAAAFDFHTRYVTTDAFLAENGGQVPVVLDWAIGNKTCEEAKRNASAYACVSANSECVDSKYGKGRGYLCNCSAGYDGNPYLLDGCHDINECEDSRFRYPCSVLGTCVNTDGSFHCACPDKTTGNAYNGTCEDKKTQIGWQIAIGVTSGGVVLIVTATCLYMIHEKRRLAKIKREYFKQHGGLLLFEEMKSRQGLAFTLFTEEELEVATNKFDERNVIGKGGNGTVYRGTTKDGETVAIKKCRLANERQKKEFGKEMLILSQINHRNIVKLYGCCLEVEVPMLVYKYIPNGTLYRLIHGRRGDDDAPRIPFSLRLKIAHQAAEALSYLHSWASPPIIHGDVKTSNILLDEDYTAKVSDFGASTVAPTDEAQLVTFVQGTCGYLDPEYMRTCRLTDRSDVYSFGVVLLELLTCRKALNLEELEEEKYLSSQFLLVLGEDRLEEILDEQVKSEHGLELLEQVAELAKQCLEMDGNKRPSMRQVAQELASLSRLAQHPWGRQNSEEILALLGGSPSTASEIELSSNRNISFTDTAYIGIRSPR >KQL28441 pep chromosome:Setaria_italica_v2.0:I:5908161:5909107:-1 gene:SETIT_020456mg transcript:KQL28441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKIVTRSTSICTMRSLTQPQFVLLLDYRRHKKGGKRSRTPTKSNCTFFFSWHSGLRPKLSGSVGPRY >KQL31940 pep chromosome:Setaria_italica_v2.0:I:40546247:40548347:1 gene:SETIT_018206mg transcript:KQL31940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMAGMLPGVECARRRRLRQGGSSSGAAEAPCGTRRPSFCLYAGGHGRTHLGSSAVASSKERSGVCKEMMMARAWALDSNAREAKERLDQKLRGQRESAVKRHQMSTGTVRPLTAAKPPHATSTDASSNNLQHSATAAEPGATPRELQREVFSFSKPASGGGAAARRRRFSWRRLGRCSTPSSEAEAPWECAVCLEELRAGDVVAHLPCAHRFHWSCAVPWVRAASRCPVCRAQVHLAPSSPS >KQL28917 pep chromosome:Setaria_italica_v2.0:I:8856565:8857280:1 gene:SETIT_019656mg transcript:KQL28917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHARRRSAPGKLHGAAPADKAEWRLRRMTLNRESARRSRARKQRHLEEPRACHHQAPRWQLRARCVAPQRPGAALVRLTNARLRAERAITLRQIYTATA >KQL29525 pep chromosome:Setaria_italica_v2.0:I:19781129:19781628:-1 gene:SETIT_020485mg transcript:KQL29525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGMEKRARTLLGLHMQFQTLRLQVPGTMSFDLHTTLLLSLN >KQL29839 pep chromosome:Setaria_italica_v2.0:I:25383125:25384013:-1 gene:SETIT_018377mg transcript:KQL29839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTSGAGGSRWPDLLVTGADPSPFGVDPSFPCASSPLLVPAVMWLLAHRRRLGGAVGGCRPRAAGDLSSSPRGRVTGPRGARPGCEAVCDGGSVVRSGRAYPSFLLTAGLSLLPAIKAANASGCRRHIQGPTFTGRLTTLPLTRSGCHRHIQGRAFQGRVTTLPPQVAASSPLAPSDSFMADARRGSHTSGAMVVVEDG >KQL31504 pep chromosome:Setaria_italica_v2.0:I:38032094:38036156:1 gene:SETIT_018214mg transcript:KQL31504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGAFVSRIKLYISNRLVLDHQNPAAPPSANRLSHCSSDSRICWAECGMGGGGSGSFLKVLVNNLDVLAGPLVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLEWLPFWSYGKLFFNCWLVLPQFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKGESERPDDVISAAQRYIEQNGSKAFENLVNKFKSSNPRRSILEEVEVERRARIQRESEAREVNPFFNPNYQY >KQL31505 pep chromosome:Setaria_italica_v2.0:I:38032094:38035995:1 gene:SETIT_018214mg transcript:KQL31505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGAFVSRIKLYISNRLVLDHQNPAAPPSANRLSHCSSDSRICWAECGMGGGGSGSFLKVLVNNLDVLAGPLVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLEWLPFWSYGKLFFNCWLVLPQFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKGESERPDDVISAAQRYIEQNGSKAFENLVNKFKSSNPRRSILEEVEVERRARIQRESEAREVNPFFNPNYQY >KQL31506 pep chromosome:Setaria_italica_v2.0:I:38032094:38037231:1 gene:SETIT_018214mg transcript:KQL31506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGAFVSRIKLYISNRLVLDHQNPAAPPSANRLSHCSSDSRICWAECGMGGGGSGSFLKVLVNNLDVLAGPLVSLAYPLYASVRAIETKSAVDDQQWLTYWVLYSFITLFELTFAPVLEWLPFWSYGKLFFNCWLVLPQFNGAAHVYEHFVRPMIVNQQVVNIWYIPKKGESERPDDVISAAQRYIEQNGSKAFENLVNKFKSSNPRRSILEEVEVERRARIQRESEAREVNPFFNPNYQY >KQL29319 pep chromosome:Setaria_italica_v2.0:I:13547647:13547935:1 gene:SETIT_020388mg transcript:KQL29319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKAWQNKNEEKKRDGWKLKEGGRGRAGRKQGRSMKRARHPT >KQL30339 pep chromosome:Setaria_italica_v2.0:I:29989233:29990282:1 gene:SETIT_019297mg transcript:KQL30339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILDPFAQELLAGPPPPTFDFSPGVGDAGMSMDIAQLDDYLRAIGVLPPLPPAAAHVDAHVQPQAAPLPAHSAASSHDDGSAAALDAYDSDIDASLRATETDARERPSPGYLDPARGSGGLDPAAARAALVAWMSSFALYFGVGPAALHRAVSYADRFLSAGAVITIGAADVEYRLRLLGAAAVYAAAKHEDSGTARRVNARDIAARCGFAAWQEVVAAERALLAALGYRLGGPTAHTFVEHFTRHAGVGEGGLELRRAAHAIAGSSLIDHRCLRLLPSAVAAAAILLARMSLEPAHDREQVRRWGRELEELTGYKPMDVYEGLHCMYEMMPEYPGFVISPLLFADPS >KQL29339 pep chromosome:Setaria_italica_v2.0:I:13938223:13943537:-1 gene:SETIT_019175mg transcript:KQL29339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNHHQIKKKCFLINFSWWCLPSTELRGKREGRGRRGQEGEPAATMDSDLWISRLMAAKRQFALQRAQRQHATPASHHERFGYDDIEPEDEGRSDFSCPYCYEDHDITSLCTHLEDEHPFESKVVACPVCSARISKDLLDHITLQHGYLHHRLRRVAGSGNHTLSYAGRDLQETYLKVLLGNSGRSSSTNTSSSVTDSLLSSLVLNLSSTEAEETSKSSAPAVVENSWFKRSLPSKTWKISAADSNLSHEEREQRRRRAAVRSAFVQHILVTTLFDD >KQL29033 pep chromosome:Setaria_italica_v2.0:I:9846863:9847394:-1 gene:SETIT_018801mg transcript:KQL29033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLIEFSHKNNLGPKESLNSYSKTIAKFQNMHDSRQLMAAASLANNQSNTKVMGVQQEASALSMSNSPGLQEIMGITEYATYCKILYANIQATLIVHLIIH >KQL30079 pep chromosome:Setaria_italica_v2.0:I:27884786:27886144:-1 gene:SETIT_0193871mg transcript:KQL30079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIRVLSRNVVAKTNKFAMLKLGRRLSGFIAGANSGYGRPCNVDKFAVLFQKCADVRSLKKLHARVLTLGLGRDVILGPEILICYASLGVLPKTGLCFQGFLNDDLAQWNSAMVVIFRAGNPEEAILLYRGLKLHQIGLDEKTVTSGLKRCTELRNLLLGKGMHADSLKLGLSRYNFVGSSLGRLYSKFARMDDPEKAFEEILEGSLLQVAGNFGAIREGKSVHCYSTRRGIGVSDEVLETSLVHMYSRCGAYQLASAVLKNSMQSVASWNAMLAGLARTGQSGSAIHHFSVMLHEHKVIPDSVTYANVISACAKLHDSGCAASVHAYLIRRSIPLDVVLATALIEVYFKCTRIMRSRRLFDQMMAKDVISYNAMIYGYLQSGMANEAIALLKEMMTELDRSTAANGVE >KQL29795 pep chromosome:Setaria_italica_v2.0:I:24693404:24694612:1 gene:SETIT_017530mg transcript:KQL29795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTERKRSGPAAAVPAAVASVLGNDVLLAEILLRLDLPTWLVRAALVSRRWFRGASDPAFLRHFRALHPPRVLALHANAVGFGRGETRCLPVPQPPGLAAAAPSRRCAARNSATAATAACCLLNNGRDEPIPQPPRSNPGSLLRDKRGTCEGSLLRLLENDADSTSCLFLNLRYNDEELAAEFSLLQSGVWGVARSTVTELPQRIFDTMVAHKLLVGRKFYMTTALGYILGLDLVTASFFTVQLPADIWNSTSFRLSRPQQSGLYLIDAKGFQLQVCRGDGMGQWALVDTISVPKVCGHLNVRRWVPDDAQTAPVSVLAAGENAEFVFLELVASGIVCCIQLSNRAVERVGVGLRMTEDFIRPLTMVWPPVFPVLN >KQL28479 pep chromosome:Setaria_italica_v2.0:I:6147778:6152697:-1 gene:SETIT_016817mg transcript:KQL28479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMDESAAAVSVPVSEEKPVVFRSKLPDIEINNSQSLQAYCFGKMGEVAARPCLIDGQTGASYTYAEVESLTRRAASGLRRMGVGKGDVVMNLLRNCPEFAFSFLGAARLGAATTTANPFYTPHEIHRQAEAAGARLIVTEACAVEKVRGFAAERGIPVVTVDGRFEGCVEFAEVIGAEELEADADVHPDDVVALPYSSGTTGLPKGVMLTHRSLITSVAQQVDGENPNLYFSKDDVLLCLLPLFHIYSLNSVLLAGLRAGSAIVIMRKFDLGALVDLVRRHRITIAPFVPPIVVEIAKSPRVTADDLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFQVKSGSCGTVVRNAELKIVDPDTGAALGRNQPGEILIRGEQIMKGYLNDPESTKNTIDKDGWLHTGDIGYVDDDDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDDLAGEIPVAFIVRTEGSELTEDEIKQFVAKEVVFYKKIHKVFFTDFIPKNPSGKILRKDLRARLAAAVH >KQL31514 pep chromosome:Setaria_italica_v2.0:I:38116079:38116247:-1 gene:SETIT_020382mg transcript:KQL31514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHVSGSLKKNSEATASLKYSFQIKSRGKILK >KQL31759 pep chromosome:Setaria_italica_v2.0:I:39603970:39621385:1 gene:SETIT_016085mg transcript:KQL31759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRKGSKVWVEEKGEGWVEAEVTESKERAVFVLTSQRKKITVAPEKLLPRDTDEDLGGGHVDDMTKLTYLNEPGVLYNLKKRYALNEIYTYTGSILIAVNPFTRLPHLYNEYMMEQYKGVRLGELSPHVFAVADASYRAMVNESRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAALDDRTVEQQVLESNPLLEAFGNAKTVRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQITDPERNFHCFYQLCASGKDAELYKLGHASSFHYLNQSRTYDLEGTNNEDEYWKTKRAMDIVGISRKDQDAIFRTLAAVLHLGNIEFAPGKDSDSSKIKDSTSNFHLQTAANLFMCDADLLISTLCSRSIHTREGIIVKALDCAAAAANRDALAKTVYARLFDWLVENINKSIGQDVDSKVQIGVLDIYGFESFKNNSFEQFCINFANEKLQQHFNEHVFKMEQEEYKSEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFATKMFRSFSSHPRLDKTKFSETDFTISHYAGKVTYQTDSFLEKNRDYIVAEHCNLLSSSRCQFVSGLFTSLPEESIRSSYKFSSVASRFKLQLQALMETLNSTEPHYVRCVKPNSANRPQLFENQSVLHQLRCGGVLEAVRISLAGYPTRRTYAEFVDRFAVLVPELMIGSYDERTLTKGILEKMKLDNFQLGRTKVFLRAGQIAILDMRRAEVLDNAARHIQGRFRTFITRKEFVKTREASISVQAYCRGCLARKMYAIRRETAAAVIVQKYVRRWLLRRAHLQSCLAALLIQSYVRGFIARRYFSAIREHKAATVIQSTWRRRKVVMLFQHYRQATVAIQCAWRQKLARRELRRLKMAANEAGALREAKNKLEKKMDDLALRLTLERRLRAASEESKSSEILKRDKIIESLSAECAAAKSDAQKEHGKNLLLQKKLDDSLREITMLQSKRIMTAEAEKENSNLKNLVESLSMKNSVLEKELNVARKSSDDTMEKLKDVEGKCNHLQQNLDKLQEKLTNLENENHVLRQKAFNMPPVNNLAVAPKTLSEKFSASIGLPNSESKHMFESPPPSKYLAPLPQTLTGSRRSRLPVERHEENHEILLKCIKENLGFKDGKPVAACVIYKCLLHWRAFESERTAIFDHVIEAINDVLKGKEADGRLPYWLSNTSALLCLLQRNLRSNGLFATPSRRSGGALALGGKIVQTLRSPSKLVGRSDSLPQVDARYPAILFKQQLTACVEKIFGQLRDNLKKEISPLLNVCIQAPKSTRGQPGKASKSPGLGAQPASNSNWDNIVNFLDLLMDTLRENYVPSFFIRKLITQLFSFINIQLFNSLLLRRECCTFSNGEYVKAGLSLLEKWITDVTEEFAGTSWHELNYIRQAVGFLVIHQKRKKTLEEIRQDLCPSLSVRQIYRICSMYWDDKYNTQGISNEVVAAMREMVNKDTQNLVSNSFLLDDDLSIPFSTEDLSMAIPAMDYADVDLPESLQHYTSVQFLLRQQDPQPVQ >KQL30918 pep chromosome:Setaria_italica_v2.0:I:34374025:34374274:1 gene:SETIT_020617mg transcript:KQL30918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCANGRVAQWKQHFSSISRSWVRLPVGANFRTG >KQL30647 pep chromosome:Setaria_italica_v2.0:I:32382628:32385900:1 gene:SETIT_017319mg transcript:KQL30647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASKWRDPGVRQRRLSSVGEVVVFLPGLRVPRNIDFSQTLGDHLDKSIVERLTALRARIVAMATQESTTALKPRRRAAAQHGGSSTANLLQALEEYLPALLGLVKGGSELRNKVQFVWANQEDVAEETSMADPWYEVLSVLHLMAMVCFLQANNLLLPRSYADGHGPRVSEESRQATVDLFLKAAGYLDCAIHHVLVQIPPERRRELPVDLAEGNLKALSLQGLSQGVDMQLGLAIDNPKATLAVKRRLACEMIKCWKQVKDSIPELPLSDGWGKKHSLFVKWKYVEAKAAAYYFHGLILDEGETDKAQEMAIAALQASEEFLNESKRASEAFHSAPPASRSPAPFGTTKYLLDMIPKDVQSKVQSYQDLYTQQIASNIGVSKIIATPPPLPDFPLALSPEDYELPQSDMLWKGANHL >KQL31225 pep chromosome:Setaria_italica_v2.0:I:36352674:36354766:1 gene:SETIT_019835mg transcript:KQL31225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWTTVETGDGAKLSVRVFRPPASGEEPEAAGDVAVVLVHPYTILGGVQGLLRGMAEGVARRGYTAVTFDMRGAGRSTGRASLTGSTEVGDVAAVCRWVTENIRPRGILLVGSSAGAPIAGSAVDKVDEVIGYVSIGYPFGLLASVLFGRHHDAILKSQKPKLFIMGTKDGFTSVKQLQNKLKSAAGRVDTHLIEGAGHFQMEGPAFDAQMVDLIVKFIGSLPK >KQL27839 pep chromosome:Setaria_italica_v2.0:I:1036049:1040797:1 gene:SETIT_016295mg transcript:KQL27839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGEEQSSASAAEHRPPPPPQQQQQQQIRVVRCPKCDKLLPELPNYSVYVCGGCGATLQAKNSASDTSSEKSDGEHVKYLEVLESLPEKNRDATSEASCAVREADANKVEARPERFVPNRMAAVHSQSGFSFNDSQATCAPSSALKLESALRDVSREVREAKYRRIRHEEKGEAKQPARARDNSPRSVVNSIPPPNAYPGEGPSEYPMKPGFRHANGELADSRNSDGASRVSGLEKDRSELLRILDELRDQVQRSCEIADKPNGSASTSRVVDPASSYNPNERLSRLRYGSPQLQRNGSQRSPSLNGHTPGVPPAYPSMTAQQDLHGYGEPVAHIGAPSYPVGPYPWRNFDNYFHGQYDPDPLISYHHDGFYHQPACSCLHCYHREFLPVHGAPLGFNHCRAPYLMNNPSLYPVEGPVMFGAQNYNSRGMNGLMRRNHMRATLSKKPAQTCEPIVNGAPFTICYNCYEVLQLPKKSLSLGKDEYKLRCGSCSHAIVVRLDGSRLDVSAPTPVSHLSPGSKNCPNNGQGSNGHTADERLLPSYSFSVGSHCSQEKDLPSNSSEADKMRSISSSASISEDDESPARSNSQKNSGSRDIPPDAEVATRVPSLPLRDHFVYSPSERVVDGSGKGSRSTRSEHEKGVLTESFKPSTVKDVPVASVLDLSDDEYDDPEYSQDPGDGGQYVDHPRATKSGDSFFSSLIKKSFKINGGMGNGRAKVFINGYPISDRAVRKAEKIAGPIYPGEYWYDYRAGFWGVMGQSCLGMIPPYIPEFNYPMPKNCAGGNTDVFINGRELHQKDLDLLVGRGLPDSPDRSYRVEISGKVSDEVSGEELYCLGKLAPTVEKMKRGFGMRVPRVIQ >KQL31942 pep chromosome:Setaria_italica_v2.0:I:40551055:40554210:-1 gene:SETIT_016923mg transcript:KQL31942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGSSRADAPSRRRARARLGLGGCFGAGSSSTTAGAARDGGSFAADAPSSSSRANEVQSRQSGRAVNALNFQASLAAKDLQISGESNPRLHSSSSTISHHLRFNHLNCHENKEDGLGAENAETSGLESSSRKAVMVRGSFSNEAVDVDITSREGINSVGSELGNVANTIPINELGGSISESDLHPSLITSGRIVSDLEGEIAPQVSSSTTVMTSERSDISQSSLTSMSPNSSTASSVTGEPTPDSIPTRADVPIFSGPHGQTGGSILHDDMMSIFSNDGLGRSRDSSGSEIRRSHRRVLWDTFSRRGSRGYPESDVDDLGFYSTWLDIGDDLFGELEESRHFHRRRHGSIRVSQYSRSRIREHRRAVFDSGNEQSTAACPLGIHPIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSVQAPESVVNSLPCKSYKKLETPQCSDDMEQ >KQL31941 pep chromosome:Setaria_italica_v2.0:I:40550384:40554210:-1 gene:SETIT_016923mg transcript:KQL31941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGSSRADAPSRRRARARLGLGGCFGAGSSSTTAGAARDGGSFAADAPSSSSRANEVQSRQSGRAVNALNFQASLAAKDLQISGESNPRLHSSSSTISHHLRFNHLNCHENKEDGLGAENAETSGLESSSRKAVMVRGSFSNEAVDVDITSREGINSVGSELGNVANTIPINELGGSISESDLHPSLITSGRIVSDLEGEIAPQVSSSTTVMTSERSDISQSSLTSMSPNSSTASSVTGEPTPDSIPTRADVPIFSGPHGQTGGSILHDDMMSIFSNDGLGRSRDSSGSEIRRSHRRVLWDTFSRRGSRGYPESDVDDLGFYSTWLDIGDDLFGELEESRHFHRRRHGSIRVSQYSRSRIREHRRAVFDSGNEQSTAACPLGIHPIGRCTCDSFLIAEESSARASISRIVMLTEALFEVLDEIHRQPASLSLSMVSVQAPESVVNSLPCKSYKKLETPQCSDDMEQCHICLTEYEDGEQIRTLPCKHEFHLQCVDKWLKEIHRVCPLCRGDVCEAAS >KQL29349 pep chromosome:Setaria_italica_v2.0:I:14215374:14215690:1 gene:SETIT_020644mg transcript:KQL29349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSCLSAIHLRSLFSIFGYLLLVPILNGTQGC >KQL30111 pep chromosome:Setaria_italica_v2.0:I:28124411:28127698:1 gene:SETIT_016865mg transcript:KQL30111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTPAAPPSPMSAALLTFPSSHSYPSLPAPPKSPVPRTPHLHLVPRVAASPAAAAATPHRAASATSATDRLRTLVRRGNLDDALRLVDSLAGHDPPSRAAAGPCAALIKKLCASGRTADARRVLSACVPDVMAYNAMVAGYCGAGQLDAARRLVADMPVEPDAYTYNTLIRGLCGRGRTSNALGAMKILDDMRAKGCAPDLVTYNVVVNGICQEGRVDDAMEFLKNLPSYGCEPNTVSYNIVLKGLFTAERWEDAEELMAEMAQKGCPPNVVTFNMLISFLCRRGLVEPAMEVLEQIPKYGCTPNSLSYNPLLHVFCKQKKMDKAMAFVDLMVSRGCYPDIVSYNTLLTALCRNGEVDVAIDLLHQLKDKGCSPVLISYNTVIDGLTKAGKTKEALELLNEMIGKGLQPDIITYSTIASGLCREDRIEEAITTFCKVQDMGIRPNAVLYNAILLGLCKRRETYNAINLFSYMISNGCMPNESTYTILIEGLAYEGLAKEARELLGELCSRGVVNKKFMKKGAVKMLDGPTQT >KQL30838 pep chromosome:Setaria_italica_v2.0:I:33683970:33686537:1 gene:SETIT_0165081mg transcript:KQL30838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTKWGSHGEHGGGGKEDWAGGCELPPIPAGLDMGLVGGDNWDAVLGNAAAAGQDQTFLNWIIGAAGDLDQPGPPLPVHQQPLLDNAGFGFPAADPPGFSLDPHLGGVASDMSSPGAVSHTTNSGGGSKASSAFGLFSTESASLQPPPPPVLFHEGIDTKPPLLGAQPPGPLLHQFQHQPPPTTTFFMPLPSFPNHNQQSPLLQPPPKRHQSMGDDLYLARNRAAAAAQGLPFPPLHGPAPFQLQPSPPPPHGAMKTTAAEAAQQQLLDELAAAAKAAEAGNSVGAREILARLNHQLPPLGKPFLRSASYLKEALLLALAEGHHGACRLTSPLDVALKLAAYKSFSDLSPVLQFTNFTATQALLDEIAGSTAACIHVIDFDLGVGGQWASFLQELAHRRGAGGAALPFVKLTAFVSTASHHPLELRLTRDNIAQFAADLGIPFEFNAVSADTINPAELISTTGDEVVAVVLPVGCSARAPPLPAILRLVKQLGPKIVIAIDHGGDRADLPFSQHFLNCFQSCVFLLDSLDAAGIDPDSAFKIEKFLIQPRIEDMVLGRGKADKPMAWRSAFAAGGFAPVPPSNLADAQADCLLKRVQVRGFHVEKCGVGLTLYWQRGELVTVSAWRC >KQL31811 pep chromosome:Setaria_italica_v2.0:I:39888286:39889224:1 gene:SETIT_018751mg transcript:KQL31811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSSCHGPPSVAVPGFGTRKATLRFSTTVRSSHEHLSNSARSIKTVFEDQVRGVVCYRDDRGEVICEGYDEGPRLGMRLPEKACFPWPMGIRVTDFIELSTLRVLEDEDALK >KQL28695 pep chromosome:Setaria_italica_v2.0:I:7515854:7517851:1 gene:SETIT_020141mg transcript:KQL28695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNCCLWEGIICNVDGTVTDISLVSMGLEGHISPSLGSLTGLLRLNLSGNSLSGALPPELLRSSSIVILDVSYNKLDGDFDELPSTPDQAMKVVNISSNLFTGSFLSTTLEGMKNLAALNMSNNSFTGKIPSTVCVDKPFFVVLDLSYNQFHGDIPPELGNCSVLRVLKAGQNQLSGTLPAELFKATSLEHLSFPNNHLQGTLDPEHVVKLSKLVILDLGGNGLYGKIPDSIGELKRLEELHLDYNNMSGELPSALGNCSNLTTLILEGNFFHGKLSNVNFSTLSNLNFLDFRSNRFFGTVPDSLYSCSNLIAVRLSFNNLHGQISSRINNLKSLKYLALSHNNFTDITKMLRILSSSTNLTLLILGGNFKHETIPDYDIFYGFKNLMGLALNDCSLYGNLPKWLSKLKKLRALLLYNNQLSGPIPAWINSLNFLFYIDVSNNSLNGDIPTALMEMPMLESLANSDVIIFKFPLYMYRFLQYRTTSGFPRMLNLGYNKFTGVIPPQIGQLKELLTLNLSFNNLYGKIPQSIGNITNLQVLDLSYNNLTGAIPSTLDKLHFLSELNVSNNDLEGPVPTGGQFSTFPDSSFVGNPKLCSPMLVHHCSSADAAPAPNVSTEHYIDKVIFAIAFGMFFGVGVLYDQMVLSRYIYFDRIIVAIISSYNRL >KQL30767 pep chromosome:Setaria_italica_v2.0:I:33227518:33228887:1 gene:SETIT_017790mg transcript:KQL30767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSGELDDFSLQLIREQLLGGEALACLPVGPDAAAAYSASSVHPAAQAAFHHQPAAFVPHQHQQQAAYVDLTNEYADAAAAAAVVEAAAFRAEPVMIRFGGEPSPVSDPSRRPLLTISLPPTSHAWGGPAAAPAQALDANDFRKYRGVRQRPWGKFAAEIRDPKKRGSRVWLGTYDTAIEAARAYDRAAFRMRGAKAILNFPNEVGSRGADFLAPPPPQASQNKRKLQHDAAGAEPAAKSVKAEAFGSPASSSLTSSLSPATTTASTVTATSSSPSSEAGATEMFPFPMTPSSWTWEQLEGVFGSLSPLSPHPQLGFPEVTVN >KQL31143 pep chromosome:Setaria_italica_v2.0:I:35878311:35880467:1 gene:SETIT_018822mg transcript:KQL31143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWTEYQNKLFEDALAIYDEDTPDRWQNIARAVGCGKSAEEVKRHYIKLEKDLQHMESTKGRQGSNHSSSGGSSSNGNSWGKNNDDKRMRYLKPQ >KQL28105 pep chromosome:Setaria_italica_v2.0:I:3350470:3355732:1 gene:SETIT_017038mg transcript:KQL28105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPKDGAELEEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLESSGKHKEGGDEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRKGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWSLLRIFPRELLHRIPAKTLDQYYSRDATH >KQL32228 pep chromosome:Setaria_italica_v2.0:I:41865362:41867063:1 gene:SETIT_017135mg transcript:KQL32228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSLVDKYPTWPQPPPPPSIDHSTARSISSHPPTIAMPPMPRSLDEALAAARPFLRGEEAQVDPALPELAAVLRAAGAGECWHKHGTFLAHLLDVYRILRLWRAPDAVARCGLYHSAYSNSYVNLAIFQPNTGRAHVAAVVGAPAERLVHLFCVVPRQQLIHDDLLFHYTDDDLVADLDRSEASLHDARRGVFHDDEPWRRKIQRLLPPAGITVKHIRTGEDVALSRRIAASFLLMTMADFSDQLFDWQDRLFDNANGLLEFRGNTWTSLWPGTGKPGLWVTSNSRMGALYTLILREEEIYIAHRAHAAAGQEDGGHGSNDRDEDIDLVVPPVFDGCTKVLRAEDQKVARDLYWEAVCSGDEASDDWRKVEQLLQQSIAKNPFVGEPHLVLAQVYLNMERYGDAQVQAEEGLRLLLEWGSSWDKRMTWEGWVSWGRAMLTKSREKDWPHTSFGIINLGLVK >KQL30163 pep chromosome:Setaria_italica_v2.0:I:28519412:28519825:1 gene:SETIT_019001mg transcript:KQL30163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGQANGTYLQQKKNCADYVVSVVSRSLVWLFDFSLFIGTSITLKCYHHVLFMDFVH >KQL29178 pep chromosome:Setaria_italica_v2.0:I:11054775:11055380:1 gene:SETIT_018972mg transcript:KQL29178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLMGLTVALFGSPVCSRSPAQAQKGATCSLRSRKQSLFPCSASTRPLAHPIAFRIHLEAQAH >KQL31105 pep chromosome:Setaria_italica_v2.0:I:35656571:35661032:-1 gene:SETIT_017173mg transcript:KQL31105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGASLRLSLSLSYGLPRARTDGAGPRGRSSSTVPFHRQVSPEPEDIHRVRAAKGLKMLACIACSAKDGGDQDGPRAATPHGRDAGKSLTSQLKDMVLKFSGSGSRQYKAAGSPSFRGNRFHRNSRLAAYPGVIDDSGFASDGAAEGYGYMRTAAAARSVPPPPPWEAAKVVRGFPQHTRSPSASWIPSIGEEDEEEEDDDEGVVVLEEDRVPREWTAQVEPGVQITFVSIPGGAGNDLKRIRFSREMFNKWEAQRWWGENYDRVVELYNVQTFSRQQGVSTPTSSVDDATQRDSSFYSRAGSTRESPVILPPTAAGRQQPIARAASCRAMAAASSTARAACNPSSTAVPDPSDHVWAHHFNLLNSAPAPPHFDPSRATTSSLDEASVSVSNASDLEATEWVEQDEPGVCITIREFGDGTRELRRVRFSRERFGEERAKVWWEQNRDRIHAQYL >KQL27734 pep chromosome:Setaria_italica_v2.0:I:296071:299282:1 gene:SETIT_016881mg transcript:KQL27734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAIHVHGTPIHVRLGIPPFPVHLLLFQTVDHWSFGGEQGRWWIVSVSHGRMDLLDRTKPARILSPSSSSCEQSLPITVAVIASPSESNDISPRRGFTPHSPSLSSSSSRPPPPPTMSRDQFLLRLLDLHAGDDPFFPFPTSSSFSSCPFSTSSSAHHRFLLDDHPSCPLGFTSPSPIDTFHLDLDLDLDLLLPPRAAAPPCPAFFDPFLLDALGHRVSALERALAPPPPAPRRKYTYAAEADGRKVKWVAEDKPAGGRAYKWEAELKTPNDDGFDRKWKWESKASAAGTTKVKWAKEIKGKGWLEPWSNSYSVEETYGDDDDQDKAATAAVNKVKEENKPKDMKKKKGNVEIVEIEDNTKGCVAIRKAFEMSHAKGKKKELSQQDAALLIQMSYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSFFYNISYRRRIAHDSEERQRFAEKIIVLLLTVDALEGPDYMVRNAKRSMLEELEGMLEIVDPQPPGKPRTLSRRKFDLPEGGAIPKEMRDGVKNVVRIVEEGK >KQL29807 pep chromosome:Setaria_italica_v2.0:I:24809793:24810497:1 gene:SETIT_020288mg transcript:KQL29807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRKKRTARMTRTRLRAAAPRAAAPAARAAAGARPSRPRSRPSPPPTSPAPATMAAASAPGTCSWRPGAAPASCTSWCPSAPTCAPSAAAPASSTSAATATPEVDGASQLIAAAGATAPAP >KQL30697 pep chromosome:Setaria_italica_v2.0:I:32695580:32699167:-1 gene:SETIT_018352mg transcript:KQL30697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEAGELLGIDPIELRFPFELNKQISCTLQLTNKTDKQVAFKVKTTSPKKYCVRPNNGIVAPRSTADVVVTMQAQREAPPDMQCKDKFLVQSAIVAKEIMPKEVTGDMFTKESGNVVDEVKLKVVYITPSTQSEGFEDGSLGSLSYQEPFALISKLMEEKNSAVELNNKLRQELDLLRRDISRQHGGYSLVLVLVVAIMGILLGLLMKR >KQL31328 pep chromosome:Setaria_italica_v2.0:I:36931528:36933504:-1 gene:SETIT_018596mg transcript:KQL31328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDTETEKKKTPLALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEANVPYIYVPSKEDLATAGTTKRPTCCVLVQTKPTKGELSEEVKEKLKSDYDQVVTEVAEATSAMF >KQL28766 pep chromosome:Setaria_italica_v2.0:I:7961503:7961880:1 gene:SETIT_019196mg transcript:KQL28766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQGRSSSNKIRDIVRLQQLLKKWKRLALSPKAGKSNGSHGVPKGFFAVCVGEEMKRFVIPTEYLGHWAFEELLKEAEEEFGFRHEGALRIPCDVEVFEGILRLVGRKEEAVCYCSSEPGILCR >KQL32180 pep chromosome:Setaria_italica_v2.0:I:41662642:41666566:1 gene:SETIT_016620mg transcript:KQL32180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSPLDSSPAAEVPLAPGFRFHPTDEELVSYYLRRRVLGRRLRVDAIAEVDLYRLEPWDLPSLSRIRSRDAQWYFFAHLDRKIAGAGAGGRGGPGNRTNRATPRGYWKTTGKDREVYHRGKAVGMKKTLVFHAGRAPKGERSNWVMHEYRLLDADGPQDLHVVCRIFQKHGSGPQNGAQYGAPYMEEEWEEEEDAIENAPVSGASAGMAAITCAVDEESNEEDENGYCKINELAQAHEDSPEMAPLQAQGSKDTSDGSCADGVISLEEILQEPLSNINAENIGSSEGQNATDDNFSVDDLLSTCPTKDDGYVGQDGTLNGSYPEDGNHTNWPLRAYSNQNYANGTLSAEEFFDTQNDTNGNAYSEYPQADGFPVPHQQVDGSMVFYDAPSDYNLVDGNDDFVYLNDLLNEPLGNESLFDGDDMMAYFDATENDFKYDISGSAKSSNYQFAEMSTNFAQKGDNKVGFTFDGIAKASEANVQYSASSSGSHEDLYPDSAVPDDDTGDKTFGKRFAGMLGSIPAPPAMASEFPPATGKSVGVLSAVSPSSIRVTAGIIQLDGLSFTGASERWPLQKNGDFSLLLSFTVESDMSTKAACFEQASRMSTIPMVLRSGMYLFFVSAMILVLSYKIGSCIYSR >KQL27786 pep chromosome:Setaria_italica_v2.0:I:676263:679614:1 gene:SETIT_017048mg transcript:KQL27786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSQNGGPRPRLAKVETIHGLARAGDLAGVQRKLRENPALLNDKNPVMCQTPLHVAAGYNNTEIVKFLLNWQGTETVDLEAKNMYGETPLHMAVKNSSCESTNLLLERGAHIEAKANNGMTPLHLAVWHALQAGDYSTVSVLLSYNADCFAKDDEGKMPLNHIPGGAGSEKLLKLLSRHMEEQRKRKALMSCCEGKAMAEFEEAISQIVGLQELKMQLRRWARGMLFDEKRRAMGLGIASRRAPHMAFLGNPGTGKTMVARILGKLLHMVGILPTDKVIEVQRTDLVGEFVGHTGPKTRRKIQDAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYCEPMKRVITSNDGFCRRVTKFFYFDDFSTTELAEILHMKMKNPSESSLLYGFKLHPSCSIEVTGELIGRETTEERRKQMNGGLVDTLLINARENLDLRLDFNCNDADTMITITLEDLEEGLRQISRQRQLQ >KQL28026 pep chromosome:Setaria_italica_v2.0:I:2745439:2746227:-1 gene:SETIT_018261mg transcript:KQL28026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAAGPGIFVLLLATVFMGAAAGHGGKDGCIQRVDEVSSAPGSCLCYDRCSHGGGLTTAESQTCFVNCVLRSGCVCPGDDDREALGLPALLDGTVRVSVTRPRVSRSRIEKNEEDEVLVVEVTFEDDTPPPFSIHVNAPVGGERDEFAAGAFVGSGPAPTMEEKRTGRTVVRKPIGDVLEMIGADGDKTIDVSFWPVDVDGTWYKEHRIFITAVRIEYERKNKYLLQGT >KQL30887 pep chromosome:Setaria_italica_v2.0:I:34060294:34063459:-1 gene:SETIT_016814mg transcript:KQL30887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRQRRRYHGGCAVPGVAPGAAACLAAALLLLMLAFHCVLSPPLGDGGRDDSPRPQSPTFVVGGSQMGEELWGSGAGNDFYGCSNASAEFAGAEANTELNRYLMIDASGGLNQQRTGIIDAVVAARILKAILVIPQLDQKSFWEDSSDFADIFDADYFISSLSKDVKIVQQLPDMIGKTSLPYKIRVPRKCTPLCYENRVLPALLKKNVVQLTKFDYRVSNRLETYLQKLRCRVNYHALRFTDTIHKMGEMLVQRMREKSGGRFIALHLRFEPDMLAFSGCYFGGGETERRELGTIRKRWKNLHEANPDRERHHGKCPLTPEEVGLMLRALGFGRDVHMYVASGDVYGGEETLAPLKALFPNFYSKETLASKQELEPFLPFSSRMAALDFVVCDQSNVFVTNNNGNMARMLTGRRRYFGHRRTIRPNTRKLNSLFLNRTSMSWDTFAFKVQTFQKGFMGEPNEVEPGRGGFYEYPLDCICQKTKGNAERTMDHLSKDATIITEKHLTDRDGRDLDFMEHTPLATGSSNETESDYSHGNGLDIPEMDDMISD >KQL31073 pep chromosome:Setaria_italica_v2.0:I:35411369:35412541:-1 gene:SETIT_017503mg transcript:KQL31073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLETRPPTAVVPAMPEFRDWGNLPELPLSEVLRRVLPCLRSVYAFAAVCRPWRRLLRASAANLLRPGMPPSRAVGAFSQRVLERPLPYRADLAAEGAILLSASRGHLLLLPCRGLSEGEPRIIIIDALTGADRREIVLPSPRFACHYAALLPTHLLVFHSKHAFFSLPFPDPNPDTSSSGPHWTKHSLPRPASFVTGILEFRGRVLGLTDRAQLLEFRLCASPQGHTVQMLPAAGLPDATTFDRWHFGPRLVAAGDRLLLVLFKLEPKSGSLYQDRREVKKVAIYGLDMARMRWEEVENIGAYSIFVDCAGKSAAACMDVGSCGVEENRVYVVATGRRWRSFPPGWEAPLGDANNGPFSRFAMGRQPWPSKIWVYPPLFF >KQL31093 pep chromosome:Setaria_italica_v2.0:I:35544287:35546308:-1 gene:SETIT_018538mg transcript:KQL31093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLRSALGRVFRRLSGPSAAPSMMSQRGAEILRSPTLPSLRPAELLVPHPEPAAQLMRTFMSPAATGTAARTRGVPNFQGWQHFPVGTEGVKCLQQKRLLSGERKETSKTRFLAWLRHFGPRIQLVLVYTVGMSSFVTMVTTFHRLDKASTKSNNFNSAKKRY >KQL31953 pep chromosome:Setaria_italica_v2.0:I:40590952:40591476:-1 gene:SETIT_018987mg transcript:KQL31953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALDHWHASMLQTRSDFNLKIDHSIKPNAANLLRILAVRYNIVMSKMHQRSMNLAGSVI >KQL28221 pep chromosome:Setaria_italica_v2.0:I:4396692:4399128:1 gene:SETIT_016847mg transcript:KQL28221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGENQAADNLLVSASDNNELQDLPVKERCFEQREALPGEPRCVVCGRYGEYICDETDDDICSVECKTILLARVAAKTKSAVKAAKRVNLPLGDESFCIKDTNFPDIPTLADRRISALRSKLDICVKGDAVPDPIMCFSSCGLPEKLVHNLETAGYCMPTPVQMQVIPASMSNRSLLVSADTGSGKTASFLIPIIAHCSQVRSQECTSKRGPLAIVLAPTRELCLQVEEQAKVLGKGLPFKTALVVGGDPLAQQIYRIENGIELIVGSPGRLIDLLMKHNVDLTDVSVFVLDEVDCLLQRGFRDQALQIFQSLSNPQVMMFSATLHSEVEKMSNSLAKAVIRISCGNPSRPNKSVKQVVIWVESKKKKQKIFEIMKSKQHFKPPAVVFVSSRVGADLLSEAITVATGLEVVSIHGEKTMNERRESLRRFLTGEVSVVVSTGVLGRGMDLLKVRQVILFDIPNSIDEYIHQVGRASRMGEEGMAIVFVNEEDRRIFKELVPVLKTAGAPVPRELANSRYTAGVSLGCERKRKLSSRSHP >KQL28965 pep chromosome:Setaria_italica_v2.0:I:9254868:9255659:1 gene:SETIT_020607mg transcript:KQL28965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLESNSFPRCGNWTVVQKRRALYLSRMTYYSSLDLSTTIVPCTYWIYDPGSSLRK >KQL32020 pep chromosome:Setaria_italica_v2.0:I:40921001:40922432:-1 gene:SETIT_018012mg transcript:KQL32020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGEEALRREYVIGDEIGRGRFGTVRRCYAAATGAPFALKSTPKEPLRELEADPLDLALAEQEPKVHLLVSAPPPASRHVVALHAAFEDADAVHLVLDLCEGGDLFSLVSARGPLPEPEAADLAAQLADALAGCHRRGVAHRDVKPDNLFFDAGGALRLGDFGSAGWFGDGRPMTGLVGTPYYVAPEVVAGREYTEKVDVWSAGVVLYVMLSGTVPFGGATAGEIFEAVLRGNLRFPPRAFAGVSPEAKDLMRRMLCKDVSRRFSAEQVLRHPWIVTRGGSAA >KQL28945 pep chromosome:Setaria_italica_v2.0:I:9125610:9125846:-1 gene:SETIT_019411mg transcript:KQL28945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATPTGDGGDDGLKTSWPELVGFEMLNAADRINLDRPDVFPAFYSLSTPLPTDYNPTRVILVGDDRSVVVRTPVIG >KQL28455 pep chromosome:Setaria_italica_v2.0:I:6021524:6023506:1 gene:SETIT_017366mg transcript:KQL28455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATTTTTPNPKREPLRPRSSNAPAAPSPATARRGLASAEKENLGPKSLGNGKEQKEKAATAATAAAAAELSKPARPAPAVAPTPPLKSSSLQLRMKDESTEAAAAAGAPPVLVGPRGRELLPPPSSSYEAWDLSDSESAPASSWATLPNRALLCRPLPQDVGRCTCVIAREAATGARGVALYSLYTNEGQGRQDRKLAVARHRRRRGRSEFIVAQNQDGIFCTSDKNFLGTVAANLVGSKYQIRGQGNRVDELKSQSKRLLGVVAFAPTITTLTGSFRSMRAWIPKSQSMQLKANNSAQIQHISGLPKDWQEKKSRADQLCSRAPFYNHMTKRYELDFRERAGRMGYRVQTSVKNFQMTLEENGRQTVLQLGRVGKSKYIMDFRYPLTGYQAFCICLASIDSKLCCTL >KQL31793 pep chromosome:Setaria_italica_v2.0:I:39772943:39776164:-1 gene:SETIT_017034mg transcript:KQL31793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHHKPGLRVRLRITAARRRAWLSAGLRSTCHKPPRRDPADSIHKVARREIGGGHRRPPRPAAPSSSAFSCPEKFRNFQLQEEYDTYDDEVHFLVQLPFLWTRTKIIEIVAAKDVIFALAQSGLCAAFNRTTNKRICYLNISPDEVIRSLFYNKNNDSLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKTNCHVPLTILSIEDGSPLKTFSQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSDLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFEDHELWHPNCNTNNIYITADQDLIISYCKVSKQVADCVDSEAGGGIAKLQSNL >KQL31794 pep chromosome:Setaria_italica_v2.0:I:39772357:39776356:-1 gene:SETIT_017034mg transcript:KQL31794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHHKPGLRVRLRITAARRRAWLSAGLRSTCHKPPRRDPADSIHKVARREIGGGHRRPPRPAAPSSSAFSCPEKFRNFQLQEEYDTYDDEVHFLVQLPFLWTRTKIIEIVAAKDVIFALAQSGLCAAFNRTTNKRICYLNISPDEVIRSLFYNKNNDSLITVSVYESDRFSSLKCRTTPIEYIRRGQLNDGFPLFETESLKYPGFVEFDDVNGKVLTFSAQDSTYKVFDLKNYNFLYSICDKNIQEIKISPGIMLVIYQKTNCHVPLTILSIEDGSPLKTFSQLLHRNRKVDFIEQFNEKLLVKQDKENLQIIDVRNSDLIEVNKTEFMTPSAFIFLYENNLFLTFCNRTVAAWNFRGELVTSFEDHELWHPNCNTNNIYITADQDLIISYCKVSKQVADCVDSEAGGVSSMGSINMSNIFTGKCVAKISPSDPTLTIAPRNRGDKSRSTIHSTVSEALEDITALFYDEDRNEIYTGNSKGLVHVWSN >KQL30863 pep chromosome:Setaria_italica_v2.0:I:33833632:33833969:-1 gene:SETIT_019610mg transcript:KQL30863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPNSTIQLVGPPLDCAFRFHGGTCCIILIISPVLWMWFQTLRWRRR >KQL28580 pep chromosome:Setaria_italica_v2.0:I:6797567:6798056:1 gene:SETIT_020305mg transcript:KQL28580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPQYKCITGRETSMKGLRLSVKSPQSPYRQVDSKVVAEE >KQL30016 pep chromosome:Setaria_italica_v2.0:I:27487351:27489144:1 gene:SETIT_019943mg transcript:KQL30016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHLPTIAILPPRCAPAPPSAAAAGIAVPEVLSLLERAISGGDVLRLGRAAHALLVKTALTSHTLLSNRLVALYSLLPSPDAAIAAFHDLPHKNPHSYNTLLATLSRRPGTLPDALHLFDAMPADARNLVSYNTVLSSLAHHSRQEEALRLVARLARDRFLGPGLAIDRFTVVSMATACAGIGAERPLREMHGAVLVSGMEMTIIMANSMVNAYSKAGRVEDARRVFDQVSVRDKITWTSMISGYCQAKMLDKAVQVFDMMPDNDRVAWTALISGHEQNGEEDAALELFERMLAEGVWPTPFSLVSALGACAKLGLVTRGKELHCYILRQSIGTDPFNIFIYNALLDMYCKCGDMMAAMALFHRMPEKDYISWNSMVTGFSHNGLGKQSLDTFEEMLVAGVQPTHVTFLAVLTACSHSGLVSDGRLVLESMEDHGLEPRAEHYAAYIDALGRNCQLEEATEFIKDLPSRIGPGTAGSWGALLGACRIHGNIELAEEVAEFLFRLEPGNSGRYVMLSNIYAAAGQWDDARRVRGLMKEKGLRKDQAYSWIEVRSAKHVFVAEDMSHREADEIYEMLGKLLDHMRIAGDPTEHQLDLC >KQL28106 pep chromosome:Setaria_italica_v2.0:I:3388439:3390218:1 gene:SETIT_019845mg transcript:KQL28106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPEGMHLVSETDAGHISSQVRESMMSKQKKVVPEQELSGKIRCFACSATSHSLDQCSTKYKLVTVAHKFGYATKFPFIMIIPPSEDMLEKEKFYHHCLLITSNVSNLNLGILKGELQKLWNLSGDWVLRRKCSKSFLASFSSQDDVVSCLKNPNMETLLDDEEVNLTVTRWTEGDDQSNGLIKQWFIVCGVPRKYRAWTELYQVASAFGVLIDVDEGSLQVGDKEPIRLKIALKNHDGAPFSYHYVVGWCSRMVMLTVEAKIDSENNDHNTRIVTSTGNHVLDFGDSSDKEHGKELNAAQILLLEEPTCIIEESRLDGKTKENKTSTAAANVNKSKETTIESSKPEGVQSICRNSTTIIGEDRIQKPPIKHVYKRRGIPKPPIKYVFKRRGKKLQ >KQL29060 pep chromosome:Setaria_italica_v2.0:I:10045020:10045371:-1 gene:SETIT_019532mg transcript:KQL29060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQDNILPDLIAFNTLIHGYVKEENMDEAFNVFNIMEKEMKMGERGIEPDRYTYMSMINGHVAAGNSKEAFQLHDEMIHRGYAPDDKF >KQL32186 pep chromosome:Setaria_italica_v2.0:I:41699840:41704487:1 gene:SETIT_016305mg transcript:KQL32186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEGEGEVGAAILGPAAALHLHDGGGGGDDEPQPVYCAVGIAKEEWKANLQWVLANVPRSKRLVLAHLRRPPSRINMMGAWVPVSQLADEEVTAFRQLEEDKIGQVLDDLLDICKSQKVNASKIIVASDDTARGLVQLVDDHGVTELIMGAASDRAYTRKMRAPRSKKALMVQRKANPSCKIWFVCKGNLICTREASEGAHRAESSTTSTSPRSSTSDYSRSKSSPRLHNETFSTQESNDPATSSVDETPATTRWGDHTMEGLDNHHATAPTTASVSEIVESGEEAAAEQLVHGVQEDQLLIPFGQDAGEMDDALYEKLKDALVEAENLRQEAYEETRRRQMAERELAEASKMADDAERSYRREAGQRKEMEDTLGRERAAMEHDRRELHDILDKIRKVDERSAELELQITNSERMMTDLEARLSESCSLLGTLRPRQAATTAREEEIDGERQQLVVGAASSSLRRLGYAELDEATNHFDESARVDGGGGGGRGKVYRAELRGMAVAVKVLGRDVAVDEDRFAREVERISGVRHPNLAALVGACPEARAVAYELVPGGSLEDHLDLAAGGDCSLPWRARCGIAYGACSALSFLHSTSSAPPRADVRPASILVVGSSSCKLAGLGTRGLVVDHPGGASSRPALAYADPSYLAAAAGEVQQQCDADVYSLGVVLLRLATGMPAFLARKAAREAAGGGRAWREVVDACWPAERGREVALLGLRCCARSRRELLEEARGVLEAALMSSAPPSSVAEPDDGAPSYLVCPILKEVMRDPQIAGDGFTYEAEAIEEWLRSGRDTSPMTNLKLPTTKLIPNHALRAAIHQWHKALTPRTETRYE >KQL31776 pep chromosome:Setaria_italica_v2.0:I:39711974:39713979:-1 gene:SETIT_018246mg transcript:KQL31776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMRSVSGMPRCSTALFVARGPVLGGQTTFSVSDPAAPPFGTIPCSSSMSGGGACLPAFHICVGANDQLLTADWYKEHGIELILGPKKTLLTSIGEVISYTTLIVATGAPALKLEEFGGSGSNAENVCYLRDIVDADKLVSVMRSFPGGNAIVIGGGYIGMECAAALVANKIKVTIVFPGKHCRVTFIKGIAVSSLEISSGKIASRMYINHLLAICSFSLEIEVSSSLFVLIK >KQL28094 pep chromosome:Setaria_italica_v2.0:I:3291808:3297465:1 gene:SETIT_017342mg transcript:KQL28094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHAGSGNKNAFKALIAAEYSGIKVELVKDFQMGVSNKTPEFLKMNPIGKVPVLETPDGAVFESNAIARYVARLKADNPLYGFSLIDYAHIEQWMDFAATEVDANIGKWLFPRMGFYPYAAVSEETAIAALKRALGSLNTHLASNTFLVGHSVTLADIVLTCNLYLGFIRIMTKSFTSEFPHVERYFWTMVNQPNFKKVIGDVKQAEAVPPVQKKVAPAKEQKPKETKKEAPKEAPKPKADEKPAEEEAPKPKPKNHLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKVKGLWLFRGSEIPKFVMDEVYDMELYEWTKVDLSDEAQKERVNAMIEDQEPFEGEALLDAKCFK >KQL28902 pep chromosome:Setaria_italica_v2.0:I:8782667:8786862:-1 gene:SETIT_017637mg transcript:KQL28902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNEPSTPSKAPKASEQDQPPATTSGATASVYPEWPSFQAYSAMPPHGFFPPTVAANPQAHPYMWGAQPMVPPYGTPQSPYVMYPPGTVYAHPSTTPGMHPFSHYPMPTNGHAETPGAAPSAPEMNGKSEPGRTSAPSANGITSHSESGSESESEGSDANSQNDSHSKDNDGKEDGSSQNGISYSASQGMLNQSMSMIPIQPGAMVGVPGSTANLNIGMDYWAAPGSAAIPATQGKATAGSARGDQWDERELKKQKRKQSNRESARRSRLRKQAECEELGQRAESLRSENSSLRAELERIRKEYEQLLSQNASLKEKLGATGDSIPDMNEQNDGDGSGHQKQPDSDAQPGNES >KQL30057 pep chromosome:Setaria_italica_v2.0:I:27776947:27778970:-1 gene:SETIT_018207mg transcript:KQL30057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEVHGIVIVGGGICGLATALALHRKGIPSLVLEKSKTLRTEGGSIGVHVNGWRVLEQLGVAPELRKTADVVTEFHDVWQQKQGNKSVVVPVRGELRWLKRRDLIETMAKNVPSGAIRFSCHIAAIRPVNPGSHGVVLTTLDGSIIRAKRRSLQVRDRPAGDWVLGPAQLHPGLHPFRQLPVRSAAAAASCRPCRWESGFGITAEDFLFADGYRYTNLISDPINLIYFIMIYIIYFIYSPIF >KQL30076 pep chromosome:Setaria_italica_v2.0:I:27873446:27880225:1 gene:SETIT_016601mg transcript:KQL30076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPGRLQRSGSKRGLDPTGGGDDDDHAPKRPRVPALASVIVEALKMDSLQKLCSSLEPILRRVVSEEVERALAKLAPARIHGRSSPKRIEGPDGRNLQLQFRSQLSLPIFTGGKVEGEQGAAIHVVLLDANTGCVVTSGPESFAKLDIIVLEGDFNKEEDEDWTEEEFESNIVKEREGKRPLLTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLRIAPGFCEGIRVREAKTEAFPVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLRLLVRDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYASDTRSVGAIFNNIYEFTGLIADDQFISAENLTDNQKVYADALVKKAYEDWMHAVEYDGKALLSFKQKKKSITTRSDTAAASTSNPASYGLASSQKQLPLPTKSGQPSSAGTTNEADGTRSAYNGNQSARYTSNTQNIPANITMQFDRSALSPESQFNGSSLQAQASRGSNMLALGPPQQQQQSFEFPALGQSMQPTGMNPFDEWSQPQENRGGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGAGTNLTEDGFNFPSYMPAPSPNLNFEDDRTRTPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >KQL30075 pep chromosome:Setaria_italica_v2.0:I:27873446:27880225:1 gene:SETIT_016601mg transcript:KQL30075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPGRLQRSGSKRGLDPTGGGDDDDHAPKRPRVPALASVIVEALKMDSLQKLCSSLEPILRRVVSEEVERALAKLAPARIHGRSSPKRIEGPDGRNLQLQFRSQLSLPIFTGGKVEGEQGAAIHVVLLDANTGCVVTSGPESFAKLDIIVLEGDFNKEEDEDWTEEEFESNIVKEREGKRPLLTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLRIAPGFCEGIRVREAKTEAFPVKDHRGELYKKHYPPALKDDVWRLEKIGKDGAFHKKLNASGIYTVEDFLRLLVRDQQRLRSILGSGMSNKMWESLVEHAKTCVLSGKHYVYYASDTRSVGAIFNNIYEFTGLIADDQFISAENLTDNQKVYADALVKKAYEDWMHAVEYDGKALLSFKQKKKSITTRSDTAAASTSNPASYGLASSQKQLPLPTKSGQPSSAGTTNEDGTRSAYNGNQSARYTSNTQNIPANITMQFDRSALSPESQFNGSSLQAQASRGSNMLALGPPQQQQQSFEFPALGQSMQPTGMNPFDEWSQPQENRGGVDDYLMEEIRMRSHEILENEEMQQMLRILSMGGAGTNLTEDGFNFPSYMPAPSPNLNFEDDRTRTPGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >KQL27995 pep chromosome:Setaria_italica_v2.0:I:2361821:2363390:-1 gene:SETIT_019226mg transcript:KQL27995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPYKPKNILITGAAGFIASHVANRIVKKYPDYKIVILDKLDYCSNLKNLLHISSSPNFKFVKGDIASADLVNFILVTENIDTVMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACRRITGQIKRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGEPLPIHGDGSNVRSYLYCEDVAEAFEVILHRGEVGHVYNIGTKKERTVMNVAKDICKLFNLEADKAIKFVDNRPFNDQRYFLDNEKLKSLGWSERTHWEEGLRKTMECMTILDELLPMSIEMAKRNLRGIYNFTNPGVVSHNEILEMYKQYIDHSFKWTNFTLEEQAKVIIAPRSNNEMDASKLKKEFPELLSIKDSLIKHVFEPNRKVPAK >KQL28467 pep chromosome:Setaria_italica_v2.0:I:6077272:6077852:1 gene:SETIT_018662mg transcript:KQL28467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIAIFLLFAAASALLLLVLVVAARAFRHHRGSRYRVPSLDPSSPAPSPLRTGLSPADLLRLPSFAFPSGSSPRDGDGTPNTVCAVCLEAATAGERWRAMPACRHAFHAACVDRWLARSPACPVCRAAVAAPTS >KQL31149 pep chromosome:Setaria_italica_v2.0:I:35916903:35918916:-1 gene:SETIT_017744mg transcript:KQL31149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFIEAQKPLLSRLMKMAGLRPIEIELEPGTTMHIWAPKHHVGKKGTTISPLEPTSAATAAKKSKSSKKRSPESKPNVVLIHGFAAEGNVTWQFNFGVLVSRYNLYIPDLMFFGGSSTASADRSPDFQAGCVAAALARLGVARCDVVGFSYGGMVAFKLAEARPDLVRSLCVSGSVVAMTDAVNREAMERLGAGSSAELLMPETLKGLKALLSISMYKKMWFPDRFYKDYLKVMFTNRKERMELLQGLLTSTMDAKIPVFQQKIMLLWGEEDKIFDIELAKKMKEQLGDNCFLHGIRKAGHLLHVERPCAYNRQLQRWLAYVNSPAGGDQAS >KQL28476 pep chromosome:Setaria_italica_v2.0:I:6126184:6132146:1 gene:SETIT_016666mg transcript:KQL28476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRGLEAAAAGGADDGRPEAKRARPPALASVIVEALKMDSLQRLCSSLEPILRRVVSEEVERALVRLGPATISGRSSPKRIEGPDGRTLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDAGSGCVVSSGPESSAKLDIVVLEGDFNNEDEEGWTGEEFDSHVVKEREGKRPILTGDLQVTLKEGVGTIGELTFTDNSSWIRSRKFRLGLKIASGFCEGVRVREAKTEAFMVKDHRGELYKKHYPPTLKDEVWRLEKIGKDGSFHKRLNKSGISTVEDFLRLVVREPQKLRSILGSGMSNKMWETLVEHAKTCVLSGKYYIYYSDESRSIGAIFNNIYAFCGLISGEQFYSSESLDDSQKLFADALVKKAYDNWMYVIEYDGKGLFNPKPKKKAASTGQVETNLPAVGPASYQQHLSSTSMPGPSPAGRSDSVGYDGDQSATHPAQLQSSSSNVQSPFDDTFSFLPPNMLTGSANDAMGLELGQLQQVISQSQSIQPANVGYTDWPRNRESQYGDDFTEDIRIKSHQMLESEDMQQLLRVFSMGGASGSLPDDTFNFQSYMPSPLPNLGFEGERSHSSGKAVVGWLKIKAAMRWGIFVRKKAAERRAQLVELED >KQL28881 pep chromosome:Setaria_italica_v2.0:I:8647742:8651142:1 gene:SETIT_016953mg transcript:KQL28881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRRGGTRATTLLLVLQVLFVAVARAAVGGGGGEDAGESFLRLWSDGGEEADGQQEDVFKWDGEEDDDDDEEEGRIMMWGKGTGRPPTCRNVVNVDSFGAAGDGDADDTEAFSNAWKTACSLENAVFLVPAGRRYKVGASTFMGPCKPKMIIQIQGTIVAPEEPSEWDPRSPRLWLLFSGLAGARIQGGGVIDGSGSKWWANSCKINKSNPCKAAPTAVTIDSCRGVRVKGLRVQNAQQMHLTVYRSRSVRVTGVRIDAPEDSPNTDGIHVAESTAVTIQNCRIGTGDDCISIVNASFNIRMKNIDCGPGHGISIGSLGKGGTFAAVENVALDTARISRAQNGVRIKTWQGGAGYVRGVRFSNVVVDDVDHPIVIDQFYCDAAGGAPCRSSTASAVAVSGVAYRNISGTSRRADAIRFACSDAVPCTGIVLSDIDLRRSDDDGGGEVQTVCNCAVGFDYGCVRPAVDCLRSSTCGGAPDDHPDHDDDKEKEAAETTPAPILHTEL >KQL29106 pep chromosome:Setaria_italica_v2.0:I:10317738:10318295:1 gene:SETIT_019108mg transcript:KQL29106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLVQREGEPTPGLISGSFPHCVHNVGDDSDEFTIELHHRGFFVGQGLNRAYVDSKVSWFDHCEVDSWSLLWIQDFLEQLGYLKNLSDGLRIVCSDGDSVVIMSLVKKVKNFVLYVDHNNNIAGLDWDDIVANPVVSLPKVLSPK >KQL30848 pep chromosome:Setaria_italica_v2.0:I:33776412:33777351:1 gene:SETIT_019083mg transcript:KQL30848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSFFSMETGGVLELLLVSAEGLKHAHHHPRRRGKKIWWNEKFRFPLSDAECRDLEKVTLTIMEIDQFAEDTPVGETKVHVGEVISEGSEREFLQMKPAPYNVVLEDGTYKGVLKLGIKFISSVSTRTPSDPMETLHLTEHVLCGKMQVSLRPSTDGDGVRWSVPARQPSVVGYGLFLSFACPSIPWRRLFFFCSRSSGGQSGEKDL >KQL29865 pep chromosome:Setaria_italica_v2.0:I:25714111:25716083:1 gene:SETIT_016916mg transcript:KQL29865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVMARINLVLVLCVAAAALMASFVAAAGEGAAATAKELRRGFSVTHDQSYSQFQPVLSDPTGAFALGFLRVDTTMLDLAVLHLPSAFPLWRAIPDRPAPWSAAASLSFDGGLVLTDHAVNKVLWSTAAASASAGDRVLLLNTSNLQIQSASGSSADVVWQSFDYPSETIVQGQNFTSAAALYTFDRRFAMRLGSNYFGLYIEPPPPSSSGVAAAMYFKHTALEAKAQIVAGGGPTYARVEPDGFLAMYQKEGPPADVLSFDTFNRGVRAFRRMTLEPDGNLRAYYWDGTRWALDYTAITEPCELPTTCGAYSVCRAQPSGRCECLANATDGSGCATAAAPAASVGGSLCGTTGGEVGGLYRAVRRQGVEPVNKELLGFERAASAADCEARCERNCSCWGAVYSNGTGYCYLMDYPAQLLVEGDERKVGYFKVRSLEDEAAARGRSGASRVKAVLLAVGVAAVVGAAAFGAYKVWDSRRRTAVDARRQMGDVDDGLSPGPYKNLGSFSSVELTNSFRR >KQL28620 pep chromosome:Setaria_italica_v2.0:I:7108514:7109622:-1 gene:SETIT_018344mg transcript:KQL28620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFCGLGLASCFALLVATAGATQYKVGGDNGWAVPDAADESFNTWAEKTGFQIGDQLLFVYPKDKDSVLLVEPADYNACNTSSYDKRFDDGSTSFTLDRAGAFFFISGVEDNCRANEKLIVMVAGGGPAPAPQGGSSSPTPAAPAPSSGGGAAKAPPSSSSPNAPAAKNSTAKGAPHAGGGGDKNGAAGLSVAGFLASFVGCVAYATLAF >KQL30274 pep chromosome:Setaria_italica_v2.0:I:29577274:29579457:1 gene:SETIT_019964mg transcript:KQL30274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTPQSHPILAYVLSRLPSLPAVRTPRSPSLTSSPRERDLEQASPRTPSGAAEIDLVGRMPGLRHPSVLSAMTRAVADVASARDAIRLLGPRPDHEQVDASRALLLSAASGDKPDATAKEKDDEEEKLEASREVVRLEEEHEAYGALLREAEEKLESVYRMAMHGRDIQEGGGGERKKGEEEEGSGAVDEEVVRVLKEAEEGRTLERVDLADRQLRLLPEPVGRIRGLLALDVSRNQLKVVPDAIGGLEHLEELRLASNNLVSLPDSIGLLSNLKLLDVSGNRLRVLPDTISKCRSLVELDASYNALAYLPTGIGHELVHLQALRVHLNKLRSLPSSVCEMRSLRLLDAHFNELRGLPAAIGRLSALESLDLSSNFSDMRDLPPSFGDLAGLRELDLSNNQIRALPDCFGRLGRLERLRLDQNPLAVPPPEVVAGGVGAVKEYMAGRWAEAVAEEERRRASAAAMAVDSPTKASTPREWLTRSVSSLSTWVSDVTVKVVGQDTVEEEEEFLQQQF >KQL29326 pep chromosome:Setaria_italica_v2.0:I:13734968:13737456:-1 gene:SETIT_019195mg transcript:KQL29326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLDSDDDRPVGEMTESDIEMFRRIFPGRRDLIVHEFSDLTLSDQAFAEGRDDELLEAGPSMVIEEVVCDKERCPWRVCARKQNITGKWKITKVVGPLNCADHELTVRHPQLTSTLIAKRLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWSMIYGDWESGYEQLPVLFNAIKAVNPGMHYEYIPKPNAWKDGRQIFGRAFWCFPQSVEAFRHCRPVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHVVGPGREVGVISDRHQGILHAVQEQIEGYAPLQHRWCTRHLAENLLRKDGVKDNFDLFQVAACQLEDYYFQRKLEQVRTTTNAEGRQWLAGLMRDLDKWTRSHDAGGWRYEFQCSNMAESFNKLLLGIRGMPVNAIVEFTFYRLVAWFNERHTKAEALQIAGERWAEKPKRHLIIANERASTHEVQCFDLGSGNYQVEHRGGTMSDGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAARHRNFDIESMIPHEFSVDTLVRIWSPRFVPFRDPREWPPYDGPKYVADPAYRWNKRGTRKWTRHNMTMDQVSGRTRRGRATPFLADPEQNECGKCGRLGHNSRTCRWQISEDGALPPSRPGMPGLDVISYQVRRGMPKFNSAAITALVDRYYYH >KQL29194 pep chromosome:Setaria_italica_v2.0:I:11223350:11223878:1 gene:SETIT_020618mg transcript:KQL29194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAADEAWCRETVPRVLELVSPRLPQRDVCALLAVSPWCYRTLVSNPRLWEVRVA >KQL27844 pep chromosome:Setaria_italica_v2.0:I:1104706:1108834:-1 gene:SETIT_017188mg transcript:KQL27844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVILSSAAPTPLSPPARPRRQQQQPRATSGLRGGLARLSGALVLSHAGAALAVPLSYEEALRLSSDSGGDGGEFALTLPDLGLDGLVDFVTENPIVIAASVAAVALPLLLGQLLGGGGGGSKSYAVVSARTAYQRLLEEPGVQLVDIRALKDAREAGAPDLREAKKKAAAVPYNGEDKNGFLKKLALRFKDPENTTLIIIDKFDGNSELVAELVTTNGYKAAFAVKDGAEGSRGWKSSNLPWKEPAKGFSLDLGELFGDGSDGLPLTIGFAAATGLGVLAYTEIETLLQFLGSAAIVQLVATKLLYAEDRQKTLKQIDEFFNKKVAPKDLVDEIKEIGQALLPLPGEPKSQPALETGTPAAAPAAEATTESAPATPTPLSPYTNYPDLKPPTPPSAPSAEAEAEVKVESTATEAPASVNSAPPARPRPLSPYPNYPDLKPPSSPSPSPP >KQL31023 pep chromosome:Setaria_italica_v2.0:I:35122576:35123048:-1 gene:SETIT_020597mg transcript:KQL31023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCWCSIWCELKKQVVMKTQLSHVKCSRPVSCRTHARYLLIYILKVYFIPLYAHPITIFLDTALLYLVCFIIIIMFLFDIC >KQL28418 pep chromosome:Setaria_italica_v2.0:I:5745293:5748720:-1 gene:SETIT_020494mg transcript:KQL28418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFHYPDHGLTMDAAAAAAAAAAAAAAASSPNPSGFSPGGVGGEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPLAAAAGAAAAGGPSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMGCWELEQSLQSLTGASPGEGTGATMSDDEDNQVDSEANMFDGNEGSDGMGFGPLMLTEGERSLVERVRQELKNELKQGYKEKLVDIREEILRKRRAGKLPGDTASILKAWWQAHSKWPYPTEDDKARLVQETGLQLKQINNWFINQRKRNWHSNPTSSGEKTKKKR >KQL29905 pep chromosome:Setaria_italica_v2.0:I:26212391:26214551:1 gene:SETIT_018496mg transcript:KQL29905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSSPLFHFLLPSPKQPLLSGRAAAAAVSARPRRTSPRVLRSLGEASPAASSADEPLPCFLSYNTMVSRPVVLVFLLVILIVTSQFEWKQQLVNELESTTRNHQHISSREELVKDKIILSQEKMIQKLNDFIQNLQQQLVQCRENNKTIHSSGTSLTSYISEIQRHQMMDD >KQL29022 pep chromosome:Setaria_italica_v2.0:I:9750554:9754301:1 gene:SETIT_017296mg transcript:KQL29022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAAAAASLPPPPPEVAHLVEQLQRHHLAPDASLLSNSAHSDLLQAREEVAAERALYLEALAVYAEAVAMVEEYHAAGGAGAGKKLNCSPQVYESLEHRLAVAEAAQRLRLPLLSQDGEVHEEEIEKLSTLSRSSFDSTLTSATPSSSSISTSYNNYSSTASAVTVGAAYGSGNSEAVEPGVGGVPDRFLGITSDYLYQVQQEQPAMSVDMVDYQRTLAREIEARLEAKCDALADLFAMDERDSSSISQISSARLPERVKLIIEEIEKEEAHLLEDLASMDRKFAEHYNVLEQILAVLIQFVKDKKLEHQHQYDDLKKTWLIKRCRTMNAKLSYLEHHLLRDTYTKDTVPALHRIRKYLVEATKEASNSYNEAISRLREYQGVDPHFDVIARQYHEIVKKLEGMQWTIHQVEMDLKPHHDHAAV >KQL30479 pep chromosome:Setaria_italica_v2.0:I:30806647:30810747:1 gene:SETIT_016558mg transcript:KQL30479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANNWLGFSLSGQDNPQANQDSSPAAAGIDISGATDFYGLPTQQGSDGHLGVPGLRDDHASYGIMEAFNRSQQETQDWNMRGLDYNGGASELSMLVGSSGGKRAVEDGEPKLEDFLGGNSFVSEQDQSGGYLFSGVPMASSTNSNSGSNTMELSMIKTWLRNNQVPQPQPPAPHQAAPQPEEMSTDASASSFGCSDSLGRNGTVAAGSSQSLALSMSTGPQHLPMVVAGGGGGASGAAASESTSSENKRASGAMDSPSSGAIEAVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEDKAARAYDLAALKYWGTTTTTNFPISNYEKELEEMKHMTRQEYIAYLRRNSSGFSRGASKYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILESSTLPVGGAARRLKDAVDHVEAGATIWRADMDAGVISQLADAGMGAYASYGHHGWPTIAFQQPSPLTVHYPYGQPSRGWCKPEQDAAVAAAAHSLQDLQQLHLGSAAHNFFQASSSSTVYNGGGASAAGYQGLGGGGGGSFLMPSSTVVADQGHSSTANQGSTCSYGDDQDGKLIGYDAMAAAGGDPYAAARSGYQFSQGSGSTVSIARANGYSNNWSSPFNGMG >KQL30858 pep chromosome:Setaria_italica_v2.0:I:33818555:33818982:1 gene:SETIT_019030mg transcript:KQL30858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPRDFKNSSGGNGTGVQDPRRADDNGAVVGGGGAAPDLRAIHDHAGGGR >KQL31671 pep chromosome:Setaria_italica_v2.0:I:39117593:39120992:-1 gene:SETIT_019180mg transcript:KQL31671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKWEDFWQLLKSKGLKVVRMSKVNKKGKTTFKETKEIPVEAVNGYIKSVKDNKYEQAHAFLYIVVSKLLIPTHSNYILKQHVGICSDFGWMKNFNWCRFVCQDLKNKIASWQKKKTKSKVEGSSLLLLMCFIKTFEPQPGPEQPSVEPLIKLYGNKKVEKLLGNLKDIHEIRKEVAKYQPRPASKKQQVPRQKGKQPASKNQQVDEGDRGVLLRELNRRIKDNTNEDLKVIVVDHSKWSRLYNEIKYKAQIGDRKISVMAKTVVVQIHDIQMTELKFVESFKPGGHLCDDVMEIFRLILKESWKPRNDMIMLSRGAVDQLLGVDKDLIFVPFLSSYHWSLVVVEPDLTLISVLDSLSDLEGSTKPVATDPLHSCWIIALRRFLCSIGLNCTDFLSSTPSVWKQKNLDDCGFHTLLYIMRYKDGNYKNIGEDEILICRQHTAWFLLEHKDNVWGKNQMMGMRATRNKMTRMGGH >KQL28887 pep chromosome:Setaria_italica_v2.0:I:8671643:8672002:1 gene:SETIT_019596mg transcript:KQL28887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPYAAAIKHGGLWRSVDRAGVLWPPAIIKSLGRCSCSCSSGIRPGPLPPMQKAFNSVASHAAVASTLVCFVSSCAGVASGFVFVVVQI >KQL27917 pep chromosome:Setaria_italica_v2.0:I:1679857:1681771:-1 gene:SETIT_018161mg transcript:KQL27917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPRAPSAAAQDHWSAAGEFLGFAAARRGAHRRSASDPAAFLEAVPMDDVIAGDDFDRLDDEQLMSMFSDVDAPAVSDGGGGAERAGDAQLMDLGDAEDGMAAASSPASARAAADGVADPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQMEVSALSPRVAFLDHQRSLLTVGNSHLKQRIAALAQDKIFKDAHQEALKKEIERLRQLFHQQQIKATTGGADIAAAASMQARQELLACEGAAIR >KQL30118 pep chromosome:Setaria_italica_v2.0:I:28202364:28203069:1 gene:SETIT_019150mg transcript:KQL30118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRAAWIAAGLGARLLMVAVLAMTVQLTLANHTRRSYEDDFYKLQSYSYTVAAAVIGMAGSALQVPVAVYLLCRSKRMTPSALVLDASMYADVRRRRGIRRHHRRPAVRPPRHVVGRRRDEAGPHPLLRQGVPPRSLPPRGDGAVHGRHRRLRQAPGPDGQRRRLMSAHHHQLDLSSRDRFIDLSLYFLTHATTLFK >KQL29508 pep chromosome:Setaria_italica_v2.0:I:18823085:18825509:1 gene:SETIT_020109mg transcript:KQL29508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIRLMVLTSMRRPKMLRELNWQRRRDFFMVFASDVVAGFRLFHVFFGENYYLIMLLLVAKGAQCYEDVCTVNRILYDTFKEASSARGLLGHDREWFAAFAEADCWATRSQLRSLFVLMVMYCGVSNEASLFDRCWRPMAYDIDYVLQKHMGSSSYSLCELLSNNGVSITKYNLLSSAPSSGFGNHIIDDEEAYDIATLHQAPLLYSRLNDCQRTTYDSIVRTIMSNEPALYFVSGFGGISKTFLWNSIITYVRSLGKIILDVASSGIASLLLLGGRTAHSRFKIPIDIDETTICDIKRGTMLADLMKKTSLIIRDEAQMTHRRCFEALDHSLCDIMSENDAGMGLLPFGGMVVVLGGGVRSKIVDDAITNSPLWRFVIILELTMSVRLVADGLDSVAKEELFKFSDWVLSVGDGTLPTVSGAPRDDSAWIQIPDDMLVVTTTGDTIVSPTNDVVDEINSAMISIVLGERKEYLSFDCVSKCSNTVGDVDLLYLVEFLNSLKVNNFPNHCLVLKVGVPVMLLRNLNQTAGLCNGTRLIITNLDDTILEARIITCSNIGDIVYVPCIVLTAANKKWPFTLQRRQFPIRVCYVMTIHKSQG >KQL29274 pep chromosome:Setaria_italica_v2.0:I:12601125:12604031:-1 gene:SETIT_017308mg transcript:KQL29274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIERPPRPPLPPPPPVAAAVAAAAAISSVLGDDDLLREILLRLGLPTSLLRAALVCRRWLSHASDPAFLRRFHDLHPLRLLGAYLSTSAGPNPRLRFLPIRPVPELAGAARRAGGFFDAFKGSAASIYDSRGDRLLVTTFDDRNDSTHQVCSPLSPAGDTTVVPPPPPPPPIQLANDDECVIYQYCEFLPDDGDGGSYFCVVMGYSELQTNVYLYELQDMNWVVRASAAAQLALSPRRSRVMLFSNEKFYILSATNKILVCDFPSSSISFMELPSGVENKHGGCIMLSRGDGSGIYLMHVKESQLQIFHCRKDSDNPGNWVLVDYICLRQVCANLDIATWPSVGGHTASVKICAVGDNARFVFLEMFGTVVFLDIRSRQAVKVYELTPEDKELVSVRPLLLMWHPVFPLMKNGCNQKELCTA >KQL29047 pep chromosome:Setaria_italica_v2.0:I:9910763:9911086:1 gene:SETIT_019639mg transcript:KQL29047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YEQIQQHVSESDPSSQVSQVFEKSLQYVKRFSRYKNPDAVRQVRKTLSRYGLAEFELCTLGNLCQDTRGEATALVPSLKSGGRFVGKAGNEKIEKMLTDLSLIKKLE >KQL30002 pep chromosome:Setaria_italica_v2.0:I:27418260:27418718:1 gene:SETIT_020336mg transcript:KQL30002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAREEIETFSSNVCPISPMCDPSAPMHLLGFSLNLLSL >KQL31976 pep chromosome:Setaria_italica_v2.0:I:40729824:40732357:-1 gene:SETIT_018651mg transcript:KQL31976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRSMRTCLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSDTSSIMSNGGDGWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFVVFGVAILWFIQMSSEDEYSSVF >KQL31975 pep chromosome:Setaria_italica_v2.0:I:40730116:40731145:-1 gene:SETIT_018651mg transcript:KQL31975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRSMRTCLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSDTSSIMSNGGDGWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFVVFGVAILWFIQMSSEDEYSSVF >KQL31974 pep chromosome:Setaria_italica_v2.0:I:40730116:40731145:-1 gene:SETIT_018651mg transcript:KQL31974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRSMRTCLHSGRLALLAILVSGGIVLQILACALYNNWWPMLTVLMYLILPMPLIFFLGSDTSSIMSNGGDGWVNFTKFLTGASIVGSIAIPSILKHAGVIGWGALTMELSSFVVFGVAILWFIQMSSEDEYSSVF >KQL31875 pep chromosome:Setaria_italica_v2.0:I:40217389:40224184:1 gene:SETIT_016522mg transcript:KQL31875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGEKAAGGGGAAERRKYPIHVEDYELYEEIGQGVSAIVYRALCKPLDEIVAVKVLDFERTNSDLNNIMREAQTMILIDHPNVVKAHCSFTKDQTLWVIMPYMAGGSCLHIMKSVQPAGFEEAVIATVLREVLKGLEYLHHHGHIHRDVKAGNILVDSRGGIKLGDFGVSACLFDSGDRQRARNTFVGTPCWMAPEVMEQLHGYDFRADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSRNFKQMIAMCLVKDPSKRPSAKKLLKQPFFKQARSTDFIARKLLEGLPGLGARYQALKEKDESLMAQKKMSDGKKEEISQDEYKRGISSWTFDIDDLRSQASLGTECDDSISYKDSDTSLYDLDSLQEQAPEGPHLSRDFSVKYDADIENDLKANDKSTASSPDQPACLLRNASMRGMPVNGLVRKDNCTESYDLECQERHPDIIPTSSMHERKFSFNSCSSDGLLSSKESSKLQSNIHNRDKCNGAPWHVSDEPSPEAVPKAHKSAEDHDDRSKPPLIRGRFKVIPGHVDFDKAQSPGLQKCHSMQTISRLPSLSIPSSAEAASSIIGGSFYMQLYSVLQTNMLQREQILHAMKQLSGFDMASPGVPSMASPCIPSTSRSTSPSGALSVDRSMLEAAHEKEKELMNEILELQWRLLCTQDEVQRLKAKAAQI >KQL27679 pep chromosome:Setaria_italica_v2.0:I:77108:81912:-1 gene:SETIT_016761mg transcript:KQL27679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRSLAAVLLLLLFAAAASPSAPATTTTTGGKDEEEDLQYLIDNAGDTPASDPDGWLPDPEGEDDYGDDLFQDQDQDLDLPAHHQQIDETHVVVLTAANFSSFLAATRHVMVEFYAPWCGHCQVLAPDYAAAASHLAAQHAQADVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKDAIVEWINKKLGPGVQNITTVDDAQRILTGDDKAVLAFLDSLSGADSDELAAASRLEDTVNFYQTSSPDVAKLFHIDPAAKRPSVVLLKKEEEKLTFFDGEFKASAIADFVSANKLPLVTTLTQETSASIFGNPIKKQILLFAVANKSSKFLPIFKEAAKSFKGKLLFVFVERDNEEVGEPVANYFGITGLETTVLAYTGNEDARKFFLDGELSLDAIKDFAESFLEDKLTPFYKSEPVPESNDGDVKIVVGKNLDLIVLDESKDVLLEIYAPWCGHCQSLEPIYNKLAKHLRGIGSLVIAKMDGTTNEHPRAKPDGYPTILFYPAGKKSFEPITFEGDRTVVEMYKFIKKHASIPFKLKRQDSSTARTGSTQTEGVKSSGTNMKDEL >KQL27678 pep chromosome:Setaria_italica_v2.0:I:76162:82041:-1 gene:SETIT_016761mg transcript:KQL27678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRSLAAVLLLLLFAAAASPSAPATTTTTGGKDEEEDLQYLIDNAGDTPASDPDGWLPDPEGEDDYGDDLFQDQDQDLDLPAHHQQIDETHVVVLTAANFSSFLAATRHVMVEFYAPWCGHCQVLAPDYAAAASHLAAQHAQADVALAKVDATEDTDLAQKYDVQGFPTILFFIDGVPKDYNGARTKDAIVEWINKKLGPGVQNITTVDDAQRILTGDDKAVLAFLDSLSGADSDELAAASRLEDTVNFYQTSSPDVAKLFHIDPAAKRPSVVLLKKEEEKLTFFDGEFKASAIADFVSANKLPLVTTLTQETSASIFGNPIKKQILLFAVANKSSKFLPIFKEAAKSFKGKLLFVFVERDNEEVGEPVANYFGITGLETTVLAYTGNEDARKFFLDGELSLDAIKDFAESFLEDKLTPFYKSEPVPESIYAPWCGHCQSLEPIYNKLAKHLRGIGSLVIAKMDGTTNEHPRAKPDGYPTILFYPAGKKSFEPITFEGDRTVVEMYKFIKKHASIPFKLKRQDSSTARTGSTQTEGVKSSGTNMKDEL >KQL30757 pep chromosome:Setaria_italica_v2.0:I:33181772:33183718:1 gene:SETIT_016851mg transcript:KQL30757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAAAVTAPTTAPVHVAPRHAAPALQPRAAPRRDPSPLNPNTPAQALRSATSAPPSTTSASDGARAHIDNLDKVLGKPPQVPRAAKQEPEQDGGEQEPLSVRHGLLNALNLSFFVPMPGMRARTAADEHMSPRSLMHMQQLLSADSPRASPRSTIAPRWRSLHGEGGWAGLLDPLDSDLRRELLRYGDFVQAAYQAFHSLPTASARHRGLMLPDRSYRPTRSLFATSALSMPPWAKRPNTPEWLTQQSNWIGYVAVCESEREVARMGRRDIAIVLRGTATCLEWAENLRASLVPLDGESSDGADAGAEEPKVARGFLSLYKTAGEKVKSLSEEVMDEVRRLMDKYKGEELSITVIGHSLGAALALLVADEVATSIPDAPPVAVVSFGGPKVGNAAFVDRLGSSGKVNVLRIVNAGDVVTKVPGVAPRLPHKKEQYHHVGAELRIDSKNSPCLRPDAGPACRHDLEAYLHLIDGFTGTGRPFRHDARRSVIRLLQLQRGNVKKEYVNRARELGVDPAAPADVGRSMAYGNCAVASPSS >KQL28066 pep chromosome:Setaria_italica_v2.0:I:3107193:3111923:1 gene:SETIT_017237mg transcript:KQL28066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAGAAAAPPPRQSKLEPREELRVEVPPDASLRVRLVAGTAEIFGTELPPEGWVSIPPRSKIAIFSWHGATLELDGPTESEYPSVETPMVIYVNTHAILDARRARARAAAAQGGDLEASQGPRVIVVGPTDSGKSTLCRMLLSWAAKLGWKPTYVDLDIGQGSITIPGCISATPIEKPIDIVDGIPLEMPLVYFYGHPTPSINPDVYKVFMKELAKTLERQFSGNAESRAAGMVINTMGWVEGLGYELLLNAIETFKANVVLVLGQEKLWKMLKDAVQSKPNIDVVKLQKSEGVVLRNSKYRQKTRSFRIKEYFYGIANDLAPHSNIVNFSDVSVYRIGGGHQAPRSALPIGAEPVADPTRLVAVNISTDMIHTVLAISYAKEPDEIISSNVAGFIHVTDVDIQRKKLTYIAPCPGDLPSRLLIASSLTWYEA >KQL29933 pep chromosome:Setaria_italica_v2.0:I:26627391:26628299:1 gene:SETIT_019357mg transcript:KQL29933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSGDRSSPRSRQLQGPRPPRLAVSKDSHKVRKPPVAPQRHHHLQQQQQQQQVVQHQQQHQHQHQHQYQQQARQPVIIYDASPKVIHTKPGDFMALVQRLTGPGSSSQAQFDAAAASGAGAGAGTSSDAPSQAASAMEFEPREFLLSPTAALSPAARLAAIERSVRPLPPHAHPPAPVPPSYYGGATDDDFFLSASASADLESFAAALGGAPSVRPGILSPAALPPAASTGLFSPMPFDPSCLSWLSELSPFLPSAGTRAEQAPFAPSPRSNLLLATPTMPSPATVSVLEFFSSGTFPDM >KQL29163 pep chromosome:Setaria_italica_v2.0:I:10821906:10828378:-1 gene:SETIT_017450mg transcript:KQL29163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGLVHKSSPLVGEMGEAGHGWWSVNNLRPPFEQQHHPSLFMPSTTTTTTTAAPSSSSSPLHSFSSLLLSNHYPLPTTSTSPWHHDSSSTSSHGQQDSWSQLIQGGLATNGEEMYKEGQMLFPTTTVCSEAGGSGGSYLYSAATASHGSSTSDEIQLPWGSSVHQHHKALQQKSASSPRSSSITSTTSLGSNMLEFSNNSSSPVRECISTASGSAFKKARVQEPSPAQSTVKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLHSQIEALSSPYVGGSNDGGGAGSSISSSSKHQQQLHIHESSVHGERHSIFPEDPGQLLHDNALKKRGQPEQDGSCEEAKKDLRSRGLCLVPVSCTLDVGVDVVADYWAAAAAPAFGMGFGG >KQL31574 pep chromosome:Setaria_italica_v2.0:I:38505807:38506913:-1 gene:SETIT_018778mg transcript:KQL31574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSPRRALLAAVFFGFLLAAATCIRTATFSSSQNLAEDKSRLGSTPPSCHNRCSACNPCTPVQVTTVPGLGRSARVTDDTVAAFSRYSNYKPLGWKCRCDGRLYDP >KQL30376 pep chromosome:Setaria_italica_v2.0:I:30192541:30198554:-1 gene:SETIT_016429mg transcript:KQL30376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGVAPAPLPHVRVRDGGIGFTRSVDFAKVLSVPGVGTTRTGSSRGRALVAKCSSTGSDTMELEPSSEGSPLLVPRQKYCESIHQTRRRKTRTVMVGNVPLGSDHPIRIQTMTTSDTKDVAKTVEEVMRIADKGADIVRITVQGKKEADACFEIKNTLVQKNYNIPLVADIHFAPTVALRVAECFDKIRVNPGNFADRRAQFEQLEYTDDDYQKELEHIEKVFSPLVEKCKQYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESALEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYNLGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLMDGLGDTIRVSLTEPPEEEIDPCQRLANLGTQAANLQIGVAPFEEKHRHYFDFQRRSGQLPLQKEGEEVDYRNVLHRDGSVLMSVSLDQLKAPELLYRSLATKLVVGMPFKDLATVDSILLRELPPVEDTEARLALKRLVDISMGVLTPLSEQLTKPLPHAIALVNLDELSSGAHKLLPEGTRLAVTIRGDESYEQLDILKGVDDITMLLHNVPYGEERTGRVHAARRLFEYLQTNGLNFPVIHHIDFPKTIDRDALVIGAGANVGALLVDGLGDGVLLEAADQEFEFLRDTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVQRGIAMEGATDALIQLIKDNGRWVDPPAEE >KQL30703 pep chromosome:Setaria_italica_v2.0:I:32753673:32758021:-1 gene:SETIT_017016mg transcript:KQL30703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCRCLVVLVAALAAAAAGAGTEEGDWDPVIRMPGEEEPAAARGGEPLDEEEDDGVGTRWAVLVAGSSGYGNYRHQADICHAYQILLKGGLKEENIVVFMYDDVANSVLNPRQGVIINHPEGEDVYAGVPKDYTGEQVTAKNFYAVLLGNKDAVTGGSRKVINSKPNDHIFIYYSDHGGPGVLGMPNLPYIYAGDFMKVLREKHASNSYAKMVIYVEACESGSIFEGLMPEDLNIYVTTASNAEESSWGTYCPGMIPSPPSEYITCLGDLYSVSWMEDSETHNLKEETIKEQYEAVKERTSDSNSYGAGSHVMEYGDKTFKGEKLYLYQGFNPENADITYKLLWQGQKSVVNQRDADILFLWKRYELLNEKSKEKLEVLREITGTVTHRKHLDSSVDFIGKLLFGVENGPSTLGAVRSPGQPLVDDWDCLKRMVRIFESHCGSLTQYGMKHMRAFANICNRGTPATAMKEASISACGSYNSARWSPLVQGYSA >KQL30064 pep chromosome:Setaria_italica_v2.0:I:27824477:27831987:1 gene:SETIT_016871mg transcript:KQL30064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEFISLEAPCEADAKGEGGDPQVEAPGVNGAEPLASELQPEVEACAVGSTSSPKPSDGNIDLEEGQVEDMDLADDDDVVVKDELLDASIQPEVSVAAVQTVIGFEVKLDKGGGAENASVFESNSISLEESPARGVKRARVESKEPSVRVIFSDLTRESKRKLMELMQQWSQWQARRQHKSKEAAEEILESGEETYYPALHVGSEKSCAVSFWVDNQARENTTMDDDSVPLYDREFTLGSTPLGDSSNTESRADKDDSRCFNCGSYSHALKDCPKPRDNVAISNARKQHNLKRNQSNAHRVQNRYYQKTPGKFDDLKAGVLGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDSEVKLDYEEGELPEQGELSPPRKRMTVEFPGINAPIPENGDRWLWGSAPPQSSGRHHSSDSRDYRDRGPPGTDHYSSRYLSHDYGQLSPSLGRSHSDRGWRSPPRYENLPADDSAWTPHSYPSRQYSGHYSSSSEMSSRHSRERDRDRDRHESRHHHHRR >KQL30063 pep chromosome:Setaria_italica_v2.0:I:27824477:27831987:1 gene:SETIT_016871mg transcript:KQL30063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEFISLEAPCEADAKGEGGDPQVEAPGVNGAEPLASELQPEVEACAVGSTSSPKPSDGNIDLEEGQVEDMDLADDDDVVVKDELLDASIQPEVSVAAVQTVIGFEVKLDKGGGAENASVFESNSISLEESPRGVKRARVESKEPSVRVIFSDLTRESKRKLMELMQQWSQWQARRQHKSKEAAEEILESGEETYYPALHVGSEKSCAVSFWVDNQARENTTMDDDSVPLYDREFTLGSTPLGDSSNTESRADKDDSRCFNCGSYSHALKDCPKPRDNVAISNARKQHNLKRNQSNAHRVQNRYYQKTPGKFDDLKAGVLGPETRECLGIGENDPPPWLHRMRELGYPPGYLDVVDDEDKPSGITIFGDSEVKLDYEEGELPEQGELSPPRKRMTVEFPGINAPIPENGDRWLWGSAPPQSSGRHHSSDSRDYRDRGPPGTDHYSSRYLSHDYGQLSPSLGRSHSDRGWRSPPRYENLPADDSAWTPHSYPSRQYSGHYSSSSEMSSRHSRERDRDRDRHESRHHHHRR >KQL28526 pep chromosome:Setaria_italica_v2.0:I:6450116:6452038:-1 gene:SETIT_018020mg transcript:KQL28526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRIQLGYHRHSSPSPASMSSSSLLSKLRLVTVDVTGTLIAYRGQLGDYYCLAAKSAGMPCPDYARVHQGFKLAYADMSRRHPCFGHAAAMPTAEWWKACVRDSFARAGYDYDDGTFERIFRRIYATFGSSAPYSVFPDARPFLRWLRGRGLAVGIVSNAEYRYRDVVLPALGLNQGSEWDFGVFSGVVGVEKPDPRIYEAALEAAGGVAPAEALHIGDSLRKDYAPARSLGMHALLLDRFGTAEAESWRRSGTPVLPDLAAAREWLAGDAKEEAAAPVTAR >KQL32280 pep chromosome:Setaria_italica_v2.0:I:42046578:42047075:-1 gene:SETIT_019464mg transcript:KQL32280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYADAAALRPAREAEVDALFAVQAELRHRGDLVAQGVRRMGEEKEALERRLQDVMMATDVMEAWVVENNRRGNTQATEDAIEPADVLSRQMIECTAADLALEDTIYALDKAIQEGSVRALSREQFFHRALSAKVHSEQQRAKVASMAARAPQYAS >KQL32058 pep chromosome:Setaria_italica_v2.0:I:41150679:41150761:-1 gene:SETIT_020019mg transcript:KQL32058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRAPSLFAIAMVVALLVSSSCDA >KQL32289 pep chromosome:Setaria_italica_v2.0:I:42128732:42130900:-1 gene:SETIT_018079mg transcript:KQL32289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSARNIMFRHLKTLTISPALASGVTSQHHQLQQRAPVSGTAKGKAKLKAGQQLKRSTIGAKKGAPSTGGGGGGGGGGRGRREAMERITQISESCLNASTPLRHLSPKERLREAKREELGLISKERQRELDVAKAKAKAKAKSKGTGADDGDHVLMGPPGLDYISLGLVDEEAIPKYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLTLKKEAIAALPEKLQAAAMVPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKHSVKEKLR >KQL28456 pep chromosome:Setaria_italica_v2.0:I:6023755:6028650:-1 gene:SETIT_017560mg transcript:KQL28456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTVKTIKGANFEIRVQPNDTIMAVKQKIEEKLGKDSYPWGQQLLIFTGKILKDESTLDENKVSEKDFLVVMSSGKSKMSGSSGASSAQLSSTPATSQAPPVDAPRQAPQPPVAATTISQPEGPPAQAPSNTYAASNLLSGSNLDTMINQLMEMGGGSWDRDKVQQALRAAYNNPERAVEYLYSGIPVTAEVAVPVGGQGSNTTDRAPTGEASLSGIPNTAPLNLFPQGGSNAGGGAGGGPLDFLRNNQQFQALREMVHTNPQILQPMLQELSKQNPQILRLIQENHAEFLQLLNEPFEGGEGDFLEQPEQDEMPHAISVTPEEQEAIGRLESMGFERARVIVAFFACDRNEELAANYLLEHAGEED >KQL30072 pep chromosome:Setaria_italica_v2.0:I:27861921:27863638:-1 gene:SETIT_017332mg transcript:KQL30072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLRKKRRRAAPPPAAIPQDLLLSEVLPRLPAKHLARFKCVCRSWRAAVESDPAFVRRHLELSRAAPPCILVIPCEEFYNDDDDDDDDDDGAMSGEISFHRLILGEAPGTADVELVFDKSWPEGIAHGVLPTHCDGLVAVATAADQIFVCNPATREFVALPPGSRDVLDVKAPAAALGYDPLRNRYVVARYFYRKYDLSKDVASGALSLDYEIGHETFTLGSGGGDGCWEPTADPPHAIGPARPVCTREAFYWCTAVRRPSALLRFSLRDRAFDVVPCPPGADYVHGVDHLTELAGKPCYVQPATETAFDFWVADDDGGPRPEWTLRCRVDFADYGPSVGSDALSVVAAVGDEMMIAADHRNLYSCDGRRRKGARLLVDMEEELAYERPDGSTYDGELLHHVVPYVESLVSIGKCNY >KQL29472 pep chromosome:Setaria_italica_v2.0:I:17043629:17049112:1 gene:SETIT_017324mg transcript:KQL29472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTAPAPPAATPMAPPPSYPASSAASASTTASAEDDDDLYGRLKSLQRHMEFVEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDGNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLGSSEKPNVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAADIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPETDFDFYK >KQL31032 pep chromosome:Setaria_italica_v2.0:I:35157279:35160057:1 gene:SETIT_016401mg transcript:KQL31032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGRPKSAAAEAKSGKVTPPTPKGGRASKPASAKPANGTPPQAPRAADRSPRSGDKPPSGDRRTPKVFDRLSTPPAEKQSSAMKLSQELQAQLAAVQEELVKAKEQLVEKEKEKGKVLEELEDAKRLADEANANLMVALAARKKAEEASETEMFRAVELEQTSIESMQKKEEELQRKLESMRSQQESDAAALRSTVEQLEKARYELADAIDAKNLALNQVADATRLSEVNAHKVELLNAEVTRLKELLDTELESKEKEGAKQIMRLEAEVSALKIELQKAKDAEEKVAELEDVIEGLRVDVANATKARTEAEEQADEWKQKAEILEIKLEAANQSYMLKVDSLNSVMKELDEASTLLTEKECELSDLQNKLQALEDEVARQNEDINASDERLDVAEKEAIELREEINELQSKLQALEEEKMDAINNENNASSQMESICEEKDKLAQELEASKDEYEKVKKAMEDLASALHEMSGEAREARERYLNKQEEIERAKAQIEELNINLKNTQENYEVMLDEANYERVCLKKTVERMEAEAKNTSEEWQSKEVSFMSSIKKSEEEISAMRVEMDKATETARDWENRNAELEEKLKELEAQVEEANRAKDEAKAEALEWKEKLLDKENELQNIKQENDELQVKESTASEKLKELSSMVGNAKDRVLNGTGPKDENEKGNTKEDDPVVVVAKMWENSKVTDYDLSTEKEKDGESELDLESNKGDAASDCHRLSTDTRMNNNTKLIKQQQPKKPLMKKFGGLLKKRSQH >KQL31242 pep chromosome:Setaria_italica_v2.0:I:36421363:36422508:-1 gene:SETIT_019839mg transcript:KQL31242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRSHLLSAGRAASPLPPDSLLNRLLHLSTATAPHAHFVPEEFLITTCGLTPVQALRSSRYLVHLKSPSNPEAVLAFFADIGLAKADIAAAISRDPRILCARVDKTLTPRLAQLRDIGLSRTQISRFIAVAPNALQSHIRIPRLAFYISLLGSYDKVHPVLKMNPHLLGHNVEREVKPNIAFLLKCGLTNDGIAKLFLLVPRMFMLKPEGIKGIVECAHKKLGVPCNSAMFKFALATTYSINPQRVGAKLDFLMKALGCSETELRIAVGKMPTTLKLSEVNLTRTVAFLKMEVGLEAKYIVHRPAVLSHSMERRMMPRHYVLKVLRAKGLVKDDIDFYNVVCSTEQKFAVRFIERYKEIVPMLPVHYAAACAGQLPPEI >KQL27891 pep chromosome:Setaria_italica_v2.0:I:1460231:1462499:-1 gene:SETIT_019116mg transcript:KQL27891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRLELRDQTRTLAGILPEVQSPSREIPLRQKAAYTVASLVIFLVGSQLPLYGARRYSSAAGDPLYWMHASSTSKSNYGSVMADGIITLLLSDWNGVQRLFGILLAAALPISNVLVSTAVLIALQLSFGGVVVIYLDELLKKGYGLLSGIPLFTTASIRNGGTHVSLTCLSPCSVRTPAAARPS >KQL30241 pep chromosome:Setaria_italica_v2.0:I:29261514:29264415:1 gene:SETIT_019296mg transcript:KQL30241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPAVRGVGIDEEHPAPRRKGVLVRRGAFAVKREVFAVAKMSVPARRLLQKRLSSELDAVRDALRKAEVLSSRGAGATGAPAPAGGKDRRLLATEAPAEEDGGSAAKKRKVSPFAEQGAGEPKRMRPDGRERLAGRLASLAAALPDHAVAFLQNQRVGDADSRGSGGGGGEMEKDVRSMKGGALFQLKMLLDKFAPESTLPKSLGRAPLVASGFRCLSRHQGAGGKMATVQEEEDEEEGDGVDICGGVSRIAIRDIAEEYGELVEDIGVKLLSPLQRKYVDLAEQGEYVDICGDASPVVFPAKAGDGRSTSPSLSSSYSDSSLSGSDSSSSSDSDSESDPRKSISSRPPPATVPKEKDTGALPSVPAPEPLHTAEPEDAQDQCTPPVPAVLPKMNDANAQPLEPAPEMVRIAEQEELQDQCAPPPPAPPVHPVASSPPGPAALPKENDTYTQPPEPAPEAVQIAEETEELLSLCVAPAATGHPITGGSAPPSAALPEENGASSQPPPELAPVPAQVADPEEPQVQGEGAAPAPANDLSGLVNEAKEEAKRRRQLAKERAKAKARRVLLEVERAAPHDERVHPRDLELLGIAAFEHVASTVQDARTAAQPQVDEGGGLRVSPGGPSVLQQLGVFLKADDGSDDDDDEEGQQHPAAAAAFASHGEDMEVEDGEIR >KQL31200 pep chromosome:Setaria_italica_v2.0:I:36236053:36236595:1 gene:SETIT_018633mg transcript:KQL31200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLQSQTQESYVRLQGRRWRRAGARGFRLCPRNRFSVRRLRAEFLTFLGLVGRYVRHLVRRLSTSGGGGGGNGACGRSSSRRVLVGGGKDAAAAAASKGPRRAAPFVRSNSFYSQAIADCLEFIKRNSVPVEDYGTVSARR >KQL30974 pep chromosome:Setaria_italica_v2.0:I:34787129:34794131:1 gene:SETIT_020200mg transcript:KQL30974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFEGRSASTTTRGVEQAIVALKKGAHLLKCGKRGKPKFCIVRLSYDERALIWYSKEREKRLSLNSVSSVVLGQKTTKLLRLHWPEKEPHSLSVIYKNGESSLDLVHSLYGSPRLIQNKYSHSYSEPFFSPRQRAWSELDSYLEKISPELANRVKNDLRDIKSSEKITDQRIMHMPKLKQSEGSNAASDSLKDIFVWGDIQGRMLDRGHLSAANVSLPRLLKSAQILDVQSITCGEKHAAIVTKQGQVFSWGEENSGRLGHKTRDSVSHPKIIDSLSSIPVKAIAFGAKHTCAVSVSGELYEWGEGIHSLGLWNDQCRRSQWFPHKLISTSDGISVSKIACGQWHTAIISSTGQLFTYGDGTFGVLGHGDTCCVAQPKEVESLRGLRTKSVACGPWHTAAIVETSGTPKSNAPGGKLFTWGDAGEGKLGHTDKKSKLVPTRVETLVDCDFIQVSCGMSITVVLTITGVVFTIGSKKHGQSGNPRPEDTSICMVEGPLKTEFIKDISCGTSHVAVLAMNGKVFTWGKGTEGQLGLGDYVDRTSPTLVEALEDKQVESITCCSNFTVVICVHKAISCKEQSVCSGCRLAFRFTRKKHNCYNCGSMFCNSCSSNKVPRAALAPDKSKRCRVCDACFNELNNTAEHSKMSSGSKIQKEETSLTEIRTYTPKLSRMLKEANFIMEKMGTAHSPNQRNQDLATLNQVQKQRWGQVDCPNQFKCARDSIPYRSTSKKQTVDVCCIGRMIDPVSQKTATLLPQATNDRRKEQDLMEKILLEEVKQLQAQVTTLAEECRHKSLKVQLYKRELEETWLIVRDEATKCKAAKDIIKILTNQRNALSKKLLGGLELDNSSIIPDPPDKTLATGKIPPLNSIRDQRYIEEVDIQSTASSNTVAMDDSAVHQNDRRASNSSRGYDGGTDSTIAPTDSNGVIEQIERGVYITVVTSPSGKKGIKRIRFSRKHFGEAEAQKWWEENESRVFARYASMEYLAT >KQL28950 pep chromosome:Setaria_italica_v2.0:I:9146506:9146718:-1 gene:SETIT_019796mg transcript:KQL28950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPIPGKSSWPELVGVLATLAATAIAHDRPDVSVEVLPPGSPIIPDFNPSRVRVFIDNNAIVTKTPVIG >KQL30043 pep chromosome:Setaria_italica_v2.0:I:27704537:27705500:-1 gene:SETIT_019987mg transcript:KQL30043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGVAARAAVQLLTGSALLGPVDRHRHPTDRELVAAARMGLAMAIALGEAAAHVLLGMYGPVGPIGGALIALQLFSGGAIVAFLDGLLGTGYGLRRASAFTLFAATNTCGKIVDAGRGPSVTDSLLATFLVLLLAVFLHGCHVLLPMRSRDAQERRDTLPVKLLYTSVVPIVLHSAAVSAFSVASQLLHYSRYGGGMVARLIGTWEEASYYAAVPVGGLAYYVTPPAGLRHVVADPLHALSYAMLLLTSCALLSRAWASRRLVLDFPDAAIRSQVSRYVPTAAVLGGLCVGALTILGDVTGAIGSGTGILLTATVF >KQL30695 pep chromosome:Setaria_italica_v2.0:I:32668977:32677412:-1 gene:SETIT_019124mg transcript:KQL30695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRFLAADYFAPSPSAAASSEPFPSLPVPTLPPDPHLPNPSPFPADFLPVASVAENDLDSLPVGSALSEFLAAIIPQPLPVPDIPAADEGLDDYLYGRGVYGKGFSSTDPVAFEIHKASEASCLDSKWELLKELKFEVVEVDDLPRKIASFDDEDPDGGVTFSLGVPDVKIHLDFIDIDTETTITYPAEVAESIYQVEKIPVKHADDEDCPYARDGYCLEIAGLEHGLIIPQLEVSRNSWELDECPAKAVISNIFLNVAENLNGGAQVCLPSFDSTEFLRSCDMDMLAFVCEDAPRVEYQADKPITAKDVAEMDLVRINDNILLEKKSALYPLKPDGTCSDLPCSILLEEVEIIDFPSDDAFKMLVQSEKAEMNASDEIFKDDFDQARSFYESVVSSELTLVDDKFKSLPTPILTDDKAVRSMLLPIEEVLCSLKPLPLSAADGIYLDWHLLSEGPCSRESCSTHASMVEEVKPCGLSSELQISCQQTPALGIDFLEEYQRSSKLQHEDMRNKIYYVPEPISHDPSAKLETAQKYKQESDVTGHSHMEKSSEKASTLFESMSRSNVRNYYLNVKNVTNKVRNNENVSTLDIPPSKQQAVPFSIRPKVDKLIEIHPVSLSDLIRGLIKDIHVNYTSALQESTYFRHFFSDGQGLSISKQKLLELITGEGSEGLYNPCKDEDKMDLIVLYALKQVAYYLCFFGLHAAYLYIGNLTGSFQNIPETLRNIQCCIGEARLKAEKQLFESHPSLSDIEAILRSNTQIGQKILIVSDRAFWLPLGRKLTAMKMTSAEVGTYPSATYSDPVVKANSKTWMLEELWKSDCILLDNKNIPASFPFSEFCMILEYGGPNKSSTLLSLAPKLDGLPPLHFLYVTVDGEDFPVALVEDNHTDKDPKSTLDAVLHTLQKDLQEKMNKMRIFDSLNFIPATNQQQHLQEKLSNHLITDPSKKVHVDGQLNNQGNLDGKNIVGSHNFVPAAEQLNSLNQIATVNSQKSVHAVEKSSSTSSVSTNVIKSPQDNQSASDLPFSVKTDSTNLGRLSAPEVVIVVNTGNHGKHMLFSRRSSYQQILALEKEGMQIVERDVDLPVDLILSATVCLLWYDTGTFGSSELTISADASSITNFIEDIATNILMSLSFCFSGCIMVFEVENHFLSAVMEASDSLYASAASLDMNLQLFFSQAPKSTDQIILDCIGNVVRKNQAPSPDIPESESLAESFLTAFPSIIPPSAHMIISSACLVDFLGWSHEQRTQAVEKYRLPPQSISLLSALCKFGELGESRSVMTECSSIDSDISSALLQPPRKKKKRPMQDFSVAINDPACPNPRDQLCGDYVEHDKVFSASKLRKFSPIEDTMPELPEVFIVDQSLNMGREGVSYQPRKHDVDAAARNPMIDDGFISEFSPNFRTYSERTSSMVDTCDFSWQPELGAKQPIRSSFPTSRSSFCRTSSHPTFPSAPEISNDPGEWDVSCGIDQTRTGHLHGDLATSSRRNDLASRYHEPRQETMQGPASSQSFLKQDFGYHGASQGSGWEMDYLRQMNENRIARQERSRCNSSAAMSNSRLRDSSYRIPSAPPIESFSYQRNTDTPSRDQNPANTESFRYRRNINTPLRDQSPSNGAHRHGKGRRGTKAQSHSVRTGFKAQPSINHEKSIVPSIEPTWTPLDKRARQKLSFATYGKEKQSKLVWRHQSSPGVGCGFRKRYREEGT >KQL28024 pep chromosome:Setaria_italica_v2.0:I:2717962:2718890:-1 gene:SETIT_018307mg transcript:KQL28024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADCMTRVWALAIATAACVILPGAIVYEIVRIAAARHLGATIALSFFLVIWATATAAYYPRVCTDIVRWVPAGADPAGPARARPPPTGRQRRRRLVAAVRGAKPARRPRQHVRGSPRAAERSRRRAGCRRWHPGAVRAVAAQRRIVGAAAQRDGEPPLERCAVCLCDVEKVETAARLSACLHMFHRHCIDQWLHQHGHSTCPLCRRDAFAAPLPREQST >KQL31898 pep chromosome:Setaria_italica_v2.0:I:40336619:40337458:1 gene:SETIT_019277mg transcript:KQL31898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPSHLAGAGAVAVAGPEPFRVFVGYDSREDIAYRVCRRSLLRRSSIPLDVIPIVQQELREAGLYWRERGPTESTEFSFTRFLTPHLAGYRGWALFVDCDFLFVADVADLARLAASADPRHAVLCVHHDYTPTEATKMDGAVQTVYPRKNWSSMVLFNCGHSKNRAALTPEAVSTRSGAYLHRFMWLDDDEVGEVPFVWNFLVGHNKVDPADEAATAPRAIHYTSGGPWFERYKDCEFADLWVQERDAYEAEEEKKKVEEEGVKAAVQMSSAVSVDA >KQL31006 pep chromosome:Setaria_italica_v2.0:I:34985645:34986734:-1 gene:SETIT_018429mg transcript:KQL31006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHPATATLPPRQSLAPSPGASSLSWYASIAVSRIPPPPRLALHSLAPAHGPRNRQSLVVCAAWTRRSRSEAEQRPNRKSWKQRTDMYMRPFQLNVFFSKRFVHAKVMHRGTSKVIAVATTNAKDLRLTLPSLVDDNACRTIGRLIAERSMDADVFALAYEPKKNERIEGKLGIIIDTIKEHGIIFV >KQL29825 pep chromosome:Setaria_italica_v2.0:I:25216754:25219628:1 gene:SETIT_019690mg transcript:KQL29825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTSEEESLNNFQQQAKLEACAAGSSKGDTVMPAVKKRRGHPGNLDPDVEVVALSPKTLLATNRYICEVCLKGFQRDQNLQLHRRGHNLPWKLKQRSSTEAKKKVYVCPELTCPHHDASRALGDLTGIKKHYSRKHGEKKWKCDRCSKKYAVQSDWKAHTKICGTKEYRCDCGTIFSRKDSFITHRAFCDALAEDNSRVNHSLATMVGSLHGHQQDIFSHGVPTFPASPTDVIANMSSNDHNSDSHLRSLSPYALITRNTALFSNQISPKDSGFPLDGSASSYPYMSMNSPYMSATALLQKAAEMGAKTSQDPISPLLLKSFPSNVTTRDHMDISSGSQGDSLGNSAANSVGIKTAEDEGSYMSGRSNILMNSPWVSSYKPTTVPLIGLMNHPFAMRSEKESPGIFPGSQMQHSRQENISGVGDAGLTQDFLGLGGSGNLEMSSETYNADVTALSYSDEQQKPQEHIYSYHQSSLDSTALEKPIWES >KQL31805 pep chromosome:Setaria_italica_v2.0:I:39834413:39835503:1 gene:SETIT_018495mg transcript:KQL31805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELFDTAVTSLLHLPEVLDRLAAADGDRRSGGHHGAHHHGHARVHGLGGGGGGGAPVDIVETPGEYSFLLDVPGLSKSDIQVTLEEDRVLVMKGGSNNGKRKREEEEEGEGCRYIRLERRAAPRSFVRKFRLPEDADTGGIAARCENGVLTVTVKKQPPPEKKTKSVQVTIA >KQL31885 pep chromosome:Setaria_italica_v2.0:I:40280188:40282440:1 gene:SETIT_017158mg transcript:KQL31885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCDALDEPSPLGLRLRKSPSLLDLIQMKLSQAKSAREQFDVHNSTSDTPRKKDVKSGAPTAGERMKASNFHANVLKIGNWEYISRYEGDLVAKCYFAKHKLVWEVLHDGLKSKIEIQWSDITALKATYPENEQEATLDLVLARPPLFFKETDPQPRKHTLWQAASDFTDGQASLNRKHTLQCHSSLLSKNFEKLTQCDQRLYELSQQPDAILETPDFEPKRSIFEDPNDSKDCLGFNGLKYEREASLPKFNDPASPCVISSLSKNVGQPINIGSGATDFQGRDVPQGPKNCNQWNQLKGPGLRASISVEDLVNHLGDCIGEQRSAGDPPLANNEGQSKEVLEGLVQYLFSDTQGLPASDDKYLMARVDSLYSLLEKDTAPSTIPKPECSDGGNIGVIQVDSDGSDEELNSSPARNTAGSTERPAISRKDSFGELLLNLPRIASIPQFLFHIPEDSD >KQL31237 pep chromosome:Setaria_italica_v2.0:I:36403184:36403732:1 gene:SETIT_019096mg transcript:KQL31237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGVEYRVGWNRARVRVRAPRPPRAAPPPPPARPTCRAGSPHASRREAPGCPRGRDRDPRTGATSRGSPPLAVAAPAFSLVLMASRAAVLLVAVAAVLFAAASAQEMDLGVPPAPAPVTGAAAGAAASALAVACSAVLSIVVAGGLMQ >KQL28815 pep chromosome:Setaria_italica_v2.0:I:8265191:8269985:-1 gene:SETIT_016127mg transcript:KQL28815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYSLTLQRATGAVCAVIGSFSGRDAKKAASGSASTQEIAVARGSTLDLLRLDPETGRLRTLLSVDVFGAIRSLAQFRLTGASKDYLVVGSDSGRLVILEYSPDRNRLDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISAPLEAHKSNTLTFSLTALDCGFDNPIFAAIELEDAESDRDPTGQAANQAQKVLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGVLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKTLFFFLLQTEYGDIFKVDLEHSGDTVTGLRIKYFDTVPVTSAICVLRSGFLLFAASEFGNHALYQFRDIGRDADVETSSATLMETEEGFQPVFFQPRALKNLMRIDDIESLMPVMDMRVANLFDEETPQIFTACGHGPRSTLRILRPGLAISEMARSVLPAEPIAVWTVKKNINDMLDSYIVVSFANATLVLSIGETIEEVSDSQFLDTTHSLSVTLLGEDSLMQVHPNGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQNVSSAPESLLFLEVQASVGGEDGADYPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCIVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALHIFTIERLGETFNETAIPLRYTPRKFVILPKKKYIAVIESDKGAFNAEEREAAKKECLEASGAVEDGNANNADPMENGDGQENGAEDGNTFPDEQYGYPKAESERWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRTLAGGFIHIYKFVEDGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFLKTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWLTAAQHIDFDTMAGADKLGNIYFARLPKDISDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTCLQKACLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPTLPADMQRKIADELDRTPGEILKKLEDIRNKII >KQL29460 pep chromosome:Setaria_italica_v2.0:I:16648343:16653863:1 gene:SETIT_017886mg transcript:KQL29460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSPCCKHEIVIQTDPKNTEYVIISGAQKKTEDFDVEDAETLLLPADEDRDKLADPMYWLEHQEEDLRKKKEAEPVLVRLQRLSDSRHSDDYALNRALRDRLRSQKKRVTEEKKSARKMGIGVRLLPPSAEDAATAASVKFASKFEKSRKDKRAAIKAASIFPESSSSASKGKLDLALKRRNIKAGAATALMAGRVKPSSWQNSVSSRTQMPFLAARK >KQL27681 pep chromosome:Setaria_italica_v2.0:I:87278:87927:1 gene:SETIT_018367mg transcript:KQL27681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLITDDPEHSPRDCSRFRSSSPGKHRHRRRRAALIAVSSTVASLVSLALILWLTLRPSGPRFSLLAATATVAGNATTVVVVVDAALSAHNPNAHATALYDQLQASASYGGVALGAGAPLPPLEQPDQGDAVLSALLTSGAGGRLPGGGGGRALLRMRVEGRLRWKVAAWVSARHGLTVDCVAAVLQQQGSSSSPSSQCATHVL >KQL28043 pep chromosome:Setaria_italica_v2.0:I:2900905:2901306:1 gene:SETIT_020542mg transcript:KQL28043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCSFIKVLQKGRILTIGQTSSQCQLYVDTRVTGNVC >KQL31938 pep chromosome:Setaria_italica_v2.0:I:40540748:40542347:1 gene:SETIT_017206mg transcript:KQL31938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSSSSSSSSSHHHRARLRSRTAPLLLVILVAVFAVTELLRTSSRGGLPASTRRLGSSHAKANHSVAQRKILLDPDFTPRLPRQSPLSLSLSARNALPPRNTGRFPALPDGHLKIVLYVHNRPRYLRLVVDSLSRVEGIGEALLIVSHDGYFPEMDKIVQGIDFCQVKQIFAPYSPHLFPDAFPGVTPGDCQSKDKAKEKGCQGDPDQYGNHRSPRIVSLKHHWWWMMNTVWDGLEETMDFDGHILFIEEDHYIFPNAYRNAQLLVDLKPKKCPGCYAVNLAPSDVKAKGEGWESLVAEKMGNIGYAFNRTVWRKIHAKAKQFCTFDEYNWDITMWATVYPSFGAPVYSLRGPRRSAAHFGKCGLHQGQGSSNVCVDNGSGAVELEEIDKVPNIKADWPVRIIQRQEGYQAGFKGWGGWGDRRDRELCLSFAYMYHVKDPPSA >KQL31981 pep chromosome:Setaria_italica_v2.0:I:40760920:40761305:-1 gene:SETIT_019362mg transcript:KQL31981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLGSIHRRRNSPSSSSPPKSPEHHSSAAAAAMGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >KQL31733 pep chromosome:Setaria_italica_v2.0:I:39437883:39438302:-1 gene:SETIT_020111mg transcript:KQL31733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPPLHKHNRDISASHAHSHAPPLFHCQQHPLDPLSPPIDRPVAMDTAAFLSLSPELRDALVKVAVFVFVQGLVYLILRSSSDVFSKDGRLRSLSFRPMRSMSVRRVLAPLSDVPVGTDEPSTSPSLSSAASRRRASRED >KQL28324 pep chromosome:Setaria_italica_v2.0:I:5046773:5049729:-1 gene:SETIT_018199mg transcript:KQL28324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSSRRLPHPHPDLQIVPMIARPLPVPSTTTHPPSHPSLFAHLPSLSPVAYYLPPLRLVSPPLPPGPPHLLPQGKSHKRRRAPSSRTTRNGNSKALPDRMQDPSGDDFPAPKLHKKKVVYRPHSSGQLKGEPELLRRDVPHSSGMAQKPPKRSLKSERPATPPSDRGTPDSLPDSGPTDEYRALRRKYMMLEEENFALDNELRMEDEEIKALEEEKLALLDELVVLEGLVEPSQLQFQRRP >KQL30791 pep chromosome:Setaria_italica_v2.0:I:33354479:33355898:1 gene:SETIT_017738mg transcript:KQL30791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTKPHSSSLAAPSAALPKHGPTANRVVTPLASSSSRRGLRVSAASVAAAAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGLRPVLVHGGGPEINSWLARVGVEPQFRNGLRVTDAITMEVVEMVLVGKVNKQLVSLISLAGATAVGLCGKDARLLTARPSRDAASLGFVGEVTRVDPSVLHPIIAAGHIPVIATVAADEAGQAYNINADTAAGEIAAAIGAEKLLLLTDVSGVLADRDDPGSLVREVDVAWVRQMVAEGKVGGGMIPKVECCVHAIAQGVRTASIIDGRVPHSLLLEILTDEGTGTMITG >KQL29119 pep chromosome:Setaria_italica_v2.0:I:10420022:10421982:-1 gene:SETIT_019457mg transcript:KQL29119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMDKIVAESSIINKDQTFSLLPGELSQMEIHDIATDASKRIVRSIVKPLWENWKKGQCYSDFDEKNIVVTDSGDAMYKDVQVLRNLTSEEFAQATRKNFIAAHDIISDVVYARRPPASISHLLALIRNDEFREMWHVHTSLLNEVAYGGFYKQMYDNVKFVDGKGVEKTGIYWQFMVQDNVILDEVLHFKNSYRPPLPNPNQMCLNNAEKMALKDLGLEPVKIQKQLSDVQLRMYVAFQFLEFPRNTSAHRLDRLLWWLKHNANITGKGFNTNGMERVVQVRFPIILSHLQNQFYKLGRQSLEGRVTVSAGVSIRGAAAAWRMFL >KQL30364 pep chromosome:Setaria_italica_v2.0:I:30096895:30097929:-1 gene:SETIT_019826mg transcript:KQL30364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GWSAERMRAIQAVFPAAPDVMVLYPNQFLDLWACGQAVEEPWAEVWRRLPLVLHDVQLSEAVQINLQAEHYDGIVIKRHDFSETISKVLRKHPGPIKCVRLDSTACPGPGLLSEWVDMLSAKAVQELVLVNLTWPMEQLEFPLHRLCSQRLVTLALGFFGLTALGLDLCYTLLSLEQLILVGCRFSGQALSAVLYYLTRLSSLTIGSCDITAGCGHQGLEIESRTLTRLHLCKCTASAVTIVNAPALQVLITGVTSAPAPGRRETLVLIDLRSADELQTLEHLGLHLHRLQITSDATVKLKHRPVVSLPALKTLSIGIQVCVPHHASILVDLLGSLPRLQELTLW >KQL27977 pep chromosome:Setaria_italica_v2.0:I:2206365:2213512:-1 gene:SETIT_020124mg transcript:KQL27977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFVGPPSFTDLVDRVMRKYGYRVDEMSLRGHFYCGKARAHYVLMKLSSDANWKQYEDIVYEANVACLEVIAEIVHCEFEEDGVVGVKVEESLSQSGGHEYEDDGVENEEDGPQFDIANVHDVEVICESSMVNFEGTSVGESPMIKKGMKFNSLKELKFFLADYAVRLHRSFSVVYSDKILRYNMICKQGCHWRVGIIRKDSETSVPSLVESIFAFSGYRVKYSKAWRVKEHAVALLWGDWKESYGMVPRVLTAIAYYNSEHILQRVFWCFPQCSEVFQHCRPVILVDGTFLTGKIPVKGTLMMAVGVDPEQENNESWSWFMKLVRRHVLGPSRIVCITSDRHHGFLNCAKDHMDGFSPLVHRWCTRHFAANMSHRQKNDRVIEKLKTLCKVDMEREFSEKLEDLVNDLNDDAKECLKGEMEDKDKWAQFFDKGGMRWCIMTTNYSESLNAVFKGIRSRSVCGIIEYSFKKCNAYFVYRWVGYVRNPNLMRNKVRRRQKKCFTGDMDMLQGRLCADYGTDDFDVDKMAAPAYPLLESAYDLQHRAHHLGDLNEDLKPLRARVHSPLRWDERYAEYLQRVSFLDFAVQVVVGVPSMDGSLLIVMVDRWRPEIHTFHLPFGDMSITMQDVAMILDLPLEGHPVTGIIQNENCRDMVEIHIGIRPPEPEDGDNSKKTFADVYDTVTRYGTQPERAPLHDYMRIRKSCRRMAMRMNCMSSSDVHHGGNGQGTSSGSRRTPLATPPRAATPSTAAGPSRRSRGKASPQASEDSEGEQSEDDDPTYGEELEISGMIDVPPVT >KQL28943 pep chromosome:Setaria_italica_v2.0:I:9118407:9118601:-1 gene:SETIT_019517mg transcript:KQL28943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSWPELVGSPGHYAHDMIHKDRPDVEIPVLVVGTEVPPGFDDKRVRLFVHHDFNLKVALTPVVG >KQL31274 pep chromosome:Setaria_italica_v2.0:I:36628811:36631987:1 gene:SETIT_016591mg transcript:KQL31274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKMPSSKWISASLLVLLLSLHPAVHAFYLPGTFMHTYSPGEAISAKVNSLTSIETELPFSYYSLPYCKPPEGVKKSAENLGEILMGDQIDNSPYRFRVNVNESVYLCTTDPLTKEQAELLKKRARNLYQVNMVLDNLPVMRFTDQNGMIIQWTGFPVGYNPTGSNEDYIINHLKFRVLVHQYQAQGDVVVTSEDGVAMVESDRKSGFQIVGFEVVPCSVRRDAEAMSKLKMYDKVDSVNCPLELEKSQAIRENERITFTYEVEYVKSNIKWPSRWDAYLKMDGAKVHWFSIMNSMMVVFFLAGIVFVIFLRTVRRDLTRYEEMDKEAQAQMNEELSGWKLVVGDVFREPCCSKLLCVMVADGIQITGMAVVTIVFAALGFLSPASRGMLLTGMIILYLFLGIIAGYVGVRVWRTIKGTTEGWKSVAWLTACFFPGIVFVILTVLNSILWGKKSTGAIPISLFFTLLALWFCISVPLTLIGGLLGTRAASIDYPVRTNQIPREIPERKFPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLFIVLFLLVIVCGEVSLVLTYMHLCVEDWKWWWKAFFASGSVAFYVFLYSINYLVFDLRSLSGPVSATLYLGYSLIMALAIMLSTGAIGFLLSFYFVHYLFSSVKID >KQL29495 pep chromosome:Setaria_italica_v2.0:I:18031414:18031740:-1 gene:SETIT_019649mg transcript:KQL29495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNQTSFSERTNTLLSMAKDLSQEFGAHVAVIEFSPTGEPKAYGAPTADSILRTCLPEIHSSPFQACSKTMGEATARVDGMKREAEETAFLDVVERARQATSWMKILA >KQL28723 pep chromosome:Setaria_italica_v2.0:I:7753767:7759223:1 gene:SETIT_016287mg transcript:KQL28723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCVAVLLFCILKHTSGQPDSRGFISIDCGIRENSSYQDLASSIVYVSDHDFISSGQNRNISSNYIKPSLAWRNYNVRFFPDGTRNCYTLRSLVAGNKYFVRATFYYGNYDGLNKLPVFDLYLGTNYWHEVQFSGPTSVNWMDIIVAAPADYLQVCLVNKGMGTPFISGLDLRPLKSTLYPESNSSQSLVLINSNRFNMGPTDNSIVRYPLDPHDRLWSTYDTIPSWKETSATSVVQNYLTDAYDVPSAVMQNAATPVNGSRIDFSWDPSDPSVNISSRYFFVFYFAELQSVASNTLRQFDIIVNNSTWNTKPYTPPFLFADSISGIVQGQETYNISLVATKNATLPPILNAMEMYLMKPITETATNPGDARAMMAIQETFGVSKNWMGDPCAPKAFAWEGLNCTYPRAGLSRITALNLSSNGLAGSITTYFGDLKALQYLDLSSNDLSGPIPYSLLKKSQNGTLSIRLGNNANLCGNGTTCGSGRKKINGAILTAIIIPTVAVIALFVISIFLLCRILKEKAKRRASSPKDETALLENREFSYRELKHITNNFRQEIGKGGFGAVFLGYLENGNPVAVKVRSESSSQGGKEFLAEAQHLTRIHHKNLVSLIGYCKDKNHLALVYEYMPEGNLQDHLRDTSTSKSLTWEQRLQIALDAAQGLEYLHVGCKPALIHRDVKSRNILLTTDLGAKIADFGLTKAFSDSKTHITTQPAGTMGYLDPEYYRSYHISEKSDVYSFGVVLLELITGHSPVVPINDSVSIHIGEWVHQNLDQGSIESIIDSSMGGDYDINSIWKVADLALHCKQEVFRERPTMTDVVVRIKEIMELEARRDREMNPALAGGDQSYAGETSVSEVEGSVGISEASPGPAMR >KQL30940 pep chromosome:Setaria_italica_v2.0:I:34539859:34545995:1 gene:SETIT_016781mg transcript:KQL30940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIPFSHSLELVVCVLHAGVGKHGGCRWLLAEAVGCEEEDTGVDVGFLAVSTFLQAAPIGGHRARRTHRFICHDQRARPPGRRIYTFLPSPTPGSGIEQSIRTRTASRFPRFIILATPARPRSVALAPPLGFRSAPTAPPRPAPMALPYLEAVLCFMILMYIFETYLDIRQHRALKLPTLPKPLLGVISDEKFKRSRDYSLDKSHFHFVHEAVTILMDTTILYYRVLPWFWKKSGELITNVGLNAENEIIHTLAFLAGAMVWSQITDLPFSLYSTFVIEARHGFNKQTIWLFIRDMVKGILLSMILGPPIVAAIIYIVQIGGPYLAIYLWGFMFVLALLMMTIYPIVIAPLFNKFTPLPEGVLREKIEKLAASLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCSNEDEIVSVIAHELGHWKLNHTVYSFIAVQLLMFLQFGGYTLVRNSKDLFESFGFEDQPIIIGLIIFQHTIIPLQHLLSFCLNLVSRAFEFQADAFAKNLGYAPQLRAALVKLQEENLSAMNTDPWYSAYHYSHPPLVERLQALEDSDSKKED >KQL29459 pep chromosome:Setaria_italica_v2.0:I:16426319:16429511:1 gene:SETIT_019079mg transcript:KQL29459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGYVAASDDIHVPSKQDIGLGEGGLANGDPEETTIDVFKSDGSTITQFLVINSYINLIKAEKHLLCAVESTIYIENTLIVGLLQRDGKNKEKIKPNIKQNSIVERLMKYMAHDLVFLPINIEEMHWYLAMVNRKRCDIQVLNSLGPMRSDDLGHVLQIDAALGVRDITDDKWPDLQVSSWSVVEQFYHRMQTDGVSYGLFLLNFMEYWMGEKLSNTFTQVRSSDPYPISLSLKNLQDILDVNRTMDVDVFNLAMRMLACDMATMLREPKSHFMDLMFSVVVPYYACRSFGMFALDKHARRIAIIDHSPVHHNPAYNHPSYYYLPRIQKMSRTYDCAMDEIDPSWNDDIYDWNHIYLCLVPKIFDR >KQL28878 pep chromosome:Setaria_italica_v2.0:I:8625724:8630106:-1 gene:SETIT_017626mg transcript:KQL28878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFTTGGGGGSSSARSYEPMATADTTDLRYWLHWQVGLCALWVLGCMSVASYLIWRHEGTGADRRPGGASPSSAAGGDGVDGGKRSRPGVLYDDEAWRPCLRDIHPAWLLAYRLISFFVLLSLLVVIVISDGGNIFYYYTQWTFILVTIYFGLGTALSIYGCSKFADENVAAARADMELGTTYITHAAAAKPSFDEHDGTREIAGFWGYLLQIIYQANAGAVMLTDCVFWFIIFPFLTVKDYNLNFLLIGMHSVNAVFLLGEAALNSLSFPWFRIAYFFLWTALYVIFQWIVHAATPIWWPYPFLDLSSNLAPLWYLAVAVMQLPCYVVFRLVIKLKHHLLAKWFPGSFVRLRGY >KQL31431 pep chromosome:Setaria_italica_v2.0:I:37451862:37452561:-1 gene:SETIT_018963mg transcript:KQL31431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWPTPKAASPPVDRSPSSRRADPETANSISTAINSGRTNDLLIDMAILSNWCSFSSLPARLAQ >KQL28550 pep chromosome:Setaria_italica_v2.0:I:6608048:6608941:1 gene:SETIT_018886mg transcript:KQL28550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEFSGGKTWAGKKIETERTLRFYGIARNAKVHLCGRLLGGKTDTLENYVSDNGENFSVEVTLPDGNVSLDMTKLGCLILLTP >KQL29492 pep chromosome:Setaria_italica_v2.0:I:17661702:17662624:1 gene:SETIT_019666mg transcript:KQL29492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HTSNIKWDDIVVNSVASLPKVLSPRKVNSVMEDVVPEEAISEDDPDFLDSDNEIEDGDDDLFIDNVNEHITEEIDGKEWRKAIGSEELSTDEEGLQLPNSDGEGPSSKRFKPFRIEDLEGPTFFIRQTFETVELLRKEIREYSIRNRVAILLPRNDNTRVRAHYSDDSRAKCFFVKIYNRQHNCQKEWVLKRCTSKWLAEKYMEAFRADDKMTLRNFTRTVQKERNLIPSRSKLSRARRLAWKAIYGDEMKQYNQLWDFGQELKRSNPGSTFYLTFRGSAFSK >KQL32024 pep chromosome:Setaria_italica_v2.0:I:40934817:40937411:-1 gene:SETIT_016396mg transcript:KQL32024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLQLQAVALVVLLSRLAPVTPASSQRQQQPQAMPLARPGCRDRCGNITIPYPFGIGAGCYRDDGVGGFQLLCDDTHSPPRLTISDYSSTQLADLSLAAGEARAYLNATRKCYNDTGGFVDRNTNPSYMSLGTSPYLFSPVKNRLVATGCPSLGYFVDAAEYFISGCTSVCRPSQYTIPGQGSCTGVGCCQSDIPPGVNYYQPNTLNLQLPGQLDPTFSRNVTTCHYVFLVETAWFSYSDAAFFNRTDDFTVPVVLDWAVRNVGNCTTARRNATDYACRSVHSDCVDSIGNGDGYRCNCSKGYEGNPYLDGGCRDIDECNRKHEYSCYGDCTNKPGTYICECPPGTTGDHSRQNGCRPKDKFTLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQQQMRSYSSAGAGAGGFKIFSEEELEKATNNFADDRVLGRGGHGVVYRGVLEDKTVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSSGTLYHYIHKDLKADKTFDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFDGPEEDRSLVSCFMTAMKAGRHEELLDNQVRNEMRAEVLEEIAHLVMRCLSMSGEERPTMKEVAERLRRYQQHPWAQAHANPEERQTLLPREQQDLPLLFRQQDVLDLEEGSTYTYSL >KQL30728 pep chromosome:Setaria_italica_v2.0:I:32939402:32940592:-1 gene:SETIT_018654mg transcript:KQL30728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKMYSFEEVRKHNVRKDCWLIIAGKVYDVTAFMEEHPGGDEVLLACTGKDATADFVDIGHSDSAKEMMPQYCIGEVDAATVPAKLTYAYASDASPDKAATSAAGAWATLLRLAVPVLLLTLALAMQSYGKAKAE >KQL30134 pep chromosome:Setaria_italica_v2.0:I:28327250:28328824:1 gene:SETIT_020159mg transcript:KQL30134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLQAGQGHPLAVLSLQLAVVGALTMVNAQAPPPPQLQLLKTTTGRSVPPTAVITIAIIAFFVLVLFCVFVNLWRRSSADASAGARHGSIRKRRRGLDPAALAALPVVPYAEIRKHKSGGGVLECAVCLTAFDDGDELRLLPQCSHAFHPECIDPWLEGHVTCPLCRGNLEKPVPLPSPDKPPRQMPEAVAVRVEAENDEERKEEDAALEKLRCARRAARMPRSRSTGPSASTTAAAETGDHERFTVRLPPHVREEVLRSRRLRHATSLVITLGGGASNCAGSWTACSAGGERCVRRRWASLLSRTASWSWAQGGGEASAGKKGAGPETGVTRRPPCGHVVCTLVARWPARCKAKNLA >KQL30320 pep chromosome:Setaria_italica_v2.0:I:29911691:29914998:-1 gene:SETIT_016849mg transcript:KQL30320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCPMDAAANGTSPAMQFHSIADDSTLHSSPLPTALESSKRHCYGDGIPGEFPLAVSPSIVLHVLSACDLDPKDLAALEATCTFFSKPANFAPNFALSLPELAAFDMCQKRTMFKLMNEEEKECLKQRCGASWKHVLRYILVREKNGSRVIAGPGHSIVITTSGDVYSFGANCSGQLGLGDSEDRFKPCLIRSLQGIKITQAAVGSRRTMLVSDTGSVYAIGKDNFRGAELVEAAHTSQITTPKIVESLKGVFVVQAAIGGYFSAVLSREGRVYTFSWGKSERLGHNSDPSDVEPRLLSELEDVPVAYISAGNCYLLMLAYQPNGLSVYSVGCGLGGKLGHGCKTNKGVPKLIEQFQSLSFKPVSISAGTWHAAALSADGRVCTWGWGHTGCLGHGDEEYKAAPTVVEGLRNVKAVHLSTGEYTTFVVADNGDVYSFGSGESLNLIFQEDDEGEEEGPDFSIPSIVESLKALNKKVAQVCPTNASYWLNSEMGHPHTFVVMESGELCAFGGGIRGQLGVKLLEGVEKVSVPMHVPIDLS >KQL29885 pep chromosome:Setaria_italica_v2.0:I:26054517:26056476:1 gene:SETIT_019806mg transcript:KQL29885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPACQGVAVAEALSIGVNYGQIANNLPSPARVSWLLRSMRISKVKLYDADPNVLRAFLGTGVEFVVGIGNEAVPSMTSPAAAQAWLQQHVVPHLLSGARISCVTVGNEVFKGNDTALQAAVLPAMVSVHRALSALGLQGRVNVTTAHSLDIMGPSFPPSSGAFHPAALGHLRPFLAFLSATRSPFLINCYPYFAYKEDPARVPLEYVLFQPNAGVADPRTGLRYDNMLYAQVDAVYAAIQALGYTDVEVKVSETGWPSRGDPDEPGATPEYAGTYIRNLLQRIEMKQGTPLRPATPVDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLHGYLPPMLVSNAARQ >KQL28537 pep chromosome:Setaria_italica_v2.0:I:6547048:6547311:-1 gene:SETIT_019821mg transcript:KQL28537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAETSAMTSAAVPAWTTATASVSSAPTSRRRRRILSRNVQRASYARCMVLYRAIEIKITLWTVGMERPIDASHRGEIRKRFTYTKN >KQL30704 pep chromosome:Setaria_italica_v2.0:I:32758627:32761060:1 gene:SETIT_016749mg transcript:KQL30704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSAGRFEEAARHFSDAIALAPGNHVLYSNRSAALASLHRYSDALADAQKTVELKPDWAKGYSRLGAAHLGLGDAASAVAAYEKGLALDPSNGGLKAGLEDAKKAAAAPPRRGPSGPDAIGQMFQGPELWSKIAADPTTRAYLEQPDFMQMLREVQRNPSSLNMYLSDPRMMQVLSLMLNIKIQRPEASEPSQSTPPPEQHQQQQAPPPETKAREVEPEPEPEPMDLTDEEKERKERKAAAQKEKEAGNAAYKKKDFETAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALVKLAKSSKDFDVAIETFQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPKLADEEREKGNEFFKEQKYPEAIKHYTEALRRNPKDPKVIHLPLFLTI >KQL30705 pep chromosome:Setaria_italica_v2.0:I:32758627:32762654:1 gene:SETIT_016749mg transcript:KQL30705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSAGRFEEAARHFSDAIALAPGNHVLYSNRSAALASLHRYSDALADAQKTVELKPDWAKGYSRLGAAHLGLGDAASAVAAYEKGLALDPSNGGLKAGLEDAKKAAAAPPRRGPSGPDAIGQMFQGPELWSKIAADPTTRAYLEQPDFMQMLREVQRNPSSLNMYLSDPRMMQVLSLMLNIKIQRPEASEPSQSTPPPEQHQQQQAPPPETKAREVEPEPEPEPMDLTDEEKERKERKAAAQKEKEAGNAAYKKKDFETAIQHYTKALELDDEDISYLTNRAAVYIEMGKYDECIKDCDKAVERGRELRADFKMISRALTRKGTALVKLAKSSKDFDVAIETFQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPKLADEEREKGNEFFKEQKYPEAIKHYTEALRRNPKDPKAYSNRAACYTKLGAMPEGLKDAEKCLELDPTFTKGYTRKGAIQFFMKEYDKAMETYQAGLKLDPNNQELLDGVRRCVEQINKANRGEISQDELQERQNKAMQDPEIQNILTDPIMRQVLIDFQENPRAAQEHLKNPGVMQKIQKLVSAGIVQMR >KQL31020 pep chromosome:Setaria_italica_v2.0:I:35071127:35072148:-1 gene:SETIT_020160mg transcript:KQL31020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASSSPPAAIVGPQPTWVPYEPTRDCSQGLCSMYCPQWCYFIFPPPPPAFDLGGGSDDSSGPTFSPLVIAIIGVLASAFLLVSYYTIISKYCGTFTSLWNRLFGSGRGRGHGGDGGGGGSRSQEPWSSVPPDGLDETLINKITVCKYKRGDGFVDSTDCSVCLGEFRDGESLRLLPKCSHAFHLPCIDTWLKSHSNCPLCRCNITFVTVGVVSPEPEPERRGTREEHRRDNTELVLTIDDYSEQARDEPQNQNAASGNGGGGGGGSQEAPKDCPGRSEEASGIVEIREDGAPPVRASSSLSDTHREGRMSIADVLQASLEDELMMARESGLLAGSSG >KQL31508 pep chromosome:Setaria_italica_v2.0:I:38052869:38053427:1 gene:SETIT_018765mg transcript:KQL31508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMRGEKLAHRIMRMRGEGVSSKRRRTWPEPRRRELGSKAWSLEMSSLISSSEMLPRDDLRLDILALCRSSYVAAGRDKQHFSQQDRRQSSRTKLASRLCVHRWLALPG >KQL31212 pep chromosome:Setaria_italica_v2.0:I:36280905:36286446:-1 gene:SETIT_016669mg transcript:KQL31212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYGVPRELSEVQKKRALYQPEVPPCLQGTTVRVEYGDAAIAADPAGAHVISQAFPHTYGQPLAHFLRKTAVVPDAKVISEHPAVRVGIVFCGRQSPGGHNVIWGLYEAIKAHNQNSKLIGFLGGSDGLLAQKTLEITDEVLSSYKNQGGYDMLGRTKDQIRTTEQVKGAMASCQALKLDALVIIGGVTSNTDAAQLAETFAEAKCATKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNMCTDALSAEKYYYFIRLMGRKASHVALECSLQSHPNMVILGEEVAASKLTIFDITKQICDAVQARAEKDKNHGVVLIPEGLVESIPELYALLQEIHGLHGKGVSAENISSQLSPWASALFEFLPPFIGKQLLLHPESDDSAQLSQIETEKLLAQLVETEMNRRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHIIAAGLNGYMATVTNLKSPVNKWRCGAAPISAMMTVKQWSRGPSAAQIGKPAVHMASVDLKGKAYELLRQNSSSFLLEDIYRNPGPLQFEGPGADSKPISLCVEDQDYMGRIKKLQEYLEKVKSIVKPGCSQDVLKAALSAMSSVTETLNIMTSSSTGQAPL >KQL29553 pep chromosome:Setaria_italica_v2.0:I:21205012:21205707:-1 gene:SETIT_019567mg transcript:KQL29553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKILLSVLLLAAASPAALAAFDVIQMLVDKPQYAGFSKLLAQTNVAAEANQLRAASLLAVQTLASLPADKLRAAVANHVLLSYFDPIKLDEMKSRTAMLPTLLSNTDKALGVLNYSRADDGQMYFGAPGVPCVAKLVKVVAARPYSVSIMEVSEPILPPGFGKPVAAPGRRGKGGRGGRGGKGKIKTASAAGLDESKKATVEAAAGKASPGSPGSAAPAPVPAS >KQL29568 pep chromosome:Setaria_italica_v2.0:I:21389684:21392457:-1 gene:SETIT_018731mg transcript:KQL29568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQQPQPATSSGILDAVPLFVVVLLAVHVLALVRILLASCPFFVSPPPRARTLRRLILARSRLLSALPAGYRCSGCTSWPPRSSRRGGRRSDARRSTQSLACLFGGLDFRTEVMLQKV >KQL32049 pep chromosome:Setaria_italica_v2.0:I:41101905:41103716:1 gene:SETIT_017868mg transcript:KQL32049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAAAEIYRLPEECVAYAISLTTPGDACHSSAVSPAFRTAADSDAVWARFLPPDHAAVLARADEPVECESKKELFSRLCDTPVLLDGATMSFGLERRSGAKCFMLSARALSIAWGDDPTCWIWTASLPGSRFPEVAELVDVCWLEISGKLSLSLLSPGTTYAAYLVFAIADDSYGLECHVGMLPPKATVTVVSGTSGKPAATSTEHAICLQHMQGEEEVAVHRRKQQYMRLRKGYGRKMVTREADPDIRCPRRRGDGWVEVELGEFAVATGDGEDGGVVEVRLEEVDSRRWKRGLIVQGIEIRPKHAC >KQL27953 pep chromosome:Setaria_italica_v2.0:I:2059793:2064402:1 gene:SETIT_017348mg transcript:KQL27953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPGGAHAAGAADPMQVDQPRPAAAGAAAAAPATADAKHAGSMIEGGDPVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQIMRSMDHCNVVSLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYSNMNQRMPLIYVKLYTYQIFRGLAYIHTVPGVCHRDVKPQNLLVDPLTHQVKICDFGSAKMLVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPNLRCTALEACAHPFFDELREPHARLPNGRPFPPLFNFKQELANASPELINRLIPDHARRHLGLTFLPATGP >KQL28835 pep chromosome:Setaria_italica_v2.0:I:8394090:8398311:-1 gene:SETIT_016595mg transcript:KQL28835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLTNGFASLQCARLMHIEKVHMQASGLPSLCFRRCAQLDISRLGSMPRFMGARSTCGSQQHVRHRVSPIRASTLSCLQDDTTKFFDFVVIGSGVAGLRYALEVSKHGSVAIITKAEPHESNTNYAQGGVSAVLCPSDSVESHMKDTIVAGAYLCDEETVRIVCTEGPERVKELIAMGASFDHGEDGRLHLAREGGHSHNRIVHSADMTGREIERALLQAVDNDDNISLFGHHFAIDLLTCQKNGEIYCYGVDSIDVETQKVVRFISKVTLLASGGAGHIYPTTTNPPVATGDGIAMSHRAQAVISNMEFVQFHPTALSDEGLPIKPSIRRENAFLITEAVRGDGGILYNQSMERFMPLYDDRAELAPRDVVARSIDDQLKKRGESYVLLDISHRPRDKVLAHFPNIAAECLRYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVRGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMLDAGAGADASLAARWARPTLPSPSALGGGGGALSEIVARTRRTRAELQAVMWEYVGIVRSTGRLKQAEWRISDLESEWEAFLFRRGWAPTTVGVEACEMRNLFCCAKLVVKSALARRESRGLHFTEDFPYLEESRRKPTVIFPDAVQELTWSSKPLQRQLQCK >KQL30058 pep chromosome:Setaria_italica_v2.0:I:27786416:27789134:1 gene:SETIT_016500mg transcript:KQL30058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKEGVVEEAPPAAVPGPLHKAPSHSQSLKELITLTAKDVDDAPAVHAVISRTASNAKAKAAGGGGGAAPAAAASNKEAVEKTAPPVVVITSLSKSFSTAGAPTHHRRATLDINGGGGADPPGGNQVISSVPQGFSGEHVIAGWPSWLTSVAGEIVEGWLPRRADTFERLDKIGQGTYSNVYKARDLQSGKIVALKRVRFVNMDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRLSHSLYLVFEYMEHDLAGLAALSGQRFTEPQVKCFMAQILEGLRHCHARGVLHRDIKGSNLLIGDDGTLRIADFGLATFFDPGKTQPMTSRVVTLWYRPPELLLGATEYGVAVDLWSTGCILAELLAGKPIMPGQTELHKIFKLCGSPSEDYWAKAKLPDVTLFKPQRPYRRKIAETFKDFPPTALALLDTLLAIEPSARGTAVSALDSEFFRTKPLACDPASLPKYPPSKEYDAKLRGQEASRQNTATIGGKGSVSIKPGRDDAKAAPAQDAIADYQRRHARTNQKSISHHYSSQEDSVPGFRIEPPPPAVAAGRGPATMQAAGGFGSTWYRSDDRRGVQRASSSVRAPHLTSQRSYAQSRGTDLHPSSSAARNASYRYNRLDVAEPPNAVGRPGSSHHKDLAMRDASAGFGAKNKRIHYSGPLMPPGGNMEDMLKEHERQIQQAVRKARVEKERTNRHHY >KQL30429 pep chromosome:Setaria_italica_v2.0:I:30533090:30539060:-1 gene:SETIT_017164mg transcript:KQL30429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPAAAPLDEAKAKSVLRQVEFYFSDSNLPRDKFLRETVEQSDDGLVSLALICSFSRMKSHLGLDAAVKPETVPEETVLAVAEVLRRSPVLRVSEDGKKIGRASELLKPDEVIEQVDSRTVAASPLPYNVKLEDVQSFFAQYAKVNSVRLPRHIANKKHFCGTALVEFSEEEEAKKVSENTLVFAGVNLEIRPKKEFDAEQESKREEYEKAHPVKDSQDEGFPKGLIVAFKLKKDMAESAVQQTNETSNSVENPSGEASERIPDNTDSKDDKSSDNMTKEKEVNIKEATESEKCTGDALEDCEKRGDSESLSRDGNSVSGNVKNPISREDLKEALKKFGNVRYVDFSIGDDSGYLRFEDSKAAEKARVAAVLADEGGLIIKDHIITLEAVTGEAEKDYWSKIRGIQENYKDNRSYKGRAGKNYRGGKQFNGKRGRNPDAEKNSNKAQKVEAAA >KQL29399 pep chromosome:Setaria_italica_v2.0:I:15111069:15117585:-1 gene:SETIT_019542mg transcript:KQL29399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDEANFDILDASEEVQAAAKFRPKQRAKPRKTVLSSRSAASNPTVENGNGKLGVSNQDVDATVDLDNHNELINSPIDGTQSMVGEVSVTNSEMDNVSDSYNDKLIDENLSNLSQQTVQKNSNDQEHNEGEPLDPPVEQQPKSGVGEIGSSMKLRSRKKSQKVGTHKDTDDYFDEDFVEPSLAEEDNDSGDDYTAGTTRKVRKKPRDSVEESLQQKVQKDKSQVSSRGRKRTLKDASAEKPEKKLTHRIRQSRAKDNLYFKTVIKNLNIEDVAQQEINNTRKQDGASSERGPGKENVLDDFIHGEEDDSNWLDEEHSVQKPDVQEEEHASGNDDDGDLGDVFDWY >KQL31674 pep chromosome:Setaria_italica_v2.0:I:39133937:39136585:-1 gene:SETIT_018255mg transcript:KQL31674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALALAVVLAVASLRAEAVWLEVPQSGTKCVSEEIQSNVVVLADYSIMYESHPDSHPTIAVKVTSPYGNTLHHNENATVGQFAFTTAEAGNYLACFWIDSAEKGSGVSVNLDWKIGFATKDWDAIAKKEKIEGVELELQKLEVAVQAIHQNLIYLKTRDANCERENKRKGCLVQYSVARCLHCGINLAIVASSRVLQKEEAHLDFTHLMLHCGSNVKCCSPWMGQFCLV >KQL31675 pep chromosome:Setaria_italica_v2.0:I:39134130:39136469:-1 gene:SETIT_018255mg transcript:KQL31675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALALAVVLAVASLRAEAVWLEVPQSGTKCVSEEIQSNVVVLADYSIMYESHPDSHPTIAVKVTSPYGNTLHHNENATVGQFAFTTAEAGNYLACFWIDSAEKGSGVSVNLDWKIGFATKDWDAIAKKEKIEGVELELQKLEVAVQAIHQNLIYLKTREAEMRIVSEKTNARVAWFSILSLGVCIAVSILQLWHLQGFFRKKKLI >KQL29572 pep chromosome:Setaria_italica_v2.0:I:21400106:21403351:1 gene:SETIT_018416mg transcript:KQL29572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGSDEREFSQNPWNAYRLAATAAAAASADPLSQPRARRFPLPPSIRFELVPRVLDPAPPPPMADEANRAAFMELQARMIDTTGKIKQLQTQMRSKEGEKKRAYLTLEELRQLPEDTNTYKTVGKVFILEPKSVLLNEQEQKFNDSESAIASMQTSKEYLEKQLGEVENNIRELLQQDPGLARQILSMTVQ >KQL28727 pep chromosome:Setaria_italica_v2.0:I:7774869:7778087:-1 gene:SETIT_016760mg transcript:KQL28727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYSDRRSKTEIAFRRRGSRLSYRNQSPEERTNHNNDGLGSSARLNPTKAGITDNQEGPRYLRDSFKSSSSKVVPASSSKFPLRKFEEKRRQPLLAGVDIAQSGRRKAEAKQLEGSKKIVVDDESSDTLRTESEGSTTEQGRLLTPGSEGSHFTGPSGVYRNRSESFVQTAPLSSRTHGQKEKEVNLGIPGACSSYFTNRSTMPRNSTTGVRPAYGHANGVQRRGLKNPGCTSVPDVQPSGCSSDSVYSRRFEFMRKRASDPESSSRSRSFSGPSFSGHSPPTDIHDAGPRIRTNEQSLSQQIIRSSNRNQQESAVSVRTRRPSHATTLRAPDERADGMLSLHESSTRNGLSAQEHLSLEEVSAESSIRPFFVELPHDIYSSSRHHSSNTRAERGRPSSLFEESPPQMFHGLMGERNDHRRITMGGIAEVLLALQRTEQEAELAYEQLLVLDTNLFLGAFASHDRHRDMRMDIDNMSYEELLALEERIGSVSTALSDEQFAKCLRRSIYCPVATGVHKSVIDDMKCSICQEEYKEGEEVGRLPCEHRYHVCCIGQWLRQKNWCPVCKASAVPSMG >KQL31620 pep chromosome:Setaria_italica_v2.0:I:38872485:38872952:-1 gene:SETIT_020379mg transcript:KQL31620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAGPRPPRSLPLFAHRATPESERGLRPGCSSQLQREGRRATSQRSGSRGHGFRLAAPGVPLAVAEHEANFTITAVSSAWISIAIQKDALQFTIYSYMIWQIHVSYLSGLVRIRRS >KQL28824 pep chromosome:Setaria_italica_v2.0:I:8323768:8326985:-1 gene:SETIT_017948mg transcript:KQL28824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLTASFPRNVGKEHNFVCSSKLGSENQWVPRRICCYVPSSTNSSQQHKFTTTTYPMSPTIGRRSRWRSFAASLNLEDGPAPSDSTSSDGDASENPLSQKLSSDELKSLLADSERSKLLRRLSEANQYNRFLKRQLQLKDDAVVKFKSELAALELELQALVGLAEEIANFDVPLGSRKINGKYIQSHLLSRLEAVHGKVMDQIKDVDSLKPQEISVYWVGMAEIMGSFDGWSQGETMSREYSGDYGRFSATLKLRPGRYEIKFLVDGEWRLSPEYPMAGEGLTQNNIIVVE >KQL28825 pep chromosome:Setaria_italica_v2.0:I:8323967:8326343:-1 gene:SETIT_017948mg transcript:KQL28825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLTASFPRNVGKEHNFVCSSKLGSENQWVPRRICCYVPSSTNSSQQHKFTTTTYPMSPTIGRRSRWRSFAASLNLEDGPAPSDSTSSDGDASENPLSQKLSSDELKSLLADSERSKLLRRLSEANQYNRFLKRQLQLKDDAVVKFKSELAALELELQALVGLAEEIANFDVPLGSRKINGKYIQSHLLSRLEAVHGKVMDQIKDVDSLKPQEISVYWVGMAENVQIMGSFDGWSQGETMSREYSGDYGRFSATLKLRPGRYEIKFLVDGEWRLSPEYPMAGEGLTQNNIIVVE >KQL30260 pep chromosome:Setaria_italica_v2.0:I:29500945:29506568:-1 gene:SETIT_017200mg transcript:KQL30260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFPLLLLLHLVLAPCARAADAVVSRIAFGSCANQSAPQPIWDAVAGFDPQVFVWLGDNVYGDNKRPFRVFGKERTVGPWKNVPRFYPSTEEELRRRYQLGRAKPGYARLREKAQVIGTWDDHDYGLNDAGKEFSGKVFTQRLMLDFLDEPEDSKRRKQAGVYTSYMFGPEGKRVKVILLDTRYHRDPLLSDGTILGDPQWQWLERELHGPQSEITIIGSSIQVVSNLSATTGPLFYVESWARFPRERERLFRLIDSSKRNGVIFISGDVHFGEIARFDCGAQYPLYDVTSSGLTQSVENSVPAVFQPIMRLLAVLTPTTMRVFSPKCRYKSCTTGQPNFGAIEIDWNAVPPRIKLELRDVEGHSVHSVEFPISELQPSGAHAIKKQEHAFQRHCTLETELPWLTRHRLALLFFGTIAAFIIAVVLLAATCLYSITRCSKKTKKE >KQL27690 pep chromosome:Setaria_italica_v2.0:I:124098:125815:-1 gene:SETIT_018518mg transcript:KQL27690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEAEKKMITLRSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNAKILSKVIEYCNKHVHAAKPADDAAPAAADASAGAEDLKNWDAEFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >KQL28711 pep chromosome:Setaria_italica_v2.0:I:7647785:7652793:1 gene:SETIT_018076mg transcript:KQL28711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARVVVFPVKGRAWCFARPAAAAASAGAGDGALPPPTLRDLWRGITSRGRTAPEKAESVVDFVADKMNRAWIGFGSAPEGSMKSRIHSFGLKLLSRVRPSEVLLKSVTKDVSAVEIVHPASINSRLVRRRLRHIAIRGASVHKKFLYGSVCLLPVTSFFMVLPLPNIPFFWVLFRAYSHWRALQGSERLQLLLSDCSDQWKVHEKEIGSAKDGNPSENARYPWKFRPSKRLDGFLERRNLDEGLDCDTISRICKEYDLDKIDVLKYRDLR >KQL27722 pep chromosome:Setaria_italica_v2.0:I:229378:236527:1 gene:SETIT_019985mg transcript:KQL27722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTAAAPDGDVDQRQQILPAEASKKKGRRGRREMRRIEDTTSRQVTFSKRRSGLLKKAYELSVLCDAEVALIVFSPRGRLYQFASATDLQNTIDRYLNHTKGTPTNEKVHEPGVEKWKYEVTTLGQKIDAIEAYRRKLLGESLGSCSIQELQELELQLEKSLSSIRQRKQKKLMDQILELREKEQKLSKENAMLRDQCKALPLLELNDKGRVDAAAGGGEEEEDDVRMEDVETELAIGIGRRRPSTVDMDKAIMSNQAGKVLKKGKKKQAKDELDRQKQAEKKRRRLEKALANSAAIISELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLIGEDSDEPCHLMLNKHRRCNHWDPSAGFEFTVDAQATDIYPSDGLICASHATFAPKGRWADWGIGQPMPSWGEVRDLQGPYYQGTFHQSVNCPGFIAAQAVSSLKIREDSSEITSPSQGAAAATVVNRMLGGTNRLNLYREI >KQL28573 pep chromosome:Setaria_italica_v2.0:I:6770520:6773719:-1 gene:SETIT_017257mg transcript:KQL28573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLSCFRGGSEPSGDLRDPLVRESRIGDAFLNDDKRIEAGGRLDVEAANGGGVDEELRREANYLKSCGTISQTPPEILEFSSPATSEDTNERNDTSSNVQVMEETKLLEGNLSEVSKSDEPDSLIQQQNIDEGIVRVESESGSSSQDNPSFQTIRDQKTDSSDSPYPTPLVLRGDIQTPGTVYTACMGTSKHGKRARASRQFIYPVLRPIENKLQWMELKAAESPVLASNPPKRRNMSSDFSEKPQQTFASSMAAQTGSPNSEPFPFHDYCAEQDEVISPDELKAQNVKQQLFEGGEELPEQGSEDGKHGVSSLAYWLKPSSADDESHGDTNTEDNVEKEPCYEKSVFDVPIFPASAFNWDIDNPTPVLPKAWDGNGIPNTTTKYKEDQKVSWHATPFEERLMKVLSDEKPLHERKISGKLIHLEENAE >KQL29861 pep chromosome:Setaria_italica_v2.0:I:25648590:25656624:1 gene:SETIT_016196mg transcript:KQL29861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAVLGDGMPEMDIIRALHMAADDPTKAINILLDFDHKPPPPPLPPTPSPSPSPPPGKPAKTLAESTPPSKAPARPKPTAEKPKAAPVPATTNGAGAATGENWWLVGSAEMAGLSTCKGRRIAPGDAVTFSFPNAAAAASAAGKSRSGRPSLASCSSEIMRFSTPNHGEVGRIPNEWARCLLPLLKENKIKVQGSCKSAPEALSIMDTVLLSVSVYINSSMFRDQKQSLPKAARVAPEDSTFYPLPALFKLIGLAPFKKAAFTPEDLYSRKRPIETKSSIGAPATKLRSENLRLSSTGNEDDHGEETVSDSDLDGIIGISDSSALEEKDPPDSLLCDLRPYQKQALHWMLQLEKGSSSQDAATTLHPCWEAYRLEDKRELVLYLNVFSGDATTEFPSTLQLSRGGILADAMGLGKTIMTIAVLLSDSNKGCITTQNTARISVEASGLGESQDAVKKLANPFSFSKHKTPKGPLIGGGNLIICPMTLISQWKAEIEAHTKPGAVNVYVYYGQNRPKEASFIGQSDIVLTTYGVVSSEFSIDGSTENGALYSIHWFRIVLDEAHMIKSSKSLISLAAAALTADRRWCLTGTPIQNNLEDLYSLFRFLRVEPWRNWALWNKLVQKPYEEGDERGLKLVQSILKPIMLRRTKNSTDKEGRPILNLPPANIEVKYCDLSEAEKDFYEALFRRSKVKFDQFVEQGKVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFADLNKLAKRFLRGGNGPVNGDSCLPSRAYIEEVVQELQKGEGECPICLEAFEDAVLTPCAHRLCRECLLSSWQSATAGLCPVCRKSMSKQDLITAPTDSRFQVDVDKNWVESSKISALLQELEVLRSSGAKSIVFSQWTAFLDLLQIPLSRNNISFARLDGTLNLQQREKVIKEFSEDRGILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKTVSIKRFIVKGTVEERMEAVQARKQRMISGALTDQEVRTARIEELKMLFS >KQL27758 pep chromosome:Setaria_italica_v2.0:I:436433:440598:-1 gene:SETIT_016931mg transcript:KQL27758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEALLPGPDGGGTSASSTRVILQAKEVKDKASKIKKQLGSEFFSENEPDSETMLAMAFKQVVMQRLSNFRLEAFSPGSERDLEDLSKPRKVSMDFSVISSDEKLLASLAEAIFSCAIEDASNNHIGGTGSLFQKRQFNCSIDSSVCIHKISEAEIVRNAKRCLENFSLTKSPQNMQNTKNGWWPAPNHDSLVKIGGPEFVLWANEYIPIYKLQINAKAFENTTLEGRHELQSNRWEVLLTHSQLAELGNIIDMYFEDQFTLPGKTFHPHWNSDPSKIKKNNGYLNNLFTFLAGSCIILFVTVFAQLCWPRSLRDKRLFNGSSNVSSSQSYCSDINSLDSSEIQAYCTSLIKKMKDSYGCPGDVFIDARIGAWVGELPDCFKGINSQDDTASGNVQHPDTFSQENQSQLVPTNIKMSDLEQNDRTQETLQNIASFQVVMSEEGKVVGFQPTNRPAVNHWSTNPLATLLYQGQTLSPGILEPKLKISRPAKAVPIELLMSVNQDSFFALARRIQDL >KQL28086 pep chromosome:Setaria_italica_v2.0:I:3196865:3200969:-1 gene:SETIT_016472mg transcript:KQL28086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPPLLVSASGGGRTLLAAFLAASLLAAAANASVLYDHRSLVINGRRRILISGSIHYPRSTPEMWPGLIQKAKDGGLDVIQTYVFWNGHEPVQGQYHFADRYDLVRFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIRFRTDNGPFKAAMQKFVEKIVSMMKSEGLFEWQGGPIIMAQRRPALCNWAAQMAVGTNTGLPWVMCKQDDAPDPVINTCNGFYCDYFTPNKKYKPTMWTEAWTGWFTKFGGAVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFGRTAGGPFIANSYDYDAPIDEFGLLRQPKWGHLRDLHRAIKQAEPVLVSGDPTIQSLGNYEKAHVFKSKNGACAAFLSNYHMNSAVKVRFNGRHYDLPAWSISILPDCKTAVFNTATVKEPTLLPKMTPVLQFAWQSYSEDTNSLDDSAFTKDGLVEQLSLTWDKSDYLWYTTQVNIGANEQFLKSGQWPQLTVYSAGHSMQVFVNGRSYGSVYGSYENPKLTFNGHVKMWQGSNKISILSSAVGLPNTGNHFESWNVGVLGPVTVSGLNEGKRDLSHQKWTYQVGLKGESLGLHTVTGSSAVEWAGPGGKQPLTWHKALFNAPAGSSPVALDMGSMGKGQVWVNGHHAGRYWSYRAAAGGCGRCSYAGTYREGRCLSGCGDPSQRWYHVPRSWLKPSGNLLVVLEEYGGDLAGVALATRTT >KQL29749 pep chromosome:Setaria_italica_v2.0:I:24103212:24106233:-1 gene:SETIT_020186mg transcript:KQL29749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELGAKGEPILPEGISARFWNICGAIVRDKLQTWITTSNRKNVPTTTLKERLTFPEGQEKFARNFAEGLLGRCFRNWRSTLNKEYVQKGKNAREDFEEHTGSKSPEKKNTAKAMKAAQNTHHLGVGGYTLKIAKWRREEEEQRRAGLPDMFEGLDECSSNWVLARIPKVTPDGKRLEQLAEAQKKGLFKLDREKDQITAAIGTVEHSRCGKSFPNDQASYRKRDRYKKNLEEKMREIAKQEFVEFLASQHLAIVADLTVSNGFVAPSSVGFIANVRYPIDDIQVDTPCRLVVIGMAVTGHVFAKAPLPEYTWVQVVTVLNESCEIDIPTDEGIKVLGDAMNQYILWHQVIDPTPPSPASPPPQRPVVPYMISTYDQKAPSMKVDKFLNVLKKKGSSSSEKSVTCSTSWQKKKDQNLNFFASNEVPINYEHGKPFLYRWDLLEGPWELNKLHRWIMNVMKQGLRAITAHVPTKVFLGVLDYQIVFDFEDLHRLYRRQHLNMNLITVWCLFKVAYLDPARISEQEHKLKMTEMIKAQMEAAQTQAEKNAIKLKAHRDVMHKADKDRIMASYNFQDHWICIVILPKLGEAVVLDSASFSRDKYKEFIGIIQNAYKLYILKGGDHNPKRKEAMKIIYHRFCHKQPSDAALCEYYVCEFLRNNRRYRTNPEDISLLYTMPRIDNHDSKLEDKQIDNICRDIVKFIQREICHENGAFFD >KQL30117 pep chromosome:Setaria_italica_v2.0:I:28173249:28180058:-1 gene:SETIT_018018mg transcript:KQL30117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSLRESLQDCKHSLASCQVELEAAKSEIEKWHSAFQKIPAVPSGANPDPVSVVSYLSNLKSSEESLREQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTRLKNLVEEKEKKIKELQDNVAAVNFTPSSKLGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKSQNNELRNQFDVLYKHMDGVTNDVERSNEMVSILQEELEAKDLELARLKEMLSQKEASHDEAVEERDEAANDMNTASDPMHVKVES >KQL28175 pep chromosome:Setaria_italica_v2.0:I:3995792:3996260:-1 gene:SETIT_018956mg transcript:KQL28175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNSQRKARNRLSLSPAPRIGPEQAKFGCELDPNRPQISSASQQAGATERGTNPGTARFGGIHRN >KQL31737 pep chromosome:Setaria_italica_v2.0:I:39458503:39461855:-1 gene:SETIT_017595mg transcript:KQL31737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATAATPMDVDAAAPPPPPAGAAAKGKAPLNAPGRAAPWVEKYRPQSLADVAAHRDIVDTIDRLTNENRLPHLLLYGPPGTGKTSTILAVARKLYGSQYSNMILELNASDERGIDVVRLQIQDFAGARSLSFGARPSVKLVLLDEADAMTKDAQFALRRVIEKYTRSTRFALICNHVNKIIPALQSRCTRFRFAPLDGSHVRERLQHIIKSEGLSVDEGGLTALVRLSNGDMRKALNILQSTHMASQQITEEAVYLCTGNPMPKDIEEIAFWLLNEPFSTSFKHISDMKMRKGLALVDIIREVTMFVFKIQMPSDVRVKLINDLADIEYRLSFACNDKLQLGALISTFTSARTAMVAAS >KQL28184 pep chromosome:Setaria_italica_v2.0:I:4119210:4120794:-1 gene:SETIT_016977mg transcript:KQL28184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWACRSFLSRPRTLLNSIRRRVTVTEPPVVVLAAASRGLVSDRSDGDRPDDPKDDKAAATSATCNAGEPETPGTSRKAPALADRCKGHRAASLTDTGEKKHLYLVLDDAKYGFGIHKLDIDNNAAAAGGPDVDVPDDSVKFEALPCLPKPPMTRIYNVVGMGPGFRDTYDSRTDGDTLTFDTKTAELALHPDLPVGLHQNCVRLAVAVGNMLYVVEDGTQYHGADYDDEFCWGGLHCLKLEDDTDTATTDGCPEDEEKPSRSAADDQWYWHPTNACFYTSTRWFWSSDPRMLPLSPAGITAHAVHPSGRAFFVSVHCYHVEDHRGRGTFSYDTERGQWRRHGDWELPFVGKAHYHRGLRAWVGLHKHGSAYKGFKPDGYLCACKVPRLYGVIAPEWKLGKERLFCLEDPQRHVEAKLVDMGGGGGTFCLVEIMTAPGVVDRKGRCIADDGEKCVLRLTTFRVEFDDDRELITTDRRSAGTFKLSKYHDFSRIFSDYWQAFWA >KQL31528 pep chromosome:Setaria_italica_v2.0:I:38213803:38214837:1 gene:SETIT_019623mg transcript:KQL31528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKPRLLSALRAAAPLPATSLHRLSLSTNAAAAAATPPTGFLANDYLVASCGLTPAQAQKASKYVSRLSSPVKPDAVRAFLAGIGLAEADVAAAIVSYPPLLSCKVDETLTPRIAQLREIGLSPPQISRLVTVAPEVLFSPVKISRLAFYLSFLGSFDRVHSALNRSSYLLRSDLETVVRPNIAFLQQCGLTDYDIGKHFLMRSRILLTEPQRVKEIAARAEELGVSRNSLMFKHTLLTLYGLNAGRLSAKLSFLKKVIGCSEAELGYAVRKMPAILTYSESKIGRTVEFLKVEVGLEPSYVLHRPAMFGYSIERRLMPRHCVLRILKAKGFLSKEIDFFSTI >KQL29920 pep chromosome:Setaria_italica_v2.0:I:26461141:26461591:1 gene:SETIT_020476mg transcript:KQL29920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFPHGEIYVLIYTHYQCNCLYEKSIYVVHISDTLLEIILCVQVQLVSRFIAISAS >KQL31977 pep chromosome:Setaria_italica_v2.0:I:40735438:40740139:-1 gene:SETIT_016471mg transcript:KQL31977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEAKLAFSQDSPPISIICAAKVAGLPLTIDPSLASGSAPTLWFGSGESLHGVNPILHFIARGASFSSFSGQNAIEFGHVAEWLEYAPMFLSGSEFENACSFVDGYLTSRTFVVGHGLTIADIAVWSNLAGIGQRWESLRKSKKYQNLVRWFNSIDAEYKDTLNEIVAAYVGKRGIGKSPAPSLKEKVHDSKDPSAPEVDLPGAKVGQVCVRFAPEPSGYLHIGHAKAALLNKYFAERYQGRLIVRFDDTNPSKESNEFVENLLKDIETLGIKYDAVTYTSDYFPKLMEMAESLIKQGKAYIDDTPKEEMRKERMDGIESRCRNNTVEENLSLWKEMVNGTERGKQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVGSKYKAYPTYDFACPFVDAFEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIYEFSRLNMVYTLLSKRKLLWFVQNKKVEDWTDPRFPTVQGIVRRGLKVEALIQFILQQGASKNLNLMEWDKLWTINKKLIDPVCARHTAVLKDQRVIFTLTNGPEKPFVRILPRHKKCEAAGKKATTFANRIWLDYADASAISKGEEVTLMDWGNAIIKEIKMENGVITELVGELHLEGSVKTTKLKTTWLADIEELVPLSLVEFDYLISKKKLEEDEDFLDNLNPCTRRETPALGDANMKNLKHGEVIQLERKGYYRCDAPFIRSSKPVVLFAIPDGRQQASLN >KQL30018 pep chromosome:Setaria_italica_v2.0:I:27509240:27511578:1 gene:SETIT_017161mg transcript:KQL30018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYFDPEYENFNQRINPPRVCIDNTTCSDCTLVKVDSMNKNGILLEVLQVLSDLDLHIFKAYITSDGGWFMDVFHVVDKQGQKITDDKTIKYIEKALGPESNLLGAKGSNSPGRSVGLHSIGDHTTIELKGPDRTGLLSEIFAVLADLQCNVLAAEVWTHRMRVACVVYVNDVATGLAIDDPCRVSQIETRLRHVLRGYGDGGGGGGDDDGRGALANFAVGSAPHHVDRRLHQLMHADVDVDGDAAPAALAAGEGDRPVVTVEHCEEKSYSVVNVKCRDRSKLLFDIVCTLTDMQYVVFHAAVSSEASYGIQELYIRRKDGKTLLKNEAERVIRCLEAAISRRVSEGFTLELCGRDRVGLLSDVTRVLREHGLTVARADVTTVGGQATNVFYVRNPSGQPVDMKTIEGLRGRVGQTIMLSAKSVPANVAKAPEPASGGLARASFFSFGNLFAKLRA >KQL31184 pep chromosome:Setaria_italica_v2.0:I:36142266:36150746:1 gene:SETIT_016241mg transcript:KQL31184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRNALGYRLFQWCSRENHTSVRKLLEVDGTSERSKLLKKVSVLMGYINAQDLVEQQRARRESATELIGVFKEIDFPEISAKFPCIKIGDASPIELYEDSTNMKCKETVLSENLANFIRDSGGNLETVYELPNECHPLSQTSTTADDISISEENSLMAQHISQEPAVDKETCPETLSDAITSDNSILDKSIRCLLGTTSRQYRQLEDGGFHTVRKLLQHFPRTYADLQNPQGPIEDGQYIMLFGTVISSRGIKVKSTLGFLEVVVGCSIVDTELSSSVKNSHTGAEQKTIHLHLKKFFSGTRFSSQYFLNCMSAKHKEGDLVYVSGKIKKALSNGHYELKEYTIDGLEGEGEQSSMLDRKPHPIYPSKAGLKPSLLGLSISRALKMLNPDVDPMPPDVLTEFNLPNLFDAYMGIHKPKDRDEADFARRRLIFDDFFYLQLGRLFQMLEAVGTRVEKEELLYKCKNHELNTVGVDDWSPLTKKLLKALPYSLTPSQLNAVKEIIWDLRRPVPMNRLLQGDVGCGKTIVAFLACMEVVSSGFQAAFMVPTEVLAVQHYEHLTSLLDEFDGDDKPNIALLTGSTSTRESRIIRNASSMVIGTHSLIADKTDFSALRISVIDEQQRFGVVQRGRFNSKLYTSSSKLSDENTSSDEASDSETFMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRQPIETLALEGNDAGFKTVFQMMRDELIDGGKVYLVYPIIDESEHLPQLHAATAEFDSIKQKFKGYPCGLLHGRMKSDEKDEALSSFRSGETRILLSTQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGKRKSRCIFLASTPSTLPRLKVLEKSADGFYLANADLLLRGPGNLLGKKQSGHLPEFPIARLEIDGGILQEAHHAALKVLAASNDLTLYPRLKVELSMRQPLCILGD >KQL29930 pep chromosome:Setaria_italica_v2.0:I:26587216:26591240:1 gene:SETIT_016355mg transcript:KQL29930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARASPPPSVSVGGGFGGARGDDSAFSFLSKGWREVRDSATADLRLMRARADSLRTLADRELEQLLASASTALAPPPPLAAGAPIAELEFVRTRIQPKISELRRRYASQERDLGRRVLEGWAPRGAGGPARARVDLSGITAIRNALVSEAGGGERWRRAAWKGEAEAEEGKEWEVVRMIRDGIKEFERRSQTSEIFEGLRSTGELVEKFKSSLKSFNMESQGSKEIPPLDLTEILANLARQSEPFLDQLGVRRDQCDRLVEALYRKQNHSLSEDTSLLVNDNSSDELDLRIASVLQSTGYHADDGFWSEPAKYEVSDNKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKGTKQDVTLVVPWLCKSDQELVYPNGMTFSSPEEQETYIRNWLEERLGFESNFKISFYPSKFSKERRSIIPAGDTSQFIPSREADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNWVTRAYCDKVLRLSAATQDLPKSIVCNVHGVNPKFLKIGEKITADRESGEPSFSKGAYFLGKMVWAKGYRELIDLMAKHKSDLEGFKLDVYGSGEDSQEVQSTARKLDLSLNFFKGRDHADNSLHGYKVFINPSISDVLCTATAEALAMGKFVICAEHPSNEFFMSFPNCLTYKTSEEFVARVKEAMNREPQPLTPEQRYNLSWEAATERFMEYSDLDKVLNDEVVQPGQAGTRNKTRRTSQPNLSNIMDGGLAFAHRCLTGSEVLRLATGAIPGTRDYDKQHCVDMGLLPPQVQHPVYGL >KQL28232 pep chromosome:Setaria_italica_v2.0:I:4483008:4483342:-1 gene:SETIT_019009mg transcript:KQL28232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPQQSLEWKFAGSKMVLSLKTHLTLANPGHTGRIDSRMALLHCTMVICTVAILCE >KQL31882 pep chromosome:Setaria_italica_v2.0:I:40262697:40263688:-1 gene:SETIT_018579mg transcript:KQL31882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPSGRRPRHHPAVNDILSTLADANAALADVQGRLDLEFRASYPDHANPAKLVARLKRVQEEVAALKDLCRDLLTQKQELIDRISVSLVSQRGTTQRLLAASGLPPLSDADEAAQNSLDQVIDEWMAHMKPIVGEDKKQDANQILFSTVIY >KQL29664 pep chromosome:Setaria_italica_v2.0:I:22787339:22787951:-1 gene:SETIT_020417mg transcript:KQL29664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEYTNEGYSHITQFQPTFGSRYNMQVNNKIFTNSSNAHYTVDD >KQL28163 pep chromosome:Setaria_italica_v2.0:I:3903860:3904158:1 gene:SETIT_019857mg transcript:KQL28163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGKRFGGGRPPTGTPSLAWSSVVIVASLLAGASIVHNIYKPDMTIPPVESTSGGSGKES >KQL29624 pep chromosome:Setaria_italica_v2.0:I:22111913:22112298:-1 gene:SETIT_020569mg transcript:KQL29624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPCGGAHTRCLQSSCAAGAAAATSCSTQLPLPEAAIQMETPLFICLVFLSNTSTQAKSKHDPTY >KQL31664 pep chromosome:Setaria_italica_v2.0:I:39085936:39087726:-1 gene:SETIT_017742mg transcript:KQL31664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETHQSVQELAASLVGALPPEFVRSEHEHPAATTFRGGPAPHAPVIDMSEPGCGARIAGAAREWGLFQVVNHGVPPPVVAELQRVGRAFFALPREEKERYAMDPASGKIEGYGTKLQRDLEGKKTWNDFFFHVVAPPEKVDHGAWPRSLAGYREANEAYCLHMQRLARELFGHLSLGLGLEEGAMAEAFGGGGTVFLQKINYYPPCPQPELTLGVAPHTDMSTLTVLVPNDVQGLQVFRNGHWYDVEYVPDALIVHIGDQIEIFSNGAYKAVLHRTTVNKEKTRMSWPVFVEPPGELAVGPHPRLVTGENPARYKAKKFKEYQHCKINKLPM >KQL31435 pep chromosome:Setaria_italica_v2.0:I:37488066:37489445:1 gene:SETIT_0162472mg transcript:KQL31435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKYCGARKKRGWRGLVAAAWVLLAVACSAAMHWHLRRESLDRAEERLVSMCEERARMLQEQFGVTVNHVHALAILISTFHFEKFPSAIDQETFAKYTGRTSFERPLLTGVAYAQRVFHHEREMFESQQGWIMNTMKRELAPPQIEYAPVIFSQDTVSYLARIDMMSGE >KQL28601 pep chromosome:Setaria_italica_v2.0:I:6956774:6957376:1 gene:SETIT_018403mg transcript:KQL28601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASDFDLNDNDHRMRCFPAVERRAFCLDQAGRGFLLEADTSRMVMMPPLHKPKLEPISLYIPCADKLDDLDGGGGGSLFIMDRVTKPEVREAGGPFEALVYRKPYSSSSFLSKSWDCDLLPPPPPYTRGAGHGRCLEITSYALVNCGGFGDESGHQNVTILTGVKAGKRILDGSSADRDVTSLAAERMPSRQFSE >KQL31773 pep chromosome:Setaria_italica_v2.0:I:39701727:39703249:1 gene:SETIT_019809mg transcript:KQL31773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLEQIHQTLSDIDGRIPDAIRVALGLHRVSPPPAPGEDDDVADFAISLLQPLATEDGGDRGPADPDVAASGLRIEWGSCYVPLHDHDAHFGDAIAGAFGVADGVGQYMDAGVDAGAFSRGLMASASAEVAGLEPGTPVCPHALLERAYEKTAAAGAPGASTAVILSLAAGNALAWAYIGDSAFAVLRGSKIVFLSTPQRHLSRTSRSKLHFSSTPARRKEHLFSFNDPPFQLSAVGERSDSVVDAVVGQVAVRAGDVVVAGTDGLFDNVLDEQLERAVQMGTKLGFSPKNMADIIAGVAYERHQIFL >KQL32071 pep chromosome:Setaria_italica_v2.0:I:41204420:41207501:-1 gene:SETIT_017179mg transcript:KQL32071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAHAAVAMEEVLGLVRGFVDVLVLAGGRTSSGAAATWSSDEVKKAFRWAFFFEEVFKDLRESGHYEDSAGELDAALVQLTSSPEFPKGLAGMRSETLSKARVLVIRHFLKAKAMSVQNFGALLEAVVEMDIDGICASGVPNACQEYAESILVMNSSFTQTRNACDIRLPASSDEWYAEFMGHSRILVREFLEGLNSASCSCLAERGLGTLLNSVQKNVFDDASNKTCTPAILKTPQMIDEFLMWKQWRAKCLSYLLDERTIRILSGASLIFKAPKEQWMKVFEPLKSIEESCQNGLAETMELCFLGLISRQWNPLIEGFMSHTFCFIPISKQYTDLHQLLQGASPDKCQDRFLDLEEKYIIEYASKSLQSKPSTLWLLPPVLIAAAIPPRSTLFQIYLAQIDKQFHGAAPADRKCCCRGNGIDQHHNCDITERIRCLYTFHIQQPHLTVP >KQL28189 pep chromosome:Setaria_italica_v2.0:I:4183747:4186398:1 gene:SETIT_016703mg transcript:KQL28189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISNQRTNTQLADDTPRDGRAVHRSTPSVRLACRRSRGRGGRLFLIAPLPSREETWLVGWFRSGGFGTVRRSRPSIGAIAMDNPAPATNAATAAASPSRRLPDFQQSVRLKYVKLGYHYLISHGMYLLLMPLMSLVAVHLSTLSPRDVADLWAHLRLNLISVLACSTLLVFLATAYFLTRPRPVYLVDFACYKPGPELRCSRDTFMRCSRLTGSFTDASLEFQRKILELSGLGEDTYLPPAVTRVPPNPSMDAARAEAREVMFGAVDELLAKTGVRPKDIGVLIVNCSLFNPTPSLSAMVVNHYKLRGNVVSYNLGGMGCSAGLLSVDLAKDLLQTHPGSYALVISTENITLNWYSGNDRSKLVSNCLFRMGGAAVLLSNRRSDRRRAKYELVHTVRTHKGADDRCFGCVTQEEDGEGNLGVSLSRDLMAVAGDALKTNITTLGPLVLPVSEQLLFMATLAARKLLKMKKVKPYIPDFKLAFEHFCIHAGGRAVLDELESNLALTDWHMEPSRMTLHRFGNTSSSSLWYELAYSEAKGRIRRRDRVWQIAFGSGFKCNSAVWRALRSVNPAEETNPWMDEIDRFPVDVPKVSKVSSG >KQL28821 pep chromosome:Setaria_italica_v2.0:I:8292499:8296209:-1 gene:SETIT_016491mg transcript:KQL28821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSREHLGVTLWLAFCLLRLHAFQFPIPFLEPYTSQQDEANFCQNFGNAVDAINDLYAALGSPDLDGWTEVGGDPCKEAWKGVQCDGPNVTAIELQGAGLGGKLSRTLGDLTALTLLDLSNNQIGGTIPQSLPPALTQLDLSSNSLSGELPDSMAKLSSLSTLNVENNQFSGSIPEKLLSILKFLRDGNKFNIPPIPGFSPTPPPPPPVPSTSPPSPPIPPKHVPESAAPQEPPVLSGSHPPIYVIPATPQDAPQRHNNKVSPAKAAGFSILAAGSVSITVVAIVLTVSRWRQKRTLRVGFLRGVEMSTPGWVREPPRVGAVARPERERHSVSLNVFFYPMITEGAEEKIHWPPRDYVKAAGSSIHPSFKNSNKDITVSDSDTNVQGSSEGQPQQFPFTFFTVASLQQHTNSFNDKNLIRETCFGKIYLADHPGSKFSVLKLDGGTTNMPVAEFLKIVQGISELRHPNVEELVGCCVDHGQRLLVYKHFSDNTLDDMMRFEHRASDAAETLPWDARVAVALEAATALEYLHEGSHKQVVHRHFRPEHILVDGELRVSISGVGLAPFVPQLQMSDYCSGALSYEPPEAADPAAAWTAKGDVYSFGVVMLQLLTGRKPYDRSRARGERHLVPWASPRLHDLAALGKMADPRLGGAPPVRSLSRFADIVGRCVQQEAEFRPAMSQVAQDLRRALEEARGGAGSGGAAQV >KQL29468 pep chromosome:Setaria_italica_v2.0:I:16847457:16852621:-1 gene:SETIT_0167772mg transcript:KQL29468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRQKTTDFAAACKQVKKKGPCPIKYCRKCLLNRYGENAEEVAEKEDWICPKCRGICNCSFCRKKKGEMPTGIMAHIAKASGCTSVHDLLEKGSDVVAAAQAILKVNGSDKHQGTKRSRDSDAADEVAAERDESAGIDLNTFPGDEGDENIGVDLNARPSVCVKKGRKLQHSVKKNSADERSHDGDSGEPLLRDKSPVLNNNIALPRGTPVTNIAGAQLDDEDIGAAIQFLEFCRTFAEIFQIRKGQSERILQDVVGGRELRLVSSVVAEFHMNLLSVIQEGKGKKPLAYTRDGDAWVIDVGKYISESAFISKELPLDCLNQGVSGYKNLSPSCKLRVLNFLCDETLSTDKLRNWIDMQNDVAAEPMNAAREKARAAKEKEKELKERLKGNMDKTMLSSNEAAALSSEENKDLISQIKEAQEVKRTAINCQNNMTGYIEIFPLQVLS >KQL29467 pep chromosome:Setaria_italica_v2.0:I:16842560:16852621:-1 gene:SETIT_0167772mg transcript:KQL29467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRQKTTDFAAACKQVKKKGPCPIKYCRKCLLNRYGENAEEVAEKEDWICPKCRGICNCSFCRKKKGEMPTGIMAHIAKASGCTSVHDLLEKGSDVVAAAQAILKVNGSDKHQGTKRSRDSDAADEVAAERDESAGIDLNTFPGDEGDENIGVDLNARPSVCVKKGRKLQHSVKKNSADERSHDGDSGEPLLRDKSPVLNNNIALPRGTPVTNIAGAQLDDEDIGAAIQFLEFCRTFAEIFQIRKGQSERILQDVVGGRELRLVSSVVAEFHMNLLSVIQEGKGKKPLAYTRDGDAWVIDVGKYISESAFISKELPLDCLNQGVSGYKNLSPSCKLRVLNFLCDETLSTDKLRNWIDMQNDVAAEPMNAAREKARAAKEKEKELKERLKGNMDKTMLSSNEAAALSSEENKDLISQIKEAQEVKRTAINYMAAMEKQGSLWTKPLMVEKGLGYWKLDGYCNSTTILLQEYGDHELMANKDKWFMFTEDEEKVIEEHIARSRHQMRKRIRV >KQL28023 pep chromosome:Setaria_italica_v2.0:I:2700725:2707147:1 gene:SETIT_018066mg transcript:KQL28023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGRGMGRPPSARSSDGMLAVAPFRFLPAEVEEMEARLQPLQNPSPNHVAVEELARKFSASAERIGKVVIDPKQVKTWFCNRRYYSREGKAARAAQAQEKSSARGVGAYYHLAAGSSAAVQAGSSSGSISMDGSQVQYEAKSARDGAWYDVDAFVSSRMSESGEQEVMVKFSGFGVEEAEWVNARTCVRRRSFRFRASECELVKLWHHVLCYKETEQSGLYFDAQVHGRKVKAHGPEECDCTFLVRYEHDQSEEIVRLRKLCGRPETYELSK >KQL32265 pep chromosome:Setaria_italica_v2.0:I:42001300:42002800:-1 gene:SETIT_018638mg transcript:KQL32265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRRPLLLAVLLAAAAILASASSKPQPPPCSRSCAALNCDSVGIRYGKYCGVGWSGCDGEEPCDDLDACCRDHDHCVDKKGLMSVKCHEKFKNCMRKVKKAGKVGFSVKCPYEMAMATMTQGMDMAIMLSQLGSHKLEL >KQL32221 pep chromosome:Setaria_italica_v2.0:I:41849776:41851668:-1 gene:SETIT_018006mg transcript:KQL32221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLVLPPAKTPFLSPFPAFPPPPPPRPLGIRISAAVAGRGSAGEDAAAASGTTARERRLVKVREERRRREYDREHTYPGWARVLENACRDDEELRAILGDSIGNPELMKQRIQERVRKKGRAQFNKSKTGSIVSFKVSFRDFNPLNSFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAYNSSNLQLSNSMLDYDPSYDSGEASSVLPSSFHDISDVEFQDNWGRVWVDLGTSDYLALDVLLNCLTQLSSE >KQL32220 pep chromosome:Setaria_italica_v2.0:I:41848959:41851668:-1 gene:SETIT_018006mg transcript:KQL32220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLVLPPAKTPFLSPFPAFPPPPPPRPLGIRISAAVAGRGSAGEDAAAASGTTARERRLVKVREERRRREYDREHTYPGWARVLENACRDDEELRAILGDSIGNPELMKQRIQERVRKKGRAQFNKSKTGSIVSFKVSFRDFNPLNSFIWFELFGEPTDRDVDLLGGVIQAWYVMGRLGAYNSSNLQLSNSMLDYDPSYDSGEASSVLPSSFHDISDVEFQDNWGRVWVDLGTSDYLALDVLLNCLTQLSSEHVGIKQIVFGGKRMGDWEEGMTNSDYGYKHFKI >KQL29234 pep chromosome:Setaria_italica_v2.0:I:11844137:11845707:1 gene:SETIT_017373mg transcript:KQL29234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPRPPTTSTINSSCTSSATSYVTYLTPTTSATQAYETPSRALLEEHTRVAMEISCGIRVPTSATIDLGVLASPNQGLQAGIDTLFRSWTDLANQEFKLLTSITKTSVAPHPDNLFVLLSMLNPLTDLFSLAKEKFLPVMKVLSLEDHADTLATGFDRALLALGSTVKSTIEMVQAWISGECILLISGYATTEIHHSGGVHEITRYVMMYIKLLSEHSALLTIVLNVTHGTGDIASTTEAPERIMADLMSRLESTLEKQSYYHITAMRWLFLVNNMHFIIQQPETLDVLEDDSWVPRDSIEFYIYMYLDVSWTPVQFCLQYRIKMIPACFKPPATRRFNTLFEKTYRAQSSWKVEDPLLRKHMRQAVIGKLIPAYRSFLEKQENKPAQLIEHTPEELEETLSELFEG >KQL28852 pep chromosome:Setaria_italica_v2.0:I:8483213:8484950:-1 gene:SETIT_018546mg transcript:KQL28852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMMSLREARRAASSAAWVRSTAFSASTARSPCAVRPSAVATCAFSRRRCAACAVRSSRWRCLRIRDRRADSRLESIRLARRCSMSARSSSPVPAAAASAAVAAESEPELPLPLEEAIVAGPCFLISSSSSLLHNTTPAELAEESELFFLLASISFLHYY >KQL29554 pep chromosome:Setaria_italica_v2.0:I:21225272:21229319:1 gene:SETIT_016724mg transcript:KQL29554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDAEKLEAARCVVTLTLSELSRHDISSSTSPPCADLLALFRRCFLLLPLLNAGDPSLAAECCRGLLASLGAILSGDPSPSLLPSLEVFAESLVSNGKLRSCLAMADCAAPEGSRIFTRALPCQGDHHLMLELVCRHFISSLENGEGFEVFWSALSWLGNEPRGTPEISFQGALALIHRTCLFPLPTVVQAHLLLLVSRCISDRNVDLHLLAFEHAMNLYVRYLPALHIFNRTGGVKTPWSRFVKEIPLCCCITDATDQKLRSQINGLLLFCQLHSGDDLPTNENDIDRLIEEHQHILHEKFRQESSMVVKDILLNILHCAKQKDVHESDTEVSDEIICLAAVLRVMSSSLLHILHCVSQMASAGDKENVDYATLCTGYNFIYESICLLGQHEANGLLRYDLLDIIGMPVDRERASMAMLAHFATMSLCCVRKRLGFLWKGCVVMMIMSMNLIAEEEGLSTFELSSKESAVVCSTEEGILKVSARTKAMALRYEAIRKIHKGRRVDGDGSRLGTPQKCLSIIGKADGHAFLECHPEYSPDWSDLMDFVECEEGRDYSNTLKQQRKFRKFKYEKKWSRKRQSNMEWFLT >KQL30000 pep chromosome:Setaria_italica_v2.0:I:27409068:27411690:-1 gene:SETIT_017343mg transcript:KQL30000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLLPRSPPLAAAMPPRRRRVVRSPPLPPSPPQISSTPPPVAPLRGPKPGSPDHDDPPPSARRRLLPLAAAAVEAQEEWHPLPLSAADLSLPLTLPTGQTFLWRRTSLSPLRFTGAVGPHLVSLSHLPDADGGRLAFLLHNDGGCPASSSVPAARAALCDYLNAAVPLADLWRQFAAADERFAEVAARLEGGGARVLRQDPVECVFQFLCSSNNNIKRIEKMAWTLAGYGERLGEVGGFVFHRFPTIEQLARVSEQELREAGFGYRAKYIVGTTKELQAKPGGGEKWLASLREKELPEVIEALCTLPGVGPKVAACIALFSLDQNHAIPVDTHVWKVATQYLLPELAGKSLTPKLSIVVADAFVTRFGSYAGWAQNVLFIGQLPAQKVVIAKVTTDGGTTKSTKRKRAGNRNQEN >KQL28120 pep chromosome:Setaria_italica_v2.0:I:3495743:3496345:1 gene:SETIT_018711mg transcript:KQL28120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVVDAACATTARRPDLRITPSSSRRSCQHTLIHVELLHVLAVRCQVPPPAAAMAANLARSCALPSSPVTAWTRYPPRGACRSSSAHSKERSRRKTQDKAEEPWPGHRGHRRATRDCWFEH >KQL29754 pep chromosome:Setaria_italica_v2.0:I:24165319:24169476:-1 gene:SETIT_018119mg transcript:KQL29754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLSRLSRRATSSAVAAAPSLRRLLSATSTAPAAQSAPPPPPSAAAAAAAGADRVRWDYRGQRQLVPLGQWMPKVAVDAYVAPEAVLAGQVTVHDGASVWSGAVLRGDLNKITLGFCANVQERCVLHAAWAAPTGLPAETLVDRYVTVGAYSLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRIPTGELWAGSPARFVRKLTNEEIMEIPKLATAINDLMQSHFSEFLPYSTAYLEVEKLKKSFSIPL >KQL29665 pep chromosome:Setaria_italica_v2.0:I:22789570:22790117:1 gene:SETIT_020301mg transcript:KQL29665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVMILFHDALCIEQKDNRIGQLGVPLSDGTLTEKDGFPSDLWMLLLLTD >KQL31873 pep chromosome:Setaria_italica_v2.0:I:40212688:40214007:1 gene:SETIT_020000mg transcript:KQL31873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLEDDLFPSTPGKVKIERAGTMNRQLHRCFASTSTMFLWALFLVAMTASYLSFQSFVDTSSKYFAASWGGLHWERQIRASAAPRRPPGSAAGAGMSVLVTGAAGFVGTHCSLALRKRGDGVVGIDNFNAYYDPSLKKARKALLSSHGVFVVEGDINDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNIAGLVTLLEACKDADPQPAIVWASSSSVYGLNDKVPFSERDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDHVDLARDFTYIDDIVKGCLGSLDTAGKSTGTGGKKRGPAPYRIFNLGNTSPVTVPNLVSILEKHLRVKAKKNVVEMPGNGDVPFTHANISLAREQLGYKPTTNLDVGLKKFVKWYLSYYGYTRGSKNFRQ >KQL29312 pep chromosome:Setaria_italica_v2.0:I:13206706:13208619:1 gene:SETIT_019716mg transcript:KQL29312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQQQQQGQGSPPPSASSCKRPRTAMKPLPPAHLAALRGRVWEARHLRTGLRVAIKILCHAKMRAAKLKPEREPRVMRLLSLGRHPHVARFYEAIRPPAAGDNQYTYIVMELAESGELHDHVAVRERLPEAEARRIFQQLAAAVAYCHRNRVAHRDLKMENVLLDAEGSVKIADFGFSKLWSPGKMQSRSLGSPEYAAPELLEGRSYRGPEVDVWSCGVILYAMLCGRYPFDGADMSDLARNIRRGEFRLPSWVSDDARDLISSMLIVRPEKRATLAEVTAHRWLQPDMPPHLAMPPPDATAAAARQGVNAATVELLVTRHGFERTSLLQSIRLDDGSEEAVAYQLVLSKQHDAATLYQLSMPPPPPPHGRHQWALDGGELVLRECPRETMRRVAKALGELGVRILFYHSHRHRMVCAHVRAAGGGGVPTAAIIHSFIRRHKDCGGSSSSSGAATATATDDDTAAVESLSAAAVFFEIQLLKAGEGNGNSNSQQHQYVLHLKRTSGPQIPYLRVCAQLASKLKSNPY >KQL30754 pep chromosome:Setaria_italica_v2.0:I:33153580:33155533:1 gene:SETIT_019117mg transcript:KQL30754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQPVTGPCVSDGWRARVAAFLGREIEEQGTRTSGVLISWLREHFGHCPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWIWGSAVLCFLYRQLCEACRRTSGSPSVGGCVYLLQLWMWSRIPVGRPEIIPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSPYDGDDPLPFPLSFMCATDDDIYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSIELHNVDRKKKRKVSEWASFHHAYIQEWELFEENVDENNEPHTNSAYRQYQSWYQGATRHRLREAWTQDDYAEIQSSDDEDTVYDQSTHAGRQVEAGPILDRMGRTLQTSVRDIEHIRPRVRDPEVRSILERLSNRLRRAAARCGCRTATTRDVHVPALRVPGVGTSSQGPSGSKSIASEEVDDDDDDDDDEQRAKEIGPYQLQEAPLTQPAQVVGGTRLRHPRSPYTPGTDALGHKGKGKTRRQ >KQL29187 pep chromosome:Setaria_italica_v2.0:I:11140822:11152033:1 gene:SETIT_017326mg transcript:KQL29187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIVGSKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGDENILVIDLLGPSLEDLLVYCGRRFSLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYVIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASRNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLSTPIEALCKSHPVEFASYFHYCHSLTFDQRPDYSFLRRLFRDLFDREGYQFDYVYDWTLLKCKQSQKAKAQQGCSQDAGASSRPVATNVDKHQVSVARPVEAAGLLDAEQRPAIRMQFKSSAENSRSNNLHPDRLRVAASPDNALLQSAPLGRVDAPRKNATISKTHGSIDPHTHGSYSPGPSNCI >KQL29186 pep chromosome:Setaria_italica_v2.0:I:11140822:11153351:1 gene:SETIT_017326mg transcript:KQL29186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIVGSKYKLGRKIGSGSFGEIYLATHVDTYEIVAVKIESSKTNHPQLLYEAKLYNALQGGTGIANIKWCGIDGDENILVIDLLGPSLEDLLVYCGRRFSLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYVIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASRNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKISEKKLSTPIEALCKSHPVEFASYFHYCHSLTFDQRPDYSFLRRLFRDLFDREGYQFDYVYDWTLLKCKQSQKAKAQQGCSQDAGASSRPVATNVDKHQVSVARPVEAAGLLDAEQRPAIRMQFKSSAENSRSNNLHPDRLRVAASPDNALLQSAPLGRVDAPRKNATISKTHGSIDPHTHGSYSPGPSNCI >KQL30873 pep chromosome:Setaria_italica_v2.0:I:33914745:33919083:1 gene:SETIT_016860mg transcript:KQL30873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHAYKPLEARSSTRSSSRGGRVAIAAVRERRRGSVGNRQALWTIRAAVTGVVWPVRAPHVARELDRQPEISWRSFTALQKAENTMKDFAGLDHLFVVSFLFYFSYMVIPAITDVTMEAVCPGRDECSVAIYLSGFQNAVTGVGALVVTPIVGNLSDRYGRKALMTLPVTMAIVPLFVLACNRSEVYFYVYYVAKIVSGIFCEGTMHCLCFAYVADHVGPSRRAAAFGLLSGVSAAGFLSGTLTARFLTTSSTFQVAAAVATAAALYLRVFLPDSGGTSCVDEACDPLLQPSSCTSSTSSSASSSDEELSPRLPPPQKGGLPSVSDMVALLTGSLTLSGAATITFFYSLGEHGLQTALLYYLKAQFGYSKDEFANLLLIVGAAGMLSQLTVMPILAPILGEEILLIVGLLGGCTHVFLYGIAWSYWVPYLSAAFVILSAFVHPSIRTNVSKNVASNEQGIAQGCISGISSFGSILGPLIFTPLTAWFLSETGPFNFKGFSILCAGLCTLIAFIISLRMRGAQSSASKKSTVEHEQA >KQL30011 pep chromosome:Setaria_italica_v2.0:I:27462207:27465071:-1 gene:SETIT_0175141mg transcript:KQL30011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTNDASPSSKLHTRLRLWEFPDSYVFEPIDGLADLYLSVSRANGTMNLVEALPPRGSSTPKVQTVYGVIGVLKLAVGSYFLVITDRDCVGSYLGHAIFKVTGLKVLPCNNALNTTSAEQKKMETEFSELLDAAERTIGLHFSYDINLTLSAQRLHDLGDEYKSLPLWRQ >KQL28672 pep chromosome:Setaria_italica_v2.0:I:7396643:7398715:1 gene:SETIT_016523mg transcript:KQL28672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPATSCNEKEKSSLLEFIAELSSHDGGVTTSWRNGTDCCKWEGITCNGRGAVMEVSLASRSLEGSISPSLGKLTSLLRLNLSYNSLSGNLPSELLSSGSITVLDVSFNSLNGDLHEPHPSITEQPLQALNISSNLFTGEFPSTMWEKTRNLISINASNNSFQGWIPSSFCISSTSVAVLDLSFNQFSGSIPADMGKCSALRVLKAGHNHLSGLLPDELFNATLLEYLSFPNNGLQGLLDGAQIMKLRNLVNLDLGVNRLNGKIPETIGQLKRLEGLHLNNNNMFGELPSALSNCTNIITIDLKGNNFSGELHKVNFFNLLNLKALDLLYNNFTGTIPESIYSCSNLMALRLSSNKLHGQLSPRIGNLKSLVFLSLGANNFTNITNTLQILKNCGNLTSLLIGSSFKGEAIPQDETIDGFQNLRVLSIPDCSLSGKIPLWLSKLKNLEILFLNRNRLTGTIPDWIRNLNSLFLLDLASNNLTGELPMALMEMPMLRTEKAATHLDTRVFELPLYFAHTFQYRIATTFMKTLDLSHNNLTGAIPQEFVQLKSLEKLNLSFNGLSGEISQQLSKLTNLQILDLSSNHLTGAIPSALNNLHFLSQFNVSHNDLEGPIPNGGQLSTFPSSSFDGNPKLCGIMVAKLCGSAEAPPVSVPSTEQTVRRVAFVFSFGAFIAVGVIYDQIVLSRYFG >KQL31260 pep chromosome:Setaria_italica_v2.0:I:36572895:36573712:-1 gene:SETIT_020041mg transcript:KQL31260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGGALRRTTTTGGARPADKSRRWETRLERELEGLWADPPEWCLPGADATDRLRWQVVVVGPEGSPYDGGVFAVRLEFPRDYPFKAPKVFFATKVYHPNVDPRTGLVCLDFLTDKNWWTPAWSVDKVLLVVVSLLHEPVMDGVAINREAAYLYKKKRLVYEEIARAKTREHASASASSTDVSSSSSSDNKERLRRPSSRGVSQLCRRLTRRVKFLRTSE >KQL27840 pep chromosome:Setaria_italica_v2.0:I:1041397:1043719:1 gene:SETIT_017040mg transcript:KQL27840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAGSKRGGGRGRKALVAVLDNDANISAGKAAKAGDLSASSAPKAKRAPARSGKAAKAAAAAASVVDDVAELQGMLERLRLEKEKAEEMVRERDEVIRKKEEEIETKEKEQERLQAELRKVQRAKEFKPTVSFPLVKSLLEKEQDAGDKGKRKKGKGKAVPERKKPCTAYVLWLKDQWTEIKKENPEADFKEVTNTLGAKWKALGAEEKQPYEERYRQEKEAYLQVVGQEKREAEAMKLLEEQQMQWTAKELLEQYLKFRQEAEEGDGKKGKRKNSKKVKDPAKPKQPMSAYFVYTQERRAALVAEKKNVPEIGKITGEEWKNMTEAQKAPYEEVAKKQKEEYHKQMGVYKKKKIEEAASLEKEEEEQKKIMKQEALQLLKKKEKADNIIKKTKEKRQKKKQENVDPSRPKKPASSFLLFSKEARKQLLEERPGINNSTLNALISVKWKELSGEERQAWNDKAAPAMAAYKKEMEEYTKAHSSSA >KQL29382 pep chromosome:Setaria_italica_v2.0:I:14672732:14673763:1 gene:SETIT_019505mg transcript:KQL29382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALRFKTCRLLPGNVRNRELSLIQRRILRRLRNKRRSIKRNLSQRENLNSNIKSQTTRKLSLYYGDLPIREMHRGRKRTSYIPFLLNQETRSDVIPVRLRFSDTLPQARQPISHRRVCLNNGLVTITHLKVSHGDLISFKENDARTRGEEIRRSFYIDISVGKIIGKFLLVRIWRRTKTEWFRLLTTQRGCRLLLKSGFLQELRSYMQEEDLERTKKFGSAKVCLGSSFAEHNRMKRNLFHFKYFFLLKRRKEEEENRKRAISPFVYKSSLYRNSTYCSGSPFTRKIRIKRIELPTHYSEVNHRTLKAVVSYGPNIGHIPHDIRLKDPNLPLRSGNGRGQNI >KQL28256 pep chromosome:Setaria_italica_v2.0:I:4599995:4600532:-1 gene:SETIT_020541mg transcript:KQL28256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPVAARRGLAGWVPLGCTAAARKTVRLDPPMLGLWSWEFPGVWILIPRYSDTN >KQL28309 pep chromosome:Setaria_italica_v2.0:I:4959554:4962102:-1 gene:SETIT_019853mg transcript:KQL28309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CFPLSSPRPLSPRRWHSLTLFRTPPRPVAVVQTSEATPHGLHDPTRSSPRRRGLPTLTELAGRESGSIHGRRPQKGHGRRRQRGLRRREARASTSGCPFGEGCHFLHCFPGGYQAVSKITNLGGPAVTPPGRMMMDGPPTPTMKTRLCNKYKTADGCKWGDKCHFAHGERELGKPMLMDSSTHFPMGPGPTGHFAPPPMVNPGMATPASFGASATAKISVDASLAGRIIGRGGVNTKQISRVTGAKLAIRDHESDTKLKNIELEGTFDQIKNASAMVGELIVNISGSAPPPAKNPAGGPHRGGSGSNFKTKMCENFAKGSCTFGDRCHFAHGENELRKPAAA >KQL28735 pep chromosome:Setaria_italica_v2.0:I:7808775:7809622:-1 gene:SETIT_018305mg transcript:KQL28735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVTTAGSAEEHSVSVEEFAGTRLSLGIGGGEGSRPRTVQLFGEVLSLQDDDDDDDDRDAARHREPAAPAGRKKRDASTAAVGSRQNKKARTFQGGDRRSPPSGGGGRKKLRLTGAQAAMLEDSFRAHNILSHAEKQELARRVGLSARQVEVWFQNRRARTKLKQTEVDCELLRRWCDRLADENAGLRRDLADLRASARLAVCAACRDKQVTARAGEMA >KQL27776 pep chromosome:Setaria_italica_v2.0:I:593607:598308:1 gene:SETIT_017325mg transcript:KQL27776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGELVSGNPSKWQCQPTNKAKAVASSRTDDDADDASSGEAGGGPVELLPLHLTEKILYRISPLASAHLVAVCKPWAATVSERLARPVPHLFVYLPADHGSDRRGVVFSVSMHSGYPPEVIPSRVRLADTNGLGCVGAMPSGRLAFANFCWCETSVLLVNPITGARQRIHVEKLRGNPVIAAGGADSFVSIGIGELMFWRRSGGEEWSKRSVAAGGYPLDAIMSVANCNGRFYILDKEGYVFLVDATAPPPLCIEKLPAASLFDWFAPPRSALATEYGHLLESGGEVLFVRRVLASKEDYREILFCRHGADELLSIVGFEVYRLDVEGRRWTEVKELAGDRALFVSPASSFAVRSSDMEGCRSNCIYFVDKKRYCAQCKRDDGNTWGVYSMEDREVLFKQAVTEQGACSSATWFLPRV >KQL29908 pep chromosome:Setaria_italica_v2.0:I:26233540:26237808:-1 gene:SETIT_016608mg transcript:KQL29908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHIGRLSQDVRHSYLEQLFQRFGRCTVNLKDGYGFAVYDSDGDATRALRALHGKYVCGERITVNWSKQQPRCSQGFRRSSRFVESFRGRNVRDARDSIRFRDSVARKSHPANHDQGHDPDAVPEKDSHKFTEVVDDAGENICDDLADAKRDEGGTIDEDPGEVKTDKGGTINANAIEHDRWAETGKGTPGGDSDDFDRYEPYHGYDRQEETENVTKASSYDSREPRRSSEKWWEHSDKHVDVSHDKSRSPPTCYKCGVAGHIARNCPQGIDDNFKARRDGLNFREKWQLRQRRFGSPSRRRPEVHVHPLDQTNHRVQDGRKPFSERNMRLPRLSNVLRDSRRHAHCSENMPQTNKEAHKRSRSERSRGSSPNSEPSSHSHHDNVKGSHSNRTSSDSRSRSPRSQSRFRAHSPSYSAHSSSKSTQHEGSRSNINHPVPFSVSASPQHKSSPDVENKNLEGLMNSRLEDNLEFRTRSKVKNMDDNKQEGKESVLNSKVLNGEVVVPDKDANTADYTGVNFDKNLVDDNAANRVQSQNVNFENSSSVKSKQDVLARNGRSKSLKLTTNEVIAALKHYGMEPQENSSDQPVEKYFGAARLWPWEIIYYRRRKKGPISTENYAKRVEQNKEFGIVDQYVRSSTGWWECP >KQL28358 pep chromosome:Setaria_italica_v2.0:I:5354242:5356174:1 gene:SETIT_018523mg transcript:KQL28358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGRKNLRRACDEGAAVTLAEGESIMQVLTLRGSNVIEVMDGEGVKSLALFPAKFQKSFWIKSGSFVVVDASGREQALESGSKIACVVSQVLFHEQVRALQKSGNWPVIFKSTPNEGSETGTQAQTAQIDEEPDSDEDDDLPPLEANTNRNRPYELYSDSDSGSDS >KQL27959 pep chromosome:Setaria_italica_v2.0:I:2077115:2078924:-1 gene:SETIT_016790mg transcript:KQL27959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSFAHLCLLLLVFLLVWPCSPQEQEHDGDGALHESFLRCVASLSPATTDPSRLVHAPSEASYPSLLNATIQNLRFASPRTPRPALLLTPATVADARACVACCRRHGLTVRVRSGGHDYEGLSYRSVPPRAAGARPFAVVDVAALGGVRVDAARREARVGPGATLGELYYAVARDSGGSLGFPAGICPTVCVGGHVSGGGFGPMMRKHGLAADNVVDAEVVDAEGRLLDRAAMGEGLFWALRGGGGGSFGVVVSWTVRLVPVPPVVSTFNVRRLLRRGDRRQAESTVRLLTKWQRVAHALPDDLFVKAAIEPKLDAPGERRPLVTFKSLFLGNCSSMVAEMSTHLPELGVTPSDCRDMSWIQSMLYFYGYTDGQPAEVLLDRTLQPKEYYKVKLDYLTSPIPAAGLRGLLARIVEDGGGSIDIDPQGGAMGATPESATPYAHRRGYLYNVQYFVKWHGGAGGEEEEGHLGWVRGVHGFMAPYVSSGPRAAYVNFRDLDLGMNVEGETSYEKARAWGEVYFRGNFRRLAMVKAEVDPEQVFWSEQSIPPLFVERQSESGLVSDT >KQL27940 pep chromosome:Setaria_italica_v2.0:I:1905456:1906385:1 gene:SETIT_020110mg transcript:KQL27940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKNDKLKDIEIRRELCKEIADSFDLDKEEFNIQENKVKISIKDVEHILGLPSQGDEIKEPPKKHVPGLFDKYTWNDSTKIYSSELREYLSKNKTYGDDFIRIFVLYTIGFYLCPTLQPYVKSDYLGLVEEIDNIKNLN >KQL30659 pep chromosome:Setaria_italica_v2.0:I:32443084:32448521:1 gene:SETIT_016273mg transcript:KQL30659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVARLPFVPPSRGNGNHPGCRRARARARAWWISSNAATCCYDFPIPPSPLPRPPPPPPPHLPPSLPPSGTVSSSLSLSHNSPNTPRAPRLALPTTAAAANAGGAMSVEEEVEEQEGEEELFYESLDRILSSSGSSTSASDDDGAARPRRRRGGCDASAAALDLWTSQPAPVQERRRRLLQLLGLAGDPSLARFGAGRSASDAAVDSLPASPVSRSRSGGVALGSAAKPPLGGARLRPSLSDASDAALEAVEEDPRCLIRNLDDGSEYVVREELCLREVGTGRQLTVEELGRSPIVQELMRRQAFSTPNSNCTSNSQSGASTPIERSSSSSSNGGARSKRRSSWLRSIRCVAGSMATHSRDRRSSDEKDTSSEKGGHHSSSATDDSQDRVPRHGPSRVKVRQYGKTYKELSSLFMTQDIQAHNGSIWSIKFSPDGRYLASAGEDCVIHVWEVLEFERVGKERAVEENGVCNESSELMVSSAAPSGSHWEKKLRSKVLHSGGSVSSDRLMVPEYVFALSEKPVITFAGHSEDVLDLSWSKSQYLLSSSMDKTVRLWHMSSTYCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSIPKREIVDWVDLHEMVTAACYTPDGKGALIGSHKGSCHLYDTSDDMLCYKKRIDLQIKKRKSSQKKITGFQFVPGSPSKVVITSADSRIRVLDGFELVNRFKGFRNTSSQISACSAGNGRHIISASEDSHVYMWRNNDDFEPSRKKGTVSVTNTHEHFHCKSVTVAVTWPFTSTTMTSFMNPRKQEELDCGLENDHVLQTKPAKAQEMPDVKYQSTTITSNNSNHTGDWTSVTWPEELTTPAKQSHRPTTCLADEGDQVPNRSAWGLVIVTAGRGGQIRTFQNFGFPVRV >KQL30379 pep chromosome:Setaria_italica_v2.0:I:30214707:30215132:-1 gene:SETIT_019193mg transcript:KQL30379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLVRVLVAVAAAMFLYGAALNRGGTRGLSTSRRGRYGRGYYYYGCVVLKSGIFSTASILSGAAAACAIAAYVYLQRTDDYPAVPGQFAAPGVAMGQPQWSQPYPPPAYPPPPAYPPPPMAYPAPPPYGGYGAKQPAGTA >KQL28183 pep chromosome:Setaria_italica_v2.0:I:4104641:4107247:1 gene:SETIT_016382mg transcript:KQL28183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYFLCRGLQPTNAWSPSRKFIKTCCVDGGQHETEEKGSRFGALFRLKNGNFACIWQAIITFWSAVPSRVPRGEHRKRQAVVLMHCGSAGLETVRTSGVGSSFFGLYLPRYMQGSDAYKASRRHLLLVFPLPSLLAIATTLVVSKCTDATRQKSLERSPCRSTTQSHSQITRKLLVRSTMAPRLLAAMMPQLLRNNNGSTSPMEMQIVHGPSNECTKVTRSVCCTIRARSGVLGTFIMAPTEEPAAATLASPPPPPPPPHFVIVPLVAQGHTIPMVDFARLLAERGARVSLVTTPVNGARLRGVAGQAARAKLALELVELPFPTGVDGLPPGIENVDQVTDHNHFVPLFDALQKLAGPLEAYLRGLPPPRPSCIISDWCNGWTAGVAASLGIPRLFFHGPPCFYSLCDLNAVDHGLHERTAAAADDQEKFVVPGMPVRVEVTKATAPGFLNSPGWEALWSECLDAMRTADGAVVNTFLDLEAQFLACYEAALGKPVWALGPFCLANKDADDMASRGNRPNVAQQSAVTAWLDTKDTDSVVYVSFGSLVRKLPEQLFEVGHGLEDSGEPFLWVVKEHEAAAPEVREWLAALEARTAGRGLVVRGWAPQLAVLSHRAVGGFVTHCGWNSLLEAIAHGVPVVTWPHFADQFLNERLVVDVLGVGVPVGAASPVMIFDDESAPVARGDITRAVSALMGGGAEAAERRRKAKEYGERAHRAMERGGSSYENLTQLIESFRQSGGKEAGTVRACSALKEQKVNVLPLQVCSGSTDAEA >KQL32215 pep chromosome:Setaria_italica_v2.0:I:41821604:41824830:1 gene:SETIT_017872mg transcript:KQL32215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRPLMRGIRPPRVFPTRAGRASPYALALTALLLVSAFLLALIAFGVFSLPVSAPNAATTNAAGAGGGETESADARPARPRPRRDLGEGLGERGAQWTEVISWEPRAFVYHNFLSKEECEYLIGLAKPHMVKSTVVDSTTGKSKDSRVRTSSGMFLQRGRDKVIRTIEKRIADFTFIPVEHGEGLQVLHYEVGQKYEPHFDYFLDEFNTKNGGQRMATLLMYLSDVEEGGETIFPDANVNSSSLPWYNELSECARRGLSIKPKMGDALLFYSMKPDATLDPLSLHGGCPVINGNKWSSTKWMHVHEYKA >KQL31738 pep chromosome:Setaria_italica_v2.0:I:39462997:39463929:-1 gene:SETIT_018583mg transcript:KQL31738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGYYYDQTTATYLPAPAPRATSFHLFLFLATAALLGATSFYSRYESAVESLVDQVRIAVVLSPLLLLLAVQYWAATSGARTRGGGVSSLLLGDQPSWYGGGGWGQQQRDGAGASSSPWGVALALALVLLLVSYQSCFRNLWFPLVSRRW >KQL31913 pep chromosome:Setaria_italica_v2.0:I:40399084:40399673:-1 gene:SETIT_020499mg transcript:KQL31913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESWLKLVSYSKAYGSIALKDFDCTKMLVSKTDVWCSRKSQIARQNIFFLMTNSLHQCKIKIASKHNACKWKYVC >KQL32110 pep chromosome:Setaria_italica_v2.0:I:41399541:41403742:-1 gene:SETIT_017208mg transcript:KQL32110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGGGVGGGAGGSPNNKEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPDYSPRFENEHDEDERKEGSQEGEGQASGNSFDNASAKKSNPLSTSSSNSLPDAQGLVSGARATDSARIDKFTKELSRPAVILDKLRELSWSGVPPYMRPNVWRLLLGYAPPNKDRREGVLTRKRLEYVECVSQYYDIPDSERSDEEITMLRQIAVDCPRTVPDVTFFQNPQIQKSLERILYTWAIRHPASGYVQGINDLVTPFLIVFLSEHLEGNMDGWSMDNLSAQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVRRIDEPVSKHIEEQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDYLPDFLVYISASFLLTWSDKLQKLDFQEMVMFLQHLPTRTWAHHELEMVLSRAFMWHTMFKSSPSHLAG >KQL32109 pep chromosome:Setaria_italica_v2.0:I:41400507:41403490:-1 gene:SETIT_017208mg transcript:KQL32109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGGGVGGGAGGSPNNKEWRFNQTLRNVQGMLKGRSFPGKVLLTRRSEPLSPPDYSPRFENEHDEDERKEGSQEGEGQASGNSFDNASAKKSNPLSTSSSNSLPDAQGLVSGARATDSARIDKFTKELSRPAVILDKLRELSWSGVPPYMRPNVWRLLLGYAPPNKDRREGVLTRKRLEYVECVSQYYDIPDSERSDEEITMLRQIAVDCPRTVPDVTFFQNPQIQKSLERILYTWAIRHPASGYVQGINDLVTPFLIVFLSEHLEGNMDGWSMDNLSAQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQRLVFRLKELVRRIDGNVPLILMFCHFWFHDVADKFHFSTHM >KQL32033 pep chromosome:Setaria_italica_v2.0:I:41012452:41015563:-1 gene:SETIT_017120mg transcript:KQL32033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAIGVAFISQENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSNPRMRASERTGGAAGPSVEKIEKTPGEASGRRNPSGSVNQSDNYAQRPRETVSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAIASSSRPGTSVEPSEQPYNRTSRLFSSNSGSRPSSTQRVNPSPGESRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK >KQL32034 pep chromosome:Setaria_italica_v2.0:I:41012452:41016325:-1 gene:SETIT_017120mg transcript:KQL32034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVVGGKFKLGKKIGSGSFGELFLAVNVQTGEEVAVKLENVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMINRVEYMHQKGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSNPRMRASERTGGAAGPSVEKIEKTPGEASGRRNPSGSVNQSDNYAQRPRETVSMSLKEIMHSTDRSGERTVERPRTSSRTGSASRRAIASSSRPGTSVEPSEQPYNRTSRLFSSNSGSRPSSTQRVNPSPGESRATSLSRAAVARGSRDEPLHRSLELLSLGGGKRK >KQL32201 pep chromosome:Setaria_italica_v2.0:I:41770841:41775750:-1 gene:SETIT_017399mg transcript:KQL32201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRESTVASGPNFSSFYAQHRGIGAPGVPGHSPGLHGPPPGGYRQHLDAVSAGYAFQNPHVGGPHIGQGYHHVEASPPVVQHSAGGGASSGGAMDIGMGVAVGADAKGDQGSGAGGGQDEQVKKKRGRPRKYKPDGAVTLGLSPTSSSTPHSSNSGMGTMVSTPGSGFGSGGSGGSGSGAPSEKRGRGRPPGSGKMQQLASLGKWFLGSVGTGFTPHVIIIQPGEDVAARIMAFSQQGPRAVCIISATGAVSTATLHQDSDSGGVVTYEGRFEILCLSGSYLVLDDGGTRTRSGGLCIALCGPDHRVIGGSVGGVLTAAGTVQVIVGSFMYGGSKKNKAKAEADMEHEEVNNAGEEEAAPAMVVPEHNMPPHAMGGWPPGMMRQMDSRTSNIDINSIRDRE >KQL31863 pep chromosome:Setaria_italica_v2.0:I:40153761:40156802:1 gene:SETIT_016663mg transcript:KQL31863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSHSREDIDLTSSDEEEEYGEEDYDAPSPISDPAASAGQRDDDLLRTATPASLEAIDAKLRSLDLKYQRPTAAKLYLHVGGATPSARWVLDERRASYAFVDKASDDTSSPRWFLEVGPGPRVSAPVDSALQLKTNPAQRRVDFAAGGSVWALRLPTDAEFRRFRVDYDRCLFENTYGVEATDEGRKEVFGADFAAWARPDEADDAVWADAEESLTPPVAAPARDLLKEFEEEAGDGDSIQSLALGALDNSFLVGGAGIQVVKNFRHGLHGKGASVRISGGRGVSGSAYSMPKKALLMRGETNMLLMSPGEALHSNGVHHVDIETGKVVAEWRFKKDGTDITMRDIANDSKAAQLDPSGSTFLGLDDNRLCRWDMRDARGRVQTIGSSSESPVLNWSQGHQFSRGTNFQCFASTGDGSIVVGSVDGKIRLYSKSSMRMAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTIFKDKDGKEKTGFSGRMGNRIAAPRLLKLTPLDSILAGTDNKFHGGQFSWVTENGNQEKHLVATVGKFSVVWNFQQVKDSKHECYRDQQGLKSCYCYKVVLKDESIVDSRFMHEKFATNDSPEAPLVVATPMKVSSFSMANRR >KQL31110 pep chromosome:Setaria_italica_v2.0:I:35701733:35702010:1 gene:SETIT_019040mg transcript:KQL31110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMQKVVQSGPNKIEGYTFCSYNISQAKGHKDNVSNKVIL >KQL29829 pep chromosome:Setaria_italica_v2.0:I:25263260:25263953:1 gene:SETIT_018366mg transcript:KQL29829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPRRRSAGSTAAGRRLRGLLALAGDYLKYLFMKRRRLMHRVARRTLALVHRHHGGGRRKSSQCPWPARALMEREFSCADSPSPAFLAAKRLLLRSRLRGGGAAAAAAGAVSSCFGSFRTPCGSTDTAAAPSELTETAVEEDHRLELEDEEEEDDEVMVAGDVWVQCGGELFDVDDRAEEFINMFYEQLRAQSFAAAVFQRSP >KQL30646 pep chromosome:Setaria_italica_v2.0:I:32378364:32379575:1 gene:SETIT_019255mg transcript:KQL30646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDWTKLPPDLAAYIAGRLLGIDLTEYIRFRSVCKPWRQSTEDPRGLHSRFIPRNWVVLINYLDCFRRNYGDRKYRLLNVATGASLTHAEFPELSGHHAVAYAEGLLVLWSKTTSAIRLLNPLTHAVADLPAPDFSILGEAASTAVLDSDYRFRGFGVINGGAGAAGASAPTMVIFMDGVIPMIACIRPGEPRWALVDTSELDGCTANVSFSSALSIRGRFYITTSTGDVLAVELHPEPRLVYVIKQTTTAATRPTTTTAPPAMRPFFEFFLAPSSNEDHAGMLMVRASRDRRQVEVFEVDVDAGKLVPTSTVGTDRAVFIGSARALSISTRLFPSIAANAVYFCIGNRSTELLFYIVHLDNGRGEPAHVPYSDQGQAVGPFVDPCNLDVYLAFCVDAVQVLAM >KQL28699 pep chromosome:Setaria_italica_v2.0:I:7569519:7571546:1 gene:SETIT_019587mg transcript:KQL28699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLQFPYKNYSSRLPIPSLGFAALLLISLATLTSSCTEQEKNSLLQFVSGLSQDAGLAKLWQVDTDCCNWEGITCNGNKTVIDVSLASRGLEGHISQSLGNLTGLQQVNLSYNLLSGGLALELVSSSSIIVLDVSFNQLNGDLHEFPSSIPGRPLQVLNISSNLFTGQFTSTTWKGMQNLIALNASNNSFTGHIPSHFCNISPSFSVLELSYNKLSGSIPPGLGNCSKLRVLKAGHNYLSGTLPEELFKATLLEHLSFSSNGLQGILDSTHIVKLGKMAILDLGENKFSGMIPDSIGQLTRLQELHLEYSSMSGELPSTLSNCTDLITLDLKCNNFSGELNKVGFSNFPNLKTLDLMWNNFSGTIPESIYSCRNLIALRLSSNKFHGQLSKGLSNLKSLSFLSLTNNSFSNITNALQILRSSKNLTTLLLGLNFMNETMPDNARIDGFENLRVLAITDCLLSGEIPFWISGLVNLEMLFLQGNRLTGPIPAWINTMEYLFYLDISNNSLTGEIPTTLMAMPMLTSEKTAAHLDPRVFYLPVYSGPSLQRRIPIAFPKALYLSGNKFTGVIPPEIGHLKALISLDISFNNLTGPIPSSICNLTNLEVLDLSNNNLTGEIPAVLENLYFLAVFNVSNNNLQGPIPTGRQFNTFPNSSFAGNPRMCGPGLNHHCTSVE >KQL27906 pep chromosome:Setaria_italica_v2.0:I:1571873:1572764:-1 gene:SETIT_0200231mg transcript:KQL27906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYIFNATVFPILHDDHWSVFVVAILDGYFVFLDSFFEEDHQYQKDVRDLVVNTKFLKAWDESIGIDWNFDEFVIHHAAVPKQDTKFFQKYDDGVFAMKFLELWDPRMNLMQKFSSGNIADIRVIYINDMIFSPHNSNKKGMDTISNHQAMV >KQL31327 pep chromosome:Setaria_italica_v2.0:I:36924734:36928521:-1 gene:SETIT_016645mg transcript:KQL31327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVLVASSLVLLLFVSACGPASGLLSPKGVNYEVQALMMIKNYLKDPHGVLKNWDQDSVDPCSWTMVTCSPENLVTGLEAPSQNLSGILSPSIGNLTNLETVLLQNNNINGLIPAEIGKLTKLKTLDLSSNHFSGEIPSSVGHLESLQYLRLNNNTLSGAFPSSAANLSHLIFLDLSYNNLSGPIPGSLTRTYNIVGNPLICAATTEQDCYGSLPMPMSYSLNNTQGTVMPAKAKSHKVAIAFGTATGCISLLFLAVGLLFWWRHRRNRQTLFNVDDQHIENVNLGNMKRFQFRELQAATESFSSKNILGKGGFGIVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLAVHRNLLRLYGFCMTTSERLLVYPYMSNGSVALRLKGKPPLDWTTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDCCEAIVGDFGLAKLLDHRESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKSSNQKGAMLDWVKKMHQEKQLDILVDKGLGSKYDRIELEEMVQVALLCTQFLPGHRPKMSEVVRMLEGDGLAERWEASQHTDSHKFKVPEFTFSRCYSDLTDDSSLLVQAVELSGPR >KQL31644 pep chromosome:Setaria_italica_v2.0:I:39005536:39007733:-1 gene:SETIT_018111mg transcript:KQL31644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVTGANSHGRALHTCAASSRPRALTGWSRRTLAVPAHRAPSPRARVVVRASAQRATWLPGLDPPAHLDGTLPGDYGFDPLGLGEEPASLKWYVQAELVHCRFAMAGVAGILVTDLLRVSGIRDLPVWFEAGAAKFDFANTTSLFFVQLLLMGFAETKRYMDFINPGSQAEEGTFIGLEAALVGSQPGYPGGPLFNPLGLAKDIENAHEEKLKEIKNGRLAMVAMLGFMVQASVTHVGPIDNLLTHLSDPFNKNIIHTLSSS >KQL30907 pep chromosome:Setaria_italica_v2.0:I:34272225:34275215:1 gene:SETIT_016704mg transcript:KQL30907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFYTVFNSLEYKLGVRLKFHIPCSYKAFDEVEGIEVAWNQINIDEVMQCPDNLDRLYTEVHLLKSLKHGNVMKFYYSWIDDHNKTINVITELFTSGSLRHYRQKHPRVNLKAIKNWARQILHGLDYLHSHQPPIIHRDLKCDNIFVNGNHGEVKIGDLGLATVMQTTRARSVIGTPEFMAPELYDESYDELVDIYSFGMCLLEIFTLEYPYSECTNPAQIFKKVSTGVKPAALAKIADPQVKQFIEKCLVRASERSSAKQLLQDPFLCPDTTHGPASTKFSSPAPKAVDIPLLSLQMDVDTCESTSASSGKENGCVAPHTPVLEFTRTNKNTELKLKGEKLDNNSVSLVLRIADLSGHARNIHFLFYLDSDTAMSVAAEMVEQLELADCDVTFIADFIDLLVANLVPGWRPVNDAAPNLYVCRQSESELVITSHQELSELVPDYALVDGMMHPKDVNVSSNGYLDSVSSATNLGGSQGSEGSVISVQLPGSSKSVSDYGAEDYVTMDCGGYKEGINKLEFSHVLGDGSRSIFHIDQASPCLELASSGSSISNADNQDVLNGELVLIEAQYKHLVDELTRMREEAIEGARKKWLPDK >KQL27954 pep chromosome:Setaria_italica_v2.0:I:2067127:2071722:1 gene:SETIT_016636mg transcript:KQL27954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPRLRLPTPFAAALAAAAWVLLAAAGWAAAGDPPLSPKGLNYEVAALMAVKSRLRDEKGVMALWDINSVDPCTWSMVACSPDKFVVSLQMANNGLSGTLSPSIGNLSHLQTMSLQNNKISGDIPPEIGKLTNLNALDLSSNEFVGDIPSSLGQLTRLNYLRLDRNNLSGQIPVDVAKLAGLTFLDLSFNNLSGPVPKIYAHDYSLAGNRFLCNSSVIHGCSDLTAMTNGTVSRQVQKAKNHHQLALAISLSVTCSTILVLLFVYWLSCCRWRLPFASADQDLEFELGHLKHFSFHDLQSATNNFNSKNILGQGGFGIVYKGCLRNETLVAVKRLKDPDVTGEVQFQTEVELIGLAVHRNLLRLYGFCMTSNERLLVYPYMHNGSVADRLRDYCNGKPSLDWSKRMRIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAIVGDFGLAKLLDRQESHVTTAVRGTIGHIAPEYLSTGQSSEKTDVYGFGILLLELITGPKTLSNGHAHSQKGMILDWVRELKEEKKLDKLVDRDLKDSFDVAELECSVDVIIQCTQTNPILRPKMSEVLHALEANVTLAESSIELHREPVPYGGTYSFSIRHEDPHDSSSFIIEPIELSGPR >KQL27942 pep chromosome:Setaria_italica_v2.0:I:1933455:1934363:-1 gene:SETIT_019139mg transcript:KQL27942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAAMVASPLPREEAVAARNVKAKQARQQQVVASGSGERKPRPQQDQALNCPRCNSTNTKFCYYNNYSMTQPRYFCKACRRNWTLGGTLRNVPVGGGSRKKKQNPAGGSASSAPPASSSSSNDSKKMNIVTQQLLTMPTATTPMPADFPSVLPTFMSIGGSFQLPSSDHHSLPFAPLSLSSNPGMMSSFMERGGFLDGSSSNGMASLPILPVPSFGVMQHGHGMMGGSSDQQMVGPLQGVDQEVKPPMATAGGSGLQQWPSSTTQEQQVVGGDGSADNNNHNMDGGASGSSSGVERYWQGGFN >KQL30935 pep chromosome:Setaria_italica_v2.0:I:34507021:34509841:1 gene:SETIT_017092mg transcript:KQL30935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSEIRFGYQIPLPRSDTDCYEEEEEEEEEEEEEEEEEEEMDDVTVSSPLMLPATAARGGVSVVDMVAGALRRSLLLCSSSGTGVREAPPEEDGAAAAGMQIGGPTDVRHVSHVTFDRFVGFLGLPADLEPDVPRPVPSASVSVFGVSPTSMQCSYDRRGNSVPTILLTMQRKLYSLGGLQAEGIFRINADNTQELYVRDQLNRGVVPDGVDLHCLAGLIKAWFRELPNGVLDSLTPEQVMHCNTEEECGHLASTLPPVEAALLEWAINLMADVVENESYNKMNARNIAMVFAPNMTKMADPLTALIHAVQVMNFLKTLILKTVNERDEAVTVARAFQSNSGSPSDKDEPQTLEHLDMPSVCSSQRNVDSPTIDGAKLDQFLFRVEEALHHKTHGGTGGPKNHDSSGGNEKINGEISPLDTDLSSQNEFNNNNEEGLFDKFKFRKGVGRLCRHPVFQFSRSMKKADEAEQACV >KQL27781 pep chromosome:Setaria_italica_v2.0:I:625478:628388:1 gene:SETIT_017105mg transcript:KQL27781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGSRSISSVKSRAAAAPRPPLQEAGSRPYMPPLSSGSRNPSAKCYGDRFIPDRSAMDMDMAHYLLTEPKKDKENAAASPSKEAYRRLLAEKLLNNRTRILAFRNKPPEPENVTGADAASSHHAKPAKQRRHIPQSAERTLDAPELVDDYYLNLLDWGSNNVLSIALGDTVYLWDASSGSTSELVTVDEDSGPITSVSWAPDGRHIAVGLNSSDVQLWDTSSNRLLRTLRGMHEARVGSLAWNNSILTTGSMDGKIVNNDVRIRNHVVQTYEGHSQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMASSMPSAGRNQWLHRLDDHMAAVKALAWCPFQSNLLATGGGGSDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTHNQLTLWKYPSMVKMAELTGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGAPEAPKPAAKASHTGMFNSFNHIR >KQL27997 pep chromosome:Setaria_italica_v2.0:I:2370686:2372023:-1 gene:SETIT_018374mg transcript:KQL27997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVLLVSAVLVGLVTLSSCRSLGELSEQKTYSSAPSYGSSPTPTYGTGGGYKPTPTPDYSTTPTPSYGSTPSTPSTPSYGIPEIPKHGFTGSCDYWKSHPDMIVAVVGSLGNIGKTFGTACSLIVGKKLENLHDALSNTRTDGVGALIREGAAAYLNSIVNKKFPFTTQQVKDCIIVAVTSDGAASAQAGIFKKANEYHY >KQL28164 pep chromosome:Setaria_italica_v2.0:I:3904884:3905799:-1 gene:SETIT_018634mg transcript:KQL28164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASSGGTAGAAYSSRSGSYATVVASHRLARQRSMPAAFASCGRGGERPRRLGDGRGRAPASPAAAGGGVVRALLAWVWRGRRRKAAVMARSGSSSAKEQQYGHDEYAQNFDEGAAAGEPENLSRSFSARYARRALRWDGAR >KQL29940 pep chromosome:Setaria_italica_v2.0:I:26681841:26684653:-1 gene:SETIT_016541mg transcript:KQL29940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLDFDGQEDIFFDVSDDIRSSTCSTARCSTSDQLSASWRPEYELWASEPMSVNERRHRFLIGMGLAQPIPTGIAFPQWQGDTLDDCAFRDLEERISSICSSYQSSFSQFASAPDSINCERDLDTGNRVVVHESEHDTMTGIVEEVGTDIIMNINQSEGFLSFSQLVHEFLQKGGGRTHLRGSNLTVTDKQKDPKSFCGRFTRKKGEDRICMYDTHMKSLKTSTFSRTKVHQQNKKWIDFSAVYICQEIQAHGGSIRVMKFSPSGLYLASVGEDCIARIWMIQEVESSPDLYGREAPVEYMDRNKGLKMKVAKGQRRTLAIIPKKVFNIAETPLHEFHGHTSDILDMAWSKSDFLLTSSKDKTVRMWKAGCDGCLAVFKHRDYVTCVQFNPVDERYFVSGSIDGKVRVWDVSEKRVVDWADTRRIITAVSYQPDAKGLIVGTVPGRCRFYDQSGENMEVEKELKVTKKKSARRQITSLQFSRGDPARIMIASAGSKIRVSEGAGISRKFEGRRGSKVLVPPSLTSDGRYLVSAGADSNVYIWNFDKLRGKGTKGARTVRSCEHFFSDGVTSVATWPGLLHQEICESGGGGGDLQSSDKGPTLCRDRDCCSFGTWFFTDGVGGAAATWPEEKLLPSLKYLNCSGMDERRPKVPAAWNTVVVTGSRDGVIRCFHNYGLPVKL >KQL31641 pep chromosome:Setaria_italica_v2.0:I:38990459:38992219:1 gene:SETIT_016945mg transcript:KQL31641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSSSDIQDDMMDDMSPSPHIMYDRLLGGLLIEGFDEKSCRSRYQFARYHKSPNIPSPYLIEKLRKQEALQKKCGPGTKAYREASKQLRSGQSINMTDCNYLLLTIHAGMGNRMLEITSVFLYALLTNRILLVDRYKEIGDLFCEPFPGTSWLVPSDFPLNYGEFTQSSPESYGNMLQNKVFGDNTERSLAGTRPRYVFLYLDGNCGFHDKLFYCEDDQQFLQGVPWLIIRTDMYFVPSLFLIPAFQDELSRLFPEKDTVFHHLARYLFHPTNNVWYSVTKYYRSYLAKAEKRVGIQIRIYETKGILQRNGPFPHILNQILSCAQNQKLLPEIAMTEGAAAETQNKGAAAETQNNRTIAVLTTSLSSWYSDQIQKKYDEHPAVDGTTVEVHQPSHEEYQRSRNKKHNMKALAEIYLLSMTDELITSGFSTFGYAAQGLAGLKPWIMFRSENHMVPDPPCGRAMSIEPCFHQAPFYDCKAKRDTDLGKVLPYVRHCEDVSWGLKIVNQTQL >KQL31318 pep chromosome:Setaria_italica_v2.0:I:36876665:36877387:1 gene:SETIT_020306mg transcript:KQL31318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKMREKGIPVPDSWTGQGLYTSGEASKFPQDHIFCPHRIILLFFSLMYSHMFPLMYYSHMCSSSISFF >KQL28882 pep chromosome:Setaria_italica_v2.0:I:8651520:8654694:1 gene:SETIT_018062mg transcript:KQL28882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVGVLALQGSYNEHLAALRRIGVRGVEVRKPEQLLGLDSLIIPGGESTTMAKLANYHNLFPALREFVSGGKPVWGTCAGLIFLANKAVALLAGQKTGGQELVGGLDCTVHRNFFGSQLQSFETELSVPKLAEKEGGNDTCRGVFIRAPAILEAGSDVEILADCPVPADRPSITISSGEGAEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRYFLDMDKESHAKAFSTLSLSSSSTDAEDLPKNKRLICPFLSNGSRKKEVKGLKKGR >KQL28883 pep chromosome:Setaria_italica_v2.0:I:8651520:8654694:1 gene:SETIT_018062mg transcript:KQL28883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVGVLALQGSYNEHLAALRRIGVRGVEVRKPEQLLGLDSLIIPGGESTTMAKLANYHNLFPALREFVSGGKPVWGTCAGLIFLANKAVGQKTGGQELVGGLDCTVHRNFFGSQLQSFETELSVPKLAEKEGGNDTCRGVFIRAPAILEAGSDVEILADCPVPADRPSITISSGEGAEEEVYSKDRVIVAVRQGNILATAFHPELTSDSRWHRYFLDMDKESHAKAFSTLSLSSSSTDAEDLPKNKRLICPFLSNGSRKKEVKGLKKGR >KQL28610 pep chromosome:Setaria_italica_v2.0:I:7014526:7015614:-1 gene:SETIT_019970mg transcript:KQL28610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFRVFAFYDSVVQPKWKVIAATVVGDAHDPEKFNEVFLGKPEKCLDFGS >KQL31475 pep chromosome:Setaria_italica_v2.0:I:37807344:37810727:-1 gene:SETIT_016714mg transcript:KQL31475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGADFYHVMTAMVPLYVAMILAYGSVRWWRIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLMVLAMLTAWSHLSRRGSLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARMLITEQFPDTAGAIASIVVDPDVVSLDGRRDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHNDFYSMVGRSSNFGAADAFGIRTGATPRPSNYEDDASKPKYPLPVVNAAPGAGHYPAPNPAVAAAPKGAKKAATNGQAKGEDLHMFVWSSSASPVSDVFGGGAPDYNDAAAAKSPRKMDGAKERDDYVERDEFSFGNRGAMDRDAEAGDEKAAVAGGGDPNAVAAPTAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIVLKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >KQL29218 pep chromosome:Setaria_italica_v2.0:I:11616350:11616989:-1 gene:SETIT_018938mg transcript:KQL29218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFFSGQRNSPVPPFYRRVYHIFVMQNITSSYWQLHIAMHRSLNPETHTDKINRGNAAWRVAESKRNVK >KQL29820 pep chromosome:Setaria_italica_v2.0:I:25141833:25144408:1 gene:SETIT_018289mg transcript:KQL29820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVACDCAAAMSSDAVAAARKGRLKQRYDNEFRLVAGCVPYRVKKGDEGNPCSSPGGDPAEVEVLMISTPNRADMVFPKGGWEDDEDVYQAASREAMEEAGVKGVINRAALGHWVFKSKSSQNSTSPRGACKGYIFAMEVTEELESWPEQDTHSRRWVSPGEAYQLCRYDWMREALTALLERLSVIEPVAAAAASATPELNDQAGMYMMLQATSDGAVALC >KQL27771 pep chromosome:Setaria_italica_v2.0:I:552954:556095:1 gene:SETIT_018889mg transcript:KQL27771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLTRAVARSDVSNIAGRRKPLVRILPTVSVTGSGVLPPRVAAFSSRGPSATFPGIIKIWTSYLQASCPCTDMESARGMATLS >KQL27770 pep chromosome:Setaria_italica_v2.0:I:553073:555362:1 gene:SETIT_018889mg transcript:KQL27770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLTRAVARSDVSNIAGRRKPLVRILPTVSVTGSGVLPPRVAAFSSRGPSATFPGIIKFGASYPSFSLSIFEVSKHQIF >KQL28669 pep chromosome:Setaria_italica_v2.0:I:7372322:7374784:1 gene:SETIT_020178mg transcript:KQL28669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSIALATVLLLSLASPATSCSEQEMSSLLQFLAGLSEHNGLSASWRNGTDCCKWEGITCSADGMVIEIFLASRGLEGSISPSLADLSNLQRLNLSYNSFSGGLPSELLTSNIIIFLDVSFNQLSRVLQQNLSSSVPDHRSLQVLNISSNLFTGEFPSILWENKFNLVVLNASNNSFQGWMPSSFCISSTSFAELDLSYNQFSGSIPSGLGKCSALRVLKAGHNNLNRTLPDELFNVSSLEYLSFPDNGLRGILDGAHMMNLRNLAILDLGGNMLNGKIPDSIGELKRLKELRLGYNDMFGGLPSALSNCTNLIAIDLKGNYFSGKLTSVNFTSLINLEVLDLLYNNFTGNIPESIYLCSKLVALRLSGNNLHGQLSLRIANLKSLIFLSLSYNNFTNITNALHGLKDCKNLTVLFVRGSFIGEAMPEDEKIDGFQNLQILSISECSLSGTIPLWLSNLKNLVMLFLYMNQLRGPVPAWIKSLNSLFYLDISHNNLAGEIPTALMEMPMLPTILLDPRVFGLPVYADPSHQTRITSAFPIVLNLAYNNLTGVIPQEIGQMKSLTALNFSYNSLSGEIPPQLCSLTNLQVLDLSNNILTGAIPSALSNMHFLSAINVSNNDLEGPIPTGGQFSTFTNSSFAGNPKLCGIIVDRHCGTAEPPPVPTPSTEKTDRRVAFAIAFSVFFGLGVLYDQIVVSRYFG >KQL29499 pep chromosome:Setaria_italica_v2.0:I:18253845:18254051:1 gene:SETIT_019342mg transcript:KQL29499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSDDRCCGYRTPFPPCDALRVFRHVDNTFPCPVCPAKKHRWRILDEVKDHVLGMSKSAALRGENKK >KQL32096 pep chromosome:Setaria_italica_v2.0:I:41348879:41350627:-1 gene:SETIT_020129mg transcript:KQL32096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVGGGGATVRTATIASIIWKCKEMVDSQITCGLPSKDSEHAEAMTLLSVLQKGWQLKIKIMDVVTDNLKNYETMIGKRDVFKHKHPDIRMTAIQIAKEYNVYRFRYEPRELVYLVNEMAKAPKVISINQSRDIIILKLEKGLVGDVDMKMVQSKWYHVNVQAQVLKLDALERLLSILSPEFLVVLGSNPVDGIASTDPVDDVASTTSGELGPTKLCTAVVMINEIKIPKFCAKKALLYAPNQDTLGVISVSIITPHEKAALTDNAKEVDPFLYGFFWSGIFWTFPIFGYTHKLDQLVGGCFLVEISHGREVSSQATRSRSFTISHGRFFSFKHRSGSGKFELE >KQL28128 pep chromosome:Setaria_italica_v2.0:I:3542685:3544299:-1 gene:SETIT_018883mg transcript:KQL28128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSKEERRAKRQIFKAKLKNFTRHACKVTACTMTGAKIGALILDPVVCTLLGFSYGVISVLKDDVTGDVGPTVLDVVLPSGQN >KQL28618 pep chromosome:Setaria_italica_v2.0:I:7104465:7105158:-1 gene:SETIT_018821mg transcript:KQL28618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAPAPARFVTEVAPPQVVSVMRRGKVPRSLDTIAEDDREQLAYGPPPSSSEHLGFAAAWSRRAPARERAAGGFMMRGLSNYFSDAHGQHQAGRRR >KQL28954 pep chromosome:Setaria_italica_v2.0:I:9157657:9159865:-1 gene:SETIT_016909mg transcript:KQL28954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSATNKAAVFLAVAVICLLAGSNAVAAFNYADALDKALLFFEAQRSGRLPPGQRVAWRGDSGLSDGSAEGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWGAVEFGDAMARAGQLENARAAVRWGADYLLKAAAAAPDALYVQVGDPYQDHRCWERPEDMDTPRSIYKVTPDKPGSDVAGETAAALAAASLVFRACDPAYSSKLLQTARKVFDFADRYRGSYSDSLSYVACPFYCSYSGYHDELLWAAAWLHLATAAGKGGGGAGNSSADTYLSYIYANGHTLGADQDDFTFSWDDKRVGTKVLLAKGFLQPHAAGDKPAAAAAGLKLYKAHADSYVCSLVPGAAGFQPSQYTPGGLLFKEGDSNMQYVTSTTFLLLAYAKYLSTAGASVSCGGGGGAVPPSALVAVARRQVDYILGANPAATSYMVGFGARFPRRVHHRGASMPSVRDHPARIGCDEGFRYLHAAEPDANVLVGAVVGGPDGGDAYTDSRDNYAQAEPSTYTNAPLVGALAFLAGGRRH >KQL27752 pep chromosome:Setaria_italica_v2.0:I:398780:401904:-1 gene:SETIT_016699mg transcript:KQL27752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAANDNSPPAAAPPPRRLSSPLPRRAPPSPSPSTSSRAKPRKPALAAPGPEADESLDNPDLGPFLLKQARDAMVSGEGGGAARALEFAERAARALERRGEGAELELAMSLHVAAAIHCGLGRHADAIPVLERAVAVVTPPPPAEGEADDQQPQQEPEADQRGEDWSLAAFSGWMQLGDTHAMLGRMDESIACYGKGLEIQMAALGERDPRVAETCRYLAEAHVQALQFDEAEKLCRKALEIHREHSAPASLEEASDRRLMALILDAKGDYDGALEHLVLASMTMVANGRDIEVATIDVAIGNTYLALARFDEAVFSYQKALTVLKSARGDDHPTVASVYVRLADLYHRTGRLRESKSYCENALRVYAKPAPGAAPDEIAGGLMEIAAIYEALGDLDEALKLLQRALKLLEDSPGQWSTVAGIEAQMGVLYYMIGRYADSRNSFESAVAKLRASGERKSAFFGVLLNQMGLACVQLFKIDEAAQLFEEARAVLEQECGASHPDTLGVYSNLAAIYDAMGRVEDAIEILEHVLKVREEKLGTANPDVEDEKKRLAELLKEAGRSRNRKQKSLENLFGSNAARAKKEAGRRWSNFGFRS >KQL29748 pep chromosome:Setaria_italica_v2.0:I:24094007:24095729:1 gene:SETIT_018660mg transcript:KQL29748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGQLLGKYLDDPKNFFVVSTDFCHWGSKYKYTYYDENHGAIHKSIEALDRMGMEIIEVGSPNAFSQYMQEYKNTICGRHPISVFLHMLKHCSMKVKVKFTRYDQSSQCNGMEDNSVSYCCAVAKVDPSGEDEKRE >KQL30312 pep chromosome:Setaria_italica_v2.0:I:29848462:29852755:-1 gene:SETIT_018266mg transcript:KQL30312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIFVKKPKITDVDRAILTLKTQRRKLAQFQQQLEKVIEAEKEAARQLVQQKKRDRALIALKKKKAQEELLKQVDSWQINVEQQLADIELASKQKAVFESLKAGNAALKSIQNEINIDDVQKLMDDTAEAKAYQDEINAVLGEQLSAEDEEAVMTEFENLEAQLAVESLPDAPVTEVRPEEKMKAPADTEAASEDIDEVIELPDVPTKAPERPQAPEKTKVLEEPLPA >KQL31697 pep chromosome:Setaria_italica_v2.0:I:39258522:39261241:-1 gene:SETIT_019303mg transcript:KQL31697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAADLLAALSSPSSHAGLHSRFAAYLQPFTPYLPTANPNPKPPPRRATKQTKQPPPPPDAATLRSLAKRFLPFIARALQLLPPLVRASPGSGDAGGGAPDELLETYGLLLDCLEAISPCLAGKPYSVLLQRGRFVCFLESRGHLGRANAEAAAALDALCSSLSPPTTSTKSRRGAASVAPALLPDPGSAGDAGRDPEVTTLAVELTVCLANCASKDKVKEAAPYERVLSLVQQLQPWLLILADDVRRKYLILLVNALTRCTFFLVAESSTFRTDLVHRFCGSMIEECVKAQMIERLPAIARKICSSVDLSWGGSTELLLHVLKEVTDSVVRVKADLPKAVDELMLFVAYFTRCILSGNRDLCLGTSELLYKQGDYFSE >KQL32263 pep chromosome:Setaria_italica_v2.0:I:41991994:41999416:-1 gene:SETIT_016190mg transcript:KQL32263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRRGRQHPGVGEGSQPPHPQPAGRGGGRGGNQGRGGGRSARADEAQQQPPPPRGEHPGRGHARGGTRPLPQQYPRAARPGQGAGPSATGSSSPLAPELRQAMEAPHELAQASPLHAGPSQSQPQIEEHKLAEASAGSEIAPAIPSSSKSVRFPLRPGKGSVGTRCLVKANHFFAQLPDRDLHQYDVSITPEVTSRILSRAIIKELVNLHRQSHLGGRLPAYDGRKSLYTAGALPFTSQEFHITLLDDDDGSGSERRRRSFKVVIKFAARADLHRLEMFLAGRHAEAPQEALQVLDIVLRELPSARYAPFGRSFFSPVLGRRQPLGDGLESWRGFYQSIRPTQMGLSLNIDMSATAFIEPLPVIEFVAQLLNSDIHSRPLADAERVKIKKALRGVKVEVTHRGNMRRKYRISGLTTQATRELTFPVDEGGTMKSVVQYFQETYGFAIQHTYLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNQNQIRALLEETCQHPRDRERDIIRMVKHNAYEKDDYAQEFGIKISDRLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGKVRSWICVNFARNVQESVVRGFCHELALMCQASGMDFSREPVLPPLYARPDQVERALKARYHDAMNVLGPQRRELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCTKQVFKMNKQILANLALKINVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIEDLYKVWQDPQRGTVSGGMIRDLLISFKKSTGEKPQRIIFYRDGVSEGQFYQVLLYELHAIRKACASLEANYQPKVTFVVVQKRHHTRLFAHNHNDQNSIDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIKGTSRPAHYHVLWDENNFSADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSVASGPAGRGQQPTSRSARPPGGAAVRPLPALKDNVKRVMFYC >KQL29815 pep chromosome:Setaria_italica_v2.0:I:25059482:25068290:1 gene:SETIT_016759mg transcript:KQL29815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCSDEAGHSGAHPVGPAAAKAAQAASAAADRFLRSRGAGASTQIELSLSASNLGDQEYFPKINPMVVLYSKRKDGPLEEIGRSEVILNSLNPSWMTKISMQYQFEVLQPLVFQVFDIDPQFHDVSEKMLKLEEQEFLGEATCLLSEVITKRDRLLTLKLGVSEHNLPNPSKFGELTIQAEESAGSKAIMEMVFRCSDLEIKDLLTKSDPFLLISRISDSGMPVPICKTEVRKNDLNPRWKPVILNLQQIGSKENPLIIECFNFSSNGKHDLVGKIVKSVAELENMYHRQNGENFFVPASNAHECHSKEVLKSQLYVEKYVESNRHTFLDYISAGCQLNFMVGVDFTASNGNPRLPDSLHYIDPSGRPNVYQKAILEIGDVLQYYDPAKRFPSWGFGARPIDGPVSHCFNLNGSTYQPEVDGIQGIMSAYISALRNVSLAGPTLFGHLISTAMTIASQSLADNQQKYFILLIVTDGVVTDFQETIDAIIRASDFPMSIIVIGVGGADFKEMEFLDPNKGEKLESSTGRVASRDMIQFAPMKDAHGSGVSTVQSLLAEIPGQFMTYMRTREIQTVS >KQL28647 pep chromosome:Setaria_italica_v2.0:I:7191087:7192175:-1 gene:SETIT_016985mg transcript:KQL28647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGKRRRVGGGGHEDEEEEEMVVEEDRISDLPDALRMQILSLLPLKSAIRTGALSSRWRCLWEQRWPEPSSVRIRLPPGAAGAAARVEQFGLIDRRGRRRMDCFSLAFHGGQLTQPDLRRCLDYAAVCEVEDLHLRVDGGAGRGSRGGGGTRGRGMLTVHFPVGSRLLARLSVRGLNLTAAANAMVATLEVIHLHSVFLTDAALRRVVAACPRLRELDLRYCRRLRRIDFSAVGVPNLRTFTLVDCSRTTEVRVPMAPRLRSFRLSGAFLSSNILTGAGGSLEHLYLCSGGPENGLPPTNLPISVPHLSNLSVLTLCSIALQVCSGIYAISFQPISLPTGLYTCSPLPCSTFLLSQLRP >KQL28646 pep chromosome:Setaria_italica_v2.0:I:7190281:7192175:-1 gene:SETIT_016985mg transcript:KQL28646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGKRRRVGGGGHEDEEEEEMVVEEDRISDLPDALRMQILSLLPLKSAIRTGALSSRWRCLWEQRWPEPSSVRIRLPPGAAGAAARVEQFGLIDRRGRRRMDCFSLAFHGGQLTQPDLRRCLDYAAVCEVEDLHLRVDGGAGRGSRGGGGTRGRGMLTVHFPVGSRLLARLSVRGLNLTAAANAMVATLEVIHLHSVFLTDAALRRVVAACPRLRELDLRYCRRLRRIDFSAVGVPNLRTFTLVDCSRTTEVRVPMAPRLRSFRLSGAFLSSNILTGAGGSLEHLYLCSGGPENGLPPTNLPISVPHLSNLSVLTLCSIALQYISAFTAKAVVESKLQSLRELNFLMFGMANSNLADIYSFLKTCSCPQLERLFVQLPTNVRDSFTENFLEVAEEEPPKGGLENLWLAKMTNFKGHRNEMQLVEFLLRKSSCLKKLFLIAPTEDHPQGLRKIQSDVLPSFLKTEIMHLERASANTQIIFSEPDGPQIQPLHSEVFVRF >KQL29546 pep chromosome:Setaria_italica_v2.0:I:20824514:20825888:1 gene:SETIT_017815mg transcript:KQL29546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEVVRAATKGFNSGNVISIGGSGATVYEGVLQSGSRVAVKRFQAIWPCTKAFVSELAAMLNCPNHPNLVRLAGWCCSKDELVLVYEFMPNGNLDCALHTMGGATLPWEARFRAVLGIASALEYLHDGCDHRILHRDVKSSNVLLDAEFNARLGDFGLARLVSHGGLPHTTQPAGTLGYLAPEYVHSGVATERSDVYSFGVLALEVATGRRPTERGISVVDWVWVLWGRRRLVDAADQRLQGRFVAEEMRRVLLVGLSCVHPDCRKRPGMRRVVKMLDGTAPLTLVPDKKPPVMLQTQVNQASSMNSVDTINTAFYSCR >KQL28160 pep chromosome:Setaria_italica_v2.0:I:3892032:3892666:1 gene:SETIT_019222mg transcript:KQL28160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEVGSGRRQPPHPARAHKAQAGSLVDARAILSLALNGSLCAVVELDPRLRIKHREPELLTHAAAGSGGDSPSSWSLRCRISLSRLPRAIRDGLRPWAHHASTRHLKRWEDPAHHEVYAYDPESGGVGRVFSMGGFVDYTAEAKVLLNVALHEESVTGIRRRPAAGDDYDGGKLKVKVGSSTLAR >KQL31559 pep chromosome:Setaria_italica_v2.0:I:38389987:38392244:-1 gene:SETIT_0161802mg transcript:KQL31559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTQSAHYTLRRKVSMLPSRLSEELVSLNPGVDRLAFSIIWDIDPHGNIVSRWIGRSIIFSCCKLSYDLVQDLICSEASQSRSAVSSLQVHGIFEQDDVIKSLRSLYEVSKNLKEIRFKGGALSLDTAKLMILFDEDGAPCDSYRYIRNDACFIVEELMLLANMSAAEVISNAFPDCALLRRHPEPNLRKFREFEAFCAKNGFELDASSSGQLHLSLSRIKEKLQDDPVLFDILMFYASKQMQSAEYFCTGDLISKKDDWAHYALSVPLYTHFTSPLRRYTDIIVHRTLNAVIEAEQMYMKQKKSSTGRNGVKASCELMDRCFTGLQFSKDAAVSEEGKRALSAAAEKFKVPSSENLGEVAEHCNERKWAGRRAEEAGRKLYMWALIKNKEIVVCNARVLGLGPRFMSVYVPKLAMERRIYYDEVEGLSVEWLEATGTLVLDACRNKPARGTQMKSRPIEEVAMVVNPSEAMLSEEDEESGATEAGGCTAKSVLLSGDAVKAQAAPAVLPLVIHYLSDIPVVLHATGGEDCAVDIGVRLYMASYFK >KQL30384 pep chromosome:Setaria_italica_v2.0:I:30236812:30237069:1 gene:SETIT_018948mg transcript:KQL30384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRKHCQYIQILPITRARRCVSGFRQAQQTETGKRCRFRCNRYHHTTELRILHHSSTRNIAEEDNQVY >KQL29543 pep chromosome:Setaria_italica_v2.0:I:20527320:20533223:1 gene:SETIT_019268mg transcript:KQL29543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTVMATFALKPGQCNGSCHLAIVLSQPIGKRPYRSWMCRRRQQYDVLRCRVTHQHPAGGLHDDPDEIDDRPRKNPPRFHPSIWGDFFLHYSNTVACSPHQQVRMAERADKLKEEVADMIERRSSGYSLLQRLHLIHVLQRLCLDHLFEDEINGSFTQIKSADLSGCDLQTVALWFYLLRNHGCRVSQDVFIKFKDEEGNFESNSSEDLLSLYNAAYLGTHGETILDDAVSFSKKCLETTMPHLDPEGLLAREITSALEIPLPRRVKIYELKHYISMYETEATVHETILELAKLNSNLMQLHHQRELKIITSWDTKVGQDLPANLKIILECIFDTYKDIEHELETEQKYRLSYLKFVTIDWVRAYTTEVKWRDQRYVPATVEEHLQLSVRSGACHLLSCASFVGMGDIATRESFEWVSSMPEIVHSLCIILRLLDDPKSYEREQMALHVASTIDSCMKEHNMSMELALKKIKELTEESWKSLNEEWLKPNKAQPKELLERIFNLTRSMEFFYKQEDAYTNSCNIKDTVKSLF >KQL30032 pep chromosome:Setaria_italica_v2.0:I:27638427:27639533:-1 gene:SETIT_020165mg transcript:KQL30032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACVEPTVTVRNHTAAGPAGLKRRRIAVGSVEQYEDISRLGEGAFGAVVKARHRATGRIVAIKRVGKAHGGHAALLREARFLKEASGGGANPFVVGFHGVVRRPDAFDLSLVMECVGPSLHDLLRQRPRGSPPLPESTVRAAMWLLLTGAKKMHGGHIVHRDIKPANILVGDDHRIVKLCDFGLAMSTDERPPYKPAGTLWYMAPEMLLEKPDYDERVDIWSLGCVMVELINNGSPLFQGFYGEGQLCAIFDVPGTPDDSTWPWFSSTAFATMVMPELDMQWENNLRELIPESKLSKEGFDVLSGLLTCNPEKRLTAAVALKHPWFDKIDVLELPKKEEFASPMSLQTKRRRMHIGLTCTDIDTSFK >KQL32253 pep chromosome:Setaria_italica_v2.0:I:41937382:41938069:-1 gene:SETIT_019233mg transcript:KQL32253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMPEPPHVLAVDDSIVDRAVISRLLRSSKYRVTTVDSGKRALEVLSLCAHDHHGLPEMSGYDLLKRVKESADLREIPVVMMSSENSPTRIRRCLEEGAEDFLIKPVRQADVSRLCSRLITR >KQL27747 pep chromosome:Setaria_italica_v2.0:I:366658:375263:1 gene:SETIT_016063mg transcript:KQL27747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLYGRIDPRSFGDRAVQNRPPELEEKLTKARTKKTKRDAADPDIPRRDAKRRRRAASTQDVSVLSLTDDVVYKPQTKETRAAYEALLSVIQQQLGGQPLDVLAGAADEVLATLKNDKIKNPDKKKDIEQLLNPISNQLFDQLVSIGKLITDFHDAAAGDAAGGPSGDAMDTTLDDDVGVAVEFEESDEDEESDFDQVQDDLDEDDEDEATELNGPGGMQMGGELDDDDMQNANQGLAINVQDIDAYWLQRKISQAYGDGNIDAQQSQKLAEEILKIIAEGDDRDVENRLVMLLDYDKFDLIKLLLRNRLKIVWCTRLARAEDQEQRTKIEEEMASDPSLAPILEQLHATRASAKERQKNLEKSIRDEAKRLLNNDGAGADGPRDRRAAERDMESGWLKGQRQLLDLDSLAFHQGGLFMANKKCELPAGSFRTPHKGYEEVHVPALKAKPYETGEKIVKISDLPEWAQPAFEGMSALNRVQSRVYDTALFKPDNILLCAPTGAGKTNVAVLTILQQIGLHMKDGEFDNTKYKIVYVAPMKALVAEVVGNLSKRLAPFNVTVRELSGDQNLTKQQIDETQIIVTTPEKWDIVTRKSGDRTYTQMVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNYEDVALFLRVPKKSIFHFDNSYRPCPLAQQYIGITVRKPLQRFQLMNEICYEKVMAAAGKHQVLIFVHSRKETAKTAKAIRDTALANDTVSRFLKNESASQEILGTHAELVKNNDLKDLLPYGFAIHHAGMARVDRELVEELFADKHIQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTHGEGIILTGHSELQFYLSLMNQQLPIESQFVSQLADQLNAEIVLGTIQNAREACSWLGYTYLYIRMLRNPALYGLPADIFESDKTLDGRRADLIHSAANLLDRNNLIKYDRKTGYFQVTDLGRIASYYYISHGTISTYNEYLKPTMGDIELCRLFSLSEEFKYVGVRQDEKMELAKLLDRVPIPVKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYIRQSAGRLLRALFEIVLKRGWAQLAEKALNLCKMVDKQMWSVQTPLRQFPGIPKEILMKLEKKELAWERYYDLSSQEIGELIRYPKMGRQLHKCIHQLPKLNLSAHVQPFTRTVLGFELTITPDFQWDDKIHGYVEPFWVIVEDNDGEYILHHEYFLLKKQYVDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTILPVCFRHLILPEKYAPPTELLDLQPLPVSALRNARYEGLYSSFKHFNPIQTQVFTVLYNSDDSVLVAAPTGSGKTICAEFAILRNHQRAVSGESNMRVVYIAPIEALAKERYRDWERKFGEFAKVVELTGETAADLKLLDKGEIIISTPEKWDALSRRWKQRKHIQQVSLFIVDELHLLGSDKGHVLEVIVSRMRRISSHIGSNIRIVALSASLANAKDLGEWIGATSHGLFNFPPAVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAITQHAKSGKPALVYVPTRKHARLTALDLCAYSSVEGGGTPFLLGSEEEMDTFTSGVEEETLKNTLKCGVGYLHEGLSELDQELVTQLFLGGRIQVCVASSTMCWGRPLPAHLVVVMGTQYYDGRENAHTDYPITDLLQMMGHASRPLQDNSGKCVILCHAPRKEYYKKFLFEAFPVESNLHHFLHDHMNAEVVVGVVENKQDAVDYLTWTFMYRRLTKNPNYYNLQGVSHRHLSDHLSELIETILNDLESSKCVAIEEDMYLKPLNLGLIASYYYISYTTIERFSSMLTQKTKMKGLLEILASASEYAELPGRPGEEDFIERLVRHQRFSIEKPKYGDPHVKANALLQAHFSRHNVVGNLAADQREILLSAHRLLQAMVDVISSNGWLSLALNTMELSQMVTQGMWDRDSVLLQVPHFTKDLARRCQENEGKPIESIFDLAEMGIDEMRDLLQLSNSQLQDIIEFFKRFPNVDMAYEVREGDDISAGDNVTVQVTLERDMTNLPSEVGPVHAPRFPKPKEEGWWLVIGDSSTNQLLAIKRVALQKRARVKLEFSAPAEAGRKDYMIYLMSDSYLGCDQEYEFTVDVKDAGD >KQL31605 pep chromosome:Setaria_italica_v2.0:I:38829322:38829987:1 gene:SETIT_020185mg transcript:KQL31605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDTVVIRLPGPRALRVLARSVLFAVALLFLPWLRAAEAPARRHTADTCGAAAAQAELLLRDLRREGLLAPGARAVVLGSDGNCDAPPKQDQDGVLRPASLRRMLMLGDSSVDFLLDFGYFDEDGDRFAFADRVLKHGGILAIPIDTLSAFSLPQNYCVIYTRRFAEAFVAVKKITPAGDNGDAGARMDDSSLASLKEGVVSSEPPETASPELKNMGRKLL >KQL30091 pep chromosome:Setaria_italica_v2.0:I:27973796:27974383:1 gene:SETIT_0183711mg transcript:KQL30091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KWFHGKCVRITPAKAEHIKQYKCPSCSSKRSRE >KQL29926 pep chromosome:Setaria_italica_v2.0:I:26536073:26537182:-1 gene:SETIT_018341mg transcript:KQL29926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEGKHHRHEHHLRRCCGCMASCLLALVLIVAFVALVVYLALRPSKPSFYLQDLQLRRPITVGDPSLSASAQVTLASRNPNDHVGVLYRRLDVFVTYRDEPVTVPVSLPPQYQGHRDVTVWSPVLSAQSVPVAGYVADAMKQDVAAGFVALQVKVDGRIKWKVGSWVSGSYHLFVSCPAVLSAGFPGFGGGANATLSALKFAQPTGCSVEV >KQL28916 pep chromosome:Setaria_italica_v2.0:I:8852806:8855230:1 gene:SETIT_019957mg transcript:KQL28916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLKLRAILFPFQMEPRREELLHELGEMWDEIGEGEDDRRGMLHALEEECLNVYRAKVEQVRQHRAQLRREIADSVAEVAAICATIGEPPATVQTACSSLQGTGSLKEELGSIAPELAEMRRRREDRRRQFSDVTERVNRIQQEMNLGGGQPRVVADGSDLTLTKLDELRAWLQHLQSEKEIRTRKVAELVAELHSSSLVLGMDPREIHAAHGGGDDHAGGHFSDAAIARLASEIERLREIKRGRMEKLQDLLGSMLELWNLMDTPAEEQHRFQGVACNIAASEDEITEPGALSTAIICNVEAEVVRLETLKEYRMKDLVAKKYEELREIRRRARLPEEHDGDAVAMLDAIDGDAERALILERLEVQISEAKDLEFSRKDVLERMDKWQAALEEESWLEEYNRNENRYNVGKGTHLVLKRAEKARALVSKMPAMAEALTAKVVAWEKERGVKFEYDGEGLLDMLDEYGNARKEKEQERKRQRDQRRLQGAAAPERDASPVARPPPKNIKNVTRTLSIGRNGGGGGGSARKASAASSRPGTPSFLKSPMSARRGASDEGQMLSSDSFE >KQL31416 pep chromosome:Setaria_italica_v2.0:I:37372045:37372528:1 gene:SETIT_020399mg transcript:KQL31416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCEVSASLSIFCAATGTGGQPASTPESMLTGLLPVL >KQL27711 pep chromosome:Setaria_italica_v2.0:I:208091:208552:-1 gene:SETIT_020139mg transcript:KQL27711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLDIVTDTCERCSKSDPKVNYTLCVSSLSESSSQEDLHGLAMVSARLVRSGAVTMETRMAELSRKERPWSPRRSCLEACTGVYHNSLYDLDTSIAAIDERRYGDAKTSMSAATDAPVTCEDEFKEQGLEPPFKAESKLLFQQAVISLAIISLL >KQL31039 pep chromosome:Setaria_italica_v2.0:I:35199944:35203541:1 gene:SETIT_016869mg transcript:KQL31039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGASSSSSPPPAEAGDGYWVAREEAAARLEAMAARALEDELSAEQLETNNQLQEDEVLALQAIYGDDMVILEDKAGLRSFRFIFVQYPVPNDTKVLLNFRPNGTMVGTDNNYGSQDVGELFCACSLKHLPPLVSTCLLPRSYPSTCAPYFTISARWLDEPSVYLCAMFDEIWTELPGQEVVYRWVDWLNVSSWSCISLNDNILLVPDADVADERAIARKLLVDATIPLMQNYSEKRSQEIFLKSLHECGTCLSENTGFNFTKLPCHHSFCMKCMESHCRIHVKEGTLTMLTCPDTTCRSPLPSSILKSLLGDDCYMRWESFALQKLLDTMPDLVYCPRCDAACLEVDNDAQCPECFFTFCSLCKERCHVGKECLTPAKKISILREQHQKYSLPEKQLLKEQKEIDELLNVCCNHMTCRNCGKSFCYRCNQRVSGYNYFWSGNCELFEHNNQGRRFRLDEDPDDDDPEELEPELPWLCPCPICGCWNEKFDTNNHISCMGCRGHYCALCQKRVLKSSEHYGPRGCQQHTYP >KQL27688 pep chromosome:Setaria_italica_v2.0:I:118883:120843:1 gene:SETIT_017542mg transcript:KQL27688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISRSSQLLLLLLLILLAPASTCAAAANATRSAKQQQHRPNADDKPHQAAALIVFGDSIVDPGNNNGINTIIKADFPPYGDDFLNHRPTGRFCNGRIPTDFIASRLGLKDYLPPYLSPQPLDTHDLITGVSFASGGTGFDPLTPKLASVISLPDQLTMFHEYLGKVRAAAGDDKASEILSRGVFAICAGSDDIANTYFTMRARSEYDHASYANLLVQHASSFVEDLIRAGGRRVAFIGIPPIGCVPSQRTMSGGLERGCSQGHNEVAVAYNAGMVRELAALRAKYPGTLLVFMDIYGFLYDMMMHPRSYGFKQSTKGCCGTGLLEVSVLCNRVTSAVCDPVGDYLFWDSYHPTEKAYKILADFVYENYVKLII >KQL32125 pep chromosome:Setaria_italica_v2.0:I:41451140:41453900:-1 gene:SETIT_017951mg transcript:KQL32125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDRLNSPSTSAISLEVMGHRLHIAQDPNSKHLGTTVWDASMVFVKFLEKNSRKGRFCPSKMKGKRVIELGAGCGLAGFGMALLGCDVTTTDQVEVLPLLIRNVERNKSWISQSNSDSGSIGSITVAELDWGNKEHIKAVEPPFDYIIGTDVVYSEHLLQPLMETITALSGPKTKILLGYEIRSTTVHEKMMEMWKSNFVVKTVSKSKMDPKYQHPSIHLYMMDLKAPLFTEAGASDNGNEEEEDILNPGEDEDPEVKSEPCSGSLEANSGSLDEWDIRRCGAMAARLLKDVKL >KQL31468 pep chromosome:Setaria_italica_v2.0:I:37729011:37732104:-1 gene:SETIT_018333mg transcript:KQL31468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDSTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENIMKKWIPELQHYAPGVPIVLAGTKLDLREDKHYLMDHPGLVPVTTAQGEELRRQIGAMYYIECSSKTQQNVKAVFDAAIKVVIQPPTKLREKKKKKSRKGCSMLDIFCGRKMLCFKS >KQL28402 pep chromosome:Setaria_italica_v2.0:I:5618420:5619783:1 gene:SETIT_019065mg transcript:KQL28402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAKFASEVGIIVRGHIPILTHWKDYKTKDNEDHLKNYIGKLARQLDIDTTSEPAIVACTDMLKSQQRQGRYRLKKKFFNNERCTTNTSNQGQVKFPQCTGSQSYIAHAHVVRQKYVEGDPTPIDLFKNFHCSKNGYTAPAQVAIMGALRLTAETQETTLKSQTEELQALKRTTNQLHSLISNLLNFSTSQSQ >KQL28732 pep chromosome:Setaria_italica_v2.0:I:7796513:7796965:1 gene:SETIT_020035mg transcript:KQL28732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CTPVHGPPPLRSARAAATAPPPHFALRTSARLPRAAPARAMRRRRWAPLAAAAACLVALIVLLAAVHGAPGAGAPVPPATRSVASGGGRRAAAATAAFDAAAASRVARCKKQGEKWKNKAGAACAVLPGDGGDDDDKRVVPTGANPLHNR >KQL30645 pep chromosome:Setaria_italica_v2.0:I:32367109:32367927:1 gene:SETIT_019197mg transcript:KQL30645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDWTKLPPDLAAEIGGRRLRFDLTEYTHFRAVCKPWRQATDDPRVLHSRFLPRDWVVLRNHNFFEETRCHHLLNVATGASIVGVALPELSGHRPLGYAEGLLVLRNTTSSGIRLLNPLTRAVTDLPDISSVLANASNDALDSAYFSWGFGVIDGGSAAAAAASPATVVLLLREVPLLGDTRWAPVDASELAGGAAKVTFQSVLSLHGRFYVSTSMGDVLTVELHPEPRLVYVIRQATTLPATSSYILAPSSNDAAAGMIMVRASMSDE >KQL28080 pep chromosome:Setaria_italica_v2.0:I:3140788:3144899:-1 gene:SETIT_019371mg transcript:KQL28080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASPFSVSSSHSAEHEAGARDSSIIVAVDRDKNSQQAAKWAVDKLLSRGSTLQLVHVRAEAGKDEGDAEITHLFISYRGYCARKGMHLKEVILDGNDISKEIIDYATSNAITDIVVGASTKNTFIRRFRNPDVPTCLMKMAPDYCTVHVIHKAKAIQVKAAKAPAPFSTLPPKQYSQPNIESDVSRASRGDWRKTSHTSSPVANRNSVDRLSAYAKAPSRDRPLSGARTAPQKDFDDYIDFIAPPRPSVTRSSFSDDIDLPMSMELPSIDFADSMELSSAMSMESLSYAGKDVETEMRRLRLELKQTMEMYNSACKEAIDAKQKAAQMHQMKVEESKKFQELRNAEEEALALVQMEKAKCRAALEAAEAAQKIAELEAQKRLRAEWKAKREAEDRKKATDALNRNDLRYRRYSIDDIEAATHKFDKALKIGEGGYGPVYKAVLDHTNVAIKILRPDASQGRKQFQQEIEVLSCMRHPNMVLLLGACPEYGCLVYEYMDYGSLEDRLCRRGKTLPIPWSIRFRIAADIATGLLFLHQAKPEPLVHRDLKPANILLDHNFVSKISDVGLARLVPQSAADVTQYRMTSTAGTFCYIDPEYQQTGMLTTMSDIYSLGIMLLQIITARSPMGLTHHVENAIERGTFQEILDPMVTDWPVEEAMEFAKLALRCAELRKKDRPDLGKEILPELNRLRNLGHEYEAAQVSSASTNCSSSAPYSFGNDD >KQL28242 pep chromosome:Setaria_italica_v2.0:I:4544184:4548216:1 gene:SETIT_019516mg transcript:KQL28242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAAAGPPGRAEPGHHDAPMLLRVHVIEARGLPAIYLNGSSDPYVRLQLGRRRPRATTVVKRNLSPVWDEEFGFLVGDVAEELVVSVLNEDRFLGAEFLGRVRLPLTAIMETDDLSLGTRWYQLQPRSGGKFRRKRRGEICLRVYLSVRATLCEDAHQAPPQLIDDISCSSHRSIATTDSSLSATTGSLDLLACGSMDQASLRSLDGLTQSIMEQQGPRSTGPPSCVSTEQSILLEPEEDDGSSIADTSSVVEVMSRYFRKSADAAHSAASDLVSTDQFRDAQMHSESRENGESCMLSEVSLDELLKTMESKDQACEMPGNLPGGVLVDQSYSIAPAELNSLLFSANSDFWPEVAELQGTSGFHIEPWKHENNENCLKRTLTYTKAASKLVKSVKATEDQKYLKAAGNSFAVLCSVSTPDVPCGNCFKVEILYRIIPGPQFPSEEQTTQLTVSWRLNFVQSTMLKGMIENGAKQGLAEGYSQFSEVLSRKVKVAELDDANNKDKILASLQPQKESNWKLVARFLGSFAFIFSLSTALYIITHLHLARTNMVHGGLEYFGIDLPDSIGEVVFCIILIIQGHNIIKVGRRFLQAWKQRGSDHGIKAHGDGWLLTIALIEGSGVVGAGTPGLPDPYVIFTCNGKRKTSSVKYHTSEPKWNEIFEFDAMDDPPARLDVVVHDSDGASNETPIGQTEVNFVKNNLSDLGDMWLPLDGRFPQGHQPKLHLRIFLNNSQGTEVVLNYLEKMGKEVGKKMHLRSAQTNSAFRKLFSLPPEEFLIDDFTCHLKRKMPLQVVPPKLATVGSPSLMLILRKDRGLEARHGAKTLDPQGRLKFHFQTFVSFNDAH >KQL28574 pep chromosome:Setaria_italica_v2.0:I:6781094:6782875:-1 gene:SETIT_018828mg transcript:KQL28574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLHHQGLDASKVVLGVLCCRKEQGASILSVPWGNAHSLLHLVLKALQKYNILYDQCHCISSARVLYIKEISRDHFKLQTASSHLLDSLKRSRC >KQL29872 pep chromosome:Setaria_italica_v2.0:I:25806522:25808596:-1 gene:SETIT_017087mg transcript:KQL29872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEGMGYNSNKGGGGGGLPMTAPRPRGASPLHHSRSRKIHRTFNNLKITVLCGLVTILVLRGTIGLNLSLPSQPNDAEALAGAKAVEDIDRILREIRSDSGPDPDDEGGEFSANAGFNASALSAAEAAAAYAAAAGKYALGPKISDWDAQRRRWLAENPGFPATVAGGKPRIMLVTGSQPGPCDNPLGDHYLLKSTKNKMDYCRLHGIEIVHNLAHLDTELAGYWAKLPLLRRLMLSHPEVEWIWWMDSDALFTDMAFELPLSRYDGHNLIIHGYQDLLFEKHSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGFIRDQAGKVLTANLKGRPAFEADDQSALIYLLLSQKEKWMDKVFIENSYYLHGFWAGLVDKYEEMMENHHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLKSMERAFNFADNQVLRLYGFSHKGLESPKIKRIRDQTSKPINDVENLDMKAKILTAS >KQL28040 pep chromosome:Setaria_italica_v2.0:I:2860824:2861790:1 gene:SETIT_018378mg transcript:KQL28040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHMEGALRQGLSEAEQRELESVVRAHHTFPGRAPGTCTSLVTQRVDAPLAAVWPIVRGFASPQRYKHFIKSCDLRSGDGATVGSVREVTVVSGLPASTSTERLEILDDDRHILSFRVVGGDHRLRNYRSVTSVTEFQPGPYCVVVESYVVDVPEGNTEEDTRMFTDTVVKLNLQKLAAIATSSSSSPRPSGGGGGGTDH >KQL30428 pep chromosome:Setaria_italica_v2.0:I:30531481:30532750:-1 gene:SETIT_019291mg transcript:KQL30428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEHEDEHEDEEEQRAKANSAAQLMEEIVTEILARLPVKPLLRFRSVCKAWRAIISDPVFIRAHLRCPASNWERSPRFVISPHTLDEDFGGEFNSLRYFSHCDGLVFAPTDTRLYVFNSATREAITLPDGYRNFLRVGGRGCCYCGGLGLDPRTGKYKAVQGFYRSWEPDTNLRTVMGMEVLTIAGEDGEDWREIRNDPPYPWCPEPPRGILHLSLGEEEFGITKLPDSLDPSLDDDFILDVLYGRDLCRVRALMNSLWQRRYSIELSSSFPCHTMSLPPFSNGIILWETDTVYCYDPATSKLTTICELDRMRYQRVRKWKNLFGFNVRPFTESLVRITSS >KQL30366 pep chromosome:Setaria_italica_v2.0:I:30121857:30122314:-1 gene:SETIT_018996mg transcript:KQL30366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSGKQIKGIHSQIDRSHCFALCSTPAIDPSDRASSVLLSPDPRRWTLRKAKVTRWR >KQL28093 pep chromosome:Setaria_italica_v2.0:I:3263368:3265613:-1 gene:SETIT_019058mg transcript:KQL28093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPGEQDQGLFPAFHISKDPPILFPFMINNPVDQLQGQSSYGDQHLRQQVLAESTQQFTDRMMMSGSDIFPRPPLFRPTIQSIDGDMIQRSAYDPYDIESKRADGWAVAPPAAKMKIMRKATSEYPEGGTARKPRRRAQAHQDESQQQLQQQQAMGVVRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMAAAAAAAAAAASNGGTPQAASVAVQAKAPKKEKRADVDRSLPFKKRCKMVAVDHAVTAAKATPAVAASTKDQDHVSSDKVAAAATSLQSKAASPPPAAALHVFPAADEVTDAAMLLMTLSCGLVRS >KQL29183 pep chromosome:Setaria_italica_v2.0:I:11063137:11063608:1 gene:SETIT_019036mg transcript:KQL29183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIYLSSLGATPEIICISRQIFVLKHKSSTEKHWSICLSELMNLYIIV >KQL29598 pep chromosome:Setaria_italica_v2.0:I:21727624:21728332:-1 gene:SETIT_018837mg transcript:KQL29598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQRKNLGKRTIQVPEEAEVIPQLVELRSLKLKRSRGVMDDEGYRQLSPTANGVGTERILDCPPAPKKPRLVLGCSLDGFKVLRVMDLRCFLR >KQL29136 pep chromosome:Setaria_italica_v2.0:I:10609344:10610474:-1 gene:SETIT_019742mg transcript:KQL29136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTKTVARANWNHQTKLYFIGLLRQYDLPRFRTQNAWSKEAWTMKQKEQDLKKDEYRVVKELSDESGFGWNSNRKMVTALDSVWKSPEQRRNKDALLRWRPKSFPYYDDLYALYDGCYAEGRSCYGMDNYANKAKKSSEVPASPSPQLHVTEGPLQSTLPTQSATAEFSNKEPSVPEQFQGTQCQTSCPSSSTPEAVSAKRVKRQKTSSIMSTKDFQESINKCVAVLEGLLDLQMEEMIKAADIFKDNSANRETFLSFSRDETWLGWLRK >KQL30228 pep chromosome:Setaria_italica_v2.0:I:29080045:29080734:-1 gene:SETIT_018698mg transcript:KQL30228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQKIVIKVQMSCDKCRSKAMALVAAKGGVVSVAIAGADRDQVVVVGEGVDSIELTSTLRKKVGPAQLVQVGEDKKEVKPPAAAAPAPYYPWYGYPPAPSQPVSYVYEHPYGYGCQTREESSCSIM >KQL32210 pep chromosome:Setaria_italica_v2.0:I:41800841:41801494:1 gene:SETIT_018880mg transcript:KQL32210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMLFRQSLPCIFLLLLMSHLPTTILGLRTLREVRSDLRRHEHEIAPAISPSQEVGDDEDAAASSKYAVSRRMVPQGPNPLHNR >KQL31925 pep chromosome:Setaria_italica_v2.0:I:40461695:40466134:-1 gene:SETIT_017926mg transcript:KQL31925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVTSSVAARLAFFPPEPATYGVEPAQGGAGGDGLLRMTGVSPDAGVEVRALPTRAGTRVVSAFWRHPAARLTLLYSHGNAADLGQMLGLFLELRAHLRVNIMSYDYSGYGASTGKPSEYNTYYDIEAVYECLKKEYGIEEEDLILYGQSVGSGPTLHLASRLEKLRGVVLHSGILSGIRVLYPVKVTLWFDIFKNIDKIKQVDCPVLVIHGTADDIVDFTHGKRLWELAKEKYEPLWIKGGGHCNLETYPEYIRHLRKFINAMEKLAKDKAPKAPQMLPSSSMTEVKHNKCLRFGKR >KQL27744 pep chromosome:Setaria_italica_v2.0:I:347631:351455:1 gene:SETIT_017361mg transcript:KQL27744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSCPICNIQVLTAELEQHANIHFEDDDQLQKDMELAHQMALAESNTDIMDGPEHCAGSFTSDSNTQGASSSHSEYLHHYGKLLDEQISCLVGAQIRSKVQEVEGGIMSLLRSCLESEGGSSTCMISGYIEHHQSLPSEDKGWGCGWRNIQMLSSHLLKQRPEAREVLFGGSGFVPDIPSLQRWLEIAWDKNFDIIGSNHFDNKVYGAKKWIGTTECATLFRSFGLHARIVDFDSTESSGLKSKNGKRAASQVQGPMDKFLIKNNPPKSSSELCQEDAESMRGQQVLVDWIWNYFASCCSDRFTTPRVTVSNKSPLYFQHQGHSRTIVGIQKKKGRGSQDQYTLLILDPGHRTVDLERTLRSKKGWQSLVKRGVHTLRKPQYQLCYVDSGIADSEEMEQLKTIDSILVRF >KQL29118 pep chromosome:Setaria_italica_v2.0:I:10409810:10411875:1 gene:SETIT_017986mg transcript:KQL29118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFSVVICQNANLQTVTDFFMPPCVFGNKQEYSVLLHSWMFYLDPAVNNQPWSEQEDIKLIQAHKTHGNKWCKLAKLFPGRTGKAIKNHWPSLVRKQMKSDLVKGLPKQFPLVTKNKGSSTIKSGQDSSINIHVSPDMPVTPILEQGLAKNGRNESTLKGKDYDSTHGEGYVSHSVNVSEKVDGQIGRYNSSSSGDQKVSSATASFPGSPPKEESTNLLEVTPNRGFSQAYNHLSNNDRSDAICSSADPESQELHGSNIADLLDMSYCESLMIVPPDSPNHRNSMDGM >KQL29259 pep chromosome:Setaria_italica_v2.0:I:12299969:12301486:-1 gene:SETIT_019878mg transcript:KQL29259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEEEAMADDGYGAGSLRRPSWICHLAVISTTFWALLFYFHYAMQGNMASIFLKPSSFSLPSLPPSLSGWLGQDRCAGRRIYMYDLPPRFNADLARACGKHSTGMDMCRHVANDGFGVPLFGGEGTGLPERGAYDTDQYTLAMIFHARMRRYECMAADAAAADAVFVPFYAGFDASLTLWQSDVAARDALPRDLVAWLTRRREWRAMGGRDHFLVLGRGTWDFIRADDGGWGNALMTYPAIRNMTLLTIEASPWLGNDFAVPFPSHFHPSSDADVAAWQDRVRRAERKWLWAFAGALLPSGSTKTVRAQIIQQCRASPGACGILGGRHGLYNSPGRFLRLMESAVFCVQPRGDSFTRKATFDAILAGCIPVFFHPASAYLQYTWHLPRDYRSYSVFIPHADVVDRNASIEAALRRIPPATVARMQEEVVRLIPTVMYRDPAATQVSFKDAFDVSVDAVLRRVAKRRRAAAEGREYQDSVDGPDSWKYDLLEDGQTHVGPHEFDPYL >KQL31624 pep chromosome:Setaria_italica_v2.0:I:38883545:38887931:1 gene:SETIT_016998mg transcript:KQL31624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSPAADVEAGLLAHLNSTGEVPDSRAFASSLGVSHLELEGVIKSLSAFRIVDSTDIIKETWVLTDEAKGYAARGSPEAQLVAAIPPEGASKDALKAKLGDAFDVGMKAAARNKWIGFEKGNKDLVLRKVENVEDELQEQLKRLENGEVVPDKVIDDLKRRKLITKEKSIWYSLKKGPEFVVKRKTLATDVTTEHLRSGDWKDLEFKDYNYGAQGQPIAKGYVQPLMEVREAIENIFIMMGFTEMPTNNFVESSFWNFDALFQPQQHPARDSHDTFFLKAPAATSQLPEDYLEKVKQVHQSGGYGSKGYGYDWKRDEAEKNLLRTHTTAVSTRILYKLAQEKPFAPKRYYSIDRVFRNEAVDRTHLAEFHQIEGLICDYGLTLGDLIGVLEDFFSSLGMSKLRFKPAYNPYTEPSMEIFSYHDGLKKWVEVGNSGMFRPEMLLPMGLPEGVNVIAWGLSLERPTMILYGIDNIRDLFGPKVDFNLIKSNPLCRLGL >KQL31198 pep chromosome:Setaria_italica_v2.0:I:36212892:36213740:1 gene:SETIT_020346mg transcript:KQL31198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTRPDRSQSQLHQAAMPPGPQPAAVTDACRCRVCSRAWLRARFLVPGQLTESADPHSRVAVTARAPRAHRRWADLAGGQISWPPETLPPPASGPSRSVRGPRPRWP >KQL31432 pep chromosome:Setaria_italica_v2.0:I:37457784:37457957:1 gene:SETIT_020034mg transcript:KQL31432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHRRGRRRRCMGVACAGCCRWRRTSQEQVIPVEVMTKISDVRRSCMESTQKSLLT >KQL27864 pep chromosome:Setaria_italica_v2.0:I:1297427:1298308:-1 gene:SETIT_018729mg transcript:KQL27864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSFYAKNRQASASAEQGGGGGASPSPGWEAQSRSDFAYQDPCPPVPQPGSGETSSRALPAPARNKKEPRRVTHIPGISKVKDKVQDAWDHQKDEFKDKSKKVMQKATSLCSGNKDYKK >KQL29719 pep chromosome:Setaria_italica_v2.0:I:23817390:23818713:-1 gene:SETIT_019432mg transcript:KQL29719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAMHLEEMEKKDAEFVQPVKKPRIETGNGKKAKRIKSAKEFFGAPSAKCSIEGADMGVLERWLMELGIGWVLHLADGVAAGKLKLDPNHASSWIRALTEITNTFCLMVSLLPGHVSEEEEDAAAAAAMESEYISSLQDLSIIQQAMLKMLAFVDFIDKLHALLRVRHTLSKALSEIRLLFDDPSPSARVVERIRSEIGSVLLAKEGKAADAIRSQVEEVSARIMESLEDDQDSSGTHKVTPSVLSYIRFLRTNYRTLDAVVCEYVPQIGDLSPLDSMSLVESNCVLYLSKSYEVTLTRKLERYMESYIQVSWAPVRNYSPLPYKFQSEFLKTYNTQKLWKVPDPELRKTLPRAITEKIVSGYTEYIEDNKITTLKFTPRDLEEMLQELFQG >KQL32028 pep chromosome:Setaria_italica_v2.0:I:40967723:40968477:1 gene:SETIT_019712mg transcript:KQL32028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHHHHHHHHHHMIPGQEPSAGDGAAGQDNFFLGPAAAVIFSGGAGASGAGSSSSGAAALGSSVGGGGGPSPSSSSPSLSRYESQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLKYMDQFGKTKVHTPVCPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGTPEMNPFGARAVRLYLREKKKRKKPSSASAAAAGPSSEGSPPPGPSGGGGGPDTSASPQFIMP >KQL32184 pep chromosome:Setaria_italica_v2.0:I:41693810:41694564:-1 gene:SETIT_0169632mg transcript:KQL32184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFILRLKARNLVQPRLLQSPAAAAAAASVTAAYAVITATDEKTRDIVATDDFDIKKALPANYSKKFVVEIDAVNGEKDKLESRLAEVRDENSKLKDKLDLANLSYGELAKELESVQNQLAAEGSRCQKLESQIAAARKRLESAGSLENELEVLRQQISQVEQTMTTTQRQKSGGVWKWVAGSAEASDDE >KQL29410 pep chromosome:Setaria_italica_v2.0:I:15191882:15192408:-1 gene:SETIT_018866mg transcript:KQL29410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNTTTRRALRGSRRHQAGMHEAMDGHRATGSAAGCGSGDFGTVGGASPRSKPRSREGHSRRSTAPWSTPPPVASRGPSSLTLGT >KQL31715 pep chromosome:Setaria_italica_v2.0:I:39340775:39341020:-1 gene:SETIT_020180mg transcript:KQL31715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGEGNAATKEATAPEPEMEQGAPADAGRGQPLRGPALHLMLFGATVIVGAAAAPVPLPRLFFALLAWLVGCLSLYMPRA >KQL28565 pep chromosome:Setaria_italica_v2.0:I:6733021:6736687:-1 gene:SETIT_017625mg transcript:KQL28565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVRCTTAHHSLLGSPTCLARPRRRACPVVRAAVAVEAGAQAKVSLIRIGTRGSPLALAQAHETRDKLKAAHSELAEEGAIEIIIIKTTGDIVLDKPLADIGGKGLFTKEIDEALLNGTIDIAVHSMKDVPTYLPDGTILPCNLPREDVRDAFISLTANSLAELPAGSIIGSASLRRQSQILYRYPSLKVVNFRGNVQTRLKKLKGGDVHATLLALAGLKRLNMTENATSLLSVDEMLPAVAQGAIGIACRSSDDKMMEYLSSLNHEDTRLAVACEREFLAVLDGNCRTPIAAYAYRDKDGNCSFRGLLASPDGTTVYETTRSGPYSFDDMVAMGKDAGHELKAKAGPGFFDSLQ >KQL28011 pep chromosome:Setaria_italica_v2.0:I:2537470:2539316:1 gene:SETIT_018655mg transcript:KQL28011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRAAPAVTLRTRKFMTNRLLSRKQFVLEVLHPGRANVSKADLKDKLAKVYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNVEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >KQL28682 pep chromosome:Setaria_italica_v2.0:I:7456112:7457367:-1 gene:SETIT_020095mg transcript:KQL28682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAYGTCKCSQKLLVEIISVLNQEQKDAVEKAGFGSLLKLKDIEIRRELCKEIADSFDLDKEEFNIQENKVKISIKDVDHILGLPSQGDEIKEPPKKHVPGLFDKYTWNDSTKIHSSELREYLSKNKTYGDDFIRIFVLYTIGFYLCPTLQPYVKLDYLGLVEEIDNIKNLNWSSLVLNFLIRSVREYKEVKAANLKGNLVLLQVWYWEKVSMSHIYPTLEQPGGDKPLMQYWMQTSTQPSF >KQL31192 pep chromosome:Setaria_italica_v2.0:I:36179864:36180211:1 gene:SETIT_020378mg transcript:KQL31192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTFHFPPDDSFCQPTLPVQVKLLLFWEKRRR >KQL31154 pep chromosome:Setaria_italica_v2.0:I:35959718:35962700:-1 gene:SETIT_017962mg transcript:KQL31154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGAPPMHICMDSDWLKGIVPEEPGMGSSSPSAELIACPRPVHAAAADRRLRPQHDQPLKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGSLRNVPVGGGCRKNKRASAKKPAAPPMLQAAHARQVAETGLHLSFSGMLQLPPPTAAADPLCSLGLLDWKYDPILAGPGAAAGALDGAGSEAQFSGAGMMGIPGGGECHALSALRYAAGLGEHLQLQGLPFGARAEHDAVEVKPAAAAERLLSLDWYGEAASRAPESAISSLGALGLWSGMLGGAHQHHGSSAAI >KQL28560 pep chromosome:Setaria_italica_v2.0:I:6706875:6707312:1 gene:SETIT_019535mg transcript:KQL28560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSLKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPSVNVERLWSMVPADKAAEAGGDKAPLVDVTQFGYFKVLGKGMLPPKPIVVKAKLISKVAEKKIKAAGGAVVLTA >KQL29043 pep chromosome:Setaria_italica_v2.0:I:9887825:9891069:-1 gene:SETIT_018439mg transcript:KQL29043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRAAIHRSVALILLAAIFAAAASSASAIGDKCAACKAVAAELEIGISSEKPRNHLDLRNRLNSKGQREGKVIDYRVSELRVVELLDDLCDKMQDYTLQKLESGGKGWVKVTDWNSFQTEKKAAARAHSKNLSTFCGRLLEETEDELSEWIKTSSSESESVSKALCEDISKHCRSTSATIQIDDEL >KQL29285 pep chromosome:Setaria_italica_v2.0:I:12750719:12755752:1 gene:SETIT_016442mg transcript:KQL29285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSTSLLRFIFLSLGAALILLVVRSAFRLPRGIDTSTASLLDAAAAAGSGCTRFAPWGCRQANRMTKPKPPSHEDDVPRHPLDPLTIGEINRARELLRAHPPFASSPSSLFVHSLALDEPEKAVVLGWRKGDPLPPRHAVAVVRFRGEASVLAVDLAGSAVTPLPVPASGYPTMTMDEQVSLCFAPFADPKFNATIRRRGVRMSDVACLPISLGWYGPTEENRRLIKVQCFSAEGTANFYMRPIEGLTVLVDMDTSEVVHISDRGAGIPIPDAANTDYRLARHMQERQDAGLGYQKVRAPSMEPAPSGPGVELVDGHTVRWGGWELHLKADARAGMVVSRARVQDPGTGAHREVMYKGMASELFVPYMDPSEAWYFKTYMDAGEYGFGLQAMPLVPLNDCPRHAQYVDGVFVAADGRPYVRGNMICVFERYAGDVAWRHSESPITGMDIRESRPKVTLVARMVASVANYDYIMDWEFQMDGLVRIKVGLSGILMVKGTAYSHLSEASENEDMHGTLLSENVIGVIHDHYVTFRLDMDVDGTDNSFVRVEMARQETGPGESPRRSYLKATRHVAQTEKDAQVRLKLYQPEEFHVINPTKKTRVGNPVGYKVVPAGTAASLLDPEDPPQKRGAFTNNQIWVTPYNKSEEWAGGLFVYQSKGEDTLATWSERDRPIENRDLVLWYTLGFHHIPCQEDFPIMPTVSSSFDLKPVNFFESNPILKQRPTEDDDLPVCAAAAR >KQL31972 pep chromosome:Setaria_italica_v2.0:I:40724314:40729336:1 gene:SETIT_016831mg transcript:KQL31972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGTGIRKYVGALKDSTTVSIAKVNSDYKELDIAIVKATNHVESPAKEKYIRDIFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLVVIHRALREVDPTFRDEFISYGRTSSHMLHMSYFKDDSSAEAWDYSAWVRNYALYLEERIESFRVLNYDVEKDPLRTRDLDTVGLLDQLPALQQLLFRLLGCQPQGSSSYNIIIQHALSMVALESVKIQTAINDAILNLVDKFFEMQRDDAIRALDMYKRAISQAEQLSEFYEVCKSIHIGRGERFLKIEQPPASFLAAMEEYVNNAPLASTVQRNQAVLAIEYNIKSEAEEPSTPPPPAPEPEPEPVKEVPPTAEPTDLLGMDESTPDTSEIDQKNASALAIVQQADNAPKAPAPTSTDSVATSWELALVTAPSSNGIAVTSSKLAGGLDLLTLDSLYNEAHRQAQQAQQNANYNPWETTPASGPMMQQPMHDPFYASNSIATARNVQMAAMAQQQHAFMLQKEQQRQMMMVAQQQQASSNPFADPYMHAGVHPYGAGMQLHAGNAYTGTGMM >KQL31971 pep chromosome:Setaria_italica_v2.0:I:40724314:40729336:1 gene:SETIT_016831mg transcript:KQL31971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGTGIRKYVGALKDSTTVSIAKVNSDYKELDIAIVKATNHVESPAKEKYIRDIFYHLSPGRPRADVAYCIRALGRRLSKTRNWAVALKTLVVIHRALREVDPTFRDEFISYGRTSSHMLHMSYFKDDSSAEAWDYSAWVRNYALYLEERIESFRVLNYDVEKDPLRTRDLDTVGLLDQLPALQQLLFRLLGCQPQGSSSYNIIIQHALSMVALESVKIQTAINDAILNLVDKFFEMQRDDAIRALDMYKRAISQAEQLSEFYEVCKSIHIGRGERFLKIEQPPASFLAAMEEYVNNAPLASTVQRNQAVLAIEYNIKSEAEEPSTPPPPAPEPEPEPVKEVPPTAEPTDLLGMDESTPDTSEIDQKNASALAIVQQDNAPKAPAPTSTDSVATSWELALVTAPSSNGIAVTSSKLAGGLDLLTLDSLYNEAHRQAQQAQQNANYNPWETTPASGPMMQQPMHDPFYASNSIATARNVQMAAMAQQQHAFMLQKEQQRQMMMVAQQQQASSNPFADPYMHAGVHPYGAGMQLHAGNAYTGTGMM >KQL28063 pep chromosome:Setaria_italica_v2.0:I:3035702:3035926:-1 gene:SETIT_019827mg transcript:KQL28063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRIGCTQCVRACPKDVLEMIPWHGCKAKQIASAPTQNLFPAQVFSNCKPIQSNLHQHVSDFRDMVPIKSQKMS >KQL30234 pep chromosome:Setaria_italica_v2.0:I:29154605:29157883:1 gene:SETIT_018057mg transcript:KQL30234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKATNLVYNLLFATIFQKRGMWYSFGTIAALLREIVSVYPALSSPTLSASAATRACNALGLLQTVAAHPETRTSFLEAGIPLYLFPFLNTTSVAKSFEYLRATSLGVLGALAKVATFIVQKIMLDEVGLQHICATLECFFQAASVLASMVIALTEQPSTKLLKLIIGSYLRLTDKPRAFTALRTHLREALRGGTFDNCLMDDPAARHFLDQLLGNLAGPASGTQVVRQAQTMEDPLRQTQVA >KQL30233 pep chromosome:Setaria_italica_v2.0:I:29153267:29157883:1 gene:SETIT_018057mg transcript:KQL30233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPHLGLLNSHSKMSIVEVPSPKDSEIVESLLLDLLDPENKAYALSMLPKKRGMWYSFGTIAALLREIVSVYPALSSPTLSASAATRACNALGLLQTVAAHPETRTSFLEAGIPLYLFPFLNTTSVAKSFEYLRATSLGVLGALAKVATFIVQKIMLDEVGLQHICATLECFFQAASVLASMVIALTEQPSTKLLKLIIGSYLRLTDKPRAFTALRTHLREALRGGTFDNCLMDDPAARHFLDQLLGNLAGPASGTQVVRQAQTMEDPLRQTQVA >KQL30107 pep chromosome:Setaria_italica_v2.0:I:28066356:28067645:1 gene:SETIT_019574mg transcript:KQL30107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAVAPFALPALAGVAPRAVPVFHAGSTMATYADGGAPAAMRGYGGYEDVGADIDALLRDIHAAVLQRTPPGHAPQPVAAGADQPVNHADFNLEPVLRVIRSIRIPAAGFAGPDPVDSAVGTPTTPLASLPAPLSYGDAAAEDAADSAASTTTTQTSPKKQKDPGQIYDAEIDATFRAMEQDPAERPSALYLWTVQEGVITLADRANVVAWMYNFVGYYGLAPGTLHRAVSYVDRFLSSRKVNGYNVSGHLLLLGSVAVFTAAKYEDRRATLALNADGIACWHVGCSRRDVVDAERALCAALGCRLSGPTAYTFVEHFTRHGNDGGGADEGSTTVRSLAHHLADVALLDYRCIRFLPSTVAASAIALATLSMNPAASWSDDEIRVTGYTLEDLATCMDEIEETHGLQGAWPGCAQMTEDYMRSYGLLPH >KQL30159 pep chromosome:Setaria_italica_v2.0:I:28502961:28503227:-1 gene:SETIT_019778mg transcript:KQL30159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMMGGDFVEAYVLRNACKEKLRRMKAAEERKRKGGSGEKKDATSSGGSGSGSGSGSGRGGGLFGLVKKKVHPKAAASSETTVGASA >KQL30813 pep chromosome:Setaria_italica_v2.0:I:33521365:33525034:1 gene:SETIT_019070mg transcript:KQL30813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSPEPEVNGAQVTPLQHVSPETMATPDPERTYLHPGEERVREKMSMRCGCKAFVKIKWNQKKDYWFFERIRLEHNHPLHPSPTVTQFLRIQKDKDPIVMGIVDQMHRCDASHNTTVNVLAELYGGRQNFTFTEMDLRNRKAATAREERENDIPKLLEFFREMKAHNEYFYYELQDEQANTFEWLFGAFKNCMSGGRDPRCILTDQDSSMAAAIKKVFKQTQHRLCRWHMLKKYKPELKKLYKIHDGLKIKLVTVINHPLTPTEFEFAWNELVDEYGIREDDTIQGLWESRKLWVAAYFKPLYCGRMTSTQRSESVNKMIKGSGFTGHMTCMSKFARRMLDFIQHTNHTAGGETHWSQAGNWRLTLQPFDGHLSRVYTRAVYKKYRETYIYSTAFRIDPHPNEVDVYLVTHTDQSWQYAWFQHSFRVEADVRSEYIMKRYTRGARTMVPWDRHDIVTSVPGCESDQYKTKKLVEIAMAAVRACRKTSLGFEKGCEQLSALVEWGESIAKGTGASHVGDHTEEQSDVIPHTIGEPAASLAEQDSAVDTAVQISECAPREARTKGRKRGGRQVVNEHASSSKAQGQRTCGYCGSLGHYSTGCDLNPDNINKKRGAGGSLRGKMGRKRGRPPTKRQLEDEFNGVA >KQL29522 pep chromosome:Setaria_italica_v2.0:I:19719210:19721077:1 gene:SETIT_019120mg transcript:KQL29522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVDARRASLPGAVEPTPQPRPGSFLLPPSFLPPPNRPAPLASCAAPHRPTPKSTQNLAISVGESCGNRSLLRVEGEMTSFGCEYKRRRWYVRYVGESNGAGPVPPALPVPLCRCGVQAEVKQSRNLKTAGRAFYVCKWTFDPMPATPCDFFLWIDGPDKYDPRIRLFPYHSTKLKRYHQFRHWVPPPPNPPRMTEEEKQEAACRRVRDPPMCKYGVPAKLMRPNLGDPPKFTPFFRCSLKTHITSSYNFADGWPLCDFNEYIYGPMAMWPTQEQVREFESGKAPWPCVVPSELGYGSFCGNAHGDYWEGRTCDWEDFSGRCDLLIKLGNTSEPWKSRKQQELKEKIRKEYDVPIPDNDLLWGKIYQDMVHETGVEPEGLYARETIIKYWR >KQL30382 pep chromosome:Setaria_italica_v2.0:I:30224560:30229660:-1 gene:SETIT_017384mg transcript:KQL30382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNGVVECSVCRNRMVAPSPRSVSRAYDKHRNKMSSKFRALNVFLVVGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVMKVIFAVVMLIIQSRKQKVGEKPLLSRSTLIQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKFIMRRKFSVIQWEALALLLIGISINQLRTVPVGNTTFGLPVTAIAYIYTLVFVTVPSMASVYNEYALKSQYDTSIYLQNLFLYGYGAIFNFLGILGTALFQGPESFNILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGHTLTINFLLGISVVFISMHQFFSPLAKIKDDKPADLLELEDTQNHRSSESSFVNMTAGAADDASHRIGTDERQPLLPT >KQL30470 pep chromosome:Setaria_italica_v2.0:I:30742555:30743581:1 gene:SETIT_019282mg transcript:KQL30470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIITGKKLLTLAIKLLAALLLLLPCAAARPGPVISGTATIDGSRSQHLPLRGGPLLRGPGTGKRAYGPGYNAKACTASRTRPAEVTERMCGRPLGLRFHYGSGNLYIADAYKGLMRVGPGGGEATKADGVPLRFTNGVDVDQVTGEHERVTATGDSTGRLLNPDRTHLVVALTGPCKLLRYWIKGPKAGMSEPLADLPGYPDNVRADGKAVRINADGKIVQVMRGPKSVRPTEVMEMEGGKLHMGSVELPYVAVVTE >KQL30236 pep chromosome:Setaria_italica_v2.0:I:29187344:29189717:1 gene:SETIT_019906mg transcript:KQL30236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEDFDFDPSEDMEDGVQKWFAMARYYSGQTPKGLFDEMGFAWKLYVLKEGPWKHKGDALIVVPYDGLSRSSELVIDSIDLVVSNKVIEVMGPVRNFLRAQVTFPLEEALKLFVEAKIKDKGIMQFEVKYENVPFMCFRCGRMGHPEKFCLEDEEVKVQGMFGDWVRTSPLKRDEEKKKMLVPAAPSRAIRVLKFSGAQLGKVQVVYSATHGAGGSGKKKSVGELVRMDEDARVDGTPKKVPQEVSNALVQSVQKIMVGEKPSGVNLHDVRGAKERVSGLESFEDSSERTNSEVTPAIRREEERSPKSIRERLQEVEAAKAKQVQDRKVGMKGPSLVKDIGKHKRPQRALKAGIMESIRDLCSRGLVDQNIIVNLLSIEDHYIDASIRDDPNSDPWRATFIYGEPRVEDRQYPWVVIGDFNEAMCGIPWTYDNKKEGARNVKQAWEQEHTRGDLGTINTALRGVIKSLKQWSLEHFGSVRKVLEQLRVQLGELQTQ >KQL31706 pep chromosome:Setaria_italica_v2.0:I:39313389:39315864:1 gene:SETIT_017837mg transcript:KQL31706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGTTLSFPVINMEKLQTKEKPATMAVLNDACENWGFFELLNHGISHELMDEVERLNKAHYTSCREPKFQEFAARTLEAGEKGADVKDVDWESTFFVRHLPASNLADLPDLDDHYRQVMKQFASEIQKLSEKLLDLLCENLGLEKGYLKQAFAGSNGPTFGTKVSAYPPCPRPDLVDGLRAHTDAGGIILLFQDDQVSGLQLLKDGEWVDVPPMRYAIVVNIGDQLEVITNGRYKSVTHRVLTRPDGNRMSIASFYNPGADAVIFPAPALVAAEEERAAYPRFVFEDYMNLYVRQKFEAKEPRFEAMKSAIATA >KQL29691 pep chromosome:Setaria_italica_v2.0:I:23319455:23322899:1 gene:SETIT_016452mg transcript:KQL29691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSRRGNDGATTSLALLLLLSSVFICSQSIQLPKSTIYNTTVAGKQFTTFYFTDFDGKQQLQQPGILIFSSNSSISQGALQITPDTGNAASSFLVNQTGRVFFPSPFALWAYMASSNSPDGKHIASFNTSFMFNLFRTNLSIKGEGLTFLIASGNDEPPPGSYGGYLGLTNASTDGRATNGFVAVELDTVKQSYDPDDNHVGLNVNGVRSTYATPLAPHGIQLAPTNISSGTYNAWVEYDGTTRHISVYMSADESKPATAVLNASVDLSRILLGRKAFFGFSASTGVHYQLNCVLQWMMTVETLDDNDNGSGAKKTSSGWKASVITGVSCGALTVTLGLLAALLYIKKKRKKDREGTISLFNNTIGLMTIPGLPKVFDYKELSKGTNDFDEKMKLGQGGYGVVYRATVPMKDGDNMEVAVKRFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHHNGVLMLVYDYMPNGSLDKHIFGGKDAPALNWDQRYNVVAGIASALNYVHHEYDQMVVHRDIKPSNIMLDSSFNARLGDFGLARALESGKSSYTDMIGVPGTLGYIAPECFHTGRATRESDVFAFGAVILEIVCGRRISCNSPGGFGQLLEWVWRLHGERRVLDAVDPRLAGEFDEEDAERLLLLGLACSHPNAGERPKTKAIMQNLTRSVPPFAVPLARPAFMWPVGLDVNVDEDAETTQMSHPTATTTMVVEVDPEIGGEHGAPTSAEKDASTR >KQL28577 pep chromosome:Setaria_italica_v2.0:I:6790079:6790561:1 gene:SETIT_018769mg transcript:KQL28577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDNNWKNETGGSSIAEKHEAREWERTPSMRRWRRLIRQWKCLPIQKEGTGHRSGALSTCSPDGPQPTQLRHRPDMDAHGREVGPEERHDGQARRRARRTRAPCGGLHK >KQL30097 pep chromosome:Setaria_italica_v2.0:I:27986417:27987294:-1 gene:SETIT_018265mg transcript:KQL30097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLTWTLNLKIYYSMTIWYRKLQISVCRDSLAKTNIELSREVMKGHCKLAKTTPSAWGFMAPEYANQGLISKKADIFSLGVIILEVVTHHPRFPAVRGGNADVRVLAPASRSWPTTTFLKMAKGVVIMIRVHLILILLNPSSSRSRMILTTIRAVITAAETLVAVGVVTAFLTLNWLKYFRNLWPWVILVFGIGVPATVLDGVEEKLALGSLCLFGYVCTAGCCSYCGR >KQL28263 pep chromosome:Setaria_italica_v2.0:I:4637358:4639542:1 gene:SETIT_019494mg transcript:KQL28263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAAAPLATEPQLLAAAVEEAIASRSPRLGRAAHARALRLLAPAIPPFICAHLVNLYSKLDLPGPAAAALASDPSPTVVSYTAFISGAAQHGRPATALSAFAAMLRLGLRPNDFTFPSAFKAAASAPSWSTIGPQVHALALRFGYLPDDPFVACAALDMCFKTDRLALARRLFEEMPNRNVVAWNAVMTNAVLDGRPLETVEAYFGLRKAGGMPNVVSVCAFFNACAGATYLSLGEQFHGFVVKCGFEMDVSVSNSMVDFYGKCRCVGKAKAVFDGMRVRNSVSWCCMVVAYAQNGGEEEAFSLYLGARRAGEEPTDFMVSSVLTTCAGLLGLDLGRALHAVAVRSCIDANIFVASALVDMYGKCGDIENAEQVFFEMPQRNLVTWNAMVGGYAHIGDARNALAVFDGMMKCGETAPNYITLVNVLTACSRGGLTKEGYELFKTMHERFGIKPRIEHYACVVDLLGRAGMEEQAYEIIQGMPMRPSISVWGALLGACKMHGKTELGKIAAEKLFELDPQDSGNHVLLYNMFASASRWAEATDVRKEMKNVGIKKDPGRSWITWKNAVHVFHAKDTKHEMNNEIQALLAKLKSQMQAAGYMPDTQYALYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISAIVGREIIVRDNNRFHHFKNYECSCKDYW >KQL29910 pep chromosome:Setaria_italica_v2.0:I:26247662:26248351:1 gene:SETIT_020347mg transcript:KQL29910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFAFAEAEDEINNRHYAAGKGKAAEAASLAHQCDDALAKAGAVPSPMAQHSSYSVKIATVCTAITNLIK >KQL30525 pep chromosome:Setaria_italica_v2.0:I:31454029:31455509:-1 gene:SETIT_018007mg transcript:KQL30525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQFGWGREEGGWRKGPWTAQEDKLLVEYVRQHGEGRWNSVAKLTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESVILELHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRREMQSQLLLQQQGQDQQHHQQQRQAGNDHDDEAVVREEASSPAVSLAAQQHEEDLRMLQQDMDDLLFQFCPMIASCTSSSCGLLPGAATASASATASDEGSSGDHQLDGAAATWGWGSLWNLDDVVDDIVDGGWDWDTSSYPLLQDQGLAFY >KQL31779 pep chromosome:Setaria_italica_v2.0:I:39726508:39727083:1 gene:SETIT_020492mg transcript:KQL31779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAPLYTPLSLRPPNREIQRWKRQLQEPLSSCPTCSGSSSHLMLQAGRVAIQE >KQL30531 pep chromosome:Setaria_italica_v2.0:I:31482375:31482986:1 gene:SETIT_019608mg transcript:KQL30531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHARITEPLLDVEDGGGGGCCCDDAIGLFWEILPCMLGVLLGLVMTVPMLYYRYLWSFDNGKYPEFTVAVAGFSGLDPALPTMDPTFDLTVRIAEPRRWSAACVERGTTAVVSYRGVRLASGPVPGFCGRSENTTEASSVMAWGTAVPVPRFARDRLAEELRRGEADVDVVLMGPAYCVDCHQTVIECKPPPGGAARHRRRA >KQL32181 pep chromosome:Setaria_italica_v2.0:I:41670006:41670737:-1 gene:SETIT_019968mg transcript:KQL32181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDDRNAAGLEGNQAVALRSNSNKSVVYRCRVEGYKDTLYAENGLQFYVESNITGTVDFVFGNAKAVFHKCRIQVRRPLEQKHNVVTAQGRYNATTTDSGFCVVEAEPGHDLNGVDTFLGRPYKNYSHVAFVNSFIGEVVNATGWVPWKRDHEIKETTMTVEYYEYGNQGPGADTARRVNWEGLHILKDAAEAAKFTPDRFIDANLWVPEQIQYDHGLGAPPLMPGGVAPPLMPGGGAA >KQL28042 pep chromosome:Setaria_italica_v2.0:I:2885320:2887423:-1 gene:SETIT_016883mg transcript:KQL28042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNPSMFSPIGVDAMTGGYFMVGGGGGMMSADVPHFHPSVLLEHGGFGFGLGDAAVGATATASDLAANNVMLASFASQLVATGPAPQDDLIAGRTPPEEMDEGYGADSGVSCVAANLQCPGHSGGAMAVWPSSSSKKPAGTWSSAGGSRAVSVHAPYHHLTTLPEVAGFHYPLAAAAAAAAAAVIAPASSELSLTLCSKSSPDSGLNAAGQCSSGASRSALTELHPRARSRPAAHFSVVVARSRYAAVAQEVLNDVVGHMLNGVAAAAADSCSGIDGGGSAGAPSVVSSSNRLMASSEDAGERRGEAQRVKSDLLKLLQLMDEKYNQCLDEIQSTTAKFNALMHSGGGAGGGNGSICAPFAHRAVSAVYRGLRRRIAGQIVAAATRATGWGGESSSSVTAAGGDAERSWESEFIKKHWAAQQLRRGEQQCWRPQRGLPEKSVAVLKAWLFENFLHPYPKDHEKDVLAGRSGLTRNQVSNWFINARVRLWKPMIEEMYQDLKKSSGVGGQGPAMEPHTSKRRICELEGQ >KQL27730 pep chromosome:Setaria_italica_v2.0:I:282474:282896:1 gene:SETIT_020440mg transcript:KQL27730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSICWLPAIYFLFFCYKFAPLCILVKKFHLCMHMSSCIMLVYNTIKI >KQL31449 pep chromosome:Setaria_italica_v2.0:I:37592573:37596296:-1 gene:SETIT_016678mg transcript:KQL31449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAARREPLKQRVNRCLLKLSDRDTEAMAAAELEAIARALDPDELPAFVSAVSDARPTDKTPLRRHALRALALVAASHPRDAVAPLVPRILAAALRRVRDQDSSVRAALVDTARAAAAASVSASTALRPLTDALLHEQDQCAQLAAALATAAAVEASALTADLVSYLQKLQPRLLKLLRSNAFKAKPALITLIGASAAMGGDAEVTTSIPCLRDAIGSDDWAARKAAAEALAALALEHTDLLTSYKSSCVTFFEARKFDKVKIVRESMNRMIEAWKEIPDAEEDECSSAAPPASQSQRRSSLTGSVSDGRYPAASLGSNSVPSATRRSRLPVSRSCPPDVSPSIIKTNNPSSIRNKKLSPPSYHKVRQAKNCDYKVEISVAPDATPIKMVTEEKLLKGGNVRDRLEARRTLFQGSEDRSAKLAGLKAGSRVVPYEGGGNLEEISEVEGGSEKFAVHKDESLSEIRTQLLQIENQQSSLLDLLQKFMGKSENGMNSLETRVHGLEMALDEISRDLAFSSGRVSNREPDVKTCCILSPKFWRRHGGSRSSSRFSASDPANSSEEGRTSYKWERQKYGLQGGFVINPLAEPNISSVGKTMLTQEGRRKDTTLQK >KQL32161 pep chromosome:Setaria_italica_v2.0:I:41600502:41601403:1 gene:SETIT_018060mg transcript:KQL32161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRWWTGPLNLPEQPSGSSAPKTEPPAVKDPELSPAGGAPAADHADETNESGGGEPREGAVVAAPNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVADAIAQFSRRRQRGVCVLSGAGTVANVALRQPSAPGAVVALHGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIASTFANATYERLPLDEEEEGPAPPMPDPLMGGGHGIADPSALPMFNLPPSNGQLGGGDGGFPWAAHPRPPY >KQL27828 pep chromosome:Setaria_italica_v2.0:I:953572:955113:-1 gene:SETIT_017378mg transcript:KQL27828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMGSEEWELYPSYIGSQVMEYRPISEDSDDDWNGDVAVPLDAVLPDDLLEKVLSFLPVASIIRSGSVCKRWHEIVHAQRQTWSKMVPQKPWYFMFTCSEEAVSGFAYDPSLRKWYGFDFPCIEKSNWSTSSSAGLVCLMDSENRRRIMVCNPITKDWKRLLDAPGGKTADYSALAFSVDRSSHQYTVAIARSNQDPSEYYQWEFTIHLYESVTGNWVTPFTGVLLGWRGGDECVICDGILYYLVYSTGVLVNNNEHRHCLVMYDLAARPNHSSLMSMAIPVPCALTCGRLMNLSERLVLVGGIGKQDRPGIIKGIGIWELHNKEWREVARMPHKFFQGFGEFDDVFASCGADDLIYIQSYGSPALLTFEMNQKLWKWSVKSPVSKRFPLQLFTGFSFEPRLDIAS >KQL29206 pep chromosome:Setaria_italica_v2.0:I:11314155:11319525:-1 gene:SETIT_017842mg transcript:KQL29206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQQTLTLRGVPSARRAAPPPASSAHLGSSPCLLRVPRSLRRRRPRSLRASASLEQEVKEVAASPAPSAGKSTQATRRDVRNIAIVAHVDHGKTTLVDSMLRQAKVFRDNQVVQERIMDSNDLERERGITILSKNTSITYKGTKINIIDTPGHSDFGGEVERVLNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPAARPEFVVNSTFELFIELNATDEQCDFQTVYASGIKGKAGLDPENLADDLGPLFEAILRCIPEPRIEKDGALQMLVSNTEYDEHKGRIAIGRLHAGELQRGLEVKV >KQL30784 pep chromosome:Setaria_italica_v2.0:I:33280025:33286923:-1 gene:SETIT_016674mg transcript:KQL30784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGGEVLLDGGEVLFLDGAGEVVVSIGRSDLSLQPLHPELFSSCWSSITMQPKLDNKIKFSDVYAIELLDKGPICGPWNTRTAIQGKKNIEMHRFVVHGITRTRKRPSPLVPCEYIFGHGDLKTCKIWFDRLMACINNEGDRPKNLMVFVHPLCGKGRGVKNWETVAPLFDRAKIKTKVIVTDRAGHAYDTLASLSDIELKALEGVVAGGDGLFNEVLNGILSSRHKASYPPTPEGFGYFGSNGNCKEQAKNGLNCSMPIPDSVNTMFPGNSSKCDDHEPLLSTSQPTGLEIPSSNSSKEPCIGDKDHLVSFPNDWFRLGIIPSGSTDAIVLSTTGERDPVTSALLIILGRRMSLDIAQVVRWKSSPTAEVLPTVRYAASFAGYGFYGEVIRESENYRWMGPARYDFSGTMVFLKHRSYEAKVAFLESPNTDSLTESAEDDVTGAQPLRFRQKRPRKIICRTNCFVCKEASTSGQNSEDEIADSSRTICKNPKWVWSEGRFLSVGAAVISCRNERAPDGLVADAHLSDGFLHLLLIRDCPLPLYLWHLTQFTKKGLDPLSFKFVEHHKTPAFTFISSHDESVWNLDGEIFQACEVSVQACRGLVNLFASGPEV >KQL27812 pep chromosome:Setaria_italica_v2.0:I:836552:838657:-1 gene:SETIT_018444mg transcript:KQL27812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERITQISESCLNASTPLRHLSPKERLREAKREELGLISKERQRELDVAKAKAKAKAKSKGTGADDGDRVLMGPPGLDYISLGLVDEEAIPKYELTVEDGRRLAKEYSRVLMRRHRARQTAESTLLTLKKEAIAALPEQLQAAAMVPDMTPFPANRYMATLTPPIEGYIEKVRDAAKKHSVKEKLR >KQL30752 pep chromosome:Setaria_italica_v2.0:I:33142826:33145132:-1 gene:SETIT_017548mg transcript:KQL30752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATAARHVHIEALQTALPTRKVEPGRARPVSVAAPPLPAAALQRRARVVLYYRAVAGAGVPWGQEEALLVKESLSEAVADHPEMAGRLRRRADGSWEVKLNDTGVRLVLATVEASVDDFVGGGEEGRERREAALVPWTDVDAEDPDMCALCFVQLTRFQGDGGYAVGVSCSLMLCDPLALARFLLSWARVHAEIKARDKAATIPMMQYAGYFQNPGTMTRRIRSVPLDAFAAADAAGTETVLFRAAAGGGALDHRALARACVDEASERLGAGKAPRSFSLVAVARDGVGGNPRGMTVETCAAGDSPPASGGSGHELEVAQWQELGLEEFALRESKPVHVSYSIVTGGDEALVVVIPDGKGFLVTATVPK >KQL32175 pep chromosome:Setaria_italica_v2.0:I:41648464:41651083:1 gene:SETIT_016897mg transcript:KQL32175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEPELKVALGSAAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLLGVMSADEAYAAVDLPILGLLFGTMVVSVYLERADMFRHLGRVLSWRSRGGRDLLVRTCAVSAVASALFTNDTCCVVLTEFILKIARQNNLPPRPFLLALASSANIGSAATPIGNPQNLVIAVQSRIPFGRFVLGILPATLLGSAINAAILLCLYWGQLDGGSKPAPEEVAVANCFVPTEVVEEEDVTSHRFTPATMSHLLLLNKPVKQPAEEEPVIKQPAEDDATVIKQPAGAVANGNGIHQRRGKQDDHKEQWQSQSPEDKDNGDEEDDEEWQSRLWKACVYVITAGMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEMMEPYARIDTPTGTVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLLLAWTSTVAGNLSLLGSAANLIVCEQARRSQQYGYTLSFLSHLQFGFPATLVVTAIGLLLIRGY >KQL32176 pep chromosome:Setaria_italica_v2.0:I:41648636:41650720:1 gene:SETIT_016897mg transcript:KQL32176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEPELKVALGSAAFAIFWVLAVFPAVPFLPIGRTAGSLLGAMLMVLLGVMSADEAYAAVDLPILGLLFGTMVVSVYLERADMFRHLGRVLSWRSRGGRDLLVRTCAVSAVASALFTNDTCCVVLTEFILKIARQNNLPPRPFLLALASSANIGSAATPIGNPQNLVIAVQSRIPFGRFVLGILPATLLGSAINAAILLCLYWGQLDGGSKPAPEEVAVANCFVPTEVVEEEDVTSHRFTPATMSHLLLLNKPVKQPAEEEPVIKQPAEDDATVIKQPAGAVANGNGIHQRRGKQDDHKEQWQSQSPEDKDNGDEEDDEEWQSRLWKACVYVITAGMLVALLLGLNMSWSAITAALALIVLDFKDARPCLEKVSYPLLLFFCGMFITVDGFNKTGIPSAFWEMMEPYARIDTPTGTVILALVILLLSNVASNVPTVLLLGARVAASAAAISPAAETNAWLLLAWTSTVAGNLSLLGSAANLIVCEQARRSQQYGYTLSFLSHLQFGFPATLVVTAIGLLLIRGY >KQL30992 pep chromosome:Setaria_italica_v2.0:I:34912224:34912739:-1 gene:SETIT_019084mg transcript:KQL30992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPIAIILLAVAIATGLAVGSSSIINATCAALKPLQPYDYCVGVLSADPVAAAATDVRGVAAAAVNITTVKAASTLRVISYLVDELTTCRRYYTNMVKSLVDVLIDFDAGRFKNASLEISANATGVPMDCDILLFEGNAHKDPITQENGENDLLARLASAIIDLLISKHV >KQL28648 pep chromosome:Setaria_italica_v2.0:I:7208815:7209943:-1 gene:SETIT_020065mg transcript:KQL28648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSALSTSALSSLEAMLLSLMRGSGGGGGDVDAPLDDTLASPPPPPLPARPTARGRHPSRRRARPAEQEDATSSTTDDVSLVVEELERKAAEVEAQLRRKEEENAALKRRIESYHIRWLEYEIRIKSLEEAFHEQMATLQLARDAARRAEEAARDRRECSELQMNTSEEPPPVRLWHGRDRMLVQVARRSAVSRLGAEFRRQSHTLKRGAAALVGEAPPATGPWQPAAAAPSGGSSTDDLKRLKAQFRAWTKDYKARLRRAKAELGRDRRRRQGSCWI >KQL28803 pep chromosome:Setaria_italica_v2.0:I:8163769:8166530:-1 gene:SETIT_016780mg transcript:KQL28803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAASTGGEGGAVEGSGTGALLRIFVGGLAESVGAADLEAVFASAGRVAGVEFVRTNGRSFAYVDFHCPSDKALAKLFSTYNGCKWKGGKLRLEKAKEHYLTRLKREWEQEAAAAAQEAAVKDNVEKQDRPKLDKAALDGSKINLYFPKLRKVKALPFKGTGKHKYSFRHIEVPSYPIHFCDCEEHCGPPEAANNEYAAVLNAVVYEKERNIMNSVMNKLFEKENEHFDSSEMKRCDVHTDTIEPSDAVNNMQIEETDEAPEEDMQVEEVEESSDEDLDDDLVINIAPRKSNKPAGQANMKKQEVKNDPQLRKCPNIEEASLPKKRQRNEASSEPGKGKQEPISVILDTRTTGKPLTVKAEDSKSQLKSPGFTGKGTYALSSTLPRDESSADLQGVEAQTSSTKNESAQNVAANEPKKGSMWTQKSAWRDLVGGMGSTPFSISQVLPNTHPTPSVLPNVIENARSSEVLEATMQLPSELKLPSSVEMLSSGTTDGSTGGKCKDINKPQKVRVVPKITIGEVCPFMRNAESQKQWSKAKKAITGFSKKSNESSGSKSNARKGKPLKKL >KQL28007 pep chromosome:Setaria_italica_v2.0:I:2499872:2500840:-1 gene:SETIT_019652mg transcript:KQL28007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSGGRGRERRAEATGMAAGKHQPQQGVVAPAAEPGVARRLWRVVRAVLYMLRRGLPSGRKLAMDLHLLLHRGKIAGRALGELFLAFHHGHGHGRHAAAFSYAGAGSGAGGAGPFSCRALDPSLAVHEPAPRGRREVEFSCSNTPSSAANTGGLGLGLLGAGKRRRRGSRKDHGDGGGYLHQQYCSYYDAAEVARVFEMLNDDDGGQYRLFTDDGEAAAASAATSATATPSPTRLLYWAAVARGSPAPRSRATPRLADSPAADGAGVDRQADEFIRRFYEQLRAQRSAASTPDYYSYTTAAAAGASPYTTPRARRPVVAAGIA >KQL28392 pep chromosome:Setaria_italica_v2.0:I:5538577:5542261:-1 gene:SETIT_017235mg transcript:KQL28392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALLASLLLALLLLVPSPLSAGGDAHPGYPSGEGTCTVDSDAGGGGFWLRERGPAGRIIDITHAYVPDLPAFAPGAVAGPVVRLKHSMAEGSEYNLSELRMECHTGTHVDAPGHINQDNFAAGLDVDTLDLDVLNASLCSIAGCLLLAFLPASCELVAMSLPPPLMATLLLAVTTASCVLAAGDDGGIAAHPAYADAAGTCGPASAAPAAGARRLEEYDGERIVDITHAYRPELPGVGPDGLGPVVFQTMSMANGSFCNLSELRMVVHAGTHIDTPGHMIQEHFEAGLDADKLDLVVLNGPALLVDVPRNTNITAEAMEFLKIPKGVRRVLFRTLNTDRKLMWKKAGDLSYVGFTEDGAQWLVDNTDIKLVGVDYLSVAAFDHLISAHVVFFKNPDIIPVEGLKLDDVPAGIYNLHCLPLRLVGAEGSPVRCILIK >KQL29270 pep chromosome:Setaria_italica_v2.0:I:12506153:12508525:1 gene:SETIT_020027mg transcript:KQL29270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLFLVFFLAFLALLHLATKQRARRPKNKQQQQSAAVGSDIDHDHGRRLPPSPPALPVIGHLHLVGFLPHVALRGLDARYGHDGLLLVRLGSVPTLVVSSPRAAEAVMRTHDHRLASRPPSTSARALLNGSLDVAFAVYGEHWRQAKKLLTTHLLTVRKVQSYRAGREEEVRLAMAKIGDAAAAGRAVDMSELLYAFTTDIMCRAVSGRFFKVGGRARLFRELLNATAGLIGGFNAEDYFPWLLQFGVFRRAICARADKVRRRWDELLDMVIDDHEGKLVQQQEPDFIEVLLSHQHEYGLTRDHLKAMLIDIFFGGTDTSYIVLEFVMAELLRNPRVMSTLQAEIRKCVPEGQQMVTEDDLSGLPYLKAVINETLRLHPPAPLLAPHYSMTDVHVDGYMIPAKIPILVNAWALGRDKSVWEDAEQFKPERFIGMGSDVDINFKGNDFKFLPFGAGRRICPGTNFSISTLEIMVANLMYRFNWEVPPGMGCIDMTEMFRLTVHRKEKLILVPKMHVVV >KQL31477 pep chromosome:Setaria_italica_v2.0:I:37828940:37829090:1 gene:SETIT_0191872mg transcript:KQL31477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSGLEWDRAPNAAERPRSPSSWASTRSPRRTHGGAGEYGGDERGASPC >KQL28360 pep chromosome:Setaria_italica_v2.0:I:5366147:5371693:1 gene:SETIT_016918mg transcript:KQL28360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDVGPPEAAAKKAAPALRSFASVFMHADAADVALMVLGLVGAMGDGLSTPVMLLITSRIFNDLGNGPDLLQEFSSKIDENARNLLFLALANWVMAFLEGYCWARTAERQASRMRERYLRAVLRQDVEYFDLKVGSTSEVITSVSNDSLVVQDVLSEKVPNFVMNCSMFLGSYAVGFALLWHLTLVALPSVLLLIIPGFMYGRILIGLARRIREQYTRPGAIAEQAVSSVRTVYSFVAERSTMARFSAALEESARLGVKQGLAKGVAIGSNGITFTIWAFNVWYGSRLVMYHGYKGGTVFAVSAAIVVGGLALGSGLSNVKYFSEASSAAERVQEVIRRVPKIDSESNAGEELANVAGEVEFKNVEFCYPSRPETPIFVSFNLRVPAGRTVALVGGSGSGKSTVIALLERFYDPSAGEVSLDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENILFGKEDATEEEVVAAAKAANAHNFISQLPQGYDTQIPTTSKFYCAQTCRGAPIIRTSGDSSL >KQL29402 pep chromosome:Setaria_italica_v2.0:I:15127403:15128068:-1 gene:SETIT_019794mg transcript:KQL29402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAELPNAPYRSAGAGYYSNGYPSATLAYEDPLAAGRRAHEFPAPLNGLELQPSEACPKNYVIFDQTCTKSRVTFHPTLAHKLVGSSSSGHDGTYRHGAEEDAEEIDALLSSDDGGDDDDVVSTGRTPGGYPDDDGSSPDTACSSSRIGGGKPRKKERMDTPAVLGGAVRYLKSLKVEAKKLGVRGGSDS >KQL30783 pep chromosome:Setaria_italica_v2.0:I:33279022:33279459:1 gene:SETIT_020525mg transcript:KQL30783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSRDQRAKKEEKKRKMHEHKALKHEHDGMSQGRGPRPADSSGTKETLDH >KQL31686 pep chromosome:Setaria_italica_v2.0:I:39194691:39197260:-1 gene:SETIT_019363mg transcript:KQL31686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRGFVMAAAAGMLVAVFAVVSLAPRGAEAKTTIEPCSGSDSCQALLGYTLYADMKVSEVAALFAADPGALLAANALDFGAPGAAHRILPMGLFVRVPTRCSCADGVRKSVAVRYAARPADTLATVADVVFAGLASADQIRNENGLASTDPDAPLDAGQKLVVPLPCVCFNSSDNNLPAVYLSYVVQVGDTVPAIASSYETTVTDVMNVNAMGSPVAAPGDILAIPLPACASTFPKSASDHGLIVANGTYMLTAGNCVQCSCGPGSLKLSAGLQPRCPAPHQFPALTDPPTTVSHDSTYLPPLSAPGPAEAGGVMPEPGSAGSP >KQL28143 pep chromosome:Setaria_italica_v2.0:I:3733862:3734631:1 gene:SETIT_018696mg transcript:KQL28143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTAAAPPARKVPLRKLLRAASVARGVQFGWALQLSLLTPYVQELGILHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPAASPLGRRRPFIAAWPATANDAALHIATSRRCCCMLAFSYCIFFLQFV >KQL29325 pep chromosome:Setaria_italica_v2.0:I:13732904:13734836:-1 gene:SETIT_016935mg transcript:KQL29325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQPVPGPCVSEGWRARVATFLGREVEEQGTRTSGVLISWLREHFGKCPQDADAETVGHYCRAWILHLFACVLFPDSTGDTASWMWIHYLTNWHQAGFYSWGSAVLCFLYRQLCEACRRTSGSPSVGGCVYLLQLWMWSRLPVGRPEIMPRRPWFPSEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSVNWQPYDADDPLPFPLSFMCAMDDDIYRMVCPLICFYAVEYHLPDRVARQFGMRQIWPPQATSTSMELHNMDRKKKRKVSEWAAFHHAYIQEWDQFEENVDENNEPHTNSAYRQYQSWYQGAMRHRLRAAWTEDDYADIHSSDDEDTVYDQSTCAGRQVEAGPILDRMGRTLQSSVRDIEHIRPRVRDPEMRSFLDRLSNRLRRAAARCGCRTATTRDVHVPSLREGGVGTSSQGPSASKSIASEEVDNDDDDDDDEQRAEEIGPSQLQETPLTQPTQVVGGTRLRRPRSPYTPSTDDALGHKGKGKTRRQ >KQL30214 pep chromosome:Setaria_italica_v2.0:I:28955353:28964170:1 gene:SETIT_016220mg transcript:KQL30214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRPRPQPQPHSPSASTRRRERWLVVLGVALHAVYMLSIFDIYFKSPIVHGMDPVPPRLSAAPAKRLVLLVADGLRADKFFEPDERGRYRAPFLRSVIEEKGRWGVSHARPPTESRPGHVSLIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTISYGSPDIVPIFCSSVPHSTWDTYPHEYEDFATETLVADASFLDHWSFDQFQGLLNRSFDNIKLRQLLLQDKLVIFLHLLGCDTNGHAHRPYSSIYLNNVKVVDQIAESMYNLMENYFKDNQTAYVFTADHGMSDKGSHGDGHPSNTDTPLVAWGAGIRSPKFLAYTEKPDDGFRFVDDHKHDTPTPQDWALEGFERADVNQADIAPLMSTLVGLPCPMNSVGSLPTQYLKLSKADEVEAVLANTKQILNQFLQKSQLKQSGSLYFKPFKPLANYSSVLSQIEDLISERDYDTAMKHSEELRRMALAGLHYFQTYDWFMLMTTITLGYIGWMVNLILHVLQSYTSFPAILLKKALLHPKNTSMKVYVGGCFFMGLSSIILLLEKSPLLYHAYVFMTIFLWTRIVQNFEFLKAVWREFSNMPFKYTLNLLISSGIALFVLEFLVMSFFDRKIYTWCFLVLGILGSTYVAFFIQASPALAIYIWLACWFLSVFTLMPAEIPENNNLVILSGALIILIAVASRWANSNCTSFWLYLTRANKRDSQSSKLYFVQVILVAISSIMVWLSTSHRSQNRELHSLHQLINWSVAGVAMVLPLFSPPSVLSRLTSIFLGFAPPFLLLSIGYEAVFYSAFSMVLIGWIFVESANLYCSEESGSARRRNLVDGSVFGYEERHLQLSDLRIPLLFVILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMAGLLIFKLFIPFMLVICTFSAITKIVRIPRLGCYFLVILLSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNIYTRDIVVSSRQLTARKVM >KQL29881 pep chromosome:Setaria_italica_v2.0:I:26008684:26013497:1 gene:SETIT_017561mg transcript:KQL29881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSGKKPSANGGKAPAPNKASDSGGIAKRLPRIALMFLLALLYRQLQAPPPKIPGTPGGPPVTSPRIRLQDGRHLAYHESGVPKDQAKHKIIFVHGFDSCRYDVLRVSPELAQELGIYLLSFDRPGYGESDPHPGRTVKSIALDIEQLADAMELGPKFYLTGFSMGGEIMWSCLKYIPHRLAGVAILGPVGNYWWSGFPANVSREAWNVQVAQDKWAVGVAHHAPWLTYWWNTQKLFPASSVISFNPAIMSQEDMAVIPKFAYRSYAYQSRQQGEHESLHRDMMVGFGKWSWSPLELEDPFPGGEGKVHLWHGAEDLIVPVGLSRYISQRLPWVRYHELPTAGHLFPIADGMPDVILKSLLLGDDE >KQL27916 pep chromosome:Setaria_italica_v2.0:I:1673832:1678195:-1 gene:SETIT_016772mg transcript:KQL27916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTVPTRLLSPLLLLLLLAVAGAAVLADGRPVSGGWSLLPGDAGATVLRLPSEAAAEGCEMTYGFLPCTDTALGNLFLVLAYGFLMFKAATYLSAGSELLLEILGPGIVGGLFLPILGALPDAMLILVSGLSGSKEVAQSQVLIGMGLLAGSTVMLLTLLWGSCVVVGKCDLWENSTATDSQDTKRFSLFGSGVSTDVQTSYAARIMAMSVLPFIIVQIPKIFKLHSGHRLTVLLGLIVAVLLVLAYCLYQIFQPWIQRRRLEYARLKHVMSGLLRHAQMHVFGRLLDEDGRPNVPVIEKLFHKIDQDNDGKLERGELQAFIIGVKFEDIDLDSSLAAEQVMADFDTSQNYAIEKAEFVDGILRWLKEAKRSVASSGAYSKKFLQDFHTRTRDEHNLLLGKDDEDGEAIEYPTWTCFKAISLLLLGTAMAAAFADPLVDAVHNFSNATSIPSFFVSFIAMPFATNSSEAVSAIIFASRKKQRTLSLTFSEVYGGVTMNNTLCLAVFLALVYVRGLTWDFSAEVLVIFLVCTIMGLFTSFRTNFPLWTCFVAYLLYPLSLIIVYVLDFKFGWS >KQL28870 pep chromosome:Setaria_italica_v2.0:I:8563451:8568891:-1 gene:SETIT_019228mg transcript:KQL28870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAMASGGGGGGEHRYGGAAKYKDPKQPLNTRINDLLRRMTLAEKIGQMSQIERENATADVMRNYFIGSVLSGGGSVPSPNAAPAEWVRMVNEIQRGALSSRLGIPMLYGIDAVHGHNNVYRATIFPHNIGLGCTRDPELVQKIGAAVALEVRATGIPYVFAPCIAVCRDPRWGRCYESFSEDPKLVQQMTSIISGFQGEIPANGRLGAPSVSGQRNVAACAKHYVGDGGTTNGINENNTVATFHELLSIHMPPYYNAVIRGVSTVMVSFSSLNGVKMHANRPFVTDFLKTKLRFRGFVISDYEGIDRLTTPQHADYVLSVKLGILAGIDMVMIPYRYTEFIDDLTLLVQNGTIPMSRIDDAVRRILRVKFTMGLFEHPYADPSLTGELGKQEHRDLAREAVRKSLVLLKNGKPGEKPLLPLPKKAYGGSILVAGSHADDLGSQCGGWTITWQGLTGNNLTAGTTMLDGIKRAVEPAIDVVYAKNPDAGFMQQNKVRFDYAIVVVGEPPYAETFGDNLNLTIPAPGPDVIWNVCGSIKCVVVLVSGRPLVVEPYIGIVDALVAAWLPGTEGQGVADVLFGDYGFTGKLSRTWFRSAEQLPMNAGDAHYDPLFPFGFGLETQPWAGY >KQL31877 pep chromosome:Setaria_italica_v2.0:I:40237731:40238468:1 gene:SETIT_020244mg transcript:KQL31877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREWRLSSRSGQRGLPKGDLAPWARLPPAEEEAAREERRGGEEGGSPLTSKSRRESIKGGGEGEGGELPVRTKREKASSVRKRESE >KQL30517 pep chromosome:Setaria_italica_v2.0:I:31420602:31428671:-1 gene:SETIT_016221mg transcript:KQL30517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAVAASLRSLARRRPRLRLPAAPLAVPGARAAFLSGAAEEAATDAAPPPPAPGRKVLESFREEFEIGGRTIAFETGKMARFANGSVVISMEDTHVLSTVAAAKSNEPVRDFLPLTVDYQEKQYAQGVIPTTYMRREGAPKERELLCGRIIDRPIRPLFPPGFYHEVQIMVNVLSSDGKQDPDVMAANASSAALMLSDIPWNGPIGVIRVGRIDGNFVLNPTVDELGLSDLNLVYACSRDKTLMIDVQAREITERDLQAGMKLAHSEAIKCIDPQIRLAKRAGKEKKEYKISLISDTSYEKIRTLSEAPIEEVFTDSSYGKFERGEALEKITQSVKAKLEEENDEDSLKFLSKAVDTVRKQVIRKRIIEEGLRVDGRQLDEVRPLFCEANTYPVLHGSALFSRGDTQVLCTVTLGAPGDAQRLDSIVGPPTKRFMLHYSFPPFSINEVAKRGGLNRREVGHGTLAEKALLAVLPPESDFPYTVRVNSEVMASDGSTSMASVCGGSMALMDAGIPVREHVAGVSVGLVSQVDPTTGDISNYRILTDILGLEDHLGDMDFKIAGTRKGITAIQLDIKPAGIPLDIVCESLEPARKARTQILDRMDQEISIARAMNDGSAPRLATLSFSSDSLRKLLFHRKKIEQETGARVSVSDGTVTIVAKTQPIMDKAIEKVEFLVGREIEVGRTYKGTVSSVKEYGAFVEFNGGQQGLLHISELSHEPVSKVSDIVTVGQSLSLMCIGQDVRGNIKLSLKATLPQPRKKKDLESKGPLPSQEVGWAAVENMPSVGADAEPSSSKHEDGTAEEAPAFSTPSVIIRSEADCDAQDAANGPKKRARVSKSSPRPYKAPSERQKVRTATAKKAPGATKKTDKKVKIEESGSNSLETSGSEEVPEPTASNTLDLKQTPVNFRSGSMKLGDVVTAKVYQIRAFGLVLELSDGARGMHKFEANGQMEFEVGQELLVKCASFNAKGIPVFSLLD >KQL31525 pep chromosome:Setaria_italica_v2.0:I:38204830:38205549:1 gene:SETIT_018949mg transcript:KQL31525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFCKEYLWSGCLVITWKYKLTRKVLPRHPLTNISSWGMWEAGLSSRYQNTSNTRMFGFWMEGMLLS >KQL32035 pep chromosome:Setaria_italica_v2.0:I:41020861:41021293:1 gene:SETIT_018973mg transcript:KQL32035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQHLFKQKSMPAGSYLEEMIEAIRGFNSRTKEYVRDVISSINKNPKHGICSKLQMMSITV >KQL30509 pep chromosome:Setaria_italica_v2.0:I:31311100:31312266:1 gene:SETIT_020214mg transcript:KQL30509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFQNEQLFHAYFVIQSFKNTVTCDPRNITSTWTGPDICGKRSYVGFYCARKQGPGSNLTVTSAIFNGFGLCAPMLRGFLDQLPDLAHFQATSNNFGGDVPSLAGLTEVSNVDANGRRKQNREGSQSTTSIEIDNIGVTAKTMGDLVHAITHPFENSISAHLPKNNSSPRASFAPPSSLSPSTERLGFPKMNYLALANNKLTGPIPPSIAEAQDTLLEVLFLNNQLSGCLPNELGMLHKATVIDAGMNQLTGPIPASFSCLSSVEQLNLGGNRLYGQVPDALCKLAGPAGRLANLTLSGNYFTSVGPACAALIKDGVLDVKNNCIPGLANQRRPVECAVFHSHPKTCPAASAQVACPDAAAARAVAPPGERKVRDYSSYVTYATLHE >KQL27737 pep chromosome:Setaria_italica_v2.0:I:309764:313288:1 gene:SETIT_016887mg transcript:KQL27737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCTTRGGTTCSTSTTRTARSGATRSLGATPCPATLSTGGTSPWPWFPTSGTTSTACGRGPPPPSPTAASPCSTPAPPTSRCRCSASPSPPTPPTRSSPTGPSTRATPCCSRRRPSAPRTSATRPPPGSTPPTAPGASSSAPRTPTTPASPSPTKPRISSTFDLLPGLLHRVEGTGMWECIDFYPVGTRGRGSENGIDMSDAISENGVVVGDVVHVMKASMDDDRHDYYALGRYDAAANAWTPLDAARDVGIGLRYDWGKFYASKTFYDPAKRRRVLWGWVGETDSERADVSKGWASLQSIPRTVLFDTKTGSNLLQWPVDEVETLRTNSTDLSGITIDYGSVFPLNLHRATQLDIVAEFEVDRHAVMALNEADVGYNCSTSGGAANRGALGPFGLLVLADKHLREQTAVYFYVAKGLDGGITTHFCQDESRSSSANDIVKRVVGSALPVLDGETLSLRVLVDHSIVESFAQGGRSTATSRVYPTEAIYANAGVYLFNNATAARVTAKKLVVHEMDSSYNHDYVAQL >KQL29608 pep chromosome:Setaria_italica_v2.0:I:22002808:22006208:1 gene:SETIT_017375mg transcript:KQL29608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPPPEALPGGAGLWRPVAAARGGGWATAAALLLVVVSHLAALLVRRRLRRGGRIAQPEAVAAAPAPASASPGSASELEGLVTEDDLRQLVGSLGVGAREPETEGWEHVISKGNDDVSYRVWCDKPTAGPPKYLSITTYERCSTEQLRDFYMDNEYRMEWDKTVTKHEQLQYDENSGVEVGRTIKKFPLLTPREYILAWRVWEANDKSFYCFIKECEHPLAVRQKKFVRVRLLRSGWCIRKIPGRDACQITVLHHEDNGMNIEMAKLAFSKGIWNYICKMNNALRRYPQHRSPSVSILTMQRLTKKFPQDLEAAMNASLPASQTTAATVVPSTRTSPCKLPGKKSSRQMIASGLLLVGSIVCLSRGRSNLGAQLAMALFLKKAFKQERESGSSTSRGKTNVTRSRR >KQL30756 pep chromosome:Setaria_italica_v2.0:I:33173608:33177576:1 gene:SETIT_017922mg transcript:KQL30756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRPWRQDGWRRDVIAWRQRGWRQAKGPFLELVPPRVYFIYRSAPRKSPTFASPTTCPPASLPLPPPDPSIRSDRALSLRLRSNPRQASDSARFDSTMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDSVNKLLVGNKSDLTANKVVATETAKAFADEMGIPFMETSAKNAINVEQAFMAMAASIKDRMASQPAASNARPATVQIRGQPVNQKTSCCSS >KQL29944 pep chromosome:Setaria_italica_v2.0:I:26782019:26783790:1 gene:SETIT_017223mg transcript:KQL29944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRREMSGRLSAEYQGLEVKVPSLFRCPISLDVMRSPVSLCTGVTYERTSIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRRLIALWASTAAPASPSSSSPPAPSAVGPTPAAAASELLRRVAAPGADPCPPLRKLASFLNDDDVDEFDKNAFARAAGAAETVASVLRRAGKDGEGLEAAEAAVRVLAAIAASDCIEEENKKRVAAALAADAPSAAASLARVLRGAAALEARVDAARLVESLLRNAAARAAVAESEPLLAELIRLVGPADEKGGLDRSAVAAGLSCLAAIAATRRARAEMVRLGAVPAAVRVLTADAGCPVQALRVLEAAAGCAEGRAAICESAETAVPAVVSRMMKGGTGGGEAAVSVLWAVCHRYRDRRAVAAAAGCEGGLARLLLLMQSGCSAAARQMASELLKIFKVSGKSCLAGYDSKNSHIMPF >KQL29882 pep chromosome:Setaria_italica_v2.0:I:26009436:26009953:1 gene:SETIT_020585mg transcript:KQL29882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSIDTFLLFLLQSTHTIFFLELRACAMSSCQNRQQVERRGRIERGPSNIFFRTIVLNYSNRE >KQL29676 pep chromosome:Setaria_italica_v2.0:I:22860843:22861427:-1 gene:SETIT_020410mg transcript:KQL29676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFELPLSATGCFLIHLPRVTTVDCPSLVLILLCAAATSEPPNTAEIKFVVCQKLLMNPPPVPFLVPTVLFLSLYFFLDFNFSPCYFLLMIRRSSVM >KQL31183 pep chromosome:Setaria_italica_v2.0:I:36137916:36140375:1 gene:SETIT_017555mg transcript:KQL31183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLHRGARTILLLLHYVAASHLLPCAPATHRAAAARLPDPAPLDPALIFPSATPVQPAAAIAGGTIPAFPEQSDSLAGSSATCPLAPSPALIPAVRSSCDADDGKLPPRLRCCPALAAWLFAAYAPTALSQRPPARSTPAAAAVDMPLPPDDSEACAGAADRALRAGGASLPRPPGGNGTCDVAFCYCGVRLRRLACGPAPADGGLWAPADEVARRLEKDCAQAGTPGCSKCLRALATIKPNTPGGGTEAVKGKSQAAGPGRSSESDDRDCELMGLMWLLQRNATRYGAAATAVIQALMAVDEASAAGVAVAAADAGPAAACSLPVDDMPLPAEYAQLNGAASGTSGACCFHLVLLLAVLMSFRVVSSL >KQL28847 pep chromosome:Setaria_italica_v2.0:I:8441123:8444036:1 gene:SETIT_019758mg transcript:KQL28847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVTPTVASSSAAAPDGGEGAAAARTPSLRHRAMSASSKLLRSSLSRKSMGRRSSKVMSVSIEDVRDAEDMKQVDAFRQTLVLEELLPARHDDYHMMLRFLRARKFDIDKSKQMWSDMLQWRKEFGSDTIMEDFVFEEMDQVLEHYPQGHHGVDKDGRPVYMEKLGQIDTTKLLQVTSMDRYVQYHVREFERAFAVKFPACSIAAKKHIDQSTTILDVSGVGYKNFNKAARDLIGRLQKIDGDNYPETLCRMFIINAGQGFRLLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFLGGTCNCEGGCMRSDKGPWKDPEILKMVQCGMGRCGMNSADPREADEKLITEDEIVSVPKKQESMRRASADSPKVSRDKIEHPQMSPLHEMKTAENPAPSGKEGFDHNLFHGVDWSGEVSEEKLQIARDMYAQLPDAYKQGDPGDRQVVSGFMALVMGVVAMFRVGKIAPKRAMDAAMGIATMEAMAKKTRQMQLQQQQLAGPDAVVVSAAQYQALVKRLDDLEGKVAALAARPPEMPPEQEEMLKAAVSRVEALETELENTKKLLETSVGQQEEVLAYIEKKKKKRGMQNPFRW >KQL31146 pep chromosome:Setaria_italica_v2.0:I:35883163:35886049:1 gene:SETIT_018515mg transcript:KQL31146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGGGGGSGAHKAASGSAPSPAQPAPNPTAMLSVLMSKRAKLQEELRSIERQVYDMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHLTGRDDGREYGPGRSKGGTPANGQGKPKKGGRPGGRDGKRLRPSNDPDLDDEDDY >KQL31145 pep chromosome:Setaria_italica_v2.0:I:35883163:35886049:1 gene:SETIT_018515mg transcript:KQL31145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGGGGGSGAHKAASGSAPSPAQPAPNPTAMLSVLMSKRAKLQEELRSIERQVYDMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVDEHLTGRDDGREYGPGRSKGGTPANGQ >KQL31144 pep chromosome:Setaria_italica_v2.0:I:35883251:35885409:1 gene:SETIT_018515mg transcript:KQL31144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGGGGGSGAHKAASGSAPSPAQPAPNPTAMLSVLMSKRAKLQEELRSIERQVYDMETTYLQESNQFGSVLKGFESFLSSSKNTSNLKRSRKFQADERLFSLSSVTSPAVQIVVLNVAEQHMLSKCYFCPIAFAFWSLLILIPFHFRCI >KQL29286 pep chromosome:Setaria_italica_v2.0:I:12757434:12759755:1 gene:SETIT_019499mg transcript:KQL29286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLFAIMSRNGVAHQATMNGMSELFGGRQNWPFIEKDVKNMNAEQAREGRDGDLGKLFQFFRECKVNNDFGQALLQDETIESFKWLFETFKNYMVGHQPYFEVEWKKLVDECGMTDNPAIIVLWEKRKSWIVTYFKGMYCGRMTSTQRSESKNKVLNDGYVNNSTTLHMFAKRVIDSLHHTDHMDAGETHYSQVFYSQKITNHSCCYRVYTRALYQEYKKQYGNSTTFAIEPNPDLEVRNGRASGELQAIGAIQNVGAAASTLECTAVEPEHESNLDPTSTNYLAGISLAEPPVSRTKGRKFGKESQSAKKSKEAGNPYSTYTRSYGRKVC >KQL30993 pep chromosome:Setaria_italica_v2.0:I:34915135:34915706:-1 gene:SETIT_020055mg transcript:KQL30993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ACATGKRGLQGRVRRRGRRRARGRPRAHHSGRERFVPRRERVRCSRTGPTRSRHQLPVRRTKSRSQKPPRRGSVHRARRSLATPTVGESKVACRGGGCVEFGATVFTVTEGYEMAEVLEGGALRVLGSESFFDASTCTRKHFVDVPGKTEAMLLLMSVLEEHRRIVGIQRLF >KQL31551 pep chromosome:Setaria_italica_v2.0:I:38349825:38350893:1 gene:SETIT_018426mg transcript:KQL31551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFAVLLLLAVAASATVLAHGRELPTQIKLVRGAVAAAGGGVSGDSMECVYTVFIRTGSIWKAGTDSNITLELAAADGNGVGITDLPSWGGLMGQGHSYFERGNLDIFSGRGPCMARPPCWMRVASDGTGPHHGWYCNYVEVTVTGPHKGCAQELFTVEQWLATDAPPYKLEAVVDHCARAGAGAAAA >KQL28769 pep chromosome:Setaria_italica_v2.0:I:7973571:7987438:-1 gene:SETIT_016086mg transcript:KQL28769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATRRIVGAEVPIPGSDKLRWIDLTVPSSSPAPAGSADPFVCVPPRAASGCHIIPLGDSQCYLSWRIHEEHLNVLEVIELGASKEFPSSGLRLVFQEALCPFAFLCEREGHRRGELVYMLYALTVSGVAILCHLRSPFSYVSGSVLHQDDIVEFSLQTHAQSAKVTAVTAKPGCIVIGRQDGSICSYSLGKLAPNSPGFLNELRDDAGIGRLWTLMSRTRTVGPVQDIVVTVVNERDLLFVLHLDGHLRIWDNHTKLLNYNVCSNDIEGHPSRLWVGEADDDQEMISLAVLHQNTVVQDCDHVALYGFSFSTGEKFPFSPEPSISTVPLLEGKLVDLKIGKDKLWILKEFGSMLYEILQYDTKAEKICSYVLQEDAISEQLFQSSDNALDDLVWTADSVFSSLKEQAFTFISSMFLRRLLQPGVNHCSALRETLLEHKRFLSDSEFQSLTANGLRKEILSIIEQEVSSQTASATAYHWKKFCARYLHNWCWNNRPYGLLLDTNKEVFGLIRKGSFSLFRCLEGVEMLIYGSSDELRNIDDLGMNLMDADIELLNEVLRCMGHIHHLLGRSSTAIYYESLISSVISSDEIASQIVKILETGFSPQSSSSLVTLLGTDAYVERRQAAHKSQRKFSVDMLLSFHKLRSRSASWSVVFDVIEKFMKCLNTNINVQDYESKRVCNVNSVLLVQATSQVARTMFESAFDLFLFLSYLVGVGGQVSLLQSDVARIKLKLFPMIQDILGQWIVLHFVGISPTSPPTIEDFSYQLSSLQLGKVDELSLHRKLGCSDFTLACLLDFPISPRVGVMSHCFPSPVEVINLVRSFSSLIMGGGNFDCVQTFLGSTINLSAVLIRHGQYEAAQNLLGILETYLNNEKVSRTGQDADTACSAYLHLNGFCLLMLAHDEANTVLRESKVHDAIRCFFRAASGHEASKALQKFSLETGFQVSGECRSISLWRLHYYEWAMQIFEQHSMSEGACQFALAALEQVDNIVDLDNGTEAESLPETAAMIKGRLWANVFKYSLDLKNFQDAYCAIISNPDDDSKYICLRRFIIVLCELGETKVLCNGEIPFTGLVEKVEQELFWKAERSDLSSRPNLYKVLYSFEAYRNNWRKAAGYMYRYFVRLNREGNAGGSCQLPHVLQERLHALSAAINALQLVDPSFAWLDSVCEADDQISPSKRPRNLLMENLAFGTDSELSRLQFCVDIEILEKEYTLTKAQFMLSTVKSTFNFSESQSIESLMDILINEKLYDLAFTIVLKFWKDSGMKRELERVFSAIAQQCCPNRSDKSGRNLTDSKQLLVLPSSEDDAWDGNIKSIAVTQQLQGNCHWDTLELFMKKYNDLHPRLPVVVAETLLYTDPEIELPLWLVQMFKTNKAGNRIWGMSGKEADPAALFRLYINYGRHAEATNLLVEYLELFASSRPADVLHRKKMSAAWFPYTAVERLWCHLGEMQRAGHSVDQCDRLKKLLHGALMSHLQQVVVDSEDVLSSVGGGQGMEGQSN >KQL30961 pep chromosome:Setaria_italica_v2.0:I:34709379:34710674:-1 gene:SETIT_020241mg transcript:KQL30961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQFAGASGRFSREEEADEEQEDASNSPRREISFMPAAAAATASLSSAAASASASTSASASASGTSSAAPFRSASGDGAGASGSGGGGGGGGAVDVEAVEKEHMFDKVVTPSDVGKLNRLVIPKQYAEKYFPLDAAGSEKGLLLSFEDTAGKHWRFRYSYWNSSQSYVMTKGWSRFVKEKRLVAGDTVSFSRAAADDAGHRLFIDWKRRVDTRGPLRFSGLALPMPLASHHFGGPHHYSPWGFGVGGGGGGGGFFMPPSPPATLYEHHRLRQSLDFRGMTYPAPAVGRQLLFFGSARSTMPPHAPLHPPRAPPMPLHYTLQPSSAGVAAAASRPVVLDSVPVIESPTTAAKRVRLFGVNLDNNNNSQSDGGEASHQGNVLSLQMPGWQQRSTPTLRLLELPRHGAESSAASSPSSSSSSKREARSALDLDL >KQL31245 pep chromosome:Setaria_italica_v2.0:I:36446809:36448661:1 gene:SETIT_017419mg transcript:KQL31245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVERDLHMSRGNGETSYASNSRLQEKSILKTRPVLHEAVAAAHAASLSSAAGAMVVADLGCSSGPNTLLVVNEVLGAVADRREDLMMGGQQPPVVQHVQFFLNDLPGNDFNLVFQSLELFKKLAAAKDKGESLPPYYIAGLPGSFYTRLFPDRCVHLFHSSYCLMWRSKVPDELARGTVLNEGNMYIWEATPPSVVKLYRKQFQEDFSLFLKLRHKELASNGQMVLTFLSRKNKDVTHGEVSYMWGLLAQALQSLVKQGRVEKEKLDSFNLPFYAPSVDEVRDVIRQSEAFDINHIQLFESNWDPHDDLDDGDLVLDSAQSGVNVAQSIRAVIEPLIAHHFGDHILDDLFEVYARNVAKHLQKVKTKYPVIVVSLKARRAPKCHANDRCHSFTHGQFV >KQL30540 pep chromosome:Setaria_italica_v2.0:I:31548839:31549255:1 gene:SETIT_020054mg transcript:KQL30540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTQRQRQQPEEGSRGHLLAGTPSRHDSPARTAAATKGCATFLVEGDEGEEPRRVAVPVARLGHPRMRELLGEAREEYGFEHEGAVVVPCGVERFMQAVEASASASAGHRHGHGHHHHFRLPHIHIARCFRPSHVVA >KQL28220 pep chromosome:Setaria_italica_v2.0:I:4395400:4396344:1 gene:SETIT_019886mg transcript:KQL28220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGGCGEAPACCVIVVTTTRLPREVRIWWYYSVRWSVVYAAALRRRVPHGSGLIDARARPPPSRAPLSPLGTAAAPCSEAARRLRRQDLPLPLAEAEVLIILHLVVGSLLSGKSPPTRPSWLRGSRSHRTRRCGQLPRVPALPRRCRRPPSSSSPGRQDRRSSRSAAAPPP >KQL31447 pep chromosome:Setaria_italica_v2.0:I:37584534:37588086:-1 gene:SETIT_018548mg transcript:KQL31447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAEWRAAKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKEHAVANGPIVLEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERVRKLILAHDLATPAELKDMEKEIRKQVDDAIAKAKESSMPGTFELFTNVYKKGFGVESFGPDRKELRASLP >KQL28050 pep chromosome:Setaria_italica_v2.0:I:2933708:2935009:-1 gene:SETIT_019622mg transcript:KQL28050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALHAQRLFLTASTSSPSSSWTARRPRRSAAVPCRAALRVPSGLQTSAASPADLTLKLDWIDARVPAAAAQDGAAVEKLRAVAEAAADRAEMHDIIGRQRDNWNHLLLHSTNSLTLAASVMAALAPAAPGTVGALKASAGALLATAAVTMAAVNRIQPSQLAEEQRNATRLWRQLERDVRADLDGHHRTAPITEADVQDAMDRVLALDAAYPLPLLPGMLDKFPKAVEPARWWPRRRPQQLKSKRPKSFGRRSGNGNTNNGWTPELEEEMRGLLRVLKAKDEHQYLSVGKLVLTLNRGLAVAGPALAGTAAIAAAFIGSSSGNGDAGSWASGAAALCGALAAAANTVEHGGQLGMLFELLRNCAGFYRKIQEDIEAALGEPDAERREDGEVFETKVALLLGRSASDLRQFRRMASASVRDEDIKDYAGKLF >KQL31517 pep chromosome:Setaria_italica_v2.0:I:38127912:38139754:-1 gene:SETIT_016422mg transcript:KQL31517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLGIEAKEAAVREVAKLLPLPELLSSIASIKSDYLARQQTNDAQLSTMVAEQVEQAHAGISALALSQETINKLRENFIDIDKLCQECQTLIENHDKIKLLSNARNNLNTTLKDMGGMMSISVEAAAARDSLSNDKELIHTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWETFEKTLWGHITNFFKLAKESPQTLVRALRVVEMQEIIDQQVAEEAAEAEGAGAMATITNQRRTAKKGAAATPRKGTQEKSKVQGKGYKDKCYECIRMAVEARFNKLLTELVFSEDLMEALEEAKAIGDELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDIQNINILKVTGWVVQYQENLIGLGVDESLAQVCSESGALDPLMNMYVERMQATTKKWYSNILEADKTQPPKTKEDGKLYTPAAVDLFRILTEQVQIVRDNSTDVMLYRIALAVIQVMLDFQAAERQRLEEPASDVGLESLCALINNNLHCYELSTELSSSTLEALPPNYAEQVNFEDTCKGFLEVAKEAVLQTVSVIFEDPGVQDLLVKLYQKDWLEGMVTEYLVATFADYFGDVKMYIEERSFRRFVEACIEETIVVYVDHLLSQKNYIKEETIDRMRLDEEVLMDFFREHINVTKVESRVRILADMRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVAMREGIPRKEAKEVVQECKEIYENSLVDGNPQKSGFVFGKLKCLTAKKGIWRKLGQ >KQL29233 pep chromosome:Setaria_italica_v2.0:I:11840804:11842560:1 gene:SETIT_019319mg transcript:KQL29233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTLSAIMAELANRSVSFLIKWSKQIAPTNEMVDRLQRLLLRIGHACCTSLVYILRKELYTGYYTLHTFRCRAHEGNKAESHEVGHCFTFSRFNPAKHLCIYSSSRQIVIEKSQKFMAPNRYTMKIYLMKKLMDPGAKSHGVLPIIGPQKVGKSTLVEHVSIDQRVRSYLSQIMFISGDDLRGELMMTLGDRRIIKYGNKDLIRGRVLIIIKLDVDINEDLWKRLLSASRKAFWYFFKVRMFGCMDVVEHPKLASVAMDMAMELNGYLMCFNFISEILKSNIDAHFWSLTLAICRHFKQKNPFVCDPPNQIDPWALTRPILSPTTNRISPGNILIIDMYQTASAQSGAHFLEASTRSDSTAPKMTVKDLMFGMLNLSLLLLHGNLTFDLFKCELKDATESSI >KQL30201 pep chromosome:Setaria_italica_v2.0:I:28861656:28862269:-1 gene:SETIT_019325mg transcript:KQL30201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKEEEGAGPAAATGFPMSGGATSGALAAVQAPAPWSTGLFDCFDDPGNCCVTLLFPCITFGQVAEIVDRGSTSCGASAALYTLLTWTGFHCIYSCFYRSKLRAQYGLEESPCADCCVHYCCELCALCQEYRELGNRGFDMTIGWHANTERQQGRAAATMPPQMHAGMAR >KQL29255 pep chromosome:Setaria_italica_v2.0:I:12219209:12221885:-1 gene:SETIT_016966mg transcript:KQL29255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHRGGAVGGDGESSVLSEVNKQLRLAAPLAAGFLLQKIILTISIMFVGRLGELPLASASLATSFASVTGFSLLTGMASSLDTLCGQAFGAEQRHLLGVHKQRAMLVLALVSVPVAVVWAYTGEILVWFRQDREIAAGAGSYIRCMIPALFLFGQLQCHVRFLQPQNVVVPVMVSSAATAAVHVAVCWLLVRRLGLGANGAALGNAVSNLVNLTVLAIYVRVSPSCKDTWRGFSREAFRGIPGFLKLAVPSAAMVCMEWWSFELLVLLSGLLPNPKLETAVMSICFNTYVFAFMLPMGLGAAVSIRVSNELGAGRPEAARLATRVVMLIAFSLGISEGLVMVLARNLLGYAYSNEKEVAMYTARLMPILAVSTLFDCLQCVLSGVVRGCGRQKIGAIINLSAFYIVGIPAASIFAFVCHLRGMGLWFGILCGVAVQMLLLLCITLCTNWNKEALKAKDRVFSSTIPVDHMITSGCTDQENASSVVGKDARRTIEVTKDCTAPN >KQL30741 pep chromosome:Setaria_italica_v2.0:I:33079967:33084064:-1 gene:SETIT_018283mg transcript:KQL30741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGQLGACLPSFLVPDHYAFPLPLPLQLPSQPNKLLQMPFDQEESENHGVMLSSDHCGLYQLPALPLGCSGAASAVACGGKPTADFMPSTVGADEVCTSLTKGCNDSASTWWKGSAPTMADRGKMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYFRCTHSNCRVKKRVERLSTDCRMVMTTYEGRHTHSPCSDDAASGDHTDCFSSF >KQL27841 pep chromosome:Setaria_italica_v2.0:I:1045787:1046077:1 gene:SETIT_020267mg transcript:KQL27841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQTVAYIKAYKPIFCPISNFQILNDLNVMQSS >KQL31189 pep chromosome:Setaria_italica_v2.0:I:36165744:36168565:1 gene:SETIT_017290mg transcript:KQL31189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLVFSARHTHAVTHRRIRRRRLVVAISAGAGPPPKLVTFLGKGGSGKTTAAAVAAQYYASEGFKTCLVTQSQDPTAEQLMGCKIGNSLTECRANLSTIKLETSKMLLEPLNRLKKVDAQVNFTQGVLEGIVGEELGVLPGMDSICSVLALQKLLNLFSAGRSSSQPEFDVVVYDCNNTDEILRLIGATDRARSYLRYVRDLAEKTDMGRLASPSLLKLIYDAAKPNGKTSEGRLSTEIWNEIEQLLERVLVWFADPSNLACFLIMDPRRSISVSSALRYWGCTTQAGGQICGAFGYTEDPSEMHQEVARKFLPLSFSFLPFFSNDSSADWSRALSSLSQNTKEQLMNTSTVYPSVSFDAVQKSVTLFMPGFDKSEIKLYQYRGGSELLIEAGDQRRVIKLPPAMQGKVGGAKFVDRNLIVSIR >KQL28566 pep chromosome:Setaria_italica_v2.0:I:6738086:6740048:1 gene:SETIT_018361mg transcript:KQL28566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTAVTAVAGAAPPPPKGKAVTVATTPLPTLTRRQLLVAVATASTFRTAAPSAAAPEFAEIPGSGGVKALDRREGSGEVPAEGDQVAIHYYGRLAAKQGWRFDSTYDHKDETGEPIPFVFTIGSGKVIPGIEAAVKSMKVGGLRRVIIPPSQGYQNTSQEPIPPNFFDQQRLFTTIFNPTRLANGEGSTLGTLIFDIELINIRQRS >KQL32041 pep chromosome:Setaria_italica_v2.0:I:41059387:41062415:-1 gene:SETIT_019728mg transcript:KQL32041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNGHSSSKSLERVLSRKAMQVGSSAPCKIWVTGFLCGVCIMYLFGVALPPLRVPQSRSVYPPLQRAILWNFTLTEHDGAATTDELASAQEKIEEQDRNEHTTEARMVQLYNAWSTMLNTTGDEVLKSSDVPQPPHLDDCRLNAERYKRFDNYGDNGTFPHWTLWKGSLELELLNQKYSENANQYRQYPPWIVGSDEENYPLTRQVQRDIWIHQHPPNCSDPSLRFLVADWERLPGFGIGAQLAGMAGLLAIAMKEKRILVTNYYNRADHNGCHGASRSSWSCYFFIETSSDCRKRAFELMQSKASWAKGLVKVKENYTSKQIWVGRIPRMWGEPWKYLQPTTEIKERLITNHRKMDRRWWIAQATRYLMRFPTEYMCGLLNVARHSAFGFEAAKLVLESIQNDSPKVGTTRTKSEIERFVWSVHKPYIPRPLLSMHVRMGDKACEMAVVGFEEYMELAGKLRRQFPSLKNIWLSTEMQASQFPYPEVIDKTEFYPGWNFYFTNVARQGGNMTMALYEASLGRETSTNYPLVNFMMATEADFFIGALGSTWCYLIDGMRNTGGKVMSGYLSVNKDRFW >KQL28477 pep chromosome:Setaria_italica_v2.0:I:6133892:6135507:1 gene:SETIT_018386mg transcript:KQL28477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSPPLAPSPARAECVLRGACAAMAAAGALLLGLSAQTKTVLFVQRRAVPKDVQALWVLIVSASAAAGYHVVQLARCLYMARLAVATGGGGCRRLSRGVACASFLLDKGCAYMVFATTVAALQACFVGLIGVEALQWSKLCNIYTRFCEQAAAGMLCSMLAGGGMAVLSAFSARELFRRPACCSSSAAAGQCARLALG >KQL32212 pep chromosome:Setaria_italica_v2.0:I:41804393:41810356:-1 gene:SETIT_016464mg transcript:KQL32212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAASMGVVREVLGPDVVEEVDQPIIDYIANVLADEDFDFGAPDGHGIFEALGELLIDSGCVSGQDHCLQVCSKLCEKFGKHGLVKPKQAMRSLVTPLRMNAGMDEDVAPKKQPEVFEGPLLSSRDKAKIERKKRKDERQREAQYQMHVAEMEELRAGMPPVYVDHSNDGGPAVRDIHMENFSVTVGGRDLIQEATITLAFGRHYGLVGRNGTGKTSFLRAMAMHAIDGIPKNCQILHVEQEVVGDDTTALQCVLNADVERVQLLQEEARLVQQQKDLEIEVEFGEGSGKSKVDLDKDSISKRLEEIYKRLEFIDADAAEARAASILAGLSFTPEMQRKRTKEFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLETYLLKWPKTFIVVSHAREFLNTVVTDILHLHGRKLHAYKGDYDTFERTREEHLKNQQKAFETNEKARSHMQAFIDKFRYNAKRASLVQSRIKALERMEHVDAVVSDPDYKFEFPTPDDRPGPPIISFSDASFGYPGGPILFKNLNFGIDLDSRIAMVGANGIGKSTILKLISGDLQPTSGTVFRSPKVRMAVFNQHHVDGLDLTVNPLLYMMRCYPGVPEQKLRSHLGSFGVSGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLLVFQGGVLMVSHDEHLITGSVDELWVVSDGRVTPFSGTFKDYKKMIK >KQL29430 pep chromosome:Setaria_italica_v2.0:I:15765895:15766297:-1 gene:SETIT_020581mg transcript:KQL29430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPHNITYEQKIFPEYFHFHFINSTVVSTPPSLY >KQL31106 pep chromosome:Setaria_italica_v2.0:I:35670450:35673976:-1 gene:SETIT_017449mg transcript:KQL31106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPYASLSPAGADHRSPTATASLLPFCRSTPLSVSAASGGGGLAEGAQMSARWAARPVPFTPAQYEELEQQALIYKYLVAGVPVPPDLVLPIRRGLDSLATRFYGHPTLGYGSYFGKKLDPEPGRCRRTDGKKWRCSKEAAPDSKYCERHMHRGRNRSRKPVETQLVPPSQPPATAAAAVSAAAPLAVTTNGSGFQNHSLYPAIAGSTGGGGGTSNISSTFSSPLGSSPQLHMDNAASYASLGSGTAKDLRYNAYGIRTLADEHNQLIAEAIDSSMENQWRLPPSQNSSFPLSSYPQLGALSDLGQNTVSSLSKMDRQPLSFLGNDFGAVDSGKQENQTLRPFFDEWPKARDSWPGLSDDNTNLASFPATQLSISIPMASSDFSVASSQSPNDD >KQL30866 pep chromosome:Setaria_italica_v2.0:I:33856119:33857819:1 gene:SETIT_019168mg transcript:KQL30866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQSSKNHPNPLLPSFLCFPCFSGRADMAMSMAMSKAITARHATHLQHRLVASSSQAAPRLPLLPRRPSLALTVASPPRRLLPASPRSSSSESDLAPTPPSERTMTAWDLASLWVGLVVGVPSYYLAGSLVDLGMSALQGVATVAFANLIVLVTLVLTAAPAVTHGLPFPVLARAAFGVRGAHVPAVIRALVGCGWFGIESWIGGRAIFLLLPSRLKSYQPLLAPVPGLGAAPLEFACFLAFWAAQLGVIMRGMEGIRKLEKFAAPVLFVLTSALLAWAYTSAGGFGRILSLPPRLTGAEFRKVFFPSLTANISFWATVAINIPDFARYARSQADQVLGQAGLPVFMGMFTFAGLAITSATEAIFGHVVSDPIELLGRIGGPVTTFLAIFGIGLATITTNIAANVVAPANALVSMSPRRFTFAKGALVTALLGIAFQPWRLLSSSESFVYTWLLGYSALMGPIGGVILADHYIVRRTALDVDALYSEDSGSPYYFQNGFNVAAMAAMAAGVAPIVPGFLQKVGVLPSVSKAFATAYNNAWFVSFFVAGAVYCLLCGRGGVQAKQHSN >KQL30028 pep chromosome:Setaria_italica_v2.0:I:27591269:27594949:-1 gene:SETIT_016648mg transcript:KQL30028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFNKKPFSLLEPPKDLDSKEKVFQIRFTKEIFRDYQEYLKRLNLYRQRVWTCKMSGKSNLTFEEALVSEHNSMEKAQNLPTGLMAYVLRMTQYSTLGLHDLINKIYACLLEEVFEGIELHAKKDGSAVPCKIMKIFYSDGTKMCEVGWIRRDKTLINTSVVKAADLFYRRAPVSRNTLKIFIRDSTTQTTPWVIHENLAKKYGIPMDPPKDLMHKKGRKRKENGTIEDGRKKMKKDEGDAHVPIKYPIDDLLVRPNADDPALSKRPPLATDFRVPRGCVGDLLMVWDFCSSFGRVLNLSPFPLTDMENAICHKESNVLLVEIHAAMFHLLIKDKGDYFSVLQHKKRKLKVSLVTWAEYLCDFLEMTKIEELSSNIATVRRGYYGLIDTDMKLKILRELVEEAIKTSAIREILIERVDQKQALNATKRESTRKDKEEQNLNTEIAMNNEENQTDAVQDGNESVDEPARGKEEKDKSNVSRSKTEGKRHLVWHLETEIEKLSIRSSPLGKDRHYNRYWFFRREGRLFVESADSREWGYYSTKEELDALMGSLNVKGIRERALKRQLEKYYNKISNTLEKRTKEIVNKMLLEEGVLRRSTRVRAQPKDNPSMAFLKYVNKWKDN >KQL30536 pep chromosome:Setaria_italica_v2.0:I:31530485:31535057:1 gene:SETIT_019326mg transcript:KQL30536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNALAERFNDAVRSMTRIGFQKETVVRVLKKLLKTYDGNWEHIEADNYNALADVIADVISGADDPDAKKEGQKKRSEKKNLDLDHRNKKLKIKEHGQKPKPSIDGSSKRESAEIPRQLKAEAIEGKIIGTQLQKQSSQIVMKEPKVEMSIAETTTIGESSSAFVLKSQEYHTFETPLPVMCPKVLEPSRHNGHEDAHLISGVERAADKKLKGVLVAHEGQMANASSSQAIVRSRDFPTNFEVPLSSSAQGQLLFSFNSSLANGSDFLMPDIESVCKAMEARCLTTYKILEPNFSFMKLLDDTCQCILDLGSGSNEARERSIVQIFPKPSLQSNQNSSSCMPLSRLMRLGGSAAFSGGRQNNSSNMHVIWNQLPTSVKRQYHDVNDITKGEERVCIPIVNGVEEGVLPPPFHYIPHNTTLENAHINLSLARIGDENCCSDCFGDCLAEPLPCACATETGGEFAYTRDGLLKEEFLDACISARREPLKHPHFYCTSCPIERMKMDINSEKPDPCKGHPIKKFIKECWRKCGCTRYCGNRVVQRGISWNLQASEVFLTPGKKGWGLRPAEKLPRGAFVCEYVGEILTNIELYERNNQLTGKAKHTYPVLLNADWGTEGVLKDEEALCLDGTFYGNVARFINHRCFDGNIIDIPVEIETPDHHYYHVAFFTTREVDAFEELTWDYGIDFDDVDHPVKAFKCHCGSEFCRDKSRSSSKEKRI >KQL30310 pep chromosome:Setaria_italica_v2.0:I:29829643:29831492:1 gene:SETIT_019475mg transcript:KQL30310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGSRRAALCLLLVLLLAWHRERAAALTLSTSSRWIVDQAGHRVKLACVNWPSHLEPVVTEGLGRQPVGAIAGMVVSLGFNCVRLTYPIALATNASLSSLTVRQSLLAHGLAETAGGVEVNNPGFLDLTLMESLKAVVNTLGEKDVMVILDNHVSKPGWCCGDDDGNGFFGDRDFDPDVWVDGLASMATIFADVPSVIGMSLRNELRGPRQNTEDWYTYMQRGAEAVHAANPRALVIMGGLSYDYDLSFLAARPVGVSFAAEGKLVFEVHWYSFSDARAWEAGNANEVCARAARDFERRGGFLLARGLPLFLSEFGADLRGGDLRGNRYFPCAAAVAAKHDLDWAYWALQGSYALRQGVAGMDEVYGVLDWSWTKPRNETVLSRIQALQRPIQGPGYGEALPYTVLFHPLTGLCAAASAAAGTLELRPCNETDAWAYAPPSSTLVRRDAAAAGGLLPCLRAEGRGLPARLGTKACGDALSTWRIASDSGMHVAVDAAPELGGGTLCLDVGADGRSIVTNPCACLRGDGTCDPERQWFKLVTSTRRVARTPLPTLA >KQL31589 pep chromosome:Setaria_italica_v2.0:I:38580913:38582067:-1 gene:SETIT_019426mg transcript:KQL31589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSARIAAAASPCGRIPSQCCASETISSPASAPPSLSTTTAASPPARFAAEEYLVATCGLTPAQAVKASKWLAHLKSPAKPDAVLSFLAGVGLAKDDIAAGIARHPKLLCYKILGIGLTPSQISRLISIVPNIFVGPSMISRLQFYISSMGSFDMLHCALQRSPYLLAQNLEQVLERVKETVACAAKLGVLRNTGMFKNALWAVYCVGPESVGAKLDLVKATLGCSEAVLALAVRKAPQILRMSQGKLSRTVKFLNVDAGFKLQYILHRPQILGYSLQRRLMPRHYFINILKAKGLVKENIDFYSAVCVSEKGFVQRFIDPHSKTIPGIADAYATACSGKIPRDIKM >KQL27741 pep chromosome:Setaria_italica_v2.0:I:343207:346471:-1 gene:SETIT_018045mg transcript:KQL27741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAAAAAAAIPLRRPFLLSLKPAHLLSSLAAPSPGLRHPRALRPAGPLPTDAAEDTDDPDAGDGAAVPFRRSRNALKRDARRAVRWGMELAKFPPPQIKRILRAASLETEVFDALMIVKRFGPDVREGKRRQYNYIGSLLRNAQPELMDTLIQASKDGGDSKLDTLLSENALLVEEEEVEDLPDEEEDDEEYMKVADRWFDGLLCKDISVTNEVYAVHNVEFDRQELRKLVRRVHMVQESTQIKDGEEGSSGKLSRAKKPLLRFLRSLAKEACAE >KQL27742 pep chromosome:Setaria_italica_v2.0:I:343212:346471:-1 gene:SETIT_018045mg transcript:KQL27742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAAAAAAAIPLRRPFLLSLKPAHLLSSLAAPSPGLRHPRALRPAGPLPTDAAEDTDDPDAGDGAAVPFRRSRNALKRDARRAVRWGMELAKFPPPQIKRILRAASLETEVFDALMIVKRFGPDVREGKRRQYNYIGSLLRNAQPELMDTLIQASKDGGDSKLDTLLSENALLVEEEEVEDLPDEEEDDEEYMKVADRWFDGLLCKDISVTNEVYAVHNVEFDRQELRKLVRRVHMVQESTQIKDGEEGSSGKLSRAKKPLLRFLRSLAKEACAE >KQL30400 pep chromosome:Setaria_italica_v2.0:I:30288791:30289700:-1 gene:SETIT_018747mg transcript:KQL30400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRRKPIRNTLPGEAHGGSLLSSSAVCCGPLFSCIYGFSGETMRALPFPTCLLHTDDTEPPPPSPLPVRRLRLGRRPHGAGGGCSGRAGARGAGGADPAPSACTSHCGCSGGPS >KQL29743 pep chromosome:Setaria_italica_v2.0:I:24022328:24027875:1 gene:SETIT_017012mg transcript:KQL29743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPCKSPHLVCHVTTNASSARHLGPDTQLRHAGGTLLRQARESELKSRCILSALPATGGGGAEQQASGGEATMEEEQLRALLHDLDALKQRPDDPASIDRMRERVVAMLSPASGAASRSKIKDMSAEVVDSNPYSRLMALQRMGVVENYERIRDYSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDTVELANMNRLFFRPEQVGMTKTDAAVQTLSGINPDVVLESYSLNITTVKGFETFLESLKARSSHGRSTGVDLVLSCVDNYEARMVVNQACNELGQTWMESGVSEDAVSGHIQLLVPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNALKYLLNFGQVSPYLGYNSLKDYFPTMEMRPNPQCSNPACVQRQKEYMDSKPARDAAAKAKMEAEASAANECPVHLDNEWNISVVDDEDTATSSIRSTADVLPEGLVRELPAADLYPEPPAAASSSAIDDDLEELQRQLDALNSS >KQL29951 pep chromosome:Setaria_italica_v2.0:I:26915362:26918389:-1 gene:SETIT_016539mg transcript:KQL29951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSREPPPDPPAPPPARAGDTEARWSIASLQEAAGDYTPRLGREFDSELEAYEFYLNYAWKVGFTVRRECANKSRKSGEISSSKYVCSREGFKSMDKRTNRSKTPQPDTRTGCKACLTVRRNNDSTKYEVYGFEPKHNHPLVVPSCANPLQRKLVDIQSAQANNSSNVTSACEPESRNSVIGDNAVTSREWQRPLRTRRQREIEYGEAAALLNYLQDQSRAGPLFYHAVQLDAEDKIANIFWADVKMITDFSQFGDVVSFDIEFKACINYYEEERELFTSWEVMINKYSLHGNVWLQKVFEEKEKWAGPYMKWTFSAGMKNTQLNERLHSDVGDYLRTDVDITLFMKHLQKVVDDRRYTELEIEFSSKLKLPDFKIRAPILIQASEAYTDMIFQLFQEEYEEFQSAYIVSRDESGPCREYIVAILDKERKHKVYGNPSEQTVLCSCRKFETLGFLCSHALKILDTMDIKYLPHRYILKRWTKYARCLTSQVDDRKVQEDTTLEFSNRYQYLCPVFVRLVARASVCEESYRALEQCSVEMSKKVEGIIRKQTSIDASACEPDTVDIQISLSVSATDNESEHAMNYSSNKRSKKTKKKGHKDKSQTRSCIKKGLQNKKTLQLEQPAVQFFMLDTPTQTVQDSLRVISSLSFIIVVDLHYMSLVVEHCARIYDEKYPCVNPH >KQL32006 pep chromosome:Setaria_italica_v2.0:I:40837171:40839018:-1 gene:SETIT_018663mg transcript:KQL32006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGRGGGSGRRQERQALMVAFALALLMGTAVYFRIWARQSSDPSFTADDREELRRQFEQANLEAMDESAEWRMKYDKEFEKTRQLQDELSKVKATLAGTARRLELLQKDNEMWKRQTESLKQKCNCTIPLKTMQD >KQL31493 pep chromosome:Setaria_italica_v2.0:I:37915890:37919426:-1 gene:SETIT_017408mg transcript:KQL31493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATATPGLHAAVRRLTLAAPAAAAQVRCVVRLPQRRPGRSASLRAVASAAAAEAAPPAKDGAEELGFQEMTSGTRRRYYMLGGKGGVGKTSCAASLAVRFANDGHPTLVVSTDPAHSLSDSFAQDLTGGSLVQVEGPDAPLFALEINPEKAREEFRTASQKNGGTGVKDFMDSMGLGVLVEQLGELKLGELLDTPPPGLDEAIAISKVMQFLEAQEYSMFSRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRSKIASATSAIKSVFGQEVQQQDAANKLEQLRERMVKVRELFRDTESTEFIIVTIPTVMAISESSRLHSSLQKESVPVRRLIVNQVLPPSTSDCKFCAIKRKDQTRALDMIRSDPELMGLNIIQAPLVDMEIRGVPALKFLGDIVWK >KQL31615 pep chromosome:Setaria_italica_v2.0:I:38860539:38861747:-1 gene:SETIT_019340mg transcript:KQL31615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELITPPPQEFLVGSSAAGPYTPKSAIMNPFAAASGDDSAAGNPFLATAVTAPPSPNPFDHLPPGASDADPFDLFQHFTSAPASPARAAAIYAQFDSVDDGGAGGKFDGDAAFQPRVSYSTVASTVPFGWEEKPGKPKPEFAVAAAATVEGAEVDDADFDFGVLLDKAAQALELTTADELFDEGKIRPLKPPPRLLEGGSVGSSPRSARSVMWSPRLRRSVVRPGGDDFDPFAAALAKAANAPSPLGAGSKDDSTGVEPATSPENPADSATTATSTTPPTTSNGRRKKWRLSDLLLFRRVAGKGRAAGNISRDPVFKYPPVQQLGTPAKTASAGPAAAEGDVSSGRHRKQSKKSAPEGGMPMPHRQSMMGCVRLHPGLHRLAKGFHGHSVHLGGRGTARSAMK >KQL30566 pep chromosome:Setaria_italica_v2.0:I:31760798:31761280:-1 gene:SETIT_020578mg transcript:KQL30566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAHPLTTSNPCCQYWSRHLMSHDLMQCSTEVAVYSQSVVASWQQISTSP >KQL29900 pep chromosome:Setaria_italica_v2.0:I:26144829:26147689:-1 gene:SETIT_017834mg transcript:KQL29900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLAAPPAAPPPERVRLLVAAPPSRARPPGRGLHCAVRGTAGGGPGAGCGRRQLVLQRCSPAGESRVAGDGGVSSFCIIEGPETIEDFVQMQSHEIQNNIKSRRNKIFLLMEEVRRLRVQQRIRTSESKGPSSEENEMPEIPSTIPFMPHASAKTMKQLYMTSFSVISGIIIFGGLIAPVLELKLGLGGTSYEDFIRTLHLPLQLSQVDPIVASFSGGAVGVISALMLVEVRNVRQQEKKRCTYCHGTGYLPCARCSASGMLLSTKPFSLSGNNMRSMNGRCQSCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD >KQL28462 pep chromosome:Setaria_italica_v2.0:I:6051833:6055609:-1 gene:SETIT_019743mg transcript:KQL28462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKGKRSGPLTSSSPKGRKREFPIRAADYDLLEPIGDGATAVVRRARCRPLGGEVVAVKIMNMAHRTESDVNNASEEVKTMIMIDHPNLLSAYCSFTEGEALWIVMPYMAGGSCYHLMKSSYPKGFEDENFIAFVLRETLKGLEYLHENGHIHRDVKAGNILLDQEQGVKLSDFGVTASLYDSIINRHGKRKTLVGTPCWMAPEVMEQKDYDFKADIWSFGITALELAIGHAPFSSQPPAKVFLMTLQHAPPSLHNTKEKKFTDSFKSMIATCLIKDPTKRPSAKKLLKHPFFRKAKAEHNAVKCILNKLPSLAERMQTIKENEAKLQAEKPHDKCKEKASQEEYRRGVSEWNFDIADLKAQAALYPDENEAEDFLRFLFELDIVDESTQLQDLRTRNGPTNDEKMNVGDDGSGKSNSTSPMSLSQSVKQQGSPNVSNCKDVDEYLEKTAFQKGRFKLEGATQREKELLERINSLEKMLLATQDEVERLKAK >KQL30449 pep chromosome:Setaria_italica_v2.0:I:30619009:30619489:-1 gene:SETIT_020319mg transcript:KQL30449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYRIVKESCIVCMQKYKSQEYYLILRVTVLG >KQL31405 pep chromosome:Setaria_italica_v2.0:I:37308155:37308656:-1 gene:SETIT_018908mg transcript:KQL31405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQHKQLSILYTLLASLLLPKTKREGTRLKICAKRQYGVSIKGSVKLRDGSLSNLLLGAIISSYDIISDSVKHKEFN >KQL31320 pep chromosome:Setaria_italica_v2.0:I:36881568:36881876:-1 gene:SETIT_019591mg transcript:KQL31320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIITSRATLLVLAALVLCSATTESMPPSPSPTPTPSPNWWCRRVIEPFGPCDHASCKVLCSRKYDGDGVCIGKPEGCQCTYCPRRPPPAGDDGPAASPTATL >KQL29538 pep chromosome:Setaria_italica_v2.0:I:20280196:20290051:-1 gene:SETIT_016856mg transcript:KQL29538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDAEMAPPIASRASAAEAAADDWAARDDFEEKPAESHSPAPAAAAAEDDAGEAVSAPPAQDVNGIQSSLQSLELQTSDDGVQMETEEEQNRKRHLNVVFIGHVDAGKSTAGGQILFLSGQVDERTIQKYEKEAKDKSRESWYMAYIMDTNEEERAKGKTVEVGRAYFETEATRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVSKLVVVINKMDDPTVGWSKERYDEIEGRMIPFLKSSGYNVKKDVHFLPISGLLGTNMKTRMDKSICSWWNGPCLFEVLDCIEVPLRDPKGPVRVPIINKYKEMGTVVMGKIESGTIREGDNLLVMPNKVNVKVLTIHCDEDKVRKAAPGENVRLKLSGIEEEDITPGFVLSSITNPVSAVSEFNAQLQILELLDNAIFTAGYKAVLHIHSVVEECEIVELIEEIDLKRKKETDPKKKKPKRKPLFVKNGAVVVCCIQVNNLICIENFSDFPQLGRFTLRTEGKTVAVGKVVAVPPSGSSTFKA >KQL30246 pep chromosome:Setaria_italica_v2.0:I:29327907:29332516:-1 gene:SETIT_020213mg transcript:KQL30246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFFGVLVSDPGLQSQFTQVQLRTLKTKFVAAKRPDADHVMIKDLPPVMEKLRGIHEVLSEEEVSRFLSETYPDMNQPIEFEPFLKEYLNLQAKGTAKNGGKKKLKGSVSFLKASTTTLLHVINESEKTSYVNHINNFLGEDAFLKNFLPLDPASNELFNLVRDGVLLCKLINVAVPGTIDERAINTKKELNPWERNENHTLGLNSAKAIGCTVVNIGTQDLIEARPHLVLGLLSQIIKIQLLANLNLKKTPQLAEIVADDNGKEVEELVTLAPDKMLLKWMNFHLKKAGYKKTVTNFSTDVKDGEAYAYLLSTLAPEHSSKTMIETSDPKERAKKVLETAEKLDCTRYVTSKDIVEGSANLNLAFVAQIFQNRNGLSTNTVAPVEDTPDDVEASREERAFRLWINSLGIPTYVNNLFEDVRTGWVLLEVLDKISPGSVNWKHASKPPIIMPFRKVENCNQVIKIGKELNFSLVNVAGNDIVQGNKKLILAFLWQLMRTSILQLLRNLRSHSKEKEITDADILIWANNKVKESGKTSRIESFKDKSISDGVFFLELLSAVQSRVVDWNMVKKGEDEEEKKLNATYIISVARKLGCTVFLLPEDIMEVNPKMILTLTASIMYWSLQKQGPYQSPGPQDALLEEEEGEEEEEEEEEEEEDFEGGIEDGVSNLTT >KQL29982 pep chromosome:Setaria_italica_v2.0:I:27262493:27267982:-1 gene:SETIT_016260mg transcript:KQL29982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPAAGAGKGKRKRPLSEDDVYLLLHRYAPGTILTALQEVAQHAEGGRRIDWKAVVGKSATGITSAREYQMLWRHFAYNHDLDETVDAGDQPLGDDSDLELEPEPVPNPSKEALSEASALAKALISGSSREQASGQRVNLDAPVLNSPNEKIVRVPSEKQLAQGHRITNVTGPVSNSKQASHLGPSPGSLDPNGPSKKKKKPKAWSKEEDADLAAGVQKFGEGNWDYISNKCKFDRTPDQLSQRWALICKRPGGSTKPASTKHGTVASSEERKDALKALSMAVGPIRRSSMLRPGAYQQGIQHKSAVFAPKIPEVRPAAAPSPALALPVPVPVPVPVPVPLPVKVQVNSPLPQGQQAPVQRAPPKLANASNKARKKQPAQPNPPVGPSSIKEAAFAAGGRLAPAEAAADFLKVTQNIRSQVTGSSKSSAGPKAPSVVVEPGTQPGSTQHLEPLSTSAHKSGPSVLTTHATEQGHGASEVAVVNPPGPSDGAHSLETKKALSTTPVPGSCDIEEKEDDSTFCVITIDDLFPEDAMQPETVDLKAKQPEIVDQKANQQVEIVSQRAKQPETVDPKAKQPETADPKAKQPENADPKAKQPDALNPKVEMVDPKDKDMLEFDQFVASQGGVNTDHLDKSKTGGSASQAQGLAGSQKKQVKLMPTVGKGNPVSAAAPATVKRIKTPVPHLVNPVPAGTPRGIVGTVNANAPNKTLVRKAATPAPAGVQAPPLKKHAVNIKGNQAMQPSTAVAGSGVPASNQASVAVNGASKANPPPSSAQASAVVNIGSKANPPSSGQASMVVNVGGKANPPSSGQASVVVNVGSKANPPSSGQASAAVNGANRVANPQSSRQASVVVNGANRAANPQSSSQVSVAVNGSNSAANVPSSSQAGAAASGAANKSNPPGAPKQ >KQL30039 pep chromosome:Setaria_italica_v2.0:I:27676971:27682370:-1 gene:SETIT_016530mg transcript:KQL30039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASATAAGRDAAGTPEAAGTATRRDKRRERKKERRRRARREAAARARVAVEADAPAADPEEELRLLDQEEAEAAAESERARRAFEDAERRWLEAAAARAAEKAAAAAAAAEEARAAEASAPEKSKDDHENESEEDGEWEYIEDGPAEIIWQGNEIIVKKKKVKVPKGIKEKPPIQEDKAHCPFHLKTGACRFGVRCSRVHFYPDKSSTLLMKNMYNGPGLALEQDEGLEFTDEEIEQSYEEFYEDVHTEFLKFGELANFKVCRNGSFHLRGNVYVHYKSLDSALLAYSSMNGRYFAGKQITCEFVAVTRWKASICGEYMRSRYKTCSHGVACNFIHCFRNPGGDYEWADWDNPPPRYWIRKMVALFGPAADATHDKASDTRDFERLQHSNRKRLKSSSDRYVPRRSMDEDVHTRYSSQDYSHSKQERSSHSMNYEYRQHRRGSSATDRHHGQDTKTNGRQFSTKENESQVHKHKHEERRRSDHSDGGKYDKISSRKHQSDQRGSCEPGSSDWPSDLTDTDASKGPSGGKSSSKYDDPKKSMRKSSEAHNLERRYTAHKSAGKEHSTRRGSERGTEDDYYDEKDDGRVKSRKHKDVHNYSDDRWVATYSDGDSDVDRYQRSSSEGTKFGGKGDAHSDAEAQHQRSSSRTKDDKRRRKSHSGNKRRSTTEEDTRDSDTRGLSSDSCSR >KQL28813 pep chromosome:Setaria_italica_v2.0:I:8261606:8261653:-1 gene:SETIT_019146mg transcript:KQL28813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LMESKKVTKRRHRGDT >KQL29406 pep chromosome:Setaria_italica_v2.0:I:15153625:15154986:1 gene:SETIT_017838mg transcript:KQL29406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSECKEMFTDNKKLFKFFSNRWSKPPPLPFLPHVSDLPPLPAPEPERHRVPAVHVIWHHSRECGVPVVAAHHPELILTAVPSTVSHEPHAPSPITGHRGGNAPRARGGVIPLGAVGAAAVGGKRGVSGAATGDDDPVATGRGGTGEAPARDLHVGEALPVVSGVGEVEPVCGGGWGGVPQGVAAGVEARPAEDVEVAADGESREVGEAVELRGAGERREGPPGGGGGGVEQDRRGEGGVGGEEAEEVPAREAEAAAEREQRGRGEEQAPRAGGGAEAGLVGESEQAEDDGRDVVREPRDQRCRRRRRRRTPTQS >KQL29082 pep chromosome:Setaria_italica_v2.0:I:10222341:10224854:-1 gene:SETIT_018204mg transcript:KQL29082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEAKAAVVPESVLRKRKREEQWAADKKEKALADRKKALESRKTIFARAKQYAQEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGNHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >KQL32230 pep chromosome:Setaria_italica_v2.0:I:41867989:41869794:1 gene:SETIT_017256mg transcript:KQL32230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQQGFCPFDLKTHRKDLPSLLAAARPFLRDELEKIDPELPSFLSILRSAGAGERHHKNGAFLAHLLNFHRIIQLWGAPLDITRCGLFHSSYANSYVNLSIFESNTTREHVQQLIGAPVERLVFLFCAVPRHKLIHEELHFRYTDAELTDHLAASDLSIKTARETGTFDTSEAWRKKLCSLLPPKGIEASHFKTGETISLSRRIIALFILMTIADICDQYIDYQDKLYANENGRLEFRGDNWGALWPGTCKPGLWMNAASRLAVLYNLILREEELYMQERNKMGETIRLDRDEEIKLVIPPVFNYCTKVLDPNEQIAARDLYWEAICSDDRKDRDWEKVEKVLLESIKKNPFVGEPHLALTQVYLNMERYEEAKKEAEEGLKLLLEWGISWDKRMTWEVWVSWGRVMLDKAKENEWPHSAAGITNLGLVK >KQL29965 pep chromosome:Setaria_italica_v2.0:I:27091704:27092752:1 gene:SETIT_019745mg transcript:KQL29965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSESSTTIIRGRGKNKRKWTVAEDDELVKALYEISLDPRWKGEGGFKNGYCSVLETHLAEKLPNCGISAVPHIESRVRHFRTKFGALEVMLNKSGFNWDENRKMLQCEKTQYEAHCKYHPEAKGLYGVAFPYYDSLAAVYGSDIATGEGAEGLSEAVENIEKELAVEGGNHQEEEDRMSRETPRRSTDSASSSLKRRKTDRKGKDHVSATSSDPILDMLHEVQGDLKGVSTNIGKMAAVMEREVAVQEKNSKEDHQQKLREKATAELRKLGFTGSEQVKAASVFVRIPDQMSMLLTLDETLRREFILNMLGM >KQL31527 pep chromosome:Setaria_italica_v2.0:I:38211334:38211941:-1 gene:SETIT_019161mg transcript:KQL31527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSTMKVKILQWHAVASWTWDEQDETCGICRMAFDGLLP >KQL32266 pep chromosome:Setaria_italica_v2.0:I:42002926:42005247:1 gene:SETIT_020251mg transcript:KQL32266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRRLPLPRRHRHRHPRPHPAPRHRLHRLRHLPVPPPPRRPPLQGPAPQLRRGRRHRRAPRPRRPLPTPSPSTSLRACPSAPASAPPRPPPQPPPKAVDALFGSRLSRDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFHLVPLASPPALRLHFVLVTPDFEAPTSKMRAALPKDVLVQHHVRNSSQAAALVAAVLQGDAGLIGSAMSSDAIVEPTRAPLIPGMAAVKAAALQAGALGCTISGAGPTAVAVIDGEDKGEEVARRMVDAFWSAGNLKATATVAQLDRLGARVISTAALH >KQL32267 pep chromosome:Setaria_italica_v2.0:I:42002926:42004244:1 gene:SETIT_020251mg transcript:KQL32267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRRLPLPRRHRHRHPRPHPAPRHRLHRLRHLPVPPPPRRPPLQGPAPQLRRGRRHRRAPRPRRPLPTPSPSTSLRACPSAPASAPPRPPPQPPPKAVDALFGSRLSRDDLVLAGLESEKAVSGFHADNIAPAILGGFVLVRSYDPFHLVPLASPPALRLHFVLVTPDFEAPTSKMRAALPKDVLVQHHVRNSSQAAALVAAVLQGDAGLIGSAMSSDAIVEPTRAPLIPGMAAVKAAALQAGALGCTISGAGPTAVAVIDGEDKGEEVARRMVDAFWSAGNLKATATVAQLDRLGARVISTAALH >KQL28819 pep chromosome:Setaria_italica_v2.0:I:8271779:8272277:1 gene:SETIT_018969mg transcript:KQL28819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIHACCLKSTKPKTEEETVSAKSASSLTTMVDIFLPKIVCTDGTSLLNLQRKNGQPNKLQTV >KQL28730 pep chromosome:Setaria_italica_v2.0:I:7786830:7788224:1 gene:SETIT_019086mg transcript:KQL28730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKLEIPAKTAMEPSRSLPRSASELADPPSPFSSNPAHHPVSMPTTPAGASSSASASFGCIAARPATDSPPSTPSRARSSKPTTPAAAAAAYYASLWSPRRLMQRAARAFRSSRSRRVRADKDAGEERASSPTSRVSDEARAASVVGAGGATEIAGGDGHGDGAGIVQQQQEEEERHDHPEVVPEKIIHEMNHHAPPVFVTEEDGECGAKTTPAEEKETTPAAAAATVEEDVESPKKGAALMTLEPAPEAAVTTAEEVADKFVTVVKKAIRKHEEEQGEKKGAAGKFQLGSRVKTAMEARPESEQPQRREVARSNDVIEEARSKLLEKRQCSRVRALVGAFETVMEAAAAGTPRNGTPRHGSCKSA >KQL27870 pep chromosome:Setaria_italica_v2.0:I:1345238:1346666:1 gene:SETIT_018158mg transcript:KQL27870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYRRPSASAPLPAPSAAVRCPTTPAPSTTSLPALAPPHSASHPTAPASPYSTSRPTAPAPPSTAQRRRLPTPALPPFAASHGGQEGQLSCGRGSAKLLPREPSLRGEAHGAARCHDSCRVERRRASSEGKRKRSMDGVKVTYIETRFVTSDAAGFKDLVQRLTGRSPTAAGAAAAPHRPGACRATTTDCGRSATGTQGCYYHASASSSSPAANEARVGGGRLAPPFLDETYGVGDFAGLLYGSASQNAQWGC >KQL29517 pep chromosome:Setaria_italica_v2.0:I:19141585:19144333:1 gene:SETIT_016308mg transcript:KQL29517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTASAKEEQAAASASAAPAPAMGGEEAAARAAQKRYEALLTVRAKAVKGKGAWYWAHLEPVLVPPADTGMPPKAVKLRCALCSAVFSASNPSRTASEHLKRGTCPNFAAPPPGPAAASGSQQSPTPTPAQHQQLALPSNSTASSPIPISYIAPSSPRHQHHQHHHHSGSRKRHSMPPAYTPADPRQHLVVVDPSSAYSPALPALPAPPPPHQSALVLSGGKEDLGALAMLEDSVKRLKSPKASPAAMMPKAQADAALGLLADWFLESSAGVSLSSASHPKLRAFLRHVGLPDLQRADLAGPRLDARFAEARADATARVRDALFFQFAADGWREQVVTLSVNLPNGTSVFHRAVPVPAVAPSDYAEELMLDAVASVSSSGSSNDLHRCAGIVSDRFKSKALRDLENKHHWMVNLSCQIHGFTRLVRDFARELPLFRSAAAKSAKLAAYFNAKQTVRSLLQKYQIQELGHASLLRVAHVPFNGNGSDFRAAFEMLEDILNSAHPLHRAVQEDSYKLVCIDDSVAREMGEMVHNEAFWIEVDAVHSLVKLIMDMVKEMEGDRPLVGQCLPLWEELRSKVRDWCEKFNVDEGIALNVVEKRFRKNYHPAWSAAFILDPLYLVKDASGRYLPPFKCLAPDQEKDVDRLITRMVSQEEAHLALMELMKWRSDGLDPLYAQAVQVRQPDPSTGKMKVANKQSSRLVWETCLSEFKSLGKVAVRLIFLHATSRGFRCTPSMVRWLSSPGSLASSTNRAHRLVFVAANSKLERRDFSSDEDKDAELLAEGADDFANEPGNVEPSSVFWWGGELGMRWRYALSFDHQVWLANDCLFCHI >KQL30452 pep chromosome:Setaria_italica_v2.0:I:30636572:30638922:1 gene:SETIT_016550mg transcript:KQL30452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAFLYSSRLPIPIWLAISVLVAFLLPVVLTQDPNLCATKANGRYACPSCSTSTSSRGAAFEANLLRLRDSLRDMAAANASFLNATFGAGGDGGDTVYGLATCLADVEMPSCAACLAGAAAEVAGTRCGSRRDMVLWYPQCLVRYDNTSFFGAADTSADRRLSVPNPNNFSDPAALGEARERLGGRMLAAAAGSPVRLAFGDERVTANTTLHGLAQCTVDLTAEECTRCLATHMVWLGVCCADMDGVRLIGPSCYLRYELFAFEPATTPSMAPLAEPPPPSAPGAPSGTGTGSSSSSKKKTRSYVLAGALGGVLVLLLGACLITTWWYKKKKGSSGRKRMESLLQQHQQYPRRYSYAQLKRMTGSFAHKLGQGGNGEVYKGSLPDGREVAVKMLKEAKVDGEEFINEVASISRTSHVNVVTLLGFCLEGSGPRSKRGLVYEYMPNGSLERYTAGGDLGWEQLLGIAVGIARGLEYLHRGCNAHIVHFDIKPHNILLDAELRPKISDFGLAKLRPQRDSTIPVSIAGARGTVGYIAPEVFARQVGLGAVTSKSDVYSYGMMVLEMVGARRTTVNNGVGSVTTGASSGSSSYFPECLYQDLDGFCASACGPDEKVGTAEVVRKMVIVGVWCIRMSPSDRPSMSRVVEMLEKTTPELELPPEAGAAEAAGSTGAAASS >KQL28933 pep chromosome:Setaria_italica_v2.0:I:9009712:9014080:1 gene:SETIT_017546mg transcript:KQL28933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTEASPAAADDAQPAPETTTMPASSQPAPAAPTAASAAPAAVAGRGEGKRKRGRPRKYGPDGSLLRPLNATPISASVPDDAGGAQYTPAAAVGAVMKRGRGRPVGFVSRATPISVAVTAAAPTAAVVVSAPAPQTLFGPLGELVACASGANFTPHIINVAAGEDVNMKVISFSQQGPRAICILSANGVIANVTLRQQDSLGGTVTYEGRFELLSLSGSFTPTDSGGTRNRSGGMSVSLAAADGRVIGGGVAGLLVAASPVQVVVGSFLPSYQLDQNASKKPVIEITAAPPQQPPPVTVGFTISSGDMEDSYSGSQHPRSGAAKGSSTTAVFKVENWTAPAQQQQQQASPEQARKTPPPPPASEAKVPVPGG >KQL28486 pep chromosome:Setaria_italica_v2.0:I:6205484:6206554:1 gene:SETIT_019764mg transcript:KQL28486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASTAAIPTANTNGNHALSLDSHSSQDVRRRTVVVAKKKASPELLAEGGVNGISEDKIASKKDLSHTIRGESVLDKPKYSSEARKDAVASAAAERRKKISTKQEKAKWVTALSVLVKLCLLISAITWMGQLVWRWQNGELSFTTLDMESRLSKVEGFKKTTKMLQVQLDILDKKLGNEIDKTKRDITKQFETRGNELEKKMKALEDKTGKLDKSIAELRDMGFLSKKEFEEILSQLKEKKGLGGTDDDITLEDIRLYAKEIVEIEIARHSADGLGMVDYALGSGGGKVGSSGFVDVKLRTGIIPEAVTLEHVDK >KQL28002 pep chromosome:Setaria_italica_v2.0:I:2430336:2431493:1 gene:SETIT_018222mg transcript:KQL28002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGELSSPASSGGTFSLPQSPSEAEPRHGRRGGKKRARDGGQKHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEIAARAHDAAALVVKGPAAVLNFPEMAMALPRPASAAPRDVQAAAARAAAMEPAPTPGASAPAVAALPTSPQVPVAGQLEEDELEAIVELPPLDEDAAELVSLGAASFSFHDAAQGSWCVPEWIDDGAGYMAAAYDGLFGLGPDGDHGWTQSVGALLWNL >KQL30436 pep chromosome:Setaria_italica_v2.0:I:30573262:30573736:1 gene:SETIT_020284mg transcript:KQL30436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAIWCNKVSRLMYFLVVCLPSSYCTLAWTLW >KQL28547 pep chromosome:Setaria_italica_v2.0:I:6584936:6588086:-1 gene:SETIT_019589mg transcript:KQL28547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRRRCGSEGDGEGAGNGPNLSDGSRAGMARNRRLILGFMYGYYDEALDALPLERMPALASRLLEAGVCFGFGDPVTNIMANTLSCAPDDVDGEPAPAPDGARKRKRKKASWDARAREEILPKIAAGDAPSPPEARTIAERSLEGLVTFLTSYFRYLPTWDALRYLCLSRADLLVAVHLIELHRCHRREDKFSIDSHAVKTALKCAALSARLPNVDAFLTGSSALVSCLDDMPAEIFGRRLSVPESVRAILLDRIHAVYLKAITQIPIEDFRSRYHRGFLKAGYCYGPFSPLFNIIVNTIWCDTAFPAPQALELDMICTRILIRVESRDLELSRAIQMSGKDGYETSSWDAAAYTSAADASSHAEREAYLHFVMESLPVVESAVMELLTTQILSSNKILQPSMLLSSSSSHPSKSLEPTDDYKEIFFSQQNCVRKKVGATLLNCEQTKEQYELRFICTVNESVGTKKFRDLKYPYSHVNFLASPKDKPCLTLFFAQVSNHDEDSEHHRSFCQPKSVAGTRIVHPVESYCGGFMDFEKMATGRHPVTNARIISHVGS >KQL29575 pep chromosome:Setaria_italica_v2.0:I:21408837:21409203:1 gene:SETIT_019509mg transcript:KQL29575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVKRMLDVLHCRVEDILKSWAAYLTITSGNTVFGEQMNSITVMLRKKYKNYLKVIVDKLVSNAQANRRLKRILEETREADGESDNERECRD >KQL29599 pep chromosome:Setaria_italica_v2.0:I:21728407:21728929:1 gene:SETIT_019015mg transcript:KQL29599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAHVSRSQSEAQSGVKEKMTRKVYLCLCLQKTNEKKQQTAQGICKSQPAMAECI >KQL28299 pep chromosome:Setaria_italica_v2.0:I:4853904:4858139:1 gene:SETIT_017573mg transcript:KQL28299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVNLGLVHYVLDHIYGTLLHRTKLGTPFFSKGWGGTKLDLLEKMVKQLFPEARCQNWPPTAVQPMWKTVWETNSSCLREGVFRTTCDERLIDALPPESHNARVAFLTPKNVTPEKMSCVVHLAGTGDHTFERRLRLGGPLLKNNIATMVLESPYYGQRRPSMQRGAKLQCVSDLLLLGKATIDEARSLLYWLQTEAGYGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLAPHSAVVPFCEGVYKYATAWDVLREDAAALTQDVTSLTEDAAQKTGVTIEQVRDRLRSVLSLTDVTRFPVPKNPQAVIFVGATDDGYIPRHSVMELQKAWPGSEVRWVTGGHVSSFFLHNDAFRKAIVDALNRL >KQL28444 pep chromosome:Setaria_italica_v2.0:I:5918885:5919624:1 gene:SETIT_018873mg transcript:KQL28444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRCAWWMPLRSADLMGKRVESSTIRNICPAVSNFQTRGFTVEHCFSGSETYHGCSSSDVRAIYSLVYYCRAEMLDGIMATLLT >KQL30884 pep chromosome:Setaria_italica_v2.0:I:34032456:34033006:1 gene:SETIT_020317mg transcript:KQL30884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCKPLPGTASSGGQQAVSSLAAAGATFEGRQRGHVAGDEPRARASAKAEQAWPEPPSVRKSEKKGRGD >KQL31619 pep chromosome:Setaria_italica_v2.0:I:38865082:38871398:1 gene:SETIT_016164mg transcript:KQL31619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVALLRTSGRRLLHRCRGGRPAVVPAAASSSLARRTLPSSFPSRGYSALPGGGARFLAAAAPLHCSGRYWPAATPRLARRLSAPAVSTSPSPVPYDTDDVHEYAAKLGFEKVSEQIIDECKSTAVLYKHKKTGAEVMSVANDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSKKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELDNPEEEITYKGVVFNEMKGVYSQPDNIMGRVSQQALSPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRVLSEYLDQFEASPAPNESKVWPQRLFKEPVRVIEKYPAGQEGDLTKKYMVCTNWLLSEEPLDVETELALGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIQKVEELVMQTLKNLAEEGFASEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIAKWIYDMDPFEPLKYEQPLQQLKARIAEEGSKAVFSPLIEKFILNNTHRVTVEMQPDPEKASRDEAAEKEILKQVKASMTQEDLAELARATKELKEKQETPDPPEALKAVPCLSLQDIPKKPIHVPIEVGEINGVKVLQHDLFTNDVIYSEVVFDMGSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSPVRGKEDPLTRIIVRGKAMATRVEDLFNLMYTILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWISEQMGGVSYLEYLRDLETKIDQDWDSISSSLEEMRKSIFSKNGCLINLTSDGKNLEKSSQHIAKFLDSLPSSPSLGSDPWLSRLPYVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDALAKAIIGTIGDVDAYQLPDAKGYSRYVNLCLCCVLSYSTNQPIIDDIPYWWF >KQL31617 pep chromosome:Setaria_italica_v2.0:I:38864971:38872472:1 gene:SETIT_016164mg transcript:KQL31617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVALLRTSGRRLLHRCRGGRPAVVPAAASSSLARRTLPSSFPSRGYSALPGGGARFLAAAAPLHCSGRYWPAATPRLARRLSAPAVSTSPSPVPYDTDDVHEYAAKLGFEKVSEQIIDECKSTAVLYKHKKTGAEVMSVANDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSKKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELDNPEEEITYKGVVFNEMKGVYSQPDNIMGRVSQQALSPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRVLSEYLDQFEASPAPNESKVWPQRLFKEPVRVIEKYPAGQEGDLTKKYMVCTNWLLSEEPLDVETELALGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIQKVEELVMQTLKNLAEEGFASEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIAKWIYDMDPFEPLKYEQPLQQLKARIAEEGSKAVFSPLIEKFILNNTHRVTVEMQPDPEKASRDEAAEKEILKQVKASMTQEDLAELARATKELKEKQETPDPPEALKAVPCLSLQDIPKKPIHVPIEVGEINGVKVLQHDLFTNDVIYSEVVFDMGSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSPVRGKEDPLTRIIVRGKAMATRVEDLFNLMYTILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWISEQMGGVSYLEYLRDLETKIDQDWDSISSSLEEMRKSIFSKNGCLINLTSDGKNLEKSSQHIAKFLDSLPSSPSLGSDPWLSRLPYVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDALAKAIIGTIGDVDAYQLPDAKGYSSAIFTGHHGRGTSTKT >KQL31616 pep chromosome:Setaria_italica_v2.0:I:38864971:38870307:1 gene:SETIT_016164mg transcript:KQL31616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVALLRTSGRRLLHRCRGGRPAVVPAAASSSLARRTLPSSFPSRGYSALPGGGARFLAAAAPLHCSGRYWPAATPRLARRLSAPAVSTSPSPVPYDTDDVHEYAAKLGFEKVSEQIIDECKSTAVLYKHKKTGAEVMSVANDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSKKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELDNPEEEITYKGVVFNEMKGVYSQPDNIMGRVSQQALSPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRVLSEYLDQFEASPAPNESKVWPQRLFKEPVRVIEKYPAGQEGDLTKKYMVCTNWLLSEEPLDVETELALGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIQKVEELVMQTLKNLAEEGFASEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIAKWIYDMDPFEPLKYEQPLQQLKARIAEEGSKAVFSPLIEKFILNNTHRVTVEMQPDPEKASRDEAAEKEILKQVKASMTQEDLAELARATKELKEKQETPDPPEALKAVPCLSLQDIPKKPIHVPIEVGEINGVKVLQHDLFTNDVIYSEVVFDMGSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSPVRGKEDPLTRIIVRGKAMATRVEDLFNLMYTILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWISEQMGGVR >KQL31618 pep chromosome:Setaria_italica_v2.0:I:38864971:38872472:1 gene:SETIT_016164mg transcript:KQL31618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVALLRTSGRRLLHRCRGGRPAVVPAAASSSLARRTLPSSFPSRGYSALPGGGARFLAAAAPLHCSGRYWPAATPRLARRLSAPAVSTSPSPVPYDTDDVHEYAAKLGFEKVSEQIIDECKSTAVLYKHKKTGAEVMSVANDDENKVFGIVFRTPPKNSTGIPHILEHSVLCGSKKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELDNPEEEITYKGVVFNEMKGVYSQPDNIMGRVSQQALSPENTYGVDSGGDPNEIPKLTFEEFKEFHSKYYHPSNARIWFYGDDDPKERLRVLSEYLDQFEASPAPNESKVWPQRLFKEPVRVIEKYPAGQEGDLTKKYMVCTNWLLSEEPLDVETELALGFLDHLLLGTPASPLRRILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEDNIQKVEELVMQTLKNLAEEGFASEAVEASMNTIEFALRENNTGSFPRGLSLMLRSIAKWIYDMDPFEPLKYEQPLQQLKARIAEEGSKAVFSPLIEKFILNNTHRVTVEMQPDPEKASRDEAAEKEILKQVKASMTQEDLAELARATKELKEKQETPDPPEALKAVPCLSLQDIPKKPIHVPIEVGEINGVKVLQHDLFTNDVIYSEVVFDMGSMKKEHLQLLPLFCQSLLEMGTKDMDFVQLNQLIGRKTGGISVYPFTSPVRGKEDPLTRIIVRGKAMATRVEDLFNLMYTILQDVQFTEQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNAAGWISEQMGGVSYLEYLRDLETKIDQDWDSISSSLEEMRKSIFSKNGCLINLTSDGKNLEKSSQHIAKFLDSLPSSPSLGSDPWLSRLPYVNEAIVVPTQVNYVGKAGNLYQSGYQLNGSAYVISKHISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSYLSYRDPNLLKTLEVYDETAKFLRELEMDDDALAKAIIGTIGDVDAYQLPDAKGYSSLVRYLLGITDEERQQRREEILSTNLKDFREFADAVESIKDNGVVVAVASPNDVEAANKEKQVFPEVKKCL >KQL30272 pep chromosome:Setaria_italica_v2.0:I:29566268:29569606:1 gene:SETIT_016769mg transcript:KQL30272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSSPFFSIARAHAGAGGRAAAAALLLRQPVAQLPTNIHSLRCFPSARVTHAKTLRSHLNLPRATLSSFADADDGSKTKPDEAEAGEEQNGECEMSEMAKAFHISPRMAMSISMTTAFAALTVPLAMRSLVCRGTFKMSVLAYLTLLSGFYMAWNIGANDVANAMGTSVGSGALTLRQAVLTAAVLEFSGAFLMGTHVTSTMQKGILVASVFQGKDSLLFAGLLSSLAAAGTWLQVASSYGWPVSTTHCIVGAMVGFGLVYGGVNAVFWSSLARVSSSWVISPLMGAAVSFLVYKCIRRFVYSAPNPGQAAAAAAPIAVFTGVTAISFAAFPLSKVFPIALLQALSCGAIGAIIVTRVIQKQLGELLSSEAEKIASADKSNVQQVGFLSDIAGPTGAQLQIVYGVFGYLQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGVASSAEIVIPTEVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLFASKLGLPISATHTLVGAVMGVGFSRGLNRVRVETVREIVVSWLVTIPAGAVLCVLYTTILTKILAYFM >KQL29805 pep chromosome:Setaria_italica_v2.0:I:24801005:24801265:1 gene:SETIT_019231mg transcript:KQL29805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWPSLQIWDSFKHGYLQKLELNLVHMKRAQRQGQGQKGEGQDDQDRQAGDGKAPLLQDCSPLGSMLAGVLELAWDVVLLLLTWDT >KQL31603 pep chromosome:Setaria_italica_v2.0:I:38813254:38823258:1 gene:SETIT_016132mg transcript:KQL31603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLDRDALKWVREGQGAAALHSHDRMDALRAVRGAAGRGGLGMPPPEKFRSGHMPRGAGVPLPRSSLRSDDGSAASGSDMDESSDNEEIEVCSGRYSVDSSPRRDDVTRRTAAPLYRYATMPGQQHYYSTDDGYSDLSSSRDTALPRAKAQPLRRPQARGRVVGYVDEECSDSAGSSEFSSQVEGQSNGVTSKGGYASEYSHTGPARREANNVVQRTCAAAAENYSRNTPLNSKAYQPDSYSSHVPAREDVKSTHKLDGLSDVPSAPPIHDYDQDHRPVTHNDTRFSGNANSTDGLSAKKEEHQEVNGEANLADKNARATLNAGHTSKPSSSIPLRVPTFHASLQNVLLQSEEELMSKRASELVSEGAASKPKKTIGKMKVQVRKVRMSVDMPSGCNFSSLPVVKLDSVRYRLSNVQSTLSSGWESVRRVRVLPQLPANSSFSKHSLAYMQASAQYIKQVSGLLKVGVTTLRSNSSYEAPQETYSCQLRLKSLPEDDVVPMQPGSGETHVFFPDSLGDDLIIDVADSKGKPCGRVVAQVATMAEDPTDKLRWWSIFREPEHELVGRIQLYINYTTAADENNMKYGSVAETVAYDIVLEVAMKAQHIQQRNLVVQGSWKWLLTEFALYYGVSDAYTKLRYLSYIMDVATPTADWLNLVHELLLPILMKNHGTAALSHQENRILGEVEEQIEQTLAMVFENYKSLDESIPSGLAEEFRPPTGLAATALEPAIKLYSLLHDVLSPEAQLRLCGYFQAAARKRSRRHMLETDEYVTGNSEGVRVDLVTVTTAYQKMKSLCNNLRNEIFTDIEIHNQHILPSFVDLPNLAASIYSVELSNRLRAFLVACPPAGPASPVADLVIATADFQKDLASWNICPIKAGVDAKELFHLYIVLWIEDKRRMLLENCRLDKVKWSGVRTQHMTTPFVDEMYDLLKNTLTEYEVIICRWPEYIFVLENAIADVEKAVIESLEKQYADVLAPLKDCIAPKKFGLKVVQKLTKRNSTVPYIVPEDLGILLNTLKRLLDVLRPRIESHLKSWSSCIPNGGNSAAIGEKLSEVTVTLRAKFRNYMQAVVEKLAENTRMQNTTKLKKIIQDSKELVIESDIRNRMQALKDQLIEAINHVHKVSEVHVFVAICRGFWDRMGQDVLSFLENRKENKSWYKGARVAVSVLDDTFASQLQQLLGNTIPQKDLEPPRSIMEVRSILCKDAPRQKSSSFYY >KQL28581 pep chromosome:Setaria_italica_v2.0:I:6809210:6811461:1 gene:SETIT_017217mg transcript:KQL28581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTPTPAAAAPGAAAAPAAGDQAAFRLVGHRGFVRVNPRSDRFHTLSFHHVELWCADATSAAGRFSFGLGAPLAARSDLSTGNSAHASLLLRSGSLAFLFTAPYAHGVDAATASLPSFSAPAARSFAADHGLAVRAIGLRVADAEDAFRASVAAGARPAFKPVELGLGFRLAEVELYGDVVLRYVSYPDAEDAPFLPGFENVNNPGALNYGLRRFDHIVGNVPELAPVAAYVAGFTGFHEFAEFTAEDVGTAESGLNSMVLANNSETVLIPLNEPVHGTKRRSQIQTFLDHNGGPGVQHIALASDDVLRTLREMQARSAMGGFEFMAAPPPDYYEGVRRRAGDVLSEAQIKECQELGVLVDRDDQGVLLQIFTKPVGDRQTLFLEIIQRIGCMEKDEQGQEYQKGGCGGFGKGNFSQLFKSIEDYEKSLESKQAAAVQGS >KQL28450 pep chromosome:Setaria_italica_v2.0:I:5981861:5989864:-1 gene:SETIT_016235mg transcript:KQL28450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKVPYHKHREAEEARKKREEDEAARVYAEFVESFKGDSSSGAKFVRGGVIDPNAKLKADPEGGKSKDGGSVPKKGSRYVPSFLPPSFSKEPEKKKEEERPKEKGKPRVIDKFLEELKFEQEQREKRNQDRDHRRDRHSDSSMPSSRFDELPDEFDPTGRFPGSFDDGDPQTTNLYVGNLSPKVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVIVYDYELKIGWGKSVALPSQALPAPPPGHMAIRNKEGGSVILSGPGGPAIASVTPQTSELVLTPNVPDIVVAPPDDGRLRHVIDTMALHVLDGGCAFEQAVMERGRGNPLFDFLFDLKSKEHTYYVWRLYSFAQGDTLQRWRTEPYIMITGSGRWVPPPLSSNRSPEREKESTFAAGRSRRVEVERTLTDSQRDEFEDMLRSLSLERSQIKEAMGFALDNADAAGEIVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAFRTKFEASLPDVMESFNDLYRSITGRITAEALKERVMKVLQVWADWFLFSDAFLNGLRATFLRSGNSGVVPFHSLCGDAPEIEKKGSSEDGNDGFKLNEDGALATGKAAATKELLGLPLAELERRCRHNGLSLSGGKEMMVARLLNLEEAEKERVYEKDVDMKHAQGEQHKIGREDSGFNARSASRFGETPNGDELDVSRNSMGAGNGRTRESASAELESFPSKKPKYDPVLPASKWSREDDIDDDEDRKGGRGLGLSYSSGSDAGELGNADTSEVSTDHASHHQETIVDEEHRQKLRQIEISVMQYRESLEEQGLRNMDEIEKKVASHRKRLQSEYGLSTSTVGANSKRSSERTSLERKEKHDDAHDYARKRRRSQSSSRSPPRKSQERDREHNRGRDRSHGNDAGRDRAREKSTSRGRDDHYDRSRDREKDRRKGR >KQL28527 pep chromosome:Setaria_italica_v2.0:I:6452129:6455828:-1 gene:SETIT_017822mg transcript:KQL28527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAKAEAARPRPESSQEEEEDWKEAEGDVAEVDRAAPNGAGEAQVPTDRPIRVYADGIYDLFHFGHARSLEQAKKLFPNTYLLVGCCNDELTHKYKGRTVMTEDERYESLRHCKWVDEVIPDAPWVVSEEFLDKHNIDFVAHDSLPYADASGAGKDVYEHVKKLGKFKETQRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKNLRDKVKQHQEKVGEKWSTVAKLQEEWVENADRWVAGFLEKFEEGCHSMGTAIKERIQERLKAQSRDFGSLLQYESDDYEEEDDEDEHFEDVKE >KQL28591 pep chromosome:Setaria_italica_v2.0:I:6863188:6866272:-1 gene:SETIT_017205mg transcript:KQL28591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEEDYEDEEEEDVAA >KQL29175 pep chromosome:Setaria_italica_v2.0:I:10976960:10978776:1 gene:SETIT_017064mg transcript:KQL29175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAHAVVVPYPGSGNINPALQLAKLLHRHGVYITFVNTEHNHRRVQDTEGAGAVRGREGFRFEAIPDGLSEADRGKQDYGRGLSVSMSTRCAAPLRDLIARLNGTPGVPPVSCVLPTMLMSFALGVARELGIPTLAFWTGSAAALMTHMRLRELEERGYVPLKDQSFLTNGYLEKTVIDWIPGMPPIRLGDFSSFLRTTDPNDFGLRFNESEANKCTQAGALILNTFDGLEADVLAALRAEYPRIYTIGPLGSMLRRGATAAAGEDDGESTGLSLWKQDTECLAWLDTQEPRSVVYVNFGSHTVLTPEQLAEFAWGLAASGHVILWCIRDNLLRGGGGGMAVLPPEFTAETAGRCRVTPWCPQEQVLRHPAVGCFLTHSGWNSTCETVAAGVPMVCWPGFSDQYTNCKYSCEVWGVGVRLDAEVRREQVAMHVREVMGSEEMQRNAAKWKEEAEAATGPGGSSFENLLSMVRALSNPPNSED >KQL30025 pep chromosome:Setaria_italica_v2.0:I:27579746:27582198:-1 gene:SETIT_017531mg transcript:KQL30025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQSVTDKVKLEEPNISHCAGGGMEPEPQQPKATGGGGHRKHLVMLERLSKRSSSSSSAAAGASSDSTSASPVEAFLTRFAAAKLAAESALSACRASSPEGDAAASLAAAAAAIDDLDRLVAESSHALPPYELRSALATAADLRAAHRAAASEIRPKKSFSFRNKSRAPKNPPQDPATVPQPQPPPPEQPKPSIDAILPGFGFRGRNGATLVKDLRVSNDKDGDFTLADLVSCEVYLKGTCRALYVHKLRDCRVFVGAVLGSVLIEDVEGCTFVMAAHQIRIHEAKATDFYLRVRSRPIIEDCSGVRFAPHALEYDGIDEDLKESGLEEETGNWANVDDFKWLRAVQSPNWCLVPEEERLQIVDISEVHEQEDDS >KQL30923 pep chromosome:Setaria_italica_v2.0:I:34416812:34418111:1 gene:SETIT_018039mg transcript:KQL30923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQPPPPSSSSPPAPHSPSPTVQVQAGLPASHQASGSGPASPHSPSPVVQASDGTATAAAGVVATAPAMATATSSGEPSPRSSGKHAFYRGIRCRSGKWVSEIREPRKARRIWLGTYPTAEMAAAAYDVAARALRGADAVLNFPGAIASRQAPASASPADIRAAAAAAAAAAQLEHPQGGEAAATANPPAAAQDRHHQHHGMSSATADAAGGYTPQQGIDSGEFMDEEAIFEMPQLLRNMAAGMMMSPPRLSPDTSDESPDPSEAGESLWSYHDP >KQL29562 pep chromosome:Setaria_italica_v2.0:I:21306468:21306887:-1 gene:SETIT_020458mg transcript:KQL29562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEKTRASLMIGSPTCNSPVSQRPARLPCLFVLLDQLLRCKQPYRQ >KQL29017 pep chromosome:Setaria_italica_v2.0:I:9733090:9736954:-1 gene:SETIT_017181mg transcript:KQL29017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPGKATADAPPPPPPSGLLPSRRRRLAAVLAPLLLFLAAALSFPSTLRLPLLLAALPPTLPRQSTLSSPPAPRVAVCLVGGARRFELTGPSIARHVLGVNLTRPGAAAVDVFLHSPLDGDAYKLSLLARAAAATVNLAAVRVFRPEHVEETADRAQVLTAANSPNGIQGLLQYFRLVEGCLDLIRDRESRGNFTYTAVLRTRVDGFWSAPLRLADLLPAAANTTANDDSHYAVPEGSRFGGLNDRLGFGGRRATEAALSRLSMLPRLAAAGYHGLNSEAAFRAQLDVAGVSGRERRLPFCVLSDRVYAFPPVAGYGVPVASLASPGPLSGAKCRPCRPACRGECVAQSIDALDSGWSWTEHRNGTDVELCDASGPWEDGWEAVFDAAAGTGAAKERRRVARMGARECVAEMEAFRARTERWDAPSPAEICRIGLRARTPAAKATTSGGSA >KQL29256 pep chromosome:Setaria_italica_v2.0:I:12247150:12249512:1 gene:SETIT_017080mg transcript:KQL29256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLLAGATGTKHGGDEEESLAVREVKKQLYLAVPLVVGLLLQNLVQMVSVMFVGHLGELALASASLATSFAGVTGFSLLAGMACSLDTLCGQAFGARQYHQIGVYKQRAMLVLALVSVPVAAVWAYTGEILAWCGQDPEIAAGAGSYIRWMIPALFVYGALQCHVRFLQTQNLVVPVMLSSGATALCHPAVCWLLVRGLGLGRNGAALANGVSYLANLTFLALYVRLSPSCKATWTGFSAEAFRGVTEFLKLAVPSAVMVCMEWWSFELLVLLSGLLPNPKLETAVLSICLNTNGFAFMVPLGLGSAISTRVSNELGAGRPEAARLATRVVVLLALAVGMSEGLVMVLVRNLWGYAYSNEEEVARYTARMMPILAMSIVFDGLQCVLSGVVRGCGRQKAGAFINLAAYYLAGIPSAFVFAFVWHLGGMGLWFGIMCGLVVQMLQLLSITLFTNWNKEALKAKDRVFSSALPVDMVT >KQL31066 pep chromosome:Setaria_italica_v2.0:I:35392569:35397316:1 gene:SETIT_016598mg transcript:KQL31066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAKAAAAAISAGAAVALCTERAHAEGGGSMFRFPGFSTPSPSPSPSPAAPPPNQQPPAPADGRGEEAAEEVPRVSTQHARTSAAGFDPAPLERGVRALDRLGQSPDPKKLFELMKKQEETRQQELAAKKVEEQRQLAQLEIEKKRIDYEETKKLDQQRAKMKAQSAQYEDDLKRKRLQAEHEAQRVRNQELVKMQEESAIRLEQIRRATEEQIQEQRRQTEKERSDIVRETIKLKAMSEAQARILETKETEDVKRRLLVDQIKADREKWIEVINTTFEHIGGGLQTILTDQNKLVVAVGGLTALAAGIYTTREGARVVWGYVDRILGQPSLVRESSRGRYPWSGSLSRATSSLTSKLKNGSNPGKNGNGFGDVILNPSLQKRVKQLANATANTKLHQAPFRNMLFYGPPGTGKTMAARELARNSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSNRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDVVLALATNRPGDLDSAVADRIDEVLEFPLPGEDERFKILKLYLDKYIAKAGDKYEKSWLRFFRRQPQKIEMKGITDDLIREAAAKTQGFSGREIAKMMASVQAAVYGSKDCELTPALFREVVDYKVAEHEQRRKLAGEEPKQNA >KQL29690 pep chromosome:Setaria_italica_v2.0:I:23296465:23297184:1 gene:SETIT_019739mg transcript:KQL29690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAHRPPYGGAHYHRRDITATSFKPMEDLSNLRAVCRVMERACGDPSVEQRMAMLRIYMEGLEWLDPDRYYNLLALLVGMANPQACTLKGIVDFFAGTDPSVNELSRAAMGGHNVGAYLYALMLYRNNAGAADNDIAKMYIHRLECEDDSVAIGSAGPKKLRNDGCRVCREEAAYLVNSVTWCMHGEPLPPAPVRGDFPCARGDCGKVKGWEQAALFCNEDCRIRHEIVEFEKRMGID >KQL31316 pep chromosome:Setaria_italica_v2.0:I:36864681:36868066:1 gene:SETIT_016226mg transcript:KQL31316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVATINVSQENSMESVRATMERQTARRTQTDATQMIESLFASVNLAKDLSERCKGRALQLKGDEIQNIAQDLENTLQNIYDDLGRIPASAFGSNAYMNVLIKSQSMRGYSEADISMNVMGNRPRRRSLCDNDTPKLVDFLQGMYHESHEYGGQMFNTLPEVAEYIEPLYDAFFCPLTNEIMTDPVTIESGVTCDRRAIEEYIERFSVSSEPVYCPVTKMPMQSKTVMSNASLKSVIEEWTMRNEAMRVRIARTALSLSTADTMVLEAMHELKLLAKLRWKNRELMHKIGVTKFLARLLDNHNAQIQCDALELLCLLAEDEEGRDIIGKTKAIARTIKLLSSNTTDERHAAISFLLELSKSQLLLENIGSTPGSILILTTMKINNSDDPIAAEKAGALLKNLEKCAKNIKHMAESGYLEPLQRHLVEGSEEMQMEMVSFLSELVQEQELTIDINRSTSEILIKMTHSCNPMVRKAAFDVLVQLSLHRPNSKMLVDAGAVPVMIEELFIRKVDDEPVNSMASAATVLANIVDSGIDPDTTVVNKEGHVLTSKYSIYNFVHMLKCFMPDDLNLSIIRVLLVLTELTKPLATVVSVIRENHCSHAIVELMSSPMEALSLAATRLLITLSPHIGHTIVERLCKTQGQPRKLVKSISHTGRITERQAALATLLARLPYRNTSLNVALVQEGAVPAILSAIKEMQNGAARSSRHAVPYMEGLVGALVRLTATLYSPEVLKVAMDHNLASVLTELLNGPAGIDEVQRLAAVGLENLSYLSIKLSQPPQDELLSKKNSIIKLLKDSKAHSNKKSSNHQVNVCLVHRGVCSPATTFCLLEAGAVEGLLGCLENDNIRVVEAALGALCTLLDERVDVEKSVAALSELNAATHVLGALRQHRQNVLWQKCFCLVEKLLEHGDDRCVREVTGDRMLPTALVSAFHRGDASTKQAAESILRRLHKMPDYSATYVSMEF >KQL31472 pep chromosome:Setaria_italica_v2.0:I:37767864:37775464:-1 gene:SETIT_016120mg transcript:KQL31472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPEAAAAHQQKDSVKVAVNIRPLITMELQDGCTDCVTVTPGEPQVQIGPHVFTFDHVYGNSGPSSSFIFEQCVRPLIDALFSGYNATVLAYGQTGSGKTYTMGTDYSGEGNCGGIIPQVMETIFRKVEASKDGTEFLIRVSFIEIFKEEVFDLLDSNHAAARLDSVSVAKPSAPARVPIQIRETATGGITLAGVTEAEVKSKEEMASYLIRGSSSRATASTNMNRQSSRSHAIFTICVEQKRTSGISDKSASSDYDILSSKFHLVDLAGSERAKRTGADGHRLKEGIHINKGLLALGNVISALGDEKKRKEGAFVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETINTLKYANRARNIQNKAVVNRDPATAEMQKLRSQLEQLQTELLFSRSGSAALEELQLLQKKVSLLELKNSELNHELKERDLSCEQLAERARAAQLERDQLMLKIASARNGKSWDDIENADNGQDVDLLKSYISKIQQLESELMRQNFSNGCRHGLHDQLTMERDILLNDLGSEGEVGTPDASSEVDEEEKEREHSSMQDQLDKELQELDKRLQQKEAEMKQFAKSDTSVLKQHYEKKLNELEQEKKALQKEIENLRHALTNISSSTDESAQKLKENYIQKLNALESQVSELKKKQEAQQQLLRQKQKSDEAAKRLQEDIQRIKSQKVQLQQKIKQESEQFRSWKAAREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAAATKRLKELLEAKKSSRETYGGANGSGMQAVMRAIDDELEVTVRAHELRSYYERQMQERAAISKEIAKLKEESKHKMSDCPQAMSPSARSSRISALENMLSSSSSAMVSMASQLSEAEERERLFNGKGRWHHVRSLPEAKNIMNYLFQLVSSARCQVQDKDATCNEKEHIISELKEKVVVLNSGIRQLEMQVKDLGHQNMQLFTALNNAKKSAISSGNSTGVSDDGQTYALRKSVRSSQYFGYSKNHFNWLDDMDTSDSDYSEEDKMSEESDGDWVKPTRKVRKHRQTVSSHASLNLGCQNTQENAEPEKKPTDEKCILPKDVPSECCSCSKFSSCKTNKCECRGSGAHCGPGCGCKDSKCSNRDSSDNTEIVNQGIMLLENAFSEKDAQDAKTRKPLADIGNNEVNQTAETKKKPRKNWRKSTVQLVTVPLPPSVPETTEAAPRDRADIPLKLPRAMSSASAESNPPPLTDRNAAKPDESVSTGTKESTAATRPPSQLRKNAATEKENQLR >KQL30641 pep chromosome:Setaria_italica_v2.0:I:32346512:32346997:1 gene:SETIT_020640mg transcript:KQL30641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAIGARACRCERGVSSGMPNGQSCIGSHLSYQIISSML >KQL28194 pep chromosome:Setaria_italica_v2.0:I:4257015:4257911:1 gene:SETIT_019375mg transcript:KQL28194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMWPTEEEVREFESENAPWPCMSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWVNVDKKGKGVVVAGDVDDDDDDDELLYEGDSD >KQL30936 pep chromosome:Setaria_italica_v2.0:I:34511177:34511782:1 gene:SETIT_018745mg transcript:KQL30936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAADAEPRKLQPSTTTPPAIEIRGAPTVSAVRQGQAPSSSPAAAAVTASLLAAAGLGGVALLAWWAAAFRRANAMLWMVPAGLVLLGTSLLAWLSVLASGPEPTATAFISPC >KQL29769 pep chromosome:Setaria_italica_v2.0:I:24319020:24320340:1 gene:SETIT_0191411mg transcript:KQL29769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELAYQYAKKGTCLSLVARRKHALEGVAGRPGTRRAGLNHLVANAGAWSICFFDEITNITASTKMMDVNFWGSVYPTYYALPHLKASKGKLIVSSSIAATAPTSRLSLYNATKAAQLRFYETLRSELGSEVGVTTLTAGFVESEMTKGKAIQRDGEVAVDEEARDVQIGVFPVARVQKLCKAALNGIRRGDCPTRYTTPKKGSPPLSQRMLDTTGAKRFYPPSLRHHPGIKMETTGDHEEDAASNV >KQL28922 pep chromosome:Setaria_italica_v2.0:I:8890029:8891902:1 gene:SETIT_019393mg transcript:KQL28922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWILTNSSHRDGSICSGTTRWKKDFRIADLIVARVTRREAMMFSDCTDCYIINGTCGLHSTCHMLQIFSLKLANIPVEHGPVELYGYIIWIGRHEKEDLQLIDGVSLVDDIDTWNCSPFTSHLHGGCGGIDITASRLNFAVEATVEVAILQVRGGFNMRLGCFTIQVAADSCIPAEHCCSFKATKHGRATQEIKTDFVLISVKVTWSTLD >KQL31381 pep chromosome:Setaria_italica_v2.0:I:37203280:37207025:-1 gene:SETIT_018040mg transcript:KQL31381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKQTHLAWPSPDLPSRDATPRHATRLGQPEPAARGRPAFDLLPTARDTSSPSRAGAASNPARPLPRAAAADSPSGERSGPWRARSIAGDSGMGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPIAKSELHDLLMKQSLAGIPLLVLGNKIDKSEALSKQALVDQLGLESIQDREVCCYMIS >KQL31382 pep chromosome:Setaria_italica_v2.0:I:37204073:37207025:-1 gene:SETIT_018040mg transcript:KQL31382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKQTHLAWPSPDLPSRDATPRHATRLGQPEPAARGRPAFDLLPTARDTSSPSRAGAASNPARPLPRAAAADSPSGERSGPWRARSIAGDSGMGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPIAKSELHDLLMKQSLAGIPLLVLGNKIDKSEALSKQALVDQLGLESIQDREVCCYMISCKDSVNIDVVIDWLIKHSRTAK >KQL29843 pep chromosome:Setaria_italica_v2.0:I:25436720:25438367:-1 gene:SETIT_018016mg transcript:KQL29843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFQLQISDPSVSYFFLTTPRTDASMKSIGEMDAQPSVEHIRLVRAGVRGARRSWWPRIRGTPRPPPRTSRTGTGAGTAAAARTRPPRRTICTPPSSSRRTPLPHPPPPPARTRSSTTPRPRRRPRLPRRVVTAGGCRAWERRPCDSGARLAGRPLVDAAPEQSILMLCTSPAAANACGVVDTGSGGRARWPCRSVIKPAPATSPTRARFLGCPAQPLSSLLLTMQVRSLNTMLPPAAAASGWPPPPPGSPRNTGALIIALSSAGLWNMTHTGQESSGGFVEDE >KQL30706 pep chromosome:Setaria_italica_v2.0:I:32766966:32767661:-1 gene:SETIT_019287mg transcript:KQL30706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLSSDAAQFPSKPKHGGVAAGDIEAAAGHGSSSAAGRVDYLARAQWLRAAVLGANDGLVSVASLMIGVGAVNDGARAMLVSGLAGLVAGACSMAIGEFVSVYAQYDIQVAHSERGRAGSNDDGSGGKEEGLPSPTQAAAASALAFAAGAALPLLSGGFVRPWAGRFAAVCASSSLGLVGFGAAGAYLGGASVVRSGVRVLLGGWLAMAVTFAVLKLCSLAFKTQVMSA >KQL30595 pep chromosome:Setaria_italica_v2.0:I:32014399:32025446:-1 gene:SETIT_016135mg transcript:KQL30595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAQNENRTDTKQDDEVRQSKQDDEEARLEEYKKIIDQKTSLRRSNLNPERPDANYLRTLDSSIKRNTTVIKKLKTINDEQKDGLMDELKSVNLSKFVSEAVSYICEAKLRSADIQAAVQVCSLLHQRYKDFSPCLIQGLLKVFFPGKSGDDLDADKNSRAMKKRSTLKLLIELYFVGIVEDASIFVNIIKDLTSAEHLKDREATQTNLSLLSTFARQGRFLVGLQSHGQEAYDEFFKDLNVTADQKKFFKKALNSYYDAVAELLQSEHASLRLMEAENAKVLSAKGELSDENTASYEKLRKSFDQLLRGVSSLAEALDMQPPVMPDDGNTTRVTTGTDVSPSSGKESSALEPIWDDDDTKAFYESLPDLRAFVPAVLLGEVEPKSNEQHAKGREQSSESTSEQEIELHDNAQTSANEHQLEVKVDDGAKDNEDKDKERGKDGEKEKFKEKDLDKKNEREKEKVRGLDGASLDNLLQRLPGCVSRDLIDQLTVEFCYLNSKANRKKLVRALFNVNRTSLELLPYYSRLVATLSTCMKDVPSMLLSMLEEEFNFLINKKDQINIETKIKNIRFIGELCKFKMAPPALVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARISKVRPPLHQYIRKLLFSDLDKSSVEHVLRQLRKLPWAECQQYLLKCFLKVHKGKYSQVHLIALLTASLSRYHDDFAVAVVDEVLEEIRVGLELNDYGMQQRRLAHMRFLGELYSYKHIDSSVVFETLYLIIVFGYGTPEQDVLDPPEDCFRIRLIITLLQTCGHYFSKGSSKRKLDKFLLHFQRYIMSKGPLPLDIEFDIQDLFAEIRPNMTRYSSIEELNAALVELEENERSAPVEKAENERHSDNESQKRQPRDAAPSVNGQSTTNGVEENGKDHEVADSESYSGSGSIDGREDEEDILSEDKSNDGSDNEGDDEDDGIPVGSDEDENVGVRQKVVQVDLKEQEDFDRELKALLQESLESRKSEARSRLPLNMMVPMNVLEGSKDQRATESESGEETVDEEGGNVGSSKVRVKVLMKKGHKQQTKQMLIPADSSLVLSTKQQEAAELEEKQSIKRRILEYNEREEEELNGGVSQMGNWGQGASTTSSIRSGGRGTWDGSTRGGGRQRHHIAGSGGFYHSYGRRR >KQL27890 pep chromosome:Setaria_italica_v2.0:I:1457735:1458277:1 gene:SETIT_019534mg transcript:KQL27890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSNTNSLPYSTDKGGYSTHDTLVLLGIGFCATAVSILIIVLCECLCCRRRRAGGTVVYVAARPFFLHGDGDGGGGLSPSAVAALPSFVFRRGLAAVSSGAGRGEGSGSGSGRGWAQCAVCLSLVQEGEVVRRLPACMHLFHVCCIDMWLRSHSTCPLCRATVEPTKQASSKEQAPPV >KQL30089 pep chromosome:Setaria_italica_v2.0:I:27964791:27970401:-1 gene:SETIT_018280mg transcript:KQL30089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMEATATAGRPRLYSYWRSSCSHRVRIALNFKGVDYEYKAVNLLKGEQSDPEFVRLNPMKFVPALIDDDAVIGDSYAIALYLEDKYPNPPLLPQDPKKKALNHQIANIVSSGIQPLHNLSVLRFIEQKVGAGEGVLWTQQQIERGFTAIENLIQLKGCAGKYATGDEVQLADVFLAPQIFAAIERTKIDMSNYPTIARLHAEYMAHPAFQAALPGRQPDVPSSS >KQL30417 pep chromosome:Setaria_italica_v2.0:I:30415504:30423837:-1 gene:SETIT_016397mg transcript:KQL30417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAITRRRKYLLDHVNTPILSSSSTFQHGRTGLEAEPRIAQRYLERSSGDSNSEKEQYSVNLTKRNLAGLANGFLRRPAHGIAFSYCGIGRNDFGLPFGARSMLQSLRASSTATAGQPKLDIGDEQSEDQKQNTKKKEASPEECDQAVEGLSNAKAKAKAKHVPESLKTSQSVIQKFWARLLGIGPALRAVASMSRADWAAKLKHWKDEFVSTLQHYWLGTKLLWADVRISSRLLVKLASGKCLSRRERRQLTRTTADIFRLVPFAVFILVPFMEFLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGDIKQTAEDLDEFLNKVRRGERVSNDEILSFAKLFNDELTLDNMSRPRLVNMCKYMGIRPFGTDNYLRFMLRKKLQDIKKDDKMIQAEGVESLSEEELRQACRERGLLGLLSTEEMRQQLQDWLDLSLNHSVPSSLLILSRAFTVSGKVKPEEAVVATISSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQEKEEKAKLKKPDGASEDLALKEMTEATVREEEELQKAKQSDKEKLCNISRALAVLASASSVSKERQEFLGLVNKEIELYNSMLEKEGTEGKEEAKRAYIAASEESDHHAEAAAEEKVSSALIEKVDAMLQKLEKEIDDVDAQIGNRWQLLDRDHDGKVTAEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVKLASQTENNEEEEEARQ >KQL30418 pep chromosome:Setaria_italica_v2.0:I:30424559:30429727:-1 gene:SETIT_017053mg transcript:KQL30418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAAKKMTRTISRQLSSGAAKLWRQLSLDPHTPRRGGGPGGVGAGPTRFAIARQSSLDPTPRGGPDGSSAHQQLAVPENLDATMRLLFSACQGDAGGVEELLRGGVDVDSIDLDGRTALHIAACEGQGEVVRLLLDWKANINARDRWGSTPAADAKHYGHFEVYNLLRARGAKVPKTKKTPMAVSNPKQVPAYELNPLELEFRRGEEVTKGTYLAKWYGSKVFVKILDKDSFSDADSINAFEHELTLLEKARHPNLVQFVGAVTQNVPMMIVSEYHQKGDLASYLEIKGRLKPYKAIRFALDIARGLNYLHECKPEPIIHGNLSPKNIVRDDEGQLKVAGFGSLSLIKVSGDKLQMAQPTTQLDSVYTAPEMYRNEPFDRSVDVFAFGLVLYEMIEGTPFHPKPQEEAAKMICLEGLRPQFKNKPKYYPNDVKELIQECWDPTPSVRPTFAEIIVRLNKIHASCAKPARWRDTFKLPWKHAEER >KQL32233 pep chromosome:Setaria_italica_v2.0:I:41878472:41879043:-1 gene:SETIT_018946mg transcript:KQL32233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWLQYTATRSQRAATAGLFLTGVALIVAAARLSYANIEPQRAKAAERRRVLEAFIRRKLGTDPPPTKP >KQL31187 pep chromosome:Setaria_italica_v2.0:I:36159712:36162484:-1 gene:SETIT_017304mg transcript:KQL31187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDKSDGWDEDLEEADELACVQKYPVSTSFLASGISRRNKSEKKPRFSIRGHDFVPYDVKTEILHIRGHEGTYGVPSTKLSQTMVAERLENIEEESEDLTPELPLHTKKANTSVSELLEDLQGRSGSSVRKPYMLQQHTLNIREQEVSSRVPPAKASQALMAERFGNSKEETEDLPSEFAHPMKKANLSVAELLEDLQGRSSSPVGAASLRRHTGAKDWTASEKKTLAILGESIDSEDPLEHITDGTSSEEEDVTENHLALVNKDVKHQTMADLFQEVFDPSNLEVAMLPMRSTGAGYHGRMQQIMQMEKDRHAEFLRQFNIEKGDSKGITVQIMSRSLEGKLTVCHCLFQEKNNSTITREASTDHAMCESRTMGTIIFSPKICDNVDLLVGNIIRIFPPWKEIRLQEEDVILCTYFSHHGA >KQL31186 pep chromosome:Setaria_italica_v2.0:I:36159452:36163071:-1 gene:SETIT_017304mg transcript:KQL31186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDKSDGWDEDLEEADELACVQKYPVSTSFLASGISRRNKSEKKPRFSIRGHDFVPYDVKTEILHIRGHEGTYGVPSTKLSQTMVAERLENIEEESEDLTPELPLHTKKANTSVSELLEDLQGRSGSSVRKPYMLQQHTLNIREQEVSSRVPPAKASQALMAERFGNSKEETEDLPSEFAHPMKKANLSVAELLEDLQGRSSSPVGAASLRRHTGAKDWTASEKKTLAILGESIDSEDPLEHITDGTSSEEEDVTENHLALVNKDVKHQTMADLFQEVFDPSNLEVAMLPMRSTGAGYHGRMQQIMQMEKDRHAEFLRQFNIEKGDSKGITVQIMSRSLEGKLTVCHCLFQEKNNSTITREASTDHAMCESRTMGTIIFSPKICDNVDLLVGNIIRIFPPWKEIRLQEEDVILCTYFSHHGA >KQL28664 pep chromosome:Setaria_italica_v2.0:I:7340712:7342114:-1 gene:SETIT_019988mg transcript:KQL28664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAVTKRRATGTDGGGIAALPEELLHEVFSRVGGVKDIFLLARDVPAGQGHRARLLGFFRRRTKRLVDYCPSMAKMRAAQRTSVSPPTFLPAPGSPLGPTERALTSFVADDDGTFNYAQPLAARRGVVLMQLVPRTFNLIDRVIDTSPLLLGLFNPTTCERHVLPPLECSSGSRWCVDGYAIITAADGDELDGSSPPPPPSGRFTFSQLLLLATRQGSYQRYLHSYSAATRGWGGAPTACLDGRCLSLVGEGPAAVHRGAVHWLCNDDLKASTRGAPRGDHSLCKLSAELGGTARVSLAKLPVRAGGTPLLHVSRDGKLSVACMYPAHATVWMQQDGGGEDDAYVFLIPMAMAAVPTNSKDPPGLQHWLEEWYEFDRGSVLVLGRSGGVFVLDLEKKVIEKVMDCFPRLYVGKRHGRFVPYEMDLVEFFVSRLGGLLCRG >KQL31045 pep chromosome:Setaria_italica_v2.0:I:35228686:35230396:-1 gene:SETIT_017704mg transcript:KQL31045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRAPCCAKVGLNRGSWTPQEDMRLMAYIKKHGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTVEEEETIIRLHGMLGNKWSKIAACLPGRTDNEIKNVWNTHLKKKVALREQQKAGAASNGGAASGNDAGTPVTASSSASSSTTTNNSSGGSDSGDQCGTSKEPDAVDVPPLQLEDIDVSDMLVDAPTAAQPMLSSSCSSSSLTTCIGRGVEELIELPVIDIEPDIWSIIDGECADASGARHGDATAPCTGAAISTTSVAGEEAANDDWWLENLEKELGLWGPVGGPQDHTGLGPLVDSERDPVSTYFQTGPSNAETELLDVELEPSSAVLL >KQL30584 pep chromosome:Setaria_italica_v2.0:I:31936755:31936997:-1 gene:SETIT_019060mg transcript:KQL30584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRAWGAKMIEVVLNDRLGKKVRVKCNEDDTIGDLKRLVAAQTGTRAEKIRIQKWYTIYKDHITLADYEIHDGMGLELYYN >KQL30518 pep chromosome:Setaria_italica_v2.0:I:31430029:31430912:1 gene:SETIT_018966mg transcript:KQL30518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPFHLFKGWNGDSEIPLEGVHCPGPQPLNLTFVEMPFFGGIDFFSFIVEAVSTKYASYSPF >KQL32170 pep chromosome:Setaria_italica_v2.0:I:41633905:41636808:1 gene:SETIT_017895mg transcript:KQL32170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPARGRGGRGGRFDGGGRSGGGGRGFGGGRGGRGDRGGRGGGGRTPRGRGGGMRGGRGGGMRGGSKAVVVAHKHAGIFISKSKEDALCTKNMVPGESVYSEKRVSVQNEDGTKVEYRVWNPFRSKLAAAVLGGVDNIWIAPGTRVLYLGAASGTTVSHVSDIVGPDGLVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPARYRMLVGMVDVIFSDVAQPDQARILALNASYFLKNGGHFVISIKANCIDSTMPAEAVFAAEVEKLKADQFKPSEQVTLEPFERDHACVVGGYRMPKKQKAT >KQL28339 pep chromosome:Setaria_italica_v2.0:I:5216831:5217178:-1 gene:SETIT_020016mg transcript:KQL28339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EELGSTFVCDACDRGFHSKCVRVWPPLLPPPPPPGPPGARRPRAAANEDWICPQCEMRGARSTRWKLGPVPLDINAAPPEEPVAASAHDISRHFLELLFTYIDMCLTLELLRGYE >KQL27863 pep chromosome:Setaria_italica_v2.0:I:1295383:1296987:-1 gene:SETIT_019732mg transcript:KQL27863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATESEFVTAPSTPEADSLKIVVEQRLLLHDDGEGGSRSTTIFRVPAHVRDANKELYEPRLVSVGPYYRGRAALRAMEQHKWRYLRELLRERRPRTLLARCVEAVRDVEHRARCCYVERTDIFDAAAKGGPLGGEVDDGQSRRGPDDFGEMLLLDGCFVLRFFIKWHNEEEDDICDVGWGLPLLHSDLLLLENQIPFFVLEALFRLVVPEDETSHLHSLILPHLRLSPSTELSATEMERAAQAGEIRHLLHLLYEAVVPTAEEIALVAADASPPRPAPRCVEKLRQMGIRVNKAVSERFAFMRGMAPQLPRWIVTASWFSKCRVRIGRKAAPEPETTTRTVVVPPVTLLRKAGVRFEKKAPAHMLDVTFDAAAGVVRMPRLEVDHASWPLLVNLVAFEQTVRDDGRRRHGKPVSCYAALVGSLVRTGKDVEHLQKRGIVDNLLGTDDDAAAKFFQQLGDCASLEYEDHIFAAMFADLNRYYRSSWRRHKAEFLRDHCSSPWAVLALVVAGCAFCFALFKFSTTIYGFAHPYCHC >KQL28782 pep chromosome:Setaria_italica_v2.0:I:8061807:8063978:1 gene:SETIT_020351mg transcript:KQL28782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSITPYQIFSNIGAGYGVILSAKCISKISAQILSNSKRMGQTCMTYNPQHKLHAYLNVKLSKAFRSKLRGTVMGTGALKWPRPASELAGFYACKYMRGHE >KQL28154 pep chromosome:Setaria_italica_v2.0:I:3862044:3868025:-1 gene:SETIT_019492mg transcript:KQL28154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECPLPITPRWPVALLLPGEKAEDVTKEDREAPPADAKEEEESPEELLESLTKPPPCRSPESCAAPARFLVTGGNKSFAQSLTGNIFPPHASPNLTADISGLADFALATDATGPGSGGYESAFGMGALYFLQSKCTPNSTLSFQVQYGPESSTKSYDLKNSDIKNLNLIVQYNPEKSNMLRVPRLMNLASNAYLQLRDNNKKMQFGFAKDMPRDGHPMKPPDISFLVGKLIFVWIVMLLFPVILSSLVYEKQQKLRAMMKMHGLGDMAYWIISYCYFLLISLIYMLLLVIFGSIVGVKLFASNSYVLQFIVYFTYMNLQISFAFLMTSFFTTVSTATGSSIVLMEFFPPFSLYRIIYELSPPPATGFYSDFSGVQLGDLSDPENGILVLLIIMVLEWATFLFFTLYLDEFGFLQTGIRKLVTASRPDGSCQALQKPSTQPQEFEASIEIDRTDIMSEREIVGRFLQQPDSSYSVIIDNIRKVYPPKDGNAEKVAVKGFSLSIQRGQCFGLLGSNGAGKTSLISMLTGFTKPTSGTAYVDGLNIRTDMNEIYTRIGVCPQFDLLWETLTAREHLMFYGRLKRLNGAALVEAAEQSLKVLRIFEGGVADTRVSQYSGGMKRRLSVAISLIGDPKVVYLDEPSSGLDPASRKALWNAVKFAKKDRAIILTTHSMEEAEALCDRIGISAYGRLRCTGTSKELKAKYGGTFVFTVTAAASEDEAVEQLIRSICPTAKRTYHIAGTQKFEMPKQGVKISQVFQAMEQAKRSLNIVAWGLVDTTLEDVFIKVAKESEKCPD >KQL30385 pep chromosome:Setaria_italica_v2.0:I:30237112:30240803:-1 gene:SETIT_018189mg transcript:KQL30385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTPAAVLLDENMHIHGGKRADAPRAKPLKPSEKKPGLQERKALQDVSNFAKGTALKDRSVKERSQQRKALQNVTNTIQSKERPTLKEQRSTVKERSDLGKHEVVNPLNILTDEEIKKCHEWAKDGAEGAHFHDYQKSDKDLQDKRVKKKVAKVLSALDGWSNVVYDRVMFPAAEVEKFFEEEKGLELEPEILPDISWGLSHSGDKAKLAEYSFTDDELDQYPSLDNNPVTFELRDEPEIPQLGVY >KQL31094 pep chromosome:Setaria_italica_v2.0:I:35549157:35550518:-1 gene:SETIT_018847mg transcript:KQL31094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLRSALGRVFRRLSGPSAAPSMMSQRGAEILRSPTLPSLRPAELLVPHPEPAAQLMRTFMSPAATGAAARTRGVPNFQNIKDAVPGMAV >KQL29716 pep chromosome:Setaria_italica_v2.0:I:23794021:23795215:-1 gene:SETIT_019562mg transcript:KQL29716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQFTINKDVVPVKDAFSDLLKKGQRQMRYKLKKQYFNGIPANAVRTTSPLSTMTDMRWKQLMDMWSNPNHKYHQMIGSWSYVAQCYVMKQTKFKDAPPTVIDIFKDTHYSSKSGFNEQAKDAIAQMEVYVAQPTEEGQDPKTLVQAIAHVMPKSTFLRSVGMQSAAIKRNAKAAAMNDRVNELESELQVEKMGSTGLRS >KQL28668 pep chromosome:Setaria_italica_v2.0:I:7356247:7365311:1 gene:SETIT_016561mg transcript:KQL28668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATASRLAVLAPRPSPPAPGRRRHAPAPGCAPSRPRSLSAAAAPRGRVLCLAAPAPAAASTIDAGQDRLLKVPISNIRNFCIIAHIDHGKSTLADKLLELTGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYVMNKEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVAQEIEEIIGLDCSDAIRCSAKEGIGITEILDALVTKIPPPKDTSKDPLRALIFDSYYDPYRGVIVYFRVTDGSIKKGDKICFMANGKEYVADEIGVLSPNQMQVDELYAGEVGYLSASIRSVADARVGDTITHYSKKAASALPGYSEATPMVFCGLFPIDADQFEELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYRVNCADGETVECSNPSLLPEPGKRRSIEEPYVKIEMLTPKDYIGPIMELAQDRRGEFKEMNFITESRAKIIYELPLAEMVGDFFDQLKSRSKGYASMEYSLVGYRESQLVKLDIQINGDPVEALSTIVHRDKAYSVGRALTQKLKELIPRQMFRVPIQACIGTKVIASEALSAIRKDVLSKCYGGDITRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >KQL28667 pep chromosome:Setaria_italica_v2.0:I:7356247:7365311:1 gene:SETIT_016561mg transcript:KQL28667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATASRLAVLAPRPSPPAPGRRRHAPAPGCAPSRPRSLSAAAAPRGRVLCLAAPAPAAASTIDAGQDRLLKVPISNIRNFCIIAHIDHGKSTLADKLLELTGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYVMNKEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVAQEIEEIIGLDCSDAIRCSAKEGIGITEILDALVTKIPPPKDTSKDPLRALIFDSYYDPYRGVIVYFRVTDGSIKKGDKICFMANGKEYVADEIGVLSPNQMQVDELYAGEVGYLSASIRSVADARVGDTITHYSKKAASALPGYSEATPMVFCGLFPIDADQFEELREALEKLQLNDAALKFEPESSSAMGFGFRCGFLGLLHMEIVQERLEREYNLNLIITAPSVVYRVNCADGETVECSNPSLLPEPGKRRSIEEPYVKIEMLTPKDYIGPIMELAQDRRGEFKEMNFITESRAKIIYELPLAEMVGDFFDQLKSRSKGYASMEYSLVGYRESQLVKLDIQINGDPVEALSTIVHRDKAYSVGRALTQKLKELIPRQMFRVPIQACIGTKVIASEALSAIRKDVLSKCYGGDITRKKKLLKKQAEGKKRMKAIGRVDVPQEAFMAVLKLEKEVL >KQL32232 pep chromosome:Setaria_italica_v2.0:I:41876939:41877679:-1 gene:SETIT_020502mg transcript:KQL32232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSKANPGSLHDLQSSSAFMVPSGLRIIFPCYFHAVWLQTGQASGRW >KQL28978 pep chromosome:Setaria_italica_v2.0:I:9369894:9372596:-1 gene:SETIT_019265mg transcript:KQL28978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENSARPVADGFLRFLIPAPKPRRPAAPAAAARLEPPHRLVAPPPAVPVLRPEERLHIVPPTRPAWLPPPAPRKPSPPSHSPSPGDAGARPRPFPPAFNEPRTRNAGRFGGRGNGARGRSPGVTAHKGGGGRSVPQRSKPAGVVHKKKAWVAVEKKGEDAGDEDRAAGSEGYSGGDEAGIEAEDQLEPEDEQYTGGHREGLDREDDANNSLHMAANQERSDGGGGGDERISEQLVSQSNQAPQLSGRMRRWQVECRPEIDTFTPGLLALYESLKPSEEHKSKQNQLVDSLTKSVSKEWPDAQLHLYGSCANSFGTSHSDVDVCLEMETGTESTAEALLKLADVLRSDNFDNVEAITSARVPIVRMSDPASGFSCDICINNLFAVANTKLLKDYAQIDQRLLQLAFLVKHWAKLRGVNETYRGTLSSYAYVLMCINFLQLREPKILPCLQAMEQTFTMNVDGTECAYFDEVHHLQDFGADNKESIAELLWAFFHYWAFDHDYRRDVISVRMGNTISKQEKNWTTRVGNDRHLMCIEDPFETGHDLGRVVDRQTLRILREEFERAAGVLQYNDDPCVTLFEPYD >KQL28417 pep chromosome:Setaria_italica_v2.0:I:5742405:5743067:1 gene:SETIT_018806mg transcript:KQL28417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVSCFIYHSSMLVSSYCASTLIYLQFHGHRHYGNAFNGTESAKESSKKKPFVEKVKVLTKELLERYWAPLRPGEKLIVSSTIGYCGFLLEMVHTTEKQKL >KQL29934 pep chromosome:Setaria_italica_v2.0:I:26638628:26639126:1 gene:SETIT_020375mg transcript:KQL29934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSRKMEYLNCAPASRSARGPPAAVAIICRSCHGGADAYGGRGAAQVRPAAPA >KQL32126 pep chromosome:Setaria_italica_v2.0:I:41454088:41455815:1 gene:SETIT_019062mg transcript:KQL32126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLPGRAIAAAPGGFLHLSLLASLRRRPSLQAHAQLLLLGLPLQPAAASRLLRPHLRAGHPLASLRLFLRMLRDHEPSPTSATASQNQEAVPNSYSLSAALAACSRHESPSLGFCIHAFVLKSGFASDIFVTNSILHFYASFGLHSLARKLFDEMPVRDTVSFNTLIDSYVQSGCIDGAFGVLRNMVDGGFRMDGWTITALLGACAELGDLNAAKAAHGVARRTLRLKLFNSGEVVIGLVDMYVKCGALQLARKVFDLSEEKAKVVRVWSVMLSGYSRAGEIDVAQRLFDKMPNKDLVAWTVLIGGYVQAGRSNEALRLFQEMEATGLEADEVTVVTVLSACVQHGAIDLAKRLHCRVKQNGVISRNARVATSFVHIYAKQGYIQTAMDVFHGVVDEFKTVELFNAMIHGLAHHGYGEKAISLFDEMESLGLHPDEITFVGVLCACSRSCLIIQGWQMFNSMLDKYGVRPDVKHYACMVDLLGRAGRLDDAYSFIQNMPCKANRVIWSSLLTACKVHGNNKIRKLVEKQLLELDTTYKPEKLTLSGLFSDEKRKEVAARVRKTIRHKSERRHTR >KQL28706 pep chromosome:Setaria_italica_v2.0:I:7612843:7616004:1 gene:SETIT_019488mg transcript:KQL28706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTLHFSHMIYSNKFPETFLGLALVLLLFFTSPISSCNEQESNSLLHFLAGLSQDGGLTKSWQNGTDCCIWDGISCSPDRMVTDVFLASRSLQGFISPFLGNLTGLLRLNLSYNLLSGSLPLELVSSSSIIVLDVSFNQLNGGLQELPSSTPRRPLKVLNISSNLFLGQFPSSTWEAMKSLVVLNVSNNSFTGQIPTTFCVSSQSFVVLELSYNQISGSIPAGLSNCSNLTSLSVGHNNLNGTFPGDLLNIALLEHLSFPNNQLEGSLGNISKLKNLVTLNLERNRFSGDIPDSIGELKRLEQIHLGNNNMSGELPSNLTNCTNLITIDLKSNNLRGELTKVNFSKLPNLKTIDLMGNKFSGAIPESIYSCNNLTALRLSFNSFHGQLSEKIGNLKFLSFLSLVDISLTNITSAFQILGSCKNLTTLLIGLNFKHEIMPQDERIDGFENLQVFSINDCSLSGKVPPWLSKLTDLEMLFLFNNQLTGSIPDWISNLNSLFYIDLSNNSLTGELPTALMEMPMLKTDKVGPEVFELPVYPLYTPQSLQYRMPSAFPKVLKLGNNNFTGEIPNKIGQLKGLLSLNLSFNKLSGEIPEAICNITKLQVLDLSSNNLTGTIPAALNDLNFLSQFNVSNNDLEGTIPTVGQLSTFLNSSFDGNPKLCGPMLSDHCGSSKTPWVSKKRHNKKAILVLALGVGFGGIFILFLLVCLLFLFRRTSFTTRNRSNNKDAIEALPSNFISEQSLVMVPQRKGEQNNLTFIDLVKATNNFDKENIIGCGGYGLVYKAELPNGSKVAIKKLNSDMCLMDREFSAEVEALSMAQHDNLVPLWGYCIQENSRFLIYSYMENGSLDDCLHNRDDDASPFLGWPMRLKIAQGASRGLSYIHDVCKPRIVHRDIKSSNILLDKEFKPYVADFGLSRLILPNKTHITTELIGTLGYIPPEYCQGWVATLRGDMYSFGVVLLELLTGQRPVPISYKSKELVQWVREMRSEGKQIEVLDPALQGTGYEGQMLKMLEVACQCVNHNPSMRPTIWEVVYCLDSIDANLRMQNSVTIE >KQL28827 pep chromosome:Setaria_italica_v2.0:I:8339416:8346935:-1 gene:SETIT_016121mg transcript:KQL28827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKRAQTDLAVPEAVPASDASRDDAAAAEAPAKKKKLAMERKKERKELDKERHRQSAESDAAKLQPPATEAAAPANPTPAPAATGPGLHMNVFRDLASPEASVREAAAEALVSELREVQKAYEKGARKGEREAADGDASSQMEAEKDDGLDNCAPSVRYAIRRLIRGISSSREYARQGFALGLAVVLESIRAIRVEAVMKLIPNLLEYSSSMKGPEAKDNVLGRLFGFGAIVRSGRVSRQWTRDKSSPIVKDFVNEVVELSTKKRYLTEPAVAVILDLVRKLPDEAILSEVLEAPGVQDWFHRAANIGDPDALFLALKLQERTSVQKEIFGKLLPYPFSPENFFAEQHLKSIAACFKESAFCLPRIHSLWLVIMEMLVREASQHDINTTSSKKHKKNKKASSSEDTKKNLQNFCEVVIEGSLLLSSHDRKHLAFNILLNLLPKLSPPAIQVVLSSKVVLGLMDILSNESSWLYNAGKHFLKELKYSGGRFDSMTKTKIVKELIGKFQSVEDCLCLVQNLMALFVDEESVTDEPSDQSQTTDEASEIGPTEEQGPLGQGNVDLLKSWVVNTISCVLKNLKLTSKGNSDSEMVKCIEEKFQVQTEVLKFLAVQGLFSASLGTEVTSFELQEKFKWPKNPISTSLRNECIEQLQFLLEDAQKDEALHVASEVKSNDLGYYFMHFINTVCNIPSVSLFRTLSGNDDNAFKKLLAIESMLFHEERKAGPGLDSTKMHVMRYLLIQLLLQVLLHPDEYWEAAVDVTICCKKSFPAIAQGDNSSGQESGEQGSQESDEDGSEQSGKDGPEDSNEEVSLEFMDVLVQTFLSILPHASGPVFRVFCDDITETGLLDMLRVVKIDLKGRGQTDSDDEDDGRVDIEDDDETVMEDAEVGEIDDADDLDEDTEDDSTDEGDADQDDPKAVANKAKDGDKAEATKDGDDSDDSDGMDDDAMFRIDPYIARIFKERNLPGSESKQSQLMRFKLRVLTLLDVYLQRNPGRILVLEVYSFLMQAFVKSHGADGSEQFRHRIAGILQRRIFKGREYPEGNDIEFSKLESLLQKALRLASRSRYSTVASIAQNATFWILKIINSMNCTEEQLASVVDKFRSILNDYDRKKSRLKLGFVKEVVRRYPWIGQELFGFVLEKVKSTRAEYRRNQLLELVDCILKSWVGDASEVLMNHLAQLCELIQDVLSNVPENKSRRKEVRNFCTRILQTVLKLNLKEQFKNALSPETYSLCQGQLGTAFAPFKNDSK >KQL29176 pep chromosome:Setaria_italica_v2.0:I:10998804:10999820:1 gene:SETIT_020162mg transcript:KQL29176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACVEPAVAVCNHAAAGPACLKRRRIAVGSAEQYEDIGRLGEGAFGAVVKARHRATGRIIEIKRIGKAQGRHAALLREARFLEEASGGGANPFVAGFRGVVRHPDTFDLSLVMESVGPSLHDLLRQRGCGSPPLPEATVRAAMWQLLRGAKKMHHCHIVHHDIKPTNILVGDSHHVVKFCDFGLAMSTDERPPYKAAGTLCPTMKELINNGSPLFQGLYSEGQLCAIFDVLGTSDDTTWPWFLSTAFATVEGFEILSGLLACNLEKRLTAAAALKHPWFDKIDVLELPKKEELASPMLLRPKRRRIHAVWAT >KQL28380 pep chromosome:Setaria_italica_v2.0:I:5490562:5492427:1 gene:SETIT_020475mg transcript:KQL28380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMGIILWIPTRSPGEPLRFSPQKLFPPFAAI >KQL30563 pep chromosome:Setaria_italica_v2.0:I:31733134:31733583:-1 gene:SETIT_018929mg transcript:KQL30563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWTWVIEGNQHEEGEDQKEQKGPKPISLGSSGPIGLAHSGIRSTFHFFSVKSPTLFRIFSPTSTQNRCL >KQL29694 pep chromosome:Setaria_italica_v2.0:I:23425667:23430622:-1 gene:SETIT_019889mg transcript:KQL29694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFDGPPSFSDLVDRVTRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVAVVNHNGTQESEMLHHVLANIEVDNVSMGSEDCELEEDGVVGVQDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGWRILEIIRKDSETSVPSLVESIFIFSGYCVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWCIDSCGMMLPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYNGTLMMAVAVDPEQLLVPLAFALVESENNESWSWFMKLVRLHVLGPSRIVCMISDRHHGLLNCAKDHIDGFSPLVHRWCTRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFCEKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMTTNYSESLNGVFKGIRSRPVAGIIEYTFEKCNTYFVNRWRKAREMLDQGYRIGQVADNYLSKAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESYGGRHYRVDLNEVSCTCNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGAGMAAPVYPLIEAAYDLQHRAHHLVDLNENLTPLRARVQSPLRWDERYAQYLQRAGFLDIVVQVVGDLPPMDGPLLTAMVDRWHPETHTFHLPFGEMTITMQDVAMILGLPLDGQPVTGIIQNENWRDMVEMHIGIRPPEPEGGDNSKKTSDDARPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQLNHIVFSPTCYRDRELWRCTTPLILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRLKHAQYLLLWQNKQGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSEDDADIADTYDTVTRYGTQPERAPLHDYMGQQLARLSNKAGVIMEHAVGEGDGLLHQFAEVEAQTNFHLYSYYLCNHQ >KQL29817 pep chromosome:Setaria_italica_v2.0:I:25091661:25094960:1 gene:SETIT_018065mg transcript:KQL29817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAGRRLSSALSRRPAAAAAAGTRGPLAGALPGRDDDDTRDSRARFAIDSPFFTAARGFSAETLVPRNQDVGLAELPATVAAVKNPSAKIVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRHPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >KQL28839 pep chromosome:Setaria_italica_v2.0:I:8409369:8412668:-1 gene:SETIT_017500mg transcript:KQL28839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSVRLLAAAAVTAAGHRCAAFARVPVAASLSFPRGHPAPFRGRAAGLSCSASAAASLSLPSSSGPPPGSLPFNLLPPDSEPFIEWDPPPGDSAASPLGGGAGEGATLVVLLGWLGARQKHLRRYADLYRERGVGAVRFVVPVRELLGLDLGRRVERRVADLSAEIAAWCDADRRRTLLFHTFSNTGWLAYGAVLENLQSRADITERIRGCIVDSAPVLEIRPEVWAAGFSAAMLKKSSSMTGPSTESLDGPIVNGSLNRVSSNVMRPSWGECFILSTLQKFFEIVLYVPDINTRLRKVLSVLSDKQPPCPQFYLYSSADRVIPGECVESFMDLQRSLGRSVFAHNFVTSPHVDHYRSFPHVYSAKIDEFLKICSTVKVS >KQL29880 pep chromosome:Setaria_italica_v2.0:I:25935683:25937037:-1 gene:SETIT_020516mg transcript:KQL29880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVLEPLIVGKVIGEVLDHFNPTVKMMVTYNSNKQVFNGHEFFPSVVANKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGRELVSYENPRPNIGIHRFIFVLFRQSRRQAVSPPSSRDRFSTRQFAEENDLGLPVAAVYFNAQRETAARRR >KQL28320 pep chromosome:Setaria_italica_v2.0:I:5015077:5022225:1 gene:SETIT_016128mg transcript:KQL28320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDGVDDELGGGSVAPAPARFELQEDPSFWKDNNVQVVIRIRPLSGSEISLQGQKRCVRQDSSQSLTWTGHPESRFTFDHVADEHVTQENMFKVAGVPMVENCISGYNSCMFAYGQEKEIRRDEKLRFTCKCSFLEIYNEQILDLLNPNSVNLQIREDAKKGVHVENLTEHEISNAREALQQLIEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQVICKTETIKVLMFCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQNLKKEVSRLQGLVGSDKTEGLGSHGFVCESPSMFKWDQGHGTFSPLNFDKRTTQKNDYDAALVAAFRREQEKEAQLKATIAAKQIAEQLAAQKTEEVRSFKMRLKFREDRIKRLEQVASGKLSAEALLLQERESLVKELEVLRSQLDHNPEITKFAMENLQLKEELRRLQSFVDESEREMMHDQIIILQDKLLEALDWKLMHEKDPVNKGLSLFGESAGDEENEFLRLQAIQNEREIESLRKKLTFCLEAKENLERRVDELTTELEVAKKHDDINNECKAVELQEQGEAGLHNLSDAQIELKTLVDAISSASQREAEAHETAIGLAKENEELRMQLKVLIEDNKRLFDLYEHAIVNVEANQDGNCPTIPGNEHASGQQGSHPFGENLVNEDLPNAPPAGPSDLHAHNSSSMEEESKIADEKCINEDNLSRNTSAELCLQLEEMHEENDRLMGLYEKAMQERDEYKRKILEQSNSETVKEIRSDEKDDEMSEAADPKSLEVKHVHDSTILALKEVLQLVRTKLELVQDKVVSAQDAVKYFELLERVSRKAEELSASIQLRRLDVQHGQEETKALKSALSESQDKKDTFEGKYFLPAASCWNLDLKTKAIASSKFDSNFALMNEKKEQLNLLQTRKNQLSAMRTRAHESETELRSKIDGLKLKLRSYEAQRKEEEKVLFAIDNLDTSTALTHKPKNFSKATDLLKSEEERIKLSCELQNAREQLRIVHKEIKSMQKCDYIDCEMALLETEIEDCCLSLLEADIEKFVRDNTLAEVWEGEVKNMEALLIDYQDCVFHVNLKEEEIKVCEESLQHQARGLDELHLKLNQAMRELAELLHDRRSLTSCSLDQSMPPVGEKVATDLESVRIHVAEAKQLLLLDSQANL >KQL28228 pep chromosome:Setaria_italica_v2.0:I:4471358:4471805:-1 gene:SETIT_020377mg transcript:KQL28228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKETAVVPFEKIDAFFLRLRIGEHRILVSQNSSSQFEQPFIYCAQLFTD >KQL27923 pep chromosome:Setaria_italica_v2.0:I:1740138:1742711:1 gene:SETIT_020012mg transcript:KQL27923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSAAFEERVRQMEDARNHRLALLHCRLGLVLTALQSVQRTPLINV >KQL28452 pep chromosome:Setaria_italica_v2.0:I:6000230:6002460:1 gene:SETIT_016576mg transcript:KQL28452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATADAAYILVGPPEARHAGARATVDAAPAAAAAAAEAAATTGNEFLDVMDAGFNKPAAPAAGPGGKALTENLSPTFVSSGDPCLDFFFRVVPGTPGPSVSALLAAAWAADPATALRLVANLRGVRGSGKSDREGFYAAALWLHARHPRTLALNAAPVAGFGYLKDLPELLHRIVHGGASTRTPGKKARLAAGGGFVGRRGRGRGHFGGRKPRRGANHAHAPLTGTTEERVAASLERDRGLAAAAAAARRTRRAEAAARAVELYRTDPTYRFLHDRTADLFAGLLAEDMRKLADGKVREFSLAAKWCPSLDSSYDRSTLLCEAVARRLFPKGSSPELAADLPDEHYAYRARERLRKAALVPLRRALKLPEVFISARAWESVVYTRVASVAMKNYKDLFLKHDADRFNAYLADVKSGKKRIAAGALLPHEIIASLGDDDGEENDGGVADLQWQRMVDDMRALGRLSNCVAVCDVSGSMSGVPMDVCVALGLLVSELSDDPWRGRVITFSERPELHRIAGETLAEKISFVRTMDWGMNTNFQAVFDKILEVAVEARLAPERMVKRVLVFSDMEFDQASAEPWETDHEAIVRKFTEAGYGGAVPEVVFWNLRDSKAVPVEAGQKGVALVSGFSKNLLKLFLDGGGVVSPRAVMEKAIAGPEYDKLAVFD >KQL31088 pep chromosome:Setaria_italica_v2.0:I:35513066:35513818:1 gene:SETIT_019341mg transcript:KQL31088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPAKHPRDAAAASRIPQRLQAAAATVSTAQILRASGYCAAEAAALRALSDIAGRYIESLGRAAAALAEAHGRTEPNVADVVLALEEHALGGFPGASDPARPVLCSGALAELAGFVAAVTEVPFAKPLPRRDPGSGCGKGWESFAAAEREPPLRHVPHWLPRFPEGWEERLRGSVEAAAAKDDEDTGGVITVMPNGIVVENGRRAAVPENREKVSFRLREKRRRRRLAVPPEKSGGALERSGKKQENFM >KQL29814 pep chromosome:Setaria_italica_v2.0:I:25033243:25037398:-1 gene:SETIT_019695mg transcript:KQL29814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPGGARCGIRIQKPVNRHRDESSAAAAAAEPPPPAPPSDGLQEWAHGPPGSRGRNPSASAAAKRKGKKVLSPSPTSFECSMSPVSSDIVSVSGARQSSHVDTLVDGGGRPNVSWKNPLVEGIMEVPKVTRHIDLNEVFDYVLLERQWVKVEEMEMQQHIGPFDISALLFQRTPFSERIERQMHDCNPDSTEMVPHVNHQKMWYMFFLLTLNNNQSISVKTLHLSLSLTEMAAGRGVIFHSNALNLRRAYSEFRPVHGDGDCFYMGFIFSYLEQVLHRQDTHEEHRLLATVRGVARQQARLGWTSEFSWRHKVYWQCIFMWFMEPVQFFSYRTQKLLNFFSGYGRTNDIFTFLRLVAAIWICSHSEEFEPLVPELNEDCTLRDWCCREVIQCKVFTDHVQMTALVTTLGVPLRVEYLLQGAGQDLYTGQEDTQDDTPRNTCWPRRHHQVPRGHVVPCVTVLYTNAHYDIIYPHCRDVPSVDERCSQQIAQVQRLVAASSSQQIARGDSWSGRNSSQRIARGKSSTGVQIQINMMCRRKLTSTSAHEYDECRSIAE >KQL29952 pep chromosome:Setaria_italica_v2.0:I:26924335:26925764:1 gene:SETIT_017397mg transcript:KQL29952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLPPRALIPGGAPFDLGQPFHFAAQPQAAVQVHQGAFAAPAANQMQEPGNAVKASLSDEEAAADGHHGRGKAAAAPASQWHRVKWTSDMVKLLVSAVSYIDDDIDADHGTSSGRKKHAMLKRKGKWRLVSSAMTERGFPVSPQQCEDKFNDLNKRYKRLTEILGRGTACQIVEKPALLEQVSLSAKLKEEAKKHLNSKHLHYEEMCSYHNHNRHCLLDDPALQRSLRVALRSPDEQGKKCSFGYDDEDDQMFLFDDDDDDDGFNDDLEASAEDHLHHRVHGNKKLKHDHEGGHCGSHLSEVAAIDMNKMFAEGAGGSAAEKNLSGMNATQIERERLKIKEGMLKLEQSRLKWLRSSKEKDRELEKMKLENERMQLENERLELELELKEIEMGIKPKRIW >KQL28867 pep chromosome:Setaria_italica_v2.0:I:8546676:8551032:-1 gene:SETIT_016728mg transcript:KQL28867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLLRPPLPGPSLCARRRASPSPAARRLGSAALAVGGRRCRRGLSVAASAAPSWMEEAGVEVLEEGGRRNPSVSDSYRPAGLPRPNATVLEAQGRVCTGPGQTRPLGEEQAMRVLDTILRSAMGELKEEPVSSAQLGAFFAGMTIRANSFPEATQWSEGERRAMSIFWPRLVQVLPPEVKFIADPEGTIMGANGLTGPRYVGQGTREMRLVGALREVLAGGHLGYEEVQCVLKDILPIGSNSSSTVVSEALLAAFLIGQRMNRETDRELKAYCLAFDDELGPPPVADVKSLTHYGEPYDGNTRFFRSTLFVAAVRACYGESSLLHGVEWMPPKGGITEGQMLKFMGANIRLSPTHAKTLLEDENAGFAYLNLQEACPPLYSIIGLREHIKKRPPIATSEKVQQFVRARGRESMIAGFYHEGYEDPLLMLMRRRTVHAGLVVKGEEGALSMTTKERSAHASKGLPVNHCSGFRTPNSINSSETDGIPRESFRVIVNAQELGFESTETPRTDRSVLKNLELGLAALGGEKGAAYDRIVLNAAMADHLLGCSGAEDINAALDRTREAIDSGNALRRLMSYIKISHKVT >KQL31178 pep chromosome:Setaria_italica_v2.0:I:36082774:36089211:-1 gene:SETIT_016142mg transcript:KQL31178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTPQGKGWTGWSTPTPANQRSGGGAPAASAPLGKGKGRVTELEHELHEYQYNMGLLLLEKKEWAEKLEEISQRLKQKEEILKREQAAHLNAISEYERREESMRKALGVEKQCVIDLEKALREIRAEIAEVKFTSEKKITDAQSLEASLEEKSLEIEGKLHAADAKLAEANRKKAQVDRDLEEVEARQRRLEKEKLYFETERKAREKQLKEQEESLQEWEKKLKESQNRLVDLQRSINDREERANKNDQLFKIKHDELEEARKSVEATKLTLKAKENDINKKLNELHSKEKDADSKRKELEEREKKLIEREEKASIREKEGLQKLLEDHQVELKSKRRDFELELESERKSFDEKMTQKQADLVKREKDVKSLESKLSKTEQALNDKKKTVEGWQNDLDAKSKALKRWEESLKNDEKRLLEEKQHMDQEKQQVEVSKSELERIKSRLEAEKERILEAQNNLKLTEEERQEHSVLTERLKKEIEEYRMRNNSLSEEIEDLRKQRQKFEEEWEQLDEKRAHLAEEDKKVKIERMNLERWRDSEEKRLNDAKFEMEEKYKEQLENLDRKERVLNDDIKHKQMENDELLKGERADLQRQLQLHRHELEMEMEQKQASKEKELEDKANELNKKRDFVDNKLRHAIELNESKIQKIISEKKLLEAERKILLEERQKLETDQADIKRDIDSLHGLSQSLKVRREAYNRDMKNLIDLFEKYKVCKNCGITLFEGLDSLALKDSAEIEHPSLAVERDHRSLNADTSAPDTGTLVNSGGRLSLLQKCSRLFKFSPIKKGEQPTENIPFGARLEEASQSDGDYEPTPVYEIAHDSFGAEDDLPSESGARDNDESERHDPADDVQMESSVGVADNSIDILGAQSFDGTNDRAVDATIASTDQNGKDPAAPAEADLQPETSKQGRRQQNRKGRGKGGVKRTRSVRAVVEDAKAILGETFEEKNDGQGDSVAAVGGTRKRRFTGATISEQDEEGSEAHSESVSLGGQRRKRRQTAGAVTETPGEKRYNLRRSTVANATAATAQTDKKKAAKTGSKHMVQATADDTEGTSKADEEPAPESKKASESADYGASQLHEFSQAEIGDAHAPAEGTGEEDGDVVDGKDALPDVPMTPSGSELGAEQDDEDDDDSERRNQSISKKLWSFFTT >KQL31495 pep chromosome:Setaria_italica_v2.0:I:37946254:37948463:1 gene:SETIT_019308mg transcript:KQL31495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIRSDDMEKQDEVMLPGFRFHPTDEELVRFYLKRKIQQKSLPIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSTRPNRVTGAGFWKATGTDRPIYSSDGSKCIGLKKSLVFYKGRAAKGVKTDWMMHEFRLPSLTEPSLPPKKPLEKTIPPNDSWAICRIFKKTNLTAQRALSHSWVSPPLSSTNENYIRPSSQATHRSQHSSENTSSTMTNIVSSTIKFNGSSYMPSIVSSSRNPLSIIDSSSSRPAASLVLPPSGAEHQTMSVLSAIPLDLPAGMDNTSMVLNASHNTLQNLDRIPTNIEFGQPHHPNNSIIMANRCTLDLPDIGNSANSAPRSINFPFNLQGALPDDWRMTLPWDSLPCTTEVSINYQSTKCYT >KQL31224 pep chromosome:Setaria_italica_v2.0:I:36345289:36349318:-1 gene:SETIT_016740mg transcript:KQL31224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTTVDSKWMSPVTEDGSMDRRGKPAVKATTGRWRSAILLLANYGLVTCAFFGVGVNLVVFLRRVLHQDNAEAANNISKWTGTVYIFSLIGAFLSDSYWGRYITCAIFQIIYVTGLVILSLASWFLLVNPTGCGGVNSRCDEPSAPGVALFYLSTYMIAFGNGGYQPSIATFGSDQFDETDPEEARSKVAFFTYFYLALNVGSLFSNTVLVYYEDSGRWVMGFWVSAAAAALALVLFLLGTPNYRHFKPSGNPLTRIAQVLVAASRKWRAEVPRGELLHEVEGEDPKVSGIRRILHSDELRFFDRAATVTEEERSTPERMEDPWRLCTVTQVEEVKCILRMLPIWLCTIVYSVVFTQMASLFVEQGATMNTTIGSFRIPAASMSLFDILSVLVFIAVYRRALVPAMARVSGNPRGLTELQRMGVGLVIGMAAMVVAGVVEVERLRRVAAPDQPSSLSVLWQVPQYALIGASEVFMYVGQLEFFNGQAPDGVKSFGSALCMASISLGNYVSIMLVSVVTSLTAGERRPGWIPGNLNSGHLDRFYFLLAVLSLVDLAVYIPCAVWYKGIRLDGGDEVRKASAHV >KQL28929 pep chromosome:Setaria_italica_v2.0:I:8954240:8958689:-1 gene:SETIT_017321mg transcript:KQL28929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYVLSAVARVLEQPTAWGAVWEMALLAGPLWAAALIGLLLGWAWRPRWAAGLVATADGSAAAPAPAQAPFATLDFWKAQLPARLRAPLGYAGTAVQQREEDKAAAQGSSEMANEELAVGKEDLVNLWRLVEGRDGGPAWIKMMEKSLPTMTYQAWRRDAQTGPPQYQSSTIFENATPEEVRDFFGDDEFRMSNKWDDMLIYHKTLEECQTTGTMKVHWVRKFPFFCSDREYIIGRRIWKLGGAYYCVTKGIPCSSIPRRNKPRRVDLYYSSWCIRAVESKRGNGGLTACEILLFHHEDMGIPYEIAKLGIRQGMWGCVKKIEPGLRAYQEARAAGEPASKSALMARINTKVGDNFVRSLESNSQESEIVEAEEKPARNNVARFLVLGGAVALACTLDQGLLTKALIFGVARKFVGQRKTL >KQL30237 pep chromosome:Setaria_italica_v2.0:I:29195461:29198699:-1 gene:SETIT_019646mg transcript:KQL30237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVEPTHFASLDLGSAAQLLRASDVDAAMAFPALPVPGHVLHPAAGSPVRRGRSGFTALVSSPRKEADDASDDDDDEHPGCHELSPYLRHLRPVEEPPVRDPRDEGTADAWVSRSPSLLRLTGKHPFNGEPPLPQLMQHGFITPGPLHYVRNHGAVPRGDWASWAVEVSGLVRRPARLTMEELAGGFPALELPVTLACSSGRRKEQNMARQTLGFNWGPGAVSTSVWRGARLRDVLRRCGGVEPAARYVCFEGADDLPGGGGAGGGCGYGTSITLERAMDPTMDVMLAYMQNGGPLLPDHGFPVRLIVPGCTAGRMVKWLRRIVVTTAESDNYYHYKDNRFLPSHVDVKLADAEGWWYKPEYVINEMNTNSVITTPGHGDILPINATTAQSAYTVKGFAYSGAGKKVTRVEVTLDGGETWLLCALNHPEKPTKYGKYWCWCFWSVNVEVADLLASKEIAVRAWDQSLNTQPEKLTWNLMGMMTNCWFKVRINVCRPRKGEIGMVFDHPVQPGNQPGGWMARQKHLEIAEAAAAPPGIHRSTSAATVVTDTTTTKASNKKFTMSEVREHASRDSAWIVVHGHVYDCTEYLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLVPYLIGELVVTAGTGDSPDTTPIIQQAIRAAPAAAPGALSNPREKEGALPPRPPAPLRAPSSDQVLGLPS >KQL30879 pep chromosome:Setaria_italica_v2.0:I:33963331:33964380:-1 gene:SETIT_018348mg transcript:KQL30879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVTVEKDETGEPELLLERSRAITLQGRDRKGRAVVRIVGNYFPARALGGRAEEALRGYLRERMLPAIGGRDFVVVYMHSRVDRGGNFPGVGAIRAAYESLPAGDKGRLRAVYFVHPALQSRFFFATFGRFLFSSGLYEKLRYMSRLEYVWAHMDKGQLEVPDCVREHDEELERRPLMDYGIEATESRCMYDAASMDTSASLHSLRYVS >KQL27929 pep chromosome:Setaria_italica_v2.0:I:1807708:1808162:1 gene:SETIT_018983mg transcript:KQL27929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNLKGPTQIDNVEYGSDQSKDRSVTERKRGRESHERSICDREEKGTGTEQFSNLTSSQ >KQL27682 pep chromosome:Setaria_italica_v2.0:I:88174:89200:1 gene:SETIT_020212mg transcript:KQL27682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPVPALLEELVEEILLRLPPAEPDSLVRAALFHRAPPMLNLICNCVNENFYNIYLARFVPTYSFRPPHANRREWRALDARHGRVLLRGHCLPTTGTRLCSAWPVALAAPVTTSTATVDTHDIAGLLVRGWNLERADLPKRILKYDLATREINVHLQPPGSYRTCTTIMTVEDGGLGAWDGHKSVIDLPKLLSIDANSICSDFLGFAHGVGVIFVGTGDGLFSFDLKSGQVRNVCEAACYFNGIRGVVPFISFHTP >KQL29151 pep chromosome:Setaria_italica_v2.0:I:10710432:10711122:-1 gene:SETIT_020481mg transcript:KQL29151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWRSQAHVWNFPETIAGHRPFSRDDLIQESASRILAHLVGIQQAL >KQL30423 pep chromosome:Setaria_italica_v2.0:I:30483254:30487493:1 gene:SETIT_018568mg transcript:KQL30423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTNPDAIKRYTPPVHRNRANNRRKSGDRADKTNYSYNNDGEKSHVPSLKNLPPIVHHETFFSNVQNDYNQTRLVPLEGCSASEASQLLSDRWTAAMNLYNDQTIDSSDKPVMYSGSTSSSWGHLKLPHQMNFLEELRRAVDAHTDIASPVDTWN >KQL30120 pep chromosome:Setaria_italica_v2.0:I:28232673:28236555:-1 gene:SETIT_017622mg transcript:KQL30120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPRGRNLQARQRRSASSDVPLCSWKTKGTGKENDMSVTSEKNEWKGATCPVCLEHPHDAVLLLCTSHHKGCRPYMCGTNYRHSNCLEHFKEAYAKEKMALDVSAESAPGLPLSSNTQPASKQQCAMELACPLCRGEVKGWTVVEPARQYLNRKRRTCMHDGCSFVGSYKELCKHVKSKHPSAKPREVDPAVADEWKKFECETERQDAISTIRAMNPGAVIMGDYVLELNGGGSSHFPSDGDNFDLEERLNFFTSLDRTLNERIDLYDSSDGGLDEGFDFLASLFARGRRISTRDSFSRAYRRHRERPRRSTSSVDASDIQHDSVSTQRGRTGTVRAVGRTSRRMVAHTRPARGS >KQL28070 pep chromosome:Setaria_italica_v2.0:I:3123887:3125282:-1 gene:SETIT_018457mg transcript:KQL28070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSFGGFGDPVFSAAVQQLLDLPDELERQMSAPTRAYVRDRRAMANTPMDVKELPSGAIVLAVDMPGVSPADVKVQVEEGNVLTISGERKLPAEDGGQQAAADGGAGASEKQQGVKYLRMERRMGKFMRRFPLPESADLDNIRAEYKDGVLTVTVDKKPPPEPKKPRVVQVKAGHQQGK >KQL28908 pep chromosome:Setaria_italica_v2.0:I:8807072:8810950:-1 gene:SETIT_016257mg transcript:KQL28908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRALLAPLSRRSRRRLLLSRPLLAILSNTFSASASAPPPRAPPPPLPELSPLLPPRPEEAGSVAAASSAIATSFRDWFVEASGPVAAPLKALDAIYEALASDETAALEALPLSEQLVLAVLRHAPRRLPEGDALLLLRLKFFDWSGRRPRYRHTRAVYHAVFRLLSRARRNAVLVNWLRLFSDTTAAAGHLRFHDTLVIGYAVAGDPQRGLSVLGHMRFRGLDLDAVSSRILLNSLVDASLHDLADSFARNLAASPVTTCILIKSLCRRARLDDAVALLDTLPFAEASRGPAAGSIITEFCRRRRFAEAAQIVDKFASCDVYGAWIHGLIEAGRLDTTLKFIADKKETEGYIPDGQRYDKLVYRLLRCNRLGEVYDLLVEMMEEGIAPGRSTMNAALCFFCKAGLVEVAMHLYRSRMELGINPNKDVYNNLIRALCRGGETEEACLVLEQAMEGGHFPGRQTFAMFANMLCQEGKLDKVRELLDRALKQEVWPMDSVLAKYLVALCKSGNVEEACEVPQIASSKSHVGLYRYESTYKSLIRALILIKRVDILPRLMLEMQDMGHIPTRSLYQSVVCALCELNKYAEVLELLDSQLQRGELQPLVCYNYFISGAGHAKRADMAREVYNRMEISGIEPSAESNTLLLMSYLRSKRIGDALNFFNLIRGKKPPGTKLYNVFISGLCEAQKPEQAMVFWREARDNGVIPSISCYEHLVLLLCSVRDYDSVIKVIDDFRETGRPVSAFLCNVLLLHTLMGSSLLKALLRSRDKSKPLEVKGEEIQGREAGRLLIGDLITSFARGINNMNDLEHLGEEMEKYFPVDTYTYNMLLRGLSMAGRMDSACNLYERMCRKGYQPNRWTFDIMVHGFCKNGDRNEAERWMDAMYRNGFYPTWYTMRLYNSASLRAHDQKIISFV >KQL27961 pep chromosome:Setaria_italica_v2.0:I:2114297:2117100:1 gene:SETIT_018125mg transcript:KQL27961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGLSSQEKNGKLRATGAPPPPPSPSLRRLASTVAMGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKRKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKESNANHAEEALRRAKFKFPGRQKIIRSRKWGFTKFTRAEYLKYKSEGRIAPDGVNAKLYGVHGPLSKRAPGKAFLAENIQVSA >KQL29683 pep chromosome:Setaria_italica_v2.0:I:23073661:23076574:1 gene:SETIT_016809mg transcript:KQL29683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGKPDHLFHRPSTDVHVAALVLCRSRRLVAGKTNSIATAIDLTSPSAMSATAPPDAASVEKRKPAAHAVFFPVPAQGHVKAALHLAKLVHERGGVRVTFVHSDRNRRRVLRSRGPDALAGAPGFAFASVPDGLPPPSGEGGDDGDTPQYMVALHSSLETSAGSHLKKLLDDAAAAGAPATCVVSDVESVLRAAGEVGVPAVAFWTPSACGLMASQQCQQLIDKGFVPLKDAAQLSNGYLDSTVIDWVPGMPADMRLRDFPSFIRTTDADDAMLRRVLDLADCVRTVASAVVLNTFDELEGEVVEAMSAFLPPIYAVGPVPLLAQQVVVAGGGAPPLDAPPAASLTKEDDGCLAWLGTKRLRSVVYANFGSIAVLTTQQIEEFAWGLANSGYEFLMVIRDDQANGASGGGITPKFVEETKGRCYVTRWCPQVAVLRHEAVGAFLTHCGWNSMLESICSGVPMLCWPFGADQQTNCRFACMEWRVGVEVGGDVKRAEVEALVRDVMGRGEKGMELRRRAAEWKERAAAASEPGGSSRVNLDRLVNEVFHPSKKEL >KQL29800 pep chromosome:Setaria_italica_v2.0:I:24728069:24728331:1 gene:SETIT_019037mg transcript:KQL29800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMREGQKTPVYRLESRKDRAILIMIRVCTNRSKHPIRGYSSGSNS >KQL29847 pep chromosome:Setaria_italica_v2.0:I:25480293:25481532:-1 gene:SETIT_019593mg transcript:KQL29847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGDGDAWADQEQGNGGGSRGGGGGEAKRSEIYTYEAGWHIYAMNWSVRRDRNPQPRRGRPARRGLGRHRPRPRLRPPVPAHQDHVHPGPARDPPRPPRHSADHLRIWRIPSADDADAAANNNNNSGSVRCNGAPQPGVELRCELNGNRNSDYCGPLTSFDWNDADPRRIGTSSIDTTCTIWDVEREAVDTQLIAHDKEVYDIAWGGAGVFASVSADGSVRVFDLRDKEHSTIIYESGSGGGGGGGSNSGAGDGGAASPTPLVRLGWNKQDPRYMATIIMDSPKVVVLDIRYPTLPVVELHRHHAPVNAIAWAPHSSCHICTAGDDMQALIWDLSSMGTGSNGGNNGNGNAAAAAAAEGGLDPILAYTAGAEIEQLQWSATQPDWVAIAFANKLQILRV >KQL29923 pep chromosome:Setaria_italica_v2.0:I:26504328:26505125:-1 gene:SETIT_019207mg transcript:KQL29923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWREKELTYDRFKVAYLNPARISEPEHKLIMTKTIKAQIEYAFKIYILKGGVQNPKRMKAMKIIYHRFCHKQPPSSVLCGYYVCEFIRNNGRYRTKP >KQL29651 pep chromosome:Setaria_italica_v2.0:I:22624611:22624676:1 gene:SETIT_0161932mg transcript:KQL29651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQAFGPAPWTLKIFFISLTTI >KQL30476 pep chromosome:Setaria_italica_v2.0:I:30793750:30797841:1 gene:SETIT_017668mg transcript:KQL30476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGERGQLLPVSADDGKGNGGGGAGDDAALFKGSAMTRRGAFAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTCLLYVLRRLKIISFTNSDPSVPSDSLFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKRAIEFPYLYSPGFQAVLLFSCILAFLLNYTIFWNTILNSALTQSMCGNLKVGLLSSHSTKHKRKIL >KQL30477 pep chromosome:Setaria_italica_v2.0:I:30793516:30798287:1 gene:SETIT_017668mg transcript:KQL30477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGERGQLLPVSADDGKGNGGGGAGDDAALFKGSAMTRRGAFAALSYMACSVLLVMFNKAALSSYNFPCANVITLLQMVCSTCLLYVLRRLKIISFTNSDPSVPSDSLFFVPFRILLRTTPLSLAYLLYMLASMESVRGVNVPMYTTLRRTTVVFTMTMEYFLAKQKHTPPIIGSVALIVFGAFIAGARDLSFDARGYAIVFVANITTAVYLATINRIGKSSGLNSFGLMWCNGLVCGPSVLFLTYIQGDLKRAIEFPYLYSPGFQAVLLFSCILAFLLNYTIFWNTILNSALTQSMCGNLKDFFTVGIGWVLFGGLPFDLLNVIGQGLGFLGSGLYAYCKIKGK >KQL31859 pep chromosome:Setaria_italica_v2.0:I:40132579:40136648:-1 gene:SETIT_016920mg transcript:KQL31859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADWKEEQSVVEDGDIEDCLLGGVRGGSDDEVEAEAGSLNSQRWPRSFREATDSFTIAAPPGFGHLGGGDGSGLGSDLKLPLLSDKPEGKQDSVKNLLAEPLVSVLSDGKLTDNLKQAATAPITQGCSLTQTVFNGVNVLAGIGIFSAPYTISEAGWASLVVLAFFAIVCCYTGVLLKYCFESKDGVKTFPDIGEAAFGRIGRLLISIVLYTELYSFCVEFINLEGDNLASIFTSTTFDWHGIHADGKHFFGVLFALVVLPSVWLRDLRVISYVSAGGVFATLLVFLSVGLVGATSSAGFHMTGKAVKWDGIPFAIGIYGFCYAGHSVFPNIYQSMSDRSQFNKALYICFAICTTIYGAIAVIGYLMFGDKTLSQITLNLPKDSFASKVALWTTVIIPFTKYSIVINPLARSIEELRPAGFLTDRVFSVTLRTALVASTVCIAFLLPFFGLVMALIGSLLSILVALIMPALCFLKIARNKATRSQVIASVVTVVLGTVCAVLGTYNSIVKIAENY >KQL31604 pep chromosome:Setaria_italica_v2.0:I:38825135:38827229:-1 gene:SETIT_018759mg transcript:KQL31604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEKEILRDGTGPKPTKGQKVTVHCTGYGKDRDLSKKFWSTKDPGQQPFSFNIGLGSVIKGWDEGVMTMQVGEVARIQCTPDYAYGANGFSAWGIQPNSVLVFEIEVISAQ >KQL30007 pep chromosome:Setaria_italica_v2.0:I:27448172:27448648:-1 gene:SETIT_019450mg transcript:KQL30007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELDYLKKLEEDLAEAKGELVELRQRQAQMEVAVSSLSVQFSKGLAVFSGLSKGKEVAVVSAPAAIGQEEYNGHGRVRSDRWDESRAEEWMASLEYLPSLSEALAIKMIEDDLGDRKERKAKSSKSKPATRKKHKKQRSGISLVGGMLFSKKAKSR >KQL30738 pep chromosome:Setaria_italica_v2.0:I:33032638:33033672:-1 gene:SETIT_018560mg transcript:KQL30738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSRIIRTAKAGLGSSVGLCISGSSLSKIKSRDGKRHKKDSKTRNKIGEVSTVTQWWQQGYWFDSASESPQFFQKRELNILIATTSPTDEKKRRSPNEKIRRKIANKPENERRNQMARFSGIIGIQINLTYGERPVIWSVELEYYRVVTAITPFED >KQL30461 pep chromosome:Setaria_italica_v2.0:I:30695169:30697013:-1 gene:SETIT_017123mg transcript:KQL30461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTPAAAGRGRVRLNVGGRVFETTAATLATAGRDTMLGAMLDASWNAGHDADGGAAEYFIDRDPACFAVLLDLLRTGGLHVPPGVPEAALHREALYYGLLDRVRAARFGDFDGDRLRLAASVPGRAPGDGTAVRAAPDGGCCVAHGGAVRVYNWMLEERRPVYCPGHAPVNDAAYLDAATLLVAARERPGTGRRGDDGGGGGGGGGVAAFSALTGEPRHRFRVAHGRQPRSFTAGALAFDDGEGCRVFASCKGRLNEYGVAVWDVNTGEQAGFFYEPPGCALGDADRLQWLDGTGTLMAATMFPRADSSFISLLDFRDKSVVWSWSDAGTPASLEDKHAVHAVAMEDGRSVCVINQYHDLGFLDLRKNAAGVRWRSRSKLTASGKTKTWGEETCYPKLATHGGQLFASTGDAISVFSGPDHVLTSTLRGGNGGAICDLSIGGDRLFALHSEENVFDVWETPPPAII >KQL29783 pep chromosome:Setaria_italica_v2.0:I:24408747:24409281:1 gene:SETIT_019501mg transcript:KQL29783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRQATALMEIVRFSTNRSGEIGKLSPVWAKCLAPLVSSSTIMVQGKIVFPMMELRLMQEVLLYVSFYIHRSSRCLIAPENAHYPDNRLRGL >KQL30127 pep chromosome:Setaria_italica_v2.0:I:28260840:28265534:-1 gene:SETIT_017198mg transcript:KQL30127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSGSVGGGSSAGGSSAGGGAAVPLAVLLRREVASERTASERPELHSGLFSQAKKGEDFTFLKPDCERLPGVPSSSFSAFGLFDGHNGNGAAIYTKENLLNNILSAVPADLNREDWLAALPRALVAAFVKTDKDFQTKARSSGTTVTFVIIDGLVITVASVGDSRCVLEAEGSIYHLSSDHRFDASKEEVDRVTESGGDVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGQFIVPVPLVKQVKLSTAGGRLIIASDGVWDALSPEAAFNCSRELPPEPAAEQIVKTAVHSKGLRDDTTCIVVDIVAEKNSSSMPLPKKQQGIGVFKNMFCKKKSSDSSSHADREYMDPDIVEEMFEDGCALLSRRLDSEYPVRNMFKLFICAICQVELKPNQGISVHEDSSQPGSLRRWDGPFLCQSCQEKKEAMEGKHRSRDSSRNSGSGE >KQL29277 pep chromosome:Setaria_italica_v2.0:I:12656611:12657851:1 gene:SETIT_019673mg transcript:KQL29277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRTSVQQQRWLRVTSDRAFLCRFRHLHPRHLLSFYIRTTGPPPLVRFRRGCARLPRRLLHHLCRRGRYAVCSPLHPARGVATVPWPCIIPGTVRRPLLAVDDGDQTAVALVLRGRRVWVHLSDLQAQDDCRASALVLLPQYWGICPKFGLLAYGNLYMISKAGHIIGLDLPTKRLFDINLPGVVEDMSDGALLQVAAVGDNADFLFLRIENEVFYMHIGSRAVEKIYVLQEPNCDIHPFMMVWPPTFPALDDGLTG >KQL30453 pep chromosome:Setaria_italica_v2.0:I:30642803:30646698:1 gene:SETIT_016926mg transcript:KQL30453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDKGKKWRIARTDSPAIKGAPPPTNSPALHQELIPEYTVTPPHHHLTPAACFTPRRAFPDPPSSSIAPAKMKITVRGSEIVYPAAETPRRRLWNSGPDLVVPRFHTPSVYFFRRKDAEGNDLAAADGSFFDGARMRRALAEALVPFYPMAGRLARDEDGRVEIDCNAGGVLFQEADAPDATVDDFGDFAPTMELKRLIPTVEYTDDISAFPLLVLQVTHFKCGGVAIGVGMQHHVADGFSGLHFINSWADLCRGVPIAVMPYINRSHLRARDPPAPAYPHIEYQPAPAMLSEPPQAPLTAKPAAPPTAVAIFRLSRADLGRLRSRIPAREGAPRFSTYAVLAAHVWRCASLARGLPADQPTKMYCATDGRQRLQPPLPEGYFGNVIFTATPLADAGTVTAGVAEGAAVIQEALDRMDDGYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDADFGWGRPVFMGPGGIAYEGLAFVLPSANRDGSLSVAISLQAEHMEKFRKLIYDF >KQL28420 pep chromosome:Setaria_italica_v2.0:I:5763678:5767295:1 gene:SETIT_017103mg transcript:KQL28420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMPLLCCSSAFVVVLLLLLCRPLGANGRAAPPGASPPSPGAPAGQPVQQAAPAVNGSAAAAAGLPAAAAPPPLVVIVVEGHHHLRRELIAAIVLSSVAGVMIVLAALYAFVLWWRSRRGLVDSKDTQSIDTARIAFVPMLNSFNSYKTSKKSTAAMMDYTSLEAATGKFSESSVLGVGGFGCVYRANFDGGFAAAVKRLGGGAQNCEKEFENELDLLGRIRHPNIVSLVGFCIHEENRFIVYELMENGSLDSQLHGPSHGSALSWHIRMKIALDTARGLEYLHEHCNPPIIHRDLKSSNILLDPDFNAKISDFGLAVTSGNHSKGNIKLSGTMGYVAPEYLLDGKLTEKSDVYAFGVVLLELLLGRKPVEKTAQSQCQSIVTWAMPQLTDRSKLPNIIDPMIKNTMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPMELGGTLRINPESPYATQRHSPLLR >KQL31725 pep chromosome:Setaria_italica_v2.0:I:39392396:39393949:1 gene:SETIT_018533mg transcript:KQL31725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGKRTIGMGMDYSPSSKASARWAIDNLLKAGDRIILVHVIPKGADASHKELWKSTGSPLIPLPEFMEMNVQARYGLVPDKEILEILQAASKAKQVEVLAKIYWGDAREKLCEAVDDLKVNSFVLGCRGLGPLKRALLGSVSNYVVNNATCPVTVVRGPTASSA >KQL31343 pep chromosome:Setaria_italica_v2.0:I:37003400:37004299:1 gene:SETIT_018445mg transcript:KQL31343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFYNQKANKSSLFVSVLTPIPYPGQLVFWARASVPCFDCMAGAHSVVFLATGLAMVFVVHVLVLFWALNWCCRAQPSSRVGERAEEEGGGGLSAEQVGELPCHECKEGAPVGGECAVCLEAFRAGDRRRVLPGCEHGFHAECVDSWLRKSRRCPICRAVVVAARGKNAGEVEAATALEIVTQR >KQL31344 pep chromosome:Setaria_italica_v2.0:I:37003431:37004299:1 gene:SETIT_018445mg transcript:KQL31344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAHSVVFLATGLAMVFVVHVLVLFWALNWCCRAQPSSRVGERAEEEGGGGLSAEQVGELPCHECKEGAPVGGECAVCLEAFRAGDRRRVLPGCEHGFHAECVDSWLRKSRRCPICRAVVVAARGKNAGEVEAATALEIVTQR >KQL28191 pep chromosome:Setaria_italica_v2.0:I:4194804:4199261:1 gene:SETIT_017313mg transcript:KQL28191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAMDISKPTPAASGDEAAAAAKGRSGGGGEGLRQYYLQHIHDLQLQIRQKTHNLNRLEAQRNDLNSRVRMLREELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYMLHLVLPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGTGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRFDILKIHSRKMNLMRGIDLKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKDTEKNMSLRKLWK >KQL30822 pep chromosome:Setaria_italica_v2.0:I:33613652:33617544:-1 gene:SETIT_017566mg transcript:KQL30822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSTSSPVITDPLSISPPLLGSLASNMMQFSVMSGGCSSPSMNVSASRRKIEEVLVNGLLDAMKSSSPRKKHNLAFGQGNSLDEDPVYSSWMSKCPSALTSFKQIVANAQGKKIAVFLDYDGTLSPIVDDPDKAFMSPVMRAAVRNVAKSFPTAIVSGRSRKKVFEFVKLKELYYAGSHGMDIVTSVAEHNTEKCKEANLFQPACEFLPMIDEVSKSLLEVISGIEGASIENNKFCVSVHYRNVAEKDWQVVARLVNEVLEAFPRLKVTNGRMVLEVRPVIDWDKGKAVEFLLQSLGLNDSENVIPIYIGDDRTDEDAFKVLRERNCGYGILVSQVPKDTEAFYSLRDPSEVMGFLNSLVRWRKRSL >KQL28909 pep chromosome:Setaria_italica_v2.0:I:8828608:8832359:1 gene:SETIT_017452mg transcript:KQL28909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSNSPPPHSLPPPTHPTPFPPPMAAPAPAPAATHLQRPFLLLPSPPRPHPPLRLRFLLPSPPPLRLRRRFPLLAAAAVSAGGGGGEEASRKADKARQLQKRVLVGVAIGVGAGGIVVAGGWVFAAAVAAAVLAGSREYFELVRSTASGGGTPPPRYVSRVCSAICALMPILTLYYGRMDVTVTFSAFVIAISLLLQRGNPRFAQLTSSVFGLFYCGYLPSFWVKLRSGLAAPALNTRIAYSWPILLGGQAHWTVGLVATLIAISSIIAADTSAFLCGRAFGRTPLTNISPKKTLEGALAGLAGCVLTTVLLSTFLRWPRSLLSATAYGILIFLGSLFGDLVESLIKRDAGVKDSGSLIPGHGGILDRVDSYVFTGALCYSFVRVALPLYGV >KQL32122 pep chromosome:Setaria_italica_v2.0:I:41438858:41440160:1 gene:SETIT_020112mg transcript:KQL32122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFINIAVHILSAPALHVSGFKGSCSQIWQRSSYWSTRQSQSKVYPLFIPRKNVCTGGEESSLTESGPANFGVDSIDSVKKHSFDSKRSNSFNFSGASSHSMEKHQYLQRGISLLKTSVTAITTYYYNSLGLDVPSNLSTFEAFAKLLHMLSSSKALRAALESNIASRSEKQAQQLNKSIWKASSAISSDSSFMDSIHTTIMPSTLDNLLLNSNKSFLYTSKLVKHGGVPDNILDEVLPPPPSEVENVAQCERAMYADGGTKKK >KQL29633 pep chromosome:Setaria_italica_v2.0:I:22346170:22346685:1 gene:SETIT_019182mg transcript:KQL29633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFAAIVLAGAAIMTTTSVVDAQNSAKDFVDLHNAARADVGVAPIAWNNTVAAYAQTVAAERSDNCALLHSNGPYGENIFWGSAGANWTAADAVGAWVAEKQYYNCNDNSCSAGQSCGHYTQVVWANSIKLGCAMVVCDSQRGMFIVCEYEPRGNVLGVPPYATCGQFNRS >KQL28873 pep chromosome:Setaria_italica_v2.0:I:8588942:8591585:-1 gene:SETIT_020102mg transcript:KQL28873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVVPAAAADGGSRGCTRSAAVVTTAAAAALALLQLCSCGVAAAAGSKEGGGARYKDPTRPLNARIDDLLGRMTLAEKIGQMSQIEREKATPDVINKYFIGSVLSGGGSVPAANAPPEAWVKMVNDMQGGALSTRLGIPMLYGIDAVHGHGSVYKATIFPHNIGLGCTRDPELVKRIGAAVALEVRATGIPYIFAPCVAVCRDPRWGRCYESFSEHPELVQNMTSIISGFQGEIPAGGRKGVPFVASGGRRNVAACAKHYVGDGGTAGGINANDTVATFHELLSLHMPPYYNAVIRGVATVMVSYSSFNGVKMHANHFLVTDFLKKRLRFRGFVISDYQGLDFITTPEHADYLLSIKLGILAGIDMVMIPFNYTEFIDDLTLLVQNGTIPMSRIDDAVRRILRVKFTMGLFENPYADTSLAGELGKQEHRDLAREAVRKSLVLLKNGKPGDKPLLPLPKKAYGGSILVAGSHADDLGSQCGGWTITWQGSTGNNNLTAGTTILDGIKRAIEPAGTDVVYAGNPDADFVQRNKARFYYAIVVVGEPPYAETFGDNSNLTIPAPGPDVIRNVCGSIRCVVVIVSGRQLVVEPYLDAIDALVAAWLPGTEGQGIADVLFGDYGFTGKLSRTWFRSVEQLPMNVGDAHYDPLFPFGFGLETQPSKF >KQL30375 pep chromosome:Setaria_italica_v2.0:I:30190774:30191967:-1 gene:SETIT_019676mg transcript:KQL30375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTLLVAFMALLLVVGPCHARPAPQQAPNKLSAKEKAAAADGVTAIYNFGDSLSDTGNLLREGATGMLRHSMGPPYGSAIGGATGRCSDGYLMIDFLAKDLGLPLLNPYLDKGADFTHGVNFAVAGATALDAAALARRGVAVPHTNTSLAVQLQQFKDFMSANTRSPQEIREKLSHSLVMVGEIGGNDYNYAFSENKPAGNGERNLYNFGRMATGVVEAMALVPDVVRSVTDAARELLDMGATRLVIPGNFPLGCVPSYMSAVNETDPAAYDANGCLMSLNLFAQMHNVLLQQEIRELRRSYPSATIAYADYFYAYVRMLRDAGKTGFDEGAVTKACCGAGGGAYNFDMERMCGAPGASVCARPEERISWDGVHLTQRAYRVMTDLLYHKGFASPAP >KQL31491 pep chromosome:Setaria_italica_v2.0:I:37908548:37908978:-1 gene:SETIT_020172mg transcript:KQL31491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEQAARELNVGVTGMKKRCRELGIPRWPHRKVKNLQTLIDNVQELGKETEEVDGHLTRIVVERLQQTKKLIEERPEVPLDEKTKQLSQACYKENWKRKRGSRPGQHRAS >KQL29127 pep chromosome:Setaria_italica_v2.0:I:10485275:10491676:1 gene:SETIT_016612mg transcript:KQL29127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAMVERATSDMLIGPDWAMNLEICDILNRDPGQAKDVVKSLKKRIIHKNPKVQLLALTLLETMIKNCGDIVHMHVAERDILHEMVKIVKKRHDYHVKEKILTLIDNWQEVFGGARARYPQYFAAYQELLRTGAVFPQRSNGSVPIITPPQTQPLQNYPPPLSISQQEEPELSIPDFPALSLTEIQNARGIMDILSEMLDALDPCNREGLRQDVIVDLVDQCRSYKQRVVQLVNTTSNEDLLSQGLSLNDDMQRVLAKHDAIAAGIAIQVEKPKYLQSQIESSATRKPDTAKEPVQRSSASTSSTNQSPFEILALPAPPSSSSSKAPVAPAAKIDLLSGDDYFKPEPANSLALVPVTEYSASDQNVLAFADMFEQNTTNKSNHNLPNSFNSSTPNSTSNAIVPYDQQPELNSTGSWNGQPAYGTGHQKQALYYGTDNRNGGIPPAPSEIKRSTNPFDDDRPVGPALQPGQSASIHPQPVHVSQQGNGFMPVQPMSRGQPGGMQLQPVTGTQLVPPQPQPMQMNMQYGVMYPLMQMNQRMGMYSQPAFGGGFYGMNQAQLYGVQMSGYGYGQPSGGYYIPNAAYAYASANEHSQRMNGLSVQNGGPNGTTTNKQSRPEDSLFGDLLSIAKMKQSKPAAGKVGS >KQL29003 pep chromosome:Setaria_italica_v2.0:I:9633197:9635600:1 gene:SETIT_019518mg transcript:KQL29003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDHRCMAATAAASAGDGGGSVEAALRPLVGANAWDYCIYWRLSPDQRFLEMAGLCCSSEFEAQVSALGELPSSIPLDSSSAGMHAEAMMSNQPIWQTSCVPELPTSYSTELGSAGPRTRLLVPIAGGLVELFAARYMAEEEQMAELVMAQCGVPARATEGEGDEGGAAVHTWPEAPGFAWDGADPQRMFGAVPPSLSLFDAAGDPFLVAPPPGVVDDAAAAGWQYVAAVAAQQEQHGAARAGGADSGSEGSDLQGDPEDDGDDDVQGRGGGGGKGGGKRQQCKNLVAERKRRKKLNERLYKLRSLVPNITKMDRASILGDAIDYIVGLQNQVKALQDELEDPADGAPDVLLDHPPPASLVGLENDDSPRASKRARVAAAAPAEEEKGHDMEPQVEVRQVEANEFFLQVLCEHKPGRFVRLMDAVNALGLDVTNVNVTSYKTLVLNVLNVARRDNEVAVQADRVRDSLLEVTRESYGVWSAAAPAAGSSGSIDVKLDCVDVKLDGGVDVQAPAAAAEDHYGGYNHLLQYLT >KQL27846 pep chromosome:Setaria_italica_v2.0:I:1132400:1133784:1 gene:SETIT_017774mg transcript:KQL27846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSATAALLLLLLSLLAVVAHCRPIEAQSATDDAEPVAAVAAGDDDENGPPNPILPAEAGAAAGVALPAEEQQHHGFLRLPSHRLRHHRPCRHGPFFHRHHLWWALHHGALGDAPMRRFHHHRHGEALSHLAALPLPAEEAREAAGEEVKAVAEPDPDRSLPGGDGEPAFGDADGAHEAETDHEDESAAVTALKKEMLRRWFHHRHGMRLHHRHHDEPEEEAAAEGLKRFHHHLHKEEEEKDMTRKRFRHAEHDDSDSDDEDEEVEEMVRRFRKAIMRRRFGHGRRFHHHHHGYGYRHAEETEKADAQDEGGVVAWIKGLINRF >KQL28584 pep chromosome:Setaria_italica_v2.0:I:6824897:6835160:1 gene:SETIT_016131mg transcript:KQL28584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLNITNILEKMTGKDKDYRYMATSDLLSELNKESFKADQDLEPKLTSTVLQQLEDASGDVSGLAVKCLAPLVKKVGEDKVVEMTNKLCDKLINGKEQHRDTASIALKTIIAEVTTSSLAEKILLSLAPQLIKGVNSAKGAEIKCECLDILGDVLHRFGNLITKDHEYMLTALLSQLGSNQASVRKKSVSCIASLAPSLSDDLLAKTTLQVVQLLKNRSAKSEITRTNIQMIGSLSRSVGYRFGPHLGETVPLLISYCRSASENDEELREYSLQALESFMLRCPRDISPYCEGILNLALEYVSYDPNFTDSMEEDTDEEGLEEDDDDESANEYTDDEDASWKVRRASAKCLSAVIVSRPEMLSKMYLEACPKLIERFREREENVKMDIFNTFIELLRQTGNVTKGQGDIDESSPRWLLKQEVPKVVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLADHFGSLVPGIEKALTDKSSTSNLKIEALVFTRLVMASHFPSVFHPYIQAFSAPILSAIGDRYYKVTAEALRVCGELVRVLRPNLEASAVDFRPYIGPIYNAILGRLANQDQDQEVKECAISCMSLVVSTFGDGLQRELPACLPILVDRMGNEITRLTAVKAFSVIANSPLRIDLSFVLDHVVSELTAFLRKANRALRQATLGTLNSLVVTYGGQIGSSSYETIIAELSTLISDMDLHMTALALELCCTIMVDRKSIQNVGLAVRNKVLPQALILIRSALLQGQALQALQRFFASLVQSANTSFDALLDSLISVAKPSQSGGLAKQALSSIAKCVAVLCLAAGDQKCAATIEMLKGILKDDSASNSAKQHMALLCLGEIGRRKDLSNHVQIENIVIESFQSPFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQTVDHNGQSELQDSNIEKILALLFNHCESEEEGVRNVVAECLGKIALIEPKKLIPALKVRTSSPAANTRSTVAIAIKYSIVERPEKIDEIMYSEISTFLMLIKDSDRHVRRAAVLALSTAAHNKPSLIKGLLPELLPLLYDQTVIKQELIRTVDLGPFKHVVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPFLLSGLGDHYDVKMPCHLILSKLADKCPSAVLAVLDSIVEPIEKTINHKPKGDAVKQEVDRNEDMIRSALRAISSLSRISGSDYSIRFKNLMNKIVSTPALAEKYNSVRSE >KQL32179 pep chromosome:Setaria_italica_v2.0:I:41656593:41661524:1 gene:SETIT_017697mg transcript:KQL32179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPGSPYASSPESAPKRAPRSPPQQQQQPSEEGDDKEKPTHLRFLVSNTAAGCIIGKGGSTINDFQSQSGARIQLSRSHEFFPGTNDRIIMVSGLFDEVMKAMELILEKLLAEGEEFQEAEARPKVRLVVPNSSCGGIIGKGGATIKSFIEESHAGIKISPQDNNYAGLHDRLVTVTGTFDNQMNAIDLILKKLSEDVHYPPNLSSPFPYAGLTFPSYPGVPVGYMIPQVPYNNAVNYGPNGYGGGRYQNNKPSTPMRSPANNDAQESLTIGVADEHIGAVVGRAGRNITEIIQASGARIKISDRGDFISGTSDRKVTITGTSEAIRTAESMIMQRVSASSER >KQL29674 pep chromosome:Setaria_italica_v2.0:I:22860560:22860798:1 gene:SETIT_020283mg transcript:KQL29674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVHFYALAMEKVQQEWSTYSIGNRNFQELDKRFRN >KQL31535 pep chromosome:Setaria_italica_v2.0:I:38238456:38239301:1 gene:SETIT_019327mg transcript:KQL31535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPPPSAPHSAAQRFSAPAAAGLRSLLLPPDGVVTRAAAAAVASRGDAGARCCRSGGSGEDVVEASGGGTSTGHCEGTKNAKDEEEEDVKGRDAAKEKEAGIIISAEEDEEQDGFWVSYGRRRPRRRLPPPIPSLVARGALRRTRTGDGRLVIRIVPVVRPECIRARRRRGGDRLTMRLVGHEDDSPMMAPPLRVPGGARQDGSSVIGIAREGGDTATPAGGDGGVDDVEEAVVAPEPETMVPAVPPPRVSSVGCFEDVFRFDPIGTGSLHQMPSLRMVH >KQL29004 pep chromosome:Setaria_italica_v2.0:I:9649458:9651974:1 gene:SETIT_018177mg transcript:KQL29004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAAALEAPSLERPSPREATLDDETRALVVPDAADLPASPPSAVEANFARYFIAVSSQTLVINRMERFRTSRCYVEPEEQNVFTAFKRSASTTLIQRHHFLGQIFRIQGMISMCTVIQTGRLCVVGLAPAHVAFKEEGGITAVDFNVGKSDRSEMKVTGKRKRNAQHLQENSALCKVCVNDKSFVVRCCVKGSLLEINDRLIKQPDLLNTAADREGYIAIFQPKPADWLKIKDKFLSYEDYKNLRGVC >KQL27756 pep chromosome:Setaria_italica_v2.0:I:421957:425592:1 gene:SETIT_016712mg transcript:KQL27756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPSLLLRAPPPSPRFASPRRRCSVSTSPPPSLGPTRGAPPRLHPLRLPPAGRRAPRATARVVEADDGDAADGLIPIARCYEGRLARLEVAGAARREQAILQAKEVKDKASKIKKQLGSEFFSENEPDSETMLAMAFKQVVMQRLSNFRLEAFSPGSERDLEDLSKPRKVSMDFSVISSDEKLLASLAEAIFSCAIEDASNNHIGGTGSLFQKLQFNCSIDTSVCIHKISEAEIVRNAKRCLENFSLTKSPQNMQKTKNGWWPAPNYDSLVKIGGLEFVLWANEYIPTYKLQINAKAFENTNLEGRHELQSNRWEVLLTHSQLAELGNIIDMYFEDQFTLPGKTFHPHWNSDPSKIKKNNGYLNNLFTFLAGSCIILFVTVFAKLCWPRSLRDKRLFNGSSNVSPSQSYCSDINSLDSSEIQAYCTSLIKKMKDSYGCPGDVIIDAHIGAWVGELPDCFKGINSQDNTASGNVQHPDTFSQENQSQLVPTNIKMSDLEQNDRTQETLQNIASFQVVMSEDGKVVGFQPTNRPAVNHWSTNPLATLLYQGQTLSPGILEPKLKISRPAKAVPIELLMLVNQDSFFALARPIQDL >KQL29232 pep chromosome:Setaria_italica_v2.0:I:11813774:11814748:-1 gene:SETIT_018753mg transcript:KQL29232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSQQGQGRYQFKKKDFNGIAANNVPTKTPVTTMNDNQWNKLVMMWSTNLTGCTTNIGNHGLVRYPQHTGSRSYIAQAHVVVWMEQIIAQAGDDQPKTVVEAMAEVVQYRIF >KQL31947 pep chromosome:Setaria_italica_v2.0:I:40575469:40575747:-1 gene:SETIT_020497mg transcript:KQL31947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMVVEMGVLLDVGGEDEEDEEGSCALAVEEEEEDVGD >KQL28279 pep chromosome:Setaria_italica_v2.0:I:4768873:4769586:-1 gene:SETIT_0174182mg transcript:KQL28279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRGITLLNSKFFHMNIYSSENVVVDKVTIKAPGDSPNTDGIHIGDSTNVTISGTTIGTGDDCISIGPGSKAIRVHGVRCGPGHGISVGSLGRYKDEKDVEDVKVTDCTLVGTSNGLRIKSYEDSKSSPKASKFLYEGVTMDNVSYPIIIDQKYCPNNICVKSGASKVAVSDVVFKNIHGTSNTPEAITLNCANNLPCQGVQLVNVDIKYNRSNNKTMSVCRNAAGKSIGVAKELACL >KQL29290 pep chromosome:Setaria_italica_v2.0:I:12843910:12851911:-1 gene:SETIT_019490mg transcript:KQL29290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQNLCVKYCHYIKTDLAQHNHFTKALRNWGSNYFNNTPAEVDVEARDKDRKLISYNQLEKQYYDWIKEMHDKYDVEMDGGDDEPTLIINPKCKERLGISNDVEVIRVHRSISRKGKTWRRGDHLQILTGATGRMKSSFKSLKNSLCTTLEYIVVEGLQGDVCGEFTHVLMPLGYSDEQGCLVDEAADCMSPNIYIQESVSFPVSIIDNRMCQAMDDDAWNKMLTKKKEKAAKWIEIIRNSGLDALGLEGDLPYEGDVMAGYQPPHEIVAVLRPGNYMPSSTCLLETKYIVKDDQLEMVMEVRNLPRSKDCPAKLFYEKVKKPSSHNGIHGLYVFPLREASCIFRKSGVYQFIFSVSCRGSNVIQQQTTITVCPDLNSRRCLFSVAGSSTDNAPVDIRLGYPVRRLAVKSVDQHGNKIPFLDTSGIIITILNGDDVLAQVNDVEVELSSDLLTMNVMLDILRPKYEAKLRISSSDNEFSGICQCKVKPGLPSIINMDMSLFSEENLIPGRVIDNVLLEVLDQFSNHVEEGTKLNVHVDGLCFLDKKSSVQKVNGEGFIDLCGALKVLGGFGSEACIAIYHHKKKIFSKIFQVVIRELKAVNVPETCPAGSFLEIIFEISDSDGLVDESIDGPLHTLNITSNELSLVEGAQYGIKHGRCVVSHVQLPHQQGKVTIVACHTHYPDLEITIQLQIQPFDLALTSFEDGTKSILSDPISSVDSSNLLIPCQLAPAEPSHLVTYVKDVVKKTKNKVGDTYSKMKSTEKILGTLSSSKDLLEEEIVTLKAEVGPIVGSFIDAKELIRNKIREKIGTAAFVLCSSGESFMEGVVGIVALLGTVPDRKIGRMLAVYLGKDGMLSVVCKTMDAANYIEKYNNHGDVDVAHLFGRPYKGGLIRNSPQKELNLVGPFRHVKKPKGFKGFAVNMINLSDENLNITTSSGHGLRETLLYSLFGVLQVYETRNDMFQAMDYLNGGAISLDGGVIKGKGKILLVCQCCPPQSSCLFGSPNLLCFITFPVVSPKTPNELKAHPDVIAKTRKLEDKVKLLEATKTKISQEEKVREELYEKFNKRKRKFEEISEIVTQPRGNELAIRRTPVKEEMLDP >KQL30144 pep chromosome:Setaria_italica_v2.0:I:28379450:28382779:1 gene:SETIT_016503mg transcript:KQL30144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQSSMSGAGEAGVRTLVWFRRDLRVEDNPALAAAARKAGEVVPAYVWAPEEDGAYYPGRVSRWWLSQSLKHLDASLRRLGASRLVTRRSDDAVVALLDLVRSTGATHLFFNHLYDPLSLVRDHRVKELLTAEGITVQSFNSDLLYEPWEVLDDDGCPFTMFAPFWNRCLCMPDPAAPLLPPKRINSGDLSRCPSDELIFEDESERGSNALLARAWSPGWQNADKALTAFLNGPLIDYSMNHKKADSASTSLLSPYLHFGELSVRKVFHQVRMKQLMWSNEGNHAGEESCTLFLRSIGLREYSRYLTFNHPCSHEKPLLSHLRFFPWVVNEVYFKVWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGVELGSNYPRPIVELDAANSRLQDALSEMWELEAASRAAMENGMEEGLGDSSDVPPIAFPQELQMEVDRQPVRHATQTPMMAGRRREDQMVPSMTSSFIRAETELTADFGNISEDSRPEVPSNMHLQPRTEREETVDGGTANAVRMNGNHQQHNFQNNTHRVLGVAPSVSEASSSWTGREGGVVPVWSPPAASGHSDPYAADEADISSRNYLDRHPQSHTMMNWSQLSQSL >KQL30832 pep chromosome:Setaria_italica_v2.0:I:33661246:33661698:1 gene:SETIT_019224mg transcript:KQL30832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APAFSYPPCVRALSGFYSTVAMASKAFLLVALVLVLFTVTNACGGGCPTPTPPTPTPPSPSPSSKGKCPKNALKLAACANVAGLVSAEVGQPPAEPCCSILGGLADLEAAACLCTAIKANVLGISLDIPVKLSLIVNYCGKNLPSGFICA >KQL27721 pep chromosome:Setaria_italica_v2.0:I:230957:231428:1 gene:SETIT_020469mg transcript:KQL27721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFSFRFTHGSQCMHERQKVHEELICQRPTYLTIYGAQVISNPTTVYRHKSMIMHAF >KQL28928 pep chromosome:Setaria_italica_v2.0:I:8953789:8954211:1 gene:SETIT_020349mg transcript:KQL28928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGGSRLAGRPAEADRARRRERGSADWKTAIAGGVNVFA >KQL30151 pep chromosome:Setaria_italica_v2.0:I:28406201:28409526:1 gene:SETIT_016921mg transcript:KQL30151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGALAVGSDGGGGGGAITFTVVVSCLTAASGGLLLGYDISVTGGLMQMESFLQAFFPEILRKTNNAQQDAYCIFKNQTLTMFVSSLYLAGIISSLVSGHLTRTVGRRNSMLIGGLLFLAGVVLNFTAVNISMLVIGRVLLGLAIGFTSLSAPVYLAEIAPARWRGAFTTCFHFFFNLGMFMADMVNYGTNSIPRWGWRLSLGVGVVPAAVIIAGAALIPDTPNSLVLRGRLDEARASLRRIRGAAADVDAELKDIASAVEQDRRHESGAFRRLFCRREYRPHLAIAVATPVFFDLTGMIVVSIFTPLLFYTVGFTNQKAILGSIITDVVSLASIAVAGLAVDRYGRRSLLMVGSAVLILSQVAMAWIFGAQLGTDGGKSMPGGYAAAVVALVCVYTAGFGVSWGPLKWVVTSEIFPLEVRPAALGLGGAISGVLVFVQSQSFLEMLCSFKYGTFLFYAGWVVVMVACVAAFLPETRGVPIESMGAVWEKHWYWKRFVRPSSAPATATAKQADGSA >KQL28606 pep chromosome:Setaria_italica_v2.0:I:6988236:6994089:-1 gene:SETIT_016262mg transcript:KQL28606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSPSAAGGLQDQPASPEEAEENKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHGEQVAASTNKEMESQIPNYPSLPPQLICQLHNVTMHADAETDEVYAQMTLQPLSPQELKDPFLPAELGGASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFNQQPPAQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPCEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGEKQPRVSLWEIEPLTTFPMYPSPFALGLKRPWPTGLPSLYGGRDDGLTSSLMWLRDGTNPSFQSLNFGGLGTSPSPWMQPRMDNSLLGLQSDMYQTIAAAAALQGTTKQISPSLMQFQQPQNIVGRSALLSNQIMQQVQPQFQQMYNQNINDNTVQGHTQAEYLQQQLQRCHSFSEQKPQLHPQQQRQESQQQQECVQTPQNQQLHCLPNALSAFSQLSSVTQSPSSTLPAVPAFSHQQNFADTNISALSPSGGSSMQGMLGQLPSDAPSSLPCVARNTPLSISDPWSSKRVAVESVNPSRPHVVSAQIEQLDMTPCNLPQNSALAPLPGRGCLVDQDESSDPQNHLLFGVNIDSQSLLMQGGIPGHQNDNDSSTIPYSTSNFLSPSQNDFPLGQPLPSTGCLDESSYVPSAENSEQANQQFATFVKVYKSGTVGRLLDITRFSSYDELRSEVGRLFGLEGQLEDPLRSGWQLVFVDGEDDVLLVGDDPWQEFVNSVSCIKILSLEEVQQMGKQGIELLSSAPARRLSNGCDSYVSRQESKNLSTGMTPVGSVEF >KQL30135 pep chromosome:Setaria_italica_v2.0:I:28331875:28333002:-1 gene:SETIT_017827mg transcript:KQL30135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFFPLFVVLLAFACLRLFLPSDDNRPAPSDSASASELSRHGGSRKGGLDAAAIADLPLVFYREVRRHRIVDGREDALECSVCLLEFDDDDALRLLPTCPHAFHPECIALWLERHATCPLCRASVLDEPPAPAQRELELQPVPPLPLQSPEESPVHAAVVLIGEAGASEEDEEEDWTTIQRLARNRRAAGRQALPRSNSTGHGGGASDGGMDRFALRLPEHVRVEILMSHRLRHVTSAVASVRVREGSAASAHDGSTVGGSVRNAVARLMSLFAPGAGWKADGDDRSGRGDATGASSFRRRENSSRGAVAEEKRTV >KQL29580 pep chromosome:Setaria_italica_v2.0:I:21555782:21556211:1 gene:SETIT_020264mg transcript:KQL29580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKIQCICENRVISSVKWRVSIRICMKTPLKCP >KQL28663 pep chromosome:Setaria_italica_v2.0:I:7336584:7339748:1 gene:SETIT_018254mg transcript:KQL28663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRFPRFGSQQQQTDPNFQDIPTQSWYPPSVVGSSSRPSTPTSSSASPHQRASDHPQSSSRGQPSPAEAAGIIARLKDKSIEELQSLLKDKEAYNAFFNSLDQVKTQNNVHDELRKETLQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLTDLERQKDDIMMSYSPAALLDKLQTSMAKLDEESEELHQKLLEKDIDLPTFVQKYKKLRTAYHKQALLHLAGQTSLR >KQL30859 pep chromosome:Setaria_italica_v2.0:I:33821723:33825193:1 gene:SETIT_017731mg transcript:KQL30859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSNSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIIMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGSGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREHAWSHHWIFWVGPFIGAALAAIYHQVIIRAIPFKSRS >KQL30860 pep chromosome:Setaria_italica_v2.0:I:33821723:33825193:1 gene:SETIT_017731mg transcript:KQL30860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKFSERQPIGTAAQGAGDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYITILTVMGVSKSNSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAIFYIIMQCLGAICGAGVVKGFQQGLYMGNGGGANVVAPGYTKGSGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNREHAWSHHVMDLLGRPLHRRCPGCYLPPGDHQGHPVQEQVLSCDGPARRDMPARRKERASSSLMSCVPTPSISVDSSPLFTTAALFC >KQL30662 pep chromosome:Setaria_italica_v2.0:I:32453275:32453685:1 gene:SETIT_020564mg transcript:KQL30662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSVGCFLFGFSLHINTLRECHICNAWSMMDLFLCSVKLSLIIIVYKAKVPKDL >KQL29013 pep chromosome:Setaria_italica_v2.0:I:9695188:9696829:1 gene:SETIT_017469mg transcript:KQL29013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVPHAMRAAARLPVALVPKSKPHAASSAPPPAPPPARRPANTAKLHRKPHKGPKPPGSLAPADANDAAPAVQEERKPIRTPADLAAAIRAAADDDIDAAVALALKAPPAVPLPTYSLALLLRRLAVHRSVAAARDLLNKLHPPPSPSPPDPSPSSTAPRGALLALADVVCHRGDPREISRLLPVLADHGVRADAHLYNALMKAHVAASDTAGLLAVLRRMKADGVEPDLVTYNTIVYGLARGGMVAKARTFLDTMAAQGHFPDVITYTSLMNGMCVKGDALGALKLLDEMEAKGCEPNERTYNTLLMGLCKNKKLDKAVEVYKSMVQAGMKMEAPAYATFVRVLCRSGSVADAYEVFDYAIETKSFTEVTAYTELENSLKWLRKMKS >KQL31408 pep chromosome:Setaria_italica_v2.0:I:37322263:37322982:1 gene:SETIT_019077mg transcript:KQL31408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLGRFVMEEGETPKEMYNRLICIVNEIKGLGSKEMTDNFVVKRMLRAIAPRNPTLVTIIREQVDFAKLTPHDVLGREWDSNDSSTDSDDEDVHMCLTAIGSKVSSTPKSCNVDEFGNSDSDSND >KQL29248 pep chromosome:Setaria_italica_v2.0:I:12022214:12023271:1 gene:SETIT_019797mg transcript:KQL29248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWVTQRFLSEAKWDARARNYIFESTSGEMFARVHTKETAHEVWKELKNINVGSKKVREEQYELLKDMLNEFKILPNELVEQIYSRLNVLIENINALDISTISEVEIIRKILHTLPKP >KQL31116 pep chromosome:Setaria_italica_v2.0:I:35718525:35726780:-1 gene:SETIT_016239mg transcript:KQL31116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPSREGASPGPSWAPSESTAFRVYAAAVGERAEASSSAPGIGVSARSSNLRAVRKRPFVARLTTDIVQTFEKCNPEFKYSESLNPKRFLTNPAVPIHNDGLDNANSDLILYVNLELINRKSNRRYVVQEMLGQGTFGQVAKCWDTETSSYVAVKVIKNQPAFYQQAIMEVSLLSLLNEKFDPDDQHHIVRMLDFFLYQNHLCIAFEMLGHNLYELLKRNSLRGLQMKYVRTFSRQILDALIVMKDAGIIHCDLKPENILIAPTVKTAAGVKVIDFGSACMEGKTIYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELFIGLPLFPGASEYDVLCRMIEILGGQPPDDLLREAKNTGRFFKHVGSIYPGSEARNGTGSAYRILSEDEIEARESMRPKVGRWYFPRGRLDRLIFTYPWKNLSEENLPETEKADCLALVDFLRGLVEFDPNKRWSPLQASYHPFITGEAFTGPYEPVQETPRIPVGRAAVVDHNPGGGHLLGAGLSPQVGSINRCLRFNNALQPKMPFSYGSSCGSYGSHGSFNDNAGLASSYGSYDFNSVNIYNSPMDPSGFNLRSQAGGSFLGSSPDIRRRPHLSHGGIRLSPGGPGPMSLGASPSQFTPPNSQMQIPGANGKYGASPSRGAHGSSLGKAAAVGQYNRRRNQGYPPMPMPPHEHTSQSIQGHQGDGVSAARFDAYGQGNSGYLHNALPNSGPHSWRPQRSVGSGLPSDPSSSHGSFPPTNYNGFPPLHSSDVSADTLPSTSTILDPADWDPNYSDESLLQEDRSLSVELSGLHLRDASGQTNRSSRLAPIPSSNPSALNQRSGHLFHASSLGERARPPGHVTLDGYNHANYSQQSLPSFRGQPFQQYNNMTSSYIRPMRTHHNGRPVWTNYNLAEPPPSTMRDGMPWGGRAGHSFAASGLPPSVARKDSGRIF >KQL30176 pep chromosome:Setaria_italica_v2.0:I:28614958:28615506:-1 gene:SETIT_020601mg transcript:KQL30176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELEKLPPHRARRSPKVPKPVAMEELEPPPEFPLALAHAVLHSLEVELPRPAPPTCKRREAKFGWTGVSQEPFVPFYLSPT >KQL31629 pep chromosome:Setaria_italica_v2.0:I:38940045:38940883:-1 gene:SETIT_020098mg transcript:KQL31629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIRFTVSLLGSCRSHSHTTTTTTAPFKSRPTTSCSAAREAQLSSAHQLTVEEEAEEEEEAQEREQKRARRSGQSHDRGIIMACINMYNPDGAAGFGGGPQPPAAALGPRISFSSDFAVEPPPPVQNRAMSLRCQEEDLNFEFSVGSHPMMAADQLFSKGRILPLKDNGAFAGRPPTTLRDELRGGDDNERASAAGKGSSRWREMLGLRKALCVGGGGNGPAKEDKGGVPDADMVTADMAASNQEL >KQL29213 pep chromosome:Setaria_italica_v2.0:I:11583970:11589290:1 gene:SETIT_016688mg transcript:KQL29213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRSSRIAVVVEDRCRPNKCGQECRRRCPVNATGRQCIQVTPSSTVSLISEDMCIGCGICVKVCPFNAIQIINLPRELEKETTHRYGPNSFKLHRLPVPRPGQVLGLVGTNGIGKSTALQILAGKLKPNLGKFTDPPNCDDILRHFRGSELQKYFTRLLEDKMKAIIKLQYLENIPKSVQGKVGDLLDKIDNRQVKDKLCDILELKHVMNRNVSDLSGGELQRFAIAARAMENADVYMFDEPSCYLDVKQRLKAAQVIRSLLQPKNYVIVVEHDLSILDYLSDYICCLYGTPGAYGVVTLPSSVREGINIFLNGFIPSENMRFREEKLTFRVTESAEEIIEGETYQSYKYPTMVKTRPGFKLSVMNGSFTGSQIIVLLGENGTGKTTFIRMLEGRVKPDKVGDKEVDMPAYTVSYKPQEMTPKISSTVKEVLHKKIPGSCSHAQFRSDVMKPLKIEELMDRQFANLSGGELQRVALCLCLGKPADIYLIDEPSAHLDSEQRLLAAKVIKRFILHEKKTAFVVEHDFIMATYLANKVIVFEGKPSVDCTANVPEPLASGMNRFLSHLDITFRTDPTTYRPRINKIGSTKDTEQKAEGCHYYLDY >KQL31747 pep chromosome:Setaria_italica_v2.0:I:39545142:39548496:-1 gene:SETIT_016596mg transcript:KQL31747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARLTPNAVTATLAGDTNLKPVVQVVDLRSIAVSGPHAAGPRFRAIISDGIATTQALFASQLCDLARSGLVRRGAIVQLLDYIVNEVQGRRAMVILNMEVLVPECEIIGNPTLPPESGVSNSNSMRADQFNGTPGSTAGSTLSTVARSTNNALVIQRSMAGNSSNMIPRPSDNAQVFQPTVQPSYRPTPNYRNHGTIMKNDAPARIIPISILNPYQGRWAIKARVTAKGEIRRYHNAKGDGKVFSFDLLDSDGGEIRATCFNALVDRFYEAVEVGKVYVVSRGSLKPAKKDYNHLNNEWEIFLESQSTIELCPDENSSIPAQRFSFTLIDKIEDSENNAIVDVIGVVTSVNPSNTIQRKNGMETQKRTITLKDMSGRSVEVTMWGDFCNREGLQLQEMVECGAFPVLAVKAGRVNDYSGKSVGTISSSQLLINPDLAEAQSLRQWFDCGGRDASTQSISRDFTPAASRNEIRRTVAQIKDDGLGMGDKPDWVTVKATVVFFKTDSFCYTACPNVIGERQCNKKVTKSDSGNWLCDKCNQEFPECDYRYLLQLQIQDHSGTAWATAFQEPGQELLGCSARELYMFKENEDPRYTDVLLQALHREYLLRLKVKEETYGDERRVKNTVAKVERVDPSAESRFLLDGISRLTGSY >KQL29545 pep chromosome:Setaria_italica_v2.0:I:20762842:20763723:-1 gene:SETIT_019654mg transcript:KQL29545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGCAALGGGGGGESAHWRRMSLPAMLLGETVLEIVQASQFARDIVAEAGSGGADALRARRAREKQSHRGGGAARGADAATPPSRGRVRSRIQFKPASPVALGRPSVSANRVSPKNRPWAKKAVMFPNPRKQKRSYKTRSPVAARQTPHKFLVKTPPSALGSKLRSHGKALPARSAAVSPPPPKAQASPAKTRRCSFSPSRLATRLVSPIKARLSLGRSRDSGVGAGGGPMSGLKQRPGVNMTVRTVSSRISSR >KQL30952 pep chromosome:Setaria_italica_v2.0:I:34594190:34594585:-1 gene:SETIT_018978mg transcript:KQL30952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTVHLANDLLSCLITCKEPNFPYCPPAPAEEKQRGSKASSCRKLIVKNVKQPKQLSYCFG >KQL30482 pep chromosome:Setaria_italica_v2.0:I:30825991:30828872:1 gene:SETIT_016385mg transcript:KQL30482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMEEALRSCMEQLVIAREEREQIIVEAANEISSEKRKVRELQQKLEDANKKAAKLAAENNSLRKAVDAKDALVGELRQSEAAAGGKLADATARLESAQKQATSLQYEVRMLQKELEVRGQEREYDLRSVDAARRQQAEHLERIAALEAECQRLRAMVRKRLPGPAAIAKMRDEVDQQQKQQTPAASPRRPRPATPSSPRSVPPFSPRTPSPRRSVSDAEGHAASRLRAVEDENRALKQELAKREGELQFVQMKYADEACKLTVVQRQLKELTEENKQLNDANCESESWASALISELEQFRAAKQNGASIMASSEMNLLDDFAEIEKMEMASGDLKGNVPRASLKKADMAPVTPEKSGNDPAVNGTIPNGHPERVHDIWNLVVHKHEASGESIETILEEIQKAISNKREDSEVPFDRSEIEKTVRDLIERITPMIGTSAGDNVARSGPLLHGKSELCSRLEQLVQVCHDLLHGKAKLEKFIDEVCLILKYIVEQYLSNQDLSDTADSDEKNFDEDKSPGTVNTEGKQDIQIAEAAATPDIQKEAQEGPNQPAEDHIMATHQEKLDEELALVVLAQDDNILPGRKSACCEIESTAAEASVQHCAAQEESHLATDSEILAAADKLAECQETITILSKQLQALKVPTTSSGPLDGSICNPRPSSAKSDYKPQSLASILAEEFACAEGSRSPTTPKQEQLKKEEEEVYATKMRSTAQGQKNAGADDEESTQVVVHPVFAEARKEDEASADPKRKKKRSQSLLGRIIFRKKVEG >KQL27718 pep chromosome:Setaria_italica_v2.0:I:215930:217883:-1 gene:SETIT_017591mg transcript:KQL27718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMTAAAVSLSSSPAKANAAAALSPSSCSHFLAYPRRSAAGRAMRVQVSTTETAEAAAAPVKKAKISKKQDEGVVTNKYKPVEPYVGRCLLNTRITGDNAPGETWHMVFSTEGELPYREGQSIGVIADGVDKNGKPHKVRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSEVKITGPVGKEMLMPKDPNATIIMLATGTGIAPFRSFLWKMFFEEHDDYKYTGLAWLFLGVPTSDTLLYKEELEKMKEIAPHNFRLDFAVSREQTNAAGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDEIMTDLAAKDGIDWFEYKKKLKKGEQWNVEVY >KQL27717 pep chromosome:Setaria_italica_v2.0:I:216686:217744:-1 gene:SETIT_017591mg transcript:KQL27717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMTAAAVSLSSSPAKANAAAALSPSSCSHFLAYPRRSAAGRAMRVQVSTTETAEAAAAPVKKAKISKKQDEGVVTNKYKPVEPYVGRCLLNTRITGDNAPGETWHMVFSTEGELPYREGQSIGVIADGVDKNGKPHKVRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGSEVKITGPVGKEMLMPKDPNATIIMVIEIALDRKRTDLIKHVLILMHIVIIICSSRRVPVSLPSAPSCGRCSSRSTTTTSTPGLLGCSWEFRQATPCCTRRSWRR >KQL28531 pep chromosome:Setaria_italica_v2.0:I:6494127:6497899:1 gene:SETIT_017284mg transcript:KQL28531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGWRRATEGVVAPTAASGGAEARKGRGGGGWRRATEEVLAAAAASGATSDGRSGGSCGGLVRHGGEEREGRDGDGRLREFWRRRMATQGRDDKHHQFITPAPDTSAQPSQPDRMTDQSPRRCSAGDGGGGDGGGAGAEGAGEVGADPLLRQVPAQTHRPLQDGPRLLRRSLAVRPHYGGGIVSGDTISCTVSVGDGCTAAITTQASTKVYKAVGSKCSEQLLEARVGEDALLVVIPEPVTCYSTARYHQKQVFQVSANSNLVVVDWFTSGRYECGEKWDFSIYKSVNHIFLGDQPLFIDSVLLEQGSSFSIAEQMQEYNVIAMVVLLGPKLKSIQEQMQAEVRKLMSGQLRPPTSGGSLYATRSRPPQRPQRPPLIASCSPFGRTGTGMVARVAAVSTELVYSFLRHHLAALEPFLGASPYAAP >KQL31112 pep chromosome:Setaria_italica_v2.0:I:35708015:35710754:1 gene:SETIT_016353mg transcript:KQL31112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASPCACGAPSPSLRCPLALSLPFVSFPPAVRLATPPLLPRRLAVSRPRAASALEALVLESDDEDGDDADEEAEVEEEAGAGLFQGVAWAAGADERDAVKSPELQVFELEELPEQWRRSRIAWLCKELPAYKHSTFTRILNAQRKWITQDDATYVAVHCLRIRNNDAAFRVYSWMVRQHWYRFNFALATRVADCLAREGKVEKCREVFDAMVKQGRVPAESTFHILVVAYLSVPGGRCLEEACTIYNQMIQMGGYKPRLSLHNSLFRALVSKTGGTAKHNLRQAEFIYHNIVTSNLAVHKDVYVGLIWIHSYQDFIDRDRIKALRDEMKQAAFEETTDVLVSLMRAFSKEGDIKETEATWHRLLQSDCELPAQAYICRMELYARTGEPMKSLEMFKEMKGHNVPPNVASYHKIIEIMAKARERETAEKLMDEFVESHMKHLMPAFLSLMYLYLDLDMHEKLEQTFTKCLGRCRPNRILYTIYLESLVRIGNVTKAEEIFGEMHKNGTIGTNAKSCNIMLRGYLSAEDYKKAEGIYDLMCKKKYDVPVDSLEKLQSGLLISKKVVKPPKPVSMKLDEEQREILIGLLLGGTQIESHARKGVHIVNFKFQEDSNAHSVLRAHIHERFIEWLPSACRSLNDDSEIPYQFSTIPHAHFGFFADQFFLKGQPVLPKLVHRWLSPRVLAYWFMFGGFRLKSGDIVLKVSGGNIDGVERIVNSLQTQSLTCKVKRKGRFFWIGFQGSNADSFWKIIEPHVLDSFTGSAMQESHRIGSDGSRDSDTDYEDDTQRYDTET >KQL29708 pep chromosome:Setaria_italica_v2.0:I:23683249:23684590:1 gene:SETIT_019850mg transcript:KQL29708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRGLCNAVCWCALGLPLLTAHPSSVRPLTFPSAADHDASGSTSGGADFHAHATALRGPLGEWLRSRARSDSGDGEGTGRVAAVISDFCWWTQLLAAEAGVPQLVFAPSGVLATAAATRRSLSRPLLPSTAAFSVASRGESGGPHLERGRTERRSALGGGGHGGADAHRRGGPAPPRLVRSPSSAGERLGKRSSIVREKTWETKRWGGENGKLTWAVQLIGYQTRPYPGTGNCLNPHAIASKEKAHEKVDIPTREPFLAFHAR >KQL28355 pep chromosome:Setaria_italica_v2.0:I:5338484:5339042:1 gene:SETIT_019251mg transcript:KQL28355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLISCVGLLLLLGALLAALSPAVVVATARREMLMAASRDEGRGRAAAVNILGNAPPPPPASANGGEDVIGRRKDEAVVARSHRRFRTRRIPASGSQVQFGGRIPFTADYHSVHRHPPTHN >KQL28773 pep chromosome:Setaria_italica_v2.0:I:8022462:8023451:-1 gene:SETIT_018576mg transcript:KQL28773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPPAPAAAGPHSVFVYGTLMAEEVVRVLLGRAPPSSPAHLPGHRRFSLRGRVYPAILPARAHAVNGKVIKGLTDRELHVFDLFEDEEYVKRTVEVSLTDTLERSLAYAYIWGNEGDPDLYGEWDYEEWRKVHLKDYLEMTREFMDEVGQL >KQL31678 pep chromosome:Setaria_italica_v2.0:I:39158279:39159908:-1 gene:SETIT_017003mg transcript:KQL31678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDQLSASTVDADAAPASLSSPRWKKPSSGPHPRTGDCDRGPNPSPLGAPSCRSELKRRLRESAEAARGSGDGGRQRLGLAGVTVGVGHGAVQSLWSDADEVTLLAAAAAFRERTGRVPRLPDAAALFGSIGDSISPHIDEAKAYDKLSRLESKFLHGASGSPAGTHDRRVHDLSTKVWGLANVVSPPEDDSDGQDAEESCSDERRLIIERAPPVDALMPPDAATLDADAAPAPPSPPIAKKHPPSPQRGMDGDRQDPNSSPRGSPSRRSEPNRRLRTSAERHEDVQSLEPGGVAVDVGQRLEPADDGGHGAAPNYPRTWSDADEVTLLEAAAAFRERTGQIPQKREYGTQGCCSAPSGAPSPRTSTRPRCTTSFVASRASSGTRRRGKSATGHDRLVHDLCAKVRGFGNTVARDASTVMPVVTEVLAEYWKMNERALAGFPLEKGLSLLSKKEGRLMETRWRKQLDEEMQTQMQRHDLAKEICVLLNDTVKDLSS >KQL30407 pep chromosome:Setaria_italica_v2.0:I:30338800:30339460:-1 gene:SETIT_018612mg transcript:KQL30407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSMDCVSSKDDKETTDPPKAPWWTGETVAVVTGANRGIGHALAARLAEQGLTVVLTARDEARGEAAAAALRASIRPAGLRGGAVVRFRRLDVADPASVAAFASWVRDELGGLDILVSPRVLRRLDARRRRAPPRLACTDRRLAA >KQL28348 pep chromosome:Setaria_italica_v2.0:I:5313122:5316295:-1 gene:SETIT_017612mg transcript:KQL28348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFAGDDPFGWDFDFEDDGDDYAFGYDPFDDYCGGGDEGEELIGGPVVDDGDGEEFCISGFAFREDDGKGGDDLMGEDHASQPSHEDPILETLGRSFDSDGGFGQFVPHLVSALDTSEEEDELIAGDYRGGGGLELERGAAVEEAADDEDDDDDDGIGLMLGGFSFDPRPVVGGFQTLVDTDEEVTSDDDMGQEGGLMLSGFDLEPPRVFAQVVRPSWMVLGAGAEDTDPGDADMNLLEVLAAAAHVGEAVRQLPASRAAVEGLQEVVLSEEEASHGCAVCKDVIAAGLSVLKLPCKHYFHAECIRPWLAIRNTCPVCRFELPTGDAEYDRRQSRTRTASVEQQGAQVQSGPEQGAS >KQL28129 pep chromosome:Setaria_italica_v2.0:I:3573795:3575517:-1 gene:SETIT_018884mg transcript:KQL28129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSKEERRAKRQIFKAKLKNFTRHACKVTACTMTGAKIGALILDPVVCTLLGFSYGVISVLKDDVTGDVGPTVLDVVLPSGQN >KQL32132 pep chromosome:Setaria_italica_v2.0:I:41488016:41490747:1 gene:SETIT_020433mg transcript:KQL32132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRGGPAAAAATAVASPAVVGTVAVMALVYYSTLFVFLDHWLGLGTPAGAAHAAAVSLAVAACFFAFVCAAAADPGSVPASFAPDAEAAQGQGLKSRYCDKCCMFKPDRSHHCKVCKRCVLKMDHHCVWINNCVGYGNYKAFIICVLNATIGSLYSFVIFLCDLFLKEHEFHILYVKIVYILAGVLLFFLSLTIGSLLCWHIYLLCHNMTTIEYREAVRAKWLAKKSGQKFRHRFDLGMRKNIQMILGPNILCWLCPTATGHLKDGTEFQITNN >KQL29120 pep chromosome:Setaria_italica_v2.0:I:10423794:10425558:1 gene:SETIT_019912mg transcript:KQL29120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPFVFRRDDDKSFPDESKAPIRASGTTSWKAQFCFAFDLAEPPRISRLYVQLLGFPGPNKERPLAMAVTHRHLALFRVGTMSPWRLQNLFIYSVKSSSLERLPCCTEDLELVLHDGSPSHHPRESGSLLQCVSSMGLLCQGEEEEEFAVAELKLYPDRCKRKIFADIFLFLNSAGKWSSSRVPILHSGNPDDVWHLYIWQTHRVIPVDRWLCWIDCMQGILFYDFPATHPNRGSWFHRGLSAFDAAGTLKFVDVTRDDGIIGYKALKPGAGFTMTCHTLLLPSSLSSSSMVWNKDWTVTSDELWSTDDCLPRQVPMFPQVNIDRPHLVHFLISDFTYMMRKMWVVTIDMNTRTVESFYQYLNGPEDIGTEQEFLTDQKSMCPSSFLPSEFSKYLSSSSAPRSTAPSLAPRSTVPSPRHVAIRVSSCA >KQL30231 pep chromosome:Setaria_italica_v2.0:I:29114721:29121879:1 gene:SETIT_017663mg transcript:KQL30231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVEVPSPKDPEIVESLLLDLLDPENKAYALSVLPKRREMFNDLALKLWYSFGTIAALVQEIVSVYPALSPPTLSASAATRVCNALALLQTVAAHPETRTPFLEAGIPFYLFPFLNTTSEAKSFEHLRVTSLGVLGALAKVDDTEVVKFLLKSEVIPLCLRIMETGCELSKTVATFIVHKIMLDEVGLHHICATPEYFFQAASVLANMVIALTEQPSTRLLKHVICCYLRLTDDPRACTALRTHLPEALRNGTFDSCLRVVEDPAWLSNEALCCSLFPFGWPVCVMQARRCSVCISTALCIHSWFGPFQVLPGSMDGEVIWARCEICSRFQHFSFLGGQIPTKFWRPFA >KQL30069 pep chromosome:Setaria_italica_v2.0:I:27847255:27848852:-1 gene:SETIT_018127mg transcript:KQL30069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSCITTVAGTLLIFVVIAAGLVSLQYFFDARDDDDDRGSSHGGQAPRRRGGGGGIRAARGVDRDVLRSLPITVYRAAAPGSGKEEDAVECAVCLAELEDGEAARFLPRCGHGFHAECIDTWLAFHTTCPLCRLTVSKPDTSPAPALAVLPVPPEPANYADHAATVNLPASVLLGVRRPRAARPSRRARRGLRLSFRRLWSFGKQGAGPSCSCSCSCAGAGEGADVEQGVSVTVAIAVAEAQLPPSEAAACPTHQGSAV >KQL28001 pep chromosome:Setaria_italica_v2.0:I:2404439:2405599:1 gene:SETIT_018188mg transcript:KQL28001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVEVSSPASSGGTSSSPPLSPTEVGARRGGGSGGPEKRARARDRDGGEKHPSYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDAAALVVKGPAAVLNFPEMAAALPRPASAAPRDVQAAAARAAAMEPALPPAGPAAASLQTPAPAAAGQQQDDELEAIVELPRLDEDAAELVTTVTAFGAAPFSFHDVATPASWCDPVWVDDASGYVAAAHDDLFGFVDGDHGWAQSVGALLWNM >KQL30226 pep chromosome:Setaria_italica_v2.0:I:29072356:29073272:-1 gene:SETIT_018549mg transcript:KQL30226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEMVIRMQGGSEKGHAAMKVAAATEGVESVTLSGKDKSLLRVVGDGVDCNRLTTRLRRKVGRADLVELRTLHAGYYGSSSSRAAGSSLSRVDSGYGGSSYGSSYPTTTSSYAPEYYGHHQPSSASYGYYPAAPAYAAAPTVVHHEYYPPSTDPNGCTIM >KQL28653 pep chromosome:Setaria_italica_v2.0:I:7247380:7247921:1 gene:SETIT_019680mg transcript:KQL28653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMQQSPKPRAGSERARCRDKRAPAGTERDNGRPSLPPPTVCTSNLPADGSDATYLWPPAAVPPGGREAGGLRGDPAGDGDDGHGAVPRRGAAEGPRAGAAGLRRHARRRRWQRRLHHPAFRRRCHE >KQL28535 pep chromosome:Setaria_italica_v2.0:I:6542428:6542865:-1 gene:SETIT_018829mg transcript:KQL28535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKIAATALVLLLLTFGAKAKDVRSCPDLICTLVCIGMGYTGGHCSFFWRQCKCNKDSKDGGGARARRERGVDGFGDDEPKAFMARAPRATGHP >KQL31346 pep chromosome:Setaria_italica_v2.0:I:37022622:37023071:1 gene:SETIT_019085mg transcript:KQL31346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTRRVVFITGGLALMVVLHLAVVIWALFRARPSRRVAEAEHAEEEGGGAGLASEEVGELPCHEFKEERAGGGGGECVVCLEAILAGARCRVLPRCGHGFHAECVDSWLRRSRRCPVCRTEAVERRKDAGVVAEAAAAAEIVTESGR >KQL31637 pep chromosome:Setaria_italica_v2.0:I:38977872:38980325:1 gene:SETIT_019585mg transcript:KQL31637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAHKVAAGGELGAGRDRSGNEEAWRWPGWRAPARVEAVVVLGLLATFTVLVLAFGGAGSQPAFPSSPRSGFVQKPVYAASGVHEHVAGPDPPAPHDQDRLLGGLLSPAFDEQSCRSRYESFLYRRPSPFRPSTYLVERLRRYEARHKRCGPGAPLFNEAVEHLRSGRNAARSGCQYVVWTPINGLGNRMLSLASTFLYALLTNRVLLAHAPPEFDGLFCEPFPGSSWTLPANFPITDFAGIFTMGSPTSYKNMRQAGAVSGGDHSNVTADTLPAYVFLDLIQSYTDAAFCEADQRVLAKFNWMVVKSDVYFAAMFFLMPAYERELARLFPEKEAVFHHLARYLFHPSNDVWGIVRRYYGAYLARADERVGLQVRVFPEMPVPFENMYGQILRCSEQEGLLPKVALHRDGAANNNHSSAMASGRSRNHKLTSILVTSLFPDYYERIRGMYYANPTETGEYVEVHQPSHEREQRTEARGHNQRALAEMYLLSFCDRIVTTAVSTFGYIAHGLAAVRPLVLLRPPSPEAGVDPACVRSKTVEPCLQAPPRRMCGVAEGTDIGALVPYAQHCEDEHKGLKLFP >KQL29973 pep chromosome:Setaria_italica_v2.0:I:27175519:27180049:1 gene:SETIT_016444mg transcript:KQL29973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRLAAEYLVSQGALPPAALQRGAGSSGAWGAHPLPLPPPQQLQEPHGFYGRRRYEDEYSNNPGARPRRANSTSSSTSSRDDYNSGSYNGRGKRKYGEYRRGYDSGRDREKERGRSSSNGRRNEEDEDEDGAPGFRRERRGSRWSDEVRSSVTEVVREEAPLTAKAVAGLDMENTRSKIVRSVDDVRKDADAAPEVTEETEEGEMEDDSEALNSESDVVNQERDTDVSNASAGVVMESEPKQLPDGKIQDEVPDEEAEDDKRVLDEAALDNNTSDGEVTYVENDMHDGKKNLIDYCNFTRAPTRPRSVRGHRNAASVPGEAAVAETVNLLSSGQASEMVIGESANESSLTNIESENREDQVCQQNTNSGAPCAEPIEPMLLQENETSMSIENMAEEKVDAQPHVVQEYKEETNLSPVADAHKENLMQETSLSPFTASHKDSLVQEDGLMQETDLSPLTANHRDSLIEETTLPPLAASHKEDSLTQETELSRTISSHENNLKLQFKEGTQNCDIDMLPQDVDLIELSDERKVVGHDVGAEAVIKMEEGKLDQSFSLNLSDLDLVGGTEVAAIHDNPALVQLSEAGSSAELHNKQQEDPETFTGENISATDDLCKLPLENKDVQVIDIECGTPVEVGGFDSSKSKNEMVCSSMDSMMDPGIHTDVLPGIQDGYSLAFSDFLGADISCYPSMQSDLHAGIGVNDSEGIAVMDDPIYGSLTDIGFMDVWGQPTQDYDKFF >KQL31707 pep chromosome:Setaria_italica_v2.0:I:39316368:39316755:-1 gene:SETIT_018794mg transcript:KQL31707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLRPSPTLRVCGVCATAGWRACEEAANWSRHGRLDHHRRGSREGNIGPLISPIETRGMPTSSAVISVPAPHLGVAGWPSVLCVARFPFLFWCKLFDWRGER >KQL27803 pep chromosome:Setaria_italica_v2.0:I:760715:763460:1 gene:SETIT_018358mg transcript:KQL27803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRTPPHNVRRDQAHHVTAQKLRLPPYASGPSKSGPSCSVMGLPVGHNAAEAYPSLEIENLPPPQSQLAPLGAVPLHEPLAAGPRNRGSFGTRLSLERRRVRGRCRCVAWWPCRSSVPSLPLPRRRRTSRGGGMAAARSPLGAIAVAALVVAIFMPAAAAAAQAPAPAPTSDGTSIDLGIAYVLMLVALVLTYLIHPLDASSPYKLF >KQL29946 pep chromosome:Setaria_italica_v2.0:I:26853468:26856771:1 gene:SETIT_017033mg transcript:KQL29946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVGNGLADLGASMNGVGKVAAADPLPMEMEPPADVVVVAAAAAAAAEGGKAEGPTSNGRREIVMGRNVHTSCFAVKEPDADDEVTGEREATMASVLALYRRSLVERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWELEKDEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMDCVKVDTLMSGEIDCADFQRKILQNRDKPAIINVNIGTTVKGAVDDLDLVIKTLEESGFKDRFYIHCDGALFGLMIPFVKKAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRLEHINVLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYVGFQKEVQKCLRNAHYLKDRLKEAGIGAMLNELSSTVVFERPKDEEFVRRWQLACEGNIAHVVVMPSVNIDKLDYFLTELVEKRATWYQDGISKPPCLARDLGVENCLCGLHK >KQL31232 pep chromosome:Setaria_italica_v2.0:I:36386410:36387925:1 gene:SETIT_018531mg transcript:KQL31232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPESAVAAAGDVNDPIQIRRLELSDQERGFVDLLSQLSTCPDLTPAEFAMRFAELAAQGDDHVILVAEDPSAPERRILATGCLFVERKFLRGGGKVGHVEDVVVDAAARGRGLGLRIVHRLVGIARDAGCYKVILDCTPELRAYYAKCGFVEKGVQMAVYF >KQL30522 pep chromosome:Setaria_italica_v2.0:I:31449864:31451348:1 gene:SETIT_020198mg transcript:KQL30522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMGRVLAMLLLLAARAGVPCATAGVTSPYRRSLQMLPDMPLDADVFRPPPGFNAPEQVHITLGDQTGRAMIVSWVTPEHAGSNVVRYGLSAHHLTFTAEGTVQRYTFGPSYKSGYIHHATLTDLEYGTRYLYRIGFGYAVRTFSFKTPPKPGPDVPFKFGLIGDLGQTYHSNDTLTHYEASNADAVLFIGDLSYADNYPQHDNNRWDSWGRFTERVVAYQPWIWTTGNHELDFVPEIGETEPFKPFRNRYPTPFAASGSTQPHWYSVRMASAHVIVLSSYSAYGKYTPQWAWLKAELARVDRSVTPWLVVCVHSPWYNSNDYHYMEGETMRVQFEQWLVDARADLVLAGHVHSYERTHRVSNVAYDVANGKATPVLNGSAPAYVNIGDGGNIEGLADKFRWPQPDYSAFREASFGHATLEIMNRTHALYQWHRNDDGVKVVADEAWFTNRHFLPTDTN >KQL28741 pep chromosome:Setaria_italica_v2.0:I:7850409:7852412:1 gene:SETIT_016577mg transcript:KQL28741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDAAASLVAARRTLRAGVDKSRALGHALARAGPRLEEIQAALPALEAAVRPIRAPRAELAAAGPHIDRAVGPAAAVLKVFDAVHGLERPLLAPGGAGAGAGGDLPGYLAVLAQLEDARRFLAGNCGLAAQWLADIVEYLGDRDLADPRFLADLGVTLEGLKAPSDDLDGGLLAAALDILEAEFRRLLADHSAPLAMPKPGAAVAAPARVPASAVHKLSLSLDRLVANGRQERCVAAYIDARGSVVTASLDALGLDYLRDPAQDAQALGPALEMWGQHLEFLVRRLLESERQLCVKVFGQHKEVASACFAEVAAQAGVLDFLRFGRAVVDAKKDPIKLQRLLEVFDSLNKLRLDFNRLFGGKACAEIQSQTRDLVKLLIDGAVEIFEELIVQVELQRHMPPPSDGGVPRLVTFVVEYCNRLLGDQYRPVLGQVITIHRSWRKEVFNDRMLVDAVVNIVKALEANFDVWSKAYDNAMLSYLFMMNTHWHIFRHLKATKLGEILGDVWLREHEQYKEYYLSMFIRESWGALAPLLNREGLILFSKGRATARDLVKQRLKTFNSSFDEMHCRQSSWVIPDKDLREKTCNLVVQTIVPTYRSYLQNYGPLVEQEGNTGRYVRFTVDGMEKMLGALYMPRPRRSASIQIKHSSDKITSAMTGLHRSASAVK >KQL30444 pep chromosome:Setaria_italica_v2.0:I:30596313:30597264:1 gene:SETIT_018800mg transcript:KQL30444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQARGSMRKQPSDRTTNGAYRGAVLLTAIWHEEVQAHQQLTPNTIQIYKYIPNTPSKIYPSINRYIHSFTIQMKSTSYISTSISWHTAGYKARRTEQLTES >KQL28200 pep chromosome:Setaria_italica_v2.0:I:4276003:4276418:1 gene:SETIT_020451mg transcript:KQL28200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQADRFTSSLFFLKKKGIHITGNRFPHCKIPHSHSA >KQL28812 pep chromosome:Setaria_italica_v2.0:I:8255614:8257575:-1 gene:SETIT_018679mg transcript:KQL28812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSGVDRQFVMLRTTLRGKIFELTVRKQMSVQWRKRLPELAKRLEEILYRKFPNRNDYYNMMKGPIEPQVLCAVKTLKNRVNQQNPQMTRETASSSSTMTVDENHGDPCEKSASLPADVQNNADEPDRTE >KQL28893 pep chromosome:Setaria_italica_v2.0:I:8686285:8686771:1 gene:SETIT_020338mg transcript:KQL28893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVASDMCRVQIFHQLTLPTGSPAQPTRLPICHRCWIAAMASSRAVASSRRHASLRAARSRSRRSMAVEALLVLHQPLHHPAAASAGGCGAAAPRPTSPTR >KQL29486 pep chromosome:Setaria_italica_v2.0:I:17591032:17593916:1 gene:SETIT_017979mg transcript:KQL29486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKRSFFASKKKATNPFDSDSDDGDKQQQQRPARASSVPPPTEQRDSLFGAGAGGQDMGGLFSSSAAPRNSHYRNDFRDAGGLEGQSVQELEGYAAHKAEETTRRAQGCVRIAEGMRDTASKTLVTVHQQGQQIHRTHMMAVDIDQDLSRSEKLLGDLGGLFSKKWKPKKNGTIRGPMLSRDDSFIRKGSHLEQRQKLGLADHPPRLNAPEFSSEPTSAFEKVEMEKAKQDDALSDLSDILTELKGMAVDMGSEIERQTKAMRDAEKDYDELNFRVKGANTRARRLLGR >KQL29447 pep chromosome:Setaria_italica_v2.0:I:16127456:16127944:-1 gene:SETIT_020363mg transcript:KQL29447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPNKPEMGAARSGCSPVARSGCSPAARTALDPSTKNFYGSTACARYPCPPSRLKPDTSGQAGRRRRQQLVPP >KQL30710 pep chromosome:Setaria_italica_v2.0:I:32816886:32819196:1 gene:SETIT_017219mg transcript:KQL30710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHQTLISSAPAFSPASHSLHASTPLLFTRSSPSSSSCSPSAAAAAPAMASFVAHHHGSLVEREGRMAALRSSLRPCEAAEEVDVAPAACGAADRGAGLFGDGFSVEDLLDLEDLCEVDKDCAELGEATPAPAAVEEDKLSSDSHGSSVVSYELMALPPPVIDLSLPAHDAEELEWVSRIMDDSLAELPPPPRLPAAPLAAAARRPPLERAVPAPAAPAPMRSPTICALSTEALVPVKARRSKRSRASVWSLSGGAPPSDSTSSSSTTTTSSCSSSASFSSFLFLPAESPPPFWAAHLLGEAPPRGSKKSKHGKHGKNNGKPKKRGRKPKHHPAASHFAGGAAASPPVPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSSIHSNSHRKVLEMRRKKEGVVLIPGPAPLPAAAPAVASF >KQL29425 pep chromosome:Setaria_italica_v2.0:I:15673923:15674337:-1 gene:SETIT_020441mg transcript:KQL29425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHRWNRRARRRRRGSGTAQRQIGSGRTRRWRWRWRRPLPAPLLPPQTGAAIYGEQGEGCVAADC >KQL29849 pep chromosome:Setaria_italica_v2.0:I:25491572:25494680:-1 gene:SETIT_019203mg transcript:KQL29849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFSPEYIQHLKDSYPGRSYYGIATNRCKCCGAIFCRSKHFLEKIRQYNSLFSFTSTGGNIDKSINQGDGPYAFRVSGQIHHRIGSLLPQPNNIPKFVELYIFDTQNEINNRLQAITHEDSNNTDLDIGIIKELKEMLDTYDPVQYEMPTANELALLIVGDYSTENCKRDIIVSSKRHGLQQISIYHPAYMALQYPLLFPYGERGYQINIYYNNSKGKRKRLTMHDYFKYQLHYRSNQPNPYLCNGRLSKQIKSGEICKRVLLPCSHIGSSRYMIQNYHDDLEMLLEGQQPNDQPDIIVRVFHMKLQELLHDIESGIVFGPIDAILYSIEFQKRGKCSKKFPKEFQEETKFDDNGFTIYRRRDTRIYVYRENHNIDNKWVVPHNMFLLKKIIKYLCKYVHKGPDKAKIIFQGIKKGEDTPRNIENDTIDEIKEYLECRYMCEQDALWRLFGFEIHHHTPPVERLPVHLPLMNNVTIKSDDELKTLMKNPNIQKTMLTEWFTTNQQYEKTHELTYCKFLTKWTWDQKNRKWNERKHGFKIGHLYYVNPAEGERLYLRMLPTVVKGAKNYKDIKTYNEVVYSTFKDACFARGLLNNDDEWYQTFKEAATWSSSFQLRQLFVTRYYFAIYKTVDNFEHELEHKYHPIKHHITDNQVRDMLLDDLQYILFRNGVQITTFDLPNRSIEYNSRYNNHLIEEETSYDIDQLEEEANILYRQLNTKQKVAFNTIVESVLQNKPGFYFASGYGGTGKTFLWNTIVSYLRARKKIVLAVASSRVASLLLPNGRTAHSKFKIPLDIDETSI >KQL32130 pep chromosome:Setaria_italica_v2.0:I:41478006:41478762:1 gene:SETIT_019014mg transcript:KQL32130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVITRTCKVCSISLMIFQPLLPGKIIASVQMLPREVMSFFFLPHKSNGTCHALNS >KQL31383 pep chromosome:Setaria_italica_v2.0:I:37208696:37209307:-1 gene:SETIT_020190mg transcript:KQL31383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSGGGKMSAVNAILAEAVDLIALEQIAKLNTAHVADNSALPSSLEPCFCKLKSLPAAPFAAAPVRILDRSVAEKKGDSSPPLPQQPVRPPATIPATHGDDDDEDLERLFGSGRRGRPMLWEWNRGRDDGGGGSPSPPPPRQACCFGFSPRKPLQRTPRKE >KQL28251 pep chromosome:Setaria_italica_v2.0:I:4577270:4578211:-1 gene:SETIT_020137mg transcript:KQL28251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRSKSCEPYSKMEDHVGCSGGATSCDDSATAGGRAPPSSAAAAQCSTVSVYLAKISGAPRLVTAVWSKNLINQSFTISIDRPGDDGGDGPVTHKVELKPWPFWSKKGNKALDVGGGDRADIFWDLRGAKFAAGGSPEPAGGYYVAVVSNDEVVLLLGDGKKDAYKRTKSRPSLEDAVLVCRRESVFGRRSFAARARLDARRSKEHEIVVECSPAAGAIRDPELWVTVDGFVLLHVKNLQWKFRGNETVLVDQAPVQVIWDVHDWLFAGPGSQAAFVFKPGAAPEIQEDSGGNGIQSEGTDFCFFLQAWRTE >KQL31549 pep chromosome:Setaria_italica_v2.0:I:38335567:38338133:1 gene:SETIT_017585mg transcript:KQL31549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQDVVVSEMGIAAGAALPGPRPALLACRGAAAGAMSHRYLDLAAAAARSASCTWADAMRASSPTRSRAAADVDEFTAWMRKHPSALGKFEQIASSSKGKKIVMFLDYDGTLSPIVADPDAAYMSDAMRSAVRDVAKYFPTAIVSGRCRDKVRNFVGLSELYYAGSHGMDIKGPSSNPESVLCQPASEFLPVIDEVYKLLVEKTKSTPGAKVENNKFCLSVHFRCVDEKRWNALAEQVKAVIKDYPKLKLTQGRKVLEIRPSIMWDKGKALEFLLESLGFANCSDVLPVYIGDDRTDEDAFKVLRKRGQGLGILVSKCPKETNASYSLQDPGEVMDFLLRLVEWKRKSSPPPPPPIMIRPRV >KQL30900 pep chromosome:Setaria_italica_v2.0:I:34218643:34224019:1 gene:SETIT_019110mg transcript:KQL30900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVSIYHGGTVERDDYGCVKFVAMQCEVVIFDEKPSFSELLARAREELHCHGDDEITVEGILHLGSPLNIQRKIVPIRCAGQWEKYVRTVMNGHSPSVEVVVRRVGVDPSPRRFSRPMGQRAHFDPPVPEPIMDVEVAPTIPDAESAPNEVVGHVCRIVDDVADSPNEFLFTQNDPSKCLISFYCWELTPFVPSICSLLIFVLNVAGDIPENVDVPLIAAQVQCEDGLCGSNSVKIMNDEYAYEMGVDLDSDDDRPAGEMTESDIEMFRRIFLGRRGPIVHEFSDLTLSEQTFAKGRDDELLEASPSMVIEEGRAFVVIRKRPYKVLHLYAERCYTVVCDKERCPWRVCARKQNITGKWKITKVVGPHNCADHEFTVRHPQLTSTLIAKRLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWKMIYEDWESRYEQLPVLFNAIKAVNAGMHYEYIPKPNAWKDGRHYRPVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNDNWGWFLRLVRIHVVGPGREVRVISDRPQGILHAVEEQIEGYPPLHHRWCTRHLAENLLRKDGVKDNFDLFQVAARQLEDYYFQRKLEQVRTATNAEGRQWLTSLMRDLDKWTRSHDAGGWRYEFQCSNMVESFNKLLLGIRGMPLNAIVEFTFYRLVAWFNERHAKAEALQSDGERWAEKPKRHLRIANERAATHEVQCFDLSTGTYQVKHRGGTTSDDEIRESRIHVVVIRGFKCTCGRPRQYHFVCSPLVAAARHRNFDIESMIPHEFSVDTLVRTWSPRFAPFRDPREWPPYDGPKYVADPAYRWNKRGTRKRTRHNMTIDQVSGRTNRGRATPFLTDPKQNECGNWRPKTHSFHLPFGEMTVTLQGCQKMLGLSIRGNPVTGPCVSEGWRARVAAFLGREDMGAETVGHYYRAWILHLFACVLFPNATGDTTSWMWIHYLTDWQQMGQYSWGSAACHRTSGSASVGGCVYLLQLWMWTRLPVGRPEIMDHRPWFPGEPPRRQPTWAYLWDLVKVGHTRLKRAYLDYINELDALTAHSVNWQPYEGEDALPFAVSVMCAADDDLYRMKWPLICFYAVEYHLPDRVALFSQQHLSSRLRRAAAHCGCRTTTTRDVHISSPREGGIGMSRQGPSGSKTIASEDEDDDGDDDDQRPEEFGPSQLHETPLTQPTHVVGTILCHPHSPYTPGTDALGHKGKGKTRRH >KQL32038 pep chromosome:Setaria_italica_v2.0:I:41031444:41035451:1 gene:SETIT_016632mg transcript:KQL32038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVSKYAHSPAHLAVARRDHAALRRLVAGLPRLPRTGEVATEQESIAGEAVADAVSAVIDCRDVPRRETPLHLAVRLRDPVAADILMSAGADWSLQNADGWSALQEAVCTREEAIATIIARHYQPLAWAKWCRRLPRILASINRIRDFYMEISFHFESSVIPFIGRIAPSDTYRIWKRGAALRADMTLAGFDGFRIQRSDQTFLFLGDGARPEDAGGKELHPGSLIVLAHKDKEITDALEGAGVQPTESEVAHEVALMSKTNMYRPGIDVTQAELVPHLNWRRQERTEAVGQWKAKVYDMLNVLVTVKSRRVPGAMTDEELFAMDGEEKNGRGAELDAELDEVLTAEERKQLDSALRMGNNEEESEERGEEGDSGADHTDSNGVVKDKKGWFGWGGKKGSKSDDKPSKAGSKDEMGDPGKQKEKGSRKKKNSGSSGDSLKHESEYKKGLRPVLWLTPDFPLKTDELIPLLDVLANKVKAVRRLRELLTTKLPTGTFPVKIAIPIVPTIRVIVTFTKFEELQPLDEFATPPSSPTQFQDAKAKESEGSGSWYSWVKGGRGTQSSDGGDSRNWKDEVDPFHIPSDYTWVDATEKKRRMKAKKAKSRRGTARKQSSKNTSEGGGHHPMMDGFEE >KQL30854 pep chromosome:Setaria_italica_v2.0:I:33801792:33804895:1 gene:SETIT_017060mg transcript:KQL30854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAAGVVRVSGRPAAVDKVFVAVDEEHGRGTLLWALQNLAKEGAMIVIVHVHCPAQTIRVMGVEVHHTMINPQVFRCQKLTTENDEVAKGLEELIALHGITHLVMGAAADGRYSKYGSQSHLSWHTLWEGNGKSFAKLPPPTEEALIEVQYFRELAHEESTKRRKTEQDLFSALQMIGEWKMLHQHEIWGRQAIEEQYLRDRQEVREMIRKFEAIYDQLDDVQELKWRITEMETARKNHKEALITSNNFIKILQADNENLQQELMQRITEMESAREGHKEELAVSKSRIKMLQADKKKLQRELKQCITEMESARKDHKEELVTSNYLVKKLQADNKKLHYDLNRCITDIQSARKDYEEELTTSKYLVELLQSDKETLQKDLQAALTEAVDLRRKSLLSSASEADSTSPPSYFVCPISQEVMSDPHVAADGFTYGGEEIRGWLDSGHDTSPMTNLKLSHSVLTPNRALRSAILEWQQQQQHST >KQL31815 pep chromosome:Setaria_italica_v2.0:I:39911867:39912494:1 gene:SETIT_018463mg transcript:KQL31815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVAALVVSPQEPAARSAFTSTSILVNSVMHPALFYSDLPHLEFCCFQSVRANGDVVAELHFHVTMETLLMKYTDSCVRQNQNSEQISAGAPPWRWERNPEKRVTKGRRAITSSRPNTLCCMICKTSKRPRTRLKTIGLMLESMSCPAVGAPRFSLATPVGKQRWRCCLATWLRCAI >KQL31666 pep chromosome:Setaria_italica_v2.0:I:39095834:39099788:-1 gene:SETIT_017569mg transcript:KQL31666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDRSRESLLPSFLYASSARSFAASSPARSFAASAPAPAAAAGAGGGGAPFSIQAPNEKIELYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGILLKEQGARGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAHKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKTTQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPAEAESKASA >KQL31421 pep chromosome:Setaria_italica_v2.0:I:37394160:37399520:1 gene:SETIT_016119mg transcript:KQL31421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTKLKKLQKLEEEKRKKLLQAQSIDILQKHKISEDAYSLLHASGTIGQVETLKEKRRRAMQLSKAGLDVPEELSLFKRNGDQKFSENSDPVEHILPPKFVEPVKSEDPGRLHEKNMKNDPRKAMECQPKMDVGVSIPEPKTEEPSDNGHLLANQKIQSSIPSCSGSELNLQDKEPGQGEAAMQECINPPIVVPVSRPHEVEKARRDLPIIMMEQEIMEAIYENSIVILCGETGCGKTTQVPQFLYEAGFGTSDRADRRGMIGITQPRRVAVLATARRVSYELGLKLGREVGFQVRHDKLVGSNCSIKFMTDGILLRELQGDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKGRKNLYVDQQDKIRSGVKIKPEDMISQLKVVLMSATLQLKDFISNRRLFDVIPPAVKVPVRQFPVTVHFSKRTHDDYLGLAYKKVMSIHNRLPPGGILVFVTGQREVDYLCKKFRRASKVQTAKKPEKVDGDDNGPFPEVDDKEIFEAYDIDRNKSEHPDDIFSSYHDDDDMDPGPNSFSSDNETESELDTDTDDEESFTYETTEEDAPVLSFLKDAENSSVLKASFGALSGISGVPESVEKSSDATSEEKSSPSVSCFGKCTERMPVSHGRLRVLPLYAMLPASQQLQVFQDIPKGERLVVVATNVAETSLTIPGIKYVVDTGKEKVKNYDHATGMSSYEVQWISKASASQRAGRAGRTGPGHCYRLYSAAAYGKDDLFPEFAEPEIKKIPVEGIVLMLKFMGIHKVVNFPFPTPPNKESLVEAERCLKALEALYSHDDYDGKLTPMGKAMAQYPMSPRHSRLLLTVIKILKSQQGFARSNFILGYAAAAASALSFTNPFLKQLDESDINGESEEHNTNPEANDPCERKRRKKHNAMVREAQEKFSNPSSDALTIARALQFFELSENPVEFCRINSLHLKTMEEMSKLRKQLLRLIFHHSKFCKEFAWNSGDSDDVEQAWRNESSKRPLQMNEEELLGQGICAGWADRVARRNHTYSRASGDDRKVRAIRYQSCALNDTIYLHRSSSVAQVAPELVVYSELLSTKRLYMHGVTTIKPGWLLKYASSLCTFSAPLEDPKPYYDPVNDQVYCYVSPVFSRHNWQLPLHSLPIKDNTSRLQVFVCALLKGDVLPCLRNAKDFLALSPSFVFGPASQRRVGDLLDRMHIKQKSKIGKKLIDSRAALRDAWNADPNFLYPEIKAWYQDKFHSQFDLKWEQMHQEVLLEGHELFPKRSKKVKG >KQL29331 pep chromosome:Setaria_italica_v2.0:I:13787007:13793498:-1 gene:SETIT_019034mg transcript:KQL29331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTDRYFKFSSVILISLVLESSTCASRAKIYDFKTARMLNSWCFSILQ >KQL28466 pep chromosome:Setaria_italica_v2.0:I:6072271:6072521:1 gene:SETIT_0199791mg transcript:KQL28466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DQENCRIIKLLSEAREIAISMLEMSLHLLIKQIEVPSASKWSLVFKTFQKKRVVCEAEQLQELELDIVDLESGVEALFRTLI >KQL31606 pep chromosome:Setaria_italica_v2.0:I:38830629:38832947:-1 gene:SETIT_018541mg transcript:KQL31606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGNLASVVVAVDGSEESMNALRWALDNLRLRPDGELVVLHVQPPPNIAAGLNPAPIPFGGPSGLEVPAFTQAIEAHQRRITQAILEHALKICSAKNVEVKTEVIVGDPKEKICEATANRNADLLVMGCRAIGPLQRMFLGSVSNYCINHVGCPVVVIKGT >KQL29718 pep chromosome:Setaria_italica_v2.0:I:23810787:23816606:1 gene:SETIT_017793mg transcript:KQL29718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASLDNVRGLTLAMSSSAFIGSSFVIKKVGLKKAGDNGVRAASGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFILKENLHMFGVVGCILCVVGSIGIVLHAPKERKIDSMKEIWHLATQPGFIVYSCVAVACVLFLIFRVVERSGHRLMLVYIAICSLMGSLTVISVKAVAIALKLSFSGSNQFIYVQTWFFIVVVTICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIFANMIMYKDWASQTATQIATQLCGFVTIVAGTFLLHKTRDMGNPPPPDQVCLEEARECAPRSMNSSS >KQL29717 pep chromosome:Setaria_italica_v2.0:I:23810787:23816606:1 gene:SETIT_017793mg transcript:KQL29717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASLDNVRGLTLAMSSSAFIGSSFVIKKVGLKKAGDNGVRAASGGFSYLYEPLWWLGMITMILGEVANFAAYAFAPAVLVTPLGALSIIFSAVLAHFILKENLHMFGVVGCILCVVGSIGIVLHAPKERKIDSMKEIWHLATQPGFIVYSCVAVACVLFLIFRVVERSGHRLMLVYIAICSLMGSLTVISVKAVAIALKLSFSGSNQFIYVQTWFFIVVVTICCLVQLNYLNKALDSFNTAVVSPVYYVMFTILTIFANMIMYKDWASQTATQIATQLCGFVTIVAGTFLLHKTRDMGNPPPPDQVCLEEARECAPRSMNSSS >KQL30788 pep chromosome:Setaria_italica_v2.0:I:33318460:33319206:1 gene:SETIT_019772mg transcript:KQL30788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERALSPASDASTTCSSGSSGGGSGPGSPAASSASVDDSGVAASAASGRKRPRRELKHPAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFDTPEMAARAHDVAALAIKGRAAHLNFPEISHELPRAASAAPEDVRAAAALAAAMEGAAPPAAASSDSSRAAAGSDEDEEPAASVSSGHDDGNAGDEEPAAPTSEHAVLADDLALLELPDVLLEFGFEFTLPPTTPACCYDLSWDEPLLLWEH >KQL30336 pep chromosome:Setaria_italica_v2.0:I:29979568:29981572:1 gene:SETIT_020401mg transcript:KQL30336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRSSSAPSPAGGDGETGLEALALAKVAEAADAIAAAASAGEVVRAIHAVAALLFPIDSAAVAGTVEEPFRTQMLP >KQL31108 pep chromosome:Setaria_italica_v2.0:I:35696585:35699893:1 gene:SETIT_017698mg transcript:KQL31108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHLPGSLPISFLDVPSQGPVTSQPIHGRLTALSPPTGGGRSGAVAREADRGETNSGKSRAAVREAGGGKSAVASSEPRTSGGVRGRRRMRRTLRSRLHVALDDPEKKPKTIVDVGCGIGGSSRYLAKKYGAQCKGITLSPVQAERGNALAAAQGLSDQVSLQVADALEQPFHDGQFDLVWSMESGEHMPDKRKFVSELARVAAPGGTIIIVTWCHRNLEPSETSLKPDEVSLLKRICDAYYLPDWCSPSDYVSIAKSLSLEGIKTADWSENVAPFWPAVIKSALTWKGITSLLTSGWKTIRGAMVMPLMIQGYKKGLIKFTVITCRKPGAA >KQL31107 pep chromosome:Setaria_italica_v2.0:I:35695360:35699893:1 gene:SETIT_017698mg transcript:KQL31107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAALLHSALPSTSSAASRRRGRHHRAPSRAPRRRLPRLMASSTAQQAPAPAPQGLKEGIAGLYDESSGVWESIWGDHMHHGFYDSGEAASMADHRRAQIRMIEEALAFADVPDDPEKKPKTIVDVGCGIGGSSRYLAKKYGAQCKGITLSPVQAERGNALAAAQGLSDQVSLQVADALEQPFHDGQFDLVWSMESGEHMPDKRKFVSELARVAAPGGTIIIVTWCHRNLEPSETSLKPDEVSLLKRICDAYYLPDWCSPSDYVSIAKSLSLEGIKTADWSENVAPFWPAVIKSALTWKGITSLLTSGWKTIRGAMVMPLMIQGYKKGLIKFTVITCRKPGAA >KQL28608 pep chromosome:Setaria_italica_v2.0:I:7009198:7012540:-1 gene:SETIT_018240mg transcript:KQL28608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAATVASDPAKFNEVFLGKPNEAYCAWILDPEKWGGAIELSILSAYYGREIAAYDIQTTRCDLYGQMSPAEGAPEEFDQTIFPVNHNRSIGPAEGLAINLVKEAQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >KQL28609 pep chromosome:Setaria_italica_v2.0:I:7009232:7012540:-1 gene:SETIT_018240mg transcript:KQL28609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAATVASDPAKFNEVFLGKPNEAYCAWILDPEKWGGAIELSILSAYYGREIAAYDIQTTRCDLYGQEKNYSERVMLIYDGLHYDALAMSPAEGAPEEFDQTIFPVNHNRSIGPAEGLAINLVKEAQRKRSYTDTANFTLRCGVCQIGVIGQKARQLKWKVSNNLRKIVVLTPTFLCTMQEAVEHAQATGHVNFQEYK >KQL28607 pep chromosome:Setaria_italica_v2.0:I:7009386:7011811:-1 gene:SETIT_018240mg transcript:KQL28607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVVRRVIPSDNSCLFNAVGYVMEHNRNKASELRQVIAATVASDPAKFNEVFLGKPNEAYCAWILDPEKWGGAIELSILSAYYGREIAAYDIQTTRCDLYGQEKNYSERVMLIYDGLHYDALAMSPAEGAPEEFDQTIFPVNHNRSIGPAEGLAINLVKEAQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >KQL28277 pep chromosome:Setaria_italica_v2.0:I:4762655:4766258:1 gene:SETIT_017294mg transcript:KQL28277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARQLRSFVEVPAGSHFPIQNLPFGVFRRRQAQEPPRPAVAIGDFALDLAAVSDAGLFDGPALAGSPCFHQETLNMFLGMGRPAWKEARATLQRILSADEPVLRDNEALRKKCLVPMSDIEMVMPITVGGYTDFFCSVHHARNCGFIFRGPQTPVNPNWFHLPIGYNGRASSVVVSGTDVIRPRGQGHPTGNSTPYFGPSRKLDFELEMAAIVGPGNELGKPIDVNDAEENIFGLALMNDWSARDIQAWETIPLGPFLGKSFSTTISPWIVTLDALKPFTCEAPKQEPEPLPYLAEKNHINYDIPLEVWIKPKDQSDASIVAKTNFKHLYWTVTQQLAHHTINGCNMRPGDIFATGTLSGPEPESLGCLLELTWNGQKEIAVGNSTRKFLEDGDEVILTGCCKGEGYNIGFGTCTGKVLPALP >KQL28278 pep chromosome:Setaria_italica_v2.0:I:4762734:4765598:1 gene:SETIT_017294mg transcript:KQL28278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARQLRSFVEVPAGSHFPIQNLPFGVFRRRQAQEPPRPAVAIGDFALDLAAVSDAGLFDGPALAGSPCFHQETLNMFLGMGRPAWKEARATLQRILSADEPVLRDNEALRKKCLVPMSDIEMVMPITVGGYTDFFCSVHHARNCGFIFRGPQTPVNPNWFHLPIGYNGRASSVVVSGTDVIRPRGQGHPTGNSTPYFGPSRKLDFELEMAAIVGPGNELGKPIDVNDAEENIFGLALMNDWSARDIQAWETIPLGPFLGKSFSTTISPWIVTLDALKPFTCEAPKQEPEPLPYLAEKNHINYDIPLEVWIKPKDQSDASIVAKTNFKHLYWTVTQQLAHHTINGCNMRPGDIFATGTLSGPVRKKHSNYYIHASWEIPICMNPRLLMCFISGT >KQL31238 pep chromosome:Setaria_italica_v2.0:I:36404408:36408352:-1 gene:SETIT_017583mg transcript:KQL31238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVPCKFFLHGACFKGDYCEFSHDCNDQPDNKGACSFGSHCRNEHVEVHRNCSQPATTAARASSNSSQLVTSSGSHCSEYQTDLWDQTQQICESTTALSAHQPAWAVDYHEHDTPEDANSWPSYQTVQNQTSQDPAYMSICSSAAAGTCPYGKDCSQMHGDLCAFCEKQCLHPYRPDESGVHVKLCKKNNRLLDALRKSEDIECGVCLDRVFSKPTAAERRFGLLSDCDHSFCISCIRNWRSTSPTSGMDVNSTLRACPICRKLSYYVVPSVTWYSSKEEKQEIVEGYKAKLRSIDCKHFDFGKGTCPFGSSCFYKHAYSDGRLEDALLNHNDADGASAAIARLMRLSYLLTRLHV >KQL31239 pep chromosome:Setaria_italica_v2.0:I:36404780:36408167:-1 gene:SETIT_017583mg transcript:KQL31239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVPCKFFLHGACFKGDYCEFSHDCNDQPDNVCTFYQKGACSFGSHCRNEHVEVHRNCSQPATTAARASSNSSQLVTSSGSHCSEYQTDLWDQTQQICESTTALSAHQPAWAVDYHEHDTPEDANSWPSYQTVQNQTSQDPAYMSICSSAAAGTCPYGKDCSQMHGDLCAFCEKQCLHPYRPDESGVHVKLCKKNNRLLDALRKSEDIECGVCLDRVFSKPTAAERRFGLLSDCDHSFCISCIRNWRSTSPTSGMDVNSTLRACPICRKLSYYVVPSVTWYSSKEEKQEIVEGYKAKLRSIDCKHFDFGKGTCPFGSSCFYKHAYSDGRLEDALLNHNDADGASAAIARLMRLSYLLTRLHV >KQL28104 pep chromosome:Setaria_italica_v2.0:I:3328710:3331318:1 gene:SETIT_019540mg transcript:KQL28104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFVIGQESRLRILQRAAARVPGCAYLCAWAALPASQPAAAAAAASSSSPAATSGRLLCCLDAWLCDGGGASCRGDATARRVRALFDAYQGSLCAAVSGCVPGWAYKDGRAFMELPANDLTASASLPVQQQFYEEAGIKMAAFMGCESGEIEVGMSTPASGGSPMSLESSLHQVFSEDFFQQSLLEELLQLPPTRPSSPSSSLPSVSVGSPAAEGSTSLLRTLPVTPPPVATPSSGPERQAPAPPLHHPRPPLASPFSRHGGRLHFPSPDADDAAMAEAMLAVISASSSSALPTTHPSTSTAAPPPGNHHHHGARRWPRRRGTTTTAFRAYNAALAPRVPWRPPGAPGQRMIKMGISILRRMHMLRFSRERTGGATAMAQQAQEEEEDTPAAPTSSQLNHMISERRRRERLNESFEALRGLLPPGSKKDKATVLAKTLDYMNILVAQIADLEARNRSLESRAHQHANGGGGGGGGGWKERPYYSSSEQQEVVVLQGLSGGTSERVGQVHVTAASGAAASASSSSSSAVAGREVTVRVEARAAHGDVAELVARALAVIKEMGRFTVVAVDARRPGDGAAAHATFTLRAT >KQL28267 pep chromosome:Setaria_italica_v2.0:I:4680152:4680405:-1 gene:SETIT_020604mg transcript:KQL28267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSNFPTNRVTAVRARPAETSLRSKRESSIAMAASSTTEAALMDS >KQL28521 pep chromosome:Setaria_italica_v2.0:I:6423556:6425841:1 gene:SETIT_017608mg transcript:KQL28521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSKKATSAAAAAAAVSSNDRQMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSVKDAAAMEMQRNAASSSGMMGRSMNDRSVHMNEAIRMQMEVQRRLHEQLEVQKHLQMRIEAQGKYMQSILEKAYQTIASGDVAACPAGGYKSLGNPAIVDVCSFKDIGPSMGFASLQDLHMYGGGHLDLQHQMERPMEAFFANCDMGSLGKKRLSPYAAGKSPMMWGDDEQGKGGIDHLQMAPPMMDAGGIDVMDSIADVYGDVKPMMSSDSTGSKGCFEGKLERPSPRRPHMGNERMGSPSVIGGQTRNLSYG >KQL28811 pep chromosome:Setaria_italica_v2.0:I:8226807:8238508:-1 gene:SETIT_016078mg transcript:KQL28811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGQAAHLSGQMSGQAAQMNQVANSGVGVGVGGADGLQQHQPMQDMASLTGTDQQFVMLRTTMRDRIFECLGRKQLSAEWRKRLPELAKRLEEMLFRKFPNRNEYYNMMNGPVEPQLQFAIKTLSAQNQQNQQNPQMSRQIASSSGYGTMIPTPGMTQGTSGNSRVPYVSDNNTLSSSGAGMVPQNANMGTSMPGSMSNGYQHSNNTITQNSTPNSIQSAMGSVGVQRQLPHMIPTPGFSNQQNVPVNPEYSNGTGYFNGDSAVAPHMQHQKQFSSNQNSNQIQHIGGHSNSGIHSSMLDNSSAYGLSDGHMNGGIGLHGSNMQLTNRTTAPEAYMNIPPYGSSPKPLQQQFNQRSQQRIPTSVDMAGSGSFYATGSTPLTTANSQSMNVANLQSRSRMNPMLVNNQLNIQSIQPQPQIKTEVLDQPEKVNFQSSQLTHDQLIRQHSMPQHQVQPNSQFVQNQYHISQQQPNPQHQQAMLRSNSFKQSQMASSHSMQLSEQGTLPHTELVSSQASDPVDIPSFQGQYQQRNALDNVKGGQMFGHLSGSQNFHASASHGSQQLLPSNPQLDDGSNDVSYVLKGSQTEQILRPQWQPQTTEKAPVTTNSSLEKQIHEDFCQSTMAQDGVQQPFSSDWRLSHCTVTSIDPAVPKPLAGGFEQVTGNIHYLRQIRWLLLLFHAKSCTYPVGSCKFHGCVQVQELLKHFQNCQRKDCSYRSCSRSKMVSHHYKTCVDEQCPVCSVVRKFLRQSTEQAAKQKALESRKLAQQNVTQRIMNGVEGDRMDVDPVSAEVFDDQPSVPKRLKMQPPSPSAPANDISLTSNPHVNPGFVLQETQPELEHSNRATYPKREVDIKADMRAPQKPIKIGYGIDGNVTTRHNMISGVPNEMNSHIKQENLSIDKETSETALEVKNETDDPADATVSKSGKPKIKGVSLTELFTPEQIKEHIDSLRLWVGQSKAKAEKNQAIGHSENENSCQLCRVEKLTFEPPPIYCSPCGARIKRNAPYYTVGTGDTRHYFCIPCYNESRGETIEVEGQAFLKAKLEKKRNDEETEEWWVQCDKCECWQHQICALFNGRRNDGGQAEYTCPNCYVEEVKCGLRKPLPQSAVLGAKDLPRTVLSDHIEDRLFKRLKQEKQDRAAAAGKNIDEIPGAEGLVVRVVSSVDKKLEVKSRFLEIFSKDNYPKEFPYKSKAVLLFQKIEGVEVCLFGMYVQEFGAECSFPNQRRVYLSYLDSVKYFRPEIKTVSGEALRTFVYHEILIGYLEYCKQRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKASKEEIVVELTNLYDHFFITMGECKAKVTAARLPYFDGDYWPGAAEDMITQLLQEEDDRKLQKKSKTKKIITKRALKAAGHTDLSGSASKDAMLMQKLGETIYPMKEDFIMVHLQYSCSHCCILMVSGRRWVCHQCRSFYICDKCYDAEQQLEDRERHPSNSRDTHMLHPVDIVGVPKETKDRDDILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNVCCHDIETGQGWRCEVCPDFDVCNACFQKGAVNHPHPLTNHPSAADRDAQNAEARQMRVQQLRKMLDLLVHASTCRSGSCQYPNCRKVKGLFRHGMQCKTRASGGCVLCKKMWYMLQLHARACKDADCNVPRCRDLKEHLRRLQQQSDSRRRAAVNEMMRQRAAEVAANE >KQL29919 pep chromosome:Setaria_italica_v2.0:I:26444830:26449114:-1 gene:SETIT_017108mg transcript:KQL29919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVGSGRPPAAQKILQSLRPPLAFASPSRPPFAAPDDYHRFPTPAAAAAPTATSGGVGAGGAADSIEEGLVIRTPLKRKATSEENDAAESSECIITSTGFTGSPMLTPVSGKTVKTSKSKAKNNKAGPQTPTSNVGSPLNPATPAGTCRYDSSLGLLTKKFINLLKQAPDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGLDDSGVDLDNGISALQAEVENLSLQEQALDERISDMREKLRGLTEDENNQRWLYVTEDDIKGLPCFQNETLIAIKAPHGTTLEVPDPDEAGDYLQRRYRIVLRSTMGPIDVYLVSQFDEKFEELGGVETPARHANMSRHHTVEDFNATNAGQCSTSMDVVHNVQQNQRTPQDPNASHDFGGMTRIIPSDVDTDADYWLLTEGDVSITDMWKTAPEVQWDQMDFLSEEVVTPRAQNQQPVAVGGPHMQVPSMDKP >KQL28860 pep chromosome:Setaria_italica_v2.0:I:8519347:8522268:1 gene:SETIT_016795mg transcript:KQL28860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAVPTPGDHACRRQWRYTWEALGHLPLLRLYVFPRPALPAAIPSGGLRADLRLEDSLLLLSFSLAGEAAPVALRVPVPRVLVDPAAPVECRAAGDHVEVRLALVLPVDHPVVAAAFPPPHGAEPPAPLVVRDDLKSLSTGDVHLYCKNCSARLTKQALRKIMEMPSVNWEDVADNWFGGCCTSFSGAGEKLVAQFINAYGRLEGTSLLDTTAITIETDYLESDLVAQVACSASSDFSAMEEAISDVSVGKGHASGKIKLNNSEEQANITTTHAQPPFILEKGHSVSSRETNGVTLQTDQSGTCEMEIDIDVNFEKPKNDSCVEKMEESNKEVDLSLVDPCHGCCVYEYNGKAEDNPLQMSLGNQKKQTMLETKRDYKLTKTISLGSGFIVKASNLLNDFEWVELLCGRCLSPLGSYPSQGSLVPADGRLRLFKCYTSTELPVMGPHDVFRGHTLERVFVNLLLEVAEDEISFRTLVRDLKTKRPILQLVLLSSKAWLSSGCCDENDMDGSHGTADLQPSVKLLYSDYSNASEADLRYAVCLLVRKNALHILRHSYWWI >KQL30981 pep chromosome:Setaria_italica_v2.0:I:34844791:34847801:-1 gene:SETIT_017461mg transcript:KQL30981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPGATLAQSSLTSLRRFRGNSPLPPSRASALRGAAAVLLLAAAVALPCAVLYRAAVDTTAPVYVPRARRPLLQWDPPPLPPAEVPEEDGEFDPFPTGDLDSEGLRLELVLQEASMDNKTVILTTLNAAWASPGSVIDLFIDSFRRGVGTSSLLRHLVIVAFDWKAYQRCVKIHPYCFALTTEDVDFSQEKRFQTAGYLQMMWKRLDFLRLVLEKGYSFVFSDADIMWFRNPFPFFYSDGDFQIACDHYVGNATDLRNIANGGFNYVKSNDQSIEFYKFWYSSRYRYPGYHDQDVFNFIKHDPYTTDIGLTIKFLSTSYFGGICEPSKDLNKVCTMHANCCIGLQSKIHDLRIMMEDWRNYMSMPPSLKSFGALSWRVPQNCSLSLLSP >KQL30183 pep chromosome:Setaria_italica_v2.0:I:28688758:28689030:1 gene:SETIT_019188mg transcript:KQL30183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYFIRGRFSIGNSRCNIHVHNRFWDGRIEVCPLTHWSGKPTRIWINSHPTNNHFNTWGMTIHNRPHIFFPLACTPNWDHLPLNVERGT >KQL30755 pep chromosome:Setaria_italica_v2.0:I:33158400:33161054:1 gene:SETIT_018486mg transcript:KQL30755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATSSAYPPPPPFYRLYKDYEQDPSSAPEPPPPIDGIYTVFGVERKVNEGLQSLESLGLRQLYPKGPNIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFQNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQRRQEAQTLLGESLLVLDGSQAN >KQL29113 pep chromosome:Setaria_italica_v2.0:I:10363095:10366436:-1 gene:SETIT_016829mg transcript:KQL29113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRRRSRAAGLPPGDPDPPPSPEKARRIRPWAALGSDRRVLALALAFRAANALLVRTYFNPDEHWQCLEVAHRIAFGYGHLTWEWKRGLRSYLHPLIFAALYKILALLHLDTPWFMVMAPRLLQSVFAAFGDLYLYKLSKLIFNSQVAQWTLFSQLVNWFMFFCITRTLSNSLETVLTVAGLYYWFIAIESSKGTSIVSKQQAASKQSPPARKLALLIAALSCAIRPTSAVTWLYVGLLDFIQMKSKCYFVSLEVIPVGAIVLAVTTLLDWWMYGSQVIVPLNFLKFNLFSSGGDYYGTHVFHWYFTQGFPSMIWTFLPFAMCGIVKSREWRISGLIAWVLGVYSILGHKEFRFVLPVLPVALMFSGYCLAAMSQFKGKILHGKRCLSRLQLSVILLIITNVPMALYMSLFHQRGTEDVMYYLSKEAHDGRVKSVLFLMPCHSTPYYSTLHHNVPMRFLDCTPSGNKGTLDESDRFLTSPSEFVGEVFGNLSSFSHIVLFESEERHVHHLLLGNSFLEVRRFFHTHFKVDRDLQSSVVVYSQGDVL >KQL31996 pep chromosome:Setaria_italica_v2.0:I:40816864:40817783:1 gene:SETIT_020161mg transcript:KQL31996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYCCIASSSSSGTACGLLRRCILTAADHINDLIVAYESLRLACMVFIRLFEFFTVSFKLRRRIIRIIRPCVRSSGSTSSLRPAPPRRQRRSTAGCIGIFYLTVLLRLRPLWRPSLLVPQITAPGCALSLAHPVLATPVRAIVLDVFPGLANPGMSRPMVRQHRLQHRTPLYDCLDASPSSSPASSPTRHHPHNASSCARGFMCSSLVFSSLVYVDHGYSMHDILDHGYSSSCSATSTSAQRAIIHISYSPSVGFYLRLLLQSHRLQCSCCDCGG >KQL30289 pep chromosome:Setaria_italica_v2.0:I:29656024:29660277:-1 gene:SETIT_017629mg transcript:KQL30289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTAVAVSLSSSPAAKAPASPAAAHFLSYRPRAARAVIRAQTSTTDTAAVEADAKPKKVSKKQEEGVVTNKYRPKEPYVGKCLLNTKITGDDAPGETWHMVFSTEGEIPYREGQSIGVIADGEDKNGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNEAGEVVKGVCSNFLCDLKPGADVQITGPVGKEMLMPKDPNANIIMLATGTGIAPFRSFLWKMFFEKHDDYQFNGLAWLFLGVPTSSSLLYPDEFEKMKTKAPNNFRVDYAVSREQTNAQGEKMYIQTRMAEYKEELWELLKKDNTYVYMCGLKGMEKGIDEIMVTLAEKDGIDWFEYKKQLKKGEQWNVEVY >KQL28240 pep chromosome:Setaria_italica_v2.0:I:4530751:4536472:-1 gene:SETIT_017769mg transcript:KQL28240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADSEDAVKQLSLLMEQVETPLKRSFQNVHQGYPKETLMRFLKAREWNVSKAHKMIVDSLNWRIQNEIDSVLERPIVPVDLYRSIRDSQLVGLSGYTKEGLPVFAIGVGHSTYDKASVHYYVQSHIQINEYRDRIILPRLSQQFGRPVTSCVKVLDMTGLKLSALSQIKMLTSISTVDDLNYPEKTETYYVVNVPYIFSACWKVVKPLLQERTKKKVKVLSGCGRDELLKIMDYSSLPHFCRREGSGSSKHSSSDVDNCFSLDHPFHKELYGHIKEQASHRELIKMGSLHVSIPEPDPNDAKIVEVIQAEFQKMGEQDGSTNGHKD >KQL31578 pep chromosome:Setaria_italica_v2.0:I:38532247:38533131:-1 gene:SETIT_018802mg transcript:KQL31578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLGLIFTAIGEEGVGLGSLGFGAACRSSGINWRLQLAEGSPASVVVVVVGVAGPACLSRSGCDLPPPSPHASLTMDQETTAPRAMATARATRTRRPRCRT >KQL29128 pep chromosome:Setaria_italica_v2.0:I:10499810:10500937:-1 gene:SETIT_020097mg transcript:KQL29128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSPCAVIPVLPDELVVWEILVRLPAKALLRCRAVCGSWRRLTSDADFLLAHHRRQTSLPLVFFRGQISVHVVGDALDAFDLRGDPATAERRPILRLPLHDFLFRQYKVYASCDGLLLFSLCNRSFYICNPATRQRNALPSLIGTNVAGLYQHSPSGEYRILHRRRRYPELNSAYYILTVGPYAKPRCIGLPAATVSASIKQYIAAGLLFVCGHPPVLLHSCLHWIVYSSQENALVVFDTVSESFRCMSPPTENGQWQHLLDMDGALGISHMDESKVMVKLWVLQDYKTEVWSLKHQIELPMVELSVAMKCSFEVLVVSEKGDVLIYCSSFCHIFHCDSTGKLLHKFQWDRMLSMPTGYWFKESLVRHAFFQGQ >KQL28321 pep chromosome:Setaria_italica_v2.0:I:5032575:5040893:1 gene:SETIT_016080mg transcript:KQL28321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTAPLGGASPSGRVLGPALDRIIKNAAWRKHSALVAAAKAALDLLSSSSAYPSPDPTSPKSSPLLGLPLAAADAALHALLLALESASPKVADPAFDCVAKLLYHRLLFGDLGCAGGGDDASSPTSRLLNAVLACGALSDDAMELATLRVVVAAARCPTVAIRGEGLGQVLKTCYNIYLSSSSGANQLCAKLALAQVLVIVFARVEVDTMDVRVRTVSITDMMDMSDRSLNDSSIVQVAQGFINEAMEGSDVPESGSPVEPTEVDGKEDAGMSKIREDGLALFKNLCKLSMKFSTPDNPEDQMLLRGKVLSLELLKMVVDNAGPFWRTNEKYLGAIKQYLCLSLLKNSALSAMSIFQLLCSIFVGLLSRFRSGLKEEIGIFFPMLVLRVLENVHQPSFLQKMTVLNLLEKICKESQVLIDVFVNYDCDLDAPNIFERAVNGLLKTALGVPPGSTTTLTAAQDQTFRIESVKCLATIIKSMGSWMDQQLRIGDFSPKISEASLGSMSSLSSMDIPNILIGEDGNGIDYELQSDSGSPDVSGAPSLEQRRAFKIELQKGISLFNRKPSKGIDFLVKSKKIGHSPEDVASFLRNTAGLNATMIGDYLGERDDFPIKVMHAYVDALNFEGMDFGEAIRYYLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNTMVKDKMSKTDFIRNNRGIDDGKDLPEAYLGTLYDQIVKNEIKMSADSSVPQNKQPSSVMKLLGLDNIISFVSWKQAEDRADGANDLLIKNIQEKFKSKTGKSESVFSVITDTTILRFMIETQRDAFVTSVAKFTYLHCAADMKQKNVDAVKAIISIAIEDGDYLQEAWEHILTCLSRFEHLHLLGEGAPTDASFLTVPLVDSEEKTQKSTSTLSKRTNALQNPAVMAAVRGGSYDSTTAKNNASPLVTPEQINNFISNINLLDQIGIFELNHIFAHSPRLNSDAIVAFVKALCKVSMTELQSPTDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLQPFAVVMQKSNASEVRELIVRCVSQMVLSRVNNIKSGWKSVFTVFTAAAADDRKSIVLLAFETMEKIVRDYFPYITETETTTFTDCVKCLITFTSSKFSSDASLNAIAFLRFCAVKLAEEGFICHDKDTDQQPNNLDSSDGNSTVHKDDHSMVHKDDHVYFWVPLLAGLARLTTDSRPTIRKGAVEVLFDILKDHGHLFSQSFWANIFESVIYPLFSSESFAPNGHISSVNSTEDDSWNFETKMVALKCLSDLYITFFEVMRPELSRVTSVVTNFIRSPYKQSASTGISVFQRLTEGLASKLSNDEWEKILLCFKESAAHTFVLFDKIVRTMKDIEIPDRNESYSEAEQYSDHDIYNDDEEEANMETASYAIVRMKNHMALQLLIVQGIIRLYEVHRSYLYAQHIGIMLEMLSAIASHASEVNSESNLHTKLHKACSLLEVSEPAVIHFESESYQSYLKLLQAILHDNPSLSENMNVESQVMLVCEKILRMYLTCAGHELSNDASGRDSALHRVPLGTAKKEELEARTSLVLHVMQLLGGLEKNCFRRNLPMFFPLLANLIRCEHSSGEVQVALYNIFQSSIGPIISV >KQL31201 pep chromosome:Setaria_italica_v2.0:I:36238224:36242534:1 gene:SETIT_017132mg transcript:KQL31201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRIVLFIPVHSNKTLHPNHHLFEPLLREPKGESVDSRLRVPMNVFVLLQSPESKSMTSYYFVQQSCSASLEGPNKKLNKHAYHRHNKSAPYGSQFARTMRRISVISEFRGALLDRRRRRRRRRQQAEQAAMEGSAAAPLRTRVCIIGSGPAAHTAAIYAARAELKPVLFEGWMANDIAAGGQLTTTTDVENFPGFPDGIMGADLMDRCRAQSARFGTNILSETVTAVDFAARPFRVASDSTTVLADAVVVATGAVARRLHFPGSDTYWNRGISACAVCDGAAPIFRNKPIAVIGGGDSAMEEANFLTKYGSHVYIIHRRNTFRASKIMQARALENPKIQVIWDSEVVEAYGGAGGGPLAGVKVKNLVSGEVSDLQVSGLFFAIGHEPATKFLGGQLELDSDGYVATKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEVGAQEGKSD >KQL32196 pep chromosome:Setaria_italica_v2.0:I:41744674:41745621:-1 gene:SETIT_020219mg transcript:KQL32196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HHPQPPLTVVKRKRTKRPRHHPPASSSASSSESTTTEEEDMAHCLILLAQGAGGGSSGAHAAVDSKHSPSLSPPPAAAPPAPAKSERYTSRKYTEAVTTADGVKAGFYVYECKTCNKCFPTFQALGGHRASHKKPRIAGTDDEIVLTTTTTTTIKQQQKPPMMATASPAPAPAPLLQPQTTIDVAVFPDVTTALSLNSVAMTTTTKLRVHECSICGAEFASGQALGGHMRRHRPLNAPERAVTAIAAAADTTKKEAGSAGINLELDLNLPAPSDEEALSLPAAAPPAVVLGLGQFSNGNKGGLMLTASALVDCHY >KQL31522 pep chromosome:Setaria_italica_v2.0:I:38179481:38180635:-1 gene:SETIT_020203mg transcript:KQL31522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRLRSHLLPAVRAAWPLHAASSLHHLVLYSTAAAATAAEAAAPFVAEEYLVTTCGLTPEQALRSAKPLAHLKSSSKPDAVLAFFAEIGIHEADLAAAITRNPRLLCLKVDETLTPRIGMLRDIGLSTPQISRLITVAPLIFSNPTKISRLPFYLSLLGSYDKVHTALRRNLLLLSRSLESVVEPNMAFLRHCGLTDCEIAKLFLASPRTLALEPEAVKEIVVCADMLGVPRNSRMFKGVLSAISSITPRRVGAKLDFLKKALGCSEAEVGIAIGKLPSILASAEDRLSRTVEFLKMEVGLNAAYIVHRPALLGYSLKKRLMPRYYVLKVLKEKGLVKENVDLYGVVCKIEKKFVERFLDPHKESVPGLADAYAAACAGQVPPA >KQL29670 pep chromosome:Setaria_italica_v2.0:I:22814468:22817127:-1 gene:SETIT_018613mg transcript:KQL29670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRAMGLLRRSLGFAPPTAQRALSTSAAPAAEGAAAAAAEAVAKEAKKRKKKNLFDVVQFLPGWGVGYKVAKTTWRDVSYQITKINLYKDGRHGKAWGIRYKAGVQAADAPIRISGVNKRGWKYIKESQKKLQDAPKVEAPVSA >KQL27735 pep chromosome:Setaria_italica_v2.0:I:303840:304289:-1 gene:SETIT_020653mg transcript:KQL27735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPKSTVKAGLEPAVMKCSIVVLTSWCYGFVAPSFSLF >KQL27755 pep chromosome:Setaria_italica_v2.0:I:417815:421143:-1 gene:SETIT_020218mg transcript:KQL27755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASQSIVSMLGQLVVEELQEIRGVGDKIILLTDELATTNAVLRMISEADESVVDHLAREWEKQLLPYVLKWPKYQLEKLWLQRNLAADVKALLARTCAVSERRGRYHIDRAALPRSPWFTPVSVASASARLRRANDDPDHQLVGIREQAETMAQRIKEIHVDDDKRLKVFSIVGFGGLGKTTLAMELCRQLEADFERQALVSVSQAFDGVNDMKGPLARLLLQIDKVKQDEDAGRTHANQLNIDQMDVEGLSTKLNELLMDKRYLIVIDDVWSLPAWEAIRIRLLENNCRSRIIVTTRIETVAKASSVSEDFVHHMKPLKQDASEKLFVKIVFGSVGACPDGLKDTMSKILKKCEMWKRVSNSIGSEMENHPTLEGMSQVIALSYGYLPHHLKACMLYLSIFPEDYVIAKDRLLYRWIAEGLVAEKRGLTLFDVAEEYFNELISRNMIQLDKLSRRSWVFYSYSRTEEACWVHDMMLEVMVSKSQVANFVCLVGRQYEGGLARGLVRRLSVHGNVEDEEERPPSSSSNNNNKLKKKKKKKAMGQRRCVRHGGIEAMNLQHVRSLGTFQVEKGLDKLLDRLGEFRLLRVLDLEGCNSLRNKHIRDVCRLYLVRFLGLRDTRISVIPSKIGDLECLESLDVEKTDIYRMPPTVTKLSKLERLRVNRWSLPLGLGNMKALREVDFAVLKVGDVQVAREIGELQRLQILYIALEKSEAEPNDKEDEFLHELASSLSKTYALRTLHLSGEKDLDFLDFLLQVSSPPPLLRSLFFNEHISRFPGWISSLKHLAEFSVDITDLAGDELLDSLCELPSLQSLQLWGRSCRGPELVATKDKFPALRILDLNLSSSTFKQVRFEKGSMAKLETLLLTLCDWNTSIVGIDNLNNLKEVKFCGSEFNPSLESALQQVHQMNKNRHKSNQIKVVAKYW >KQL28302 pep chromosome:Setaria_italica_v2.0:I:4877950:4886048:1 gene:SETIT_016753mg transcript:KQL28302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETLDPPPDAAPSPSPAPATPPPPAATPAALLRPRREAFEHGLLPIPKLIFPEGTLAQTLAQLKEKLAAAVPGGGRVGAAALAEALQIPQEQAALALGTLAAVLPAEDPALGDGAGDADIHDVLLFLYVQSYKRLVPRSAHKDSPAVADVWPSTSAFDGYLSALSPIQLVRSNSRRFMPSQADEEIHQLSYLQKHMANILTLLADSVEGEGDDSLVLTMETFEHLGFLVQFSEGSSLSQAATFFANSDPDMPAAPVPAAQVLDWMSQNIASALEYSAERSAAKESNQQAMSDLDVTMAEANTSHPRNSTPSSNPAYYRNVTFVEGFSKTSVVKHASDIKGNSIKVLNCHDSVIYILAPLKYATVYGCSDATIVLGAVGKVVKVEHCERVHIIAAAKRICIANCRECLFYLGVNHQPLVLGDNHKLQVAPFNTCYPQLRDHLIQVGVDPSVNKWDQPFALGVVDPHDSLSHPAGVSDVKAESATCLDPDLFTNFLIPSWFGDERQGPTTCNPFPLPEIYGACQSKKHSALEDIQKTIRELQLDENRKRDLATALHAQFKDWLYASGNIRQLYCLQGD >KQL31034 pep chromosome:Setaria_italica_v2.0:I:35170472:35174549:-1 gene:SETIT_016162mg transcript:KQL31034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYISRFVAGFAIGFSQVWQISLVTLAIVPLIAIAGGTYAYVTIGLMARVRKSYVKAGEIAEEVIGNVRTVQAFVGEEKAVRSYREALLRTYKYGKRGGLAKGLGLGSMHSVLFLSWALLIWFTGVVVHKRISNGGESFTTMLNVVIAGLSLGQAAPNISTFLRARTAAYPIFQMIERSTVNKASSKTGRTLPAVNGHIQFRSVHFSYPSRPDVVILDRFSLDFPAGKIVALVGGSGSGKSTVVSLIERFYEPLSGSILLDGHDIKELDVKWLRRQIGLVNQEPALFATSIRENILYGKEDATMEEINHAAKLSEAITFINHLPGRYETQVGERGIQLSGGQKQRIAISRAILKNPSVLLLDEATSALDAESEKSVQEALDRVMVGRTTVVIAHRLSTIRNADTIAVVDGGRIVETGTHEQLMANPCSAYSSLIQLQEAAQIQQKPSLSDSASITRPLSFKYSRELSGRTSMGASFRSDKDSISRYGAGEAHDEAHKGKPVSMKKLYSMVRPDWFFGVSGTLSAFVAGSQMPLFALGVTQALVSYYMGWETTKQEVRKISVLFCCGAVLTVVFHVIEHLSFGIMGERLTLRVREKMFSAILRNEIGWFDDTSNTSAMLSSRLEADATLVRTIVVDRSTILLQNVGMIVTSLIIAFILNWRITLVVLATYPLMVSGHISEKMFMKGYGGNLGKSYLKANMLAAEAVSNIRTVAAFCSEEKVIKLYADELKEPSKRSFRRGQGAGLFYGVSQFFLFSSYALALWYGSQLMSKELATFKSVMKSFMVLIVTALAMGETLAMAPDIIKGNQMVSSVFDILDRKTDVRIDTGEDIKRVEGLIELRGVEFRYPARPDVTVFKGLDLLMKAGKSMALVGMSGSGKSTVLSLILRFYDPIAGRILIDGKDIKKLKLKSLRKHIGLVQQEPALFATTIYENILYGKDGATEAEVIEAAKLANAHSFISSLPEGYQTKVGERGVQLSGGQKQRIAIARAIVKDPAILLLDEATSALDVESERVVQQALDRVMKNRTTVMVAHRLSTIKNADVISVLQDGKIIEQGGHQQLIENRNGAYHKLVSLQQQQQQQLQSQQSS >KQL28131 pep chromosome:Setaria_italica_v2.0:I:3655618:3658184:1 gene:SETIT_018604mg transcript:KQL28131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEHNLCMDEALLKEPANQLALKNKDGRCPSLLTVVGVVFLTFNSIMAVYRSNGDMGAISFVVFSYLDLVVLFYFLRQFERTPPESPRREHIKMVVWLLTTMLTAAFSYKVAEIMPLPVQVLVWAISSATVLGGFYAFFLYQEGTSKA >KQL29480 pep chromosome:Setaria_italica_v2.0:I:17203899:17209744:1 gene:SETIT_017804mg transcript:KQL29480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRGHQPPEDGFFHPRDADMSQRTECKAQGPSSARKVQKADREKMRRDKLNEQFQELGNTLDPDRPRNDKATILGDTIQMLKDLTSHVNKLKAEYTSLSEEARELTQEKNELRDEKASLKSEVDNLTNQYQQRMRVLYPWAGMEPSVVIGPPPAYPYPVPVPIPSGAVPMHPQLQAYPFFRSQTSGTIPNACTPYMAYTQPCHPPTDQPSNQPNSPVANSSSHRSNSPARDCRSKSSTLQQASCGVRSSDVGDVATDLELKTPGSSGPSHSEIANKDSSSDLKTKKQCIKQINCSSITEGTSSSRCSSSGPPDVSNSVGDG >KQL28786 pep chromosome:Setaria_italica_v2.0:I:8109353:8112598:-1 gene:SETIT_017014mg transcript:KQL28786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSGEELHGHEDEDYGDAATMEALRQLRAGYAWADVASLEPASAAGRAVRVRGAAQAVRAVGRRVAFLVLRQGAATVQCVVSGGDGGMARFAAGLSRESVVDVAGVVSLPREPVRGTTQQLVEIQVEKLHCISRAVPNLPISVAAAARSKEDVARAKAAGEQLVHVGQDKRLDYRVIDLRTAANQAIFRVQCQVENVFRQVLLSEGFVGIHTPKLLGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAVCGGFERVFEVGPVFRAEGSDTHRHLCEFVGLDVEMALRDHYSEVCDVVDRLFVAMFDHLNKNCAKELEAIQRQYPFKPLKYLETTLRLDYDEGIRMLQEAGVHVDPMGDLNTEAEKKLGELVRDKYGTEFYMLCRYPSAVRPFYTMPCSDDPRYSCSFDVFVRGEEIISGAQRVHDPELLAAQAEARGIDVGTIAAYVDSFRYGAPPHGGFGVGLERVVMLFCGLGNIRKTSLFPRDPRRLAP >KQL31625 pep chromosome:Setaria_italica_v2.0:I:38888602:38891547:-1 gene:SETIT_019969mg transcript:KQL31625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSADGARLPPSRAGSRLCVRCGERKAALKRPKTLEQICRECFYIVFEDEIHQTIVDNTLFKAGERVAIGASGGKDSTVLAYVISELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDDIVKAIGLKNNCTFCGVFRRQALDRGAALLKADKIVTGHNADDIAETVLLNILRGDIARLSRCTFITTGEDGPIPRCKPFKYTYEKEIVIYPFWTTFPQNVIPGIYSPNAYRGFAREFIKDLERMRPRSILDIIKSGENFRISTTTRMPEQGTCERCGYISSQKLCKACVLLDGLNRGLPKLGIGRTKAGPGAERNTSRLQGKHGNFDF >KQL29501 pep chromosome:Setaria_italica_v2.0:I:18293833:18295240:1 gene:SETIT_019131mg transcript:KQL29501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKYEVCGPTQPNTEWTFPFGSCIEDLLQHSCARPKSERRLWVPKARVLEAAEISFPAKRGEIRRFEAGEHSIQKVEGRRVNSSSFMEVTLSSKMERWGNQNREFDLRGRVSIMEVMADLSRIVGRGISMVKVDLRGMEEREERSHKLRKFPRKQRGVPQHGEYEGVVICFRCSQEGHHKSDCKNLPLCYNCKECGHMSQNCPRVKTKKGLQLCGFGLLGQMFYNIHVPVEEDQEEQKSIMVVMHIRDGVGPVSKVTNELRYLINSKWDWQVRKIANGKYEFVVPSKGDLNFLTKFTEFQCKVSVEKASLTDGSFDQLTSVWVKMSEAVYLIGDPEAADRKSLQERGPVRVRVACKDPKEINLTSNVYFNGLCFMVAWEVEADTKIASQSQKAAEQEEEDDEDEEEKSDDYS >KQL30966 pep chromosome:Setaria_italica_v2.0:I:34753523:34754351:-1 gene:SETIT_020373mg transcript:KQL30966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSNHSYPSRKKKDKKCFLILGSSAQESGHTSAGSGSTFSAPASASTASALASETIITGSANSASPPTSRQRSIMDSPSASLPRTRYRTLWQNRGR >KQL28861 pep chromosome:Setaria_italica_v2.0:I:8522962:8523588:1 gene:SETIT_020350mg transcript:KQL28861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSVSFDLSASSLIVSSLNCKMPWLVLYSQKTSFTLKWCYG >KQL29979 pep chromosome:Setaria_italica_v2.0:I:27253421:27254186:-1 gene:SETIT_020374mg transcript:KQL29979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHTLCPFHDHFPVIFPALVQGPSDLIFSCNHVGLNILLVVCGPSELFSFVLCFLFVIYYISCLM >KQL30172 pep chromosome:Setaria_italica_v2.0:I:28581241:28584563:-1 gene:SETIT_016889mg transcript:KQL30172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGKEAANKRLDWATMNLESGGGVAGEKGDGNASRKPPMSIFRLFLACMVSGGIQYGWALQLSLLSPYSQTLGISHSYVSLTWICGPIAGFVVQPIVGYYSDRCTAKIGRRRPFVLAGCIIICLSVLMIGFSADIGRHLGDTKEHCSTFKGSRWSAAAVYIVGFWFLDFANNTVQGPARAMMADLSAGQHGPNVGQAIFSLWMALGSVLGYLSGANAKWHEWLPWLKTAACCDACANLKGAFLTAVILIIISMSVTLALAGEEQLAKDDVDVSSGGACSAFADLFKSLKNLPPAMFKVLAVTAVTWLSWFPFFQYNTDWMGREIYHGEPQGAGGKADAFDAGVREGAVGLLFCSIALGVTSFLIPKLCRKLTSRVVWSISNLMVFAIMTVMVVLGMISMKGYNPSLTASLIGPDKTYRGIALAVFALIGIPQAVLFSVPWAVASEVASEEGGGQGLTIGVLNIAIVLPQLVIALTAGPIDGAFNKGNTPAFGIGAAFALICAVLAVVLLPKTKGLSNATLMAGGH >KQL30515 pep chromosome:Setaria_italica_v2.0:I:31399085:31407408:1 gene:SETIT_019675mg transcript:KQL30515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVDPYALRCVSDLPPPFRPVFGFRYFNSLQSECFHVCFFSDVNMVISAPTGSGKTVLFELCILRLLSRFLSPDLRFNLVKGTLKTIYIAPSKALVQEKLRDWNTKLGPLGINCLEMTGDNEFYNNKAIHDADLILTTPEKFDSMSRHGVRDGRLGFFSDIALVLIDEVHLLNDPRGAALEAVISRIKMLSRQGNMKSSPLANVRFIAVSATIPNIEDIAEWLLAPPEGVKRFGEEMRPVKLTTKVFGYAPAKNDFLFERNFSTKNRNEILITDILMQHSRGKSALVFCSTRKGAQEAAQCLSQTGASLGYSNPFMQSMQQYEHLREASLTCSDKQLQACIVHGVGFHNGGLCLKDRNLVEGLFLKGDLQILCTTNTLAHGVNLPAHTVVIKSTQFFNKEKGLYVEYERSMVLQMCGRAGRPPFDDTGTIIIMTRRETVHLYENLLNGCEMVESQLLPCAVEHLNAEIVQLTVADISLAIEWIKCSYLYIRIKKNPENYGVKRGTPRDLLEKQIQGICVEKIHELVEYGLILTDEYAFLLQPLEPGKLMAKFYLKFDTMKLIVKASACCSLEDLLHIICHSAEITWIQLRRNEKKVLNDINTDKDGRLLFHIVMENGKKKKRVQTREDKIFLLANDCLTGDPLIHDLSLNQEMNSICSNGCRIARCMREYFIYKKSYRSAINSMILANSLHQKLWERSPFLLKQLPGIGIVTAKALKSAGICDFDTLAAADARKIESVTGRNYPFGNHIKELMSSLPPKIDIHIEDAGNRLGKSTITVTLTRLSQAIQSNKRNFADMVVGSEEDNVILFHEKIRTQEFHSPYSVKVFVPCPPNARVTLKADLIFEEYVGLDVHKKHIISREDDLHVTKAHGIDKAEPTYNLPAEICLVSSRTIRTSRSQSRTEQSPLSKEVYVIEDDAVTALEKADNVQGTRKFNNLASLEVPSFDLLSEEEYGDMQAAASTPEPAEAECISATSNTIFDHIRKKSKDFPTLVLSKSMDSSYEPLILKKMKTSRDQFGVEQSSLHTGGVTPMDSEPAEPRVSPTNMLFTGKRNSPSEKKIMTTPGESPLRFSGENDSPLEKSKILINAPAENSHLQLAAKRGSPSEKSKMTTTLDECSLGLAGGKDRPLEKSNILIRTPVENPFEKGTPAENSPQFAAKRDSPSEKRKFSFSSSLPCFQAEQCTKQE >KQL28650 pep chromosome:Setaria_italica_v2.0:I:7220797:7222035:1 gene:SETIT_0201941mg transcript:KQL28650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEDMLKRSFAEFHAQKNLPEKEKLLLQMLRQPTKTIDCIKGEPSIEEYYEMALEAEAHRESITEAIMQLPSTQQFLMPGRLVVVKSESFLLAVIFIYIVA >KQL30596 pep chromosome:Setaria_italica_v2.0:I:32038922:32040705:1 gene:SETIT_019164mg transcript:KQL30596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRPWADLPLELVSCIADRLKALRCYLAARGVSKAWRSALESASPYVVRVCRIRVRAHAVAVSIPLRRSFHLTTINSYSRCVGSCHGWLAIIPYLGSNVVLLMNPLTGKNLKLVLPLLPTFQPPHRIVFAPNPSVEGFTAVATWVAGANKLLAYVRIRNATCSFELIPVDTPTVHVVYHAGEGGGGGKFYCLLKNGEVRVLRVPRDLQATPVLEPLLDDGVPSGPTDIFAPPFSRLPEHTLAKHLRNTGGAMNVEFPGGGSLHMSKNEVFVLRHASASVSVGLSNAVSVHAEGMAGMKGNCVYWVGPSDFAEAMAFDMETKRSTRLHRDLLRCLFTLNFFFLSNTLGQLSIFVPFQLFSRFISPAEKLNKQPN >KQL31847 pep chromosome:Setaria_italica_v2.0:I:40058837:40060987:1 gene:SETIT_017381mg transcript:KQL31847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSRMEQATGVSGPEHIIDIPRDTGSSASVSRSADRENHEELNPVDRPSTRALVPALQAPSAIGAVPSAGQTSGTRRSDNYVRRHRSPLNSGLWISIEVIVNVSQIVAAIVVLCLSRKEHPQAPLLEWVIGYTVGCFATLPHLYWRYIHRNIVNGEHEPAHAPQGSSHNNSTEATHAASASERRRNAARNAVLANPRINALFDHFKMALDCFFAVWFVVGNVWIFGGRSSAADAPNLYRLCIVFLTFSCIGYAMPFILCAMICCCLPCIISVMGFREDTNNTRGATSESINALPTYKFKTKKRRHGSGSEAEGQEGGIVAAGTEKERSLSAEDAVCCICLAKYAHNDELRELPCSHCFHKDCVDKWLKINALCPLCKSEIASSSSTSDTRQSEQNAIPVQEIEMH >KQL29026 pep chromosome:Setaria_italica_v2.0:I:9805642:9807105:-1 gene:SETIT_017167mg transcript:KQL29026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRAAAPPHSTKGWLSRCRRPPRNRKGSSRRAVDPLATMPDDLLFDAIFSLVFSDAGDVARCAAVCRRWGRIVAAREAAILRALPPPDRFLPHLALGFFHGGQHDLSSTRRRQLAAQAQTDPCFVPTPSASVLLGSPFPGLPEGGDGGGLFHYARPVASRGGRVVFELRRKTRADGVALSVCNPMTGDVALVPPLAGDDFPGHDYMCAVLTADDLDNDAQQGSSFFSLVLVYNRRSFTALRCYTSDDAGGGGGWGPEFRKPGTQISGHVLQRLGPAVVLRGVVYWPIMVAAALAVRLDGGAAAAVMDVHVVPYTIRDTLPDWRLLGTTPDGRLSYVSAGLMGGELLSFGVETLDVSAAAGAEWERGDTSVLTQLTVPMLSKFELKMRWLGEKSGTLFFTIGEGCSTSGAFAVNLATGSVDKLADGVECNSWRSLYGYEMDPTALLASIAARFN >KQL30346 pep chromosome:Setaria_italica_v2.0:I:30032116:30033791:1 gene:SETIT_017094mg transcript:KQL30346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRVRVVSVSHVLPAAGADEAAPAGGSPHHQPLPDDRVLKLSFMDCLFVGVLPMQRLFVYEGPGVPPFPCLVRSLRSSLAAALGDFLPLAGKLSYRPSAGDLVVDCSPAAVYPGVRFVEAQYDGSIGDMRRLACGEEHDPEDLLRLGPELEVGRLPAPVLAVQVTRPAAGDGGAVVGVSIHHAVADGHSVWQFMRAWSAVARSASSQSLVPPPTFDRAAIQHPEAEELTRRFLRTIAPALPTVRPPPASRPTLELAQRRRSFLIRRDQIHSLKQRVMEQSVAISEPLEKLPSTYVAVSSLVWTSIVRAKALDHGGGGGGDDDDTCYFLVPVDCRRRLLPGVGEGYFGNCLSLSFAKAAARDLTKPDAGMAHAAAAIRDAAREKLANPLRGAERWAEVYAGIPRERFTPTGSSNRFMAYDDTDMGWGAPSRVELVSSPSGRGMVLLLGAPNGGVQVTVELDHAHMDHFAANFLQV >KQL31580 pep chromosome:Setaria_italica_v2.0:I:38544658:38546490:1 gene:SETIT_019359mg transcript:KQL31580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YNDDCYRKTEEVNATLDDKDRYDHVDVWPRSPSPSCYYFWYRCYQMRKTSPTPLKSKRFTQPYAYEYQATPFLQFFSLRFAGNFLRGESMSVYGFLAIRDDVDYLRDYVFSRSQEDAHVIRPVSSDLPLISPVRGISSSAPVIFEYSIKFVNNDKDSDDEDGEIIDGCFRYVPWNPYHNHKVKPRIYGPLGPVDMQFMYICTGVEGTIGVKVKCAAKGYSLKAVTAFTSRQRNAILLYDRPASSLVTSNNGSSAWVAVASSVVAVDLD >KQL31358 pep chromosome:Setaria_italica_v2.0:I:37074268:37077308:-1 gene:SETIT_0169072mg transcript:KQL31358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILDDPSPTPPQDAYSSEFCSFVNDCLQKDADARPTCEQLLSHPFIKRYLKTDVDLAAYVKSVVDPTERLKQIAEMLAIHYYLLFNGSDGIWHHMKTFYMEQSTFSFSGKVYVGQNDIFDSLSNIRKKLKGDRPREKIVHVVEKLHCRANGDSGVAIRVSGSFIVGNQVLVCGDGVKAEGMPSLDELSIDIPSKRVGQFREQFIMQPGNLMSCYYISKQDLYIIQS >KQL27834 pep chromosome:Setaria_italica_v2.0:I:1006074:1006584:1 gene:SETIT_020584mg transcript:KQL27834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFRIQLEFGYLTLWLSLSLYCILSFLFSIVKLFQTHWVDTY >KQL29921 pep chromosome:Setaria_italica_v2.0:I:26459667:26462712:1 gene:SETIT_019773mg transcript:KQL29921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQAGGAGQPEARSACGADTSLFKTSLTPPASHHPGTPSRVIPNPKPQIHPPHSLIHSGRPWPAPSPPPPPSPPRPPTPPGPSPPRRSPSPSPAPRSRPQPGPSASPPPDPRGPPGSSPAPAASDDLPLVGNKAPDFEAEAVFDQEFINITAFSDRYEEFEKLNTEILGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLVSDVTKSISKSFGVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETMRTLQ >KQL29680 pep chromosome:Setaria_italica_v2.0:I:23023633:23024125:1 gene:SETIT_019696mg transcript:KQL29680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRLSCCCRRPVAGDDNSDEDEEDDRGEEALSAVGLVDTRHMRMSIKAFFDQYIDVEHDDVDDEIHTDFGADFMSATGFVNRLWDLRWVIEVFLDGELDRETHRATMAKRLGDLEEVLNARTRDVSMGRIKIVQ >KQL31355 pep chromosome:Setaria_italica_v2.0:I:37054645:37054900:1 gene:SETIT_020416mg transcript:KQL31355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCFVLDKYNNQRCTALQINLLPPRKIK >KQL29977 pep chromosome:Setaria_italica_v2.0:I:27224139:27224688:-1 gene:SETIT_018790mg transcript:KQL29977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRPVIIPCTAPITDGFPKKKTSRSVHTSRLVDAQICVLSTAIDESMLAEYGSPPLKPVHPSHSSPAPASTSRTLFGGNRSLSDADLGPTCVQVVRTIDGELE >KQL28687 pep chromosome:Setaria_italica_v2.0:I:7487286:7489262:1 gene:SETIT_0193042mg transcript:KQL28687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFGPALVALLFFASLAIPCTEQEKSSLLQFVSELSQDGALSSSWDNATDCCKWEGISCSSENTVTDVFLACRNLEGHISPSLGNLTSLLRLNLSHNLLSGGLPLELVFSKSIIVLDISFNLLHGDLQGLPSSTLQPMQVLNISSNLFTGRFPSTSWEAMKGLVVLNASNNSFTGQIPTTFCVSMPSISVLELSYNQFSGGIPPGLGNCSMLTSLNAGSNNLSGNLPDELFNLTLLEHLSFPHNQLEGSLRGISKLTHLVTLDLGGNRISGNIPNSIGDLKRLEELHLDGNSMSWELPSTLGSCTNLRTINLRRNMFSGELTRVDFSTMPNLKTLDLVWNNLTGTIPESIYSCSNLTALRLSGNRFHGQLSERISNLKSLSFLSLVGNSLTNITSALQILRSCRNLATLLIGRNFMHEAMPEDDRIDGFKNLQVFSISRCSLYGKMPPWLLKLTNLEMLFLYSNQLTGPIPEWMSNLNFLFYIDITNNSFTGEIPAALMEMPMLKTDKVAPKAFEIPIYLTQSLQYSKPGSFPKVLNLGANNLTGVIPKEIGQLKELLSLNLSFNKLSGEIPQSICNLTNLEVLDLSSNKLTGVIPTTLNNLHFLSEFNISNNDLEGPIPTMGQLSTFPNSSFDGNPKLCGPMLAHYCGSSEKKFSTE >KQL29179 pep chromosome:Setaria_italica_v2.0:I:11055406:11055705:-1 gene:SETIT_020506mg transcript:KQL29179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNIELILICLFLGRRLIHDQLLALKIMTMNLMQCNMIALHDKELTILVRIDWTDQI >KQL30527 pep chromosome:Setaria_italica_v2.0:I:31470523:31472004:1 gene:SETIT_019689mg transcript:KQL30527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVAVAAAVAAAAAAVLLLARVAHAEIKITPIVSDPRSIILFEQFGFASGGKATISISRATWQLRPGSRLAGVDPNLMGFVLISGSQFPKVNNQTEYSAADPGPGGGGGGGFCVLTSEYALPMLRLNDVPPGGVTTTVTIDDPDEYAVVFSNCQDGAEVTMDVRTEMYNVRRDASGGVRDYLPVGLRPLPGIYAAVSAVYVAFLAGWAWTCSRQRATAERIHAVMGALLLFKALKTACAAEDAWFVQRTGTPHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPYLQEREKSVLMIVIPLQVIENLVLVVIGETGPTGRDWIVWNQVFLLIDVICCCAVFFPIIWSIRGLREASKTDGKAARNLQKLTLFKRFYLVVVGYLYFTRIIVSAFLAVLNYKYQWGVNVAVEDASFAFYLFVFYNFKPVEKNPYLYIGDEEEEAAGGELEMDDGAF >KQL27900 pep chromosome:Setaria_italica_v2.0:I:1510987:1512210:-1 gene:SETIT_020121mg transcript:KQL27900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDETSTAKVLTNDGLVGEILLRLDCPACLVRAAIINKRWLHNASDQAIIRSFRAKQSPHLLGIYVCSDDFSQPEFVPMPDASCPELAVALRHGNFNFPDMDSLLLNVWDCRNDGVLYEFGESIHAALAPAVRTPLRHPGEDTVVFPPQPSNTWPAECPHAMLLPDDDGDDSSCYRVDIGNKNQKVYARVLVLRAGSWSIHCSALADLARSPEKILTVTLLMRGKIYMLTRAGYILALDLATARFSIVDLPEGVEFEYHGNLTSCRGDDSALYLFHVKGDKLTVWLKRMNEHGDDGSRNGDWVLRDTISLLETCGHLVEQDCEPADGQEDGVSVVGVGDNAEFVFLEFVGTGVIVYMHLKSRNVKKVYQRNPDNDFVIRVLPFVMVWPPIFPEHGADMKVTDCIKTQE >KQL29706 pep chromosome:Setaria_italica_v2.0:I:23648853:23652110:1 gene:SETIT_018648mg transcript:KQL29706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILWEKSAGWRWLVRRTRDSKPFFFTFAALCGVVPGVIGYGVMQLTSSRNEQLEAHLRSTARPETTMMGQVNRERLAEFLGELQRKEDTNDRYVAALKGETLTRKRYERIQPVPAPVQASQEAASAKAASAEKPKAK >KQL30215 pep chromosome:Setaria_italica_v2.0:I:28994503:28994721:1 gene:SETIT_019833mg transcript:KQL30215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKSFFCPIFSFLRKSRRYDDDMSDWDGRTGYVRKVRSSDEDYGGWWIGERDVDRKASDFINNFHQKKVAV >KQL28471 pep chromosome:Setaria_italica_v2.0:I:6090282:6090588:-1 gene:SETIT_019491mg transcript:KQL28471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVDSVVDPLREFAKDSIRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KQL30682 pep chromosome:Setaria_italica_v2.0:I:32569175:32570830:1 gene:SETIT_019571mg transcript:KQL30682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQMVSTFMEITACESQAYAVQHLGSCRWNLDEAINLYFNSGDGGGAGPSAVSAPVPPEEEVAMKEDDDSYDAGFGGDDGVRAPIPSCVEALYEDDAYYGNHDAPYIGFGEEPYPPPVPSFHAQALSEIEATGWGVAAKPGEIAATGWEEAETGDGGHVGAEDVDSGEFHDDAEQEDSSSSSEEDSNLDDNMSYSDNEVDDYGFEMDEDDSSYYASIEEDGTEDAGEQPRPAQPQQQQDSLHALYQPPVDLMFVGSFHDAKVRATREDRFLLVNLQTLIGAGHFQSMLHNRDLWSDEQVKNAVKESFMLFLVQKSSTSSFHYLDQCSKVCSFYRLEDDQLPAVLILDPITGQLLNKWSGPMTPDEFMEYVDAYTKEKPSTMSVPKFVKRTSAPAVAAGEQEPASSSASAAAAVEQEPAATENSKPEIPKNAAPAGASCSEQEPVPAPEAEPPAEMVDDDEPMEGEKMYKLRIRFPDGSMVPKEFGCRRRVASLFAFCRSAVRGGGEAAAEQAAFQIMRFAGRGFEAVQAGGATFEDLGLNCATVSVVFEP >KQL29542 pep chromosome:Setaria_italica_v2.0:I:20511095:20515908:1 gene:SETIT_016692mg transcript:KQL29542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSVMTTFSLRPRQCNGSCLLASAASQRPCISWRRRQQKHTLQRQQMQQRSVATVHHDNLEVDDRLSKNPCNFQPSIWGDFFLRYSDPAASSKQQIWMAERADKMKEAVAKMIASSVAWDLHPRLQLIDALERLCLVHLFEDDINAALAQIKTANVTGCDLHTVALWFYLLRKHGYRVSPDIFVRFKDQDGSFFANNPVELLSLYNAAHLGTHGETILDEAIVFTRTHLETIFPSLEGSLAHEVKCALEIPLPRRVRIYESKYYISTYEKEATVHESVLQLAKLNSNIMQLHHQQELELITRWWKDLEIESKLPFARDRVVECYFWILGVYFEPCYSRGRIILTMVISIATIFDDMFDSYGTVEECELLTNCIERWDRKLADDLPECMKHALGKILDSYETMDNELAQEEKYRMPYLKNFTIDLVRGYNAEVKMREEGYIPRTVNEHLQVSLRTGACHLLACASFVGMDEIATKDSFDWVSTMPKIVKALCIILRLLDDLQTYEREQLHPHVASTIKSYMKEHNVSIEIARKKIEELKEETWKYFNGEWLNPDNAVPSSLLERIFNLTRTMEFIYNLDDNFTNCQNLRDTIHLLFVEPFPIS >KQL29621 pep chromosome:Setaria_italica_v2.0:I:22096794:22097251:1 gene:SETIT_020448mg transcript:KQL29621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKENFGLKFTQSIAGDIANPNVLSFHVSCVSHSSLSSLFLIW >KQL31917 pep chromosome:Setaria_italica_v2.0:I:40415323:40420872:-1 gene:SETIT_016913mg transcript:KQL31917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMARKDEEEGPADRVPHLPWMRYPVDIDAFSGCPVAKLPRLDPRLAEAVQRMGIESFFPVQEAAWVETVGPGAFERDVCINSPTGSGKTLAYALPIVQMLSTRKVRCLRALIVLPTRDLALQVKEVFDAIAPVVGLSVGSAVGQSSIADEVSNLVRKPKQELYPTIDEEYVKMEPQTKVDILVATPGRLMDHINMTNGFSLEHLQYLVIDETDRMLREAYQSWLPTVIQLTHSIGQDRSWHDTDGKTLLHPLTTIRRLGVERGFKGKCHPRLAKIVLSATLTQDPSKLSQLELHHPLLLNSGKKRYRIPTKLESYKLICKSNLKPLSLIVLLQELQGNKCLVFTSSVESSHRLSTLLGFFEDLPFKFSEYSRLQRESTRRKTLEAFKEGEIDVLIGTDRMARGIHIDGLRYVINYDMPPYVKTYIHRAGRTARAGESGSCYTFLRKHEVKTFDKMLKKADNASCNLHSLPEESIETLRPVFSSALKKLEESLESEAGKKSNSGDKIPSGSKRKRTNQK >KQL32131 pep chromosome:Setaria_italica_v2.0:I:41478828:41479443:-1 gene:SETIT_018931mg transcript:KQL32131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGYQSDACAACIDLHLRYANTLLASCRIDHLASCCGSWSKQSVLAGAAPSRFTSTSSSVDATQHAHLMR >KQL28771 pep chromosome:Setaria_italica_v2.0:I:7993420:8001805:1 gene:SETIT_016213mg transcript:KQL28771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRDGDGGGEVTAPPQHLVFAYYITGHGFGHATRALEVVRHLVAAGHDVHVVTAAPEFVFTTEITSPCLHIRKVLLDCGAVQADALTVDRLASLEKYHQTAVVPRESILKTEVEWLNTIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVVAAGHHHRSIVWQIAEDYSHCEFLLRLPGYCPMPAFRDVIDVPLVVRRLHKSRSEVRKELGIADDVKVVIFNFGGQPAGWELKKEWLPDGWLCLVCGASETQELPPNFIKLAKDAYTPDLMAASDCMLGKIGYGTVSEALAYKLPFVFVRRDYFNEEPFLRNMLEHYQCGIEMIRRDLLTGHWKPYLLRAITLQPCYGGPINGGEVAAHILQDTAVGKKYISGKLSGARRLRDAIVLGYQLQRAPGRDVGIPDWYSLSEKETGVRPAPTSYDMNGSAESSFEDFEILHGDMQGLTDTMSFLKSLSGLAGNDPRSPEKQTRERAAASVLFDWEEEIYVARAPGRLDVMGGIADYSGSLVLQMPIREACHVAVQRSDPTKQKQWKHTQARQLANGGAVPVLQIVSFGSELSNRAPTFDMDLSDFMDGDKPISYDKAKEYFSLDPSQKWAAYVAGTIFVLMTELGVRFTDSMSILVSSSVPEGKGVSSSASVEVASMSAIAAAYGLNIAPRDLALLCQKVENRVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVNIPTHIRFWGLDSGIRHSVGGTDYGSVRVGTYMGRKMIKCAASDILSESLSSSVPMQSGDSNPEEYEEHGVDLLKSEASMEYLCNLPPHRYEGVYAKDIPEVITGDAFLEKYGDHNDAITKVDPKRSYCVKAPTRHPIYENFRVEAFKALLTAAKTDGQLSALGELMYQCHYSYNACGLGSDGTDRLVNLVQEIQHRKTSRAGGPSLFGAKITGGGSGGSVCVIGKNCLKSSEEIFEIQRRYKAATGYLPIVFEGSSPGAGKFGYLKIRRRSA >KQL28493 pep chromosome:Setaria_italica_v2.0:I:6250471:6265968:1 gene:SETIT_016161mg transcript:KQL28493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGQQPEASPGRYQRRRDEIDDDCADVLGVDVRGPDADPFDIPAKRAPVERLRRWRQAALVLNASRRFRYTLDLKKEEEKEQIRRKIRAHAQVIRAALLFKEAGEKQNGDRELPEILPRGFGIREEQLTVMTRDHNYSALQEYGGVKGLTNLLKTNSEKGIHGDEADLSCRANAFGANRYPRKKGRSFWVFLWEACQDMTLVILIIAAIISLVLGIATEGIKEGWYDGTSIAFAVFLVIVVTAVSDYKQSLQFQHLNEEKQNIQVEVIRGGRRIQVSIFDIVVGDVVALKIGDQVPADGVVISSHSLAIDESSMTGESKIVMKDQKTPFLMAGCKVADGYGTMLVTAVGLNTEWGLLMASISEDNNEETPLQVRLNGVATFIGIVGLSVAAMVLIVLFARYFSGHTTNSDGSVQFVKGRTSAKSAIFGSIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVQSIVGGVKLQAPANVDNLSPTVVSLLLEGIAQNTSGSVFEAQDGSVEITGSPTEKAILAWGLELRMKFAEERSRSAIIHVSPFNSEKKRAGVAVAVRDSDIHVHWKGAAEIVLDLCKSWIDVDGSNHEMTPDKANQLKKFIEDMAEQSLRCIAFAYRNLDLEDVPSEEQRISWQLPDDDLTLIGIAGMKDPCRPEVREAVELCKKAGVKVRMVTGDNLKTARAIALECGILEDSDASAQAIIEGRVFRAYNDTEREDVADKISVMARSSPNDKLLLVKALKKRGHVVAVTGDGTNDAPALHEADIGLAMGIQGTEVAKESSDIIILDDNFSTVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGNVPLNAVQLLWVNLIMDTLGALALATEPPTDQLMRRSPVGRREPLVTNIMWRNLFIQAVFQVAVLLTLNFRGRNLLHLTQDTLDYSSKVKNTVIFNTFVLCQVFNEFNSRKPEELNIFSGVSRNHLFLGVVTITVVLQVIIIEFLGKFTSTVRLNWKLWLVSVVIAFVSWPLAFVGKFIPVPKTELKDIILRCWPQRNERAEQQGQDERRAESQV >KQL28921 pep chromosome:Setaria_italica_v2.0:I:8882894:8886012:-1 gene:SETIT_016786mg transcript:KQL28921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCAEPPPKLKIPESKITELTDDANHESSKPERRTRMHHIERHRSCVVTLSDMELNDLQPRRLLQTLEVSKSPGGGSQCSLHEETPTDANASHRHAIADAAWEALKRSIVYFRGQPIGTVAAIDKSQGAALNYDQVFMRDFIPSALAFLMKGEHLIVKNFLVETARLQSREKMVDLFKLGQGVMPASFKVHHRNPTLKTETLLADFGETAIGRVAPVDSGLWWIILLRAYTRWTGDNSLAESPNCQRAMHLILRLCLSEGCDTSPALLCADGCSMIDRRMGIYGYPIEIQALFFMAMRCALSMLKQDSDADFVNHITKRIQALSYHLHSYYWLDFQRLNDIYRYKTEEYSQTALNKFNVIPESIPDWIFDFMPSRGGYFIGNVSPARMDFRWFCLGNFIAILSSLATGEQAEAILDLVEERWEELIGEMPLKICYPAMENQEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLVAVSVKLGRPHLARRAMELMERRLAKDDFPEYYDGKAGRYVGKQARKYQTWSVAGYLVAKMLLDDPSHLRIIALGDDGHSRSRAPCLKRSNSCP >KQL29738 pep chromosome:Setaria_italica_v2.0:I:23966208:23969468:-1 gene:SETIT_017493mg transcript:KQL29738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFVGSQEQFSQSQTCLRARMLLKPPTRPKLYSGLMPQEERDSYCDLIPGLPEDLAKICLALVPRSHFPVMGAVSKRWMSFLESKELIAVRKEVGKLEEWVYVLTPEAGTKGSHWEILECSGQTQSPLPRMPGLTKAGFGVVVIGGKLFVIAGYAADHGKEYVSDEVYQYDSCLNRWTLLAKMNVARCDFACAEVNGVIYVAGGFGPNGDNLSSVEVYDPEQNRWTLIESLRRPRWGCFGCSFEGKLFVMGGRSSFTIGNSRFVDVYNPINHAWGEVKNGCVMVTAHAVLGEKLFCIEWKNQRSLAIFNPADNSWQKIPVPLTGSSRTRFSLGIHDDKLLLFPLEEEPGYQTLMYDPAAPTGSEWCTSKLKPSGSCLCSVTIKA >KQL29283 pep chromosome:Setaria_italica_v2.0:I:12734711:12735497:1 gene:SETIT_020004mg transcript:KQL29283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEETFKIFVGVLKKGEGKPDATISFTDNDFLVITSSKTNLQIAFIRGAIKIKGSISAAQKFTPDIFP >KQL31148 pep chromosome:Setaria_italica_v2.0:I:35892474:35894653:-1 gene:SETIT_020227mg transcript:KQL31148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKRSSHVEEEEEEEEEEEEEEDEEEEEEDEDEAGGAHRYTTAAAAPVGVPQHQQQAHAQALGLGSHSASLIDAAAFSRPLLPPNSSLVTQPQLPPPGFMPSQRQPQLHPRRAERERERAAGAPQPQPQPRRHQEGARNGVLGGGNVAPPASTLALAAGAGAVEAAQWRYRECLRNHAARLGAHVLDGCCEFMPSAGDGAAALACAACGCHRSFHRREAVPGGVAAAVAAAVPPSPATPTAGANANSSRVMPLLLAPPHMQTRPHVPPVSPSSAPAALTESSSEELRGPAPAHQPPPPPTLPPHAQLAVGGSASAPPAPSKKRFRTKFTADQKERMREFAHRLGWRIHKPDSEAVDAFCAQVGVSRRVLKVWMHNNKHLAKIPPSPPSSQPALPPPPHHHDHHPPPPPHHIHHHHHHPAPPPQQQAFAAFLEGQFCLTCFCWPPAKA >KQL29788 pep chromosome:Setaria_italica_v2.0:I:24617638:24623390:1 gene:SETIT_016440mg transcript:KQL29788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHIASQFRSAGSHISGAEAVDRKGVDLGANTPRSTREESEGENSHMLAEEEEDLVETDRDGGAAPGQGRGRGGGRQRLDRDAEGRQRLVAGRGGVGVDREIRHGEHRRRRTPCCLLAPSPLRFPSKNPIKNAPFLPLPSFASLSPHLPPSIPSPGRSDPIHIHVHLPAQSSESPLGAGVGGAAERGGGGRNVAPLIDAAATRWWFSWPAAMGCFSCFDSPADEQLNPKLGGAGGYGGSSSAAAAYGAGSGGGRHGDRGYPDLQQAPMVAPRVEKLCTAAEKARVKSNALAREASAPKDANGNIISAQTFTFRELATATRNFRPECFLGEGGFGRVYRGRLESTGQVVAIKQLNRDGLQGNREFLVEVLMLSLLHHQNLVSLIGYCADGDQRLLVYEYMPFGSLEDHLHDLPLDKEALDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDFKSSNILLDEGFHPKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRRAIDSTRPHGEQNLVSWARPLFNDRRKLPKMADPRLEGRYPMRGLYQALAVASMCIQSEAASRPLIADVVTALSYLASQSYDPNAALASRKPGGDPRSKPSENGRVVSRNDETSSSGHKSPGKDREDSPRDLPGILNKDFDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGDRERMVAEAKMWGENWRDKRRTENGQGSLDSPTGNI >KQL32042 pep chromosome:Setaria_italica_v2.0:I:41068429:41069016:1 gene:SETIT_018814mg transcript:KQL32042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARWSTRRPSAALLLLLCAFLCAVLLLVPAARRGEETERLPAASSSSSWPAGGRRALPQPGAARSRRFRARGRWNSAGLGDSKHEVPSGPNPDSNR >KQL28744 pep chromosome:Setaria_italica_v2.0:I:7859792:7864249:-1 gene:SETIT_019871mg transcript:KQL28744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVLCSPAGSPGPPSSSLPPAPPPDLAALLPLPLPPPAPLGSSRPERLVDRLEALARGRRRYRRKRRSASPGAGGGRATPTPTPPRETEDAPPLPLPPPPPLGSSRPELAVVARLEGDGARPAAAGSVGRSASPGAGAGAGGGQRRRWQRSPTRRSSPSPAPPKRSRRGRPHSRYGDTTNGQDNTERLGLMTYKQFTQVLEDDVSPSQAGGRYQEYRTEYITTQKRAYFDLNKNEDWLKDMYHPTKLLSVIERRNDFCKTAAKNLIHDLQNGTLDLGPGLTADAVIKSGDGDGGSSEDDTDYGEKKIKHGRGPQKEIEPLSVSAAPKAHPVSSQYRRIHTDIDRTLALVKKLDSEKDILGNILLTGDHDNSNVDKSHIRSMGPVVIVRGLNTVKGLEGVELLDTLLTYLWRVHGVDYYGMSEMKYAKGFRHVRAENKSGSMAENNNAADWEKKLDSFWEQRLVNGEDPLVVLTAKDKIDAAIVEVLEPYVRKMRDEKYVWKYGCGAKGCEKLFHAPEYVHKHLRLKHPLLVSILSSRIENDIYFQNYMNDPDAPGGKPVMQQTDRTRRRPDEQMFGASGGRGSDAPLLPDPKCALSPVLIPVPGAGPYGPFVPAPPEMAMQMMQKGHSGPDAARHGKPSVLGPTLPMYPSFPLGRRTYRSYEDLDAPKEEIAAIDSRRL >KQL28898 pep chromosome:Setaria_italica_v2.0:I:8768151:8771355:1 gene:SETIT_017724mg transcript:KQL28898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDYYNILKVNRNATLEDLKKSYRRLARTWHPDKNPTGGAEAEAKFKQITEAYEVLSDPEKRAIYDQYGEEGLKGMPPPGSQSRSSTAAGPSGPSNFRYNPSDPDDFFAEFMASNKTYSVDQDRMRFQQRSNWTSARNSRSEAPSSSRKESGASTSQAEKPLPVEKTLPCTLEELYNGTKRKMKITRNVAKPDGRVEVETEILAVEVLPGWKKGTKITFPNKGDKLHGQLAQDLTFVLDLKPHDVYILDGNNLLVKQEIPLVDALAGTAINLRTLDGRNLPVRVEEVVRPGYEVVLENEGWPIRKEPGKKGKLVIKFNVAFPTRLSLSQRAAIRQIMAS >KQL29520 pep chromosome:Setaria_italica_v2.0:I:19425837:19426814:-1 gene:SETIT_020077mg transcript:KQL29520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVHDHHCRDVSIHDCTFMIGGREWKIRCYPTGIHDPWYPPAGLEGISIILILMNNVQKVRVLFRAVATNVKNYNDTFQVQDLKELEFRNGNLEHGFNCFVGHHEIEYVWDYSICVNISYTVRVLDDDCIEVPPLSVGRSICTTISAQAPVDVVFDIGGRKIQARLADVTALSRVMEALLYGSGMESKSETISIKDTNSAGFSLLIKYAYEGSLLEEVNLWDTPINAWLVLL >KQL31335 pep chromosome:Setaria_italica_v2.0:I:36969612:36971254:-1 gene:SETIT_017481mg transcript:KQL31335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMSASATLAPLAPPPPKATARSPARRAPANAASIAGSAALLTLMPAAPAAALSKEDVADSLTKVVDTVDQAIGVGGKVAEQVSGVVKALGEAAKPALPVLKSATDEAVKLAAPVVSSASKQATEALQGAGVDPAPVLTAAKTAAEQGTKVIDAAKPVASATVETITSLAPGDYVVAAGAAFLAYLLLPPVWSLVSFTLRGYKGELTPAQALDKVTTKDYVLIDVRTDKDKAKAGVPQLPSNAKNKLISVPLEELPSKLKGMVRSAKKAEAEITALKISYLKKIGKGSNVIIMDSYNDISKTVAKTLNSVGFKNCWVMAGGFSGRKGWAQSRLGTDSFNLSVVEVVTPSRVIPAAAGRIGTTAGRIGTTSSASRATSRKLLPGSAD >KQL31336 pep chromosome:Setaria_italica_v2.0:I:36969394:36971272:-1 gene:SETIT_017481mg transcript:KQL31336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMSASATLAPLAPPPPKATARSPARRAPANAASIAGSAALLTLMPAAPAAALSKEDVADSLTKVVDTVDQAIGVGGKVAEQVSGVVKALGEAAKPALPVLKSATDEAVKLAAPVVSSASKQATEALQGAGVDPAPVLTAAKGTKVIDAAKPVASATVETITSLAPGDYVVAAGAAFLAYLLLPPVWSLVSFTLRGYKGELTPAQALDKVTTKDYVLIDVRTDKDKAKAGVPQLPSNAKNKLISVPLEELPSKLKGMVRSAKKAEAEITALKISYLKKIGKGSNVIIMDSYNDISKTVAKTLNSVGFKNCWVMAGGFSGRKGWAQSRLGTDSFNLSVVEVVTPSRVIPAAAGRIGTTAGRIGTTSSASRATSRKLLPGSAD >KQL29174 pep chromosome:Setaria_italica_v2.0:I:10968944:10970462:-1 gene:SETIT_019905mg transcript:KQL29174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPHAVVVPYPGAGNINPALQLAKLLHHHGVYITFVNTEHNHRVIPHGLSEHTTRTRARRHTEGAGAVRGRDGFRFEAIPHGLSEADRGKQDYGQSLSTTTRCAAPLRDLITRLNGTPGVPPVTCVMPTGLMSFPLGVARDLGIPTMVFWMRDLRERGFVPLKDESFLTNGYLTRRSSTGSPALGDISSFVRTTDPDDSEPSNCTKAGALILNTFEELDADVLAALRSEYTHVYTVGPLGSLLRHGTAADGDSIDSTGLSLWKQDAECLAWLDTQEPRSVVYINFGSHTVMTPEQLAEFAWGLAASGHHFLWSIRDDVIRSGGLPPAFMAETAARCRVTAWCPQEKVLRHPAVGCFLTPNGWNSTSESMAAGVPMVCWPGFADQVHQLQVRLRGVGVGVRLDAEVRREQVTMHVREAMGSEEIKASAARWKAEALAAICPVGSSYENLLSMVRTLCSSSPNPDA >KQL31417 pep chromosome:Setaria_italica_v2.0:I:37375580:37381035:-1 gene:SETIT_016637mg transcript:KQL31417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAAEKAVRCLGGGFDMTCDMSLKYCKDAGGCLVERGGVETAPLAVPGVGTVAGAPADVKCGKGDRVRLKSGVLEFSKMSELFNQRSSVEGKIPSGLFNACFDLDSGAWAQDAPATKCLAMDGYFISLFDLRLDRRPLALADHVLRDVPAAWDPAAIARFIEKYGTHVVVGLSMGGQDVVYVKQHESSALSPSEIKEHLDRLGDQLFTGACAMPPLRGKSKDKLKNSHGETLKWCQMPEAFNVFDAQVAQQRLQAGITTLVSSKEGVTVIYSKRGGNTTVGSHSEWLLTVPAMPDLISVKAVPITSLIKGVAGAGYLSHAINLYLRYKPHVADLEYFLDFQHHKMWAPVLGELPLGPCSNRQGSSPALHFSPLGSKLYVSSSQVIVPKLPVTGMRLHLEGKKHNRLAIHLQHLSTTPAFIAAARHDKPPAWRGSEAASDDRFYEPVQWRMFARVCTAPVKYDPGWRGAGAGDRDRRAAWVVTGARLHVAAHDSTDVLHLGLLYAELPGCAVVQSRWARGATRLSGKSSLLSAVSPVGSSGGGSGGSQKDRQQPSKPEAVNIDSGMLAGGPPVPVAAQKMLRLVDTSQVTMGPQDSPGYWLVTGARLDVDNGRISLHVKFSLLAPAS >KQL29427 pep chromosome:Setaria_italica_v2.0:I:15677173:15678289:1 gene:SETIT_017848mg transcript:KQL29427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPTAARKRPAPDGTCPPAAAKKRARYQFASIHDYEKLEVLGEGSYSVVFKARDRRTGEKVAVKWIRGGGGGPDRSAVFREAGCLAACRGHPSIVQIKDVATNEATGDLFIVMELVGSSLRDWLTRPLSEDQTRAFMRQLLDATKKLHGTRTLHRDIKPENICDFGCATPMNPNGTPYPERCVGTMQYCSPEQLMGSRCYGSAVDMWALGCVMAELLTGQPLFEADTEEDVLEQIKDLRDEFSAIGLQVFDVLPELSQAGREVLAGLLRFDHEERLTAADALKHRWFTEDAKPPAVAKAEYPGFVPLFSAA >KQL32146 pep chromosome:Setaria_italica_v2.0:I:41532287:41536719:1 gene:SETIT_019595mg transcript:KQL32146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLSLEEASAGGDYDEDGAAGGEGDKGAQAIVGSPIVAGAMNRRLKALTSSFARTIGKKLDYCIKDTETEWNAAFDFSKDTAFLTNCMKETKGDLQQRICTAAEMRFYFESLLDSGDNGEMNYVRPNLNCNLSSWIDGCEPGWACRAGDDQKIDLQNSKDIPYRALKCQSCCPGFFCPHGLTCMIPCPLGAYCPRSDLNVSTGICDPYNYQPPPGNPNHTCGAADIWADVVTTDDIFCPPGFYCPSTIQKLPCSSGFYCRKGSTSQTRCYKKSSCPPNSATQDITIFGALLVVASCLVLVIIYNFSGQILTNREKRQAKSREAAARHARETAQARERWKSAKDVAKKAGVGLQSQLSRTFSRNKKPGQAQPGPSKVGDAGGKKNNLTDMIRSLEDNPESDEGFNVEVGDKALKKPTGKQMHTRSQIFKYAYGQIEKEKAMQQENHNMTFSGVISMAKDHDVSTRPAIEIAFKDLTLTLKGSKKKLLRSVTGKLSPGRVAAVMGPSGAGKTTFLSAIAGKATGCETSGLVLINGKIEPIRGYKKIIGFVPQDDIVHGNLTVEENIWFNARCRLSEDMSKADKVLVVERVIESLGLQAIRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGIVVPERVNPPDYYIDILEGIVKPNLNPGVSVKDLPIRWMVHNGYDVPRDMLQSSSDSESSSRASIDHSSSRNDAGQSFVSVLLGNVKDILGQKKDEYDYNKTSEDLSNRNTPGILKQYRYFLGRCGKQRLREARIQGVDYLILCLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFTLDKINYWRERASGMSSLAYFMSKDTIDHFNTIVKPIVYLSMFYFFNNPRSSIWENYVVLLALVYCVTGIGYTFAIFFQPSSAQLWSALLPVVLTLIATQQKNTMYADLCYTKWALEAFVIANAQNYSGVWLITRCGSLVRSGYNIQHEILCIVVLIANGIVFRCVAFFCMVTFQKH >KQL29924 pep chromosome:Setaria_italica_v2.0:I:26505903:26506406:-1 gene:SETIT_019759mg transcript:KQL29924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLPWGKAFLNDQASYRKRGHYKKNLEEKMREIAKQEFLEFLAIHGMSQTMADPTVSDGQRQAEPTMIGFVAPSSAGSITNVRYPVDDIQVDTPCKLVIPYGRKQNKFQEVATGMAVTGHVFPKAPPPEYAWVQVVTVLDESCETDIPTDEGIEVLGDVIK >KQL30789 pep chromosome:Setaria_italica_v2.0:I:33331546:33332927:1 gene:SETIT_017865mg transcript:KQL30789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALWYLTLYHYVACSVTLQLAGDYAPTSPLHSPPPSAHSHSPPGQQRQQLSAVNQLHQHTYTHTRTTAAEGGRRRGGMAMEDSSSGSEPTTSSSAEAPASPTATTASSSSDSSPGKKRRRTKDGHHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRAAHLNFPELASELPRPATAAPKDVQAAAALAAAADFPAPSANAAGGTKDSDDDGPDASPASASAAASPPQAQDVDSNPDDALFDLPDLLLDLTRHGPSSCQLSCAASWDDDVCFPGAGAGVFRLEEPLLWEY >KQL28323 pep chromosome:Setaria_italica_v2.0:I:5042929:5045852:-1 gene:SETIT_017383mg transcript:KQL28323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAATAKAAEEMVAVLDDETLALMGVSNSAAAAPVVVGAEWETFKENVRPLKRGRDVSKLNRALKALVDPAQRAALLEARRRMIEAIYEYQGEDPLQPWLDCIKWVQESFPTGGECSGLVVLYEQCVRTFWHDERYKDDLRFLKVWLEYAGNCADAEVIYKFLEANQIGQGHAIYYMSYASLLEAKNKLRKANEIFDIGIARKAKPLEKLEAVYRTFLRRSTKRSEHSEQNDTANDDLPIRSFGTSLKRDENRNQQAENSQLGRPRALQRIDVNRPLSVFKDENSLPNQGIDKIRRKENTSWRTLGTQADRNKENNMMPTKWTCHKVPQKLGARGAVPATRASSIEVFVDEECAQEPARQVPKSPNPSVLKLRQATSKNLKKETELLKENPLRNFPLSSLR >KQL28787 pep chromosome:Setaria_italica_v2.0:I:8101811:8110396:1 gene:SETIT_016414mg transcript:KQL28787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKIAEGGGDPWLRTKNGHVGRQVWEFDAAAEPDPAVDAARRAFAERRHDLKHSADLPMRIQFAKENPLKLDLPAIKLDENEDVTEEAVSTTLKRAISRFSTLQAHDGHWPGDYGGPMFLMPGLIITLYVSGALNTVLSSEHQKEIRRYLYNHQNEDGGWGLHIEGPSTMFGSALTYVSLRLLGEGPDSGDGAMEKGRNWILDHGGATYITSWGKFWLSVLGVFEWSGNNPVPPEVWLLPYLLPFHPGRMWCHCRMVYLPMCYIYGKRFVGRITPLVLELRKELFKDPYSKIDWDMARNQCAKEDLYYPHPFVQDVLWATLHKFVEPVMLHWPGSKLREKALETVMQHVHYEDENTRYICIGPVNKVLNMLACWIEDPNSEAFKLHIPRIYDYLWLAEDGMKMQGYNGSQLWDTAFTVQAIVATNLIEEFGTTLKLAHNFLKNSQVRDDCPGNLDDWYRHKSKGAWPFSTADHGWPISDCTAEGLKASLLLSKISPEIVGESLEANQFYDAVSCLMSYMNDNGGFATYELTRSYPWLELINPAETFGDIVIDYPYVECTSAAIQALTSFRKLYPGHRRKEVDSCISKAASFIESIQKSDGSWYGSWAVCFTYGTWFGVKGLVAAGRTFESSPAIRKACEFLLSKELPSGGWGESYLSSQDQVYTNLKGKRAHAVNTGWAMLALIGAGQAARDPTPLHRAAKVLINLQSEDGEFPQQEIIGVFNKNCMISYSQYRNIFPIWALGEYRCLVLGAGKQ >KQL28925 pep chromosome:Setaria_italica_v2.0:I:8917829:8919061:-1 gene:SETIT_020025mg transcript:KQL28925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPPSPASMVNLPDDLLLSILSRIPCKADRARMSVVCKAWRAVLARLKAPRPRPLPWFLLPTPHLGGPTNVACALSGFRVHHYISINPPGARFFGSHDGAGLFLHYSKKRSHLLLDARNSRVRDLPAEILRWNDPNVHRMVILAATLSSSPDDPNCVAAAIVAASRDPDPAPGAVAPLPPIQRCAAFWRMGWPRAIEGSLNADQSVPNPEDIVYHDGAFRFLLTHGDHILVCTPTMGQAGNLMTDWELQSFRPGGRIYDDQFIRARYLVESRGGLLLVLRFTAYPTQPWTTSLFKVFLATRRKIPNADADFPVANYPWSWIELDTLGGRMLFVGYGCSRSYEVDQYPGFKDGIYFLDDGKLEDNAMIFGEDNMRQYPCSDNGKWSEGHVQRCFPRPDPSDYSAPVWLLP >KQL28391 pep chromosome:Setaria_italica_v2.0:I:5535519:5538263:-1 gene:SETIT_018053mg transcript:KQL28391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAPLMLMLLSVAATLAPGVLVAGATGGGAAHPAYADAASTFGPASGGAEVRLEEHGGGRIIDITHAYRPELPAPGRDGLGPVTQLTESMANGSINNVSELRMLVHSGTHVDAPGHMVQEHFEAGLDVDKLDLDVLNGPALLIDVPRDTNITAQAMESLNIPKGVRRVLFRTLNTDRKLMWTKEIDTSFVGFTEDGAQWLLDNTDIKLVGVDYLSFAAFDYLIPAHLVFLNRPDMIPIEGLKLDHVKAGIYMLHCLPLRLIGCDGSPIRCILMK >KQL28645 pep chromosome:Setaria_italica_v2.0:I:7181492:7183279:-1 gene:SETIT_019330mg transcript:KQL28645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAVIVHHHTRYKTYPGEVTGIVVFSCLIASVAGCIFGYDIGLTSGLTSTEPFLIKFFPSIYEEMKHQVTVNQYCKFDSQLLTLFCSSLFLSAMIAAFFAGPMTRSFGRKWTLFAAASAYVFGACLGGVSVNFPMLLTGRILVGAGVGLSIHASPLYISEMAPAQQRGMLNILFQFMITVGILLANMTNYFTSKIPGGWGWRIAVAFGSVPAGVIALGALAIPDTPTSLIQRGDTATARKTLLQIRGVGDVREEFDDLSAASEDAKAVENPWRELLFGGKYKPQLTFSLLVPFFQQLTGINVIMFYAPVLFKTVGFKQNASLVSSVITGLVNVFSTFVAIMTADKVGRRALFLQGGTQMIISQILVGTFIGLQFGMSGTGAISEQYAMCIVLFVCVYVAGFAWSWGPMGWLIPSEIYPLAVRSPAMSVTVAVNMFFTAFIGQIFLTLLCHLRFGLFYFFGAWVLLMTLFIAMLLPETKNVPVEEMAHVWKKHWFWRKFVIDTTDARSAEMRKRIALEMS >KQL30845 pep chromosome:Setaria_italica_v2.0:I:33751987:33766873:1 gene:SETIT_016106mg transcript:KQL30845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSLHKPVAHAHLRLLLAVSACSGPLHFGTTTSAVAAAVTTRGPSPSSGVAATAMPSSSSSPPPPAEETTAAASPAGGFRLTEPSFLESLMPKKEIGVDRFLAAHPEYDGRGALIAIFDSGVDPAAAGLQTTSDGKPKILDVIDCTGSGDVDTSKVVKADADGAIVGASGARLVVNSSWKNPSQEWHVGCKLIYELFTYTLTSRLKKERKKKWDEENQEAISDALKQLNEFEKKHTKPDDATLKKAHEDLQSRLDYLRKQAEGYDDKGPVIDIVAWHDGDVWRVAVDTQTLEGKNNGGKLADFVPLTNYRLERKYAIFSKLDACSFVANIYDDGNLVSIVTDCSPHATHVAGIAAAFHPDEPLLNGVAPGAQLISCKIGDTRLGSMETGTGLVRALIAAVEHKCDLINMSYGEPTILPDYGRFIDLSNEVVDKHRIIFISSAGNSGPALNTVGAPGGTSTTIIGVGAYVSPAMAAGAHCVVQPPAEGMEYTWSSRGPTADGDLGVSISAPGGAVAPVPTWTLQSRMLMNGTSMASPSACGGVALLVSGMKAEGIPLSPYSVRKAIENTAACISNAPEEKLTTGNGLLQVDRAFEYTQHAKKLPLVSYRISITQVGKSIPKLRGIYLRGSNACHQTSEWTVQLDPKFHEDASNLEQLVPFEECLQLHSTDTSVIQIPEYILVTNNGRSFNIVVNPANISNGLHYYEVYGIDYKAPWRGPIFRVPITVIKPITLLGEPPLLSITNLSFRSGHIERRFINVPFGASWAEVTMRTSAFDTPRKFFLDTVQICPLKRPIKWEAAVSFSSPSSKNFSFPVEGGLTLELSIAQFWSSGIASHEPTCVDFEIVFHGICIDQKVIALDGSESPLRIVARSLLASEKLAPVATLNKIKTPYRPVECNLSSLPTDRDRLPSGKQVIALTLTYKFKLEDSAEVKPHVPLLNNRIYDNKFESQFYRISDSNKRVYSSGDVYPSYVKLSKGEYTLQLYIRHENVQFLEKLKQLVLFIERKLDKKDFIPLSFYSEPDGPIVGSGTFRSTILVPGEPEAFYVSPPSREQLPKNAPPGAVLVGSITYGTVSTFNKKDDQKQHAPVSYNISYSIPPSKVNDDKEKGVSVGTKSISEQLVEEVRDTKIKFLSSLKQETEEDKSAWSELVASLKSEYPKYTPLLAKILECVLRKANDDKIGHEKEVITAADEVVDSIDKEELAKYLYLNSDPEDEEAQKFKKKLEETRDQFADALYQKGLALAEIESLKSDESIEASSKDIFEENYKELIKWVDAKSAKYGTLTVLRERRCGRPGTALKVLNDLIQNESEPKKKLYDLKIQLIEEMGWSHVSTYEKQWMQVRFPPTLPPF >KQL30045 pep chromosome:Setaria_italica_v2.0:I:27716656:27717296:-1 gene:SETIT_019029mg transcript:KQL30045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLPRSRYRARPSSASLLPDLCRQPRDRHHLRLSFKIYSSSSSRPSLSR >KQL29789 pep chromosome:Setaria_italica_v2.0:I:24629537:24632408:-1 gene:SETIT_017821mg transcript:KQL29789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLNMEQQTWAFTFGILGNIISLMVFLSPLPTFYRVYRKKSTEGFQSTPYVVTLFSCMLWIFYALLKTGAVLLVTINGVGCVIETVYIAMYLVYAPRAARVLTAKMLLGLNVGVFGLVSLVTMVLSNGNLRVHVLGWICVSVALSVFAAPLSIMRQVIRTKSVEFMPFSLSFFLVLSAVIWFAYGALKKDVFVAFPNVLGFVFGLAQMALYMAYRNRKPAAAVVMVEEVKLPEHVKEVAAAPVAHEGRASCGAEVHPIDILPVEPPAAAVAAQDPQVAVAIDVEPVTCAAAAGRVDGDGLVAPELAMIKPDTAIAVEV >KQL30804 pep chromosome:Setaria_italica_v2.0:I:33434234:33435762:1 gene:SETIT_018104mg transcript:KQL30804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVRFFFMAFAALACLLRPGAPVELHRKLSGWSDGACATWYGSPNGAGSDGGACGYQNAVDLPPFSSMIAAGSPSIFQSGKGCGSCYQVRCTGHAACSGTPVTVVLTDECPDGVCLEEPVHFDLSGTAFGAMAKPGQADQLRSAGRLKIQYTRVPCNWKGMEITFGVDTGSNPNYLAVLIEYESGDGDLRSVELMQAGGGRAAWAPMQQSWGAVWRYNSGSALQAPFSIRITSGSGRAVVANNVIPAGWTPGGTYRSVVNFNY >KQL29442 pep chromosome:Setaria_italica_v2.0:I:15913775:15915326:1 gene:SETIT_018312mg transcript:KQL29442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFVVVLAEASVAAALLFKTPLRKLAVLGLDRLKRGRRAPVAVKTVAGVFLALLASTLYSMAEISGRASDPDSGGGLTPTDQVLFSRHLLEASLMGYTLFLMLVIDRLHQYIRDLRGFKKNLEAVSKHNNMLEEAKVGISEETKKYQEEIANLNKEMNKLKLQVQEKTEEVHVAEDKALAIQKQSEGLLIEYDRLLEDNQHLRIQLQSIDLRLSSS >KQL28315 pep chromosome:Setaria_italica_v2.0:I:4990440:4994923:1 gene:SETIT_017422mg transcript:KQL28315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAVMDYLRSCWGPASPAGRPRRGSDTAGRQDGLLWYKDGGQLVNGEFSMAVVQANNLLEDHSQVESGPLSATDPGLQGTFVGVYDGHGGPETARYINDHLFNHLRRFASEHKCMSADVIRKAFRATEEGFISLVSSQWSLRPQLAAVGSCCLVGVVCSGTLYVASLGDSRAVLGRLVKGTGEVLAMQLSAEHNASYEEVRRELQASHPDDPHIVVLKHNVWRVKGIIQITRSIGDVYLKKPEFNREPLHNKFRIQGTFRRPLLSSDPAITVHQIQPTDRFIIFASDGLWEHLSNQEAVDMVQSSPRNGIARRLVKAAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFFDSNAMTTAAWSRPSVSLRGGGVPIPSNTLALLSVPTELNSSY >KQL28060 pep chromosome:Setaria_italica_v2.0:I:2995704:3005376:1 gene:SETIT_016655mg transcript:KQL28060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRRQEQERKKRAQTKQYTGSTRPRSLPPHIPAAARAPPRPSPLPTPSAPRSPPPASPAILLEPSPPPRTSRSPPDSCTPRSLPAEIPSQPWCRDGGGSAAPMEREPMSSDDRPEAAAESAQQQELEWRFAQVFGERAAGEDVQEVDIISSIEFDKSGDHLATGDRGGRVVLFERTDSGDSASRRELERQEYPITRHPEFRYRTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQEKKVKRVSVMNLDTSQSSGNGSSSSPGTNSCKALLPNGGCSEKLYSPNNNMSFPPGGCASLRLPVVVTGQDLNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDLKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHDAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCISGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASRNPMRRQVSNPARPTRTLTSLTRAVRRGGENTSVDANGISYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >KQL28059 pep chromosome:Setaria_italica_v2.0:I:2995704:3005376:1 gene:SETIT_016655mg transcript:KQL28059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRRQEQERKKRAQTKQYTGSTRPRSLPPHIPAAARAPPRPSPLPTPSAPRSPPPASPAILLEPSPPPRTSRSPPDSCTPRSLPAEIPSQPWCRDGGGSAAPMEREPMSSDDRPEAAAESAQQQELEWRFAQVFGERAAGEDVQEVDIISSIEFDKSGDHLATGDRGGRVVLFERTDSGDSASRRELERQEYPITRHPEFRYRTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALFLLSTNDKTIKYWKVQEKKVKRVSVMNLDTSQSSGNGSSSSPGTNSCKALLPNGGCSEKLYSPNNNMSFPPGGCASLRLPVVTGQDLNHVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDLKPANMEDLTEVITCAEFHPTHCNTLAYSSSKGTIRLIDLRQSALCDNHAKLFEEHDAPGSRSFFTEIIASVSDIKFARDGRHILSRDYMTLKLWDLNMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCISGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASRNPMRRQVSNPARPTRTLTSLTRAVRRGGENTSVDANGISYDLSTKLLHLAWHPTENSIACAAANSLYMYYA >KQL31810 pep chromosome:Setaria_italica_v2.0:I:39877240:39887434:1 gene:SETIT_016112mg transcript:KQL31810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGGGSGGRSKKEEEEEMRGAKRAFKEAQAEGCREEEARWANVIGDIHKRRGEYVEALRWLRIDYEVSVKYLPQRHLLPSCQSLGEVHLRLGHFPEALTYQKKHLQLAKESDDLVEQQRASTQLGRTYHEMLLRSENDHSAIRNAKKYFKLSMKLARILKEKAPSQKSDFLKELIDAYNNMGMLELELDNFEDAEKLLIQGLKICDDEEVDSYDDARTRLHHNLGNVYTELRSWNKAQGHIEKDIEICRRICHPQGEAKGFINLGELHSRLQRYEEAKLCYNKALRIATCLEDEDALMEQINQNIETVTKAAEVLEELKKDEQKLKKLVRDTSNARGTSKERKFLLEQHAWLDNLIEKARMICAWQKGQALAKVNIGNVLDSCGDWAGALQAYEEGYRQYLDVFLIAVEGDLPNVQLSALENMHYSHMVRFDNIEEAKKMQEKIDNLKQLLNQHEARHTVSDYCSETDSEGGCTSDNMLDPEDDNGQVGNNYSEEPDDDVILASLVHGSKSSSKIKAPKMHSSSKNVDELCDVAEGTRTVLSRSCTNHSVGRKRIRVVLSDDESEESPEIVQLKKTSTSPANSMSVSDHGANSNRNQDALQPNETRDAPCAAEESICSFKSSSPIGHAFEGVELGASSVGKGSASKSAASGSKFSAPVSNSRHESQNVVGFQSTDADHHFWAFRIGEHLVYLDGRAYVHEGAFSIESLKVEVACVYYLQISDEKRVKGLLPVIGELKYCGKVLDDAAPIYYDGQLTSEQKCVDVVIDDWVPKRLMKLYVDCCTKLSEAPNKKLLTKLYNLEVSEDEVIVSDCGLQDLSITPFLDALRSHKTIAVLDLSHNLLGNQTIERLQHIFASSSQTYGGLTLDLHCNRFGPTALFQICECAVMTDRLEVLNLSGNRLTDACSSYLFTILQKCKALYSLNVEQCSITSRTVQKMADALHEGSVLSHLSLGKNNPISGNAMLNLLSKLASLTRFSELSLTGIKLNKLMVDKLCLLAQSSCLSGLLLGGTSIGPVGTIRLTDALSCTSQDLLRLELSNCGLTAPDFAQICTNLSCINILDLNLGGNSINLEGCDAIQAMLVNPQCSIRSLMLDRCNLGLAGIVCIIQALSGNDQLEELRLAENTNSSLERMQYEDMQEVSTSNEKKQCNNPETSNAIAQGSLDFENMQVPDSEDEAENENHRSVSGPHRSCASSSQKNSYSNCQIIQELAEALISAKRLKVLDLSQNGLSDEAIQSLYSAWASVPRGDGMARKHVNKDVVHFSVDGMRCCGMKPCCRRDLQM >KQL30115 pep chromosome:Setaria_italica_v2.0:I:28167459:28170173:-1 gene:SETIT_018046mg transcript:KQL30115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTARLSTSCSLATGSITIRSRRTTMAAIGCAPSGSRTHRRSAGLSLCRSSTAGAEGGRKMEDYNSAMKRMMRNPYEYHHDLGMNYAVISDSLIVGSQPQTPEDIDHLKNEENVAYILCLQQDKDIEYWGIDFLAILSRCKELGIKHIRRPAVDFDPDSLRSQLPKAVSALEWAISQRKGRIYVHCTAGLGRAPAVAIAYMFWFENVDLNTAYKKLTSIRPCGPNKRAIRAATYDLAKNDPLKEPFETLPEHAFEGIADWERKLIHNRVRALREA >KQL31439 pep chromosome:Setaria_italica_v2.0:I:37526205:37535960:-1 gene:SETIT_016256mg transcript:KQL31439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAMEAIDELVQLSESMRQAASLLADDDPSDETASRRPSTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLARDPGLSSKSIVLQIDSKSQQVSASALRHSLQDRLSKGSGRGRTDEIYLKLRTSTAPPLKLIDLPGIDQRVMDDSTISEYAGHNDAILIVVIPAMQAADVASSRALRLAKDIDPDGTRTIGVLSKIDQAAADAKTVSCVQAILSNKGAPRAAADIEWVALIGQSVAIASAQSGSVGSDNSLETAWRAEAETLKSILTGAPQSKLGRIALVDTIAKQIRKRMKVRLPNLLSGLQGKSQIVQDELARLGESMVQSAEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLKSLIKGVLEMAKEPSRLCVEEVHRVLLDIVNAAANATPGLGRYPPFKREVIAIASNALDAFKSDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNSRSSKKGQDADQSKMNRASSPQTGSDEAGGSLKSMKDKFNQQDKETKEGPNLQVAGPGGEITAGYLLKKSAKNNEWSKRWFVLNEKSGKLGYTKKQEERHFRGVIVLEECNLEEIEEEEISKSSKDSKKANGQEKGPSLVFKITNRVAYKTVLKAHSAVILKAESMADKVEWIKKIKGVIQSKGGSVKGPNAPEDGSMRHSRSDGSLDTMARKPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKSKEDMLNQLYSSISAQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRAATNANDSSGAESSPRSPGQSGEDWKSAFASAANGSVDRSSSQHETRSRSADSRGRRHENGDANSGSKRTPNRLPPAPPSGGRY >KQL29227 pep chromosome:Setaria_italica_v2.0:I:11765093:11765599:1 gene:SETIT_020637mg transcript:KQL29227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDYWMTDQCVLNLQPPNFGASILVESHWTLYLKAQQSCSHRQYNN >KQL28376 pep chromosome:Setaria_italica_v2.0:I:5474789:5475402:-1 gene:SETIT_019479mg transcript:KQL28376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRANWDESTTKILLDLCIAEKNQFNWSNKCLTKLGWKHVYRSSNQQTGMNLGSKQLHNKLNALRRAFLSWKDLQSQSGLGRDKQTSGVAADASFWDDDEGPPPFLDELYTLYGRDTQDRGTLLTAGGIREATPSAGTEANAQDLYQDPWLL >KQL32052 pep chromosome:Setaria_italica_v2.0:I:41114870:41115924:1 gene:SETIT_019042mg transcript:KQL32052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLDRETGAKCYMLSARALCIIWGNTPEYWGWIPLTDSSFSEAARLLQVWWLEIRGKIDSKMLSQNSTYAAYIVFKTSVHLGESKSTCHVCLDVDDRDEDGEIPQNVVLPRERADGWMELEMGEFRNDEGEYGEVSIRLLETSAIVKGGLIVQGIEARPKKQRALSL >KQL31437 pep chromosome:Setaria_italica_v2.0:I:37504479:37507037:-1 gene:SETIT_018294mg transcript:KQL31437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDGAEGQEGEAAAEETKKSNHVQRKLEKRKDGRALDPHIEEQFGSGRLLACIASRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAAA >KQL29117 pep chromosome:Setaria_italica_v2.0:I:10389742:10391435:1 gene:SETIT_0202061mg transcript:KQL29117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPSPQEAVKRFRIIPDLGITRTTLSYNAVLKAIICRGREAMARRIYNAMIAEGVAPDLSTYNTLIWGFGLCKKMEAAVRVFGDMKAHGVTPDATTHNTLLNAWVRAGDLESARKVFDEMTAEGIERNSVSYNVMIKGYVEATKVEEAVALFTEMGEKGLRLSEKTFAALMPGLCDDQGRVAEARKAVDDMAERRLTPKDKSVFLRLVRTLCTAGDLDGALEVHRKSGQFKHVLVDPRQYGVLMEGLCAGGKCDSAVEVLDELMEKGTLLSPKSPVLEASAYNPVIEYLCNNGSTTKAETFFRQLMKKGVDDKVAFNSLIRGHAKEGVPEAAQEILAIMTRREVPTDPESHALLVDSFLKKNEAADAKTALDSMMQQGHLPSPALFKSVMEALFNGGRVQTASRVMKSMIEKGVTENMDLAHKILEALFMRGHVEEAIGRVNLMVENGCMPDLDKLLVGLCENDRVMEAQKLADFALDRDFNVSFSTYDRVLEALYTEEKTLPAYSMLCKIKNKGGVVNQKGCDALMESLKSEGYSKQADILSKILAENAPSTSKRGKRVAMGA >KQL31696 pep chromosome:Setaria_italica_v2.0:I:39247208:39258426:-1 gene:SETIT_020222mg transcript:KQL31696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSSSTASVLLLYATGLYFSTQQGESEVHPSLSVDILNNQKYLQALDKAMVTTAPRSNDKTSSMAYLDALEFVCKVLLQQVNAVWKSFSDGEAIHYSGNMDYVLTALHRFIDSSFAAYSCTKMSEGDNERLHEQRRTLLRVLVLVIKVSFITNKDVQKSLDSINRAISSKWLMLEELKFLISSLGNIGVTLHNTGHFKEALKALELCCQTIWAYVELSYCTLSSRTEGNGIIEDLPKDTLNDIVLDGFARIEKMVNTLHRCGSKNTREIVVKSLSKLLAYGTVSEYFNSSLILIKLWVKTTCKDFENNKGVDSAPLLYNSLLGCPSPLPKELIGLIVEQESLAYGLMEARGSVFSAEMQMRVINILLDEIYCSKECFRERSRVLVRKAGALRARGVQNISSCLDTLSEAISLLEGNLGGEVIFENARRALSLWSRVESFHHSDPGMVLQQPSRTIVPLLCSLVDLLSMKGCFELQFKLCEVMIMIWKQENLPLEKLMSLLMLHSDLFIPQSCEHSFGRQFSFDAGVDEVREVALSLVSEDTSNDQSTFLAGYLYYDLSERLLSCGLLFQAFSHGKEALDLRKKLLKRKFKLNSGVSANTKSQHCGQDLVSLEAWGPAIAEIWPDCSRSTSARDSFLTPWNVLRCYLESTLQVAMMHELIGNGTEAEILLRTGKEISDFHGLSVFCIAFTSLLGQLYRKRYLCDEADSELKYARDLLVENDAIISCKPCKLTMEISVDMQDGDLSWSLFEKDCQEQSGKKELSSALGKYQSAINKWNSTDLKFCTGSSDSCKTGCPVCNKDCIIPIKHEACNQGKEFLTSKDGVLPPCSVCVLLRQASVHHCNESTKLKALRKNLRNAEASPPLDVKAKRTSRNSSRLAKEHIVETHAKTIIRSSKRNAHLKGAKASTELNSKNGTSWSDELPKDALVCGEDCYRTYEPHLVGLIVDGSTGDFLPFERAEILRSMSFFLLKGSLSEQSRDVCCSLFNVKLSDVVPWLLKAFVLSRESPSLFQESTLADFRSGMDGDVSKSLRYPSMDIEYIEKHITEFFQKLPDVPVVCVSMLGGDYANVIAKFVLDPSYFPAWMLLSRFDSTGEPTTMLLPVDAISGSQSEDSSIKDLGNPIGVLDKKWQCPWGYAITDYVAPTFKKILDENFVSLSSATLTINDVHADHVRWWSHRMKLNNCLDNMLKDMEESWFGPWKCLLLGHQLSDQHMEAALSEVDFKANPTLIKAILGGAVSVDEVQECVYQLVLYKGYFGRGGCCGKDRLRGFSCQIEDEALEALTYTIKNAANELPKPVDRDPIILVLDINVQMLPWENLPVLRNQEIYRMPSMTSIFLALSRSNNLRKDGSVMAPPFPVIDPFNTFYLLNPSGDLSSTQEEFDQLFRNYDWKGKAGDPPPEAGELAVALTNHDLFLYFGHGSGTQYVSGKEIEKLNNCAAALLMGCSSGTLHCKGSYAPRGAPLSYLSAGSPAIIANLWDVSDKDIDRFSKALLDSWLQENFADGNICSNCCQLTQEFEAMKIASKENGRTRRKGTRGKRPQQINNSTKSCSCRQRRIASYLSEARRACRLQLLIGASPVCYGVPTIIRKKVTTDSATGDER >KQL30150 pep chromosome:Setaria_italica_v2.0:I:28400285:28404050:1 gene:SETIT_016900mg transcript:KQL30150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGGVVLPVNGGGGPGAGYSSEITFTVVMSCLMAASGGLIFGYDISITGGLTQMHSFLEAFFPDIIEKMNNATQDEYCIFNSQVLTTFVSSLYLAGMLACLVAGHITKRIGRRNSMLIGASFFFVGAVLNCAAVNIAMLVVGRVLLGFAVGFTNQSAPVYLAEIAPARWRGAFTSIFHFFLNIGMFVADLVNYRANTIPVWGWRLSLGVGIVPAIVILLGAAFIPDSPNSLVLRGKVDAARASLRRIRGESADVDVELKDIMQAAEEDRRYESGAFRQIVRREYRPHLVMAIAIPVFFELTGMIVVTLFTPLLFYTIGFTSQKAILGSIITDVVSLASIAVAAMAVDRVGRRSLFMVGGGILLVCLVGMSWIFGAELGADGGKAMPRPYAVAVVALVCLFTAGFGVSWGPLKWIIPSEIYPLEVRSAGQGMSEAISLALTFAQTQSFLNMLCSFKYGTFAYNAGWVVVMTAFIFFFLPETKGVPIEALREVWARHWYWKRFVKPLPAAAPETPSAAPKVADGPV >KQL27674 pep chromosome:Setaria_italica_v2.0:I:10197:13618:1 gene:SETIT_016158mg transcript:KQL27674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASYPVPLVFLAILLPILLPAVVSSSPSPSVTNVNGSYTDLTALLAFKSLLSDPLRILASNWTSNVSFCQWVGVSCSNHRPRVTALWLANVPLHGVLSQHLGNLSFLTMLNLTRIGVAGHIPVELGRLHRLRFLDLSRNGLSGTIPSTIGNLTRLKFLVLGNNTLSGQIPPQLLQSMRNLQWFSLNWNELSGNIPLYMFNNTPSLKHISLSNNSLSGPIPHGIGSLPMLELLYLYYNQLTGTVPPTIYNMSRLQRIALDSNNLTGPIPNNNQSFSLPMLQLIGLTGNNFVGQIPSGLASCQHLQFVSLSGNFFVDVVPTWLAQLQQLTYLYLDTNALHGSVPAVLSNLTHLTILDLSFNNLIGEIQEELGLMQELSYLYLGENLLTGPIPPSIGNLSKLSYLLLNNNKLSGSVPAIFGSMQTLIRLDLVDNNLSGNLNFLSSLSNSMRLHLLGLEDNSFTGRIPDTIGNLSTELLYFSLGYNKLTGGLPSSLSNLSSLESIYLNNNHLTGEIPESITRVQNLLSLSVPNNDMSGTIPAQIGMLNNLQEIFIDGNRFHGCIPDSIGNLTMLEHISLSDNQFNSTIPGCLFHLDKIILLNLSINSFSGPLPSDVGGLKQVDTIDLSFNFLLGSIPKSFGKLSMLTNLNLSHNSFEDSIPVSFKELSSLEWLDLSSNNLSGTIPMLLANLTFLKTLNLSFNNLQGKVPEGGVFSNISLQSLVGNIGLCGMPRLGFSPCIEKPHSNKIHFLRFLLPSVTIAFGCTLIFIYQTIRKKLKNKGAVEDSGIDPSSLMYHGHLSYHDLVLATGNFSDDNLLGTGSFGKVYKGQLSTGFTVAVKVLDMQQEQAIRSFDAECSTLRMARHRNLIKILNVCSNLEFRALVLQYMPSGSLEMLLHSDSIEHLGFLKRLDIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEDMVAHVADFGIAKLLFGDDSSMITASMLGTLGYMAPEYGSYGKASRKSDVFSYGIMLLEVFTGKRPTDPMFVADLSIRRWVCQAFPTQLASVQDDRLLQGVSSSAGNLNDFLTVTFELGLICSSDSPDQRMSMRDVTVALKKIKKHYTESIISATTTSATL >KQL31181 pep chromosome:Setaria_italica_v2.0:I:36125553:36126528:-1 gene:SETIT_018095mg transcript:KQL31181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLDLQLEEFNVADGLLEHGDHVDLGAVGHKLLQRPEPLADPLPPILQQGTPQTNVQRNIPKNWSGVLEAPKRRKLQFWAPKLGYLGREALRVLGRDGALPRGARRRRALGRADRRRRAREQQAERAPRVAGRRRSLRQGEAPRGRVEAHGRRRRRVARLWRRGGGGVALVHSVDPRVAFLLLLLPHSRRRRRRRRGAVERQHGRGTGARPGVPAARHIGRAGRGCPSPEQRRGVKAQQRVVVAVDESFERRCLGAVEASVRRVLPH >KQL29123 pep chromosome:Setaria_italica_v2.0:I:10440972:10445094:1 gene:SETIT_017906mg transcript:KQL29123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTGEVVQFTVVIVSLTITKLHEQSIPLPPETSLSCPLLPPAASGGRVARGEMTVAAGLGYALIALGPAFSLFAGVVARKPFLVLTLLSSTLFWLISLIVLSGIWRGFLPIKSGTWWAYAILILTSVALQEGTRLVFWRLYKKMEEMLDAFADRISKPRLSLTDKMLISLAGGLGHGVAHAVFFCLSLLTPAFGQATFYVERCSKMPFFLASALIALGFLVIHTFSMIIAFNAYDERKKSDQIFVPVVHLTAAVMTLVNLTPGGCLIGTPLLLVMAAVTLHYCWRVACRRLTEHQHRQLNSN >KQL31253 pep chromosome:Setaria_italica_v2.0:I:36535981:36537114:1 gene:SETIT_019279mg transcript:KQL31253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLGAYKKATAALDEAARARLLRGPFISNVALPSAPSRRADADDDDDDLMGLVNEFYNGYGEHGTDGVVAKHAVAPRSTEWKETLRLTLADAAADAAAARIRAEAERIVRDAGPAVVVGGGGMRKHLVERLRARGFNAGLCRSSWERTSSIPAPGSYEYVDVTMSSSPSSASSRYIVEVNVAAGFEIARPSAEYRDLLSSLPPVLVARPEALKELAAAMCATAAESIRGAGMHVPPWRRTRYVLAKWSARFERVEAPAPTAAAGPLPEAGARAAAHARRPSGRKNCGMEMGRREVAMGREALVSVRPSFRGL >KQL28108 pep chromosome:Setaria_italica_v2.0:I:3403917:3404608:1 gene:SETIT_019734mg transcript:KQL28108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRAQWGKLTVIINEGNIRPVVPLVAAKFATECNIAVMNHVPAKFDIDTNDAIVRKGCLEMQYAVRQQRHRLKQKKFDPFPLNLVTKTSPVKSTSNEQWIDLVESWKTPSKM >KQL30373 pep chromosome:Setaria_italica_v2.0:I:30177328:30179166:-1 gene:SETIT_020223mg transcript:KQL30373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAPHHLLATTSFLLLLLASAPSPAAPASTDRAALLAFRAALTPPSRAALSSWRGPLSPSWVGISLHPAAAPAAAPSVAELALRGLNLTGALPAAPLALLRRLRALDLSANALSGELPCSLPRSLVSLDLSRNALSGAVPTCLPSSLPALRALNLSANSLRLPLSPRLSFSARLAALDLSRNAISGAVPPRIVADPDASALLLLDLSHNRFSGEIPAGITAIRSLQGLFLAGNQLSGEIPPGIGNLTYLQVLDLSNNRLSGAVPAGLAGCFQLLYLRLGGNRLSGLLRPELDALDSLKVLDLSNNKISGEIPLPLAGCRSLEVVDLSGNDISGELSSAVEKWLSLRFLSLAGNQLSGQLPDWMFSFPLLQWLDLSGNKFVGFIPDGGFNASAVLNSGGGQGIPSEGVLPPQLFVSASVDTVSWQLDLVYDLQATTGIDLSRNELRGEIPEGLVAMKGLEYLNLSCNYLAGKIPAGLGGMGRLHTLDFSHNGLSGEVPPGIAAMAELEVLNLSYNSLSGPLPTSKFPGALAGNPGICTGEGCSEDARMPEGKMEGSNHRGWLGGWHGENGWVSLGAFCISTMTSFYVSLATLLCSPKARNFVFRPVRVEYLQ >KQL29131 pep chromosome:Setaria_italica_v2.0:I:10542719:10545246:-1 gene:SETIT_020207mg transcript:KQL29131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFATHSLPAHHRHILFPASSSPLIGSHRCFVPPLSCRAREVLDVMPRRDGGRPPRTEEGRTGSAGVRHGANGGTVRGDTTSREAGTPLQREGSRGVPRPWKKGDRVVGEERLDWESQEKNWRRGPIRTGEQEWQRDGKRWTRGGNGMWEKESGNSGNSRDVDRGSRNVTKKKMRVKGGEQGGKLRVLLDMCSKRGDVMRAISLFDSAIEDGIRLGQHHYNVLLYLCSSAALGFVQPAKSGNTASGITSIGPAQKLDSSPSGSLGGSEVDDASEGLVQDQEKEKAEMLPSGDLTVQTITIPVGDELREYARARGFEIFEKMCAEKERVQMSEAALTAKARMALSMGDGDMAFEVVKQMKDLGITPKLRSYGPALTAFCNSGNVEKAFEVEAHMLECGITPEEAELKMLLRVSVVGRRGDKVYYLLHKFRAAVRQVSLSTAQLFEAWFRSPTASKVGKRKWDAGVISKAIENNGGGWHGFGWLGRGKWTVTRSHINKNGACLACGEKLTIIDLDPKETEDFATFVSKLAIKRERNSNFEKFQKWLEKHGPFEAVVDAANVGLFSHKHLSLNKVNAVADAIRQRFASRKWPLIVLHNRHLTGERMKKPGNHKLVEKWKQANSIYATPNGSNDDW >KQL28193 pep chromosome:Setaria_italica_v2.0:I:4233358:4235942:1 gene:SETIT_020132mg transcript:KQL28193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGWLTWRAAAALAVAAWLALPVAARIADALWWRPRRLEAHFAAQGVRGPPYRFLLGSVKEMVGLMAEASSKPMSPATSHNALPRVLAFYHYWRKIYGPTFLIWFGPTPRLTVAEPELVREIFLTRADAFDRYEAHPIVRQLEGDGLVSLHGDKWALHRRVLTDAFYPENLNRLVPHVGRSVAALAEEWRAMAASGGSGEVEVDVAEWFQAVTEEAITRATFGRSYHDGRAVFAMQGRLMAFASEAFRKVLVPGYRFLPTKKNWQSWKLDREIRRSLTRLIARRSDEAEAENADDAGAFRDLLGAMINAGERRRARALAPAAAIPVEDMLEECKTFFFAGKQTTTNLLTWATVLLAMHPEWQERARREVLDVCGADELPSKEHLPRLKMLGMIINETLRLYPPAVATIRRAKTDVQLSDGCMIPRDMELLIPIMAIHHDTRFWGQDAAQFNPMRFAEGTARAGKHPLAFIPFGLGSRMCIGQNLARLEAKLTMAILLQRFEMRTSPNYIHAPTVLMLLYPQYGAPVIFRP >KQL28268 pep chromosome:Setaria_italica_v2.0:I:4684943:4687441:-1 gene:SETIT_018277mg transcript:KQL28268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVIGGDSPRNSSPATKLERKMVEAMQQRALKGTSVKSFNSVIMKFPKIDESLRNCRTIFQQFDEDSNGEIDQQELKHCFQKLGIESTDDEIKDLFEACDIYEHMGMKFNEFIVFLCLVYLLNDPAVSEARKRMGLGSLEPTFETLVESFVFLDKNKDGYVSKNEMIQAINETTAGERSSGRIGVKRFEEMDWDKNGTVTFKEFLFAFTRWVGIDNEDDDEEDNE >KQL28010 pep chromosome:Setaria_italica_v2.0:I:2530392:2530901:-1 gene:SETIT_020551mg transcript:KQL28010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVASMFAVGFVLWPLTVLAPSGRNLTALLPELRNLLGSLYLHCRHEFFQLVGSRRRRRRPRSSS >KQL29888 pep chromosome:Setaria_italica_v2.0:I:26064859:26066814:1 gene:SETIT_017992mg transcript:KQL29888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLCYASPRPLEAPGQPRRRGARPPRTVAVAAGARTNGAEARASLVLALISQALAASQRRAADLAAEAAKVSGLDYRVRSMRVHFAVPDLETVPFRVLKREADYEIREVESYFVAETTMPGRSGLDFNGSSQSFNVLASYLFGKNTASEQMEMTTPVFTRKGEPSSETMEMTTPVITKKSAGENKWKMSFVMPAKYGSNLPRPKDPSVTIKEVPSKIVAVAAFSGPYLVWLRMMTLARGNPNCVNLFRKMLNLE >KQL29887 pep chromosome:Setaria_italica_v2.0:I:26064838:26067130:1 gene:SETIT_017992mg transcript:KQL29887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLCYASPRPLEAPGQPRRRGARPPRTVAVAAGARTNGAEARASLVLALISQALAASQRRAADLAAEAAKVSGLDYRVRSMRVHFAVPDLETVPFRVLKREADYEIREVESYFVAETTMPGRSGLDFNGSSQSFNVLASYLFGKNTASEQMEMTTPVFTRKGEPSSETMEMTTPVITKKSAGENKWKMSFVMPAKYGSNLPRPKDPSVTIKEVPSKIVAVAAFSGLVTDDDISQRESKLRESLQKDAEFRVKHDSAVEVAQYNPPFTLPFTRRNEIALEVERNDIAF >KQL29806 pep chromosome:Setaria_italica_v2.0:I:24809069:24809513:-1 gene:SETIT_018899mg transcript:KQL29806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTLDQMPLVSSLLCSVCLHITHELVALWGAGHYAGIGEQIAAWQPGRAGKEVNTTTHAFTNIFFLWDGKELFSKSLPM >KQL30365 pep chromosome:Setaria_italica_v2.0:I:30121484:30121846:-1 gene:SETIT_020058mg transcript:KQL30365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASSEAPRSSKRARVAATEDAILGLQEVTGRSGDECAICLQDFRAEETLRAMPCSHAFHQHCISQWLRRNAVCPLCRHELTATPTPEEKEEDGGGGTPTPEEDREEERERDGRPFAIFSAF >KQL30253 pep chromosome:Setaria_italica_v2.0:I:29453328:29456467:-1 gene:SETIT_017288mg transcript:KQL30253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPIENTAAASMPNARLAPAPPSGLSAMPPHPSHSVASHTVYSDRFIPSRSRSNLALFDLATPAVPSPYCELLSAALFWPATPIGWLEVGTPGSGNIFKFKAEAVPRRAKRALFGGGDEEEQLFAGISTARGARPRKIPRTPYKILDAPTMQDDFYRNLLDWSSQNALAVGLGNIIYLLDASRDKDDDVCSVGWAQPGTHLAVGTKQGKVQIWDVTRSKRIRTMESHRMRVGALAWGSSLLSSGSRDKSILHHDIHFPGYQDNQRIQTFAPLFRYNSKSLSPPTQESPYNLHAIYIIAPFLLVFFSIWIILRELRFTHVCGLKWSYDDRQLASGGNDKRIIMSSLGDSQNQIIVWRYPTMSKVVTLTGHTNRVLHSAISPDGQSIATCAGDETLQFWNVFPPLKSQRCVSLSVVGETSFVRSYIR >KQL31899 pep chromosome:Setaria_italica_v2.0:I:40353059:40358380:1 gene:SETIT_017081mg transcript:KQL31899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRRRGAAVVVSGVLLVVIASCVMLAGGAAAAGAGAGVEECEERARVGDRVEALPGQPPVAFAQYSGYVTVNEERGRALFYWLTEADGDAASKPLVLWLNGGPGCSSVAYGASEEIGPFRIKPNGTGLFLNKYSWNREANLLFLESPAGVGFSYTNTTSDLKTTGDERTAQDALQFLISWMSRFPQYRHRDFYIAGESYAGHYVPQLARKIVEYNRASPYPFINLKGILVGNAVTDNYYDNIGTVTYWWTHAMISDRTYKAILKSCNFSSTNISRFCNRAMNYAMNQEFGDIDQYSIYTPSCAAARANATVLRFKNTLIRRRSFGYDPCTETYAEKYYNRLDVQKAMHANTTRIPYRWTACSDVLIKTWQDSEFSMLPTYKMLMKTGVRIWVFSGDTDSVVPVTATRFAISHLGLKIKIRWYPWYSAGQVGGWSEVYEGLTFASVRGAGHEVPLFQPRRAFRMFQSFLAGEPLPKS >KQL31950 pep chromosome:Setaria_italica_v2.0:I:40582826:40583250:1 gene:SETIT_019262mg transcript:KQL31950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSVSLAAAVFLFLLLTAMEAEAIRLDAESRAAVSQSQQQTVNQEVAPGKSSVGESETKRSIAGQEVVRATTHKLPEFHEDYYGASVHEPRHH >KQL28393 pep chromosome:Setaria_italica_v2.0:I:5554910:5556569:-1 gene:SETIT_020134mg transcript:KQL28393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELPLYLLLLAALLAAPLFFLFATKCARTPRGGARPLRLPPGPWALPVIGHLHHLAGALPHRAMRDLARRHGPLMMLRFGEVPVVVASSPAAAREVMRTHDAAFASRPMGPMSRLWFQGADGILFAPYGDAWRQLRRVCTQELLSARRVQAFRPVREDELRRLLRAVAAASAAGRPVNLTETISTYIADSTVRAIIGSRRLKDRDAYLQMLKGLFGIMPGMSLPDLFPSSRLAMLVSRAPARIQAYRRRMRQIMDGIIQEHRERAAAAGDGDDEEDFVDVLLRLQKEVDSQFPLTTENIKTVMLDIFGASTETSATTLDWAMAELLRNPGAMEKAQREVREALAVAGHDTVTEDSLGDLHYLRFVVKETLRLHPPATMLVPRQCQSPCQVLGFDVPAGITVLVNAWAVGRDPDHWDDPERFLPERFERSMKDFKGADFEFIPFGAGRRICPGMTFGLAHIELALAALLFHFDWALPGGVAAEELDMTEAFGIATPRRYDLLVVATSRAL >KQL30903 pep chromosome:Setaria_italica_v2.0:I:34237512:34241714:1 gene:SETIT_016493mg transcript:KQL30903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQTDAAPGGGDDLAAMREQCRTLEESITARREAQLDLIGSLQHLVPDLVPSLDQSLRLVAAFNGRPFVPTLYPNASGHAQSASLKPHHRRALPDPARSTRRKTSPGSSPASAAAGGAGVGGGIDAVRTMVAVCLLELVPFAEIDAAALARRLQAETSSASEAERAALADLAAELGGSAHAAVALALRRIAEDSGALQIEEAIIGGKQMMMVWAIDRSKLLKELPESASVPQAQPPPTPQAAPSETDANSAIIPRPPPLQQPDMWGHPMPPMFPRPRGMGMPRMPPGLMPLQRPFMPPGAVMPMGGGPGPGPNQLKQRTEEDDLKDLELLLNKKTYREKQNTKTGEELLDLIHRPTAKETAVAAKFKTKGGSQLKEYCTNLTKEDCRRQTGSFVACDKVHFRRIIAPHTDTNLGDCSFLDTCRHTKTCKYVHYELDQTPDVPPMMAGALAPPRQIKPQRAEYCSEIELGESQWINCDIRNFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPLVNRNIDTDVIVAEVRETSRKPDEMYAMLERISPRTRKLELFARMHNTQAGWLSLGNQLNGVRLVDEGLRARYKAAYPDVDVQPPSPPRTSVPADVEQSSSQKAAPPDGGERPA >KQL31571 pep chromosome:Setaria_italica_v2.0:I:38479190:38480822:-1 gene:SETIT_017055mg transcript:KQL31571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNSRPHAVFIPYPAQGHVTPLLQLAKVLHARGFFITYVNSEYNRRRLLRSRGADALAGLDDFRFEAIPDGLPPSDNDDVTQSIPALCESLSRNAAAPFGDLLARLNSAPGRPPVTCVVLDNFMSFAQRVANGMGILALVFCTMSACGFMGYLHFKELMDRGYVPLKDESYLTNGYLDTVLDWVPGMPGIRLRDIPSFIRTTDPDEFMVHFDSREAQNTHHAQGIIINTFDALEQDVVDALRRIFPRVYTIGPLVTFVKNLAAHPDAAAIGGNLWKEDANCLRWLDTQRLGSVVYVNFGSITVMTPVQLAEFAWGLASCGRPFLWVIRPDLVTGEKAVLPEEFFAETRERGLFLSWCPQEEVLSHPSTGLFLTHSGWNSTLESICAGVPMICWPFFAEQMTNCRYACTKWDIGLEIDNNVTREEVARLIKEAMDGEKGKDMNAKAAMWKENAVAATEEGGTSSVNIDRLVGFMLEGSVPTTAT >KQL32084 pep chromosome:Setaria_italica_v2.0:I:41245107:41248141:-1 gene:SETIT_018385mg transcript:KQL32084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAMDQDGGGGDVVTPGELLGNSLTLVAGRGAYADGRSVRASVTGHRRIVPPAPGSDDQRSTVEVVGHKAHGAVPQPGSVVIARVTKVMPRMANADIMCVDSKAIKEKFTGLIRQQDVRATEIDKVDMYQSYRPGDIVRAVVLSLGDARAYYLSTAKNELGVVSAQSIAGGTLVPVSWTEMQCDLTGQIEQRKVAKVE >KQL30505 pep chromosome:Setaria_italica_v2.0:I:31283625:31284482:1 gene:SETIT_019564mg transcript:KQL30505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRLNITCKAFLSVLPSLRENTSVIIGNGAFTSPSGMITGLGLRLLRRQCQLCSPMLSDPTSPFKGWLRWAVGSPAYRSPAVLHYATGSLLSHGGSANCSAAATGSRSATHILHQAALSSLVTVARRPALFLGCTKASACWTLLGAGDLMSHSVEQLWQQHLLAMLDAPIRSTIITCILWNIWKARNARVFEHTDINPPGILRRTAADLQLWSHRVPPSSLRFWSDKIVHVIE >KQL31670 pep chromosome:Setaria_italica_v2.0:I:39116371:39117135:-1 gene:SETIT_019737mg transcript:KQL31670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYVSCLIDDESYHGPDTVGYRIFLYPKISVSENFILLPVCHNSYWTVYIINKSYGQIDVLDSGSTVLKDKQKWHTPVASKIRTRLGEAILKITQSKFNFSSWSMPVITGLPRQDSNDSRFFAMMFLKHYNPDTRKMAFCELNKKNLRNLRCNIL >KQL27804 pep chromosome:Setaria_italica_v2.0:I:770121:771041:1 gene:SETIT_019761mg transcript:KQL27804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARAFAVLLLIAGCWAWATSAAADGVATNSSPPSSAPAPVVWERAHATFYGGADASDTVGGACGYGNLYAQGYGTRTAALSTVLFNDGASCGQCYKIACDRMTDPTWCRPGVTVTVTATNFCPPNWEYPDGGWCNTVRPHFDMAQPAWEKIGTDYRAGIIPVIYQRVPCMKRGGVRFTIYGHDYFNLVLVTNVAGAGSIRSMDVRSSDSTEWMPMARNWGANWHSLVYLSGERLSFRVTITDGQTLVFANVVPAGWTFGLTLASNLQFKS >KQL30340 pep chromosome:Setaria_italica_v2.0:I:29996323:29999797:1 gene:SETIT_017471mg transcript:KQL30340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAGEDGKQERHLVLAHKLFLLSHPAVDDLSKVALRAEVLDAVKSDDMAPLLESLVSAGVLEPDAALLAEMRGRIDEEIRKLDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFHTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFPYDTFIFYTVLTSIITLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFVAFSGLAEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMAAAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDERNAFYQATIKQGDFLLNRIQKLSRVIDL >KQL30293 pep chromosome:Setaria_italica_v2.0:I:29704993:29705698:-1 gene:SETIT_020544mg transcript:KQL30293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICKGAGVGEMLQVIRGDCARHILSCVVKQQQGSIATEIFSRLCIEI >KQL31118 pep chromosome:Setaria_italica_v2.0:I:35740858:35744019:-1 gene:SETIT_018088mg transcript:KQL31118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFADLEAGAVRAPLGRKARGGPSDARGLVFQITTAVASYRRLLNSLGTPKDTPTLRDQLQKTSQNILQLAKDAKDKLQKAAEADKSIDTSADKRVADMKLAKDFAATMEEFRKLQNLAIQRETAYKPIVPQNAQSNYTMDDRSADSGNMPEQRALLAESKRQEVLQLDNEIVYNEAIIEEREQAIQEIQQQIGEVHEVFKDLATLVHAQGVIIEEIDTNIENSASATKEAKREVGKADKTQKSNSSLLCLLMVIFGVVLLVVIIVLAA >KQL27787 pep chromosome:Setaria_italica_v2.0:I:681050:684097:-1 gene:SETIT_016698mg transcript:KQL27787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPPVRQSEEAIATIGPRYTHSVRVLDERFIRILKIFKWGPDAEKALEVLMLRVDHWLVREVMKTDVGVNVKMQFFRWAAKRRNYEHDTSTYMALIRCLEVIEQYGEMWKMIQEMVRNPICVVTPTELSEVIRMLGNAKMISKAIAIFYQIKTRKCQPTAQAYNSMIIMLMHEGQYEKVHELYNEMSNEGHCFPDTVTYSALIFTFCKLGRRDSAIQLLNEMKENGMQPTAKIYTMLIALFFKLDDVHGALSLFEEMRYQYCRPDVFTYTELIRGLGKAGRIDEAYHFFHEMQREGCRPDTIVMNNMINFLGKAGRLDDAIKLFQEMGTLRCIPSVVTYNTIIKALFESKPHASEVPSWLERMKESGISPSSFTYSILIDGFCKTNRTEKAMMLLEEMDGKGFPPCPAAYCSLIDALGKAKRYDLACELFQELKENCGSSSARVYAVMIKHLGKAGRLNDAINMFEEMNKLGCTPDVYAYNALMSGLARKGMLDEALTTMRRMQEHGCIPDINSYNIILNGLAKVGGPHRAMEMLSNMKQSAIRPDAVSYNTVLGALSHAGMFEAAAKLMKEMNTLGFEYDLITYSSILEAIGNVDHE >KQL29766 pep chromosome:Setaria_italica_v2.0:I:24269962:24271893:1 gene:SETIT_019445mg transcript:KQL29766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTAATAAAALTGAITTASPRPRRRAHLPSAARRAAPIRCSAASPAAPTATSAPPATPLRPWGPTEPRKGADILVEALERCGVSDVFAYPGGASMEIHQALTRSPVIANHLFRHEQGEAFAASGYARSSGRVGVCVATSGPGATNLVSALADALLDSVPMVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVEDIPRVVQEAFFLASSGRPGPVLVDIPKDIQQQMAVPVWDTHMCLPGYIARLPKPPATELLEQVLRLVGESRRPVLYVGGGCAASGEELRRFVEMTGIPVTTTLMGLGNFPSDDPLSLRMLGMHGTVYANYAVDKADLLLAFGVRFDDRVTGKIEAFASRAKIVHIDIDPAEIGKNKQPHVSICADVKLALQGMNTLLEGITSKKSFDFGSWHDELDQQKRGFPLGYKTFDEEIQPQYAIQVLDELTKGEAIIATGVGQHQMWAAQYYTYKRPRQWLSSAGLGAMGFGLPAAAGAAVANPGVTVVDIDGDGSFQMNIQELAMIRIENLPVKVFVLNNQHLGMVVQWEDRFYKANRAHTYLGNPDNESEIYPDFVTIAKGFNIPAARVTKKSEVRAAIKKMLETPGPYLLDIIVPHQEHVLPMIPSGGAFKDMILDGDGRTVY >KQL31701 pep chromosome:Setaria_italica_v2.0:I:39279729:39281103:-1 gene:SETIT_017797mg transcript:KQL31701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRSAPPPPPPPAAASDEETASGSGSEESEEEEEEEIAYSPPPAAPKAMAPPPPQKGQESDEDEDEEEEEEEEEENHVLPPLATKNPSPPPPNREESESSGDEEEEETDDEAPQAKPAPNQEAEGKGAKPSSSEDKKPGAPFQRTWSTDDEVRILEALAAHRREHGTLPQVDALAATLAGTLDNSGSSIALLQGKLKSLKRRYSLAANKGERPSKDHDRRLFDLSKSVWGSTTAAANGGARREVAEMCELYPYLAEEVKALQKAHPGLFKREFGMIDDDKARALDTKIKRQRLHQAKVIQRRCDLIKEVTKTLIDLVESTI >KQL28224 pep chromosome:Setaria_italica_v2.0:I:4403048:4405573:1 gene:SETIT_018175mg transcript:KQL28224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSLSCLIHSFQMTKWPWSSPCEGETGLLLLNSSSIICSAILSSSSITVAGEAVVADVDCDAAPVDAASLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVRDGDGALQHLRSLALRIWDRLAVEEPPPMLLRASLPTAGAGSPVLLLWTGKLVLALRPLISTAASSYALAASSAVSKSDWANHAVECHWTHGQPHDIYGVLFPEREKKGM >KQL28223 pep chromosome:Setaria_italica_v2.0:I:4402944:4404658:1 gene:SETIT_018175mg transcript:KQL28223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSLSCLIHSFQMTKWPWSSPCEGETGLLLLNSSSIICSAILSSSSITVAGEAVVADVDCDAAPVDAASLLSSTVMTQLESARAPARFCQTPMWDFSGSRRRQVRDGDGALQHLRSLALRIWDRLAVEEPPPMLLRASLPTAGAGSPVLLLWTGKLVLALRPLISTAASSYALAASSAVSKSDWANHAVECHWTHGQPHDIYGKTYVEKLCIRISCAFSRDSVFKSLLLHLESLALLHHPSRMYIIVGC >KQL27724 pep chromosome:Setaria_italica_v2.0:I:266186:269359:1 gene:SETIT_018031mg transcript:KQL27724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSAASAAAAAADFTRWAETFSTEKADAAKAALASAATIATSSACAAASASSTAASSAYAAASDLTLIAKEELEWVKKEYSTHEQMVFGKIKEGVIMAIMHPGIAAGSATLAGIVLFKRPRSYLIQRVRRMFVSKETLLSGIQAEVNHMRQTVNLVSNESQKLMDRAATAEKRFQKGWNTLREEGRAIQHELNEISDIEQQAVGLKGILDQLPRAHASEFQSEISGLASQVKKEKRVLNAALSKIVNYGVPI >KQL29296 pep chromosome:Setaria_italica_v2.0:I:12900275:12902735:1 gene:SETIT_016524mg transcript:KQL29296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAKRVFPLLFFYGIHLAAVAVSGGSGGDDDEFVYSGFAAGGSNLTHLTLDGTAKVTPTGLLELTNGTAHSKGHAFHPTPLRLREPDDGGSDKKKKAAAAIRSFSATFVFGIVPPAAPGVGAGHGLALVVSPTKDLSSGMATSYLGFLNGTKFFAVELDTVMSTEFHDKDNNHVGVDVDTLVSAAAASAGYYDDRTGEFTSLTLISGEAMQAWVDYDSDAGRVDVRLAPIRTGKPRKPLVSTEVNLSTVIGEEAYVGFSSSTGTLTSRHYVLGWSFAVGEPAPAIDMSRLPKLPQRSSESPSKALVIALPVVAGGLTLATVACVLLLVRRRYRYVELREDWEIEFGAHRLSYKDLFHATDGFKSKNLLGAGGFGTVYRGTLAASGTEVAVKRVAHGSQQGMKEFVAEVATVGRLRHRNLVQLLGYCRRNDELLLAYEYMANGSLEKHLYGVGGEPGPTLIWAQRFQVIKGVASGLLYLHEEWEQAVVHRDVKASNILLDSEMNARLGDFGLARLQNHDAELHTTVVAGTFGYIAPELALTGKASPLTDVFAFGAFLLEVVTGRRPVEDSTDGHRVMLVDWVLEHWRNESLAEAVDPRIQGGYDVDEVSLALRVGLMCSHPLAGVRPSMRQVMQYLAGDTPLPELTPAHMGMSMLALLQNQGLDSFVMANSSSSSASVRSFDTSLSRGR >KQL30627 pep chromosome:Setaria_italica_v2.0:I:32276154:32279063:-1 gene:SETIT_018564mg transcript:KQL30627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASRARLFKEYKEVQREKSADPDIQLICDDSNIFKWTALIKGPSETPFEGGVFQLAFSIPEQYPLLPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDIRGYQSMARMYTRLAAMPKKG >KQL30864 pep chromosome:Setaria_italica_v2.0:I:33840729:33842234:-1 gene:SETIT_020195mg transcript:KQL30864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGTWAVVVAVVAAYMAWFWRLSRGLSGPRVWPVLGSLPGLVQHAEDMHEWIVGNLRRTGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKARFDNYPKGPFWHAVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHLRLLPILDEAANEKTHVDLQDLLLRLTFDNICGLAFGKDPETLAPGLPENAFASAFDRATEATLNRFIFPECLWRCKKWLGLGMETTLARSVAHVDEYLAAVIRARKLELAGNGKCDTAAAAAHDDLLSRFMRKGSYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPDVERKVFRPERWLSADGTRFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKHGLRMEVRPRDLAPVIDELRTADAAARPATAPCA >KQL29960 pep chromosome:Setaria_italica_v2.0:I:27017962:27018907:-1 gene:SETIT_019270mg transcript:KQL29960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPQSSPALVALTEDAEAAVIVAALTHVITHGRGAAPTPPGTSLAVPPCPSTATGCHLGHVGQAACHGEPSPPAHVVSGTSARSPAPETQRPTAAAAASVRRGYCGVRRRPSGKWAAEIRDPRKAARVWLGTFVTAEDAARAYDAAALRLRGSRAKLNFPEDVLSLGHMPAAAGSRQPGSGWDRTMDQSPCPEMVRRREAMDGFIGGGNGRFLGFWSIGTSSPSPTPKPTCSAAPVVAPLLSESHGTGSSGIEDDAYGVWERTNSAR >KQL31185 pep chromosome:Setaria_italica_v2.0:I:36152254:36158358:1 gene:SETIT_016270mg transcript:KQL31185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPRTWGILLAVLVAAAVAVPPATAAVASIDLGSEWVKVAAVHLAPGRVPIAVAINEMSKRKSPALAALADGNRLAGEEAAGITARHPSKVFARARDLLAKPFPYVKTFAESLFLPYDLVPDTRGAAAVRGDDGQVYSVEEIVAMVLHYAAGLADAHVGAPVRDAVVAVPPYFGQAERRALTQAAQLAGINVLALINEHAGAALQYGIDKDFSNASRHVIFYDMGAGSTYAALVYYSAYNAKEYGKTVSVNQFQVKDVRWNSKLGGVEMEMRLVNYFADQFNKQLGNGVDIRQSPKAMAKLKKQVKRTKEILSANTAAPISVESLYDDVDFRSTITREKFEELCEDLWEQALTPVKEVLAHSDMKIDDIYAVELIGGATRVPKLQAKLQEFLGRRELDKHLDADEAIVLGASLHAANLSDGIKLNRKLGMIDGSTYAFMLEIDGPDYVKDGSIDQILVPRMKKMPIKMFRSIRHTKDFDVSLNYDKAYELPPGIPSHKFAEYSVSGLTDASEKYSSRNLSAPIKANIHFSLSRSGIVSLDRAEAVIEITEWVEVPKKIVTLESNITNQNSSSEAGAANSTTDSKEDLNSDSDTNSTAPIDESNAKEAITERVLKKRTFRVPLKVVEKTTGAGTILSKELYSEAKSRLEALDKKDAERRKTAELKNNLESYIYSMKEKLEESTDMLTVSTEQERESFTEKLNEVQDWLYMDGEDAQANEFKERLDQLKAIGDPILFRLSELKARPAACENARLYLSELQKIVKNWETNKPWLPKKRVDEVVSDAEKVRDWLEEKETLQKSTPAHSPPAFTSEEVVDKILDLQDKVASINRIPKPKPKIEKKPAKEEEPANKEKTANENESTGTSQESKATEGEPASPETGYSEPGSHDEL >KQL29273 pep chromosome:Setaria_italica_v2.0:I:12555727:12556333:-1 gene:SETIT_018974mg transcript:KQL29273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPFSSPLQLPPQGSSNQVRFEAHRCGAAGPWRCWQEGVVVHGELEKMKRGTGKLASQTW >KQL32207 pep chromosome:Setaria_italica_v2.0:I:41789136:41789997:-1 gene:SETIT_019144mg transcript:KQL32207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQTYPSLEMDDYRFDRVHLKWLPKLMMLTLDMWISQQDPLSFGYVPLLRSLSLINTGLSWHKMLKLSDFLGNVTISSLQLNFKSEKYREEGVDREGATSDFKHHKLAVLKVFGFRPEDKFVMHARSVIKAAVNLEDIFLFNRLVCEMCKHDFPRASRSPWPKKQRFSPVGPTRLL >KQL29527 pep chromosome:Setaria_italica_v2.0:I:19782031:19798226:-1 gene:SETIT_016586mg transcript:KQL29527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDSDTSSQGGDHRSFRHITRDRLLFEMLRSTRKNSKSTWKVLIMDKFTVKIMSYACKMADITEEGVSLVEDLYKRRQPLPSLDAIYFIQPTKENIVMFLSDMSGRSPLYKKAYVFFSSPVHKELVAQIKKDSSVLPRIGALSEMNLEYFAIDSQGFTTDHERALEELFSENAEGSHKYNACLNTMATRISTVFASMREFPRVHYRVAKTIDASTMTTLRDLVPTKLAAGVWNCLAKYKTTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVHEVPSKNGSATEKKEVLLEDHDPIWLELRHAHIADASERLHDKMTNFVSKNKAAQLHQARNGGEVSTRDLQKMVQALPQYSDQIDKLSLHVEIAGKLNNIIREQCLRDVGQLEQDLVFGDAGTKELINFLRTQLGVSRENKLRLLMIYAALNPEKFESDKGAKLMQLAGLSVDDMIAVNNMRCLCGPDTKKSSGGGFTLKFDVHKKKHAHRKERTWEESAWALSRFYPVLEELIEKLSKGELPKDEYNCMNDPSPSAHGVPTSSSVRTSPAHSMRSRRTGGTWARPRGSDDGYSSDSVLKHASSDFKKLGQRIFVFVIGGATRSELRAAHKLSSKLKREVILGSSSLDDPPQFITKLKLMSAELSLDDLQI >KQL30498 pep chromosome:Setaria_italica_v2.0:I:31244121:31245703:1 gene:SETIT_017214mg transcript:KQL30498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCACMDNHLKSPFFVLLLCCTVATVAYPARCELSHPTNYHSISYNPQPQDFPNEQLYRAYLVIQRFKSTITSDPKNVTATWTGHDLCGETTYIGFYCGSPTGGDKKLTVTGVILNGYSLHAPTLQGFVDQLPDLALFHAASNNFGGDIPRLAGLEYMYRLSVSTDPPMYTVDDISGTGTTLGTTIDATAGKKCVTAHLHFAISIGIQPGGKGGNIPGVTDSKALLLNHNNISGPLPSNLGLSKLSYLAVANNRLTGPIPTSIEQLQDSLLEVLLLNNQLSGCLPHELGMLHKASVINVGMNQLTGPIPSSFSCLSSVEQLNLGGNRLYGQVPDALCKLAGPAGRLANLTLPGNYFTSVGPACAALIKDGVLDVKNNCIPGLANQRHPAECAAFHSQPKTCPAATPQVACPAAAAKGAVAAPGERKARDYSSYVTYATLHE >KQL30609 pep chromosome:Setaria_italica_v2.0:I:32178292:32196009:-1 gene:SETIT_016073mg transcript:KQL30609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELVSAALEEVCARLAPGIHVADLWPALRGALDVAGLPLGPPVKRALWARLLALHVVNLVGGDGDGAPVPAGDPAEKDVEEAERRGVRLVASAAIRDNFLGMYERRFAKTELSAVQKATLECVAASRTSGVTQNDLCKIFKMKGNNFHFIVKSLESQRLIVRQSTIIKMKDHGADREDASQNKQVINTNSLYLSRYAKDLNMTSQQRIEITKPELLLSNEETNVDALQEDGTSGVNSKNDISIHDYLPAMKAICDKLEEASGKALVVSDIKVDLDYRMAYGHRAWRNVLHRLRDAQLVEIFDAQVDDKPKSTASTYKFGKQGQATDQVLELPFENCIYDMISAQGTKGITLVEIGKRLGHNNSKRLHKRVSSMLKKFNLTWEAEVPDKTSQYRVWTSKNFSLYKAGTALQNFGALSEDCDDCPDLWSLVPSKELDSSSPHGNLLLLEQESHDEPIEHHIQNDLDASARVCQLVEEVALGQRKRRRSRPLTSDDQRHRRILHMLKKKKFVLKVELHKWLERLEKKDGKIMDRKTLTRTLNKLQKEGSCKCIKVSVPLVTNYTRSRLIDVVLHSSVGELSPELVDQFRIRQRNFDTESRAVAAAKVKQNQHMTAIPGLRISRRVNVYKPLLLEAMYANGFINAKMIRAKLLHKFLWAYVNASPDWCSAFGCAKEGHYDKSFNQSYLLFSMEAATKEMPLELFLQVVGSSKKIDNMITWCRLGKTLSEIPTEEYNQLMDTHAKGRLSRLINILDKLKLVQFAKEFVEDGGVPSDAIPTHSMELRPYIEEPIPRILSSSQLNNHRKIRHDFVLLKQEYVDAYWETLECCYLTAGLAEPLSAFPGCSVPEVSHPRSWSSLRVMTTEQRLELQQRIMNVSEKGKIPFKDCVRIARELNLSVEQVLRLSYERQSRLREQPSITAKQKQQKVSSRLTSEKRKRSADEITQKFFKRKVQASGSVEQIPDQSTLDEEVPETISSSPTDQTNRSDQPVSRTSSSSTNHADEDKESSPVISRSTILRKSCMRSKRFLWTYESDRKLLMIYIRMRAILGARYYRVAWNSLSDLPAPPNTCRRRMAILLKGNENIRGAVMCICNLLGKRYARYLEKERRSKKRRLLPQISKSSNETNLDSDSEKFNWDDFEVPEIKSALSEVLELIRTEKVDQTKRVGAKNEKNNNNDSDVTKDTRSSQELLNNQATRGKTKTPVPESGFCDQEKMCRHSNEVQPSESMDIHCKPQEKIIKDHRNKIIERGLRESLPVANALELLKLVFLSRSSGSDVQASLAATLQLYSESEIFTAVSLLKEKNFLVTGSGGKPYTLSSKFLTNACISPFPFGSGKKASEFSNWLIAQQKNATDNGVYLYPDIQCGEIVHLFSLVLSGKLFVSPFLPSEGVGEAEEPNSSSPLVVDTSGLVDSTQKRKADTMKQKSGKAKKHKPLPKIESDFCYRREKGFPAIQVGLDLERIQTSNNMQELHDKECLVFTSSRAMSNKDGDLHAERCIMPSFSNHSSTYRHLLSESQLEDSYCGWPWDAMKNYVEELPSVSDHQKESFTWSSDLFRNAFCVIHKAGEQGVTWKELSQALHPLAMQFVYRIVDTLKRFQLAIKVNAYDGVQIVDSLHLSKYHITTLAECNPCSCSGPPTSQVVDNGDPKNLLKEKHTIPINFHGPIKMLGDGHTVTVINVQSKLSSPHIYSKNPGDDERLSTSREDNKESSCYHDCEGHIYQPILPWINGDGSTNSTVYEGLSRRVIGYVMHYPGVMEEDIIHRMDVLNPQTCRTLLEKLILDGHLNVRVFEEPVPTAPTMLQGLFKQDPSKEASKCRKRFFANPMSTSLL >KQL31235 pep chromosome:Setaria_italica_v2.0:I:36393747:36394641:1 gene:SETIT_018311mg transcript:KQL31235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQLSRRLLSPTAAAAAQLPKTFSHGRDPFMIIHPGRRFFSASANPSSSSTPSEPEPGLGPPGSAPASPDEMRHQEIEGPTVERDTSPLADETRREIDALRRTVQRLSGSLALLGGAHLAAGSWIAYGAPPLGVETAAAVQGVAAFAFPFAVALVLRRAIKPIAFFQKMEANARLQVLTLCLQATKIVNLMLLRTRVMAISCALGVSVASVAAILMR >KQL30255 pep chromosome:Setaria_italica_v2.0:I:29469237:29476319:-1 gene:SETIT_019682mg transcript:KQL30255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKFLVAAAPHSGEDPAPPPPPPPRRHRWRRIAVELDGRIDARFRHRESRLLLNSFAEVGAFDHKYYMHGEERCRTYVDRMISASTMNLHLVREGISAIEFDKKGIYLASVTFSGCLTVHDFETLYCSIYGRSCSTPNDSSNYVLHISNRMPLNAVRWNPENQDEIACTSSQSDKVFLFDIGYVSSAPTEILQKGKSKFPTLYSESRKSLTDIAFTSDDKSRIFASGLDGAVYMWDRRSSKTHCLELVAPPESQFNTVKLSVDNRTVFGATKNGTIHVWDIRGGRASAAFQSHNEVQQLSSVKVSTLLGKIASLKEQSNIVSSPILSIDFNPSCPYQLAFHLDDGWSGVLNVNNLSVTHLHCPPPAWLESTDLVLQKQLRKPTWLPTSSIYAVGSSSYDGIYVLDFHPDTSSACHVDYKEETRGLEENQPVENKLVPLSQRVLSCAAHPLSHTIIAGTQYSSLLMLSQKHESTTNLES >KQL27988 pep chromosome:Setaria_italica_v2.0:I:2346552:2346912:-1 gene:SETIT_018980mg transcript:KQL27988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSRTQEATLSRILILTEVQNSSRPLHFLSIFTIYEMENNEVAKRSTTKILNTSIASNQ >KQL31319 pep chromosome:Setaria_italica_v2.0:I:36879113:36881161:-1 gene:SETIT_018665mg transcript:KQL31319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYQNVVGGRLKLKGKTLDIKEGGVKKKKKQQHREESSQIGHDGLHEGGISELPTNHNNELTEGEKTGEEEGNPHPDYDHLTPAERRYMEQKQKIDMQKMAKVANKSHRDRIQDFNQYLANLSEHYDIPKVGPG >KQL28736 pep chromosome:Setaria_italica_v2.0:I:7832168:7832350:-1 gene:SETIT_019367mg transcript:KQL28736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKFTSFIQVVFRGAYWLRFWSLLQCKDTRESVRAASKAVEVIVLDIFAKNGWRSNNKLYL >KQL31384 pep chromosome:Setaria_italica_v2.0:I:37213191:37214110:-1 gene:SETIT_020225mg transcript:KQL31384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCEKVGLKKGPWTAEEDQKLVAFLLSHGHCCWRLVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEEEEALVIDLHAQLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLKRMGIDPVTHRPLSPAAQASDPPHVREEPKQALSSSHGPAAGAEDEEAPASAEPLQGAMSPASTAAAVSPSCSSSSAASASVATPGADVAAWPDPIDLFQVDGIMDMDWAGILSGCGDDGAGVDVDLFDHYPGDGFDQQVWM >KQL31262 pep chromosome:Setaria_italica_v2.0:I:36580257:36581208:-1 gene:SETIT_019288mg transcript:KQL31262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEPDIRRIRSELRKIWVDPPAFCRPGASPVTDLLHWEVVIDGPDGSPYFGGTFPVDIDFSIYPLSPPKITSKPRTGARQRPSTGSLIFIVSVLCHHMLDGHRTNDEVNDVYERDIELYEQLAIAWTWKYSAPIVSHYPTDELDERWLDHCDAVATKRAADEAEERLRRYEEERRRHQEEEEALERRAAASSWPARARRIALLPRVTWKRVVAFLQGWSIALPFATSRRRFSSTVLLPLSNYTGRACF >KQL29064 pep chromosome:Setaria_italica_v2.0:I:10069318:10070167:-1 gene:SETIT_019210mg transcript:KQL29064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGSGPAAAVDQQGCRVLPVIEEEPEPEQAEGEGLPEEMTRLAERRKAIVARMRELLSRAAAAQSAHHKLRRSTVATAKKWKRAVGRIQRRCKNHQQVSAALQEDGMLSSSSSISSQSSFTWDAAAAETCCLSPADRSPLLWPAFVSTQRAGTTADQRPATSVLRLSCGSSWSEDDGMRMAHWVTTDSDFVVLEL >KQL31065 pep chromosome:Setaria_italica_v2.0:I:35361053:35364964:-1 gene:SETIT_016767mg transcript:KQL31065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVAAPEAQPQPQAAPAAVAPEVTVFRSKLPDIEIPSHLPLHDYCFARAAELPDAPCLIAAATGRTYTYAQTRLLCRRAAASLRVLGVRQGDRVMILLQNSVEFVLTFFGASFLGAVTTAGNPFCTPQEIHKQFRASGARLIVTQSAYVDKLRHEAFPRIGAASDDGGDGNALTVVTIDDAANTPEGCLAFWELVASADEATLPEVSISPDDPVALPFSSGTTGLPKGVVLTHGGQVSGVAQQVDGENPNLYMREGDVALCVLPLFHIFSLNSVLLCALRAGAAVMLMPRFEMGAMLEGIQRWRVTMAAVVPPLVLALAKNPALEKYDLSSIRIVLSGAAPLGKELVDALRARVPQAIFGQGYGMTEAGPVLSMCPAFAREPSPAKPGSCGTVVRNAELKVVDPDTGLSLGRNLPGEICIRGPQIMKGYLNDPEATARTIDVDGWLHTGDIGFVDDDDEVFIVDRVKELIKFKGFQVPPAELEALLLAHPSIADAAVVPQKNDAAGEVPVAFVVRAADSDIAEDAIKEFVSKQVVFYKRLHKVYFTHSIPKSASGKILRRELRAKLAATETA >KQL30148 pep chromosome:Setaria_italica_v2.0:I:28391681:28392182:1 gene:SETIT_020595mg transcript:KQL30148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCRRLTTEGLHAAKQPIQEQSTIACCIIFCFFKLHSYDTIFPGLKH >KQL29723 pep chromosome:Setaria_italica_v2.0:I:23863886:23865441:1 gene:SETIT_020149mg transcript:KQL29723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSHVASPVRRHDDDDDDSPRRFKIRACSSRSSDAEANRRRCRKDDHDEHLNWDALKKSINGLVNNVNVASIKDILPELFAEKLVRGRGLFCDSCIKSQMASPEFTDVFAALVAAVNTKFPEIGRLLLVRVMLQLKGAYEQNNKPQLLAETKFIAHLVNQAVAHELLALQLVTMFLQNPTEGSVEVAVGFVRECGAMLQRFLAIRPELVLVEQRDQFTHEISLEGELDPETNLNVFRAYPNFPEDENGYENSKRSIGGSESSENENRKRSIWGSESSENAESFEEQMEEIWTNFFNRRMIHLTIMSGVRFEEAGLMKLDLKPGQEMELCSMIIECCSQEITYLRFFGLLAQRYCMMRKAYQENFEKCFVQEYSNIHHFPTHKMIIVAKFFAHLLETDTLPWHVLACIQLADKGTTSSHRIFVMILFQELSKRLGIRQLNERLNDPGLQGSLDSIFPKDHPKNMRFAMHFFTRIGLGGII >KQL29549 pep chromosome:Setaria_italica_v2.0:I:20849630:20851511:1 gene:SETIT_019934mg transcript:KQL29549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIAEMLVRGLDFFMMDSTMLSFTKSAFTNLPHPPAATDAPLSGAVPARAPDGVDRISSLPAGTLRDIVSRLPVKDAARTTVLSKRWRRVWHTTPLVLVDAHLLPSAPIGTSRSRPFCLRADPRDLFGGPRGLPDAVSTVLAAHPGPFRCVYLTGTPMETHRDELAFWLQHLAAKAVQELFFINRARTMDNDAHLPATLFRCTSLTKLYIGFWWFPETATLPRTVAFPYLRELGLFSLIMKEEDLAFLLNRCPVLEKLLIDGSRWPVCLRIRSHSLRLVEMCQCLVAEITLAHASRLERLLLWEAWGYGGDITNMSSKIKIGHAPKLRFLGFLVPGMHKLEIGNTIIKAETKASPNTIVPSVQMLAVQVKLGTRIETRMLPSFLRCFPNVETLYVKSENDDYKFWGPQSTGAGKLNLKFWKEAGYIECVQRHIKKVVLREFRGTRSELDFLKFIAEHAQVLEKMVIVLTHGHSPSDPIATNLRTKMASAKWANSCCELMIFQSPFHSEGTAWCYLAAFDLSNPEPFDVLKCIDGKCQSH >KQL32204 pep chromosome:Setaria_italica_v2.0:I:41782764:41784430:-1 gene:SETIT_019986mg transcript:KQL32204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSAGLHTPRPSALRSSSSFPSTRSSVGSTSSSSAAAASKLPVAAAAPRDFASNAKVVAKCLAYDDDDIALAAATLSPDAVPEGRLVAQEEDLASLLELPDPDVSGDTSVISAAPDDALIASADSCVTEVPARADSTHDSEAPLPEEINVVLAELHGASGLSPRSKRLLTALAEAAAFELAPSATARRLRCAAFWGKVRVAVLAGTLAAVVVVDVALGAYLYARRVNDRYYHVLPPT >KQL31255 pep chromosome:Setaria_italica_v2.0:I:36539627:36539862:1 gene:SETIT_0195681mg transcript:KQL31255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCYNFAGYGSVTLPNVALTFSSGATLTLGADGILSFGCLAFAPSGSDGGMAILGNVQQRSFEVRIDGESVGFKPGSC >KQL30154 pep chromosome:Setaria_italica_v2.0:I:28437893:28439839:-1 gene:SETIT_018640mg transcript:KQL30154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQETPVAVEAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLRESAKVIEKHAAQLCVLAEDCDQPDYVKLVKALCSEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >KQL29061 pep chromosome:Setaria_italica_v2.0:I:10049961:10050466:1 gene:SETIT_020078mg transcript:KQL29061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKDARRRWYESFLPSTLTDSGEPRLLHCYTFDVNGFIALLTRAEALVLANKPGIRRMSSNNRWLSLRES >KQL27687 pep chromosome:Setaria_italica_v2.0:I:110820:114863:-1 gene:SETIT_016787mg transcript:KQL27687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSVSERSLIIESDDDDDHPQSQPPSAAAARRRRHHDQGSGSDSDSGSGSDSSSPCATPRAEPTASSYTQQWPQSYRQSIDILSSVQSPNLSFLGTPTLSRLSNSFTNSFRGKTPEIISNLVKPLLRPTTSDEQQREEARKSSQYLLPSRKPSLQQIPEDQKPLLVADEVSPHQKCSYTQAVVNGINVLCGVGILSTPYAIKQGGWLGLAILCLFAILAWYTGVLLRRCLDSKEGLETYPDIGHAAFGTTGRIAISIILYVELYACCIEYLILESDNLSKLFPNAHLTIGSLTLNSHVFFAILTTIIVMPTTWLRDLSCLSYLSAGGVIASILGVICLFWAGAVDNVGFENEGTVLNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKNRNQFPSILFTCIALSTILYAGAAVMGYKMFGEATKSQFTLNLPENLVVSKVAVWTTVANPITKYALTIIPLSMSLEELLPPNQQKYSNIMMLRSALVVSTLLIALSVPFFGLVMALVGSLLTMLVTYILPCACFLAILKTKVTWHQVAACSFIMAVGVCCACVGTYSSLSRIIQSYT >KQL28906 pep chromosome:Setaria_italica_v2.0:I:8798154:8799356:-1 gene:SETIT_019429mg transcript:KQL28906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAAVASATALLVLAAAAAAVSGLQAINVTTMAFEEGYAPLFGQDNILRSADDRTVSLLLDRSTGSGFISSSMYQHGFFSASIKLPSDYTAGVVVAFYTSNGDVFEKRHDELDFEFLGNIRGKPWRVQTNLYGNGSVSRGREERYVLPFDPTTEFHRYSILWTAAAVAFYVDDVPVREVRRSAAMGGDFPSKPMSLYATVWDASTWATSGGRYRVNYRYGPFVASFTDLALLGCRADDDPIRMVAGGGPRRGCAAAEAALRASDLAVMTVEKQQAMRRFREQNMVYSYCYDTWRYPVAPPECDVVESERRRFKDSGHLRFALRRRGPRRSRGGGAGRAAAARAAASRAAVDLAAAAKKQAADM >KQL32094 pep chromosome:Setaria_italica_v2.0:I:41321504:41326077:1 gene:SETIT_017076mg transcript:KQL32094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFLYDSLEAAVPALQLGWPSIPSTAPPPHPTFSLSLSLSPSSSSPPPLGPLVAASSDHHHRPPATRTTTMQSAAWRRHLLDHHLSPSTSAAIAAFRSASQPGLTPQGLCGADGARCMSSARAPAVEGSGYLVRKGTGGRSSVSGIVATVFGATGFLGRYLVQQLAKMGSQVLVPFRGSEDSHRHLKIMGDLGQIVPMKYNPRDVDSIKAVMAKSNVVVNLIGREYETRNYSFEEVNHHMAGQLAMIAKEHGGIMRFIQVSCLGASPSSESKMLRAKAAGEESILKEFPEATIMRPATMIGTEDRILNRWAQFAKNWGFLPLVGGGSSKIQPVYVIDVAAAIVNSLKDDGTSMGKIYELGGPEIYTVHELAELMYETIREWPRYVNVPLPVARAIASPREMLLNKVPFPLPTPSIFNLDQINAFSVDNLVSEDALTFEDLGIMPQKLKGYPVEYLLSYRKGGPAFGSTVSEKMRSSEM >KQL28554 pep chromosome:Setaria_italica_v2.0:I:6646767:6650737:-1 gene:SETIT_016833mg transcript:KQL28554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVTDCSFWIGLALLLKILRRKELISRCGSSPTSRGWKYGSGFVDGVFPVLSPMAQDILELVQKGTDVAKVCQSLDNIPHAHDLWDDIVNVAVQLRLNRQWEPIITVCEWILYRSSFRPDIICYNLLIDAYGRKRELSKAESIYMALLETHCVPTEDTYALLLRAYCNAGQLHRAEGVISEMQENGIPPSATVYNAYLDGLLKARCTEKAVEVYQRMKKERCRTNTETYTLMINVYGKAKQPMSSLKVFNEMKAIGCKPNICTYTALVNAFAREGLCEKAEEVFEEMQRAGHEPDVYAYNALMEAYSRAGFPQGASEIFSLMEHMGCEPDRASYNILVDAYGRAGLHQEAEAVFQELKQVGMRPTMKSHMLLLSAHAKSGNVSRCEEVMAQLHKSGLEPDTFALNAMLNAYGRAGRLDDMERLVAAMEKRGGGGGAPDIGTYNVLVNVYGRAGYLDRMEAAFGTAAARGLAADVVTWTSRIGAYARKKEYGRCLEVFEEMVDAGCYPDAGTAKVLLAACSDERQVEQVTAIVRSMHKDAKTLFTL >KQL31762 pep chromosome:Setaria_italica_v2.0:I:39627236:39627787:1 gene:SETIT_018939mg transcript:KQL31762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKHQEKNQPMTTRQGNRGGTNPPPKQCHCQDYWLAYEDLQFANGYQAPGGKPAYDNETGQQGRDKPPT >KQL31933 pep chromosome:Setaria_italica_v2.0:I:40504915:40509411:-1 gene:SETIT_016456mg transcript:KQL31933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGWDEGAVFYSDQAQFPRGGPGGDPAADLTRHSALRKFKEFLRGFTGPTGDFPYRESLVHNRDHVNVAIEDLDAFDAELSDKIRKSPADYIPLFETAASEVLASLRSKVAGETGEMEEPVTGDVQIFLSSKENCLSMRSIGADYMSKLVKIAGIAIAASRVKAKATHVTLLCKNCRSVRTVACRPGLGGAIVPRSCDHVPQPGEEPCPLDPWIAVPDKSKYVDLQTLKMQENPEDVPTGELPRNVLLSVDRHLVQTIVPGTRLTVVGIYSVYQASANQKSAVGVKQPYIRVVGLEQSRDNNSNGPSNFTLDEEMEFKEFAQRPDAYAKLCSMIGPSIYGHSDVKKAIACLLFGGSKKRLPDGVRLRGDIHVLLLGDPSTAKSQFLKFVEKTAPIAVYTSGKGSSAAGLTASVTRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPIAGRYDDLKTAQDNIDLQTTILSRFDLIFIVKDIRMYDQDKRIANHIIKVHASGAAASSKNTEASEGENWLKRYIEYCRATCKPRLSEKAAEMLQNKYVEIRQKMRQQAHETGRAAAIPITVRQLEAIIRLSESLAKMRLTSVATPEHVEEAFRLFNVSTVDAARSGINEHLNLSPEIANEIKQAEAQIKRRMGIGSHISERRLIDELTRMGMNESIIRRALLIMHQRDEVEYKRERHVIVRKA >KQL29090 pep chromosome:Setaria_italica_v2.0:I:10252330:10253521:-1 gene:SETIT_019147mg transcript:KQL29090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQKTGMKRKKGSIPRRRTPELSEEILARLPVKSLVRCKSVCKAWRATISDPVFIRAWEQDPSVIITLDTLDYLIPGERWPSTFSNHVRFYQWQPSNGQAAKFLHAKDFSGDFSRLCYFTHCDGLLYLFNPATRDAITLPDSRNNPMREEEEACHCSGLDLDPRTGRYKVVQAFFRSIDADANIYRMGMEVFTVSGGAWRETPDDLPCPIKRWQAGLIPSKGFCSGASTSLATTSNRLHGVASSALTWRTNRSASPRCRTGIGDACLIDELRGELCLTAPTGGTPATMTVWAMAIDDDGRHGQWERRYSYHFPRLCHPMGLLPGGQVLLWNQLDLYSYEAPSSELKVLCEMGCMRARRWKKLWNVNVRPYTESLVRITA >KQL29129 pep chromosome:Setaria_italica_v2.0:I:10524564:10525339:-1 gene:SETIT_019770mg transcript:KQL29129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWIHGCQLFTPEHEKGVNDFMKFVKSRYSDNDQILCLCCGCRISLDVLIRMASTYTRWIHHGETFEDRIQKNANLQDNGVQENAILRDDRVEEIVVLLDEDAGTKDGLPKMIADMCDAKELEGKMLECIKDYLKRKVSPGSKCTQFTFVVKLLYIKSFYQISNVAFNAILTVLFVAFPGACVPTSYDDAMKYIRAMGLGYESIHVCKKIFQSKETVH >KQL30883 pep chromosome:Setaria_italica_v2.0:I:34023639:34027956:1 gene:SETIT_017439mg transcript:KQL30883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLPGRPRRDGSPSPASSTEIVASDASEPRGAGDLPSPGPPTEPTTPAAAPPSDATATETASMASSSAAEPGTRLSDRVRRSSLGLRFMVLLMHVLFVGAVFFLDPTLDWRIHEEPWYIGVYGVLVLLTLVQYFYTAGSSPGYVVDVMRAGSSMHATFVNTAALSKQSNSRNGNISSPTSRAQLQKLSTMTPSSSWAQMVMDLYPPGSSSRDWTCTYCRVVQPPRTRHCHDCDKCVLQFDHHCIWLGTCIGKKNHCRFWWYIFQETILCIWTAALYIESLRLDVDKAWWKDFVGVILLAVLIFILIFLLLLLGFHSYIALTNQTTYEVARRKRIFYLRGVPERVHPFSKGICRNLYDFCCSSQKGYILEALPPAEDLEARAARYTCRDVICCRCC >KQL31676 pep chromosome:Setaria_italica_v2.0:I:39140615:39142882:-1 gene:SETIT_019397mg transcript:KQL31676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCGKVLNDVKPYLAMILLQVGFAGMYVVAVASLKRGMSHFVLVVYRNVVATAVMAPFALWFERSVRPKMTFTIFLKIMGLAILEPVLDQNLYYMGANLTSAGFASALVNVLPAITFVMALFLRIEKVRLRSLHSKAKIAGTALTVVGAVLMILYHGPVVPFPWTKGQHHDGGGAQVGGGGFLQGTLCVIVACVAWSGFFVLQSNTLQSYPAELSLTALICLMGSLMSGAVALVAERRNTQAWVIGFDTRLFTAVYAGVVCSGVAYYVQGVVSRQRGPVFVTAFSPLCMIVTSVMGSIILKEEITLGSVIGAVIIVLGLYALIWGKSKDHENQVADVSKGAAAAGGELPITLAQTNGNGKHELGNTRGLVFDVETPATNGHY >KQL31991 pep chromosome:Setaria_italica_v2.0:I:40801347:40803302:1 gene:SETIT_018115mg transcript:KQL31991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELRLVQGSLLKKVLEAIRELVTDANFDCSGTGFSLQAMDSSHVALVALLLRAEGFEHYRCDRNLSMGMNLNNMAKMLRCAGNDDIITIKADDGSDTVTFMFESPKQDKIADFEMKLMDIDSEHLGIPDSEYQAIVRMPSAEFMRICKDLSSIGDTVIISVTKEGVKFSTSGEIGSANIVCRQNQTVDKPEEATVIEMQEPVSLTFALRYMNSFTKASSLSDQVTISLSSELPVVVEYKIAEMGYIRFYLAPKIEEDEEMKP >KQL28465 pep chromosome:Setaria_italica_v2.0:I:6071522:6071675:1 gene:SETIT_0199792mg transcript:KQL28465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGCGGELPHGPAASQRQAAQAVVSGFSAPWIFGGIFHKKPARPRRVRER >KQL29681 pep chromosome:Setaria_italica_v2.0:I:23028539:23031840:1 gene:SETIT_019301mg transcript:KQL29681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRSSPTSSADETLEDKLLRAVAREVQENTRAIASVQQPPPSPPPPGCCTSTPSSLVRGLSRRVFGTATGSSARSSPTQRTLPRSPPRGQASSDVTGTSGSAPGVAARTGSEEEAKPEQEQAALTRSDYGAMMRSALANIQEDGEGQEQVPFTKMEEAMTGFMELAYGKAELPNPPELPREFASRWPHDDGDLSHSGVMDDPVILASGYSVDRSYHRWFCQLDNICPITNKTLSHSSTAPNHLLGDMLAAWHLDHMTHSPASNADKLSIPVTPSVEQIQDILQKFSEHPVMQEEALHEIQLLSKISKGEQPCLQRWPGLLPELIDLQKNWKSTWKQNLEEERLGVILNLSVHRPNREILVRENRLPVALKEIVTKLHKHGSPASAFAKVASIVAILSEFDMFRKGILDIGGMEMLRDLLKIEDAVVRKEAVTAIRGLCTDEEGKTNAQSYNVSDVLLECLTVSDEVLLLLDCLPKDPCMVDKMSEKAVDLVNIIMAGQGTGPVTPEVTCSAISLVHAIVQRDAHKMGQVKNLEDFKERLMELSSGRLPMQTMLQVDTIINSSIMQFW >KQL32163 pep chromosome:Setaria_italica_v2.0:I:41614632:41615225:-1 gene:SETIT_019563mg transcript:KQL32163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSQETNKDEGARTSSPSGEADDDKEELMQKQQRAGSGDEDDEGTRQPYKCTFCRRGFPTAQALGGHMNVHRRHRGRPAAPAAAAQGSSSSCYEQQPYVYSTTRTMPVLAAFGQTHPAASGASMAAGGGLLHAAELQRPYELRLFGRDCAAGGRGKEGGAGDVHRDRCYARDGDGGDHGGGEELDLELRLGGAAGS >KQL28271 pep chromosome:Setaria_italica_v2.0:I:4709980:4712812:1 gene:SETIT_017210mg transcript:KQL28271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLLRTRAFASRRLLSSLAGAGGGDGTPRTGSVYGFGDNSHGAVGQPPPAADAYVPTPVPFLPSSVSAVAAGHYHSLAVSADEEVWAWGRNDEGQLGRGLHSPRNTWSNPEQVRGLENVQVRAVSASGVVSAAIGSDGSLWVWGRSKRGQLGLGKDIVEAAVPSRVEALSSYHVTKVSFGWGHAMALTKDGKLFGWGYSENGRLGEMGQSTRAPSAEEYIGKMVDKYSSSMMEAVEKMVEEKIRSEDNMPIIWEPSLVHEASPLEVSDVSCGIDHSLILLSDGVLLSGGDNTYGQLGRKAGLPKLLPVDMSYKPFSISASVGHSLALCHTSTEGTDGVETGVLSWGWNCSSQLGRSGQEDIPALVNCLSGVRPVSTSAGRVHSVVLTSRGEVWTWGSGRNGRLGLGSSIDEAEPYLVDTLEGVEVLQVAAGMDHNLLLVAE >KQL27794 pep chromosome:Setaria_italica_v2.0:I:711347:715919:1 gene:SETIT_019519mg transcript:KQL27794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGVRIAMFLFLAFSSAVAQNVTESKVQEFHVGVVLDLGTTVGKVAHTSISIAVEDFYAIHPNYTTRLVLHVRDSMSDDVQAASAVLDLLENYNVQAIIGPQKSSQAVFVSALGNKHQLPIISFTATSTSLSSPGLPYFVQATSRDSAQVSSIASIIKTYGWREVVPIYVNNNYGRGIIPDLANVLEGIDAHIPYRSAIDESATGEQITQELYKLMTMHTRVFVVHMSSSLGSLLFTKAKEIGMMSKGFVWIITDGLASLVDSLNHSVVEAMNGALGVVSYVPKSTELDNFTMRWYMRSRNDHPNDPTLKLSIFGLWSYDTIWAVAQAAEKAKVTEDKFERPPAIENYTSSKTLENSRNGPAVLKEILQTKFQGLSGYFDLTDGQLQISMFQIINVVGKAHRVIGFWTAQNGISQQSDQRRSNTTYWSTTPTRNLKTVIWPGESTEVPRGWEIPTDGKKLQVGIVIGAGYPKYIDANEDSLTGEIKASGLAIEIFEEAVKRLPYALPYEYVVFNTTENKYDIAVGDITIRYNRSLYVDFTLPYTESGVAMVVPVKESVDKNAWIFLKPLTPGMWFGTIILFIYTGIVIWLLELLGNNKNVQEEMVKRLTSRIVLVIWLFFLVVLKSSYTASLTSMLTVQQLQPTVTNVDELLKTGQSVGYARGSYIKGLLEELGFDASKIKPYDTPEGYHNVLSKGSKNGGIAALVDEIPYIKLFLAEHCMGYTMVGPIYKTAGFGYALRKGSPLVGDISQAILNITGGDTIIQIEKKWIGDQNNCQNVGTISGTGSLTFDNFAGPIIATGVASTTSLVVALIVYFCKKKQVGPQNGGSEQILPPENGGDEESQCQQAARDRGMHGQVNKVMRNGSPVICRGEGIHRSWVSSSARF >KQL28038 pep chromosome:Setaria_italica_v2.0:I:2855213:2857746:1 gene:SETIT_018901mg transcript:KQL28038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRHIIAEGVLAVIPITPLLGGIDVLDAVKGEYGNVCKHPLNNGCTKRLIGFMVQGKRGVWLKILKDEGDLVPIAIKAS >KQL28930 pep chromosome:Setaria_italica_v2.0:I:8962910:8965395:1 gene:SETIT_018478mg transcript:KQL28930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTAFVPRAGLPLLRAAPLLRVIASSSGSGSKKKGKKPKSGSKRAGQDKDSKALEPPAPVVRRAPAGSASIFQQQQEVASKAGGAGGKGPTEEELRQRQANENAFLLAWLGLGIIILVEGIALAASGFLPEEYDSFFVKYLYPSFTPTVVLFLAGTVGYGVLKYFESEKSKS >KQL30851 pep chromosome:Setaria_italica_v2.0:I:33782980:33783198:-1 gene:SETIT_019123mg transcript:KQL30851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAYGRTSAAVASCWGRFGPAVLWRRLRRMSWPRRRYRTHVLGAGGLNYDPLSYAQNFDDGRVCEREPDFLA >KQL30812 pep chromosome:Setaria_italica_v2.0:I:33516406:33518006:1 gene:SETIT_019223mg transcript:KQL30812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGVERNKEGTGEYKVMSFKEERLGPADLDARGDRCMSTARRRRGWSFAVSWGFEEAAYSYVLAENCRLCLSPYSPEKSSTRIQRAGRLRPPGLLRAMFSDERELNAASALLRSARCYMQIEDKEEGEVAATKHALEKAVALLVKKNHLCLAATGCVELAQFYMLHQQLQNALDSYEQAADYYGAYRRANRYCRFKANMKKYLLLLAKPCRIEIIETNSAK >KQL30852 pep chromosome:Setaria_italica_v2.0:I:33784038:33788729:1 gene:SETIT_019783mg transcript:KQL30852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTKVALMLVLCSKLLAAIPLHDDDDNTLAPPGMQGGQYDAVSSTSNMGEGVVIGVLDDGIDAGHPSFGDDGMPPPPARWRGRCKHTGVAACNNKLVGAREFTRHLRHPAGRAVRAGTHGTHASSVAAGTPVRRDDGGGAVVSGVAPRAHLAFYQVCAAAGCSRGPIMHAVESALADGVDVLSMSLGDDDGFGFHEDPVVAATFSAVTRGVFVCAAAGNKGPAAGSVANDAPWILTVGASSRSSAHSTNVAAFSSRGPSRNNGGVLKPDILGPGVDILAASAHPTWSPAAIKSAIMTTADTSVTDEAGAPASYFAMGAGLVNPAKATDPGLVYDISPEEYIPYLCGLGYTDDQVNRIIYPAPAVRCAEMESTEAKDLNTPSIMVALTAERPAVTVRRTVTNVGAARSVYRVDVSEPEGVSVTVIPGELQFDDVNQRASFTVTVERAPGSALASQVLSAQIAWVSEEHVARTRHNRFHLGSVCSSMDPPNPMRLPVLLPIRIAVFLLASLLVTTAVAHHDLGLHKNYLIIVRTPYEYDRNLFKDVSSWHASLLASVCDMAEEELDKDPSAMARLIYSYRHVVNGFAARLTEEEVRDMATRDWFVKAMPEKTYRLMTTHTPQMLALTSEASHGGLWKRSNMGEGIIIGVLDDGIRPGHPSFDATGMKPPPARWKGRCDFNSSVCNNKLIGARSFYESAKWKWQGIDDPVLPVSEGSHGTHTASTAAGAFVPGANVMGNGLGTASGMAPRAHIALYQVCFEDKGCDRDDILAALDDAVEEGVDVLSLSLGDDEAGDFAYDPIALGGYTAIMKGVFVSAAGGNMGPDPATVANEAPWLLTVAAATTDRRFVASVKLGNGVELDGESLFQPKDILGVHRPLVRDLSDGTCSDEKVLTPEHVGGKIVVCDAGGNLTSLEMGAALREGGAAGMVVITVEELGSVIQPKAHALPASQVTYSTGQKIRAYMNSTNNPTGELVFKGTMLGNRDSPVVAAFSSRGPSKQNQGILKPDITGPGVNIIAGVPKPAGLMTPPNPLAAKFDILSGTSMATPHLSGIAAVIKRAHPTWTPAAIKSALITTADTTDRSGKPIAAHNGSPANLLTVGAGFVNPMKALRPGLVYNLTATDYIPYLCGLRYSDAEINSIIHPLPPVSCAGMPAVEQKDLNYPSITAFLDQEPYVLNVSRVVTNVGRAKSVYVAKVEVPGELSVTVTPDTLRFKKVNQAKGFTVTIRPVGAPRKKGIAQGQLKWVSPENVVSSPILVSFKKFVQDNSTTAHLKH >KQL30213 pep chromosome:Setaria_italica_v2.0:I:28952804:28954024:1 gene:SETIT_019760mg transcript:KQL30213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEVKEEVKIQIRSMTHLRNGLNLTKRQVNMRISSHYFYLCNLSNQINDSIPVSGGRGFSKRIRAPGASTSTPPRVTRTKAKELVLAQVAQVPRDSRRLGRDLDRISRGLHTKLPIHFASEGGIISRGHIPILTRWKHYKTDNLKHLKNYIDKLAGQFDIDTTSQPRQGRYRFKKKFFNDIPANEIPTKSHVTTLNDDHQPHKERCTKKR >KQL29288 pep chromosome:Setaria_italica_v2.0:I:12801759:12802922:1 gene:SETIT_019855mg transcript:KQL29288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKTDRTEPTAPPSAASAAAISAVLGDDDLLREILLRLGFPTTLIRAAAVSKRWLRHASDPTFLRRFRTRHPPALLGFYIRELGARGPRFVPVSQAPELATATRRASAAEGRFFISDCRNGRLLVMDFDPTACRHAVLSPLHPARGKVVLPPAPKQSLIWFFLTENGGGYDGAVAVLMLPIGTTKTKVQVDLLTSGSGVWVAHRTVVIDLPETLPPIAYTLPPVRGKIYSLTKSGHILGLDMTAAKSSLIQRPDKVRTDNFMLSFGEEGSKLILIHAEGYLLSIWQLATTRSDSNDWVLVYDKIRVHEAWNRLEDVMVMAADDNLEFVFLWLRSSAVLMHMHLKSRSEKVYDEFKVRDGRFLDINPFMMVWPPVFPALREEDNVEG >KQL30875 pep chromosome:Setaria_italica_v2.0:I:33944833:33948828:1 gene:SETIT_016230mg transcript:KQL30875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQAGRRKSSLRSQGSGKSSSRPGSGALEEVVVKIDGNGNGQAPFSFHGADGGGVGGGGRAGNATPSTNSTATTPRTASRPRSSEANSPRSPAKVWREGSYEFWNNDGAGGADGRPAATEAFSFKNRPPQSPSDAPSPSLSPQQQQQQASAAAEGGGVDPPTRLIGNFLRKQAASGAEKSLDLDLEMEELGRTAQLREQPSFSSSLERDARVSFQEPQKRNSASSFSSDSDTDDDGRKRGGGEDDGEVVRCTSSSTAAGAGPLLRAKTRSRLMDPPPQPQPPPASAQAPAATPVIDEERRSSGLRTPTKSGQLFSRLMSGKKSGPMGKSGPIEEEEDDPFADEDIPDDFKRGKLDALTVLQWLGLFLVIAALVCSLTIKILSEKKVVGLHLWKWELLVFVLICGRLVSGWVIRIAVFGVERNFLLRKRVLYFVYGVRSAVQNALWLGLVLASWHFLFDKNVQQETNSPVLPYVTKVLFCFLVATLIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDENHVLQEVHELQRAGATIPKELRDAVPTKNVSGQRNIQLSGVMPKGEGSKQLSKEKGEGISIDMLHKLNQKNVSAWNMKRLMRIVRFGTLATMDEQIQQATGEGDESATQIRSEYEAKIAAKRIFHNVATPGSKYIYLSDLMRFMRREEAIKAMDLFEGAQEHNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLNQMANVVVGIIVFALWLLILGIATTHFFVFLSSQLLLAVFVFGNTLKTIFEAIVFLFVMHPFDVGDRCEIEDVQLVVEEMNIMTTVFLRYDNLKIYYPNSVLATKPIMNFYRSPDMGDAIDFSIHVATPVEKLALMKERILRYIDNKKEHWYPGAMIVLRDVDETNKLKVSIWFRHTLNFQDMGMRFVRRELVLQEMIRVLKDLEIEYRMLPLDVNVRNAPPIQSTRMPTTWSYS >KQL30985 pep chromosome:Setaria_italica_v2.0:I:34857903:34858526:1 gene:SETIT_018547mg transcript:KQL30985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQANQHTPRKEKKHHQMIPRVFNKKHKPVNTKVTTSGGAFRKVRGVLHLLDGKKGKENAMRGDTLLERDLGRNFLVTGPGWTRPGPCRAAPAGLPLPWRAGPHVSPAPWRSSRSPSSPPPRPPPSARSGTRRCRTPCPLCEMARMAGYVRAPLERGKRK >KQL29804 pep chromosome:Setaria_italica_v2.0:I:24788857:24793269:-1 gene:SETIT_018578mg transcript:KQL29804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKDPAATGGEPAIGIPYHQAAEAQGHYYYAPPPNPYAAGMPPANAIYAGAPKGVPLQQTMFRDTPAPFHCQACGEAAVSTVRSKPSLASVVACMMPFMLGVCFLCPSMDCLWHKYHYCPSCGEKVGEFRKSDPCLVVDATRWSEPSFAVPA >KQL30230 pep chromosome:Setaria_italica_v2.0:I:29100566:29101533:-1 gene:SETIT_019217mg transcript:KQL30230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRGPLISRSFRRNAPCGSVKSSPVKSSRLGAHESRQGDLLVLSKGKSSLENLHLNSREAFEFFKLGAPRSPEESAMAKQKIVIKVQMSSDKCRSKAMALVASTGGVDSVALAGDGKDQVVVVGEGVDSIKLTEALRKKVGDAQLVQVGENKKEEKKPADPVAEYNQWYYHHYPPPPPVVYDHRAAGYGYYSQPDTSCSIM >KQL27695 pep chromosome:Setaria_italica_v2.0:I:147342:148241:-1 gene:SETIT_020089mg transcript:KQL27695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGRMGCVSSALLEEGGEDDRRRIISHHHIVSLTSSTYGILTYNSSSSSSPTSAAAAPPPPPPPPPPPQTKPDEVINSWELMAGLHDPSTPARPPLPPSPSSSSCKPDRRRRIRFPLRPIDGNAPSGKPPSASVLLYTTSLRGVRATFEACNAVRAALQAHGVAFRERDVSMDRGFREELRDHLCAGGGEAAPSLPRLFVRGRHVGGAEEVLRLDEQGLLAPLLEGLPRARGGAYCCDGCGGMRFLPCFDCSGSRKVAVAVPTVPQPTTKGSNCRRRTTTVVVRCGECNENGLVLCPICS >KQL29972 pep chromosome:Setaria_italica_v2.0:I:27169591:27170056:-1 gene:SETIT_020526mg transcript:KQL29972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAKHSHKEAGQRATAPGSSTRANWGWDVGGIDRAVTPA >KQL31763 pep chromosome:Setaria_italica_v2.0:I:39640419:39649814:1 gene:SETIT_016174mg transcript:KQL31763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASCCRVFSSQRCRFPLRRLAAPPWSHPLCTESSGGLAAASISKRRSRGPVMAAKKAEQGAKQEDGKYKHTVDLPKTTFGLRANSVVREPELQKLWEENQVLKRVSERNTGTTFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNHKVSFVPGWDCHGLPIELKVLKSMDKETLAALTPIKLRQKAAKFAKATVDAQMKSFKRYGIWADWDNPYLTLSPEYEAAQLEVFGQMVMKGYIYRGRKPVHWSPSSRTALAEAELEYSENHISRSIYAAFKITNPSKSGMLDEFLPNVSLVIWTTTPWTIPANAAVAVNPELAYAVAEVHSVPISESTSGGKQRKVGSILSAEKGKLFVIVASDLVTTLESKWGVKLVVHKSFPGSALEHSRYVHPVNGNECSVVLGGDYITTESGTGLVHTAPGHGQEDYITGLKYGLPIISPVDDEGNFTAEAGQFGGLSVLGAGNAAVVKYLDEQCSLILEEPYKHKYPYDWRSKEPTIFRATEQWFASVDGFRNAAMDAIRQVTWVPSQAENRIVAMTSSRSDWCISRQRTWGVPIPVFYHVDSKEPLITEETIEHIKSIVSKKGSDAWWYMTTEELLPDKYRDKASEYRKGTDTMDVWFDSGSSWAAVLAKRDGLKFPADIYLEGSDQHRGWFQSSLLTSIATTGKAPYSNVITHGFVLDEKGFKMSKSIGNVVDPEKLIVGGKNQKEEPTYGADVLRLWVSSVDYTGDVLIGQQILRQMSDMYRKLRGTMRFLLSNLHDWNPDNSVPYNDLPKIDKYALFQLETVVASMKDGYENYQFYKVYQMLQRFAIVGLSNFYLDVAKDRLYVGGRASFTRKSCQTVLTAHLLYLVRAIAPIMPHLAEDIWQNLPFQYTLEDGSLAKFVFDLKWPEKNEEWLSVAKDDVDFLGIILELRSEINKILENARTGKLIGSSLDAKVYLHAGSSDTAIKLQELSSASNDADALHRLFITSQVEVLPTLSEETASSVSYIGKFSDPRTGDIWIGVTRADGSKCERCWNYTRDVGSFRDHPTLCARCYGVIDLQPQPAAAAVS >KQL31196 pep chromosome:Setaria_italica_v2.0:I:36205728:36208419:-1 gene:SETIT_017001mg transcript:KQL31196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNARKDAGGGGGGAPFAIPCVDVKSFVASLAFLTLFVAFWQLQPYGSMLTAARTSASSPCSLLATTAAADLPSYNATAGAAADTKQPAAVSGTTTAAANAAHVRLARPARPEDPNKRVLRPYGSAAALFVQMGAYRGGPRTFAVVGLASKPTHVFGTPYFKCEWLPNPTASDPSPRPVRTKAYKMLPDWGYGRVYTVVVVNCTFPSNPNAGNAGGKLLVHAYYSTASRRPPFQYDYLYCGSSLYGNLSASRMREWVAYHAHFFGPRSHFVLHDAGGISPEVKAVLDPWVRAGRVTVQDIRAQAEYDGYYYNQFLVVNDCLHRYRHAANWTFFFDVDEYLYLPNGQKLDEVIGKLSGYSQFTIEQNPMSSKLCVEDPSRNYSREWGFEKLVFRNSITKVRRDRKYAIQARNAYSTGVHMSQNVRGRTTHKTESLIRYYHYHNSINVMGEPCREFVPMPVNGSKIMFEKTPFVYDDSMKRVAGEIKRFEKETIGSVQT >KQL29189 pep chromosome:Setaria_italica_v2.0:I:11169992:11170418:-1 gene:SETIT_018775mg transcript:KQL29189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQGGILCLGKSEEKRIWNPPFLLLFSLRKITQSKSNYLLYKNEMLVMPNILSLTCICFNSVLCPTSFFFAKLPEAYAIFNPIVDVMPVIPVLFFLLAFVWQAAVSFR >KQL30466 pep chromosome:Setaria_italica_v2.0:I:30721623:30725761:1 gene:SETIT_017085mg transcript:KQL30466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALTRLRSAVGRLGPSRARAFSAAAAEAEAEAAGPRRDSRAFAAAAVAAGSGLGIWLLPSSPQPLADSGQVADAAAEAGGGKGAFSAFFGGVGAAGEREEDRRFLVGDSYRRRVFFNYEKRIRTRSPPEKIFEYFASVHSPEGEMYMLPTDLMRAVVPVFPPSESKIVREGRLRGERHPGELHCALSEFFMLFDTNGDGVISFSEYIFFVTLLSIPESSFNVAFKMFDLDHNGEIDKDEFKKVMTLMRSYNRQGAAHRDGLRFGRKVGQPVENGGLVEYFFGKDGNEHLHYDKFSSFLKQLHDEIVRLEFSHYDVKSSQTIPVKDFALSMVASADMNHINKLLDRVDDLDDNADLKDLRITFEEFKAFADLRRRLEPFAMAIFSYGKINGLLTKQDLKRAASHVCGVDLTDNVVDIIFHVFDADRDGNLSSEEFLRSLQRRESDIRQPTTSGFLGVVACWLNCTKCSLQQMLPQ >KQL27805 pep chromosome:Setaria_italica_v2.0:I:778137:779297:1 gene:SETIT_019805mg transcript:KQL27805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTQPRQHQLNSSSLTLKTQRLAIRGVRVPCVKRGGVRFTIYGHDYFNLVLVTNVAGAGSIRSMDVRSSDSTEWMPMARNWGANWHTLAYLSGKMLSFRSTNVVPRGWAFSLTFASNLQFS >KQL27819 pep chromosome:Setaria_italica_v2.0:I:875636:876676:-1 gene:SETIT_020135mg transcript:KQL27819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILKLGMASYSAPRMVVDTRQQLNEEASRPMKSSAWMRYPAHAGFSGLDPKRGVKWSWWPASWDDSFDFTAAGPPGGANSWAPVAT >KQL29257 pep chromosome:Setaria_italica_v2.0:I:12251167:12254767:-1 gene:SETIT_016765mg transcript:KQL29257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRRAPPPPPPPQESSSEESGSVEEEEEEPPSHPARRMPPPAAAADGADSSEGSGSDSDSDTDAQAFQMRQLHRFPTKLPPHPVPQPASHAAAAADDDDEGESSESESEPENPEPVVQKKAAAAGKSKAEQERKRPAADPAPSGKAKKAKAGAEKAAAPAEATPPGKAKKGKAESEKAAPEAPPAGKAKKGKAEQEKEKAASEDTPSVKGKKGGGKLEKPGVLDSSPSSSKPEKLPRAQRLWTKNDEMKVLEALAGHVKSDGTLPKTDFLLATVGDRLDRKNCTYSDIYEKVRQLKGRYEKAVSTGIVPSKEDELQIYKLSEAVWGEKAKEALAAARSQNDGAVTKSKKGQSNKQKVDGNSKGGTPKEAAASTASQNGDSQKGSKKGQAIKEKTDRDVKSRLSKEATATGTPSKSKKWDNQNEELDKDAKSGDLGKSKREKTDKGKKDIDRDSLKSKEAAAANQNGGTLTKNKEGETHDDEVERDANVEVTRRGFDELQGLYSNLAAYVEEIEAQNPCGETLKRAFEFIGDEKAQSLEYKVKRQRVSEAKAQLRRADVKKDVLNTLMSLVD >KQL30033 pep chromosome:Setaria_italica_v2.0:I:27654179:27655330:1 gene:SETIT_019152mg transcript:KQL30033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSVAARCGAGGRVQRVAAGEVRLDSSVGGSVAREADSSDFTVDPEPLIQRAVGQDTIARQVGEGNAKHESKVRNNSLLNLVFMEALTIYGLVVALGIFLFYDFFKF >KQL31857 pep chromosome:Setaria_italica_v2.0:I:40126222:40130986:-1 gene:SETIT_016828mg transcript:KQL31857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQEKKQEKKEAEEEELVLEDGGIESPRRSFEDGDDYEEGSDGGDDDDDGERDGDGVGSPRSFQSRPWPQSYRETTDTYTIAASPSFGYLGPSTSKYSLLDLGRSGLGSDLKLPLVSDNADGKQDFVKTLPKTLGSIRDERVSFHLQHTGEVYISQGCNVTQTVFNGINVLAGVGLLSTPFTIHEAGWTGLAVLVCFATVCCYTGILLKHCFESKDGISSYPDIGEAAFGRIGRLFISIILYTELYSYCVEFIILEGDNLTSIFPKASFDWLGFHVDGKHFFGVLTAILVLPTVWLRDLRVLSYLSAGGVIATLLVFVSVGLVGVTDNIGFHSTGKVVNWTGMPFAIGVYGFCYSGHSVFPNIYQSMSDRSKFPKALFICFAICTAIYGSFAIIGFLMFGENTLSQITLNLPEHSVASKVALWTTVINPFTKYALLLNPIARGLEELRPEGFMNETSCSIILRTALVASTVCIAFLMPFFGLVMALIGSLLSILVAVIMPALCFLKIVQNKATRSQVIASVGIIILGIISAIVGTYSSIERIAENY >KQL30629 pep chromosome:Setaria_italica_v2.0:I:32284219:32287273:1 gene:SETIT_016877mg transcript:KQL30629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAYIVTAHYPSTISYCLSALFLLPSAISTLSFYIAFSILPDRSDADGGRFQLVQKRVILYCWKNPDLRLPSCGRRRPSPFSLINRLTKPDGWGGAPRPLPGSSSPRPPSSPSARASSPTASTSPTSTSNPSARARSLATSSSATTSARSTTSSPPPGPGPTRPIAQYSETMAALAPASSGGESVIAEVEMNAGTDQDAGTVRVTVVQAASVFYDTPATLDKAEKLMAEAAGYGSQLVLFPEVFIGGYPHGATFGLVVGNRTAKGKEDFRKYHASAVDVPGPEVSRLAALAGKYKIFLVIGVVERSGYTLYNTVLTFDPLGKYLGKHRKLMPTALERVFWGFGDGSTIPVYDTPLGKIGALICWENRMPLLRTAMYAKGVQIYCAPTVDCVTSWQASVTHIALEGGCFVLSAVPFTRRKDYPPPPEYTFGSLEEEPSPESVVCCGGSVIISPSGTVLAGPNYEGEALLTADLDLGEIVRAKFDFDVVGHYSRPEVLSLVVNTDPKSAVSFASDAEKTFAGKRDDVSKT >KQL30139 pep chromosome:Setaria_italica_v2.0:I:28352343:28355095:1 gene:SETIT_016793mg transcript:KQL30139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPVHRWIARAAPGITRRSGGGGGAPVCCLHDCTAACRRTRSPASPGVAPAMDLQPMQPPPSSRARSRSWGAGSWATAPPLSPRQQMKPRHERSRSTTPTYSPPVPSSQSQSLGDSGGMTEQAPVTTPQEDELCRPATETETEGKAARPAGQQLAERQVSDGADAGMERVVRRLEREVAAAKQTEMKMLESLVRQTKELEQAKIALEEARLEVDALRQQQQGAAAAGPAPPAQQQQWSVMDLMFGGVDEELNGLRARLRASSQAEERSRKAADDLTAALSAVTMEAKQVKAWLSDAQAELEAANAEADRLRGLLLAAEAELWEKALVARARAAEEEAAAARRENADLAGARRALDDENDGLRRALERAAEDASTATEALELVSGENAGLREAADEKERDLEDLRRENGTLRAGEAAARERAKELEAQLLAAATATDGSAAIGKAAVEIPLVEKWRREAAQGKLGAAAFLDHGRVLPGRRDRMFASLSNLAELKSAAAAAAMDDYDYEFDHLYVGHQYAGGGATEHAMKHRKRRSILRKFGDLFRRRSLYKPDPAPVLHNHY >KQL29846 pep chromosome:Setaria_italica_v2.0:I:25470227:25471496:1 gene:SETIT_019933mg transcript:KQL29846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQHLAHAFRHDSLAIRPAPDDAPPTAAFGFFGEPGGDHPLAPAAQHHHQVGGNTVFSDPRSELTCNNNSNNPHDGVCFAPRKRARTGGDVVGAAGLTMEGHRALLPVPVPQAFAAAEDVQSRVLCSVDASTSGRHLPGSTLASHGVLSHLHRHSVEIDAFIRIENERLRSGLEEARRRHVRAVASAVERAAARRLRAAEADLERALARGAELGERLRQVGAEGQAWRGVATGHEAAAAGLRATLDQLLRAPRAGAAAEEGQGEAEDARSCCFGPAREAGAGGGRACRSCGAADACVLLLPCRHLCLCGVCEAAAEACPVCAATKNASLHVLLS >KQL29383 pep chromosome:Setaria_italica_v2.0:I:14679759:14680090:1 gene:SETIT_020242mg transcript:KQL29383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIFDLICSQMRLFLLSFWYLDSIYFYHQHSYS >KQL28322 pep chromosome:Setaria_italica_v2.0:I:5041367:5042053:-1 gene:SETIT_018742mg transcript:KQL28322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSLLSGGDEMNRRASRRGHRVCHVRLGSLLRLRVRLFGLAGLLVRCLEELNCCPRRRSPATTARAHKMLSHAGRCPRPGPAAERENSFQAEAIADCLEFIKRSYLADDHKATC >KQL31705 pep chromosome:Setaria_italica_v2.0:I:39306067:39307510:-1 gene:SETIT_018723mg transcript:KQL31705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMLFLSYHQLHQLAAEVTPCKEAAAGGFRISLSSVLSIPMFERRREAAAPAVRAEGKTVHERDASEDIKWSSANKELEEKFEEALLVFVNDRSGDACMPPSRSEGLRADCFVLLVFSS >KQL28632 pep chromosome:Setaria_italica_v2.0:I:7137299:7141027:1 gene:SETIT_017675mg transcript:KQL28632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRPLSSSSWRRSAMAMAMVVVLAGSVTGARGQLRMGFYDKSCPGVERLVGAFVRQHVDRVPTVAAALLRLHFHDCFVRGCDASVLLNSTAGGVAEKDAPPNLTLRGFDFVDRVKALVEEACPGVVSCADVLALAARDAVAAIGGPSWRVPTGRRDGTVSSMQEALDEIPKPSMSFKQLTDLFATKGLGVRDLVWLSGAHTIGIAHCSSFADRLYGHPGAGAGNDTTDPTLDAAYAANLRRRKCRAPSGGFAEDAVVEMDPGSHLTFDLGYYRALRKHRCLLQSDAALLTDPAARADVESVAGGPDEVFFQLFARSMATLGTVQVKTGAEGEIRRNCAVVNSPSN >KQL28282 pep chromosome:Setaria_italica_v2.0:I:4796028:4796774:-1 gene:SETIT_019780mg transcript:KQL28282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRVSRPLRKRSASSRAAAASQRARHGHAPSVLPRRRVRAEMLAAFGRMGRATGPCASAARAARGSWLVQATKQETPAEGHLHGRSLVHEDVKPANVVLGAARGEEQGPAADVWALGCTVIVMATGRASWSDVGGLLAAVHRIGYTDAVPEVPAWMSTEAKNFLPRCFARNPRDQCTAAQLLEHPFLASVGCGVKAEEVPAR >KQL27922 pep chromosome:Setaria_italica_v2.0:I:1731073:1735015:1 gene:SETIT_016455mg transcript:KQL27922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQAHSRSCFQQFWDGVQVKRSSDNFTIELLPSLGATINHSNKLQKFIISPYDPRYRYWELFLIVLVIYSAWICPFELAFLRDLPPKLLHVENIVNSFFAVDIVLTFFVAYVDSKTHLLVDDRKRIAVRYLSTWFIFDVCSTAPFQPISLLFRHKGNALAFKILNMLRLWRLHRVSSLFARLEKDIRFNYFWTRCSKLISVTLFAVHCAGCFNYMIADRYPDPEKTWIGAVMPTFRSESLWTRYVTALYWSITTLTTTGYGDLHAENPREMLFGICYMMFNLGLTAYLIGNMTNLVVHGTSRTRNFRDSIQAASEFAARNQLPEKIKQQMLSHFCLQFKTEGLNQQAMLNGLPKGIRSSIAYNLFFPIIRQAYLFHGVSNNFIAELVMEVQAEYFPPQEDIMLQNEGVADIYIIVSGAVNLITTVNGNEQVYRKVEDGDMFGEVGALCGIPQPFTCRTATLSQLLRISKIRLTEIIQEHREDSNILMNNLFQKLKLQQNSPELNQLDRIFMHKYEPFHVSQEAWLLPQPYLQYTEHKCEDISKKVPTFIGDNGSTKIVAETNQLRKPQHENSHDQSNCNHGATGGMAGKEEDHDEVHINCETKKGTEEFCIQIKSEDCDAASSRQTSHETVKLRSSHNISEGTTRRRNQDSSYIKASNKRVTVHAYPHNGTGSLVQNGKLINLPGSLEELFEIGSQKFPGFHPTKLVTRDYAEIDDISVIRDGDHLFLLQM >KQL28617 pep chromosome:Setaria_italica_v2.0:I:7088477:7094652:1 gene:SETIT_020108mg transcript:KQL28617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYGCRVDEMILRGRFDCGKSRPHYVVMNLASESNWKQYKEVVEHANVVCLELVVEIFRMPGTNLVVEIFRMPGTNVTLRDEVQLVNHNGTQESEILQHGLGERQYDFSLAIANDDFPIDTFEQEETNIDDDDDDISLSFEDGDFEEDEHVWSRLIRNTGQWRISKIVQPHTYRSSQPKGVHIQCTTKYLGRRILGIIRADSETSVPSLVESIFTFIGYHVKYSKAWWVKQHAVAPLWGDWKESYGMVHRVLTAMAYYNPRVKWFTHSTGMMQLNNGVLKHVLQRVFWCFLQCRVSFQHCRPVILVDGTFLTGKYKGALMMAVAVHPEQQLVPLAFALAKSENNDNWSWFMKLVRGHVFGPSRQVCMISDRHHDLLHCANDHMEGFPLLVHRWCTRHFAANMWRRQKNKEVIGKLKNLCMVHTEKEFYEKLEDLGGMRWGIMITNYSESLNDIFKGIQSIPVSGIIEYSFEKCNAYFVDRWQKTRDLLNEDHRNGKVADEHISEAKLRSINQLPEPYRTKNACKARGLNYESPMYMSPLCSREHTIRIWKSSFEPYLDPSQWPPYEGLKYVPNSNLKRNKIGRRQKKRLRGDMDESQGRLLVDYGTGDFDVDTSENRCSKMADPVYPLLEAAYDMQHRSHLPADLHGELKPLRPRVHSPLRWDERYTHTFHLPCSRMTVTMQDVAMILGLPLEGLPVMRIIQSENWYDMVELHIGIRPLELEEGENSKKTSGIDRKKRYKENDGRVKHAEYLQWWDNRPRCDPDDGPYWCAGPHKEYLRWYYAATRTRIKSSWTIEPIKNPSSDFSDDIVDEYDTMTRLGTQPERAPLHDYMQLARLANEASVVMEHASGSGDGVLRSLQRYKHNLVSIDPQGLKKLQANGHEDELHVGPDVHHADNGQGTCSGSRHTPIHHGRGGISRTPSLTTSRIASASTAPGSSSRSRGKAPTTLEASEESEDDDPTYGEHLEMSDMFDAPPVTQTQGESSQADSPVSPSSILNC >KQL30598 pep chromosome:Setaria_italica_v2.0:I:32049121:32060719:1 gene:SETIT_016408mg transcript:KQL30598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTKLEDSPMFRKQVNSLEQLTDELKERCSNLHKGCKRFMGSLDEAYAGDLSFADSLEAFGAGLDDPVSVAIGGPVMSKFTTAFRELGTYKELLRSQVEHMLSDRLSQFINMDLNGVKDCRRRLDRAAVAYDQAREKFVSVRKGTRAEVVTELEEDLQNAKSTFERCRFNLVHALANIEAKKKYEFLESISAVMDAHLRYFKQGYELLGQMEPFIHQVLTYAQQSKEMAVSEQDKLAKRIQEFRTQEEIANVRMASNVDTSTSGDGIHVVGLQSYKTIEALMHSTANGEVQVIKQGYLFKRPQNTRGEWKRRFFVLDSHGTLYYYGNKGKSQGVASQQTAGEGAGVFGRFRFLNQRASSQGEDSISCHTIDLRTSTIKIDADENDLRFCFRIISPIKTFMLQAESVADQKDWIQKITGVIASLLNSPFPQQLSYGNPATESNRSTSSVDSLSIEDNKSSEGHDDIFNLLRNIPGNDSCAECRSPDPDWASLNLGILICIECSGAHRNLGVHISKVRSLRLDVKVWEPVIMDLFHALGNDYANSIWEALLPKEDQGMDESNGAILFIEKPKPSDAFSIKERYIQTKYVDKLLFARDTDQVTIDILEAIRTNDVRGAYRILAIAEVSANMTYDALSKDVHHVQPVTDKSLLDPVSCEIIRDSGKPEGCLQGCSLLHFACQYGHPVMVELLLLFGADINMQDFHGRSPLHHCVQKKNDDLTKQLLKRGARTTIKDGGGLTALERRMELGAITDEDLFILFVR >KQL31545 pep chromosome:Setaria_italica_v2.0:I:38308274:38309418:-1 gene:SETIT_019311mg transcript:KQL31545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENTHGSGRRAFGDLTNILCKRPALSDPENSTGGIKIRRIEKDTMTKKEFDENAMNSSRGKGIVFGHLFDGVAKGNFERPSIFRHTKVQHMAAKAAGLLSKEVSDLRDRCPSVDSFDFSDKEQDSSLDSEGEYDEDDETGGELPGHFSNSEVANDGECLTQEEIVGSSGNQKPLSSLDFTTGGDLLSSSVQHASMRTGGLEEAVSTKSCACSFCLKAAFMLADLLYQDARSRLSVSKKSIKFARSLEAKKSKGNEYAANVAGYDPKQAVGLEFELSQQQRSLFLYTENALVRESTQL >KQL28639 pep chromosome:Setaria_italica_v2.0:I:7165759:7166287:1 gene:SETIT_018962mg transcript:KQL28639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSPPPAAGSPALHSITHLLSLAGDGDPRSRVGVHQPSGTEHASSKFKGIPLSRLNQPSGTGRR >KQL30012 pep chromosome:Setaria_italica_v2.0:I:27465523:27467583:1 gene:SETIT_017333mg transcript:KQL30012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDGSWRRRDGCLNLESHLRSLASVGVNATRDLSTVPVAIISLAHSSRSQPYRQSRAGAAKAKAQQRAGTTAGTARRGAVTTRARESERERAMEDDDDFTFPTVVAAAPAPAPTEGQLGGPRPVAQLLHHQLPVPDLAAASTLWPFAGSPKATAAPPSSPAHVAADEEEEARVSGSSRREEKQRAEAEAEAEEEEVRRAAADEERMDLLWEGATAAPATQPTGSGAVHAEPPPKPKPKPNPRGAWHAEPPPKPKPKPNPRRAADSDDDDKKRAAAVADAERMDKLWESFNEELLLLRRHARSKSAAAAGHPAGGLGPAADRWYLCNYPPSDAESEETAASSPAERRGYGCAPTMLRASSRAGGAGQFCGGSSSSSPRGGRGAAAGWALLLRLFRRLFAVDKAPAPAPSRSHGSIYVP >KQL29361 pep chromosome:Setaria_italica_v2.0:I:14321915:14326939:1 gene:SETIT_019898mg transcript:KQL29361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDGTLTTGQSLLNGQTLVSAQKTFVLGFFPNGEMTYLGIWYNYMKPKSIVWVANRDSPITGGSGSLILTATSLDLLDRQGTKLWTSGTFSVNSPQAFLLDSGNLVVNDTTSGIIWQSFEKPCDSQLPGLRIGYDTFTNHNWYLRSWKSSLDPSSGDYYLKLDPNRLPDVLLFQGTQLKYRMGTWNGQGFSGVPALKANNQLAFNMTASDGSAYYSPDGLAHRWHSNQSSDWVEYWHLPQDQCDYYAYCGPNAVCYNGDCKCLQEFIPQSPSDWNQRNFNGGCVRNVVLSCSPHNGFAHMSHVKVPDTLNATMVPGKGWDDFSKLCLGNCSCSAYTVFGDNDCVIWSGELVDIVQLAEGINDLYTRVSHSDSSHTDRNIAIIVSVSVIGLVLVISALLGFCYYRSQQKHLPLAHEVFGTEHEKVPELKLTAPLEKNLDLDAIRVATDNFAEENSIVSSRYRTVYKGTLPNFGDLAAKRLNTAGGLEELKNEVKMLARLDHPNIIRMLGSCIGSNDKVICYEYMSAGSLDTVLFVEHERSGVPDWPSRFRIMQGICEGLLYLHEHCRIVHRDVDPSNILLSGGFIPKLSGFGLATLLDQGESEGKAENFRRTPGYSAPELLYGKYSMQSDVYSFGVVLLEIVTGCKAASFCREDTDDLPRYVRQHWTQGTADQLKDQRMGDAPRGEVERCIHIGVRCVQDDPTETYH >KQL29455 pep chromosome:Setaria_italica_v2.0:I:16351296:16353149:1 gene:SETIT_020156mg transcript:KQL29455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQPVTGPCVSDGWRARVAAFLGREVEEQGTRTSGVLISWLREHFGHCPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWVHCLTDWHQAGFYSWGSAVLCFLYRQLCEACRRTSGSPSVGGCVYLLQLWMWSRIPVGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSPYDGDDPLPFPLSFMCATDDDIYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSIELHNVDRKKKRKVSEWASFHHTYIQEWELFEENVDENNEPHTNSAYRQYQSWYQGATRHRLREAWTQDDYAEIQSSDDEDTVYDQSTRAGRQVEAGPILDRMGRTLQTSVRDIEHIRPRVRDPEVQSVLERLSNRLRRAAARCGCKTATTRDVHVPSLRVPGVGTSSQGPSGSKSIASEEVNDDDDDDDDEQRAEEIGPSQLQEAPLTQPT >KQL29978 pep chromosome:Setaria_italica_v2.0:I:27226374:27229211:-1 gene:SETIT_016477mg transcript:KQL29978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVESAAAGADQAGERAVVPHEDGVGGGGGDVATTEAQVAMVVSTSGDERRVEYGDDAENEGEEAATVQGSKEGTEELLRKVVYSEEAAYKLYCDYGHRMGFSIRKGKQSYFTGTKRIRTKDYFCSKEGLKEGEKLTDANFNDPHTRTNCRAMVRFRVNDHGEWKVIRLVSDHNHNLARPEERHLLRSARSLIAGRSSSVEAMLYAGYQVQGGAPQLPAGSTSATNNVDNSKQHLLLGYGAAVKTLTVGTGDLQSLVSYLKTRANEDAMFYWDVQLDQSGRMTNFFWRDGRSRIDYDCFGDVIVFDSTYRLSKQNLICAPFVGVNHHWQTTMYGCALLADESMSAFVWLFKSFLESMGNRHPQSIFTNLDQVVSKAIEEVFPNTCHRIAHWHIQKNAHSRLGYLNVSKGFNKMFTKCIQGCDSETEFEETWAQMIHEFKLQDNKWLKKLYKLKQKWCSALNKGNFDGGVEYEPQCDTMSSIFNSVADKLTSLSAIAVTVDKQSEDWREKELEEDTRCLQKPPACIIKHSDILNHAAKVYTHRIYKLFETDFLDGCGATKFKELPCEDNNTHQFEMTMQGRGSRVCTVRLNMSTMELSCSCSKFETMGLLCPHALKALSIKNICKIPESYILKRWTKDAKKWVFNPKQYESSYQECMDDDAAYCNYVMRYAYDLVTKSQGQEELRKALWETLESGEKELEKHLGNGTQYAAYAT >KQL31151 pep chromosome:Setaria_italica_v2.0:I:35924541:35928748:-1 gene:SETIT_017078mg transcript:KQL31151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFVYVILGGGVAAGYAALEFARRGGYSRGELCIISEEAAAPYERPALSKGYLLPEGASRLPGFHTCVGANDELLTTKWYEEQGIELVLGTKVISADVRRKTLLTGTGETISYKTLIIATGARALKLQEFGIQGSDASNICYLRNVDDADKLVNAMKSCPGGNAVVIGGGYIGMECAAALVTNKIRVTMVFPEKHCMGRLFTPKIAEYYENYYTSKGVTFVKGTVLTSFEKDTTGKVTAVILKDGRHLPADMVVIGIGIRANTSLFEGQLVMSMENGGIKVNGQLQTSDSSVYAVGDVAAFPIKLFDGDIRRLEHVDSARRTARHAVASILEASKARDIDYLPFFYSRVFTLSWQFYGDNVGEVVHFGDFTSSSPRFGAYWVNKGRIAGAFLEGGSRDEYEAISVTVRRKAKVVNMAELGKQGLAFAIQESQTEAPDSGLAVVGKPTYAWHATAGVVAAVSIAAIGYWYGRKRRRW >KQL28816 pep chromosome:Setaria_italica_v2.0:I:8270220:8271686:1 gene:SETIT_018102mg transcript:KQL28816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAVGIAGGALALLLPQQRGRRGGGCAVSFRRAGASVTVRASYEAGVGVMATKVGMMTYFDPETGKPVPVTVVGFRDGGNVVTQVKTAATDGYDAVQVGYHGVRDDKLTRPELGHLGKAGAPPLRHLQEFRLTTVDAFEPGQELDFAELFKEGDLVDVSGNSIGKGFQGGIKRHNFKRGLMTHGSKSHRQLGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLRVVMIKGAVPGKPGNLLRIAPAKIVGKNIPKN >KQL29443 pep chromosome:Setaria_italica_v2.0:I:16103557:16104576:1 gene:SETIT_020144mg transcript:KQL29443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATGEWQRGPVIGHGASATVSIATDRRTGGVFAVKSVELARAGVLQREQSVLSALSSPYVVSCLGADVSVAADGSGRMCYDLFLEYAPGGSLADEIKRRGGRCEEAVIRSRAADVLRGLAYVHGSGVTHCDVKGRNVLLRADGRAMLADFGCARLMANEDGNAGVGAGGMIVRGTPMFMSPEAARGEAQGAAADIWALGCTVIEMATGGAPWQQRFADPVAALHHIAHSGDVPQAPAWLSDEAKDFLSRCLIMDPAKRWSAEQLLQHQFVASSSAPIDPASVKAAPIELRVSPKSVLDQAFWEDSDSDTTVSLTPADRVRALAYDVAADWTWSGEHWIT >KQL29040 pep chromosome:Setaria_italica_v2.0:I:9870838:9874752:-1 gene:SETIT_016244mg transcript:KQL29040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVFLMLLLLSLFLFPNGIHNSLAARPSVVSIGSILRFNSTTGGVSAVAIRAALEDINSDPTVLNGTMLRVDMRDTNCDDGFLGMVEALQFMETDVIAIIGPQCSTIAHIISYVANELQVPLMSFASDATLSSIQFPFFVRTMPSDLYEMAAVAAVVDYYQWKIVTAIYIDDDYGRNGIAALDDELTARRCKISYKVGFPSNARRSELLHLLVTVSNMESRVIILHTGADPGLKLLSLANGLNMMGNGYVWIATDWLSSYLDANSSVPAETINAMQGVLTVRPHIPESKMKSNLMSKWRSLSKKYNHSNLRLSAYGFYVYDSVWAVARALDAFFDDGGRISFTNDSRLHDETGGSLHLEAMSVFDMGKRLLGKIRQVNFTGASGQVQFNAQGELIHPAYDIISIIGNGVRTIGFWSNYTRLLSTVLPEDLYSKPPNTSLANQHLYDVIWPGETAHKPRGWVFPSNAKELIIGVPNRHSFKAFVTLDNATGKMTGYCIDVFTQALSLLPYPVTYRFEPFGSGNENPHYNQLIQKVVDNEFDAAIGDIAITMSRTQTLDFTQPFIESGLVILAPVKKHITNSWAFLQPFTLGMWCVTGLSFLVVGVVIWVLEHRINDEFRGSPRQQLITIVWFSFSTLFFAHRENTMSTLGRGVLIIWLFVVLIIQSSYTASLTSILTVQQLDTSIRGLDDLKNSDYPIGFQVGSFAEEYMVKELNISRSRLKALGSPDEYAENLKQGPKRGGVMAIVDERPYVELFLSTYCKIAVAGSDFTSRGWGFAFPRDSPLQVDLSTAILTLSENGELQRIHDKWLRTSDCSADNTEFVDSNQLRLESFMGLFLICGAACVLALLIYFGIMLRRYLRHEPPESISAEGGSSKSKRSLKRFFSFVDDREPPKQKRSLCLSGSSMPTTPTSNVDIERPVRPIRNGGVVHIES >KQL30142 pep chromosome:Setaria_italica_v2.0:I:28361621:28364706:-1 gene:SETIT_017455mg transcript:KQL30142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTTSTQVAGAAGSRSRSIASRGSTTPPPLTMPGREPSDALVGGGAGGADGDPSLALLRLAALGDRMAAVRGRIAASISGEARPLSYADIQSVSSEISYTAQLVVLNAASLLASSVPFPAPTPPPTAAAPSPAPIREIPAAAAASAQEQPLEAAKGDGGYDVVELDAAELLAEHVHFCEICGKGFRRDANLRMHMRAHGDRFKTLDALSRPGHGKPKPPAGDREVRFSCPFAGCNRNRAHRRFRPLKSAVCARNHFRRSHCPKLYACERCDGKKRFAVLADLRSHLRHCGEEAQWRCSCGTTFSRKDKLFGHLALFEGHTPAVTEPNKDVAAGPTEPVLDAMEEGGIEEGNCDREEDEEGGYDPEFFTEWMEELRDGASGSNWAGPAAAGH >KQL31769 pep chromosome:Setaria_italica_v2.0:I:39676307:39679031:1 gene:SETIT_019140mg transcript:KQL31769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIALESGRALGGGPRFGRVARCGYAASPPASAGRGGGSSSVGRNSDSPAAAAQWEWDGEEVEGGDGEVQSSYKGSPFDTMDALQEALPFRKGVCKFYNGKSGKGSFAKLQDAVILSPPLKDLPKPETPSPRKRKGLLPFSFKWGKPQNKEVFPEDDAVDSPTNCRRLTISPAAASSSGSNSGSDDEHYRSQKPSSRRPHRRPSNAMDVFASPPAPRPPQLFSAHMRSQSMLDLQDVTDSTATVTPRDKRMKN >KQL32237 pep chromosome:Setaria_italica_v2.0:I:41895947:41896458:1 gene:SETIT_019008mg transcript:KQL32237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQNNLQQLTAYISQGKSAYDKNQDMQVAIKMCSIQAINKMKTRWCRSIYLATHGN >KQL29250 pep chromosome:Setaria_italica_v2.0:I:12067560:12070530:-1 gene:SETIT_018432mg transcript:KQL29250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILNSDDHANYLRKQNRNPADYRPDIIHQALLAIFDSPLTKAGRLQAVYVRTEKGVLFEIKPYVRMPRTFKRFCGLMSQLLQKLSITAVGKREKLLNVVKNPVTRYLPVGARKVGLSFSAEKSVNLFDYVAKSSDDEPLVFVVGAMAHGKIDKEYTDDYIQICNYPLSAACCLNRICSALEQKWNIQ >KQL32257 pep chromosome:Setaria_italica_v2.0:I:41951300:41955189:-1 gene:SETIT_016529mg transcript:KQL32257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPCFPRGRSRGPCPWLFFLFLLLLHLSPLAASAADADALLTLKSSLDRSDRLPWRPDTAPAFCSAWPGVRQCDRAARVTKLVLEGLNLTGSLTAALLAPLAELRVLSLKSNALTGPIPDALPRALPNLKLLYLADNRFQGRVPASLALLHRATVIVLSGNRLTGQIPRSLAALPRLTSLLLDRNLLTGAVPPLAQPTLRALNVSANRLSGEIPPALAGRFNASSFLPNAGLCGPPLGARCLPAPGPAPFTAATAAFAPLPPPRTRSRRGKNAAVVAGATVAGVVVLGILVAAALMASRRGRNKRVAGDVDKGGGAVAEEQQQQEEEEVAAAAPAVATAAVGREFSWEREGIGKLVFCGGVAEMYSLEELLRASAETLGRGEVGSTYKAVMETGFIVTVKRMRDPSAGGVGAPEFGRRAEELGRVRHPNAVALRAYFQAKEERLLVYDYYPNGSLFSLVHGSRPSSNKGKPLHWTSCMKIAEDVAAGLVHLHQSGIVHGNLKPSNVLLGPDFESCLTDYGLVPALLPSNAELHSLFYRGPEVRAAHPSPSAAAFTPASDVYSFGVLLLELLTGRTPFQDLMELHGDDIPSWVRAVREEERDAESGGGESVSAGGAEEKLTALIGIAAACVAADPGRRPSTAEVLRMVREARAEAMSSSNSSDRSPARWSDAMLAVPRDQSFTTDRD >KQL31399 pep chromosome:Setaria_italica_v2.0:I:37278340:37279005:1 gene:SETIT_019530mg transcript:KQL31399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SCCSIHPSILLSSSKQPPTRRPTSPASMAVLVARQGRELQRYSQRTGGRIVVGCIPYRVRRDDGELEVLVITSQKGHGMMFPKGGWEEDESMDEAARREALEEAGVLGDTEPMLGFWHYQSRRYADQTYEGFMFPLRVADELHQWPEMASRKRTWATVNQVMDGCPHWWMREALEKLVARHAKLQSAL >KQL30634 pep chromosome:Setaria_italica_v2.0:I:32309522:32311051:1 gene:SETIT_018805mg transcript:KQL30634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASRGTGAVLSRAARMRQKLQSALEASALEIEDVSYQHAGHAAVKDNANETHFNIKVVSPKFEGQNLVKRHRMVYNLLSDELNSGLHAISIVAKTPKEFGS >KQL30633 pep chromosome:Setaria_italica_v2.0:I:32309522:32311051:1 gene:SETIT_018805mg transcript:KQL30633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASRGTGAVLSRAARMRQKLQSALEASALEIEDVSYQHAGHAAVKDNANETHFNIKVVSPKFEGQNLVKRHRMVYNLLSDELNSGLHAISIVAKTPKEFGS >KQL27902 pep chromosome:Setaria_italica_v2.0:I:1525264:1525812:1 gene:SETIT_019592mg transcript:KQL27902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEPADTCYRWTRDFAVAHAMFGTGLITLPVAVLHLAKRPHTGGAAFFAVFAVFFAAVSLTLCCRFYAELKRPPWPRWLSAASVSAGSGDETTTTSGAVLSHDLRHPGQPVMTRVESYEHCGGSAADCAVCLGEVEKGETVRRLPACGHVFQTECIDVWLSTHATCPVCRRSVTLALERPRE >KQL31133 pep chromosome:Setaria_italica_v2.0:I:35826929:35831114:-1 gene:SETIT_016431mg transcript:KQL31133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAESNSRHNRHSRNDSSIKHKSGYEPSDTETEWHESPWNDGVLTSERTRLPKDPGRNGQVGIRRQNISPNRARDYNVEKTSNLRYSRTPPRVTEQRRQPSSHVSGKNESRKKNSRTPPRFRSSMESFSKSSIKARFSRNRSVSTPKLRPQEKELPARAPAFPGTNSVSTYPAKEAVDNIEEDSHAENCSREINSENCSREINELIANGKLPNSRYNEYAFTSTESIPTGDIFFSRDCRAPLEKTSTKHSNIDESLTMHPNAHAENGGVTQENSSNLGQLSQFVSAQTGLSRTTTKSSYATGRHSQISSTTTLSSPYSGGRLSGESGKFSDFTGKLVGGVVKFTSSKVQNDAWLPCMTGKACRKPRTPNNKTKDESESSFIQKALVVEKIRLLWADKHRPRNLNGFTCHREQVQQLKQLVSTEFCPHIIFKGPPGSGKRSLCRAVLTEIFGDSSLNVSHYLKSCNGQGSSSVPILVPVSSSNHHVELNMRSQSKNARYALMTLANEMSDKRKITEPVLRKNFKVIVLYDVDKVSENNQRLIKWILDSSSDACKIIMTCQDESNLIDSIKSRCKLITIGVPSTREIVDVLTYISKRESFDLPSSFATTIASQSRQNLREAILALEACKANNYPFIDGQAIPLGWEEVLEELSAEILDDPAPKRLFLARGKLQKLLVEFVPPKLILQKLVELFLKGIQTSVKREVYYWHAYYDKRLPVGASALLKLEEFVAKFMSIHRKSLSVSSQ >KQL31022 pep chromosome:Setaria_italica_v2.0:I:35107369:35110036:-1 gene:SETIT_017318mg transcript:KQL31022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVRCRTANGIADGGLVSRHVRLATGPDHESGSRAHDPRPGHELGHALAKWGSMIHPSSSRFQNVWHLVQKRCPSLYGSECMDARLRMHLILSFDPLGRGGLMDAKGDTHYRVTPPPTLVYLPPVFQNLSSFPPSVYKDLHTRSPGSVLRREGFVSLSLLPSTRIRPPRFAGDEMAIDHATPLGLKSRYAMGGDECDDDAENQRWPPWLKPLLSTSFFVQCRVHADAHKSECNMYCLDCMNGALCSLCLGHHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCDVCERSLLDCFRFCSLGCKIVGTARGYRPKKKHGGGDSKKKKRAALKDVRCDSEDSCTGSSGGSSDKSSVVQCFSPSTPPPTSASCRPWNKRRKGVPHRSPFGSLIVEF >KQL29287 pep chromosome:Setaria_italica_v2.0:I:12782071:12782673:1 gene:SETIT_018895mg transcript:KQL29287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSLRMAAPVLFVVLLLVATEAVTARVVSEERHCLSQSHTFKGLCFSSENCASVCKSEKFPGGQCQMHGASRKCFCKVVC >KQL28155 pep chromosome:Setaria_italica_v2.0:I:3871456:3877908:-1 gene:SETIT_016231mg transcript:KQL28155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRLEQTNALFRKNLVIQRRACKTNCCLVLFPLLLCSVIGGLQIAIDRSSSSSPEPPTHFDCGCSNVSVDGNAFGGLVCPSECPLPRAPRWPPVLQIPPPEYRAAGDGLFPFTDLPDASCRARGTCPSTFLVTGGNQSFVRNVMDNMFFPVHDASVNLSAGVSALSDFVLATDGHSEQSFLQNKCIPNLTLSYPTQYGNEIANQDVRCTEGLMLWRDSSWLINDELYRGYYQGNDKNMTDEIAAAYDFLSSDQGNFNLIISYNASNDYDVYYGAEDPVPLLNQGGSVQKPHSVQVTRLANMASNAYLHLRGNGLRMSFDFVKGMPRVAIHYLDRFDISPFVGKLPFVWTMELLFPVILTNMVYERQKKLRIMMKMHGLGDLPYWIISYCYFLLLSLLYVLSFMLFGSVLGLSFFRKNSYGVQFVFYFAYMNLQISFAFLMATYFSSVRTATGIIHTDYLASLHAVTGYLYIVVSGLLAEVLFRSYVEDVSLSRSWIRLMELLPAFSLYRIIYEFSQSLRVENYMTSSGIQWIDMSDPKNGLAGVLTIMILEWFLFLLSAFYLDHFGSLRNGTRKAAVLVRTCIDGNRFQAVQQQNTQLQEFRASVEMERTDVIKEREVVEQLLQESSSGYSVICDNLKKVYRGQDGNADKIAVRGISLSMSRGQCLGVLGPNGAGKTTLINMLTGFSKPTSGTAYIEGMDIRLDMDKIYTGIGVCPQDDLLWENLTGREHLMFYGRLKKLKGTSLAEAIEQSLRSVRLFAGGVADKLVGKYSGGMKRRLSVAISLVGDPKVVYMDEPSSGLDPASRKDLWKAVKSAKQERSIILTTHSMEEAEVLCDRIGIIANGSLQCIGSSKELKDRYGGSCVLTVTTPAGKEEEVERLVRSFLPAANRVYCVSGTQKFEMLKEGLKISEVFRAMEDAKSRLNILAWGLADTTLEDVFVRVAKDSDMSTVT >KQL31765 pep chromosome:Setaria_italica_v2.0:I:39656433:39660196:1 gene:SETIT_018340mg transcript:KQL31765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVVDAPAVVAEEVTENMLGGKKVTVVFVLGGPGSGKGTQCANIVEHFGFTHLSAGDLLRAEIKSGSENGTMIENMIKEGKIVPSEVTIKLLQQAMIKSENDKFLIDGFPRNEENRAAFENVTKISPAFVLFFDCSEEEMERRLLGRNQGRVDDNIETIKKRFKTFVESSLPVIEHYNSKDKVKKIDAAKPIPEVFEDVKAIFAPYAPKAE >KQL27914 pep chromosome:Setaria_italica_v2.0:I:1637041:1641284:1 gene:SETIT_018773mg transcript:KQL27914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGTCLTDTLDELVSSGAVSPELAIQVLVQFDKSMTDALDSQVKSKVNIKGHLHTYRFCDNVWTFILTDATFKSEEISETLSKVKIVACDSKLLQPPQP >KQL28436 pep chromosome:Setaria_italica_v2.0:I:5871320:5872594:-1 gene:SETIT_017616mg transcript:KQL28436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGAGDIGEAEAAATKGKRTIHDYLGEGEDGEAASQPSPETPPRLRLPRFTCASIRFGGLGRRKRSGGRGRKETAAAAEKSEEGASSADSSSGAAERSAGSVAAAAQTGMGLSMLLLLARTCVELNRMAEVRAQMEALLKEIRDEAGRVKGAAADHAAVMPKTCNNNLQSSSTTTASSSCVSDTSTNCLEIGRGEDGKRTSEEVDRCAGTDALGAELEAEPVQRQPPSLEWKCDTVQETPECSMQSPSDDDDGFIELEGGRFGGGGGGGGNPDDSDNGSSSSRERDEGGVSAIELERRLHELRHRRDRERIAALESALRRAERRLTEKEMEARLWQDTAALALGRPAAPRHDGQGQ >KQL28795 pep chromosome:Setaria_italica_v2.0:I:8139309:8145632:1 gene:SETIT_016599mg transcript:KQL28795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGQRAGDKSDSRYCGVEVLDFPAGEGLPAVLNHSLASAFDFILAPLVDPNYRPTPGAVLPVSASDLVLGPAQWSNHVVGKISEWIDLDAEDERLRLDSELTLKQEIAWASHLSLQACVLPAPRRPSCANYARAVNQILQGLTNMHLWLRLPLEKSEPMDDDLDKVKNNSQMSEKVDSWELWNSFRLLCDHSSQLCVALDILSTLPSINSLGRWFGEPVRAAILQTNAFLTNAKGYPCLSKRHQRLLTAFFNHSVQVIISGRSNHNVSPVSEGVLSGDENYIEEAPVRHALSPYLDYVAYLYRRMDPLPEQERFEINYRDFLQSPLQPLMDNLEAQTYETFEKDVVKYSQYQRAVAKALVDRVPDDAVSTTRTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAVITLHSLIKLEGWESLVTVISSDMRCWNAPEKADILVSELLGSFGDNELSPECLDGAQRFLKPDGISIPSSYTSFIQPITASKLHNDIKAHKDIAHFETAYVVKLHRIANLAPPQQVFTFTHPNFSPDANNRRYTKLQFEMLPDTGSCLVHGFAGYFDSVLYKDVHLGIEPNTATPNMFSWFPIFFPLRKPIYVPDGSPIEVHFWRCCAPTKVWYEWAVTTPSPSPIHNSNGRSYWVGL >KQL28828 pep chromosome:Setaria_italica_v2.0:I:8347324:8350983:1 gene:SETIT_017741mg transcript:KQL28828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKPSRALVLYAAGHAALLTPPAGSAAAGSSLDALASRASCGFLTLRSPPASPPTTGTEEKSSTILELAQLLDVYDHLYPGKNAETDQEIAQVDRQELAVPKLSERFTGLRAAMVTNCPRVSSFAANLGFHVFQTNDFAALSGSSSVTKEVGVVNRAFGLLGFSDGNVQEASEFDLVFMHVAMENTSSKLGKLGMKTDLNRLEKLVAAVMEAAPIGSAISSRIHVSVILSYGSSSGNKDGFSISTSLPEADSDLNLLRPHQSYTMKAGHTLDDVRLHHPILLAQWQEGVTRVDLAKGFSFEEFMKHGGNLAMLAERFLHEVAFKLWKAPKYGA >KQL29854 pep chromosome:Setaria_italica_v2.0:I:25527682:25530395:-1 gene:SETIT_017532mg transcript:KQL29854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVDDAGGFIPSLNHSPWDGLTIADFVMPFFLFIVGVALTLAYKRVPDKLEATKKAAFRALKLFCLGLVLQGGFFHGVHSLSFGVDLAKIRLMGILQRIAIAYLLAAICEIWLKGDDDVDSGLDLLRRYRYQLFVGLVLSFTYTVLLYGIYVPDWDYQISGPGSIEKSFSVKCGVRGDTGPACNAVGMVDRTILGIDHLYRRPVYARTKECSINYPENGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQFGHIIIHFEKHRGRITNWLIPSFSMLAVAFLMDFVGMRMNKPLYTISYTLATAGAAGLLFAGIYTLVDVYGFRRPTITMEWMGKHALMIYVLVACNILPMFIRGFYWRDPNNSLLKFIGIGA >KQL30319 pep chromosome:Setaria_italica_v2.0:I:29910101:29911222:1 gene:SETIT_0172481mg transcript:KQL30319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFFNPEIYNNDFTTPLQVVIDKCIQSSPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDARVRASNTRLISGDPKAQPIEVNVVSHPIQRYAVWFGGSVLASTAEFYEACHTKAEYEEYGASICRTNPVFKGMY >KQL28502 pep chromosome:Setaria_italica_v2.0:I:6316225:6316774:-1 gene:SETIT_018990mg transcript:KQL28502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLGHQCQLHIRWWCEATGHGKRVTGIGFERVSCAKATSVGHAGDLLPPRFVLVEAVE >KQL31277 pep chromosome:Setaria_italica_v2.0:I:36643514:36647959:-1 gene:SETIT_017756mg transcript:KQL31277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTANRYQHIKSTKPVIGKARKLKDLMIKSDNRICADCGAPDPKWASANIGVFLCLKCGDVHRALGPDISKVLSVTLDDWADSDIDSMLEVGGNSYANSIYEAFLPKDHPKPKPDSTMEYRTKFIRAKYETQDFLKPSLRISSRSSFKSTTSTKSVDSSFSSSSRKDFSEDTREFVGELNITVVKGTNLAVRDMLTSDPYVVLSLGAQKAQTTVKTSDLNPVWNEVLKISVPRNYGPLKLEVYDHDTFSADDIMGEAEIDLQPMITAAMAFGDTSRLGDMQIGRWFMTKDNALLKDSTVNVVSGKVKQEVHLKLQNVESGELELELEWVPI >KQL31999 pep chromosome:Setaria_italica_v2.0:I:40819752:40821413:-1 gene:SETIT_019440mg transcript:KQL31999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLLQSWEAMQDDNASPALVSPTDAQPADEAAPTAQQGTVDVGDSAISLSMEAGQPSPSSSMDGNAAVPSLLAPPPLPAHRDRVQQGPSADKPVEALASASPPPPPPPPPPSVEQEGPPAKVSRAPPPPPPGNISAALRAKRAAGKLKRSAQMGTLYRHLRDRVEGSCAHGGKAQARKKPRTPGGPKGDAGQGMADALAEMTKRSGGSAYFRQIEEDAETHAATILELKDAISSFQSKDMGELARFLEHVEQQLKLDSLRMAAALYSKLDGTVSKLKGWKLAAPVSKQLDRVEGYFNKIKDDVDVMERNKEEEMNRFQSHGIHLDFGVLVWIKECMESQDAKATSARSPPGPGAPQAAAAPPLRMLWRVFQLAFRVYNFAGGQDERADRLTAILAREIEAHPL >KQL28208 pep chromosome:Setaria_italica_v2.0:I:4308247:4308750:1 gene:SETIT_019895mg transcript:KQL28208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAGHRFASFREEGKEDMAGLQRSAQTFRRSGSSGLVWDERFLTEGAEDGGGGAPLGSEPRRPELRHSRSVGVLRRGEAGAEHGDKKRTRLVVAAKPKQKDQQRKQQQQEEKMVPGAGRKAFRSRDVAPAAEPPSPRVPAGCCAPCAIFRGSGGASSLLARRAKPRKR >KQL29953 pep chromosome:Setaria_italica_v2.0:I:26928504:26929481:1 gene:SETIT_018519mg transcript:KQL29953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACATKPGDLKVKGEAPLVVEDAAAVPVVVAGEEKAKADGVVPAAAEADPADASRRRSLSDLLKQDAATSDGEADQEAGKVVAVEPEGDDAGATTVAQAPVQASAATEQQDDAAGELKDDPHGDVQAEDEEEKRVDPDSVQVVVPPPAADAAAPSAEGSKVADDASA >KQL30445 pep chromosome:Setaria_italica_v2.0:I:30597788:30603387:-1 gene:SETIT_019056mg transcript:KQL30445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCIICKQKMFFWRCGRCTVAAHTKCAPWPVIHLKDDRGSAICWRHPSDWLLQNENAESTSSIEEVFCRLPLPYVNKNFSDSTIRNFADAVYKPPPYTAIKRNIYLIKKKRTGVRVDTGCTDCRAYSTCKEDCECRALSLSCSKNCRCSGLCTNKPFCKDKKIKIVKTHQCGWGAVALEPLEKGDFIVEYVGEVIDDTTCEQRLRDLEKRGDKNFYMCEISKDFTIDATFKGNVSRFLNHSCEPNCKLEKWQVSGETRVGFFASRAIKIGEPLTYEYRKSS >KQL30601 pep chromosome:Setaria_italica_v2.0:I:32069094:32072072:-1 gene:SETIT_0198811mg transcript:KQL30601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGVLVGMCAVLACLAAVPRALATAVHGDASSNGILHIPSNGSLTSCPTHCGDVEISYPFGIGSGCFRQGFELTCNQTARPPRLFLRNSTTQITSIDVGSNMASASTVGFNVTMGQGVDTYMSWGTPDGGAVISEINDALYVVGCGLEVYMFGNNWTDLIGSCMSICADDRTTERANVFGSCRDGIGCCNIYLTRDLPAFMINIVRRNGTRAQLNDVKVLLPQYYSFVLGDLYSSWVNMSNVEDTIIQIAITDQPNCERARVNKDSYACNDESNCQDLRRGYSCSCLNYGQGNPYIVNGCKAYDSTPKENCTRSCGNISIPFPFGIEEGCYANDNFRLNCTSDGTVLDRRYAQYRVTRISLDDGSLAVSNMLNDTSYNNMERIVNSNYDGTNGFRYSYYMPSVVDGIYDFSQEDEIVIKWVVANLTCQQAKQSKEMYYACISDNSNCQDVRRGKTNYGYLCKCNDGFHGNPYLQNNCTEFDWTKKRCVTSTKQRNLILGIAIGISCGIGSIIIALGVIVLANKWKRGIQKRIRRAHFKKNQGLLLEQLISDESTTSKTKIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQIEIDQFINEVVILSQIIHRNVVKLFGCCLEDEVPMLVY >KQL30281 pep chromosome:Setaria_italica_v2.0:I:29605025:29605499:1 gene:SETIT_020628mg transcript:KQL30281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVMLQVWIILYGDSDRLTAHDRAQFSTLMYNEALCWPQI >KQL28395 pep chromosome:Setaria_italica_v2.0:I:5561485:5563553:-1 gene:SETIT_018455mg transcript:KQL28395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSDSDSAPEELTAVQGVEKHEKISKVEKDSAIRVTREGKERRKRWAQRRTSSKPDNPAPEEIEDQGTNQREENEETHTMPGMLPSSVIEMLAAREKQTFSSDSEEENVKQKVQKKKKRTETSGPETILLKDVRSTQHVKNALDFLEQRKNQVPRSNAVLKNANKALRLLSSKGNFLS >KQL29360 pep chromosome:Setaria_italica_v2.0:I:14280289:14281101:-1 gene:SETIT_020473mg transcript:KQL29360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGQKRGYLPLLLWSENLELSMRRIKMVKLRLKWFCIMKKLLKVP >KQL30408 pep chromosome:Setaria_italica_v2.0:I:30346300:30347661:1 gene:SETIT_019312mg transcript:KQL30408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPWALRLLRSCLFFSGRKRTKETIQQVNLGGEDDIIDDGDGVSVSLPEDIIFDVLARLPVKALCRFRCVSKGWRALISDPAFAAAQQSRAAASAPIVVGVFGKPRRWTKFYPPRPPLYPEESVELRVIDTADGTVLRVVKDVKSTKLMRTRLDLVFVDQGEHGARVIDPATGQVLKFNRELPDRYPTANYNRTSNIFNIYSRSCHCSFGRATSSGTYKVLRLYDTVLWNGDVIQICQVATLCDGMAAEPMWRQRPEPPILTCHCSNCTAVVDGVLHFMDRGRRPADTTTHGRRPGSPGWNRIASFDLESEEWKMVIDGPVTECPKEEKWDMVLAEFKGNLSVVQTVAFYNCRDREPYTNIWLLVDPEKSIWVKKHTIHMPKSCCHFKALAILGDGRVLTLNNFEKDDDDEAFLDSQCILQFYNPSTGALTDVMEMGVDFRGSMTIYTGSLL >KQL27788 pep chromosome:Setaria_italica_v2.0:I:684363:687558:1 gene:SETIT_018264mg transcript:KQL27788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRTYYQQFEEQQTQSLIDQRIKEHLGQAAAFQVGAPFNQHLLSFPGAMARPRLPILPTPGMPHGFPQAPGAPLMPGVRPPILPAPGVPGYPGGPPTMPQPGAPPTMPPPGAPPGSMPQPGAPPGSVPMQIAPLPRPPTLPPPTSGVPGAPIPNNAAPPSMFQTNPPPPAGPTSGAPPAPPSAPQPAFSYAQPSEGNH >KQL29850 pep chromosome:Setaria_italica_v2.0:I:25502370:25503958:-1 gene:SETIT_018740mg transcript:KQL29850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNYVDTTGEEGRFHGPHHHSASTTPTGAAASSPRTMRRSFSSASSGGHSHGGGKCVCAPPTHAGSFKCRLHRTNSQGHGHPHPSPPTSPAGGASSGAAPAQGVPPSASSRTVEAQ >KQL29851 pep chromosome:Setaria_italica_v2.0:I:25502370:25504060:-1 gene:SETIT_018740mg transcript:KQL29851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNYVDTTGEEGRFHGPHHHSASTTPTGAAASSPRTMRRSFSSASSGGHSHGGGKCVCAPPTHAGSFKCRLHRTNSQGHGHPHPSPPTSPAGGASSGAAPAQGVPPSASSRTVEAQ >KQL27896 pep chromosome:Setaria_italica_v2.0:I:1478566:1479160:1 gene:SETIT_019776mg transcript:KQL27896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTSSAAAVNDDIECRACYGVVVSCVSLLVFCVVAATAGVLKAGAATCFAMVFLGVIGWFLPSGARTRMLLRARGARRDGDAAGASAGCACQRVGVAATDVPPAFTYECHDDVGNGGKPGGSALCAVCLEDVQCGEAVRRLPACEHLFHKECVDMWLRSQTTCPLCRRDVACAEKTVTAGAAQSSRDVLPPV >KQL28388 pep chromosome:Setaria_italica_v2.0:I:5515835:5519327:1 gene:SETIT_020031mg transcript:KQL28388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMYTLDDIVELRPPQIWLNVQDTRPLIITHNGAGGVFAGSTDLAYQEAIKDAADIIDCSVQMSKDGVAFCMHSADLPPPQTHEKNRSSILVRDARVQHASYLAKRGLGVVESVSSALTKAGYDKETKQQVFIQSDDSSVLSAFKKFPAFKRVLNLEMEFSGASQPSLDDIKKFADGVRIHRSSVAQITGYFMTRFTDTVGSLQAANLTVFIGVLKNEFMNLGFDYFADPTVEIVTYSSAVMADGLITDYPATALQLHTSVRSPCSDMSLNLSYSILPAQPGALVHLAAPGALAPAAGPAPLLEPKDVVDPPLPPVKAVIAADAPAPKGTADNTSSAASFNAGKNSLLGAGIVALLSLSFLH >KQL32029 pep chromosome:Setaria_italica_v2.0:I:40974341:40979542:-1 gene:SETIT_016133mg transcript:KQL32029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTECIMATLNADPEPSFPPGFEPFIDLALRGIQDDAKPDDTHSSSVQVVQSTEKDVEILEPSSAHCRSGTPASTSGSHGCRKSLRNRPPIDYSLFDLISDEESEVELAEKGVRPMRRRQQLPKGVLRGCAECADCQKVVARWNPSGARRPVLEEAPVYYPSEEEFKDTLKYIESIRPVAEPYGICRIVPPSSWKPPCLLKEKNVWECSKFSTRVQKVDKLQNRKSSKKSRRGGMIKKRRKLSEPEDIGNINSNQTGMQQSQERFGFEPGPEFTLQTFKKYADSFSDQYFNKDACGDLPPSVEDIEGEYWRIVESPTEEIEVIYGADLETGTFGSGFPKSSHEVKSDVERKYAESGWNLNNLPRLQGSVLSFEGGDISGVLIPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEVAMRKHLPDLFEEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPLDWLPIGQDAVELYREQARKITISHDKLLLGAAREAIRAQWDILFLKRNTADNLRWKSMCGPDSTICKSLKARIQMELTQRKDICSPSQCRKIDAEFDSADRECAFCYYDLHLSACGCPCSPEKYTCLIHSKQLCSCDWGKRFFLFRYDVNELNILADALGGKLSAIHRWGVSHLGLSLSSCVKQEKDQDLKTLSRATDGPRRSYMSQASTVLLSPSLVCNEQKSSGNKMLNSGCSEINTACPSAEQLKSANVSPQKEPWVKNDLACTLNNGVSQLQYNGGPGGHKNSALGLPIPSGVSFSSNVATRPFSTSGDIHMRNAYSSLPVMVDHGSNMKPSLESSNNSHRLMTSSTNASLCYSYKDKMHITTETNGLVTTEKDSCQARAASSQPFVRTVSRAQIVSQEASASIFASKPPVGPSVVKNTYGGFSSGSAHFGYPNFGNPLPNDGCLQRKSESLSGLEARGHSPLLVQPALENGSPQKGPRIANVVHRFKSSVELLEIGAVVSGRLWSSSKAIFPKGFRSRVKYFSIVDPTQMAYYISEILDAGLQGPLFMVTLENCPGEVFINVSPTKCWSLVRERLNMEIRRQLSMGRANLPTLQPPGSVDGLEMFGFSSLAIVQAIEAQDVDSICTEYWRSRPHVVAGDHDSRHMPPPQGPPHIALRGLFQRASRDELRALRSLLTSNSSLDDRTRQQAAQILDEEIAKQWR >KQL31827 pep chromosome:Setaria_italica_v2.0:I:39964100:39968675:-1 gene:SETIT_018249mg transcript:KQL31827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKPKQLLIQSKTKKAPSRISYSTIVTWNLIVVLVVLSLYATYRHWHERSAQDIEMDLHQAELAVRPDDSKMTSRPGYAVMNTGKGPITIEIYKDASADVVNRFINLCKSNHFKGMPFRHIIKNFVIQGGDFDFDGAAQEWITKAKASGKNDLSPKHEAFMIGTAKNPNSKGFDLFITTAPIPDLNDKLVVFGRVIKGEDIVQEIEEVDTDEHYQPKTPIGIMNIILKQEP >KQL30443 pep chromosome:Setaria_italica_v2.0:I:30584825:30593856:-1 gene:SETIT_016710mg transcript:KQL30443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLSTVYLPPFPELSVDAAGDLADDHAGDAAAAAASIDAAPASASSPASVDGGGGPLMLPMECRWSGRVRTFDMGGADAATTCPAARRGGGGGGGGGGKKPSLAPSPGTSRAQAPARGPMLEKRVSEWVARKTAAGVPAHHCVLPFLTGAPKAVECCLCSKTIYAGEEIKCSVARCPKVFHLNCVVKGTSNFTAESFRCPQHACMVCKQKMFFWRCGRCTVAAHTKCAPWPVIHLKDNRGSAICWRHPSDWLLQNENADFTNSIEEVFRRLPLPYVNEEFNIDSTIRDFSEAVYKPPPYTSIRRNVYLIKKKRTSVHVDTGCTNCRADSTCKEDCECRGLSMSCSKNCRCSDLCTNRPFRKDKKIKIVKTEHCGWGAVALEPLEKGDFVIEYVGEVIDDAICEQRLWDIRTRGDKNFYMCEISKDFTIDATFKGNVSRFLNHSCDPNCKLEKWQVDGETRVGVFASRSVKVGDPLTYDYRFVHFGEKVKCHCQALNCQGYLGSQIKNPTQNYLAIVAEQEQLREHSPTQRRSSAPILESMAHLLPWANCTEAFNLRSKGKIIRLCWAGKRKRTSLAVSSTSMPTLVSEVPAADI >KQL31243 pep chromosome:Setaria_italica_v2.0:I:36426654:36430161:1 gene:SETIT_017472mg transcript:KQL31243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTGHSIGQDPGPRTKLPARTGKGTGQIFTCRMNVPAGRMKTSARSITPYYMEPCAAVLSPCPNPPRRVRLLPPFPPFPPRALAFWCALGICALPPVPLVLLVSATLPSSCLACYPGRTLLLSADAAIARESCARRSVTMSSAVEAISCSKVDVPAAPEPEAAAAAAVAAVKNAAAPSENGDAANGKCGEATPHCHDDEEEEEEAPKVIDLGPRVSIKDQLEKDKDDESLRRWKEQLLGSVDLNSVGETLEPDVKIMSLSILSPGRPDIFLPLPVEPNAKGVWFTLKEGSPYRLKFTFSVTNNIVSGLRYTNTVWKTGLKVDRAKEMLGTFSPQLEPYTYVTPEDTTPSGMFARGSYSARTKFLDDDRKCYLEINYTFDIRREWPSTS >KQL30273 pep chromosome:Setaria_italica_v2.0:I:29570672:29571486:-1 gene:SETIT_018825mg transcript:KQL30273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFPALMRQWPSPPLIPASTLLPVPATSHEDELLLAMAESDLEDKLNEIRKANSNLVIIGKPTGDTKEEYDAEVEDDDADNVEESDGDDFDQETG >KQL27691 pep chromosome:Setaria_italica_v2.0:I:126273:134923:-1 gene:SETIT_016079mg transcript:KQL27691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLCEMLSIGTEDSLAAFPVDAFVPILVGMLGREDEPATAGASPDVMLLAARALANLVDVLPSSCSAVVHYGAIQCFCARLLTIEYMDLAEQSLQALKKISLEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANICRKLPSDASEFVMEAVPLLTNLLNHHDSKVLEHASVCLTRIAEAFAHYPEKLDELCNHGLVAQAASLISVGNSSGQASLSTSTYTGLIRLLSICASGSLLAVKTLLLLGISGTLKDILSGSGLISGTSVAPALTRPADQMFEIVSLADDLLPHMPARIINLPTYYHAYKSSSTKKSASIKQDGAGSSSTENERSGRERLLREHPELLQQFGMDLLPTMTQVYGSSVNAPIRHKCLSIIGKLMYYSSAETIQSLLGTTNISSFLAGILAWKDPQVLIPALQIAEIMMEKLPETFSKLFVREGVVHAVESLICAESSNALPSQVPPQDKDNDSPMPSRSRRQRRRGGAVPAENSSLDESNSSNLGVTCSTTSTSEVPNTSLRFAVSDHAKSFKDKYFPTDTDSSDIGVTDDLLKLRALCTKFNTAIENAKTKAKGKSKAVSADCFDISFDVEEQLDEVISEMLGELSKVNGVSTFEFIRSGVVTSLLDYLSCGTFGKEKVSEGNLPQLRQQALRRYKSFISVALSIDHERDGTPMALLVQKLQSALSSLERFPVVLSQSSRIGIGGSRLTSGLSALAQPFKLRLSRAQGEKSLRDYSSNIVLIDPFASLAAVEDFLWPRVQRSEVASKPIVPSGNNSESGVPGTTAGASLTAATAHSGRRPTTRSKSSAASSGTSKKDAHDESTSTAKGKGKAIVKPNSDESKGPNTRNAARQKSASEKDSEMKRAHGHSSSEDEELDTSLVEIDDALMIDDDDISEDDDDDHEVLQEGSLPICSQDGVHDVKLGDADENIGSASFSQAQPSSGSIARNTSSRGPDSSEFRSASTFGSRGAMSFVAATMAGLASVGGRSVRGSRDRRGLSLGGSMSDHNKLVFTAGGKQLSKHLTVYQAIQRQLMLDEDDEERFNGSDLSNDGNRFWGDVFTITYQKADNQAEKGSQGGSTSLNSKSDSCRSISEMQGVSLLDSILQGELPCDLERTNSTYNILALLRVLDGLNQLSSRLRAQGASDDFAEGKIRTLDELYRTGAKVPSEEFVNSKLTPKLARQMQDVLALCSGSLPSWCYQMTKACPFLFPFETRRQYFYSTAFGLSRALNRLQQQQSDNHSSGGEREVRFGRLQRQKVRVSRNRILDSAAKVMEMFSSQRAVLEVEYFGEVGTGLGPTLEFYTLLSHELQSSQLGLWRSTSPYDSGLQIDRSDAINLDPEDGLSGKELNSDLPGDGRHLIQAPLGLFPRPWPPKADSSEGTRFFKVLEYFRLIGQVMAKVLQDGRLLDLPLSTAFYKLILGQELDLFDIVSFDSEFGKTLQELRVLVERKKFLESTPGENQLEVADLRFRGAAIEDLCLDFTLPGYPDYVLKEGEGSTIVNIYNLEEYISLLVDATVKSGIKRQIEAFRSGFNQVFDISSLQIFSPQELDYLICGRQEIWEPESLVDNIKFDHGYTAKSPAIVNLLEIMVEFTPEQQHAFCQFVTGAPRLPPGGLAALSPKLTIVRKHPSSVVNTSNSTGVTEPADDDLPSVMTCANYLKLPPYSTKEIMRKKLLYAILEGRGSFDLS >KQL27701 pep chromosome:Setaria_italica_v2.0:I:157892:160818:-1 gene:SETIT_016942mg transcript:KQL27701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSRAAAAWARLLSLRSHHHAGSTTLPRHHHLGSRIAPPRRHLAFSASAGGARPQIQSERAVHELLAEVERERQRERQDRRARDGDQEGKPEEEEEEEEDYLGVKPLIEKLERRKAKEAAAADEGYWEPTDSDSDEDDERYTPDAIKRRVDEFERKCKRHGELLRSFAEAETLDEAHKWMTKIDKFEERHLKLPLEYRVIGDMMNRLKDATGKERFVLLQKLNRAVRIMECKEAFDPSNPANFGLIQHQQVGSPEDLVLNAGFDKEKQMIQGEQLEDDDDEEFNEAKERDDMLIEKLNAIEKKIEDKLAELDHTFGKKGRVLEEEIKDLVEERNSLSEKKRRPMYRKGFDIKVIDVNRTCKVTKGGQIAKFTALLATGNYHGVVGFAKAKGPTAKIAIQRAYEKCFQNLHYMERYEDHTIAHAIQAKYEKTKIYLWPGPMRSGMSAAGRTVETVLYLAGFSNVKSKIIGSRNPLNVIKALFIALNAIETPKDVQQKFGRTVVESYLL >KQL29204 pep chromosome:Setaria_italica_v2.0:I:11300031:11303448:-1 gene:SETIT_017823mg transcript:KQL29204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDIMIGETIADKVTGTPLPTIKVEEPTVRMSFSINTSPFVGKEGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFLVSGRGTLHLTILIENMRREGYEFMIGPPKVINKTVDGKQLEPYEIAAVEVPEEYMGSVVELLGKRRGQMVNMEASGPEGTTLLKYKIPTRGLIGLRNAILTASRGRAILNTIFDSYGPWAGDLSSRDQGSLVAFEDGSTTSYALLNAQERGLLFVQPGQDVYKGQIVGIHQRPGDLAINVCKKKAATNVRSNKETTVVLDEPLSYSLDDCIEFIQEDELVEVTPASIRMCKNPKISKRK >KQL27791 pep chromosome:Setaria_italica_v2.0:I:697642:700476:-1 gene:SETIT_018225mg transcript:KQL27791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAEEAPAAASPVASPRDGVTEETASPAARSRGFWLLGEDKSVHKALGGGKTADVLLWKDTKTSAAVVGGATILWLLFEVVEYHLLTLASHVMIATLTILFLWSNATVFIKKSPPDVPEVQISEELAVNIALALRADINKALVLLRELALGHDLMKFLGVIVALWIVSEIGELCDLLRLMYIVVLILHTVPILYHKYQDQVDDFAAKAHAELCKQYKVLDAKVLSKIPRAPPKDKKEN >KQL27792 pep chromosome:Setaria_italica_v2.0:I:698294:700328:-1 gene:SETIT_018225mg transcript:KQL27792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAEEAPAAASPVASPRDGVTEETASPAARSRGFWLLGEDKSVHKALGGGKTADVLLWKDTKTSAAVVGGATILWLLFEVVEYHLLTLASHVMIATLTILFLWSNATVFIKKSPPDVPEVQISEELAVNIALALRADINKALVLLRELALGHDLMKFLGVNLKQPLLCYSFY >KQL28594 pep chromosome:Setaria_italica_v2.0:I:6879370:6883143:-1 gene:SETIT_017893mg transcript:KQL28594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDDPVVSAQWLHQHLGAPDVKVLDASWYMPVENRNPWEEYQVAHIPGAVFFDIDAIVDRTTDLPHMLPSEEAFAAAVSALDIKNQDKVIVYDGKGFFSAPRVWWMFRVFGHNKVWVLDGGLPQWRASGFDLGSASPDDAVLKSKAASKAVERVYNSEPTNIITFQTEFQPRLLWTLEKVAHNVAAKTYQQVDARSKGRFDGVAPEPREGVRSGHIPGSKCVPFPEMFDGAPRLLSTDELRQKFQQAGISLDHPIVVSCGSGVTACILALGLYRIGKHNVPVYDGSWTEWEAQSDSHYPKATTTA >KQL29126 pep chromosome:Setaria_italica_v2.0:I:10465416:10469969:-1 gene:SETIT_019316mg transcript:KQL29126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMDSYNNIESSIADGLDCRPLKKAKCEQLNDCDLSPSPPSSTSLASSCDNIESSNVYDLDAQPLKEEKGDQMNDLDISLSPSSGTTLPSSSPVNNDRRILLDDEKHENHHEQFKVDQTYDYLPQDYEMTDLDYCALITIETSLEYDILVKIDDIFVTQSQLSCLLDPKKFLNDDVISAYICCIKYQTHLQSRNDVKFYFENPFISVILKRDGKFGVGQDGNHMTKIVRNYLKHEMILIPINIKETHWYLAIINTQKCEIQVLDSLCWDSNRGDLADTLQGLQFHLDIIGRQQNLISHNWKDLQVISWIITEQLQEPIQKDGSSCGLFMLKFMEYWTGDSLSHPITQEDINCFRYKLAGILLCWKTNTAQTTPKNISLLGNSDDQKEPKATDSLLEETKYQSLMSILSKISENELIGGLCDYIKSINCPETLEEVWVRNSKPYSISLTVRKLQEILKEDLPMDCDCMNLVIRKFMFDEIQMMKKTKGTISKHYLDTRFWMITDYGRHPNFRKKLDVDQLAETVCSWPGVNYSVSRCKLILIPIVQFNKTFILFILNQDTRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWSEDVFLWRQIILSDVPIENRELSGYLVSLFMCIWKDEELRLPILKDGYELRKQFMAQLLTYKDNECEDNLPAGVRDFLRCINATQS >KQL31047 pep chromosome:Setaria_italica_v2.0:I:35268034:35269070:-1 gene:SETIT_018186mg transcript:KQL31047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESSGTTGPATEHQNFAMESIWKDQPQRTANMRLAVEDLSQTCRWDRYYPLCIAAFCNHCCRGHHDTRWWDDLAIPVHVDAAGQPTFPKHFPNGNPIEDWIVKRMVEEHYATPFKRDAYCTRCMRAFSTGLCFHHHQYCGRDFIVRRIEEHDGRHYVRCRGDEKWFADLENMLGDPVGEDYGELMLLPLLTRKPGICVQCAGPVPNPFWWRCSRACAASHDQEVARRRERREARRAALQIANLHVDG >KQL30221 pep chromosome:Setaria_italica_v2.0:I:29038673:29041851:-1 gene:SETIT_017719mg transcript:KQL30221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKTSRSLQRSGRGNHVQGEGTNWVLVAGGILLSTLSVRLGCKLKQLFDGKQENNTSKAKRRPEACERHSDLYRFSDQTDCYYCISGLADGGVEVKQAPVSPVPKSVEPSLPLVKIPAPEPSKENSGVMWISSPDRLEDPRRPFHYSNSSGSPSVSESGSDIYSKREVIQKLRQHLKKRDEMIMEMQAQIADLKNSLNIQVTQSTNLQSQLDAANRDLFESEREIQHLRKIIADHCVAEALAHEKPLQAGHWQSDATNGHSNGYADGSIDDADLHCVGMEKRKGEVERVEMLKKEVVELKEVIEGKDFVLQSYKEQKVELCSKIRELQEKLSAQVPNIL >KQL28207 pep chromosome:Setaria_italica_v2.0:I:4304071:4307942:1 gene:SETIT_016181mg transcript:KQL28207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVQVLASATQLVSAMVTAVGALEQAAADLAEAPRRLQVLEDFVSDLDALARQARQRHAHKVPSPQLERQFQSLGRLMDQLRANIAKARQVLSKKGRGKGFARVVRSSVVGDPLMRYVKLIRDDLNWWLELQELTQSVGDVIASTAKSTPSLVRVKSERGYPVSKKCSYVREVLERDGGHRVVLIVGLSGIGKSCLARQIASDPPSNFVDGAIEVSFGRWCSRTACNGSRSEYHKRLVRKICKFLVQIGSMTVNEEVGKDLDDVCYLLQTALVGRSMLILLDDVWEQDIVDRFTKLYDNDCRYLVTTRDEAIYEIAEAERVEISKDDIKEISKEILLYHSLLSVGELPPVAEVLLDRCGHHPLTVAVMGKALRKETRVEKWEKAISNLSTYATCAPGPVSYVNEKDVESTLTIFGSFEYSLEAMPENSRRFFMVLAAISWEEPVPEACLESIWSALLQDSLFSLVVSKLVEGSLIIKLEDQLLYHMHDMVSLYLENKTNDAVRTLLSESISDCAALVAPWIFVFGKECVKGTAEQKMRSFFSLLEFMEIEILLGNTTQALMACRSISDFEASRLGFSKILAPRIPEIISVGSPDLIFAITKAITVIFFQADYANLAQSLETAGSIDKLIDLLGACKDTSTLANLSSVLAKISEHVDATIADEILSRIPIDRMTDLLSPENEHWHEIVFTTLASLTKVGKLKAVETMIESGVDKKLLVLLGNGSEISQHHSIVMLKTFCELGAPLQGCMGPGVLIHLPWHARISLERFVLFDQSVPPPPKPQQSFEVILHKILQKDNKDIIEAIQGLLPLAERANDSRVQDLLLGSNLFDRLALLLQRREVESNQVRTQTAFLVMKLACNGGEAYVHRFLELKIVHGLIDMMQCNIDELQDSAYYALHQIVFAKGGSLVLQRFLQLGTIEKLVSLLDRKSLKTKEIAMQLLVDIAVVGTKPCIERMLASQVVEKLVALEKAGEPFGGAVSRYIQGLNMCKNVQSAERAVMKQHILRKVRSAVRGHQLEASLVASVEASIAEGSKGASSSRKKK >KQL28291 pep chromosome:Setaria_italica_v2.0:I:4829966:4835623:-1 gene:SETIT_016279mg transcript:KQL28291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPGMPAAAALGAVVILAAAAGWHGAEGKSCTNAFPGLTSHTERAATQLRSAPRAPVPERSHHGRHTHEHGHEQHLTPTDESAWMSLMPRRALRREEAFDWLMLYRKLRGATAGAPRPGVAAGAFLSEASLHDVRLEPGSPYWQAQQTNLEYLLLLDVDRLVWSFRKQAGLTAPGTPYGGWEGPNVQLRGHFVGHYLSATAKMWASTHNDTLNVKMSSVVDALYDCQKKMGTGYLSAFPSEFFDWVEAIRPVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVKMANYFSDRVKNVIQKYSIERHWESLNEETGGMNDVLYQLYTITNDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDPLYKQIATFFMDTINSSHSYATGGTSAGEFWTDPKHLAETLSTENEESCTTYNMLKISRNLFRWTKEISYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGHSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKEDTPALNIIQYIPSTFNWKAAGLTVTQQIKTLSSSDLYLQISLSISAETTSQSAKLNVRIPSWTFADGAGANLNGKDLGSLSPGSFLSVTKQWNSEDHLSLRFPIRLRTEAIKDDRPEYASLQAILFGPFVLAGLSTGDWDAKVGNSSTISDWITTVPSVYNSQLATFTQVSNGKTFVLSSANGSLTMQERPEVDGTDIAIHATFRAHPQDSTELHDTYSTTMKGASVLIEPFDLPGTVITNNLTLSAQKGSDSLFNIIPGLDGNPNSVSLELGTKPGCFLVTGVDYSAETKIQVSCKSSLQSIGGILEQAASFVQTAPLRQYHPISFIAKGVRRNFILEPLYSLRDEFYTVYFNIGG >KQL28292 pep chromosome:Setaria_italica_v2.0:I:4829966:4834984:-1 gene:SETIT_016279mg transcript:KQL28292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPGMPAAAALGAVVILAAAAGWHGAEGKSCTNAFPGLTSHTERAATQLRSAPRAPVPERSHHGRHTHEHGHEQHLTPTDESAWMSLMPRRALRREEAFDWLMLYRKLRGATAGAPRPGVAAGAFLSEASLHDVRLEPGSPYWQAQQTNLEYLLLLDVDRLVWSFRKQAGLTAPGTPYGGWEGPNVQLRGHFVGHYLSATAKMWASTHNDTLNVKMSSVVDALYDCQKKMGTGYLSAFPSEFFDWVEAIRPVWAPYYTIHKIMQGLLDQYTVAGNSKALDMVVKMANYFSDRVKNVIQKYSIERHWESLNEETGGMNDVLYQLYTITNDLKHLTLAHLFDKPCFLGLLAVQADSISGFHSNTHIPVVIGAQMRYEVTGDPLYKQIATFFMDTINSSHSYATGGTSAGEFWTDPKHLAETLSTENEESCTTYNMLKISRNLFRWTKEISYADYYERALINGVLSIQRGTDPGVMIYMLPQAPGHSKAVSYHGWGTKYDSFWCCYGTGIESFSKLGDSIYFEEKEDTPALNIIQYIPSTFNWKAAGLTVTQQIKTLSSSDLYLQISLSISAETTSQSAKLNVRIPSWTFADGAGANLNGKDLGSLSPGSFLSVTKQWNSEDHLSLRFPIRLRTEAIKDDRPEYASLQAILFGPFVLAGLSTGDWDAKVGNSSTISDWITTVPSVYNSQLATFTQVSNGKTFVLSSANGSLTMQERPEVDGTDIAIHATFRAHPQDSTELHDTYSTTMKGASVLIEPFDLPGTVITNNLTLSAQKGSDSLFNIIPGLDGNPNSVSLELGTKPGCFLVTGVDYSAETKIQVSCKSSLQSIGGILEQAASFVQTAPLRQYHPISFIAKGVRRNFILEPLYSLRDEFYTVYFNIGG >KQL31313 pep chromosome:Setaria_italica_v2.0:I:36843389:36846608:1 gene:SETIT_016989mg transcript:KQL31313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKAADKLRFPSSAAAAAARSRMKPWMVRATTTVLLWTCVVQLTAVGNTWGPRVLKGWPSCRTAREAAAAAAVTTTRLAMPEAVVEKAALPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVAIARYLNITLIVPELDKTSFWNDPSEFQDIFDVEHFVTSLRDEVRILRELPPRIKRGVELGKFHSMPPISWSDISYYHKQILPLIRKYKVLHLNRTDARLANNGLPLEIQRLRCRVNYAALKFTPQIEELGRRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCTPKEAEELTRMRYAYPWWKEKVINSFVKRKDGLCPLTPEEIALVLRALDIDKNMQIYIAAGEIYGGKRRMASLTSAYPNMVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYMGFKKTILLDRKLIVELVDQYTSGSLRWDEFSSLIKAVHANRMGSATTRTVIPDRPKEEDYFYANPQECLRHPNLLRTS >KQL31623 pep chromosome:Setaria_italica_v2.0:I:38879372:38883182:-1 gene:SETIT_019925mg transcript:KQL31623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSSPRLLSSFLGDRLTLSARPLLLRSAAPGSRRVAYQATRTLCNLVDIFFNRRSRDDTPENNPRRLRPGKVSPRLSVPDHIQQPPYVNSRQRPGVNNGPEIHDEKGIECMRASGKLAAQVLKFAGTLVKPGITTDEIDKAVHQMIIDNGAYPSPLGYCGYPKSVCTSVNECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSATFLCGDVDDEAKKLVQVTKECLDKAISICAPGVEIKRIGRTIQDHADKFKFGIVRHFVGHGVGKVFHAEPVVLHFRNNEWGRMMLNQTFTIEPMLTLGSINPVMWSDDWTAVTEDGSLSAQFEHTILITEDGAEILTQC >KQL29713 pep chromosome:Setaria_italica_v2.0:I:23754885:23771710:-1 gene:SETIT_016108mg transcript:KQL29713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVDKMLIKGIRSFDPDNKNVITFFKPLTLIVGPNGAGKTTIIECLKLSCTGELPPNSRSGHTFVHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKASKMEFKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAVLENVIFVHQDESNWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTFRLKLENLQTVKDQAFKLRENIAQDLEKSDASKSQMEQLKEKIQGIENEMKHMKTSLDELRRLQGQISTKAAERSTLFTLQQQQYAALSEENEDTDEELMEWQTKFEERIALLETKISKLGREMDDEATKGYLLSQTISELTRDIGKLQAEADAHMSMKQERDSEIKKIFAKHNFGPVPEFPFTNDVALNLTNRIKARLSNLENDLQEKKKSNDDQLDVLWKHYLKVNARNSEVDGQIQSKIESMLSTFQSGISRRTKDKEKERDAAEVELSKLNLSRIDERERHMQIEVERKTLALGERDYDSIINQKRTEIFSLDQKIKALQREKDSINRDADDRVKLGLKKDALESSKEKLKEMHVSFLSLNVLVDEHKDKIRNILRGRLPAEKDMKKEINQAFWPVDKEYNELKSKSQEAEQEFKLAQSKVSDAREQLTKLRKDLDAKRRFLDSKLQSISQISADIDMFPKVLQDAKDKRDEQKRLEIYANGMRQMFVPFEQVARDRHVCPCCERAFTPDEEDEFVKKQRMQNASTAERVKALAMEYSEAETFFQQLDKLRTVYDDYMKLVEETIPLAEKNLNQRLADESQKEQTFDDLLGVLAQVKIDRDAVEALLQPTDAIDRHAREIQQLVGEVEDLEYKLDSCGQGVKSLEEIQQELNSVQRTRDTLSIEVDDLRDQHRMLNEDLASAQVRWHTAREEKIKASNTLGSFKKAEEELVRLAEEKEQLAVEKKLLEESLDPLSKEKDSLLQEYNALKQRFDEEYHRMAERKRDFQQELDALGRLNMKIKEYLDSKRAERLNDLQEIHSLKQSELQNCEAKKQGISDELNKSKELLQGQGQLKRNIDDNLNYRKTKAEVDRLTRDIELLEERVLSIGSSSTIEADLKRHSQERERLNSEFNRCQGTLSVYQSNITKHKQELKQTQYKDIEMRYTNQLLQLKTTEMANKDLDRYYAALDKALMRFHTMKMEEINKIIKELWQQTYRGQDIDYISINSDSEGAGTRSYSYRVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNAESLATALLRIMEARKGQENFQLIVITHDERFAHLIGQRQLAEKYYRVSKDENQHSIIEAQEIFD >KQL30535 pep chromosome:Setaria_italica_v2.0:I:31520924:31522573:-1 gene:SETIT_016875mg transcript:KQL30535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSSQLSHRDFVAVLSRCSTRAHLEQLHAHAFVAGRAAAQPTTFHLLRFAVLRLSCLPYARRLFDATPHPNVFLYSAILSAYVSSSAAAASSPASHAHARDALELFLRMLRRGRPAPNQFVYPLALRAACAVGVHLVRSIHSHACKSGFCEYDVIRTSLLDGYSRYGMMADARKLFDGLTERNVVSWTALMSGYARAGKVGDAIVLFERMPERDVAAWNAIIAGCTQNGLFVEAVGILGRMVGEGFRPNATTVSCVLSACGHLGMLKIGKLIHCYAWRTCVGFGSSVLNGLIDMYGKCGNLKGARWIFNELSDRSLTTWNSLINCLALHGRSECAIAVFNAMRDEGVEPDVVTFVGLLNACTHGGFVDEGLRYFELMQHEHGIEPEIEHYGCIVDLLGRAGRFQDALNVISNMRIESDEVIWGSLLNACRVHRQLELAELAIRKLLELDPNNANYVVMLANVYSEGGLWEEVRKVRKFVKEETIGKKLPGCSWIEVDRKIHRFYSGDDAHPQSEDIYDTLDDLAASMEL >KQL28656 pep chromosome:Setaria_italica_v2.0:I:7270610:7275102:-1 gene:SETIT_019467mg transcript:KQL28656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRHSTAARASNSPAKRDSDASAASSPFLASPSARGTRGGGGGGDGGGGGKDAHRSSPLLPHHHPKRVHLLTSPFRSLLALEDPRSPAASSSYRILLAILALLLADGVFSAPFLWSRLNTPYLCHKEGITLHCPETKEPPSLWENPRAATASWKPCAERRSDEPSDVPSEKETSGYIFIHAEGGLNQQRIAICNAVAIARIMSATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKDELFTSIKRTVKNIPKYASAQFYIDNVLPRIKEKKIMSIKPFVDRLGYDNVPMEINRLRCRVNYHALKFLPHIEEMADKLAARMRNRTGSINPYMALHLRFEKGMVGLSFCDFAGTREEKAMMAAYRQKEWPRRYKNGSHLWPLALQKRKEGRCPLEPGEIAVILRALGYTSGTQIYVASGQVYGGKNRMAPLRNMFPNLVTKEELASAEELAPFRRHVTSLAALDFLVCLRSDVFVMTHGGNFAKLIIGARRYAGHRLKSVKPDKGLMSKSLGDPDMGWASFAEDVAVMHRTRTGLPEPTFPSYDLWENPLTPCMCRA >KQL27945 pep chromosome:Setaria_italica_v2.0:I:1958277:1959448:-1 gene:SETIT_019256mg transcript:KQL27945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTGHCLFLVLLLRSSAAYGQLSTSFYDTSCPSLQSIVRSVVSNAIAADRRMGASLVRLFFHDCFVQGCDASILLDDVPGTFVGEKTAFPNANSVRGYDVINNVKAAVEANCTGVVSCADIVALAARDGTVLLNGPTWNVSLGRRDSMTASRDQANSDLPSPFASLSTLIAAFSSKGLSAQDMTALSGAHTVGFAQCQVYRDRIYKDNNINQQFAKLRQSDCPATQGINDTVLAPLDVATQLTFDNAYYGDLLKNKGLLHSDQELYNGGSQDALVKKYGSNSAAFFADYVTAMVKMGNINPLTGTAGQIRANCAVVN >KQL29966 pep chromosome:Setaria_italica_v2.0:I:27095116:27098637:1 gene:SETIT_017798mg transcript:KQL29966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDSPLHHQDNASSDERSITPPATMTFLGPAENDNGGSRIDSPVGMDASKGKDVVLDDIQGGEHGSASGAKANTNRSKGKHSAAGKASTNTAKDGFPKGKGSLAADEDGDVKAHIVTERERRRRMKDLFSNLHALMPHIPDKVDKATLVGETIHFIKALEKTKVQLEKQKQEQVLARQAAAQAMSSLSVMQTAQGMAAMSNGWGPVPQQQPLAAVAAAAPPPPLAAATGPAGFQTWSAPNVVLSISDEKAVINLCLPRHPRMLTLVMSVLNKHGIDVITAHVAAEGARSMITIYTSVNGGENPSAEDIYKLAVSEIMVWLSS >KQL29105 pep chromosome:Setaria_italica_v2.0:I:10314129:10316345:-1 gene:SETIT_017122mg transcript:KQL29105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAAAAGGFPKWMLLERYVFRRDDDESFPDDSKAPIRASGTTSWGADFRIAFSVEEPPRISRLYAQLPGFPDPLKTEPLSMLATHRHLALLVVVTRITTTNTTIITPRPSRALVQNFFIFRPNENNPSSSSLRLLPPCTEPKFDYCRGDHRLRRRPSNATTTPSLLHMISLGLWCGDKEEFVVAQLTLYVPAIDRGRTKAFADICLLRSSFTGDQLGGKWDSNRVQILSPHKPSADDLLELSRWQTTAVIPFQKWLCWIDYNRGILFCDVSNKVPALTVSFLWFPEDKSPLTRARKATSGTIGGVSVIDHGRLLKFVHVARHDDLAYGALQPGTGFTITCHTLVIGGSMAWKEDYTVTSDDLPDRYRRGIPIHPQVDIDRPHVVHFLFLEFGKAFEKMSVLSIDMSTKTVKSFYLYMDGNEILQPDDDIDFISSTSAYPTPLPFRPCEFPRFCYLSRKRKDME >KQL31684 pep chromosome:Setaria_italica_v2.0:I:39174421:39174776:1 gene:SETIT_020548mg transcript:KQL31684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLKYQSAGDTRAHESWSVMWRSVWPGFGGFLGWFGWGFFSVHICGAVFYPPVQFLCGDQSETNKLSLATL >KQL27904 pep chromosome:Setaria_italica_v2.0:I:1542005:1545166:1 gene:SETIT_019454mg transcript:KQL27904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATIKIATSLLLNLALFLPCLSATVTMSSKGPIHHDYTRFADVERHCQSVLSSAAELGAEAGRAGVRMQQLSFMNGDWSQDAGQAPLFPFQGSYADAAVAGPEFLEAVPLASFMLTHTDMVPRRGARTAFNVSGILSFTISRNCCCSSMEPRTSPEFELRPGVSRLHVLLQGVYTETKSSGSGDDGGGERVLCMVGDAVLPVRGSNSTDPWDWAKNHGRDGKLKPPVVADGNILLVLRYPKAATLTTRAVRGEMTSTSAKSDAAYFDTVRLVSQLGGGYDSGYQFQPEDAELDAVVAGCSNDPIFHDGDDATEHLNRGASPCDIIYQSAPGHQVMEVIPNWNCEGTDAFCSRVGPFGTSRPTTRSMQDMAFTRSGIAVQGLQCEPTSSIDGTAAARVAALFRYVPPWEHQSMAVERTGLSAMTLSAEGVWTASTGRVCMVACLGGAKEACHYRVTLSVRKTFSMARRGNNIGQIAAMDGSHAPLLFQQRVRPREQWFGSGETPRKSYIYTKVEQARELLRVVKPTGFRDTNIAKLLLSYPNLAGAAHDLVSLSNLAGDLNLLFRCVVKPPFVPGWIEEPLFELQILSVGTLVGTYSPKFQQQFQGGGFSMRMEQLGRVRVHSVEKQQILNVSAEFTASRNNFLSPSSVMSLEGVYSPEDGRMYLIGCRNVHAPWRALSKRSDLEDGMDCSIEVTVEYPPTTTRWLVSRAAKVSIASVRDEDDPLHFNRTELHTLLVTYREQRRDELTEATVEGLLCVTMLSATIAATISQLRYVKSHADVAPYISLVMLGAQALGYSAALVTDAKMLPAWPTSRYKPYGDRMGWDMDCSVKALTLAALLLTARLAQKVWRSRARARARSPLEPGRVPGDGAVFLCSCGVHLAGLFFVLAVHWLSTYGTSTAQLTPSRVIYGEAQGMPSSHTRTRAAIVERHVGVVKEWFLLAQVIGNALWRVNCKPLAERYYAGVTAVWLLPHVYGYLRPPVVNTFYESEAHDDVMDFYSKASAVVVPVVGFVLALVVYVQQRWNYKIVGWAMRTEKNKLQHTY >KQL32241 pep chromosome:Setaria_italica_v2.0:I:41911134:41914709:1 gene:SETIT_0161441mg transcript:KQL32241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASRRQRWLHLPLLDLAVSSLPRRDLPSHLKPLLLSLIDDHLLPPAPRSLPVLLTSFLSFPADHPLRDHLLTTVVSAFASALAAPVSKDHEAPPLAALVDALLAAANRPNHAPDRAARALACDALRALDAALPGLLAEALGHLYALAAAERSPAAQSYLLLLASAARHAVRLGRLAATTSILAVAGPPTPFSVPAHLLSPPATSVAPPSEVNVRDIRKVLALLMDRPQVLTPAAAMEMTAILAEVASAVLEWAPAIAAHIKVQFGGMVHSSNPMLLHSLLTLFVRFPDAFGAEDERTMARRLALAACEAHRPLTARLLALHWLLGFTRFRNLVPGLARWFYPNLFDPLALKAKKLDCLAYVAAEVDGEKVAGGRASEQANRLLDDGLVCVSAFRCLPAWSTETGVAFRALHRVLVIAAPHSTDDTCCSGAGELLNSTIFHHLQAILVDMALEHRGLVPVIAEFTNRLLACNSHRWAGEWLLRTLDERLLPRLEPGYQLASYYPLFEKIAQNETVPQLRLLELLTKQMVSLTKKHGPDTELKSWSQGSKVVGICRVMLKHHHSSHVFLPLSHLLVLTIESFPDLEVRDHARICLRMLSCVPGKKLRHLMGVGEQPSGVAPSHPGSLFDIPSPRPAQDLKSMPDLVSYIHLERIVPLVVKQSWALTLPNFSVQSRPSGYILSIQDVSSTPSEQEKSAGPTIERIGYTQETLRVMDSKGAETLQILRRYFACIPDYLHSSGLKIRIHCTFRFESEPFNHAWGLDSPVSGSDGADELPALYAVAITFSSSAQFGKIPSCHVPFILGEPPGSGMDIVPVDNQNREESTYCASVVIELEPREPSPGLIDVSVAANTENCQVLSGSLKPITVGIEDMFMKAIVPPDTPKEGVAMYYQDLFHALWEACDSSSNTGRETFPLSGGKGSAAINGTRSVKLMEVTPKVLIGAVERYLASFVVSVAGDSLVTILRGNGVIKNVLWEESVSDASVGADALVPYSPDSNLQLQLIDDDDIGVGSERYGHESKRDMGVMRVMIFLPPRYHLLFLMEVGCASTLVRIRTDHWPCLAYVDEYLEALIS >KQL29752 pep chromosome:Setaria_italica_v2.0:I:24152178:24153254:-1 gene:SETIT_017745mg transcript:KQL29752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILFSCRCTITGCQITHTTILASSACRFPISPREKRNLPALLLSQLRLQQPIPTDFPKRTLERPGIHRNALGRRRRRLPLGGALHGGAPNPPAARVQHAAHHVRPPALPLLLGLRRHLAAAFLRLGLRGGRLRDILLVLGGARRGSRGRRARRRGRGGRLRDDLRLGRGLRGLGAALNRRRRRRGGRGGGGGGGGGRLGGVEVLLEGLQGGVDAVVLRGAAPPRPEAAVALEAQAADGRRVAAPAPPAARLLWRRRGGGVPAHQERARHEVGQHALVLGGQPGDEDEDGGGEEAERRRDGVLGGRRVDLAALAGLGAQRLHGRRWGLERGRV >KQL28398 pep chromosome:Setaria_italica_v2.0:I:5577381:5579765:1 gene:SETIT_017021mg transcript:KQL28398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSHPLIRSVVKGVRSDITNGDNETEPARELLERLFAKTKSLDPSASQDGELSMSIEVLKTEFEAALSILRKKERDLWDAEKKVSIDRSRLNQTKQDLDQREEDIIKAYSRQHEMEKALMKASRDLSLQVRQINNLKLLVEEQDKKIISSQDALSKKVIEVDKLKQDMLKKNEEADLMRSEIESKEQELLVANQALARQEATIRELQSEIKRKETEIASSNELRKANEEKLKVVEKELEKQNLGWIAAQQELKELAQMASKDKDNIKDTIDDFKRVRSLLDAVRSELMASKEAFTFSCRQIEDQAAQLSKQVQELADQKALLISYTQNLEVAQLEIQGKTNELSGVQSRCSELESQLLEKMKKVESLEAMLTKERESLEQKTKEVDLLQEELAQKENEYFNSQKLVDTKESELLEVRHEVEDMKLKVDSIQFAVHKKDLELLETQRRLDEVNNEVVELQQMISTKEDQLVQVQPLSPICINITNWRLTSTC >KQL29253 pep chromosome:Setaria_italica_v2.0:I:12167473:12168532:-1 gene:SETIT_019670mg transcript:KQL29253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPLVTLTGTGKKHGEGKESLVLSEVKKQLYLAGPLVTGQLLQNIVQMISIMFVGHLGKLPLAGASVASSFATVTGFSLLGGMAYSLETLCGQAFGAARHHLLGVYKQRAMVVLSLILAWCGLDPEIAAAAESYIRWLIPALFVYGPLQCHSRFLQTQNVVVPVMLSSGATAAIHVAVCWLLVHRLSMVSNGAALGTAVSYLVNLSILALYVRLSPSCKATWLGFSREAFRGIGDFLKLAVPSALMICMAWWSFELVVLLSGLLPNPKLETAVFSVR >KQL28814 pep chromosome:Setaria_italica_v2.0:I:8263552:8264755:-1 gene:SETIT_019818mg transcript:KQL28814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGIGGRNRSVYLAVCRRDWFSSKPYYPLYKVDVASLRLRRVIPCSQENPSRQAANRRRREDLRPLQSRWIVGVGGNPSGTVIYDTTKDRVIRGSELVAAKGRPVAATVGYRVYALSSLPNYIGDPDSVPWFEVLDLKDAVITEAAADGSLSLDGCSWERLPSPLCFPGKLTPMVYIRPPIITVRSYVVVGRYMLVSLDPPSSGTYAFDTQEHEWQKLHKEHLPFVGSATPHGRSGCVFLGLSRENGPVSAYRIFVSSASSSPSSSVSAEAGVCQKGGALKLSINVISVKGKEHEEVDANRAYDETVDPLVPRKLFAKLVTYRIENPEILEEALDEEKLRAVMPEVAISSQLEQSFKISSDIGFSSPPITFALSI >KQL30521 pep chromosome:Setaria_italica_v2.0:I:31446452:31449379:1 gene:SETIT_016922mg transcript:KQL30521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGEGDRVGGGAGVGGGQQFVDRSKVRILLCDGDATSSREVLRLLCNCSYQVTCAKSPRQVINILNYEGGEIDIILAEVDLPVTKCFKMLKYIARNKDLRHIPIIMMSNRDEVSVVVKCLRLGAAEYLVKPLRTNELLNLWTHVWRRRRMLGLPEKNFFNDNFELVLSEPSDANTNSTTLLSDETDDRPKENMNQETGTSNQREHESNPSVAEPEQRGKMEGVPGSVVDADQASSPGIMFSRPIKTNLRVGESSAFLAYVKSSTPATSSFDSELQRGGSRLDSLDNQGNCSSATDRSDTGTDVNIRDKEGFEMPVQYPMVCFSSSNTHMERSNEGQNDTSGTPPMYQYPFYYPGMVEHSIALSSAQNFQENINNAQAYTPPTMIPQYNVYPQCHAVPMMSSFQFNPAGMVMHSSHIPTQNMWSSVSSTPVPEETCSRSERRAAALAKFRQKRKERCFDKKVRYVNRKKLAETRPRVRGQFVRQASNTDVISTGDDISEDEDDDPSSREVEIISSPE >KQL30372 pep chromosome:Setaria_italica_v2.0:I:30173507:30175173:-1 gene:SETIT_017186mg transcript:KQL30372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMAPSPPQQLSLADLRALSVLGQGARGVVFHVVPVATAATAAAAAAAGDTSAAGDPMAMALKAMSRAAARHKGAGPGGTCGGDGHRRIWFERDVLLALRHPLLPSLRGVVATDAVVGFAIDRCPGGDLKSLRRRWRADTTFPESVIRFYAAELVLVLEHLHGLGVVYRDLKPENVLVQDSGHIMLVDFDLSTTLPPPPPPPPPDATARRVSSPSRPSSRHRRRRKNKKATAAMVLACFSSRRAASPEASSSQSPPSTSLTASSSSSCCSSGARTPAKSNSFVGTEDYVAPEIVAGAGHDHAVDWWGLGVVLYEMLYGRTPFRGRSRRETFHRVLTAPPELPGEPTPLRDLIERLLEKEPGKRLGAHGVKRHSFFRGVDWDRVLDVARPPFIPAPDDDDVGGAGVEAEAGALDVEKVVHEVFGTSGDGETPPTDVGSDGGRDYDFSIFF >KQL30158 pep chromosome:Setaria_italica_v2.0:I:28498763:28501508:1 gene:SETIT_017153mg transcript:KQL30158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSILSATGSATAKSMDRHETSGTDPNKRVKVSAYEYGMNRRIIPTLPDELSFQILARLPLIHYLNLKMVCRAWKAAITSHELSQLRRELGVTEEWLYILSKVEANKLDWYALDPLFQKWQRLPPMPSFVNEEESNRTGSSGFRMWNVVGSSIRIADFVRSWFWRRNGLDQMPFCGCSVGVADGSLYVVGGFSKAVALNCVWRYDPFLNLWEEASPMMTGRAFCKATFLNGKLYVVGGVSRGRNGLLPLRSAEVFDPKTGLWSELPEMPFAKAQVLPTAFLADVLKPIATGMAPYKGKLYVPQSLYSWPFFFDIGGEIYDPELNSWSTMPDGLGDGWPARQAGTKLGIVIDDKLYTLEPSSSLDSGQIKRYNSEEDTWVAITPQVPVNDFTDAEAPYLLAGLRGRLHVITKVANNTLQVMQAVVQNSADNRASEENVLWTPVASRNFGTAELVSCQVLDV >KQL32005 pep chromosome:Setaria_italica_v2.0:I:40833984:40836359:1 gene:SETIT_017605mg transcript:KQL32005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVEYRKDQAAAAGKRTRGGEAAGGRKKMRAVVITRGGGPEVLEAQEVEDPAPLGEGEVLLQVAAAGVNRADTLQRHGRHPPPAGASPYPGLECSGTILALGPNVPSRWSVGDKVCALLSGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLPEVACTVWSTVFMTSHLSPGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKGLGADVCINYKTEDFVERVKQETNGKGVDVILDNIGGLYLQRNLNSLGVDGRLFIIGFQGGAAAEVNLQPVLARRLTIQAAGLRGRSPANKAQIVSEVEKNVWPAVAAPAGKVKPVIYKTFPLSEAAEAHRLMETSTHIGKILLLP >KQL32004 pep chromosome:Setaria_italica_v2.0:I:40833984:40836359:1 gene:SETIT_017605mg transcript:KQL32004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVEYRKDQAAAAGKRTRGGEAAGGRKKMRAVVITRGGGPEVLEAQEVEDPAPLGEGEVLLQVAAAGVNRADTLQRHGRHPPPAGASPYPGLECSGTILALGPNVPSRWSVGDKVCALLSGGGYAEKVVVPAGQLLPVPEGVSLTDAAGLPEVACTVWSTVFMTSHLSPGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGVDVILDNIGGLYLQRNLNSLGVDGRLFIIGFQGGAAAEVNLQPVLARRLTIQAAGLRGRSPANKAQIVSEVEKNVWPAVAAPAGKVKPVIYKTFPLSEAAEAHRLMETSTHIGKILLLP >KQL30341 pep chromosome:Setaria_italica_v2.0:I:29999816:30001728:-1 gene:SETIT_018181mg transcript:KQL30341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVGGVSLRPSSAQAPARIGKLSPVDVGGRFVLRAAPRRQPARRALVVEARGRSWSERQMEQQRRMPQLPKIEDDGNPRFVIFIRTANVYFWYPLNIITGGTTAKIMLAAKDNFLGKYIYKDTLARNLAAVIYKDEDVIIDTAKEQYRVLKTENEFRYGYKVVENGNVRSALTTSNVIELPKKDELKTVVDKVKDFFGDVTAGAKESFAQITGSAVTKEDEEAQGKEKFRSKRRKKQRKSKQGLKTEK >KQL28235 pep chromosome:Setaria_italica_v2.0:I:4499746:4502884:1 gene:SETIT_016594mg transcript:KQL28235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFCAYEDGGCLVSAPAELSGLFCRGAVQQRKRTLVAASAVAVAAATECLRAAKKQRQQQLPLPSLDALPDGCLFEILRRVPGGRGRGASACVSRRWLALLGSIRASELAQAAAAAADTPALPDLNEEFVMEEDKDDETPADSCVERVLEGKEATDVRLAAMAVVAGSRGGLEKLAVRGSHPTRGVTDQGLSAVARGSPNLSSLALWDVPLITDAGLAEVAAGCPSLERLDISRCPLITDKGLAAIAQGCPNLVSLTIEACSGVANEGLRAIGRCCTKLQAVNIKNCAHVGDQGISSLVCSATASLAKIRLQGLNITDASLAVIGYYGKAVTDLTLTRLATVGERGFWVMANAAGLQNLRCMSITSCPGVTDLALSSIAKFCPSLKQLYLRKCGYVSDAGLTAFTESAKVFENLQLEECNRVTLVGILSFLRNCSQKFRALSLVKCMGIKDICSAPAQLPLCKSLRFLTIKDCPGFTDASLAVVGMICPQLEQVDLSGLGEVTDNGLLPLIQSSEAGLIKVDLSGCKNITDVAVSSLVKAHGKSLKKVSLEGCSKITDASLFTIAESCMELAELDLSNCMVSDYGVAILSSARHLKLRVLSLSGCSKVTQKSVPFLGNLGQSLEGLNLQFCNMIGNHNIVSLEKKLWWCDILA >KQL29824 pep chromosome:Setaria_italica_v2.0:I:25211926:25214882:1 gene:SETIT_020215mg transcript:KQL29824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEAAAESPAAAAERLKRHDSLYGDAEKVSGDKCHGSGGSWARTLMLAFQSVGVVYGDIGTSPLYVYSSTFPDGIRHPDDLLGVLSLILYTLILIPMLKYVFVVLHANDNGDGGTFALYSLISRYAKIRMIPDQQTEDATVSNYGVEAPSSRLRRAQWLKEKLESSKAAKIGLFTITILGTSMVMGDGTLTPAISVLSAVNGIREKAPNLTQLEVVWISVAILFVLFFVQRFGTDKVGYSFAPIISVWFLLIASTGMYNLAVHDVTVLRAFNPMYILQYFSRNGKEAWVSLGGVILCITGAEAMFADLGHFNIRAIQISFTCILFPSVSLCYMGQAAYLRRFPENVADTFFKSIPAPMFWLVFVVAIMAAIIASQAMLSGAFAILSKALSLGCFPPVEVVHTSSKYEGQVYLPEVNFLIGAASVAVTLAFQTTTNIGNAYGICVVTVFSITTHLMAVVMLLAWQTPPALVAAFYAVFGFTEFLYLSSILSKFAEGGYLPFCFSLVLMGLMAAWHYVHVLRYWHELERAVPAAELAAVLARHDVRRVPGVGLLYSELVQGIPPVFHRLVDKIPSVHAVFVFVSIKHLPIPRVAAPERFIFRRVGPMGHRVFRCVARYGYTDPMEGHGEFATLLLERLKVFVQEEAAFAGGDRTVAAAMEEEQRFIDGEAARGVVYLMGEATVTAAAGSSVVKRVVVNNVYGVLRKNLRESHKALSIPKDQLLRVGITYEI >KQL28942 pep chromosome:Setaria_italica_v2.0:I:9111290:9111505:-1 gene:SETIT_019406mg transcript:KQL28942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTLKTSWPEVVGWPATAAVTQINSDRPDVAIEVVPAGATVSPGYNAKRVRVFFNAGNSLGPVVSTPLVG >KQL29894 pep chromosome:Setaria_italica_v2.0:I:26084670:26085356:-1 gene:SETIT_019607mg transcript:KQL29894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATACAYGYQGTTGPPPPPPLAPARSSSQVPPGPFLINFDDDTEAALPPPPPPPPPLPRPSSKAPPSFFSRHLGICTEGLGSESSGDIDLSDLSDDVNNNGDDAGAELRQAVMPCKRQHRDDAGDEPGRARSGRPALFPPPISVIGAGGKPWLYLRPHREGGRLVLRELRIPSRELLQARREGGRFKLQFTQPQPEEEEPEDVHHHQCHDQEPADAIVQEKRQEGNE >KQL28641 pep chromosome:Setaria_italica_v2.0:I:7169322:7170015:-1 gene:SETIT_018777mg transcript:KQL28641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNTSFACSKLAESSAIVFFAEARLGSLWSRAKHDLKLQSFLLKQEQNIKDANTLSSLINHSHNTLHHYKINGEEERQGKKFLPKHFCSISFVTVSYTSSSHAIETS >KQL29750 pep chromosome:Setaria_italica_v2.0:I:24126507:24127227:1 gene:SETIT_018469mg transcript:KQL29750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPLFSAAMEPHRGERELQLLLLPTTTPLDAAFHAPRPPATTSDHPQLDLSLSISIGPPPPAPQPSPPPAPPPAASADQSKKAAADVQALKRHTAEQARMASAERAYAERVMELARRELELAEREFARARAIWERARGEVEKVERVKAMAARRIAAGSAAALEITCHACMQRFHPA >KQL27704 pep chromosome:Setaria_italica_v2.0:I:163959:166013:-1 gene:SETIT_018681mg transcript:KQL27704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGAMVECEDNWNCQLDNITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAVGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >KQL31004 pep chromosome:Setaria_italica_v2.0:I:34980258:34981114:1 gene:SETIT_018716mg transcript:KQL31004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGNWAQFPWGAFSPGPAWKTGPSPSEIVAAARTSELRMNDKIGTRLETEPQMMNSSYITRKMENIPVTSPQTTSCSIGSFELQYLKLEYQEENGRSVEANGTSGKQDCRVYCRHYKAVVP >KQL27705 pep chromosome:Setaria_italica_v2.0:I:170727:175345:1 gene:SETIT_016426mg transcript:KQL27705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSSASRREARSGELPKAAATVSLREAATKIIEAPNPNKQAASNSMAAVVREAAAAAVRHEGWMVRYGRRKIGRSFFHTRYFVLDSKLLAYYKKKPKDNMMIYVLCIYNKKEKEHQITMGAYDIEDAMAWKKKIELIIDQQDSMTAKNRKAFASMDFDMEFGGQFSFSDHDSAAEDDEERPTLTRRTTIGNGPPDSIHDWTKEPDIGASNQNDPNQVHSKKNWRLLRCQNGKSAFLSFLIGLNLNLLTVLVLAGLRIFEELLEVDYLARSCSRAMRAVGVVDATCEAIFGLVMSMDVTRYEWDCSFRHGSLVEEVDGHTAILYHRLQLHWCPMLVWPRDLCYARYWRRNDDGSYVVLFRSIEHPNCGRQRGFVRAFIESGGFKISPLKCRNGRPRTQVQHLMQIDLKGWFLNYSLSFQYHSLLQILNCVADSKTKPVDQGQAGNRSMGMIDEESDEDDEYQVPEADLEEDPNKSDSDAKRTDDPPEKIDLSCFSGILHRDADEKSRNCWTVPDSKIFKVRSKNFPQDKSKIPAASYLMELAAIDWFKDTKRMDNVARQKGCVAQVAAEKGMHTFVVNIQIPGSTQYSLVMYFVASSLKKGSLLQRFFDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGPGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPATAVVPDLDSTAAENDDSGNNNNASSEEDLSKKTN >KQL28868 pep chromosome:Setaria_italica_v2.0:I:8551271:8556065:1 gene:SETIT_018208mg transcript:KQL28868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAARMVSRSRQLYSAQTALVNGGATQVRSFAKDAAPADRPPINGDDLLKGIFFEVKKKFETALGVLKKEKITIDPDDPAAVSRYAQVMKTVREKADLLSDSQRIKYTIETFTKGIPDARTYLNTLQEIRVKSGLIDHLGIEPLMMEALEKIEKDIKKPLLRSDKKNMATLLAEFDKINKKLGIRKEDLPKIEEELEMEIAKSELTELKKECIEAMETQLKREEFKDEEMPDVKKLDIRNFL >KQL28149 pep chromosome:Setaria_italica_v2.0:I:3786058:3787148:1 gene:SETIT_020296mg transcript:KQL28149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLPRRPRLLALVWLAVALLLAATSGCLCRSVPSGAPSRALLSTPSSSPPPPSPDTPAPPPPFHFPRPICRLCPPRCPPEGCSGTGGSP >KQL29317 pep chromosome:Setaria_italica_v2.0:I:13480036:13483253:-1 gene:SETIT_019459mg transcript:KQL29317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGTALVLFPSPVPTFIRIWKKGSVEQYSPVPYVATLLNCMMWVLYGLQLVHPHSMLVITINGTGMAIELTYVTLFLLYSTGAARRKVLLLLAAEVAFISAVAALVLSLAHTHERRSMIVGILCVLFGTSMYAAPLSVIKMVIQTKSVEYMPLFLSLASLGNGICWTTYALIRFDLYITIPNGLGALFAVAQLILLATYYKSIQEIIEARKRKADQVAMTEVVIDGKANNHAGAGHY >KQL31924 pep chromosome:Setaria_italica_v2.0:I:40448462:40448954:1 gene:SETIT_020352mg transcript:KQL31924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKLVVDLDEGEREWGCVFRTKPTSPPSLLEQRCGKAKGGLGVGGGWMDGGQKRRAGKAGELKSRDGDCLQMNQATALSRSLSHTSKLAAAPAPLPPLQRHCSPSAS >KQL28871 pep chromosome:Setaria_italica_v2.0:I:8571750:8575067:-1 gene:SETIT_019105mg transcript:KQL28871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPGGGSSWGRVVAAAALLLVQLCGCVAPVAMAAAAAGGGKRGPGAKYKDPKQPLNTRVDDLLRRMTLAEKIGQMSQIDQENATADVISNYFIGSVLRGGGSVPAADAPPEAWVKMVEGIQRAALSTRLGIPVMFGIDAVHGHGYVYKATVFPHNVGLGCTRDPELAKKIGAAVALEVRATGIPFIFAPCLAVCRDPRWGRCYESFSEDPKLVQQMASIISGFQGEIPTGGWRGAPFIASQHNVAACAKHYVGDGGTASGINENNTVATFHELLGIHMPPYYNAIIQGVSTIMVSYSSWNGIKMHANRFLVTDFLKNKLRFRGFVISDWLGLDRITSPEHADYPLSIKLGILAGIDMVMIPYQYVEFRDDLMTLVQNGTIPMSRIDDAVRRILRVKFTLGLFENPYADTSLVGELGKQEHRDLAREAVRRSLVLLKNGKPGEKPLLPLPKKAHGGSILVAGSHADDLGSQCGGWTIKWQGMTGNNLTAGTTILDGIKSAVVPGTDVVFSENPDAGLIQQNRGRFDYAIVVVGEHPYAETSGDNLNLTIPAPGPAIIQNVCGSIKCVVVLVSGRPLVVEPYIGIIDALVAAWLPGTEGKGISDVLFGDYGFTGKLSRTWFRSVDQLPMNVGDAHYHPLFPFGFGLETKPSTY >KQL31881 pep chromosome:Setaria_italica_v2.0:I:40248589:40252590:-1 gene:SETIT_016960mg transcript:KQL31881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGEDEAAAIERQLEEQLQEQQSSLAAVDEALAADPSNADLLEVHEELLAAIKDAKEGLLDLKRSRVVKQVDDIFSNEEPASQAPEVAPEPLDPDDVEPEPLVSHDFSVGSKCRFRHNNGRWYNGCIIGFEGSSDARISFLTPTSENMAMCKFFLQQRCRFGSNCRMSHGIVIPTSALKKFTPTRWQQSLVGSSILAASGRHSGLWRRAELESWDDNLKLGQVVFLDDGSSARLTSDSLSLSEYADMSSEDDEGSSSEEESELSDDADQEDGCVHQGIGLLEPANFSGIQTDTVIFAKWEHHTRGVASKMMAKMGYREGMGLGVSGQGMLDPIPVKVLPPKQSLDHALAASEADGSVGSGKKRSRGGKRKREKKFAEQARAAKAEEAERSVFSFINSHLVNQDMPEGSAIKVKKGASGEANGHAKKEDRRSLVAYDEEVKELRIQVERLEEMKNRNRKDKAVFEAASRKLEETRKALADAEATHASATNAVARKEKEKKWLKF >KQL30177 pep chromosome:Setaria_italica_v2.0:I:28627047:28627365:1 gene:SETIT_018848mg transcript:KQL30177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMDAHCHRLAMVVVLVLLASATQEVLAVRSLGVPAPSSSPDVLPSARSDTPEKKRAAAAGAGSTPSAVFDPDRMSKRRVRRGSDPIHNKC >KQL30708 pep chromosome:Setaria_italica_v2.0:I:32792363:32792838:1 gene:SETIT_020254mg transcript:KQL30708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTYLEGRGGFWGGVRFRSDSAASPRARASAAHDDLVR >KQL28265 pep chromosome:Setaria_italica_v2.0:I:4648910:4649434:-1 gene:SETIT_019831mg transcript:KQL28265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPSSSGGAVPPHLSSPPPPSPPAQLTECMTSLIGMMPCMNYLTNLSVLAPPAECCDGLKSVIRDAPICLCHGMTGDMNDLMPLPIDPVRMIILPLTCGAMLPLQTLFSCNTQQVPPIMPPMAALVPANPPASPIR >KQL31434 pep chromosome:Setaria_italica_v2.0:I:37473668:37475239:-1 gene:SETIT_017172mg transcript:KQL31434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPVSRSRHRPRAVRVAVAEVPLTTRRTRQPAPTPAAAAEPSVPGHFVCPISLDMMRDPVTAPTGITYDRDSVEGWLERGHATCPVSGRPLRAEDLIPNHATRRMIQEWCVANRALGVERVPTPRVPVSADDAAELLAAVNVAAGRGDGLACRQLAARARAVGKESERNRRCLAAAGAARALSSAFSQLVDRPALTTTGALEEVLAALVVFFPLGEESRRHIASPASLNAVVSILSHGETTARASAAVVLREIASSSDAQCLDAMSETDGIHDALIKLLEKPVSPQATKAALVTAYYLVQNTGRAASSRRLVELGMVQLLVELLVDADKGMTEKALAVLDSLFLTEEGRGRAYAHALAVPVLVKKMQHVSDMATEFAVSALWRLCKNFSGEGPCKAEALQVGAFQKLLLLLQVGCMGVTKERASELLRLLNGSRSGVECIESVDFKGLKRPFL >KQL30892 pep chromosome:Setaria_italica_v2.0:I:34135861:34136088:-1 gene:SETIT_0198422mg transcript:KQL30892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSSLITMAMGEPNNAAGLMRDCIYFTHKKRMWVRLCNYRSLCRYNIKCGRVDKTISLASCDDPGQIFRINLNPSP >KQL32148 pep chromosome:Setaria_italica_v2.0:I:41539895:41541991:-1 gene:SETIT_017845mg transcript:KQL32148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMSVGTRNLAAHSCASRKSLDTLAATHDMSGRARGSLATHRSASAVSDSAASTGNESLSLRSTHRRIPSPPPSAAAASAVSISDVLWYSPVPRFTISYRTGSCPDTSSSSTTPSANTSERGDGGPPATSSGAMYPRVPSIRLPRLLLRRWPPDPELLPLEEDSSMSSFCSTGSSPARRWSRSRRPGRSWWCWCAASGGSAAWRQRRRRPWRRRRRWRWRSWICTSDSPWAWGRPWGAPDRRDRQPPLAGSLLDAASMSCRSLEGVMIKLAPFICNGGWRRKRGTEKTAEGRGWLWAVAGWSKEGQTPHSIS >KQL32152 pep chromosome:Setaria_italica_v2.0:I:41553991:41554689:-1 gene:SETIT_018934mg transcript:KQL32152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFVPSLITYTVIHSWSLEGLVRSTKRIEPHDSFAFGLPFSFSVSFGDCLPGVQLFVCYSGLLLLPANGK >KQL28742 pep chromosome:Setaria_italica_v2.0:I:7856935:7857444:1 gene:SETIT_019007mg transcript:KQL28742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAASHPDPPIRTVRRRTESTSSSSPENQRRGYQLPRAAHPATKHAQSYRQPARAP >KQL28218 pep chromosome:Setaria_italica_v2.0:I:4369196:4371337:-1 gene:SETIT_018026mg transcript:KQL28218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLNNQIVETLPDWRDKFLSYKDLKKRLKQIGAGSGERRSKRQRVEDGGGSSPAMTPEEAGFVALLDAELEKFNAFFLEKEEDYVIRQKELQDRAVSAAETGSAEELLRVRKEIVDLHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQNVMQEPFFTTDLLYKLVKECEAMLDQLLPRSQQPPAPSDDGREDSDGDDKPVDNASSSLANGGGGALELEEIEDMESTYMKSTLAALRSLREIRSGSSTVSAFSLPPLHGANGHVEEPEQEG >KQL28891 pep chromosome:Setaria_italica_v2.0:I:8682080:8686014:1 gene:SETIT_018258mg transcript:KQL28891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATSYGSMANPPFTSKIPFPKKQVSNWIPATISNGNGTGGMFIVARRNSRIGFQVRAVTGDQGSVNVSDVKFPGDYTELLMQAKEAAQSALKDGKQLLEIEFPTAGLQSVPGDDEGGNEMTGSMLLIREFCDRFVPAEKATRTRVFFPEANEVSFARQSAFEGCLLKLDYLTKPSLFEDFGFTTKVKMADRVKPEDETFLVAYPYFNVNGFALSLLLEFAISPPLVLIY >KQL30719 pep chromosome:Setaria_italica_v2.0:I:32868534:32869941:-1 gene:SETIT_017636mg transcript:KQL30719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHGCGLLPCLRWDKGEAAGRADPRVADEPGAGASVSAGKGGATRRFAWAEIESVTAGFSSRVIGQGGFSTVYLASLSSSRLGAVKVQRSSERLHRAFRQELHVLLSLRHPHVVRLLGYCDEREEGVLVFEYAPNGDLHERLHGSGGKAALPWARRMAIAFQVAMALEHLHETRDPAVIHGDIKASNVLLDASLDAKLCDFGFAHVGFSAALQPPDAAASRASARSVMGSPGYVDPHFLRSGVATKKSDVYSYGVLLLELLTGREAICADTGCRLAAAVGPTLTEGKVADVVDRRLGDEYDTHEAATVAALALRCVSDGHGLRPSMAEVVRELQEKTTALIAAAGSKPAGKMAA >KQL31287 pep chromosome:Setaria_italica_v2.0:I:36713645:36714901:1 gene:SETIT_020340mg transcript:KQL31287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLLSAVFGEVFTRYINFFINKSSKLQVLGMEDCLWRVLLRAQVIVDEAMGWHITNQAMLQELNVLRDAIHRGCYVSDNF >KQL31742 pep chromosome:Setaria_italica_v2.0:I:39503061:39503443:-1 gene:SETIT_019128mg transcript:KQL31742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISPLLLAAIALLLVSSGTTVKVSAGCTQPQSVILYPGKPCNPQVCKTNCAEQYNDGIGTCMKPDGCDCEYCQGRDIPTPLTRNWTE >KQL28091 pep chromosome:Setaria_italica_v2.0:I:3248601:3250387:1 gene:SETIT_019752mg transcript:KQL28091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRFVGLLVLTIFLCLVAGQLRPSVAAPGGLPRDLFSLGIASRICTDRNSTAKASTDFGHMVQAAPEAVLHLATPADIAELIRFSASSPAPFPVAPLGKGHSGRGQTLAPGGVVVDMRALGRGRGAVRRRRRRAAVGRRPPRGAGARARPAVCTDYLHLTVGRTLSNAGIGGQAFRHGPQIASVHELDVITGTGEMVTCSGAKNSDLFFAVLGGLGQFGVITRARIGFEPALKRVRWVRLAYSNVANFTKDRLKRTLFEGPKSTQFFLGADITKLAGLASRFGSSTIYYIEGAMYYNEDAPALVDQLNFEPGFVFAKDVTYEQFLDHVCEEEMVLRSAGVWDVTHPWLNLFIPWSRILDFDAGVFKCIFRDANPAGLILMYPMNKDRWDDQMTTVTPTNDDVFYNVALLWLALSANDVDQLHRDNKTELAFCEKSGIEYKQYLPHRTSQDRWLRHFGVKWSRIIDLKMKYDPQAILSPGQRMFSLPVEAAS >KQL31101 pep chromosome:Setaria_italica_v2.0:I:35633878:35635431:-1 gene:SETIT_017726mg transcript:KQL31101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRAAGSVLLRHLGPRVFGPVSSPVAAAPRPLLAQAAAAVWVRLLSTSAAEVKEEAAASKGNASSTAAAKAEAAEAAREGDGKKSPVVSSYWGIEPSKLVSKDGVEWRWSCFRPWESYKPDTSIDLTRHHKPKVLLDKIAYWTVKSLRFPTDIFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALMEEAENERMHLMTFMEVAKPRWYERALVLAVQGVFFNAYFLGYLVSPKFAHRVVGYLEEEAIHSYTEFLKDIEAGKIENVPAPAIAIDYWQLPADARLKDVVTVVRADEAHHRDVNHFASDVHFQGMELKEVPAPLGYH >KQL29530 pep chromosome:Setaria_italica_v2.0:I:19873630:19874698:1 gene:SETIT_017678mg transcript:KQL29530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALMDGELDAIVKVSAAVWAAMSYARLAAARLRPGAPRLAALLPVVALLYAIPFAFWTTTFRGTSGFFLTWLGSFKLLLLATGSGPLDPSLRLHQFVCSASLPVKLRKSTAAEEKSKAPVRGPARMLLCGAVIPAIIYAYQFKDSMNRYQLLALYTLHIYFSLDLLLATVHTVIHDLLGMEMEPQVDHPYLASSLRDFWGRRWNLMVPSILRPSVFRPVRARLGNAAGVMATFLVSGLMHELIFYYIMWSPPSGEVTAFFLLHGACAAAEGWWASHPGWWRPPRAAAVPLTLAFVAGTGFWLFFPAMVKGGLDEMVLQECQGMVALMEQAGRRLAGATDLVSSTI >KQL30711 pep chromosome:Setaria_italica_v2.0:I:32827081:32828313:1 gene:SETIT_020187mg transcript:KQL30711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFLLAVVLCLTAILVFTHALWLITDGRRRRLPPGPWPLPVIGSLHAVTWSRPHRSLAHVAERYGPLMCIWFGRHPTVVVSTPDAARKILTNSELAGRTVLDTMLAEGHSADSVLLLPPGNKWRAMRRLAMAELFTKGQLDARLQLRQEKVQELVLYVSEHAARGEPVDVGHAVFMTVINVVSRSLFSADIGSRELRDKVKEAAQLLSTPTLSDIFPSLAPADLQGARSRMGALVRYAHRIIDEQYMRRRRGRDAGQPRKDDMMDVAIDKEKEWEEEGSEMNYGAIKGLITAVEANQRMGSVTGAEEKQLLGKVGWEGSGGRWGKQ >KQL31352 pep chromosome:Setaria_italica_v2.0:I:37031759:37032898:1 gene:SETIT_019184mg transcript:KQL31352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRTVAGGRSGLPAPKEGWPVAAAGALQLHQGIGWERTKGAMIWWPFGEAGAELGPCPMISDDRAARQLTDPVAFPTGDPAIWNCLAAEVAPVRDGFHESGPKHRRSGHCSRLHAPWLRATHYCRFLMPFHIDWPWN >KQL31934 pep chromosome:Setaria_italica_v2.0:I:40516265:40520011:1 gene:SETIT_017156mg transcript:KQL31934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAFAVSSPAASAVAARSKVHGGGKNAGRIGCRVGITRKNFGRVMMALAVDVSRFEGVPMAPPDPILGVSEAFKADKSDLKLNLGVGAYRTEELQPYVLNVVKKAENLMLEKGEYKEYLPIEGLAAFNKATAELLLGADNPVIKQGLVATLQSLSGTGSLRLAAAFIQRYFPEAKVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMIADIEAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKKHMPFFDVAYQGFASGSLDEDAFSVRLFVKRGMEVFVAQSYSKNLGLYSERIGAINVVCSAPGVADRVKSQLKRLARPMYSNPPIHGARIVANVVGDPTMFGEWKQEMEQMAGRIKNVRQKLYDSLSVKDKSGKDWSFILRQIGMFSYTGLNKAQSDNMTDKWHVYMTKDGRISLAGLSLAKCDYLADAIIDSFHNVN >KQL29057 pep chromosome:Setaria_italica_v2.0:I:10013252:10016093:1 gene:SETIT_019577mg transcript:KQL29057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKPKDESLFIRGHATISPVIRHRRSSRPIDDADIGSRPKEACSPSKLGSRDYSGHSPPKQISGKQGLGRHGQIRHVQEISGREASFCPLPPHLSPHTMTALNAIGAIAAAVSGGRHVVVSTSTSSGRSLCYNVPVLESISPPSSTSCALYIFPTKALAQDQLKTLLEMTQGQSNNNNSHVSIYDGDTPMKDRAKIRDSARQLITNPDMLHMSILPSHAQFRRILSNLEYVVIDEAHSYKGAFGCHTALILRSHPKFIFCTATLANPKEHVMELAKLDDVELVNNDGSPCGAKHFLLWNPSVARAQERRPSPVQEVSYLFAEMVQHGLRCIAFCKTKKLCEMVLARTREVLDETSPELANSICVYRGGYVAEDRRRIEADLFGGKLRGVATTNALELGIDQAGRSGRRSKEPISVYVGFEGALDQYFMNFPQKLFGKPVEHCQVDSQNHKVLGQHLACAAFEKPLCPVMVALKEKGCLVNNSGVRKYVGPDNKSPSRTVSIRAIEHDRCKVIDKQGYRVLEEIEESKAFFQSDIALPPTSASSSKTGMVRTTAQANSCKVTTEWACFDRIWKSNNVLSDSIEVDLPPYSFDTQAAWVTVPKSVRAAVEQRKLGFQGGVHAASHALLSIVPLHMMCSASDLGTWCADPQQTSKTPERILLYNKHPGGIGLASQVKLLFGELLIGALQLISTCTCTNLDGCPNCIQSFACSGYNKNLDEAAAVLILKGVIENER >KQL30277 pep chromosome:Setaria_italica_v2.0:I:29580524:29584905:-1 gene:SETIT_016296mg transcript:KQL30277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRSSPAAPTFFPFGPQAEVRDVTFKVKYGDTLKRFYGCVNGAHLDMNLSALRAKIATAFKFGPDADFILTYTDEDGDAVMLDDDDDLRDAALRQKLNPLRITVQLKKSQPTEQKERNSTPVKPTAQDPLSQIMSAIEGLKPAQEESLAHIKSAIGEAIKSIPEPIPDAIAKLSHEVLDAAPPPLAELMKPFVQMMAPSNGGNGPSDAHAEGSSTSSTRVAEDAPVAVPATAKPKAKACLDLRSVLKDAPVAAPSVEASQGQQPSMYPSVEELLFPSNSVDKPVCKGKIDAQSKGKSVASSATQPASPHAVPIHVPPPPPCVSELFRPRRSQPHQWQSENNTKVTSDSRWRIPMYKVPYAPPAAVSHAPPGYGPSPHFPYPGRLLSSGHPYGDLAGNMENSAPRSLHRWIQCDGCGVQPIVGPRFKSNVKEDYDLCNSCFQRMGNEVEYTKIDKPILPHRLLRDPHSYRKVHHPRVVMKSKREKLESRFILDVTVLDGTLMTPSTPFTKIWRMHNNGSIVWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDKEIDVAVDFVAPTRPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVNDNNRNTAINLNLPPEGSSANTTSLIDVNIEPVDPALSAHAKRTKEFHFYPSDVPEPKKSQPALVVATSMPAAAPANLVVDVPMSSATAAAFVPSVSVPAPAPELLAPVGPSPVNVPILPTTVPVSMPAPASAPASAPAPASVPVPPPAASAAAPEPFDIDGHNEEKLLRELEEMGFRQIDLNKEILRQNNYNLELSVDDLCGVNEWDPLLAELEEMGFDDTEMNKELLAKNGGSIKRAVMDLIAREKKDK >KQL30275 pep chromosome:Setaria_italica_v2.0:I:29580053:29584905:-1 gene:SETIT_016296mg transcript:KQL30275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRSSPAAPTFFPFGPQAEVRDVTFKVKYGDTLKRFYGCVNGAHLDMNLSALRAKIATAFKFGPDADFILTYTDEDGDAVMLDDDDDLRDAALRQKLNPLRITVQLKKSQPTEQKERNSTPVKPTAQDPLSQIMSAIEGLKPAQEESLAHIKSAIGEAIKSIPEPIPDAIAKLSHEVLDAAPPPLAELMKPFVQMMAPSNGGNGPSDAHAEGSSTSSTRVAEDAPVAVPATAKPKAKACLDLRSVLKDAPVAAPSVEASQGQQPSMYPSVEELLFPSNSVDKPVCKGKIDAQSKGKSVASSATQPASPHAVPIHVPPPPPCVSELFRPRRSQPHQWQSENNTKVTSDSRWRIPMYKVPYAPPAAVSHAPPGYGPSPHFPYPGRLLSSGHPYGDLAGNMENSAPRSLHRWIQCDGCGVQPIVGPRFKSNVKEDYDLCNSCFQRMGNEVEYTKIDKPILPHRLLRDPHSVHHPRVVMKSKREKLESRFILDVTVLDGTLMTPSTPFTKIWRMHNNGSIVWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDKEIDVAVDFVAPTRPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVNDNNRNTAINLNLPPEGSSANTTSLIDVNIEPVDPALSAHAKRTKEFHFYPSDVPEPKKSQPALVVATSMPAAAPANLVVDVPMSSATAAAFVPSVSVPAPAPELLAPVGPSPVNVPILPTTVPVSMPAPASAPASAPAPASVPVPPPAASAAAPEPFDIDGHNEEKLLRELEEMGFRQIDLNKEILRQNNYNLELSVDDLCGVNEWDPLLAELEEMGFDDTEMNKELLAKNGGSIKRAVMDLIAREKKDK >KQL30276 pep chromosome:Setaria_italica_v2.0:I:29580053:29585131:-1 gene:SETIT_016296mg transcript:KQL30276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRSSPAAPTFFPFGPQAEVRDVTFKVKYGDTLKRFYGCVNGAHLDMNLSALRAKIATAFKFGPDADFILTYTDEDGDAVMLDDDDDLRDAALRQKLNPLRITVQLKKSQPTEQKERNSTPVKPTAQDPLSQIMSAIEGLKPAQEESLAHIKSAIGEAIKSIPEPIPDAIAKLSHEVLDAAPPPLAELMKPFVQMMAPSNGGNGPSDAHAEGSSTSSTRVAEDAPVAVPATAKPKAKACLDLRSVLKDAPVAAPSVEASQGQQPSMYPSVEELLFPSNSVDKPVCKGKIDAQSKGKSVASSATQPASPHAVPIHVPPPPPCVSELFRPRRSQPHQWQSENNTKVTSDSRWRIPMYKVPYAPPAAVSHAPPGYGPSPHFPYPGRLLSSGHPYGDLAGNMENSAPRSLHRWIQCDGCGVQPIVGPRFKSNVKEDYDLCNSCFQRMGNEVEYTKIDKPILPHRLLRDPHSVHHPRVVMKSKREKLESRFILDVTVLDGTLMTPSTPFTKIWRMHNNGSIVWPLGTQLIWVGGDQFALQTSVPLEIPVNGFPVDKEIDVAVDFVAPTRPGRYISYWRLASPSGQKFGQRVWVHIQVEDPSFVNDNNRNTAINLNLPPEGSSANTTSLIDVNIEPVDPALSAHAKRTKEFHFYPSDVPEPKKSQPALVVATSMPAAAPANLVVDVPMSSATAAAFVPSVSVPAPAPELLAPVGPSPVNVPILPTTVPVSMPAPASAPASAPAPASVPVPPPAASAAAPEPFDIDGHNEEKLLRELEEMGFRQIDLNKEILRQNNYNLELSVDDLCGVNEWDPLLAELEEMGFDDTEMNKELLAKNGGSIKRAVMDLIAREKKDK >KQL31453 pep chromosome:Setaria_italica_v2.0:I:37623895:37626265:1 gene:SETIT_020099mg transcript:KQL31453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLASAILADSFWDRYSTISLFYHNCFIVAIHSGLAGLASWAMLHSWLPRSLLFLPLYLISVGQGGYDPSLQAFGADQLLIEDDKESLPAEQKNQVKGLFFQWWYFGICSGSLLGNSMSYIQDTFGWGLGFAIPCGVMILSVIAFCFGNALYTRKEQNTANKPSWSIFKVLKEAVTYIIYSKGPLEEKALKDEFTDPRETGNGHDATPNVTKTILRLLPIWTTLLIFAVNFQQPMTFFTNHGMLMNHKVGSTFVIPPATLQSSTTMSVILLMPLYDKIFVPLMRLFTREEKGITVLQRTGIGMVLSVVAMVVAAAVESKRLHLTSEGDATQLSIFWLLPQYILLGVADVFTVVGMQEFFYTQVPSTLDEGVWIFLPINTNYGLLSSWKRYTTLLVLLVEKIHYKLLYGKIWEVRVWSIRVRFCFFCTAVLPLVFGFGSFLGAFLISVLEMTTAMLGEGHGWFSDDPQQERLDKYYLFLALVSTIGFVFFTYLCKYYNDPEAGGA >KQL28729 pep chromosome:Setaria_italica_v2.0:I:7783171:7785900:-1 gene:SETIT_017620mg transcript:KQL28729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGKARVVVVGGGVAGSLLAKTMERHADVVLLDPKEYLEIPWAELRSTVEPSFAERSLIYHKDYLTDATIVTSSAVDITKDAVLTADGQSLPYDYLVIATGHALTSPGSRDDRVKEFQRDNQKIESSDSVLIIGGGPTGVELAGEIAVDYPQKKVTLIHRGPRLLEFIGEKASRKSLDWLTSKKVDVLLQQSVDLGSLSDTEKVYKTSGGETITADCHFVCIGKPLSSSWLNDTILKESLDNKGRVMVEKDLRVKGYNNVFAIGDITDIPEIKQGYLAQKHALLVAKNLKLLIKGSPNTKLSTYNTGFPLALVSLGRNEGVAQFPYLTISGCLPGKIKSRDLFISKTRKQIGLNG >KQL28557 pep chromosome:Setaria_italica_v2.0:I:6671480:6675764:-1 gene:SETIT_016533mg transcript:KQL28557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRWRRPHTWDGTWAGKILDIIYGHYKKALDLLPLEDKTELAPRLLDAGVCFGFADPVTNIIANTLSFGEPAPDGSIKRRKRKRKPKTNASEEARSREEVLSRIVAGDGPSPPEARTVAERSLEGLVSFLTSYFRYLPNWDALRYLSLARADLLVAVSLIQKDHCYRYKDQFRICSPAVKTSLKCAAWSARQPNFDGFLAGCFALVPHINKITQTLSAEGRCRLTAHDISFLSQLLANPPKLKRSDKPMDLVAKRFHDPEPKIAASIEDVPGELTESLRGVLMDRVHEHYLKAVSRFPMKDLQTHHHRGLLKAGYCFGPFDPVSNIIINTVWYGTAFPPSEEFGVDMICTLRHVESRSANGLMAFVRSCSPVISERKAMVYLLKCNLDVCEAIQMAKQEGCDVSICDDNGYKAAAKAAYHPNPEAYCEFVVQSLPALRSTVRSLLRPSHMLAPIEILHLSTLLSFSSVESLEPIIHLTEDALNQITNYKQDFLTQQSFVRGKVEAAVRNYEQSKGCYYDLGVICGVNDRVGKVTGIFDTKFQYTHANFWASQDNGTSTLFFAEFSNDEDSNYEPICYPVCGLPTQVRCCYCEYQGIRIVHPIGSWWEGVNGFEKIACGEQYFTNTEIVGRWKLIDNRVGIFVQDYVYLDPAHDAKLIQAVNKAERVRNIDMDAEMRRRKSEPAAGH >KQL28345 pep chromosome:Setaria_italica_v2.0:I:5303369:5305326:1 gene:SETIT_0168362mg transcript:KQL28345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEPAPAPADLSAAAQVSALPLQVDLLQLPPEVPAPGAPALRGVLDHLFAHWLSLPDTAALVASLVQKAKASGGGAVGGAMLPSMMLQGGATVPPLSPRSPRLSRRPSGLGAGQPNRSASPLRPTAARPAKEVIPQFYFQDGRPPPYEVKKQCISTVDQLFAGHSNGLRAQEFRMVTRELCKLPTFFTTVLFDKIDKESTGFVTREAFIDFWVNSNLMSLDSATQVFTILKQQNRNYLMKEDFKPVLKDLLDNHPGLEFLKSTPEFQERYAETVVHRIFYCLNRIGGGHLTLRELKRGNLLSALRHADDEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIKYGNHALTYRIVDRIFSE >KQL29595 pep chromosome:Setaria_italica_v2.0:I:21714403:21714881:1 gene:SETIT_020342mg transcript:KQL29595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLRCAGPGHLSTLLSEIRHLLAKRFTVPGPSACRGYPSWENRMVPY >KQL31353 pep chromosome:Setaria_italica_v2.0:I:37040866:37045894:1 gene:SETIT_016378mg transcript:KQL31353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEAAGGAAAAHGKRWRGESWRATLLLAYQSLGVVYGDVATSPLYVYKSAFAGNDIQHSAGNEEIYGVLSFVFWTLTLITLLKYVLIVLRADDGGEGGTFALYSLICRHVRAGLLPGGGTRDELMEEEKATGRRGERPVSRIRAVLEKYRVLQRLLLLFALLGTCMVIGDGVLTPAVSVFSAVSGLELSMEKEQHKYIELPVACAILICLFALQHYGTHKVGFLFAPIVCIWLLCISAIGLYNIIHWDHHVYRALSPYYMYQFLKKTQTGGWMSLGGILLCVTGSEAMYADLGHFSQSSIQIAFISVVYPALVLAYMGQAAFISQHHNFESSYHIGFYVSVPESLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSSLSCFPGVKIVHTSSTVHGQIYIPEINWMLMILCLAVTIGFRDTKHLANAQGLAVITVMLVTTCLMSLVIVLCWNKSIFIALCFLLFFGTIEVLYFSASLVKFHEGAWVPITLSFIFMVVMCVWHYGTIKKYEFDVQNKVSVNWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVQPEERFLVGRIGPKEYRLYRVIVKYGYRDVQKDDLEFEKELVSNIAEFIRSSGEYDKNGFVEDTDMPSEKLSPVSTGIPLWEEDGDLDASASPHKEIDPQNIAPKRKKARFMIPKSAQVDSEVRRELQELMDAREAGMSFILGHSYMKAKSGSSFIKRIMINFFYEFLRKNSRGPAYAANIPHASTLEVGMVYQV >KQL31704 pep chromosome:Setaria_italica_v2.0:I:39301317:39305151:-1 gene:SETIT_017377mg transcript:KQL31704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVEGCMHGELDIVYDTLRRLEEAEGIKIDLLLCCGDFQAVRNTDDLRCVNVPLKYRNMNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIHKQHNYHSGHYERPPYNEQTIRSVYHVRHYDVLKLMHVKEPLDIFLSHDWPLGITEYGNWQELIRAKNHFEAEVNNRTLGSKPAAELLNKLKPPYWFSAHLHCRFPAIIQHGENGPTTKFLALDKCFRGRNFLQVIDIPSNPGPYEIHYDEEWLAITRRFNSVFPLTRRRFTMRDEQLDTQDDRQWVRSKLNTRGFKPFDFVQTAPAFNPSNPVSNSSVIGSCRNPQTESFLQLLELPYLLDSSNSEGVERNESSSQPGNTLGDEDIELPDEDEDAADDDE >KQL31689 pep chromosome:Setaria_italica_v2.0:I:39216518:39217179:1 gene:SETIT_019944mg transcript:KQL31689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEVIRGTPYGRSADIWSLGCTVLEMFIRRPPYPDDNWVSAFYQIGRGQLPPVPSSLSPVAREFILKCLQVNPDDRPSADELLGHPFVALPYSEQHAA >KQL30800 pep chromosome:Setaria_italica_v2.0:I:33381748:33382898:-1 gene:SETIT_018184mg transcript:KQL30800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAFGSVGDSFSTTSIKAYVAEFIATLLFVFAGVGSAIAYGQLSHGGALDASGLVAIAIAHALALFVGVSVAANISGGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLKFVTHGKAIPTHGVAGISELEGVVFEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLIYGDVFIGGNYQQVADQDYA >KQL32195 pep chromosome:Setaria_italica_v2.0:I:41744020:41744295:1 gene:SETIT_018920mg transcript:KQL32195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCTTISSINCALKIKKRSELVGHAEKVDTIVAVAGHNIAPTPPLLKLRTKTVVAWHCHPFITFQATPTTHCSLL >KQL31445 pep chromosome:Setaria_italica_v2.0:I:37572699:37573842:1 gene:SETIT_018766mg transcript:KQL31445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYKNHGDLLLKITGGRDVVGQCPSLAWRGAGRLRLPKRNSCACPAGEDVRIPTSVTDDNLKRGYLSHWYCGDLGGVSWGIRSSINTDCIMSLLRSPHLTPPLSDIKSSS >KQL29245 pep chromosome:Setaria_italica_v2.0:I:12007368:12011538:-1 gene:SETIT_019584mg transcript:KQL29245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLVFAVIRKRPYKVLHSYAEHCYTVVCEKESFSWRVCARKQKVTGKWNITKVVGPHNCADHELRMKHPQLTSTLIAKWLMAILQLEPKMKVRIIIRTVEELDGGYVISYGKTWRAKQRAWKMIYADWEAGYEQLPVLFNAIKAVNPGMHYEYIPKPNEWKDGRQIFFHAFLCFPQCVEAFRHCRPIFSINGTFLIGKYRSKLLIAISCDTNNNLFPLAFALVERENNDGWGWFLRLVWLHVVEPDREVGIISDKHQGILNTMREQIEGYAPLHHRCCTRHLAENLLRKDGVKDNFELLQDAARQLEDRYFQRKLEQVRTATNAKRRQWLTGLMRDLDKWTRAHDVGGWRYKFQCSNMAKSFNKLLLGIHGMPVNTIIQFTFYKLIAWFNDRHIYALRLQSAGEKWPPRPKKHLEKAKERAATHEVICFDLGIGTYQVEQRGGTTSDSEVRESRIHVVVLRDFTCTCGKPRQYHFLCSHLPPYDGLKYIADPAYRWNKRQSRKMTRHRMVMDRIPQGVDGAIPPARLGVQPHPPRMSHSGGRGAGNNVYVLIEFLWRPETHTFHLPFGEMAVTLEDCLDPTLLRLLFPNATGDTASWMWIHCLTDWNQAGQYSWGSAILGFLYRQLCEACRRSTFSASLGGCMYLLQLWMWAHLPAGRPEVLSHPYLWDQVKVPHSRLERACCDFTNELDMLTTSSVSWEPYVGEGALAFSLSTVCSSDDD >KQL30396 pep chromosome:Setaria_italica_v2.0:I:30267477:30270852:1 gene:SETIT_016650mg transcript:KQL30396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTFEDAEELCSLVSSQESLVDKKRRWLESMILKPGGCSSRVKRPKFLDEAYLPESYIRSGEISCEKVRASMEKSLSSESNGYTHHIVQDGLRLFDLQKKENEPFGPEYLGIIQTTISKLTYETLQSVACIVTRNKFSFDKTRLAMEKIVESHLPSYLAKLDHKELFYIFRNPCSYRSGSVRLVTPVSPQLLSAIHHALDGLDEMPMQPLVAMNRKIREKSCTPKFGFVARSSNRGHIIEIVRKRCNKILTELEEGNYLPKNLAKAMSVANLYKKQKLRSVDISQSEFFPFTKETISLQNDILNALWSLPKLRHDKRKLLRPILDQDSKVERTHLKAALRNYLTDCLFECDEGSLPDEALRAIAFINRTCGHQQVVLTEKRKEVEVDAVLNLSSHLQALAHCWVEECSCGEELISLGNDSCNEDNDFILSGTNYFNLSSVQQPMQEPCCSSNIGTDVVRECCWSETVGDMQNVSGAEDSGPKSEEMLRKSCLRTEDSGGIGHYSGNEAAGSGMGPYAEKSVNASHLKESRCSKINGICDETSIVAHKLIGQILDKWLLVNEVDEPSRSHLGSGLVSQSPQDDDKEPVNSTENLEGDIFTHAVERVLPNLPKSCIDKVKRLMS >KQL28369 pep chromosome:Setaria_italica_v2.0:I:5440006:5441944:1 gene:SETIT_018558mg transcript:KQL28369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQPSPDKVARSTRSRPFPSSAPPIPLPLHRRRSLSSSSPVTMIPQSQSSSLQRLHHVEKRIVRVLELAGAVMEELGNSQGPRTDAVGAHCREFMLAMKDIQTTLREEIKSACEYRPFEKCDYSARIANEICCKKLEYVIEKLDTMQQNLGQSPDDI >KQL27903 pep chromosome:Setaria_italica_v2.0:I:1534652:1535360:1 gene:SETIT_020043mg transcript:KQL27903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGFCFDVQMLATMTAVVFGGAGYLGYLLSVAARSHHPRRLGRRRCLRLHLLLRRFPPGVRAGAAPRPSPLRAPPVPALRRVAAAAPVAVPPQRRPAAPPPAEARARQAAAGMAVLAREPPVLRGGARAAAADDIPTYKERDGARPDGASPEECSVCLCEVEKGKMAKRLPVCLHVFHQECIDLWLRDNSTCPVCRCDVFAQLPAQMA >KQL29351 pep chromosome:Setaria_italica_v2.0:I:14217031:14218281:-1 gene:SETIT_019916mg transcript:KQL29351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAEALGLPPGVRFDPTDEELVEFYLLPRALGQPPAVPGVIIEDDAAATSSCHPWKLLKRHRRTDDDEAYFFEKISSNDDAKGGARQARSCGSRWTWAGQRRCPDEALHLPGGEQVSWGKYALNLQEAHARRGSTGWVLHEYTVALPQGPSPVKVCHVAFTGRGQKRQRMPDDDDGEGEGQELELQAAPPQHKRAATASSVITTATPDQEQQFLNYGTSSAGDFLGSDTGFSQESSVLDPWCAEAGSSKKEPFAPDQELAQNQECFTSQSQEQFGNHEGSSIEAYCALLLASELGSSQEPPAGQSLTEEQQWGLQLAQLLCDIGSPRCPPTSPAGAEAGYHEGHIQTTALEAAADLPPPTDQESCTTDDFFLGWGDLDSFCDTTGVQDDDDVATATPEHLPAMVVEVEPVAGAKSK >KQL29604 pep chromosome:Setaria_italica_v2.0:I:21902877:21903969:1 gene:SETIT_019513mg transcript:KQL29604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQEHQAPIALLLILIVSVSGELPIHEGRRARSSLVSSRTLTITNHCGHTVWPGILSSSGSPTLETTGFALEPGQSRSLPASHGWSGRLWGRTHCSVDSAGRFSCVTGNCGSGQLDCAGHGAKPPATLAEFTFDGHGGLDFYDVSLVDGYNLPMLVEPRHTHGAAAGPNCVVTGCVMDLNGACPAELRVGSSDGRAVACRSACEAFGSAEHCCHGEHGNPNTCWPTVYSQFFKKSCPRAYSYAYDDATSTFTCGGGGTSYAITFCPSTTSVKSVGTDAASVGGGQVGSSSWPVAPRHGSSLVLLGVAIAALAPVF >KQL30897 pep chromosome:Setaria_italica_v2.0:I:34199353:34199832:1 gene:SETIT_020277mg transcript:KQL30897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHVHCIYIVKKKTSYFVYQLQAFFLIQSFERYSLRSCL >KQL28619 pep chromosome:Setaria_italica_v2.0:I:7106260:7108363:1 gene:SETIT_018598mg transcript:KQL28619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKTREPKEETVTLGPTVREGEHVFGVAHVFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLASQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >KQL29340 pep chromosome:Setaria_italica_v2.0:I:14013208:14016359:-1 gene:SETIT_018493mg transcript:KQL29340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASKVHERSRPLQMVFKWPGKETILKYAGDIDLKDIFLRGASYAWSCFSHTYKQSSSFRQEINRYYHEAYRFRKAIRRQYIPDTDRPDVFFLGGSVSRSSISIPYDQFKLFISSTQKFHHKVQVFLHDALESNTYSRCLANEPVTFVLAYLLVVSPMWIAWFLYSWRFGSRK >KQL29539 pep chromosome:Setaria_italica_v2.0:I:20290979:20296614:1 gene:SETIT_019900mg transcript:KQL29539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESVEFFEGPPSFTDLVDRVIRKYGCRVDEMSLRGCFDCGKARAHYVLMNLASDSNWKHYKDVVHEANVACLEVIIEIIRMPGPNIVLREEVMVVNRNGETEYAFDFAIADDDFPNNTFERDEANIDDDDVSMGSEDSEFEEDGVVGVKAEEESLSQSAICESSMVNSKGIPFSESPVIKKRIKFKSLDELKFFLADYAVRLHRPLSVVHSDKNLRYNVMCKQVCHCRVCRSECTFSAQQNTLGGRILGIIRKDSEISVPSFVESIFAFSGYRIKYSKAWRAKQHAVALLWGDWKESYAMVPRVLTAMAYYNPEVKWFIDSCRMMHRDNGVLKHMLQRVFWCFPQCSEAFQHYRPVILVDGTFLTGKYKGTLMMAVGVDLEQHLVPLAFALAESENNESWSWFMQLVRRHVLGPSRQVCMISDRHHGLLNCAKDHMCGFPPLVHRWCTRHFAANMSRRQKTDRMIGKLKTLCKVHTEREFSEKLEDLVKDLNDDAKEWLKGEMEDKDKWACGTTNVGGESHGGRHYRVDLNEVSCTCNIPQLLHLPCSHFITACKAKGLNYESPLYMSLLYSREHTVRIWESSFQPYLDPSYLLLTNGVCCFFRMAAPAYPLFESTYDLQHRAYHLTDLHEDLKPLRARVHSPFRWDERYAQYLQRAGFLDIAVQVVAGVPPMDGPLLTAMVDRWRPETHTFHLPFGEMTITMQDIAMYSPWHRNDAHPTFYYVWKHVQPIRGNPDRRYRAYTNELDVVTQHQLSHIIFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMIDRRKRYKENDWRVKHAQYLIQWQNTQRCDPEDGLYWRAGPNNEYIRWYCASTRTKVKQSWSNRVRKSCRMNCMSSTDVHHGGNGQGTSLGSRRTPLATPPRTASPSTAAVPSKRSRGKAPASPQASEDSEGNKSEDDDPTYGEELEISGMIDAPPVTQMQGESSQ >KQL28413 pep chromosome:Setaria_italica_v2.0:I:5697446:5705143:1 gene:SETIT_016229mg transcript:KQL28413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDNWINSYLDAILDAGKAAIGGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQERNTRLENMTWRIWNLARKKKEFEKEEACRMLKRQPETEKTRADATADMSEDLFEGEKGEDAGDPSVAYGDSTTGSSPKTSSIDKLYIVLISLHGLVRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRSYGEPVELLVSTSGKNSKQERGENSGAYIIRIPFGPKDKYLAKEQLWPFIQEFVDGALSHIVKMSKAIGEEIGFRHPVWPAVIHGHYASAGIAAALLSGALNLPMAFTGHFLGKDKLEGLLKQGRKTREQINMTYKIMCRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVILARKLRARVKRGANCYGRFMPRMVIIPPGVEFGHIIHDFDMDSEEENPCPASEDPPIWSQIMRFFTNPRKPMILAVARPYPEKNITTLVKAFGECRPLRELANLTLIMGNREAISKMHNMSAAVLTSVLTLIDEYDLYGQVAYPKHHKHSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMNGLPIIATKNGAPVEINQVLNNGLLVDPHDQNAIADALYKLLSDKQLWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRSPAIGDTEEQSNTPISGRKHIIVISVDSVSKEDLVKIIRNAIEVIRTQNMSDSTGFVLSTSLTISEIYSLLVPAGMLPTDFDAFICNSGSNIYYPSYSGETPNNSKITFALDQNHQSHIEYRWGGEGLRKYLVKWATSVVERKGRTERQIIFEDPEHSSTYCLAFRVVNPNHLPPLKELRKLMRIQSLRCNALYNHSATRLSVVPIHASRSQALRYLCLRWGIEVPNVAVLVGESGDSDYEELLGGLHRTVILKGEFNIPVNRIHTVRRYPLQDVVALDSSNIIGVEGYTTDDLRFALQQMGILTQ >KQL30643 pep chromosome:Setaria_italica_v2.0:I:32355203:32355766:1 gene:SETIT_019824mg transcript:KQL30643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSLLVLALAGLLLVTFPSLCGGSAAHRLEKCHPSGTLQGPRTGHTCGECCKAGHFYPTYRCSPPVTRHTKAIMTLNDFDEGGDGGDKSECDGKYHKNTERVVALSTGWYHRGKRCHKHIQIHAKGRSVLAKVVDECDTLHGCDKPHAYQPPCRPNIVDASKAVWDALGITGEEVGEYPITWSDA >KQL28903 pep chromosome:Setaria_italica_v2.0:I:8787960:8791329:-1 gene:SETIT_018555mg transcript:KQL28903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLRRIAGLLGISRDDADHPDSSSSSAAAAEFPQDRAAAAAAAAAHGARRGFSVQVPVPVERQGPGPVLVPCPQGDGGVQGFRWYTRRLRIDEDGDVADEFLDEVIPESSINNDAGPVGRFQVKYNTKPTSLALKKQIVAIDGDIRHSLEHQGQLRWV >KQL28538 pep chromosome:Setaria_italica_v2.0:I:6559306:6564027:-1 gene:SETIT_017360mg transcript:KQL28538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSVPLRAAAAAAGSRAAVDPIKVSSVRSTGSAHFGCRFPSIAASSSSARNVEPLRAIATQAPPAVPQYSSGEKTKIGINGFGRIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIHVVDESTLEINGKKITITSKRDPAEIPWGNYGAEYVVESSGVFTTTDKASAHLKGGAKKVVISAPSADAPMFVVGVNENSYDPKMNVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRIEKSASYDDVKAVIKAASEGALKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSSSFMKLVSWYDNEWGYSNRVLDLIAHIALVSAKH >KQL27872 pep chromosome:Setaria_italica_v2.0:I:1353151:1354646:-1 gene:SETIT_018813mg transcript:KQL27872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHFLRTPVASVLIPPVGWKVTNASRSTSHCFLLRFLSLDMVWQVAKIILMLSQATPSFGVGIVTCDKLNHVPEFVLSLDNEFNLKTVIETLLVTTEY >KQL29121 pep chromosome:Setaria_italica_v2.0:I:10428890:10430997:1 gene:SETIT_019913mg transcript:KQL29121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFLRRGHSLDKTGSHGSNSNQQEHHHHLHRTGSNTAEMHESLGNGAGGTPPLPNGRAAAAGAARSRLARDGPPSELDTMKEKFAKLLLGEDMSGTGKGVSSALALSNAVTNLAASVFGEHRKLEPMAPDTKERWKREVGWLLSVTDHIVEFVPTRQTAENGTTMEIMSTAQRRDLAMNIPALRKLDAMLIGYMDNFVDQTEFWYEKGGDNKRDDDKWWMPTVKVPSEGLSDVTRKWLQYQKECVNQVLKAAMAINAQVLVEMEIPEIYIESLPKANLGDAIYRSITEETFDPLEFLAGMDLSTEHKVLDLKNRIEASTVIWKRKMQTKDSKSSWSSIVSFEKREQFEERAETILHLLKLQFPGTPQSQLDISKIQYNRDVGYALLESYSRVLESLAYSVMSRIEDVLGADAAAQNLTASEAARRMLETAEAPPAARKLDAKEELEKLNEAPASMTLFDFMGWHFDQDELMKRREDGTLDPDGEAKLLKKAPSIAPTKKFSYVDSLSSGGMRSPSARH >KQL30809 pep chromosome:Setaria_italica_v2.0:I:33486351:33486765:-1 gene:SETIT_018893mg transcript:KQL30809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRARCMLRRDECSYAAGMLGWANHAWDAAGQDTAGEAWLDDELVILAEQADPNGTKAGLPSGSCFFFLCPPFLLLVLRITR >KQL30654 pep chromosome:Setaria_italica_v2.0:I:32410190:32412241:-1 gene:SETIT_016633mg transcript:KQL30654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFSKKKPPATPAGGAKKPGKVAVVAANDKAKKTAQQPKKAAKAAVEPAVAGKGAAVVVRAKDAAAGGEEKKKRPGSQEPAATEKPLPVVVVPSAPVRTSSCTKEEVDAILIQCGRLSRSSSGTGRAASSEAGGHRRRRSGSKRSYDFDQDARSGGGPGADEDWERQVAAAVVSRPSPHRGSPQRKRSGSRERSSSGGGSRRASRSPGRRTDGAAASVATAGSGGGERARQQPGKMVSVPAREKGRAPSPAAASGKRCASPRSSSPARMAAGNENAGGGPATGPTPALSRSSSRKAEQSPYRRNPMAELDENSLRNNSNHIARRQKKSIENAVAATPKKKATERCKEATVAPSCRSGMEKPEIAEDATVAVSETRAPSSKTTATRTASIVADSLSQRPVGHPGSRSRRSSRDFDQNPGSYTTQLLEDIQNYHQQSTSVTVPATPATPSISLPACVAKACSIVEAVADLNSCSSENHTYEYEPGLSADDKGSVNAPLGSDGGVEPSAVRKHAQPARDFRAEAEPQESAGSNSVSGHPWTLSREPTSVESTDRTWSTGDEVVEQSGSHGARCSPMNRPRQSKQRPSQPEPSGRSRAGSGNGNTLHRGRSAHRGSSSSVASGRSGVRVVSAAS >KQL28952 pep chromosome:Setaria_italica_v2.0:I:9149730:9149981:-1 gene:SETIT_019078mg transcript:KQL28952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRGFSPAAAVGGAAVQINNSMWPELLGKHLTDAVAVIKSDRPDVHIKLFAAADPEPRDFDPHRVCLFVDDSFTVVRMPVVG >KQL31280 pep chromosome:Setaria_italica_v2.0:I:36686565:36687974:-1 gene:SETIT_017303mg transcript:KQL31280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFCEWSLTNGRGVIERQRLHVVAERAGVPEPVRPEHLVVGEVERAAHALVAAGPGVVLVPVPAARLAPREVRHEWPPPPAHPGLRQPSPRQRRVPRRGVRHVLCFRTPPPQRGRPRSPLHGLVPLRDVAEPPHDLQPVALEVVVALQEHLLPPRAAALQQHVHERRLVVRLVEHLVLERGLEHGAPAAVVRGVGPHGGPRVHEVERPLTVPDEEHPRVEAGPGPGLEHKARPAVDDEVVAAVPAQGEAEVHIREHGVGVHPPHPLRLRVRHHGGADRRYLGPVPVHRRPEQRRVVQQLHAVESAVVGLVLQQPQQPGHHQDAFDAAGGDLRVRARPSGALLVPPRDGPVHGVRQRRVIVERAAAAAGTAAGAGVQGGRSLVVGVRLGERVGEREQQDGGHHGARGEEERVGEQRRLRSARRHP >KQL31122 pep chromosome:Setaria_italica_v2.0:I:35770449:35770964:-1 gene:SETIT_020572mg transcript:KQL31122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGLFSLTRERAVPHPKVQPILFFPEVQVQVQCSAGFVP >KQL30607 pep chromosome:Setaria_italica_v2.0:I:32149202:32152455:-1 gene:SETIT_016277mg transcript:KQL30607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSARVMVCAMAFVLACLAAMPPASAALGDGGILSYIPSAASIAHCPSSCGDVNISYPFGIGAGCFRQGFEVTCDNTTQPPKLFLMNNSTTEITRIYYGFVEVPMFFNSSFGPGRNNMSWEWEAPAKGITINSYNNFFVLGCDFDVNLFDYERNPVGSCMSRCHGGVLPTRGPCNGIGCCFISLRNAMSGFQATFFRADGVAGQSSDSMYPRIMAFISYSDDMDNMTNLLLGWTNTSKIDGAVVEVAITDQPSCESARMNNASYACATDSICRNASSYGGYKCHCSGYQDGNPYLSEGCTQGDYNPEPKEHCRRSCGNMNISFPFGLEEGCFGNEKFRLNCTAAGDTLFSTGGTQYRVTGVSLEDGTLIVRNMLSNASTGKEEIINITKYACRSENSYCLNVAHGKIFMGYRCKCSRGFKGNPYVQEGCTDIDECSLPNYCNGTCHNFPGTYKCTPCPHNKEFDSTKKLCITSTKQRNLLLGIAIGISCGIGSIIIALGVIVLANKWKRGIQKRIRRAHFKKNQGLLLEQLISDESTTSKTKIFSLEELEEATNNFDATRVLGHGGHGTVYKGILSDQRVVAIKKSKIVEQIEIDQFINEVVILSQIIHRNVVKLFGCCLEDEVPMLVYEFISNGTLYGLLHSDTTIKCLLSWDDRIRIAMEAAGALAYLHSAATIPIFHRDVKSSNILLDDNFTTKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLIRKKPIFINDLGAKQSLSHYFIEGLHAGSLVEILDKQVVEEADQEEINEIASLTEACLRVKGGDRPTMKEVDMRLQFLRTKRLRKGLDEKDADIEPLLCPQDKNLYGHIDLVNAGSSGCYSLEQEFASLPR >KQL29912 pep chromosome:Setaria_italica_v2.0:I:26284070:26288117:-1 gene:SETIT_016756mg transcript:KQL29912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRAGAILVCLLFVLVRDVSAVAETEAGNVRLVQEAPNRKIEGTGRQDDGKVGGRVSVSTVAWSTLIMAAATGLGAVPFFFMELEAQWAGLCNGLAAGVMLAASFDLVQEGQVYGSGSWVVFGILSGGIFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMVLSSRGVTPQKAMIWSIITSLPQPIVAVPAFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPSQVASAGTLAVAFMETLSTVLLGFTDGNNSEDASGFLVSLVFGLGPLIGGIILVTFSLAFSMPHPLLTGVASGIAFRLAAWRPVQLLMSSKMGLFTTLFLLIVGCLVYHAATSSILRVVNRKRSSVNVITSSSGFSLSVLTLQSLLACGSVFLHAYAEGLVLGVAARKAYGLGRYMVLPASLHGLPRGAAVASCVYGATDSWRGALAAAALTGLAGPSAAISAILARIDYDGLDYWMVIACGALIPSFGRVFRRSLRLDMRKSIVGLLIGIAFASVCLMSTRFICLHTPYCNSAPEAVT >KQL30616 pep chromosome:Setaria_italica_v2.0:I:32219966:32220719:-1 gene:SETIT_018707mg transcript:KQL30616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASCHRTDRSMVVSYRRRTHECPNQPITKRQQFIGFISHVPPERPVQACRDASNSDEATTLPSHTGKAGGLEGEEQLCQNNMDKENQRVEDENHPDIGFGGSNASAWHGRISSLVSQFDLETE >KQL30001 pep chromosome:Setaria_italica_v2.0:I:27412457:27417543:-1 gene:SETIT_017077mg transcript:KQL30001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERLAASLLPAASPSPSARRATVAASGSAAASFPSSCSARAGLRLRSSRSGSARFSKAAGRGGGGGALRVVRCMAASDAAQLKSAREDIRELLKTTYCHPIMVRLGWHDSGTYDKNIEEWPQRGGADGSLRFEPELNHGANAGLINALKLIQPMKDKYPGITYADLFQLASATAIEEAGGPKIPMKYGRVDVTEPEQCPPEGRLPDAGPRDPAEHLREVFYRMGLDDKEIVALSGAHTLGRARPDRSGWGKPETKYTKDGPGEPGGQSWTVEWLKFDNSYFKDMKFLSQLPSKEQKDQDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSDLGAKFDPPEGFSLDDDTSDEPAAEKKEEAAPTPEPAAAAAPPPEPAAAPALEPVAAAVATATADDNNGVAPQPEPFVAAKYSYGKTELSDSMKQKIRAEYEGFGGSPDKPLQSNYFLNIMLLIAGLAFLTSLVGN >KQL27982 pep chromosome:Setaria_italica_v2.0:I:2269336:2281707:-1 gene:SETIT_016192mg transcript:KQL27982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPARGGVMALVRLLLLLLLGLGCSNGGRCSSAQTLLPPQEVEALKGIANKLNKTDWDFSVDPCSASGNWINSDGFLFSNVTCDCSFKNRTECHIISLELMRQNLSGVLPEEVVNLTYLRYLDLSRNFIQGPIPASWGDLPVYNLSLQGNRISGTLPKELGRMPMLKSLQLEGNEIEGPIPPELGNISTLERFFISANNITGELPSTFSRLTNMTDFRVDGNRISGKIPSFIKNWQSVNRIDMQGTSMSGPIPPEISLLKNLTELRVTDLSGPSMKFPPLQNALHLTEVVLRNCSIYGEIPSYLGRMQYLKVLDISFNKLTGQVPANFGGMMALQYLYLTDNMLTGDLPAWMLKNKASNKVNMDISYNNFTGNPPSECQQANVNMVSSFSSSNDNSLQSCLRKNLPCMGKSHYSNLFINCGGKTVVVNGVTYKDDSYQIGTSSFVLSDDKKWAYSSTGDFVGNENADYIARNTSKLTMAYPELYTEARLSPLSLKYYGLCMENGEYTVKLHFAEIVFTDDHTYSSNGKRVFDVFIQGAKVLGDFNIKDEAGGAHRAITKTFAANITDNTLEIHLYWGGKGTTAIPYRGVYGPLISAISVTHTRRNHHGVSIGVVIAIVIAVTCLVIILMFIAFYFKVFQRMNAKGKGRHSFYKGRKTNTSELQTRAQYFFSMKEIESATRHFDPANKIGEGGFGPVYKGTLSDGTTVAVKKLSSKSSQGNREFLNEIGIISALRHPNLVRLFGCCIDGDQLLLIYEFLENNSLGRALFGRSEHQLKLDWPTRYSICLGTAKGLFYLHEESTLKIVHRDIKPSNILLDEKLQPKISDFGLAKLNDDCGRVSTRIAGTVGYMAPEYATRGCLTRKADVYSYGVVALEIVSGMSNINSMSNEEYLHLLDWAERLKQQGKLLEMVDQRLGSEYSQEQALRLLNIALLCTNTSPTQRPRMSSVVKMLCGQIPIEVVPDDDDLSEDLRLNIARSHSSMNNSKTDWSEMPSSDPSILLHNSKDSGYLPSSSSSSLKL >KQL28685 pep chromosome:Setaria_italica_v2.0:I:7474882:7476274:1 gene:SETIT_019813mg transcript:KQL28685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGGNNFTGVIPKEIGHLKALLSLNLSSNKLTGVIPHSICKLINIQVLDLSGSHLTDGIPSELNNLHYLSAFNVSNNDLEGPIPIIGQLSTFTSSSFVGNPRLCCSMLAHQCGSTEEIFTTKSGRRSSAVTSIFAGDGWRMGCRRGPIPFHGGAGGRRLGGRRRRMGPRGLKR >KQL28885 pep chromosome:Setaria_italica_v2.0:I:8657902:8660584:-1 gene:SETIT_017867mg transcript:KQL28885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLSPPRLGAAAANGNASVGGTATPPRPAVILPGLGNNTGDYARLAAALRDDHGLPAAVVARVTRPDWLRNAAGLFDANYWRGTLRPRPVLDWYLKRVDESVSEARELCASDGKISLIGHSAGGWLARVYMEEFDASDISLLLTLGTPHLPPPKGVSGVIDQTRGLLNYVEKNCAPAVYTPELRYVCIAGRYIQGAPLLGNSAVASDEVLAVDTPSEGGEAVIISTKDKSTPSSATWRARFVGQGYKQVCGRADVWGDGVVPEMAAHLEGALNISFDGVYHSPVGADDEQRPWYGSPAILKQWVHHLLS >KQL28884 pep chromosome:Setaria_italica_v2.0:I:8658226:8660380:-1 gene:SETIT_017867mg transcript:KQL28884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGLGNNTGDYARLAAALRDDHGLPAAVVARVTRPDWLRNAAGLFDANYWRGTLRPRPVLDWYLKRVDESVSEARELCASDGKISLIGHSAGGWLARVYMEEFDASDISLLLTLGTPHLPPPKGVSGVIDQTRGLLNYVEKNCAPAVYTPELRYVCIAGRYIQGAPLLGNSAVASDEVLAVDTPSEGGEAVIISTKDKSTPSSATWRARFVGQGYKQVCGRADVWGDGVVPEMAAHLEGALNISFDGVYHSPVGADDEQRPWYGSPAILKQWVHHLLS >KQL28110 pep chromosome:Setaria_italica_v2.0:I:3413853:3416088:-1 gene:SETIT_020253mg transcript:KQL28110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYPEDNQHALNGYEEEEVDEEEGHPGRRGGRDGGSGYGDVGGEDGRGAGGDSSGKIFVGGVAWETTEETFSKHFEKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDKVLEDEHVIDGRTVEVKRTVPREEMTTKDGPKTRKIFIGGLPPSLTEGRCLLRMMKYLIHFVDDTEGTKFQMS >KQL30576 pep chromosome:Setaria_italica_v2.0:I:31876865:31886026:-1 gene:SETIT_016377mg transcript:KQL30576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRPPPPQRNPNPSLTTSMPPPNPTSASMPPPPPPNPSPPPPRPEAESQAASSAVEVVGRAGPTPSSSMPPPPPPKPASPAPQPEAEAAGAEGSAGPSPSVSDSSAEEAPNPSGAYSGDTEMEEAAPPPAERQRQQRPRAPYVIPEWSAAPDHPFFLEVLKDGTIVDQLDVAKKGAYMFGRIDMCDFVLEHPTVSRFHAVLQFRNDGKVFLYDLGSTHGSFINKSQVKKKLYTEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLQDAKIRQDMLDREASILRAKTQVALAEGISWGMTEDAIEESAEDDADEITWQTYKGQLTDRQEKTRSKIVKRMEKIANMKKEIDAIRVKDISQGGLTQGQQTQIARNEQRISQIMEELDNLEETLNDSIRESVGARSGKANRGSHKASLEEEDDILSDDDEFFDRTKKKSSQKSNEQQSVETADSLLEKKDSITSDIENKRKLLEEEKHKLAQGSTADLGDDLDAYMSGLSSQLVHDKIAQIQKELSDLQAELDRVVYLLKIADPMGEAARKRDLKPREAGPPASNDNPIPEAKKQNKVVKATSAVEKPKDSSNETSTNKPAKVETDTSKNQENGSKPAFSLPKPQWLGDKRIIEPEENFINEEKADAEEPDNFVDYKDRKAILSNSCSGKELEEAAPGLILRKRKSTDQSASSEANSSSVESEASVADAVALLLKHKRGLQTSEEMENEDEPHASRKEGKKSKQKRVLGPVRPDFLESGPDSETWVPPEGQTGDGRTALNDRLGY >KQL31229 pep chromosome:Setaria_italica_v2.0:I:36377538:36381258:1 gene:SETIT_017609mg transcript:KQL31229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPVVDLAPFLGTAAGGGAAEVGEEVRALCATVSASLRDTGALLVKDPRCSAADNDRFLDVVERYFSRSAEAKRLQERPHLHYQVGVTPEGVEVPRSLVDKDMQEKIRSMPEEFQPTTPKGPDPKWRYMWRVGPRPANTRFKELNSEPVIPDGLPEWKETMDSWGSKMISAIEVVAEMAAIGFGLPKDAFTSLMKEGPHLLAPTGSDLQRHGSEGTVFAGFHYDLNFLTIHGRSRFPGLNIWLRNGKKMEVKVPVGCLLIQSGKQLEWLTGGECLAGMHEVVVTKRTLEAIALAKEQNRSLWRVSSTLFAHIASDAILKPLGHFAETPNADSYPPICAGDYVEQELSVINLKGKDGL >KQL31372 pep chromosome:Setaria_italica_v2.0:I:37149721:37150943:1 gene:SETIT_018597mg transcript:KQL31372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATALLGGARLAAVGAAVPPSVLLPRRSMSSPLRFQDAPRLSLLRVKASSDDTSAASGDELIADLKAKWDAVENKSTVVTYAGGAVVALWLTSVIVGAVNSVPLLPKIMELVGLGYTGWFIYRYLLFKESRKELADDIESLKKRIAGTE >KQL27827 pep chromosome:Setaria_italica_v2.0:I:929783:935194:-1 gene:SETIT_016580mg transcript:KQL27827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPAPAAEPVAAEEPVLPGARGAAAAAAAQQQQQQPGSSGGSGSAGREPVPLRLRLGRARRRAGPGTPTPSWKMEDEGAREGPGAATAAVARRSSASASARQLGASLWEIHDVARVGRRTRPRGGRGIAAGREGGGIVGGAELDQPQSSGGIGRRFADPSMKHHKLHQERSHRIQPFSPASFTSSVGESNVNNTISPTRSLDIMGRSVRAGYGLQTSTELLKVLNRIWSLEEQHTANLSVVNGLKLELQQAQTHIQELMQERRRYRHEVSSLMRQLSENKLVRKSKDHGKIDTVVHSLQGELEDERRQRRHSEDLHRKLGKELSQIKSAFLKAVKDLEKEKKGNRLLEDLCDQFAMGIRNYEEELRVVKQRNAKNYELNFDKSVLHISEAWLDERMQMRSIAVKEDLAHGTTITERLSSEIEAFILSKRAGSSKNNEKHMNDSTRLRRQSLESVHLNGATSAPQLAEDDDDSVASDLHCFELNMHEHTGPRRSDTGGIDVPKRRSEHPHGMAAEGSHMSSMPVYSQKDKIPEINSQSNARITPTEEQNGTTSAQITRGSYNGSLKNNQDAHHVDCLGQESFDHFSRTSLFCEGTTSGDLGNLGSPTRQLKYQSTSLDPEIVECSPEQPVGVMENTLKAKLLQARLEGRHARMKASCGSSTSRRK >KQL29381 pep chromosome:Setaria_italica_v2.0:I:14671432:14671797:-1 gene:SETIT_019686mg transcript:KQL29381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFSTCTLKAKAKKDHINDSPNFRISKRIHIQDRKRRQFKPNGQTLRIQDRKTYSHKKITRERKQLHILLACLSDLLSACQGSTTEDWLLRPSTRSWAIPDRQADGVDSLYMDGRNASTAEP >KQL31438 pep chromosome:Setaria_italica_v2.0:I:37518608:37521825:1 gene:SETIT_016804mg transcript:KQL31438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGAAARRQPHAEAPRGVSPRAMSGAGAASSCRRRQLGVAVLLLLVALAAGLGGAEGKAHNYEDALQKSLLYFEAQRSGRLPHSQRVAWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLIEYGGDVAAAGELGHALEAVKWGTDYFIKAHTKPDELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRDHPGSDVAGETAAAMAAASIVFRDSNPHYAHLLLHHAQQLFEFADKYRGKYDSSIAEVKSYYASVSGYKDELLWAALWLHRATGRADYLDYVVDNAHSFGGTGWAINEFSWDVKYAGVQILATRLLLRGEHAARHRSTLEQYRAKAEHYVCACLGRNADGGADANVERSPGGMLYIRQWNNMQYVTSAAFLLSAYSDYMAEAGVVTVQCGGGEAVAAEEVFALARAQVDYVLGTNPRGVSYLVGYGPKYPNRVHHRAASIVPYKHSKEFIGCTQGFDHWFGRRSSNPNVLVGAIVGGPDRRDRFRDNRENYMQTEACTYNTAPMVGMFAKLHRMARQEPERGPTPAAPVTSAASEV >KQL28721 pep chromosome:Setaria_italica_v2.0:I:7740626:7744237:1 gene:SETIT_016857mg transcript:KQL28721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVSGRFVVAAAVVAVSLAAAVASASAAAAAAHDYGDALSKSLLYFEAQRSGRLPYNQRVRWRGHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTLLSWGVLEYGAGVAAAGELAHALQAIKWGTDYFVKAHTAPNELWAQVGDGDSDHYCWQRPEDMTTSRRAYKVDAENPGSEVAAETAAAMAAASAVFRRAGDAHYAHLLLHHAQQLFEFADKHRGRYDESVEVVKNYYPSSSGYQDELLWAALWLHRATGRRDYLDYAIANAEAFGGTGWAVSEFSWDIKYAGLQVLASELLVEAKERRLRLSSEELAVVEQLRSKAEYYVCSCMNRNPGGAEHNAGRTPAGLLFIRPWNNLQYASGAAFLLTVYSDVLTALGEPLRCGGDGAGEAGEVLEFARSQADYILGSNPMRTSYLVGYGGAYPRRVHHRAASSASYRHDRDFIGCLQGFDSWYSAGRENPHDLVGAVVGGPNGEDVFTDHRGAYMQTEACTYNTAPMVGVFSKLMQLEGQQPQRRRQPEAEASDEAPVEDL >KQL29935 pep chromosome:Setaria_italica_v2.0:I:26646967:26649581:1 gene:SETIT_016747mg transcript:KQL29935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNSPPSSPKPSVPETEAIPPQETLAGGGSRGDAVDLPEVAGSEEPGLPEAVTRKEMSAGAGSDEPGLPEALSRKGDGENAAAASEPKPSPKKEEGEQRPNGGAAAAAAASSGEGKMTCDENGVDEGFPYARPTVCELSGDIRVSPKQKTVYLVNPSSAGGFDESGEKRLRPYARKDEFLLPAVTEVTVKSVPSAAAAPECTKRHAVPAVVFSNAGYTDNFFHDMTDAMVPLFLTAGHLKGEVQLLITNYKPWWVQKYTPLLQKLSNYDPINFDEDDGVHCFPAGFVGLYRDRDLILSPHPTRNPRNYTMVDFNRFVRGALALPRERPAVLGEEPGMRPRMLIISRAGTRKLLNLDEVAAAATELGFNVTVAEAGADVPAFAAQVNAADVLLAVHGAGLTNQIFLPTNGVVVQIVPWGKMDWMATNFYGQPAKDMKLRYLEYYVGEEETSLKDKYPRDHTVFKDPMALHKQGWQALAGIVMKQDVSVNLTRFRPFLLQALDKLQE >KQL29936 pep chromosome:Setaria_italica_v2.0:I:26646967:26649581:1 gene:SETIT_016747mg transcript:KQL29936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTAYSRPSKLPGGVERRLPPRLMRGLTSKIEPKKLGVGLIAGCCLALLTYVSLAKLFAIYSPVFASTANTSAMLQNSPPSSPKPSVPETEAIPPQETLAGGGSRGDAVDLPEVAGSEEPGLPEAVTRKEMSAGAGSDEPGLPEALSRKGDGENAAAASEPKPSPKKEEGEQRPNGGAAAAAAASSGEGKMTCDENGVDEGFPYARPTVCELSGDIRVSPKQKTVYLVNPSSAGGFDESGEKRLRPYARKDEFLLPAVTEVTVKSVPSAAAAPECTKRHAVPAVVFSNAGYTDNFFHDMTDAMVPLFLTAGHLKGEVQLLITNYKPWWVQKYTPLLQKLSNYDPINFDEDDGVHCFPAGFVGLYRDRDLILSPHPTRNPRNYTMVDFNRFVRGALALPRERPAVLGEEPGMRPRMLIISRAGTRKLLNLDEVAAAATELGFNVTVAEAGADVPAFAAQVNAADVLLAVHGAGLTNQIFLPTNGVVVQIVPWGKMDWMATNFYGQPAKDMKLRYLEYYVGEEETSLKDKYPRDHTVFKDPMALHKQGWQALAGIVMKQDVSVNLTRFRPFLLQALDKLQE >KQL31648 pep chromosome:Setaria_italica_v2.0:I:39030131:39034816:1 gene:SETIT_017638mg transcript:KQL31648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHLMRAPDMWAPHVRTAASLRAQIGFSSSAPATQSPLLASQGKHRAATKKSRVAMMASRLRLHLRLVGIRPSCYPPSRAFAAVRAQKIQLPRKKRRLDEVCLERFQQYSRTYIQSWILQGKVIVDGRVVNKAGTQVSDKSVIEIKAEIPKYVCRAGHKLEAAIKEFGIDCDGKIALDSGLSTGGFTDCLLQNGASHVYGVDVGYGQVAEKIRTHERVSVIERTNLRYLSQLPQPVDLVTLDLSFISILLVMPAVIKVMKMDSTLITLIKPQFEARRSQVGGGGIVRDPLVHKEVLDRIISGVEEFGFCNKGWIESPIKGAEGNKEFLACFHRIPISESQPEVETKTEAEATW >KQL31649 pep chromosome:Setaria_italica_v2.0:I:39030131:39033801:1 gene:SETIT_017638mg transcript:KQL31649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHLMRAPDMWAPHVRTAASLRAQIGFSSSAPATQSPLLASQGKHRAATKKSRVAMMASRLRLHLRLVGIRPSCYPPSRAFAAVRAQKIQLPRKKRRLDEVCLERFQQYSRTYIQSWILQGKVIVDGRVVNKAGTQVSDKSVIEIKAEIPKYVCRAGHKLEAAIKEFGIDCDGKIALDSGLSTGGFTDCLLQNGASHVYGVDVGYGQVAEKIRTHERVSVIERTNLRYLSQLPQPVDLVTLDLSFISILLVMPAVIKVMKMDSTLITLIKPQFEARRSQVRLNGKSGSF >KQL29811 pep chromosome:Setaria_italica_v2.0:I:24910814:24914466:-1 gene:SETIT_017674mg transcript:KQL29811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAGDPAGAAAPAAATVTPSGRAPRLPRWTRQEILVLIEGKRMVEGRGGRGGRGRVAAAAAAAAASASSGEAAAAALEPKWAAVAEYCRRHGVERGPVQCRKRWSNLAGDYKKIKEWERAAAASREPSFWAMRNDARRERRLPGFFDREVYDILEGRGRAILAGRSAGNAAEEEEAVAVARVEEEKEAGPAEAVFDSGRPATEEALFSEDEEDEEEEAPAAAPPPPPPPVIAVPVSEKPDASRQQQSAEQGTSKDKQPEQSTERDAPAQQGGQKRPRTDEEAGEGATDLQSKLIQILDRNSRMVAAQLEVQNQNCELDREQRKDQANSLVLVLGRLADALGRIADKL >KQL31929 pep chromosome:Setaria_italica_v2.0:I:40492882:40493841:-1 gene:SETIT_018550mg transcript:KQL31929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRARTNFPADAAASTSFLSAALVEKLHRFNLASVQAAQRQREAAAAAASSAAASAAMSIGGDAWAACDSGTPTAAEWGGRFLEEQHVEQMIEELLDSNFSMEICY >KQL28195 pep chromosome:Setaria_italica_v2.0:I:4260005:4264718:-1 gene:SETIT_019875mg transcript:KQL28195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNISMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFTVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQLKREHLQCTAKYLGRRILGIIRKDSETSVSSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKELYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRLVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGTSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSKSLNGVFKGIRSRPVAGIIEYTFEKCIAYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTYGFGSECPWPWHRDDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSEIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHRYARINNYWRYHRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTLTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQSERAPLHDYM >KQL30061 pep chromosome:Setaria_italica_v2.0:I:27795422:27796854:-1 gene:SETIT_018896mg transcript:KQL30061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLTLHRHPMCAEIIEEFQKCHLDHPVKKFFGECTDLKIKLDRCFRQEKALKRKANFEESKKFKEQLQAYKREIAEKNEE >KQL28307 pep chromosome:Setaria_italica_v2.0:I:4951041:4952252:1 gene:SETIT_020596mg transcript:KQL28307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGARRGGEHAGADVSVWEMWRGRLPVPQSS >KQL29777 pep chromosome:Setaria_italica_v2.0:I:24381349:24382188:1 gene:SETIT_019075mg transcript:KQL29777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALPTARKRPALDEACPTEAGKKRPRYQLADTGDDNAMPEKIGEGTSIATELTGPSLRTRLTRPFSESEARDCMRQLLRAAGELHATGTIHRDISPDNILVGPDDGSGALKISGFGPAAPAPATMLLGKTYMLEEPPTGALLYCAPERLFGLRRHGPEVDVWALGCVMAELLAGAPLFTEATEDEMITQGLDLHDEILTMGVGAFDGMGMLDRLSPPGREVLAGLLSFYSDERLTAADALKHPWFAEEDVEAEPPCCCRGSGDPWLCALDLTSIVP >KQL30950 pep chromosome:Setaria_italica_v2.0:I:34564424:34568262:1 gene:SETIT_019723mg transcript:KQL30950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECPSLLTAHYAALVTSLPASQRRWLMLGSIVRCRVVVSRSGCRTACSAVVWGFLPVFRFGFPYKQRQLYSFIGIVGIPCPFKENCWLFTSKHHYNQSLGFSGVPSLHRMCSSYTRTKPEGAENSVLKVSSPGTSEVDTSVDGGNTWIDMSENAYCSAMHASTSAGKKLKDLNDAIALHVQELFSNHADLEKVVVPVGGTLIGAAMAWFVMPIVLRKLHKYASAGPLMTIWGDSTKKDMSYQTSLWSAMEDPAKYIITFMAFSQMAVVIAPSISDFLPQAWKGAFVVSFVWFLHRWKTNFIANAMAKQASIATDRERLSAFDKVSSLGLIALGVVALAEACGVPVQSILTVGGVGGVATAFAARDILGNILSGFSLQFSRPFSVGDYIKAGSIEGQVVEIGLTSTSLINTEKLPVVVPNSLFSSQMIVNKSRAQWHVSVSKLPLRTEDIEKIPTITEEIKAMLVSNPKIDAPYCYLSRLEGSHGELTIGCNIKSTVC >KQL31050 pep chromosome:Setaria_italica_v2.0:I:35278457:35280190:-1 gene:SETIT_020235mg transcript:KQL31050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTIKVHNVSLNASEQDISEFFSFSGDIVHVELQSCDERSQFAYITFGDNQGAERAMLLTGATITDMSVIITPATEYKLPASVLADLESKNVGGMESALRKAEDIVGSMLAKGFVLGMDAVDKAKAFDEKHQLTSTATAKVASLDKTMGLSQKFNTSTLVVNEKMKEMDEKYQVAEKTKSALAAAEQTVSTAGSAIMSNRYVLTGAAWVTGAYNKVATTATDVGAMAKERMMSEQEGEHRDCELEKTHLPESSEADEQECKHQEGSGNLESPETTHQEIKHQEGERQMTNVPSNTEMVKEEQKNQEGEIAVTHVQGNTEIAEKEPNHHETELSKANIPDSLLMAEQTEQEHKQPSGEFAKTHVSGSPVTIPVTMATTDGNSSNIPKKPESAQGFL >KQL31988 pep chromosome:Setaria_italica_v2.0:I:40776742:40781262:1 gene:SETIT_019295mg transcript:KQL31988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQESVHFDKITARLKKLGYGLSAEHCDPVLVAQKVCAGVYRGVTTSQIGELAAETAAAMTASHPDYASLAGRIAVSNLHKNTMKSFSETVKVLYVHCDERSGLMAPMIADDVYEIIMKNAARLDNEIKYDRDFDYDYFGFKTLERSYLLKVGGKVVERPQHMLMRVSVGIHKDDIESAVRTYHMMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLSECAVISKCAGGIGVSIHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHPDIFEFLDLRKNHGKEENRARDLFYALWIPDLFMERVQRNEQWSLFCPNEAPGLADCWGDEFQNLYDKYEREGKAKKVVAAQALWFDILKAQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPEETAVCNLASIALPRFVREKGVPIESHPAKLVGSSGSKNRYFDFDKLAEITLMVTCNLNKIIDISYYPIENARRSNIRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHALKASAELAAKEGPYETYAGSPVSKGILQPDMWNVIPSDRWNWSAMREMISQVGLRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVIVNKHLLHDLTEICVWSPVLKNKIIYEDGSVQKVTEVPDDLKAVYKTVWEIKQKTIVDMAIDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKEKQQTSEEEDVQVKMAQVVCSLNNRDECLACGS >KQL27713 pep chromosome:Setaria_italica_v2.0:I:209044:209673:-1 gene:SETIT_019263mg transcript:KQL27713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMMSGFTSPLCLLLLLLVVSIAPVARAAEMEMELVPAEESSSSSSCAEPVSVEAACRGASETHAGVAYDHCVASLGADPRSKEAEAGSMHGLAVLATRMAVDHAASTESKIEDLSELEESPHARARYNHCLELYGGAADLLRDALDNLKAQIYGKAMEQLSAALGASESCEDAWKGQENAAGIPVAEHDREYGRMAHIALGFTHAAA >KQL30899 pep chromosome:Setaria_italica_v2.0:I:34213044:34216355:-1 gene:SETIT_018364mg transcript:KQL30899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENVDRASNLSLVKLSRSGLLFFKFPCGGLHVVDMLTEIFHSLSSGKLKSPQWCHRIFPVQETCVLSEKDLHATVSKLFLDFLRSKNNQDEPIKFAVAYNRRGIDETETKAQKNSNEGSNQQALMDREQCFKVVAAAVKSIAENSVVDLKSPEVAVLVEMLPVSGVPLGSSVAGVSVLPAELISTKPRLSVRSLASDAKATKKK >KQL31392 pep chromosome:Setaria_italica_v2.0:I:37244836:37245601:1 gene:SETIT_0169762mg transcript:KQL31392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAVRSAKAMAAVVVAMLLSTAAVAAAQHDYGDALHKCILFFEGQRSGRLPPDQRVRWRRDSGLHDGAAAGVDLTGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGPHKEEARKAVRWATDYLMKATARPNTVYVQVGDAFRDHACWERPEDMDTPRTVYRVDPSHPGSDVAAETAAALAAASIVFRDSDPAYSKRLLDRAVA >KQL30056 pep chromosome:Setaria_italica_v2.0:I:27772677:27773432:-1 gene:SETIT_019452mg transcript:KQL30056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALIGCDGSNSVVAKYLGLSPAKSTSRMLLRGYTRYPHGHPFGPHFLRLRGNGFFVGRSPMTDNLVNFFVALWHPGADATKDASAMKELVLEKLKGQCSDEIIEMVRAPEPDSLILLTKIWYRPPWQVLFSSFQRGTATVAGDAMHVMGTYIGQGGSASMEDALVLARSLSRAAAGGGGSGGDELCEKKISAAMGEYVRERRLRIVRLSLESFTMGTLLATKSLLTKLACFAILSLLGTASLGHTKYDCGRL >KQL29556 pep chromosome:Setaria_italica_v2.0:I:21253152:21253451:1 gene:SETIT_019894mg transcript:KQL29556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQSARLQATGSDELGQSCRVYCPATGRVPFVWEDEPGKPKGSAGMDVVPPLCPSPAMQSARLAGRRRKGKQSAAGTAAGFEGCIPLRFSLGRAMKRWV >KQL29203 pep chromosome:Setaria_italica_v2.0:I:11297096:11299368:-1 gene:SETIT_019041mg transcript:KQL29203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSGCRANGSKIDLVHVKELQICIWIHSSSMCFP >KQL29643 pep chromosome:Setaria_italica_v2.0:I:22449971:22450435:-1 gene:SETIT_018950mg transcript:KQL29643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPPPSPLCQLIGKGGHFSQSARCCNVLITPKLTVTSLDHKYVPANGQKLHVTPSVQECKAYFVSLG >KQL28964 pep chromosome:Setaria_italica_v2.0:I:9246463:9249041:1 gene:SETIT_018220mg transcript:KQL28964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALTSLSPAPPRPSPTPAPPRRRPPAPRGRTYSPRGGILRAATVTDAAPGPGADDYHSTIRSLNSRGRHVPRKSLGQNYMLNSKVNEELVTAAGVEEGDFVLEIGPGTGSLTAALLEAGATVFAVEKDKHMATLVKDRFGSTERLKIIEEDITKFHIRSHFLPFMKEEYHATKKHAKVVSNLPFNVSTEVVKLLLPMGDVFSIVVLMLQDETAVRLAGASIQTPEYRPINVFVNFYSASL >KQL27944 pep chromosome:Setaria_italica_v2.0:I:1949941:1951134:-1 gene:SETIT_019982mg transcript:KQL27944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTTSHFCLLVAFFPLSSAVYGQLSTSFYASSCPALEHIVRSAVAQAIATDSRMGASLLRLFFHDCFVQGCDASILLDDVGSFVGEKTAFPNVNSVRGYDVIDSIKTAVEANCPGVVSCADIVALAARDGTVLLGGPSWDVPLGRRDSTTASRTLASSDLPSPASDLATLITAFGNKGLSARDMTALSGAHTVGYAQCKNFRSHIYNDTDIDAAFAALRRGSCDYTAGPTDGNLAPLDERTELAFDNAYYADLEARRVLLRSDQELFNGGSQDSLVQDYSTDGALFFADFVAAMINLGNISPLTGNAGQIRANCSVVNSS >KQL28970 pep chromosome:Setaria_italica_v2.0:I:9299515:9301053:1 gene:SETIT_017999mg transcript:KQL28970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAGTAAAPIAAPPLAAVRAGVLRVSCSASSAPSKSSGPKRQQRQQVPQPAPPLSSAAVSASAAMVPLLLALPPDALAVEGEFGILEGRSFALLHPLVMGGLFAYTLWAGYLGWQWRRVRTIQDEINDLKKQLKPAAAATPAAVGAGSGDASSSSTPPPAPKSPVEIKIEELTEERKKLLKGSFRDRHFNAGSILLGLGVLESVGGALNTWFRTGKLFPGPHLFAGAAITVLWAGAAALVPAMQKGDETARSLHIALNTINVLLFIWQIPTGLEIVGKVFEFTTWP >KQL31849 pep chromosome:Setaria_italica_v2.0:I:40067160:40067804:1 gene:SETIT_019929mg transcript:KQL31849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKRNKWLRLCQRLMRVNLSVHPDQFVWKLTTTGKFTVKSLYLQLMNDNTTYLHKYLWKFKVPLKVIHMAVHWIQLWSHLLPADQQEPMVTGCNQLLTVA >KQL31735 pep chromosome:Setaria_italica_v2.0:I:39452190:39454315:-1 gene:SETIT_018143mg transcript:KQL31735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSGAPTPQRFKRSSPRKKSQLRSRRLAAGEAEASKASALARAPLPAPLEVDAVPPVGRALPRQFFEVDALDLAPRLLGKLLRRDEVVLRITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHMMLNVVADLEGVGAAVLIRSCSPVSGLETIQWRRGQQTEKPVLLTGPGKVGQALGLSTDWSNHPLYTPGGLEVLDGPEPDGILVGPRVGIEYASPEHVAAPWRFAIAGTPWISAPKNTLRPR >KQL30802 pep chromosome:Setaria_italica_v2.0:I:33419090:33425869:-1 gene:SETIT_016252mg transcript:KQL30802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAGGDCLGDLGLGKRQLLKGWPDLWLAGDSTPGLRARPAAAAMAAAPPHEPDQTLALPDALLLRVLACLPEPHLTGAASLVCRRWTRVAGRLRRRLAVRDWAFVAHRLPYRFPDLADLDLFPASIAAPTAAAHHAASAAPLLTCAAVSLTLDTSADPPLGACRFLDDNALDRGLAAVAASFPNLRRLSATAAAESGGLMAIAGGCPTLQELELHRCTDLALRPVSAFAHLQILRIVAAAPALYGTGEGGGVTDIGLTILAHGCKRLVKLELVGCEGSYDGIAAVGRCCAMLEELTIADHRMDGGWLAALAFCGNLKTLRLQGCSRIDDDPGPAEHLGACLTLESLQLHRCLLNDRRALHALFLVCEGAREIQVQNCWGLEDDMFALVGLCRRVKFLSLERCSLLTTRGLESVITSWSDLQSLEVVSCNKIKDEEITPALSELFSNLKELKWRPDNKSLLAASLVGTGMGKKGRVFFKRAGRAKGAEQEAKKMAAAAAAPVPVPDGVSSCGSTNMRRADHLPPLPIPLPCIGEPTAASRVSPGSSPARSEASRGAPCYAADAEPDPEPQVEASVGRSTQMLLAMAAMGGRGGHYGRRPASSYGSCAAWSAGSLTKHRPASPSPICSPVSSHGGGGGGGDDREPHGGDDASSFVTPRMEEEQGRLPTRADFMKPSATPRNIRIQTPRLDRRVDGANQVPPKFIHKATPARLMHRVRSSHNFRQRVGAIDSINEWRLPKVSEGEDEGGDQKDWQNETVSSRISSARDWNFESDGAFEGSNHSDRAFGDSDGENCPGAGPRMERRLPSSVLKPQGNFVHAKLVAWKDAQVAKLIEKLKRKEADVDDWQRNKVAKARQKMRNTELELEKKRAEAGEKMQKAIKRAQRKADKKKVREQAATANQIAGVERALVKMSRTGKLPWSLAFL >KQL28330 pep chromosome:Setaria_italica_v2.0:I:5121958:5122507:1 gene:SETIT_020103mg transcript:KQL28330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGLKEMARLGAERAPPAWLRQLLGTRFFEPCPEHPAVTAIRSTRSVGCNYFCTNCAGGALCSGCLIRKSSSHGLVRVADIERLLNVSLVQTYLVNGEEAVFLDKREISGKGRAGTTRCEECSRGLQDLASLFCSLGCKVMR >KQL31085 pep chromosome:Setaria_italica_v2.0:I:35483752:35485250:-1 gene:SETIT_019661mg transcript:KQL31085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDPINAKPIFFSMAELQRPSIPSVVDGLTPDQRKIMFCLLKSKADKEVKVSKLSAHVSEHTACHRHDEQRLATAIIRMTHSFVGSNNINLLHPGGQIGTRIFGGEDLCIATKLLPITCSIFPKDDDALLDYLNEDGKLIEPTWCVPILPMILVNGGEETGTRCSTYIQNYNPRDIIANLRQLLNDEYTRPMHPWYRGFKGSIEKTNAEAGGDTYTVTGVIEAVDSTRLRITELPIRCWTMDYRDFLESLAPDAKNGRRSFIEEFMMNGYNEDIDFEVILSEENMNIATKQGLENKFKLTSTIGTANMHLLDSDGNVQKYDTPE >KQL32003 pep chromosome:Setaria_italica_v2.0:I:40830057:40832511:1 gene:SETIT_017752mg transcript:KQL32003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVVIARPGGPEVLEAREVEDPPAPGEGEVLLEVAAAGVNRADTVQRMGRYPPPAGASPYPGLECSGTILALGPNVPSRWAVGDKVCALLSGGGYAEKVLVPAGQLLPIPEGVSLTDAAGLPEVACTVWSTVFMISHLSPGESFLIHGGSSGIGTFAIQIAKHLGIKVFVTAGSEEKLAACKGLGADVCINYKTEDFVDRVKQETNGKGVDVILDNIGGSYLQRNLNSLGVDGRLFIIGFQGGTVAEVNLQAVLARRLTVQAAGLRSRSPANKAQIVSEVEKNVWPAVAAGKVKPVIYKTFPLSEAAEAHRLMEASTHIGKILLLPGLHM >KQL30238 pep chromosome:Setaria_italica_v2.0:I:29211372:29212574:-1 gene:SETIT_019902mg transcript:KQL30238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSFKKAAFLDVLLASSDLSHDDKVTFVLDSLLAGYETTSVLLSMLVYFVGHSPKCLEQLKREHESIRSSKGTEEFLTAEDYKKMEYTQRVINETLRCGNVVKFVHRRALKDVRYKGYVIPAGWKLLPVLSSVHLDPALYPNPQELDPGRWEGLNQTAGKKFAPFGGGLRLFPGSELGKVEAAFFLHHLVHCYR >KQL31433 pep chromosome:Setaria_italica_v2.0:I:37465421:37465924:1 gene:SETIT_018858mg transcript:KQL31433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLVRMAMARKSFVLPLLMAVLMVLVVSGSARRLEGGDNWAGGEAASSFGHPIIQFLKRLYLQQLSGPCLDPVTSNPTAPPSCPPHP >KQL30707 pep chromosome:Setaria_italica_v2.0:I:32769713:32774945:-1 gene:SETIT_016844mg transcript:KQL30707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSDSAGAGGSGGGRAGAELMVPQFLHKTLHAILAVRSPRPHASAPAATAAAFRRRDRWFHLPLHSPPPPPAAEHLPEPSPGEPLVVDVYLSPSGGGGGAEEVVERWTVACEPWPSAAAAAAVGEGLAVNRAYKRCITLLRSLYAALRFLPAYRAFRTLCASGQVYNYEMGHRVGSFAAPFSRAEEAAMRTNRFAPVETQLGRLVVSVQYRPSLAAFNFEVTSLAPAAIIMDYVGSPAADPMRAFPASLTEAAGSPSSQPSRRPSSWASPAPWPHTPGQQAKFSPPPTHYASPTPSPPTFGYLHSRFSGETAPMSIPQVGGGRGPVHHRNMSEPTRAFMFPPPSPKSVRGEAGMQESPTEANRSFKRADGIRMGDLYANLPSGSKIKDSRDESGRFSGVFSSSGSPRLGFSRSSSRLSMQDDTDDADFPFAVDDVDPDSRPGSSSGKDVGDQAGSSSHKSQDAAVGYLVHLLKSARPLRDSSYSTHTSRAESIEAGSTSSFMSRRTSDALEELESFREIKENLLARSRSRLQDSLDKP >KQL28739 pep chromosome:Setaria_italica_v2.0:I:7842873:7843578:1 gene:SETIT_018734mg transcript:KQL28739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVMIQVLAMLWKIVPSFQGAKKVTREDGKKGQYLFQGAKKGSWVLNNEGYQTMREVVCQRQGFDKRTKSKTKGCHCKAMIRLHRTEDDGWFISTYVKEHNHEFSETDGRETGMEFT >KQL29625 pep chromosome:Setaria_italica_v2.0:I:22114151:22123540:-1 gene:SETIT_016089mg transcript:KQL29625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILQQSSDVKRVLTCPLTKKGQVPSFEDRKNCGVLVLSSCYVERSTHSNFQTLKDSMSNAESDFWIGLSPDGPWESFTAALPVTILPKSLNNNHFAFEVSMRNGRKHATLRGLAVIVNDADIKLEVSICPVNMLNSSVLNTRSVSSTNAIDEVFENQWYRPIMGWGPNPSNDHRNDLKQWSTRDCSYSSKVFFETDLPSGWRWTSPWKIEKSNFVDNDGWAYSADFQNLNWPSSSWRSSKSPHDFVRRRRWVRSRQKLQEQVAEIPRKILATVSPHSSTALPWTAMIKDMDLCLQVRPYSEKLEESYSWSQVCSLGSESLPKLQQQQSSLSRTSTLKQSAVPSRDSFLKLAELEKKDVLSYCHPPVGNERYFWFSVGIDASVVHTDLNVPVYDWRISFNSILRLENKLPYQAEYAIWEVSTKGNMVERQHGMVASGGSVFIYSADIRKPIYLTLFLQNGWILEKDAVLIMDLLSLEHVSSFWMVQKQSQRRLRVSVEHDLGASDAAPKTLRLFVPYWIKNHSSIPLSYRIVEGETTESSDADSLRPDSLSRVAKSSKFSLKYSSKSLVRRGTMSRNMQVLEVIEDCSTNYVMLSPQDYLNRSSGMRSESRDNNFSPARVAISVAVGSCTQYSIGVSLFELENKEHVDLKAFASDGSYYWFSAQLKMTSDRTKVVNFLPRALLINRIGRSIFLSEYHDETEELLQPYEPPKVFQWRSEFGSELLKLRLEGYKWSTPFSINANGVMCVLMNSVTGNDQAFVRVNVRSGAKSSRYEVIFQLDCWSSPYRVENRSMFLPIRFRQVGGDDYSWRSLPPNSSASFFWEDLSRRRLLEVLVDGTDPINSMTYDIDVVMDHQPLTNSSALKKALRVTVLKEGKLHVAQISDWLPDNRNRGQITERILSPIFQPSEVDYGQSSPDLDSEFHVTLELTELGISVIDHMPEEVLYLSVQQLLLAYSSGMGSGVNRLKMRMHWIQVDNQLPFVPMPVLFCPQRIENQSDYIFKFSMTVQTNNSLDFCVYPYVGVQVPESCVFFVNIHEPIIWRLHEMIQHLKFDRIYSSQPSAVSIDPILKIGLLNISEIRFRVSMAMSPSQRPRGVLGFWSSLMTALGNMEHMPVRIAQRYREELCMRQSALMNAAISNIQKDLLSQPLQLLSGVDILGNASSALSNMSKGIAALSMDKKFIQSRMRQDSKGVEDFGDVIRDGGGALAKGIFRGVTGILTKPIEGAKSSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAVKMKISSAIMAEEQLLRRRLPRAIGGDGLLYPYDENKATGQAILHLAECATFLGQIDIFKIRGKFASTDAYEDHFVLPKGKILLITHRRVLLLQLPMMTQRKFNPAKDPCSVIWDVLWDDLVTVEMTHGKKDPPGSLPSKLILYLKAKPSNSKEVVRLVKCNRGSDQATIIYSAIDRAYKAYGPNSIKELLRWKVPRPYAPRNNSGRSFQDLTFG >KQL28670 pep chromosome:Setaria_italica_v2.0:I:7381033:7383274:1 gene:SETIT_016488mg transcript:KQL28670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFIGLALGTLLLLSMASLASSCNEQEKASLLQFLTGLSQDNGLTALWKNDIDCCKWEGVSCSGDGAVVEVSLASRGLQGCISPSLGDLSNLQSLNLSCNSFTGSLPSELLTSSSIVVLDVSFNQLSRVLQPQESNSSVTNNRPLQVLNISNNLFTGEFPSAVWEKTSSLVVLNASNNQFRGLIPSSFCISSLSFAVLDLTHNKFNGSIPTGLGKCSALRLLKAGYNSLSGPLPDELFNASSLEYLSFPNNGLQGVIDGARIINLKNLCHLDLGNNMLIGKIPDSIGQLKRLQELRLSRNNMSGELPSALSNCTDLVTVNLKMNKFSGELNNFNFSNLINLKILDLLGNNFTGTISESIYSCSNLTALRLSSNNLHGQLSPRIGELKSLAFLSLSFNNFTNITNALRILKNSSTLTTLIIGNNFKGEAMPEDETIAGFQNLQFLSISNCSLSGKIPLWLSKLKKLQVLLLSTNHLTGSIPAWIKNLESLTTLDISDNRLTGGIPAALMDMKMLKSNMTATHVDTSLFELPVYIAPSLQYRTLPAIPKGLFLGNNKLTGTIPKEIGHLKSLAKLNLSFNCLSGEIPQQLCSLKNLQVLDLSSNHLTGEIPLALNKLSFLAKFNISNNDLEGVIPTGGQFDTFPNSSFEGNPKLRAIMVNPLYGSVEASAVPSLSMEQADRRITFVFGFCAFFGVGFLYDQLVLSKFYG >KQL28701 pep chromosome:Setaria_italica_v2.0:I:7581288:7584464:1 gene:SETIT_016175mg transcript:KQL28701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLQFPYKNYSSRLPIPSLGFAALLLISLATLTSSCTEQEKNSLLQFVSGLSQDAGLAKLWQEDTDCCNWEGITCNGNKTVIDVSLASRGLEGHISQSLGNLTGLQQVNLSYNSLSGGLPLGLVSSSSIIVLDVSFNQLNGDLHELPSSIPGRPLQVLNISSNLFTGQFASTTWKGMQNLIALNASNNSFTGHIPSHFCNISPSFSVLELSYNKLSGSIPPGLGNCSKLRVLKAGHNYLSGTLPEELFKATLLEHLSFSSNGLQGILDSTHIVKLGKMAILDLGENKFSGMIPDSIGQLTRLQELHLDYNSMSGELPSTLSNCTNLITLDLKSNNFSGELNKVGFSNFPTLKTLDLMRNNFSGTIPESIYSCRNLIALRLSSNKFHGQLSKGLGNLKSLSFLSLANNSFSNITNALQILRSSKNLTTLLLGMNFMNETMPHNARIDGFENLRVLAIDDCLLSGQIPFWISELANLEILFLQGNQLSGPIPAWINTLEYLFYLDISNNSLTGEIPTTLMAMPMLTSEKTAAHLDPRVFDLPVYEGPSLQRRIPIAYPKALDLSGNKFTGVIPPEIGQLKALISLDISFNNLTGPIPPSICNLTNLQVLDLSNNNLTGEIPAVLENLYFLAVFNVSNNNLEGPIPTGRQFNTFQNSSFNGNPELCGSMLLRRCSSAHAPPVSTKKGKRKAIFAIAFGVFFAGIAILLLLGRLLVLIRVKSLTSKNRREDNGDVETTSFDSSSEHELIMMSQGKGDKNKLKFSDIVKATNSFDKEHIIGCGGYGLVYKAELPDGYKLAIKKLNGEMCLMEREFTAEVEALSMAQHENLVPLWGYCIQGNSRFLIYSFMENGSLDDWLHNMDDDASTMLDWPTRLRIAQGSSSGLSYIHNVCKPHIIHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTELVGTLGYIPPEYAHGWVATLRGDIYSFGVVLLELLTGLRPVPVLSSSKELVPWVLEMRSQGKQIEVLDPTLRGTGHEDQMLKVLEIACKCVNYNPSMRPPIMEVVSCLESINTGLQTQKSLKTQCS >KQL29069 pep chromosome:Setaria_italica_v2.0:I:10106807:10108385:1 gene:SETIT_020228mg transcript:KQL29069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMERGAPGSSLHGMTGREPTFAFSTEDATAASKFDLPVDSEHKAKTIRLFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKADIGNAGVASVSGSIFSRLAMGAVCDLLGPRYGCAFLIMLAAPTVFCMAIIDDAAGYIVVRFLIGFSLATFVSCQYWMSTMFNSKIIGTVNGLAAGWGNMGGGATQLIMPLVYDIIRKCGATPFTAWRLAYFVPGSLHIVMGILVLTMGQDLPDGNLRSLQKKGDANKDKFSKVMWYAITNYRTWIFVLLYGYCMGVELTTDNVIAEYYFDHFNLDLRVAGIIAACFGMANIVARPLGGILSDVGARYWGIPQAAFCLWLGRATTLPASITAMVLFSFCAQAACGAIFGVTPFISRRSLGIISGMTGAGGNFGAGLTQLLFFTSSKYSTGMGLEYMGIMIMACTLPVVFVHFPQWGSMLFPANAGAVEEHYYSSEWNEEEKSKGLHSASLKFAENCRSERGKRNVIQATSSTQPNNTPEHV >KQL31337 pep chromosome:Setaria_italica_v2.0:I:36978623:36979741:1 gene:SETIT_019076mg transcript:KQL31337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTLASLQTAMVTPAGLVELTNGTAHSKGHVFHPTTLCLREPPLDTNGGGTIRSFSASFVFDIVSAAEAMGAGHSLALVVSPTKDLSSGVPTSYLGLLNDTQIFAVELDAHKVMPGSIQVYIATDPWCPRGAVAGCKSLESLT >KQL29241 pep chromosome:Setaria_italica_v2.0:I:11970003:11972258:1 gene:SETIT_018774mg transcript:KQL29241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKIAFAFRLYDLRGTGFIEHEELKEMVLAILNESDLILSDDAVEQIVDQTFKQADLNDDGKIDPEEWKAFASKNPGLLKNMTLPYLKDITMAFPSFVLNSGATDEEL >KQL28740 pep chromosome:Setaria_italica_v2.0:I:7844030:7847607:-1 gene:SETIT_017687mg transcript:KQL28740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGPWGPPPSARLSLLLLLLLLLLLLAGRPASCSCCCRGERAEEVAAPRMGLDGDGPPDAAAGGHISNSANGRFTYGVASSPGKRASMEDFSEARIDDVDGEKVGMFGVYDGHGGVRAAEYVKQHLFSNLIKHPKFITDTKAAIAETYNHTDSEFLKADSSQTRDAGSTASTAIIVGDRLLVANVGDSRAVICKGGQAIAVSRDHKPDQSDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPIQDPQEAADKLLQEASKRGSSDNITVVIVRFLEGTTPGDKSEEKEKETANDQNSS >KQL31427 pep chromosome:Setaria_italica_v2.0:I:37416833:37417081:1 gene:SETIT_020042mg transcript:KQL31427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMSKFVLPLMTAVLMLLVVLGSARLLEGDEWNGGKAASGKHHPVGQFLEQSTTTPPCPPHHRN >KQL27686 pep chromosome:Setaria_italica_v2.0:I:109759:110626:1 gene:SETIT_019749mg transcript:KQL27686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRDMLYFYCDARNVYERFVAIGSHPEQARNAVALLLWLDPADHQAIRHLLSLNPAAIGIVAGEANSILDCLRQQQTQMLPPIPFISALCQEGGIGEIDAAFLAFNQDLVVRGVADILDGAGALIFDDRLYRLLRRYQTGLVGRLPELEVPYTWRPVTVPEDCRSMFVTFSKGQPIERQEIFDYFRQKWGDCIVRVLMEKTTGGAPPMYGRIIFKSEAFVSLVLNGEPLVKITIAQRQIWLRKYIPRPQNI >KQL29080 pep chromosome:Setaria_italica_v2.0:I:10213464:10216537:1 gene:SETIT_016737mg transcript:KQL29080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWPPLAPAATPTAAAAASDDAAIPPPAPAAWAWGAAATAQRKAVTEESAAQAVSRLVASCANSSGVAVAVVDANAVIAGGSALSTTAGRLVTVPEVLEEVRDAAARRRLGLLPVPVETVEPPPEFVKKVTKFARETGDIQTLSDVDIKIIALAYMLEAEIHGTSHLREHPPPLREVNVRKLSEAPLPGWGSNVPNLKEWEELDQMSEAGGDINSRILPLKDLENQDIPMSETNVVCEAQEGAGHQPNKDACTAWEDDENNEGWTPAVSRSTHRRYLRRKARRDALKESGQSLETSSVAPSIDADKVLSENGGVEHDSTPTDGPSSVPEKINSSTDGLEHQVENELEIAGEHLHSDQANDDETDACTKELDNLDIKSEAEGGDDAYSVDDESSEQSWALRSLSESSVACVTSDYAMQNVILQIGLRLLAPGGMQIRQMHRWVLRCHACYKVTQEVGKIFCPKCGNGGTLRKVSVTVGENGITMASRRPRVTLRGTKFSLPMPQGGRDAITKNPILREDQLPQKVLHPKSKKSSKLEDDFLGVDDIFSHSGDKKAPLKPPVRKALSMFSGKRNPNDNHFSRKKH >KQL29001 pep chromosome:Setaria_italica_v2.0:I:9620555:9621065:-1 gene:SETIT_018932mg transcript:KQL29001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEPISIATRKSKLSYPNSVKPNGASKSCSESIAQRESNQSSTEDAPYRRTMRQYCMIWPQTAKILLVIS >KQL30811 pep chromosome:Setaria_italica_v2.0:I:33509788:33510810:1 gene:SETIT_020018mg transcript:KQL30811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTTCKQCYRRFASPRALAGHMRSHSIAAAQAAAAADAAAAAAVKQQISSASSASTSFAAADEDSGFKMPASTYGLRENPKRSLRVADAAFSDHESEAESTPPHAKRVNAAAAWGEAEPVSSLSEVATPEEDVALSLMMLSRDSWPSGAFDGDDYSDDGSDDGYAPPAPLPPARAPARAPVEKRTQFQCVACKKVFRSYQALGGHRASNVRGGRGGCCAPPVAPPPPPQPQPPVPLPFPEHHDGDGDMDAKQQPRECPHCYRVFASGQALGGHKRSHVCGAAAAQASTATATATAAASTSSAAPPSPINNPGMIDLNVAPPSEEVELSAVSDPRFNPVA >KQL31596 pep chromosome:Setaria_italica_v2.0:I:38634777:38636345:-1 gene:SETIT_017347mg transcript:KQL31596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLLYKITTTICTSQFFQRNFEHYHVDYSRKSAPCCPQTERSISFACETRSLFRFLRSNLLFPFYVGSRCVTAFSSSTATSRDAAPAFAQDALPPMQSRRTLPLVGTSAASTAATRFSLSLVNDEEDTSPLSELNVILDAENEKKESFPENVLARIDCHLLGWQSGALRPSRLLPSPALRPRRDCKLCSLAAAISLRTCSGSRSVNRSRSRSSRTAREWPVECDRASCAVAGRRPDRGRMALRWDPICCSSSASCLRSSSSSSVTTSITTATGSGPSPCRATTVSLEMLLATGIDPKLGSSSLLVSLFGSRLQRQTGQVTWLASHSPMQSGWKAWLHLGNSLSRSSSSNSLRHTAHSSAPPFPIRSALASAYLMVGNASTTSASSPRGRRCLRDREMKASKLGTAARSSSDLAA >KQL31531 pep chromosome:Setaria_italica_v2.0:I:38227068:38227419:-1 gene:SETIT_020335mg transcript:KQL31531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTQPTFCSILADSASELLRLILRQIAQYFEGHLDL >KQL30890 pep chromosome:Setaria_italica_v2.0:I:34121400:34123090:1 gene:SETIT_018635mg transcript:KQL30890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKADTSKKGDGRLKAGGGAGKRKKAAASGKPKRPPSAFFVFMSEFRQEYQAQHPDNKSVAAVSKAAGEKWRAMSEEEKQPYVDQAGQKKQDYEKNKANFDKKESTSSKKAKTQDDEGSDKSKSEVDDEDGGSDEENEEDE >KQL27720 pep chromosome:Setaria_italica_v2.0:I:224928:225812:-1 gene:SETIT_018042mg transcript:KQL27720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAGGRRLLLLLLVYLGGLSPEEHVEADDDKECTQQAADGDEGAQQQRVQHRGGHQRQRVKHGRVDGPPARDGPGHEAEGGARVEGPHVHDGRELQVPAQPPRRRRAAAGLEEGDGGADEQRLQGAGDAGEHGGERVGHAAAAEVDGAQAHVVVGRGHGGGGVVPEHVRGPRRAQQGALVEAGNHGAAHADGRARHLHPPRQLLLPEHHRHGEGHHRDEVEEVDGVGGGGGAQAVVVKTYPQHDPQQRADRQPAKHPQVHLAQHPPAYAILLCVMY >KQL30208 pep chromosome:Setaria_italica_v2.0:I:28887996:28891404:-1 gene:SETIT_018382mg transcript:KQL30208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPASEELERRSRYLSSLIRRTKLSAAPAPPPLPEPEPEPEAPAPKPEPEPQTAVGKCEEAKPALAVEEEEKREVKEEGADGEGKQIKGKVEGGLKEEDGGSKKVSVRVRAADMPLPLQRRAIRLAYEAIAAMPRLDSKRLALALKKEFDTAYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKAYILLFRTAVEPLGHPR >KQL31484 pep chromosome:Setaria_italica_v2.0:I:37870298:37871262:1 gene:SETIT_020328mg transcript:KQL31484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVDSGILITSLPPRPKLLRIFIRLLAIILSHLGRWAPCSCNLAQRKEQEEDKGGSVRVSENRNYSTSII >KQL30362 pep chromosome:Setaria_italica_v2.0:I:30091836:30094744:-1 gene:SETIT_017871mg transcript:KQL30362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNEEKAQSMLNRFITMKQEEKRKPRERRPYLASECRDLADAERWRSEILREIGAKVAEIQNEGLGEHRLRDLNDEINKLLRERGHWERRIVELGGRDYSRSSNAPLMTDLDGNIVAVPNPSGRGPGYRYFGAARKLPGVRELFDKPPETRKRRTRYEIHKRINAGYYGYYDDEDGVLERLEAPAEKRMREEVVSEWHRVERVRREAMKGVVSGEVAAAGGRSGEAAREVLFEGVEEEVEEERKREEEQREREKGEEAGREFVAHVPLPDEKEIERMVVERKKKELLSKYASDTLQVEQEEAKEMLNVRR >KQL31914 pep chromosome:Setaria_italica_v2.0:I:40401932:40402453:-1 gene:SETIT_018971mg transcript:KQL31914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKCSKYLEPRNNILIFVVAWLGLSSSRQALCRILAFLPFMEFSKQNFEAYEVANSNHDCQS >KQL31061 pep chromosome:Setaria_italica_v2.0:I:35346148:35348470:1 gene:SETIT_018676mg transcript:KQL31061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRTIKTTDHKPASASSYGQAGAKTIIDIPDDNLFDVTNTTSGSESSAQQSNPDGNDHGSSMCALWSNSSISSRGAWFHDKSIDATPGDIKSFEDVQSRSLDDVSNLNSSPFQVAGMFGRTKKPNLDFTLGRI >KQL30121 pep chromosome:Setaria_italica_v2.0:I:28238902:28243854:1 gene:SETIT_016498mg transcript:KQL30121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDTPTLKPEWLQKDRAAGAANIWATASSRSDYQGTGGSARNHSSGHDCDRSSRQSSSRRSSGSNVSRRPDRDAMGKSRGYANFGKNRDKDRERDSDSRDRESRSTAADRHDFKSFGTCRSERDWMNRARSKADTWTKEVVNLNNGSASRNNGVSSSRSNDKSNTVASTGTAVVNSFNNAAVGTFMSNTAVGTSISNASVRTSASNASVSNVVSNGSNAASITFEREFPQLSLDEKNGRQGISKVPSPGISTPIQNVPLPSDCWNSVLADIPLLSDVKKSTAMSSILQITPSKQTEVMPNSGTALSMAETVMQAPPRISSGPQLSMDTQKIEERTLRQYTLRPLTPPASKSSVLSLKTKGTRLGDPTGSSKTLQQFKIQSANSSIRAPVKADISKLSQSGSFQVLSREQNGTAHTGKDCPIKPVSPPPTPLVSMDTQKKPVVSQKLKISTNERPLPLQGPCGDRKSNARDRHKFFATLRTKSSNDSSIAFESGNQPSPSSLVDVKQDSSLSPGNDFSSFPSEVECTGQGKCFCEEANSPEGSQRHLSDTEESIPSLKPTVADGMSRQLLVESREAYSSSEPADTGDEGFQASLSDSAEGSVSSTPADSDDGWNRSQSGNEEASSLSEVTEPGDEDHPADISPEDKRFLILLGWREDVQVEPLEFDEIADTVKGCEELKKKLQSMLSNDNIKSILHLIDGQS >KQL31256 pep chromosome:Setaria_italica_v2.0:I:36542422:36544052:-1 gene:SETIT_017539mg transcript:KQL31256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLEERLRRDQLRAAYIQRKFSGAKAGAGDVQQHSDLTVPTTLGFFLHTLEYVITVGIGSPAVTQTMLIDSGSDVPWVQCKPCSQCHPQVDPLFDPSASSTYSPFSCTSDTCVQLGQCQYIVRYGDGSSTTGTYSSDTLVLGSNTVTNFQFGCNQVESGFDDQTARLRLSCFLCTNIWTQTAGTFGKAFSYCLPRTSTSSGFLTLGAGTGSSGFVKTPMLRMRQVPTFYGVRLQAIRVGGRQLNIPTSVFSAGSVMDSGTVITRLPPTAYSALSSAFKAGMKKYKAAPPSGLLDTCFDFSGQSTISMPAVALVFSGGAVVDLVGEGIILGNCLAFAATSDDSALGIIGNVQQRTFEVLYDVSGGAVGFRAGAC >KQL29108 pep chromosome:Setaria_italica_v2.0:I:10329261:10331786:-1 gene:SETIT_020483mg transcript:KQL29108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLDPKQGKSKSKEIKKRDEVIQYIKIDLPPEALKVNRIPYIIGEDT >KQL28383 pep chromosome:Setaria_italica_v2.0:I:5499541:5501195:-1 gene:SETIT_017917mg transcript:KQL28383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGSECDRIKGPWSPEEDEALRRLVERHGARNWTAIGRGIPGRSGKSCRLRWCNQLSPQVERRPFTPEEDAAILAAHARLGNRWAAIARLLPGRTDNAVKNHWNSSLKRKLSTATTAAAEEEGPEAERPCKRASPGPGSPTGSERSELSHGSGGGAQVFRPVPRAGGFDAITAADARPRPPPPPPSRDEDPLTSLSLSLPGLDQASPGFHHDSARSHFQELSPSRSPSPPSPSSSAAYPFSGELVAAMQEMIRAEVRRYMSGTGLRAGCGAGAVGEACIVEGVMRAAAERVGATVVVTRP >KQL28464 pep chromosome:Setaria_italica_v2.0:I:6069305:6069838:-1 gene:SETIT_020028mg transcript:KQL28464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPNARAFQQGFLKNLILSLQACRKACVSCSGSKSATMSLHERKRAIKSSADIAMATARGGGARWPRAILASSSMPCKSPEKVRRCKRIVRRCQLRTRRSRGGTGSGASLARTAAAAISSGEIARRLVRKRTKVLRKMIPGGELLDEISLLHEAMDYVAHLHAQVDVLRRISNAVR >KQL31599 pep chromosome:Setaria_italica_v2.0:I:38784986:38787489:-1 gene:SETIT_016686mg transcript:KQL31599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKDLLPAPKTTASTFYDHSSDPWFKERYGGEPAQGSAAARPAAATRAIPPYGKRAGFVPRRPEDFGDGGAFPEIHVAQYPLGMGRRDDKGGSKILALTVDAHGSVAFDAVVKQGENAGKIVYSKHSDLVPKIATSDSQAPVDGDEEQKEIEETTERTKAALEKVVNVRLSAAQPKNVPTHDSESKFIKYKPSQQSAAFNSGAKERIIRMSEMAVDPLEPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQEVQINDNFAKLSEALYVAEQKAREAVQMRSKVQRELQLKEKERKEQELRALAQKARMERTGGPPAPSGVPTGGSRGAVEAIDEDMDMEQPREPREQRRESREEREARIERDRIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKIALGMASTGGAKGGEVMYDQRLFNQDKGMDSGFATDDQYNIYSKGLFTAQSTMSTLYRPKKDGDSDVYGDADEQLEKVMKTERFKPDKGFTGASERTGKRDRPVEFDKQEENDPFGLDQFLTEVKKGKKAVEKIGGGGTMKASGGSSMRDDYDGGSGRSRINFERGR >KQL27855 pep chromosome:Setaria_italica_v2.0:I:1226566:1233804:1 gene:SETIT_016638mg transcript:KQL27855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREERYRWNKIFVISCLVAVFVDPLFLYIPVINGEKNCLYLDKKLATTASILRFFTDIFYLLHMMFQFRTGFIAPSSRVFGRGVLVENTFAIGKRYMSTFFLVDFLAVLPLPQVFVLVVLPHLEGSEVMKAKIVLLIIIICQYVPRLLRIIPLYLQITRSAGILTETAWAGAAFNLLIYMLASHGFGALWYILSIQREDSCWRQACSNQIGCHPTLLYCESNTFGNNAFLQNACATNSSANPDPTFGIFLPALQNVSESKSFFEKLFYCFWWGLQNLSSLGQNMKTSTNTSENLFAVFVSTSGLVLFALLIGNVQTYLQSASVRIEEMRVKRRDTEQWMAHRLLPENLKERIMRHEQYRWQETRGVDEEGLLTNLPKDLRREIKRHLCLSLLMKVPMFENMDEQLLDAMCDRLKPMLYTEGSCMIREGDPVNEMLFIMRGTLESTTTNGGQTGFFNSNVLKGGDFCGEELLTWALDPTQASNLPGSTRTVKTLTEVEAFALRADDLKFVATQFRRLHSKQLQHTFRFYSQQWKTWAACFIQAAWHRYCRKKLEETLYEKEKRLQAAIVCDGTTSLSLGAALYASRFAGNMMRILRRNATRKARLQERVPARLLQKPAEPNFFAEDQ >KQL30483 pep chromosome:Setaria_italica_v2.0:I:30829273:30829658:-1 gene:SETIT_020356mg transcript:KQL30483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPRRGQRLKPLWPVGSRCRRAPRSCPFRPPLEYGRPLRDPVWELGRRCFI >KQL27993 pep chromosome:Setaria_italica_v2.0:I:2357910:2358056:1 gene:SETIT_0199141mg transcript:KQL27993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDLKCLGDILTVEVAPDIGPSPWIGRGSPLTAKRMNFGMSFSGNSLGP >KQL29898 pep chromosome:Setaria_italica_v2.0:I:26126468:26131238:1 gene:SETIT_016754mg transcript:KQL29898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPQRVLAPWAVLLLALQLAGASHVIHRSLEAEAAPPSVPASIVNSLLRTGYHFQPPMNWINDPNAPMYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWIALEPAIYPSIPSDQYGCWSGSATLLGNGTPAITYTGISRSDINYQVQNIAFPKNASDPLLREWVKPPELNPIAVPEAGINATQFRDPTTAWFAGRHWRMLVGGVRGTRGLAFVYRSRDFMKWTRAKHPLHSAMTGMWECPDFFPVSGAGAENGLDTSEHGVKYVLKNSLDLTRYDYYTVGSYNRSNDQYVPDDPAGDYRRLRYDYGNFYASKTFYDPAKRRRVLLGWANESDSVPDDKAKGWAGIQAIPRKIWLDPSGKQLLQWPVEEVEKLRGKPVSVGGKVVKPGEHFEVTGLATAYQADVEASFEVSSLDKAEPFDPAYDNDAQKLCGIKGADVRGGVGPFGLWVLASGDLQEKTAVFFRVFKDGYGKPKVLMCTDPTKSSLSPDLYKPTFAGFVDTDISSGKISLRSLIDRSVVESFGAGGKTCILSRVYPSIAFGTGAHLYVFNNGEADVKVSHLTAWDMKKPLMNGA >KQL30465 pep chromosome:Setaria_italica_v2.0:I:30717799:30720313:-1 gene:SETIT_018551mg transcript:KQL30465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLCPKKAAKGNKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAVKKAFTAA >KQL31272 pep chromosome:Setaria_italica_v2.0:I:36613544:36614897:1 gene:SETIT_018735mg transcript:KQL31272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSCKHLSYGSVTINGALVHPGSEGKVKITQILSSSTGSNAQSWTFPPPFPRGHVRSRMLTAHPSSSSLPRTSVLPEYDASQLASGCGLLDSSVDASSTARQLMMLMSIIPRYADGV >KQL28015 pep chromosome:Setaria_italica_v2.0:I:2593632:2593804:-1 gene:SETIT_020303mg transcript:KQL28015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWICNKTIFTFLTISNDWPRSNRTIYTDHLA >KQL31206 pep chromosome:Setaria_italica_v2.0:I:36265737:36269993:-1 gene:SETIT_019865mg transcript:KQL31206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRRFVGRIAAARRALAAGGSLPVPVLRITNGLAMISLVLSSCDLLRLCSDRDRPLRFPLGGREFATVLCQLASVVYLLSLFGGPSSWQPKAPASDDEGGGSPRRERAAATDTPAPMHAGVEGGDDEIVAAVVSGALPSHHLESRLGDCRRAARLRREALRRITGRGVEGLPLDGMDYQAILGQCCEMPVGYVQVPVGVAGPLLLDGRQYHVPMATTEGCLVASVNRGCRAIAASGGAVSVLLRDAMSRAPVVKLPSAKRAAELKSFVEAAANFETLASVFNRSSRFGRLQGIRCALGGRNLYIRFTCSTGDAMGMNMVSKGVENVLGYLQNDFPDMNLISLSGNYCSDKKPTAVNWIEGRGKSVVCEATIKGGVVQNVLKTTVQKLIELNIIKNLAGSALAGALGGFNAHASNIVTALFIATGQDPAQNVESSQCITMLEAVNDGDDLHISVTMPSIEVGTIGGGTCLASQAACLNLLGVRGPNRDSPGANAKVLATIVAGSVLAGELSLLAALSAGQLVKSHMKYNRSSKGVASAAS >KQL30138 pep chromosome:Setaria_italica_v2.0:I:28346475:28349799:1 gene:SETIT_018284mg transcript:KQL30138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGVRHQERAFLRPFLHGPVRLETIVRTVIAGLAHARGLRGSVASDQPPYCSFGAKKSSDTNTPSLFLSLQIFGGKRGIRRSASREMARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KQL31199 pep chromosome:Setaria_italica_v2.0:I:36220135:36224281:-1 gene:SETIT_016413mg transcript:KQL31199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSPRGRRATTSLALLLLLAGVAACPIPAVRAQATVFTGDVNGSGREITSFSFPGFGNELQKLNNLTFTGNTSLTQRSLQITPDTGNNPGSFLVNQAGHIFYSTEFVLWEDNASNSTADGRYVASFSTVFKANLFRSNKSLKGEGLAFVVASGKDGGPPPGSYGGYLGLTNASTDGNATNEFVAVELDSVKQSYDPDDNHIGLDVNSVRSTVTTPLARFGIELAPVPNATNDGSIYLWIDYNGTTRHIWVYIAPASANANIRKPATPVLNASLDLSTILLGKKGYFGFSASTGVEYQLNCVNMWNMTVEVLHDDSAPKKAPLSGWKLGVAIGAPCAAALALGLLAGLYFMKKRKKVGDDPSSVFNNATLLRSIPGVPKEFDFKDLRKGTGNFDEKMKLGQGGYGVVYRATVPGNNGQSVEVAVKQFSGANTKGQEDFLAELSIINRLRHRNLVKLVGWCHDNGVLLLVYDYMPYGSLDRHIFGGKDSPGLDWRQRHTVVAGVASALNYLHHEFDQTVIHRDIKPSNIMLDSSYHARLGDFGLARALESDKTSYTDKIGVPGTLGYIAPECFHTGRATRESDVFGFGAVILEVICGRRISCCNPAGCSQLLEWVWKLHGAGRVLEAVDPRLAGEYDEEEAERLLLLGLACSHPNPRKRPTAQTILQNLQTRSVPPLPVPTSKPVFMWPVPLVDGEVVEEEGEYGGEETAGTSMSHSELTSSDVTSSSHYAYASSSGYTTQNYPVSRDAAERDVSTV >KQL29516 pep chromosome:Setaria_italica_v2.0:I:19098843:19099646:1 gene:SETIT_019394mg transcript:KQL29516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCIKQLKLHCASKRWDMACVETVTTFLRWAFETNCTQLQEKCMSLIALISPDRILTQDFVSEDFLSPRRF >KQL28512 pep chromosome:Setaria_italica_v2.0:I:6357182:6359116:-1 gene:SETIT_016870mg transcript:KQL28512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLLVSLKRLRIYSAFLASKLLSFPKLAQQTMKYSYQFIRRSNPLFVQIIYFTSISFGGYAALKILKPQENPHALKYLDLLFTSISASTVSSMATVEMEDFSNSQLWMLTILMLIGGEVFTSMLGLYFMKAKFDAKGSVNKTGYSFYADVESASSENPGPNSTQGTKVMVPISELRLEDKDRVDHETMKSLGYALMVYLLVTNLGGSLAIYLYLILVPDAQEVLKRKDIGYVIFSIFTAISSIGNCGFTPVNENMVIFQKNTILLLLLIPKILLGNTLFAPCLRFMMWSLEKITTKKEYHFILQHPKAVGYKHLMNGRECVYLMVTVIVFIIMQTILFCSLEWNSNALQEMNSYQKIVGALFQSVNARHAGENIVDLSSLSSSILVLYTIMMYLPSYTSFLPKDNDQDSNEGMKYKRRSRCENWILSQLSYLAIFVLLICITEKEAMATDPLNFNIFSITFEVISAYGNVGFSLGYSCQRLLNHNIHCKDASYGFVGRWSDKGKMILIIVMVFGRIKSLNMHGGRAWKLR >KQL29648 pep chromosome:Setaria_italica_v2.0:I:22607214:22612455:1 gene:SETIT_016670mg transcript:KQL29648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGEEAVRALGAGFDLTSDFRLRFAKASEGRRLVELGGETRDVPLPGGAGATLRGVPRDVGVDKGDRIRFRSDVLEFNQMSELLNQKSSVQGKVPSGYFNALYELSGAWLTDAKETKHLAFDGYFISLYNLHLKASPLVLRDEVRKAVPSKWDPVALAWFIKTYGTHIIVEMAIGGQDVICVKQSHSSTVAAADLKLHMEDLGDFLFSDMKNHSPIHRKTKDGKSKVPDVFVRIVQQPNNLHLSSYSETSTKDGLTVTCSKRGGETYIQNHSNWLQTVPKKPDAIMFKFVPITSLLTGIPGSGYLSHAINLYLRYKPDLQELQYFLEFQVPLQWAPMFNELVLGPQKRKGSYPSMQFRFLGPKLHVSTSQVSSSQKPIVGLRLYLEGRKCNRLAIHVQHLSSAPSMLVDSMASSMSEWRESEDTDPGYIEAIQWKNYSCVCTSAIKYNPEWHKRAPGGVFIVTGAQLVAKGTWSKKVLHLRLLYTHIPNCSIQRTEWTRAPAASQKGSFLTTISTTLSSPFTQRDTHQQHAPRHEPAQLNSGVYPDGPPVPLRSRKLLKFVDMAEVVKGPHDVPGHWLVIAAKLVKEGGKIGLHVKFALLGYDGQPSQGDSFGS >KQL28655 pep chromosome:Setaria_italica_v2.0:I:7268380:7269785:-1 gene:SETIT_017238mg transcript:KQL28655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLRAPADEQRKQEALHGEEDDDVVVIDGGGNESNKKARAGLCGVLRERKVVDLARAKRRLVEVPYTATLAHTANALLAAPVSAVAVAAPPGHWIGAGGSMILESDPATGAVRKHYIGMVNMLDILAHIADAGDEAEADGEEAVDLSRRMAVPVSSVIGHSLEGLTLWTLHPSTSVLDCMETFSKGVHRALVPLESSADNVVAVELVESAPGYRMLTQMDVVRFLRAHGAELKGVLSSTVRELGAVNEAVFAVAGGAKVIDAVKAMRAASLTAVPVIDAAAVDTETLQDGMGKKAIETFSATDLRDCPVARLQLWLGISVTEFKRKVAEYRASNRPVVPGADATDTGVRDADTPAAAAAIATDEEQSNEPQPLVTCSPESTLGEAIEAAATRHVHRLWVVDEEGLLRGVVSLTDVLRAVREAALGEDRELHSIVSS >KQL30917 pep chromosome:Setaria_italica_v2.0:I:34373440:34373764:-1 gene:SETIT_019691mg transcript:KQL30917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKNQRPTGEMLISTQSPRNSHLALKSIHYQKGCIELYPSTAQDLVSDLALYAMKKQLNRKMQSMQQLKSIVRCCQILAEDFEATSI >KQL28810 pep chromosome:Setaria_italica_v2.0:I:8222782:8225087:-1 gene:SETIT_019941mg transcript:KQL28810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRLFSFHHLALHPRAPARPLAAAVAVAVPHRRGKHDAVTCKATGKTKAKSKAKAGGKGGERLQRRPLEEHLKRRTRSAAAFDADLYGRHGHAHHVPVLLGEVLAAFRRPRSLRSFVDCTVGAAGHSLAMMEAHPEMELYVGMDIDPTALEIGRGHIETFLAGREGNGAEDSSLQGTLRAYTHVKNFKYIKQVLGSVDESLAVGSSGVDGILIDLGMSSMQVNRSNRGFSILQDGPLDMRMDPKATLRAEDILNSWPELEVGRILRDYGEESNWQFLQKQIVKAREMGGLHSTGDLVKLIQRKCNVSKGRQGWIKTATRVFQALRIAVNDELRVLEDSLHSSFDCLATGGRLAVISFHSLEDRIVKKTFLELIHGGEADDEEDDEDDLALPDIDDEDEPWFNQRLQGRNGIVLTKRPITPSQEEEKLNQRCRSAKLRVIQKA >KQL28995 pep chromosome:Setaria_italica_v2.0:I:9586433:9588167:-1 gene:SETIT_017820mg transcript:KQL28995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHTVDAWAMGRRNLLLACLFRSDPGRVDSKRSATCRPPTSVNTAHAPRTASPSAAAPGTGRFPWTMSTDPYRHISVDQPTYTRPTRSVTSLPAPGRAGLVSGGAAAAGREHHRHPHSINQSQRISFSPNKSLNPSSSIPTASPPMASANPRVFFDMTIGDAPAGRIVMELYAHEVPKTAENFRALCTGEKGVGKKGKPLHYKGSTFHRVIPDFMCQGGDFTDGNGTGGESIYGAKFADEKFVRKHTGPGVLSMANAGPNTNGSQFFICTVPCPWLDGKHVVFGQVVDGLDVVKAIEKVGSRSGTTAKAVKIADCGQLV >KQL27897 pep chromosome:Setaria_italica_v2.0:I:1483060:1483502:1 gene:SETIT_020324mg transcript:KQL27897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAPSAGARSRRSKLVGSSRRQQMHRMMRRRCDPTQRRRPCHVAATIVDFASILQSKFFLRKQKFVHKWCVNPSKALFT >KQL31233 pep chromosome:Setaria_italica_v2.0:I:36388340:36390134:-1 gene:SETIT_018712mg transcript:KQL31233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKKRGPGTRKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTADVRIDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPAEGLKGLGTKVVEDED >KQL30205 pep chromosome:Setaria_italica_v2.0:I:28882392:28883108:1 gene:SETIT_020232mg transcript:KQL30205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSDSSGGGDDHWTTAAAAAATGGGGSGEPSKKQRTEEPPSSSSGAGECSSSSASVQAPPPPTQREPSAQDAREGEQPPPGADAEVGGEQERVPDLGEDLVFEVLMRAEARTLATAACVNRGWRQLARDERLWEAACVRECSNLGFSEQMLRMVVLSFGGFRRLYELYIRPVQRRAAGAPPGQRRGQVPMPNAPPPPKKDKDNDRDKNGGGQCG >KQL29705 pep chromosome:Setaria_italica_v2.0:I:23626753:23638753:-1 gene:SETIT_016069mg transcript:KQL29705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGLGQGVHVPHGINLCFSTSSVPFQMNSSIELGTGDVTPPVTFEKLSANPQPVRDDAGVVEGIDMNGKSVQKPKRKKHRPKVIKEGQSAKLQKPKTPKPPKEKGNQPTGKRKYVRRKGLSTPTEQPPSGGADTHTRAETGVVQRCLNFDAGEQHGHLDLVPQTQATDIHTGPGDAQPSISGVERSNVQVACHWGGTSSGICSVDPMANLQELRVDNMPKRVNFDLNNSIVNQMPTNYSNLMDSSGQFFQFGLRDNIQTNQLLDSHSSLPVRCVSHLTRSVDHMQHPSANFDQYISTPQACTENSHRNYQMFNGFRMPENMTAASQHIERVPMRGNFNPETCVGEGVISKMPQCYRMPETPLVPPKHSERNAMNGVLNEFSVKNDHLKFATNDNYQTGAAFGFHDSPDYSDVLAIGKKREHNAISGHQISFRIDFETSNRATQFYSDDPLSTGSYTSYYPETCKRMRPENHSNRLNGATFSDSWNTNKTSAVNPGICTLADVQRLMALEKSRAYQQIIDFGASGNNMVQQQVEPAQQNIIGKEFIALPDKQFRSFTAQNIPLPGSTMSPLGESNILRNGVHQIQSWEITSRQDHCSNNFTLPDKWSGYLTAGHTQLSSSIVNPSIENYIQSNAIHQHQSLENVMPKEPVLSETHNTSSKEAHNYSIAAATDEQIRTTSDPVVRSLSQPTSQSTRRGNCHLDSTRLTAENSTEKPKKRGRPRKEAKPNREPKQRGTKGKQNVGHAKTTSPKGACTDSFKTNDITYASEPSTGIAPRMANVDSKISDHDKYSGITSKPTDGGIIPQATAPSVDPLDGIIQKIKLLSINRADDIVAEVPQNALVPYEGEFGALVAFEGKAKKSRSRAKVNIDPVTTMMWNLLMGPDMGDGAEGLDKDKEKWLDEERRVFKGRVDSFIARMHLVQGDRRFSRWKGSVVDSVVGVFLTQNVSDHLSSSAFMAVAAKFPAKIEVPEKPVAEMSRSPTEQKDSCSGLFGDSIKLQGKLFIEEISDVRSLVTTEDNEESNSNDLIGSSSGYGVNHAAGGCHVSYRKSHENGPSGSVFPTAGFSSVVEAEDGSLEDVISSQNSAVSSQNSPDYIFHRTDPIGSSSLQNCTEEGYTMRNMSNGVGSTTEYTALPPMQDPKGIPGSSDCDGFNHLPVSGVNKGVLLDLNRSYQPLHIPMSYVQNGESDFTGVSCFSHIDKSIRTGPDRVNLSSVTQSEASFYQLPPASATGNNNKTKVTDSSKHSLYSINGPLSQERSTCPSDPSQQGDLPPIIKQNFQPLHSSEEVLFSKEHSSCGNDFVRNKTEAPFVESHVYSNLKEVHTTTREQVQSGCSQHDNDVSVQTTADEKHRSPNLRENQNSHSEVLQGVASDPTQKFIDTQKGPSEVPQDGSKAKKVRGRPKRKTYDWDSLRKEVFSNGGSKQRSHNARDTVDWEAVRQAEVREISETIRERGMNNMLAERIKEFLDRLVTDHGSIDLEWLRDVQPDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLEMYPMLEHIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNSCPMRAECKHFASAFASARLALPAPEEKRLATSEDPNVVEFLHQAYINSRKVDQLEWNANYPKHAVSGNHQPIIEEPPSPEPEPENAETKEGAIEDFFCEDPDEIPTINLNIEEFTQNLKSYMQANKIEIEDADMSKALVAITPEAASLPTPKLKNVSRLRTEHQVYELPDSHPLLEGFEQREPDDPCPYLLSIWTPGETAQSTDAPKTFCNSGETGRLCGSSTCFSCNSLREMQAQKVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHYSSQNPIDVPRSWIWDLPRRTVYFGTSVPTIFRGLTTEEIQQCFWRGFVCVRGFDRTVRAPRPLYARLHFPASKVVRGKKPGAAREEE >KQL30933 pep chromosome:Setaria_italica_v2.0:I:34496437:34497454:1 gene:SETIT_018252mg transcript:KQL30933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDKETDSPQPPAKLPRLSCADTSAGEVTMAASSPLVLGLGLGLGAGGGGGGERDGEASAATAAPKRASALTFMQQQELEHQVLIYRYFAAGAPVPVHLVLPIWKSVAASSFGPQRFPSLVGLGSLCFDYRSSMEPEPGRCRRTDGKKWRCSRDVVPGHKYCERHVHRGRGRSRKPVEAASAAAPPPTAAAAAVSSSRGGAPVAHHGGAAPHGLGFSPTSVLLAHSAARAT >KQL30321 pep chromosome:Setaria_italica_v2.0:I:29911276:29911861:-1 gene:SETIT_018755mg transcript:KQL30321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVATFRPACSGRWTRARRAYGERKVVHGAPRPARSLIVPQLYTPCHCRPLHGAAWSEPYPVEACSTLLRAPSTHARAETLAPGRAGGGRACQTFAIPAVCRGRAGHDGVTR >KQL30068 pep chromosome:Setaria_italica_v2.0:I:27843708:27844439:-1 gene:SETIT_019372mg transcript:KQL30068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGGILVVAGILMLLMTFAFGVVSLQCCFGACHRRRAALASSSQSARWRRGGVDPEAAPRSPPATVHRAAARSKEEECAVCLAGLEDGEEARFMPCCGHGFHAQCVATWLAMASRPTCPLCRRINVARPPPGMAPAPVSALPRVPPEPASYAANLPARDRESIPELSVPTAIPRDAVKAPGRARRLRGRLGFGRLWGFGTAGADCLC >KQL30787 pep chromosome:Setaria_italica_v2.0:I:33308920:33309873:1 gene:SETIT_017995mg transcript:KQL30787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDAIHAPTTSSSSSSSSDSGAGSCVINGAQELPSKNGKPRKHMMKRKRAASPAAPDGRGAPEAAAVDERGDGGRRKRGSAGTRHPTFRGVRMRVWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPHLAHELPRPASASPADIQAAAAEAARPPPPWILEKVAVAAKATAATDVEQCVSSPAAVTSSSPSSAASSVEAFAGHSEENVLFDLPDLLLDLSNGLCWSPIWAAAPAAAEEYDGGDSLHEPLLWADDDQCWIMDAAAPVQPD >KQL28783 pep chromosome:Setaria_italica_v2.0:I:8081102:8081299:1 gene:SETIT_019767mg transcript:KQL28783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGRPWLRSTNNFLGRAVWEFDPDGGTPEEHAEVERLRQDFTDHRFQRRESADLLMRMQ >KQL29855 pep chromosome:Setaria_italica_v2.0:I:25536165:25536527:-1 gene:SETIT_019354mg transcript:KQL29855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAVGNAAAAGGGALPGGGGGVPSALCCRQRRTAASAMGGYELVRSDDAAGAPVADLESGAGANNKAPPPSPPPSPAARGQPRLVSLDVFRGITVLVRAHNLFLLHPLSTELNSRTN >KQL30765 pep chromosome:Setaria_italica_v2.0:I:33217666:33219564:-1 gene:SETIT_019461mg transcript:KQL30765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NAAESAAAADPARAASLLAEPNWFARINSEFAAALQQMGPRFVVRALQHAAAEPLLCVRLYVWASRFGQHFARDRSVRRALGDALWRRGPVVLSAALVAEVQGCGCVVSEELLCALVESWGRLGLAHYAHEVFVQMPRLGLQPSTMVYNAVIAASVRAGAVDAAYLRFQQMPADGCRPDSFTYNALVHGVCRRGIVDEALRLVKQMEGAGIRPNVFTYTMLVDGFCNAGRVEDAVGVLEKMKEKGVATTEATYRTLIHGVFRSLGTDKAYRILSKWLESHPALHHQNAYHTLLYCLSKNGMTKEAVELVDKMKNRGYPLGNTTFGIVISCALKRLEFSYVCELVDDFIKKGGKLGFNVYILIIKSLLSCKDISKAQKYLSQMVSDGLFSSVTSYNMVIDCLSKAGEVEMAMEIVKVMQESGFLPNLVTFNTLISGYSKLGNVHDAKAVLKMLMELGFMPDIITFTSLIDGLCHTHQLDDAFACFNEMSEWGVKPNVQTYNVLIRAFCSEGHVNKAVDLLNKMKMDGICPDAYSFNAPILSFCRMRKIDKAHKLFTAMLKYGVAPDSYTYNTLIKAVCDERRVDEAKEILLAMESSSCIAAYQYTYWPVVGALTKMGRFSEAGVFIRLSMCEL >KQL29947 pep chromosome:Setaria_italica_v2.0:I:26865326:26868602:-1 gene:SETIT_018610mg transcript:KQL29947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTRPRVSTLAHLTLRGPAQETASSPTHIPWVRKLLLPFLPSSHLPHSPTAPLRPRRSPLAPLDQGFFLCSPEFVAPMADEEVSDPKALLEDRTKAKCVSQWYEYQKCVKRIENDETGQKHCTGQYFDYWKCVDKNVAEKLFELLK >KQL29377 pep chromosome:Setaria_italica_v2.0:I:14622110:14628161:1 gene:SETIT_019166mg transcript:KQL29377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRNGQIKNFTSNSGPQHPAAHGVSRSVLEMNGEVVERAEPHIGSLHFVVRSVVDPIYVSTMAQEHAHSSAVERLLNCEVPLRAQHIRVLFCEITRISNHSLASTTHAMDVGASTLFLWAFEEREKWLEFYERVPGARMHASFIRPSGVAQDLPLGLCRDIDSSTQQFSSRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRVKWWAYPSQPGVCWDSRRAAPYDVHDQSDLDVPVGTRGDRYDRYCIRIEEMRQSVRIIVQCPNQMPSGMIKADDRKLCPPSRSRMKLSMESVVPYRTAGARAVAFVVVLQDSNVLRPRSERACRRTTAIPFFSELERSHLIHGKRVKLSLRVEALKEKDRETRRHRNHGNPGGRKTMYGIRDPRSRLDQNPAERGQPLEATAGQMTTGSSVYSTSIHHFELYTEGFSVPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRCKIRAPGFAHSQGLDSMSKHHMPADVVTIIGTQDIVFGEVDR >KQL29801 pep chromosome:Setaria_italica_v2.0:I:24732416:24733102:-1 gene:SETIT_019315mg transcript:KQL29801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VADPDGLAKEVCLQPIQEDMANAWSRNPHQISQVIPNIFLVKFRSLSDMRFVWTRQPWHVGRDNLLLEWVDPHKELPQYRFDSMYVTIKFFGVPPYLRTLVLVDQLIRNVGFPSDLEPMTASFMLSDERCVAGRAKININHRAVDKIRLKLGEDSSAIIYVHYEKIFRICTSCVGFVHHVKDCSIRQCKICIESAQNYPEPVPFEVFGSWMTRATAVPEDVFEVQEVQQ >KQL31856 pep chromosome:Setaria_italica_v2.0:I:40117103:40120203:-1 gene:SETIT_016240mg transcript:KQL31856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAYAAAAPAVATLPSAAPPLTPDAAAVLSRAAADASRRRHAHTTPLHAAAALLSGPAPLLRDACVAGLASPHPLRCRALDLCFSVALDRLPTSTELQHHHDGGGGTFHAAAPPLSNALAAALKRAYAHHRRIGSGGVEADDHRVGVPHLVLAILDDPSVARVMREASFSSTAVKAAMLRSLSDPAAPDSGVYVNARVMQRQASHREEEVAKVVEVLKRGKKRNPVLVGDTADVDAVLQEVIALIQRQRLGNARVISFPKELGDLVDMDRAELVAKIKDLGEVVKSALTSAGVVVNLGNLQWLVEERCAARQGEQHNRRDVVLDTARAAVAEMARVLSQSGEGEHRVWVIGTATCATYLKCQVYHPALESEWDLQAVPITPRPPPPPPPLGISPSVGANRGILSSSVEVLSTAMTATMQRAPSLCNACVDGYERERSEMASADRAPCHAEQPMSQWLQIGTPSSARPVDRAQEKAREADELRRRWLDRCAQLHSHGRPPPLVTCSEWNGASILGNMQAPPPPPPVRLPVQPKATVDTDLALGLAAAGPACETDDKLLARRLTEAVRWQPEAAAAVASTIAKARSGGKRRGAGANKADAWVVFAGPDVVGKRNMAEALSKSVFGTGAVTVRLGCPPARDDGGESVVSCRGRTALDRVAEAIRANPFRVVVLEGIDHADGVVHGSIVRGIESGRLADSHGRDVALGSNIFVVMSQWSSDHLRNSLEAAPLPDLPWCNLEHGTGKRRAEQELEGDRRTRARKDHSAREPLPLDLNLSMSDDHIDAIDDSGGEGSRNSSSDLTVDHEQDYGQPAPARCSAPSNVTELIKAVDGVVVFKPVNSEPLKRSFSDLVPAKLGDITGGGGWPSVHVDDGLLDRLAAGAVRTTATPALDAWAGEVLCPSLRQFKRSLSTNDVDGATVEGSGRRKGGEVFPMPVTVDGN >KQL28304 pep chromosome:Setaria_italica_v2.0:I:4901140:4908999:-1 gene:SETIT_016415mg transcript:KQL28304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRRLLAVAVLCAAFASAASFTDPPDAIGLWGLYRTLESPWQLSGWTFQGGDPCSEGGGRGRWRGVFCKGSSVVTISISGLGVGGWLGPELLKFQSLKKLDLSFNNITGEIPPTLPPNVEHLNLAANKFEGNIPASLPWLHSLKYLNFSYNKLSGVIGDVFVNMDSLETMDLSFNAFSGDLPRSFSSLTNLHYLYLHHNEFTGSVILLAGLPLSSLNIENNHFSGYVPGTFESIPELRIDGNQFQPGFRYASSSFTRRTHSPPPQSLPPTPPPPPTRPPPPAAKQNPKRKPKSPKPSLVYSSLQSNSQHRKSHSRVTVAAVASATCTVFLLLIVGLVLKSWKSCSLCPKSTSNCAKTFPANMEAVPKANEVLYSWSSLLIGSDPSSTNDITSDRVPKIKSWSKTSKNLLTAKQFPAADILAATRDFNEECLIGEGFTGRVYRGDFSDGQLLAIKRIDMVDLSLSEQDELMDMLWNISRLKHPNICALVGYCVEFGHCALLSEYAENGSLDDLLFSAATRSRALSWKARMKIALGVAYALEYMHLTCSPPVAHGNIKARNILLDAQLMPYLCDSGLTKLSHFVSTARIMKDPEAITSAKGYAAPELTEPGADAIKADIYSFGVILLVLLTGQKAFDSSRRQNEQFLVEWAAPHLNDLDSLERITDPRISGSMPAKAISSLGIIILLCIKQSPDLRPPMTIIANKLVKLVQSTGLQKTSTAQHFEFNAQDPSFVTTRPYFEPSSTVSQGGTESCISQ >KQL30571 pep chromosome:Setaria_italica_v2.0:I:31806380:31808854:1 gene:SETIT_016475mg transcript:KQL30571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECENGRVAAANGDGLCMATPRADPLNWGKAAEELMGSHLDEVKRMVADYRQPLVKIEGASLNIAQVAAVANGAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGTKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLALAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKLMTSTFLIALCQAVDLRHIEENVKSAVKGCVMTVAKKTLSTNSAGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGTAEFNAETSVLAKVAQFEEELRAALPKAVEAARAAVENGTAAIPNRITECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIS >KQL27920 pep chromosome:Setaria_italica_v2.0:I:1718468:1719186:-1 gene:SETIT_018522mg transcript:KQL27920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVWNQREDGECAIAMGESYHVDLGQAMDLAEADGALLMELMEDLPPSDMADGDVDRLSHVIRSLEAEIGSGGDVAVRMADGESMAGESSEDCGRLQDMLLDLDDHYEGGSFGYWPEVSLMGHETEGWYVYSNGYEGGIVGYEAIDHQYHCCVEGSVEQVYSPLWE >KQL29585 pep chromosome:Setaria_italica_v2.0:I:21599130:21603257:-1 gene:SETIT_018350mg transcript:KQL29585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLGNMFSALRLDAEISGEDDRLEVAQASSSAEETASRERDKTQNGTIVVNYEEGTLVSSSGDYKMPLVWIDLEMTGLDITKDRILEIACIITDGKLTKQIEGPDLVISQSKDCLDNMDEWCKTHHSASGLTERVLQSELSECDAESQVLDFVRRHVSSGTPLLAGNSVYVDLLFLKVSMNNLESFFSLLLSLVLPPRTMEVVHLTLSF >KQL29600 pep chromosome:Setaria_italica_v2.0:I:21733514:21734458:-1 gene:SETIT_019293mg transcript:KQL29600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMVLPVPNPLRSSPILRPRCTTTAARTPSIQPLPDELQLVAEVRSPHNHIRVADVSPRAAGHPLAGARLLLLDGPGNIHSLSFPRRAHHCPLTATYFDAFTTLPPLLPRPSLAVLGFGAGSAARALLHFYPDLSVHGWELDSAVLAVARDFFGLAELEKDHAARLFVHVGDALEAEAPPGGFGGALVDLFANGSVLPELQEVDTWQRLSGMVAPEGRMMVNCGGGCVEAEEEGRDGEAVKDATLRAMATAFGKEMVSVLDVDDSWVAMTGPPVTAPEKAAAWKARLPSELRHFVDVWKPYNKKCGE >KQL30077 pep chromosome:Setaria_italica_v2.0:I:27882697:27883231:1 gene:SETIT_018944mg transcript:KQL30077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGIFCIGVLKCSRRHKVSSLEQAVKDLQLSTWFILLAVTAQNPETGHFRVLMTHGLPNLKDTLLVDRA >KQL31639 pep chromosome:Setaria_italica_v2.0:I:38987312:38987788:-1 gene:SETIT_020455mg transcript:KQL31639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAWPERPALSGFSVGTGTLGLAGQWLIISSLRLQIAYDYEAEISDDEIAYESRSI >KQL32097 pep chromosome:Setaria_italica_v2.0:I:41355775:41362160:1 gene:SETIT_016293mg transcript:KQL32097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKIMITYKRKRVTSQDHTADDTALDSSPAASSNVVASNLPPRFEAHAENTIVKEDNFVTPTKQQPLSMQQSIKEECEPERGKNELYGSLPQKEQPEICSATIPTAEAHNKLLQCSEDTNNQIPVSSSMCDPMHADGMADRTKDQNTSATAEKNSHKGPENTMGSHQCKNRFSPLLTFRRRVKKKINEEPAEEICSPDNGKQCSTLTCSQPSSSINDTPLLKYTAGNPMDTDDKVAKVRSTGLSTQAESTHEQKSSQIIKSSVQHMVPRIAEGGNRNMTLEDDGTPVSKFTCVQEVREQDATVEDLSKTLPITIEVPKVIDVQGEGNGIAQTTLQSPRQSVNVSWLIPTNKSAAEDVPESQGSTKNVPVIVLDDDSDERGKELENSEALDQGLHKQNKKNCLGMIDLNCAELRQEGFLHLGDPSIQKLPDQDIIASAQKQMSQPIERMFFTKEKDTIHGKQQQHEESSTMHTSYSNFFDLAPPRNTGSLKRPRNLPSELKFRIMDKAPEFSLDLSLDSFQDSRVSALRSDKLFLGGTSSISHKLTERLGTYSYKRHSAPWSEEELDFLWIGVRRYGVNNWNAMMRDTRIRFSNSRMPEDLAKQWDKEQKKLLTSALGPAPPLHIAEDYLGRASCSGCSKSPFLGAQTDLSLGDVYLSNAHASERSRHHLSSLGLLNLHGTDGGPRNLSLGGFPGASSSHGRSGSRRKRASKLQKSYYDSKSPWFQEPSERASHLFPMNQQPINSLPQWLTKDAETGTSRIDREMWPSMALAPVHSAADPPRDSLRGNLFSDDVKPHVLPDASLKRAMRRNADWRSFSKRLFQSGDPLDLNRGTAAAAIAGANGVTPSDTGASSEETVSDS >KQL28260 pep chromosome:Setaria_italica_v2.0:I:4620820:4621443:1 gene:SETIT_0194103mg transcript:KQL28260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKGTISKHYLDTRFWMITDFGRHPNFRKKLDVEQLAETILIPIVQFNKTYILFILNQDTRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWSEDVFLWRQIILSDVPIEN >KQL30377 pep chromosome:Setaria_italica_v2.0:I:30203798:30204994:-1 gene:SETIT_019920mg transcript:KQL30377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIVLAALVALLLIVGPCHARPAPQQAAKEKAVADGITAIYNFGDSLSDTGNLLREGATGMLQYTTGPPYGSAIGGATGRCSDGYLMIDYLAKDLGLPLLSPYLDKGADFTHGVNFAVAGATALDAAALARRGVAVPHTNTSLAVQLQQFKDFMSANTRSPQEIREKLARSLVMVGEIGGNDYNYAFSENRPVAGGARNFYNFGRMATGVVEAMALVPDVVRSVTDAARELLDMGATRLEIPGNFPLGCVPSYMSAANETDPAAYDANGCLMSLNLFAQMHNVLLQQEIRELRRSYPSATIAYGDYFYAYVQMLRDAGKTGFDEGAVTKACCGAGGGAYNFDMERMCGAPGATVCARPDERISWDGVHLTQRAYRVMTDLLYHKGFASPAPVEFPRT >KQL31314 pep chromosome:Setaria_italica_v2.0:I:36851885:36855818:-1 gene:SETIT_016505mg transcript:KQL31314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFQGEKPAHDFLSLHTGGGSSSPVQHSTQGYDLGVQSSLKPLKMGKRRGGGGGGGDGAIGMAPLGLEADSEKHALPGGVGTFSIRQVPSTTQPRGQGVVRDAFAPVLHGSRTEGTPGAESGARAHSGPATMWQDSGTDQRSRGDLSTRGEGRSSGSSGDQGPSTPRSKHSATEQRRRTKINDRLEILRELLPHGDQKRDKASFLLEVIEYIRFLQEKVQKYESGHPQKNHEDSSMPWAKVYYRSCWKNTENISQVQGGGLSAPTQDLNNEQYCSEKSTVAPTAHFSTQSAGETSIDDISSQKATSTPKNWADDSTPSKQPPWLSMSTSDSGDRTLSKNENNTLHEDTRSLSNAYSQGLLNRLTQALKRSGVDPSQASISVEINMDKREPSNMHDNLKRKLLREGSQKPSPFIHSSFVNAIQIVKTVYMYCSLKICQFNKYGSRLGCNVTVSTFHNSSIKLVARAMDNVNYTKLVICSKRQQPWRLPEQARAPAVDQADEQEEEHRALHALEDLESDPAGWREGLREQVGEDEQHVEHDGLHGVEADEAGEGLLVPHDGEVEREEEEEGRERRGVEEARRGAQRAEQRAEEGELREEEAAVVRAVEERVEVGDRGHEAVGSLHGPAVVVVVGGARRGGEEAARGSGGARWRWEGAAAGAAEEVAGCAVERARGREEEERNGGCHGGRAFRLSAARRG >KQL30816 pep chromosome:Setaria_italica_v2.0:I:33600802:33601485:-1 gene:SETIT_018659mg transcript:KQL30816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRASSAPSLEWPPLGQGLPDPGRGGTGSPHSSLELAAGEPPAAVVARAAHGLCAAQREASPPPPLEPASHRRSSLCTHACRRSSRSRARCSAHAATSPLRHRCFLPHVADCAAVTTAAVRATTIGSGRRRAGS >KQL31299 pep chromosome:Setaria_italica_v2.0:I:36746983:36750776:1 gene:SETIT_016995mg transcript:KQL31299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKDLTDRVNSVVDSGKIPEAPECKVRGFTQWNEDTTYRDHPSIVQILIDGNQRKTADIDGNALPTLVYMAREKRLHEHHHFKAGSLNALIRVSSVISNSPIIMNVDCDMYSNNSGSIRDALCFFLDEEQGQDIAFVQYPQNFENVVHDDIYGNPINTVNELDHPCLDGWGGMCYYGTGCFHSREALCGRIYSQDYNKDWRMVRKTEEVDELERLAKSLATCTHEHNTLWGIEKGVRYGCPLEDVITGLQIQCRGWKSVYYNPARKGFLGMAPTSLGQILVQHKRWTEGFLQISLSKYSPFLLGHRKIKLGLQMGYSVCGFWALNSFPTLYYVTIPSLCFLNGMSLFPEWWNAQRMWLFRRISSYLLAAIDTIRRMMGISESGFALTAKVTDSEASERYKKGVMEFGSFSVMFVIIATVALLNLACMVFGVARVLLYEGTTGLGALFLQVVLCVLVVVINFPVYEALFLRKDSGRLPASVGLVSFCVVLPLCILPTNMR >KQL27971 pep chromosome:Setaria_italica_v2.0:I:2175668:2177993:1 gene:SETIT_019582mg transcript:KQL27971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGAGGAGGSGGGGGGDRDRGKGIVFGNGYGSARGRAKGRARNAPARTPSQVERENNRRRERRRRLVSSRIYTALRAEGNYTLPRNCDNNEVLKAVCREAGWVVEPDGTTYRRGSRPPRGVLGGFGATAPVSPASSTPPTPTPPTASYGLTLGSSSSSSSYLTLGGGSGGIFYGAAGGGGSSSGLPAWFQRLSQAGGQASSSTTASAPVTPQNASPPQLTLARWAAEDNAAAAAAAAAAANLQPRWAAGAGPSRYAPQAQQQPLTMPPSPVGGGSGAVDPVLLLAGIQISAAANNNNNYRRPPRPYTYSPLGTPGASSFAAGASSSRMGTPGPVQSSGGPWAPAPAPAPAPAPAPAPASRGGGDGDGDVEMEPREFSFAWDGE >KQL31378 pep chromosome:Setaria_italica_v2.0:I:37191202:37195385:1 gene:SETIT_016624mg transcript:KQL31378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAAAEIVREIAAVGAADLAAAAEPLRADCLRLARKVSLLSHLVAEVAEAGEGDAAAAAWVRELVRALEAARRFVDLGRAPPTPTAAAGASDQDATCNNTDLQFKYVTWQLQVALANLPHSCFRISDEVQEEVDLVRAQLRREMEKKGALDVNVFTKVHDILTQIDNAGSQSQQPHQQPEQSQMEKFSKDHLELQNTVLLVSEISGLSKSDMTKITSELIEGLENVGTPDSPKPANVDSQSSDETKSSSEEVKKPDSVAIPEDFRCPISLELMRDPVIVSTGQTYERAFIQRWIDCGNRTCPKTQQKLQNLTLTPNYVLRSLIMQWCEEKGIEPPSRSKSDGSSLEVGGNRLAIEALVRNLSSSSLDERKSAAAEIRSLAKKSTDNRIILAESSAIPALVKLLSSKDQKTQEHAVTALLNLSIYDQNKELIVVAGAIVPIIQVLRTSSMEARENAAAAIFSLSLIDDNKIMIGSTPGAIEALVELLQSGSSRGKKDAATALFNLCIYQANKVRAVRAGILVPLMRMLQDSSRSGAIDEALTILSVLVSHHECKTAISKAHAIPFLIDLLRSGQARNKENAAAILLALCKKDAENLACIGRLGAQIPLTELAKTGTDRAKRKATSLLEHLSKLQVL >KQL31537 pep chromosome:Setaria_italica_v2.0:I:38261988:38262886:1 gene:SETIT_019468mg transcript:KQL31537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLLGLLGAINGRPRERKKKMVVPRRPVQAVELRVRMDCERCEREVKKALSGMRGVQHVEVNRLQQKVTVTGEVDPLAVLRLAQSTGKKAEPWPHQNAAAAGGGGYCYAPAAVALYGIGAAQLQAHDGGRWANPAGYYHHPPARSVEAAAIIGADQITNLFSDDNPNACSLM >KQL31175 pep chromosome:Setaria_italica_v2.0:I:36067613:36070013:1 gene:SETIT_016990mg transcript:KQL31175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTNSELAPARDSRKLRGVFFYKEKEKPSDISPEENASPCSTFVAHRVQRHPVSASAVTQTARARHGDTPRAAIVRARRPLPSAAAARIPARRAPASGFTPSPTTRAPASPVGRSVPPCRCGLSSRTSSPPFPSRPRQTASAFTQTPAARQCRRPQRPRLASHCPRPAALPKASARAAPRACTSAPPRRSPSNTRAARAPIIAITRRAHAHTHTGELCSRASELIHRTIMARAFRAASPLPLPSSRGAAAAVTSGGGRGNFPWLTKKSSSNKPAPQRGGPSDGQESKGEEPADGSSAEQSPSRKRADALARLRAAFLAAITHRRRRRQLGSCVTGTIFGRRRGRVHVALQTDPRSAPVLLVEMAAYSTGALVREMSSGLVRLALECEKTPLAAGEKRRGLLEEPTWRAYCNGRKCGFAVRRECGADEWRVLGAVEPVSVGAGVLPDDVSGAAAGAAEGDLMYMRARFERVVGSRDSEAFYMMNPDGSGGPELSIYLLRV >KQL27990 pep chromosome:Setaria_italica_v2.0:I:2354329:2354856:1 gene:SETIT_018737mg transcript:KQL27990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALGRPSKLLEFFVVQEVPLIIEGPVVNKLDGFLSFQVENLAYIFGHIHDRPLLICSDVVDVPDFSAVKNDLKCLGDILTVEVAPDIGPSPWIGRGSPLTAKRMNFGMSFSGNSLGP >KQL28542 pep chromosome:Setaria_italica_v2.0:I:6579507:6579951:-1 gene:SETIT_020582mg transcript:KQL28542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSKGVPIIKDSKLRRGGSKFYYSRANSM >KQL30239 pep chromosome:Setaria_italica_v2.0:I:29218389:29221590:1 gene:SETIT_018349mg transcript:KQL30239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTVMLIGNKCDLSHRRAVSYEEGEQFAKEHGLVFMEASAKTAQNVEEAFIKTAGTIYKKIQDGIFDVSNESNGIKVGYAVPNSSGGAAGSSSQAGGCCS >KQL30597 pep chromosome:Setaria_italica_v2.0:I:32041562:32042640:-1 gene:SETIT_018625mg transcript:KQL30597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVGGAVVAAAAAAGVGVGATPHVLAVDDSSVDRAIIAAILRSSRFRVTAVESGKRALELLGKEPDVSMIITDYWMPEMTGYELLKKVKESSKLKQIPVVIMSSENVQTRISRCLEEGAEDFLVKPVRASDVSRVFSRVLR >KQL31329 pep chromosome:Setaria_italica_v2.0:I:36934264:36937546:1 gene:SETIT_019218mg transcript:KQL31329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKASREAKEDRKLVLGVKKQQLKRKKDRVLERAVDSEPAAEHGLAEDKELARGKKIALLKQKKKTKHAKVKSSHARDDGVVELLSDSKDDATPKLKKKKSKKKLIESSSPVKVYESSVVSDDAGAPKLKKKKRKVKAGKSSAGISDAEEILHENQDEETQSADINQLAAQSENMDNEGPAKSKRGKKSKAKEVRSGKAKKTDKHASSKENNLERHVEVGTANANEIPSVDEDSSRGMKKWILEYKQNRPGLKVLQERIDEFIVAHEEQQEKERKEREARAAEDGWTVVVHHKGRKKTTDTETGTAVGSVSLVAMQEKMAKKKPKEVDANFYRFQKREAHLSELAMLQSKFEQDKKRIQELRAQRKFKPY >KQL28507 pep chromosome:Setaria_italica_v2.0:I:6339960:6342336:1 gene:SETIT_016618mg transcript:KQL28507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSKLDEEAAVKTCHDRKSFVKKAIAQRGLLASSHVAYVQSLRRVSMALFYYFAEDEHLYFLQEQSSSCLHRPSSPEKKVLVVNRLRPAGAPVHPVVEQWDPEAVENATIDRFFGLDHQFFRPPSMDPMIGTPVSPQPPRWDLSWDPFSSTTDHHLYADYGVEGIKVGQEDEQIPELEEESDDDDDDGHREGKAEEEEEEEEEEEEEEEEDEEESEQEDASAPEVAPPREEDGKVDHVNNELRVMVSGEVEQHGTPGFTVFVDRPPTSMAEAMKDIQGHFMKIVDTASEVSLLLEVVPYHRRVQPPAPREDGEEQGAPEVPPEPFELFQSHKESLDRLYEWEKRLYEEVRAGERVRLAYEKKCALLRSQDANGAEPYAIEKTRAAIRDLRTKLDISLTSVDAVSKRITAVRDDELLPQLMQLVRGLARMWRVIADAHRVMRRTADEATALLTSSSAAAAAARPALAGEGGIRGPPPPPSSTRAAAGAGALGAELRGWRAALEAWAESQRAYAAALWGWARSCVKDGEDMPRLIVGWARAVESVDVDAAARAVDAVAAEAAAIATAAKRQRGGGEEWFNEEEAKKMVCQGITAALAAIAEAGGLAVVAYDELVLEMEMEGREREREMAGRDEESIQN >KQL32040 pep chromosome:Setaria_italica_v2.0:I:41036492:41040722:1 gene:SETIT_016189mg transcript:KQL32040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLKDRGGGGAKAAASRPLTPKPFSISSSARRTTAAAAGKENSASKPAKPTSAVRWSTSSLPRASRIQSSVESSKLVSTLRAPVLPGRASIGKDPVPDAGLRRSVSGGIRSSSVEKGRRSVSAVGSRASEARRGSGGVGSDEISRRREGVDAKSKGLDGISRRRDDLDAKAKQTGEIDRKRDSFDAKAKQVSGKRDGFGAHVVKQRDEIKGKTEICGANMKRQSEEIGGRREGSDSKAKAGEEISGRKEGLNIKLVKEIPGENADSGEASSNAADFSATQKDDEEGNGSVIPVFTVHVVDPIDVPGAAREQQKNNEECKKQEEKSKLADKIRVFEKAAAGGEGRSAKPVSAVNKYPSKLHEKLAALEGRVQKIATDIKKTKEMLDENNPDEPKQILSNIQKEINAIEKAISHVKDDNKIQLGTADSSECESSHAESAAKCTVAKPGGLKHAGKGLNTDELEARFFPHHKLLRDRKSSTSTHHDSSADTKRVIPGTTGPAAPDPDDDENCIAMEFLASLDGEENDFFKDRRAKKLEKNKIYEVADATSKTSSQGSSKNPVGPNHKEEIELLAKEKLEEFDEQENKPSMMVQEETEESCSDQLSGIGNKSSTGGWFVSEGEAVLLAHGDGTCSYYDIANHEFKSEYKPPSMVSNNTWGDCWLIRAPGVDGCSGRYVVAASAGNALEPGFCSWDYYTREVKAFHIEEEASHDPAPPPRAVLGPLSNLGSSRSSSALSNGETQQWWYKPCGPLLLSTASKQRMVTAYDIRDGDVVMKWEVSSPVMGMEYSSPLQWRSRGKVVIAGIESIGLWDVNSLNPQPLLSVASSGKKVYCLHVNNTDAEVGGGVRQRVSSSEVEGNDGVFSTHESVNVFDFRVPAGIGLKIARHGGTANSIFSRGDSVFIGSTEGRLQIKGGLRSRVQQYSLRKGKLVATYELPEFNAHFHHSAITQVWGNSNLVLAACGMGLFAFDTFKEDIQPTYSFDRGNTIGVREAIGPDDLYCPTFDYSSSRVLLVSRDRPAQWRYLS >KQL32078 pep chromosome:Setaria_italica_v2.0:I:41222815:41223645:1 gene:SETIT_019275mg transcript:KQL32078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGHGDDRSLTSPPRPPPPPSPLHLLEVTVISAQDLHRRRLGRRVRAYAVAWADEAHKLRTGVDRAGGAAPTWNDRFLFRVGGAFLRSDTAAVTVEVRGAGGGPLGVGGDPVLGLTRIVVSTFVRPGGSGGRQVAALLLRRPRSLRPQGIVNVAVALLDAARAARTVPLYDAPGSPDAFAVRDLVMTRTASLCKIAELGEEEPDVDEDQSNPAFVDHSGRLDPRGVAVEQRKLELKLEKWKADLSPGPKEGRRGGARRWGRGLCFRGSGEWGR >KQL30092 pep chromosome:Setaria_italica_v2.0:I:27975154:27975735:1 gene:SETIT_020395mg transcript:KQL30092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFSAIILRVSIACRRNPFWHKEVITVLNVISSGEQLLCIILTMSCSASSQRSTLHKPFNTVL >KQL28851 pep chromosome:Setaria_italica_v2.0:I:8462321:8469863:1 gene:SETIT_016264mg transcript:KQL28851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRLLETTKQAVSSASKPAIPAAAVAPKPATPSAAKPATSAAASASKGDTAVAAPKLCTRKRPSSGRRAAVTPATSVTQASLLRLKQAAASKKTNLPSSLPQAHACALDEDDPPEALTKALMSVLDGPDDVEEATPSEVPVEDSEDAGEVATSNKILDFEWFQSPPSKDPLMHWRREVAREKKKQYIFKNVESRRYTKLMQLCANKLGTKSTIEFFGKLGRETGVKEFNSLIKLCLNKARACNDVDSAAEYIYRAYRLFETMRDKGLMIEQDIYGPFLLYLVDVGLLEEFEMFTAFFKDANPQSSRIAYYEMLRYIRVQDEEKIQELCHSVENYNEEAHYDIAESYMLAFAESSRKEDLIALLDLLDLAKVSGSKYISNIFKSLGRLELENYAEKLLQGMRSKGCADGNISSLILYYAANIPNIMVEDMLVAFHKWHEKFEVAPSIAVYDKIISICCNSSKIGLALEVADCMCKSSSNVPIESFHPIIHACEQRGELHMARPMYNLIRQHNLKLKSETFRSMISLFVKMKDFEGAYNILTDAEESGELSTVSLYNAIMLGYYREKNHNGAQMVMSQMQIAGVKPDSETFSYLIVNCESEEDISKYHDQLRQDGIQMTRNIYMALINAYARLGNFDMAKQVLLDKEIPRKLLNDTKSALVGALASNGQVLDALHMYDDIKQSGGSIEPRAAIALIEHIRTEGELDRMHQLLDELNDSSSWFEGCGRVILYCVQHNYPDAAIDLLKQLKEKDEMSTYMVVDQVFGQIWEMEITNLDLGMVLLHAVKELGLNVSRTSLDFLLSACVKLKNLQCAQQIWSEYESAGVSHNVLTSLRMYQALLSSGCWKAAKKLLKTISKEDEHVRYIINACHMTYCKEDLKPSATIKFGSKNRASSKQRATNEATEG >KQL31973 pep chromosome:Setaria_italica_v2.0:I:40729819:40730789:1 gene:SETIT_018831mg transcript:KQL31973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREGNLIGIYLDCHIAIEMGQAVEDQYHVNKFSVCQEQHHQRTTMADQTCTNASNFSLRESITNGDQRPRQCSDCCCTSKFTTILQRYHAAKRM >KQL27714 pep chromosome:Setaria_italica_v2.0:I:210760:212873:-1 gene:SETIT_017606mg transcript:KQL27714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGMAHLDEWRPVMAMLVFNLISAVMTALVKEALQQGLNSLVLITLRQLVATVFLAPIAYFKERNTRPKLTAEIFVYHFFSAALGAALSQYTFFYGLKFTTATFAITFANLAPVLTFLIAIALRVESLNMKSKAGSAKIIGTLMSFGGVLLLSLYKGVAVTQQSTAAALSSSHHAASSQVGIDKKSWMLGTVSLLANCLFFSFWLLLQSRLTKKYPALYSSTAIMFFISTLQAGALTVTMERHSSVWIVTRRLEILTILYSGIMASAAGFLIMTWCVHKRGPVFTAAFIPIIQIMVAIIDFFFLHEQIYLGSILGSVLMIFGLYLLLWGKKKDALACCTDNKQVDEEEADKEEQQAEKS >KQL30869 pep chromosome:Setaria_italica_v2.0:I:33895568:33898175:-1 gene:SETIT_018484mg transcript:KQL30869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQGAKKMKDENKKRLDLLLRIILISNVIYIVVRMAIMHSSFTWKHWIGLMLTSAAYFFPYKQLASMAKPVYSDNGELLDGGFDLSTGGVCEYLYDVIYITVFVQLMSIISEKFWWTYLVIPAFAGYKIFGLLRGTFFSGGSEGEVEDEKTRKKREKMEKKASRGKMIKTRAR >KQL29162 pep chromosome:Setaria_italica_v2.0:I:10797682:10806212:-1 gene:SETIT_020026mg transcript:KQL29162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVAAAAAVPGVAPPTRVAHPRCARLPRRGGLPARAASASAGSRSSSSSAASAAAAPVYAPTPQDRPLRTPHSGYHFDGTSRPFFEGWYFKVSIPEIRQSFGFMYSVENPLFRDGMSDLDKLVHGPRFTGVGAQILGADDKYIFQFSEKSNNFWGSRHELALGNTFIPNKDSIPPQGEVPPQEFSKRVLEGFQVTPIWHQGFIRDNGRSKYVPNVQTARWEYSTRPVYGWGDVNSKQLSTAGWLAAFPFFEPHWQICMAGGLSTGWIEWDGERFEFENAPSYSEKNWGGAFPRKWYWIQCNVFSGASGEVSLTAAGGLRKIGLGDTYESPSLIGIHCDGNFFEFVPWTGTVSWDIALWGCWKMSGENKTHLVEIEATTTEPGSTLRAPTTEAGLAPACKDTFYGDLRLQLWEKKYDGGKGKLILDATSNMAALEIGGGPWFNGWKGTTVVNEVVNNVVGTPIDVESLFPVPFLKPPGL >KQL27899 pep chromosome:Setaria_italica_v2.0:I:1500726:1501367:1 gene:SETIT_020090mg transcript:KQL27899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCCFRVYGLVIANTVCVGGTAFLVYALVKLARTPHSTGGIVVVSVFLVVWLAVNASIYPAFCGSLFPWSALGRCLASPLSAILWLLRLPSRCARAVRSRRQRSETGALPQFVVQSRGYSISVLPREPPVRGAAAADIPSYEQPDDDAGHDGGGAPECAVCLGKVEKGEMVKRLPVCLHMFHQGCIDPWLRDHSTCPVCRWNVFASLPGHVV >KQL29566 pep chromosome:Setaria_italica_v2.0:I:21363712:21365226:-1 gene:SETIT_017889mg transcript:KQL29566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITIDDLMSCSSGGGGAPVVPSDDGQVATTSWQQMMAVGDHQLTVSRIRAAVSMLGRRTGHARFRRGPVAVADGHPPSSSDHHQQQPSGSSALGFVKKGCDEAAPSASASGGSSSLPSTTTPTSLTAGGEGSVSNGRVQGRFPLMSGSATGKAVSMQQQPAASDYYPSGGAALRSKSHGGRARSENDAGGKAAHAGLCHCSKKRKSRVRRMVRVPAISSRNADIPPDDYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPAMLIVTYEGDHRHDGQQDRGASAAAAATQPEHTATST >KQL29327 pep chromosome:Setaria_italica_v2.0:I:13757719:13762869:1 gene:SETIT_017309mg transcript:KQL29327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGGAGATRWNISRPSKVVDAGCISILAVLRRVYSSVDASGPRPVLTLGTGDPTACASFRPPPEAEDAIVDALRSRKHNGYSPTVGILPARCAIAEYLSQDLPYQLLPNDIYLTAGCWQAIDVMMSILAKPGSNILLPKPGFPLYESWTMFRNLETRHFNLIPDRGWEADLESVEALADENTVAMVIINPGNPCGSVYSHDHLAKIAETARKLGIIIIADEVYYHLAFGNKPFIPMGVFADIVPVITLGSLSKRWLVPGWRLGWIATCDPNGILKEAKVNISIESYINITNDPATFVQGAVPQIIASTKQDYFNKILDLLRNSADLCYGKIKEIRGITCPHKPEGSMFVMVKLDLSCLDGILDDLDFCCRLAKEESVIVLPGSALGMKDWLRITFASDVPTLENALERIKSFCQRHAKLEA >KQL28651 pep chromosome:Setaria_italica_v2.0:I:7226099:7228885:1 gene:SETIT_017631mg transcript:KQL28651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEYFSSGSSRKGSVVIKIPLPYKGDASGMGFEVRAIEKKEIMSICTCKIKIDQVKLLEDCSKAAYSKTVQLLIKEQPDGTKYPPALDAIKDLKLRDMHFVERYIAYHRLLQKMSENKCHGCIKLKEHISLMREQKMYKDQLNELKFQMSDEALQQMPEFQGRIDVLKVINYIDSDLVVQLKGRVACEMNSGEELISTECLFENQLDDLEPEEAVAIMSAFVFQQRNASEPSLTPKLAEAKKRLYDTAIRLGQLQAQFKVPVDPEEYARDNLKFGLVEVVYEWAKGTPFADICELTDVSEGLIVRTIVRLDETCREFRNAASIMGNSALYKKMEVASNAIKRDIVFAASLYVTGI >KQL29842 pep chromosome:Setaria_italica_v2.0:I:25424821:25424973:1 gene:SETIT_0198691mg transcript:KQL29842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQLLNIGADLCRFILREVVNPRGTYLEHELPQEDKHISLHEWENPEYRQG >KQL27926 pep chromosome:Setaria_italica_v2.0:I:1759079:1767436:1 gene:SETIT_016222mg transcript:KQL27926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPREADVPVLFLVFIVLPVVAYFLLGRWHEAASKKARVSVLAQRAAEEAFRVETMACPDVMPPGPSLRTMPYFRPAPSLRQEFHECATCHAPAKTRCSRCKSVRYCSGKCQIIHWRQGHKESCQKWIGSGSSSFGGSGTEASEQMPFLTNLNSPLPGGGIHLRDMNFDTLSEPSFPTTDGFNLDTDPFPADKSNMNKSNQGLHMSENGAVGMSYEKNNHNADDETRSSEILSGNKVSNNYFGCADVMSGNGDGTYPVKSNAQQPSSSAPEIRKRTKASITIYEPDMGVYLTSDMVSSCEGPYASASEPLQRSLSSGRTIGKANVVNKRLPCPSGKVASLQKSQERVSTSYQNDGHEKNPCNKNDQRSAQATEPASSNLQGCNGISKFGASKVEVLKKPSKFLKTSLVGLINDNKRNKVLFPYEDLVKFFQYEARGISPRGLFNCGNSCYANAVLQCLMCTKPLMIYLLLRLHSKDCCSKNWCLMCELEQYASTLRETGGPLSPSRILSNLRNIGCRLGGGTQEDAHEFLRHLVMSMQAACLDGLGGEKHVEPSLQETTLIQQMFGGRLKSKVKCLRCYHESERYENIMDLTLEIHGWVESLQDALTQFTAPEDLDGENMYKCGRCSAYVKARKQLSVHEVPNILTVVLKRFKTGKYGKINKCVTFPDMLDMVPFVTGSGDNPPLYFLYAVVVHVDTENASFSGHYISYVKDMQGTWLRIDDSEVQVVSVNQVMSEGAYMLFYLRSFPRPPRIYIEKGLLPVPTSGKRHTSKSSKGSKHERKQTELLFSANDQTYGIYDFRPDGEGYMQDQHAELRSRDFHHADDTFADSVSTDFSEATSSEWSLFTSSDESSFTTESTRDSFSVVDYGDNAGLDPISSIFGPCYAPEHPPGNFVSCIRFSPSNPQTRYFSESTDFVSDSSMPTHPHGNVHRGRYPDRACASSAEPLVSAHQRSGYGRYPLSRDGFVQTSGFCQM >KQL31354 pep chromosome:Setaria_italica_v2.0:I:37048657:37052933:1 gene:SETIT_016929mg transcript:KQL31354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGGSAPVAALLVAALLLVACAPASASSYPARVVSGFVSNAASAVVKRLWSLKSTTKTATGSKSMVKYEGGYTVETVFDGSKLGIEPYSVEVTQGGELLVMDSMNSNIYRMALPLSRYSRPKLVAGSPEGFPGHVDGKLREARMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTVAGGKSGRGGHVDGPSDEAKFSTDFEIRYIGSSCSLLVIDRGNQAIREIQLNFDDCVYQYEAGFPLGVALLLAAAFFGYMLALLQRRALGMYSNGDEQEVVSPVKAKLSSIPPPYQKPLKPSLRPPLIPSEDEPVKQEEEEGLFTSIGKLVGGAKSSITEIVRAAFSRKKHVNIHHHQLGLGRPASWPVQESYAIPRDETPPPLDTRTPTPRKNYAFMSKEPEKIHHIRHGRPQLHGWTAGEAPQQQPPQPQQPPPQQQVHHQQYLQRHQQYSAGPQTFYEPSCEATNEIVFGAVQEADTGRRAVEIKAVNHGDPPPYEQNGLRYRSSYGMGYSGNN >KQL29050 pep chromosome:Setaria_italica_v2.0:I:9920165:9921282:-1 gene:SETIT_018563mg transcript:KQL29050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPTALCARHFRLPRVPFSFPSPPRLPATSSRLRPRRLAVSPRAEAGTGTGMGDVEALRAGVSVYKPRSYDVLVSDAARSLACAIDDGKTRLEIEFPARRSSFCCVAVPVPALSSHHRSFPCWFTPCSWVALLLNFDDVKASAKQHLFLQGILR >KQL32287 pep chromosome:Setaria_italica_v2.0:I:42062582:42068300:-1 gene:SETIT_016224mg transcript:KQL32287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPASVTNLGSNGRPGVLPAAVARRAHLVTRISFTGFDGIRRWHYEPGRLCKCMVITNLIEEKGVQFSSRGSVSVKADDDNDLLLKPPQKPVPPQKPVRPNGPLEGMKTASLPDRKPAGATLDDREKVRESLDAVLEKAEKLEVSSSGNGDGGNAMSKQNDVSMGNGPGATAVEEGGNSRKTKTLKSVWRKGNPVPTVRKVIREQPRTESRNQSVPAAKPPVSSPSKPVPPLLSKPSVAPPPRRPVKSDTSKDKKGPILIDKFASKRATIDPVVPEELLDPLKPVRGPSAKVRVDRRKKPETQAGSRRRMTNDDGLVDEDTADVPISGVPVRKGRRWSKAKRRAARLEAMQAEEPVRVEILEVGEEGMLIEDLAYELAVSESEILRFLSVRGAMLDNVQTLDKDLVKMVCMEYDVEVLESGPTKVEEMAKKKEFLDEEDLDKLEARPPIVTIMGHVDHGKTTLLDYIRKSKVVASEAGGITQGIGAYQVLVPVDGNPQACIFLDTPGHEAFGAMRARGARVTDICIIVVAGDDGVQPQTSEAIAHARAAGVPIIIAINKIDKEGANPERVMQELSQIGLMPEMWGGDTPMIQISALTGDNVDELLETVMLVAELQELKANPHRNAKGTVIEACLDKAKGPLATLVVQNGTLNKADIIVCGEAFGKIRAMYDDRGKLVDKAGPSNAVQVIGLNNVPLAGDEFEVVDNLDVARERANERAGTLRIERISAKAGEGKVTLSSIAASVSSAKQAGIDTHGLNVILKVDFQGTIEAIRQAIQVLPQENVSLRFLLQAPGDVSVSDVDLAVASEGIIFGFNVRAPGSVKNYAKKKSVEIRLYKVIYDLIDDLRSAMEGLLEPAEEEVPIGTAKVRAVFSSGSGKVAGCMITTGKVVQDCNVRVLRKGKEVYVGSLDSLRRVKETVKEVGAGLECGIGVDDFDEWEEGDVVEAFNTVKKARTLEEASATVTAALKDAGVQL >KQL28067 pep chromosome:Setaria_italica_v2.0:I:3112146:3116993:-1 gene:SETIT_017892mg transcript:KQL28067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHGDLDRQIAQLRDCKYLPEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGYNWAQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >KQL28068 pep chromosome:Setaria_italica_v2.0:I:3112699:3116788:-1 gene:SETIT_017892mg transcript:KQL28068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHGDLDRQIAQLRDCKYLPEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGYNWAQLLL >KQL30409 pep chromosome:Setaria_italica_v2.0:I:30358292:30363140:-1 gene:SETIT_019399mg transcript:KQL30409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLLEEIRASLQSEISVTEREISYHVLSVVHTDTPDVYYIDINVHQLGSCSHVAEDDDIFFLGEWKHMIGCFAIVVGVGRKTGFHRSFRLLIPQYHNNVKFEAIKEITFLTNIMEGINLSKAMRYIKRGGSAAVESVLCIAGKVEKECILCDELAQGEFVHAKGFNDEQLNAVKCITSKLFCPHINALEILWGPPGSGKTRIAIGIIQSMLNRRSRMLVCLPSQKYILRFLHSLKDIYPSFNLSMVLVLNDELFCCTYMWGKLLKETAFVLEMKPYCKDNCDHEGQICTISNLAVFSFVAFRKKKKLSDNDIDNVNKLNSELSCFDNLLLEATMTNSEVHRHLVSEFTRSGNTEPLIASRAKCLQLIEILIDSVVLPQLEDRNDMEEFCIANSCIIISTPSCSSRLLGLKSYSVDILVVDAAAQVRESDLLIPLSLTPSHIVLLGDHLHLQSIVKSEKLVPYGFFDITAVDELKTKGNAFVENAVIIFLLQILCANVCLRSAGRKLTVCIVCLCSKRVDAMRNFLSDEYRRHEQINLEINSLDNLYEKWYDVVILSAVVDSESGLPKGNKINTSLTRSRNVLEIYFLWIIGEATCLRACGDTWNELVNDAKERHCVAKLNNDKLSKVTEKHLCSNNLKQLASEESSERGKLRLETGLDAIKDNGVIGSHKDESKTLPRALLPPPSSSTTRTISSSSSSSSSSHSLLLLLLLLLLLLLYYLPSPPPSARPSPAVRSGGGAR >KQL29956 pep chromosome:Setaria_italica_v2.0:I:26944452:26947365:1 gene:SETIT_019653mg transcript:KQL29956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDVVWHCIRHGHCSFMAKIETGIFCRNPYNVTGICNRSSCPLANSRYATIQDHDGIFYLYMKTAERAHVPNKLWERVKLPRNYEKAIEVINKHLEFWPQLLVHKIKQRLTKMTQYRIRMRKLQLKVREKLMTVPRKKTQIGNMKASKAELAAKIDNCIQSELLERRKKGIYYPFDNIVEHDGKNVVFVPEDEEEYEMEYIEPDEIQMEMEDIEDFEGLHNGEDGYTDADDDLLDEQAAKKQKRSKIGKRSRKVTSE >KQL30932 pep chromosome:Setaria_italica_v2.0:I:34479974:34484064:1 gene:SETIT_017891mg transcript:KQL30932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVATNGSAAEQAPAPASALKFLIYGRTGWIGGLLGGLCAAQGIPFAYGAGRLENRAQLEADIDAAAPTHVFNAAGVTGRPNVDWCETHRAETVRANVVGTLTLADVCRGRGLVLINYATGCIFEYDDAHPLGSGVGFKEEDTPNFVGSFYSKTKAMVEELLKNYENVCTLRVRMPISSDLSNPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDCIDPSFSWKNFNLEEQAKVIVAPRSNNELDQTKLKNEFPELLSIKESLIKYVFEPNRKTPKA >KQL29823 pep chromosome:Setaria_italica_v2.0:I:25184737:25184940:-1 gene:SETIT_019338mg transcript:KQL29823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMLASGSFAGVRHVSRDASAVQKKEGKSYRQGKAKPFFQVSLSPSKSYVFRGGGGYHRFLLVCCS >KQL30299 pep chromosome:Setaria_italica_v2.0:I:29771529:29773216:1 gene:SETIT_017119mg transcript:KQL30299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLASASTSLLFPQASSSRSRVRLSTSLGFSAQPARLRSRAAAAGGQRRGRLLVVRAARGKFERTKPHVNIGTIGHVDHGKTTLTAALTMVLASVGGSAPKKYDEIDAAPEERARGITINTATVEYETETRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPRIVVFLNKKDMVDDEELLELVELEVRELLSNYEYDGDEVPIVSGSALKALEALMANPALKPGDDEWVDGIRALVDAVDNYIPVPQRQTDLPFLLAVEDVFSITGRGTVATGRIERGTVKIGDTVDIVGIRETRNCTVTGVEMFQKTMDDAMAGDNVGLLLRGMQKDDIERGMVLAKPGSITPHTKFESVVYVLKKEEGGRHSPFFPGYRPQFYMRTTDVTGSVTTIMNDKDEEAKMCMPGDRIKMIVQLIQPVACEQGMRFAIREGGKTVGAGVINKIIE >KQL30680 pep chromosome:Setaria_italica_v2.0:I:32552700:32554253:-1 gene:SETIT_017171mg transcript:KQL30680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRDAAQAACVSRAFLGSWRCYPNLAFTVETLGLNENACGNDKKSRDFASTVHHILKKHSGIGVKTFKLNVYNKHEVFLKTNKQEICLVDLENWLQIAIKPGIEELDLSLSERSAMYNFPCSLLSDGIGDSLRYLHLSSCNFHPTVRLGCLRSLTRLALCSVRITGDELECLLSSSFALEWLELKLCSGIICLKIPCLQQLSHLEVITCSRLHVVESKAPNLSSFRFAGDLNIQLSLLGTSRIKKYERFCSGTVFYARTELPSSMANLETLSIYSEVETVNTPMVPSKFLHLKFLTITLGGQTYDVFSLVSFFYASPSLETFILNVRPEESMERISLLEDPSNLRKISEHRHNKLKRVWMINFSSIKTLVELTCHILDSTTSLECLTMDTTHGAPRCSCSVNKSGKCLPMRRNALMEAHRALLAIQTYIKPKVPSTVELNVLEPCSRCHLLEV >KQL29379 pep chromosome:Setaria_italica_v2.0:I:14641477:14641611:1 gene:SETIT_020147mg transcript:KQL29379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGQDSNLQSSGHKPDESTNSSTPLLPLIFLSLFPTGFPLLAWPG >KQL27991 pep chromosome:Setaria_italica_v2.0:I:2356630:2357208:-1 gene:SETIT_0201822mg transcript:KQL27991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSGPCVSGMPISSDLSNPRNSVTKISRYDKVVNIPNSMTVLDEHLPISVEMAKRNLCGVYNFTNPGVASHNEILEMYKQYIDPSFKWTNFTLEEQAKVLVAPRSNNEMDTTKLKKEFPELLSIKDSLIKYVFEPNRKEGRDKPY >KQL29782 pep chromosome:Setaria_italica_v2.0:I:24404566:24406047:-1 gene:SETIT_020217mg transcript:KQL29782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNLVFPRGSPSFASALASSIRNPKFMGPGTARPLCVATPAASSHVQAAVRCGRRHGVRLRVRSGGHDLEGLSYRSERPDEAFAVLDLAGLRAVRVDPSRATAWVESGATIGELYYAVGRASDDRLAFPAGLCPTIGVGGHLSGGGFGMLLRKYGVAADHVLDAVLVDAGGRLLDRDAMGSDVFWAIRGGGGASFGIVLSWQVRLVPVPPTVTAFKLPVSVDEGAVDVVTRWQTVGPALPDDLFIRVLVQGGVAEFQSLYLGTCDALLPVMRRRFPELGVNRTHCREMTWLESVPYVYLGEGAAAEDILNRTTSLAAASKATSDYVREPIARAVWAEIFAAWLAGPGAGLMILDPYGGEIGGVPEHATPFPHRAGVLYNIQYMNFWAAGGGDAAAAAGTKWIRDLHAFMEPHVSKDPREAYFNYRDLGLGENVVVGNVSSYEAGKVWGEKYFKGNFRRLAIAKAQIDPDDYFRNEQSIPPLLDAQQPVVISE >KQL29620 pep chromosome:Setaria_italica_v2.0:I:22095488:22098107:1 gene:SETIT_019605mg transcript:KQL29620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVLLLVLSLAAAAAVVAAAVDAEDPLIRQVVPGGDDNDLELNAESHFLNFVQRFGKSYKDADEHAYRLSVFKANLRRARRHQLLDPSAEHGITKFSDLTPAEFRRTYLGLRKSRRALLRELGDSAHEAPVLPTDGLPEDFDWRDHGAVGPVKNQGSCGSCWSFSASGALEGAHYLATGKLEVLSEQQFVDCDHECDPSEPDSCDSGCNGGLMTTAFSYLQKAGGLESEKDYPYTGSNGKCKFDKSKIVASVQNFSVVSVDEDQIAANLIKHGPLAIGINAAYMQTYIGGVSCPYICGRHLDHGVLLVGYGAAGFAPIRLKEKPYWIIKNSWGENWGENGYYKICRGSNVRNKCGVDSMVSTVSAIHASKEE >KQL30078 pep chromosome:Setaria_italica_v2.0:I:27884244:27884401:-1 gene:SETIT_0193872mg transcript:KQL30078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETLANFEFGVASSVQTAARGAMRPSAVGCGGLAVLKSKAIQLLQPVQIGRL >KQL32083 pep chromosome:Setaria_italica_v2.0:I:41242383:41244596:1 gene:SETIT_019994mg transcript:KQL32083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINGSPTGVAVMTATPGGPAEKAGILPGDIILAIDNRSTEDMDIYDAAERLQRQTVTLNPVRSRMCEIPGAKDSSKIGYIKLTTFNQNAAESVKEAIKTLRDNNVKSFVLDLRNNRFGPAETGMKIQSVFGLSDGSGLAVTVARYETPAHTDIDKVGVIPDRPLPASFPTDEDGFCSCLRDSTAPCNLNAAQLFARS >KQL29759 pep chromosome:Setaria_italica_v2.0:I:24226920:24227177:-1 gene:SETIT_019281mg transcript:KQL29759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEMDSSDDRRHSYHTPFPQGNALRVFCRTNNTFACPICPSTRHCWRILNEVKDHFLGVAKSSPLRGENKKWIRHRVVARNEGWME >KQL30271 pep chromosome:Setaria_italica_v2.0:I:29567143:29567585:1 gene:SETIT_020312mg transcript:KQL30271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLIWKIHRFTFIEASKSCQQFIVSNCIFNMKVNM >KQL31012 pep chromosome:Setaria_italica_v2.0:I:35018112:35020775:1 gene:SETIT_018906mg transcript:KQL31012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSAPTDSQEAARQSLIAISQSVPETPSPQTVKTPTSTEENGKLEDGADKYRSKLMSITDLSSDAQPTPCPPKDVAA >KQL29251 pep chromosome:Setaria_italica_v2.0:I:12141378:12144595:1 gene:SETIT_018608mg transcript:KQL29251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSSGAGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVDPKKFGLLANWQRDYTMENILTQLKKEMAASHNRKLVQPPEGTFF >KQL31278 pep chromosome:Setaria_italica_v2.0:I:36653356:36658394:1 gene:SETIT_018005mg transcript:KQL31278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRYMAYSPSPSTTPHSPRIAGLRAPSAAVAEQEKYLAELLAERHKLGPFIPVIPHSVRLLNQEILRVSTLLENASLLNQSGLEHGSPLTTGGLYSNGAATDMNGWTSAFQSESSPAYSWLGGSQGSSSGLIVKKTMKVDIPVDKYPTYNFVGRILGPRGNSLKRVEANTDCRVLIRGRGSIKDPAREEMMRGKPGYEHLNEPLHILVEAELPVEIIDARLMQAREILEDLLKPVDESHDFFKKQQLRELAMLNGTLREEGMQRSGSASPFNNSLGMKRAKTRG >KQL29852 pep chromosome:Setaria_italica_v2.0:I:25516035:25523310:1 gene:SETIT_016421mg transcript:KQL29852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKVNTRTRPTPPVAIADAARPRPDLARPRSPSPSPSPSPAATATAMGTANGEQPAAGASSDKLRHVESMSQLPSGAGKISGINAVVLGESLAAEENDLIFPSPEFSADALVSSPKQYREMYERSIKDPAGFWSEIADTFYWKEKWNPSEVCSENLDVTKGPVHISWFKGGKTNICYNAVDRNIESGNGDNIAMYWEGNEPGQDGKLTYSELLEKVCQLANYLKSVGVGKGDAVIIYLPMLLELPIAMLACARIGAVHSVVFAGFSADSLAQRIVDCKPKLVITCNAVKRGVKPILLKDIVDAALVESEKNGVSVGLCLTYENQSAMKREDTKWQAERDIWWQDVVTKFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTSGGYMLYTATTFKYAFDYRPTDIYWCTADCGWITGHSYVTYGPLLNGATVLVFEGTPNYPDSGRCWDIVDKYNVTIFYTAPTLVRSLMRDGSEYVTRYSRKSLRVLGSVGEPINPSAWRWFYNIVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGQEIEGECSGYLCIKKSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTLVDGVPYSEELRKSLIVTVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIAARQLDELGDTSTLADPGVVDQLIALSDC >KQL30368 pep chromosome:Setaria_italica_v2.0:I:30150233:30151338:1 gene:SETIT_019511mg transcript:KQL30368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRKRPRKVEPPAERVHRESTGEEVDGEDSVTTNPPMAGPSVSVEREEVSEAAAAEEDEDIGELLEPFTRDELLELLTDACLRSPALLARVAASAASDAAPRRLFVHGLGPGATSAALAAAFAPFGAFDECHAVADRATGRCRGYGFVTFRRRCDARRALADSSKRVDGRSLFVDNVPERAAHDDLRGLFSKFGEIEEGPLGADRATGLFRGYAIFLYKTPEGLRKALEEPTKVFDGCELQCRRAYRGSNPKHAAATPTDTGVQSNGGAVTAVLSSVQAKDLALTSKPSLLQLNQSVGLKAKGSSSATAIALFRQNVPAGGAGILGAAPVTTAVSSSLVHGTSSTPP >KQL28905 pep chromosome:Setaria_italica_v2.0:I:8794382:8796531:-1 gene:SETIT_018415mg transcript:KQL28905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWELTAITAYFLGLRRTYRLALRIQRRLIPPNHPRIRDFVYRRTRDVFNVAVSVHKNIQQRDIEVGRNLGNAILRWLDRMKPSAEIRPRLPGPPNGSSEQYKHFSSTSRSAGAQKTTSKTSPHDSNGKMLFSRLNIRPKSFPVLPTMTQPNRISASSQCRRFSYSPFPSVTAKRKVLMEGVFRKDIAQLMV >KQL28583 pep chromosome:Setaria_italica_v2.0:I:6823232:6824130:-1 gene:SETIT_018131mg transcript:KQL28583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMESDSPVSKKSRLLQSVDCAMEEAPSNAVGVNQSLHWTQWQILDSILPTGGFAHSYGLEAAMQSRMVNDQEDLRSFVIQVLENTGSLLLPFVYCASKSPDAGAWVKLDQLLEATLTNEVSRKASASQGSALLRVAASVFTEIQALQDLRRTFLGSKIVSFHHAPIFGLICGLVGFDSETAQRAYMFVTMRDVFSAATRLNLIGPLAASVLQHQVAPDAERMVQKWRDRDVAEASQTAPLLDALQGCHAYMFSRLFCS >KQL27985 pep chromosome:Setaria_italica_v2.0:I:2294413:2295072:-1 gene:SETIT_020518mg transcript:KQL27985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKCGGCIRAVCVAVLSWPDCWFCRQRVLRGFAVTTGTYGAGKAKVTNGPKVVG >KQL28270 pep chromosome:Setaria_italica_v2.0:I:4707236:4708787:1 gene:SETIT_019817mg transcript:KQL28270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNPILGWLWCLIHMAIGLFDLWSCLSNKLECYLISSELLSQYQILNLERLKCLGVVLDSREAKNVMEVKQLLHWFSTAGIKYVVLYDIEGDVCANTKSPHCSHGGMVMECLSGSDGKEAIAKAANLLYSASSKGCNSYTTYTRGYDKMDTVFTEAHMASALRAVGCGGPEPDLILVYGPVRCHLGFPAWRLRYTEIMHMGPLK >KQL27736 pep chromosome:Setaria_italica_v2.0:I:304985:305386:-1 gene:SETIT_020514mg transcript:KQL27736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNPILILYTTSMHVPRRPLHVMLPLQKISFLAVLSCHLLACLCYLS >KQL30124 pep chromosome:Setaria_italica_v2.0:I:28254213:28255867:-1 gene:SETIT_019664mg transcript:KQL30124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHNNLLAAWPVVGPGVAGAVFGAGWWFWVDAVVCSAAAVPFLHYLPGFFASFAALMFNCVNREDIGDGYYSPYDDSEWSGLIYWTCHSED >KQL27856 pep chromosome:Setaria_italica_v2.0:I:1233941:1238018:1 gene:SETIT_020209mg transcript:KQL27856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRSNSTSSDRMKSTARVLDPQGPFLQTWNKIFVISCLVSVSVDSLFFYAPAVDGDNNCLYLDDKLDKIASILRSLTDIFYLLRMIFQFRTGFTASSSRVFGHGVLVDDTFEIAKQYFTTYFLVDFLAVLPLPQVFVLLVRPHLRGSEVMTEKNILTLIVICQYVPRLIRIIPLYIQITRSAGTVMDTAWPGAAFNLLVYILASHVLGALWYILAIQRQDICWREACNGQDGCDLASLYCGSSVYGNNTFLQDACPTNGDADIDPIFGIYLPVLQNVSQSTGFFEKLFYCFWWGLQNLCSYGQNLKTSTYIWENLFAVFVSTSGLVLFALLIGNVQTYLQSASGHIEEMRVKRRDTEQWMAQRLLPEDIKERILRHDQYKWQETQGVDEEDLIINLPKDLRRDVKRHLCLLLLMRVPMFENMDDQLLDAMCDRLKPMLCTEGSCIIREGDPVNEMLFIMRGTLESMTTNGGRMGFFNSNVLKGGDFCGEELLTWALDPTSGSNLPSSTRTVKTLSEVEGFALRADQLRFVATQYRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWHRYCRKKMEDSLYEKERRFQAAIVSDGSSSRSLGAALYAAHFACNMVRVLRRNAARKARLLERVPSRLLQKPAEPNFFAEEE >KQL29808 pep chromosome:Setaria_italica_v2.0:I:24903644:24904183:-1 gene:SETIT_020381mg transcript:KQL29808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLFRSKPARIWKTGSRKLMIAKKECHFDLAVLCNNQALLFLDLPALD >KQL32129 pep chromosome:Setaria_italica_v2.0:I:41477164:41477815:1 gene:SETIT_020509mg transcript:KQL32129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVCVRVYWSPSFGTIIAMYYVRTPGRPPAASVCIVEIDPSTWSTPTS >KQL29434 pep chromosome:Setaria_italica_v2.0:I:15778345:15780025:-1 gene:SETIT_020563mg transcript:KQL29434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYHLCRYAECGNSETKRTKMRSNTLILFLLIERVILCMRAC >KQL30203 pep chromosome:Setaria_italica_v2.0:I:28870163:28870783:-1 gene:SETIT_020169mg transcript:KQL30203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPKAEEGAPLRAFPMSGGGGYYQAGGATAALAVQAKAPVAAWSTGLCDCFDDCSNCKQAPRSCVTCLCPCITFGQVAEIIDRGSTSCGTSGALYTLIMLLTCCQCVFSCFYRAKMRAQYGLQESPCADCCVHCCCECCALCQEYRELKKRGFDMKLGWHANMERQGRTAATMPPQMHPGMTR >KQL32050 pep chromosome:Setaria_italica_v2.0:I:41105746:41106783:-1 gene:SETIT_019679mg transcript:KQL32050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLPDCVLGEIVTRLPTRDGARLQVLSSRWHHVWRSSPLNLDDRFLHGSASTSRVLMILCLVSHVLAVHPGHARRLRLGTISVAGNSAAYEHWLRSPALDGLQVLGIHPGLPCLDLRTIPPLPLPPPLRLARTLRVLRVGSCVLPSTAAALSFPHLETLSLCGVSVSEDALHGVPAGCLALGTLVLDECTGFAQVKITSSTIRTLAVSVAHSMNPMPEVMMRRVTVENAPRLEYLAPFRHRFSGESFELRVVSAPRLRFLGCISRTISRLELGDTVFKVTRCHIDHVHQGKVISQETRSEMRAVRYGATLQTVKSLALEDVDRTDVVCNFLRCFPCLEKLYVSAGS >KQL31259 pep chromosome:Setaria_italica_v2.0:I:36564172:36569697:1 gene:SETIT_017163mg transcript:KQL31259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLRLLALSLLLAVATPIRDVTDACSSQVKDFPHLNSSGIHLTLHHPRSPCSPAPLPADLPFSAVLTHDDARIASLAARLAKTPSSRPTALDESSSPDESLASVPLGPGTSVGVGNYVTRMGLGTPAKSYVMVVDTGSSLTWLQCSPCVVSCHRQSGPVFNPKASSSYASVSCSEPQCSDLTSATLNPAACSTSNVCVYQASYGDSSFSMGYLSKDTVSLGSSSMPNFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPTLGYSFSYCLPTSSSSSGYLSIGSYNPGQYSYTPMASNSLDDSLYFIKLTGITVAGKPLSVSSSAYSSLPTIIDSGTVITRLPTGVYSALSKAVAAAMKGTPRASAFSILDTCFQGQASRLRAPAVSMAFAGGAALKLAAPNLLVDVDSATTCLAFAPARSAAIIGNTQQQTFSVVYDVKSKRIGFAAGGCS >KQL27950 pep chromosome:Setaria_italica_v2.0:I:2000815:2002273:-1 gene:SETIT_017813mg transcript:KQL27950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRLLLLMAAALVLLVARGSDAQLSAGFYSASCPSVHGVVRQVMSQAVMNNSRSGAAILRLFFHDCFVNGCDASLLLDDTPTTPGEKGAGANAGGSTFGFDLIDDIKTQVEAACPATVSCADILALAARDSVNLLGGPSWAVPLGRRDATSPNATGAATDLPGPDSSLDRLVAAFAAKGLTSRDLAALSGAHTVGMARCLSFRTRVYCDDNVSPAFASQGRQLCPASGGDATAAPLDASTPAEFDNGYYRNLVAGAGLLHSDQELFNNGPLDSLVRLYSANGAAFSSDFAASMVRLGNVSPLTGSAGEIRIDCRKVNS >KQL29309 pep chromosome:Setaria_italica_v2.0:I:13090803:13091434:-1 gene:SETIT_019257mg transcript:KQL29309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGEVRVTSTKKWNVVYPGYINSRKTVVEGRCVAAGKACPDPTCAEIADCCSHLKIPCRIESDQAYPRDFLQLGRVRVQLKGDEGSPVNPEITRSHNV >KQL28182 pep chromosome:Setaria_italica_v2.0:I:4100799:4101667:-1 gene:SETIT_020226mg transcript:KQL28182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPKQDSVQPLAASVMPSPGTGAAVPRTDSTPHFVLVPMMAAGHAGPMLDMARALARRGALVTFVTTPLNLSRLGSAPGDDALPIRFVPLRFPCAEAGLPEGCESADALPGLAYLKNFHDACAMLGAPLVAHLRDAADAPAASCVVSDTCHPWTGAVARELGVPRLALETSCAFSSFCMRLMSTHGIFEGVDDDELPVRVPGFPIDMEMSRARSPGNFSGFGKVFADEVMAENARADGLLVNSFAELEPLFVDAYEAALGKKIWTVGPLFLHTMPLTAAIDDDVDAI >KQL28707 pep chromosome:Setaria_italica_v2.0:I:7618248:7621801:-1 gene:SETIT_016711mg transcript:KQL28707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQYRSQLEQEVKKLQRQLEEEVDLHVALADAVTQNAVPVLKSSVKLPHKAQELLTNIASLESTVSKLEKELNDLYYQLCHERNERLLAENNQGCLPSTSSDDHQSLSTCTCTWEEHISSLRDLKFGGSESMRSTRQDLFPELDDDQDMGEDPEGQQIVSLNRLLEKHRDSSLNRLLEKHRDEEMQESFSMEKEGTEDEKLDVLSFEQSILKITSMKGGNLWNNPNELSEEMVRCMRNIFLRLSESSKIWPKASSDCSSSSAERLSGSTLASFSDSSIIPSMLRSPSVDSNHNDETMKEVRNFDPYKVNGKETRRDIGNYRSAAEVSWMSVGKDQLEYASEALKMFRFLVEQLSKVNPSSMNRDQRLAFWINLYNALIMHAYLAYGVPRNDIKLFSLMQKACYTVGGQSISAAEIEFVILKMKTPVHRPQLSLMLALNKFKITEDHKKYSIDEFEPLVLFGLSCGMFSSPAVRIFSAANVRLELQESLRDYIQATVSTNDRGKLLVPKLVQSYAKGAVEDSLLADWICHHLAPDQAAVIRDSSSQRKQRLLGVRSFTVLAFDSKFRYLFLPDSSGSHSQKLETKQSYKLPEPCSE >KQL29573 pep chromosome:Setaria_italica_v2.0:I:21403828:21404545:-1 gene:SETIT_019033mg transcript:KQL29573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQTMRKKHPLSIDIQQYVLLEASLPHAILDYIMIDEYWNVDREIQHEEL >KQL30129 pep chromosome:Setaria_italica_v2.0:I:28281318:28281842:1 gene:SETIT_018940mg transcript:KQL30129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGGCWSGGMDSPHLLLLSTALSNEEEMATTKYRVSILVEVHRSSIFLLSTLFSETQCTKVWTIRHWD >KQL31251 pep chromosome:Setaria_italica_v2.0:I:36523770:36525400:-1 gene:SETIT_019360mg transcript:KQL31251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAGAEGEVHSTLEDYLDENPVKSICDRVKIFTQAVSGLRYLHSKGIVHRDIKPNNIFLDDHGDAKIGDFGHKSCSHGGKLYGTRLYGSPELLLMQKHGVKDLL >KQL28125 pep chromosome:Setaria_italica_v2.0:I:3504869:3508184:1 gene:SETIT_018846mg transcript:KQL28125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVCTKQKDSMMTKVGSCLLLMPDGTPKKCSLYQLSINNRGFIAQPNSQSVDEKASGLGTKCNHTLPIASFKLGETGTICRALEPAATNPAH >KQL27773 pep chromosome:Setaria_italica_v2.0:I:566579:570198:1 gene:SETIT_016437mg transcript:KQL27773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLSAACSALLLVLVLMLPLSANASSKLYIVYMGEKKQDDPSVVTASHHDVLASVLGSKDEAMKSILYSYKYGFSGFAAMLTKSQAETIKSLPGVVSVKPNTRYQTATTRSWDFLGLHYYQTSAPDLLRKAKYGEDIIVGVVDTGIWPESRSFDDSGYGPVPARWRGVCQIGAEFNATSCNRKIIGARWYTGGLDAEKLKVDYLSARGMDGHGTHVASIIAGSLVRNVSHGGLAGGVARGGAPRARLAVYKACWLTAGCDVAAILAAIDDAINDGVDVLSLSVAAPETELPGTLHAVARGIPVVFGAGNNGPAAQTILNAVPWVLTVAATTIDRSFPTVVSLGNNEKLVGQSVNYNASLNSDDFHALLFAGSCDEQTLSFTNVTGNVLLCYAPWEASSKPPPQGFSSAVTGVSKAGAKGLIFAQHNSNILEQYTKACSQYFMPCVLVDFEIAHRIESYVKSVEMPVVKISRTFSVVGNGVLSPRVAAFSSRGPSIDFPAIIKPDIAAPGASILAAVRGSYQLDSGTSMACPHVSAVVALLKSIHPHWSPAMIKSAIITTASVADRFGMPIQAEGVPRKLADPFDFGGGQIDPDRAMDPGLVYDIDAGEYTKFFSCTLGPDDNCVTYMGQLYQLNLPSIAVPDLKETVTVRRTVTNVGPAKAAYRAVVEAPPGVAVSVEPWVIKFGEGGSKEATFRVTFTARQRVQGGYTFGSLTWLDGNTHSVRIPIAVRTVIQDFIADTS >KQL29097 pep chromosome:Setaria_italica_v2.0:I:10270373:10272452:-1 gene:SETIT_018575mg transcript:KQL29097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSSPLTTLHSSFLSPTPPPSPCSVAALPRRRRRCARIRAIDLDQNTIVAISVGVVSIAVGIGVPVFYETQIDNASKRENTQPCFPCSGSGAQVCRFCTGKGIVTVVLGAGETEESKCVNCDGIGSLTCTTCQGSGIQPRYLDRREFKDDD >KQL29626 pep chromosome:Setaria_italica_v2.0:I:22130310:22163345:-1 gene:SETIT_016056mg transcript:KQL29626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALNGVLYLLRKYLGEYVEGLSVEALRISVWKGDVVLKDLKLKAEALNSLRLPVTVKAGFIGTITLKVPWKSLGKEPVIVLIDRLFILAHPAPDGQTLKEEDREKLFEAKLQQIEAAEAATLEATSRNSKGGPVRGGNSWLYNLISTIIGNLKVTISNVHIRYEDSVSNSGHPFASGFTLSKLAAVTVDEDGNETFDAGVALDKLRKSVELHRLAIYHDSDSNPWKLNKKWEDLNPTEWSEIFQDGIDDHSGNSIWAANRNYLVSPINGTLKYKRLGKNERGDPDTPVEKASLVLSDVSLTVTEAQYYDGIKLLETFSRFRTRVDVSHLRPIVPVKEDRRAWWRYAMLAGLRQKKLCYWFSWERTRHLCQLRRRYVQLYATLLQQAPNVDIFEIREIEKILDMKVIILWRLLGHAKVETVKSKESLHRKGASKKRWWPFGWFYLFYLAEGTRLPQSDEEEQLTKEEWQAINKLLSYQTDEDLSFPLEKVPPNAIRFMMDVSIGQAAARIVNIDKTEVLCGRFEQLQVVTKLYPKSTRCDATLKYCGLSSPEGSLAQSVVSEGKSNALDVSFVRAPIGMDLDWQLMAKISPCHVTVLKGSYERFLEFIKRSNAVSPTVAMETATALQLKLEQVTRRAQEQLQMVLEEQSRFGLDIDLDAPKVRIPLTANQPTVGNEYFVLDFGHFTLHTRDGTHDEERQSLYSRFYIEGRDMAAFFICDLAQDIYSIPENLGQDNLPGHSSDDNQFSSLLDRSGMSVIIDQIKVPHPNYPSTRVSFKVPNLDIHFSPKRYCKIVELLGVLNHLKGSNNEDSNGDKSGRLPPWYPADLAADARTLVWRGLGYSQAEWHTCYIVLSGMYLYILESELSQNYQRCCSMASRQVFEVPPTCVGGSLFSIGVCSRGADTQKALESTNTLVIEFLNEIEKANWMKALVQATYRASAPPDANILGDPVSPPPEPSTPRLSTLGSVDLIVNGSVIETKLSIYGKLDRKSKDSQELLMLELLGNGGKVNVVQSSRGLSVKTKLHSLKIKDELQGRLSMSSKYLACSVIDESVEATCSGTPDKEGDISTFSVDEDSFMDALTDFTSDQNCNLQDNEIPNLVSDANDYTETSSKDGIWFDGDQQKVKPSEIFYEAQDNNVTDFVVLTFLSRSPDSCLYDGIDSQMSIRMSALEFYCNRPTLVALIEFGFDLSTVNSVPKNNSDKAPGTQIVKPSGKEDGAPTIVKGLLGYGKRRTIFNMKMDVDRVSMFLNKEDGSQLAMFVQEKFLFDLKVHPSSFSIDGMLGNMRFCDMSLGPDHRWGWLCDIRKPGVESLIKFTFQSYSVEDEDFEGHNYSLTGQLSAVRIVFLYCFVQEFTSYFMELATPHTEEAIKFIDKVGGFEWLIQKYEIDGASAIKLDLSLDTPIIIVPKNSQSEDYIQLDLGQLKVRNEFSWHGGEETDPSAVRLDVLHAEINGINMAVGVNGTLGKCMIRDGHGINIEVRRSLRDIFRKVPILSMKVQIGLLHAVMSDKEYNVITNCISTNLSETPNLPPSFRENVNRTKESIRLLADKVNLSNHPLLSRTVVVMTVDVQYALLELRNGPDAESPLAELAVEGLWVSYRTTSMLEMDLYLSILKFSIHDIRPDTKSEMRLMLGSYSETANLCTEDSSIDAGVSNLTMLILDYRWRSSFQSFVIRIQQPRILVVLDFLLPVVEYFVPSLGTITGRDESLDPKNDPLMRSDDIILSEHVFLQRENVIQLSPRRQLIVDGCDIDEFIYDGCGGTISLCEEFDKKGQLCSGAIIIIGHGKRLRLKNVKIENGALLRRCVYLSTGSSYSIAAEDGVEVSVLESSFGNDDEDLLKLEEHNKRTLQNASNAPANQMLNFTFEAQVVSPEFTFYDSSKLSMDDSLHIEKLLRAKMDFSFMYASKEKDIWARSVIKDLTVEAGSGLLVLEPVDVSWKYTSVNEKSNIVLASTDVCVHLSLSVASLMLKLQNQTLAALQFGNISPLVSCTNFNRVWSSPKGDLPGYNLTFWRPQAPSNYVILGDCVSSRSVPPSQVVVAVSNTYGRVRKPRGFRLVHVLPGQDVIDSSQSTEANECSIWIPVPPPGYLALGCVVNIGRLPPSNHVVIWRVDNLIASFCAHTSTEQPTRTEALDLHHVLLRNPNCYIVKDLGADSSVENDQSSDQLTHHRKSTSGWDVLRTLSRPSSYCMSTPHFERIWWDKGSDTKKPFSIWRPLPRFGFASVGDCITEGFEPPTLGILFKCDTVVSERPVQFTRVAQIDRKGLDEIFFWYPVPPPGYASLGCIVTKTDEMPSKDSICCPKLSLVSQANIAEDPITRSSSSKGPNCWSIWRIENQGCTFLARPDVKKPSARLAYRIAEHAKPKARENITAELKLGCLSVSILDSSCGMVTPLFDTTIANINLATHGRFETMNAVLICSIAASTFNRHLEAWEPLIEPFDGIFKFETYDTSEHPPSKVGKRIRVAATSPLNANLSSANLELLIETLVSWRRQIDLEKNSSMKNADTVGNMKKADDSSCSALNEDDFQRVIFENKLGCDVYLKKLEDTENIIELLQHESKVSLLMPPPRFSDKLNVLSNSTESRYYVVIQIFESKGLPIIDDGNGHSYFCALRLLIGSSASDQHKVFPQSARTRCVKPAKTTDLQTHYAKWNEHFIFEVPEQVTVNLSYFVIQL >KQL31500 pep chromosome:Setaria_italica_v2.0:I:37964282:37964627:1 gene:SETIT_020535mg transcript:KQL31500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFENMSCSHALKVQKLNMTFNIFVICQVG >KQL29724 pep chromosome:Setaria_italica_v2.0:I:23875278:23877651:-1 gene:SETIT_0190462mg transcript:KQL29724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IIIVLKLEILQTECAIIGSPQNYLAQSLEKVLDPSLSAIAAQKNIGTDFGGPGMLGSSIAPRAEQASNNLPYGVPYSGAQGIVSSSIGQALEPDHNNGRAVVPDHNNMFTGGSYGTVSAQNTVNASMVEPRSQQPSLRSHHNQRFTVSGTREALTPPSNTYEHPEKPSYQQLPPGYINRTPVARNVSTSRVVPISALHPYDTRWTIKARVTAKTAVKHWNNARGTGRLFSFDLLDGEGGEIRAVCFKEAVDQFYDLIEVDKVYLISRGSVRPAQKQFNALNNDNEITLEALTSSVEICSSDDYNIPRAQYNFRQISEIEDIDSQTVIDLLGVVTSVGPSVLITRKNGIETQKRTLQLRDMSGWSVEVTFWGNFCDVEGQQLQLQCDSVQPGKNKPDFSDADRLRQWYIAEGENTACVSLSREQFNSVQAVRKTIAQIGDGNLGRDKANWITVKAAISHVHTDSFCYPACPLIFNEKPCNKKVVDCGDGTWLCERCDKSFGNCEYRYAVRFQIQDHTGTIYVTAFQEAGEHIFGCTAQELHTVRNIDRDDARFTEIIEGARWHPNLFKLSIREESFNDEPRVQCKIVNAEKLDPSKESSILCKDIDSLLQGRSGPSTGDQGNFATNIGFSKSPGGHNVLTSNNAYGMNVCGVNQFGQKGSVSGGMSTPSTCMDNQQQPGAGGFIGNNYGGFMGGNYGSSAAGNGRPGSCFKCKQPGHWAGECPGR >KQL29359 pep chromosome:Setaria_italica_v2.0:I:14278174:14278685:1 gene:SETIT_020274mg transcript:KQL29359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMENYSATLMEIKPQKNVTKNLVGGRKTATMIFY >KQL30934 pep chromosome:Setaria_italica_v2.0:I:34500124:34501641:-1 gene:SETIT_019714mg transcript:KQL30934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPPPPSPTSAAPTPPASPSKPPLCPRQIAALVLNHPSSTLTAASVRSLSASLLAAAPAPALPIPTPVANAVLKLLWHHAPRALLFFHALIRLPPRARELSPRTVDLALDLAARLRHPRQLTSSVLALFQRHGLAFTPRTFPILFERFAVSHRRPDIAVRLFLTLHRSHGVAQDLPLFNSLLDALVKSRHVGKAASLVRALERRFPPDAVTFNILADGWCSVKDTSRALDILLQMVESGIAPTKATYNIILKGFFRSGQLRHAWDFFLQMKKRGINDENGKPDVVSYTTVLHGLGVAGQLEKARKVFDEMSKEGCPPSTATYNALIQAICKKGNVEDAVAVFDDMVGKGYVPNVVTYTVLIRGLCHAKKIDRAMKLLERMKSEGCEHNVQAHNVLIRYSFEEGEIEKALDLFERMSKGMECLPNQDTYNIIISAMFVRKRAEDMAVAARMVVDMVDRGYLPRRFMFNRVLNGLMLTGNQELSRELLRMQEKYARLRREIRL >KQL30411 pep chromosome:Setaria_italica_v2.0:I:30388074:30389333:1 gene:SETIT_018259mg transcript:KQL30411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRVVLVSAAVAALGLAAAVLGFVAEATKSKAFVAFDGRRCVYRRTPALFCGVVAALLALAGLALATAASGCFGRNAPATGRRHATVVRLSIVAWVLVAVAAAMFLYGAALNRGGTRGLSTSRRGRYGRGYYYYGCVVLKSGIFSTASILSGAAAACAIAAYVYLQRMDDYPAVPGQFAAPGVAMGQPQWSQPYPPPAYPPPPAYPPPPMAYPAPPPYGGYGAKQPAGTA >KQL31557 pep chromosome:Setaria_italica_v2.0:I:38382094:38384515:1 gene:SETIT_017781mg transcript:KQL31557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFPLISDHSMLLQGHGMFGAEGCLGIRSSSGVLSSDGKTVPTQDDRKNKGKDMFYSDWPELACFEPSPRNLDPTFEIGSNYFEDALWSSIFSPEAKLVPSSYFDDIDFSSDRSGSTVVKTNPTKTKQQPRKGASDTPLNGDAHASSSSCLSDAIELANQISGCEGLEAIFSSSQEMQDPTASSSMCSGETAASSAFSGPDFVAAHRIPCPSKKPHDPFSRAPDMILEEMAENPLDMYFPLLETYEQPEMLMSDTTSAQKHRFPEEFAGSSALNCAESQFCSKEMASVGFHGQPSSAMVLPAVPVKDLGFQKLQEGMNQVGDSQ >KQL30098 pep chromosome:Setaria_italica_v2.0:I:27989072:27991613:-1 gene:SETIT_017182mg transcript:KQL30098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQGLSGGMAQGVQGRSSPSSQRWRGSAAPARDPTGGGGRALGGRGTGGGARQAVVADLQVELEGGGVSGGSSRASESRSGRSWRASAPPAEPSPLRSPPASPSPRRSTSAAPSEALSFLVARTFSSNGASSSYSKPLPSLFRGVRPSPKPGAALAGAAAASRAVLTPHAAAIKSRRSVSAPVEKLLEEGSGFEASEELPSTGSLETEVEEKGNSELVPGPTEQTASGSGTEEFEEEKHAEVEIEESSGSTKLVEASTLDSVVADDFSGHEQTAENGSMVETDQVENHTAVVYEENAYDQTGDDNYVQSAQSIDPIGSVSEESFDDDWEADRSDSIIEDQVESESSIDKVIEERMGQLEISRKAEKNAEKKQKVSMKPLELAEELEKRQASFGQHWKEGAAAQPMQLEGIGKGPPAIGYMQIEMDNPVTRAMSSPSFTCKLRCWQFIGAI >KQL30327 pep chromosome:Setaria_italica_v2.0:I:29948485:29949065:1 gene:SETIT_020259mg transcript:KQL30327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRHPSEGTLGRACGDDGWQTGRRSSSWMSCCFL >KQL32252 pep chromosome:Setaria_italica_v2.0:I:41931896:41936771:-1 gene:SETIT_016410mg transcript:KQL32252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSSALFTARLPLAASTRLPNPTTRLLLFAALPSSSPLRAFCPRARPAPATCAAFSSSTTMAATDNPLLVADFDFPPFDRVEPGHVRPGIRDLLTRLEAQLEELEKGVQPSWGKLVEPLERITDRLEVIWGMVDHLKAVKDSADLRAAVEEVQPDKVKFQLRLGQSKPIYEAFKAIRNSSDWESLSDARKRIVEAQIKEAVLSGVALEDEQREKFNQIEQELEKLSQKFSENVLDATKKFEKLITDKKEIDGLPATALGLAAQTAVSKGHENATAENGPWIITLDAPSYIAVMQHARSRALREEVYRAYLTRASSGELDNTNIIAQILKLRQEKAKILGYKNYAEVSMAQKMATVERVEELLEKLRAASWDHAVKDMEDLKIFAKDSGSPEATDLTHWDLTFWSERLRESKYDINEEALRPYFALPKVMDGLFTLANKLFGVSVEPADGLAPVWHSDVKFYCVKDSSNSPVAYFYFDPYSRPSEKRGGAWMNVVFSRSRVLARNGLPARLPVAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGYVAGIRGVEWDAVELPSQFMENWCYHKDTLLSIAKHYETGETLPEEIYAKLVAAKNFRAGTFSLRQIRFASVDMELHTAYDPYGSESIYDVDRRVAERTQVLAPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNEKAIEETGRRFRDTVLALGGGKSPLEVFVSFRGREPSPEPLLRHNGLLPVAV >KQL30970 pep chromosome:Setaria_italica_v2.0:I:34766532:34767865:-1 gene:SETIT_018470mg transcript:KQL30970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAYYLFRSGRPEAATACEEQEEDIGSPSESSESSSPSTSGESSELDDDASSSSSTGSDRFEMSGLMTELPFKRGLSRFFDGKSQSFASLAAVGSLEDLAKPPRKRLKPSRSCGGGLDAHRGRILSPRRHCTKAVARKAAVRTGALAVLAAAAPRRPPLVAAPRPDGVAGNVLVVS >KQL30467 pep chromosome:Setaria_italica_v2.0:I:30729513:30731170:-1 gene:SETIT_019424mg transcript:KQL30467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGGGGHRVAAIAVPLLAFALLAAAFLGGGGGAAESPASRERRREHFLRHVPHEPQKASEASRTMSAGTQHAAGKVSVGPIEESLAWSRAAIRRAAREAPATAADSARRSFKDVGDAFVPRGAIYRNPRAFHRSYLEMERKFKIWTYREGEPPLAHLGPSADIYSIEGQFLEEMEDPRNPFAARHPGEAHAFLLPVSVCNLVRYIYRLNTTAYVAPMRRMLADYIDVVADRYPYWNRSRGADHVIVSCHDWAPLVSEGNRELYTNAIRVLCNANTSEGFIPRKDATLPEVNLADGILRGPTFGLPPENRTTLAFFAGGMHGHIRKALLGYWLGKKDPDMDVHEYLPAGQDYHALMARARFCLCPSGFEVASPRVVESVFSGCVPVIISDGYPPPFGDVLDWSKMSVAVPSARIPELKDILRGVSERRYRVLRARVLQAQRHFVMHRPARRFDMIHMVLHSIWLRRLNVRLPY >KQL31279 pep chromosome:Setaria_italica_v2.0:I:36658790:36666593:-1 gene:SETIT_016107mg transcript:KQL31279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTGNPNPNPNPPFELGKLFRPPNPMPTATATAATIFPGAAGGPAGPPPPSGPYSYPPVTPPFHRGPYLHYPQDPHAMPRPVVSFPMPNPNLNPNPNANPNAAVPGPNPGVRLMQLLGNSGPTQLETAVSMPPPTSEFAQPLPAMPSAPPARMLSSTSSKVPRGRLLGGGERAVHDIDSRLPGEAQPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQRVTDMAFFAEDVHRLASASVDGRIYVWRIDEGPDDENKPQITGKIEIAIQIVGEVEAYHPRICWHSHKQEILFVGIGNCVLRIDTTRVGRGRDFAVEEPVKCHLEKLIDGVRLVGKHDGDVTDLSISQWMSTRLASGSKDGMVKIWDDRKPNPLSILKPHDGQPVYSVAFLTAPERPNHINLITAGPLNREIKIWASTNEDGWLLPSDSESWNCTQTLELVSSLEPRVEEAFFNQVAVLPQASLILLANAKKNAIYAVHVDYGPDPASTRLDYIADFTVAMPILSLTGTHESQPDGEQVVQVYCVQTMAIQQYGLELSLCSPPTADTTGFGRDPAISRVYEAPPEVAGTESSTTSFTDSYSVSASSKPPTADQSAEFDPKPSAPPLAYSEGDGSVHLPSAPPASKMELPGSGPAPGTRDIDQSAFDYTANRNMERDALKRQDTPMPIRKDILGKDELRDGHSDVAMLPNPRLMFQVGGNATHLVTPSEIISGTLSSAENNDVSKSDGGKIQDVSSRSSRIAELEPKHIDESKPDQNSGLEAVKEAQIVCEHMEKTRSLEQTVEMISERSVTTDKYSVEESQAPSDKPTLDHTGVADENVRKNSLEMPEKSDYSASREQSSSYTKEEKVLHPQTSGQPSPSVSAFNSTESHEPLSSAYPPISSFPEVAATQGMLQQLIGMQKDMEKKLDTMIPVSVAKESKKLETSLGRTMEKSIKAHFDAFWVRLQEENTKREKADRERMQQLVTLITSSINKDVPSNLEKSLKKEISSLGPVVARAITPIIEKCIASAVSDSVQKGVGDKVCNQLDKSISGKLEATLARQIQMQFHTSVKQALQDALRTSFESLLVPAFEQSCKTMFEQVDGTFQKGMSEHTVAIQQQLEAAHTPLALTLKETINSASSITQSFSSELLDGQRKLLALVASGNAKAHTPNALQPINGPMGGPQEVKVEAPLDPMKELGRLVSERKFDEAFTMALQRSDVSIVSWLCSQVDLRALLAMVPVPLNQGVLLALLQQLAVDINNETSRKVQWMTDVAMAINPADPMIAVHVRPIFDQVYSQLAHQRSLPTMSSSDGTSIRMLMHVINSVLLSYK >KQL30926 pep chromosome:Setaria_italica_v2.0:I:34438934:34445193:1 gene:SETIT_017273mg transcript:KQL30926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVETDGRFGNKRVHNRLGPGSGGGPSSTTGKVCNYWRAGRCNRFPCPYLHSELPEAAAPPKRPSGPGGNVWRNPNSGGRGGGGHNRWGRGPGGGSGTAAHRPPDRPCKYFLAGTDCSYGERCRYPHSYCISDSITMLTLLKGHEKGVTGIALPTGSDKLYSGSKDGTVRMWDCQTGQCAGVSPMGREVGCMISEGPWLFVGIPDAVKVWNTQTSAVMDLTGPTGQVYALAVASELLFAATQDGRILAWRFSAATNRFEPAASLDGHKLAVVSLIVGGMRLYSASMDKTIRVWDLATLQCIQTLSDHTDVVMSVLCWDQFLLSCSLDQTIKVWAATETGNLEVTYTHKEEQGALALSGMPDAQSKPVLLCSLNDNTVRLYDLPSFSDRGRIFSKQEIRAIQMGPAGLFFTGDGTGELKVWQWVDRAQT >KQL27814 pep chromosome:Setaria_italica_v2.0:I:847228:849335:1 gene:SETIT_020348mg transcript:KQL27814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAEHQGKRYRVIDEALAFVGEVSDKSRFISPEDVRATQTEDNAEGNRGSGSRIMSNVLACSLSLAKNSFRLDKIGGALGNAAVFAVSMLAFLQLHQIALGSRTPAMGYRKRIEYSFQSGSSQQNGKGKHLEVYLARG >KQL31469 pep chromosome:Setaria_italica_v2.0:I:37737068:37742072:-1 gene:SETIT_016788mg transcript:KQL31469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPSSGSNKRKRKRGRKPKASPPSPDRSSPSPVPAPAPAGRRGRKPRRHEAPADADAARPPSPPRRGEPKPVANGGDAVAVAVSEAAPASWDEVVRVVPCMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVSDDEFWEGVSPVEFGTLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDRGKCVGIAFQSLKHEDAENIGYVIPTPVITHFIQDYEKSGEYTGFPILGIEWQKMENPDLRKSMGMKPDQKGVHVRRVEPTAPESGCLQPSDIILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGEKALVKVLRNSKVHEFKIRLATHKRLVAAHVKGRPPSYYIVAGFVFAAVSVPYLRSEYGKDYEYDAPVKLLVKHLHAMAESPDEQLVVVSQVLVADINIGYEEIVNTQVLAFNGQPVKNLKNLVTMVENCKDEFLKFDLEYDQIVVLETKTAKAATQDILTTHCIPSAMSDDLKA >KQL31989 pep chromosome:Setaria_italica_v2.0:I:40785069:40794715:-1 gene:SETIT_019550mg transcript:KQL31989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVEKIVEDFASDIAMSPFSSGTRLRDMIRAIRACKTAAEERAVVRRECAAIRTAISENEPQLRHRNMAKLMFIHMLGYPTHFAQMECLKLIAAAGFPEKRVGYLGLMLLLDERQEVLMLVTNSLKQDLNHPNQFIVGLALCALGNICSAEMARDLSPEVERLMRSREVNTKKKAALCSIRIVRKVPDLAENFMALAASLLKEKHHGVLISAIQLCTELCKASKDALEYLRKNCIEGLVRILRDVSNTSYAPEYDVSGIADPFLHIRALKLMRILGQGDADCSEYMNDILAQVATKSESNKNAGNAILYECVQTIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMRAITVDALAVQRHRTTILECVKDADASIRKRALELVFLLVNDTNVKPLTKELIDYLNMADPDFKGDLTSKICSVVEKFSQEKLWYLDQMFKVLSLAGNHVKDDVCHALIVVLSNASELQGYSVRSFLVRVAVWCIGEYGEMLVNNVGMLDGEEPVMVTESDAVDAVEVAFNRHSADVTTGPMCLVALLKLSSRFPSTSERVRQIVGQNKENVVLELQQRSIEFSSIIQKHQSIRSSLLERMPVLDEASYLVKRATATKATISADKLAPAVTPGGLKISNGVAKPPSAHLVDLLDLSSDDAPASTTASTATPNDFLQDLLGIGGVSSSTADVTSTASTDILMDLLSIGSSPSQNGTPAVGHPGQESKPIPAAPEAIDLLGSLSSTETKPTSVVPQAMDLLDGLSSSTSVSGLEKTAHPSITAFQSPTLKITFDFKRQSGNPRETMIHATFTNLTSSTFTDFIFQAAVPKFIQLRLDPASGNTVPAKGNGSVTQGLNVTNNQQGQKPLAMRIRMSYKVNGEERLEQGQVSNFPSGL >KQL29890 pep chromosome:Setaria_italica_v2.0:I:26075612:26076640:1 gene:SETIT_018330mg transcript:KQL29890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLDLDTLVSCDGVGGGDAELKAACGCDAALSDGSGTEKRDDDDPYAPAQSLRLRIGEDIEWSDVVGAAAVLERDDSTKGAGANPKCAARRSVAAAAAARGSLPPAPAPRAVAVVIGGLPGKVAREHGGRGRSPRRLGGRARRVFAAGEAAADRLAEPGSPEVSCLGGVRSQPRAAAEGFSSGRRWWAWLVADVASCCWNDRRERRRPRGSEAD >KQL28958 pep chromosome:Setaria_italica_v2.0:I:9223883:9228643:1 gene:SETIT_017692mg transcript:KQL28958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKDVAPAVTLSNGHHGGGMPAATKLSNGHSMKTVPAVTLSSGHRMPAVGLGVWRMEKTAMRGIIHAAIRKGYRHFDCAAKYQNEAEVGDALEEAFETGLVKREDLFITTKLWNSDHGHVIEACKDSLKKLKLDYLDLYLVHFPVATRHTEVGSLASVIGEDGVLDIDTTVSLEATWHAMEDLVNMGLVRSIGISNYGVFLTRDCLAYAKIKPAVNQIEMHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANAELFGSLPGLDDPVVKELAEKYGKTPAQLVLRWGLQKNTVVIPKTSKVERLQENLEVFDFDISDEDMEEMKAIDKNHRTNQPAKFWGIDVYS >KQL29305 pep chromosome:Setaria_italica_v2.0:I:13005182:13009103:-1 gene:SETIT_017453mg transcript:KQL29305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCVLTAAAAAVAGGAHTPEEEVRIFYQRYGHGATKVLLIIGFAGTYESWGPQVKGLTGAVEPVDEEAPADDDSGAAEGVEVCCFDNRGMGRSSVPAQKSQYTTATMAKDALALLDHLGWRKVHVFGHSMGAMIASKLAAMAPDRVASLALLNTTGGGYQCIPKVDWHTISLAYRFLRARTPEQRAILDLEVHYTTEYLEEAIGSCTRRQMLYKEYVKGLSSGGMQSRHGFEGQMNACWTHKLSTKELDRIRLAGFLVLIIHGRDDVVAQLYYARRLAEKLQPAAKLTELHGGHLVSHERPAEVNMSLMEMIKASKSSTGLEDWSNLPKKSDAGFLRKRDGDMVNYLRVAHNLLGKLQLILLSLFGVFYFILEHARRVLRVLKPVRVSASTL >KQL28572 pep chromosome:Setaria_italica_v2.0:I:6765737:6769240:-1 gene:SETIT_0190891mg transcript:KQL28572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEILHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSIGQWRISNVVQPHTCRSSQPKREHLQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMTVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNGVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFQSPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRQKKRFTGEMDVSEGRLSADYDTGIAHAAVENQKALNLDRAVIGRVIRTIGMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLYGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFE >KQL28935 pep chromosome:Setaria_italica_v2.0:I:9028849:9029311:1 gene:SETIT_020358mg transcript:KQL28935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDTVTRRGIYPLLSNSSGQLHVLVANRAGAHNQPSTNQPTCPSLCAC >KQL29230 pep chromosome:Setaria_italica_v2.0:I:11786460:11788783:-1 gene:SETIT_019127mg transcript:KQL29230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRRAGSPLLLLALLLPSCFLVALLLAGCGSVAHAAPRPVFAFPAAAKVQASSRSHPRHERLRVALDAAAARVGQALAAMVAAAAVDPASSDDAPASTRTPLAAAAREDCAELLEEALALLAGAGAAARDDALTWLSAALTNHDTCADGLAEAGAVAPGCGAPHHAHAHLAAARAAVRDSLAMYDASTAVDTAATRTARPGTTEDGCHCNNETRREGVCGFPRWLPARDRRLLLTPAASLAASADIVVAKDGTGTHATITDAVKAAPECSERRTVILVKAGRYEENVKVGMRKTNLVFVGDGKGVTVVAGTRSVADRNYTTFRTATFAASGFGFMMRDMTVENASGPARHQAVALRVSADRAVVHRCAVAGYQDTLYAHSNRQFYRDCDVYGTVDAVFGNAAAVLQRCTLWARAPLSSQKNTVTAQNRNESCQRTGIVLHACRLLPAPDLLAAPSPSPALSPSPAPAQEQEQRAATYLGRPWRPYSRVVVMMSYIGAHVAPRGWLEWNASAYALDTLYYGEYMNYGPGAGVAGRVRWPGHRVINDTEEAERFTVARFIAGASWLPATGVSFVPGLSL >KQL30590 pep chromosome:Setaria_italica_v2.0:I:31970610:31973874:-1 gene:SETIT_017671mg transcript:KQL30590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFAGSAAEPPLADSYHALLRRHGGGDNDDGAHTAAVPVAECELPMIDVGCLTRDGGGSEAERAACAAAIARAAEEWGFFQVRNHGVAPGLLDAMRREQARLFRLPFEAKSTAGLLNDSYRWGTPTATSPRQLSWSEAFHVPLAGVSGDRCNFGDLTALRDVTREVAGAMSKLAGTLARVLAEALLAGRRPAGERFPEGCDETTCFLRLNRYPPCPVSPDAFGLVPHTDSDFLTVLCQDHVGGLQLMKGARWVAVKPIPGALIVNIGDLFQAWSNNRYKSVEHKVVTNATTERYSVAYFLCPSYDSPIGTCEEPSPYRTFTFGEYRSKVQEDVKRTGKKIGLPNFLV >KQL31379 pep chromosome:Setaria_italica_v2.0:I:37199528:37199912:1 gene:SETIT_020359mg transcript:KQL31379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRASLHREHFLSATDHPDLDKDYRRQSPVNLHMQKDLSI >KQL31266 pep chromosome:Setaria_italica_v2.0:I:36602192:36603679:1 gene:SETIT_020154mg transcript:KQL31266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTPVPSTGGFPLAYNIGEGGAALTALVDRWRSETHTFHLPFGEMIITLEDISMLFGLRVDGDTVHLLLGVRSEDFLQDVKDRKTIGVSSAWLVQHFGHRPSATTHKGVVARYHGAWLWHMLGIATLAWLYRHMCDASRRTEANSNLGGYAYFLQLWMWERLPIDKLECHGYRNEVEEMELSPMCKIDEEYRRSVCPLICFYIVEYHLPNRVIGQFGKLQTYPPEYNNTGQDLHRVDHCKQRGAKNWEEKHTHAINAWDLRANNRDYGGVLKQNTRLKLKVAMDAAKIEDLPLDLEDVFPKYDEVTRSGRQLERGPFENYIVSSYATDLWFNSFYFNNDIFKFVQGQQLGRFANGVGQALSVPIGSSEEASVLRGFLQVHFFPCHLCVYVKVVVS >KQL31119 pep chromosome:Setaria_italica_v2.0:I:35740858:35741445:1 gene:SETIT_018911mg transcript:KQL31119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIIYFLYWMQILTELQNHTQANFQNRKEQTSITVHSRQAIKKGLTHDKGTCTHKSQNVKEASKSAVYIFCTQRDG >KQL31908 pep chromosome:Setaria_italica_v2.0:I:40377369:40383535:1 gene:SETIT_016163mg transcript:KQL31908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAAPEGLLHRRIEFHAATKPPPPPPPAAAAVAVPGGFRMETSLFAGADKRVAAAARSGREGRRFETGESSGSGFDPELSAARVYLRRIGAGLHNLGNTCYLNSVLQCLTYTEPFVAYLQSSKHNSSCRAAGFCALCALQDHVTSALRSTGKILTPLLFVKNLRCISRSFRNTRQEDAHELMVSLLESMHKSCLPSGISSESPSAYERSLVHRIFGGRLRSQVRCTKCSHCSNKFDPFLDLSLEIGNAATLMKALYNFTEEELLDGGEKHYNCQQCKQKVVAKKRFLIDKAPSVLTIHLKRFSPFNPLQKIDKKVDFQTTLNLKPFVSNSEGMDLKYSLYGVLVHAGWNTQSGHYYCFVRTSSGLWHNLDDNEVRQVREADVLRQKAYMLFYVRDKVRSAVIQKDNGDASLSEKKIISEKITCMNGAIRNGLVEKTLDFSTIAKEDIKLQKHDPDKGQPSDISATSQDQCSNEHSSIEVINASTSQNNVSVQKAPHTLPDGVDTLSTKAEQIALSVQRETMSPGQPDVFILDMKSQKLNPDDGQPSNISATSQDQCSNEHGSTEVTKASTSQNNEPVQKATCSHLDGTATSTKTEQTAPASQRETTFTAQPDACVLCDANSDQKAYEKPLQELQLESDGALTDSGKGIPASAFQLCNGADGLLGANEQAIEPQTEVFCKPTPDSDATTIAPFIPTEDTAVSNGTLTGNEDSTSGNEAKGTEPVKQHDGLIVVNELSVKSIDDKVKVEEQTAVRNNSLGDGQSMAKEVSVMETGHMADADDQTFKKNNSLDTGHVNCEKKIGSEDSAHVASSEDCAQMMCSENSVQVVDKDPCHGNLHKIIKIKSKKHVSHPAVNFYFGSKQLLLASLKPCKKRKHKRTRRRLTSDANAESTGDDRQTSTSETVLTSGMSRKSHRQKRSRNSASSEDAVQMYNKKQNLGNSCAAELTLDKKVSKDATLAAAELAASSCPSSVLNPDSGKCGVTDEKGSWHFNLLTRGLRVPQWDDDDMPNTKAAEMQHSSSTSIGYVLDERDEEYDRGRRKKVRKSKQGFSGPNPFQEMKNIRSRQRRRIQTDQARSGHQPLRI >KQL31909 pep chromosome:Setaria_italica_v2.0:I:40379876:40383535:1 gene:SETIT_016163mg transcript:KQL31909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSCPMVLPHHCLVYASCVFCHTRQRASLVALSCIRACCLKNFGLCVGQWVDLAGKYLYGQRGRVGDIFSQVRQVREADVLRQKAYMLFYVRDKVRSAVIQKDNGDASLSEKKIISEKITCMNGAIRNGLVEKTLDFSTIAKEDIKLQKHDPDKGQPSDISATSQDQCSNEHSSIEVINASTSQNNVSVQKAPHTLPDGVDTLSTKAEQIALSVQRETMSPGQPDVFILDMKSQKLNPDDGQPSNISATSQDQCSNEHGSTEVTKASTSQNNEPVQKATCSHLDGTATSTKTEQTAPASQRETTFTAQPDACVLCDANSDQKAYEKPLQELQLESDGALTDSGKGIPASAFQLCNGADGLLGANEQAIEPQTEVFCKPTPDSDATTIAPFIPTEDTAVSNGTLTGNEDSTSGNEAKGTEPVKQHDGLIVVNELSVKSIDDKVKVEEQTAVRNNSLGDGQSMAKEVSVMETGHMADADDQTFKKNNSLDTGHVNCEKKIGSEDSAHVASSEDCAQMMCSENSVQVVDKDPCHGNLHKIIKIKSKKHVSHPAVNFYFGSKQLLLASLKPCKKRKHKRTRRRLTSDANAESTGDDRQTSTSETVLTSGMSRKSHRQKRSRNSASSEDAVQMYNKKQNLGNSCAAELTLDKKVSKDATLAAAELAASSCPSSVLNPDSGKCGVTDEKGSWHFNLLTRGLRVPQWDDDDMPNTKAAEMQHSSSTSIGYVLDERDEEYDRGRRKKVRKSKQGFSGPNPFQEMKNIRSRQRRRIQTDQARSGHQPLRI >KQL30316 pep chromosome:Setaria_italica_v2.0:I:29883935:29884309:-1 gene:SETIT_019903mg transcript:KQL30316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGLVSLVALIFLLSFRSLLHQQVLVGEGAAAAAANGCHGRNRQRHQHAEEWAEERKRMRWFMTRDYARARRHTPRNNRLDP >KQL28944 pep chromosome:Setaria_italica_v2.0:I:9121838:9122074:-1 gene:SETIT_019414mg transcript:KQL28944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATPTGDGGDDGLKTSWPELVGFEMLNAADRINIDRPDVFPAFYMLPTPLPTDYNPTRVILVGDDRSVVVRTPVIG >KQL31269 pep chromosome:Setaria_italica_v2.0:I:36611406:36611902:-1 gene:SETIT_020452mg transcript:KQL31269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYTRMLSLDNFNLYPCIFIPSPYHDTNRGPEMNEAYHQACAL >KQL29629 pep chromosome:Setaria_italica_v2.0:I:22189794:22190266:-1 gene:SETIT_020383mg transcript:KQL29629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTHLHFLVPKRFRKSLKGTRVDINLSSKWSLKYLKPQRNFLEERIYSRTHEVLLLRSSLGCSCLCSHTMLTTKGYRKLFNTTAR >KQL29830 pep chromosome:Setaria_italica_v2.0:I:25276229:25277561:-1 gene:SETIT_019612mg transcript:KQL29830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMLVTLFFAFAVVAAMTVQPSEARIGGDQLLHPSTFHNTPPQSPSSSSGTVPPHLLSPPPPSPPAQPMECLTSLIGMMPCMNYLTNLTVLAPPAECCDGLKSIIHDAPICLCHGMTGDMNDLMPLPIDPVRMIILPLTCGAMLPLQTLFSCNTCSGGLLCVRSPCGWDMDTLTRRSRGGSGAVGWSCRGGQVGGPGRGQGSEGSRGHG >KQL29884 pep chromosome:Setaria_italica_v2.0:I:26021698:26022494:1 gene:SETIT_020123mg transcript:KQL29884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVPFHGGRACVNCAYQGAEKRPPTPTAGAEASGPCKKSAPPASTHWPWCRQPAKALTIQHSQAHTLTRSLPDCGGGELVAARRPAAEESARERLKRHRTEMAGRVRIPEMWGQERLLKDWVDCAVFDRPLAATAGLLTARDALVAECAAARRPAVSHGPTGRPLRVQNGCS >KQL28697 pep chromosome:Setaria_italica_v2.0:I:7552423:7554805:1 gene:SETIT_016468mg transcript:KQL28697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLQFTCKKHCNRLPIPLLGFAVLLLISLATPTSSCTEQEKNSLLQFLAGLLQDAGLAKLWQEGKDCCEWEGIVCNGNRTVIEVSLEYRGLEGSITPSLGKLTGLQRLNLSYNSLYGGLPLELVSSSSIMVLDVSFNQLNGDLRQLPSSASGQPLQVLNISSNLFTGQFTSATLKGMENLIALNASNNSFTGQIPTHFCNISPSFSVLELCYNKLSGSIPPGLGNCSKLRVLKAGHNYLSGTLPEEIFKATLLEHLSFSSNGLQGMLYNTHIFKLSNLSILDLGENKFSGMIPDSIGQLTRLQELHLDYNSMSGELPSTLSNCTNLITLDLKSNNFSGELNKVGFSNFPNLKTLDLRLNNFSGTIPESIYSCRNLIALRLSSNKFHGQLSKGLGNLKSLSFLSLANNSFSNITNALQILRSSKNLTTLLLGMNFMNEIMPDNARIDGFENLRVLAIEYCLLSGEIPFWIAELANLEMLFLEGNRLSGPIPAWINTLEYLFYLDISNNNLTGEIPTTLMAMTMLTSEKTAAHLDPRVFHLPVYRGPSLQYRIPIAFPKALYLSGNKFTGVIPPEIGHLKALTKLDISFNNLTGPIPPSICNLTNLQLLDLSNNNLTGEIPAVLENLYFLAVFNVSNNNLQGPIPTGGQFNTFPNSSFAGNPRMCGPGLNHHCTSVEVGLAPTGSRGLCGGDIVFAVSFSVFIGVGVLYDQMVLSRYFG >KQL31631 pep chromosome:Setaria_italica_v2.0:I:38957014:38957584:-1 gene:SETIT_018826mg transcript:KQL31631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMRYYRGLPQGETTVEEFRAWLSQFDADGDGRISREELEEALRSVNLWFAWWKAREAMRAVDANRNGAVDADEMGRLYAFAYKHLHIKMSQLEE >KQL30108 pep chromosome:Setaria_italica_v2.0:I:28073335:28074618:1 gene:SETIT_019984mg transcript:KQL30108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVAPFLLPGLARAAPRADVRPTMATTYAEGPPAAWGGYGYYDDGADIGALLRGIDAVVRPPKPADLPMPSKDFLALSRRHGNHDAGFNAMLRGIQSVRVPAAGLMASLPMDAHHDDAPTTPVAVLQAPRSYGDDTVTNMKTPPPNKKQPRQQCGGEYDADIDATFRVMETDPAERPSEDYLSDTQAGGMMMTDRAELIEKMHRFSRYYDLASGALHRAVSYVDRFLSIKKITGGDQKHQLLLLGAVAVFAAAKYEDRNTVQRIDADAVAAYAGCSRREVLAAERELVAALGYRLSGPTAYTFVDHLMRHSGQDSQEEEVVITRALAHHLADMALLDYRCVASLPSAVAASAIVLARLVLGYYSLEAPCLVAGYALEDLRECMEAIYGMHENLQVWPGCAQMMEDWELTTQLRYYLPPSTMLTAMH >KQL28020 pep chromosome:Setaria_italica_v2.0:I:2638434:2639881:1 gene:SETIT_018924mg transcript:KQL28020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVMHMHVLHGSQLWCLTFILLETRSFFPVIAAARLMPPSTCKNNHHHHQTYRPHTSNSTSIQQPAAIGPFLYR >KQL29969 pep chromosome:Setaria_italica_v2.0:I:27128075:27129952:-1 gene:SETIT_020007mg transcript:KQL29969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCREDARHMFDGMRLQKRSKDDEASTACGGTDRISGLPEGVLHHVLSLLPAHDAVRTCVLARRWRHLWRSAPGIRITGVKGWRDADKFVAFVDRLLSLRGSCAPVESCKMKFDAGDFDFDYFLLAKKQHVSHWIMDAVRLNVQVFRLSFAELESFCLPHLPLVSQHLVRLELACVDANDSILDFSGCPALVVLRMKYCFVNAGKLLSASLKKLIMINCEFRRGNRTRISLPSLVSLELIRCYGRTPLLECIPSLERAIVSLAGYSEDYCIDGGTGDCGDDSSEGCRYYYGSDGNRNNCVFLKGLSEATHVELSACPGVFVFNRDLKWCPIFTKLKTLLLDEWCVADCNALICFLQHSPALEKLTLQLYMASQYPVKTDGRYNPLELPFASHHLKIVEIKCEEVDGRVHKILSSLNTYGIPLNHIEIQQTNRSSGSGCKPNT >KQL30040 pep chromosome:Setaria_italica_v2.0:I:27684327:27686420:1 gene:SETIT_018167mg transcript:KQL30040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKGRVEDSVGYFSVGHCGFVMSCTSPDPPDYCSAESPELKLYQAFIFSVPVFFTFVLLLFFYLFYLRRRRANWQSLRMRTDNLIRGDNPRLECGLKEEMREMLPVVIFKESFLIRETQCSVCLADYQPDERLQRIPPCGHTFHINCIDHWLSKNTTCPLCRVSLLPAPKATSTDLDLEAQTAVEESLNVHHQEGLADGNTPQEDQASEEGGAGGSQAEEPRSDMIESLTVRVVVEPQADAEGSPSTTCR >KQL30261 pep chromosome:Setaria_italica_v2.0:I:29507728:29512223:-1 gene:SETIT_017162mg transcript:KQL30261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQTGKSGGSGGGTPAKRGRPFGSTTGSGAAAAAAAAAVGDPGAPAALVGPSLQVLSALSDQNNKRIVLALQSGLKSEILWALNALTVLSFKEKDDFRRDTTPLAKVPGLLDALLQVIDEWRDIAMPKDHLKPPRVRTLGANTTLSGFGQENMEKVYSDTGTTSNDQSKTEESSVTKKRSASFWFDEDGLFNNDDEGRAERQQCAIAVSNIIRNFSFMPENETIMVQHRHCLETVFQCLEDQNREDDELVTNMLETLVNLAPVLDLRIFSSSKPSFIKMTEKGAVHAIMGMLSSSVKPWHCAAAELIGRLIINPDNESFLLPVIPQIYKRLVDLLSMPAYDAQAAAVSALYNVAEVNMDCRLKLASERWAVDRLLKIVKTPHPVPEVCRKTSMILESLVSEPQNRMHLLVHENTFAEILTTEGKYSDTFARILYELTARPSNKGTSGQAIWGNIN >KQL31220 pep chromosome:Setaria_italica_v2.0:I:36321657:36322715:1 gene:SETIT_020075mg transcript:KQL31220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGRQSILCLSYDKESGWTLQMCRAPRTTPRALDVQAKTLSPPPPLPVAAPTRAQNPQTPQARGRKHPSHSAARSACVTPPPAHPIQASVTRGPRAPMAKGGGAREGGALKTAVIVAGGIALAWVTVETAFKPFLDRLRGAVSRNTDPARDPDQEEAPAAAAEAKEEEKAPAPAEPSAPPAPAAVEEKVEEKAAELEEKVEEAAAAAAKAE >KQL28481 pep chromosome:Setaria_italica_v2.0:I:6160368:6161273:-1 gene:SETIT_020118mg transcript:KQL28481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLPLLLATLAVLLPAPRSLGAGFGAGAGAIDITKILAGFPEFSTFSAMLTETSVALAIRGRDKVTLLAPNNTAVAAAFGGMPRVPRSLLADLLALHVVLDYVDEPRLGALRRGRGGDGTVVTTLLQALRAPPRGVGFLRVCSGDGGRGTIITSAAPVGLRNAIVERQVAAQPYSVAVLQVSGFVIPPGIRVPRAFPPRASRHMVAPPGQAPSPAPAPGPQAPPPVGSGPLVPSPIKPVPTPNLVDNPSPVPVETGVIPIPSGHGGMAAKLPPSVAAGHNAASWGSGVVVALGIATWLHLQM >KQL28214 pep chromosome:Setaria_italica_v2.0:I:4336131:4338144:-1 gene:SETIT_017249mg transcript:KQL28214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMAVTTMVTKSKESWTLQLPEIALPWKPRGGGKAELEFPRRAMFASVGLSACPGVAPGRDPRERGAKAGPADNCDIARQLGAAVPGQQAAGEAAAAEEEDVRKKKKGGKKQLALGGGLRKVRVKIANPHLRRLVSGAIAGAVSRTFVAPLETIRTHLMVGSSGADSMAGVFQWIMQNEGWTGLFRGNAVNVLRVAPSKAIEHFTYDTAKKFLTPKADEPPKVPIPTPLVAGAMAGVASTLCTYPMELIKTRVTVEKDAYDNVAHAFVKILRDEGPSELYRGLAPSLIGVVPYAACNFYAYETLKRLYRRATGRRPGADVGAAATLLIGSAAGAIASTATFPLEVARKQMQVGAVGGRQVYRNVLHAMYCILKKEGAAGLYRGLGPSCIKLMPAAGISFMCYEACKKILVDKEDEEEDGEAGDGDEDKKAA >KQL31402 pep chromosome:Setaria_italica_v2.0:I:37299424:37300561:-1 gene:SETIT_018124mg transcript:KQL31402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGAAAEASAAVGPEARVALAEVYLAGRGVAAPGLELPGRGRDAVGARLGVEVPHALRHGLHGARSPGAVPSALRRRRGHERAERRVPHGHPAGVPRRAAALLEQHGRVLLPQERVVPVADETGAQLHGAAGGPGAEGGEPGDGGADAAGADGGREVGAALVEADEGGVRWGGEVGVGGVGRAVGDRGEHGVHTRGVLGGGGVAPLRAPGVHERRVVVGAAVRARQEQQREDDGEERCCGDARGHALLCFPARVALSGQA >KQL28179 pep chromosome:Setaria_italica_v2.0:I:4043860:4047857:-1 gene:SETIT_018764mg transcript:KQL28179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAIQMREGLENEVNQMKDKIAAKEQHIADLQKKAQKLEDELVAARKVSSERQLAVTDLYKHFLQLQDYNDRVKTAEQKLQSLVGAAMVELDMAEDATTRDGSMYENGVV >KQL31226 pep chromosome:Setaria_italica_v2.0:I:36357529:36359128:1 gene:SETIT_018669mg transcript:KQL31226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGRGKKLTIVRSHEDKGSSGEEVVPARKRRGRPQKRFTDKIDQTDVENLVEKVDGDGEEVDDVKLKNSTSSVGNKRGRPLKEGSNLVLEENNSSVRSSSDESTRTNGFRQIGSRRKNKPRRAAEAGLECK >KQL27857 pep chromosome:Setaria_italica_v2.0:I:1243744:1249128:1 gene:SETIT_020398mg transcript:KQL27857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAGNGLTGTAQTKGSGGDGSAKPLPPCCVKARAAAPESEAKCHATVVSGWFTEPRSRCGKASKVQYYNNPMWPGEAHSLKVEKILYQGKSPYQEVLVFESTTYGKVLVLDGIVQLTDKDECAYQEMITHLPLCSIPSPKKVLVIGGGDGGVLREISRHSSVESIDICEIDQLVIDVCKDFFPHLSVGFEDPRVQLHVGDAVEFLRNAPEGTYDAIIVDSSDPIGPAQELVEKPFFDTIARALRPGGVLCNQAESMWLHTHLIQDMLSICHETFKGSVHYAWTSVPTYPSGVIGFLLCAKEGPPVNFLTPINPIEKLEGATEAGREIRFYNSEMHRAAFVLPTFVKKELEAYCASTEREQPEETAATAPKISVASKSEILTAS >KQL31120 pep chromosome:Setaria_italica_v2.0:I:35746986:35748766:1 gene:SETIT_018336mg transcript:KQL31120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGSRGLSGRAAVCGIVALLSATAFSCSLAAEFRKVKEKDVKLDGSLCSLPRSSAFELGVAAIAFLFVAQLVGTTAAVTTAYAAKPKKSAAGRGRATFVALLVLSWLSFAVAVVLLATAASMNHGQRYGRGWMDGDCYVARNGVFGGAAALVAVTALITLGLTFATEPTAAAAAMAATPTPCAAASDTCASTTHLEAAASDAEQPGGGRSKQ >KQL29488 pep chromosome:Setaria_italica_v2.0:I:17624820:17625751:1 gene:SETIT_018270mg transcript:KQL29488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSDQHPRHGPAQTCLSHMQLCPPHLQQVCHHLTPSWCRHQHCGELSALLPCRAASLLHRRRCARRRSSRSSNDWQEPPVRVACDHFKAASSKLADHLVAGGAPAPAPRFCVHGCVGQQLVRTAGASGMQRLVERRATRDSSGWGRPSAGDASSDRERQCRIGSRPLRLPFRFGYGCRHGAWIIVKLELDWASVKLGFHVQHVHGDGTFNTGGTHNKSRIRPTCQ >KQL29914 pep chromosome:Setaria_italica_v2.0:I:26365873:26366649:-1 gene:SETIT_019470mg transcript:KQL29914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKVSLQWIANDATRRATFKKRRKGLMKKASELATLCGVRACVVVYGAGESQPEVWPEAPGAAEDVVARFRAVPELDQCKKMLDMEGYLKQQVDKLREQLHKAQRENRERETALLLHDAIAGRLPGLAGLSVEEVGSLGWMVENRIQAVRAAIAQLQGEGQDLPAAALQLPQPSLPVAPYYGIGAGAGHGEMMMQGWMMAGGEIGALAYGGFVGASTGTSTSAGADMPPQFGSMGAGFAWPDTAGQSFPSM >KQL31415 pep chromosome:Setaria_italica_v2.0:I:37366816:37371821:1 gene:SETIT_016153mg transcript:KQL31415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTIQVSGFALTDSAEYVKDFLERIAGAGTVYALKLRHPRNITATSKAFAIVQFQTQECASLVENAAQRNALRSRCIYLKARPADRDIVPRPRIAMFSLDAAALHLGCLVKENILYTLFSVRNISVQFGFDMKKIYFYLSYNLIKYKLELSYESIWEMQLHRPPAYLSQTKFLLFQVQAAPKIYELLPRRSGLMYEDPFFNWFRDDTDEQWTRTIDFTPSASIGQSSILCLEVPQQCELPNIADYFVYYKEHNLDFECQKGYSYSCGNSFVPIVKSPDYIEVPYEILFKINHLVQNGTLSGPTLDDNFFCLVSPKHVPIDHIKRALLKMSYLKSTCLNPTNWLALQYSKIRKSRYALQRSSGISLDDGLVYVHRVQVTPAKVYFYGPEINVSNRVVRHFSADLDNFLRISFVDEDCEKLRSVDLSPRSASGNDARRTALYNRVLSVLSNGISIGGKHFEFLAFSSSQLRDNSAWMFASRPGLTASDIRKWMGDFRNIRNVAKYAARLGQSFSSSTETLKVPRYEVEEIPDITDITDGTEYIFSDGIGKISANFALEVAMKCKLKRFAPSVFQIRYGGYKGVVAIDPASNRKLSLRKSMSKFQSENITLDVLAYSKYQPCFLNRQLITLLSTLGVSGTVFELKQEEAVRQLNRMVTEPQAASEAIELMPMGEVTNVVKELLSCGYQPDHEPYLSMLLQTFRASKLLELKTKSRIFIPQGRAMMGCLDETRTLKYGQVFIQASYCADDHRKFVVTGKVVVAKNPCLHPGDIRVLHAVDVPYLHHMFDCVVFPQQGPRPHPNECSGSDLDGDIYFVSWDQTLIPSRMVEPMDYTPAPADILDHDVTIEEIQEYFTNYIVNESLGIIANAHVVFADKERLKAESLPCIKLAKLFSVAVDFPKTGVPALIPPELHVKEYPDFMEKLDKVTYKSSGVIGRLYREIKKHTPHIKHFTRDVARQSYDTDLIVDGYEDYISEAVEFKEEYDFKLGNLMDHYGIKSEAEIISGCILKMAKNFTKSSDADAIRMAVRSLRKEARSWFNEMSTGEDGIGQDAIEAKASAWYHVTYHPQYWGSYNEGYDRPHLISFPWCVYDRLLCIKQRRNFLRKMEPDLTSLLNNMNQNLRFH >KQL29503 pep chromosome:Setaria_italica_v2.0:I:18394971:18395416:1 gene:SETIT_018834mg transcript:KQL29503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKMAQSASLKQILRRCSSLGRRQQDVVPRGHFPVYVGESRCRFVVPIACLEHPQFLVLLRMAEEEFGFDHDAAITLPCDEAVFEALLASLVH >KQL30847 pep chromosome:Setaria_italica_v2.0:I:33772926:33775589:-1 gene:SETIT_018118mg transcript:KQL30847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTAAAAAADTATPPPPPSAEPAAAPAPDQKVSPPPLPPQPDAPAPSPATAPAAPPGPSPAATPTPAPATAPAPAPAPAPKKRKLEEVGFHTSDYYKIRAVIADLRVRFVQVYQATDFRNTDAAREILKEIKGVMELSKKMRLKLGATSEPVKPTEKPAAGLVKDEPVKQSEKLSAGPVTEEPVKPAEKPSAGPVKDEPVKPLEKPSAEPVKDVPMKPTEPAPAPAGENNQVPGVGQTTISPNNAGVDSAVKNDNSDVQQ >KQL30250 pep chromosome:Setaria_italica_v2.0:I:29395575:29397532:1 gene:SETIT_017089mg transcript:KQL30250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADPMAPHALLLPYPAQGHVIPLMELAHRLLDRGFAVTFVNTEFNHRRVVGAAAAGASSSAGGRRLRLVGVADGMDDGEDRDNLLRLNATMKEAMLPQLEALLDGEGGAAGKGLGRVTCVVVDAGMSWALDAAKRRGVPTAALWPASAAVLAVILGAKNLIHDGVIDDDGAPVKLENNSFRLAESMAPMDATFLSWNYMGNRDKKRMVFHYLTTSAWAADAKADVLLCNTFADLEPDIFTQHSPASILPIGPLRTWQRSTTTSEALAGHFWRADDEDCLSFLDAQLRGSVVYVAFGSLAVMSPAQLQELAVALDASGRPFLWVFRPGLAGKLPTAFTDLVARHAGRGKFVRWAPQEIVLAHSAVGCFVTHCGWNSTLEGIRNGVPLLCWPYFTDQFANQTYICDIWRVGIRVATVGREGIVTKEEITERLESLLGDGGVKERVNKLKELAESSMSGEGQSLKNLNAFMESIRK >KQL29086 pep chromosome:Setaria_italica_v2.0:I:10241374:10243689:-1 gene:SETIT_016999mg transcript:KQL29086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTKFRPSSSHDTTVTTTNAGAPVWNDNEALTVGPRGPILLEDYHLIEKVAHFARERIPERVVHARGASAKGFFECTHDVTSLTCADFLRSPGTRTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLLGNNFPVFFIRDGIKFPDVIHAFKPNPRSHVQEYWRVFDFLSHHPESLHTFFFLFDDVGVPTDYRHMEGFGVNTYTFVNAAGKAHYVKFHWKPTCGVRCILTDEEAALVGGRNHSHATQDLYDSIATGTFPEWKLFVQVMDPDTEEQYDFDPLDDTKTWPEDLLPLQPVGRLVLDRNVDNFFNENEQLAFGPGLVVPGIYYSDDKMLQCRVFAYADTQRYRLGPNYLMLPVNAPRCAHHNNHYDGAMNFMHRDEEVDYYPSRHAPLRQAPPVPMPARPVVGRREKATIRKPNDFKQPGERYRSWDADRQERFVRRFADSLGHPKVSQELRSIWIDLLSKVGNNLLAIIFFSDLIDRSYHTDDY >KQL29886 pep chromosome:Setaria_italica_v2.0:I:26058365:26063258:-1 gene:SETIT_016911mg transcript:KQL29886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATASISLTLHLRLRPPLPPPRRAPRHAVFLLPTPSPLPRRLRVAPGRPPPPTWRPDVRTRAGSSIGVPPALARPGGAVETDRLPSDVRDRAMEAVDHFGGRVTIGDVASRAGLQLAQAERALQALAADTEGFLEVSNDGEVLYVFPKDYRTKLAGKSFRMKIEPLANKAKEVGAYLVRVSFGTALIASIVLVYTTIIAILSSSSDEDSRGRRRRSYGSTIIIPTDMFWYLDADYYRRRRVEKENGMNFIESIFSFVFGDGDPNDGLEEKRWKMIGQYISSNGGVVTAEELAPFLDVPPPSEESKDDESFILPVLLRFQGHPEVDEQGNILYRFPSLQRTASSKSGGSREYVGTKWSAMFGGVEKYLEEKLWNFSKANASEKAMVAGLGGLNLFGVIILGNLLKQMTVTPGGLISFAAQLYPLLQIYAGSFFAIPLFRWFLLRKTNNDIRKRNKAREQRAQELLSPDSSLRRKLISARDMAQRKVITPEEIVYTTEKDLLEQDYEVKEWERRFKELESE >KQL31388 pep chromosome:Setaria_italica_v2.0:I:37231476:37234411:1 gene:SETIT_017212mg transcript:KQL31388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSTPSSALLPPPTCSSRLPPLRSFVGLRWSASRFQVRERAGAAVGVANGRNGCSLRLGVPALTVCQPHQRATVIRNEHAQNADLPRKYSKREKKPFPIPVLELRRRAKERMKAAQGKPKRPLPPPKNGMLIRRLIPVAYKVYNTRILLINNLRKLMKVVPVKGCKYCSEIHVGSVGHPFRTCRGMLSNQRRGEHEWGSTLAEAVFLPVEAYHLEDRLGNRIPHDQRFAVPRIPALVELCIQAGVDLPEYPTKRRRKPIIKIGRNEFVDADEDDLPEPEPDRFKQTLLEELQSDEIVSPSSPEETVALAEETLEAWETVRDGALKLMKGYAVRVCGYCPEVHIGPTGHKARNCGAFKHQQRNGQHGWQAAVLDDLIPPRYVWHMPESGEELQRELKTFYGQAPAVVEICIQGGAKVPEKYKATMRLDIGIPSSLREAEMVI >KQL31150 pep chromosome:Setaria_italica_v2.0:I:35919893:35923971:-1 gene:SETIT_016950mg transcript:KQL31150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAFTYVILGGGVAAGYAALEFVRRRGDAALGELCIISEEAVAPYERPALSKGYLLPEGGARLPAFHTCVGANDQLLTAEWYREHGIELILGTKVISADVRRKTLVTSIGKTINYKTLIVATGARASKLEEFGVSGSDAENVCYLRNIVDADKLVRVMRSSPGGNAIVIGGGYIGMECAAALVANKIKVTIVFPGKYCMDRLFTQKIAEFYENYYTSKGVTFIKGTAVSSLEVSSGMVTTAILRDGRPLPADMVVVGIGARANTALFEGQLTLEKGGIKVNGQMQTSDASVYAVGDVAAFPVKLFGGDARRLEHVDCARRTARHAVAAVLDPTGAAGDIDYLPFFYSRVFALSWRFYGDNAGEAVHFGDLGFSSASSTPKFGAFWVSSGRIAGAFLEGGSPEEYEAIAHAVRRGAAVPDVAQLVREGLAFVVREGQSGAARPRPSGPSGRGFDGGDKPGYAWHATVGVAAAVSIAALAFWYARKAPCVVPATKLKVSTTKCPSLYQT >KQL28013 pep chromosome:Setaria_italica_v2.0:I:2573975:2578006:1 gene:SETIT_016146mg transcript:KQL28013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQIRLGEILPSGEASRGLYSAVRIIIFVRVFHTRNHAGGLGGAHGRSTGRQSAFLIIYWWLMREDLTNGSLILVPIIARLRVHWPHLIRVYMLRNHTISEPQAHTLTLTLTEMVHSYLLLLVFLSFISSSPSMAQINSSDYETLLTVKKAWGSPSALSSWTSQNSSYCSWAGVSCNNGRVTKLSFPNFNITNPIPASICSLKNLSYLDLSYNNLTDHFPIVIYGCSALSYLDLSNNLFSGALPADIDKLSSEMEHLNLSSNGFTGSVPSAIAVFPKLKSLVLDTNSFNGTYPASAIAKLNELETLTLADNPFAPGLIPDEFSKLTNLKMLWLSGMNLTGGIPDKLSSLTELTTLALYSNKLHGEIPAWVWKLPKLERLYLYANSFTGGIGPEVTFFNLQELDLSANLFTGTIPEAIGKMKNLTTLNLYYNKLTGSIPPSIGLLPNLLDIRLFNNKLSGLLPPELGKHSPLGNLEVSNNLLSGKLPDTLCYNKNLYDLVVFNNNFSGVLPANIGDCQTLDNIMAYSNNFTGEFPEKVWWAFPKLTTVMIQNNGFTGTLPSVISPNITRIEMGNNLFSGAVPSSAPGLNVFKAENNQFFGALPANMSGFANLTDLNLAGNRISGSIPPSIQSLKSLNYLNLSSNQISGDIPAAIGSLAVLNMLDLSNNKLSGDIPQEFNNLRLTFLNLSSNQLTGEIPQSLQSTAFDKAFLGNRGLCATASLNMDIPACPYHDRNQMTTGLIILFSVVAGVLLIGAVGCFVIRRKTRERDLMTWKVTPFRKVDFTESDILTKLGEENVIGSGGSGKVYRVPLRGGAVVAVKKLWSRGKTEEKAGKEFDSEVRILGDIRHTNIVSLLCYISSDDTKLLVYEYMENGSLDRWLRPAGGGGGVAMAPAPLDWPTRLGIAIDAARGLSYMHHESAQPIMHRDVKSSNILLDPGFRAKIADFGLARILVKSGEPESVSIAGGTFGYMAPECGRGAKVNEKVDVYSFGVVLLELVTGLAANDGAAEWCLVEWAWRRYKAGGPLHDAVDGGIRDRAVHVRDAVAVFLLGVMCTGEDAASRPTMKQVLQQLIQYDRTASVAGACRDARDDDDDNAARAQLGKGKKGDRGVKGALDSGGEFWDGDVEMSSGFVAHPV >KQL30222 pep chromosome:Setaria_italica_v2.0:I:29045861:29048439:-1 gene:SETIT_016319mg transcript:KQL30222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPPPSPAALIAHLAAVLSSPDWRFHPSLPHLPALLAPSLPRTLLVPLSLRLAAAVARAAAPSRHLLDISLPVVLRLHALSPPPHRPLFDRPFRSLLAHFSRFALTPLMLRLFAHMHRYAPPAPTGATYNALIRALCRRGDLRRAQRYLSLMVRSGWRPDAFTFNSMIVGYCRTQQVEVAQDLFDKMPLRGFPQDVVSYAALVEGLCETGRIDEALELFREMERPDMHTYVALVKGLCDAGRGEEGLCMLQKMKELGWRPSTRAYAALVDLWCRERMVDEAEKMMEEMFDNGLAPSVVTCTAVVNAYCREGRMSRAVRVFESMKLKGCEPNVWTYNALVQGFCNEGKVYKAMALLDKMKACGVEPDVVTYNLLIRGQCIDGHIESAFRLLRLMEGDGLAADQYTCNALIDALCKDGKIDEACSSFDGLEDRGIRPNSVTFNTLIDGLCKAGKVDAAQTFLEKMISAGCSPDSYTYSPFIENLCKTKGSREGLSFIDEMLQKDVKPSTVNYTIVIDKLFKERNYGLATRMWGQMVSLGCSPDVVTYTTSVRAYCNEGRLDEAENVVMEMNRSGVNIDAMAYNTLMDGYASVGQTDHAVTILKHMTSVASMPNHFTFLILLRHLLKRRLAEHVPLKATRVWTTIELADIFELFELMKKNGVPSSARAYLIILEGFSEDRRLDEVTSLVSRMKEDSVPLNEDICTALVNCFCKLRMYPDAWALLCSMIGRGFLPNLLSYQHLLSGLTAEGQEDRAKEIFRDSRWKDYNPDEIVWKVIIDGFIRKGRSDMCHDMISTLEQMNCKLSDQTYAMLTEELSTRE >KQL29222 pep chromosome:Setaria_italica_v2.0:I:11707153:11708043:-1 gene:SETIT_019271mg transcript:KQL29222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKAAQILGHPPGVNFRPDDDELVEFFLLPRLRGEPSWFPGVVVINDDSAANTLPWNLLKRHGLVDDGNAYFFVHTNNEVARQDRYCPGDGTWVSQRQESGSSCICGETIKWRRTNLNLQMGRGKKGSMGWVMHEYTLTEPPCPFLKICHVTFTGHGKWRKRVPDDESDCQATGEPASKRPRVAVTAAAVDTSVPAIFQQEHSLPFPIDQGISAMTHQQQMVMMPMPDDKNDCQAANSSSATCANGSTMTTADQDSGAAHAYAGEESAQDTVEETLEWFRLDGKDLLADAEPTAEQ >KQL30853 pep chromosome:Setaria_italica_v2.0:I:33795781:33800053:1 gene:SETIT_016587mg transcript:KQL30853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAADKHHSKKMNSLKSKTALRLMEAAASSCKIWFICKGHLICTRPTSTSRSSIDPRGEFGRRSQNSWSDPLRNHDAVTISGSPMLHQMQEPDDEKFPSPSHELENPGINANIYDRFTEALSEAELSKKEAYEESTRRRRAERNMISALQKAEEIENLYQHEIRERKTIEETLVRQAQEIEEMKMQHHAISNELHDVKEQKLALEQQITEMASAIKDHEEKMVANKNLLHVLQTDNEKLQQERDAAVSEAESLRPKNDQKMSMLLPVETLNTEFSYFELQQATQGFDEGLKIGEGGFGSVYKGFLRNTTVAIKLLNPQSMQGQSEFNQEVAVLSRVRHPNLVTLIGACREAFCLVYEFLPNGSLEDRLACTNNTPPLTWQVRTKIICDMCSALIFLHSNQPHPVVHGDLKPGNILLDASFVSKLGDFGICRLLSQSNTARSNATRSITTKLHRTTTPKGTFAYMDPEFLSTGELTPRSDVYSFGIIILRLLTGRPPKRIAEVVEDAMERGELHAILDPTAGSWPFVQANQLAHIGLRCAEMSRRRRPDLAGDVWKVVEPLMKAASLTAGRLSLAPSLDNTHAPSYFVCPIFQEVMTDPHVAADGFTYEAEAIMGWLDSGHDTSPMTNLKLEHCELTPNRALRSAILEWQQQQQLQHRT >KQL29423 pep chromosome:Setaria_italica_v2.0:I:15659444:15660029:1 gene:SETIT_019024mg transcript:KQL29423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHESSGHYRQNCVKVLLAGGRNRVYMVEFICCKHVDKEILLSSFNLEILLAVI >KQL28062 pep chromosome:Setaria_italica_v2.0:I:3008813:3009324:1 gene:SETIT_018776mg transcript:KQL28062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQKRQDFEHGTRSRINLFSESSNCFFFLLEDVRSKFGFFCWHCGSPRHRSWELGGTMDTRNPETRTKNLLNPGITNDPQKETKKERNKHERTRKQRKALHTIEATK >KQL28823 pep chromosome:Setaria_italica_v2.0:I:8322968:8323748:1 gene:SETIT_018591mg transcript:KQL28823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHRKGEELYSVMETAMVSEVQSLCRPLNDTAPEEDDALFLQELLAKWNRHTRAVKMTRDILLYMDRTYVPTNRKTSIHELGLRLWLDNMVHSNKVRPRLIEAVKRQRRGEDELVDGVNKMLTEVGAEVVDFPCLLFRDGAGKLHVACSWT >KQL31647 pep chromosome:Setaria_italica_v2.0:I:39023490:39025898:1 gene:SETIT_019967mg transcript:KQL31647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPCILIMPPHHVQSLITRVVVRAWQPAHLDDASSPFPVTAPKPKAARSSTRRRNPLSDLNSRDASAAQQKMLLPPRPPEKQKRELARLQDLISRPGARRPDRLPFPDQELRTCMERRTGQEARRRGMEPIDQFEARTLEKKAVSGATLSSGATPPVYASISPEVAAACGSAMSACFVAGHHIRHGHHVLPGVSDRRKCRSRGILAITGEGLTSEDLNTEPYRASIHWLSSPSGAEAGTCSTKCSNEEASVNWLVMRSSCRGAPRTQRTHFGGFSPDCMGLLGSPLLGGLLDFGTPASDMSGTTLSSGFLPVKKTPSSGDSISPFSLIVKRASESSARLRSFVSSDTRSGLARTGSRPMKMMDPVLECLEMMSLSPRPGDDDFDGNSALPAPLPELSFQFVGALMPLESIDFSSFKRSPRDIELKGKETSFWKSVMAETRISWREGLGSRMFDIDDLDCCKWISDDEDSPVLLHNNDALPDACGFGSIEFSCIGDELDNDSSMPSTNLVLVVESMRAEGF >KQL29505 pep chromosome:Setaria_italica_v2.0:I:18660949:18661014:1 gene:SETIT_019800mg transcript:KQL29505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPPESAYGWNPGAAGGRPAPF >KQL30844 pep chromosome:Setaria_italica_v2.0:I:33748922:33751468:1 gene:SETIT_018223mg transcript:KQL30844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSASSVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELSQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGDNTAPIYKFLKSSKGSLFGENIKWNFSKFLVDKEGRVVERYAPTTSPLSIEKDIKKLLGGS >KQL31740 pep chromosome:Setaria_italica_v2.0:I:39488319:39489423:-1 gene:SETIT_018078mg transcript:KQL31740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQEVRSVDSFSQLPFIRPAPPAPAPRDTIRLFGCEFSNDHQAQAKQEAAAAADSPDAANGSTVTSSESNAKQSVGASAAAERKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQASLAMHRYVPGHMYGLFNYHHHLGRFDQPAPLPPPPPAHYPMWTSASPPGPYGGGPGSMSQPINGSPVPGLWRMPPTPMENFGMTGRHGADTAAILVGPGGEAACKDEKAVMSLLSSSPSLSSCSSTSPEKLGRYELGQKESVSLDLHL >KQL31784 pep chromosome:Setaria_italica_v2.0:I:39743914:39746890:1 gene:SETIT_016984mg transcript:KQL31784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETAGGRLHQRRGAAAFIAANKTLLTAAWVVGFTLVFLWQSASISLGSGGAGGGGSGGVFLRLLSAPLPPSRPAPRLRPTAYNLTDFGGVGDGRALNTEAFERAVEAIAALAERGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGAEILGIPYEKYWPLMPALPSYGYGRERKGPCFGSLIHGQNLKDVVITGHNGSINGQGEVWWMKHRRRMLNNTRPPLVQLMWSKDIIVANITLRNSPFWHFHPYDCTNVTVSNVTILSPISGAPNTDGIDPDSCQDVLIENCYISVGDDAIAVKSGWDQYGIAYGRPSSNILIRNVTARSLVSAGISIGSEMSGGVANVTVENVRVWESRRGVRIKTATGRGGYIRNITYRNITFDNVRAGIVIKVDYNEHADDGYDRTAFPDITSISFKGIHGRGVRVPVRAHGSDVIPIKDISFQDMSVGISYKKKHIFQCSYVKGRVIGSVFPKPCENLDVYNEQGQLVKRAISLNSTELDYDI >KQL30053 pep chromosome:Setaria_italica_v2.0:I:27736416:27740366:-1 gene:SETIT_016796mg transcript:KQL30053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVGQRFSGWSYSDLPYNDHNPQDYSVQQMVLNHGSVSFGRFAAESLSWEKRSVFDHNRRQEELRKLTAPGLVAQKKAFFEEYYKRARHLKAQGAMQQTEATMEERNDESTLGHSRQADAVMLENPVANAPSSSSEPSTEANSSDERKCQELGYLTFNPLFSQTAVLQNIEEEERPSSGQKQNLDQEFPCTAHASGNHEFSREAIERKVLAPRHVVSNDNGESNVSGSRIVLPVASLLSEGLEVGHEKRGVRKTVAVVNRSTKISKDLQTSVIHTRRVDLRRNSENRPSQDLKDPFHKRVEMKLRALSDRLDADKTAASSRSAMTSCRSSYQNADRLTTSSRSSMRQNTDRVLAPSKLTAQASHKYRKGVQRSDALCKGSSPSHVASTNSTATGKLAAKTLVMPSSSQVSARTSRTVQVTSKRSAGPTSINNGSQNKRKQLSTPTALDENNRKRGYMRASAPPSARSSSENIPPTAKAPKISNVRNVVAKTELVQKSRSAGHPVGARYTATKRSVSNEQNRKVPPSAAGRLAESGMRSNLKGGPSLTKKKPRQERPQWR >KQL30199 pep chromosome:Setaria_italica_v2.0:I:28835237:28835416:1 gene:SETIT_019337mg transcript:KQL30199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKAKELAILCDAEVGLMIFSSTGRLYEYSST >KQL27879 pep chromosome:Setaria_italica_v2.0:I:1390182:1390572:1 gene:SETIT_018878mg transcript:KQL27879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQCIQQSQSYILQSTQLNTINVQSTEPTRGLLATTLCTETRSVSNKPSLIRRYTPEPTSYCLQRVNPDTMLLKIQGVFLSQTR >KQL29484 pep chromosome:Setaria_italica_v2.0:I:17425067:17431571:1 gene:SETIT_018106mg transcript:KQL29484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAVAEAAVSAGLQLVPVSFSAMEVPDGKCEICDREICIHDPSESEKILPSIVKEYPDLIVVDYTVPDAVNANADLYCKLGLPFVMGTTGGDRQLLHKTVQDANIYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYKLEVMESHQATKLDVSGTAKAVVSCFQKLGISFDLDEVKLVRDPEEQVTVVGVPEEHLAGHAFHMYHLTSPDGTVSFEFQHNVCGRSIYAEGTVDAAMFLYTKIQSGATKKLYDMIDVLREGNMR >KQL30093 pep chromosome:Setaria_italica_v2.0:I:27975792:27975912:1 gene:SETIT_020566mg transcript:KQL30093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLYVMISGMYLCSNICSTTLIARLTKPF >KQL29235 pep chromosome:Setaria_italica_v2.0:I:11876262:11879653:1 gene:SETIT_020096mg transcript:KQL29235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIISAVMIELANRFISFVINKWSKLMALNKEDMVHNLRRLLLRAGAIVDEAEGRCITNLAMLHQLNMMRKELYRGYYMLDTSESRKELMRVLGSLETTLQDAAEFIMLSGGRYPQITRQPYNMYLLLDNCMFGRQKEMEHILNFLLQSVSHTLVEHDCIDERVRRHFSRIVFLTGDDLRGEHMVALQDGGMIKYNNRAFSGKVLIIVELDGDLSDEGLWQMLYSAKISRIACGSKIIITSRPTRLLVSEPQSLRVLYFAEEAFWYFFKVRMFGSIDIMEHPKLRTTPGHILVHDIYQTASTQNGAYRLTASAQSDTAAPMVTLKDLIFGSARPQGNFHALAWRSHIPPHYNCVFKCELKIPQTEVSRKKRT >KQL30268 pep chromosome:Setaria_italica_v2.0:I:29548050:29550128:1 gene:SETIT_019358mg transcript:KQL30268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKPADKLKRTDCAKLFPPTPQPTCQVEVPALHPTYVSGSRSGVQEQIGGERPAQRHSSVKIPTPTPTTTTIIAEPGGAIGGEEIENVDAAIAEHKRKERRDNYANLLEEEKERRRAKASENYHRNKTEGKTSTTPQHLTGTTYTELGQCHGHHSFQVPRLLSPGYHHVCLVEVLYF >KQL31301 pep chromosome:Setaria_italica_v2.0:I:36754700:36756328:-1 gene:SETIT_017833mg transcript:KQL31301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATVALASPASDDRRFWDRLRNRVDTILEDRGVLPQPAAAATTRGVESERGKRLREDSLMLVRGLDSVAASLAQLSDTLTAAQKARECERRAELDEEEEEPKAKRLCGDSLEAAGLDGDSPVAGKEASAAAAAGSDVEETAGVKLRQGTGGGQASAEVAQSTNLKRARNLAVSMASRAAALARELKNIKSELHFMQERCGLLEEENKRLREGNDSGVSPEEDDLVRLQLEALLAEKSRLAQENANLTRENQSLMQLVEYHQLTSQDLEESYEDVMQGMRLDFSSPLGKISDDEKGEYDDGVPVTPAEVSSSPDE >KQL27901 pep chromosome:Setaria_italica_v2.0:I:1515795:1516160:1 gene:SETIT_019747mg transcript:KQL27901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHRSRSGGGGASGALPQFVVRGQGDGGIYVLAREPPVRGGARVVAVDDILAYEQQHGDKRPDGASGCAVCLGEVEDGEMVKRMPGCLHMFHQECIDLWLRDHSTCPVCRYNVFAPMPDQVV >KQL28781 pep chromosome:Setaria_italica_v2.0:I:8053024:8062128:1 gene:SETIT_019921mg transcript:KQL28781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGGPWLRSTNNFLGRAVWEFDPDGGTPEERAEVERLRREFTDHRFQRRSSADLLMRMQAARAAHRPPRRSLPLPHIKLGEDEQALDQFSSLQSSDGHWPGDFGGITTVVPTMIIALYVTRSLNAVISTEHRREMCRYIYNHQASLIGLEIKTSIWRPMRHYQYITPSKFNEDSGWGTVIRGSSNMFGTCINYITLRLLGEEPNDKNNALAKGRAWILSHGGAASLPQCLSQILGVYDWSGCNPFFPELWLMPRFLPFYYIFGMFFQSVAYLYGKRFVGPITPTILALREEIYNTPYDKIDWSEARCACAKEDLLTPRTRLQNVIWASLYKCVEPVMSSWPVNKLREKALKYLMEHVHYEDESSQYICLTAASKPLNMICCWVEDPNSDAFKRHLARIPDLLWLSEDGMKGKGVDGCQSWETAFAIQAICATDLVTRNHPGDQTYWHRHRSKGSWTFSTADNGWAVSDTTAEALETNGSFSTYEPQRTSSWVEILGPCENFPNSVVDYPMHWNILQALVLFKKLHPGYRTKEIENCIGNAARFIENRQNEDGSWLGTWGVCFTYGICFSIKGLIAAGRTYENNSSIKNACQFLLSKQLSTGGWGESHESMITQVYQNIQGDCANAVNTAWAMLALIYAGQFERDPTPLHRAAKELINMQLETGEFPQQEHVGCFNCAVVFNYPNVFPIWALGEYRRCIAKRDRPM >KQL29907 pep chromosome:Setaria_italica_v2.0:I:26228779:26230145:1 gene:SETIT_018097mg transcript:KQL29907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSSPAQGSAPSLRLRHLTSPERSWFSATTATTHGRPTYLCLPHGRHLLPSGHFPPPIHHHRPHEPNPSSRAPSSRGHCIASRFTSPLRTRRQEHSSEEAGKEASSEQSTPCSCRASQDSKLQRQSGMSRGGLDLKLHLSPPAAAAAASPASSSDEEWSSSSPSSCLSSEGEREPPQRGHHGLQWSDSPEATSMVLAACPRCLMYVMLSEADPRCPRCRSPVLLDFLHHAGAGARGNANGGREGGNYGCNSTPGGSGGGGRRNRRS >KQL31362 pep chromosome:Setaria_italica_v2.0:I:37091446:37094952:-1 gene:SETIT_016979mg transcript:KQL31362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPVAAETRRRSRRRLSPRRVAAMVLVLGALAVAAYYCFYSDVAVQFLGMEQEEAQKERNETRSFLLPLYPKARQGRALREFGDVKLAAKRVDGGGMKATNKMEVKKAAAAGANSTALLPIKGNVFPDGQYYTSIFVGNPPRPYFLDVDTGSDLTWIQCDAPCTNCANGPHPLYKPTKEKIVPPRDLLCQELQGEQNYCETCKQCDYEIEYADQSSSMGVLARDDMHLIATNGGREKLDFVFGCAYDQQGQLLSSPAKTDGILGLSSAAISLPSQLASHGIISNIFGHCITREQGGGGYMFLGDDYAPRWGMTWTSIRSGPDNLYHTEANSVKYGDQQLSVRGKAGNSVQVIFDSGSSYTYLPNEIYENLIAAIKYASPGFVQDSSDRTLPLCWKADFPVRYLEDVKQFFKPLNLQFGKKWLFMSKTFTISPEDYLIISDKGNVCLGLLNGTEINHGSTIIVGDVSLRGKLVVYDNQRRQIGWANSDCTKPQTQKGFPFFL >KQL29526 pep chromosome:Setaria_italica_v2.0:I:19789239:19789631:-1 gene:SETIT_020287mg transcript:KQL29526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPRRGSGRRKICVTTCGCNVVKLFRKCNLAELV >KQL28037 pep chromosome:Setaria_italica_v2.0:I:2850276:2853437:1 gene:SETIT_019908mg transcript:KQL28037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRLATTYSGRIAAATPSPSGPSLTVTVSPKPPPAAVDPRGFSLPRRHLICAVARILRSPASPSPLLDLADYLGSHRLTLTTDEASEVIKALAPDTALALGFFRFAATSLPGFRHDAFSYNRILALLHRTRADPAEAVRLVAEMERDGVPGNISTVNLLVGIGVEVGRCLELAKKWGLRLNGYTYKVVVQAHLRSREVWKGFEMYEKMRRKGYKLDIFAYNMLLDALAKAAMVDQAYQVFEDMKQNNCEPDAYTYTILIRMSGKAGKTTKFLSLLEEMVSKGCVLNLIAYNTVMEALGKNKMVDKVIFMLSKMIESGCQPSEFTYRVILDSLATGGQLHRLNEVLDICSGHLNRSIYSYLVKSLCKSGHASEAHNVFCRMWSSHEKGERDAFVSMLEALCNAEKTAEAIDLLHMMPEKGIATDVGMYNMVFSALGKLKQVSFISNLYVKMKTNGVVPDVFTYNIMISSFGRVGLVDKARELFEEMEASSCKPDVITYNSFINCLGKNGDLDEAHMLFKEMQEKGYDPDVFTYSILIECFGKSNKVDMACSLFDEMIAEGCVPNIVTYNILLDCLERRGKTAEAHKIYETLKQQGLTPDSITYSILERLEARSQQRARIRKPSRITGWVVSPV >KQL31271 pep chromosome:Setaria_italica_v2.0:I:36613544:36619074:-1 gene:SETIT_016667mg transcript:KQL31271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGNVDGIEIAISNDERRDRADVEISEDEPRHTKIRLLRKKALHASTRLTHSLKKRGKRKVDCRVQRIAIEDVRDAEEEQAVSSFREVLFARGLLPVKHDDYHMMLRFLKARKFDLEKAAQMWADMLHWRKEFGTDTIFEDFEFHELEEVLQYYPHGYHGVDKEGRPVYIELLGKVEPNKLVQITSVERYIKYHVQEFERAFREKFPACSIAAKRHIDTTTTILDVHGVGWKNFSKIARDLVRCMQKIDGDYYPETLHQMFIVNAGPGFKLIWSTVKGLLDPKTSSKIHVLGTKYQSRLLEAIDASQLPEYFGGSCSCPNHGGCLRSNKGPWSDPSIMKLVHSMESLREIGQVSDIEETITGSVRLRALKLPERISDTSNAESGSDIDDLGSPVAPEDVEYPSLAPVREEVRESGSTTYSGSDGTSHMADKVVGSNQRYNTAGNEARQFNTEQRSFVNGALPAPGRRVPNNGGGNADDGILKHLSRKVVAVFLKVLSLLRFFIRRRQHLENVHPHATTVPRNQADLQIIREDRVNPCLERLDRLESVFNQLSRKPPELPQDKDQAIQDSFDRIKSIEFDLEKTKKVLHATVIKQMQMAETLEAVKESDLRRRKFCT >KQL29909 pep chromosome:Setaria_italica_v2.0:I:26243990:26244868:1 gene:SETIT_018442mg transcript:KQL29909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSTARVLSAAVTALVAALALGVPGASATPETTCAAAAARDRRVDYGFCVSRLSHHHDSPDADTWGLAKVAADVGVATAGDAVYDIKALLAKQGAGDAGKARAALEQCRGLYDAAELAFAEAYDGINRRDYAAGKEHAAEAASLARRCGGAFARAGVPPPPQVARWGDESAKIAVVCTAITDLIK >KQL31312 pep chromosome:Setaria_italica_v2.0:I:36837884:36841127:1 gene:SETIT_017914mg transcript:KQL31312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWERARAFAGEAAKRSQELSAEAAKRSSALVSETAKKSKEIFSETASKSREIAAEATKQADLLAGQIKHLASDLPVPSIPPIPAIPPIPSAAAPEPDAAELERYGITDDLREFVKGMTISTFRDFPLQDEPEMSDVPTVSNVRQDLNEWQAKHATLVLSSVKEISKFRYELCPRYMKERKFWRVYFLLVNSYIAPFEKKYFEELKVKAEEEKKDSQKEASQSQASQATTAEQKDSKAPSKTSTSTNPEHDLDVFLLGDLGSDDEGPDGGDDGDDFDKIDATSGLESDDDDKNRGKAEDAK >KQL30911 pep chromosome:Setaria_italica_v2.0:I:34303207:34304646:-1 gene:SETIT_017619mg transcript:KQL30911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMLMAGNTNPNQNPNPPPAAPSAAPAAQRAPGAPAAAAPAPAAAGAGAGTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSAVSSAATAAASTSAAVSGTIPVGLAAKHPKLMHEGAHDLNLAFPHHNGRGLHPPEFSAFPSLESSSVCNPGATMAGNGAAGRGVGALSAMELLRSTGCYVPLQHVQLGMPAEYAAAGFALGDFRMPPPPHSQSVLGFSLDTHGTGGVGGAGGYSAGLGVQESAAGRLLFPFEDLKPAVSAAGGANNNGADQYEHSKDQAGDGSGASGVTTGGHETPGFWSNSIIGNGSSNGGGGPW >KQL31552 pep chromosome:Setaria_italica_v2.0:I:38354884:38356166:1 gene:SETIT_017758mg transcript:KQL31552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRRSRTLGGSSDRAAARRAVAAMKGGRSSNGAATDLLVCFPSRAHLALMPPKAICSPSRPSASEPVKRRHSTSRAPPSGLYKSATARNPSRRAAAADGPVDEEPSSPKVTCVGQIKARPAKPKGLGGGGGSGDGKKSKKATWLQALGIKKDAMALLDALHGAFRFNVAGCFGSFPGAVGVGYTSGEDDDDEEGEERAEKETEHGEALARWFMVLEEGKKVSAKKLQGQEPQQNQGEEDKEQDVVPPANALMLMRCRSAPAKGLARRLEAEDSEDVKIAKKTPEEENEKESLVLMTYSPDFFKVSLDIAKETWIVGGDDAVLRCRSWKR >KQL30594 pep chromosome:Setaria_italica_v2.0:I:31999651:32004113:-1 gene:SETIT_017943mg transcript:KQL30594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSTDPNHFGVFPHSFCNQHVVSFQTSSITSGSGAMPVCLDTSSGMNGNMAMLNTTSSTIVSTGSPNMTSDSSGQSLKYSTPMAVEWSYPELQMLNDGLIKYANEPGIMKYIKIAAMLPDKTVRDVAMRCQWMAARKEATRRRKPEEHYLGKKIKDRKDKMAEPSSWVTNPPVQTDMRASAFMPRNTRHNNGFLSGDSQIDCEMLNILEENARLLNQIEINILTSQAQNNIDLFHHTRRNINGLLQSMSQIPGIMSKMPPLPVSVDERLASCILPRSPMAQVLGSSHLKEEPRVW >KQL29519 pep chromosome:Setaria_italica_v2.0:I:19333967:19334206:-1 gene:SETIT_019870mg transcript:KQL29519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPQQPNPTLYLPHPKICLLSPLPNHEHRKKKKFNLRSSLSHSRVILMKTLETPRITSARRDLRYLLLLPTKHFSRRP >KQL30179 pep chromosome:Setaria_italica_v2.0:I:28665818:28668885:1 gene:SETIT_016713mg transcript:KQL30179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMTNKLATTVSITFFSLVIVRYLIVNSPTSGISQYQILHTNPLEWFNSPVAAQETTPEAAAANASTSNSLDFGNISPEVFQWLDTWNQMKQLTNITNGLPHASEAINDGRTAWENLTTSVHNAKSQHREKERLCPYSIRRMDASKLETDSFTIEVPCGLIVGSSITLIGTPGVLSGNFWIDLVGTALPGESENPIVLQYNVHLNGDKITKDPVIVQNTFTGNNGWGVEERCPSTNSHNATEVDDLKRCNAMVGREDRDIMNSKHHAAAKKHREPSTYFPFKQGYLAIATLRVGSEGIHMTVDGKHITSFAYRAGLEPWFITEVIISGDFKLVSAIASGLPTSEDLENSNVEMLKSPPIPDGKDVDLLIGIFSTANNFKRRMAIRRTWMQYDAVRQGAVAVRFFVGLHTNLMVNEELWNEARTYGDIQTTAVSAKYVMKTDDDAFVRVDEIQSTIKQLNVSNGLLYGRINSYSGPHRNPESKWYISQKEWPEEKYPPWAHGPGYVVSQDIARKINTWYKARHLKMFKLEDVAMGIWVNDLKKDGLPVRYETDTRINAEGCNDGYIVAHYQEPRDMLCLWEKLLRTHRAGCCNTD >KQL31643 pep chromosome:Setaria_italica_v2.0:I:39002663:39005160:1 gene:SETIT_019396mg transcript:KQL31643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKERIHRSPPPTPQEQAGAAPAHPRGRKGRVAALPLSVAALVACGVVLLLLAGGSAARRGSQFLDADPASAGLAGDDRGDVHQARPRDGGHGTMESSKVQRDKLIGGLLAPGFDEQSCLSRYQSVLYRKKSPHLLSTYLLERLREQEVLQKKCGPHTESYKKAIELLKSGQDVKVGDCNYLVWVSYSGLGNRILTITSAFLYAILTNRVLLVDGDKGTADLFCEPFPETSWLLPLDFPINQFKNFSVGSPESYGNMVKTERIRSDGSFKGPKPAFIYLHLAHDYDDYDKLFFCEHSQQHLQRIPWLIIRSDNYFVPSLFLIPAYQEELMRLFPQKDAVFHHLGRYLFHPTNVVWGLITRYYDSYLATADEKLGVQIRVFDTETGPFQHVLDQVLSCTLKENLLPEVNAQQPIVSTRKLRSKVVLITSLNSGYYERIRNMYWEHPTMNGEVISFHQPSHEEHQDSDKKMHNMKAWAEIYLLSLSDVMVTSAWSTFGYVAQGLSGLKTWLMFKPENRTAPNPPCRQVMSMEPCFHAPPFYDCKARRGTDTGKLVPHVRHCEDMSWGLKLVDTDER >KQL29170 pep chromosome:Setaria_italica_v2.0:I:10898008:10898352:-1 gene:SETIT_019441mg transcript:KQL29170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAPVTASAAAVASVPPASAPWVPIPNAEDFFFRQVANFSLLIRALVFREYLDLVHVVSGSVQAAGAGNNYSLLLRAADRTNGAVGRYQTVVWGVPGSRDWTWKVVSFQRVADKN >KQL32185 pep chromosome:Setaria_italica_v2.0:I:41695299:41697896:-1 gene:SETIT_0169631mg transcript:KQL32185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGINGDARFAAAPYDHWVLLDPAGGSPRPSARYKVLTSTRCCAGAKCSFFGCRDKLYVVGGSRNGRSLSDVQVFDFRTFTWSALNLTRDSNQLNHENNATGGSFPALAGHSLVKWKNNLVVVAGNTRSSSSSNKVSVWLIDVETNSWSAFDTYGKVPTARGGQSVSLLGSRLLMFGGEDNKRRLLNDFHILDLETMMWEEVKTEKGGPAPRYDHSAAVYADQYLLIFGGSSHSTCFNDLYLLDLQTLEWSQPDTQGAHIAPRSGHAGTMIDENWYIVGGGDNASGSTDTIVMNASKFVWSVVTSISARDPLACE >KQL28454 pep chromosome:Setaria_italica_v2.0:I:6013436:6017546:-1 gene:SETIT_017748mg transcript:KQL28454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGAAEAEAAARRRRPRPRLVGYEELPDYLQDNEFIRGHYRAEWPIRDALLSAFAWHNETLNVWTHLGGFLLFLALAVAGGAREAADEAAPGIMRFVVGSANASWDSDHSGLPGHDAGAAALSSGVPQWPRMVFLLGAMSCLAISATAHLLACHSRRATAVFWQLDYAGISVMIVASFVPPVYYAFLCHPGARAAYLSGITALGVLVVGALLSPSCSSPRYRRLRASLFLAMGLSGVLPALHALWLNWGHPACYLALGLEVAMGLAYAAGAWVYVSRVPERWRPGVFDVVGHSHQIFHVLVLVGAATHYVAVAVLIHWREKVAAACVAAP >KQL30602 pep chromosome:Setaria_italica_v2.0:I:32075138:32078265:-1 gene:SETIT_016248mg transcript:KQL30602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGVIVCAMAFVLACLAAMPPASAALGDGGILYIPSAASIAHCPSSCGDVSISYPFGIGAGCFRQGFEVTCDNTTQPPKLFLISNSTTEITGIYDDYGFVKVPMFFNSSFGPGRNNMSWEWEAPAKGITITSGGNVFVVLGCDFDVNLFDYERNPVGSCMSRCHGGVLPTRGPCNGIGCCFISLRNAMSGFQATFSRADGMAGQSSAGSMYPRIMAFISGSGLYYTNNVTDLLLGWTNTSKIDGAVVEVAITDQPSCESARMNSASYACATNSICRNASSYGGYHCYCSGDGSPYLSEGCTQGDYNPEPKEHCRRSCGNMNISFPFGLEEGCFGNEKFRLNCTAAGDTLFSRGDIQYRVTGISIEDGTLNVTNMPSNASTGKEMIIVSTDEGGGMEGSGPVEDLFDFSMVYDIVIRWAVIDSTCQQASQNITKYACRSENSYCLNVTHGKIFMGYRCKCSRGFKGNPYILEGCTDIDECSLPNNCNGICRNTPGSYKCTPCPHNKEFDSSKRRCVTSTKQRNLLLGIAIGISCGLGSIIVALGVTVLASKWKRGIQKRIRRAHFKKNQGLLLEQLISDESTTSKTKIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQIEIDQFINEVVILSQIIHRNVVKLFGCCLEDEVPMLVYEFISNGTLYELLHSDTTVKCLLSWDDRIRIAMEAAGALAYLHSAATIPIYHRDVKSSNILLDDNFTTKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLIRKKPIFINDLGAKQSLSHYFIEGLHAGSLVEILDKQVVEEADQEEINEIASLTEACLRVKGGDRPTMKEVDMRLQFLRTKRLRKGLAEKDADIEPLLCPQDKNLYGHIDLVNAGSSGCYSLEQEFASLPR >KQL30972 pep chromosome:Setaria_italica_v2.0:I:34777045:34780895:1 gene:SETIT_018092mg transcript:KQL30972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAGASRKVSAVLYHYPCPDGAFAALAAHLYFSAAARPVRFFPNTVYDPIRSDSLPVDEIEDVYLLDFVGPPGFVEDIAPKVERVTILDHHKTAFESLCGNPTLGQNVTKVIDMQRSGATIAFDFFKNKLLTEASISGGSGSGKDVAEIKYVPDNKVETVHKLFKFIEDGDLWRWKIPNSKAFSSGLKDLDIEFNVNANSKLFDQLLELDPEHVISRGHVTLLEKQRLIDDCLGKSYEISLGCGRFGNCLFYVAWSIRLLMLMLFQT >KQL30326 pep chromosome:Setaria_italica_v2.0:I:29946318:29948230:1 gene:SETIT_0199961mg transcript:KQL30326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDVFVPDDKHVFYSRSEFTYNPKLWPRDELHKDFLNLSHCFGAQRSTVRVPDLDPKYKISVLASKQDHCLFDLLYRWQEGRLPVDISCVISNHDRPEDNHVQRFLQRHGIPYHYLPTTSGNKREQEILELIEGTDFVVLARYMQILSESFLKSYGKDIINIHHGLLPSFKGGHPSRQAFNAGVKLIGATSHFVTTELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >KQL31555 pep chromosome:Setaria_italica_v2.0:I:38376111:38376570:-1 gene:SETIT_020308mg transcript:KQL31555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSICDFDLFFPSESYTDQSNALLVMSVSRHDVLFLVQTTESTDSSCSYL >KQL28336 pep chromosome:Setaria_italica_v2.0:I:5186307:5192967:1 gene:SETIT_016912mg transcript:KQL28336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAGTAAALPEAWSQVRAPVIVPLLRLAVAVCLTMSVLLFLERMYMAVVIAVVRLLRLRPERRYRCEPLPEDDPELGSSAFPSVLVQIPMFNEREVYQLSIGAVCGLSWPSDRLVVQVLDDSTDEVIKEMVRMECERWARKGINITYQIRDDRRGYKAGALKAGMKHAYVRECEYVAIFDADFQPDPDFLKRTIPYLVHNPEIALVQARWRFVNADECLMTRMQEMSLDYHFTVEQEVSSSVCAFFGFNGTAGVWRIAAVNEAGGWKDRTTVEDMDLAIRASLKGWKFVYLGDVQVKSELPSTFKAFRFQQHRWSCGPANLFRKMLMEIVTNKKVTIWKKIHVIYNFFLIRKIIAHIITFSFYCLIIPATIFVPEVRIPKWGCVYIPSAITLLNSVGTPRSFHLLFFWVAFENVMSLHRTKATLIGLLEAGRANEWVVTAKLGSAMKMKSANKGGLKKQFMRIWERLHITELGVGTFLFSCGWYDLAYGRDHFYIYLFFQSIAFFIIGFGYVGTIVPQS >KQL29755 pep chromosome:Setaria_italica_v2.0:I:24171030:24171685:-1 gene:SETIT_018943mg transcript:KQL29755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVVIATVLFVLLTPGLLFQLPAHGRIVGFGTMHTSGIAILVHAVLYFALITIFLIAIGVHIYAG >KQL29879 pep chromosome:Setaria_italica_v2.0:I:25924346:25932155:1 gene:SETIT_017351mg transcript:KQL29879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHHPSLLRPPILTSRVGVLGRRLTPHAFLSATVASARPSTPPLRLRPVRAAAFGASQVGGDGGKGAVPPPALGAVLLGFARSNFLPLALVAGVILGLLNPTLGCLAHKCSLSKYSTFGIFLISGLTLRTEELGAALEAWPAGLYGIGSILLITPFLAQFIMQVQLLPREFITGLAMFCCMPTTLSSGVTLTQIVGGNSALALAMTVVSNLLGIITVPLSLAKFIGAGAGLSLPTEQLFRSLVTRLLIPLIIGKVARETVKGIADFVDRNKQGFSVASAVLLSLVPWIQVSRSRSLILSVQVKALAAAITIGVLLHFALLAFNAAMLQILSRLEQKGEPVFAKKEYARAVILVASQKTLPVLIAVVDQLGGALGESAFLVIPCIAAHINQIIIDSIIVNWWRQRDQQFANAK >KQL28501 pep chromosome:Setaria_italica_v2.0:I:6309509:6312980:-1 gene:SETIT_018851mg transcript:KQL28501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVMYLRGVPEDAEIEDAE >KQL28500 pep chromosome:Setaria_italica_v2.0:I:6309509:6310629:-1 gene:SETIT_018851mg transcript:KQL28500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCFVQTVPVNPKPFLNNLTGKPVIVKLKWGMEYKGYLVSVDSYMNLQLANTEEYIDGQFSGNLGEILIRCNNVMYLRGVPEDAEIEDAE >KQL31855 pep chromosome:Setaria_italica_v2.0:I:40107085:40109446:-1 gene:SETIT_019048mg transcript:KQL31855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVHVYNSDPEVVDAYHKDYAKCQKKLAHLQELPTLKQCKNAANITDWHDLKKKNAVLDVAESVLSLSSSHGITCDNNVYAPNIKVTTHLLDGTTSELTLLFFSKHYNIAFFELMGGSNLHVASLEPELEFGSEALKALDHLDHQKNHYLFIGGSIPKSCTGGALADRNRNVVGMVVNALPNIAFIPSYLILRCLRLWQKFKVSPHLGPG >KQL28362 pep chromosome:Setaria_italica_v2.0:I:5381438:5387634:1 gene:SETIT_016123mg transcript:KQL28362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVKAEPVQCPFVSVFMHADAADVALMVLGLVGAMGDGMSTPVMLLIASRIFNVTGSGPDRLQQFTSKMNENARNLLFLAVANWIMAFLEGYCWARTAERQASRIRLRYLRAVLRQDVEYFDLNAGATSEVITGVASDSLAVQDALSEKVPSFVMNVTMVVASYVVGFALLPRLMLVGLPSVLLLIVPGFLYARVLMDLARRIREQYTRPGAIAEQAMSSVRTVYSFVAEGSTIARFSAALEESARLGIKQGFAKGVAIGSSDVRLAIFAFNLWYGSRLVMDHGYKGGTVYAVSCVIVVGGLALGSALSNIKYFAEASSAAERIQEVIRRVPKIDSESNAGEDLANVAGEVEFKNVEFCYPSRPETPIFVSFNLRVPAGRTVALVGSSGSGKSTVIALLERFYDPSAGEVTLDGVDIRQLRLKWLRTQMGLVSQEPALFATSIRENILFGKKDATEEEVVAAAKAANAHNFILQLPQGYDTQVGERGVQMSGGQKQRIAIARAIIKSPKILLLDEATSALDTNSEHVVQEALELAAMGRTTIVIAHRLSTIRNADMIAVMQSGEVKELGSHDELIAKENGMYSSLVHHRHTKDSNGTHDFDGTGSTFVMQQSSNQGMSRRSSAVSKSMSTLYMSDAEDARSTEKPKLPVPSFRRLLMLNAPEWKHAVMGTISASVFGGIQPVYSYAMGSMVSIYFSTDHEEIKEKTRTYTLFFVGLTVLSFIVNIGQHYSFGAMGEYLTKRIREKMLAKFLTFEVGWFDRDENSSGTICSTLAKDANVVRSLVGDRMSLIIQTVSAVLIAYIMSLVIAWRLALVMIAVQPLIIASFYTRRVLLQNMSNKSIRAQSECSKLAVEAVSNLRTVTAFSSQDHIMCLFEQAQNGSFSESIRQSWLAGLGLGTSMSLLRCVWALTFWYGSILMAKHHITFKALMQTFLILISTGRVIADAGSMTTYLAKGTDAVASVFAILDKETEIDPDSPEGYKPVNLEGEVDIREIDFAYPSRPDVIIFKGFSLSIQPGKSTALVGQSGSGKSTVIGLIERFYDPLMGIVEIDGRDIKTYNLRALRKHIGLVSQEPTLFAGTIRENIVYGTEIASEEEIENAARSANAHEFISSLKDGYDTWCGERGVQLSGGQKQRVAIARAIMKNPAILLLDEATSALDRHSERVVQEALDRLLVGRTSIVVAHRLSTIQNCDVITVLEKGMVLETGTHASLMGKGPAGAYFGLVSLQQGRNQHLDFA >KQL28445 pep chromosome:Setaria_italica_v2.0:I:5922856:5924403:-1 gene:SETIT_019648mg transcript:KQL28445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAEPMKKTMIRVREFDVARDLRAVEELELRCQVGLSGDQSSDDPAADHDGGAERKKRSGKKKKRGMSLYVEQIGDPFARVRHAPDHVMLVAEYGDEEEVVGVIKACTRMVSRGKKTTQSFSSSSKQFVKVACLLGLRVSPSHRRLGIATELVRRAESWCAARGAAYATMATTESNAASLALFAGRFAYAPFRRPVFLGHPVHRHRARVPRAHRVLRLPPPLAAAAYAALLPPHAAEFLPADLPALLAHKLTLGTYLAIERGGGPEDAARPPSFALVSVWDATRSLRLRVGGAPALLRASLAAARALDSHAPWLRVPSVPDIFRPFGTYLLYGLRMSGPEGPALLRSLCRHAHNVARKNPACAVLAADLGPEDPAAAVVPHWAKFSCDEDVWCIKKLGAATGGNAAGDEDEDDWTTSPPPGVLFVDPREF >KQL29471 pep chromosome:Setaria_italica_v2.0:I:16972578:16974275:-1 gene:SETIT_019945mg transcript:KQL29471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPLPSPQYAHRRRCSEYLLALEEERRKIQVFKRELPLCLQLVTQTIEGMKSQMHGVGSEGTVSDHGPVLEEFMPLKPSLSLSSDEHESADDAAATNDVGKKEKAAETHGRQSPPTEANKAMPDWLQSVQLWSQEPQQQPSSPRKELLCKPVALNTRKAGDAFQPFVKEKRAEMPASPTTAAASSAVVGDSCDKVATDTSEKHSDKEMNKDAKDMGKYSKDKEGQSQAPNRKPRRCWAPELHRRFLQALQQLGGSHVATPTQIRELMKVDGLTNDEVKSHLQKYRLHTRRPNSTTVVQSTSTSAAQPAPQFVVVGGIWVPPAEYATAAAAASAAAAAQSQVQLAGDASGTANTVYAPVATLPSGTRQGQRQSSRCSGGRRSGDASSDSPAVSSSSHTTSA >KQL30997 pep chromosome:Setaria_italica_v2.0:I:34947875:34948768:-1 gene:SETIT_018993mg transcript:KQL30997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDCLKENIFVAAKTNGYRYGGSCLQILETSQKEYIKESRKRTAYTFKLRPAIQCNTLN >KQL29994 pep chromosome:Setaria_italica_v2.0:I:27359248:27363507:1 gene:SETIT_016733mg transcript:KQL29994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGAALAALALLVLLPVAAASDSDHKYQADEPVTLWVNKVGPYNNPQETYNYYSLPFCHATENHVHKWGGLGEVLGGNELIDSQIDIKFRKNVDKATICSLDLDLDKAKQLSDAIENLYWFEFFIDDLPLWGFVGEADRNNDNKYFLFTHKNIVIRYNGNQIIHVNLTQESPKLIDANKAMDMTYSVKWEPTNITFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDIETLERDVNEESGWKLVHGDVFRPPRNLVLLSSLVGIGTQLAALILLVILLAIIGMLYIGRGAIVTTFIVCYALTSFISGYVSGALYSRHGGKNWIKAMAMTASLFPFMCFGIGLVLNTIAIFYGSLAAIPFGTMVVVFILWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVIALMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYHMKTKMSGFFQTSFYFGYTLMFCLGLGTLCGAVGYLGSTLFVRRIYRNIKCD >KQL31275 pep chromosome:Setaria_italica_v2.0:I:36637536:36637956:1 gene:SETIT_020600mg transcript:KQL31275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTKLTLEVGPPLSPCPHLSTVARGKRRKRPATAGFYRWERWMRGNLPAASLSLVR >KQL28859 pep chromosome:Setaria_italica_v2.0:I:8517137:8517656:-1 gene:SETIT_020021mg transcript:KQL28859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRPSAEPEVRWSEEEHKLSALATVSGGTISLDTETGTSRKEGTWELPLDNLGIFVPELGVVIGIASTRDCLEPSDPWFCQVCALDVVEARPPAVRHVWEIPPERAEDVAPSEAVSLAYLRDDSRFCVSSEDTGTSFTLVDVSRLPGGDLELAKHGNVYCHV >KQL32259 pep chromosome:Setaria_italica_v2.0:I:41976663:41979160:1 gene:SETIT_016579mg transcript:KQL32259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAARPDEEEARRRRNTDCIYFLASPLTCNKGSECDFRHSDAARMNPRDCWYWFNGNCANPKCSFRHPPLDNLLGAPTTPRAPQQPAPQVSAPAQAHGSVPAKQAVPCYYFQKGMCAKGDRCAFSHGPESAGNPAPQPVAPAKVFTPALHPNPQLKNSWTKPSPSPQQNTPAGIPDKSKLSAHDAKPLQKQHVTSRVDHLSRIFQNHSNSYAQSGSTKHHKPQPPVQDDLTEDGMEVGEFVREPSAASGVLVGGVNDDSEHSFKGNRSSYHHRTNGTGITRQTHGGYEPERSHRGSAERLSSEKRISHREPMPAVAASSSDLRHRLLKQRKLNNNSRSTEAPDANDAYLEGERNDQHRWRREEHDGPLSRSRLRDRIRLPGETSLDRLGSRSEKEWDRGPRGRLSPPKHSDLRGKLHERLKARSAEEIPGNSAKSLVVKASTVEDAESLNFAGPKSLAELKAKKGVGSSSGEDAIVKGISSSRMTSGIIPSREPAPFEGPKPLSAILKRKREVASENAAAHSGSIQEDDDAAGVDEESQILANDKVGENMEGNIEEEEAEEEAFHPEDDVAYDDEAADQELEEHQNVEAAAEDYDYEAADANTAAGQELEEHQDVEAAAEDYDYEAADVNAEEDNEYQEYQDDDDDLEDDDDDFARKVGVMIS >KQL29962 pep chromosome:Setaria_italica_v2.0:I:27037404:27038325:-1 gene:SETIT_019157mg transcript:KQL29962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPSSTTPTAALRRDTEAAAIAAALAQVIAGGSSGTTLTHLQKASTAPPLVMPLCSSTAVGNHHGHIGPPAYHGETSAHSVSASAVQDRTAPAQSLVPAPTEAPMASTWPQGTEQGLLAPPAAPRGYRGVRRRPWGKWAAEIRDPRKAARVWLGTFVTPEDAARAYDAAALRLRGSRAKLNFPEDASSLRHLPATVGSWQPASGGDGAMDRPPFPKMVCSDNGRFMGSWNVGTSLASPKAACSTAPVDATLLCGSHVTGSRGTEDAGNGIEKCNSAKHS >KQL32039 pep chromosome:Setaria_italica_v2.0:I:41039587:41045398:-1 gene:SETIT_016343mg transcript:KQL32039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSREYEASIIPEWKAAFVDYKCLKKLIKRIKVARRDAGPPPPLLAGGTTTAGYGFSVLDPVRALTARFARSTAASPEGEEESLESDSGELVRATDKHEQEFLEQADEELEKVNKFYASQEGELLARGDALIEQLRILADVKRILADHVAASRRGGPAGRRALGRAASMPPPSHSPSVNGSSGRHLLSGLASPQSMSDGSVELQQARVAEGAAVAEEVMAALERNGVSFVGGGLAKAKKDGSGKQLMGRGALLQLPATVRIDIPPTSPGRAALKVWEELVNVLRKDGADPAAAFVHRKKVQHAEKNIRDAFLALYRGLELLKKFSSLNVKAFTKILKKFVKVSEQQRATDLFSEKVKRSPFSSSDKVLQLADEVECIFLRHFAGNDRKVAMKYLKPQQPRNTHMITFLVGLFTGTFVSLFIIYSVLAHVAGIFSSTGSPAYMDIVYHVFSMFALISLHVFLYGCNLFMWKSTRINHNFIFDFASNTALTHRDAFLMSASIMCTVVLSLVINLFLRNAGATYTDALPGALLLLSTGVLFCPFNIFYRSTRYCFMRVMRNIIFSPFYKVLMADFFMADQLTSQIPLLRHMEFAACYFMAGTFRNQAYETCTSSPQYTHLAYVISFLPYYWRAMQCLRRYLEEGHDINQLANAGKYVSAMVAAAVRFKYAATPTPLWMWMVVISSSGATIYQLYWDFVMDWGFLNPKSKNLWLRDQLILKNKSIYYVSMMLNLALRLAWAQSVMKLKLGRVESRLLDFSLASLEIIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFRELETD >KQL28776 pep chromosome:Setaria_italica_v2.0:I:8042291:8043146:1 gene:SETIT_020355mg transcript:KQL28776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDCRHQLALHRARRISLRCQSSAQSSAPSPAFVCSQSEEDNGHEGIRHTWACLRPCRPKHRHARGMQGEHRQLDPRDPTTATRNSSLAA >KQL30403 pep chromosome:Setaria_italica_v2.0:I:30309178:30310971:-1 gene:SETIT_020057mg transcript:KQL30403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRGQRNDPLARALFARHRHHSAARLSCIVLRHPNAMDAFISRPLSRLAPPPGFEPSTCGRGGAMMHTNPFSLGLDIALPSPAGFGPFSGGGGPVHALRGDPSSFGFDPYSGAAAVHALHGNPFSFGFDSFYGGAALNVPRGDPFSFGLDPYSGGAAEHALHTTNPFSYGFDDIAHPPLTGYDPFSGGATVHSHEHGNPFAVDPWGAPAAPVSNDDGACKNSTPARVQLCASYDDDNEEAILRAQENDAKALPSPDYLETTQGGRMSPEARSDLVRWMSGLTQRYDLTPGTLHRAVSYADRFLSARPLADATAHRLNLLGAAAVFAAAKYEDQGAVHKLDAGEIARYGRFAAGREVVAMERALLAALGYRLGGPTAHTFVEHFTRGYGQEEDDELQFRAHDFANVSLLHYGCLELRPSAVAAAALFLAMRTLKPSYRRAVTRGRELEELTGTDSKIGARGSSTKRMANCTAM >KQL28300 pep chromosome:Setaria_italica_v2.0:I:4870176:4871071:-1 gene:SETIT_019225mg transcript:KQL28300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTEPKRREKLYRNIWKADVRPPKARMFAWRLASNALASNTNKKTRHNIEDDTCTICGLEPKDAAHAVAGCSRARALLTEIRHRWAIPEISQLQYTGSEWFLLTLNNTPENARPALILFLWRNWSVHNDLTHGGTYFSVAGSVRVIESMLNNLSIQHSDVFMEDVKGKRVIGESKKAKEIAANEIARASRLVPTWNPPARMVEIKCPIILESYNSTCIRSLTLGERDRSSSASWITNAREHMSRIE >KQL28317 pep chromosome:Setaria_italica_v2.0:I:5001092:5004976:-1 gene:SETIT_017006mg transcript:KQL28317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTATVTAPAPAPAANANFVPTPTAPHPRPAAPPASRAPSTLGPVKSEAPPAPSSTTSAAAAASGPEDPSYIITVPSYSAWFSFDSIHDTERRLLPEFFEGEAAAASGCRGPGAYKYYRDTLIRRFRARPGRRLTLTEARRGLVGDVGSVRRVFDFLEEWGLINYGALPSGSKQAKEKREEAAPQPSLPSGATAPRKLCTGCRSVCGLAYYACDKADISLCARCFVCNNYRPGLTAANFKRIEITEDAKSDWTDKETLHLLEAVLHYGEDWKKVSEYVGSRSEKDCIARFIQLPFGEQFMGPKEDRMGFENDGDITDEPGAHVSKRLRLTPLADASNPIMGQVAFLSAIVGSDAASAAAQAAISAQSHVDLNDGEIDSSINSAMEEESSHTNGLSVNDLLKEAATNARAQLEKERSNIEQSLSDIVDVQMKEIQDKICRFEHKEMLMEKERQQLRCLRDLLFADQLTVMQHHRRPQAVATESKLDEKPKPVVNIS >KQL29870 pep chromosome:Setaria_italica_v2.0:I:25767616:25772453:1 gene:SETIT_016691mg transcript:KQL29870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAEAALHTMPGAFAAHSPASNLSIGADARRRKRTTNLVPNARALQGLLKIPRLRSVRRQCQRIDDLAKVTEGNGTWATDAMNNASQVLGDLSARDQAVGGNGGLNGNAVKSPPKMWKTSSVEDEAWELLQESMVYYCGSPVGTIAANDPNDSDPVNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRTIPLDGDEDVTEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGMKMILKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSADLIRALNNRLIALSFHIREYYWVDMQKLNEIYRYKTEEYSYDAVNKFNIYPDQIPPWLVEWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATTQQSHAILDLIESKWSDLVAEMPLKICYPALENQEWKIITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPELAAKAIEVAERRIATDKWPEYYDTKRARFIGKQSRLYQTWSIAGFLVAKLLIEKPDAARILWNDEDAEILNALSTNRKRGKKVLKKTYIV >KQL30242 pep chromosome:Setaria_italica_v2.0:I:29290743:29297366:1 gene:SETIT_016118mg transcript:KQL30242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRARRLAPLMQGCMLAPLLTRSGLETLLPHSSPEHHSLVAHLCFGSGASLPCYSSSVFSNPDRPSRPSLARVRLAAEPPPPRAWTPAFVSQRPIFPFLLLSPLHPLGLISRRRQGDQPLPGLLSAGPSGLRRAPVTNIRQGEEGLLFALAAMLEKIGLPPKPSMRGASWVVDASHCQGCSVQFSLFTRKHHCQRCGGLFCSSCTQQRMVLRGQGDSPVRICDPCKKLEEAARYELRYGHKNRARAITKEASKPEDEILSEILGGDGVQTKYSRKESLDSEFPGRTASSASASSSSSSSRRTSTNFSVDANGDDNLSPEAHNYELNNTASIFTPEELRQQAVEEKKRYKTLKSEGKPEEALRAFKHGKELERQAAALELELRKSRRMATKTPNVVAAVGSAPTADSSEEAETKRSSAGKRVKKENDLASELRELGWSDADLRDETKTAPMSVEGELSQLLREVAPKSSEGKKSGGIDKSQVNALKRQALLLKREGRLAEAKEELKKAKILEKQLEEQEILGEAEDSDDDLAAIIRNMDDDKHDDILMDDTKFPALNFEQILGASNDLAIDGHFDVTDDDMNDPDMAAALQSFGWSEDDDKQLENHEPVSSSNQVAIKEQVLALKREAVANRRSGNVAEAMSLLKKAKLLEKDLETEGPDSKFPSPEGQKTTNAEDITFAGSNARPVSAPKSKLAIQRELLALKKKALTLRREGKVDESEEELKKGSVLEKQLEELENSSKPPVAKETRSFASNPPYKVEPPNLNLADEGFEPEVTDNDMQDPALLSVLKNMGWEDVDTDSVKRTDKPLISSHVVPQKSSKTKGQLQKELLGIKRKALALRREGKNIEAEEELEKAKVLEQQLAEIEESSNLTASQQGVTTAGHQITENKYDVQHIPSVDATAPTSSVRKAMKGDDILPVLASEPIKSVDTLGGSPSKPQIETVVSKQGHASKESSGGTSSALPQPAFTDPLGSEKGSQSPSEVLDHKEPQKTHGDDTLKAEILLHKRKAVAFKREGKMAEAREELKLAKLLEKRLEGAQQESVDVGDESTTAVQQSSMVQQLASSSNHTDAVASAPPARASMSMQPKKAMSSRDRLKIQRESLAHKRNALKLRREGKTAEADAEFELAKELESQLEESDNQGSSSGGEPNDAVVENLLDPQIMSALKSIGWSDMDLSMQSSSSQPLKPAQSSMAQPPKKLEAKKAAAATSKPQSERSQLEEEIKAEKLKALNLKREGKQAEALEALRSAKRLEKKLASLG >KQL31496 pep chromosome:Setaria_italica_v2.0:I:37951699:37953281:1 gene:SETIT_020048mg transcript:KQL31496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKAPARRRAVLAAVITLILLASVSFLLSATATSSAAANSPASRLAVVQRHAEDHAAVLAAYTAHARHLSALSASQTDAFLAISSRLSALASRLSVSTVGALEKEAKAQVKRARSLAGGAKEAFDTQSKIQKLSDTVFAVGQQLLRARRAGILNARIAAWSTPKSLHCLAMRLLEARIANASAIPDDPPAPPPELADPSLYHYAVFSDNVLAVSVVVASAARAAAEPSRHVFHVVTAPMYLPAFRVWFARRPPPLGAHVELLSASDFPFLNATYSPVLRQIEDGNRDVALLDYLRFYLPEMFPALRRVVLLEDDVVVQRDLAGLWRVDTGAAVNAALHTCFGGFRRYGKYLNFSDPVVQGSFSPRACAWSYGVNVFDLQAWRREQCTDRFHRFMEMNENGTLWDPASVLPVGLMTFYGKTKPLDKSWHVMGLGYNPHIRPEDISGAAVIHFNGNMKPWLDVAFNQYKHLWTKYVDTEMEFLTLCNFGL >KQL30613 pep chromosome:Setaria_italica_v2.0:I:32207739:32213013:1 gene:SETIT_016302mg transcript:KQL30613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCLPKTVVHRTGHGCGALVPVAWPPASAPGAGRPAARLSKDVKHPPAGLFVPPPSDPIGRPPSASSVRPTTPRQAHRLLSNKYRGLHDLFLPFSRKSLQPRPSLSKRQPPAHQPERRRAASQMAPHTTTAAGGGGGGDEEVSEAAASPALRHRHAGKGAGEAGDDEGMCGNGTAGAGDAASVERVFADKAVPSWREQLTLRAFVVSALLAVMFSVIVMKLNLTTGIIPSLNVSAGLLGFFFVRMWTAAVERMGFLRQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSDKIANQATEAKDANNIKDPHLGWMIGFLFLVSFIGLLALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKTLGKYFVFSFFWGFFQWFYTAGDDCGFKNFPTLGLEAYNNRFFFDFSPTYVGVGMICPYIVNVSVLLGGILSWGVMWPLIAKKKGSWYPADVGDSSLHGLQAYRVFISIALILGDGLYNFIKVLIRTIAGFISMVQQNSKSMLPVSDHGSSMSTAEAVSFDEERRTELFLKDQIPKSVAYGGYAAVAAVSIGTLPQIFPQLKWYYILVAYVVAPVLAFCNAYGSGLTDWSLASTYGKLAIFVFGAWAGLAQGGVLVGLAACGVMMSIVSTASDLMQDFKTGYLTLASPRSMFISQVIGTGMGCVIAPCIFWLFYKAFGDIGESGTEYPAPYAIVYRNMAILGVDGFGSLPKNCLTLCYIFFAAAIAINLVRDLTPNRVSRFIPLPMAMAIPFYIGSYFAIDMFLGCAILFVWERLNKAKADAFGPAVASGLICGDGIWTLPQSILALAKVKPPICMKFLSRATNAKVDSFLGLS >KQL28088 pep chromosome:Setaria_italica_v2.0:I:3223706:3224359:1 gene:SETIT_019820mg transcript:KQL28088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQTTLFIPIAHPPGHPGIAIRQPPHHHHSTPVRVHPRAAPAARRASQPAMAVSNNITACVTLLALICAVPVIASGIWFASAQGDECARLARWPVAILGGLLLLAALAGFVGAYWNRRRLLAFYLFAMAALIVLLIALLVFAFAVTRGSGAYPVLGRAYDDYHLDGFSMWLRGYVSDDPGRWEKIKACLVVSDTCKKLARQAAFVNAEQFYQSHLSPLQ >KQL29710 pep chromosome:Setaria_italica_v2.0:I:23737143:23739179:-1 gene:SETIT_019926mg transcript:KQL29710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALLLFILLSRQGEVASSLDDGREFAYHGFAGANLTLDGLATILPGGLLALTNFTYQTKAHAFHPSPMHFLTKTTSVARSFSTSFVFAIVSGYDGLSDHGLAFVVAPTTDFSTANAGQYLGLLNATNGTASHPILAVELDTILTPEFRDINSNHVGIDVNSLVSRQARPAGYYDDAAGGGAFRNLSLNSREPMQLWVDYDGVSKQLNVTLAPVHVPKPKNPLLSEAVDLSTLMAADAMYAGFSASSGVVFTHHYVLGWSFGLDGPAPPLDLSRLPALPRLGPKPRSKVLDVVLPLATASLVAAALATTFFFVWRRRRFAEVREDWEDEFGPHRYAYKDLHRATDGFRERNLLGVGGFGRVYKGVLSPSNLEIAVKRVSHDSRQGVREFVAEVVSIGRLRHRNLVQLLGYCRRKDELLLVYDCMSNGSLEKHLHDPHMPAIFWPERYSIVKGVASGLLYLHEDWEKVVVHRDIKASNVLLDEQMNGHLGDFGLARLYDHGTDAQTTHVVGTMGYLAPELVRTGKATPLTDVFAFGVFLLEVACGRRPIERGEHDNRVVLVDWVLEHHRGGSILEAVDPRLMGKFDLEEAILVLKLGLLCTHPLPNARPGMRKVMQYLEGDQPTPDLPPTYVSYAMMALMQIEGFDSYVMSTSSSTSPTVASIGAVSCGSSATVLAEGR >KQL30668 pep chromosome:Setaria_italica_v2.0:I:32512132:32513770:-1 gene:SETIT_018165mg transcript:KQL30668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTRTAGAGEQPAAAAGDEPAKRVVGGDAPAATGGGCFDCNICLECAAEPVVTLCGHLYCWPCIYEWLRPDADADAGSSARRRCPVCKAAVSPDALVPLYGRGGSSRAKKPPPRGLASSIPRRPALRQQSARGSDNGGGHHHRNVETDAPARAPQQARHHADAARFDALLPPPFVDRGIMHSTAGGVLGSMAVAVLPWVLRGQAQAPGMHYSSPYHLMNPRQRRRHMELERSLHQIWFFLFVFVLLCLLLF >KQL28603 pep chromosome:Setaria_italica_v2.0:I:6978374:6979156:1 gene:SETIT_018785mg transcript:KQL28603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSFSPSRERGRGEVRGQCATVLSGEQERSKWPWSCCAYMFWSGVEIDISPLYPSINPPSALSSTSIHHTSALFFLFPLPNPSFLAPSSSPLVYLFLLMCMPIKL >KQL30157 pep chromosome:Setaria_italica_v2.0:I:28496897:28498756:1 gene:SETIT_016815mg transcript:KQL30157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRRLVSLILDAARPRADATAAAHAAAVKAGHAADVFLSNHLIVSYAGSGLLEAARRVFDEMPRRNLVSWSALISCCARAGRPELALELFARMEGARPNEHVYASVARSCAALRALAAGAQVHAHAVKSGFLGASFVSNSIVSMYMKCGCFDQGYDVFATLAEPTVVSYNAVISGLAASSRPEKGLEMFRLMKLRGLRPDRFSYAAALGICCDLENPNIGAALHCDTIKIGLGVTAFVGNVILDMCSKHGTIAEAEQVFLSVEEKDAVTWNTYTAAHSRRGGHMEALKLIKDMLDTNVRPDNFTHASALAACAELSLIRHGRQVHCHLIRSREDADVAVGNAVISMYARCGHMVLAARAFDQLRRPNLCSWNTLVSGFSKQGHAKEAVEAFERMKEAGIAPDSVTFTGLLAACNHAGSVSQGMEYFSSMSGTYGVSPGAEHVSCVIDLLGRAGRLKEAEDIVLASAFRDDPVVLGSLLSASRVHGDTGVGERAAGRLLALGPATGSPYALLAHLNASGGRWDGAAGAWRMLRKDRAAARKKDAGRSVVDFG >KQL31513 pep chromosome:Setaria_italica_v2.0:I:38102826:38103977:-1 gene:SETIT_019958mg transcript:KQL31513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTGSRHALRGGVRGGGGRSPYARSCSGPVTGSVHHTVALKSSTLGSLSLDRDEEMMKWRDDGGVGAAKTPPVKQQLMVRRQKQVPGSPAKTPVREPEVINVWELMDGLDDKEEEDGDAADGEDRREKSAPGSPEFDPDVIAAFRKALDEISPPPADPGNEDCVSNKKPDGLVGGEDGVKKREIQRFPGIVRARVSAFQQRIDAKLAKLAPPKPPAPPPPPDSARKVVLYLTSLRGIRKTYEDCWSTRSILHGYGVRVDERDLSMHAGFKDELHDALGAPAGRLPQVFADGRHLGSAEEIRRMHEAGELSKALEACEMAPPPGAGGKGIIALDACSGCGGVRFVPCEECSGSCKVFLEEVGTFRRCPECNENGLVRCPLCPL >KQL32095 pep chromosome:Setaria_italica_v2.0:I:41333932:41345903:1 gene:SETIT_016084mg transcript:KQL32095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECLNLVPIVSIWSASLALECMSVGIYAAQASIAVGSQVWVEDPDVAWIDGEVIKVNGATITVKCSNEKTVTVKASNVHAKDPEEAPCGVDDMTKLAYLHEPGVLQNLKSRYDMNEIYTYTGNILIAVNPFRRLPHLYDTQMMQQYKGADFGELSPHPFAVADVAYRVMLNEGISQSILVSGESGAGKTESTKMIMRYLAYMGGKAASEGRTVEKQVLQSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAVRTYLLERSRVCQISDPERNYHCFYMLCAAPPEERERYKLGDPRTFHYLNQSNCIKLEGLDESKEYLETRKAMDIIGISSEEQEAIFRVVAAILHLGNVEFVEGDDGDSSKPKDEKSLFHLRTAAELFMCDEKALQDSLCQRVIVTRDENIVKTLDPEAAKGSRDALAKTVYSRLFDWLVNKINHSIGQDPNSKCLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDVLDLIEKKPGGIVALLDEACMLPRSTHETFAQKLYTTFKNHKRFAKPKLSRSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLSASKCAFVSGLFPLLSEDSSKSSKFSSIGSRFKQQLQSLLETLSATEPHYIRCVKPNNLLKPAIFENQNVLQQLRCGGVMEAIRISCAGYPTRRTFYEFIDRFGILAPDVLSGSSDEVSAVRRLLEKVDLQGYQIGKTKVFLRAGQMAELDARRNEVLGHSASLIQRKVRSFLAQKSFIALRRSALQIQTVCRGELARRVYHNLRREAASLKIQTWYRMHTARKAYNELSASAVTIQSALRGMCARKELHFRRQTRAAIIIQSRCRQFLARLHYSRTKKAAITTQCAWRGKVARKELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADLEEAKSQENAKLQAALQEVQQQYKETKEILVQEREAAKKAAEVAPVIKEVPVVDAELMNKLRDENDKLKTLVSSLEKKIDDTEKKYQETSKVSEERLKQAMDAETKIVDLNMAMLRLQEKISTMESEEKVQRQALLSTPVKSMSEHLSIPIAPKNLENGYHEVEEQKEPQSAPPAIKEYGNGDPKLRKSCADRQLENVDALIDCVGKNLGYCAGKPIAAFTIYKCLLHWKSFEAEKTSVFDRLIQLIGSAIENEDDNCNLAYWLSNTSSLLFLLQRSLKAAGAPGSVSRKKPPQPTSLFGRMALGLRSASFANMHVEATDVVRQVEAKYPALLFKQQLTAYVEKIYGIVRDNIKKELSSLISLCIQAPRTMKASMLRVSARLSGQSQNQSNNWQKIIESLDKLLRTLQDNHVPPVLAQKIFTQIFSYINVQLFNSLLLRRECCSFSNGEYVKAGLAELELWCAKATTEYAASSWDELKHIRQAVGFLVIFQKFRISYDEIVNDLCPILSVQQLYRICTQYWDDKYNTQSVSSDVLSNMRVLMTEDSNNAESSSFLLDDNSSIPFSVDDITNSVQEKDFSDMKPAEELLENPAFQFLQD >KQL28534 pep chromosome:Setaria_italica_v2.0:I:6531091:6532075:-1 gene:SETIT_019959mg transcript:KQL28534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKITATAMVLLLLTLGAEADRCETHSRTYKGRCNNHNCWSICITEGNTGGFCKGTLLLKCMCTSECNGGGGGPLPPGGASSKMARAHNAGS >KQL30778 pep chromosome:Setaria_italica_v2.0:I:33257531:33259745:-1 gene:SETIT_020423mg transcript:KQL30778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCNPEVITMGITNDVEFLVIVSEGIWTFLTSQGVVDFIRSQLAAGTTNLRTICRRLCDHCELAAYNVTAILVQFMEAPPPKPEANLSGDNGNSNESGGNGNNNGAPPQAPEENLSGGNGNNSYDAPAAALEEQEEHEVYEQPEGREDQPLLTDHEITED >KQL29275 pep chromosome:Setaria_italica_v2.0:I:12601882:12602220:-1 gene:SETIT_020389mg transcript:KQL29275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRCTCWWKARLLTLFFGWASKDTNVLLVAAFVVVLFFF >KQL29623 pep chromosome:Setaria_italica_v2.0:I:22107709:22110780:-1 gene:SETIT_016590mg transcript:KQL29623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTPRMASPSSSPAPPPASVAAHRISSPQLSPKHRKPRIHRLAVAVAASTRRADRRSQRRTPVERRGRAGSIWVNPNSAPRPGAANRTLRRLVELDDLDAALRLLLGGPSTTSMPAASDSVAEPPAVITCNILIKKLCAGRRLADAERVLAVLRASGAADSVSHNTLVAGYCRDGRLADAERVLETAKASGASNVVTYTALIDGYCRSGRLVDALRLIASMHVAPDTYTYNTVLKGLCGAKQWEEAEELMEEMIRNNCHPNEVTFATQIRAFCQNGLLDRAVELLEQMPRYGCTPDVVIYSTLVNGFAEHGRVNKALKLLDTMLCKPNTVCYNAALKGLCIAGRWEEVGELVAEMVRKDCPPNDATFSTLINTLCQNRLVEYATEVLGKMHEYGYKPDVVSYNTIISCLSEQERVDDALKLLNSMLCKPDTISFNAVLKGLCRAERWCDAAELIAKMFKEDCPIVEMTFNILIDSLCQNGLVNDAIEVFELMPKSMPCKADIFSYNGVLKGLCAAARWDDAGELIADMVRKDCAPNEVTFNILINSLCQKGLVDRAIEVYEQMPKYGITPDIFTYNALINGFSEQGRLEDALKLLSTMCCEPDTISYNSVLKGLCRAERWKDAEKLVTEMLKKNCTPNEVTFKYANQLFMSNKAD >KQL30066 pep chromosome:Setaria_italica_v2.0:I:27836640:27838626:-1 gene:SETIT_017363mg transcript:KQL30066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAESHGIVIVGGGICGLATALGLHRKGIASLVLEKSEVLRAEGAGIGVQANGWRALEQLGVAAELRKTAGLITAYHDVWLQGGKSTRDRYPVRTELRCLNRKDLIEALAKDLPAGAIRFGCRIAAVHADPGGHGAVLTMADGATMKAKVLIGCDGGTNSVVAKYLGLPPVRTIPRPVLRGFTSYPHGHPFENEFLRLRVGDFFIGRLPITDNLVHFFVTMAKPAADEGLTGGDLRGVRDLVLRDLEELQCPTEITEVVRRSDPESLNLVTNFWYRPPWEVALRGFRRGVVTVAGDAMHAMGPFIGQGGSAGLEDAVVLARSLARAVGAAAAAGDDSKPPREEDAVVGEALGAYVRERRLRLTLLSLESFIMGVLLVRSPSPAVKLACVAVLVLLGSKSLRHANFDCGRL >KQL31888 pep chromosome:Setaria_italica_v2.0:I:40286584:40289698:-1 gene:SETIT_017401mg transcript:KQL31888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCVPAPIVASPDLKEGGSASTSTCRSISDASFIIQSAASRPTVTHARTPHKVTSSGWKPLTQPVALSEERKCASLTTAKRSRVTSSRAVKDLTNHSASKANINVPSGNKYRDEENISQGELDGAVMPSPPKKLQTCKDPSDSPSIRKSIIRILGAKRAAFLPTGKSEVETGKNFASVPAKVVSASTNVISESVDASVPLLAQQQQPGTAKISNAVTQAIANETSQLNQLAAAIITLPKQNRQTDYEKKPASLPIVPNQVSQLGGATAPLVAPKLEIGKGQNTSNLLSNPAYARALLIKQQEQLLQQYKLGTPQPQQHHIKGPALFETDEPPPVEPLGTRCQLCKLDVAFRPQGDAGRDANAPPVVAVLACHHAFHSSCIESIYGLAEPAECLACLEHGAVH >KQL29400 pep chromosome:Setaria_italica_v2.0:I:15112396:15112770:-1 gene:SETIT_020268mg transcript:KQL29400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYHSLLFIQICHLAKWILQQHISSYMLAC >KQL28394 pep chromosome:Setaria_italica_v2.0:I:5557331:5559135:1 gene:SETIT_016954mg transcript:KQL28394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLPVYLLLAVLLAIPLVLFIARTRPAPRSPGAGAGRLPPGPWALPVIGHLHHLAGALPHRALRDLARRHGPLMALRLGELPVAVASSPDAAREVMRTHDAAFASRPLSPMQELAYQGAEGVIFAPYGEGWRRLRSICTLELLSARRVQSFRAVREDEAGRLLRAVAAAAAAAAPVNLSERVAAYVADSTVRAIIGSRFEHRDAYLRMLQEGLKIVPGMTLPDLFPSSHLARLLSRVPAQIEGHRRGMRQFIDTIIAEHRESRASGNDNDEDLLDVLLRLQKEVDSQYPLTTENIKTVMLDMFGAGSETSATTLQWAMAELLRNPRVMRKAQDEVRRALAGHDKVTEDKLASLHYLPLVIKETLRLHPPAPLLLPRRCGSACRVLGFDIPEGTMVIVNAWAIGRYPGHWDRPDEFSPERFEESGRDFRGTDFEFIPFGAGRRMCPGMAFGLAHVELALAALLFHFDWELPGGMAAEELDMTEAFGVTTQLRSDLVVVPRVPVSTG >KQL31843 pep chromosome:Setaria_italica_v2.0:I:40048564:40049081:1 gene:SETIT_019125mg transcript:KQL31843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEEREGPYVISACTVVGDSQIWISTKGGGTFSFDTTSGVWSEAGDWALPFYGRVEYAPELALGFGFTSEGRQLATCDLGVASPTSSPVLQEVWDELAPPLPPRWVPVMSFLLPLGAGKFCVGRMEVVHGSRGALRMIRHKSRRYSVGCSMAQLR >KQL30588 pep chromosome:Setaria_italica_v2.0:I:31957742:31961229:-1 gene:SETIT_016177mg transcript:KQL30588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFSMQRATTWPRRFVLCSSLLVLLLFPSPANSQNSCDLGDLKALESFSKGLDGGGVGGWAFPNATSDAASCCAWAGVTCDGSGRVVGLDLHGRRLRGELSLSLAQLDQLQSLNLSYNSFRGAVPAPLFQLQRLQKLDLSYNDLSGRLPENMSLPLIELFNISYNNFIGSHPTLRGSEQLAVFDAGYNSFAGQIDPGICESSGAIRVLRFSSNLFTGDLPAGFGNCTKLEELYVEINNISGRLPDDLFRLPSLKSLSLQENQLSGRMSPRFDNLSSLAKLDISFNSFSGHLPNVFGSLRKLEFFSAQSNTFRGPLPFSLCHSPSLKMLYLRNNSLNGEISLNCSAMTQLSSLDLGTNKFIGTIDSLTDCHNLRSLNLATNNLSGEIPAGFRKLQLLTYLSLSNNSFTNVPSALSVLQECRSLTSLVLTKNFHDGKALPMIGIHGFHSIQVFVIANSHLSGSVPPWLANFTQLKVVDLSWNQLTGNIPAWIGDLESLFYLDLSNNSLTGGIPESLSSMKGLVTRNISQQSTETDYFPFFIKRNKTGKGLQYNQVSSFPPSLVLSHNKLTGPILPGFGGLKNLHVLDLSNNHISGVIPVDLSDMSSLESLDLSHNNLTGGIPSSLTKLNFLSSFSVAYNNLNGTIPSGGQFSTFSSSAYEGNPKLCGIRLGLPKCNSTPAATMIATNKRKNKGIIFGIAIGIAIGAAFILSIAVVFVLKSRFRRQDHTVKAVTDTNRALELAPASLVLLFQNKDDKALTISDILKSTNNFDQANIIGCGGFGLVYKATLPDGATIAIKRLSGDFGQMEREFKAEVETLSKAQHPNLVLLQGYCRIGSDRLLIYSFMENGSLDHWLHENPNGPSRLIWPIRLQIAKGAARGLAYLHLSCQPHILHRDIKSSNILLDENFEAHLADFGLARLICPYATHVTTDLVGTLGYIPPEYGQSSVATFKGDVYSFGIVLLELLTGKRPVDMCKPKGARELVSWVTHMKKENRETDVLDRAMYDKKFEKEMMQMIDVACLCVSDSPKLRPLTHQLVLWLDNIGVSSDAPK >KQL28188 pep chromosome:Setaria_italica_v2.0:I:4145800:4147420:-1 gene:SETIT_019292mg transcript:KQL28188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIWFHIHSLMPMEDSARAACVSRTFLRSWRHHPYLILSKETLGLKPNASGKGDVWAFTTKMDLILKNHSSAGVKTLELDVCECRDLNPCYLNDWLQIAITPRIESLTLVLPSKYEEEYNFPCSLLFGVNGNLIRHLHLTYCAFRPTVGAGCLRSLTKLHLSSVRITGEELGMLEMIESKAPNLSTFNFTGDNLVQLSLESLQVKNLDMDCLDEINFLNYSITKLPYMVPNLETLTLSSYNEMVNTPTVAAKFHHLKYLEIYLDRCLSPEYDFWSLASFLDASPILETFILINSMESEPVFEDASNMRQRPEHKYESLKDVMIFGFCSAKSMVELTCHILQNATSLESITLDTINDQDDEDNLGRCSLTPARKTGECCYLSNGMILEANKGLMAIQKYIAAKVPSTVELDVRGPCSRCHTLETR >KQL29114 pep chromosome:Setaria_italica_v2.0:I:10369291:10379785:1 gene:SETIT_016062mg transcript:KQL29114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIPEGSLIDGTAGSNTTSGNGGPNAISAPHLRSRQLFNVPTARRRSSRTTPNPFRGHPPTRLGSEALGSYEKKRKAPTEEAPSGISEAVKVSNSAPLTPRDPNRTYSSKDGAKGRFSEHAMVENAWSSPKKRRCTRISNYLSQFTPSSKGKATAVVVRSEQSSIPQETNKFKESPFQNLQRLPDGCHPDFDNDHLCSVNKLREFWHKSQGAVFVDDKEHVTKTILFILSVLPDACQPFLLLTTASLPLWKAEFSCFAPCINVVVYDGEKDVHKLVQNPEFHENGRHTTLHVLLSHPDSILENIKNVDCIGWEAVIVDYCQSSILKQLKQLKQLPTGFRMVLLSSPLKDNLLEYKNLLAFLNSEQEDNGAYVDADALAMLRARFTRHIAYERKAGSSNFLEYWVPAYLSQVQLELYSFMLLENSSILQSKMATDSVGALRDIVMCLWKCCNHPCLIGLEHSPGNTCGVTESMDDRMRESGKLQLLEKMLKEIRNKRLRVIVLFQSDGAVGDGTGNILEELIRHRFGRESYVRVQNRSAFSMKREAMNMFNDTTKGRFVFLIDSRACHSSINLSSVDTIIIYGSDLNPLNDLKALRKIKIESQLKFVRIFRLYTPFTVEEKGLVLAKQGMIVDSNGQDILPSLSHCFLSWGVSFLFSRVDELRQDNCASKSYYERGMVFMDKVILEFLAELSTDVEDSCKVNNATISKACMSGEFYSRNITLIGEREGVSSLDEDPPKFWLNLLDGESRGRRYKRINVPTGETKEARTKLSKTGEIAGSSSKFSSDVINDDLFPEIGTSSSADLHLLPETVHSEGMENLSTPKSLHAELKHELSKLIKVLKLPDNVCSLANQLLEYLLKNHLVVREPQGTQHMFNIALCWFAAYLLKYTKLDHQESLALAAEGLNYECNEELTRFFYKKLRLHKPGGRRNIIQTDRFSPHESSSANLRSDHIFPKHAIDCHDNFTNGTQESSSASEKMVSDGQELVSSPEADREWHLSSEEPPGRTATQRIDLFKNIFSLREKNIIEKQQLEISKLSTQRDNEVMKLKEVCHAVVQHIRISDIDEEIRNDQIKLVINWFTMLMYAFLAHMKLQLSKLEARQSSTWVEEQLMKEKLKHEVLSGPLDQFLDLCNTLPDSNFAVEEFIHFKKQNGDNHVDNILALGCDQLMDDGLMEITLVRNSVPSEAFSTCVVRNEPTETHMRSGGGAASESLDLPDDNISCSSDGVDLQRTCSASTIPANHDSINQESSTGEARSVEHAKRDNIADPSILPGSVTSPVMGTNADDDGTVAADPCHLEFPILASPQNLMTLQNPPAEADPTDTLLAMAARDLQTEMQTPCPTLDVQHRRVCPDDSSKMNLELDTAAEMLHEGTTSDHLGDSSTGVKDKNADTVASDPLNSESQYYNAPQNPVVLPDGHEVETQTDQSSMPAQHSTSLAAQQNLAISGYPPVEAESSSNLDMDAARSLQPDIQPSSSMLDADSSQTARQPETTPVLSQGGSTSQGFGVDNNGTVCAHQAHSESPTFAAPQSPDMLLFSSEVGIHANLSSTPSLQSSDADTPSRPPPAVAESPGMLGTQVEQNLHPDIAPSTSLSGVQLQGMFLDERSPAGCRSDGVTDLSEESETEYLTGATCNLATVPVYREAETEDDQASVPAQEIRSPHAQRSLATSQLPVDDLQQPTLILSEEAERAGTLCATAAQDLQNGMQPSITTQDARLNRTDLSGMPVTRSTTILQSVEPSSDPHAEQAGSVGMLSAPDLQHEVQPSPQMQDQPAEMEGAGTSGTIAAQNLQPETQSSTSVQHIPPERTHPDERIQIGLQPSSTSGPEHYQLFTVPPAAVNNLSHSSEPLINEYEKLKLFKAAVSKQYDQQKSKLQTECNQEMEKIVKKYELLLQKEEHTYHRSNKLADDMYMKLFLQQSLAENFQEKFMKSIPAQVRSMSPTIQQAPQSFQPALSRMSVAQTTSLPVASPPWLNSSHSTGPFLQVQPSQVARPSASEAVQPQPVILPGSLYRATPPPASSMPLRNGSYGRGPAPHLQQQRMLAASALALRDQQQLAAMSPGVTSSRQSAPGMLESFSSVSALAGVPLTSMAASSVQQAIPSAANLLPSLPASSLLPGSATELMANFLQSPPSTTPVPMAAQQASGLIPGLHHMPGGPPNGAAGIRQSGGHLAVMNQAAPEPSAMGEVVCVSDDDDE >KQL28255 pep chromosome:Setaria_italica_v2.0:I:4592074:4597159:-1 gene:SETIT_017364mg transcript:KQL28255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTVMTADEQILTLDVDPDESVENLKALLEVETRVPLRQQQLHFNGKEMQNSEKLSSVGVQDGDLVMMLPSNDRASQDVVKVNPDGSAANPQAFQQHVRGDSQLMAQLLQNDPQLAQAILGDDINELQNILRSRHQQRMELKRKQEEELALLYADPFDVEAQKKIEAAIRQKGIDENWEAALEHNPEAFARVVMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYRGVAVGVGQSEILGRIHVAPIKIGQQFYPCSFTVLDAPNMEFLFGLDMLRKHQCMIDLKDNVLRVGGGEVSVPFLQEKDIPAHIRDEEKLSKLASSLGQATGESSKAREGTPDLPQRTPPAGAPVANPPQGGDFEAKVTKLAELGFDRASVVQALKLFNGNEEQAAAFLFGG >KQL27768 pep chromosome:Setaria_italica_v2.0:I:525324:527303:-1 gene:SETIT_017903mg transcript:KQL27768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKHGLKNWQTIASAIPSRNAQQCRIRWTRSLDPAINKEDWSEEEELKLIRAHQIYGSQWLKMVKHFPGRTNHALKEHWRGRMKGKLNYYLASGRLEEITDLKEDISVPESSQSDIPKDSQGSSERNRPPSSLPPRPKSKTDLSELDENADTSEEESSDCIYPKGLDAHSAKVSEKIMAKSKQRARARKKLDFLSTPVELKVCTAAASCQRPPPKMEQTTPASDNSSPSDVCQGIPQNAASEPVDVVIPTAASNHPNDVHSLATPDPCSLEIHEADASDLLDMSYCDGLMIDSPLYLHGSSFI >KQL28694 pep chromosome:Setaria_italica_v2.0:I:7509829:7511811:1 gene:SETIT_019932mg transcript:KQL28694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTMQPLWSSCNNRRSKTMHVPLLGVALVLLLFLASPPTSCKEEEKTSLLGFLDGLSQNSGLTTSWQNATNCCLWEGIICNVDGAVMDISLTSRGLEGHISPSLGNLTSLLRLNLTGNSLSGGLPLELLLSSSIAVLDVSFNKLNGEFHELQSTRDSMMKVINISSNLFTGNFPSTTIGSMKNLAALNMSNNCFTGEIPSTLCVDKPYFVVLDLSYNQFHGRIPTELGNCSGLRVLRAGKNQLIGTLPAELFNVTSLEHLSFPKNHLQGTLEHVGKLRNLVILDLGWNGLNGKIPDSIGQLKKLEELHLDNNNMSGELPSALRNCSNLTTIILKDNNFQGELKRVNFSTLSNLRFLDCRSNKFTSTIPASLYSCSNLIALRLSFNNLHGQFSLGISNLRSLRFLALSHNNFTNITNALQILGKSRTLALLLIGGNFKHETMPDYDTFYGFENLMCLAINECSLYGHLPNWLAKLKNLRGLLLDNNKLSGPIPTWIDRLNLLFYLDISNNNLTGDMPTALMEMPTLQSAHSDPIVLKFPIYLTPFLQYRTTSGFPKMLNLGNNKLTGMIPPQIGQLQALLTLNLSFNNLHGEIPQSIGNLTNLQVLDLSYNDLTGAIPSSLEMLHFLSKFNISSNDLEGSVPTGDQFSTFPDSSFHLSGGL >KQL27760 pep chromosome:Setaria_italica_v2.0:I:465460:467800:-1 gene:SETIT_019213mg transcript:KQL27760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGADDDEAPCAYCSVQRALLHCAQHRARLCLPCDLRVHAAAAPAHERAPLCDACHAAPAAALCGDHQASLCAPCARAAGCDAGRHATRPARAYTGVPAVEELARILSGDTTPPPPPSAAALPEPADTSWIPDLINIELLPDLTSTSSWRDGNASTELLPGSLIETGGSFEGQIAGSSAAALPPTGDGDELFMQQDWPNLSDAGLDDFNFFIAQDSNLTNSFNSMGHREGALELQASPPLGYDHPLIASCSEPIIASTDAVLESLASNNAAYHQQQFSSVLTASSSNNNVGFSSELHPRGNMFYASRGGMPMLPRRDELPSRHLGLEVKPYQDQDAVALQASSIMAEQPSSQGMEARTKQQEKRQEAKQRYKDKKKNRRFGKQIMYVSRKVRADTRNRVKGRFAKASSSSWHASGDQQSTQHGDDQPTNS >KQL32189 pep chromosome:Setaria_italica_v2.0:I:41718814:41720268:1 gene:SETIT_017991mg transcript:KQL32189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANRYSERQPIGTAAQGSDDKDYKEPPPAPLFEAEELTSWSFYRAGIAEFVATFLFLYISILTVMGVSKSPSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRALFYMVMQCLGAICGAGVVKGFQQSLYMGNGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNRSQAWNDHWIFWVGPFIGAALAAIYHVVIIRAIPFKSRD >KQL27808 pep chromosome:Setaria_italica_v2.0:I:811350:811853:1 gene:SETIT_020528mg transcript:KQL27808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCHRQIPPCYDQDLEYMRLSACQSLPFKLQTTTAVCLKQTQLMSHDPFQA >KQL28150 pep chromosome:Setaria_italica_v2.0:I:3794483:3796444:1 gene:SETIT_019630mg transcript:KQL28150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNRFTGSIPPTIGNLSNLLVLSFAQNNLSGRVPDSIDDLVQLTEFYLDGNNFSVDIPQGLGQWRQLEKMNLSHNSFDGSMPSAIFNISSLSQSLDLSNNYFTGPIPMEVGNLITLGRISISNNRLTGDIPSTLGTCVLLEVLHMEGNFLTGNIPESFVKLKTIKEMDLSRNNLSGKIPEFLASLSSLQPLNLSFNDFEGPTPSSGIFGNSSRVSLKGNHRLCANTPESSLPLCPELGSKGENKSVVLKIVIPIAVSAVVILLLCIVVILLRRRKEEPALQHSSLHIQKIRYEDIAKATNGFSLVNLVGLGSFGAVYKGTLPFEDDPVAIKVFNLNQYGAPKSFVSECEALRNTRHRNLVKVITLCSTVDPTGSDFKALIFQYMHNGSLERWLHPEDHGYDNKRFLSLGERINIALDIAYALDYLHNQCATPVIHCDLKPSNVLLDLEMTAYVSDFGLARFMCTTSTAVPANSTSFANLKGSIGYIPPEYGMGGQISSKGDVYSYGVLLLEMFTGTCPTHEKFIDAMSLHKHVATNFPNGVAEILDPTMLQNDLDGNSEIIQSCVLPMLKLGLSCSMASPRDRLGMAQVSAAILDIKHEFELYSGGISVSDS >KQL30173 pep chromosome:Setaria_italica_v2.0:I:28587748:28591898:-1 gene:SETIT_018174mg transcript:KQL30173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPADKGKKAKTEADGGEENEQIDGALVLSIEKLQEIQDELEKVNEEASDKVMEVEQKYSEIRRPVYLKRGDIIKTIPDFWLTAFMSHPLLSELLTEEDQKIFKYLDSVDVDDSDVKAGYSIHLNFSENPYFEDTKLTKTYSFADDGTTTIKASQIKWKEGMGPVGNGINKKGAKRPLVEESFFTWFGDTELKSLADGVQDEVAEIIKEDLWPNPLKYFNNEVEDEFEGDEEDDEDLDGEDGEDDDEEN >KQL32258 pep chromosome:Setaria_italica_v2.0:I:41964440:41975697:1 gene:SETIT_016064mg transcript:KQL32258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAAAKQIVDSLLARFLPLARRRIETAQAQDGQYLRPSDPSYEQVLDSLAMVARHTPLPLLEALLRWRDSESPKGLHDASTYQKKLAIECIFCSACIRFAEYCPQEGITEKLWIGLENFVYDWIINADRVVSQVEYPSLVDLRGLLLDLVAQLLGAISRIRFSSVTERFFIELNTRRSDTPALRSETLSIINGMRYLKLGVKTEGGLNASVSFIAKANPLNRPPNKRKSELQHALCNMLSSILAPLAEGGKNHWPPLGVEPALSLWYDAVTRIRVQLMYWMDKQSKHVAVGFPLVTLLLCLGDANTFNSNFSQHMEILYKYLKDKNHRSMALDCLHRLVKFYLNVYADYQPRNHVWDCLDSVTSQLLTVLKKGLLTQDVQHDKLVEFCVTLAQSNLDFAMNHMILELLKPDSLSEAKVVGLRALLEIVVSPSNQQVGLDVLQVFGIGHYIPKVKSAIESILRSCNKAYSLALLTSSKATIDNVTKDKSQGSLFRSVLKCIPHLIEEVGRNDKMTEIIPQHGISIDPGVREEAVQVLNRIVRFLPNRRFAVLKGMANFILKLPDEFPLLIQTSLGRLVELMRLWRVCLSEEVLAKDIQNVRRSSIGGDALQRSPFHRPRDVSEFRASEMDAVGLVFLSSADVQIRLTALELLRCVRALKNDLRDYSANEWGDNKLKLEPEPIFIIDIIEENGEDIVQSCYWDPGRPYDLRREMDPIPLDVTLQSILESVDKSRWARYLSEIVKYAAELCPSSVQDARVEVIRRLEQITPVELGGKAQQSQDTETKLDQWLIYAMFACSCPPDNREELPLRASREVFHMIFPSLRHGSEGYALAATSALGHSHLEVCETMFGELSAFLEEVSSEAEGKPKWKNPRSRREDLRTHVANIHRMIAEKVWPGMLSRKPVLRLHFIKFIEETYRQINMSLPDSFQDLQPLRYALASVLRYLGPEFIDAKSERFDNRMRKRLFDLLLTWSEDSGSSWGQESSSDYRREIERYKTSQHTRSRESLDKLAFDREMAEQLEAINWASMNAVASLLYGPCFDDNARKMTGRVISWINSLFMEPSTRAPFGHSPVDPRTPSYSKHTDGGRFGGRDKQKTSHFRVLLAKTALKNILQTNLDLFPACIDQCYSPDPLIADGYFSVLAEVYMRQEIPKCEIQRLVSLILYKVVDQTKLIRDSALQMLETLSLREWAEDDTDGIGHYRASVVGNLPDSYQQFQYKLSSKLAKDHPELSEHLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFVRLKESGWSERLLKSLYYVTWKHGDQFPDEIEKLWSTVASNTRNIIPVLNFLITRGIEDCDANPSAEITGAFATYFSVAKRVSLYLARICPQQTIDHLVCELSQRMLEDNEEPVRPGKVDVSANVVLEFSQGPTASQVSTVIDSQPHMSPLLVRGSLDGAVRNVSGNLSWRTSAVTGRSVSGPLSPLAPEVSIPNPTAGRSGQLLPALMNMSGPLMGVRSSAGNLRSRHVSRDSGDYYFDTPNSTDDILHQGGSGVHGINANELQSALQGHQHLLSRADIALILLAEIAYENDEDFRENLPLLFHVTCVSMDSSEDIVLEHCQDLLVNLLYSLAGRHLELYEVESSERENKHHVVSLIKYIQSKRGSLMWENEDPTLVRTELPSASLLSALVQSMVSAIFFQGDLRETWGSEALKWAMECTSRHLACRSHQIYRALRPSVKSDSCVLLLRCIHRCLGNPVPAVLGFAMEILLTLQVMVENMEPEKVILYPQLFWGCVALMHTDFVHIYCQVLELFCRVIDRLTFRDRTTENVLLSSMPRDEFDISGYVTDLHRLESRTTSERLLSVTETGKVPAFEGVQPLVLKGLMSTVSHGSAIEVLSRITIPTCDSIFGSPETRLLMHITGLLPWLGLQLTKEVQAPSLGPASPLQEQNQKAYYVASNISVWCRAKSLDDLAEVFGAYSYGEIMSLEDLFTRASPAICAEWFPKHSSLAFGHLLRLLERGPLDYQRVVLLMLKSLLQQTPVDPSQIPQVYNVVSQLVESTLCSEALNVLEALLRSCSGVTGGQSEEVGFGENGHGAGEKVLQSMSSFKARSGPLQYAGGSGLGSLMGQSGGSAADSGVVARDVALQNTRLLLGRVLDTCALGRKRDHKRLVPFVANIG >KQL29078 pep chromosome:Setaria_italica_v2.0:I:10199835:10201948:-1 gene:SETIT_016886mg transcript:KQL29078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPAGAEAEASPLPPPPGCARFPPVHEYDPSARPRRTVAADLDGTLLASSSAFPYYFLVALEVGSYLRALALLLAAPLLLLLYTAVSEAAAIALLVFITFAGLRARDVEAVARGVLPRHYAAGVRADTWAVFRGCAERRVVVTASPAVMVGEFVREFLGAEVAGTELETCAGGKRFTGRIKAVLVGERKREVVERMFPAGDMPDVGLGDRESDHDFMAICKEAYMVPPDRRAPRAAADTLLSRAVFHDGRLVRRPDPAHALFALAYLPPGLLLALFRVFFNLLFPVCLVRHTYRLTGINLTVRGTPPPAPRPGSPGSLLVCNHRTALDPIIISIALGRPVTCVTYSVSRLSTAISPIRAVALTRDRAADAARIAELLEEGDVVVCPEGTTCREPALLRFSALFAELTDRIVPVALEARQGTYYGSTARGWKWLDPYFFYMNPRPGYEVAFLPALRAEETCGGGGRSAVEVANHVQRVIAKELGFDCTTLTRKDKYMKLAGNDGTVVVGKKGCRDDDDAGSGSKKLA >KQL30978 pep chromosome:Setaria_italica_v2.0:I:34831128:34835537:1 gene:SETIT_016803mg transcript:KQL30978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCGVTLRSKYFASFRGGASQRHDAAGYAPVATAADDPAPHGNGKRTARPAEAGAAADGSAPPPAPGMRRGAPAPAELTANVLGHPTPSLRDHYALGRKLGQGQFGTTYLCTDLATGVDYACKSIAKRKLITKEDVEDVRREIQIMHHLAGHRNVVAIKGAYEDQQYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDMSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLRKRYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISESAKDLIRRMLNPRPAERLTAHEVLCHPWICDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALQVIAESLSEEEIAGLKEMFTAMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMEAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPAAFLDDVIKEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPAAL >KQL31455 pep chromosome:Setaria_italica_v2.0:I:37628457:37629518:-1 gene:SETIT_019594mg transcript:KQL31455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFAVALPAAAFPAGLPPGAPAFPNPWAAFQNLSGCHMGEERQGLAGLKDYLSHFGYLPPPPSSSPFTDAFDEDLEAAIATYQRNFGLNATGALDASTVAQMVSPRCGVADVINGTSTMARNSSAAGAHGRHLYAYFPGGPRWPPFRRDLKYAITATSATSIDRSTLSDVFARAFAQWAAATNLRFAETASESDADITIGFYTGSHGDGEPFDGPLGTLAHAFSPTDGRFHLDAAEAWVAGGDVSRASSPGVVDLESVAVHEIGHLLGLGHSSVPEAIMYPTIRTGTRKVELEADDVQGIQSLYGSNPNYRGVTPTSPATSSREMDSGAGAGLRPDAVFVGVVAAVGLLLAP >KQL28911 pep chromosome:Setaria_italica_v2.0:I:8838845:8839278:-1 gene:SETIT_020527mg transcript:KQL28911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYIIIALGQVQISKGINVLPFSLLQNMMPHCNSSEVSQSSMVAVI >KQL30288 pep chromosome:Setaria_italica_v2.0:I:29627347:29628264:-1 gene:SETIT_019328mg transcript:KQL30288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAHLFAHTHGHHHRSKRPTPAGIMDADGDGDASPKGARYRGVRRRPWGRFAAEIRDPMSKERRWLGTFNTAEEAACAYDVAARAMRGNKARTNFPVHAAAAACWPWSAAPQPVAGARTLDPIFLHNLLMSSSYQGCRLLHHAVHGYPSPARPLPPAPAPTPTAPAPGAMAAPAVTAPAVASSPVAPLSMDMDVWGRALRTEPPDAGLLQDALHGFYPSMLPRAAVDHPMELGADARDAVAAMKKLERHEVSAVAFPCVGDDVDEDGEHPMMPQGLLEDIIRYPAFVDVAAAPPAATRRGRRRG >KQL32149 pep chromosome:Setaria_italica_v2.0:I:41542895:41546449:-1 gene:SETIT_020002mg transcript:KQL32149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRRETGAYRHTGLERERGRPITNAKNKKSERTVANPIRIPSKNPPNSLPHLQEQEQESILGKKEAQKDQDKARRRKEMDPALLDDVIRRLLEVKNLKPGKNAQLSESEIKQLCAAAKEIFLQQPNLLELEAPIKICGDVHGQFSDLLRLFDYGGYPPQSNYLFLGDYVDRGKQSLETICLLLAYKVKYPENFFLLRGNHECASVNRIYGFYDECKRRFSVKLWKTFTDCFNCLPVSALIDEKILCMHGGLSPELNKLDQILNLNRPTDVPDTGLLCDLLWSDPSNEAQGWAMNDRGVSYTFGPDKVAEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPARKMLAGSTNNKSGFKSLRGW >KQL30248 pep chromosome:Setaria_italica_v2.0:I:29342618:29343473:1 gene:SETIT_019254mg transcript:KQL30248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAASTPATPTAVYVAAVPLRASKGPAQLLMSAGYTLGLWDLQHFMVVLRPDPARTQALVFDFQPRDPEDVGAALAVLSRSEIPGVVRRRTLRRVPDRRCWLVGHCCDGDAVGAADRFSERWPTGLVVGEHDCRDYTNGLVEVLTGEKRVLEALRSGGSTSISGAAPPWCECLSLFSFLFRSPSFSWRTSSACAVCLLNLALGLEIWFSISW >KQL28530 pep chromosome:Setaria_italica_v2.0:I:6490617:6490772:-1 gene:SETIT_019122mg transcript:KQL28530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKRMARITYLSLATFTWKQALLLLPHTVVMYFILASICQHMLMKYINEY >KQL30287 pep chromosome:Setaria_italica_v2.0:I:29615560:29616429:1 gene:SETIT_020138mg transcript:KQL30287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDATNAHLYAHAHLHRSKRPSPAAFKEEDGDCDALHKGARYRGVRRRPWGRFAAEIRDPASRERRWLGTFDTAEQAACAYDVAARAMRGSKARTNFPVHAAAGFWPWGAPPQPAHTLNPFLLHNLIMSSSHHGFRLLHQAGHGHVVNSSAPSKPPAPVAAAIPAPSPVAPPPSDLDDEDVDDWAGLMRGEPADAGLLQDALHGFYPAGTRPRGGASRSLSASGADARAAAADVPVKQERYDAFVDIDGEEGGEYPMMPQGLLGDVIQYPAFMEVVAAPSAPTRRGRWG >KQL31062 pep chromosome:Setaria_italica_v2.0:I:35351629:35356719:1 gene:SETIT_017129mg transcript:KQL31062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGELLWLGAVAWPRSLRSKGSGSVGGQWGYHPSVRPRALTATRRPPARPAFKAPTAVLLFCSLCCGLRPHTISLPLHLQPRPCYFSAFSKWVQSKEAARFAGAKRGRRDSEMGKGGELWDDSALVDAFDRAVATYKEMHGKSNRATPCEDEKPEHAAAAAAAAAATAQVEEAVTAETADEHREKDANRDNTACGMAETPQLPSEEKQAVEQAPLQETDPGKETHVPESKAISDATDADGNVSSSQQTWEYNELLRQYYELEEKSRNVLQQLQQANYWNYQASGYSSTTQQQQIPAYSATAPDPHSSTTQSSCCYWNVPLVSVSCCSAGQPSEGSASMPPSGGCSVSLTCDQCPGASTTYPSISNSMQLPTKLSPNDDQVAKAAMMTAEGALNFMRSTVAGQPGSQGNESETRKEENTSMGMNPNLDITGADSDLATLLNAWYAAGFYTGRYLALQSTKNSKQ >KQL30180 pep chromosome:Setaria_italica_v2.0:I:28669225:28671406:-1 gene:SETIT_017736mg transcript:KQL30180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHAGGAAFAEKVPGVRQGVAEVVDGRDAVHRRLRTRRRLGCTVGLPPPKPQPNSATAMLARTRLPPPAPSPHVATGGGGRGVEFRRKLHFLSSELHLDPFPLLAANPALRSAPLPLLRDSLRLLASHGLSARDAARVFSAFPSLLTSPPGEPLRFLSADAPLPPPLLRAAVVRSPRLLAASVPDTLHPALLFLRRRVALLREPLPLAAALLLAFSVERTLLPKLLFLRDATGLPDPAVCAVLRRAPAILSYGIETNLTPKLQFLAERMGRDPAAELAEFPHYFAFSLEGRIRPRHEALRDRGIKMSLKDMLTSNDDEFRERLINATLSDTKARL >KQL31511 pep chromosome:Setaria_italica_v2.0:I:38075480:38080913:1 gene:SETIT_016306mg transcript:KQL31511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVGSRAIRPKGSRQNGLIGGDSDDSSHKKRGRKDKSEKPRKGGHGSSKGPSVGKPQHGKDKKQRSDDGKKGKGRGKDHRSGSSAVMNPRNQDKLPSSNTTKPVQNVLRKRVDPETAKYFMEISNLFDNKEIDLDERSTICANALEETRGKELELATDAVISHTLQVLVEGCELEQVCTFLRNCIGSFPVIAMDKNGSHVAEAALKSLATHLEDQASRTMIEEILNKICKVIAADAANVMSSCYGSHVLRTLLCLCKGVPLESLQDFHTTKRSAVLAERLSCGTNQSGGHGPNNFENGFSDIFKSFIREMLHNAKADIATLRIDKNSSLVLQTALKLSCGDDNELRHIISILFGYDEDGTVEMRDYSEKKEEIVTLLEESAYSHLLEVIVEVAPDELRNGMLLGTLKSALFPISSHHCGNYVVQALISSAKTSDQMSQIWEELGSKIKELLELGKTGVVASILAACQRLETYRLESSQALSAALSSDSESPDSIVAHMLFLENYLRERSYWKWPLGAKMSVLGCLMLQSIFQYPHQYIRPYVASLLAMEDDQILQISKDSGGSRVLEAFLGSSATAKRKFKVFGKLQGHYGEIAMNPSGSFLVEKCFTASNFSHKEAIVAELLAAQSELSKTRHGFHLLKKLDVDRYARRPEQWRASQTSKETTLRQFEVEFGSNSKSVAQTFEEKFLSQSPSKKRKQGKSDKITEDASYNKPDFSQTGNSKRPKSAKSTSEKEFSSKKLAREGTSMAFLKDSGKRKSPGFLSDKPSLKKQKHQWPTSGKPDGKRFGQGSSSSMPFVKNTGKPKRSIAELADLAGKEKLTAAEVRKLLKPEMSKS >KQL31622 pep chromosome:Setaria_italica_v2.0:I:38874965:38878265:-1 gene:SETIT_017747mg transcript:KQL31622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGIARAVSFGGRATAGWFSYRRVTVAVCLGNLVAALLVLRSLTAPASFAPTAPNNGGVVPYTEEQIKRVEESIRIRREAEPVELVQAVKKLQKVFAREEKWRKELPLVLKQKISYDIVRRLQELGGNGSLAQQREVVESWRAEKLKDIKSASTQNQTNLDLSSDETRMLKRALEFNWRMLMEDIGLWIPEEVTHTVHDDKPENEPEEEEIIAGPPLSPQCNAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKNAKPGELKCNIWVYCPSEFGCYSPDKYEHKHQECWLKQADHPKLNFKDKYSESYRDAHPTAPVVVPWMSGVIGA >KQL28367 pep chromosome:Setaria_italica_v2.0:I:5434323:5435642:-1 gene:SETIT_017624mg transcript:KQL28367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLRHSLVPLMLLQLHILSGGPAAAKVPALIVFGDSTVDTGNNNYISTVIKSDFAPYGRDLRVGSGGGQPTGRFSNGRLAVDFISEAFGLPPLVPAYLDPNADMSSLATGACFASAGAGYDNATSDLFSVLPLWKELDYFKEYAARLRSFHGDDKAQETLSEALYIVSMGTNDFLENYYGVPSGQAARYAAASDYAGYLLGVAESFARALHALGARKLDLNGLPPMGCLPLERRAATGACTEEYNAVARGFNEGLRDLVARLDGGLGGGARVVYGDVYGAVAEVLADPAAHGFEDVGAGCCGATGRFEMGYMCNEASPLTCADAGKFAFWDAIHPTEHLHRFIAERKMNTTLYVFL >KQL29883 pep chromosome:Setaria_italica_v2.0:I:26014526:26015658:-1 gene:SETIT_018263mg transcript:KQL29883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHHLLLLLLAVLLPAAATADPDAVQDYCVPDAGGRGRPLELSLLRTYPCRSPVNLTASDFAFAGVRAAGNFSADTGFAGVSVTPAQFPALHTLGVSFARADLSAAGGVNPPHYHPRATETALVLAGRVYAGFVDSGGRIFAKVLEKGEVMVFPMGMVHFQMNVGDEPATVYGTFNSENPGIVRIPATVFGSGIKGGVLERAFGLSPAELRRLEKRFGPPKTKLSEMED >KQL28759 pep chromosome:Setaria_italica_v2.0:I:7938008:7941137:-1 gene:SETIT_017367mg transcript:KQL28759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAPEGSQFDGKQYDNKMQELLTEEFFTSYDEVCESFDNMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDNIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQKFYNVTVEELPANVADLL >KQL30909 pep chromosome:Setaria_italica_v2.0:I:34296631:34296960:-1 gene:SETIT_020323mg transcript:KQL30909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNATSRKRCPATYKATFKVYLPSNSIDHDVYSKTQPTIALC >KQL29726 pep chromosome:Setaria_italica_v2.0:I:23883441:23883896:1 gene:SETIT_020061mg transcript:KQL29726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLAIVLPFGLILISTYAFQLMANARRRLPPGPLPLPVIGNLLTIGRGSPHRSLARLAERYGPLMSLRLGVVHAVVVSSSSAAREVLQRHNAVLADRPVIDAWLANGHRANSIIALPPHAKWRALRRLCATELFAPGRLDALGPLRQRK >KQL31778 pep chromosome:Setaria_italica_v2.0:I:39721317:39725578:1 gene:SETIT_019280mg transcript:KQL31778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGVVSREVLPACERLCFICPSLRTRSRHPVKRYKKLLAEIFPRTQDEGPNDRKIGKLCEYISRNPMRVPKITVYLEQKCYKEMRAERYGSVKVVMAIYRKVIYSCQEQLPLFANSLLTIVETLLEQNRQDDLRKLACQTLFDFINNQVDSTYMFNLENQIPKLCHLAQEVGEKEKICILHSAGLQALSSMIWFMGEHSHISAELDSVVSAVLENYESPYANSDNDDTPAEDKRNRWVSEALKSEGHEPPAVSILTRISSWKDIRAAHGELSLTIEESRSPNFWSGICLHNLARISREGTTVRRVLEAIFRYFDNNSLWSRSKGFALCVLLDMQIVMDKSGQNAHILLSMLIKHLEHKNVLKQPDKILDIIEITTCLAEHSKAQSSTALMAAISDMVRHMGKSMQSLANDGGPGDNIAKWNNGYGKAVHECLVQLSRKVGDAGPILDTLAVVLENISSSTTVARSTISAAYRTAQIVASLPNLSHQSKAFPEALFHQLLLAMVYPDCETRLGAHRIFSVVLVPSSVSPCPFSDTSQTSKIDLRRTLSRTTSVFSSSAALFGKLKRDVLSSRESPLLDNTKLTPISENADEISANDARLFKSQTIQRMASTKDISFPSSTDTSTLSVPTQEKDAVTLMLSIRQANLLLSSLWTQALSPENVPRNYEAISHTYSLMLLFSGAK >KQL31398 pep chromosome:Setaria_italica_v2.0:I:37276611:37277212:1 gene:SETIT_018689mg transcript:KQL31398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMDLAMHQRRWTFRLSTRARKRHDDKYAGEQSSGESVCAYAPARPRRPTTTSAAREAAAKDGWFARARGRELIRAFARYRLPPRPPGGIFCSLTWWTRSMGKRCRPDRLYDGDASFGWWLSVFFLFYF >KQL30504 pep chromosome:Setaria_italica_v2.0:I:31281364:31281997:1 gene:SETIT_019097mg transcript:KQL30504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDLSLPLDLGFSKLSYLAVFDNKLTGHLPSSIGHLQDSLFEVLLLNNQLSDCLPHELGMLNKAAVIDAGMNQLTGPIPASFSCISSVEQLNLGGNRLYGQVPDVLCKLAGPAGRLANLTLAVRSVAPACAALIKDGVLDVKNNCIPGLANQRRPAECAAFQSQPKTCPAATTQVACPAAPAAAPGERNVIRDYSGYVTYATLHD >KQL32053 pep chromosome:Setaria_italica_v2.0:I:41123835:41125775:1 gene:SETIT_017913mg transcript:KQL32053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTAAAAAPPSSCEIARIPEDLLAASIARTTPRNACRAAAVSPAFRAAADSDAVWACFLPGDLPPLADGELSPAPPSKKELFMRLSNSDSPVLLADRLMSMWLDRDTGAKCYMLSARALCIIWGDTPRYWRWIPLADSRFSEGAELQDVCWLEIRGKIHCKMLSRNSTYAAYMVFKISDESYGLDYPPQEAAVTIGESKFTRQVCLQGHENEGEGIEEVPQNYRSLMVPAITRRLRRNRPLPHGVTVPKKRADGWMEMEMGEFNNEEGEDGEVSISLMETIQGHWKKGLIVQGIEVRAKK >KQL31153 pep chromosome:Setaria_italica_v2.0:I:35958405:35959140:1 gene:SETIT_019856mg transcript:KQL31153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLHNAYKFYILKDGTHNPKKMKVMKIIYHKYQPSGSVLCGYYVCEFIRNNGRYRTNPEDMPTIHGNYTKIEEKQIDNICTDMARFILCEICHEDGAFFDKAGVLMMDKCTNP >KQL28034 pep chromosome:Setaria_italica_v2.0:I:2842139:2843103:-1 gene:SETIT_018918mg transcript:KQL28034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDKVDNGCKKVLSVSLGILPRVLIMVTKSEIGFFIASRQTCAASRLDLSQVVINNGVIKETTLPYPAHVLRNG >KQL28453 pep chromosome:Setaria_italica_v2.0:I:6012494:6012951:-1 gene:SETIT_018955mg transcript:KQL28453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLERWRRRRQRRPTAGIGGGRALPGDRYAASQRCSFPCQHDSIAMAEVLQLTSFPSERDRHAPPLS >KQL32103 pep chromosome:Setaria_italica_v2.0:I:41380915:41382472:1 gene:SETIT_019617mg transcript:KQL32103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKPQHHHHGSSSLGAEELNLLHMARGSPDGGGRGGGESRGALGQWKCRLLGSLGGLLPRRARCVVCLQVQHVTGLPPAAEGRGVVVGWRSKGGEGEHTAPARVARGAAAFDEVFLHHFSAGGATLRSFTVWAALLDSPANGDLGAFPVDLTEVAAAETFNPKFGGKVLSFPLGGAAAGAVLTVSIYCRVMEPEESHGANGHAREKMKNKGKGSYASCLPDLSCLRNRQVAAASGSARRATSIRSDRGGFITIENSVAEMDGDGAGGAAAFRVAEDVDEEGAGFITMEKGTVSSRSRRPPLPDAVSSSADEEDEKPCLFMELSEEAASVASAFDVDKVEDEFLAMLEDKYWARSKEIEKGLSVSLDIGLDLGLDLDSLIKDAEMELAKAEQRGKSKVGAAIVEEEEYKSSSG >KQL30060 pep chromosome:Setaria_italica_v2.0:I:27790521:27792214:-1 gene:SETIT_019840mg transcript:KQL30060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVHAPLTRSRCYNNLPGEHVALYYSQRASKGGLLIAESTGVSETAQGYPNTPGIWTKEQVEAWKPVVEAVHRKGGVFFCQIWHVGRASTYDYQPSGQAPISCTDKQITPEVLEDGTVEEFSAPRRLTEDENPHIVNDFRLAARNCIEAGFDGVEIHCAFGYLIEQFMKDSVNDRTDKYGGSMENRCRFALEVIKAAIDEIGADRVGVRLSPYSNCLDCWDSDPDALGLYMIHAMNKLGVLYCSMVEPEGVKVDGKVQIPYKLLHFRKAFAGTFIVAGGYNREEGNKAVSEGYTDLVAYGKWFLANPDLPKRFELNAPLNKYDRSTFYTPDPVVGYTDYPFLDLSSV >KQL30922 pep chromosome:Setaria_italica_v2.0:I:34406180:34410279:1 gene:SETIT_018304mg transcript:KQL30922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSGLNLVTTVIGFGMSATFIVFVCARLICGRAARADADAADATAVAAARALAQPPAPFDFDVEFRNVADLDRTIERSRSGLDPFAVAAIPTMSYSSEAFHSKDDAQCSICLGEYREKEILRIMPTCRHNFHLECIDVWLQKQTTCPICRISLKDQAGAKSAASPLRGLPQLLGHPESSVNRSPHWILPIHRDRTGGRQNSPTSQESLEVIIEIQPQRH >KQL31887 pep chromosome:Setaria_italica_v2.0:I:40282658:40285795:-1 gene:SETIT_018360mg transcript:KQL31887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPTPADPPRIFWKSRRSANGRSLQQEPDKDATEEVNEQAQEESMKIDDATDTTATAEDVQPDPKANLSEKRKALFEPLEPINGKRSAEMLLPPPDFEPTSYPKGWLVGKKRKLVNVDVVESMRRIAVQEMNRKDREINGLNEQLEEDSRVLELLQKQLADERKKRAEIEKENSMLHEQVSMLMNMLDENEGFDEEGEAPPPDSFD >KQL28298 pep chromosome:Setaria_italica_v2.0:I:4850526:4851699:-1 gene:SETIT_019634mg transcript:KQL28298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAPGVLAAAAALGAVVILAAAAGWHGAVGNSCTNAFPGLISHTERAAAQPMSGPGHHGHGHEHGWHYLSATAKMWASTHNDTLNVKMSSVVDALNDCQKKMGTGYLSAFRDRVKNVIQKYSIERHWESLNEETGGMNDVLYQLYTITNDLKHLTLAHLFDKPCFLGLLAVQVC >KQL31724 pep chromosome:Setaria_italica_v2.0:I:39389877:39390468:-1 gene:SETIT_020642mg transcript:KQL31724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSVISFNLFGVLFVVLCVAHLCCEKSCSCHVLIHIVYWGKNQV >KQL27740 pep chromosome:Setaria_italica_v2.0:I:331560:332368:-1 gene:SETIT_019874mg transcript:KQL27740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSPPTAGARYMAVILAVLLAGLALNAAVRYLIRCSRRARRQGGASATEADPDPDPEKAAPPQPPPPPPALVYSAAVTKLAGAAAECAICLAEFVDGDTVRVMPARGHGFHARCIERWLAGGRRSSFPTCRAPLLPAAAAATAGAAAQQHAAAAAASSSSYIHTS >KQL29249 pep chromosome:Setaria_italica_v2.0:I:12064646:12065780:1 gene:SETIT_019369mg transcript:KQL29249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNSRHSQKGEDEDRLSMLPDDVLLSILGRVDIATAARTSAVSTRWKHLPWLLRELTIDVKDFLPTPHPNPVEAQHMDAAMASLTKAIRSLLGSPQREATIITRRLQLKLYLVNGYSDAVGPLLAEAIGTGSLKDLDLAILDEKEPVDCTSECMLHQACIVDGFFSACPSVIHCLTGLSLDNVCFAEWDMQHLLLDCCKQLRRLFLSNCDMGMFSAWKIQAPGSHLEVLEVGCCCLGKLEVLNLPKLERLCWDGWICPNAPLSLGVVPSLTELQLLCPANVTFQGFNLSDNLWLRPEGKQLCSVLSRLRKLSLLDIFIEFDLLWMMVLLEAALSVEMFDVEIWEHPCIVDTEGRRQSYGERTSPWK >KQL30687 pep chromosome:Setaria_italica_v2.0:I:32585030:32586273:-1 gene:SETIT_020051mg transcript:KQL30687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTQDKCKTCDKTVHFIDLLTADGVSYHKTCFKCSHCKGTLSISSYSSMDGVLYCKTHFEQLFKETGTFSKKFQGGGSSNKSDQAKAPSKLSSAFSGTQDKCAACQKTVYPLEKMTLEGESYHKSCFKCSHGGCILTTSSYAALNGILYCKIHFSQLFKEKGSYNHLIQTAQTKKNEAAEAAPPEAPADAGVAE >KQL31300 pep chromosome:Setaria_italica_v2.0:I:36751201:36752193:-1 gene:SETIT_018868mg transcript:KQL31300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDTGRTLPKFGEWDVNNPASADGFTVIFSKARDEKKAPTKGHISNRSADSKDSSRVDNKVTSYNSRNNASKKWFCCVSPSPTQS >KQL30488 pep chromosome:Setaria_italica_v2.0:I:30925676:30926992:-1 gene:SETIT_017236mg transcript:KQL30488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTLVLLGLLCLSAVLTCSGGTASATGIRMKLTHVDAKGSYTTEERVQRAVAVTQQRLASMRASGGGGVSAPVHWATRQYIAEYLIGDPPQRVEALIDTGSDLIWTQSTACLRKSCERQDLPYFNASASGSFAPVPCRDRACAANSVHFCALDGSCTFRVLYGAGSVIGFLSTDAFAFQSGVATLAFGCVSFTRLTQGALRGASGLIGLGRGRLSLVSQTGATKFSYCLTPYFHNNGASSLLFVGAAASLSGGGGPVTSMPFAENPKDYPYSLFYYLPLVGITVGKTKLPIQSSVFDLRRLDQGFWAGGVIIDSGSPFTSLVEDAYKPLTDELARQLNGSLVPPPGGDGGGMALCVARGDLDSFVPTLVFHFSGGADMALPPENYWAPIDKSTACMAIMEAFDISIIGNFQQQNMHLLFDIGKGQLSFQTANCSTL >KQL31292 pep chromosome:Setaria_italica_v2.0:I:36735886:36741259:-1 gene:SETIT_017441mg transcript:KQL31292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTAGDASLAAHAPAADATDAAPAAAAAMPDPDAEFGFQRPELGKEKLAGTVGFHERQVFLCYKGPEVWPSHLEAAESDRLPRLLAAAIKARKPNLKKTTKLTICEGEDGTESSNGDVLIFPDMIRYKGLTHFDVDNFVEEVLVKDTEWLPGCPEAISGSYVFVCSHGSRDKRCGVCGPALIKRFKEEINGLGLDGKVAVSACSHVGGHKYAGNVIIFRSDDKGEVTGHWYGYVVPDDVPVLLHKHIAEGEIVDHLWRGQMGLSEEQQKQALELRNMTNGAKESLEETGTDGASCIPPATGGGCCQGNGGFTCCQTDLPKEKQDKSVAAEQNQKGSEKENDKESGAGSKKGHTKTCPMPTWFETWERADTYAALAVVAAAASVFVAFRIYKNLN >KQL31387 pep chromosome:Setaria_italica_v2.0:I:37228985:37230699:-1 gene:SETIT_019579mg transcript:KQL31387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAVVFVFAIAVFAHCSAAMGAAAAVVDPNTSDTRNFTVPSAPSTTAKGPVTYVFGDSMSDVGNNNYFPMSLAKSNYPWYGIDYPGREATGRFTNGKTIGDYMADKFGVPSPPPFLSLSLAGKDVLGGVNFASGGAGILNETGVYFVQYFSFDEQITCFETVKKAMIAKIGKEAAEAAVNAALFQIGLGSNDYINNFLQPFMADGTTYTHDQFIRLLITTLDRQLKRLYGLGARKVVFNGLAPLGCIPSQRVRSTDGKCLGKVNAYAAQFNAAARKLLDGLNAKLPGAQMALADCYSVVMELIDHPEKHGFTTAHTSCCNVDTEVGGLCLPNTRPCRDRSAFVFWDAYHTSDAANKVIADRLWADMMVSAGHGGAASAPPRAGASSPAAAPAPSPSDDY >KQL30062 pep chromosome:Setaria_italica_v2.0:I:27798845:27800691:-1 gene:SETIT_017412mg transcript:KQL30062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVHGIVIVGGGICGLATALALHRKGIPSLVLEKSETLRTEGGSIGVHVNGWRVLEQLGVAPELRKTADVVTEFHDVWQQKQGNKSVVVPVRGELRWLKRRDLVETMAKNIPSGAIRFSCHIAAIRPANPGSHGVVLTTLDGSIIRAKALIGCDGSNSVVAKYLGLSPAKSTSRMLLRGYTRYPHGHPFGPHFLRLRGNGFFVGRSPMTDNLVNFFVALWHPGADATKDASAMKELVLEKLKGQCSDEIIEMVRDPEPDSLILLTKIWYRPPWQVMFSSFRRGTATVAGDAMHVMGSYIGQGGSASMEDALVLARSLSRAAAAAGGSGGDELCEKKISAAMGEYVRERRLRIVRLSLESFTMGTLLATKSLLTKLACFAILSLLGTASLGHTKYDCGRL >KQL29832 pep chromosome:Setaria_italica_v2.0:I:25298303:25298686:1 gene:SETIT_0199811mg transcript:KQL29832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQFSCRGKCREFWECSRWWLRRARRHADDYFKGSYEFSCTTTPINFLAKTRDGPARRRRRRRLPPCVGDKQAQEMLECIAPGKGWSPERSPGAGAGNEIDDLAEEFIRRFHEQLRMQRVDELQVEHY >KQL28226 pep chromosome:Setaria_italica_v2.0:I:4417386:4421327:1 gene:SETIT_017454mg transcript:KQL28226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAVQAVEPRPAAAAGPAAAASQPAAGGGGGAAGQAATMEHVRLALRETEAEREARIRAVFASFDAAGRGHLDHAQIVAGLAALRVPAVPEGDAGSGAGLEDYARALLRACDRDRDGRVGYDDFRRYMDDKELELYRIFQAIDVEHNGCILPEELWHALVKAGIEIGDEELARFVEHVDKDNDDIGEQAAIPEGISKHVSASKYLTAGGIAGAASRTATAPLDRLKVNMQVQTNRTTVAHAVKGIWREGGLLGFFRGNGLNVVKVAPESAIRFYTYEMLKEYIMKSKGENKSDIGTSGRLIAGGLAGAVAQTAIYPIDLVKTRLQTYEGGRIPSLGALSRDIWIREGPRAFYRGLVPSLLGMVPYAGIDLTVYETLKEMSKTYVLKDSGSS >KQL28414 pep chromosome:Setaria_italica_v2.0:I:5707806:5708333:-1 gene:SETIT_020436mg transcript:KQL28414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGNHLGDYKLLCPQTGNFTCQYSYFLCLMNTQVQFFV >KQL28474 pep chromosome:Setaria_italica_v2.0:I:6111201:6111921:-1 gene:SETIT_018466mg transcript:KQL28474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRIGAPLSPSPPREMTASRSSPAPPNDRPAPRPPSPSGRHPLPSAAGHATRHPRAARARPRRQLAPARLTRLRQLGLGGAASTRAGTPDDSAGRSRRARQAAGNAADRPAWRVDLPGTLGSSSIESVAVSDGDLSPERQVLDGLSSVHHEAFDRGLVRRTVMATLNTHAIAGDFPA >KQL30020 pep chromosome:Setaria_italica_v2.0:I:27525089:27525530:-1 gene:SETIT_020620mg transcript:KQL30020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSESYFYVTAIVMDLSYWGERGRWPAAIVVAAQGERAQEGEGA >KQL31879 pep chromosome:Setaria_italica_v2.0:I:40244802:40247715:-1 gene:SETIT_017354mg transcript:KQL31879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAASCLASCCAACACEACRTAVGSIGRRSARIAYCGLFALSLFASWALREVAAPLLQSIPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILAVIMAGIKDQKDPRDKIHHGGWMAKIFCWAIIVFLMFFVPNGVVSFYESISKFGSGLFLLVQVVLLLDFVHGWNENWVAKDEQFWYMALLVVSVVCYIATFSFSGLLFHWFTPSGHDCGLNLFFIVFTLILVFAFAIVALHPKVNGSLLPASVIGLYCTYLCYSGLSSEPRDYECNGLHNHSKAVSTGSLTLGLLTTILSVVYSAVRAGSSATVLSPPDSPRGTDKPLLPFSKADEQEDKKDVPRPVTYSYSFFHLIFSLASMYSAMLLTGWSTSIGESGKLVDVGWPSVWVRIATQWATAGLFIWSLVAPILFPDREF >KQL29268 pep chromosome:Setaria_italica_v2.0:I:12421058:12423512:1 gene:SETIT_016485mg transcript:KQL29268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSTVSSSFAGHRTPAAEAEMGSNPSSSAAAAAESSMASLIERATSTTAPAVDPVLLRAIKSSGRASDGAIRDAFHLLLSLMSKPHSHVRLLAFSVADELFMRSKLFRSLLTDALDGFLPLAVGFRRAHPLPPPAASAGLLRKAAVQALERWHHLFGAHYRQLRLAVEYLKVSARVQFPGLRATVEARAAREARTQEILTAKVEQLRQSLASIKAEIRSTMDEIRNGLEIIRAEYEKFEGYVNDDDDAEQEIASLSMRSIRMASLMAGEWLPETQENEAVFDALREAYRLLVSKHLVTVQEWISVLVRVNLQDNRFRDSALKEFIDVKNEIRAVRDRCSELGLDLDNVRRRKGDQEEEDDEFWVEGNIEAPSPAKVQSSVDVASTSRDTGKGKKVVGGVKSDIGKAPVAGNGTRNLDPEKSKLFAEAPVVPWSSVLDRWGSSGDAHVNQRGLELESHWGRVDNDAVIPAAKIAELNVHSSVYREAPVEILPCHAPLKKGGLCQRRDLKVCPFHGPIVPRDAEGNPFEQHSGSFGAEVDPVEHCDIRGNSNELNGNRDGDYMEEASSSRMTDISNDYGNIAGTHDLGNVTVELARQAIKNVRKRDMDHKARERAQRQRIRQHNEDVLREAAIASTSYSAAAYEQPPESWGRRGRRGKAKAPTLASMLKKKVTSKDRIAERLLNTRATDATIREASHDEDMSYREAFPNQW >KQL29533 pep chromosome:Setaria_italica_v2.0:I:20254285:20258830:1 gene:SETIT_018485mg transcript:KQL29533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWDLIQDTEPWDLSVIQKDVAPETVDAMKRTISGMLGLLPSDHICVVVEALWNPFFKLLVSSIMTGSITIAAMPGQLPDLEMLAQFGCGTVLLSLGAAFPYGVLSMAMVFMADFHGRDCQMKRLQFDNHKEASSAAITNTGKSAFLETSLGFLLHTVQWLLQLLTPHFLHLTL >KQL29582 pep chromosome:Setaria_italica_v2.0:I:21578950:21580122:1 gene:SETIT_020208mg transcript:KQL29582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTMGDSLECLWDCLDVEGLQSLNIGADGDNAVAGGQHHDGYSSAPDAGSNSSVAAAAAGSDGSRPGNNNVIVTEQRRRRRLNDRLYALRSVVPNITKMDKASIIKDAIEYILQLQQLEHQLLAELALLEAATATAHHHPHPLLIGMPMPFTGAATAFAAIGGDDDCAAVSPTKKMKRNPSFSSHGSSSPPVDALEVRVSGAGDKVLVVSVACRHRRDAVAKVCRALEGLRLRVIAANVTAASGTVTHTALVQ >KQL29583 pep chromosome:Setaria_italica_v2.0:I:21586362:21591540:1 gene:SETIT_016932mg transcript:KQL29583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQIGIGGREHTPALRSKIFSKSSRQPPPAAPLLPTISHSPTPLGAAHTAAAARDREEAMAKAKPSSSASAAKQAAAAAAPVPPATVHSALVTYSSMLALLSLCPPFVILLWYTMVHADGSVVKTYEHLREHGVVEGLKAIWPMPTVVAWKIIFGFGLFEAVLQLLLPGKRFEGPISPAGNVPIYKANGLQAYAVTLITYLGLWWFGIFNPAIVYDHLGEIYSALVFGSFVFCIFLYIKGHVAPSSSDSGSSGNVIIDFYWGMELYPRIGKYFDIKVFTNCRFGMMSWAVLAVTYCIKQYEMNGRVADSMLVNTALMLIYITKFFWWESGYWCTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVNHPVNLGPQLALSILLAGILCIYINYDCDRQRQEFRRTNGKCSVWGKAPSKIVASYQTTKGENKTSLLLTSGWWGLSRHFHYVPEILSAFFWTVPALFSHFLPYFYVIFLTILLFDRAKRDDDRCSSKYGKYWKMYCNKVPYRVIPGMY >KQL29922 pep chromosome:Setaria_italica_v2.0:I:26480905:26484005:1 gene:SETIT_019205mg transcript:KQL29922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGCTVQQALAPEAAVVVKQAVSLARRRGNAQVTPLHVASAMLHQQPPTAAAASPSTGLLRTACLRSHSHPLQCKALELCFNVALNRLPASASPLLGGHGHVYYPPSLSNALVAAFKRAQAHQRRGSVDTQQQPVLAVKIELEQLVISILDDPSVSRVMREAGFSSTQVKANVEQAVSSIEANNSSSTTAAAASQNPNPSAAVPSEESKPSKLLALDQVRDEDVAAILDCLASRRKRRVMVVAECAAAAEAATRAAVDKIRRGEALRGAQVVSLGVSGFRDLPRSEAERRLAELRCAVKAGGGRAGGVVVVVEDLAWAAEFWAARGDAGRGRWPSSCCYYCAVEHAVAEVRALACRGGDGVWLVGYGTYQGYMRCRAGQPSLESLWGLQTLAVPAGSLALSLNCVDDSAMAVSHLSSRAKCEASSGNGSASRCMSLLDAGGSADQLTAVVSAACCGDCSATKCDAAKELARSVLPASSSIPPWLQHCRNQEPSHCKKWSSTCGDSPSHHRTALNFSTVVSPSSSVSSYEQHYHLHQSYQRPWLVAGAHEAKHPWKARLGGGGQVHVVVDDEDVKLVSALKVKSHDSSASNDGSVDQVERRSRFKELTAENLKVLCSTLEKEVPWQAEIVPEIASTVLQCRSGMARSSRAAGAKEDTWLLFLGGDAEGKARVARELACLVFGSHKSFVSIGNRNTAASPARSSDSAERHHKRPRLLPETSNDGGVERLYEAVRDNPHRVILVEDVDQAGQMGILEAIESGLVRSHGGDEAALGDAIVVLSCESFDARSRTSSPPTAKKAKTESEEEPREEAVAATSASPSSSCFDLNMSVENDDMKESRFTDASLLKAVDRAFFFRRPDESSD >KQL29630 pep chromosome:Setaria_italica_v2.0:I:22198364:22203748:-1 gene:SETIT_016901mg transcript:KQL29630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAAARRRSGTSRRRPSGSGGEHQRLVAVAVAARVVMVTSRSAGPAAAGGGGEAAAGEAEGGGGRCMDDFFDCLFGVLGALGVTWGAPARPRRQPRPPLPRVVGPAAPAPADARRFAAELRATPGRIAGNGACAVASLYTLQGKKGVNQDAMIFWENYCSREDTIFCGVFDGHGPYGHLVAKRVRDLLPVKLGADLAMEDGRETSTSNIKSNANEVGSPEHVNRRVTVMSSEAEQNGEYPEIFPALRASFLKAFHVMDRDLKLHKNIDCFFSGTTAVAVIKQGRNLIIGNLGDSRAVLGTRDENNQLVAIQLTVDLKPNIPSEAQRIKQRRGRIFALPEEPEVARVWLPKYNSPGLAMARAFGDFCLKDHGVISMPDVSYHHITEKDEFVVLATDGVWDVLSNDEVVSIVSRATSRASAARFLVESAHRAWRTRFPTSKIDDCAVVCLFLNTDEASESSSSMSNNLATAVEVSSDQHSTTVQKQLSTGVSADLVTALVRDGNKVSVVETIARPVAPADLLKDG >KQL31333 pep chromosome:Setaria_italica_v2.0:I:36961760:36963786:1 gene:SETIT_017370mg transcript:KQL31333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGKGRPCQQDGNSPDSQILGYSGPNLPEDIWCYIHSLMPMRDAAQVACVARAFFCSWRCHPNLDFSRETLRSIGVKAFKFHVPSVYNGDSAHLDHLDSWLQIAVKPGLNELRLILTTDGKYNFPCSLLSGETGDPLRYLSLACCHFHPTVKLGCFRSLTKLQLSVVHITASELGWFLSNSFALEQLKLWYRNDIDCLKIPCLQRLSYLEVVCCTGLQAIESKAPNLSSVWVTGDLHIQLSLENTCRIKILNRHCSNFTFYARTNLPSIMPNLEALSIRSDIGVIREFKECVSVFEDQSDLRMMPGHHPSKLKHVKIIKFSAAKSVAELTCHILESATSLESLTLDTTHGMPRCSVNRIGKCVFLLKEALVEAQKGVLAAQAFIKPKVPSTVEFNVFEPCSRCYAVEL >KQL28907 pep chromosome:Setaria_italica_v2.0:I:8800874:8805085:1 gene:SETIT_017423mg transcript:KQL28907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCHSPTPAAVAAAASPIAVRSGGLLRCSRPGPAVVRCKKIDSLRAINGAPPCIPVSDRSLLTPVTLPVFRDPSMRNDTRLRIFSGTANPSLSQEIASYLGLELGKINIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKSQGRESIAAKLVANMITEAGANRVLVCDLHSSQAMGYFDIPVDHVYGQPVILDYLASKTICSNDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMMDDMIDTAGTIAKGAELLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPLKEEKSFPQLTILSVANLLGETIWRVHDDCSVGHEPYSSLDID >KQL29682 pep chromosome:Setaria_italica_v2.0:I:23032420:23032989:-1 gene:SETIT_019538mg transcript:KQL29682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPSRALLMAALASLATLHGLAGVGATVEETCSAAASRDRRVDYGFCVSELSKHRDSPSADACGLAKVAANVGVNNAGAAVNDLEALLTAAAVQQPPPDARVTAALRLCEKLYYDMELAFAGAYDETNAHNYTAGRQMAADADSLVRRCTGGFAEAGLPPPEPVARRSAYAVQIAIVCTAITNLLISP >KQL31538 pep chromosome:Setaria_italica_v2.0:I:38268389:38269638:1 gene:SETIT_019201mg transcript:KQL31538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVPSAAKQGRQERRAGVTSSTSLPAGGAGMGDGGSGAATRAFYSGGYLAGAETTAAFLKACGLCNRRLGPGHDTFIYRGEVAFCSQECREQQIEYDERMEQTCSLTSIKEAPSVSGASGSDQSGSGGETVAAA >KQL30096 pep chromosome:Setaria_italica_v2.0:I:27979450:27981186:-1 gene:SETIT_019272mg transcript:KQL30096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPAPSAAPALSSLHSLSSHYFLLPAHFLPPGGVRPPLRRGDLAIRNGGGPRTFPGGVSKWQWKRMQAKKARQLLKARLARERQLYEMRKRTELRDAVAHLERPWDPDSAADASAVAPNLLSVAADDQLRALADRFHRPGGVDLWNDRDGPRVFASPATGAASARFFPKNAVHSVQPYALVSDAGEASATRARGSAADLLLLGRGDGGAQGVRENATETEDEAYSTGDDEPAVELMERDGTWEPANALDDGDGSIPGYWSSDDDSDVVPSESEDMGDVRPRRVPRETMLRRNGRNNGVARWEAAGTIAAGSNDDRGWSGGAFFSDSERARESHSEQRWQETSSSASTRKRASGRGNATNTSARNRVGQRGRAGAGSFSDSEAMHGGSEPKWRSRTKDGNRNGAGRWNAPRQDWSGYSNSDSERGSEMEPRWGAKSNLNGRENFRGRSKPKYSANTSDGDAPGRRRRANNGDEHQSSSNGNRRFRFGGSFAEGLEAPTWKPRRMNRARNNNGDDRDANLSGRFRRGDARQLQESPRNTNREDGRRMNRNGGQRFREGDYSLRPTSELHTSWREMGSDE >KQL30323 pep chromosome:Setaria_italica_v2.0:I:29933360:29935256:1 gene:SETIT_018554mg transcript:KQL30323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLRYSKRLFKRSPSSSKQPAACGGGNGSAAGAGGAEQIEWEVRPGGMLVQKRDGKGGEEVIVVRVSTGFSWHDVSIGATSTFGELKVMLSMLTGLEPREQRLLFRGKEREDTDHLHMVGVRDKDKVLLLEDPALKDMKLRALAAQVVPSPCQPFIQV >KQL31561 pep chromosome:Setaria_italica_v2.0:I:38414124:38416035:1 gene:SETIT_018544mg transcript:KQL31561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMSLAAASPLTSTPRAIGAPAPLTAFLGLRSGVAQATRFPGLAMSSKPAEPRAAAVVAMAKREQELEEIRGMTTEQLEEEVVDLKGELFLLRLKRSARQEFKNSEFCRMRKRIARMLTVKREREIEQGINKRLSRKLDRQWKKSIVVRPPPSLREKKEE >KQL31456 pep chromosome:Setaria_italica_v2.0:I:37632822:37634265:1 gene:SETIT_017346mg transcript:KQL31456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAGEQEPSQIQHEPAGAMLSQAVSLSSQPSLPSLPSLGPRDQSVIPSLHQCLATLRAHSSYVSALAVDGDSLYSASSDGRIRVWPLDGGASGGQEQQQDDSGGSATVVAACDSSVKCLLAMGDGLVLSSHQDGKIRAWRAGSRKDGSRRLAPRAVLPTCVDRLRTFLLPWSYVQVRRHRWRTWVHHVDAATALAVSPDGALLYSASWDRSLKAWRLPGFQCAESVAAAHDDAINALAVSPDGHVYTGSADKKIKAWRRQPERRSKHVLVQTMERHRSAVNALALGVDGKVLYSGACDRSVVVWERAGDGRMEATGTLRGHKKAILCLAAAGDVVCSGSADRTVRVWRRGAENTGYTCLAILEGHGAPVKSLTLVYGRDRGSFGGGGGGSALVCSGALDGEVKIWSVLVPCLLER >KQL29024 pep chromosome:Setaria_italica_v2.0:I:9763039:9763513:-1 gene:SETIT_020614mg transcript:KQL29024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRITANGVQMVAVCRRDVPDTQGANSCANISCNESVDQAGDICCVECLFGV >KQL27769 pep chromosome:Setaria_italica_v2.0:I:527370:529998:-1 gene:SETIT_020627mg transcript:KQL27769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHFPGRTNNALKEHWRGSMKRKLDSYLASGLLEQVPDLHENLSVLQSSQSDSPKDSKVSSDRSQFSSILSTRSKLKQEIRELSENADTSVGESSDFIYAKALDTHSAKVSESIITKPQQCARARKKLDSVSTPVKLKSDLPPETVKCRQEMESGKTEGPPCKKNGYCFKEGSSLKNSETTKGRWLAEESCYQP >KQL30569 pep chromosome:Setaria_italica_v2.0:I:31785798:31787939:-1 gene:SETIT_019385mg transcript:KQL30569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEKSNVAANGDGLCMATPRADPLNWGKAAEELMGSHLDEVKRMVADYRQPLVKIEGASLNIAQVAAVANGAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGTKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKIMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGTAEFNAETSVLAKVAQFEEELRAALPKAVEAARAAVENGTAAIPIRITECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKVLVAINLGKHIDPLLECLKEWNGEPLPIC >KQL27947 pep chromosome:Setaria_italica_v2.0:I:1974676:1976127:-1 gene:SETIT_017811mg transcript:KQL27947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFRTWHCLVALLMLASVAQGQLSPSFYATTCPTLGLIVRATMIKALLLERRMGASLVRLFFHDCFVQGCDASILLDDVGSFVGEKGAGPNVNSVRGYEVIDQIKANVELLCPGVVSCADIVALAARDSTFLLGGPTWAVPLGRRDSTTASQSLANSDLPSPASDLATLITAFGNKGLSARDMTALSGAHTIGFSQCQNFRDHIYNDTDINPAFAALRRRTCPAAAGSGDGNLAPLDVTTQLLFDNAYYGNLLVRRGLLHSDQELFNGGSQDALVQQYSANPALFAADFVTAMIKMGSIRPLTGSAGQIRANCRVVNSR >KQL29958 pep chromosome:Setaria_italica_v2.0:I:26981362:26981701:-1 gene:SETIT_020429mg transcript:KQL29958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELPLQSTFAVIDGHIVFPIKAKREGCDCILL >KQL28569 pep chromosome:Setaria_italica_v2.0:I:6749296:6754096:-1 gene:SETIT_016202mg transcript:KQL28569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPPTTPTPSSLRDLLARERIADEDDGGGGAAARPFPRRASRSPRRGAASRSRSCRHASPPPRTPLDMKGKKEGWEAAAADDDDDDGAVGAVVAVLSAYVGRFLKDAELRSGLREKCAACLAPASTAAAAAEDAAAGRAVLANLELGIESIERLAADGAAPRDAKIRSLRNSIRLLSVVASLHSPRPGAATATAAAAAGGRGSTTTCGVPNSHLAACAQLYLSVVYRMERNDRVAARHLLQVFADAPGLARRDLLPDLWDRVFLPHLLHLKVWFTNEVELVAGWDADDRCRRMKSLQRLYNDNMDSGTAQFAMYYKEWLKSGGSEPPVPSVPLPSMPGNFDACEKHSASVRRSSINRNLYNAVFGTAFELEDVKDAKLDDETELVLDLDLDVGLNDNSVSLKMEKLAHSKMGLQEKHYRSQKEGAIPETAPTQRKSYPFRLFSCQGDISRKVINHPKIAKKEVLSVEKELYNSELTMTLERAISMVSNSDSLRQCEDAVHEVARACSTLQGDPNLVNLLSCASFIQGLLEVTFTSKDDAVLESAILIMGKLVLGNEVIRQLVLNADPQLEVFLRLLRSNELFLKAAILLYMMKPKAKQMLSLDWIPLVLHILECGDEVQSLSSVKCAPKVAAFYFLDQLLMGFDVDRNIENAKQMIALGGLDLLISRLEAGDARESRHCIALLTTCIQADGSCRHYLADNLKKEPIVQLLVGNQKKASAAALNFISELVCLNRTTKIVEFLKELKNSGCLNTMHILLVYLQQAPLVQHPLAAVLLLQLDLLGDPLQYSVYREEAIDAMISALESSSRSKKVQEQCARALLILAGRFSSSGEPIAEAWLLKRAGLDDSLSESFRRTEIFKDKSVRAEEEKVVEERLKKLALMLLNSGGKRFLAALSNCISDGIPSLSRSCLITVTWMSSSLSPLGGCNDFQPLACSVLAPNLLDSLSYDRVLEERVLASLSLLNVVRHPECMEKVFPLKKDTIESLQDLAEVTWTAKELLFACCR >KQL29504 pep chromosome:Setaria_italica_v2.0:I:18451611:18451904:1 gene:SETIT_019642mg transcript:KQL29504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGAQLKRMLRRCSSSLGRRDEAGPPGDVPRGHFAVYVGADRSRYIVPVACLDAPEFQELLRKAEEEFGFGHDMGITLPCDEATFHAVLAAAAIR >KQL31100 pep chromosome:Setaria_italica_v2.0:I:35630672:35633425:1 gene:SETIT_018049mg transcript:KQL31100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGMERAGYGGAAAMGGVVLSRDPKPRLRWTPDLHERFVEAVTKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQSKKDTGLEASRGAFAAQGINFSAPVPPSIPSTAGNNTGETPLADALKYQIEVQRKLHEQLEVQKKLQMRIEAQGKYLQTILEKAQNNLSYDASGAANLEATRSQLTDFNLALSGFMDNVSQVCEQNNGDLAKAISEDNLRASNLGFQLYHGVHDGDDVKCTPDEGLLLLDLNIRGGYDHRSAADLKMNQHMR >KQL30211 pep chromosome:Setaria_italica_v2.0:I:28910009:28913524:-1 gene:SETIT_016679mg transcript:KQL30211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAGGHGGGCGDGDDGQAVDFRGNPVDKSRTGRWLGAGLILGTELAERVCVMGISMNLVTYLVGELHLSNSKSANVVTNFMGTLNLLALVGGFLADAKLGRYLTIAISATVAATGVSMLTVDTTVPNMRPPACADARGPRGHECVPATGGQLAMLYAALYTIAAGAGGLKANVSGFGSDQFDGRDPREERAMVFFFNRFYFCISLGSLFAVTVLVYVQDNVGRPWGYGVSAVAMVLAVAVFVAGTPKYRYRRPQGSPLTVIGRVLATAWRKRRLPLPADAAELHGFHAAKVAHTDRLRCLDKAAIVEVDLSEKRAPAPAASTVTEVEEVKMVVKLLPIWSTCILFWTVYSQMTTFSVEQATRMDRRLRPGAAASSGGFEVPAGSFSVFLFISILLFTSLNERVLVPLAARATGRPQGLTSLQRVGTGLVLSVAAMVVSALVEKKRRDASVGDGHVAISAFWLVPQFFLVGAGEAFAYVGQLEFFIREAPERMKSMSTGLFLVTLSMGFFLSSFLVFAVDAATRGTWIRNNLDRGKLDRFYWMLAVLGVANFAVFLVFARRHQYKPSAVPAAVAPAAGGGADSAGRAVSGEKEMDDFVAVKEAVEGMDV >KQL30949 pep chromosome:Setaria_italica_v2.0:I:34560785:34561723:-1 gene:SETIT_020224mg transcript:KQL30949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTKPSGLPSLVLLFLVLAVAAVGPGVALKLEPTGTIEHFPPLLDCAPTTASPSRNDSAFHANVLSLLAALPSAAAAAPTGSAFARSGGAGRDCAFARGACFGFGAPRGGSFPGDCRSCLSAAAEDVAKGCGASRRAGAWRAGCFLSYADTNRSTAREDAFRGWFYEDSDDGDSPTVALGRQCTANRTAAECARCLNESAQVVPALKVGRQLSMVHRDAVVVVGYACYLRVPLFPPTPLWLQYRELSLPLFRPLRRYHWKEKSSARPRSMMVVSVLAVFGIAGIIDVVALVLAEVCGVLFCIRKAREFNPA >KQL27969 pep chromosome:Setaria_italica_v2.0:I:2155650:2161133:-1 gene:SETIT_017043mg transcript:KQL27969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSLLLLLHIAAAASRSEAAAFGSPTTGSIVKQLSSVVKWPRGAASPHGAAKQPAHSQYADVHVGVALQFESGYFVETLVEGDKLGVTPHTIRVSPVEGGELLAVDSAHSNIVRITPPLSEYSRGRLVAGSFQGHSGHIDGKPSDARFKRPTGVAVDDMGNVYVADTANLAIRKIGESGVTTIAGGKSNIPGYRDGPSEDAKFSTDFDVVYVKKMCSLLVIDRGNAALRKIALPQEDCTYQDSSLLSSDIILVIGAVVAGYIFSGFQHGFGFSSSEKVEAPENEQHESSTLGKPPLVVESLKEEPGAGWPSLGTLISDLLKLAIEGVGKLLLSIVPKRKTDLTPLKDRLVMPEDREETSTAQKLSSTPMRPETLHAPNAVTETAPKAQKSIKPSKFRDSTLSSKHRSSKRQEYADFYGTSEPAAASAKLPKDRLRHRHREKSGEVPYGTAHPEPRPAEAKPADYSDPKYDHYVRSKYAAESGYRY >KQL31828 pep chromosome:Setaria_italica_v2.0:I:39968946:39977016:1 gene:SETIT_016393mg transcript:KQL31828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEASTAAAAATPFQLQFDKPIPFQTKMAEWNPEKDLLAMVTDDSKVLLHRFNWQRLWTISPGKCITSICWSPDGKIIALGTEDGFVLLHDVENGKMLRTIKSHDVAIVCLNWAEDDPLSRPDKDEFLSYEDRTTRFFPPAPVMPRIGGLSSGDTGLAEENEEAIPEFSSASCQRFNILCSGGKDGCVCFSIFGIFPVGKININKIPIGVDSSGKRNYQLHDASISKVSLSRNLQKLVLLCYGKLIDTDNLSHNCGTPALHCLYLDTSIFFNRKNELHQVSQQASSIQDLVEVVRASISLISKQWSNAMNLFHEKFSALPNLIAAHGAESSSEDEFLSLLFGTRTSPALHQFLASSLGEAGLKRIAKAVDSAGREIRGVVSEHLQPAVEIISFRLAELRGLARWRSRFQIIGLDEKLIDGVTESIGMLVVQVERFSRVAATVLYLFQNFFTWVLKCVKILLNEPTDQVPAANSELVVIFLKFLLDKDPIKQLLEADERIDCDMDAARHVEQLVVFGGFTDTQFLERSLVKQFNELENSLKEAFLMPFTTLSSQIHCQGLLPLYPVTSSDTLSSTCTPASISSYKDEDSQHEESSYNLTDYVCFKIPDGSLNKRNCIGVIKDSGNCCTALSMASLSGFLLHMPDEYECVDLSLYKDNQVILLLSERSHSDSPGRSWMVMLQTQNFSFTPLSRTSPTNIYSLQKLVALDLQLDTDYGKVRSIPHIVSTPLAVSASRGVACVFSSRRHALVYILDEDEDEDGDEVSDME >KQL30759 pep chromosome:Setaria_italica_v2.0:I:33192938:33196217:1 gene:SETIT_017912mg transcript:KQL30759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLRRLLAVSGRHAPAFASASAASSHSAFFVRALQILTQQGPVRLQKLSAPDSGIVELWLERPEAKNAVGKEMLHGLRSAIEEVEADAAANVVLVASSVPRVFCAGADLKERRLMGPTEARDFVNSLRSTFSSIETLSIPTIAVVEGAAFGGGLELALSCDLRICGKDAKFSLPETGLAIIPGAGGTQRLPRIVGRSRAKELIFTGRRFDAAEAVTMGVVNYCVPAGEAYQKALELAREINQKGPLAIKMAKKAINQGAEVDMTSALAVEEECYEQVLHTQDRLEGLAAFAEKRKPVYTGK >KQL32251 pep chromosome:Setaria_italica_v2.0:I:41930223:41931729:1 gene:SETIT_016997mg transcript:KQL32251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATATAALQVLCRPSLSGAQLRQVHAQLLTSSPLADRFLPNKLLRSLLPAHPLGVLRLFPRLLRILPGFRPNNYAFSFLLKAAADSSASPASLHALAVVLGWDAHAYVANGLIHAYASHGLLPSARRLFDDALSSRAADVCSWTSLLTAYARAGQVAEARALFDGMPRRNDVSWSAMLSAYVAAGAFADAVRLFEDMLRSGARPNRAAVLGVLTACGALGALEQGRWVHAFVAARRPRSGEDDAVMDGVVSTALVDMYAKCGSLEAARQVFAAATPEQRDVFAYTAMISGLSDHGRCREAIDLFGQMQAEGVRPNEVTFICVLTACGRAGLVGRAEEVFRSMAAAHGVRPGVEHYGCLVDVLGRAGRLTEALAVVRSMPMRPDSYVLGALLNACAARGDVDAGKQVVAWLAEQGLDHTGVHVQLSNMYASWSKWEQVASLRRTMDPKVPGCSMVEVDGVACEFVAGDRSHRRMPDIMSAVRDLHAHLRHRFDDIGIS >KQL28718 pep chromosome:Setaria_italica_v2.0:I:7723428:7730000:1 gene:SETIT_016427mg transcript:KQL28718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPRGGAIIDPAKCRLMSVDEKRELVRELSRSPESAPDKLQAWSRREIVEILCSDLGRERKYTGLSKQRMLDYLFRVVTGKSSGPVEHVQEKEKESIPEPNTINHQSPAKRPRKSDNPSRLPIIANNSGASDVTAPTNNQRYCQNVACRAILRDKFCRRCSCCICFSYDDNKDPSLWLFCGSDQPSQKDSCGFSCHLECALKDERTGILQSGQCKKLDGGYYCTRCWKQNDLLGSWKKQLVIAKDARRLDVLCHRIFLSHKILISTEKYLVLHEIVDTALKKLEAEVGPLSGAPNMGRGIVSRLTVGPEVQKLCAQAIDAMESMFSDAAPANSRIQRPSMVPPNFIKFEAITQTSVTIFLDLDQCPMLAQEATSFNLWHRVAVTESYLSNPSGIILAPSKKLPVTELAPATSYIFKVVAFKNSIELGSWEVRMKTCCQKEHPRGSVPGGAGLEQNNGSLKTNSDGQSDPSSEGVDSNNNTAVYADLNKSPESDFEYCENPEILDSDKASHHPSEQMNNLQNIQMAAARATEVTELEEAPGLSASALDEEPNPCVQTGLLRESSNSVEHNQRTIPRSQDTSNAPDGNELVIIAPRYSCSVPPTAPKAMENGNENGGRSFKPKPCDKIVQNGYSKPEREPGNSSNKRTSGKLDDIGHKDCCSEASYEYCVRVVRWLECEGYIETNFRVKFLTWFSLRATPHERKIVSVYVDTLIEDPVHLSGQLVDSFSETIYSKKRSSMPSGFCMDLWH >KQL28876 pep chromosome:Setaria_italica_v2.0:I:8613879:8614315:-1 gene:SETIT_019798mg transcript:KQL28876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMPALIKALIQGRQVVVAPFKTESTSVKGQSMLKLQLFSLCGRLRQFLGDCTVRHQAHLKDAACLRSRN >KQL31476 pep chromosome:Setaria_italica_v2.0:I:37818484:37828116:1 gene:SETIT_016157mg transcript:KQL31476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLRKLHIGEGSSDGGSSPPPPPSRKGGSAGGGVHHHQPHHDQRQQPSAVSSWLDSVPTRPPPPIPVEAEVPTSASASSVGVGAEERSARQSAATERRRSQQEEMERRRLQEEEVLRERRRSQEEEMGRERRRSQQEDEVEERVIRESSEAAERKREREKEEDDLEAYQIQLVLEMSARDNPEEMEIEVAKQLSLGFCPPQTSPAEVIAARYWNFNALTYDDKISDGFYDLFYVGNGPASVTMPSFSELRAQPFSHKVNWEAVLVHRGEDPVLMKLQQEALIMALDLQSRTSESVSNALVKRLASLVARHMGGIFDPESMSVKYQNMLNSLRSSIGSVVVPLGQLKIGLARHRALLFKVLADGLDVPCRLLKGRQYTGSDDGALNIVKFKDGREFIVDLVADPGTLIPSEGAENFTSDNHHLKKDDTTNLLGSSFSGASSSAYGSFEYELLDRRSTSSNVGASDTDGPTTNQTSNQQSMLSSSFEKLSVSTCTSGNKPIINESTNTDYIMVAKNKEKSIAPVDSSSSSPSTSDMGSTPAFRRMKVKDISEYMINAAKENPQLVQKIHEVLRENGVVAPPDLFSEDSMEEPKDLIVYDTALFQSKDEMKRTMNEFESRTYTDSGHAPSLPHHPGHELQPKVVPHRVPLESLKPVEGLGVYHPHDIRDIASPFVSQYEPSAPPQEAPAPLTKQLPVTAAAVATAAVVASSMVVAAAKSNSDVNFDVPVAAAATVTAAAVVATTAAVSKQYEHLEPGNQLLNLSSPSKGNESVEKGGDDFWDKDNLEADHPQDNALDQEIPQEAERTSDKSSGTESAKSDLALEDVAEFEIQWEEIVIGERIGLGSFGEVYRGEWHGTEVAVKKFLQQDLSGDALEEFRTEVRIMKRLRHPNVVLFMGAITRVPNLSIVTEFLPRGSLFRLIHRPNNQLDERKRLRMALDVARGMNYLHNCSPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKNKTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNAMQVVGAVGFQNRRLDIPDNIDPAIAEIIVNCWHTDPKLRPSFADIMATLKPLLKNLTSNQAPRQTAQQTDQ >KQL29564 pep chromosome:Setaria_italica_v2.0:I:21326192:21331736:1 gene:SETIT_017521mg transcript:KQL29564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTPPLPQNLLPLPSPVVGQSTRINLVDIKLKLVKRIGPEQAKKYFEHLQRFLSSKLSKTVFDKLCLTILGHENVQLHNHLIRSILHNACQTSGPPTVSAPKSIGAAKNSNHVLVPTVLVSENGDVLRQHVKAHCPQGRNADVVEENGVVHLTKLKRFPQPEQSDLVEPLSKRLRVENAYSNLSESPNSNCLDVVDRENMEAIAQQARDPITAPLGVAFCSGSFGGSWKTSTFSSSVGSDNSVCCYDLGQLCDTSSLRQRMGRIAETEGLDGVSLDCANLLNNGIDLFLKQLIGSCIELVRSRSQHDRINQMALKQRLSRKLINGVQLQNQVHGRSANTCPQISSISLQDFKALSELNPQLLGVNASLLLEKMNSYD >KQL30699 pep chromosome:Setaria_italica_v2.0:I:32723512:32727796:1 gene:SETIT_018069mg transcript:KQL30699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLASTSPIAAAAARPTKLPSFSRCPPRRLLRVSCQAAPDRPACGGGNASSASPAPQQPRWRAAVSAAIAAAVVAAAMPAYADLNRFEAEQRGEFGIGSAAQFGSADLKKAVHVNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLPQKQALCKYASGTNPITGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPQKLLDRDGFCDSGTGMCDAK >KQL29058 pep chromosome:Setaria_italica_v2.0:I:10023927:10025501:1 gene:SETIT_019545mg transcript:KQL29058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVHGVTGREPTFSFSTEDGRPDAEDAAPAKFDLPVDSEHKAKSIRILSMANPHMRTFHLSWMSFFTCVVSTFAAAPLIPIIRENLNLTKSDIGNAGVASVSGAIFSRLAMGAVCDLLGPRYGCAFVMMLAAPPVFCMAVIDSAAGYITIRFLIGVSLATFVSCQYWTSTMFNIKIIGTVNALASGWGDMGGGATQLIMPFVYEGILKCGVSPFEAWRIAYFVPGLMHVVMGILVLTTGQDLPDGNLRNLQKQGDANKDNFSKVFMHAVTNYRTWVFVFIYGYSMGVQLTTNNIIAEYFYDQFDLDIRVAGIIAACFGMANLVSRPLGGVLSDLGARYWGMRARLWNIWILQTAGGAFCLWLGSAKSLPASITAMVLFSFCAQAACGATFGVIPFVSRRSLGIISGMTGAGGNVGAGVTQLIFFTLSNYSTGKGIQNMGIMAMVCTLPLVLIHFPQWGSMLLPPSADADEERYYASEWSEEEKSLGRHSASLKFAENCRSERGRRNAVLAAAATPPGNTPEHV >KQL30689 pep chromosome:Setaria_italica_v2.0:I:32622129:32630035:1 gene:SETIT_016927mg transcript:KQL30689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRARYPPGMGNGRGGNPNYYGRGPPPQQPQQPHHQHQQTSGAHHHQQYAQRQQQQHHHHNYNHQQQHHNHHQQQQQQQWLRRNQIAREAAGAAGTSEPKALAPSTAADGVDSSSQDWKAQLKLPPQDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIVRLYQPVHLLVGTPGRILDLTKKGICILKDCSMLIMDEADKLLSPEFQPSVEQLIRYLPASRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVVNFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDQAIYCQ >KQL29845 pep chromosome:Setaria_italica_v2.0:I:25457218:25461084:-1 gene:SETIT_016967mg transcript:KQL29845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPKAARSMVKQRICRRRFGALFAAALVAAVLAVFVFSDLFAQDPNASSQFNALRPVRDASEPAETGKSPNKEDLATATSDQELDAGNSVGEGGTAKAVPAQGERSTGGSGLVQYSRCTPGTGTTICDLSNQRYDICELCGDARTIGHSSTVIYVPQSRTSNGEEWSIPAQSRKSLPWIKKVTVKSLNASQLEPSCTSRHAIPAIVFALGGFTGNIWHDVSDVLLPLFLTAHQFDRDVQLLITNNKPWFIKKYAAIFHRLTKHHIIDFDADNQVRCYPHVIVGLRSHRDLGIDPNSTPQNYTMVDFHLFVRESYGLPAPEVDIPYRADKDDPERKPRIMLIDRGKTRRFMNAPDVLQGLDWFGFEVVKADPRIDSNLDEFVRLVDSCDAIMGVHGAGLTNMMFLRSGAVLVHIVPYGIEFMADGLYGAPARDMGLRHVQYSISPDESTLLEKYGWNHTVIKDPEAIRKSGWEKVGEFYMSAQDIVLNMTRFGSSLLKAIEFIM >KQL32051 pep chromosome:Setaria_italica_v2.0:I:41109985:41111687:1 gene:SETIT_019731mg transcript:KQL32051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGTCEIARLPEELLSAALALTTPRDACRAAAVSRDFHASADSDAVWSRFLPRDPPPLADGELSGPAPPSEKGRFLRLCDRPVLLADGLMLTECCVQSMWLDRETGAKCYMLSARALRISWGDTPEYWRWMHPPESRFMEVAELQYVWWLEIRGMIHSKMLSQDSTYAAYIVFKTTDRRDGLDYPPQEASITVAGSTSTHKVCLQSYDNEHEDGAVPLTWRYSRRHRRVFPGNLVIPQRRTDDWMELEMGQFYNKDGDDGEILGLEDVRIHFKFWQRKKRTYRICSH >KQL31113 pep chromosome:Setaria_italica_v2.0:I:35711025:35711408:-1 gene:SETIT_020122mg transcript:KQL31113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQAPGSEPGRAPARRKQKRPAGDDDERRMMQAPTAALRGLLRGFLEQQLRLDLQRHEMMERQARERLFFEEQWRRSMQRMERERLMLEQAWMEREEQRRAREEARAERRDAILTSLLTRLLHGDL >KQL29996 pep chromosome:Setaria_italica_v2.0:I:27367437:27367871:-1 gene:SETIT_020403mg transcript:KQL29996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSTAIESQTPSVVHRHQAASSIAAPPPLLPAAGRRR >KQL30980 pep chromosome:Setaria_italica_v2.0:I:34837617:34838466:-1 gene:SETIT_018452mg transcript:KQL30980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLVEAPVGSSSPPADSLNSDMILILAGLLCALVCVLGLGLVARCACSRRWAAAARAPPGAAAANKGVKKEVLRSLPTVTYVPDGSKAAAGEGADECAICLAEFEDGQAMRVLPQCGHAFHAACVDTWLRGHSSCPSCRRVLAAELPAGERCRRCGAHPGLGAISALWKAPCSAEGPAFLP >KQL31837 pep chromosome:Setaria_italica_v2.0:I:40008231:40009096:-1 gene:SETIT_018425mg transcript:KQL31837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNVEQHVRVAHSSSSMDRSRSSVGPDVHVVARRVVAADGERGAAAAAWHDRAPDGRGGAPHDLRRPLPDPGADHGRGGPPGAAAPAEEVLAVGPVRVLQRAVAAPRRRVPPRVARQPLVPLERAQPHGAARGVVRVQEGGLQARPLAARRGSGRGRAGLQDDGDQEEHRGGGRGHGGEAAWRHCEVAF >KQL30495 pep chromosome:Setaria_italica_v2.0:I:30987852:30988951:1 gene:SETIT_019552mg transcript:KQL30495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHACNDRCTSFPCRRDACDDRDYGFSHTSSVSKYFFTSRSCNKCAHELARSSLSWDSDQSCVWLNPLPEFVKLLAVHNFPEPQTKRFVVMPIV >KQL29477 pep chromosome:Setaria_italica_v2.0:I:17109521:17110847:-1 gene:SETIT_0193531mg transcript:KQL29477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGVRATKRVRAPQAPAQRAPRRPRPPTKGTQLDRMTKAMGRRMPVAVAEGKKRPHEPVQAAKFASEAGVIIRDNVPVLPHWKLYKKDDQHYKNFVGKLSGRLAINTNDKPTDDACTDVMRSGVRQRRYRLKQKYFNGLSANEISKTSPVNCMSDEQWRALVAKWSDPKNMKHQRNNAEPSTDTHEELDVVEAFTSCHTSSKHGLSEPAREAV >KQL29053 pep chromosome:Setaria_italica_v2.0:I:9946348:9947197:1 gene:SETIT_018628mg transcript:KQL29053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKIGEEFLKAKCLMNCEVAIILEHKYEQIQQHASESDPSSQVSQVFEKSLQYVKHFSRYKNPDAVRQVRETLSRYGLAEFELCTLGNLCPDTSGEATALVPSLKSGGRFVGDAGNEKIEKMLNDLSLIKKFE >KQL28971 pep chromosome:Setaria_italica_v2.0:I:9302036:9307068:1 gene:SETIT_016403mg transcript:KQL28971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVHSLLARPNSAPFAFSLPPAVPRSPRPPPAPFACRAASRWADRLFADFHLLPTAAAADPPAAASSSSSPFVPVFPDAADRALPLPVDFYKILGAEPHFLGDGIRRAFEARIAKPPQYGYSTEALVGRRQMLQLAHDTLTNQSSRTEYDRALSEDRDATLTMDVAWDKVPGVLCVLQEAGEAPLVLATGEQLLQDRPPKRFKQDVVLAMALAYVDLSRDAMAASPPDVIHCCEVLERALKLLQEDGASNLAPDLLSQIDETLEEITPRCVLELLALPIDEKHKNKRQEGLQGARNILWSVGRGGIATVGGGFSREAFMNEAFLRMTSAEQMDFFSKTPNSIPPEWFEIYSVALAHIAQAIASKRPQFIMMADDLFEQLQKFNIGSQYPYENEMDLALERALCSLLVGDISNCRLWLGIDNESSPYRDPKIIEFVVNNSSIDEENDLLPGLCKLLENWLVSEVFPRSRDTRGTQFRLGDYYDDPKVLSYLERMEGGGASHLAAAAAIAKLGAQATAALGSVKSSALQAFSKVFPLMEQLDRSDKDNPSDDIEKSLENLAQQNVTGDDIHDSKNTALKIISAGALFALFAVIGLKCLPRKKSLPAVRSEYESVAVADSVSGPAVDEEPLEFPRMDAKLAEDIVRKWQSIKSKALGPEHSVPALQEVLDGNMLKVWSDRATEIERHGWFWEYTLSDVTIDSVTVSVDGRRATVEVTIEEVGQLTDVADSKNNDSYDTKYTTRYEMAYSKSGGWMITEGAVLKS >KQL27970 pep chromosome:Setaria_italica_v2.0:I:2157532:2158023:-1 gene:SETIT_020428mg transcript:KQL27970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKNCIGIFVVLVPFYFSLCFFYLKYDDVKLSFHAPLDG >KQL29358 pep chromosome:Setaria_italica_v2.0:I:14276428:14276628:-1 gene:SETIT_019269mg transcript:KQL29358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTFSIALLPSTTKSFRFFLCAGKKWHWLMLVKLLFLLFKLLKFHQVSLLIVCKKQEEKHRTTIT >KQL30573 pep chromosome:Setaria_italica_v2.0:I:31847368:31849998:1 gene:SETIT_016531mg transcript:KQL30573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGASRNVRFQNDIEVQHFRTSPRENLSSRKHGKGHDPKKCRLGFRGGCLDKACRNPTLKDRVLSRAFSEELESLVHAGSRLFFDPRGHLIHLWNKIFLAACLLSLFVDPLFLYLTGTQRNTCIEFKYPLALTLSMIRSLLDLFYAAHILFRFRTAFIAPSSRVFGRGELVIQPYKIARRYLGRTFWFDLVTALPLPQFVIWIVVPRLNDSPTGNRKNILRFSIIFQYLPRLFQIFPLSRQIVMATGVMTETAWAGAAYNLILYMLASHVLGALWYLFSVQRQESCWREACLLESPACQTMFFDCKAVSSNRTIWYELSNITSLCTTGSGFYPFGIYAEALDAKLTSSSFTQKYFYCFWWGLKNLSCLGQNLSTSLFIGEIAFAIVIGVLGLVLFGLLIGNMQSYLQATMVRLEEWRSKRTDMERWMHHRQIPQPLKQCVRRYHQYKWVATRGVDEEALLKDLPMDIRRDIKRHLCLDLVRRVPLFDEMDERMLEAICERLRPALYTRGTRLVRELDTVDSMLFIIRGYLDSYTTQGGRSGFFNSCRIGAGEFCGEELLTWALDPRPAASLPLSTRTVRAVSEVEAFALVADDLRFVASQFRRLHSAQIRHRFRFYSHQWRTWAACFIQAAWRRHKRRRASMEIRVREGGGVRAGGSLRRSRRHSIDGKALIKKPMEPDFTVQEED >KQL28760 pep chromosome:Setaria_italica_v2.0:I:7942433:7943653:-1 gene:SETIT_019993mg transcript:KQL28760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLASASRPPGRRRKQPRKTADDDPHKEEKHLLQPPSPAPAPAPLLRRRAGPSSTSTTLHWGAKRWRTALGRRRDWSDLDDGPAGLIAERVLPATHGVVDYIRFRAVCRAWRRCCADPRARALLEDSRLHPRGWVMLLAEGEKLHAAAAPHRTRRRFLNVSTGQCIQVDVPELRDHGVLRSTDEDGLLLLLRRKAATGGGVRLLNPLTRQVAELPLITGDDLLGFSPAHVGECSTSCAGLVDGRWVFLYGHGSADGTTLAFAKPGRRRALLKTAGSLMPTMSFAGRFYGVTTDSVMVVDSTAMGGEDDPPPRLVVAAKLAARIRRMTDTAHLVDNGGELMLVHRRMRRVRGGADGEYGDDYKRTCKVYRVNLAAGKATPAAAAAARGRAIFIDHSRALAVSPRVFP >KQL28089 pep chromosome:Setaria_italica_v2.0:I:3235306:3237015:-1 gene:SETIT_018454mg transcript:KQL28089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMKLPELALRVCVIPLAVASLWEMATNRQVDDTYGEVRFSNLSGFTYLVGINAVTAAYAVASILLSSFKSFARYDWLILVLDQASAYLLVTSASAAAEVLQLARRGDRDVSWGEVCSYFSRFCGKATVSVALHAAALACFVALSLVSAFRVFSKCHPPGGVSSHANYSDEPKHAQEEQGK >KQL27981 pep chromosome:Setaria_italica_v2.0:I:2266522:2267701:1 gene:SETIT_017828mg transcript:KQL27981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASLSVNLPALTTHCHLCAVDGLLVLFHRITKAICLLDPLSNAVTEFPAISSIVATVPTREHHFAMFLRNNPSGVDGHSINGAGLDESTSPPTLVLCLRDNLSNFIFAKPGDAHWTLVNQGQAYHHSMMGRVLIHSLLSQGGRCYVASPEGSIFLVELGPLPQLVEIVNQRQRATREGANNQTELFTVGGVTGRIEVSEVDIAGRTLIPVRSLGRYAAFIGMTHCMLVSTRTFPSVVADAMYLGYHYQLSRKFSIYHPKSRKAEPPHEFGLEENHKVVPHARPCNLDQYLVCYVDRKHRLSGPCINHVAHYSIG >KQL28745 pep chromosome:Setaria_italica_v2.0:I:7865153:7867520:-1 gene:SETIT_019324mg transcript:KQL28745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASMGDEELESLLRNFHRVSQGYKDALMEVQALRVNFSTESKKREALESHIADLKRDNERLRRLYTETLFKFTNQVKFHAEAQSLKEELEKANSRLLSMEEEHKRDKHNSEMNSNALGNELSHALVQQATDEAATKQLKLELGAHKAHIDMLSSRLEQVTADVHSQYKNEIQDLRDVIAVEQEEKKDMQRKLQNTENELRMMRMKQAEQQRDSISVQHVETLKQKVMKLRKENESLKRRLASSEA >KQL29424 pep chromosome:Setaria_italica_v2.0:I:15662894:15664350:1 gene:SETIT_019421mg transcript:KQL29424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLMNIDLVTILCMFCVVATSFKPMEDLGNLRAVCRVMERACGDPSIGQRMAMLRIYMEGLEWLDPDRYYNLIALLVGVANPQACTLKGIADFFAGTDPFLNELSHTTAGGHNVGAYLYALMLYRNNVGATDNDIAKMYIRRFECEDDLVAIGSAGPKKLRNDGCWVCRKEAAYLVNSVTWRMHGEPLPPAPVRGDFPCARSDCGKVKGWEQATLFWNEDCRICHEIVEFEKRMGSNR >KQL30561 pep chromosome:Setaria_italica_v2.0:I:31711976:31713433:1 gene:SETIT_017915mg transcript:KQL30561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAELKRDYEIGEELGRGRFGVVHRCTSRATGEAFAVKSVDRSQLADDLDRELAQVEPKLAQLAGAGNPGVVQVHAVYEDEAWTHTVMDLCTGPDLLGWMGLRRGAPVPEPVAAAIVAQLAQALALCHRRGVAHRDVKPDNILIDAAEEDDEEDGAEGEGEGEAAPRARLADFGSAAWVGVGGLGRAEGLVGTPHYVAPEVVSGGEYGAKADVWSAGVVMYTLLSGGALPFGGESGAEVLAAVMRGSVRFPPRLFGGVSPAAKDLMRRMICRDEWRRFTAEQVLAHPWIVSGGGARAVGQPT >KQL30405 pep chromosome:Setaria_italica_v2.0:I:30326740:30328345:-1 gene:SETIT_020508mg transcript:KQL30405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVILIQFKHDAPDDAEETEEDNDNQEIKSDASDGQEIKLAASDEEQRPFAPNG >KQL28061 pep chromosome:Setaria_italica_v2.0:I:3006725:3009792:-1 gene:SETIT_017490mg transcript:KQL28061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICASSKRVEQEQECDENVVYVMDEQCGGDGGGGAPGEEDAPAACRKVASLFSQKGKKGPNQDAVILCQGFGMEDGVFCGVFDGHGRCGQYVSKLVRDYLPFMILSHRNALLLGAGDDDDGDPAFSDASPSSSTDGSGGGSSPSPAQLLDEWREACANAFEAMDRELKLQPNMDCNFSGTTAVCAIKQGRDLILANLGDSRAVLATMSDAGYLRAVQLTADHKPGVAQEAERIKRCGGRVFALKDEPSVQRVWLPGEDCPGLAMARSLGDHRLKPHGVVSEPEVAHRRVGRGDLFIVLATDGVWDVLSNEEVVSIVCATPRKQHASKAVAEAAAQRWRTRYPLSRVDDCSAVCLFLRDQDWGGTVAAARAKAAAAAAAGAPHGPC >KQL28237 pep chromosome:Setaria_italica_v2.0:I:4518165:4518926:-1 gene:SETIT_019162mg transcript:KQL28237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AELLQAQAQLWCHTFGYLKSMALQSAIKLGIPTAIHRCGGAASLSELHVALPVPASKRPCLSRLMKLLIAMGVFGEGEPGVYSLTPVSRLLVEDVDDSQTCLSQFTAMATSPFHFAASQRLPEWLKNEDDAAAETPFMMAHGAGFFGCTSRDLEFSELFNEAMGAEIVVRECAEVFAGVTSLVDVGGGDGTTVKAIAKAFPHVRCSVLELPQVVDGVPVDGTVEFVAGDMMEFIPPADVVLLKVRVWPWNSTY >KQL30067 pep chromosome:Setaria_italica_v2.0:I:27841682:27842189:1 gene:SETIT_018989mg transcript:KQL30067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVHSHLHLRRLDWGYWWLSCRTDGRHCLCGQQESRHQRPTTGVCFDTMIGWGIPAPPN >KQL31389 pep chromosome:Setaria_italica_v2.0:I:37233041:37233317:1 gene:SETIT_020278mg transcript:KQL31389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYELSIWKAASVICNNGVFFLLGLDSVIEHLCLIEDTLFHWFRSFWIMLSLFVLEHCTKSGQGSRMKVPMKPLHCRK >KQL28407 pep chromosome:Setaria_italica_v2.0:I:5667885:5668199:1 gene:SETIT_020010mg transcript:KQL28407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPPCSKAAGAPPPASKYCLCAPTTHPGSFRCRLHRSTAEAKATPGADHEATEAAAAAAVARELLERIARKPRRQGVAVTGTFRPGPSRLGATATATAMDD >KQL29169 pep chromosome:Setaria_italica_v2.0:I:10886101:10888811:-1 gene:SETIT_016435mg transcript:KQL29169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPFEMSLLLLLALPLLFSYANSKLDSEAEALLRWKSTFGDDSGPSSCLKSWSKHISPCNWTGVACGTTVPHGRGLGDGGDAVPVVASISLPMCNLSGRLDGLDLVALPRLAHLDLNDNNLSGPIPPSIGTLGELTLLDLSSNSLSGPIPPSIVPPSLGNLTLLDFIGLSFNNLSGYIPHELGMLHSIIGSIPGSFGNLTRLELLDLSDNQIRGSIPSTFWNLKSLKKLVLASNQINGLLPSEIGFLVNLTHLDLSTNQFTGSIPPQIGQCRHLLQLRISDNLLTGPIPQDLGECTGLYDLDFSRNNLSGTIPITFAQLYQLHNLNLSYNSLGGRFGGTSLPSALVSLDHNIDICGDQRYGLTPCESSGLGGKNEGKRHNKRLILALLLAFGLFCFICLAIGNLALFCWRRKLAKCRTKSKPGDMFSIWNFNGKIAFQDILDATENFDEKYCIGSGGHGSVFRAEVRGSVFAVKLLHSMEDYTDEGTFNAEIDVLTKIRHRCIVKLYGYCSHSQCRFLVYDLMERGSLASILHEEQLARELDWPKRIAIVRDVAHALSYLHHDCDEPIIHRDIKSNNILLDRDFRGYVSDFGMARKLKHIYSSSSTIFAGTCGYMAPELSSTMVLTEKCDVYSFGVVVMEVVMGKHPGDLLLPFFCQTQQHTKLKDILDQRVVEPTSNEEKDVILLVLVAFGCLQICPKARPTMQQVCQALTDRSCPAAILKPLHEVTLQDLHDFCGTIQNI >KQL31089 pep chromosome:Setaria_italica_v2.0:I:35514803:35515185:-1 gene:SETIT_018959mg transcript:KQL31089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVEAATKGYNWQRVIAACEAFMEALSAAAGTATLVWPEEPGKPVLYDQAVFEEAFPLTWTEMDA >KQL28122 pep chromosome:Setaria_italica_v2.0:I:3501109:3501310:1 gene:SETIT_020191mg transcript:KQL28122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPPESPRREHLKMAVWLLTTMLTAAFSYKVAAIMPFPVQVLVWSMAGTTVLGGFYAFFLHREGTKA >KQL30559 pep chromosome:Setaria_italica_v2.0:I:31683032:31684355:-1 gene:SETIT_018182mg transcript:KQL30559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKMGLKRGPWTPEEDRILVAHIERHGHSNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFTREEEDAIIHLHTVLGNRWSAIAARLPGRTDNEIKNVWHTYLKKRLEPKPATQQAPRRKSKKQQQQQPAEIVMLDGPASTVPVSSPEQSLSTSTTSSTDYSAASSVENNADSSFTSEEDYQIEDSFWSETLAMTVDSSDSFGMEREDACVEAAPSANDDMDFWLKLFMQASDMQNLSQI >KQL30564 pep chromosome:Setaria_italica_v2.0:I:31736809:31737290:1 gene:SETIT_018808mg transcript:KQL30564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRSTASAGADIGALATTLLGRTGRASFLAAPPLPRGRWPGRPEPGCCQPLAWPREPCHRLGRLAAGRRWGLARCCEEDGAGEREVELRGEGAAAGLCC >KQL29059 pep chromosome:Setaria_italica_v2.0:I:10030995:10035269:1 gene:SETIT_019627mg transcript:KQL29059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLVVATTKDPASIGPAAAFLAMPGWSPGPPIAEGMESFTNGNVRLLKHEHSIIEEDNLDQRWQEATGEPVSEVIFLSKHTAVSNRPALTVHPIGVPHLRDDETPPHGGTPGWAAIPNPRIGPLLRLMQKIAAEQGLVPEFEITLEATHHYKHAHHVLRKGLGLEDGNAVGSWQGNSEKVLLGIGGGHYAPRHMDIVNKDGVWVGHLLSGYSLPMETPSQINGKTSGEVAGMWKHSIKVSYEATKAAFPGGEVIAHLDH >KQL30318 pep chromosome:Setaria_italica_v2.0:I:29907259:29909638:1 gene:SETIT_0172482mg transcript:KQL30318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSRPAVVIDNGTGYTKMGFAGNVEPCFITPTVVAVNDSFSASAQPAPRGAPAKGNWLAQHSAGVMADLDFYIGEEALARSRASSTYSLSYPIRNGQVENWDTMERFWQQCIFNYLRCDPEDHYFLLTESPLTAPETREYTGEIMFETFNVPGLYIAVQPVLALAAGYTTTKCEMTGVVVDVGDGATHIVPVADGYVIGSSIRSIPLTGKDVTQFIQQLMKERGENIPPEESFDVARRLKEMYCYTSSDIVKEFNKHDREPSKYIKHWTGIKPKTGAKYTCDIGYERFLGPE >KQL31011 pep chromosome:Setaria_italica_v2.0:I:35019589:35020067:-1 gene:SETIT_020634mg transcript:KQL31011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGINQQGPNYYIVIPVAIQSAHSDNTTSVLLEPTIHSRRKVDLNHT >KQL27898 pep chromosome:Setaria_italica_v2.0:I:1484132:1486734:-1 gene:SETIT_017466mg transcript:KQL27898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTFNLTPGLPPVSRLCFGSSPRLPLSFYLSLDGGSALTNCPRVCAIPAGTMTMGEQSGAPSSLRLLDAAFDAGVNFFDSAEMYPVPQRSETNGRSEEILGRWLRARRAPRDQVVVATKVAGPSGQMTWIRGGPTSLDSQNITVAIDDSLRRLGMDYIDLYQIHWPDRYVPMFGETEYDPSCQYTSVPMEEQLEALERAIDAGKIRYIGLSNETPYGLMKFLQLSKDFQLRSKLLTLQNSYNLLCRNFDSGLAECCHHERISLLAYSPMAMGILSGKYHSSGDYGPPDARMNLFKGRYSEGESRYKLQSPKVKLAVKEYTQIAVKYGISPATLAIAFVLRHPLVASAVFGATKLWQLYEVLQAARIHLPEEILVEINDVHARYPNPCP >KQL30910 pep chromosome:Setaria_italica_v2.0:I:34292866:34297719:1 gene:SETIT_018562mg transcript:KQL30910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLYVQTFPPADLNKNTEWFMYPGVWTTYILILFFSWLLVLSVFGCTPGTAWTVVNLFHFAITYHFFHWKKGTPFADDQGMYNALTWWEQMDNGKQLTRNRKFLIVVPVVLYLIALHTTDYQQPMLFLNTLAVSVLVVAKLPNMHKVRIFGINAEN >KQL28118 pep chromosome:Setaria_italica_v2.0:I:3468309:3471581:1 gene:SETIT_018877mg transcript:KQL28118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLHENSSEHPALGCSRAPGRVHPLDGETAQAIFQRATHFEEKTDTITYRFMLDEIILQANCTKFIESLQARSSHSPRRRREPS >KQL31546 pep chromosome:Setaria_italica_v2.0:I:38312544:38314548:1 gene:SETIT_017222mg transcript:KQL31546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPMGASTEEDAVVVVAADAIATSAPDAGDPDSQGRKDRRRRKKRRPRAPTEEEVAARRFVLRWACPGREAASDDEQAAQAGRARVRRPRVAVELHAHSARSDGSLSPAELVERAHRNGVKVFALTDHDTMAGVPEAMEAAKRDPIRIIPGVEISAVHSPSDESGSEDPVHILAYYGTWGPARPQELERFLAGIREGRYARANEMLLKLRSLNMPMKLEDVCKIAGNGVAPGRLHVARAMVDAGYVENLRQAFSRYLYDGGPAYATGSEPTGESVVQLVCQTGGVAVLAHPWALKNPAAVIKNLKAAGLHGIEVYRSDGKVSGLSDLADTYELLKLGGSDFHGRDDKEEPDVGSVDLPVLAVFKFLEIAKPIWHNAIKEIFASISERTTDLNGSNGFRRISSAGDFCILRLSSKDSELTDASEVEVLRAELADIGLTPQ >KQL31092 pep chromosome:Setaria_italica_v2.0:I:35528934:35531415:-1 gene:SETIT_019192mg transcript:KQL31092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGGGYFDGSHDHILMEGSMIHDSSQSSIYDNTNAEQQNFIGLAPFSIEDHSSPANLTSEPARVIDHIQHQLGIGEEQDHSSHMIQEVPPVETANLVPAIYGVQDHIISHQIGEGPHNITVEQQILDYDAASYQNGAYAAGHDLLNSLHIQRCSLTPEFPSTEHFFGGPEQNTVNHLDMNNDLPGAAIHESGIMFSDSTLPSSYHATQSHMLKDLYHSLPQNYGLFTSDDERDGMIGIPGVSGNTFQEIDGRQFENSILGSRRQKGGLGKGKGKANFATERERREQLNVKYGALRSLFPNPTKNDRASIVGDAIEYINELNRTLKQLRILMEKKRNSTDRRKKLKLDDEAADDGESSSMQPVSDDQNNQMNGPIRSSWVQRRSKECDVDVRIVDDEINIKFTEKKRANSLLCAAKVLEEFHLELIHVVGGIIGDHHIFMFNTKIPKGSSVYVCAVAKKLLEAIEMKNQALNIFN >KQL31982 pep chromosome:Setaria_italica_v2.0:I:40761524:40764394:1 gene:SETIT_017964mg transcript:KQL31982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPEFQAPPDVFYNESEARKYTTSSRIIEIQSRISERALELLSLPNDGVPKLLLDIGCGSGLSGETLTEHGHHWIGYDISKSMLDVALERETEGDLLLADMGQGLGLRPGVIDGAISISAVQWLCNADKSSHDPRLRLKAFFGSLYRCLARGARAVLQFYADNVKQSEMIVTFAMRAGFAGGVVVDWPHSSKAKKSYLVLTCGPPSINTLLPKGKGENGEMCSDDEDDGSSDEDGDKTVGIYERNRSKKRQKTKKNGKGKDWLLKKKEQMRRRGHDVPADTKYTGRKRKTYF >KQL30395 pep chromosome:Setaria_italica_v2.0:I:30265863:30266892:1 gene:SETIT_018061mg transcript:KQL30395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKLAAAAALLLVVLAVAAATPASAQKCGCATGLCCSRFGFCGTGNDYCGTGCQSGPCNMPATNNVSVASIVTPAFFDALLAQAAAGCEAKGFYTRDAFLAAAGYYPSFGRTGTADDSRREIAAFFGNANHETIRFCYVNEIDGPSKNYCDAGNTEWPCQAGKGYYGRGPLQISWNYNYGPAGQSIGFDGLGDPDAVARSAVVAFRAALWYWMNNVHEPLLASGGGFGATIRAINGALECGGKNPTAVNSRVGYYKQFCQDFGVDPGSNLTC >KQL29707 pep chromosome:Setaria_italica_v2.0:I:23679184:23683104:1 gene:SETIT_019082mg transcript:KQL29707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGARGSGGSGGGGGGGGGGGPAPFLLKTYEMVDDPSSDAVVSWSDASDGSFVVWNPPEFAARMLPTYFKHSNFSSFIRQLNTYGFHKIDPERWEFANEYFVKGQKQLLKNIHRRKPIHSHSHQPGALPDNERALFEDEIDRLSREKTTLQTDLWKFNQQQSGAMSQIEDLERRVLDMEQRQVKMLSFLQQASKNPQFVSKLAKMAEASPIFADAFHKKRRLPGLEYSNEAAETTSYDDHSSTSRQEMGNILNQHFSDKLKLGLCPAMTESNLITLSTHSSHEDNGSPHAGKHPDCERTGVECLPLVPQMMELSDTGTSICPSKGQGVSFTAATNDVGILPCHLNLTLASCSMDVNRSQISHANGNTTEEEKDSPPEAATTATMEEDNGIARRHDDIDTQNKASGDSATAADTTVTPHGDSQAPSEEPAAPPVVANDKFWEQFLTERPGCSEAEEASSTLRRDPYEDTRSDRRDMGQLKL >KQL28720 pep chromosome:Setaria_italica_v2.0:I:7731696:7735775:-1 gene:SETIT_019613mg transcript:KQL28720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTFNMQPIVAAAKKKDARKDAADAAVRDCTPLSLRERGAGTAAVAVDGDRGSQYALKWAADHILSRGRPFFLIHVRRKPTSLHAPGGKQFAISHVQDDVSASFHAQMDLQAKDLMLPFQCFCSRRGLECCEVVLDGTDVAKAIVDFVARHKINKLVLGAACRNAFTRTIWKLDVPTSVTKSAPSFCSVYRNRPDVEPCTQSAIGPRHKPLTLDTSSSDSQHRERITEEFTDHDCQDITQPLLKRWPQIHSPREDRIRGSAPGEPHMLPLNLKALPKPIETKRMLECLPTRLECRIYTPDDIANATEHFSDELKIGEGGYGPVYKATLDNTLVAVKILYSNVTQGLKQFRQEVELLNNIRHPNMVHLVGACPEYGCLVYEYMPNGSLEDRLFCRSGTPPLPWQLRFRIAVEIAGGLLYLHKMKPEAFVHRDLKPGNILLDAGFVSKIGDVGLARIIPRSMDGAAATTQYRETAAAGTFCYIDPEYQKTGLVCTKSDVYALGVIYLQMVTGREPMGLAYTVSDALEGGTFADVLDGNVAGWPVQEARAFAELALKCCEMRRRDRPDLETVVMPELIRLHRLVSPSEYPSPSMDQLHHRSASEKELRLDDDLVDILSNGSVKGGASFAI >KQL28249 pep chromosome:Setaria_italica_v2.0:I:4574904:4577106:1 gene:SETIT_018417mg transcript:KQL28249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCTIARRLCLSRPSSSSRLSAVWAHLYSTEAAKDTGAKKYKYPDVYDPYGPMPPPSEKVVDLADRIAALPPEEIKQIAPALLLRLNQEPPQAISGQGFSFGAQGGSGAGAAKAEEKKAEKTVFDVKLEKFDAAAKIKIIKEIRTFTDLGLKEAKELVEKAPVILKQSLTKEEAEAIIAKIKAAGGVAVME >KQL30570 pep chromosome:Setaria_italica_v2.0:I:31795010:31797372:-1 gene:SETIT_016478mg transcript:KQL30570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEKSNVAANGDGLCMATPRADPLNWGKAAEELMGSHLDEVKRMVADYRQPLVKIEGASLNIAQVAAVANGAGEARVELDESARERVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGTDGHVLPAEATRAAMLVRINTLLQGYSGIRFEILEAIVKLLNANVTPCLPLRGTVTASGDLVPLSYIAGLVTGRENSVAVAPDGTKVNAAEAFKIAGIQGGFFELQPKEGLAMVNGTAVGSGLASTVLFEANVLAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVARSKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDYYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAIEILKIMSSTFLIALCQAVDLRHIEENVKSAVKSCVMTVAKKTLSTNSTGGLHVARFCEKDLLQEIEREAVFAYADDPCSANYPLMKKLRNVLVERALANGTAEFNAETSVLAKVAQFEEELRAALPKAVEAARAAVENGTAAIPIRITECRSYPLYRFVREELGAVYLTGEKTRSPGEELNKVLVAINQGKHIDPLLECLKEWNGEPLPIC >KQL27948 pep chromosome:Setaria_italica_v2.0:I:1992338:1994439:1 gene:SETIT_017680mg transcript:KQL27948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAVQDYGRVGELNGGLETNGYESRVAMAKQQPRREEESQWFEEEIDDDLKLCYALNSVLHRGASKYQEIALIDTKHFGKALIIDGKMQSAEVDEFIYHESLIHPPLLFHPNPKTVFIMGGGEGSAAREVLRHNTVHRVVMCDIDQEVVDFCRTHLSAANRAAFASDKLRLVIDDARAELERSRERFDVIVGDLADPVEGGPCYQLYTKPFYEHVVKPRLSDGRGVFVTQAGPAGVLTHREVFSSIYNTLRHVFKYVKAYTAHVPSFADTWGWVMASDHPFVLTAQQIDERIRERVDGELAYLSGESLISSTTLNKSVYQSLLKETHVYTEDDARFIHGHGRARCA >KQL29045 pep chromosome:Setaria_italica_v2.0:I:9897733:9898593:1 gene:SETIT_018619mg transcript:KQL29045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEEENAAELKISEEFLKAKCLMNCEVAIILEHKYEQIQQHASESDPSSQVSQVFEKSLQYVKNFSRYKNPDAVRQVRETLSRYGLAEFELCTLGNLCPDTSGEATVLVPSLKSGGRFVGDAGNEKIEKMLNDLSLIKKFE >KQL31900 pep chromosome:Setaria_italica_v2.0:I:40358721:40359746:-1 gene:SETIT_019495mg transcript:KQL31900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSNAHGRRAANRRKGAARHGAIAAAVAPGPPPGAQHRTPAGFLQIKKKIPEALLLEMGSTEVPLPVAVPSPEIPAMNEGSAPAATATDSKPKKKICCACPDTKRLRDECIVEHGESACTKWIEAHKRCLRAEGFNV >KQL27952 pep chromosome:Setaria_italica_v2.0:I:2058521:2059931:-1 gene:SETIT_018135mg transcript:KQL27952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLSPLSSLSLSLYPLSLLGEEVGGGRAAWLARQRHETRPSPFFPNMFFIPVLRHPLRCYGKEAFFRTRTGTLREYRAGVDVSVQLLQLCPWMDVFVIPRTTRWKNGQTLFFLFSSTCSPLTSSIQDGRSSILDERRSIRTGRSSIPHGAKIPSFLGLYLQRLGGWSICASRRRINWGRRPPCIDTKNWRMDAWRGSTTRPCGTTDGRKAAADWSTGGRFEDGRSRSGGRGKCVRKKRRFAPPPKKLGCLVPRCKV >KQL31627 pep chromosome:Setaria_italica_v2.0:I:38916950:38920771:1 gene:SETIT_018502mg transcript:KQL31627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTASTGRGRRTRHPPAGEGAHGIHRQPGVGAGGHWRAPRAKGTRHAARIAAPPSSPPCRCPRICEDLASLAHSMSAMEGGHVGTSKMNGACAFNQYRKEEMIPAPQKMNYGEDPSWCRQWQRVLAQESICTRGYLADSTTLPRLRKWYQKKMHFQGGIWTADCDCINGF >KQL30263 pep chromosome:Setaria_italica_v2.0:I:29518208:29521228:-1 gene:SETIT_0193141mg transcript:KQL30263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGGGDGRGGSSPAIKPISKAVVHRICSGQVIFDLSSAVKELVENSLDAGATSVEVSLKAYGAEWFKVADNGYGISPANFQALALKHHTSKISDFSDLGSVVTFGFRGEALSSLCALGKLTVETRTKDESVGTRLEFEHSGVVASERKTARQVGTTVTVEKLFSTLPVRSKEFNRNIRKEYGKVISLLNAYALIAKGVRLLCTNTVGKNSKMVVLRTQGSSSMKDNIITVFGLNTFKSLEPFSVTISDGCQVEGFLSKPGPGTGRNSGDRQFFYVNSRPVDMPKVTKLVNELYRSSNAKQYPVALLDFRIPTTSYDVNVAPDKRKIFFSSESIILRSLREAVENLYSPQQCSFSVNRVEDPEKEEDTVTDGHSEDTDLIEVENVSSPDNSDDKEETDSEDQVSPENKKKPSSVTKVAIDATSREVSPLSRGTATQADRSAWLPSFSYEQPKRFPKEGKGCAPGANHFRTGLAAKSTHSPTVQSSLMNYVSLNKRKHEDDCNLISEAPVLRRGPCSEQVRRTSLDANPPAALSSRTSNIPEFNLPLETNSLKQQSPRSFVSVRADVSPQHSEPPNTVICGAKVLSPCDVLTPEPDVEEQHDRCLSISGAPNKHSEVEHLNTLKDSPLPDAHDSDNDAAVYSASVQYPIVQFTVADLRRRRKNGFMTSRANKANCLEKAT >KQL28804 pep chromosome:Setaria_italica_v2.0:I:8177176:8179568:1 gene:SETIT_020202mg transcript:KQL28804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRSAPACAALLLPLLLGLLSPHPAASQQEGDVPESYAASFASRFAAPPSWSFPNPRLRAAYAALQAWKRTAIFSDPTNFTANWSGPNVCAYNGVFCAPLPTTTATTGYSHGHGGDGGGDLVVAGIDLNHADIAGYLPASLPLGVPDLALFHINSNRFCGVVPETFHHLRLLHELDLSNNRFVGGFPEVVLSLPSLRYLDLRFNEFEGSIPPALFDRPFDAIFINSNRLRNPIPANLGNSPASVVVLAHNRLGGCIPPSIGKMAETLNEIVLIADELTGCVPPQVGLLKRVTVFDVSDNHLQGQLPASIAGMAAVEELDVARNRFEGAVPAGVCALAGLKNFTYTDNFITSRPPCAKATADGAWNCIPGAPAQRPPSQCAAAAAHPFDCSKAQCQAPAYTPTPGGGGGGGGGHGRGGRGSGRQPPTPVGSPPRRGRAGNQPPSSSPTPSYPSPPSSTPTPSYPSPPSSATTPSSHSPPKSSTPSYPSPPQGSTTPSYPPPPSSATTPSYHSPPQGSPTTPSYPSPPSSATTPSYHHSPPPTTPSYPSPPKGSPTPSYPSPPSSSSTPSYHSPPHGAPTPSYPSPPKGSSSPTPVTHAPPPPTSADEPDLRHAPPPGSYGPTPSTPPSHGSPSPPSTGHPTPSPPTEHPGYVLPPHAPGTPSSSPSHPGTPSTTPGTPPSKHCSPPPQGGSPGTGTGGDHGHGHGGKLPFPPVYGVSYASPPPPVKAYFCSFFSSSFFSFFDDDEYLIKLNQRVAMY >KQL31507 pep chromosome:Setaria_italica_v2.0:I:38042549:38045904:-1 gene:SETIT_017580mg transcript:KQL31507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESVAGVNLAKQMEKEKAAGEPGDEGAIERSHSINLNTVPPPVAVEARSTQENGETHGAEVSGTKDSRTGKPEQSSGADQKKLPKCEQVDYESETEGCENPAEKAALVTVVGNEGLADFGDEDERAQVLTIVKKDEPADEIGDSINPLAGAGFREEKGVVGASAEISAVRPAGSRSSSFHGVTRHRWSGKYEAHLWDSSCRVEGRRRKGKQVYLGSYDTEEKAARSYDVAALKYWGQNTRLNFPISQYEKELEDIRDLSREECVTYLRRRSSCFSRGASIYRGVTRRQKDGRWQARIGLVAGTRDIYLGTFKTEEEAAEAYDIAAIEIRGKNAVTNFDRSNYVDKGMHCIEGEGLRLLASKPE >KQL31564 pep chromosome:Setaria_italica_v2.0:I:38439657:38441929:1 gene:SETIT_017587mg transcript:KQL31564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLASLASGLFSAFSPLLSSSAQHQQQQFLLLPLPVAAARALTVLRRLLLFATQAFISLFFMFLSALAPAPPPQPPALAPTLPRAEPGSPAGDTCVGRALAHVLSVASRLPVASRKYELVRGLAERLLDDNVRARAGAVNRAALAGAFARTLRQLESAAGGEWPGMELAVRAVRTGVRWWRPAAASSLDEGFGGPAAEKLAAELLWLGQKMAECGAAREAVVQFGDAARLGSRALVAEPALQVSLLRLAVFLFQHANSREFEQGAGGKDTGAVAEQRMAMLRSWLPLLCRGSNGTDAPVLTGREREEMVAVLEDLIDKLSWEQREEVLSLWLHHFAACPDTDWPNLESSYTRWYVESRRLLA >KQL27823 pep chromosome:Setaria_italica_v2.0:I:911429:911790:-1 gene:SETIT_020577mg transcript:KQL27823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSPTGRLPSLPHSQAKATLDSPSPMRSMDERDLETSSAQQEIWLLDMTKILRTKLNAQVQVDACRRYQIFLFDIQ >KQL28281 pep chromosome:Setaria_italica_v2.0:I:4773041:4778193:-1 gene:SETIT_016225mg transcript:KQL28281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNGGSHLKEPLLPASSGASPAGASPRKERKTGKIMFSVRGISCASCAVSIETVVAGLKGVESIQVSPLQGQAVVQYRPEETDARTIKEAIEELNFEVDELHEQEIAVCRLRIKGMACTSCSESVERALQMVPGVKKAAVGLALEEAKVHYDPNVTSRDLIIEAVEDAGFGADLISSGDDVNKVHLKLEGLSSPEDTKLIQTALETAEGANHVEWDTVQQTIKVAYDPDITGPRLLIQCIQNAAQPPKCFNATLHSPPKQREAERNHEIRNYRNQFLWSCLFSVPVFLFSMVLPMISPYGDWLSYRICNNMTIGMLLRWLLCSPVQFIVGWRFYIGAYHALKRGYSNMDVLVALGTNAAYFYSVYIVLKALTSASFEGQDFFETSAMLVSFILLGKYLEVVAKGKTSDALSKLTELAPETACLLSFDKDGNVISETEISTQLLQRNDVIKIVPGTKVPVDGVVIKGQSHVNESMITGEARPIAKKPGDRVIGGTVNDNGCIIVKATHVGSETALSQIVQLVEAAQLARAPVQKLADKISRFFVPTVVVVAFLTWLGWFIPGQFHLYPAQWIPKGMDSFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALEKAHKIKAIIFDKTGTLTVGKPSVVQTKIFSKIPLLELCDLAAGAEANSEHPLSKAIVEHTKKLREQYGSHSDHMMESRDFEVHPGAGVSANVEGKLVLVGNKRLMQEFEIPLSPEVEAYMSETEELARTCVLVAIDKIICGALAVSDPLKPEAGHVISYLNSMGISSIMVTGDNWATAKSIAKEVGISQVFAEIDPVGKAEKIKDLQMQGLTVAMVGDGINDSPALAAADVGMAIGAGTDVAIEAADIVLMKSSLEDVITAIDLSRKTLSRIRLNYVWALGYNVLGMPVAAGVLFPFTGIRLPPWLAGACMAASSVSVVCSSLLLQLYKKPLHVEDAPRPTDGSDLV >KQL28331 pep chromosome:Setaria_italica_v2.0:I:5126829:5127455:1 gene:SETIT_020157mg transcript:KQL28331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRLSCIRHGSASRQLHQPAASPPAPARVIAADGSLKELPASPSPLATVSDVLSLDGGGNTVVSFFVCNADALYFNEHPPALAPSDLLRPGQMYFVLPADLLGRPLSAADMAALAVRASAALASSGKPQRQKRRRRDGKSKKKAVRVMPDAEDVDLFNEKLNELTLGQVGVLLSPAKKGDEKLAAAGRSRLKRALSIIREDAE >KQL28446 pep chromosome:Setaria_italica_v2.0:I:5939912:5944155:-1 gene:SETIT_018443mg transcript:KQL28446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSAALAPVAFRSSFSPPLSSNPTRNRINIEGAFCSPCSTRKRANYRPFRVYSLFGGKKDKDENGDEAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELAATEIDGYCEGELIKVTLSGNQQPIRVEITEAAMELGAEKLSELVNDAYKDAHSRSVQAMKERMADLAQSLGMPAGLGDGLK >KQL31273 pep chromosome:Setaria_italica_v2.0:I:36622387:36625254:1 gene:SETIT_016574mg transcript:KQL31273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIHQVIGKVCLELPIMVKGWVFSTLLVVFLVFAPPCGAFYLPGSYMHTYRQGEEIGAKVNSLTSIETELPFSYYSLPYCRPKDGIKKSAENLGELLMGDQIDNSPYRFRVNVNESLYLCTTNPLGEADVKLLKQRSRDLYQVNMILDNLPVRRFTEQNGMTIQWTGYPVGYIPEGTSDVYIINHLKFKVLIHKYEGGKVKVVGTGEGMEVISETDSDANSGYEIVGFEVIPCSVKRDPKAMSKLKMYDKVDPVNCPVELEKSQLIKEKEQITFTYEVEFVNSDIRWPSRWDAYLKMEGSKIHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTSSKLLCVMIGDGVQILGMAIVTIFFAAFGFMSPASRGMLLTGMIVLYMLLGIVAGYAAVRLWRTLKGTSEGWRSVSWSTACFFPGIVFIVLTVLNFMLWTRNSTGALPISLFFGLLSLWFCVSVPLTLLGGFFGTRAEPIEFPVRTNQIPREIPTKKYSWLFILGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLVVLLLLVVVCAEVSVVLTYMHLCAEDWRWWWKAFFASGTVSLYVFLYSINYLVFDLRSLSGPVSAMLYIGYSFIVSLAIMLATGTVGFLTSFSFVHYLFSSVKID >KQL31466 pep chromosome:Setaria_italica_v2.0:I:37721507:37724670:-1 gene:SETIT_019521mg transcript:KQL31466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSRRIPQTPHAAPSSPASTHRPPSGGGGGGMETGGEEFAIGVVISAKTTLGEEFEGQIVAFDRPSNLLEGVGRAESGERRNVRVLKANYIREFSVVSKGDDPLDPPGCMLDLDAIYAREEAALRQAEIEAERIGVGVTPEAQSIFDALSKTLPVQWDKTDIIVMKEVRVRSPYLPENVSGGTAAANERVKKVIDFERKRLHARVPGQFS >KQL28955 pep chromosome:Setaria_italica_v2.0:I:9163226:9166065:1 gene:SETIT_017835mg transcript:KQL28955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGAAPAVALSSGHRMPSVGLGVWRMEKPAIRGLIHSALRIGYRHLDCAADYQNEAEVGDALAEAFQTGLVKREDLFITTKLWNSDHGHVIEACKDSLKKLQLDYLDLYLVHFPVATRHTGVGTTSSALGDDGVLDIDTTISLETTWHAMEELVSMGLVRSIGISNYDIFLTRDCLAYAKIKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGSTANTEWFGSVSCLDDPVIKSLAEKYSRTPAQLVLRWGLQRNTVVIPKTSKVERLQENFEVFDFEISGEDMEKIKAIDRSYRTNQPAKFWGIDLYA >KQL29512 pep chromosome:Setaria_italica_v2.0:I:18882734:18884257:1 gene:SETIT_019961mg transcript:KQL29512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICKEKINFLGHEIAKLVGPLYAKLRKNGQKYFNSEDIKLVRIIKDKVKELKPLDLPLDVYYFIIKIDASEIGWGAILKEKPHKYSPKVEEKICRYASGKYELKAIDNTDREILAVINAINTFRLYLGFKEFTIRTDCEAICRYYSKINRMKKGVNPIGGDCFCFGAENKLRIFPPNTYKFKPRDHIVLDEVLECILDNFWYQYNNKTEDKGYMLAILNSLAEYFHTINGLIQPKEIPENMEKRAIYAIYKGKVPGIYIEKDKDGGILWRKYTNIDQALSYARNIFGVNYFLEPAAKEYIQKYKKIREIKSSSPGINIKEDGPSRIPIYKEVLNKETDSSNEEYIEKKLKEKFESIFPQWKKNLKEEILIEIDEKFQNMQKDYELKMDIPISDDDIMDIRGDSQE >KQL31076 pep chromosome:Setaria_italica_v2.0:I:35450495:35453579:1 gene:SETIT_016779mg transcript:KQL31076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDDMERGAGAPVLLPESNGPKIQDDSLQVPLLKDKKRAGSKAPAVVLGFECLESTGFFGISTNLVVYLEKVLHGSNLASASKVTTWTGTSYLTPIFGAIIADTFLGNYNTILISLVVYLLGMMFVTFSAFLPTAAMLGGSSVFGAQTVAFIGLYLVAIGSGGVRSSLLPFGAEQFDDDNEKDRESKGSFFSWFYLCVSFGPIVSGLFIVWIQDNVSWGLGFGISTACIALAFGVFVLATPVYKRRMPTGTPLKRLSQVIVAACRKISRKVPADASLLYEVSDKVDPQPKLAHTGDFSLLDKAAVITESDFEEVTEEAGSSWKLCTVTQVEELKILLRLLPIWATSIIVSAAYAQMNTTFIQQGSAMNMSILSVSVPPASMASFEVACVFSWVMLYSKVIVPALRGFSSGGDGEPSLLQRMGAGRLLMALAMAVSGLVEMKRLDSAARGEEISIAWQLPQYFFLAGAEVFCYIAQLEFFYAEAPDTMKSTCTSLALLTIALGSYLCSFIYAIVAAFTATADSPGWICDNLNQGHLDYFFWTMAAMCTLNFVVYSGFAKNYKLKTVLS >KQL28910 pep chromosome:Setaria_italica_v2.0:I:8832728:8834645:-1 gene:SETIT_018215mg transcript:KQL28910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSASGDASALELQESGWEELRREARKLEGDLDVKLSSYARLAARSSSSASGAASPTADRSSWKSMEFEIQSLLGKLQDVNDAMSRCAASTAPTTTVSQKLARHRDILHEFTQEFRRTRGNLSSMREHADLLSSVRDDVTESKATGGMSPRVHLLRERASIHGSINQIDEVIGQAQSTRVALSNQRALFGDVQGKVKQLGEKFPIIRGLLGAIKRKKSKDTIILSAVIAACTMFLIIYWLSK >KQL31932 pep chromosome:Setaria_italica_v2.0:I:40501504:40504458:1 gene:SETIT_016234mg transcript:KQL31932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISLEDVRNETVDLETVPVQEVFQHLKCSKQGLSSAEAENRLKIFGPNKLEEVSESKLLKFLGFMWNPLSWVMESAALMAIVLANGGGKPPDWQDFVGITVLLFINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWKEEDASILVPGDIISIKLGDIIPADARLLEGDPLKVDQAALTGESLPVNKHPGQGVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQLVLTAIGNFCIISIAVGMVIEIIVMYPIQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVCGKGVDKDMVLLYAARASRVENQDAIDTCIVSMLADPKEARAGIKEVHFLPFNPVEKRTAITYVDGNGDWHRVSKGAPEQIIELCRMSKDAEKRIHALIDSYADRGLRSLGVSYQQVPEKSKESAGDPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETARRLGMGSNMYPSTTLLGDNKTGEMGGLNIDELIEKADGFAGVFPEHKYEIVKRLQDRKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALVWEFDFAPFMVLIIAILNDGTIMTISKDRVKPSPTPDSWKLKEIFATGIVLGTYMALTTALFFYLAHDTDFFTKTFGVRSIKENDKELMAALYLQVSIISQALIFVTRSRSWSFVERPGALLVIAFLAAQLVATCIAVYANWEFCKMQGIGWGWGAAIWVFSIVTYFPLDVLKFGIRYALSGKAWNNINNKTAFTNRTDYGKGEREAQWATAQRTLHGLNQATTSSDLFGDTNSYRELSELAEQAAKRAEMARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >KQL28375 pep chromosome:Setaria_italica_v2.0:I:5454820:5457151:1 gene:SETIT_018082mg transcript:KQL28375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASIRSVSPDAAGDVGAALALEDLVVGGGADCIGALACGRRRSFSYRRLPEPRLRLTVRKLDDSFFDVEIARSAAVWELKAAIEELFFALFDDTEKTIPWQHVWSHFCLCFKDEKLTDDKATLRAFGIRDGDELHFAQHLSVDYSPCKSLPKNQKTASHRRSMTSSDDIRPRSLLDDLNEDEGEKFTDSRCSTSVLEDLCIYEYNEERMEEESRKKGSLFRGWFSYSKLRSNRRTHAEDTVPPSCEKKNARPKLGKWFSSKRSKTQGN >KQL31030 pep chromosome:Setaria_italica_v2.0:I:35137042:35142042:1 gene:SETIT_019706mg transcript:KQL31030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQDGAAGQSPLDSPPGVSAENGDENTEQHQPFFSMCQPIRSVSYANSWESCFAPAANENDHNSGLNSMVDDHILITSASLGSKQPQPEDISGTVDDEGKPCSPHSIDKEASLVQDVMEMEQSMDEIDVPHGEASEQPEPLSTEQPDSTGGMDLWDDKDNQKLLPLNTDRWNSNIGETCDAEDKQFPLSFSYRRQPQSVMIKMDSVLSVPLKNMSMNQFEELSSDFRPGQQEDAHEFLRCLLDNLHKCTLDPMSKGKGSSFDEESIVKDIFGGQLKSQLSCCECGHSSETFEPFLDLSLEIDQVDHLVDAMESFTKVEQIGDSEDKLTCERCNAQVCKNKQLTLHRAPDVIAFHLKRFTTLDNSVEKIDKHVVYPSEVDLKPFHSNPDTVGELKYDLYGVVEHSGLPNYGHYVCSIRSSPSTWYLMNDSHVDSITDASALNKEAYILFYVRQGKFPWFSSLLEVKDALHAEDARGASPVSVLENIDANCSTSSGGGSSSNSGDKLEKNEARQLEELEKDETSQYKVSFCHEEPSKRSSLGASNINNTRDEISPPRASLQDDVVRCPRSVETTNLDRPSTPLPSKRSFSHNEFGVFEFEDFEFQPQFIVQLMFLLVSFTDDDEDTPLLPDLKFHPKAKKPKAASASKAVKGPSIDQNARRLMSGMPSSRRKGLLDCMVTQQNAHQESRSRRCPTSDPLDKKKRKLVQC >KQL31404 pep chromosome:Setaria_italica_v2.0:I:37306500:37309866:1 gene:SETIT_017213mg transcript:KQL31404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLRNSRSVLSRLLRHRPHVAAPPAPAPVPQSPASRYYSFYASRILRHKPAVSTPPPQLPGPRHYYTSPRRQEVIHFSRRRGGSRWYHDQRKLTAAVLITGGGAVAFYFGHLEAVPYTNRTHLVILSPKLERQLGESQFAELKEKFGPKILPPLHPDSIRVRLIASEIVRAVHRGLAGHQRYDASYGEDASYGYGDISDDQTIKNRDADATAAMLGGSPRKNARAAAAAQRDDEVLDDRWVTESRCRGKARGAQPQTGHLDGLNWEVIVVRDNIVNAMCLPGGKIVVFTGLLDKFRADAEVATVIGHEVGHAIARHAAEQITKNMWVAILQIVILQFIYMPDLINAMSTLLLRLPFSRRMEIEADHIGLLLLGAAGYDPRVAPSVYEKLGKIGGDSALSNYLSTHPSSKKRAELLSRAHVMNEALELYREVSAGQGTEGFL >KQL31577 pep chromosome:Setaria_italica_v2.0:I:38521611:38522986:-1 gene:SETIT_017761mg transcript:KQL31577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSSSSSIRVRHGTSHVALALLSVLLLSSARLSVGASRMLLELYKPPASARLTYHDGAVLQGRIAVSIIWYGRFTPAQKAVVTGFLQSLTPTSQAPTPSVSQWWNAINQLYLSKARGGNGARPGTAQVALAGQVADKGCSLGKRLTLAQLPQLAARAGPKKGGIALVLTAQDVAVDGFCMSRCGLHGSDARAGTAYIWVGNAATQCPGQCAWPFHQPVYGPQTPALVPPSGDVGMDGMVINIASMVAGTVTNPFRNGFYQGDKDAPLEAATACTGVYGSGAYPGFAGNLAVDRTTGASYNANGAHGRKYLLPALFDPATSTCSTLV >KQL28570 pep chromosome:Setaria_italica_v2.0:I:6759107:6761822:1 gene:SETIT_019848mg transcript:KQL28570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGGAGGSGGRPAGQCLYAGLEGPSYRGRPGGSEDGRAQRRPTTPPGTSRGCGRRRHAVLLQAMMRAALQSGAPPGHCDPVSQHLLPCASCRSQQHADAGTLLGCCLLLDEAAWAIEGGSGAAGSGHEARQALRPCVCRESSGNRSLLRVEGEMASFGSEYKRRRWYVRYVGESNVAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWIFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHKFRRWVPPPPNPPRMTDEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKLRKEQEIKEKIRKKYDVPIPDDDLLWGKIYQDMVHETGVKPNGFYARETIIKYWRQNRSKEKIGGSCRRRGTWRNKG >KQL27915 pep chromosome:Setaria_italica_v2.0:I:1659193:1660903:-1 gene:SETIT_019506mg transcript:KQL27915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEQPLPILLSADSVAVLAVGTLLALALNHLVSSWRSARRLPPSPPGLPVIGHLHLLRPPAHRTFHELAGKLGPLMHIRLGSTHCVVAGSADVARELIHRHDAAISGRPVTALARLFSYSSAGFAFTPYSPRWRFLRRLCVSEVLSPRTVEQLRPVRRAALAPLLRAVLAASERGEAADVTGELVRFANASIIRMVASDAPGSVADEAQGLVKAVTELIGAFNVEDYVPLCRGWDLQGLRSTAAGVHRRFDALLEQMIRHKEEARERGRSCGAIYELEHEQEDEKGSAPATRKRNKDLLDILLEKAEDEAAEVKLTRENIKAFITDVVTAGSDSSAATVEWMLAELVNHPEVMRKVREEIDAVVTGDCRIVGEADLPRLPYLQAAFKETLRLHPGAPIAHRVSTAEISVRGFMVPPRTAVFINVWAIGRDPAFWEDPTAFRPERFMPGGAAAGLEPQPRGHHFQFMPFGGGRRGCPGVGLAQQSVPAVLAALVQCFDWAVADGETGLVDMEESDVGLVCARKHPLLLRPTPRLNPFPSVV >KQL30256 pep chromosome:Setaria_italica_v2.0:I:29477369:29479297:-1 gene:SETIT_018309mg transcript:KQL30256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSKLSQGIKRASRSHAYHRRGLWAIKAKHGGAFPKAEKPSAAKEPKFYPADDVKPRAPSTRKPKPTKLRSTITPGTVLILLAGRFMGKRVVFLKQLKSGLLLISGPFKINGVPIRRVNQTYVIATSTKVDISGVDVSKFDDKYFAREKKQKVKKTEGELFETEKEASKSLPDFKKDDQKAVDAALIKAIEAVPELKTYLGARFSLRDGDKPHEMVF >KQL28258 pep chromosome:Setaria_italica_v2.0:I:4609957:4616404:1 gene:SETIT_016195mg transcript:KQL28258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTEGLDESAISWIKQGTDSPAPAHAPPRSPLAERPPLGQVAAPPPPRSPALHGRACGAGAGAGVGFFSPKGLPPVRTTGSRHSGLLGRHSVLLSAADSDEWEDGEEESVESWGMPEDCLGGNFSETADEACSSDSSLLRRAMDRCGGGWDEEVTSQLSRKGGGGGIVRGQSKEFLRVEVRAAGSFAAGKCSGAQDPVDSCSHGHYFEDDKFQTPSAPPIAGDEDEVMFDAVGETTRTLCNRNARTGLSSVADILAHDAHELPMSSNIHEDGAQMPYVEANLLAQIPSFTIKIQNFLLHPKHSSQVDGKQVYDKDGSCTIKGRKLVKQIEIEEMFTCTLELQSSCKGHQRDSISPLYLKPGTGESQLFYLESQGDVILVEVQDNNRVVIGRAKIQVSSVTDTQLCMNLSMSSNNSGSEKMLQGGPAVDTIIYDMVLEAAMRAQSFNSKMLHISGSWKWLLDEFSDYYGVSDAYRKLRYLSYIMNVAIPTKDCLELIYELLLPVMKAREDRTLTRQERSIMLDCEDRIKSLLAAVFENYKSLDEHSATGLSDLFGPISDCAAPALAPAVQIFSVLNDILSKEAQDILRSYLQTAAAKRCRRHMIETDEFLSSNNDSLLTDPMAISAAYLKMKTLCINISREIQADIKIHNQNILPSSIDLTNIAASIYSTELCKRLKGFLSSSPPSRPLQHVAELIIATADFERDLDSWQVRPVHGGVVSRELFHDYIMTSCPAVSTISPFVEQMYEQIKESIHEYGVVINRWPQYLMSLESAVADVEREIMKTLEKQYMETLMPLRDGIPKYLEKQVQRLTRRQSIAPYVVPSQLGAFMNTVKRMLDMLHCRVEDILKSWAAYLTITSGNTVFGEQMNSITVMLRKKYKNYLKAIVDKLVSNAQANRNTRLKRILEETREADGESDIRERMQAVRLQLSDSIHNLHEVFSSRIFVAICRGFWDRLGQIVLRFLESRKENRIWYRGSDYALGILDDVFASEMQKLLGNALQDKDLDPPQSVIDARSILC >KQL27861 pep chromosome:Setaria_italica_v2.0:I:1284655:1285772:1 gene:SETIT_019220mg transcript:KQL27861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHEGYANPVTNDGPGFHSDMPVRELIKRVTRAIEASNISLAPPVEETADRVMHETRPMPIEPNGTQELGPPYPDSGMRPTTQVTCHKQPLGSALCGYYVCEFIRNNGRYWTNPQDMPTIDRNYSTIEDKQIDNICMDMARFILHGICHEDGAFFDKDSVLMVDECTNLHRWA >KQL32082 pep chromosome:Setaria_italica_v2.0:I:41237707:41240166:-1 gene:SETIT_017102mg transcript:KQL32082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDASTSLALTAVEASPQSPTKRNKKPANPPKRFFHTPIPPSILNDPTLTAAATSLLPANYNFELPKTAHRIRSAGARRVALQLPEGLLLFSLPLSHILAPYLEPDPSNDVLVLADATYGACCLADRPAKALAADVLVHYGHSCLVPVTSSLLPVLYVFVEIRVDATRLAAAVRNAFPDPAAAPRLAIAGTVQFISAVHAAREMLTKEGYRDIVVPQAKPLSAGEILGCTAPTLKNSEAVGAVVFVADGRFHLEAFMIANPGVKAYRFDPFLGVLVLEEYDHIGMKQARKAAVLAARRAKSWGVILGTLGRQGSVKVLDRVVEHLEEKGLDHTVVLMSEMSPSRMELFGDSIDAWVQIACPRLSIDWGEGFKKPVLTTFEFDVALGYVPGWWEKDSRECGGDRGSGCCSGSGTCGDCDCSSGDFGGDYPMDYYSQDGGDWNSCYMKKKPSAGERKPRVQIGNGVEVMEKR >KQL30065 pep chromosome:Setaria_italica_v2.0:I:27833100:27835698:-1 gene:SETIT_016763mg transcript:KQL30065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDSASPSKKAKTSAAAAGATPQKPWKAAPADQILTPEKLAQRVTAAAAAAAEQIWTPEKPEERPRARGRSVALSVKEVRRAALALRRPEKGTPAAAEEADELESIERELGVGAGASQSPVKRKAEVKLPESYEMLCEFFNCLESSTRLLRMKGSKASFPNICASIQHLSERRFTYSHLAQLKYMMPEAIVINKILLRDDTTCCMKPDLQVNLVVGAVESVKKQKGETAYSALRRIFRQRLVDFFRDHPEGDDIPEHELPHPFNQTRLSVPQAAPRIVPEPSSPIECSDLNGQQAATMSHMSQSFKRRFSQRSPVSSATASTTSPLAKVESTVLSPLSRNSLSSSYISGSKEAQLEEDGKVVVSSTKVSEGTPAKYASTPVRLMASTPDLKTPKRPISAAGYGSPPLKMAKRSARAKLFTTPTKGASSMDRENQNAAISSADSDDELLSFLPQSLLQSVKQKEQRAMEEKETGFADQVKRHKLIASLPSIFDIIFLIYQSRQRSVMTKQELIHKIVASSPKIVDRSEVEEQLTLLKELVPEWISEKTARSGDVLCCVDATLSQAELRQRLYAAE >KQL27693 pep chromosome:Setaria_italica_v2.0:I:136837:143970:-1 gene:SETIT_016340mg transcript:KQL27693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPATAPSEQASPPPPTTVRRNPPRRARPPPTPLASAKPNPSSLSRLLEDEEERLKVYLRIRPVPDRDHCKAVPRPMLGKDPRRKPKQAGSGELCLVPTGPNSVALTVPQSKLVDPRRGRTEVFDGFSAVFSPDSTQLDIFAQVMNPLVDDFLGGKSGLLVAMGPTGSGKTHTIFGSPRNPGILPLMLRQIFNAQDENKVASQPSRSFSLSMFEILSEGKGERILDLLSDAAECVLQQSVIKGLQEVPLSNFADAESLVSRGMLKRSTAATSANSKSSRSQCIITIRGHKSSDVDSGNSLSSAVLTIADLAGAERERKTGNQGSRLLESNFINNTSMVFGLCLRSLLEHQKNQKKPLEKHFKNSMLTRYLRDYLEGRKKMTLILTVKPAVDDYNDTSFLLRQASPYMKIKYTSLEDSSDLVSLSQKRSNASLICQENKKKRKVQKPGVLLVDRKEKIDTDNITKVSEEDEAQHKFLNSELRRVSRSEAIMTNFARALWTVLKQYKHKVLESENAAESMKKLIRDQDIQIMELKKELDVQNSRCSCKNVPITEDTSVDQDDSVLSGPAGRSFVSQSNKPDLGSYDAPVDNFHLVEDISKELRCHGPENSSTACSLKGESVSCDTSSISLLDEQELSSRGLKPEKSCCPYAFGPKSHTGKGNTNVQVQAIHKKLDSSESFTQQTSPHTGGVTPSSSHSDNQSDQNTERVSLSPQFTSCSKKATIEHVREKKATIEQSEEETGELNKITVEDMQHDINTREVEHLDCLSSSQKVNSDTEDVSSSQSSLELPVMLNFVVAPIKFSVVV >KQL31173 pep chromosome:Setaria_italica_v2.0:I:36057957:36062486:-1 gene:SETIT_018247mg transcript:KQL31173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARHLRSGLPLLRAHLAASESAAVAQGSRGFSSQVAKPSGKQIKVPEALYGGTGNYASALFLTAAKANALDKVESEIKTVVEASKKSPLFSQFIKDLSVPKETRVKAITEIFAEAGFSDITKNFLAVLADNGRLKFIERIAERFVDLTMAHKGEVKVVVRTVIPLPEKEEKELKETLQDILGKNKTILVEQKIDYSIMGGLVIEFGQKVFDMSIKTRAKQMEAFLRQPLEI >KQL30137 pep chromosome:Setaria_italica_v2.0:I:28338392:28342707:-1 gene:SETIT_016820mg transcript:KQL30137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAPPSSSVAALTRQPVRFLKGCSVSKETKGSVCSLFTPSSNNAKVKSVGLRIASSLKRDGGYTAGGASGNGDMLLPRSTSVRGQDHPVADSVLPTDSMVAPEILSANLARVADKFTDDDTDTELDLDSPTEGFASIADAIEDIRQGKLVIVVDDESRENEGDLIMAASLVTPEAMAFIVRHGTGIVCVSMKEEDLERLDLPLMVSTKENEEKLCTAFTVTVDAKEGTTTGVSAKDRAKTVMTLASPDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDADGSMARLPKLRVFAERENLKIISIADLIRYRRKRDRLIERASVARLPLRWGNVRAYCYRSVIDGIEHIAMVKGEIGDGQDILVRVHSECLTGDIFGSARCDCGDQLAMSMEMIEKAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVRSMKLMTNNPAKYSGLKGYGLSIAGRVPLLTPITNENRRYMETKRTKMGHVYGQADKASDSNGAEEKH >KQL29653 pep chromosome:Setaria_italica_v2.0:I:22633176:22636370:1 gene:SETIT_017924mg transcript:KQL29653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCLYTQRRWAHRRGGFVTGGTGWSKPPPPGLGPAAGAGAKKSEWWAVDGEMHEIGDGVPHRERFAIPRDNLPNRRRKQMREQFMRRTRLVLKDSEHETWCKKYMELYQELRENWERLYWDEGYSKKIAESHANYDSAEEDDLDFSPYSRRRQSNLESNKDLHFGVNKQGETWERVTQIRDKFEYDRERRMRERAFAPMNMESNFGRHDLRFRSQDDSNFAPTDASDDFGSHGQTFGHRSDRSFQNSSSFRNHGDSRFQNESNFRNQRESDFQHKSSFGNHRYPNFQNQRDTRDHAFDD >KQL30464 pep chromosome:Setaria_italica_v2.0:I:30709767:30711082:-1 gene:SETIT_018418mg transcript:KQL30464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKKSGGGEMTVVRGLDVARYMGRWYEIASFPSFFQPRDGRDTRATYKLLEDGATVHVLNETWSKGKRDYIEGTAYKADPSSDEAKLKVKFYLPPFLPIIPVVGDYWVLYVDDDYQYALVGEPRRKNLWILCRKTSIEEEVYNQLVERAKEEGYDVSKLHRTPQQDPPPESDAAPTDTKGVWWFKSLFGK >KQL31049 pep chromosome:Setaria_italica_v2.0:I:35276053:35277548:1 gene:SETIT_019705mg transcript:KQL31049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCVVSAVVLLDAPDDGVTATALMVVIADARAEGAHAVDACLGKVGLPGGLHTDTDDGVGTRLLATSHYRAPPKMHRQLSLSASPRQQQGQQDDGSGSGSGIGIGIGGDAAQAMAVGEDESASHSKADRARSAMREERAIHLIPLLTFVCFLLLFLCSHDPSASDMSSFAGGGGLRSGNRRLRML >KQL31169 pep chromosome:Setaria_italica_v2.0:I:36047037:36048273:1 gene:SETIT_019896mg transcript:KQL31169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRGAARQVASALGPHVLRNAPPGRSLHQEDRGVFYKGGEYADRNPNFVDCAENALGIRGWLESQGHQYVVTEDKDGPNSELEEHIADGHVLITTLFHPAYVTAGRIARAGNLELLLMARIGSDHVDLAAAGLTVAEVTGSNTVSVAEDQLMRVLVLGKTVGAGRIGRLLLQRLKPFGCRLLYHDRLLIFSWFNTGNGKGYGGDVWHPQPAPKDHPWRYMSNNAMTPHISGTTIDGQLRYAAGVKDMLERYFKGQDFPVQNYIVKEGKLAGQYQ >KQL30489 pep chromosome:Setaria_italica_v2.0:I:30970009:30971478:1 gene:SETIT_0201162mg transcript:KQL30489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLACNVVHCSAVHGNSTDLLWLLHFKNGVTNDPFGALSNWNTSTHFCWWSGIKCSPTRPWRVTKLNLTGQSLCGQMSSSLGNMIFVDLSNNSFTGTVPLLNKLQYLNSLFLGSNLLQGVIPTRIGFLTKLQGLSFQRNSLSGVIPPGLGNITDLSVIVLSENQLNGPIPSEFWRMPNIASLYMFENNLSGGIPRTLSNLSSLGQLSLEGNMLGNTLPSNFGNALPSNASGLIDWDLSSNKFTGQIPSIFGSLSGLSILNLEENMLEASDRAIPPSLISNLTQLTTMSFAENKLTGFIPPGLGNLKHMTSLNLSYNNFQGSIPVKFGNLKQPISLHVSSNKLSGVIPETLGQCQQLTTIQMDQNILTGNTSRPPS >KQL27987 pep chromosome:Setaria_italica_v2.0:I:2342920:2348537:1 gene:SETIT_016771mg transcript:KQL27987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWRHRRITISLHAHDFHLPTTPLSTLQTRQSIDLELREAKASKQLLLLRPPPSLPTSTAPTPIPRRRTAMDRADPARGRLAVLSSHLAAGAGDAPGLERAPVSSAAPGPRAGALTVVDGRTGKRHEVKVSEDGTVRATDFKKITAVKDDKGLKIYDPGYLNTAPVRSTICYIDGDEGILRYRGYPIEELAESSSFVEVAYLLMYGNLPTQSQLASWEFAISQHSAVPQGLLDIIQSMPHDAHPMGVLASAMSTLSVFHPDANPALRGQDLYKSKQVRDKQIVRVLGKAPTIAAAAYLRLAGRPPILPSNTLSYSENFLYMLDSLGNKSYKPNPRLARALDILFILHAEHEMNCSTAAVRHLASSGVDVFTALSGGVGALYGPLHGGANEAVLKMLNEIGSVENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIRKLAEEVFSIVGRDPLIEVAIALEKAALSDEYFIKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGWLAHWKESLDDPDTKIMRPQQVYTGVWLRHYTPVRERVPPSQSEELGKIATSNATRRRRAGSAL >KQL28036 pep chromosome:Setaria_italica_v2.0:I:2851914:2852172:-1 gene:SETIT_020406mg transcript:KQL28036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQVGPWPTCKRMRSHKRQHRVLREGGKVRTN >KQL32160 pep chromosome:Setaria_italica_v2.0:I:41581441:41585236:1 gene:SETIT_020385mg transcript:KQL32160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRGYRSTRTSLFDGIEEGGVRATSYSSHEIDEQENDRAIDGLQDRVSILKRLSGDIHEEVETHNRMLDRMGNDMDTSRGFLSGTVDKFKMVFETKSSRRMGTLVASFVALFLLVYYLTR >KQL29028 pep chromosome:Setaria_italica_v2.0:I:9819256:9822646:-1 gene:SETIT_017190mg transcript:KQL29028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRVAPAMRVLPLALAAAIFFGVTAILIYLSGLSSYGSARLSEADLAALAALQGRFSKCVDANGLGLKAVSGKDYCRVVIQYPSDTVSKWMDPSTGEVEGLSFEFNLCEAVASWEQVRNSTTVLTKEYIDALPNGWEEYAWRRINKGIHLNKCQNRTLCMEKLLLVLPETSPYVPRQFGRCAVVGNSGDLLKTKFGDEIDSYDVVFRENGAPIQNYTEYVGTKSTFRLLNRGSAKALDKVVELDETKKEVLIVKTTIHDIMNQMIRELPITNPVYLMLGTSSSFGSSAKGTGVKALEFALSICDSVDMYGFTVDPGYKEWTRYFSEARKGHTPLHGRAYYQMMECLGLIKIHSPMRGDPGRAVKWLPTKDIIEAARVASEKLLRRPGAGRDGPLGTCTMIKKREKGKAPNRSGLRDAAVKHLEYMKGVTRYPLERRDGGGYLCMINDR >KQL31731 pep chromosome:Setaria_italica_v2.0:I:39419381:39421958:-1 gene:SETIT_016446mg transcript:KQL31731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAWRHSGGVAAVADHLGHLGGAGLVGRARPARLCLYGLALTFAGFAVILAFAPSLPAPPASTPAAAWFDGLIASASPYRAQVSGFLSSLFPANSSSSVPPSGVAARRGGPRGGGFAASAPQAGGSISSAVRPGEQLGSGGGVPASSAGGAPSRDHAPAPGDATAAVPIGAPPDDDHVQVGAEAKHSTGTATAEAKGGGPGPALPSGGSAQDGTTAKGGQRVRINGSDANASSVDAGDGSGMKASARNAAGSTHQFGSGSAALSNGTAVPFINHATSAVAAAMDGNGTASHSSGAAGNNQTLLIQSPADNKNHTRSSAASDGSSSSENKQIESTASPQGSTSSAKDQSAQVVTPIANNNTTVLVKAAANAGRRKKVDWIENMASCDMFYGNWVQDDSYPLYPAGSCPHIDESFNCHLNGRPDKAYQRLRWQPSACRIPRLNPADMLERLRGKRLVFVGDSLNRNMWESLICILRNSVKDKSKVFEVSGRHQFKAEGSYSFLFQDYNCTVEFFRSPFLVQEWEMPIGNGNGTRETLRLDIVDRAFPRYKNADIIIFNTGHWWTHDKTSLGKDYYQEGNRVYSELDVHDAYRRALNTWAKWVDSNVNPKKTTVFFRGYSASHFSGGQWNSGGSCDKETEPITNEQYLTPYPTKMSILEEVLHGMKTPVVYLNITRMTDYRKEAHPSVYRKQKLSEEERKSPELYQDCSHWCLPGVPDSWNELLYAQILVKQRHTMQQ >KQL28136 pep chromosome:Setaria_italica_v2.0:I:3700379:3707927:-1 gene:SETIT_017918mg transcript:KQL28136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVMCGQPCSGKSAAAACLAAALRSSSTDLTVRIIDESSLHLGRNDSYKDMVVEKNLRGVLRSEVDRSVSRDSIIIVDSLNNIKGYRYELWCLARASGVRYCVLFCDTEVDHCREWNSNRQEKEERAYDSNIFEDLVSRFEKPDSRNRWDSPLFELFPSRDEIVETAPVIAEAVSYLTKKVDSKTRDVKVLQPTIATQTVRTTEANSLYEMDKATQEVVNAVVEAQSCGLGLAMNKISIGPNLPTINLQRSVGLPELRSLRRTFIKLAGQYSLSGPPPPTDADSAKRMFVDYLNREVGA >KQL31787 pep chromosome:Setaria_italica_v2.0:I:39757341:39763441:1 gene:SETIT_016223mg transcript:KQL31787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAVARWTVAAVLLQVAGLSLFLYGFFPVKPTLRGFSGTESYRMPSCGLVSAGEQEPALPPDQLRSLYGELFGMPPVYDRLVLMVIDGLPAEFVLGRGGKPPSKEMMESMPYTQSLLAGCKAVGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFNTQAFLEDNLLDQLHRIGRKLVMLGDETWIKLFPTLFARQDGVSSFYVRDTVEVDFNVSRHLEFELAAKDWTVLVLHYLGLDHVGHIGGRRSVLMTQKMKEMDDVIRRVHAASLQDNLERTLLVVVSDHGMTEGGNHGGSSYEETDSLALFIGHSVDRPHCSPYDQNEALQVDLAPTLALLFGVPIPKNNIGVLLRELLNSLTDDQKLRTLELNSWQILRLLQAQIPAFCLEDCINSEHGLEIDVHPESIEKKLCQLLSKAFASHQYSRVHQGFDFKSAEARYIGIAVDNYYGFLRYASEWLSHKATDKPFYLLISAILLMTMSCLCLMGTVSRVFNGQSLSQADHHSESYLNQHWHLDEVFILTGIFLYVISLGSSSFVEEEQYTWNFLTSTLYLIFLIKTVQSMLKGSSSTLVHRAEGESSDGNKELTPGKRDGYKLCTVLIVLVAGRVIRAWHQGGINWVHFPDISKLLAQADSSIVKFLQTISVLAVVALYSVSLMLLRARSKVLIGVWLSHISCGLLVLLHIWEDQINTTLPINHSTTSTARLFYAIASVSISATLLASPWIFPVYSTEAKPASSDSNPVKDTDSCGISNSVFLTGITYTMFWCLLQLLLQQPINAIPLLLIFLQTVSSVAHFSLDKTLHKQWVQVIAMLFLGMAGHFGLGNTNSLASIDVAGAFIGISSYSTVLSGILMFTITYGSPLMLYLGMVVYISVNNTDDISTARQLTWSYILDKMVTLPCLLPLLINSVALTSYTIVLLLMRNHLFVWSVFSPKYLYVCAATVCTYVGVLIIAMTTIYTCAVFSFRAKSYRDKFH >KQL31801 pep chromosome:Setaria_italica_v2.0:I:39812128:39813132:-1 gene:SETIT_020635mg transcript:KQL31801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRLLFTMELRCSAQCSVLWCGRCVHWTSRNTVGSGKIRDVVLCYPLTLGPENRLDRPGASVPPSTWRHRQVGM >KQL30735 pep chromosome:Setaria_italica_v2.0:I:33010847:33016501:1 gene:SETIT_016130mg transcript:KQL30735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVVVFIDSDEDDEGGGGQAGRVLGKGAAIAGGGEALQPVNDVGLNPVGPGALGLAVVPIPPRKENPRALSRPRAQNPRAAPSSPPPVAAARAPARPQPEIINISDEEDGVDAGFRGVLPLRMVEGGGSGLRLIKDEPFDDSGGDWARSASAKPPPALAAAAAPPGTSYAKRKRKREPSRLKPDARGDNRALDRSSSASGTGRRAMGSSSASRERNSVKSRESGREGAGGRSVSSKKSFVPSEESSGAPGNARHGGSTRSGESRCAPGKARRGGSTRSGESRCAPGKARRGGSTRSGESRCAPGKARRGGSTRSGERSNNALPAGWVGTTVGSRIRSRSRQQARVQYATYSARVPSEETEEDEDAEEVQEQEKKKGEEVEVMEVDEQEESGSDTEVAQESEQEEAAKGRSKQNGHGHSEEVQVQVQVQEHEQKTAGDMEVMEEEDSGSGNEVSQESEQEEAVEARSRQNGHGDSEEVQEQEQKTGEDVEVDEDSRSGNEISQASENEESEVGEKEMLEEEGDNQEDSHSIYDGEDEEEDGESEDDGHELGETGEVQPLTSSNAMAGGSVRSGGDGPRVFKRRIFEGICLLENPDSVGYVAKGIQGRTRSQRKCKDKKLLKRGTFSKPYNIDIPDSTSESEEDIVPPALQGGLMSSSDEDSRIFGKRKRRRARNKRWRKGLSTSSDESKEYRAYARDAGGAFRRLKKGASNLQVGKGGSNPGRAKYNGPNGGNPTDMANAQDGISFKRKAHTMRMKKRGRAAKDAYDELLNTLFAGWENHIDVPDHAENGNSLPLVFSFGDEDEPYEKTENDKYQEDLWRECGIAFESMNIGSHEEDGQAVPPAEEASCKNGKHEFIIDEQIGVRCKHCHVVDLEIRHVLPAMGKISAERELAIEPELDSMFKEMLNIFEENDVLVSNGHGVPCNFGGRRAGSVWDLIPGVKEDMFPHQQDAFEFIMEKTIAMGLDAEYKISLASIHPSLIARAKLSEKEESMVDKPKLESLRSSPSEGVKTRFVLEIVNLCEALNERVLVFSQYLDPLSLIMEQLKARFNWAEGKEILLMSGNVLVKNRQTMMETFNNMKSKAKVMLASTKACCEGITLIGASRVVLLDVVWNPSVGRQAIGRAYRIGQEKIVYTYNLIAEGTAEKSKYDRQAKKEHMSKLLFSKEVEHGDLPPELTLNDRILEEMTAREDLKKLFVKIYIDNQTDGSPGETEKNTTCAHA >KQL29523 pep chromosome:Setaria_italica_v2.0:I:19723164:19726095:-1 gene:SETIT_019066mg transcript:KQL29523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDLAIANDDFPNNIFERDEANIDVDNVSMGFEDCEFEEDGVDISMVHKAICESNMVNAEGTSVGQSPVIKKGMKFNSLKELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFAFSGYRVKYSKAWRAKQHAIALLWGDWMESYSMVPRVLSAITYYNPGVKWCIDSCGMMHPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVGVDLEQQLVPLAFALAESENNESWSWFIKLVRRHVLGPSRIVCMILDRHHGLLNCAKDHMDGFPPLVHRWCTRHFAANMSRREFSEKLEDLVKDLNDDAKEWLKGEMEDKDKWVQAFDEGGMRWGIMTMNYSESLNAVFKGIQSRPVSGIIEYSFEKCNAYFVDRWQKARAMLDEGYRIGKVADDYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLNEVSCTCNVPQLLHLPCSHFITACKERGLNYESPLYMSPLYSREHTIKIWESNFQPYLDPSQWLAYEGVGYVPNPNLMRNKVGRRQKKRFTGDMDVSEGRLSADYGTENLKAQNLGRTVIGHVLRTIGMAAPAYPLLESTYNLQHRAHHLADLNEEPAPRTQMPRRRHRSRDHTDVGSANVLPTHRRRERRPRDPFSPP >KQL27941 pep chromosome:Setaria_italica_v2.0:I:1918807:1921652:1 gene:SETIT_016646mg transcript:KQL27941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHLACRRLLLLLAAVATSPAAVAESNRSSNCTLFPDAEFVRAAFLNVTNFTPPLPGRRACRPVRRLRFPSRKLIGAVNWAELGNLSSLLTVDLSGNSLQGAISSAFWRAPLLRAVDVSRNNLDGALRFDDPSSRLASLNVSGNRFTSAVGLAGLTSLEDLDVSGNVIRTAPEGLRQLTLLRRLDLSRNEMSGRFPDDLPPLGGLVSLNISYNNFSGVVPADAVRRFGKSAFFQPGNALQVIEDDATSGRKNRRRAVVIAPAAAGAAVTAAALAFLAACVLTRRGRKKKNGKDGKAAVWEDEEVVVGAVKVAAAAPVVVLERPLMELTLADLAAATSGFGRESQLAETGGRSGAAYRAVLPGDLHVVVRVVEGPLAGVGEEDDEAATAAGFRELARFRHPNILPLLGYCIAGKQKLLLFEYMEKGDLHRWLHELPVGSMDTEDIGIDTMEAIEDRKPAGDWPTRYRVILGIARGLAFLHQGWAGSGRPIVHGRLVPTNILLGDDMEPRISDFLHPNDETPESDVYRFGTLVFELVTGQARWNETSTSWARGVIRNRKGLNLVDDRLRDETMGTEAEKEMAECLQVGLLCTASSPEKRPTMQQVVGLLKDIRPAAPAGEPSPAS >KQL30758 pep chromosome:Setaria_italica_v2.0:I:33184202:33187817:1 gene:SETIT_017896mg transcript:KQL30758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPRGLLAVSGYLAGRHARAASVSTTGHHSLFFARAFQILAQPEPVRLQELSAPDSGILELRLERPEVKNAINWDVMRRLRSAIEKIQADATAKVVLVVSSVPGAFCAGADLKERKLMSSSEVGEYARSLRSTFSSFEALPIPTIAVIEGAALGGGLELALSCDLRICGENAELGLPETGLAIIPGAGGTQRLPRIVGGSRAKELIFTGRRCDAAEAVMMGLANYCVPAGEAYQKALDIAREIMQKGPLGIRMAKKAINQGTQVADMSSALAVEGECYEQLLHTQDRLEALAAFAEKRKPVYTGK >KQL29976 pep chromosome:Setaria_italica_v2.0:I:27216252:27221013:-1 gene:SETIT_017440mg transcript:KQL29976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLRWRPTVNERAFIERSLESDLRVDGRHSFDFRTLKITFGREDGSSEVQLGETHVLGYVTAQLVQPYRDRPNEGTLAIFTEFSPMADPVFEPGRPGESAIELGRVIDRGLRESRAVDMESLCVVAGKHVWSVRVDLHILDNGGNLIDAANIAALAALSTFRRPECTTGGDDGQQVIVHDPEARDPIPLTIHHMPIAVTFAYFGEGNIVVVDPTYKEEAVMGGRMTATINSNGDICSIQKAGGEGVMSSVVMQCLRIASVKAADITSKIKKAVDSYTTEKALKKVKRLPTSLPQKINVTDVTMEDKGDGELETQTVKTPSDVQEISKDPATMGKASSHEDAQPMLTESSNAEVKSTSSSGAAGESEEAQETGSPKSLKDAIKPKHKRKKKKTDRS >KQL30877 pep chromosome:Setaria_italica_v2.0:I:33953488:33955350:-1 gene:SETIT_019735mg transcript:KQL30877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRPHLALLLLLCSSHLVSSIRRTSDNDSPLAAYLVTVRRPDGLLSVDEPEALEQWHTYLLGQVCNTTDPATSERFPTAESRLIYSYSHVVSGFSAWLTPPEVQHMARLPWFLEAIPDKSYKLMSVDAPAPQLPWLNSVRDGVWNKGNMGEGITIGVLDAGIAASNLPASPDAEGMPSPPAQWKGRCDDSEACNNKLIGLRTFVDTSRALGAAMFIGEVGNMAQQTSDEVGSFMQRASVLGVEYDKAFAVAPKAHLAIYRVCDEECHPAAVNAGMAAAVDDGVDVISMSAGAKDGAVFRDDAVTAPSYKSVARGVLVCTPAGSSGPEMLKVESSAPWLLTVAASDTDRRVITNVELGSGILKPDVSAPGADALAEPPHDDVEYTDTQIKAATSMAAAHVSGVAAMIKKAHQEWSPAAIKSALVTTADPVTPGDSLAGEGVSYFVTGAGEVNPVKAMDPGLVYDLAAGDFVPYLCGLSLGENRTRKIVEPAHASCAEAGEIAAKDLNYPSIMIAMDDEVRQVEVKRTVTNVGERAETYRAEVTAPGIDVAVSPSTLVFSDVGQKRDFVVTVRRQASTPAKAVIEGELNWVSEKHAVRSPVVVVVGETAASSAGHSYGADAA >KQL30426 pep chromosome:Setaria_italica_v2.0:I:30515896:30521849:-1 gene:SETIT_019437mg transcript:KQL30426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILTGIKLLLMCLLLPRVAAGGGILSVPSNASLAHCPTSCGNVSFSYPFGTEPGCYRQGFELTCDDSTRPPRLFWPNSTVQILDTRYMPFAFYGWIGFSITMTPGTSTYTRTWEPPAKSLLIDSRNNYIYVVGCNVEVVLFDTGTNLTIGSCISICLGDEAEARDDGHCNGGFRFTLSRRNNIVARSDEEPSIIKPSCEIASTNKGTYACSNGSLCQTVEPGGYYCYCNPGVRGSNPYILDGCVEGFGPNPRGNCTRSRGNMSIPFPFGLEESCFAHKKFQLHCVSDKFTVLDQGDGTKYQVTALSVNDGYLGVTSILNDSSSSNSDLLIVQTINGDFHISSRKDAITIGFEFSQEFNIRMRWAVTNLTCESASQRSTTYADHSECVIVTHGTLYIGYCCKCSSGFDGNPYVKGFYGCTDIDECLLPNDCNGVCHNTLGGYCCTHHLLSGITIGISCGLGSVLIALCVIILARKWKQWIQKRIRRAYLEKNQGLLLEQLISDDSTTNKTNIFSLEELEKATNNFDATLVLGCGGHGTVYKGILSDQNVVAIKRSKIVEQNEIDQFINEVAILSQIIHRNVVKLFGCCLETEVPLLVYEFISNGTLYYLLHASTSANCLLSRDDRIRIAAEAAGALAYLHSAAAIPIFHRDVKSSKILLDATFTTKVSDFGASRSVSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSIGVLLVELMTRKKPIFINDSGMKQSLSHYFVEGLQEGALTEIIDSQVVEEADRGEIDDIASLARACLKTKGAERPTMKEVEMRLQFLRSKRLRKIHNLPVHNGEIEHLLCPQARNSDAQLELVNAARLPSEETSRGYSLEKEFASSISLPR >KQL28613 pep chromosome:Setaria_italica_v2.0:I:7026075:7026607:-1 gene:SETIT_018807mg transcript:KQL28613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRFRATPRASESSNHHQRFLRPGALARLRDSRIVARSLQSSACLLLPRSAPPLPSPPAVVAAAEQAGEPRFLGTGGSGRYPLRRRVAAARGVAFLPPPSP >KQL28938 pep chromosome:Setaria_italica_v2.0:I:9054802:9056372:-1 gene:SETIT_019992mg transcript:KQL28938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLVALFFAFAVVAATTVQPSEARIGGDQLLHPSTFHNTPPQSPSSSGGAVPPHLSSPPPPSPPAQPTECLTPLIGMMPCMNYLTNLTVLAPPAECCDGLKSIIRDAPICLCHGMTGDMNDLMPVPIDPVRMIILPLACGAMLPLQTLFSCNSGSGAAGWGCCGGRAGGRGRGRGCAGSRGQAGVAREPSDKWDPLVRMVEIFLAEYLLLGQVLLQDRVSSPEDSESWPNGFSTINVVHPVEEDAVRVADVTTSTNMFGGIDK >KQL32036 pep chromosome:Setaria_italica_v2.0:I:41019291:41022651:-1 gene:SETIT_017954mg transcript:KQL32036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEGNGDEGWRRSGIEVSALQFGYDGQSPLFARFNLRIAPGSRCLLVGANGSGKTTLLKILAGKHMVGGRDVVRVLNGSAFHDTQLVCNGELSYLGGSWSQTIGSAGDVPLQGDFSAEHMIFGVDGVDPVRREKLVDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKVLLLDEITVDLDVVTRMDLLDFFKEECEQREATIVYATHIFDGLETWATDIAYIQEGELRKSAKYSDIEELKSAENLLSVVESWLRSETKLPKKDPPRTETQPRRSSPFDSSPFRSSRHMAYYR >KQL28082 pep chromosome:Setaria_italica_v2.0:I:3167705:3169204:1 gene:SETIT_019843mg transcript:KQL28082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRASSGDEQQKLSPSGLPVRKIPGGYGVPFFSPLRDRLDYFYFQGAEEYFRSRIARHGGATVLRVNMPPGPFFSGDSRVVALLDARSFRVLLDDSRVDKAGTLDGTYMPSLELFGGHCPLAFLDGADPRHAALKRVMIRLAAARMHHVAAAFGAAFAATFDAVEAGLAASGAVDFNKHNMRHMLDFTCAALLGGALPSKVIGDAAAAKAFKWLVFQLHPIASKAIKPWPLEDLLFHTFRLPPFLVRRDYAELTAYFADVAAGVLDDAEKADPGAIPRDELLHNLIFLAIFNAYGGYKIFLPHLVKWLARGGAELHARLADEVRAVVPAGSTGAVTLAAVEKMPLVKSVVWETLRMDPPVGFQYGRARRDMVVENHDAAYEVKKGEMLFGYQPLATRDERVFQRGGEFVPDRFAASSDDERRRLLEHVVWSNGPETGAATEGNKQCPGKDTVVAVGRLMVAELFRRYDTFAASVEENPLEPVVTFTSLTKAAAARHCD >KQL29597 pep chromosome:Setaria_italica_v2.0:I:21721004:21722188:-1 gene:SETIT_018849mg transcript:KQL29597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLSRSWRSASLFPVIVFALLFSTSFAGRQCNFLTDQDALRHQGEAAGAEQKEAVVPLVHGRMLNVKTNDYGSYDPSPTTDKPHFKLIPN >KQL30302 pep chromosome:Setaria_italica_v2.0:I:29794594:29796532:-1 gene:SETIT_018372mg transcript:KQL30302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGGVVSFSLLLVLLLGAYLPAPAAAGVHLSTLPKALDVTASPKPGQVLHAGVDTVTVTWSLNTTEPAGADAAFKNVKVNLCYAPVSQKDRGWRKSEDDLSKDKACQFKLTQQAYAAGARRSFDYAVAKDIPSGTYYVRAYALDASGTQVAYGQTGPEAAFVVAGITGIHASIKVAAGVFSAFSVVALAFFFVIENRKKNK >KQL30153 pep chromosome:Setaria_italica_v2.0:I:28423101:28426825:-1 gene:SETIT_017394mg transcript:KQL30153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSMMAFFPNIAAAKLELHSLYRKYVDMFGTSSNNSTVGSHITRKMTHLPVQLIDRTFFSTGTAIRSIAVSYGALVLRWLLSKTSVVQRTLLSRAIRSLYCWCDSLPFLVGVDVLMARLCDCTMIHNHLYGQKFILGHNPNFQGESAMGMENNELCNEQPFLSSLFGNKRDYVRLALQCICYPASLKVVDVTKKKLSFNRQRIQSGYDASTFWRIMARNEHYLACMMVLVALQLFLQLTRVNVTTLFLPMLSRATSSRSSRAVIGNIVLVLVNSCGVLGSALATKHYGREVTFTMGAVLMVFCQVAIPLILEVQIGVGGGIRMPMGCTTAMFALTCVVSCGLSWSWGSFFWTIPGRKFHSAGQVLTMILNFGVCFAQMQYFLLMLCRQKNAILVYYAMWIWS >KQL28181 pep chromosome:Setaria_italica_v2.0:I:4090081:4091638:1 gene:SETIT_017116mg transcript:KQL28181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDSGSAVVAAADSAPHFVLVPMMAAGHAGPMFDMARALARRGALVTFVTTPLNLPRLGRVAGEDAFPIRFLPLRFPCAEAGLPEGCESLDALPGLGLLGNFNHACAMLHAPLVARLRDDAAAGSAPPASCVISDACHPWTGGVARELGVPRLAFDGFCTFSSFCMRQMNVHRIFDGVDDDTRPVRVPGFPIDVEISRARSPGNFTGPGMKEFGEEIMAESARADGLVVNSFAELEPLFVDAYESTIGKKVWTIGPLLLMSTVPSTASDEDADAVRCASWLESKKPRSVVFVSFGSLPGDLAGFERWLSDDGFETRVGERGLVVTGWAPQRAILSHPATGAFVTHCGWNSVLECVAAGLPMATWPHFAEQFMNEKLVVDVLRVGVPVGVKGTAQWGVEAEAVVATRDDVARAVAAVLDGGEEGSARRARAADLGRKAREAVARGGSSDRNLKLLVEHVEHQKKSTA >KQL31457 pep chromosome:Setaria_italica_v2.0:I:37641477:37644953:1 gene:SETIT_017267mg transcript:KQL31457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGFSWALPDHPKLPKGKPVAVVVLDGWGEANPDEYNCIHVAQTPGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDQALASGKIYEGDGFNYIKESFENCTLHLIGLLSDGGVHSRLDQLQLLLKGASSSVGFVEILENDLLQLREKGVDARIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFKSALEAVKTLRAEPNANDPYLPPFVIVDDSGNAIGPVLDGDAVVTINFRADRMVMLAKALEYADFDKFDHVRVPKNRYAGMLQYDGELKLPSRYLVSPPEIERTSGEYLVKNGIRTFACRQFLEQITCMFIAGLTFIETVFPRCNLLATDETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVAPKMKALEIAEKARDTILSGRPGTCQPAKW >KQL28549 pep chromosome:Setaria_italica_v2.0:I:6601961:6604471:1 gene:SETIT_018183mg transcript:KQL28549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENSTNRQVTFSKRRGGLLKKANELAVLCDARVGVVIFSSTGKMFEYCSPACSLKELIEQYQHATNTHFEEMNQDQQVFVEMTQMKNEMDKLETGIRRYSGDDLSSLTLDDINDLEQQLEYAVNKVRARKHQLLNQQLDNLRRKEHILEDQNTFLYRMIHENQQAALGAEVKLGEMAPLAMLPPPAFGAPHPGCYYGGESSSTAPQLHPDVGFRLQPAQPNLQQDPACGGLHGHGLQLW >KQL31802 pep chromosome:Setaria_italica_v2.0:I:39813573:39821954:-1 gene:SETIT_016665mg transcript:KQL31802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLNSNLNSSASNLTDSTGRPFTASFSGQSGSIPGFHHSGLHNIHGSFNLPSMPGPLAQRNGAMSGLPSSGVQQPGGSISGRFTSNNLPVAMSQIPHAHSGVSGRGMNVGAGPAFSSSMSIGGNIQSLSSSLGAGGSRNSVPGMSVSPSLGNLGPRITSSVGNIVGGSNIGRNISSGGLSVPSIASRMNLSGNAASGSLNVQGSSRIMNGLLQQASPQLINMFGSSYPTSGGSLSQNQIQAGNNSLSSMGMLHDANDTAPFDINDFPQLTGRPNSAGGPQGQYGSLRKQGVGVNTIVQQNQEFSIQNEDFPALPGFKGNSSDYAMELHHKEQLHENVPVMQTQQYPMARSVGFNLGSSYPPNRQQHLQGANSVQNAGTQNIGLRPLSQTPSLASYDQLLQQYQQPQTQNHFRMQQMPSAAHSFRDQIQKIQGGPSPPDPYGLLGLLGVIRMNDAELVSLALGIDLTTLGLNLNSPDNLYKTFGSPWSNEPAKGDPDFHIPACYFSEQSSPLQPLVFQKLHMLTLFYIFYSMPKDEAQLYAAYELCNRGWFYHKELRSWFTRIPNVEPLVKTPLYERGSYGRFDPQIWDTVRKDNFLLQYELVEKRPALPSVAQNVR >KQL32174 pep chromosome:Setaria_italica_v2.0:I:41642273:41646472:1 gene:SETIT_017282mg transcript:KQL32174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGGDMRPVHNSVDTVNAAAAAIVTAESRTQAPPEPRRKWADRLSVYFCFGSQKNGRRINHAALVPEPTSQRTDAPAVEIPDHPPPPVFPFVAPPSSPASFLQSEPTSIVQSPRIGAPPFSPLSPNSPSPTGPPSIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPYAKLLTSINNSKNGETGDLQSYPNYPDSPIARLISPSSGCSGTSSPFPDPEMLASSRYTFPSFPVREPPKILDGEGVATQKLIPRHMRNGGSILDGHIAAAVPVADFSARLQPNDHAMDHRVSFELTVEDVARCLEKKTAISGDSATASFHLAPTSSGDHKRESNDTRAGLYVDETYHDLPEKARRSLSLRLAKEFNFNNVDAANVEPSVGSDWWANEKVAGITSEPEKGWSFHPVAAPGVS >KQL29304 pep chromosome:Setaria_italica_v2.0:I:13003691:13004948:-1 gene:SETIT_017722mg transcript:KQL29304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREHVPKTHDDAMGFVLKARLKSSSSIGHPARARADAEPRRRPVPRAARVRAVSGECAAVLARTRRVPGEPAAGAAPAVRRRGRHRRRGSRLGACRPRRGHRRVHLRRLLRHAAGESTGRRHVGVGRRRPGGGALVRRHCVVRRVRLPLRGGHPALEPVEAQAGLLVLLPLPLPLLVRVGVGKRPFPARGGVVAAGALGPGVLQGAAAPVPAAGGRRAPPSAAVDVVAAAEPAPAPAPLLQKQQVRCHLAVVAGVVVTAAHRRQVEARVVVMACCSRQGQGGAAGRGWRGRRREEEEREVGEAARPDAGLGADEGLHAAHGEVDLPPHLVAAHQRLQPH >KQL28470 pep chromosome:Setaria_italica_v2.0:I:6088342:6088673:-1 gene:SETIT_019991mg transcript:KQL28470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHAALGYLLDYTLAPYMGRIREASLIGFGCCGIATFGAGGQGVLYPGTWVAV >KQL30471 pep chromosome:Setaria_italica_v2.0:I:30748418:30758622:-1 gene:SETIT_016081mg transcript:KQL30471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTTSAAAAAAAAVTTDDPSPSPSDSTSATFTVDRRGDASASCRWTLPDFPRTRARTFYSRYFEVGGFDCRLLLYPRGDSQALPGYLSLYLQVLDPKTPVSSSSSTTTTTSSKWDCFLSYRLSVVHPSDPAKSLGRDSWHRFSSKKRSHGWCDFAPSSAAAFLFQPHDALVITADISVLSETASFADADGRFTWKVLNFSIFREMIRTQKIMSPAFFPAAASAGGSDCGLRISIYQSNVSGADHLSVCLESKEPVVQVASGSSASALPQSGAGSGVPDGDRGCWCLFRISILNQKSGGSHIHKDSYGRFGADNASLGWGDYIKMDEFLAADSGYLVDGAVVFSASVHVIKESNSFTRSLPLVLGMGGAGGGRAGARKSDGHFGKFVWRIESFTRLKELLKKRKVTGLCIKSRRFQVGNRDCRLIVYPRGQSQPPCHLSVFLEVTDPRNTTTEWSCFVSHRLSVINQKVEEKSIMKESQNRYSKSAKDWGWREFVTLTSLFDQDAGFLVQDTVVFSAEVLILKETATMQELIDEDSEICNSTSGCQIEALPKRPSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSSGYDPDKNFWVHYKMAIVNQKNSAKTVCKESSICTKTWNNSVLQFMKISDMLDTDAGFLVRDTVIFTCEIIDCCPWFDFSDLEVWASDDDQDELSTDPDELIDSEDSEDMSGDEEDMFRNLLLRAGFSLTYGDNYTQPQVTLKEKILTDASAIAGFLTGLRVYLDNPAKVKRMLLPTKVSTKNGGKKDASKCDSSSTSLISLLMGVSALKQAIIDLLLDIMVECCQPSEESGSSASSPPELSVEGELTESACSNVCAITEPNSDNIRDSPTVRDADLATNEITANNQEHSCFPPETSATDLPADEGPEQASRSKWPEQSEELLGLIVNSLRALDNAVPHGCPEPRRRPQAVQKIALVLEKAPKQLQQDLIALVPKLVDGSEHSLAACALLDHLQKSDAEPSLRLPVFGALSELEFESDIWKQASVHALELLSDSNDEPLVTAITYVLKAASHCQHLSLAVRAVRWRLKDLGTEVPDCVLDFLSKTIQSQPDVAEAILKDIDSDREPENNCLSSTSSCSTCSTDGLSAEGMYSWQEQAVHGRNHLSDVFALIEMLSIPGLFVEVAQVFERALLRGAFGLQLVAMVLERRHSYRSSSKSGSVVNDSQNKQVLLDGQFEPLSVQENDFTSVLALGEVLSLSTETKVQDFVRMLYAIIFKIYSEDHYRYRILKGLVERATNTSDNCRAVDIDMDVLVFLVKEEYGIARPVLNMLREVAEVAQADRSNLWHQICTTEDENIRLREDMEMEQTNFTNEKIALNQRLTESEATIGHLRSELKAERDRFTREKKTLSDQMREIESQLEWVRSEKDEQIAKLSAEKKNLQVRLNDAESQLSMVKAQKREELKKVTKEKITLAERLKNAEASRKRFDDELKRYAAETQTREEIRKSLENEVRRLTQTVGQTEGEKKEKEEQISRCEAYIDGMQSKLEVCHQYIRTLETSLQEEMARHAPLYGVGVESLSFDELEALANIHEHSLRQIKAIQQRKGGSHLLGGPSLSHIPGLFSSPPPPSMAVGLPSPRIPASPIAPNGAGIHGNGHANGAGGRWFNPT >KQL30717 pep chromosome:Setaria_italica_v2.0:I:32844353:32848195:-1 gene:SETIT_017050mg transcript:KQL30717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPAEEMAVDFGGLVGDLREVYESDRTRDLEWRESQLRGLVRLLEEEEEAIFDVLREDLGKHRGEAFRDEVGVLKKSVVDKLQNLKNWAAPEKAHTPLVAFPATAMVVPEPLGVVLIFSCWNLPIGLALEPLSGALAAGNAVVVKPSELAPSTSAFLAANIPKYLDAKAVKVVEGGADVGEKLMEHRWDKVLFTGSSRVGRLIMTKAAKHLTPVALELGSKCPCIIDWLDSNRDSQVAVNRIIGAKWSTCSGQACIAIDYVLVEEEFAPILIEMLKSTLKRFFTKTEYMARILNEKHFKRLSGLLADRRVAASVVHGGHFNPKTLSIEPTLLLNPPLDSDIMTEEIFGPLLPIITVKKIEDSITFLKSKPKPLAIYAFTRNEELKRRIIDETSSGSVTFNDAIVQYGLDSIPFGGVGHSGFGQYHGKYSFEMFSHKKAVLKRSFLIEFMFRYPPWDESKIGFLRRVYRFDYISLFFALIGLRR >KQL30819 pep chromosome:Setaria_italica_v2.0:I:33606011:33607041:-1 gene:SETIT_019570mg transcript:KQL30819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRCCRLHPNTNQPPPTAISFGARPRSSHLAVLRARPWRAPRIAAPNPKSRPLETICCGCAISIDQGTKKLRGDKYFPVEMMVRDCELDMYGVVNNAVYAEYIDKAREELASRLGISRCSIASTGRAMALSELNLKYFAPLKRGARFVVLVRVVQIKGARMFVDHFVETLPERKSDAMQLVLEATATVVCLNKDYRPTRMFPEVASKLLSFFSS >KQL27746 pep chromosome:Setaria_italica_v2.0:I:357554:359628:-1 gene:SETIT_017456mg transcript:KQL27746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPVALAIIFFCVLLLASAAIACLLVRHCLAALRRPDADPEAPLPHHHQPQYELALSSESPPAAAAKQQQQQAPRRLAWREVEALTGGFDEAAVVGRGGSSIVYLARLRDGAPVAVKVHRWCGGERRLRAFRQELDLLRRLRHPHIVALLAYSDDHEEGGALVLEYLAGGTLADRLHGGATAPPLSWPQRMRIVHDVACALEHLHDASAGGGGAPPVVHGDVSASNVLLDGRGLGARLCDLGSACEGFSAAVAPTRAAVGSPGYADPFFLRTGIVSKKSDVYSFGVLLLEAVTGLPAAGEPGSENLAARILPRVRARGVAGLVDARLGEGYDEEEAGEVARIAVECAAAQPGLRPAMAQVRASIAEKAARSIAKADHGDHHIQLSKLLELT >KQL26597 pep chromosome:Setaria_italica_v2.0:II:45319478:45320233:-1 gene:SETIT_0309152mg transcript:KQL26597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKDAQDKRKLTSKWRPTTKGTLKRTYRVRSTEEGRRILKEIASVLSEDDHFVDASTHKGCQIRRESAHGESVCCYNVRALFDELPTPHLVLEITPFPAGHLTDNDYRKAERLEMVLRLSASI >KQL24392 pep chromosome:Setaria_italica_v2.0:II:29456336:29458712:-1 gene:SETIT_030380mg transcript:KQL24392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGASSSPMVPALSLAVVLLLALCGAPGGEARPPPPLHGVRPLAFDEGYAQIFGSSNLALLRDGRRVHLALDETTGAGFASQDLFLHGFFSAAIKLPADYAAGVVVAFYLSNGDVYEKTHDELDFEFLGNVRGREWRVQTNVYGNGSTHAGREERYDLPFDPTDDFHHYSILWTSRRIVFYVDETPIREVVRTAAMGAAFPSKPMSLYATIWDGSAWATLGGRYRVNYKYAPFVAEFTDLAIQGCAVDPTDDRLSAAACDARASLESLAVPAGQRAAMAAFRRAHTSYSYCHDRRRYPAALPDCAGAGLPGRMFGPDGMKLHRRHRAGAAAAARGHRGARDDVM >KQL25654 pep chromosome:Setaria_italica_v2.0:II:39622869:39627256:1 gene:SETIT_029104mg transcript:KQL25654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPSLKKKRASSGGGGKSGEHGGKPALERSGSKVLDGDETIFTDMAQEHKEEGNKLFQRRDYDRALLNYDKAIKLLPRAHPDIAYLHSNIAACYMQMSPPDYYRAINECNIALEASPKYAKALLKRARCFEALGRLDLACRDVNKVLALEPNNLTALDVADRVKKAMEEKGIVLDEKEVMPTPEEVVAAAPKQKPRKKRGGRKFAAKAAAAAVEEAEEQKMAESVKEEEVEELPRQVKLVFGEDIRWAQVPASCSMAQLREAVRSKFPGLKAVLVKYKDKEGDLVTITNQDELKWAQELAEPGSSLRLYVTEANPEHEPYVDDANSGPLEKNVNSASDNGSIRSNRQDEDRSTVTCIDDWIVQFARLFKNHVGVSSDEYLDLHEVSMKLYTEAIEDTITTEEAQEVFQLAERNFQEMAALAFFHWGNVHMSRARKRLLLSGDSPRELVLEQVKEAYEWAREEYNKAGKTYEEAVKAKPDFFEGFLALAHQQFEQAKLSWYYAIGSNMDLETCSTEILELFNKAEDNIEKGIEMWEETEEQRLKNRSKPSQENVVLEKMGLEEYIKDVSTDDAAEQASNLRSQINILWGMLLYERSVVEFKLSLPMWEDCLMAAIEKFKLGGATATDIAVLVKNHCANETAQDGLGFKIDEIVQAWNEMYDIKRWLRGVPSFRLEPLFRRRVPHLHTALEHI >KQL26711 pep chromosome:Setaria_italica_v2.0:II:45896576:45898275:-1 gene:SETIT_031878mg transcript:KQL26711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILRRLRCTAAAASTSALSRRWRERGLWRHLPEQSFRGVAHGALESALAQVALPKLSLLDIEITDRLPAESAASLLRAAARLDPVELSLVIAWVVRSDESVPIELPSFARATSITLRLHNLPLSAPAQGVEFPVLERLSITSGSFDTGALISRCPRLRVLELIYCWGIETITVHSATIDELLVISGQLRGVDIMAPMLRKFTLHSDVSVDFNISLLAPMMENLSLKCWSHGQRFVPAVTEAVGIDGLWRLVRLELGTEGSGFILGLDIGRSVRLFFSNILLLFDIQSLEMFPLPKISALELCLDTRGHVYGGVVLHLLRIWNGIRRLKLVIDRDMEVCPPDCLCDQPENWRSQNISLMGLEVVEIKNFKGRSHEVDFLKLLFRCAPLTKVTVELASKVEPNSRGCKNAYKLFMKNPAVECHVNLKRGNKVIYET >KQL23695 pep chromosome:Setaria_italica_v2.0:II:16545338:16546795:1 gene:SETIT_033022mg transcript:KQL23695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISLRDCIRKRREEDDDDMMMFLFPALYLMGSAREGGVKKKRHTSKEIGEVKVRRLLEGHIKNCQVTFRMEPHIFKELATYLRRKRLIVDTRITVEEKLGFFLYMLSRNASYEDLAVTFGHSNDTFHYHINHFFKKYIDSGYRSDNGWNTEGWNKIVKDFHLRNKFVSYTKAQIQDKECHLAEGTYNFTSIESQRVEEPLHQIDDVEDGA >KQL24324 pep chromosome:Setaria_italica_v2.0:II:28877326:28882585:-1 gene:SETIT_028904mg transcript:KQL24324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNSFSNLLDICSEDVFDFQQPLRSLPCAVTSPGIRSDPDWESSNGSNLIGSAPPCLTRKIVVANFLPLNCTKDEATREWSFAVDDNQLLVQLKDGFPIDSEVIYVGSLNVQVDPSEQDQVSQKLFKEHKCIPTFLPADLQQQFYHSFCKQHLWPLFHYMLPVCHDKGELFDRSLFQAYVRANQIFADKVMEAVNSDDDCVWVHDYHLMLVPTFLRKKLHRIKVGFFLHSPFPSSEIYKTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVNLKILAAGVHVGRLESMLKLPVTISKVQEIENRYRGKLVILGVDDMDIFKGISLKLLGLELLLERTPKLRGKVVLVQIVNPARSIGKDVEEAKNEAVSVAQRINDKYGSANYKPVVLIDYSIPFYEKIAFYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEDIDKLRGSDKSSLHTSTLIVSEFVGCSPSLSGAFRVNPWSVEDVADALYSATDLTQFEKIQRHEKHYRYVKSHDVTYWARSFDQDLERTCKEQDSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKANRRVIFLDYDGTLVPQSSLNKAPSAEVISILNSLCNDTKNTVFIVSGRGRNSLSEWFDSCENLGIAAEHGYFIRWNKAAEWETSSSGQCSEWKLIADPVMHVYTETTDGSSIECKESALVWHYQNTDHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDKIIRTLVSKGEVADLLMCIGNDRSDEDMFESINKATSLAELPAIPEVFACSVGPKASKANYYVDGCSEVIRLLKGVIDVSSQKDTTSHSHVNSNDILEVVS >KQL24323 pep chromosome:Setaria_italica_v2.0:II:28877326:28883036:-1 gene:SETIT_028904mg transcript:KQL24323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNSFSNLLDICSEDVFDFQQPLRSLPCAVTSPGIRSDPDWESSNGSNLIGSAPPCLTRKIVVANFLPLNCTKDEATREWSFAVDDNQLLVQLKDGFPIDSEVIYVGSLNVQVDPSEQDQVSQKLFKEHKCIPTFLPADLQQQFYHSFCKQHLWPLFHYMLPVCHDKGELFDRSLFQAYVRANQIFADKVMEAVNSDDDCVWVHDYHLMLVPTFLRKKLHRIKVGFFLHSPFPSSEIYKTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLNYESKRGHIGIEYFGRTVNLKILAAGVHVGRLESMLKLPVTISKVQEIENRYRGKLVILGVDDMDIFKGISLKLLGLELLLERTPKLRGKVVLVQIVNPARSIGKDVEEAKNEAVSVAQRINDKYGSANYKPVVLIDYSIPFYEKIAFYAASDCCIVNAVRDGMNLIPYEYTVCRQGNEDIDKLRGSDKSSLHTSTLIVSEFVGCSPSLSGAFRVNPWSVEDVADALYSATDLTQFEKIQRHEKHYRYVKSHDVTYWARSFDQDLERTCKEQDSRRCWTTGFGLNFRVIALSPGFRRLSLEHFASSYKKANRRVIFLDYDGTLVPQSSLNKAPSAEVISILNSLCNDTKNTVFIVSGRGRNSLSEWFDSCENLGIAAEHGYFIRWNKAAEWETSSSGQCSEWKLIADPVMHVYTETTDGSSIECKESALVWHYQNTDHDFGSCQAKELVSHLERVLANEPVVVKRGHQIVEVKPQGVSKGIAVDKIIRTLVSKGEVADLLMCIGNDRSDEDMFESINKATSLAELPAIPEVFACSVGPKASKANYYVDGCSEVIRLLKGVIDVSSQKDTTSHSHVNSNDILEVVS >KQL26251 pep chromosome:Setaria_italica_v2.0:II:43325481:43326865:-1 gene:SETIT_030335mg transcript:KQL26251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYERTVKAAAAVLVAAAGVRFLGPAAAAFVEEELPRARAVAATWLTPPYLYLVINAIIISIAASSRFQPSTGGGGRPSATSYASAAAAAGGGGVVAEDEVEQDEIQPPVALQVPVPVLAMPVPAAPAAEVAAVEEPVVEMNAATVAPAPVPVEEDEEFSISRSTWTPRRRGAEPEVEADAESEVPFADLTNSREKPLVSARFSRKAAKPSPEGSRALRVARPRKEETLESTWKAITEGRGPPLARHLKKSDTWDTRPGRRPSGGVGSGEIDPAAAVPAGAMRKAETFNDGGAGRGKAAPAAPVRREPSLGQDELNRRVEAFIHKFNMEMRLQRQESLKHYSDMLGRGSQY >KQL25828 pep chromosome:Setaria_italica_v2.0:II:40762822:40763652:1 gene:SETIT_032101mg transcript:KQL25828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLASLLLVGLPLLAGVAEAKPHVNHGKFKGGPWTDGHATFYGGRDGSGTTEGGACGYKDALAKDYGELTAAVGPSLYAQGAGCGACYEVKGAEGEAASTGKSVVVTATNQAPPPVSGQKGEHFDLTMPAFLQIAEEKAGIVPISYRRVACVRQGGIRYTITGNKNYNMVMVTNVGGEGDVVALTVKGNKRVKWTPMKRSWGQLWTTEVDLTGESLTFRVMNGDHRKATSWHVMPRDWQFGKTYQATKNF >KQL26881 pep chromosome:Setaria_italica_v2.0:II:46740169:46741325:1 gene:SETIT_032029mg transcript:KQL26881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAVLALLVLLGSVTCRADYGYGGYPSPTPTPTPTPPPSAGGLTVGFYDSTCPNAEDIVRGVVEKAVTQDPGVGAGLIRMLFHDCFVQGCDASVLLDPTTANPQPEKLSPPNFPSLRGFEVIDAAKAALEAACPGNVSCADIVAFAGRDASVVLSGRRINFTMPAGRRDGRVSNSSDALQFLPPPSFNLSELTASFAAKGLDVDDLVVLSGAHTVGRSHCSSFVTDGRLNASTSDMNPGLAASLRQECPASPNATNDPTVAQDVVTPVTLDNQYYKNVLNRSVLFTSDAALLNSGQTAVSVLLNAVTPGRWEQMFAKAMVKMARIEVKTGTNGEIRRSCRIVN >KQL22245 pep chromosome:Setaria_italica_v2.0:II:903382:909243:-1 gene:SETIT_029029mg transcript:KQL22245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSWWKRSKSAFHRSSVLPASTPASPARASTSRAQPGGRRGGGGGEAERDLLAAPPKLTRQRKLRHVGGIDVALGDLVVVVEADRRASSSPPLQRGRASEAVGIPASTPVSRSSSTREAVAQPPRSASSPVLHPLPLPSPRPVDLEAQDPPAGVADGWGERATYAPKFTSQTVQKFPEQNELLPNGTKRATFSHHKNAFREKFQDKSSAEIMDFRLNIPAKSAPSSGFSSPACSPRKLSYADFSSTAAPAQGHLAWSAPSIRSTDFMGASSPRTSPEKYAGVPDLSSFSSPLRSPILIPRNTSAPPSPMHSKLYPDNNVPRNDKLYPENNVPRNEGNGNVSFHPLPLPPGALSPMQTGFSNQPAPKVEMPSVAGQWQKGRLLGSGTFGCVYEATNRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSDIIEERFYIYLEYVHPGSINKYVKQHYGAMTESVVRNFTRHILRGLAFLHGQKIMHRDIKGANLLVDVQGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEMVQATLMKDVGYDVAVDIWSLGCTIIEMFDGKPPWSDLEGPAAMFKVLHKDPPIPENLSLEGKEFLQCCFKRNPAERPTASELLDHPFIRNSSHYNKHGSIHSFAGIKVNNIAHSLRDNKPTSKSDSSMKGKNTNIEPSRAARSSESTFRLAPLTIQEATQNLSPRPLGFGNNPGSTANFVNTMHFPSANPQPSPLPRPNGKEVLF >KQL25784 pep chromosome:Setaria_italica_v2.0:II:40539154:40542055:-1 gene:SETIT_029559mg transcript:KQL25784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDGGACRECRDNQLISPCCRAGLLRRSPRTRRRTEGADHISALPDDLRLKIVSHLDCARAAASMSLLSSNWKGLWRRLPKLDFHNIAPDPLIAALHQVVGPAGSLNIYLQIHHKLSAAGVSSILRAAAPVKPANLHAVFFMDEHADTSVPIELPVFDRATSIKLSFPPLETTLLPTGDFTKLETLYLIFCNIDLGGLLPCCPKLRKLKISCGPLDSIKVHSNSLEELDVYTLSNLRVVDIVTPKLKKLRFASNEGTEDEFSLSFSAPLLDDLSWQWWCPSSFGALWRLWSLKLKTVEPHGRTHLASNGESSCLQLQQRPHDNILLLKMGRSRYARNVFRSFGCDISRIPLDITTGRHVYGAVVLEVLRFCTSIQRLKIVLNRRQERKRRGTCPASCPCDQPRNWRSQSITLINLKEVEVEGFSGDDHEVDLLKVITRRATMLEGVTLKFYQKFSLECSAFKEIPSILIAHPSVKFNIFNYYHVQESSMNDSDSEIVEDTSSDEDD >KQL23444 pep chromosome:Setaria_italica_v2.0:II:12904529:12905749:1 gene:SETIT_031841mg transcript:KQL23444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSFIGEDRQRKISPMALCQRMLPKTAWYIEVKGPTKFSHRNVQREQRLTN >KQL24009 pep chromosome:Setaria_italica_v2.0:II:24514971:24518142:1 gene:SETIT_031414mg transcript:KQL24009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRIQKELMDLQKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYTGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKNQRQRYEETARAWTQKYAMG >KQL24008 pep chromosome:Setaria_italica_v2.0:II:24514971:24518142:1 gene:SETIT_031414mg transcript:KQL24008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRIQKELMDLQKDPPTSCSAGPAGEDLFHWQATIMGPSDSPYTGGVFFVNIHFPPDYPFKPPKVNFQTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSISSLLTDPNPDDPLVPEIAHMYKNQRQRYEETARAWTQKYAMG >KQL23563 pep chromosome:Setaria_italica_v2.0:II:15060963:15064606:1 gene:SETIT_029952mg transcript:KQL23563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVAPWIPNGRLGWSDLREQQHLLAPHVRCHFPENPLKDRGFLHPHRRPPPLSPAPTTRRRPSTTMASPSIPAALRHPHLAAGGGLLAHSSGEPTSRSALSFRTVDMPMRRWQTGLGPILAARSPGLGNVDNLHESSSLSRSWDLNSQIDNDRDVLIECRDVHKSFGDKHVLRGVSFKIRHGEAVGIIGPSGTGKSTILKVMAGLLAPDKGDVIICGKKRHGLVSDEDIEGLRIGLVFQSAALFDSLTVRENVGFLLYENSSLPEDRIGKLVTETLAAVGLKGVEDRMPSELSGGMKKRVALARSIIFDDTKDVIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMTGRDALGKPGKIASYVVVTHQHSTIRRAVDRLLFLHEGKVVWEGMTHEFTTSTNPIVQQFASGSLDGPIRYF >KQL26929 pep chromosome:Setaria_italica_v2.0:II:46957066:46960084:1 gene:SETIT_030434mg transcript:KQL26929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFSLKSSKGAPILPRPVFVFFVALFGFYVCYLSFNQITLENKREGNSGEEQRANICRKPHVPYEELRYTHFPKPRSYSRGECSCTPVRFFVIVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWLTSAAKNECTAAFGLKWMLNQGILENHDDIVSYLNKKGVSVIFLFRRNTLRRVISVLANDYDKDAKQLNGTHKSHVHSKEEAEILAKFKPELDTSTLITNIRNIEKAIRDCLDHFKSTRHMMLYYEDIIGNSNALSQVQEFLRVPVRPLMSRQVKIHTRPLPDLVKNWEDVSSKLNGTEFAHFLDGSDYVK >KQL26928 pep chromosome:Setaria_italica_v2.0:II:46957066:46960084:1 gene:SETIT_030434mg transcript:KQL26928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPFSLKSSKGAPILPRPVFVFFVALFGFYVCYLSFNQITLENKREGNSGEEQRANICRKPHVPYEELRYTHFPKPRSYSRGECSCTPVRFFVIVSMQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWLTSAAKNECTAAFGLKWMLNQGILENHDDIVSYLNKKGVSVIFLFRRNTLRRVISVLANDYDKDAKQLNGTHKSHVHSKEEAEILAKFKPELDTSTLITNIRNIEKAIRDCLDHFKSTRHMMLYYEDIIGNSNALSQVQEFLRVPVRPLMSRQVKIHTRPLPDLVKNWEDVSSKLNGTEFAHFLDGSDYVK >KQL26927 pep chromosome:Setaria_italica_v2.0:II:46957451:46960084:1 gene:SETIT_030434mg transcript:KQL26927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSGSGWFETLLNSHPNISSNGEIFNRVDRRENISSILQTLDKLYNLDWLTSAAKNECTAAFGLKWMLNQGILENHDDIVSYLNKKGVSVIFLFRRNTLRRVISVLANDYDKDAKQLNGTHKSHVHSKEEAEILAKFKPELDTSTLITNIRNIEKAIRDCLDHFKSTRHMMLYYEDIIGNSNALSQVQEFLRVPVRPLMSRQVKIHTRPLPDLVKNWEDVSSKLNGTEFAHFLDGSDYVK >KQL25979 pep chromosome:Setaria_italica_v2.0:II:41689382:41690338:-1 gene:SETIT_0290022mg transcript:KQL25979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QDHLPAYAAIRPNSNFGGAKNI >KQL25977 pep chromosome:Setaria_italica_v2.0:II:41689382:41690335:-1 gene:SETIT_0290022mg transcript:KQL25977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHLPAYAAIRPNSNFGGAKNI >KQL25978 pep chromosome:Setaria_italica_v2.0:II:41690270:41690335:-1 gene:SETIT_0290022mg transcript:KQL25978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHLPAYAAIRPNSNFGGAKNI >KQL24877 pep chromosome:Setaria_italica_v2.0:II:34206092:34207829:-1 gene:SETIT_031151mg transcript:KQL24877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTLPPTNAAGQTATQEGSAGKPTDGAADSAAMDSGWVVLGKSDIVPADLAAAASAVGHQRLNFSPLPMIPIWVQMVLGGVVYTAVPFYKRARKIEGETLNNAETAVEVVEHVAEVTEKLAANAAESLPENGSLQKFAVEIEYIAEVVDKDAHKVEVVIKKIEEVSDKIDAAVEPVIEALEKDFKPNPTSSTGSDAQK >KQL24876 pep chromosome:Setaria_italica_v2.0:II:34205782:34207950:-1 gene:SETIT_031151mg transcript:KQL24876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTLPPTNAAGQTATQEGSAGKPTDGAADSAAMDSGWVVLGKSDIVPADLAAAASAVGHQRLNFSPLPMIPIWVQMVLGGVVYTAVPFYKRARKIEGETLNNAETAVEVVEHVAEVTEKLAANAAESLPENGSLQKFAVEIEYIAEVVDKDAHKIEEVSDKIDAAVEPVIEALEKDFKPNPTSSTGSDAQK >KQL24878 pep chromosome:Setaria_italica_v2.0:II:34206644:34207950:-1 gene:SETIT_031151mg transcript:KQL24878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTLPPTNAAGQTATQEGSAGKPTDGAADSAAMDSGWVVLGKSDIVPADLAAAASAVGHQRLNFSPLPMIPIWVQMVLGGVVYTAVPFYKRARKIEGETLNNAETAVEVVEHVAEVTEKLAANAAESLPENGSLQKFAVEIEYIAEVVDKDAHKVEVVIKKVCSLLPLILLEIEYVNILRISYFSCT >KQL24666 pep chromosome:Setaria_italica_v2.0:II:32111664:32116706:1 gene:SETIT_030005mg transcript:KQL24666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGTREENAVVAAHAQVQQLHLLQHPAKNAIADRKHTRTSSDLSDPSTPRKIEDAKNISIYNDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTAMPLPWGTRMSIALGAAKGLACLHNAQRPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGSGGSDGSGQSSGLPDYRVRRRLTGNSVHFRAIPNPKCSPVVPACRVR >KQL24665 pep chromosome:Setaria_italica_v2.0:II:32111664:32116706:1 gene:SETIT_030005mg transcript:KQL24665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGTREENAVVAAHAQVQQLHLLQHPAKNAIADRKHTRTSSDLSDPSTPRKIEDAKNISIYNDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTAMPLPWGTRMSIALGAAKGLACLHNAQRPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGSGGSDGSGQSSGLPDYRVRRRLTGNSVHFRAIPNPKCSPVVPACRVR >KQL24667 pep chromosome:Setaria_italica_v2.0:II:32111664:32116706:1 gene:SETIT_030005mg transcript:KQL24667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCGTREENAVVAAHAQVQQLHLLQHPAKNAIADRKHTRTSSDLSDPSTPRKIEDAKNISIYNDVIAFTLFELETITKSFRADYVLGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKDGHQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKTAMPLPWGTRMSIALGAAKGLACLHNAQRPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPEGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSIDKSRPSREQSLVDWALPKLNDKRRLLQIIDPKLEGQYSVRAAHKACSLAFYCLSHNPKARPLMSDVVETLEPLQGSGGSDGSGQSSGLPDYRVRRRLTGNSVHFRAIPNPKCSPVVPACRVR >KQL26093 pep chromosome:Setaria_italica_v2.0:II:42301685:42303236:-1 gene:SETIT_029888mg transcript:KQL26093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTVLTALVCATLAAGGAAGVRVELTRIHSHPGLTASQFVRDALRRDMHRHNARRLAMSGTVSAPTRKSSPGGGEYLMTLAIGTPPLSYPAIADTGSDLIWTQCAPCGGQCFKQPTPLYNPASSTTFGVLPCNSSLSMCAGALAGAPPPPQGCACTYNYTYGTGWTAGVQRSETFTFGSSPADQTRVSGIAFGCSNASSDDFSGSSGLVGLGRGSLSLVSQLDAGRFSYCLTPFQDTRSTSTLFLGPSASLDGTGVHSTPFVASPAMAPMSTYYYLNLKGISLGTTALSIPPNAFSLNADGTGGLIIDSGTTITSLVDAAYQQVRAAVVSLVTLPTTMDGSAATGLDLCFALPSPTSAPPAMPSMTLHFDGADMVLPADNYMILDSGVWCLAMQNQTNGAMSTLGNYQQQNIHILYDVGRETLSFAPTKCSTL >KQL27161 pep chromosome:Setaria_italica_v2.0:II:48162599:48163977:1 gene:SETIT_031360mg transcript:KQL27161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRERKKATGLHEKLQILRSITHSRALSDTSIILDASEYIKELKQKVVRLEQEIAFEEAGALKQNSSPTVTVETLGHGFLVNVFSDKSCPGLLVSVLEAFDELGLSVLEATASCADTFRLEAVGGENQVGHVDEHVVKQAVLQAISNCSESDRQQEV >KQL25890 pep chromosome:Setaria_italica_v2.0:II:41112473:41119337:1 gene:SETIT_032386mg transcript:KQL25890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWKENASPHHLHPRGAPNPSPLLPCKRPLQSPSPCPPPRRPLADVTGNALEQRGGGETSGYGYATPLPKAPRPCGFLLADDDDMDEALLREVDAICEEHARSTARKEEKEKKLAEEDKGTSEGPLAAVAAMIDDAGAEVATLEDAFWEEVNAVCEEFDAQSDAKSQEGVKEEPVQQQQQQEEEEEEEEESLVLSCGDASLPPVISITAEGGEFEDSFWKINAVSEEHKCQEAMMEMEEKDGLIALCGDASVSPVISIAMGPEELADAFYGEVDATIHEGHADISAAKGNEEMQYMELEIEGDEGCAPKKYYEYLHSLNDRQREAACSDVTVPLMIVAGPGSGKTSTMVGRVLTLLKEGIPPSNILAMTFTTAAASEMRDRIGKVVGKAVAKEIAISTFHSFCLQLCRTHAEKLGRTSEFIIYGHGQQRRAVIEAERLLENDKRSGAEESTKQYEGDIKGSFKDKAKKWQKFIAQAKASGRTPEEYEKKGDLTGASVLRHYNEILISCNALDYHDFINSSITLLTKFPEVCKECQDMWQAVVVDEFQDTSAMQYCLLKILASHNHITIVGDEDQSIFSFNGADVSGFDSFRRDFPNHKEIRLSKNYRSTRAIVEAATALIHNNTKRHHHKLVETDNPSGCKITVKECHSEDSQCAFVIDKIIETTSTSADSCNFGNIAVLYRRQITGKAFQVAFRNRKIPFNVHGVAFYRKKVIKAIMAILRTTLPGCDDGPWRQAFKALLPSDKEEKKKIIDHVEKISLARKCSFISAATDIFSAKVSGTFKRAQITQGRKVLSTLDSLSKLVER >KQL22251 pep chromosome:Setaria_italica_v2.0:II:987810:988385:-1 gene:SETIT_032486mg transcript:KQL22251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVENQDGFPELEALSKLDFGAALKIFLAKQLTSEVATEKIKEAINRGYKRIAVLYGGGHMPDLGRRLGEELHMVPADVQWVTAWSIRRRELESKSLPFLKTLAEASGWPLNRYGTLALLIFSSVLAVDLWFWELFFGTAVNSASLAGS >KQL26418 pep chromosome:Setaria_italica_v2.0:II:44227522:44229196:-1 gene:SETIT_030285mg transcript:KQL26418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAFHPLAASRVRLAPLLPGAAAAPSPASVAAHHHHHRRRFSAIVATAAASATTEFDFKSYMGSRAEAVNRALDAAIPAGEDPVSLHEAMRYALLAGGKRVRPALCLAACGVAGGREAWALAPAAAVEMVHTMSLVHDDLPCMDDDDLRRGKPTCHVVYGEPIAVLAGDALLSLAFHHMASVGSYPPDVDPDKHPARVVRAIGELARCIGSEGLVAGQVVDLEMTGTSEIVPLERLEYIHLHKTAALLEASVVIGAIIGGATDEQIERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKTTYPKLLGLDKSREFAEKLLSDAKEQLAGFDKEKAAPLLHLAYYIAHRQN >KQL27199 pep chromosome:Setaria_italica_v2.0:II:48481083:48484732:1 gene:SETIT_029036mg transcript:KQL27199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSDARPVRRVRCPKCHSVLEEPGAPVYQCGGCGTSLRAKNRTGNTGDAPKGSPSPSRSALPPQSRHLDSTDVASTSRSSTPTSLEATSSRHRATDTTSRHESGDLVSARRHGSSDVASTSSTPTVTSSRCQGTHTRSQGESGDIVSARRHGFGDVASTSSTPTATSSSRQGIDTTSRRESGDLVFARRHGSDDVASTSSTPDATSSRRQGTDTTSRRESGDLVSARDRVSGQEAVIEKREHDQSVANQEVFDNSEGRRPRDGGVAERTATSPGVSVHFPGGNKDATSELQDDTEKRMTRQAESPDAARKKHSGEAAVQPQYHHHHEELAPKSAQAPAVQSARDVLAKEDDTVAAAAGEKALSPSRHELEAENLAPLRKKILKTVEELKGDLSELFRKSPELNPTPRARPPRLPKQEGYVSRAAVASGLPAARARRAAAAADSHRAVKHGQVSAPPPPRGLPSRRYRRCRADPCCHTVQLRPCHHGCCRHHGKPECGSCRGHCCRPRAPEPSAPRKPPPAAKEAKRRLPPRNHCRPVLKGAPFIVCSSCFTLVQVPTDFAVSTKTVRKLRCGACSTVLSYSYRDPARKKAYQDSLDQFSTDGSELHGGGGCAGQPDPFAPFVDGFGLSSYSTEDDHPLHVSRNTSFDTMDGTKVVGRLHRLMGYGSASELLRHSPDLYESFSERTTPDVGRYDRKGKGVCVYDYDVDDSDEEDGGALKRSVARGSGRWPLPGILGKGTPAPGAIRIK >KQL22406 pep chromosome:Setaria_italica_v2.0:II:2374854:2376697:1 gene:SETIT_030775mg transcript:KQL22406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSENASGDMMSNIMEAIAENLPNKKSVKFDDGEGSISDQARKLFGGGGGGQGNKKSLHHFFGGGKSADVLLWRNKKISSSVLGVATLVWVFFEWLDYHFLTIVSFAIVVGMAAQFGWSMFASNPPRVELPEELFANAGKAAGAQVNKALGMLQDISCGRDLRRFLIVIAALFAAAIVGSFCNFLTVIYIGFVSAHTLPVLYEKYQDQVDDFFYNMLGLLQNQYQKLGNGVRSKGKFRKSD >KQL22405 pep chromosome:Setaria_italica_v2.0:II:2374854:2376697:1 gene:SETIT_030775mg transcript:KQL22405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSENASGDMMSNIMEAIAENLPNKKSVKFDDGEGSISDQARKLFGGGGGGQGNKKSLHHFFGGGKSADVLLWRNKKISSSVLGVATLVWVFFEWLDYHFLTIVSFAIVVGMAAQFGWSMFASNPPRVELPEELFANAGKAAGAQVNKALGMLQDISCGRDLRRFLIVIAALFAAAIVGSFCNFLTVIYIGFVSAHTLPVLYEKYQDQVDDFFYNMLGLLQNQYQKLGNGVRSKGKFRKSD >KQL22404 pep chromosome:Setaria_italica_v2.0:II:2374854:2376688:1 gene:SETIT_030775mg transcript:KQL22404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSENASGDMMSNIMEAIAENLPNKKSVKFDDGEGSISDQARKLFGGGGGGQGNKKSLHHFFGGGKSADVLLWRNKKISSSVLGVATLVWVFFEWLDYHFLTIVSFAIVVGMAAQFGWSMFASNPPRVELPEELFANAGKAAGAQVNKALGMLQDISCGRDLRRFLIVIAALFAAAIVGSFCNFLTVIYIGNAESPVISRFTLIRHFGSEDSRRFTFCVSGFVSAHTLPVLYEKYQDQVDDFFYNMLGLLQNQYQKLGNGVRSKGKFRKSD >KQL23118 pep chromosome:Setaria_italica_v2.0:II:8475657:8480649:-1 gene:SETIT_028738mg transcript:KQL23118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAEEEGLLEVRCAGCGETLEVERGLTEFACPDCATPQALPPELMPPPRRRRAVPIPPAPAPARAAPPPAGARLPCGACGALLSVPPGLARCGCPVCGAELAVDAARLRRYLAATAAPPLVPVSLPASFQAREVQQEYPDSAVRVGHIQGYPNNRLVHVERSQIRCRNTEALSEYPDAHTGRDDIDTEVSNEINARHYHRSGFSVASRTFGAKRRQVEALNHVTYQVHSQQSNYSVHAEHPEHTIHVREAHNESLNHAVCKFVGHVGLIKETTAVRHTNQVNGTAIDPESVTVEKRQAPASNQTTQDVQKYSSRSIICAEYATVPYQDQVIYLQESQIDPVNHGDNVHMQPSDRISARDGNCITGHSVSHEAIRSKERQIDVVNKATHLMPKQHVDNRAHAEHTVADHSDQMIEHMCHATYGGEECLQKTNGSVAKHNNRQSEHLTGCRNSNMEDRYVEPLKQAMHEAEEQPAYYISNKAQEHVEPPNSGVIRHGNKKIGTRAEPGSTCNEQKWTIPAEQPIFEEQGHAPSVYDTQTQHIEPDLGKQAPRRTQKRKKLLTAASNNRLQLRRSKRLTPESNAVVDTGPLETYPPEQQVASPYQNRSDLPDIDGIIANLCPGSSPQLKMPQLHSTESEPFNVAALPASDPYLESLNEVIEEHGMDKTRTEVESTLIYQRQGKAGSPKLLSPIEQEPEHSDDNIPMHQDEPDMGQLSAKLTHKSMKRNLVSSLNEGIEHRRSKRLAKQSAATTYYESPESDSEESQAASPSISNSLDIGRASDDSSSSLQPQHDTPQRSSNEADSVTMQSASSIPDMSDPESFARYYSKVYPPEVRRALERNSNVWLEPRLIHQSPSSYLHAGGKEKRRRGRGSTLCLKIWTMPEGVRIPVSLNDLGQPIGNEAATLSYFLGTIARDVTLAPLTYTDWRGFPEKNKDVMWHLVNLKFVIAPIGEIWAMKALGKKWKDWRATLKHERYDVHETDEERLADRDARVPEEQWKCLVAYWGTEKAKAASARCRALRARQPVHKHRAGSKSYARIREEESCLRKEAHKRQEGSASGSMDDVFAKIVGPDTRDTKRTYGPVPCTSELRGKTAAKVSLRLAHAAKRNAEEEAATLKKKMMEMEENNRKLQEDLACAKSPASSGVKDRRRMSTDDLQRNQPVNH >KQL25862 pep chromosome:Setaria_italica_v2.0:II:41013168:41014516:1 gene:SETIT_032841mg transcript:KQL25862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTVENVKAKIQDKEGIPPDQQRLIFAGKQLDDGRTLADYNIQKESTLHLVLRLRGGGRGGYPTMRVPWDLINLARKHNEKKMICRKCYARLHPKATNCRKKKCGHSNALRVKKKLM >KQL26770 pep chromosome:Setaria_italica_v2.0:II:46249605:46250069:1 gene:SETIT_032390mg transcript:KQL26770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPRLSHGSAAHRLQLHEQRGSLPKPELPPHPTVPEHPKPELPHPELPKPEMPPHPEVPELPKPEAPHVPEVPKPEGH >KQL22625 pep chromosome:Setaria_italica_v2.0:II:3959886:3960918:1 gene:SETIT_031198mg transcript:KQL22625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLNLMIIRLLAVVTTALLAAPASGQGQAGAASCTASLITSFTPCLNFLTNGGGGGSAPTQDCCRSLAELMSASTGCACLILTGNVPLGVPGVNRTLAVALPKACNSAAVPLQCRDTSAQIPAPGTGADVPSSATPATPEVEPTATAPVSQEQTRPVVLPSSGRRASVDAPATTAAFVLLLAVGAALV >KQL25867 pep chromosome:Setaria_italica_v2.0:II:41026364:41027583:-1 gene:SETIT_030364mg transcript:KQL25867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLLPHHPSLLLLAAAAAAICISSSNLAAVRCTPVYRPDYLVDGNQLVDMQYHMGPVVSGSPTNLYLIWYGRWEPAAQAVLRDFLASLSASAPFPAVSDWWARTPRMYTDQTGANVTGAFAVAGEHSDAGYSHGASLRRADMQSVIRSAVYAYPDPLPLDPYSGAYLVLTSPDVQVDEFCRAVCGFHYFTFASVVGVTVPYLWVGNSATQCPGKCAYPFAAAEYGAGAGAGGGGGGGGGQAVLRPPNGDAGVDGMVIVLGHELAEMSTNPLVNAWYAGDTPTAPTEIADLCLGVYGDGGGAGGMVGNVSRAPDGSAYNVNGVNGRRFLVQWLWNPVRAACYGPNSSN >KQL25734 pep chromosome:Setaria_italica_v2.0:II:40071605:40074006:-1 gene:SETIT_031670mg transcript:KQL25734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTHLAGADLASASAMGGHTDRVFRALAVASLYILIRRWRAGGVGLAERPAPAEIAAAAALCTSVAWLYVLPALGLRRSSDRRRHQD >KQL22228 pep chromosome:Setaria_italica_v2.0:II:732878:736059:1 gene:SETIT_029498mg transcript:KQL22228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSGSEIAAKRVKPSDGAAAAAGEDLLSALPDDVLVLILLRLGTAAAVQTSSLSRRWRRVWALLPELRFHLAPDGPRIRELLDAPEAPELRCVSVTTEDAAPHSAAAWLPVAARRLSGDLVYHNVVRGNHVDEDDDDDDGDEEEAEEAGVRGDVQLPCFGKAIKIVLNLGFLSLALPSMGIFPRLTKLSLSRVRFRGPCELGNLVSSPRCPCLQKLKVHDSRGLHNLSIHSESLLQIKLESLYSLQQLTIVAPALLGLAVTFSFIDDDPSKPIANISAPQLVSLTWRDLYDPRYVHLGNLGRLQKLNSFFIVYGQHASRDKNQYCLRLLQQFQVIHSLKLMLVYRKDIDDFQYLMGDMKMLPQITFLQLAVSNEGHAFGASSFHLLRMCTGLRRLVFVLHDNRNLEAQSTCPSGCICDQPTSWKTEGLVLNHLEHVTIFGLQGAEREVTFVKQLFSWAMVLKNIRITFDYQVTESKARDFCQALAGFSRPETSVEFHLFRDADKRSVYLLAPEGQGTDL >KQL24343 pep chromosome:Setaria_italica_v2.0:II:29096551:29099543:-1 gene:SETIT_030471mg transcript:KQL24343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDDAYLLCAEDAAAAFFGAAAPAAGSTCTGAGDDDDDDGCCCSAGEEAESAASIAELIGGEADYSPRPDYPDRLRSRSIDPAARAESVAWILKVQEYYGFLPLTAYLAVNYMDRFLSLHRLPQEDGWAMELLAVTCLSLAAKMEETLVPSLLDLQVEGISRYVFEPGTIGRMELLVLTALNWRLRSVTPFTFIDFFACKVDPGGRHTRCLIARATQVILAAMHDIEFLDHCPSAMAAAAVLCATGETPSLESVSPGAAVGWCIGLAEETIGSCYRLMQQLVIGGNVQTRAAGTTASALNLCCSDQALSSHSSSSSSPPPAKRRKRSPPGI >KQL24342 pep chromosome:Setaria_italica_v2.0:II:29096551:29099715:-1 gene:SETIT_030471mg transcript:KQL24342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDDAYLLCAEDAAAAFFGAAAPAAGSTCTGAGDDDDDDGCCCSAGEEAESAASIAELIGGEADYSPRPDYPDRLRSRSIDPAARAESVAWILKVQEYYGFLPLTAYLAVNYMDRFLSLHRLPEDGWAMELLAVTCLSLAAKMEETLVPSLLDLQVEGISRYVFEPGTIGRMELLVLTALNWRLRSVTPFTFIDFFACKVDPGGRHTRCLIARATQVILAAMHDIEFLDHCPSAMAAAAVLCATGETPSLESVSPGAAVGWCIGLAEETIGSCYRLMQQLVIGGNVQTRAAGTTASALNLCCSDQALSSHSSSSSSPPPAKRRKRSPPGI >KQL26602 pep chromosome:Setaria_italica_v2.0:II:45334710:45337163:1 gene:SETIT_031356mg transcript:KQL26602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGVDHHHHGLHSPTPAAATKISIPISSGEAALFGKGRYKAWALAAIALLALWSMFAASVTLRWSSGELATFGNASDPLIDEFDPLEMEEREKLARRMWDVYTRTGDHVRLPRFWQEAFEAAYEELAGDDTQASDAAISEIARMSVHRPEVEQSWNKN >KQL23373 pep chromosome:Setaria_italica_v2.0:II:11583555:11588514:-1 gene:SETIT_028764mg transcript:KQL23373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLIAGSHNRNELVVIRRDGDPGPKPLRQPNGQVCQICGDDVGLAPGGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRYKRLKGCARVPGDEEEDGVDDLENEFNWNDGNESQYGAESLHGHMTYGRGGDLNGVQQPFQLNPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPSLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHQMRNDGGGDDGDDADLPLMDEARQPLSRKIPIPSSQINPYRMIIIIRLVVVGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGLDCEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKSKKPPSRTCNCWPKWCFCCCCGGNRKHKKKTTKPKTEKKKRLLFFKKEENQSPAYALGEIDEAAPGAENEKAGIVNQQKLEKKFGQSSVFVTSTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRVDPFLAKNDGPLLEECGLDCN >KQL23372 pep chromosome:Setaria_italica_v2.0:II:11582966:11588748:-1 gene:SETIT_028764mg transcript:KQL23372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLIAGSHNRNELVVIRRDGDPGPKPLRQPNGQVCQICGDDVGLAPGGEPFVACNECAFPVCRDCYEYERREGTQNCPQCKTRYKRLKGCARVPGDEEEDGVDDLENEFNWNDGNESQYGAESLHGHMTYGRGGDLNGVQQPFQLNPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPSLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHQMRNDGGGDDGDDADLPLMDEARQPLSRKIPIPSSQINPYRMIIIIRLVVVGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLSLRFDKEGQPSQLAPVDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYNIEPRAPEWYFQQKIDYLKDKVAASFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGLDCEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKSKKPPSRTCNCWPKWCFCCCCGGNRKHKKKTTKPKTEKKKRLLFFKKEENQSPAYALGEIDEAAPENEKAGIVNQQKLEKKFGQSSVFVTSTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELNNVASLWFMSLFICIFATSILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGVDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRVDPFLAKNDGPLLEECGLDCN >KQL25778 pep chromosome:Setaria_italica_v2.0:II:40496463:40497901:-1 gene:SETIT_030065mg transcript:KQL25778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAWQPSSWSEIPRDLAGMVLRLLPAYADRARFAAVCPQWRAAARQLPLPPPLPLLALPDGTFYSLPYGKPFRFPGFGCVGYKGAAFGSWLVFPRDNGCFLFDPFAGATVKLPALSCVRLRPPNAADKYVKYQDHVTPNGKHYITWMDIRDAEYVPTLTKLIVCSPNLVAAFVGTGQISQILMCQPGASSWSVRAYDPCHMFEDMAFYQGKLYALADDENLLVINISQDPSTGDPQVSRIGQVIKGDPDPLFDAWFPDDTTGSKKLYLVESRGALLMVRRKVCCRMVDDTIVAGRISEFEVFEADFEHSRWVNVTTLGVDHILFLGRSCSRAVSASQYGMSSDQIFFLDDVTENAVHYSFDEENTSVNVYDMRDGEISSPLPMVWKHEMILATWLFPRD >KQL25883 pep chromosome:Setaria_italica_v2.0:II:41091090:41091458:-1 gene:SETIT_033658mg transcript:KQL25883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLEEMCLLDYFVLCCLFVKLILVSINTDAPIVYSI >KQL25577 pep chromosome:Setaria_italica_v2.0:II:39124475:39129667:1 gene:SETIT_028857mg transcript:KQL25577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGQSKMEEEAAVQHCRERSELLALAIRHRYTLADAHHAYAESLRAVGAVLHDFLRGVQSLPPPPPEPELRLPQQRKGDGLPAASPPPGAPAIASSSSAAGPPVAKQVRIAPDDEHIHFHSDDDSDSEGGGHIKFSDEEPDPAQRRPEVVRSAGAPGPPPPQMGPPYGGPGYAPPPYGPGYGYGYGSGPGPGPGPEYGGMGMNGGGYEPGGYGGMGSGGYGQSYGGMGGGGGGSGGYDPGYGGMGSGGGGGGGYDPGYGGMGSYGQSFFNISYARSQPPPPSVAREQRLQATNARVHYYSGDGEPQPPPRGYGGGYPYPPQSSSSYNQYAYGGYFGAGGAPAPPADIPSSSREPAAPPPPPSPPRVSTWDFLNPFETYESYYEQPTAAAAPYTPSRSSKDVREEEGIPDLEDEDMEVVKEAYGDEKHLVKGYGGNGKAAKEEGRSSTGDELPRKSKSSEASSSGSSLEHDVHVVEKSVVGEQVQRSEPRQHVAGLPSTGPEKIYVDDTEVVVEIRTQFERASESAGEVSKMLEVGKMPYYQKSSGFKVSAMMICGIPTMEEEFLRFEEDKAMGCGNLSSTLQKLYMWEKKLLEEVKTEERMRVLYDRKREELKMLDEKGAEADKLEATEIYIRKLSTKISIAIQVVNTISQKISKLRDEELWPQTCELIQGLMRMWSVMLECHQIQLHAISQAKNIDSMIDAAKFGDAHMDLIKRLELQLLDWIACFAAWVNAQKNYVNTLNDWLRKGVDYVPEETEDGAPPFSPGRLGAPPIFVICNNWAASVARISEKEVVEAMQAFASKVLNLWERHRSEQRQGMMANKSMDRDLRVMERDEQSMRKALEAQNKKLVLISNQSGVSLSAQVLHEAGPGAEIGSLQTSLRNIFEAMENFTAASVNTYKDLHLRAEEEKARVAQESGRVS >KQL23766 pep chromosome:Setaria_italica_v2.0:II:17588909:17590791:1 gene:SETIT_029541mg transcript:KQL23766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLNRAKSLVIEMDASAILFLVCISVGFFLVHKILVSGKATSTSNARRPPGPARVPLLGNILDLRGAELHHALARLAGVYGPIMSLKLGTSNAIVVSSAAAARDVLQKHDHILVGRAVNDAARALGSHELSMLWLPASSPLFKRLRAVCNNHLFSARGLDATKAVREEKVRELVGFLRSRYAGQAVEVGSVVLSGMLNLMSNVLFSEDVADLSSDHAQELETLINDMIEEITKPNLSDLFPVLAPLDLQSRRRNNTVYMKKLYDFMDRVICRRQSAGGEKKVDFLDVLLRLHSEDQFSLQSVNSFLLDLFVAGTATTSLTVQWTLAELLRHPAVMSKVRGELQEVLGAKEYPDESDIDKLPYLRTVVMEIMRLHSPSPIMMPHVAMADGAEVGGFVVPKGTIVIVNVWAIMRDPASWEQPEAFMPERFRGTGLDFRGGDTAFLPFGSGRRLCPGMPMATRSLTLILASVLHAFEWSLHDGMQPCDVDVRERFSTSLNMVTPLKAVPTPVCH >KQL26026 pep chromosome:Setaria_italica_v2.0:II:41911248:41911777:-1 gene:SETIT_033587mg transcript:KQL26026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRSENADVALQGHHELPPGQEGEEQARRKTPREKVAN >KQL24572 pep chromosome:Setaria_italica_v2.0:II:31405571:31409973:-1 gene:SETIT_033384mg transcript:KQL24572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAARTIFLLLFVNLILAHRATVSGAATLNVGVILNLQSLVGKMVRTSIYMAMEDFYAVHRNYTTKMVLHIRDSNANSVQAASEAVDLLENYNVRAIVGPQKSSEATFVSDLGNKSQVPVISFTATSPTLSSGSVPYFLRATLSDVAQVNCIAALIKGYGWREVIPIYEDTDYGRGIIPYLVDALQEFGASIPYRSAIPVSASSDQLEQELYKLMTMQTRVYIVHMSSSIASTLFTKAKKLGMISEMYAWIVTDGIANIINSLNPSILDAMNGALGVKFYVPKSKELDDFTARWNKRFKQDYPNDSPSQLGTFGLWGYDTIWALAQAAEKVNMVDDISHKQWHRKNSTCFGTMGTSTIGPKLLDEILHIKFRGLSGDFDLEDRQLQPSIFQIINVVGQGSQEVGFWTAKHGIIRQLDQNGSKTTNVNSMPDLHPVIWPGELYVVPKGWQIPTNRKKLRVGVRTSGYPEFMKVERDPITNATTATGYAIDVFEEVLKRLPYAIPYEYVALDNADSRSYNDFVYQVHLGVYDAAIGDITIRYNRTSYADFTLPYTESGVAMIVPVKDDTNKNAWVFLKPLTTDLWFGSIAFFIYTGIVIWLLERRINNAELTGSIFRQLGIAIYFSFFADRERVDSILSRLVVIVWVFVLLVITSSYTANLSSILTVQQLQPTETDIHELIKKGEYVGYHNGSYVGDLLEELGFDRRKIRAYETSDDFADALSKGSKMGGITAVVHEVPYIKIFLAKHCKGYTMVGPIYKSEGFGFAFPKRSPMVIDFSRTILSITEGDTIIQIEKKWIGDQHACQNDGAIASPSSLNFKSFSGLFLVTGVASTSALLIALVMFLYNNKHKIRNSISRVQTQGG >KQL24819 pep chromosome:Setaria_italica_v2.0:II:33513915:33516995:1 gene:SETIT_031405mg transcript:KQL24819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPTASAGASSSSSDNTSPPADRLKQARVGREDSFTRDCKCWSCLLARDTSRSFAEISRVGACLLGGGCMSRSLLAGGHVCRNLLAEESGRPANREAVIDRRVAACVCGSQRVEGRWVQNFKIQIACWPACVGDGRVGGHWANVHV >KQL24820 pep chromosome:Setaria_italica_v2.0:II:33516057:33516995:1 gene:SETIT_031405mg transcript:KQL24820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPTASAGASSSSSDNTSPPADRLKQARVGREDSFTRDCKCWSCLLARDTSRSFAEISRVGACLLGGGCMSRSLLAGGHVCRNLLAEESGRPANREAVIDRRVAACVCGSQRVEGRWVQNFKIQIACWPACVGDGRVGGHWANVHV >KQL24818 pep chromosome:Setaria_italica_v2.0:II:33513915:33516995:1 gene:SETIT_031405mg transcript:KQL24818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPTASAGASSSSSDNTSPPADRLKQARVGREDSFTRDCKCWSCLLARDTSRSFAEISRVGACLLGGGCMSRSLLAGGHVCRNLLAEESGRPANREAVIDRRVAACVCGSQRVEGRWVQNFKIQIACWPACVGDGRVGGHWANVHV >KQL26991 pep chromosome:Setaria_italica_v2.0:II:47289734:47294620:1 gene:SETIT_029424mg transcript:KQL26991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHPLHTLRHQRSSQQPSRPPHPTSPLAMAVTRVAVAAALSVAPPSSRRRRVALPSSCRPLPTARPSKALHAAAAPAAGAVDEETPAASPPSDPSKVVENLVIIGSGPAGYTAAIYAARANLKPVVFEGYQAGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRKQAERWGAELHQEDVEFVNVKSSPFVIRSSDREVKCHSVIIATGATAKRLRLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKEQLRASKAMQDRVLNNPNITVHFNTEAVDVVSNDKGQMSGIQLKRTDTGEESVLEVKGLFYGIGHTPNSQLLQGQIELDSTGYILVKEGSAKTSVDGVFAAGDVQDHEWRQAITAAGSGCIAALSVERYLVANDLLVEFHQPVQEETKKEITDKDVEMGFDISRTKHKGQYALRKIYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEYGKYVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMLRTVSGVKMKKEYREFIESHK >KQL24601 pep chromosome:Setaria_italica_v2.0:II:31696845:31697936:1 gene:SETIT_033175mg transcript:KQL24601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESGLSCSQILQAHTSLFPSLLRPLAPSDPRTLEINPQAPLQSVQLLCGGDQGPILSQTREVSCMPSPTPNLRRCIPRPHRFRSPFVQLRRVDALTKNNQRGNNDKLATSRKGNDNYKARGKIRFGFLQCTE >KQL24252 pep chromosome:Setaria_italica_v2.0:II:28157644:28157886:-1 gene:SETIT_032319mg transcript:KQL24252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPYHSSFSTTTTSCTASSNAAHGQHSAALQIYSFILELLPMLRLTSITFELPLSTLERRKSIWEITQVCKFQHSRPALR >KQL24454 pep chromosome:Setaria_italica_v2.0:II:30286372:30289304:-1 gene:SETIT_031427mg transcript:KQL24454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSKPKDAKGKGKAGSSGGDDAGGKGGKGKGGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDSGDKVPPAEFAKVAQEFSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPVGAVSAPFKSTHGYHFILCEGRKN >KQL24455 pep chromosome:Setaria_italica_v2.0:II:30287461:30289304:-1 gene:SETIT_031427mg transcript:KQL24455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDSKPKDAKGKGKAGSSGGDDAGGKGGKGKGGKSADGLGTCTYVKARHVLCEKQGKINEAYKKLQDGWLDSGDKVPPAEFAKDHKVMALKIGGGIVLKLILE >KQL22653 pep chromosome:Setaria_italica_v2.0:II:4205172:4209958:1 gene:SETIT_028774mg transcript:KQL22653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKDRLHNLLVLLLFIGCLFIDAAAKLNTTAPCLPDQASSLLRLKASFIGDNLPSWQAATDCCHWEGVTCDMAFGRVISLDLSEFHLMSRRLDPALFNLTSLRNLSLASVDFSGYFISLPAFGFERLTDIIYLNLSDTGFKGKIPIGIACLKNLVTIDLSGNYNLYFERPSFQTIMANMSNLRELYLDEVGLQDIGSDWSTVLADSVPQLQILSLSRCDISGSIHPSFSRLRSLTAINLGYNDGLTGGKVPEYFSELSSLTILDISGNQFEGQFPTKIFQLKSLRTLDLSWNPMLSTRLTYFPAGNNLEVLNLEGTNFSYDTPSSFGNLESLQTLGLSTMGIDNELASLISELPALDDLQLFGSGLENPVLSWVSNLTQLTNLQLDGYDFTKSVPTWIGKLTRLESLTIEDCSFSVPIPYQIRNLTKLASLKQRNNKRTIEKPERGKIPKSLFTLPGLQHLHLIKNQLVGSLEDIPAPLSSPLREIDLGGNQLTGPIPKSLFQLTNLERLSLESNKLTGTIELGSIWRLKNLTYLNLGNNLISLVEKEGDMIFSYSLKIQELYLPSCNLTKFPESLKYLDTIQVLDLSNNQIEGAIPSWVWENPLVELELSRNMFTTLEKSPAVQMTHLVFLNLSVNRIQGSIPIPSTPSDLVLLDYSNNDFSTIEPNFGRYLRNAIYINLSKNKLSGHVPLSFCSLSQLELMDLSYNNFSGPIPSCLMERVDLSILKLRGNKLHGVLPENIREGCKLQTIDFNENQIEGALPRSLANCQDLEVLDVGSNHIVDSFPSWMGTLPKLRILVLRSNQLYGTIRDLRSGYQHFTSLQIVDLASNHFSGVLHSEWFENFISMMNNNNDEGQILEHETNASRAPLYQDTVTITFKDADLSITKILTTFKVIDLSNNSFEGSIPSSIGRLASLHGLNMSHNNFTGEIPSQLGRLTRLESIDLSCNNLSGEIPQEFTSLTSLSWLNLSYNNLTGRIPQGNQFLSFPSSSFEGNAGLCGIQLSKECDTRPDSTTPSTLAPQRNTLWQDRLDAIILFLCAGLGFGVGFALAIIFGPFYHIEEWLCKHL >KQL27064 pep chromosome:Setaria_italica_v2.0:II:47675660:47679248:1 gene:SETIT_029437mg transcript:KQL27064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITLFGAVGTMISFFTISLGAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNALQNFDLNHIDVAVVLKFLGNFCYLFLSSTFLGVFVGLLSAYMIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKTPLEKITWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRFLLPASSNTVTSEPSSPKSLHSPLLTSMQGSDLETATANIVRPSSLRMLLSKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSVHGGR >KQL27065 pep chromosome:Setaria_italica_v2.0:II:47674685:47677580:1 gene:SETIT_029437mg transcript:KQL27065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVVAQLVRLGVVGSTSDHASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLTTKGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISLGAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNALQNFDLNHIDVAVVLKFLGNFCYLFLSSTFLGVFVGLLSAYMIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKTPLEKITWRQQFFFNRL >KQL27066 pep chromosome:Setaria_italica_v2.0:II:47674236:47679248:1 gene:SETIT_029437mg transcript:KQL27066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVVAQLVRLGVVGSTSDHASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLTTKGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISLGAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQNFDLNHIDVAVVLKFLGNFCYLFLSSTFLGVFVGLLSAYMIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKTPLEKITWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRFLLPASSNTVTSEPSSPKSLHSPLLTSMQGSDLETATANIVRPSSLRMLLSKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSVHGGR >KQL27067 pep chromosome:Setaria_italica_v2.0:II:47674236:47679248:1 gene:SETIT_029437mg transcript:KQL27067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVVAQLVRLGVVGSTSDHASVVSINLFVALLCACIVLGHLLEENRWVNESITALIIGLCTGVVILLTTKGKSSHILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTITLFGAVGTMISFFTISLGAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNALQNFDLNHIDVAVVLKFLGNFCYLFLSSTFLGVFVGLLSAYMIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKTPLEKITWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRFLLPASSNTVTSEPSSPKSLHSPLLTSMQGSDLETATANIVRPSSLRMLLSKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSVHGGR >KQL27068 pep chromosome:Setaria_italica_v2.0:II:47674236:47679248:1 gene:SETIT_029437mg transcript:KQL27068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITLFGAVGTMISFFTISLGAIAIFSRMNIGTLDVGDFLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNALQNFDLNHIDVAVVLKFLGNFCYLFLSSTFLGVFVGLLSAYMIKKLYIGRHSTDREVALMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWEFASDSPGKSIGISSILLGLVLVGRAAFVFPLSFLSNLTKKTPLEKITWRQQIVIWWAGLMRGAVSIALAYNKFTRSGHTQLHGNAIMITSTITVVLFSTMVFGMMTKPLIRFLLPASSNTVTSEPSSPKSLHSPLLTSMQGSDLETATANIVRPSSLRMLLSKPTHTVHYYWRKFDDALMRPMFGGRGFVPFSPGSPTEQSVHGGR >KQL25033 pep chromosome:Setaria_italica_v2.0:II:35489326:35490190:1 gene:SETIT_031305mg transcript:KQL25033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLAPARTYSPLAPKLPLPLPPPPCGRSTRRYAAAAPRSVRARVRATNSGDGSASAATEDGRKRCLRCGGMYRDDENHPTACAFHGHVTGEKGLFSLSPPHQGIDGEWSDRSGVIVYRWNDEGSRPSTGRANWKKRWSCCQEREEDAPPCHRGWHVSYDDGYTLF >KQL24370 pep chromosome:Setaria_italica_v2.0:II:29275084:29280006:-1 gene:SETIT_028936mg transcript:KQL24370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVGLRMGPVGGWRMGAVDEEGEERRGGMGEEKEEGKDGKDEDWGVEQERKSSRGKRKRTAPRGRRPGVGPGPKRRRAAAATERRPSGQGAPPRGTGEPSPEEGVNAAAAAAAPEEDGEYVAPSTSGTGGAGRKQAGGRVRRSCHRCKRATQSPKDMIRCEMCEQRIYCVPCVRKRYPTMSDAEVRERCPSCRGVCNCTSCKDKQVGPESLVLKKCNSIGSTTRKKRPISACVESHRIPSAARQTKGIDHSIVRTNMMNNASAMLDEVDTSDVSTDAPETKRKYASYLLHYLLPCLTQLSKDQMEEKEVEARIQDCMYDLCIVCCKELRECHLEGSCQEAPVDYPERGPDYMHGGDLETGLPSHQSESVKWEADPDGTIHCPPSELGGCGNHVLELRQFFEKDRLSKLEMAALQMSKQLQPDIISTDTCECSCSANHESSRKAATREKSADNCIYCPISDGGKPDDLKHFQKHWVKGEPVIVQGVLKKMSHFSWEPPAMWSEIHGTNSSSEMKKVKATDCLSCCEVEICTKDFFEGYYEGRMYRNLWPEMLKLKDWPTSNHFENILPSHGRKYINSLPFQPYTNLKSGLLNISALLPGDILKLDMGPKSYIAYGCAQELSRGDSVTKLHCDLSDAVNVLMHTFKVEPSEEQKREIRNLKIRHTEQDKKEKVATDGNDTSMEHADTSPIYCADDGGGALWDIFRREDVGKLKEYLTKHSKEFRHMYCSPVEKTFNPVHDETFYLTNKHKRKLKEEYGIEPWTFVQRLGEAVFIPAGCPHQVRNLKSCTKIALDFVSPENIQQCLSLTEDFRRLPKNHRAKEDKLEV >KQL22766 pep chromosome:Setaria_italica_v2.0:II:5164481:5169647:1 gene:SETIT_029387mg transcript:KQL22766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAAAAARLLRRLGPLAAEPPTRGMPHTQYEFANHTMNSCRRFHWIPSLQCPPCGPRTNAETYEGQRSANKASEVQKRTFGSAATHIQRNPAYSELNSDDVSYFKTILGNNGVVQDEDRIAVANVDWMGKYRGASQLLLLPKSTVEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIISLAGMDKIISFDNVNGILTCEAGCVLENLSTFVEKEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDHNCIDLAMRHLEGVQNPLPASQSKFYVLIETTGSDESYDKTKLEAFLLSSMENGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRCRLGNY >KQL22768 pep chromosome:Setaria_italica_v2.0:II:5164302:5170933:1 gene:SETIT_029387mg transcript:KQL22768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAAAAARLLRRLGPLAAEPPTRGMPHTQYEFANHTMNSCRRFHWIPSLQCPPCGPRTNAETYEGQRSANKASEVQKRTFGSAATHIQRNPAYSELNSDDVSYFKTILGNNGVVQDEDRIAVANVDWMGKYRGASQLLLLPKSTVEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIISLAGMDKIISFDNVNGILTCEAGCVLENLSTFVEKEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDHNCIDLAMRHLEGVQNPLPASQSKFYVLIETTGSDESYDKTKLEAFLLSSMENGLVADGVIAQDISQASNFWRIREVITQRYWAMATLEMGICI >KQL22767 pep chromosome:Setaria_italica_v2.0:II:5164302:5170933:1 gene:SETIT_029387mg transcript:KQL22767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAAAAARLLRRLGPLAAEPPTRGMPHTQYEFANHTMNSCRRFHWIPSLQCPPCGPRTNAETYEGQRSANKASEVQKRTFGSAATHIQRNPAYSELNSDDVSYFKTILGNNGVVQDEDRIAVANVDWMGKYRGASQLLLLPKSTVEVSKILSYCNTRRLAVVPQGGNTGLVGGSVPVYDEVIISLAGMDKIISFDNVNGILTCEAGCVLENLSTFVEKEGFIMPLDLGAKGSCHIGGNISTNAGGLRFIRYGSLHGNVLGLEVVLADGTVLDMLTTLRKDNTGYDLKHLFIGSEGSLGVVTKISVLTPAKLPSTNVAFLSCNDYTSCQKLLLAARRNLGEILSAFEFMDHNCIDLAMRHLEGVQNPLPASQSKFYVLIETTGSDESYDKTKLEAFLLSSMENGLVADGVIAQDISQASNFWRIREGISEASVKVGAVYKYDLSIPVEKLYDIVEEMRCRLGNNAEVLGYGHLGDGNLHLNILSSKYDDNTLAQIEPFVYEWTSAQRGSISAEHGLGLMKAEKIHYSKAPEAVQLMASIKKLLDSNSILNPYKVLPQSVL >KQL24583 pep chromosome:Setaria_italica_v2.0:II:31455853:31459653:-1 gene:SETIT_033656mg transcript:KQL24583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSNSSGGGGGPGGGMAPGMGGPVGGGGDGRHDDETALTEFLSSLMDYTPTIPDELVEHYLGRSGFNCPDLRLTRLVAVATQKFLSDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALREHGVNLKHPEYFADSPSAGMAPSTREE >KQL24582 pep chromosome:Setaria_italica_v2.0:II:31456106:31459506:-1 gene:SETIT_033656mg transcript:KQL24582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSNSSGGGGGPGGGMAPGMGGPVGGGGDGRHDDETALTEFLSSLMDYTPTIPDELVEHYLGRSGFNCPDLRLTRLVAVATQKFLSDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALREHGVNLKHPEYFADSPSAGMAPSTREE >KQL24581 pep chromosome:Setaria_italica_v2.0:II:31455853:31459658:-1 gene:SETIT_033656mg transcript:KQL24581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSNSSGGGGGPGGGMAPGMGGPVGGGGDGRHDDETALTEFLSSLMDYTPTIPDELVEHYLGRSGFNCPDLRLTRLVAVATQKFLSDIASDSLQHCKARVAAPIKDNKSKQPKDRRLVLTMDDLSKALREHGVNLKHPEYFADSPSAGMAPSTREE >KQL24476 pep chromosome:Setaria_italica_v2.0:II:30443754:30448681:-1 gene:SETIT_028716mg transcript:KQL24476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGGVGGGAGVGAATAGGSSYGLVRTVVGYSTSPLFFWLLTVVLVAAIHIASGSKPSRSDEKERDEKKKARRDGFAAAEEREASGRGDDRVLEMMRSFSFVQATEEDFMEGMAAYEYSRVDAGAEHEQYNRVDAGTAHELVEPEPFAPAPAPSLSFKFQHQIAEIPREAAAVSREIPAQIEAVEEEELQEEKAPSIPLESAGKHEHEDEAEETELLLEEHVEEQRREVVEQAAPSKVVSTTHNYQFLTERDFRGFIREPEAMTVRVQEAFMPPSPTPSPPLPQQHEERRGANIVPRTGGFLTERDFRPADEPDARESVASSGMRTPSRSRKPADEPDVCESVASSGKRTPSWSRKTADEPDACESVASSGKRTPSWSRKTADEPDACESVASSGKRTPSWSRKTADEPDACESVASSRKRSPPRSRKPASSPSVASKGSAVGARMSFASEFSGFGDSDSESSGSDGYSVKDLVVDSDSDWFLSEKDFPASARNSGNLKSYKAKVLKAMEALEAAAKLEQSYQDSATTVSPGSVCQGSPDTIPDGSPKFPEDMWSRSPSPDVEYKEDEEKVTKEAEEQYDEDVEHRSVVEEEGSVDMSDDEHSPKGKKVESAPVYDLAPADNSMDHSEKETITLNDYSGEAISDTQKGPEAVSAKELAAVSSDQVAGPAKRSPEPSEKEFIGLVDHSLEHSSDDRRETSSESGQSYEIVFDDKRRPEPSETEFVGTNDQSHELISDVWKQIISRNDQTSTVAYANEGGLDASEEEFVGRNDRTNEFISNEKKVTFSTINDQSFAVISDEKSIPETPEEQFSLTDHRNGVVHEAKNISETGEDEEQASANDYMDDAARQAYISVTGKAKIYEEEGEDPEVKWKDLTEEEEDELESLWEHQDLIEQLKLELKKVRSIGLPTILEESETPKAPMEDLKPWRIDAKFLREDPMDELNKFYKSYRERMRKFDILCYQKMYAIDFLQLRGPQQSANSLKSLSPTVASILSHNFRPSRRRSPEDPSERFLKELRYDLETVYVGQMCLSWEFLRWQYEQARDLPESDPYHSHQYNQVAGEFQQFQVVVQRFVEDESFKGPRLPNYINNRCVLRNLLQVPVIKEDSLKDRMEDQRKGNYVITSEELEEIMEEAMHILWEFIKADKVETTPTSVIKGLSSTHVELQDPSDHDLMAHIHAALQKKEKRLKDLLRTGNCIVKKFKKPKEDRSNQNLFFSQVDMKLVARVLRMPRITSEQLQWCKAKLDKIILVDRKIHREASFLLFPC >KQL26116 pep chromosome:Setaria_italica_v2.0:II:42443967:42446974:1 gene:SETIT_032285mg transcript:KQL26116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAARYATAVVLALVLLPLPAAVTLKISCDGSVYTANGTFQANLDLLAAALPANASASPSGFVTASVGAAPDQANALALCRGDTNASACAACVAAAFQDAQQACPQDKGVAVYSDACVLRFAGIRFLDFLQGDQWLVSELVPVVDTATGSVNASDAWFRAAVTAIFTALVDRAVAATNETRKYFATGEMDFDPKLYGLAQCAPDLTPAQCQGCLGQLFRVTELRYLSARPLSLWTSAFVVWCSLRYGVSPFYEGRAMLQLAAPPAPSPEATITPPIPESGAGRKRTAAGIFAGVACSVVLMFLLSVCLCFRFRRRIKATENDHSLEKIGRAHCAIFDLPTLQQATEHFSERNKLGEGGFGTVYKGILSDGQVIAVKTLLGTTGHGLQQLHNEVVLLAELQHKNLVRLQGFCPHQNDTLLVYEYIKNGSLDNFLFDDSRGLNWEQQYNIILGIAKGILYLHEDSSMRIIHRDLKANNILLDDDMEPKIADFGLARLLEEGHTQSRTARVVGTLGYMAPEYAMHGNVSPKIDVFSFGVLALEIVTRRSNCSSNDHSTVNLLSDVWDHWTKGTISQMLHRLDGYARNQALRCIHIGLLCVQQDPGHRPDISAVVFMLTRDSMELRPPSQPAFFFGREPPPASRSNGQSSYLYDQSDFVLQQSFSVNGITLTEPYPR >KQL22715 pep chromosome:Setaria_italica_v2.0:II:4745736:4748783:-1 gene:SETIT_029970mg transcript:KQL22715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHAISMEEIIPDPFWEDLPPPPEPPLVTSDGLIDGVATDGGGEGTNAMDQNQSPSEWSFERLLEEELLTDAAPLENFSGSATHADTVVEEVDHATMAPAAVSTVGDPMEYNTILKRKLDEDLATVAMWRASSVVHPEHSQGSNNYIGGNINFVQNMRSISEGPINRARNAYIRARLATSSSSRDPSPSDDDDMDGEVEILGFKLPTEEKVRKRKESNRESARRSRYRKAAHLKEMEDQVAQLKVENSSLLRRLATLNQKYTDATVDNRVLKANMETLRAKVKMAEDALKRVTGTMSSSQPSRPSPPVPANADASGPILDNIIDYLMNSTDATTDNNFEPRTATTPSFSQQAEKPAAASTNSAMINRIAAHHAVAVELLHKRLGAMPTASSGVAPPPEPAPPSDVLVESTDMGVH >KQL25384 pep chromosome:Setaria_italica_v2.0:II:37732521:37733570:-1 gene:SETIT_031001mg transcript:KQL25384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQIKKEMSAESSGSACSWASASTSSEHHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEAAARAHDAAMLAIAGAGACLNFADSAWLLAVPASYASLAEIRHAVAEAVEDFQRRKVLPEEEDARSATSSTPSSVANDECSCTDSEETSPATDDSPFELDAFGDMSSDLYYASLAQAMLMEPPCTVAAFCDEAVADVPLWSY >KQL26915 pep chromosome:Setaria_italica_v2.0:II:46923785:46925214:1 gene:SETIT_030400mg transcript:KQL26915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDDIAGRRKPPLPCTVRVQLAALALAHRPDGSIRKLIVSLGNLKSRASPRPDASGVRSADVTIDASRGLWARVFSPSSASAADEPVPVVVYFHGGGFVLFSAASRPYDALCRRLCSELRAVVVSVNYRLAPEQRFPAAYDDGVAALQYLDANALPADVVTVPVDLSSCFLAGDSAGGNITHHVAQRWASMSAASPPARLCVAGAVMIQPFFGGEERTDAEVELDRVSALSVAGTDHYWREFLPEGATRDHPAARVCGDGVELAEAFPPAMVVVGGFDLLKDWQARYVDALRRKGKAVRVVEYPDAVHGFHVFPELADSGKLVEEMKLFVQEHRPKRDV >KQL24999 pep chromosome:Setaria_italica_v2.0:II:35200598:35201136:1 gene:SETIT_033623mg transcript:KQL24999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRRRRVPGRAHPRLVRRRTVTCPRHRRRRRAPLPRTAPPRQQRGGAQRGTWHGPLLLALAWGWVLLGCGVWECGTKADGLL >KQL24295 pep chromosome:Setaria_italica_v2.0:II:28643891:28646812:-1 gene:SETIT_031588mg transcript:KQL24295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALKAFLNSPVGPKTTHFWGPVANWGFVLAGLVDMNKPPEMVSGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARAQGYLEKKEPEPQQ >KQL26112 pep chromosome:Setaria_italica_v2.0:II:42416361:42420264:1 gene:SETIT_029127mg transcript:KQL26112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAARRRGVLPLLVTGLLLALAPLAAAQPWPHCGSTGGNYTANSTYQSNLAQLAAAIRANASRSGNLFATGSVGAVPDIVYALALCRGDTNASSCGDCVTTAFQDAQQLCPYNKDATVLYDLCYLRFSNQNFLASTSNSNPVMLRNTQNVNSPVRAFEAAVGVLLNATGDYAAANSSRRFATGEEGFDTSNPTIYGLTQCTPDMSPPDCRSCLGSIIAMVPQFRGSQGGRVIGLRCNFRYEVYSFFSGGPSLRLPAPSTPAPAPSPTPVNVTPTATPPGRRRNKAGIVAAIAMPIVAAVLAISTVCLCFLWRRRRPAREQTPSYSTNDADIESIDSLLLDISTLRAATDHFAESNRLGEGGFGAVYKGVLPDGQEIAVKRLSQSSGQGIQELKNELVLVAKLQQKNLVRLLGVCLQEHEKLLVYEYMPNRSIDTILFDPEKSKELDWEKRVKIINGIARGLQYLHEDSQLKIIHRDLKASNVLLDSDYTPKISDFGLARLFGGDQSREITSRVVGTYGYMSPEYAMRGHYSIKSDVFSFGVLILEILTGRRSSGSFNFEQSVDLLSLVWEHWTTGTIVEIMDPSLRGKAPAEQMLKCVHIGLLCVQDNPVDRPMMSTVNVMLSSGTVSLQAPLKPVFFIPKSGYSTVYSESYPTASQSTGNGKTGVMSPNEVSITELEPR >KQL23668 pep chromosome:Setaria_italica_v2.0:II:16050508:16052144:1 gene:SETIT_030544mg transcript:KQL23668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKATVKKGPWSPEEDIKLKEYIHKHGTGGNWIALPHKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDDEDRIICNLFATIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMHGVQPYTTHMHNHCSSMKQQQLLFLTASAPAAPPEAACPLSLQHLSSPHDYSHHYISSYGSCNSSTSLLSAAAAAGSHGGLLNGEHQTMSTSCLDSDGGLGLYFDELCATVTTSSGVHGQGLGMESFILGGFQLQEDHHKAMLVAGAGAGAANQLNQQYSAATSGCYDDEAKPLVSVTGGGDGAGGTSSMFFYGSSYSNDDES >KQL25955 pep chromosome:Setaria_italica_v2.0:II:41515624:41516725:-1 gene:SETIT_032299mg transcript:KQL25955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALARRREIKFMTYNVWSREDVAVYKRMEAISGLVEKHDPDVIFFQEVTPYIRSIFQSSSWWNDYHSSTVYTDGKAPDKNQRDFCLLLSKFPLENFASRKFADSPTGRGYLEADVSPDPAAAAMKRIRVATTQLERPTPPAPMRFVERRAQAKHAVAALGSAANVVFGGDMSWAEDADGPFPLAAGWLDAWTALRSASLALFSDDWTHDAAWNEEPAVFHGHVARRWSIRKRPDRFLCKLRDYRLSSIKLIGDHDVGPSYSRCLGEDTWSFMDLQPSCHRGLVLTIVPK >KQL24437 pep chromosome:Setaria_italica_v2.0:II:30097772:30106262:1 gene:SETIT_028708mg transcript:KQL24437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRASNGRSPLVRKQSQITAFFSSSPTPSPSPSTPNAGAPKPSPSPLNPSAARRSTLAAASPSPPKQPMPTPPPAQEEKRGKRDKKERDAAAPVAVAASPAAEVVGRRLRVYWPLDDAWYEGRVEAYDAASGKHCVDLGKERFEWAAAGEESTPTPARKLRRLRRMSDTAVAKSPAVAEDEEDGAGDSTEHEDWKKDTLAEDDLEEEVELDDEEEVVAVSSRKGKTRNSLPVSGSAPSTLGFGLISASGSTISKKRKKVDVGSLDCAKRFSFEAVNTSGKVDPEMRISCGQKEQTTGNANTALTGEAAERFAQRDVEKFKFLGEGRKDAKGRRPGSPGYDPRTLLLPSQFLKGLTGGQRQWWEFKSQHMDKVLFFKMGKFYELFEMDAHVGAKDLDLQYMKGEQPHCGFPEKNLSVNLEKLAKKGYRVLVVEQTETPEQLELRRKAMGIKDKVVRREICAVVTKGTLTEGEHLLANPDPLYLLSVTESHQQSSKKSEDTCTIGVCIVDVSTNKFIVGQFQDDPERHGLCSILSEMRPVEIIKPGKMLSPETEKALKNNTREPLINELLPSTEFWDAEKTIHEIKQYYSSADKQNNVNDVQDSMDCLPNLLNDLIGAGDKIYALSALGGSLFYLRQTLLDEKILLCAEFEPLACSGLINNIRKHMILDAAALENLELLENIRTGGLSGTLYAQLNHCVTGFGKRLLKRWIARPLYDRGAILRRQSAIATFKGVGHDYAVQFRKDLSRLPDMERLLARLFSSCDKNKRNSSVVLYEDASKRLLQQFTAALRGCQQMFHACSSISTLICTEESQLNDLLSPGTGLPDVSSILDRFRDAFDWSDADSNGRVIPREGCDPEYDATCNAIQEIGSSLKEYLKKQRKLLRCASVDYVNVGKDMYLIEVPESLGGSVPGNYELQSTKKGFYRYWTPELKDLISELSKAEAERESILKGILQNLIQLFVEHHSEWRQLVSVVAELDVLISLSIASDYFEGASCRPTIKESNGPDDTPTFHARNLGHPILRSDSLGKGSFVPNDVKIGGPGNASFIVLTGPNMGGKSTLLRQVCLTIILAQIGADVPAENLELSLVDRIFVRMGARDHIMSGQSTFLVELMETASVLSSATKNSLVVLDELGRGTSTSDGQAIAASVLEYLVHQVQCLGLFSTHYHRLAVEHKDAKVSLCHMACEVGKGEGGLEEVTFLYRLTPGACPKSYGVNVARLAGIPASVLQRANEKSNDFEANYGKRHRMIKDKHASAQSEDKFSAIRDLFRIVNAWPCPDGQAASINMLREVQKLAKVQAVEG >KQL24534 pep chromosome:Setaria_italica_v2.0:II:31078729:31083172:-1 gene:SETIT_029359mg transcript:KQL24534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLKNEVKKATLVDETLRPPLVPSEKHNAFRGRDVASRYKTGPADATKTRRCTSPSLGRTSATEGTPAPKRAQSADRRRPSTPSTPTSRVSTPSTPTPRSITPVRDTARDLHKNSKRIASTKAPDGLWPAMRNLSSSFQSESVSTPATRKDKVISASSLDCTKGQGSVLTERKRSPFRRKNTGEQCENAQPSEEPPKRVIEQHRWPAMIGGQVPKNLMSRSIDLSGKTTRPVPSANTSRGISPRKTPSVESSDKGLSPSLDEVARSLAIQASRRDGKADSQKTERSKSVSRPNRTATFPVPVLQRSSSPNKALPAASSTSRAFQSPSRTRPSTPCRSQSAGAIQPSVTSPLINYMVDARKGKKNASQIEYIHQLRLLYNRHLQWLFINANAEDTLSFQKVTVENAIYNVWRNTIDLRDSVNVRRIMVQHLQQELRLYNILKEQIAYLEQWPTLERENSISLFGATEALKASTLRLPVTSGAKADAIALKNAVSSAVDVMQGLGSSVCCMFSKITDRESLVSELSVIAGQEKVMLDECRELLATAAKLQVHESSIRTHLMQQRHRLHDMN >KQL26646 pep chromosome:Setaria_italica_v2.0:II:45551904:45553942:1 gene:SETIT_030014mg transcript:KQL26646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHGACTEGAVMYRASRNATGWPKVLSASFLPGGLEASFPDETVAVSRTGMYTLLFVHCDASLAGAGGQVAAAGKTIWKNSRGYLPGRMAPLVPFYGAMSLSFAALAAYWFAQCARFWREVVPLQSCATVVIALGMAEAATWYLDLAEFNESGVRPRGATLWAATAGALRGAAARVLVLAVAMGHGVVRPALAGLKSARVAGLGAAFFVAAEALEVCENVGTVSDHSTSPARRLLLVLPVAALNTVFVYWIFSSLSKTLNKLKARRMTAKLEMYRRLNNALIIAVAVSLGWITFEIHFKSTEEYNERWRAAWVIPAGWQLISFSLLCAICLIWAPSQTSTRYAYSGEEEEGEDVDRDLEDTRPLIRPGPLSYVDTWAISVSQDATKIILRTDSGVYAKAAGDGGKRV >KQL23231 pep chromosome:Setaria_italica_v2.0:II:9947527:9948729:-1 gene:SETIT_031281mg transcript:KQL23231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLNSHSEHADQPQGQQANGLPLDGTNPAPFRFSLEQYQLQLDQVLQLYNEQVRVSQQQEISIQNATLLNLLLTDALVQKDEEIAGLRIELQRKQENLENAQQLAVMALETNDSLIRRLPPVQQETNSHVSSNDVDAPGSGDEASSVARTAAETTACPVCGAVKGDAVEARFG >KQL25877 pep chromosome:Setaria_italica_v2.0:II:41075429:41078484:1 gene:SETIT_031139mg transcript:KQL25877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHAARRLVSRAAVAPTARRALATAEVPAAAAEDSTFVEAWKKVAPNIEPPSTPMSLMQPRPPTPATIPTKLTVNFVLPYKSEIANKEVDMVIVPATTGQMGVLPGHVATIAELKPGVLSVHEGNDVTKYFVSSGFAFVHANSIADIVAVEAVPVDQIDPALVQKGLSEFTAKLGSASTDLEKAEAQIGVDVHSALNAALTG >KQL23884 pep chromosome:Setaria_italica_v2.0:II:22321984:22329239:1 gene:SETIT_029898mg transcript:KQL23884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEARTRPMAAKSGERHMSMRQPSGGRRCQAAPRPTTRSAVAGTSQPTLPGCECCCKLVSLDRNNRTSESASPAMGCSFSGLNALYDNVGGGGGDVWVNDYRFRVLRRLGDAGPAGSSVFLVKEVVAAAASSDGTAGAGPGTSGIARKKGVDPSHISADGIYALKKVLIRSEQHLELVRQEIRVSSQFSHPNLLPLLEHAIIAVKGVQDGSQNHEAYLLFPVHLDGTLQDVTKTMQERNESFPTITVLQIFRQLCAGLKHMHSFDPPYAHNAVKPDNVLITHRKELPHLAILMDFESAGPARRAIRSQAEALQLQEWASEHCSDQYRAPELWECPSHADIDERTDIWSLGCTLYAMMYGKSPFDYQLDESAGESLHTVIRSAQIKWPTEAGSSYPDSLRQFITWMLQPHPAVRPHIDDIIIHVDKLITKYSA >KQL27282 pep chromosome:Setaria_italica_v2.0:II:48998224:48999147:-1 gene:SETIT_030619mg transcript:KQL27282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVDYIPDKKPTANCSSMQQKSSSCCRLEGKVAIVTGGARGIGEAIVRTFVQHGARVVIADIDDAAGQALAAALACCYERCDVSVEADVERTVRRAVARHGRLDVLCNNAGVLGRQAPGDKSILSLDAAEFERVLRVNALGAALGMKHAAAAMVPRRAGSIVSVASVAGVMGGMGPHAYTASKHALVGLTKNAACELGRHGIRVNCISPFGVATPMLINAWRRRGDGDEDDDAMAAPSSCSSSSLDVEKMEEVVRGLANLKGATLRASDIAEAALFLASDESTYVSGHNLVVDGGVTTSKNVIGL >KQL26056 pep chromosome:Setaria_italica_v2.0:II:42091626:42094708:1 gene:SETIT_029163mg transcript:KQL26056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEPAEASPPSTPTTTASPCPTPRPLPAAAALPTMGPALLRAARSGDERRLVKALLADPAAPDLESAATAGGNTLLHVAAAGGHADLASLLLRRAPRLLAARNAALDTPLHLAARAGAHKVVALLVASSSSLRALARATNRRGETALHDAVRGGHEAAARALAAADPGLVGLCGGAGESPFYMAAAAGSQGMVRLLLRTYRNAEAEEEEVPVLASSTGPGGRTVMHAAVLTSYEMTQELLQWNPALVKEVDESGSTPLHYIASVGNISTMKLLLRHDTSPAYMSDSNGLCPVHIAAKMGYGQLIYELCRFCPDCDELLDSRGRNFLHIAIENKKWKVVWRFSGTAELVRMANVMDSEGNTPLHLAVKNADQMIVSLLMATKGVLPNIVNNQGLTALDLAVLATDKGISYTLNPQVIILRCLAWTGAVLSPRRLDHFIDEFGIGKTSGNELKKFTNIAQNLIVGSVLVSTVTFAAVFTLPGGYISDGHPHAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRAIYMFLSVISMEQATRSMVIGFALGAYVVLSPVSERIAIVVCVSTFMTLLLRNPSSWQLWFLFMPIKRRLGWKGAFKTHLPQETRSRLTIGVGSNFACLFLRRMLGTIFTYSFIFLLALL >KQL26057 pep chromosome:Setaria_italica_v2.0:II:42091626:42094822:1 gene:SETIT_029163mg transcript:KQL26057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEPAEASPPSTPTTTASPCPTPRPLPAAAALPTMGPALLRAARSGDERRLVKALLADPAAPDLESAATAGGNTLLHVAAAGGHADLASLLLRRAPRLLAARNAALDTPLHLAARAGAHKVVALLVASSSSLRALARATNRRGETALHDAVRGGHEAAARALAAADPGLVGLCGGAGESPFYMAAAAGSQGMVRLLLRTYRNAEAEEEEVPVLASSTGPGGRTVMHAAVLTSYEMTQELLQWNPALVKEVDESGSTPLHYIASVGNISTMKLLLRHDTSPAYMSDSNGLCPVHIAAKMGYGQLIYELCRFCPDCDELLDSRGRNFLHIAIENKKWKVVWRFSGTAELVRMANVMDSEGNTPLHLAVKNADQMIVSLLMATKGVLPNIVNNQGLTALDLAVLATDKGISYTLVIILRCLAWTGAVLSPRRLDHFIDEFGIGKTSGNELKKFTNIAQNLIVGSVLVSTVTFAAVFTLPGGYISDGHPHAGAPILSHRYTFKAFVMANTLAFVGSTLSTIWLTYAGSEHVHPLLRAIYMFLSVISMEQATRSMVIGFALGAYVVLSPVSERIAIVVCVSTFMTLLLRNPSSWQLWFLFMPIKRRLGWKGAFKTHLPQETRSRLTIGVGSNFACLFLRRMLGTIFTYSFIFLLALL >KQL24181 pep chromosome:Setaria_italica_v2.0:II:27144032:27152188:-1 gene:SETIT_028684mg transcript:KQL24181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGEIHALGSLRREGSVWSAASDNVFSLSSRGDGGAADDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQKLGPQERHALLQRLAWVGDDHQRFLSKFKDRVDRVGIELPKIEVRYRNLNVEADAYVGSRGLPTIFNTYANVLEGIANALHLTPSRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLKVTGNITYNGHTMDEFEARRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLVELSRREKEASIVPDPETDIYMKAAATGEQKADVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFKCPQRKGVADFLQEVTSRKDQRQYWKHDDETYRYVPVKEFAEAFQSFHIGEAIRNELAVPFDKSTSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKAVQLTLMAIIAMTVFLRTNMHRDSITDGRIYMGALFFGILMIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLLMNEASSGLFRFIAGMARHQVVASTLGSFGILIFMLLGGFLLARENVKKWWIWGYWISPLMYAQNAISVNEFLGDSWNKILPGSTEPLGKLVLESRGLFPEAKWYWIGVGALIGYVLLFNTLYTVCLTFLKPFDSNRPTISEETLKIKQANLTGEVLEASSRGRVASNTVTTQSTVDENNDEATSNHATVNSSPVNKGMVLPFVPLSITFEDIRYSVDMPEEIRAQGVTETRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPADVDSSTRKMFIDEVMELVELLPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGQHSCELIKYFEAIEGVSNIKDGYNPSTWMLEVTSTMQEQITGVNFSDVYKNSELYRRNKTLIKELSTPPEGSSDLSFPTEYSQTFITQCFACLWKQSMSYWRNPPYTAVKYFYTTVIALLFGTMFWGVGRKRDNQQDLFNAMGSMYASVIFMGVQNSGSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYIFVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLAYYTFYGMMMVGLTPNYNVSSVASTAFYAIWNLFSGFLIPRTRIPVWWRWFYWICPIAWTLNGLVTSQFGDVTMEFTNGVRISDFVEDYFGYHHDFLWAVAVVVVAFPVLFALLFGLSLKIFNFQKR >KQL24180 pep chromosome:Setaria_italica_v2.0:II:27143803:27152188:-1 gene:SETIT_028684mg transcript:KQL24180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGEIHALGSLRREGSVWSAASDNVFSLSSRGDGGAADDEEALRWAALEKLPTYDRARTAVLAMPEGELREVNVQKLGPQERHALLQRLAWVGDDHQRFLSKFKDRVDRVGIELPKIEVRYRNLNVEADAYVGSRGLPTIFNTYANVLEGIANALHLTPSRKQKISILHNVSGIIKPHRMTLLLGPPGAGKTSLLLALAGTLPSSLKVTGNITYNGHTMDEFEARRSAAYVSQHDLHMGELTVRETVNFSAKCQGIGHRYDLLVELSRREKEASIVPDPETDIYMKAAATGEQKADVVTNHILKVLGLDICADTIVGNNMLRGISGGQKKRVTTAEMLVTPGRALFMDEISTGLDSSTTFQIVNSIRQTIHIVGGTAVIALLQPAPETYELFDDIILLSDGQVVYNGPREHVLEFFESVGFKCPQRKGVADFLQEVTSRKDQRQYWKHDDETYRYVPVKEFAEAFQSFHIGEAIRNELAVPFDKSTSHPAALKTSKYGASMKELLKANIDREILLMKRNSFVYIFKAVQLTLMAIIAMTVFLRTNMHRDSITDGRIYMGALFFGILMIMFNGLAEVGLTIAKLPVFFKQRDLLFYPAWTYSLPSWIIKTPLSLLNVTIWVFITYYVIGFDPNVERLFRQFLLLLLMNEASSGLFRFIAGMARHQVVASTLGSFGILIFMLLGGFLLARENVKKWWIWGYWISPLMYAQNAISVNEFLGDSWNKILPGSTEPLGKLVLESRGLFPEAKWYWIGVGALIGYVLLFNTLYTVCLTFLKPFDSNRPTISEETLKIKQANLTGEVLEASSRGRVASNTVTTQSTVDENNDEATSNHATVNSSPVNKGMVLPFVPLSITFEDIRYSVDMPEEIRAQGVTETRLELLKGISGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNITISGYPKKQETFARVSGYCEQNDIHSPNVTVYESLAFSAWLRLPADVDSSTRKMFIDEVMELVELLPLKDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGQHSCELIKYFEAIEGVSNIKDGYNPSTWMLEVTSTMQEQITGVNFSDVYKNSELYRRNKTLIKELSTPPEGSSDLSFPTEYSQTFITQCFACLWKQSMSYWRNPPYTAVKYFYTTVIALLFGTMFWGVGRKRDNQQDLFNAMGSMYASVIFMGVQNSGSVQPVVSVERTVFYRERAAHMYSPLPYALGQVAIELPYIFVQSLIYGVLVYAMIGFEWTAAKFFWYLFFMYFTLAYYTFYGMMMVGLTPNYNVSSVASTAFYAIWNLFSGFLIPRTTTLGTITTSCGQSP >KQL26640 pep chromosome:Setaria_italica_v2.0:II:45525686:45529144:1 gene:SETIT_029306mg transcript:KQL26640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSITCTVKCRPNPRLAPQPPAAALELLAARGAPAATELRAASTRCRTPLSLSLSRSADPPEPRAPCRGAPSARRARAAVAAGGVDGDGDPPALMLAGALSRYAIFRDDLVLRAFAAAEAAHRGQVRASGDPYLEHCVETAALLADLGAGPAVVAAGLLHDTVDDAGLDYGFISKQFGAGVADLVKGVSNLSHFSKMARRNDTASRMDEADRLRTVFLATEDARAVLIKLADRLHNMRTLDSLPKIKQQSFAKETLEIFAPLANQLGILNWKEQLENLCFKYLYTNKFDELSTNLLEFYNRDMIAAAIRRLEQALDVRGLSYYAVYGRHKSIYSIHSKMARKKLAMDEVYDIHGVRVIVENRSDCFATLELVHHLWPRIPGKFKDYISSPKTNGYQSLHTVVLTKEMLPLEIQIRTRDMHLQAEFGIAAHWRYKEGVRNCSSSVPEMVEWVRTVVTCQCETLHIEHPSSLAPDTSPSNIHTIRSHSDVCPFSYAKQCDHSGPVLVILLEDEKMSVQELPKNSTISDLLKRSSNYGVPLRLNCQAVYNWNQALKMGDVLELIPSTPCKSESYTREFHQMFDHRLPVS >KQL22148 pep chromosome:Setaria_italica_v2.0:II:222696:224430:1 gene:SETIT_029681mg transcript:KQL22148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSKKKVCVVGAGVSGLASARELLREGHAVTVMEQSGGVGGQWLYDSMTDAGDPLGTAGVHSSIYASLRLIIPREVTGFSGFPFYPKGDGGSGDARRYPCHGEFLRYIRDFCDAFGIMDVVRFNTRVVHVGVAPPDDGGAAAGDKRLRWVVRWMKPGEVITEEEVFDAVVVAVGQYTQPRLPSINGMDKWKGRQLHSHSYRVPDSFRGEVVVVVGCHESGKEIALELCDVAREVHISVKSMGDDVTPGVSKAVSRHHNLHLHLQIECLCEDGRVVFADGSCVVADAVIYCTGYNYWYPFLDTGGLVTVDDNRVGPLYEHTFPPALAPSLSFVGLPRMVVVPRFYEVQARWVAQVLSGRSALPSEEEMMRSVDEYQRAREMAGVPKRHTHVLDDLEYCDDLGEKYCGFPRLDGWKKELLCSSLTRLRDNRESFRDDYHHDSDLVREGLRSEGWLVDADTPRVEMDGELAMKTTSMAQPQP >KQL25194 pep chromosome:Setaria_italica_v2.0:II:36562508:36563397:-1 gene:SETIT_031149mg transcript:KQL25194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRVPPPGSRQARPRRGVTLAEQLAASSNLRDLLKLRDSDGEGERAAAGRRRTLLDAIRDADEDRGPPHAVASARHGACPATGGTAAAAPAPEPDARGERVSLMALLERTEQQWTTRAAGGQWKRVDAEDEAPPEEKENDKGGGGGVGGRCCVCVARGKGAAFIPCGHTFCRACACELRAGRGRCPLCNATIREVLNLF >KQL23170 pep chromosome:Setaria_italica_v2.0:II:8909994:8910504:1 gene:SETIT_033489mg transcript:KQL23170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQICTASVIFTLSYFWIGSEGESQTMISAHAALQNSG >KQL27177 pep chromosome:Setaria_italica_v2.0:II:48274354:48275013:-1 gene:SETIT_032131mg transcript:KQL27177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGRLLVGTTRFTTFSSFSSVRAVFWPLMSMRSEADARNDTAAVDSRPPSASTDGSVGARAPSPSLDNDTPGATASTTASRVLALQARLGEAPRAAPQQLSLTTAAPQQLALTAAAKVSSIGVAAREEAKAIVRHGEEVGDVEAACRSFERHLMEMLVEERKVMDLTDVEELLCCWEKLRCPAFVQLVGRFYGELCMDLFSARDADVVSSESAEALTVVE >KQL23258 pep chromosome:Setaria_italica_v2.0:II:10278421:10279479:1 gene:SETIT_031611mg transcript:KQL23258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRALLVAAALLLVAAAPAAEAVCGMSNEQFMSCQPAAAKTTDPPAPPTQACCDALGGADLGCLCGYKNSPWMGVYNIDPSRAMALPAKCGLATPPNCS >KQL24304 pep chromosome:Setaria_italica_v2.0:II:28726010:28727233:1 gene:SETIT_031913mg transcript:KQL24304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIAATNLTNDPSDLRPSTITMDWEDFCDWLDWGLLAILVAVAALITLLVAVYIAIKNVQVRFGRGNNGEPPPPSIEELLVSIPDVAYRELPPGPGEEDVDDDERDRDSCAICVTPYEAGDACSVLPGCAHMFHKPCVAKWFRKKNTCPLCRATVTAGQGNGNAAENMV >KQL25201 pep chromosome:Setaria_italica_v2.0:II:36622538:36623557:1 gene:SETIT_032504mg transcript:KQL25201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVANLLRFLLVAAIGTVACVVLLQQRSPFPCGGHVDVPPAATTSRNNGTRADDDPTTEDDGDKLPEVLRRAAMEDKTIIMTFTNEAWTAPGSLTDLFLESFRTGVRTAPLLRHLVIVAIDAKAFERCQHVHPLCYALPVNQSAAAVNYASEQRYMARDYLDMLWRRNRFQARVLELGYSFVFTDVDIVWFRNPLLRIPVAADMAFSCDWYSGSNPYDLNKRANGGFLYVRASARTAALYGGWYESRAAYPGQHEQFVFDKVKRELSARHGVTVQFVDTAYLGTFCDRGKRKDWHKLCTFHANCVIGLKAKLEKLRGVLDEWQRFKAKAGPNGTALTD >KQL22300 pep chromosome:Setaria_italica_v2.0:II:1494200:1497607:1 gene:SETIT_032437mg transcript:KQL22300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAHSQHSSSPSRPILKPPLAATCQDIPENSRSVPPKSPHSLPLSPPPPHRSPRREGEPAAAAAKQSIRPWPPPSENAAAPLEEPPGPAAAEPQRGGGGGGGPQNPRSVRWSSSTGGSKGIEDEDFVDAVDCLISAAQDQGLRGSTVNCLVRVVSFRFVSEREGGRLSLSGISGIGYQAVSVVSVTAHYGELAPECASTYFKYGCALLYKAQEETDPLGNVPKSEPNEESVKSTTAKADSECSKASGSNAEDAVSSGKVGAEEGESSNGKDHGDGNGEIEKDDDDDDDDDEKMGDEEDSDLDLSWKMLDIARAIVEKSPDNTIEKVKIYSALGEVALEREDIDNSLSDYMKALAMLEQLVEPDHRRTVELNFRICLVYELASKIGDAIPYCAKAISLCKSRIQSLKNSKDASLAGKDGESAAEGGSEKSAPEAEIEQLTGILTELEKKLEDLEQAMSNPNPMEEVMKAIASRAAAAQKAADGMPRAASFTSSQMATSNNGFDSSVMSTAATTGSTGSTVTDLGVVGRGVKRANIMPISAEPAPKKPAVDSASSKGDSSNSSEPLPTAQNGDESVSK >KQL26613 pep chromosome:Setaria_italica_v2.0:II:45407274:45412788:1 gene:SETIT_030551mg transcript:KQL26613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWLKALAYGAGGVAVAGLAALVALQERLVYVPVLPGLARAYPITPARLRLAYEDVWLRAADGVRLHSWFIRHSPTCRGPTILFFQENAGNIAHRLEFVRLMMQRLQCNVFMLSYRGYGESDGYPSQKGITYDAQAALDHLAQRKDIDTSRIVIFGRSLGGAVGAVLAKNNPDKVAALILENTFTSILDMAGIMLPFLRWFIGGSSSKGPKLLNCVVRSPWSTLDIVGEVNQPILFLSGLQDELVPPSHMKMLYDKAVEHNRTCRFIDFPSGMHMDTWMSGGDRYWRAIQLFLDQYAPEVQSHDASFKSEIAEDGEAAG >KQL22644 pep chromosome:Setaria_italica_v2.0:II:4149702:4150513:-1 gene:SETIT_0309232mg transcript:KQL22644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVILESAILTTVVVLSLTAYTFWAVRRGKDFSFLGPFLFASLIVLLVFAFIQILFPLGKLSQMIYGGLASLIFSGYIVYDTDNIIKRFTYDQYVWAAVSLYLDVINLFLSLMTLFRAAD >KQL24641 pep chromosome:Setaria_italica_v2.0:II:31947774:31951010:-1 gene:SETIT_0309102mg transcript:KQL24641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTSIKPLGDRVLVKVKTTEAKTDGGILLPVSVQSRPTGGEVVAVGEGRSFGSNSIEISVPVGAQVVYSKYAGTELEFNDADHLILKEDDIIGILDSDDVKDLKPLNDRILIKVAEAEEQTAGGLLLTQANKEKPSVGTVVAVGPGPLGEDGTRKALSITPGSNVMYSKYAGSEFKGQDGEYIVLRASDVMAVLS >KQL24640 pep chromosome:Setaria_italica_v2.0:II:31948290:31951010:-1 gene:SETIT_0309102mg transcript:KQL24640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTSIKPLGDRVLVKVKTTEAKTDGGILLPVSVQSRPTGGEVVAVGEGRSFGSNSIEISVPVGAQVVYSKYAGTELEFNDADHLILKEDDIIGILDSDDVKDLKPLNDRILIKVAEAEEQTAGGLLLTQANKEKPSVGTVSILVVAVGPGPLGEDGTRKALSITPGSNVMYSKYAGSEFKGQDGEYIVLRASDVMAVLS >KQL23949 pep chromosome:Setaria_italica_v2.0:II:23424060:23424681:-1 gene:SETIT_031793mg transcript:KQL23949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPRAHPCRHGLAGEGRVAFSPRGAASDARRREIETKREIKTQTGRAGGGAELTRAVVA >KQL23468 pep chromosome:Setaria_italica_v2.0:II:13869170:13869480:-1 gene:SETIT_0305651mg transcript:KQL23468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLALLLAALVVLSLLVSPIACTRKLSKAKPKPKPKPAIGHRPAAKPKPNPVGYKPAPAAAKPPRSNHTAKHSPSIVYGGAWLSGAGATYYGAPNGDGSDG >KQL22690 pep chromosome:Setaria_italica_v2.0:II:4635532:4638743:1 gene:SETIT_031771mg transcript:KQL22690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLKLNPYLGTARKMATLAKVAHIKARKEKLESKRTKLSPEEAAKVKAAGKAWHRTMISDSDYT >KQL22691 pep chromosome:Setaria_italica_v2.0:II:4635532:4637038:1 gene:SETIT_031771mg transcript:KQL22691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLKLNPYLGTARKMATLAKVAHIKARKEKLESKRTKLSPEEAAKVKAAGKAWHRTMISDSDYT >KQL23712 pep chromosome:Setaria_italica_v2.0:II:16879702:16882617:1 gene:SETIT_028954mg transcript:KQL23712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGAPRLLLRFPTAATSLPLLQLGVLLKLLCLASALNQDGILLLSFKLSLAADPLGSLSGWGNADATPCAWNGVVCAPDSRVVSVVLPNAQLVGPVAKDLGLIEHLRHLDLSGNALNGTIPPELLRAPELRVLSLAGNGITGDLPEQVGQLLSLRALNLAGNALSGAVPQNLTLLPNLTAVSLANNFFSGALPGGGFPALQILDVSANLLNGTLPSNFGGAALRYVNLSSNRIAGAIPPEMASNLPTNVTIDLSYNNLTGAIPAVPPFLAQRPTAFEGNAELCGKPLDSLCAFTSSSAVEPPNGTAKSPPAIAAIPRDPTEALPGDDTGSATAGGPASGEQRGRMRLATIVAIAAGDVGGIAVLFVVVLYVYQVRKRRQRQEAAKQRMGVVFKKPEPDESPDAVGRSLSCCLRKNSGNESDDTEEITDTSASFAAKEGVTLTDKNSKAAGGGEAASKKGGDGAVLVTVDGGAELELETLLKASAYILGASGGSIVYKAVLADGAALAVRRIGSDDAGVRRFSELDAQMRAVARLRHGNILRLRGFYWGPDEMLIIHDFAVNGNLANLSVKRKPGSSPIKLGWSARLRIARGVARGLAYLHDKKWVHGNVKPSNILLDVDMEPLLADLGVDRLVRGAGGGQRPAPSSAAALAGRLGSKRSAKSLPDLSPPPNHAGGGPPASPLAGGANACADTAAHYRAPEAARSPKASAKWDVYAFGVLLLELVAGRALTGVELCQCAADGKAQAQALRLADPALRGEVEGREEVVASCLRLGAACCAMAPGKRPSIRDALQAIERIPALVASSSCSTAAHQ >KQL24951 pep chromosome:Setaria_italica_v2.0:II:34849778:34850999:1 gene:SETIT_032075mg transcript:KQL24951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTSYTAGCRDAVHRRLPRRRRRGAEKQDAVGGCKDDGDEGHAARHPVVQVSSTIDSRSRAAGHRNSIDPTRPVGPTATCTLTKKGMGEGERARRGHGKLQRNCAVVWSPCTGFRLSLHREYFGATALAATGSLLHRRALLGFLHETWKAVTVRSTARRAPRDFLFRHGGLGVRVCPFCADAPEDVEHLFFACPQLQAFWVVQCLPLPPGPARHTAVLLFLWVIWKSRNKMVFDAVAQPVACTATAASEHAALWIHRAPPAR >KQL25437 pep chromosome:Setaria_italica_v2.0:II:38154592:38156107:-1 gene:SETIT_033329mg transcript:KQL25437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPSPDFFPLRAPSPYPPPPPQALFPGGFVPGCWGMPLAPSVVGMQGAFPYPTWAPLMPPPNGAIAMPAGTPCVTAGAMPQQGGGKQPSGYVRTGRVPGARATPRLEVPPRMQRPSRPGATAASRGAKAAGAGGHGVAKEATASCGAKAPGAGEEDPANEPSPRSVLVTSSPPVSPTTSLPSSFPLPCLPPATAALAPPTVPPHTAELGTASPPVGLPRRRRPRGPRRVQRPAPGGVVPKPRLLFDSASKRTSLMIRNIPNNFTRMRLMSIIDEHCFIENQKIPTGGVKSEYDFLYVPFDFRTLANKGYAFVNMTSPEAARRLWEHLHGHRWEVNRCGKTCAVDCAADQGLDKLLDRFSGSSFECGTEEFLPVRFEPPRDGTRPAEGVMLVVGRLRV >KQL25172 pep chromosome:Setaria_italica_v2.0:II:36436410:36437180:1 gene:SETIT_032357mg transcript:KQL25172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQHRGATLLLASVLLLISSLATTTRAAMTAAGSYTDDKLPANRSYAHCAALGKLGTTLHWTYDAKTALLSLAFVAPPAPGANGTGWVSWALNPTGDGMKGAQALVAFKRGKPPTYVATDLAADESGGKVRLYGKLRLHQGMEVVNHIWNVGSTVTDGAPAKHALGQENLNAKGRLVLSGSAASTYVSAPVLMLLGFAGFLAIA >KQL22418 pep chromosome:Setaria_italica_v2.0:II:2445933:2447769:-1 gene:SETIT_029668mg transcript:KQL22418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAADLSGAERGKDDDAAGRLTYEIFSLLEAKFLFGRDVGLVPAGLPRAAPAAGKVCVLSIDGGARAADGLLAGAALVRLEAALRRRAGSPAARLADFFDVAAGSGAGGVLAAMLFARGPRGRPMYTAEDALAFLLRRVRWGTWYPRAGVAVRLLRRGGGGGPGWSAFGRALGDLTLRDTVRPVLVPCYDLATRAPFLFSRADAAESPAHDFRLRDVCAATCAGGAAAAAVEVLSVDGGTRIRAVGGGAALGNPTAAAITHVLNNRREFPAAATVDDLLVISIGTGEPAGGGSGRRARAPEVARIAAEGVSDMVDQAVAMAFGHSRTSNYIRIQGTGSRRGGGGGRAPRACGGETREQAVWKAEAMLLQRSVESVLFQGRKLAGETNAEKLERFARELAKEHARRKQQQQAAQQASPGDGTPAVVSSATPKQTPHKATTSSSSASSTAGSTEAAKPEPPPPRRRRRAPAATTTKRAPAAPPHC >KQL23019 pep chromosome:Setaria_italica_v2.0:II:7396424:7403493:1 gene:SETIT_028938mg transcript:KQL23019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQMASAPLDDTVLDAQPLRTLTPMFPAPLGLHTFTPQNSPSFICVTPFGPYAGGTELGTAAVPSTFAASTPAAEPSQTQLHTANMNGAAQANGTAVNSLVPPLQTPLSAGMQESGKRKRGRPKRVPDAAGPSAPSASSAPLAPTVPPVTSFPLVPSAPQESGNVVSLTPSATPQESGKRKRGRPKRVQDVPVQGPPAPQADSTPVVQALPGPTVHESGTRKRGRPKRLQDSSDIITPPIHSKDSVPPPQLPSSATSPESGKRKRGRPKRILDGSVTPSSHSGYSMDDDAVDTTKRGRPRKIDTNLLQLPSLSSDDPRETADNVLMMFDALRRRLVQLDDVKQVAKQQHNLKAGSIMTNAELRVNKIKRIGEIPGVEVGDMFYFRIEMCLVGLNSQSMAGIDYMSAKFGNEEDPVAISVVSAGVYDNSEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNPIRVIRSVKDLACPTGKIYVYDGLYKIKEAWMEKGKSGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILLDISYGVESKPICLVNEVDDEKGPTNFTYTTKLTYGNSLSSMRKMQGCKCTSVCLPGDNNCSCMHRNAGDLPYSASGILVSRMPMLYECNDSCTCSQNCRNRVVQKGTQIRFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEIIDRNSGNGEDDFIFETSPSEQNLRWNYAPELLGEPSLSDSNETPKQLPIIISAKRTGNVARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQSQGNSQVGSNSGCRKSKSCFCWSRKCRGSFG >KQL23018 pep chromosome:Setaria_italica_v2.0:II:7396424:7403493:1 gene:SETIT_028938mg transcript:KQL23018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQMASAPLDDTVLDAQPLRTLTPMFPAPLGLHTFTPQNSPSFICVTPFGPYAGGTELGTAAVPSTFAASTPAAEPSQTQLHTANMNGAAQANGTAVNSLVPPLQTPLSAGMQESGKRKRGRPKRVPDAAGPSAPSASSAPLAPTVPPVTSFPLVPSAPQESGNVVSLTPSATPQESGKRKRGRPKRVQDVPVQGPPAPQADSTPVVQALPGPTVHESGTRKRGRPKRLQDSSDIITPPIHSKDSVPPPQLPSSATSPESGKRKRGRPKRILDGSVTPSSHSGYSMDDDAVDTTKRGRPRKIDTNLLQLPSLSSDDPRETADNVLMMFDALRRRLVQLDDVKQVAKQQHNLKAGSIMTNAELRVNKIKRIGEIPGVEVGDMFYFRIEMCLVGLNSQSMAGIDYMSAKFGNEEDPVAISVVSAGVYDNSEDDPDVLVYTGQGMSGKDDQKLERGNLALERSLHRGNPIRVIRSVKDLACPTGKIYVYDGLYKIKEAWMEKGKSGFNVFKHKLLREPGQPDGIAVWKKTEKWRENPSSRDHVILLDISYGVESKPICLVNEVDDEKGPTNFTYTTKLTYGNSLSSMRKMQGCKCTSVCLPGDNNCSCMHRNAGDLPYSASGILVSRMPMLYECNDSCTCSQNCRNRVVQKGTQIRFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEIIDRNSGNGEDDFIFETSPSEQNLRWNYAPELLGEPSLSDSNETPKQLPIIISAKRTGNVARFMNHSCSPNVFWQPVLYDHGDEGYPHIAFFAIKHIPPMTELTYDYGQSQGNSQVGSNSGCRKSKSCFCWSRKCRGSFG >KQL23983 pep chromosome:Setaria_italica_v2.0:II:24018828:24023533:1 gene:SETIT_031117mg transcript:KQL23983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRGLSLEEKREQMLQIFYESQDFYLLKELEKMGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRSTYNKLESDLSSSKKRYMELVEQRDNLRRGREDSEEREAALEELKAVELHHKKLKEELAAYADSDPAALEAMKDAIDVAHSAANRWTDNIFTLQQWCSTTFPQAKEQLEHMYREVGITEDFEYLQ >KQL23982 pep chromosome:Setaria_italica_v2.0:II:24018828:24023489:1 gene:SETIT_031117mg transcript:KQL23982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRGLSLEEKREQMLQIFYESQDFYLLKELEKMGPKKGVISQSVKDVVQSLVDDDLVLKDKIGTSVYFWSLPSCAGNQLRSTYNKLESDLSSSKKRYMELVEQRDNLRRGREDSEEREAALEELKAVELHHKKLKEELAAYADSDPAALEAMKDAIDVAHSAANRWTDNIFTLQQWCSTTFPQAKEQLEHMYREVGITEDFEYLQ >KQL25491 pep chromosome:Setaria_italica_v2.0:II:38583507:38589650:-1 gene:SETIT_029428mg transcript:KQL25491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSTVLGFSGFGFGFSAGIVIGYFLFIYVQPNDVKEVKVRPLVEYDSKSLEGILPEIPLWVKNPDYDRIDWLNRFLELMWPYLNKAICRTAQDIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATVQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLLGADVMAIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKKPVGILLVKVVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPDWNEDFKFVVTDPETQALEVNVFDWEQVGKHEKMGMNRVLLKDLPADETRVTTLDLLKTMDPNDVQNEKSRGQLTLELTYKPFKEEDMEKEGTEGTDMVEKAPDGTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIIFKGDEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHVEVLSKAPKKGLIHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIELQWRTS >KQL25492 pep chromosome:Setaria_italica_v2.0:II:38583780:38587960:-1 gene:SETIT_029428mg transcript:KQL25492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSTVLGFSGFGFGFSAGIVIGYFLFIYVQPNDVKEVKVRPLVEYDSKSLEGILPEIPLWVKNPDYDRIDWLNRFLELMWPYLNKAICRTAQDIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATVQIFQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLLGADVMAIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKKPVGILLVKVVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPDWNEDFKFVVTDPETQALEVNVFDWEQVGKHEKMGMNRVLLKDLPADETRVTTLDLLKTMDPNDVQNEKSRGQLTLELTYKPFKEEDMEKEGTEGTDMVEKAPDGTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIIFKGDEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHVEVLSKAPKKGLIHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIELQWRTS >KQL25493 pep chromosome:Setaria_italica_v2.0:II:38583780:38587960:-1 gene:SETIT_029428mg transcript:KQL25493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSTVLGFSGFGFGFSAGIVIGYFLFIYVQPNDVKEVKVRPLVEYDSKSLEGILPEIPLWVKNPDYDRIDWLNRFLELMWPYLNKAICRTAQDIAKPIIAENTAKYKIDSVEFETLTLGSLPPTFQGMKVYVTEEQELIMEPSLKWAANPNVTVVVKAYGLKATVQIFQIVDLQVFASPRITLKPLVPTFPCFAKILVSLMEKPHVDFGLKLLGADVMAIPGLYRFVQETIKKQVASMYLWPKTLEVPIMDPSKASKKPVGILLVKVVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPDWNEDFKFVVTDPETQALEVNVFDWEQVGKHEKMGMNRVLLKDLPADETRVTTLDLLKTMDPNDVQNEKSRGQLTLELTYKPFKEEDMEKEGTEGTDMVEKAPDGTPAGGGLLYVIVHEAQDLEGKHHTNPYAKIIFKGDEKKTKVIKKNRDPRWEDEFEFVCEEPPVNDKLHVEVLSKAPKKGLIHGKETLGYIDISLADVISNKRINEKYHLIDSKNGQIQIELQWRTS >KQL25652 pep chromosome:Setaria_italica_v2.0:II:39589888:39594694:-1 gene:SETIT_029540mg transcript:KQL25652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSAPFFGLGDTQMQPAQGSSLPQQNSAAAAPGAAAPPKKKRNQPGNPNPDAEVIALSPRTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKEARRRVYLCPEPTCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALARESAQIPPIGAGLYVGSGSMSLGLSGAAAQMHGFADQGQSSSAAAAAQFDHIMPSSSGPSMFRSQASASSSSFFLGGGAPSPAQDFSEDGEHSQGGQGSSLLHGKSPFHGLMQLPEQQHQPGSSNANGNLLNLGFFSGNGGGSAGGQDASIAIQDQFNAAAGGSNAEHGGVMASMGSHLSAGFPSSLYNSSPSAGLPQNSATALLMKAAQMGSTSSTHNGPSALLRAAGFSASSGGQGTSRAAGEGTSHEAHFHDLIMNSLTGGGGGFSGAGAGFGAVDDGRLSTRDFLGVGRGSMAPPGLHIGALDPAQMK >KQL22764 pep chromosome:Setaria_italica_v2.0:II:5154037:5158938:1 gene:SETIT_031067mg transcript:KQL22764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSRPPKRILESYTIKGSDRVIKPGDCVLMRAYDASKPPYVARVEAIEAAGSRGTNVRVRVRWYYRPEESIGGRRPFHGSKEVFLSDHYDLQSADTIEGKCNVHSFRSYTKLDSVNAEDFFCRFEYKSATGSFVPDRIAVFCKCEMPYNPDDLMIQCEECSDWFHPACIGMTIKEAKKLEHFFCQTCTAENGKMAENSHEATAQSEEKPVESKRRRR >KQL24263 pep chromosome:Setaria_italica_v2.0:II:28215513:28215971:1 gene:SETIT_032833mg transcript:KQL24263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLRSYSIFSFPSAGFSTSPFPQPDAAAQEAEEPAAGRAVAVTKRSPRALPLEPSPKAERVPVAEDDEGDGANGMSMDEAYALALKARRRPEQEREEEARRSEVDAKADEFIRGFKEDLRQQRLNSIFNYTQMLKRRAFGGGSRQPDARPDQL >KQL23536 pep chromosome:Setaria_italica_v2.0:II:14691861:14699645:1 gene:SETIT_029655mg transcript:KQL23536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFSGRFFETLIGRGKEPLWRLLSKIAVLYTLEPIFTIIFVINMTVIWEQVMARLRSQIFRRILIQKVGELTGLLTSDLGSLKNLVSDNISRDRGLRALSEITGTLCILFTLSTELAPVLGLLMVSVSGLVALFKRSTVPTFKSYGIVQAQISDCASETFSAIRTVRSFGGEKRQISFFDNLARAYQNSGTKLGVLKSANESLTRVVVYVSLMALYVLGGSKVNAGKLSVGTMASFIGYTFTLTFAVQGAVNTLGDLRSTLASIERINSILSAKDIDDSLAYGLAKELDSKEIGDSNGGLYENGSVNKHFMSELKSSSSCSNLAWSGDIHLKDSAHVVTVDNYGQSKRDVEILKKLAKPTA >KQL26514 pep chromosome:Setaria_italica_v2.0:II:44845850:44846369:-1 gene:SETIT_033681mg transcript:KQL26514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTKIDNFRVSKKHPSARAHRLLALRDSLGCASNEQKSMENRRVCIERTKVNGQVAE >KQL24243 pep chromosome:Setaria_italica_v2.0:II:28087704:28089504:1 gene:SETIT_030729mg transcript:KQL24243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVLGLVQVDQSTVAIKETFGKFSEVLEPGCHFLPWCIGQQVAGYLSLRVRQLDVRCETKTKDNVFVTVVASVQYRALADKASDAFYKLSNTREQIQSYVFDVIRATVPKLELDDAFEQKNDIAKAVEEELEKAMSTYGYEIVQTLIVDIEPDDRVKRAMNEINAAARMRLAASEKAEAEKIIQIKKAEGEAESKYLAGVGIARQRQAIVDGLRDSVLAFSENVPGTTAKDIMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDVAAQIRDGLLQASQ >KQL23717 pep chromosome:Setaria_italica_v2.0:II:16982821:16987229:-1 gene:SETIT_030027mg transcript:KQL23717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKEEATGTVVSASEGTPKRKKRKRKKKMQEQPESKQASPGVVRVNKIVSNKSENGCADGIEASGHADVNMDPINANVADVLIENCSENSSLLQESSAGRKRRKRKRKRGSSIRGPGFHDLSCICASCLVEAHKEKIKSIYSPRGSLVRFQRKKLLILDLNGLLADINQDTHNAHKAHAKVRGKLVFRRPYCDDFLRFCFENFELGIWSSRKRENVDSVVDILMRNLKPYLLFCWDMSHCTVTGCNTIDNKHKPLVLKELKKLWNKEEPTLPWEQGEFSPSNTLLVDDSPYKALRNPPNTAIFPQPYSYRNERDDYSLGPDGDLRIYLERIASADNVQNFVRDNPFGQNSITESDPNWNFYVQIVNKVEKQVVDKVEKQILDKVENQIADKVDSQIADKVGIKVQSA >KQL24400 pep chromosome:Setaria_italica_v2.0:II:29577002:29577466:1 gene:SETIT_033764mg transcript:KQL24400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTAASPEFIYCCQLLYCKAMTTCLKTHYIACYCSLLCVTLLHAHNGEYKKLISCVMSLSLVK >KQL23498 pep chromosome:Setaria_italica_v2.0:II:14245675:14249999:-1 gene:SETIT_030327mg transcript:KQL23498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGTARNLTEEEKKGHKELKWDDPDVCGPYMVRFCPHDLFVNTKSNLGTCSRIHDPKLKESFEKSPRHDSYMRRFEAELAQQCEKLVVDLDRKIRRGRERLAHDSAVPMPIPGKIAEQLSAREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLVADDALERTQSHVTGKQHIGYGMVRDFLAEYKAAKEKAKEEERLAREQKAEERRKQRGKEYDSGGRDSGTRRERSGERDYDRDRQYERSRGRDRSYDYRDRGSEYRSNSYRNGRDSERGGHRYRSGDMTNDRGRMRSRSRSPSRHGYGRSRSPDH >KQL23497 pep chromosome:Setaria_italica_v2.0:II:14245675:14249999:-1 gene:SETIT_030327mg transcript:KQL23497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSSRRALRSLLGMIVICEGLRQSLHNNVVDLDRKIRRGRERLAHDSAVPMPIPGKIAEQLSAREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLVADDALERTQSHVTGKQHIGYGMVRDFLAEYKAAKEKAKEEERLAREQKAEERRKQRGKEYDSGGRDSGTRRERSGERDYDRDRQYERSRGRDRSYDYRDRGSEYRSNSYRNGRDSERGGHRYRSGDMTNDRGRMRSRSRSPSRHGYGRSRSPDH >KQL23499 pep chromosome:Setaria_italica_v2.0:II:14247125:14249938:-1 gene:SETIT_030327mg transcript:KQL23499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQRALLDELMGTARNLTEEEKKGHKELKWDDPDVCGPYMVRFCPHDLFVNTKSNLGTCSRIHDPKLKESFEKSPRHDSYMRRFEAELAQQCEKLVVDLDRKIRRGRERLAHDSAVPMPIPGKIAEQLSAREEQVKKLLEQIEELGEAGKVDEAEALMRRVDILNAEKTALANQADNKVAMLEKKMELCETCGSFLVADDALERTQSHVTGKQHIGYGMVRDFLAEYKAAKEKAKEEERLAREQKAEERRKQRGKEYDSGGRDSGTRRERSGERDYDRDRQYERSRGRDRSYDYRDRGSEYRSNSYRNGRDSERGGHRYRSGDMTNDRGRMRSRSRSPSRHGYGRSRSPDH >KQL25279 pep chromosome:Setaria_italica_v2.0:II:37099290:37099527:-1 gene:SETIT_033757mg transcript:KQL25279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYIVTTYYPCIMKMEVNHASFILLAQDISDGLDMVAQD >KQL27266 pep chromosome:Setaria_italica_v2.0:II:48911591:48917975:-1 gene:SETIT_028739mg transcript:KQL27266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSANFKSFLKGLLNKVPQSRLTWPALLEHPFVKDDSMASAADTRTAPFEVKRSEDTRKTEEIQTSRNQPSPDDPQSRITATNGENASDKPKGNRKLDVPMQATQDHHGSSTGAVPESCSPSECTALDKLEKASQTVKGANNIVEDSEALSTVISPIKTWLTNPPSSPRELNIDGANQSLRIIKNLIDAGSCQSYAAIDICMLLEFTNLIIRTKLSDAYGLVVKCLAIARKLLDTNDEIILSSYDSHWCSLYELYSQILVSTVDPSGRISRESTACLALMLSRVISGLKAGMSSEGPKAVDESLIKIIDHARRSQLLELLCECLIASGSDIISGSTNMVPAACEACKAIWYLAHAVDIMSLSAHHFSFPLANSWRQIHSMQEQGSMADSNSTNLINIFVKSFLASRPMQVAVYHCLHNGLESAIHACLQLISRACLQNVPFCAIICRPWNSPSDVDGVEYGGDGTIVSDMFSLLSLCGSCLNKESKQNSNQKCKLSNPHALAVHCCLALATIAACLKSEGEFSASVILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSSLVSLENGGHTRSSLCETALALFPRMATLHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGSIGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKETSDGKDGSMSRSGLSPLGVVWTLSALSQCLPGGVFREILYRREHLKLLTDLLSDKHLKALAAWTGLGGGKRGVRELINSVVDILAFPFVAVQSSPNMPSASASINSGFLLNVASPGGRIGTENKEMLKTIEQNMPQYIQVLLEVGIPGCMLRCLDYVGLEDLARPLAIVAKMAGYRPLALQLLKEGLLYPSRVAGLLEGPIAKETLLDFLMIVSDLARMSKDFYVPIDKAGLVGFLKSYLSNDDPDIRAKACSAIGNMCRHSSYFYSPLAANKVIQLVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTTLLLGPEEDKTKGNAAGALSNLVRNSDILCEDIVSQGAIQALLKMVGSYSTVALSPSRRDALTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAIVSRACQA >KQL27271 pep chromosome:Setaria_italica_v2.0:II:48942191:48942803:-1 gene:SETIT_031732mg transcript:KQL27271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSYRPYGGGEEHGDAQRKKKGGGRWLWGGDPAEMKRRRRVAGYKAYRVEGKVKASIRRGLSWMKAKCAHIIHS >KQL24651 pep chromosome:Setaria_italica_v2.0:II:32010114:32011997:1 gene:SETIT_031891mg transcript:KQL24651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAAAKLEAAERVVMRWDSTASAASSAAGDEQAMLFDGAGDRAEAERFLRAVDDIRRLAPPQSAGCACAAVQVAMARLEDELRHVLAARALDLEIEALAGLTSLSMSGDRRNSDATEVAAAAGDDDDGGGGSVSSSVGRRSSYRSLPSIREIDLFPADAISDLHAIASRMAEAGFGRECVQVYASVRKPAVDSALRRLGVEKLSIGDVQRLEWDALEAKIRRWIRAACAAVRGVFASERRLCFHIFHDLPLCSSTATSAGTATHDAPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDISDIFAASKAAESIYVQAAEIRSRLADAVRGILSEFENAVLRDPSKTPVPGGTIHPLTRYVMNYSSLISDYKTTLSELIISRPSASSRIATEGNEAAPAFPDLDLPDPDSQLPLAAHLIWIIVVLEHNLESKASLYKDAALSHLFLMNNVHYIVHKVKDSAELRGLIGDEYLRRLTGKFRQAATSYQRTAWLKILNCLRDEGLHVSGGFSSGISKSALRERFKAFNAAFEEAHRIQSTWYVPDTQLREELRISISEKLLPAYRSFLGRFRHHIENGRHPELYIKYSVEDLEIAMADFFEGAPPSPHNRRRSHG >KQL23816 pep chromosome:Setaria_italica_v2.0:II:20433605:20434025:1 gene:SETIT_033661mg transcript:KQL23816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLFFFSIWYRTCILFSQNGARKSIVLASFASLDEVGITKGFVYLAFLQLQL >KQL25671 pep chromosome:Setaria_italica_v2.0:II:39767428:39770901:1 gene:SETIT_029193mg transcript:KQL25671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPAMLRCAAALAVLLAVAAPAAGFYLPGVAPSDFGKGDPLQVKVNKLTSIKTQLPYTYYSLPFCKPTTIVDSAENLGEVLRGDRIENSPYVFQMREPKMCQIVCKATINEKEAKELKEKIEDEYRVNMILDNLPLVVPVIRQDRNSIAYQGGYHVGAKGQYAGSKDEKYFIHNHLSFTVKYHKDDDSELSRIVGFEVHPYSVKHQFDDKWNGVDTRLSTCDPHASKLVTSSESPQEVEADKEIIFTYDVKFEDSEIKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLVWVLMGLLAGYSSSRLYKMFKGSEWKKITLQTAFLFPGVAFVIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEPPVKTNKIPRQIPEQAWYMNPIFTILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFAILIITCAEITIVLCYFQLCSEDYMWWWRSYLTSGSSALYLFLYAAFYFSTKLQITKLVSGILYFGYMLLASYAFFVLTGTIGFCACFWFTRLIYSSVKID >KQL25151 pep chromosome:Setaria_italica_v2.0:II:36325725:36326003:-1 gene:SETIT_032991mg transcript:KQL25151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AARTGVGGGWNPTYARRSYFPYWRSVLRTRCPLRVYPAYARAEARFPMVNGSTPIKLCSVGRIAALTSNQPKRSFSTAAIGAFRLLKLLKHA >KQL25985 pep chromosome:Setaria_italica_v2.0:II:41711745:41714029:1 gene:SETIT_029792mg transcript:KQL25985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPEPIGHRPWLSSPLDDDDPLSEILRRLPPRPSSLPQASLVCKRWRDLAASPQFLRDFRVFHRAAAPLLGFFHNTNLGGPDRRFVAAADPPDRVPAALFRMPCGRNHKEWKFLDCRHGRVLLIGSVGPRREVLVWDPMTGARRCTPVPPDAGDVRQGAVLCSCGLARDCRSSPFRVVLVWWIGTTQHWRVAAAIYSSESDAWSHVISVETQLAPTVLVTQRNARKPGVLVGDAVYWLLPESRILEFDTVRRSLSLISGPVHSAGSPYWQSHLVLTEVKELGYAMFMVTEVSIKLWKRDTGNAAGWSMHRSVRLDKCLPRMPMQAKPSLLGFHEESNAIFVWINAGLFLIQLESMQSRMLCQGVGDFEIYPFSGFYHRDAAGTQADDACSHALPIATLTMWHYEDPQGDLHGPFSMAMLHSWRSNGFFPEDLRVWRTDETKEQAVLLTDAMRMPCY >KQL26164 pep chromosome:Setaria_italica_v2.0:II:42710233:42714568:-1 gene:SETIT_030647mg transcript:KQL26164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDAAAMAVVRAARPALRGAHDGVAFAAHAAFLAAGYSLCAVGPDALTDPPPSGDEEVGIDGWNSMENCYAFLYSKEEKGKKKRVLVKCLVIGELLAVDVLDLEAQDKGPYNIQINVKDFFSEEQPKNYGDMYKNFAGLIETVNSNVLCKLDGKDDGAVAGKNPDAAAKNTDAESSSSIHSSENPSPRTTDPNSLIYPPIAPLGSDDLFPGPGAGFYPHGGIGSGGSMHVGPNDPRFFPSNPFPAPFGGPGSVPPGGRYDPIGPPGVPGFEPSSFVRRPRRPPGGSTHPDLEFFQQGPDF >KQL22827 pep chromosome:Setaria_italica_v2.0:II:5650756:5652111:-1 gene:SETIT_033467mg transcript:KQL22827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVSVRTQLDAVICATNGSSSNGPVFRDPQSASKPRESEIEETNTENGVCASQSKATSGDEEVPIDQDSPACQKRKSGNANGDNEDASTYQTVRLARKGSQEKLPTTMERLPQKRTARLPRVASQEKPLLTTKRFPQIRTVKIVRKESQKLLMMKELRRHKSCNLLRLKVAKQRMYQTSLQSKLD >KQL25199 pep chromosome:Setaria_italica_v2.0:II:36608712:36610003:1 gene:SETIT_030341mg transcript:KQL25199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVRNLVRFLLVAAISTVACVVLLLQRSPCPCDSGAVVAPAARGDLAVAGGNGRTQQAHPSGMQAAAASPRDKDDGELAQVLRRAAMEDNTIIMTFTNEAFAAPGSLMDLFLESFRVGLKTEQLLKHLVIVAADAKAFARCRQVHPHCYALAMGATNFTGEQRFMAGDYLDMMWRRNRFQARVLGLGYSFVFTDVDIVWFRNPLLRIPVAVDFAMSCDMFYGDNPYDLNKRANGGFVYARASARTVAFYDAWYEAREAHPDKNEQDLFDKLKRELSARSGVAAQFVDTDYLGGFCESGKRRDFNKLCTYHGNCLVGLGAKLQRLRGVLDEWKEFKAKAGKPGSNVTALTD >KQL26215 pep chromosome:Setaria_italica_v2.0:II:43105031:43108925:-1 gene:SETIT_029954mg transcript:KQL26215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDVAAGAEAPQVKGKFLGILICWLLGNGSLFAWNSMLTIEDYYVHLFPDYHPTRVLTLAYQPFAFGITLIMTYYEAKMNTRRRNLAGFSLFFLGSFALIILDVATKGRGGLGVFVGVCIISAIFGTADANCQGALVGDLSLMCPEFVQSFMAGLAASGVLTSALRLVTKAAFESSKDGLRIGAILFFSITCLFELVCLLLYTFVFGKLPIVKYYRSKAAAEGSKTVASDLAAAGIVAEQQAQVEEDPQKYKRLTTKELVMENIDYMFDIYLIYVLTLSIFPGFLSEDTGAHSLGSWYALVLIAMYNVWDLIGRYVPLIPCLKLISRKGTMAAILARFLFIPAFYFTAKYGDQGYMIFLTSFLGLTNGYLTVCVLMEAPKGYKAPEQNALGNALVVCLLGGIFSGVVLDWLWLIGKGW >KQL23127 pep chromosome:Setaria_italica_v2.0:II:8569775:8571243:-1 gene:SETIT_029738mg transcript:KQL23127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGRSPTTGRRVVMFPFPFASHTTPMLQLAGLLRARGLGVTMLHADFNAPDPARHPELAFVSIRESIPDEVATSADLVQQMIGLNDACEAPFHAALAAELARGGGGQSGQREVACVVVDGQWYKMPGAAGRVGVPALALRTDGAAAFLTLLSTPRLRADGYFPINGMCTRDRLDEVVLGLEPLRVRDLIRVDGSDDETMLHFIACVTDAMRASSSGVVLNTFDAIEAPELAKIRQELSRPAFAVGPLHLLAPAAQQEEQHAPDRGCLAWLDERSPRSVLYVSLGSVATIDLTAFEEMAWGLAGSGVPFLWVIRPGSVRGAGDDDAPPPFPEELIETVRRRGKIVAWSPQREVLAHPAVGGFWTHCGWNSTLEAVCEGVPMLVHPCFGDQTVSARYVTHRWGTGLDVGRVFERTAMARTIRRLMARELGPQAPRERARLLMRQARHCVEEGGPASSALDDLVEYMWGL >KQL23803 pep chromosome:Setaria_italica_v2.0:II:20213633:20215767:1 gene:SETIT_029926mg transcript:KQL23803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQEFVHRPNDAKMSSSQPMNLKAMQGLVLNQKGRFTVDQGQATWMGKRERMDGCNDITNDDNLGSRKESLVKEKLGDNLGSRRELHDNLHLGSRKELHDNLHLGSRKELHDNLSNRKELLLEEKPKSHDDNGSEETNENEDDNGGPDGLALYSSRLTSQDDIAKDCHDGVSMPFVADCLGKQYYCCSKPSDEPIWRGLLNTGKEYIPLFGHLSTKSCEKVHNLSKSLSRVVEVTKLPRLKVWPKRWDESRPLNGSIGLYFFPPKMRPDKSYDQLLKEVMENDLALRAIIGDTEMLMFPSTLLPQRYKTFQMKHYLWGVFKPKEVDGKHCAAQHQPDHTTGATAFAANSTATGIATDAASIPTEDAPTAPANHGRTDSSSMGAPPGRMLAFVVKQTPRLEQLIREMQREGALVMQGEMMSTGSWPGN >KQL25474 pep chromosome:Setaria_italica_v2.0:II:38437438:38438856:1 gene:SETIT_032038mg transcript:KQL25474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCSPLSYHYTGVAPQSCTKPSSTSLQKPLPLSLPASPHLAMASLAILLLLARFSSSIAVSSNSYISRSAEQQVIATVAPAIVPDVDGQSAQPFLTSPSGSYAAYLRRAVDAAAGLGGDACYVQVQQAGVGAGSGSLWESDCTPVGGADACDLAFSPVGLELFAGGHSLWDTGVDGNPGTLSLDDVGDMKIVSKEGVTVWTASGEPWTGQQCGAPLPVSSAPSVDSVLPPPSAAGAKLVTPPSATLAGAGSTDFPSGDQPAPPPVDTLPDLPVQPPVDTAPEQPLSPPPADASPDLPDLPLPPPPAYTSPDSPDQPLPPPPPADMSPDEPLYSSPPPAPSTSGPDPDTPVPPFGVPLAAPPTGASSLPGTATSPGEPGSPGGVPFSGPSPAGMPHPHGPAHPHQLPLGASPPLPDAVAPGVHGGGAGKPGVPLGHGQQPEEQGVFGQQPQLLNGEGHSLEESSGGWSGSERGR >KQL22573 pep chromosome:Setaria_italica_v2.0:II:3600811:3607385:1 gene:SETIT_029035mg transcript:KQL22573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDANSSFSGGAKLQPSTSMNTDSFMRVPASPISFSSNNISGSSVIDGSIVQQSPPQDQVQKRRSSSVTSHPMIEAGGALHAQKKSRIDVRQGDILQQQQLIQQLLHGQSSLHLQGQHAWIQQQKLAQMQQRQQPQLLQPFAQIQQSQIGIPRQPQLRPPLAQPGMQLAGPVRTPVDSGLCSRRLLQYLYHKRHRPENNPITYWRKLVEEYFAPRARERWCVSSYEKRANGSVSTPQTALDSWRCDICNTHGGKGYEATYEVLPRLCQIRFDHGVIDEYLFLDMPNEFRLPNGLMLLEHTKVVQKSVYEHLHVTHEGHLRIIFTPELKIMSWEFCSRRHEEYITRRVLAPQVNNLLQVAQKYQAAASESGPAGVSNNDAQTICNMFVTASRQLAKNLEHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFTNKNNLGPIEGLKNYPKPNVLKLPGQNTHEAKQIMAAAGLPNDQNTTKAMGVKQEIRAHVDNITSGVGAVGNCAPQNSAALNSYQNILRSSSANQSLLQQEASSVFKGPAAMHNGIQLEASRSFRGPNQVQLTQFQHSVSFQHPMPQHNNVQGLGMQNNLQGLGVQNNLQGVNPQYQQHVFNQLLQEVKNTNNHALVQQPPPDNPNVNSGLVSGAANTNSAATREQAQHINNSAVKGAATVGTGPSNVINNSTASIIPSRSNSFKSVSSNPAAATGGNAATSKAEPFHELEDLDNLIANELVESGLFMGDQGGNGFSWNM >KQL25218 pep chromosome:Setaria_italica_v2.0:II:36730421:36736593:1 gene:SETIT_030960mg transcript:KQL25218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLFEFCSGQSITKTLERYQKSSYGGPDTAVQNKENELVQSSRNEYLKLKARVENLQRTQRNLLGEDLGSLGIKDLEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREQMLCEANKCLRRKLEETSSQVNGQVWEHSANLLGYERQSPQQAPSHVGNGFFHPLEVGPEPTLQIGFAPEHMNNFMPTWLP >KQL25217 pep chromosome:Setaria_italica_v2.0:II:36730421:36736593:1 gene:SETIT_030960mg transcript:KQL25217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLFEFCSGQSSITKTLERYQKSSYGGPDTAVQNKENELVQSSRNEYLKLKARVENLQRTQRNLLGEDLGSLGIKDLEQLEKQLDSSLRHIRSTRTQHMLDQLTDLQRREQMLCEANKCLRRKLEETSSQVNGQVWEHSANLLGYERQSPQQAPSHVGNGFFHPLEVGPEPTLQIGFAPEHMNNFMPTWLP >KQL26370 pep chromosome:Setaria_italica_v2.0:II:44005026:44006228:-1 gene:SETIT_033073mg transcript:KQL26370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFAAVCGLLLLLNAAHVESRRHRDSDSGRRYKLFVFGDEFADAGNFPLADLTKTTRAWYYPYGSNDKEHGATPSGRFSNGLVLSDFFARILGRKESPPAESKRKQDGVDPSGMNFAVGGAGVVEGTSDAPRLGRQVDKFKRLVRLGIIDEDLTDSVALIAFSGRRDYERFNDMTSTEVKAKAQEVTDKIADAVDQLMDLGVEKVVVTSLPPLGCTPWLSRSEDGVYDAKCDSQKVASIHNSYLEEKVFQDEAVFNLDLKAAFSHYAGPSPRSKQFKYRLESCCESFDQSGFCGQVQDGEPQYSLGSKPDKFFYWDDINPTHAGWKAVVNEFEESIKTSYSRYTALT >KQL26212 pep chromosome:Setaria_italica_v2.0:II:43098133:43100803:-1 gene:SETIT_029976mg transcript:KQL26212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETEGAGPPKLQGKYFGMLVCFVLGNGCLFSWNSMLTIEDYYVYLFPKNHPTRVLTLVYQPFALGVTALLAYHEAKINTRLRNLTGYTLYFLSNLAIIILDVATKGQGGVGAFVGVCVISAALGIGDAHVQGGMVGDLSLMCPEFIQSYLAGLAASGAITSALRLITKAAFESSQDGLRKGAMLFFSIACFFELLCVLLYTSVFPTLPIVKYYRSKAASEGSKTVAGDLAAAGVPTEQDEIVEEEPERYERLSTKQLLLQNMDYALDIFLVYVLTLSIFPGFLSEDTGSHSLGAWYALVLIAMFNVWDLIGRYVPFIVKMTSRKCIMAAALARFFLIPAFYFTAKYGDQGYMIVLTSFLGLSNGYLTVCVLTEAPKGYKGPEQNALGNVLVVFLLIGLFSGVVLDWLWLIGKGW >KQL26098 pep chromosome:Setaria_italica_v2.0:II:42343572:42345626:1 gene:SETIT_030032mg transcript:KQL26098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPGNNTTLWVVATVVPVSLLLTCFLACFLWIRKRRRRVTSMSGTVSVPTMSMEMEQVLKLWRIEESDSEFSIYDFDQIADATDNFSDEHKLGQGGFGPVYKGELPGGLEIAIKRLSSCSVQGLMEFKNEIQLIAKLQHTNLVRLLGCCVQAEEKMLIYEYMHNRSLDFFIFDDKKGKILTWERRFRIIDGVAQGLLYLHKHSRLRVIHRDLKASNILLDRDMNPKISDFGMARIFCSNVTEANTTRVVGTHGYIAPEYASEGLFSIKSDVFSFGVLLLEIITGKRTAGFYQYGKFFNLTGYAYQLWQDGRWHELVDPALGDDLPVPEVMKCLQVALLCVQDSADDRPNMSEVVAMLGSEGITMPEPRQPAYYNVRISNLTVSSGSFGESSCRISSITLTDHEEGR >KQL24654 pep chromosome:Setaria_italica_v2.0:II:32028369:32030282:-1 gene:SETIT_030919mg transcript:KQL24654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFSWVANKIGGKQEPRRSAATSTAPYRGNVSECRNDEFSDWPQSLLAIGTFGNKQIEEEAQSSSGNVQTVQDSVKFTEEEVDNIREEFEVLLEGNDQAEVQGSHADEQVASQKRVGEHDSAKHQEQLMNKEIIISKAREIVGKKGGAIKPRSIASLLRLFVCKGGFTAPVLEPRNSFPQTRMEKLLKAILQKKIHPQNSSTVATRRHLDWKLDEKEINECLEDALSDLDDDGAKWVKTDSDFIVLEM >KQL24821 pep chromosome:Setaria_italica_v2.0:II:33517483:33518660:1 gene:SETIT_030583mg transcript:KQL24821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDNDEVVFDAPEHLRIYKSGRIDRFHRPVLVAAGVDDDDSGVASKDVVIDSVTGLSVRLFLPKLQGPSAKKLPVLVYFHGGGFIIESARSATYHNYLTSLAAAAGVLAVSVDYRLAPEHRLPAAYDDCWTALRWAASARDDDWLAEHGDSSRVFVAGDSAGGNIVHNVLMRASSADNASRIEGAVLLHPFFGGSTAIEGEPERAVVITAKVWAFACPDAAGGADDPRINPTAPGAAAGLESLGCERLLVCAAAKDWLVARDRAYYDAVAASAWPGSAAWLESEGVGHVFFLMKPECENAKRLMDRIVAFIAGS >KQL23296 pep chromosome:Setaria_italica_v2.0:II:10588173:10591593:-1 gene:SETIT_030112mg transcript:KQL23296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPILAAASVASSRAATTVLSTPLSSSSRPAVLRTTDAAARVVRCRSAAATKQGSPAAALLLALDDAEMFNSAEVVQWESGKSVNAIAAAQGIRVRRRCRPSYPSEGVGADRAVPRDVLEQVVWDKEVEVSQRKARAPLHRVAESAQRAPPSRDFAAALGAARRRNGGLPALVAEVKKASPTRGLLRDHFNPVEIAQAYEKNGAACLSILTDEKHFLGSFENLETIRNSGVNCPLLCKDFIIDIWQIYYARSKGADAILLIAAVLPDLDIKYMLRVCRSLGMTALVEVHDVRELDRVLKIDGVQLIGINNRSLGTFEVDTTNTNMLLEKRGDIITKKKIMVVSESGLFTPDDVAYVQNAGVSAVLVGESLLTQEDPGRAIAGLFGKELLH >KQL26146 pep chromosome:Setaria_italica_v2.0:II:42600016:42601940:-1 gene:SETIT_032977mg transcript:KQL26146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DARWPHASTSYAVGGGGGGDRFSALPEGIIDHILSFLPAEDAVRSSVLSGLWRGDWAHAPALNLSDERHQGRFLAFARAVLSRYGAPDIPALNVAIGCESNLGPGTAAWLRDAMERAVGSISVSVTAPVPMDRLTLPRRLRAKSISLTLSSMYENADLVLPDEPCETVAFGSLVELNLSRARLQGGGGVSLGEFLSSCCPCLRLLRLSKVSDGRHSKLQVVAANLQVLVVRYCCFYSLSQWDTDNVVQISAPRLHNISWLGGLPGHLSFLTDCRCVQRLALGFKWYQLKDRGFQQANAMQLLEMCPGSDDRPTLSVLEELVLSHLFSFSFRISVAHHFRHVDLEQISRLPNIRALSLRIAGAGRVVNCNITPSIFFFLRQCLNLTRLHIDLSMLHQGSRSNHDYLVLPLQEIRTSGFMGSDREMELADLLFGVRAAWPALERISVSFPPIERLPDGSLLCGADGTTACIRW >KQL26013 pep chromosome:Setaria_italica_v2.0:II:41818620:41824796:1 gene:SETIT_029414mg transcript:KQL26013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLKKIRLRSKFELKLSRIQAKGRTSTFTLIRIPSSRSRVRTLGFLRLAGDAMQPPHQPPMNGQQGAPPPQGSGAPAPPPQQQAPPPPYYQQQQPPQYYQQGPPPQPWGQPQQYPPPPQQYAPPPQQYAPPPQQYAPPPPQQYAPPPQQYAPPPQQYAPPPQYGTAPGSGEIRSLWIGDLQYWMDESYLNYHAFAPVAPQIANVKIIRNKQNGQSEGYGFIEFHTRAAAEYTLMNFNGRMMPNVDMAFKLNWASASAGDKRGDNGSDHTIFVGDLAADVTDSMLEEVFRASYPSVRGAKVVIDKPTGRPKGYGFVRFGDLNEQARAMTEMNGMMLSTRQMRIGPAANKKNMDAQQTYATNGAYQSSQGNLSENDPNNTTVFVGGLDSNVNEEYLRQTFTPYGEIAYVKIPVGKRCGFVQFTSRSSAEEAIRMLNGSLIGGQNVRLSWGRSPQNKQAPQQDANSQYNGNNYYGYQQQGYEGYGYSAPNAQDSSVQNYYGYPGYGNYEQQQQQVAAPQQQPPPAQPAQEEQQQQPPPPQQQQPQPQQPLQQ >KQL23391 pep chromosome:Setaria_italica_v2.0:II:11909548:11915017:1 gene:SETIT_029125mg transcript:KQL23391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEESSPSSSSLSSPAGSSDSIDLNFLPFLKREPKSEPASPERGPLPLPAPPAQTPQQQRHAAAAAPAAFAPPPPATPDLSSAPVMTPLQALPPNPDEDALLREYYRLASLYLSSAGPGAIVPAPAPAATAPSVVQPGSGSVVKKRRPRSSELVRVSSLGVRDQIYFRDLVRRARITFECLRGLLLRDDERAESLGLAGVNGYGGGGDRRRVRADLRAAALMADHDLWLNRDRRIVGPMPGISVGDAFFFRMELCVLGLHGQVQAGIDYVTAGKSASGEPIATSIIVSGGYEDDDDHGDVLVYTGHGGRDPNLHKHCVDQKLEGGNLALERSMAYGIEIRVIRGVKSRRSPVGKVYFYDGLYKVVDYWLDRGKSGFGVYKYKMLRIEGQEPMGTVNYRIAEQLKVDVFAVRPTGYLSFDISMGRELLPVALYNDFDNDRDPLLFEYLARPIFPTSAVQGKFAEGGGGCDCAESCSIGCNCAGRNGGEFAYDKTGALLRGKPLVYECGPYCRCPPSCPNRVSQKGLQHRLEVFRSRETGWGVRSLDLIKAGTFICEFSGIVLTQQQSEIVAANGDCLVRPNRFPPRWLDWGDISDVNPEYVAPDYPTLPELNFAIDVSRARNVACYFSHSCSPNVFVQFVLFDHYNASYPHLMIFAMENIPPLRELSIDYGMVDEWVGQLTM >KQL25007 pep chromosome:Setaria_italica_v2.0:II:35247257:35250326:-1 gene:SETIT_030288mg transcript:KQL25007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLFSPPSLRLLSRTTARLSRFQTLAARKPPASTVSGGGGGGGGGKGGGLLSVLDRALADEEEYRRARAQVQRKGVEVEGYAIEGISVGGHETCVTVPSLNVAFDIGRGPPFAVSQDYLFITHAHLDHIGGLPMYIATRGLYNLKPPTVFVPPCIKDDVEELLQVHRRMSQIELSVELVALDLGETYEIRNDLVARPFQTYHAIPSQGYVIYSIRRKLKKQYAHLKGSQIMKLKQSGTEITDTILYPEVAFTGDTKSDFILDPRNADALRAKVLITEATFLDDHVDVEHAREHGHMHLSEIMENSQWFRNETIVLTHFSNRYSLEDIRQAVSRLQPKLHSKVVALTEGFKSEHR >KQL27239 pep chromosome:Setaria_italica_v2.0:II:48767743:48772373:-1 gene:SETIT_033271mg transcript:KQL27239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAPVPWWPSPALPPAGLLAVTAPPGNPEPQTLPFLLPPPPPPPAGYPLLPPRGPIVLQLHPHPIFLAEVDRRRSCSLVQLLKDEGAVPSPEDEKRREKVIRELKKIVMHWAKAVAREQSVPQRLATATVLTYGSYTLGAHGPESDIDALCVGPCIATLQYHFFVVLRQILEGRPEVSGVQTVESAKVPLMRFRFTGIAVDLTYAQLPVIDASKKFQALLRCLKLWARKRGLHCHHLGFFAGIHLAILGAYICRRYPNASVNGLFIVFFQTFAHWPWQVPDPLRHGFEWTWLFESFPYAEKHHQFLRIALCAPTFAELRDWAGWVKSRFRFLILKLERVGIECDPCPSEEIDHTVKEPNMVFYWGLIPEKIIHVDTSSLKDDFMKDITNDVYGKVKCTRSDVTISVVGLSQLPKSMCSHSVHWQYMQHCMLGYQATSEDQSAGWLGLG >KQL24515 pep chromosome:Setaria_italica_v2.0:II:30965741:30977599:-1 gene:SETIT_028734mg transcript:KQL24515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIHVAVRSRPLSPEDARSSPWRISGNTIAHSAQSFTRFEFDRIFGEECRTAEVYETRTKRIVDSVVRGFNGTVFAYGQTNSGKTHTMRGSTNEPGIIPLAVQDLFQRIEEHMDREFLVRMSYMEIYNEDINDLLVPEHRKLQIHESSEKGIFVAGLREEIVTCAEQVMDFMSFGESHRHIGETNMNLYSSRSHTIFRMVIESREKGDDNEVEDSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGIDGQGGHVPYRDSKLTRILQPALGGNSNTAIICNITLAQVHADETKSSLQFASRALRVTNCACVNEILTDAALLKRQKKEIEELRAKLRSELEKERIALELEEEKKAKEQRDRRLIEQAKKIENLSSLVLNSERDDRSTAFSKDKRRVTWCPGPNTRQIGIEVLGPAAEGRASSTVRNERNMGIPPRFEELMQGSYASNDEPSSGACSSSDISKDSEDVSLPDSHALLHVTRRKPNTMKKSDQEQFGGTTGELVIPQDPCDGNDALQSQESTASCVVSSLSARESEAIFVIKQLQDQIKLLEAEKSSIQTNLDDVLELATQQKTSFSEKYEELQQNALAAQEQAKVANEKLSTLSANVKSNQEVAYGFLSNVLMETRGITLETDQLGNSVDSALSFIDELCQNLLTMAQGILEVKHSAHEDITQIGSMIMDYEKLLACLMEKFCKLESEKKLLEEQSQDQQNEIDKLKSSLESCEKAIDDCTLQHELEKDSILSDLLNLQKEVSTLSSSSLMKEKESIRKELDRTKAKLRETENKLKNSIQEKIKLQSEKAEAHKEVKKLQSQRTLLERDLRKRDSVTVDKRHELNSMPQELAEVFDQAVQMQEEYQKLEVHAFNMETEISSLQEALTTSIAEKDEALSKVELMTSELEDLANKLNSSESERNSLSDEIALLTKKSIASESILKRLEASFNSVSREKEDMGMQLTDALLDMESEKSIWTAKERAYLETNQRLNICLDENRKLSEDLIKVRQELVCCRERCRTLEEKLALSLEGDMNEKGIKCWSNVTWCSEACKGSDQAVEKGRTIDGAIGENLIHAKATVDELSSRISVMEVNMKNDAVAHNKEKTKLRMQIRWLEPQLEAHRGQLKEAVDEMRIMDAKYQEASTMLKKELSQSCREVLRLREMLKESQGTRN >KQL25413 pep chromosome:Setaria_italica_v2.0:II:37939791:37940964:-1 gene:SETIT_031018mg transcript:KQL25413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMLAASSVVNSSLACSSRISSGSDLASGHSWRPIEAVKLPRKHAVSSLHISCAATKPAKTPAEEDWKIKRQLLAQKRVRGVDVKEALRLQKENKFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIQSMAATAAAL >KQL25414 pep chromosome:Setaria_italica_v2.0:II:37938303:37941057:-1 gene:SETIT_031018mg transcript:KQL25414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITMLAASSVVNSSLACSSRISSGSDLASGHSWRPIEAVKLPRKHAVSSLHISCAATKPAKTPAEEDWKIKRQLLAQKRVRGVDVKEALRLQKENKFVILDVRPEAEFKEAHPPGAINVQIYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFIQSVDEKVGKDAKIIVACSTGGTLKPTQNFPDGKQSRSLIAAYLLVLNGYSNVYHLEGGLYTWFKEGLPAVEGEE >KQL26376 pep chromosome:Setaria_italica_v2.0:II:44013539:44018005:1 gene:SETIT_029950mg transcript:KQL26376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKELFANDGSFMERFKQMQQEMQEKEKAAATEASSAPKHGSSKPGFAVAANKRPFELKKAGPVATGGKLAFSLKKAKVAVAPIFAADEEEEDVEREEPAKRHKSVQADAPVAATPAGAVAPPPPNDMTVKQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFQLAEEEKALGQSKDAEASKNASSSTASFKAPSGLYKSSFEQKSNYQTPASALYGAYEGSSSQGSSSSYGDHNTSAPSDPVALMEFYAKKAAQEERKRPLRQSKDEMPPPPSLQGPPKKGHHMGDFIPQEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVKPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKQYY >KQL26378 pep chromosome:Setaria_italica_v2.0:II:44013539:44018005:1 gene:SETIT_029950mg transcript:KQL26378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKELFANDGSFMERFKQMQQEMQEKEKAAATEASSAPKHGSSKPGFAVAANKRPFELKKAGPVATGGKLAFSLKKAKVAVAPIFAADEEEEDVEREEPAKRHKSVQADAPVAATPAGAVAPPPPNDMTVKQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFQLAEEEKALGQSKDAEASKNASSSTASFKAPSGLYKSSFEQKSNYQTPASALYGAYEGSSSQGSSSSYGDHNTSAPSDPVALMEFYAKKAAQEERKRPLRQSKDEMPPPPSLQGPPKKGHHMGDFIPQEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVKPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKQYY >KQL26375 pep chromosome:Setaria_italica_v2.0:II:44013539:44018047:1 gene:SETIT_029950mg transcript:KQL26375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKELFANDGSFMERFKQMQQEMQEKEKAAATEASSAPKHGSSKPGFAVAANKRPFELKKAGPVATGGKLAFSLKKAKVAVAPIFAADEEEEDVEREEPAKRHKSVQADAPVAATPAGAVAPPPPNDMTVKQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFQLAEEEKALGQSKDAEASKNASSSTASFKAPSGLYKSSFEQKSNYQTPASALYGAYEGSSSQGSSSSYGDHNTSAPSDPVALMEFYAKKAAQEERKRPLRQSKDEMPPPPSLQGPPKKGHHMGDFIPQEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVKPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKQYY >KQL26377 pep chromosome:Setaria_italica_v2.0:II:44013539:44018005:1 gene:SETIT_029950mg transcript:KQL26377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKELFANDGSFMERFKQMQQEMQEKEKAAATEASSAPKHGSSKPGFAVAANKRPFELKKAGPVATGGKLAFSLKKAKVAVAPIFAADEEEEDVEREEPAKRHKSVQADAPVAATPAGAVAPPPPNDMTVKQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFQLAEEEKALGQSKDAEASKNASSSTASFKAPSGLYKSSFEQKSNYQTPASALYGAYEGSSSQGSSSSYGDHNTSAPSDPVALMEFYAKKAAQEERKRPLRQSKDEMPPPPSLQGPPKKGHHMGDFIPQEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVKPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKQYY >KQL26374 pep chromosome:Setaria_italica_v2.0:II:44013539:44018047:1 gene:SETIT_029950mg transcript:KQL26374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKELFANDGSFMERFKQMQQEMQEKEKAAATEASSAPKHGSSKPGFAVAANKRPFELKKAGPVATGGKLAFSLKKAKVAVAPIFAADEEEEDVEREEPAKRHKSVQADAPVAATPAGAVAPPPPNDMTVKQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFQLAEEEKALGQSKDAEASKNASSSTASFKAPSGLYKSSFEQKSNYQTPASALYGAYEGSSSQGSSSSYGDHNTSAPSDPVALMEFYAKKAAQEERKRPLRQSKDEMPPPPSLQGPPKKGHHMGDFIPQEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPIMAGDVKKDHLGVGAVKPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKQYY >KQL24731 pep chromosome:Setaria_italica_v2.0:II:32650379:32652600:-1 gene:SETIT_029575mg transcript:KQL24731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASTLLWFLYLSLASCLLYKLLLSTKTSSDKARRPPGPAPLPLIGNILDLRGEPHHALARLAEMHGPVMSLRLGTTDAIVASSAAAAHDVLQRYDHVLAARSVTDAGRALGNHEHSVIWLPGSSPLWRRLRAVCTNHLFSARGLDATRAAREEKARELVACLGRHAGAGEAVDVGRIVFSCVLNVVSNVLFSEDVADLSSDRAQELEMLVRDTVEEACKPNLSDLFPALAKLDLQGRRRRSAELIGRFYDFFDAIIARRLNGAAGGKEDFLDVLLQLRSEDQLSLQTIKSFLLDLFAAGTDTNAISVEWTMAELLRHPAVMSKVRAELRDALGSKPHPDESDIDRLPYLRAVVMESMRLHPPSPMLMPHLAMADGAEVGSFAVPSGTKVIVNLWAVMRDPALWPEPEAFSPERFVGACDADFRGGKEKDRLEFMPFGAGRRACPGTPMATRVVTLLLASMLHAFEWRLPEGMQPGDVDVRDRFGTSLNMVTSLRAVPVPVHR >KQL24749 pep chromosome:Setaria_italica_v2.0:II:32842369:32842869:1 gene:SETIT_033741mg transcript:KQL24749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRASVCLACVKVRISRKLHTPALAVPLYYRGFPPLLEIRAG >KQL23526 pep chromosome:Setaria_italica_v2.0:II:14577392:14580437:-1 gene:SETIT_031219mg transcript:KQL23526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIVQAVVILLDVIAFGLGVAAEQRRSRATVTPDAAKEYDFCVYDSDIATGYGVGALLLLAAAQVVLMTASRCFCCGRGLKPGGSRACALILFLFTWVTFLIAEACLLAGSVRNAYHTRYRGIFYGESLSCETVRKGVFAAGAAFTFFTAILGEVYYLSYSKSRDAAGGAPYGGSSIGMGPYN >KQL23527 pep chromosome:Setaria_italica_v2.0:II:14576773:14580437:-1 gene:SETIT_031219mg transcript:KQL23527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIVQAVVILLDVIAFGLGVAAEQRRSRATVTPDAAKEYDFCVYDSDIATGYGVGALLLLAAAQVVLMTASRCFCCGRGLKPGGSRACALILFLFTWVTFLIAEACLLAGSVRNAYHTRYRGIFYGESLSCETVRKGVFAAGAAFTFFTAILGEVYYLSYSKSRDAAGGAPYGGSSIGMGPYN >KQL24727 pep chromosome:Setaria_italica_v2.0:II:32592154:32595255:1 gene:SETIT_029051mg transcript:KQL24727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPAPPTNYPKTLSSIILCRHRPDAGAARRREAINNCASQRLSRPILSSPRGSPVPGHHHHHSLLQPAPPGPGRDRVVARSSAVVAPAPLPPPLLLPEMPSPTIRRLDVASPVPADIDIANSVEPLGIVDIAAELGLGPEHYDLYGKYKAKVLLSVLDDIKEQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHENSQSDKALFNRLCPANKEGKRHFADVMLRRLTKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPDEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGRMVIGNSKSGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGADIGTEKFMDIKCRYSGLVPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLAKHISNTRSYGVNVVVAINKFATDTEAEMDVVRNAAMAAGAFDAVVCTHHAHGGRGAVELGLAVQRACESQAEPLKFLYPLESSIKEKIESIARSYGASGVEYSEQVLPLCLRFDSFIKS >KQL24728 pep chromosome:Setaria_italica_v2.0:II:32592154:32595888:1 gene:SETIT_029051mg transcript:KQL24728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPAPPTNYPKTLSSIILCRHRPDAGAARRREAINNCASQRLSRPILSSPRGSPVPGHHHHHSLLQPAPPGPGRDRVVARSSAVVAPAPLPPPLLLPEMPSPTIRRLDVASPVPADIDIANSVEPLGIVDIAAELGLGPEHYDLYGKYKAKVLLSVLDDIKEQQDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHENSQSDKALFNRLCPANKEGKRHFADVMLRRLTKLGISKTDPNELTPDEVRRFARLDIDPESITWRRVMDVNDRFLRKITIGQGPDEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGRMVIGNSKSGEPITADDLGVGGALTVLMKDAIHPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGKGGFVVTEAGFGADIGTEKFMDIKCRYSGLVPQCAIIVATIRALKMHGGGPDVVAGKPLDHAYVSENVALVEAGCVNLAKHISNTRSYGVNVVVAINKFATDTEAEMDVVRNAAMAAGAFDAVVCTHHAHGGRGAVELGLAVQRACESQAEPLKFLYPLESSIKEKIESIARSYGASGVEYSEQAEKQIEMYTKQGFSNLPICMAKTQYSFSHVPSMKGAPTGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTATGKVRGLS >KQL22377 pep chromosome:Setaria_italica_v2.0:II:2121305:2122429:1 gene:SETIT_032862mg transcript:KQL22377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPRRSGISYIQNNNSRSITFFKRRAGLYKTAADLSTLTGARVAIALESESGKMSSFGTPSAGPIIDSFLSGKAPMDPFVNKEQKSEITILQNEVFKVEKEKFMEDKRTKETIARAKEVQETLGKAKLAYGKIEDLSVEELNELVHDLSQIEQEIDDRLRPQQPSYQLEVGGSRDPFLGRPSSSSSPSSQIQMPPRRLPWTPIQPSLQLPKSSWSLPQSSRSRSSLLNPSMLPSAQAQPISMPPFQHSQMPQHALAVQPQAQMTLSSTETYPHNYNTLEMHINGNISLPFIQSSLMPALPPPPSPSTQITFYNEFPPPSSSQQPPTPLPIEAEHYMVEHPENHASTQDFTAGHPFANHQWPSPIPSNEPYYDIS >KQL26745 pep chromosome:Setaria_italica_v2.0:II:46069365:46073328:1 gene:SETIT_030218mg transcript:KQL26745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHAGFSTDEAVTAVAAPAPAGLHFSPFPPPKGAARDCKKVAALHVDRAAPGGGGGGSWFESMKASSPRRAADAEHGDWMEKHPSALTWFEPALAAAKGKQIVMFLDYDGTLSPIVEDPDRAVMSEEMRDAVRRVAEQFPTAIVSGRCRDKVFNFVKLTELYYAGSHGMDIEGPAKQSNKHVQANAEEAVHYQAGSEFLPIIEEVYRTLTAKMESIAGAKVEHNKYCLSVHFRCVQEEEWKAVEEEVRSVLKEYPDLKLTHGRKVLEIRPSIKWDKGKALEFLLKSLGYAGRSDVFPIYIGDDRTDEDAFKVLRGMGQGIGILVSKFPKETAASYSLRDPAEVKEFLRKMVKGKGDGGPVKMMNDIVHVDH >KQL25523 pep chromosome:Setaria_italica_v2.0:II:38854550:38861672:1 gene:SETIT_028907mg transcript:KQL25523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGGESPAKPKTVLLHGDLDLWIKEARLLPNMDLFSEQVRRCFAACRPPTSCGPKHPPAAAVPRGGSGGRHHHHRKIITSDPYVTLSVSGAVVARTAVIPNNQDPVWEERFAVPLAHRAAALEFQVKDNDTFGAQLIGTVTVPADIVASGEEVEDWYPIIGTNGKPYKPDTALCLRFRFQPIAENPAYRRGIPGDPERKGIKDSYFPLRHGGQVTLYQDAHVREGDLPEIELEDGKMFEHNACWEDICHAILEAHHMIYIVGWSVYDKVRLVRERSPSRPLPEGGDLTLGELLKFKSQEGVRVCLLVWDDKTSHDKFLFKTGGVMATHDEDTRKFFKHSSVICVLSPRYASNKLSIFKQQVVGTLFTHHQKCVLVDTQAWGNKRKVTAFIGGLDLCDGRYDTPEHRLFKDLDTVFHNDYHNPTFSAGAKGPRQPWHDLHCRIDGPAAYDVLTNFEQRWRKATKWRDRFRRVSHWKDDALIKLERISWILSPSRNVPNDHPSLWVSKEEDRENWHVQVFRSIDSGSLKGFPSDCKEASKLNLVCRKNLVIDKSIHTAYVRAIRSAQHFIYIENQYFLGSSYAWPSYVNSGADNLIPIELALKIASKIRAGERFAVYVVIPMWPEGVPTAASVQEILFFQAQTMEMMYKIIADELKAMDIKDMHPQDYLNFFCLGNREEPSSNGSPESEKSTDKSAAALATKYRRFMIYVHAKGMIVDDEYVILGSANINQRSLAGSRDTEIAMGAYQPHHAWSTKNRHPHGQVYGYRTSLWAEHLGMVDDRFKDPSSLDCVRFVNQIAVENWQRFTAEEIRTLQGHLLKYPVNVEDDGKIGPLPDQENFPDVGGKILGAPTSLPDSLTM >KQL22731 pep chromosome:Setaria_italica_v2.0:II:4930496:4933582:-1 gene:SETIT_032003mg transcript:KQL22731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVIEADTIDEAATEVLEVLKEKANTTRSLSSRDNVFYFDGWDGLGASAVLRNIAQRLSATTPDGKRALADLEFDQVIHIDCSMWESRRALQKAVAEQLQLPDEVMKMFDRQDEEDDFRGVAEGSRAELQQVAWEIYQHTQKANRRLLVIFHNGSSEEIDLATCCGLSLSGYSTSKVLWTFQGRYRIKPRTKVDKAMKSAGTTDAFLSAGPRRYGKEEQLWSYLVLEEAAEVAEACKVTAGSRDIINKPTQVAECFLYMLDLCCKGSQSIDYDLATHGANYWVCDRIIHQLQHEERDVNGGDKDDGLWRAVDALQQQMLLDMDHHQYLTSPHLAMFIKSKPYWTSPTCGFTRIPAGAIHNGDMFQHCSNKLHVLKLSRCTFNFQSPPFQCCQSLKFLWLDRCQHTGINNTDGEGKEEDVRRCFQRLWVLDVRDMHCVHILSGQMMDYMTQLRELNVMRTWKWDMGQLQGRLPNIRKLRVAMSSVTCSCSEEDLFSEMNKMEVLEFSGNNIDSFCITINNSSCLETVNIVEFGGFKRISFKGCTKLKNLIFSGRLYHVCALDISGTAVKTLDLTGITNLGLHELYLLDCKKLCAILWPPEDIMKRDLDKLCIDTTRSAPTAQSREEIAKRGTTAATIGTSAATTVLHRSRPTNEFPWYISVRDARLLMSLEAVFGGLPYTESRKLYLEVSPTPSPTVFAAGCRDEGIKSGSSSELQRQPAPAIYAAAGTTAKCDGDATGIMWMWSCPDVPDLHETSCYMHIQETNTITVPEFVIYCAKILHVRGSMSITVFPSGTESQGSEWVQLEWCRIERCPRLEDVFTLGEQIRGLEGRSYSMKTFWASQLRKASCIWKYSEPCGVCRTFEYLVFLHLDCCPRLVHVLPLSLYMIDRDLPESLSQLETLEITWCGDLREVFPLEPYAKRYVKQQPQPVTLDFPGLKRIHLHELPRLHSICGGVRMSAPNLETIKIRGCWSLKRLPDVGGGDKAVECDCEKEWWDRLEWDDGSQVTRYKPIHSRYYKKTLLRSSVLR >KQL24784 pep chromosome:Setaria_italica_v2.0:II:33147138:33147596:1 gene:SETIT_031813mg transcript:KQL24784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFKSGATYFLSHVNQRLVTSYVFQIRDKLRSLIKQNKFLCVSCGSIISYFQPHVNQ >KQL26983 pep chromosome:Setaria_italica_v2.0:II:47246372:47255582:1 gene:SETIT_028730mg transcript:KQL26983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPKASKSRLPLPARSRANANPPLTRSDPHRFDPSPQPSPAAPPPLFFSLSRSRLQIAPAIPPIRATQRPCPGRARERARAQICAVSPAMACIKSAQRAALTALAPDAPYLAAGTMSGAVDISFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAVEGDSYALGLLAGGLSDGSVAVWNPLSMISSEGKAEDAMVARLEKHTGAVCGLEFSELTPNRLASGAEQGELCIWDLKNPVEPVVYPPLKSVGSSAQAEISCLSWNPKFQHILATTSTNGMTVVWDLRNQKPLTSFSDSIRRKSSVLQWNPDMSTQLIVASDDDSSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSFLLTCSKDNRTICWDTVSGEIISELPTSDNWNFDLHWYRKIPGVIAASSFDGKIGIYNLEFSGLYAAGDAGGAPACPRAPAPKWLKCPTGASFGFGGKLVAFHPAAPTQGAQASTSEVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCEKKSQESLSDEERETWGFLRVMFEDGDVARTKLLAHLGFEPPQAPTVDSTDELSQTLADTLNLDHGTATDNADAQFLVDNGDDFFNNPQPSEASLAEESISTNGEQIEQEIPGDVVPSDPSIDKSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGSALWESTRNQYLRKSISPYLKVVSAMVGNDLMSFVSTWPLSSWKETLALLCTFARKEEWNVLCDTLASRLLSVGDTLAATLCYICAGNIDKAVEIWSRNLKSEDGGQTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIALSTEENDAARSSLSGSTGASSPYVTNHSYITQDQPQNLHQVQSYNLHSSTYSDGYPQQSNAAFAYNNPYQPQPQFQMFVPPSAPISSQLPQGSAPVQAPPQTVKTFTPANPMGLKNAEQYHQPNTLGSQLYMPAANQPYSTPPVPSLGPTASVPGTVPNQMFPHSAATNSTARFMPSTNQGFVQRPGLSPAQPSSPTQAQAQAQAQAQAQAAPPAPPPTVQTADTSKVSGELRPVIATLTRLFDETSKALGGSQATQAKKREIEDNSRKIGALFAKLNSGDISPNVSSKLIQLCSALDSSDFATAMHLQVILTTSDWDECNFWLAALKRMIKTRQNFRM >KQL26982 pep chromosome:Setaria_italica_v2.0:II:47246372:47254099:1 gene:SETIT_028730mg transcript:KQL26982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPKASKSRLPLPARSRANANPPLTRSDPHRFDPSPQPSPAAPPPLFFSLSRSRLQIAPAIPPIRATQRPCPGRARERARAQICAVSPAMACIKSAQRAALTALAPDAPYLAAGTMSGAVDISFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAVEGDSYALGLLAGGLSDGSVAVWNPLSMISSEGKAEDAMVARLEKHTGAVCGLEFSELTPNRLASGAEQGELCIWDLKNPVEPVVYPPLKSVGSSAQAEISCLSWNPKFQHILATTSTNGMTVVWDLRNQKPLTSFSDSIRRKSSVLQWNPDMSTQLIVASDDDSSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSFLLTCSKDNRTICWDTVSGEIISELPTSDNWNFDLHWYRKIPGVIAASSFDGKIGIYNLEFSGLYAAGDAGGAPACPRAPAPKWLKCPTGASFGFGGKLVAFHPAAPTQGAQASTSEVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCEKKSQESLSDEERETWGFLRVMFEDGDVARTKLLAHLGFEPPQAPTVDSTDELSQTLADTLNLDHGTATDNADAQFLVDNGDDFFNNPQPSEASLAEESISTNGEQIEQEIPGDVVPSDPSIDKSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGSALWESTRNQYLRKSISPYLKVVSAMVGNDLMSFVSTWPLSSWKETLALLCTFARKEEWNVLCDTLASRLLSVGDTLAATLCYICAGNIDKAVEIWSRNLKSEDGGQTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIALSTEENDAARSSLSGSTGASSPYVTNHSYITQDQPQNLHQVQSYNLHSSTYSDGYPQQSNAAFAYNNPYQPQPQFQMFVPPSAPISSQLPQGSAPVQAPPQTVKTFTPANPMGLKNAEQYHQPNTLGSQLYMVDIMLT >KQL26984 pep chromosome:Setaria_italica_v2.0:II:47246372:47255582:1 gene:SETIT_028730mg transcript:KQL26984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPKASKSRLPLPARSRANANPPLTRSDPHRFDPSPQPSPAAPPPLFFSLSRSRLQIAPAIPPIRATQRPCPGRARERARAQICAVSPAMACIKSAQRAALTALAPDAPYLAAGTMSGAVDISFSASANIEIFRLDFQSDSPDLPLLASAPSPDRFNRLSWSRPGAVEGDSYALGLLAGGLSDGSVAVWNPLSMISSEGKAEDAMVARLEKHTGAVCGLEFSELTPNRLASGAEQGELCIWDLKNPVEPVVYPPLKSVGSSAQAEISCLSWNPKFQHILATTSTNGMTVVWDLRNQKPLTSFSDSIRRKSSVLQWNPDMSTQLIVASDDDSSPSLRVWDVRKTISPVREFVGHSKGVIAMSWCPYDSSFLLTCSKDNRTICWDTVSGEIISELPTSDNWNFDLHWYRKIPGVIAASSFDGKIGIYNLEFSGLYAAGDAGGAPACPRAPAPKWLKCPTGASFGFGGKLVAFHPAAPTQGAQASTSEVHVHNLVIEQSLVSRSTEFEAAIQNGDKSSLRALCEKKSQESLSDEERETWGFLRVMFEDGDVARTKLLAHLGFEPPQAPTVDSTDELSQTLADTLNLDHGTATDNADAQFLVDNGDDFFNNPQPSEASLAEESISTNGEQIEQEIPGDVVPSDPSIDKSIQHALVVGDYKGAVNQCLAANRMADALVIAHAGGSALWESTRNQYLRKSISPYLKVVSAMVGNDLMSFVSTWPLSSWKETLALLCTFARKEEWNVLCDTLASRLLSVGDTLAATLCYICAGNIDKAVEIWSRNLKSEDGGQTYVDLLQDLMEKTITLALATGHKRFSASLSKLVENYAELLASQGLLKTAMEYLKLLGSDEHSHELAILRDRIALSTEENDAARSSLSGSTGASSPYVTNHSYITQDQPQNLHQQVQSYNLHSSTYSDGYPQQSNAAFAYNNPYQPQPQFQMFVPPSAPISSQLPQGSAPVQAPPQTVKTFTPANPMGLKNAEQYHQPNTLGSQLYMPAANQPYSTPPVPSLGPTASVPGTVPNQMFPHSAATNSTARFMPSTNQGFVQRPGLSPAQPSSPTQAQAQAQAQAQAQAAPPAPPPTVQTADTSKVSGELRPVIATLTRLFDETSKALGGSQATQAKKREIEDNSRKIGALFAKLNSGDISPNVSSKLIQLCSALDSSDFATAMHLQVILTTSDWDECNFWLAALKRMIKTRQNFRM >KQL25100 pep chromosome:Setaria_italica_v2.0:II:36008662:36014556:-1 gene:SETIT_032900mg transcript:KQL25100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRVCVTGGGGFIASWLVKLLLSRGYAVRATLRDPGNPKNAHLKELDKAPENLRLFKGDVLEYDTLTPAVEGCEGVFHLATPVPEDKMVDPESEVLDPAVKGTLNVLKVCSAAKVQKLVVVSSNAAVDFNPTWPQDTLKDESCWSDKEFCKENGDWYSVAKIMAEQAALEYADKNGLNVVTLCPPLVFGPLLQPVVNTSSKFLIYVIKGGPDVMNNKLWHIVDVRDVADALLLLYEKKESSGRYICSPNHIRTKDLVALLKKLYPQYNCVNNILDVDQKASLTCQKLMDLGWEPRTLEETLADSVECYEKAGALQDVPGHPCRLPHLFRLARDPKNAILTQLDGASGNLRLFKADVLDCGTLAAAFAGCEGVFHPATPKELMDPTVKGTKNVLEACSATNVQKLIVVSSVAASCFDPNWPEGKLKDESCWTDKEFCKDTQNWYSLAKTEAEEMALEYGKKNGLHVVTFCPGLVVGPLLQHVAVNTSSKVLLYIIKGGPDTLSNKFWPLVDVRDLADAMLLAYEKSESSGRYICSLDQMDIKDLVSLMKSMFPNYNYTDKMVDVDYKVYTTSDKLKNLGWQPRKLEETLADSVEYFEKAGLLQDADGKPCRLPYFYRVNAEE >KQL26552 pep chromosome:Setaria_italica_v2.0:II:45021713:45022210:-1 gene:SETIT_031584mg transcript:KQL26552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTAVSSPALLLVALLLSCAIMMSSAAPRLEEEAPNKEEPELPPHLPVPELLVPEHELPPFPEVHLPPFPEVDLPPKPELPEVKLPPKPETPGVPEFHFPEPDAKP >KQL22921 pep chromosome:Setaria_italica_v2.0:II:6376370:6377926:1 gene:SETIT_029638mg transcript:KQL22921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKDEASPLHVVAFPWLAFGHMFPYLELSKRLARRGHAVTFVSTPRNAARLGAVPPELSARLRVVALDLPEVEGLPDGAESTADVPPEKVALLKKAFDGLTAPFAELVAEACAAAAGGEGAAFSRKPDWIVLDFAQNWVLPIAEEYEIQCAIFVVVSGAFLAFTGPQNENEAHPRTTTEDYMVPPPWIPSPSTMAYRRHEAEWIAAGFRPNAAGVSDMDRFWEMERRPCCRLIFLRSCPEAEPPLFPLLTDLFAKPVVPAGLLLPDAAAADEADGACLTSDDEPTSAAMRWLDAQPQRSVIYVALGSEAPASAGHVRELALGLELSGARFLWALRLPAGGHRSGDPLLPDGFERRVAGRGVVCTGWVPQARVLAHAAVGAFLTHCGWGSTAEGLFRCGLPLVMLPFVLDQGLNARAAAARGLGVEVERDGDGGWFRGEDVAAAVRRVMVEEEGEALARNAREVQKVVGDRGRQEGYVDELVEHLQRCK >KQL25572 pep chromosome:Setaria_italica_v2.0:II:39102145:39103422:-1 gene:SETIT_031352mg transcript:KQL25572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDERGRVEVWRAGLLEAALENWLADAPPRVAHNCEAPFPHGEVKDASSRDGSLAWAARAPCGRQRRRRRSAMASAPHSPTPPRRRRRVPGPGAKRDGGDDDGRGRVQVAGARAAAAGRRRGCRGPAPPLLSASKWTTKLMNFLWIVYVARVVWSLDKS >KQL25349 pep chromosome:Setaria_italica_v2.0:II:37522195:37526265:1 gene:SETIT_029382mg transcript:KQL25349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDVSVIHVEDSKGNRPLHVATRKGNTIMVQTLISVEGIEINAVNRAGETAFAIAEKQGNEELINILKEVGGVTAKEQVNPPNPAKQLKQTVSDIRHDVQSQIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGNFLESMPPQPDPNLTLGQALIASDPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVINKLMWLACLCISAAFIALTYVVVGRDDEWLAWCTMAIGTVIMVATLGSMCYCIVTHRMEEKSMRKIRRTSTSQSWSISIDSETELMNSEYKKMYAL >KQL25350 pep chromosome:Setaria_italica_v2.0:II:37522195:37526265:1 gene:SETIT_029382mg transcript:KQL25350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQSSTRHGPLEKLKSFRGIEKQRSFKFLSMEKQQSFKRNKDSPGKRGDTELHLAARAGNVAHVQKILAECDPELVAELAARPNQDGETALYVSAEKGHIEVVCEILKACDVQSAGIKASNSFDAFHIAAKQGHLDVLKELLQAFPALAMTTNSVNATALDTAATQGHIDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVTALLNKDPGIGFRTDKKGQTALHMASKGQNAEILLELLKPDVSVIHVEDSKGNRPLHVATRKGNTIMVQTLISVEGIEINAVNRAGETAFAIAEKQGNEELINILKEVGGVTAKEQVNPPNPAKQLKQTVSDIRHDVQSQIKQTRQTKMQFQKIKKRIQKLHIGGLNNAINSNTVVAVLIATVAFAAIFQLPGNFLESMPPQPDPNLTLGQALIASDPAFIIFLVFDALALFISLAVVVVQTSLIVVEQKAKKKMVFVINKLMWLACLCISAAFIALTYVVVGRDDEWLAWCTMAIGTVIMVATLGSMCYCIVTHRMEEKSMRKIRRTSTSQSWSISIDSETELMNSEYKKMYAL >KQL25504 pep chromosome:Setaria_italica_v2.0:II:38725163:38726752:1 gene:SETIT_030718mg transcript:KQL25504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEDVSIETTEAAGPQKVPYWDPPPAPLLETSELMKWSLYRALIAEFVATLIFLYVSIATVIGYKDQSKALACNGVGFLGVAWSFGATIFILVYCIGGISGGHINPAVTFGLFVGRKLSLLRTVLYIVAQCLGAICGVAIVKGITGDQYSLLGGGANSVADGFSVVAGLGAEIMGTFVLVYTVFSATDPKRTARDSFIPVLVPLPIGFAVFVVHLATIPITGTGINPARSLGAAVIFGEAWKNHWIFWVGPLIGATAAALYHKLVLRGEAAKALGSFRSTSATV >KQL23067 pep chromosome:Setaria_italica_v2.0:II:8169398:8171368:-1 gene:SETIT_031140mg transcript:KQL23067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPAALVAVVSPQFCAPYVVPLTVTQKAISLSDGDFTVTDANGAVVLLVKGALFSVHRRRVLLDAAGQPILSMQQKVFSMHKRWDVFRGDSAKASDLLFTVKKSSIIQLKTELDVFLASNTAEQACDFKIKGSYFERSCAFYLGNSNTMIAQMNRQYTVSNVLLGKDTFGVTVFPHVDYVFVAALVVILDEIHRERSD >KQL25773 pep chromosome:Setaria_italica_v2.0:II:40438551:40442182:-1 gene:SETIT_030111mg transcript:KQL25773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLRSSFCSPLVASSSSFLLLVAVIGSCSTVSASTSSNLQATKYNAMFSFGDSVAETGNICVVSSRNATELDVLTCTHPPYGTTYFGRPSCRWSDGRVVVDFIAQSLGLPLLPPSKSKGKDFHRGANMAITGGTAMNFSFYQSLGIEDPVWNHGSLYMQIQWFMELIPSLCGTKQNCKEFLAKSMFQFGGFGGNDYNIQLLELGFTPEQAMKNTPMIINATINGIERLIALGAVHIVVPGILPTGCLPLFLTFFASSSSESDFDQYGCLKSYNRLTEYHNSMLRKQVQILQGKYRSTRIMYADYYSQVYKMVQQPRKFGFSNPFETCCGAGGGKYNFDVGARCGMPGATTACRDPSARLIWDGVHPTEAANKMIADAWLNGPYCTPPILS >KQL25772 pep chromosome:Setaria_italica_v2.0:II:40438551:40440042:-1 gene:SETIT_030111mg transcript:KQL25772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQSLGLPLLPPSKSKGKDFHRGANMAITGGTAMNFSFYQSLGIEDPVWNHGSLYMQIQWFMELIPSLCGTKQNCKEFLAKSMFQFGGFGGNDYNIQLLELGFTPEQAMKNTPMIINATINGIERLIALGAVHIVVPGILPTGCLPLFLTFFASSSSESDFDQYGCLKSYNRLTEYHNSMLRKQVQILQGKYRSTRIMYADYYSQVYKMVQQPRKFGFSNPFETCCGAGGGKYNFDVGARCGMPGATTACRDPSARLIWDGVHPTEAANKMIADAWLNGPYCTPPILS >KQL25928 pep chromosome:Setaria_italica_v2.0:II:41316187:41320406:-1 gene:SETIT_029485mg transcript:KQL25928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTVVESPGCPPLRALTTDILGLVKVVEAHAKPAGAAKIVETWGAPDASRAIVAASLADRAADPVLAVARKNGVVELLNPLNGNALAAVKTVGPAPTDGGAEGDPLVALHLFTRQSSDSMLGTFIACTDKGKASMRSITKENAASGSGAGPSTTWDVCSGGNVQFCSVDHGESYAMFGGKGIEMNLWDITSCSKMWSAKSPRANSLGIFTRPWFTAGTFLCKEDHRKIVACTNDHQVRLYDTALQRRPAISVDFRESPIKAVAADPNGHDVYIGTGTGDLASFDMRTGKLLGCYIGKCSGSIRSIVRHPELPLIASCGLDSYLRIWDTNTRQLLSAVFLKQHLTTVVIDSHFSVEEPEETKSKQPESLVEAEAEVRKEKKKKKSKTVEEDKAEAEFRKEKKKKKSKSIDEDETEAEAEFWKEKKKKKSKTIEEDETEAEAVVRKEKKKKKSKTVEEDEEQVGVVDHYDSDGEMHVRKEKKKKKSRTIEEDEERDSDDQMCTPKRRKSGERSKSLKKSKKQHIA >KQL26452 pep chromosome:Setaria_italica_v2.0:II:44449824:44453026:1 gene:SETIT_030440mg transcript:KQL26452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAALRPTEPLPLPSGLSLTPRLKLILTFFRADLTVRPLDEWQLKSALLTFLRDPPLSLPLLPDSDLSVRRLPNLQKRRREEPVASGALHVRDLSLLRPRKGDSEAEEMTPEQEEEKYFEWRSSLVEKLEGIELNLEGVKFRMTIEIPPSEDFRTMKKSWEDFYSSELLNSRNPVRKIARRPDTIIVRGVPSRWFAETRVSSKPSTLVTHTIFSALGKIRTLNIANDDELEAKEDGANKELISGLNCKVWVQFESYDDFHDAMKALCGRSLEKEGSRLRVDYDVTWDREGFFRIAQYEPARSNVGEKDASASVHGRKKHYTSRIESDHRKRFRD >KQL26453 pep chromosome:Setaria_italica_v2.0:II:44449824:44453026:1 gene:SETIT_030440mg transcript:KQL26453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAALRPTEPLPLPSGLSLTPRLKLILTFFRADLTVRPLDEWQLKSALLTFLRDPPLSLPLLPDSDLSVRRLPNLQKRRREEPVASGALHVRDLSLLRPRKGDSEAEEMTPEQEEEKYFEWRSSLVEKLEGIELNLEGVKFRMTIEIPPSEDFRTMKKSWEDFYSSELLNSRNPVRKIARRPDTIIVRGVPSRWFAETRVSSKPSTLVTHTIFSALGKIRTLNIANDDELEAKEDGANKELISGLNCKVWVQFESYDDFHDAMKALCGRSLEKDRG >KQL22897 pep chromosome:Setaria_italica_v2.0:II:6194637:6195759:1 gene:SETIT_032466mg transcript:KQL22897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPARPPPALPDDVVEEVLARFPPDDPALLVRTALVCKDWCRLLSGPGFRRRFRRFHRKPPLLFYIYHCATLIYYKPTSSFRPLHPASPDWNILNARHGRFLVLNVTSPAPSMEAEFIVWDPTTDEQHRLPMPPFEYTSWNAALLCAAAGCDHSDCPWGPFLVVFVFARSSEEGMMSACVYSSELARSLEGADLRSPRRNAIYFGCHIKTGVLEYDLGKQELSMVGLPPLTYWTHFVLMTAEDGGLGFASVQGSKLCLWSRVAGGPGGSATWVQQRAIELDNVLPVRDPSMSPNVYTVAVAGELGVVFIWADGELFTIDLRSGQIEKVGNGISGSGFVPYTNFYTPGTETSHHPFYP >KQL24957 pep chromosome:Setaria_italica_v2.0:II:34959002:34961222:1 gene:SETIT_033290mg transcript:KQL24957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQARKKQCITLLPEDMIELILVWLPANTLTRHRIVCKQWNRIIQDPQFIMAHLQRAPHRPLLFYNRASISNKLYPSEAILFDEAWSPSRWNVPVIEPDDFLCASCNGLVLLYSDKSTIKIANLATGKCLHIAKPGKAHGRGVTSAVTKEYKVIHILCEKVHAYGDKFDIIQVYTLGEDKWRDIRVPEVQSLYDMERSGVVIVDGAMYWLNGKKENKWGRAVVSFDLSEERFEWIQLPNNADLENSPRGQECYNVSRGLVGKLQIWTLDNKIDQSWSQKYIIQLSSVNIPRLYFIHGGKIVVYDHGRNLYYHELIGQNVRIEQRKMMKLLNYGPRWRRSMQSYKHVESLNQLNWVEQKRVMGMLNANMERLAVPAV >KQL22834 pep chromosome:Setaria_italica_v2.0:II:5691117:5691818:-1 gene:SETIT_032337mg transcript:KQL22834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSVPGAGGHPDGAPAGDTILAVHDDGAGHYDTLDFAAPPVSREDAAAGHGREVRYRGWKTMPFVIGNETFEKLGSIGTAANLMVYLTSVFHMTNVRAAVALNAFSGTTNLATVFGAFASDLYLGRYATVCIGCVATLIGMIILTLTAGVPALHPPPCSSGGEQCAGATRGQFAMLVLSFLFIVVGAGGIRPCSLPFRRGP >KQL22211 pep chromosome:Setaria_italica_v2.0:II:577608:579706:-1 gene:SETIT_030013mg transcript:KQL22211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSPQPPELMEELVEEILLRFPPHEPALLVRAALVCKHWCRLISGPGFRRRFRELHRTPPMLGFHYRGISDDGRNVGRFVPTAAFRSPRAESRSWRALGARHGRNVGRFVPTAAFRSPRAAESRSWRALDARHGRVLLRCPGEPFGTDIVLAVWDPITDEKRKLPLLPRNRSRWGAAILCSAAGACDHLDCHRGPFLLVFVGSNLGGTCICTYSSDAAAWSQAISTPGTGDCVDPLMRSALIGNALYFGLVKKTEALRYDLESRQMSWVQLPPTYHNFELRVLTTTEDDGLGLVTAHSNKIYMWSRKAGPPNTGWTQDRVIELERLLPIDAVLASPDVVGFAEGIGVIFVTANNVLFTIDLKTCKVKKVCEGRGIRGVVPYMSFYTPALGAACTDEGPSAGGSSA >KQL25495 pep chromosome:Setaria_italica_v2.0:II:38594444:38597601:-1 gene:SETIT_029865mg transcript:KQL25495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASSLLAAAVSSSCAAISSRLPRGAPAAASVPSPSRQSCSSLRASLARRQQSRFVASVAPTMQPPAESRVSTVVDVDLGDRSYPIYIGAGLLDEPDLLQRHVHGKRVLVVTNTTVAPLYLEKVSWALTHNNPNVSVESVILPDGEKYKDMDTMMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAAAFLRGVNFIQIPTTLMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGIAEVVKYGLIRDAPFFEWQEQNMSALLAREPSALVYAIKRSCENKAEVVAQDEKESGLRATLNLGHTFGHAIETGTGYGAWLHGEAVAAGTVMAADMSHRLGWIDESIKKRTVDILAQAKLPITPPETMTVEKFKSIMAVDKKVADGLLRLILLKGPLGSCVFTGEYDRKALDETLHAFCNN >KQL26103 pep chromosome:Setaria_italica_v2.0:II:42382697:42383126:-1 gene:SETIT_033787mg transcript:KQL26103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHFWSSKLRMVVFSVERKAENSPLLIALRMKSLSQVHNHD >KQL25286 pep chromosome:Setaria_italica_v2.0:II:37106222:37109617:1 gene:SETIT_030529mg transcript:KQL25286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDESKNTKPEKPSAAAGAGLPNPFDFSSMSSLLNDPSIREMAEQIASDPMFNQMAEQLQKSAQGAGEQGIPALDPQQYMETMQQVMQNPQFVSMAERLGNALMQDPAMSSMLENLSSPAHKEQLEERMARIKEDPSLKPILDEIENGGPSAMVKYWNDPEVLQKIGQAMGVNLPGDSSASTVLSGPEETEEEGGYDDESIVHHTASVGDEEGLKKALDGGADKDEEDAEGRRALHFACGYGELKCAEILLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTVQNLDGKTPIEVARLNNQDEVLKLLEKDAFL >KQL25285 pep chromosome:Setaria_italica_v2.0:II:37106222:37108680:1 gene:SETIT_030529mg transcript:KQL25285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDESKNTKPEKPSAAAGAGLPNPFDFSSMSSLLNDPSIREMAEQIASDPMFNQMAEQLQKSAQGAGEQGIPALDPQQYMETMQQVMQNPQFVSMAERLGNALMQDPAMSSMLENLSSPAHKEQLEERMARIKEDPSLKPILDEIENGGPSAMVKYWNDPEVLQKIGQAMGVNLPGDSSASTVLSGPEETEEEGGYDDESIVHHTASVGDEEGLKKALDGGADKDEEDAEGRRALHFACGYGEVSESV >KQL25358 pep chromosome:Setaria_italica_v2.0:II:37565613:37568740:1 gene:SETIT_031514mg transcript:KQL25358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNTCFCTSSGSEPYIIGRSGGAAASPHPQRSLPPFPARKPPPSWRERDGRGGREMAKSCKGLAMELVKCLSETDCVKVQKRPYKECAGEKVPNITSECVGLRETYFNCKRGQVDMRARIRGNKGY >KQL26727 pep chromosome:Setaria_italica_v2.0:II:45989221:45992686:-1 gene:SETIT_030518mg transcript:KQL26727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPAAGSRGRCVLSFAAARDRCFSRRFLRAGLRPLAVPLPAGPDPTTTVHMWVPSRPPRNPLLLLHGFGASATWQWAPYLRPLIAAGFDPIVPDLVFFGASCTSLPDRSDAFQASTIKAAMDAIGVARFGLVGVSYGGFVGYRMAAMFPEAVERVAMICAGVCLEEKDLAEGLFPVAGVGEAAALLVPRRPEEVRRLVRLTFVRPPLIMPSCFLWDYIKVMASAHIQEKAELLYALISGRQLSTLPKLTQPTLIIWGEQDQVFPMELAHRLNRHLEGNSRLVVIKNAGHAVNLEKPKEVCKNIIEFFKEPVAEAANGVDKV >KQL22364 pep chromosome:Setaria_italica_v2.0:II:2041451:2043475:-1 gene:SETIT_032757mg transcript:KQL22364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKKLLPFVCFLLVGLNLVALTAGDDHQLMYSGFAGSNLILDGAATVTASGLLELTNGTLRLKGHAIYPTPLRFRDASNGGATTRSFSTSFVFGILSAYPDVSANGIAFFVAASKDIFSGAMAAQYLGLLNGTNNGNATNRVFAVELDTMQNNEFGDISDNHVGIDINSLISANSTNAGYYGDGDGEFHSLTLISHEAMQAWVDYNSETKKINVTLAPLKMGKPARPLLSATHDLSTVIPDMAYIGFSSSTGLVNSRHYVLGWSFAMDGPAPDIDIAKLPKLPREFPKPRSKVMEIILPIVTAAVVLFVGTVLVLLRRRQLRYTELREDWEVDFGPHRFSYKDLFRATEGFKNKNLLGVGGFGKVYKGVLSVSKCEIAVKRVSHNSKQGMKEFVAEIVSIGRMQHPNLVQLLGYCRRKGELLLVYEYMSNGSLDKFLYCQGEKATLNWIQRLGIIKGIASGLIYLHEEWEKVVVHRDIKASNVLLDSRYLAPELGRTSKATTSTDIFAFGVFVLEVICGQKPIMQDSEDNQLMLVDWVVEHWNRTSLTDTVDAKLQGDYNVDEACIALKVGLLCSHPFPEARPSMRQVLQYLNGELAVPELVPAHLSFQMLTLMQNEGFDSYILSYPSSVESMQSISSLVKER >KQL22631 pep chromosome:Setaria_italica_v2.0:II:4080809:4085169:1 gene:SETIT_028949mg transcript:KQL22631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASVLINYYYYYYYYYCTSASLLLNGWIFSLSYIQGISPFSICVSVKPGTRRNLAPCWDSPVFSFINNLSPIEPLKSAYNANSLQGYQSINITSISSIFTSPHDNAHKEPRLPKSSLGEISESEVCADGKNTNKPTKSTNAVRLFACTSTVTQETHTVTCSDVVDPPTVPCNLAQPAQFDNGSPDHNTTPCHGVRSDLKQDKCRKLDVVQTVKSTVEKRKCLFSTEIQLLDGGQPVNDNNEVLGCEWSDLIATTSGELLAFDSTMDDHHRGMHLAAKNAESCGYLLSKLAGDGEISERAYPNASGQVYYQELVMGEDQTENAQIFQDGQQTISTEEIQDNIYEANGCIPLDYKVESQQQRGVRRRCLVFEAAGFSNSVVQKESVEDLSVSTCKGKGHVQTQPRGLRGIGLHLNALALTPKGKMACQDPMASALLPSSASEKDAHGKLLCAGENFTHSGGELLEFPMDDCSSGGFPLNDHVSSQSVSPQKKRRKTDNGDDGEACKRCSCKKSKCLKLYCECFAAGVYCSEPCSCQGCLNKPIHEEIVLSTRKQIEFRNPLAFAPKVIRMSDASLETGEDPNNTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSSNCRCESCKNTFGRRDAETELTEEMKQEGEQTENSGKEKENDQQKANVQSEDHPLVELVPITPPFDLSSSLLKLPNFSSAKPPRPSKARSGSSRSSASKATTTLQSCKSSKAAGSGIDEEMPDILKEADSPSRVKTTSPNRKRVSPPHNALSISPNRKGGRKLILKSIPSFPSLTGDSNSGSAMNNTDSTFSASPLALGPS >KQL24799 pep chromosome:Setaria_italica_v2.0:II:33321546:33323726:1 gene:SETIT_033317mg transcript:KQL24799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVSVERVPPVSVVPKSVNVEGGLTDSEPPPSLDFPESAPVFHIRHLSEDLSALTINDLRLNNGEQNCNEQIEGKGISSHGHIRHFGADLSSFAINEENDHKLLEGKAESRPNSSERNIYKAAEIAERFIQSIDNRVLVDTGAPIESVKEAVSKFGGILDWKERRKNVQNALDKALEDTPDYQRKAEAAEVEKSQVLMELCDTRRTVEGLKINLEKAQTEAIQAQQDSELANIRFKEIQQGIACRESAAAKAEIELAKYRHANALAELQSVKDELEQLQKEHTSLKTKRDNAETKACESSVASQKVEKTVDDLTLELIALKQSLTSSQATHIIAEEQKLNVALSYQQERAKWQDELKQVDEEIRKIRDAASVNKDLKSKLEDDSALLLKLQDEFSTYLKWDEWPQEVSLDGEAWKSMVSTRMKLAKTRKELEDMRTDIKKAKDKVRILWNAAATLRADIERKEADLGALRHKEHLASVSVSSLQEELSNKTSELNIVHERTKAAEMPAELHQATKVMEQANSKAQMARHEVAKAREEADKAKAQANVVKLRLEAVSREIVAVNTSEEIATASANALQDYKHETQIDPQVDRISDNYMTLSLEKYDALSKKAQDAEDLAKKRVIKAVEKIKQAKDAEVRSLNKLEQLSKQINGRKLELRAAQEKANSAQYGKLTMENELRKRRANHEQQRNA >KQL27157 pep chromosome:Setaria_italica_v2.0:II:48138424:48146109:1 gene:SETIT_028932mg transcript:KQL27157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTSELLRPVDPAHALDEAALLRFAAANVPGFPGPAPALALTQFGHGQSNPTYCIQASAPGGGRTTRYVLRKKPPGAILQSAHAVEREYQVLKALGAHTDVPVPKVYCLCTDASVIGTPFYIMEYLEGIIYPDNALPGVTPSKRRAIYLSTAKTLAAIHKVDVNAIGLQKYGRRDNYCKRQVERWEKQYLASTGEGKPARYQRMLNLARWLKEHVPQEDSSAGSGTGLVHGDYRADNLVFHPTEDRVIGVIDWELSTLGNQMCDVAYSCLPYIIDATPGERTSYGGFQHAGIPDGVPQLEEYLSVYCSFSARTWPAANWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERAKFAGRIANTMVDCAWDFINRKNVLQEQPSRGFQVSEAPWQEFGREQEGSTSTKDQGKFVPSEKVMQLRKKLMKFIEDHIYPMEGEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNMFIPLDSAARARKLLFEDRSLVSPGSSNDLLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTDFSAPKHKQQSMILVDIDTPGVHVKRPLLVFGFDDAPHGHAEITFENVRVPVKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMDLMVERALNRTAFGKRIAQHGSFLSDLAKCRVDLEQARLLVLEAADQLDRHGNKKARGILAMAKVNHSSPPPFSFQPL >KQL27154 pep chromosome:Setaria_italica_v2.0:II:48138424:48143902:1 gene:SETIT_028932mg transcript:KQL27154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTSELLRPVDPAHALDEAALLRFAAANVPGFPGPAPALALTQFGHGQSNPTYCIQASAPGGGRTTRYVLRKKPPGAILQSAHAVEREYQVLKALGAHTDVPVPKVYCLCTDASVIGTPFYIMEYLEGIIYPDNALPGVTPSKRRAIYLSTAKTLAAIHKVDVNAIGLQKYGRRDNYCKRQVERWEKQYLASTGEGKPARYQRMLNLARWLKEHVPQEDSSAGSGTGLVHGDYRADNLVFHPTEDRVIGVIDWELSTLGNQMCDVAYSCLPYIIDATPGERTSYGGFQHAGIPDGVPQLEEYLSVYCSFSARTWPAANWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERAKFAGRIANTMVDCAWDFINRKNVLQEQPSRGFQVSEAPWQEFGREQEGSTSTKDQGKFVPSEKVMQLRKKLMKFIEDHIYPMEGEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNMFIPLDSAARARKLLFEDRSLVSPGSSNDLLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISR >KQL27158 pep chromosome:Setaria_italica_v2.0:II:48138441:48144610:1 gene:SETIT_028932mg transcript:KQL27158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTSELLRPVDPAHALDEAALLRFAAANVPGFPGPAPALALTQFGHGQSNPTYCIQASAPGGGRTTRYVLRKKPPGAILQSAHAVEREYQVLKALGAHTDVPVPKVYCLCTDASVIGTPFYIMEYLEGIIYPDNALPGVTPSKRRAIYLSTAKTLAAIHKVDVNAIGLQKYGRRDNYCKRQVERWEKQYLASTGEGKPARYQRMLNLARWLKEHVPQEDSSAGSGTGLVHGDYRADNLVFHPTEDRVIGVIDWELSTLGNQMCDVAYSCLPYIIDATPGERTSYGGFQHAGIPDGVPQLEEYLSVYCSFSARTWPAANWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERAKFAGRIANTMVDCAWDFINRKNVLQEQPSRGFQVSEAPWQEFGREQEGSTSTKDQGKFVPSEKVMQLRKKLMKFIEDHIYPMEGEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNMFIPLDSAARARKLLFEDRSLVSPGSSNDLLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTDFSAPKHKQQSMILVDIDTPGVHVKRPLLVFGFDDAPHGHAEITFENVRVPVKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMDLMVERALNRTAFGKRIAQHGSFLSDLAKVRPLFYCLHGSHLKF >KQL27156 pep chromosome:Setaria_italica_v2.0:II:48138424:48146109:1 gene:SETIT_028932mg transcript:KQL27156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTSELLRPVDPAHALDEAALLRFAAANVPGFPGPAPALALTQFGHGQSNPTYCIQASAPGGGRTTRYVLRKKPPGAILQSAHAVEREYQVLKALGAHTDVPVPKVYCLCTDASVIGTPFYIMEYLEGIIYPDNALPGVTPSKRRAIYLSTAKTLAAIHKVDVNAIGLQKYGRRDNYCKRQVERWEKQYLASTGEGKPARYQRMLNLARWLKEHVPQEDSSAGSGTGLVHGDYRADNLVFHPTEDRVIGVIDWELSTLGNQMCDVAYSCLPYIIDATPGERTSYGGFQHAGIPDGVPQLEEYLSVYCSFSARTWPAANWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERAKFAGRIANTMVDCAWDFINRKNVLQEQPSRGFQVSEAPWQEFGREQEGSTSTKDQGKFVPSEKVMQLRKKLMKFIEDHIYPMEGEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNMFIPLDSAARARKLLFEDRSLVSPGSSNDLLLGAGLTNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGTKEQQKQWLVPLLEGKIRSGFAMTEPQVASSDATNIECSISRQGDFYVINGRKWWTSGAMDPRCKILILMGKTDFSAPKHKQQSMILVDIDTPGVHVKRPLLVFGFDDAPHGHAEITFENVRVPVKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMDLMVERALNRTAFGKRIAQHGSFLSDLAKCRVDLEQARLLVLEAADQLDRHGNKKARGILAMAKVAAPNMALKVLDMAMQVHGAAGLSSDTVLSHLWATARTLRIADGPDEVHLGTIAKLELQRARL >KQL27155 pep chromosome:Setaria_italica_v2.0:II:48138424:48146109:1 gene:SETIT_028932mg transcript:KQL27155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTSELLRPVDPAHALDEAALLRFAAANVPGFPGPAPALALTQFGHGQSNPTYCIQASAPGGGRTTRYVLRKKPPGAILQSAHAVEREYQVLKALGAHTDVPVPKVYCLCTDASVIGTPFYIMEYLEGIIYPDNALPGVTPSKRRAIYLSTAKTLAAIHKVDVNAIGLQKYGRRDNYCKRQVERWEKQYLASTGEGKPARYQRMLNLARWLKEHVPQEDSSAGSGTGLVHGDYRADNLVFHPTEDRVIGVIDWELSTLGNQMCDVAYSCLPYIIDATPGERTSYGGFQHAGIPDGVPQLEEYLSVYCSFSARTWPAANWKFYVAFSLFRGASIYAGVYHRWTMGNASGGERAKFAGRIANTMVDCAWDFINRKNVLQEQPSRGFQVSEAPWQEFGREQEGSTSTKDQGKFVPSEKVMQLRKKLMKFIEDHIYPMEGEFYKHAQSTSRWTIHPEEENLKALAKKEGLWNMFIPTVQLELESYYLRTALSFPLEVQMTFCWVRVSLILSMGICVRLWDVQFGLLKYLTVVHLIQVTWRSC >KQL23100 pep chromosome:Setaria_italica_v2.0:II:8381336:8384569:1 gene:SETIT_029735mg transcript:KQL23100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASASAASSGGGRPRRVLMFPLPFQGHLNPMLQLAGALHARGGGLVGITVFHAAFNAPNPARHPPGYRFVPVGEGVPSADLIPSGSDADFAGALGRINDRLREPFRDLLRQALADAEDDEAAACLVVDSNLRGIQLVAEELGVPTLVLRTGGAACLVAYMAFPALCDKGLLPPASQDKVQLDMPLDELTPLRLRDMVFSRTTTHANMRRCLQDLLDAGSSSSGIILNTFQDLENSDVQKISNGLGVPLYTIGPLHKISSGTEGSLLAQDQTCLKWLDKQEADSVLYVSFGSLASMDEKEMLETAWGLANSQMPFLWVIRHNMVKSSHQMSIPEGFEEATRGRGMVVTWAPQQEVLGHHAIGGFWTHNGWNSTLESICEGVPMICRPQFADQMINMRYVQEVWKIGFEIEGELERGEIEMAIKKLLCTEEGRQMRLRAKDLQDKAVKCIEEEGSSKSAMESLLKRIMSF >KQL25503 pep chromosome:Setaria_italica_v2.0:II:38683464:38684812:1 gene:SETIT_031077mg transcript:KQL25503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMDLEDDEDIWGKTASSPSASPTPLTTAVVAPCGAFISTQLSLNSRLQLLSTTAAAGGSSPPHSVGAGIFAADGLRHHVGLGDGGGGGFRNAPASPAPFFSAYGLDAGGGVAPIDAGAARSALEDEMCVGPGTAWAGAGVGGSDRRKKRMIKNRESAARSRARKQAYVRELEREVQLLQQENESLRVKYEQLRVSVEVPVPVKKTLQRMPSAPF >KQL26034 pep chromosome:Setaria_italica_v2.0:II:41939128:41947611:-1 gene:SETIT_028703mg transcript:KQL26034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGLGWKRSSEIFHLTLDYGDYADTDERQPPSPPPPASSSPSSSASSTPTCSSSPTAATRNGDLGFRIDLDWSAGDDEDQVALRLQSQLMVALPPPHDAVSVDLTPMDGGEEGVRIEMRVVRRREALRSVRVSRAPGSALGSGDGGGVLARLIRSNLAPAPAVDGPTAAAGVPVLADHWRPVAVLSLCNCGLSVLPVELTRLPLLEKLYLDNNKLSVLPPEVGALKNMKVMSVNNNMLVSVPVELRQCVMLEELSLEHNKLVRPLLDFRSMPKLRILRLFGNPLEFLPEILPLHNLRHLTLANIRIEAVESLKSVTVQIETENSSYFIATRHKLSAFFSLVFRFSSCHHPLLASALAKIMEDRSNHVAISKEENAVRQLISMISSDNRHVVHTTYPTYLSVEQACLAISSLASDITSAMQLIKCDIMKPIEAVLKSSDEEELVSVLQVVQRLSLFAVGNLAFCLETRRTLMHSESLRDLLIRLTLSQERRVGKAAARALAILGENENLRRAIRGRPVAKKGLRILSMDGGGMKGLATVQMLKQIEQGTGKRIHEMFDLICGTSTGGMLAMALGIKQMTLDQCEEIYTKLGKLVFAEPIPKDEAATWKEKIDQLFKSSSQSFRVVVHGSKHSADQFERLLKEMCADEDGDLLIESAVKGIPKVFAVSTLVSVMPAQPYIFRNYQYPPGTLEVSPGMAESPSIGAIGTAVSGAPVGIKRGAFMGSCKHRVWEAIRASSAAPYYLDDFAVDANRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKSRRGGWRYLDTGQVLIESACSVERVEETLDTLIPMLPEMQYFRFNPVDERCGMELDETDPAIWLKLEAATEEYIQKNFLAFKNLCELLVPRYPEEEKSSDIYKSLSFSRLTSLNQGFSESNPTLGWRRVVLLVEASFSPDFGKKINHARSLESFCSHNGIRLALMNSASGFGKPATALPTPITSPLFTGSFPSSPLLYSPEGTQRIGRIDLVPPLSLDGHPTSKSSPPTSPLKSRQPSAHVRSLYDKLQNMPQVGVIHLALQNDSTGSVLSWQNDVFVVAEPGELADRFLQSVKTSLSNLLRGRNRKGAYSLSKISCLSEFVAEWPSFEIGGIHHRYIGRQTQVMEDNQEIGAYMFRRTVPAVHMAPEDVRWMVGAWRERIIVCSGKYGLTHGLVKAFMDSGAKAVISSSIEPPDSQTIAYYGMDVNGSLENGKFVIGDEEADESEPEPVSPISDWEDSDAEKSGNHDMDDEEYLAQFMCLLYDKLFREGVTVDTALQQAIRSHPKLKYSCHLPNVL >KQL26271 pep chromosome:Setaria_italica_v2.0:II:43437916:43438074:-1 gene:SETIT_032572mg transcript:KQL26271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPVYPKGVSVLMPGQDVPTFIAHPAPAPCPPERIQWPSHQPPPFAGSSSNPS >KQL22209 pep chromosome:Setaria_italica_v2.0:II:567865:569363:1 gene:SETIT_032109mg transcript:KQL22209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLVASILGELASRSINFIINKCSKPPALEMEDSLQRALLRAQVIVDEAMGQHIANQAMLHQLNMLRNAMHKGHYMLDTFRYQPHDEEDAKDQPVSHSSSLSKVNSRKGFCFSGRGAQILEGMRVALDNLRSVILDANELALFLTSYPRQYRQPYSMHLLLGNGMFARQMEAELVINFLLHTQPHGAEELEVLPTVGPGPSCRVGKSTLVGHVCKDERVRDHFSGIVFLSDHDLTDDELAAFREGCAMKQQNRISDSSKDGLGRLLLVVEVVRDLNEDSWNRVYSASKRWMPRGSKIIITSWSDKVTKLGTTRALTLKHLSHEAYWYFFKTLTFGSTDPTVHPRLANLAMEIARMLNGTLIGANITAHMLRDNFDIHFWLKVVAFMRGHIQKHVSKFGEHPYDFLNQNRPHILGECYQCSSQEKVPKITLHDMMYGNAKLPRRFEALAWKSRIPPYYNYIYACEIKELKATATKRKRPVKNRSTIS >KQL27112 pep chromosome:Setaria_italica_v2.0:II:47864281:47866757:-1 gene:SETIT_031399mg transcript:KQL27112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESTAPPPPSLPPPATAAALRHPRLSGFEQLDARVKELTSSQAELLERIQKLKQEVQNWRSNVETQVRTCQNELQGLKKGLDSEVEQLKLEMKEIRSAIQKEKGNLPTQITTLEMSNNDTEQALQTQDKALKVDTDASMEEQTATQA >KQL26495 pep chromosome:Setaria_italica_v2.0:II:44749471:44749804:1 gene:SETIT_033454mg transcript:KQL26495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGRRGEAVPWKGAAWGGTARRSRRAAQHGRTNLLSSPCKTPTPSARARASCSLNLQ >KQL25209 pep chromosome:Setaria_italica_v2.0:II:36651436:36654398:-1 gene:SETIT_031055mg transcript:KQL25209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGDWVYENNGGTCVAIAGADYCVVAADTRLSVGYSILTRDHSKICDLADKCVLASSGFQGDIKALHKNLAARELLYQHQHNKRMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSEGKGCVFTYDAVGSYERTGYSAQGTGSSLIMPVLDNQLKSPSPLLLPARDAVTPLSESEAIDLVKDVFASATERDIYTGDKLEIVVINKAGTKREYIELRKD >KQL25902 pep chromosome:Setaria_italica_v2.0:II:41172942:41178467:-1 gene:SETIT_029205mg transcript:KQL25902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRSPAVLLQLRLWLLAVSALPAFLGVLAADLSKEPFTIRISCGSFDDVRTSPTDTLWYRDFGYTGGRFANATRPSYIIPPLKTLRYFPLSDGPENCYNINNVPNGHYQVRLFFALLDNPNLDSEPIFDVSVEGTLFSSLLLGWSSDDEKTFAEALVFVQDSSLSVCFHSTGHGDPSILSIEVLQIDDNGYNFGPSWGKGTVLRTAKRLRCGSGKSAFDEDLHGIHWGGDRFWLGLQTLSSSSDDQSISTENVIAETLIAPNFYPRSLYQSAIVGTDRQPSLSFEMDVTPNKNYSVWLHFAEIDNGVTAEEQRVFDILINGDTAFKDVDIVRMTGERFTALVLNKTVAVSGTTLKIILQPVKGTRAIINAIEVFEIIAAEKKTLPQEVSTLRTLKGSLGLPLRFGWNGDPCVPQQHPWSGVDCQFDNTKGNWVIDGLGLDNQGLRGVIPGDISKLQHLQSINLSGNSIKGNIPTSVGTISALQVLDLSYNELNGSIPESLGQLTSLQILNLNGNRLSGRVPASLGGRPLHRARFNFTDNAGLCGIPGLRECGPHLSVAAKIGMAFGVLLAILFLVNILRAQKLAAAREAPYAKSRTQFTRDVQMAKHQRPSESSRSSNNESSPHLLS >KQL26821 pep chromosome:Setaria_italica_v2.0:II:46487693:46490395:1 gene:SETIT_033369mg transcript:KQL26821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLFSCPVEEEDVPAEAGVPAPPGGGNAGEPAVLMASLGSGKLRFEGSLSFKREQQQQSPGAVLQVEAKISVASPRATVAPVPTMIPRELARTRFADAAVAACPAPESPKHESAAVTVQKVYKSFRTRRRLADCAVLVEQSWWELLDFALLRRSSVSFFDIERQESAVSKWARARTRAAKVGKGLSKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLRCESKEPFFYWLDVGEGREINLERCPRSKLLSQCIKYLGPKEREDYEVVIEDGKFMFKNSRQILDTSGGPRDAKWIFVLSTSKNLYVGQKRKGTFQHSSFLAGGATSAAGRLVVEDGVLKAIWPHSGHYRPTEENFQEFQSFLKDKSVDLTDVKMSPDENDEEFWSRLKSIPSDCRASADKPEEDEIVATQDTNPCQAPLVIEATTPEEVSVPEHEETRTNPKPIATVARQDSSEDAAENAETSTTSDRASSEEENHNGDGDNAAVPREKILQRISSKKETKSYQLGKQVSFKWTTGAGPRIVCVRDYPSELQLRALEQMHLSPRSGGAGAVKAASSRFASPQRSSSPMARGRCEQLTLGSSGGRAASSRFASPQRSSSPMARGRCEPLTAREAFRTHLMARG >KQL23559 pep chromosome:Setaria_italica_v2.0:II:15031608:15037221:-1 gene:SETIT_028871mg transcript:KQL23559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDLRMNLSLFLTIYISSLIFLMVFHIIFLGLWYLGLVSRMAEKKPELLTIIQNCAVISIACCVFYSHCGNRTVSRDKSIDRRTASWIAFSLWTKHDDNTLISRLLRMHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNEISPVYSLWATFIGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEASSHDLLYDHLDEKDELWFDFIADTGDGGNSTYSVARLLAQPSLVIKSDDSRLTLPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRRYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCRQKVGESDSVIVITHEPNWLLDWYWGDNTGTNVTYLIREYLRGRCKLRMAGDLHHYMRHSCIDSKEPVHVQHLLVNGCGGAFLHPTHVFENFRVFYGNKYETKSTYPSYNDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFHILHEDSWAGRVNGFFIAMWNAVFEILERSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAAAHITSAVLLMLLMELAIEICIRNHLLATSGYHTLYEWYRKVEGEHFPDPTGLRARLEQWTFGLYPACIKYLMSAFDIPEVMAVTRSTICKKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYVCINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKPPLQMSYTRRFPSKWRSASGPDPISSVRIIDRFVIPRTPPSPTTPGGSVR >KQL23558 pep chromosome:Setaria_italica_v2.0:II:15031296:15039374:-1 gene:SETIT_028871mg transcript:KQL23558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKFKEQICSSWFAPVGSASDYPLLSKWAIYGELSSNGSGSSNEISPVYSLWATFIGLYIANYVVERSTGWALTHPLTISEYEKLKKQLKPDFEDMVPWYSGTSTDLFKTVFDLMISVTLFVGRFDMRMMQAAMNKTPDEASSHDLLYDHLDEKDELWFDFIADTGDGGNSTYSVARLLAQPSLVIKSDDSRLTLPRGQLLLIGGDLAYPNPSSFSYERRFFCPFEYALQPPAWYKPEHIALEKPELPLGVSELRRYRGPQCFMIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALKLPNGWWVFGLDQALHGDIDVYQFKFFAELCRQKVGESDSVIVITHEPNWLLDWYWGDNTGTNVTYLIREYLRGRCKLRMAGDLHHYMRHSCIDSKEPVHVQHLLVNGCGGAFLHPTHVFENFRVFYGNKYETKSTYPSYNDSSKIALGNILKFRRKNWQFDVIGGFVYFVLVFSMFPQCDSFHILHEDSWAGRVNGFFIAMWNAVFEILERSYVSLAGVVTLLMVSFFFVPTKLSRRRRALLGFLHAAAHITSAVLLMLLMELAIEICIRNHLLATSGYHTLYEWYRKVEGEHFPDPTGLRARLEQWTFGLYPACIKYLMSAFDIPEVMAVTRSTICKKGIESLPRGGAIIYYVCVFLYFWVLSTPVVSLVFGSYLYVCINWFHIHFDEAFSSLRIANYKAFTRFHIKKNGDLEVFTLAVDKVPKDWMLDPDWDMEPKPPLQMSYTRRFPSKWRSASGPDPISSVRIIDRFVIPRTPPSPTTPGGSVR >KQL25337 pep chromosome:Setaria_italica_v2.0:II:37447551:37449379:-1 gene:SETIT_029769mg transcript:KQL25337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNYSDHRIHILVLPYPSQGHINPLFQFAKVLAGHSSVRCTLAVTRFVASSARPAATGSVHIAVFSDGCDDVGPDGVGGHRGPYFERLNAAGPGSVDQLLRSESELGRPVHGVVYDAFLPWAQGVARRHGAACAAFLTQTCAVDVLYTHLRAGRIPPPPVREELPPELDGLPSQLELTDLPTFMVDKNLPPGLLELLMNQFVGLDTVDHVLVNSFYDLEPQEADYLTSAWGAKTVGPTMPSVYLDNRLPNDASCGIHLHTPKTFETKAWLDAHPSLSVVYVSFGSIASLSSKQMTEVAEGLYNIDKPFLWVVRAKETSKLPATFADKAKGRGLIVPWCSQLEVLAHPSIGCFVTHCGWNSTLEAISSGVPIVAMPHWSDQPTNAKYVQDVWRVGVRVPPDSQGVMRREEIEVCVRQVMEGELREEFKIRALEWSKKAKKAMSKGGSSDINISDFLSKFGHHK >KQL22884 pep chromosome:Setaria_italica_v2.0:II:6096415:6097524:1 gene:SETIT_032882mg transcript:KQL22884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVNEILLRLPAKDLCRLRAVSRSWWSFLSKPQFMADHAARHPEPLIVAGYNTYHREDRILYDICDLSGRIVKRVHATREEWVLYGQPDLLCVVDWTNMSVKLLNPATGVAYVLPTEFAEEHAAYHQYLYKYSFSATFGQVASTGVYKVIRVIFVLDGGHFEQLYEVFTLDGNIHAQWRAKQAPPYQVEMDHWDNVVINGIVYFFLSYNVDEDKRRIGSFNLETEEWSPSIPGPLSSLMDAAADHIDCSFQLTIGALSGSLVIACHPATSTHSSMDLWFLVDFEKGLWVKQYSIELSFRHPAYAIHPFLVLNDGRIVFVIDTINSGGLLSIYNPETKTSEDVMEMGYGVAVGLYTGSALSLANKVPVSE >KQL25905 pep chromosome:Setaria_italica_v2.0:II:41180593:41186370:-1 gene:SETIT_029346mg transcript:KQL25905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSAAEAGSGAVMRAAVVGLVLVGIALAPPLAAALRPLRERVASAGAATSAGSWGDEHAFFKRDENDIGPYSWNITGTYKGSWAFAGATNGSSRFLEFVKSKGDSVLELLSTPTKISGVHYVQGSITFHDVIDNAHDHGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFFSNPYHLLQIFSSQVFQESSEEKNRRKNSLTYDMEKHCNTEIAAKVVRVSSNPNDGEHEKYRLEGLIESPAVGDDGECFSPILLNATSLNVEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEIMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLLLMYSFWVPQIVTNIIRDTRKPLHPQYILGMTVTRLAIPLYIFGCPSNFMRIEPDKKWCIVVTVFMGIQAAVLLLQHYLGSRCFIPRQILPEKYCYHRKVEDSTNQPIDCVICMTTIDLTQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >KQL25904 pep chromosome:Setaria_italica_v2.0:II:41180593:41186370:-1 gene:SETIT_029346mg transcript:KQL25904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSAAEAGSGAVMRAAVVGLVLVGIALAPPLAAALRPLRERVASAGAATSAGSWGDEHAFFKRDENDIGPYSWNITGTYKGSWAFAGATNGSSRFLEFVKSKGDSVLELLSTPTKISGVHYVQGSITFHDVIDNAHDHGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFFSNPYHLLQIFSSQVFQESSEEKNRRKNSLTYDMEKHCNTEIAAKVVRVSSNPNDGEHEKYRLEGLIESPAVGDDGECFSPILLNATSLNVEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEIMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLLLMYSFWVPQIVTNIIRDTRKPLHPQYILGMTVTRLAIPLYIFGCPSNFMRIEPDKKWCIVVTVFMGIQAAVLLLQHYLGSRCFIPRQILPEKYCYHRKVEDSTNQPIDCVICMTTIDLTQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >KQL25903 pep chromosome:Setaria_italica_v2.0:II:41179725:41186694:-1 gene:SETIT_029346mg transcript:KQL25903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSAAEAGSGAVMRAAVVGLVLVGIALAPPLAAALRPLRERVASAGAATSAGSWGDEHAFFKRDENDIGPYSWNITGTYKGSWAFAGATNGSSRFLEFVKSKGDSVLELLSTPTKISGVHYVQGSITFHDVIDNAHDHGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFFSNPYHLIFSSQVFQESSEEKNRRKNSLTYDMEKHCNTEIAAKVVRVSSNPNDGEHEKYRLEGLIESPAVGDDGECFSPILLNATSLNVEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEIMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLLLMYSFWVPQIVTNIIRDTRKPLHPQYILGMTVTRLAIPLYIFGCPSNFMRIEPDKKWCIVVTVFMGIQAAVLLLQHYLGSRCFIPRQILPEKYCYHRKVEDSTNQPIDCVICMTTIDLTQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >KQL25906 pep chromosome:Setaria_italica_v2.0:II:41179725:41186694:-1 gene:SETIT_029346mg transcript:KQL25906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSAAEAGSGAVMRAAVVGLVLVGIALAPPLAAALRPLRERVASAGAATSAGSWGDEHAFFKRDENDIGPYSWNITGTYKGSWAFAGATNGSSRFLEFVKSKGDSVLELLSTPTKISGVHYVQGSITFHDVIDNAHDHGVAQIRLEGVYIWPFRQLRMVANSGADGEPLQEEDYFFSNPYHLLQIFSSQVFQESSEEKNRRKNSLTYDMEKHCNTEIAAKVVRVSSNPNDGEHEKYRLEGLIESPAVGDDGECFSPILLNATSLNVEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPLNSGEGWEIMRRELSVLYSRFYGILLGGILLMYELHNFLRPLLLLMYSFWVPQIVTNIIRDTRKPLHPQYILGMTVTRLAIPLYIFGCPSNFMRIEPDKKWCIVVTVFMGIQAAVLLLQHYLGSRCFIPRQILPEKYCYHRKVEDSTNQPIDCVICMTTIDLTQRTSEYMVAPCEHIFHSGCLQRWMDIKMECPTCRRSLPPA >KQL26912 pep chromosome:Setaria_italica_v2.0:II:46906104:46911026:1 gene:SETIT_029618mg transcript:KQL26912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRASTIDFGRKKLGDLFGSGPLRPANIIRNKFPTFKNGSNGIIIKLADSPEMPSLKDAVAKETTDLLDKHQRLSVRELTMKFEKGFNTATLLSNEVKWRHAALLERDILLKNLKNVLESLRSRVAGKNRDEIEESLSMVDILAVQLSKREDELLRQKTEVTKIAASLKLASEDAKRIVDEERANARVEMESAKGSAQRVQLAIEEEENVSQRTRKQDVNELKEEVQEARRVKMLHCPSKAMDIENEIQVLRDQLAEKSSDYVHLLKELELHQLFGENDMPSYELQGLETLGSMLHIVARDNASVDFSNGSIQWFRIQPEGSKKEIISGATKPVYAPEPHDVGRYIQAEITFGGQISIAKTAGPVDPAAGLADYVEALIRNPETEYNVVVLQVNGVAQPADSLHVLCIGRLRMRLAKGASVVAKEFYSSSLQLCGVRGGGDAAPQAIFWQPRKELSFVLGFETTRERNSALMLARRFAMDCNIILAGPGDKTPW >KQL22433 pep chromosome:Setaria_italica_v2.0:II:2543298:2547297:-1 gene:SETIT_029046mg transcript:KQL22433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFASFITSVLTSFVIFVVLVLVFTWLSRRPGNAPVYYPSLLLRGLDPWEGRRRGSRSPVGWIREALSASETDVIAAGGVDAAVYLVFLSSVLSILVFSGIVLLPVLLPVAGTDHALDLHAAAGFKPKTDNKDDSAPDFPQMERLALGNVQPKSMRLWAFLLSVYWVSFVTYFILWKSYKHVSNLRATARSTPDVKPEEFAVLVRDVPRPSPDETIKDSVDSYFRALHPNTFYRSMVVTDHAKADKMYLEIEDHKKKIARAEVVYANSKTESNPEGTKPTHRTGFLGLIGKKVDTIEYCSEQIKELLPKLEVEQKATLRDKQQRAAIVFFNSRSAAASASQTLHAQVFDKWAVMEAPEPREIIWPNLSRNIYERQIRQVVVYFIVFLTVVFYTVPITAVSAVTTLDNLRKVLPFLKVVVDRPAIKTILQAYLPQIALIVFLALLPAFLMFLSKAEGIPSQSHVVRASAGKYFYFIVFNVFLIYTLGKSLITSLKTILNNANITVIINMLATSLPGGATFFLTFVALKFFVGYGLELSRVVPLVIFHLKRKYLCKTEDDVKAAWYPGDLGYSTRVPNDMLIATVTLCYSVMAPLIIPFGVAYFALGWLIAKNQVLRVYVPSYESNGRMWPHMHTRIIAALLLYQATMIGVIGLKKFYYSPILVPLLPISIIFAYICHMRFYPAFANTPLEVAQHELKETPNMDAIYTAYIPQCLKPDKLEDLDVFEDAQSHTTSRAPSI >KQL22434 pep chromosome:Setaria_italica_v2.0:II:2544584:2547096:-1 gene:SETIT_029046mg transcript:KQL22434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFASFITSVLTSFVIFVVLVLVFTWLSRRPGNAPVYYPSLLLRGLDPWEGRRRGSRSPVGWIREALSASETDVIAAGGVDAAVYLVFLSSVLSILVFSGIVLLPVLLPVAGTDHALDLHAAAGFKPKTDNKDDSAPDFPQMERLALGNVQPKSMRLWAFLLSVYWVSFVTYFILWKSYKHVSNLRATARSTPDVKPEEFAVLVRDVPRPSPDETIKDSVDSYFRALHPNTFYRSMVVTDHAKADKMYLEIEDHKKKIARAEVVYANSKTESNPEGTKPTHRTGFLGLIGKKVDTIEYCSEQIKELLPKLEVEQKATLRDKQQRAAIVFFNSRSAAASASQTLHAQVFDKWAVMEAPEPREIIWPNLSRNIYERQIRQVVVYFIVFLTVVFYTVPITAVSAVTTLDNLRKVLPFLKVVVDRPAIKTILQAYLPQIALIVFLALLPAFLMFLSKAEGIPSQSHVVRASAGKYFYFIVFNVFLIYTLGKSLITSLKTILNNANITVIINMLATSLPGGATFFLTFVALK >KQL22435 pep chromosome:Setaria_italica_v2.0:II:2543298:2547096:-1 gene:SETIT_029046mg transcript:KQL22435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFASFITSVLTSFVIFVVLVLVFTWLSRRPGNAPVYYPSLLLRGLDPWEGRRRGSRSPVGWIREALSASETDVIAAGGVDAAVYLVFLSSVLSILVFSGIVLLPVLLPVAGTDHALDLHAAAGFKPKTDNKDDSAPDFPQMERLALGNVQPKSMRLWAFLLSVYWVSFVTYFILWKSYKHVSNLRATARSTPDVKPEEFAVLVRDVPRPSPDETIKDSVDSYFRALHPNTFYRSMVVTDHAKADKMYLEIEDHKKKIARAEVVYANSKTESNPEGTKPTHRTGFLGLIGKKVDTIEYCSEQIKELLPKLEVEQKATLRDKQQRAAIVFFNSRSAAASASQTLHAQVFDKWAVMEAPEPREIIWPNLSRNIYERQIRQVVVYFIVFLTVVFYTVPITAVSAVTTLDNLRKVLPFLKVVVDRPAIKTILQAYLPQIALIVFLALLPAFLMFLSKAEGIPSQSHVVRASAGKYFYFIVFNVFLIYTLGKSLITSLKTILNNANITVIINMLATSLPGGATFFLTFVALK >KQL25158 pep chromosome:Setaria_italica_v2.0:II:36377235:36377631:1 gene:SETIT_031662mg transcript:KQL25158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLGTESGDWETKHLPINSVWQPIYVLSVVSLRPIITASVYSETLTSRSKLLYKCYMLQKGNGIKTVLDPKRTSQKQPNHLCSVGSSAV >KQL23069 pep chromosome:Setaria_italica_v2.0:II:8182093:8184785:1 gene:SETIT_030354mg transcript:KQL23069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLVDCRDKLEEDETEECYHGHYTYGDLGERCFGTVGRCLTEILILVSQAGGSVAYLIFIGQNLHSIFSQQMSPAGFIFAILLPVQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKEDLQLFDHPFANRSAFNGLWAVPFTFGVAVFCFEGFSMTLALEASMAERRKFRGVLSQAVAAIITVYVCFGVCGYLAYGDATKDIITLNLPNNWSSVAVKVGLCVALALTFPVMMHPIHEIVETRFRSSGCFQKLSRNVRGAEWLGLHSSRILVVAILTVVASFIPAFGSFISFIGSTMCALLSFVLPALFHLSIVGSSMSLWRRVLDYVILLFGLAFAGYGLVTALSPH >KQL23070 pep chromosome:Setaria_italica_v2.0:II:8182093:8184785:1 gene:SETIT_030354mg transcript:KQL23070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAGFIFAILLPVQIALSFIRSLSSLSPFSIFADVCNVLAMAIVIKEDLQLFDHPFANRSAFNGLWAVPFTFGVAVFCFEGFSMTLALEASMAERRKFRGVLSQAVAAIITVYVCFGVCGYLAYGDATKDIITLNLPNNWSSVAVKVGLCVALALTFPVMMHPIHEIVETRFRSSGCFQKLSRNVRGAEWLGLHSSRILVVAILTVVASFIPAFGSFISFIGSTMCALLSFVLPALFHLSIVGSSMSLWRRVLDYVILLFGLAFAGYGLVTALSPH >KQL23034 pep chromosome:Setaria_italica_v2.0:II:7790618:7791789:-1 gene:SETIT_033072mg transcript:KQL23034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCGSPVLAVASQEVILQRKPRPYTPSIWGDFFLEHQPCTPLQFMKENARIKQEEVRQIILDTSASSELALKLELVDTLQRIGVGHHYKEIDELLGDIEDAQLEGGCNASYIKHGHNVSSDVFVKFRDDQGTTSNDAKCLLALYDAAHLRTRGEEILDNATAFTKSRLQSMMKTLDPELAAEVEYTLETPSYRRVERVEARRYINLYEKGITSSKAISHINQYFSANESSC >KQL24839 pep chromosome:Setaria_italica_v2.0:II:33659434:33661683:-1 gene:SETIT_032605mg transcript:KQL24839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNTASLLSLLLLICAAALHADASQEAQLREFLRSRRNSRSDKGTFKVSSIGSRVASSLQRTSYSGGADQSALKAADKITSLPGQPDGVDFDQYAGYITVDEKNGRALFYYFVEAPQDASSKPLLLWLNGGPGCSSFGYGAMQELGPFRVNNDNKTLSRNQHAWNNVANVIFLESPAGVGFSYSNTSSDYDLSGDQRTADDNYLFLINWLERFPEYKSRPFYISGESFAGHYVPELAATILIQNSYNSKTAINLQGILVGNPLLDWNMNFKGRTDYFWSHGLMSDEVFTNITRHCEFDDSDNNNVVCIGAYDAFDPGQIDPYNIYAPICVDAANGAYYPSGYLPGYDPCIDYYTYAYLNDPAVQNAFHARMTKWSGCAGLHWKDAPISMVPTISWLIKKKLPVWIFSGDFDSICPLPATRYSIHDLNLHVTTPWRPWTVNMEVGGFVQQYKGGFTFASVRGAGHMVPSYQPERALVLLDSFLKGVLPPYVPEQ >KQL23104 pep chromosome:Setaria_italica_v2.0:II:8415846:8416314:-1 gene:SETIT_031807mg transcript:KQL23104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPRPGPEGGKSSGSDEAIHGTRSSVCMHEDKGRRPRSRIGVPQDCTSVLRSLRPEV >KQL23371 pep chromosome:Setaria_italica_v2.0:II:11579260:11579808:-1 gene:SETIT_032126mg transcript:KQL23371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKILLTKEGFIETINEPNPQAPILEANKFRTLHFLRHHLHPNLKNEYMMEDDPKKLWDSLKERYNKHQAIILPEARREWSLLYLIDFKFVAKYNSAVHKICSKLHFCNKPMDDAEIIEKTLSTFIPANRILQQQYRCHNYTKYFDLIYDLRQVEKHDELFTKNHQLHPMATPLPEVHFNA >KQL25405 pep chromosome:Setaria_italica_v2.0:II:37908343:37908993:-1 gene:SETIT_033398mg transcript:KQL25405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFDPPPILVSPQLQEHMARKGTAMPMPSMTLEVTVVSGEAVRVPSGRPLCHGAYAVVRTATSSAPTRVDGDADCHGFPYWAEAVRVALPAGAPALDVEICCARGGGRPAEPVAAARVPVEDFTVGPPGHLHCLSYRLFDSGSCGIRRRNGIVNITVRRLDGAPVGAAGKAAAVPAAGKAVGAAGPSGSGASCSGAAAGLGKPAAPAGAVMGYPLGC >KQL25822 pep chromosome:Setaria_italica_v2.0:II:40733917:40734990:1 gene:SETIT_032066mg transcript:KQL25822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNCPALSSSSHRLRLSTPLPPAPLRSHGDAASLLLLRHAEHPPGAPAQEAQALGAQELECSLHGPGATPSSTTPGGFIVHRLKVPTRLRRHPRAAASPSLFAAPHRLLSLHAAAGVLRPILGRFRVPVHPRRRRASEARDGGWCPHEDHDAKDRGGSRALAPSDDRVPHPRRIRGRLRRKLAARRSLRHRPGPAPTHQDGQALAAAHDSAAVPASVQRHQPERLRHHRHRGRRAEEGDASPAEADHGGEEPAPHPPRPLAGDGTPGPG >KQL23201 pep chromosome:Setaria_italica_v2.0:II:9386143:9387363:-1 gene:SETIT_032806mg transcript:KQL23201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGPSSPPDSRSTRRRTPVASNTGVLPPDVLFDVLLRLPAKELCRLRAVCRAWRSLTVDPLFTGAHAARHPLFLANFRDDQTHICVVDLLGIVVKRIPNADGHLLLHTSLDLACATTVRNSCQVLDPATGNVHVPPESPAVEHLDRENVRMPYTSFAFGRIATTGEYKVIRIFNRPTLAGFHQPHLFEVFTINISGSSSGSSHTQWRARQPDHFFDPSSAIVVGEVVYFKVDIVFDVLICGDVYPGIPLDCILSFDLEREEWRGILNGPISEIFETDKYAGDLDGYRGLWTQVTLADLRGSLGLVHYRKHRHMMDLWVRKDIDGGLWVKEYIIQIEPIFPTTESCVKSLFMLDDGRLVIHFPKTGLLFIYDPRTNTSAQVEMRHLDAVAMYTGNLLSLQVGDMV >KQL22207 pep chromosome:Setaria_italica_v2.0:II:564588:566081:1 gene:SETIT_029609mg transcript:KQL22207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFLSAVLSDLASRSINFIFNKCSKLPSPAVEDSLQRALLRAQVIVDESMRRHITNQAMLQQLNMLRDTMHQGNYMLDIFRCKPDDDEEEEDTKHKIVSHPLLLNSRVNCVRDFLSSRTSAQILKEMQQVLDRLSSMIHDANELVLFLASYPPMYRQPYSMHLLLGNCMFGRQMEAQLAINFLLHTRTHGAEELEVLPIVGPYQVGKRTLVAHVCKDERVRDYFSEIVLWTDDHEFRDEKITIPREGHENIFQNCAPNKEGRILVIVELAADFNEVVWKRLYSASKRCLPSGSKIIVTSQSDKVVKYGTTRALTLNYMSQEEYWYFFKILTFGSVDPEMHPRLTQMAMEISRMHNCCFIAAHLVSYLLRDNFDIHFWHKVLIFLKEYIQNHVSKFGEGPFDDRNQSKPVHLQRMATPSEVIMVSNPSDRSSQEEVPKIRLDDVIYKNVRPHGKFEALVWRSQIPPYYSYTTTCEIQELKNTGTKRKRTMKSGVTFC >KQL22676 pep chromosome:Setaria_italica_v2.0:II:4361319:4362910:-1 gene:SETIT_031970mg transcript:KQL22676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELASRDSAPPGPGASRAGAGHPRPTSSPDTPRISATQSAAGVSALRIAAGAPTAAASAPRATDGGKQAPPTPPNTPTPRQLLSPRRADDMHRTRQCCKNPSRPGCRPSWLQAAGNSPPRLPLSSLPSVFRARLSGANIANFILARGHLNFAGHVFFLHHSEARAMATAACLREEDEAVIAHHPPPGSHPDKRGARGASLPQLPPGDQMNTWDCQKPVAARDPRDQKDTFFTPSAALGLLPLPRHASPCTDVTGSGDTDRDVASEFTAPPLPPDARPYLLAARAPASFTPALARRFSKPILLHHGGCHRCLARDHQVRDCRDPIRCRLCRRFGHRGYACPMAFPREQTPHPRRRPTVPLPASRVPINAVPFLPRSSPPPSATPTPPPTPLNLPPFTTAFDPLRMLASTSSTPPVVERVLEPRRPSPEEGPFYLGDLFREPQDTGKRVAPGEPSSPQLRASRAIPKARDIRVVGAASPRRAP >KQL22178 pep chromosome:Setaria_italica_v2.0:II:396314:396803:-1 gene:SETIT_031727mg transcript:KQL22178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSPFSSLLHCSTNSCGVQLQKMWTIAAKALLVFYRQLCGRLSQRKTKTIHHDRRRGALHYITPLSQFLTHYTIL >KQL23828 pep chromosome:Setaria_italica_v2.0:II:20657913:20658789:-1 gene:SETIT_031458mg transcript:KQL23828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLCHEFETDLPAADVWEVYGGLRLGQLVPQLLPHVLPKVDHLEGDGGVGTVLLVYFPPGAAGPRTHKEVFTKIDNENYIKEATVVEGGFLDLGFKKFMFRLEIIAKEENSSLIRSSIEYEKLTTTIKAMPPLSVLVL >KQL22158 pep chromosome:Setaria_italica_v2.0:II:284871:289429:-1 gene:SETIT_030468mg transcript:KQL22158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKARVYTDVNVLRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDGLNAYLNKYRIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRLTAREAMLHPYFQQVRAAENSRTRA >KQL23215 pep chromosome:Setaria_italica_v2.0:II:9727415:9727859:-1 gene:SETIT_031817mg transcript:KQL23215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFASGEGSSSLQGGGARARGSSCARRRVSEHVATTRGEVVWDAGSGWERSGGPR >KQL27302 pep chromosome:Setaria_italica_v2.0:II:49082745:49093599:1 gene:SETIT_028720mg transcript:KQL27302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARSPSPSFHAHDRLPPPAHDIAERLRHLRDLRRAPLPSRLARIADLHTDEASPVRKHVAEIIGEVGSKHMAYLPDIIPCLLHLLNDEVPAVVRQAIKTGTVLFAQLLQHLVIQGLFSTGGIDDALKLSWERLLKFKSTVSLMAFQTTGNEGVRLLAVKFVEKTVLMYTPDPNIPSDPPSKATKDMGFNVAWLRGGHSLLNVGDLAMEASQNLGLLLEQLKSPKVKSLSTSMIIVFVTSLSAIAQRRPSFYGRILPVLLSLDPASSIIKLRVPGAFHALKSAFSACLKCTHSSAEPWRARLLEAQNIINQDSIEDTANAAKNLGDTSNMEESLPPMERSNDSSNKRSLGEDMNHMIEDDGHSNKRVRHALDAQEHSEEANKRNVESTSVDISSGQPISIRTENSEAVYQLIGMFAALAAQGDRAAGSLQILSSSIASDLLAEVVMVNMQHIPMSRPEVDQQQLPSTSSGDGIPFQSSFSLLASLLKKVNQIDQDEVPPAKESAVVPSVADDIMTVPASSPVPSSVNLPMEENSSSPTVPLCVETAEVKVTSAGANSLIDILESSETSHASTEPQGTQEHASSYISSLHADNSSAGLSLAQSSETRSPSSSTVEANHSQLSSLNSLGSQYVLPKLVVNNVDLSDEAKDLLQKESFLRILESDKQEGSGGSIARLPLLAHLGVEFPLELDPWEILQKHVLSDYANNEQGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLSVAENLRDMFPASDKSLGKLLCEIPYLPEGVFKLLEGLCSPGSNEKQDKDIQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSTHHLEEVRMKAIRLVANKLFPMASISKKIEDFANEKLNSVLEVIPSGDSAATETATSEAHNDGGLENLSASMANAQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPDLLGIISDPPGDSRDLLMQVLQTLTDAAVPSKELISSIKNLYSKTKDVEVLFAILAHLPKDEVLPVFPSIVNLPMDKFQTALSRILQGSSRNGPSLDPSEILIAIHVIDPDKEGIPLKKVMDACSACFEQRTIFTQQVLAKTLNQLVEQIPLPLLFMRTVMQAIGVFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALSKNPTLKAPLVEHAEQPNVRSTLPRSTLVVLGLAEDQQPPPPAVSQAQSSQNQAAETSSSAADTTTEVTQESSAAS >KQL27303 pep chromosome:Setaria_italica_v2.0:II:49082745:49093599:1 gene:SETIT_028720mg transcript:KQL27303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARSPSPSFHAHDRLPPPAHDIAERLRHLRDLRRAPLPSRLARIADLHTDEASPVRKHVAEIIGEVGSKHMAYLPDIIPCLLHLLNDEVPAVVRQAIKTGTVLFAQLLQHLVIQGLFSTGGIDDALKLSWERLLKFKSTVSLMAFQTTGNEGVRLLAVKFVEKTVLMYTPDPNIPSDPPSKATKDMGFNVAWLRGGHSLLNVGDLAMEASQNLGLLLEQLKSPKVKSLSTSMIIVFVTSLSAIAQRRPSFYGRILPVLLSLDPASSIIKLRVPGAFHALKSAFSACLKCTHSSAEPWRARLLEAQNIINQDSIEDTANAAKNLGDTSNMEESLPPMERSNDSSNKRSLGEDMNHMIEDDGHSNKRVRHALDAQEHSEEANKRNVESTSVDISSGQPISIRTENSEAVYQLIGMFAALAAQGDRAAGSLQILSSSIASDLLAEVVMVNMQHIPMSRPEVDQQQLPSTSSGDGIPFQSSFSLLASLLKKVNQIDQDEVPPAKESAVVPSVADDIMTVPASSPVPSSVNLPMEENSSSPTVPLCVETAEVKVTSAGANSLIDILESSETSHASTEPQGTQEHASSYISSLHADNSSAGLSLAQSSETRSPSSSTVEANHSQLSSLNSLGSQYVLPKLVVNNVDLSDEAKDLLQKESFLRILESDKQEGSGGSIARLPLLAHLGVEFPLELDPWEILQKHVLSDYANNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLSVAENLRDMFPASDKSLGKLLCEIPYLPEGVFKLLEGLCSPGSNEKQDKDIQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSTHHLEEVRMKAIRLVANKLFPMASISKKIEDFANEKLNSVLEVIPSGDSAATETATSEAHNDGGLENLSASMANAQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPDLLGIISDPPGDSRDLLMQVLQTLTDAAVPSKELISSIKNLYSKTKDVEVLFAILAHLPKDEVLPVFPSIVNLPMDKFQTALSRILQGSSRNGPSLDPSEILIAIHVIDPDKEGIPLKKVMDACSACFEQRTIFTQQVLAKTLNQLVEQIPLPLLFMRTVMQAIGVFPALVDFVMEIMSRLVSKQIWKYPKLWVGFLKCAILTKPQSYGVLLQLPAPQLENALSKNPTLKAPLVEHAEQPNVRSTLPRSTLVVLGLAEDQQPPPPAVSQAQSSQNQAAETSSSAADTTTEVTQESSAAS >KQL27301 pep chromosome:Setaria_italica_v2.0:II:49082745:49092218:1 gene:SETIT_028720mg transcript:KQL27301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARSPSPSFHAHDRLPPPAHDIAERLRHLRDLRRAPLPSRLARIADLHTDEASPVRKHVAEIIGEVGSKHMAYLPDIIPCLLHLLNDEVPAVVRQAIKTGTVLFAQLLQHLVIQGLFSTGGIDDALKLSWERLLKFKSTVSLMAFQTTGNEGVRLLAVKFVEKTVLMYTPDPNIPSDPPSKATKDMGFNVAWLRGGHSLLNVGDLAMEASQNLGLLLEQLKSPKVKSLSTSMIIVFVTSLSAIAQRRPSFYGRILPVLLSLDPASSIIKLRVPGAFHALKSAFSACLKCTHSSAEPWRARLLEAQNIINQDSIEDTANAAKNLGDTSNMEESLPPMERSNDSSNKRSLGEDMNHMIEDDGHSNKRVRHALDAQEHSEEANKRNVESTSVDISSGQPISIRTENSEAVYQLIGMFAALAAQGDRAAGSLQILSSSIASDLLAEVVMVNMQHIPMSRPEVDQQQLPSTSSGDGIPFQSSFSLLASLLKKVNQIDQDEVPPAKESAVVPSVADDIMTVPASSPVPSSVNLPMEENSSSPTVPLCVETAEVKVTSAGANSLIDILESSETSHASTEPQGTQEHASSYISSLHADNSSAGLSLAQSSETRSPSSSTVEANHSQLSSLNSLGSQYVLPKLVVNNVDLSDEAKDLLQKESFLRILESDKQEGSGGSIARLPLLAHLGVEFPLELDPWEILQKHVLSDYANNEGHELTLCILNRLYREAEQDQDFLSSRTATSVYESFLLSVAENLRDMFPASDKSLGKLLCEIPYLPEGVFKLLEGLCSPGSNEKQDKDIQSGDRVTQGLSAVWNLIMLRPSNRDRCLEIALQSSTHHLEEVRMKAIRLVANKLFPMASISKKIEDFANEKLNSVLEVIPSGDSAATETATSEAHNDGGLENLSASMANAQTLMSLYFALCTKKHSLLRHVFAIYGSLPQAAKQAVHRQVPILIRTIGSSPDLLGIISDPPGDSRDLLMQVLQTLTDAAVPSKELISSIKNLYSKTKDVEVLFAILAHLPKDEVLPVFPSIVNLPMDKFQTALSRILQGSSRNGPSLDPSEILIAIHVIDPDKEGIPLKKVMDACSACFEQRTIFTQQVLAKTLNQLVEQIPLPLLFMRTVMQAIGVFPALVS >KQL26304 pep chromosome:Setaria_italica_v2.0:II:43660517:43661633:1 gene:SETIT_030798mg transcript:KQL26304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPAADAFPSTVPAASSDSDSEDLLLLPNLLPSATAPSSPSHAQLHHFHVPSLPCPITVRALPSRGLSFQLWPSASTLLRVLPASPHLLPRPPAPGSPPLSVLELGSGTGAAGLALAAALPARAVLSDLPDALLNLRHNADLNAPLLASAGGAASVVPLPWGDAAAMQDVAVAQAEAPFDLVVASDVVYYEALVDPLIETLRFFIKGEVVFLMAHMRRWKRTDKKFFGKARKMFNIEVVHEDPPLEGWRHGPVVYRFTAKKQHGKK >KQL23995 pep chromosome:Setaria_italica_v2.0:II:24197329:24199923:-1 gene:SETIT_030323mg transcript:KQL23995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWNSWNHFACNINEQTIRQVADAMVNTGLAKLGYEYINIDDCWAAHDRDSQGNLVPNPSTFPSGMKALSDYVHGKGLKLGIYSDAGPETCSQQMPGSLGHEEQDAKTFASWGIDYLKYDNCNDQGLSPQPRFSSMNTALLNSGRNIFFSICEWGIDRPATWAGVGNSWRTAGDIKDEWGSMTSNADSNDVWAPFAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLTGCDIRSMSKETMEILSNRNVIAVNQDALGVQGHKVQKDGDQEVWAGPLSGGRVAVVLWNRGPAEASITASWSSIGLRASAVVDAHDLWTDAVTSSVQGQLKATVGTHACKMYVLTPK >KQL25586 pep chromosome:Setaria_italica_v2.0:II:39182492:39186718:1 gene:SETIT_029304mg transcript:KQL25586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPRRRGYQHGHHHRCPRRIALPAAALALLFLAVSLLSVSLLSAPPLAYPRPGLTTSSFRRFLHRYPTNGSGGELEGTESGEAFGVPAHGWVGQDDLWRSKLASNFYGCCNSSSKFLDSSVTTQPERYLIVVTSGGLNQQRTGIVDAVVAARILNATLVVPKLDQTSFWKDSSNFSEIFDMDWFISFLAKDVKIIKEPPEKGGKAMKPYKMRVPRKCTPRCYLNRVLPALLKKHVIRMTKYDYRLSNKLDTDLQKLRCRVNYHALRFTDQIQELGKKLIQRMREKSRYFIALHLRFEPDMLAFSGCYYGGGEKERRELAAIRRRWRTLHIRDPEKGRRQGRCPLTPEEVGLMLRALGYRSDVHIYVASGEIYGGDDTLAPLKALFPNFHTKESLSSQEELAPFLKFSSRMAAIDFIVCDESDAFVANNIGNMAKILSGRRRYFGHKRTIRPNAKQLYPLFMKRGNMSWDAFSAQVRIIQKGYMGEPMEIAPGRGDFHANPATCICEKTGENLAAVKSISRSNQEPVNDAGIKKAVGRPPYPVYTDEEADGSDTEDDQDTTARGEMVDTEPDDDSVIRQEDPELEEILSD >KQL22412 pep chromosome:Setaria_italica_v2.0:II:2409969:2414119:-1 gene:SETIT_029806mg transcript:KQL22412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTSSPAQGWAPLTADDCILPKKRKTCCSVQQPAQNNDRISALPDDILIKVLSLMTVMEAAVTACLSTRWRHLWGNVDHLILDKHTFKMQVPENSNNNENPDLWNQEATKFVSKVNEVLHHHNGNGIKKIEVKFPLSSSHSADLDHWVEFAATSGSKTLNLILSGYHGMGATRHAEKYSFPLKHFVDLGVVLNIMSSCCALRRFGLQRCHRLINMRFAHAKLVDLVVLGCKGLISISIHAEKLKYFSYKGHKVDIEYECTPVLHKLRAFFVKNNECPLDFLGHLPNLTALTLQFPTCLQVSRVLQHSKRFAGLKNIVLCLLTSWKTSIRSVAYLLKDAPLVETLVLQVYGNLQPQSKLKIIWPKKCILGRLHAIRIRGFSGEPELMRLLSFLLKRSPWLKKLDIDTHPHKYSGFNKWKRKKSEDATRCYYARGVALTHLPLEIPSTVTLRVR >KQL22551 pep chromosome:Setaria_italica_v2.0:II:3482172:3486885:-1 gene:SETIT_028772mg transcript:KQL22551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSISPPGTSKQSAVRKPSPGSSLKDLCLVSKQGSIAEVESALALLKKSGGNIDGRNAFGLSALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCIAGVLLQFGASLTLEDTKGRTPVDLLSCPVSQANGDSPDAVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDTLHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATEVGELFTWGSNREGQLGYPSVDTQSTPRRVSSLKQRIIAVAAANKHSAAVADTGEVFTWGCNKEGQLGYGTSNSASNCIPRMVEYLKGKVFRGVSAAKYHTIVLGVDGEVFTWGHRLVTPRRVVIARCLKKGGNTNLKFHRMERLQVVSVAAGTMHSTALTADGALFYWVSSDPDLKCQQIFSMCGRNIVSISAGKYWTAVATSTGDVFMWDAKKRKDEMPLFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKIQSINSMSEWNSGMEELDEDILFNDVQPDSGLSGSSGEMSKTVPSLKSLCEKVAVEYLLEPKNAIQLLEVADSLEAKELKKHCEDLAIRNLDYIFTVGAPSIMNASPEILASLEKLLDEKSSEAWCQRRLPTMTATYPAVIDSDGEEDEAIEFLKPRKCGKSASRPSGMSSQENFLQKDCTAEQAVSKQIRALRKKLQQIEILEAKQLTGHQLDDQQLAKLESRAALEGELAELGVPSEAYSRTSSVCPAESRTNRKPEVSKKQKRKNKQAQQSNTPSAKSETEQQIPVKDLQEVLPTNVSAEKQEVCAADPIKHTEDAAFSNTKGIASPLEKKPSQPTSSKKKNRKGGLSLFLSGALDDTPKPSLPAPVVHVTPKHEGPAWGGAKITKGPASLRDIQSEQRKTNEPVLAKAKDRFENSPDSAGRVRLSSFIPDAHSSPIAVTPARSLPSSEGDRSTPPWSSSATSPNVSRPSLRDIQMQQEKRHHSISHSPKTRTSGFAIPSHGGSPEVGGVKDNVPNRWFKPETDAPSSIRSIQIEEQAMKDFKRFYSSVRIVKPQV >KQL22552 pep chromosome:Setaria_italica_v2.0:II:3481801:3488661:-1 gene:SETIT_028772mg transcript:KQL22552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSISPPGTSKQSAVRKPSPGSSLKDLCLVSKQGSIAEVESALALLKKSGGNIDGRNAFGLSALHLATWRNHLPIVRRLLDAGADPDARDGESGWSSLHRALHFGHLCIAGVLLQFGASLTLEDTKGRTPVDLLSCPVSQANGDSPDAVATEVFSWGSGTNYQLGTGNAHIQKLPCKVDTLHGSYIKTVAASKFHSVAVSSDGELYTWGFGRGGRLGHPDIHSGQTTAVITPRQVTVGLGRKRVNVVAAAKHHTVIATEVGELFTWGSNREGQLGYPSVDTQSTPRRVSSLKQRIIAVAAANKHSAAVADTGEVFTWGCNKEGQLGYGTSNSASNCIPRMVEYLKGKVFRGVSAAKYHTIVLGVDGEVFTWGHRLVTPRRVVIARCLKKGGNTNLKFHRMERLQVVSVAAGTMHSTALTADGALFYWVSSDPDLKCQQIFSMCGRNIVSISAGKYWTAVATSTGDVFMWDAKKRKDEMPLFTRVHGVKRATSVCVGETHMLVLSSIYHPEYPPKPKIQSINSMSEWNSGMEELDEDILFNDVQPDSGLSGSSGEMSKTVPSLKSLCEKVAVEYLLEPKNAIQLLEVADSLEAKELKKHCEDLAIRNLDYIFTVGAPSIMNASPEILASLEKLLDEKSSEAWCQRRLPTMTATYPAVIDSDGEEDEAIEFLKPRKCGKSASRPSGMSSQENFLQKDCTAEQAVSKQIRALRKKLQQIEILEAKQLTGHQLDDQQLAKLESRAALEGELAELGVPSEAYSRTSSVCPAESRTNRKPEVSKKQKRKNKQAQQSNTPSAKSETEQQIPVKDLQEVLPTNVSAEKEVCAADPIKHTEDAAFSNTKGIASPLEKKPSQPTSSKKKNRKGGLSLFLSGALDDTPKPSLPAPVVHVTPKHEGPAWGGAKITKGPASLRDIQSEQRKTNEPVLAKAKDRFENSPDSAGRVRLSSFIPDAHSSPIAVTPARSLPSSEGDRSTPPWSSSATSPNVSRPSLRDIQMQQEKRHHSISHSPKTRTSGFAIPSHGGSPEVGGVKDNVPNRWFKPETDAPSSIRSIQIEEQAMKDFKRFYSSVRIVKPQV >KQL27194 pep chromosome:Setaria_italica_v2.0:II:48454093:48459237:1 gene:SETIT_029192mg transcript:KQL27194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLNLRSSFHILLSIQLLLSLFNALVVADLASEKQALLDFISAVSHGNKLNWDKNTSSCSWHGIKCSADQSHIFELRVPAAGLIGAIPPNTLGKLDSLQVLSLRSNRLTGSLPSDVASLPSLRSIYLQHNEFSEQLPSSFSPSLGVIDLSYNSFTGEVPASLQKLTQLTVLNLQDNSFFGSIPDLKLPSLKLLNLSNNELKGPIPRSLQTFPNGSFSGNPGLCGLPLAECVVPSPTPSPESSSLPQSPPLPHHKKKLGTGFIIAVAVGGFALLMLVVVVLVVCFLKRKHKDESDVESKGKGTVTRSEKPKQEFSSGVQIAEKNKLVFLEGCTYSFDLEDLLRASAEVLGKGSYGTAYKAILEDGTVVVVKRLKDVVAGKREFEQQMELIGRLGKHANLVPLRAYYYSKDEKLIVYDYIDTGSVSAMLHGIRGVTEKTPLDWNSRVKIILETAYGIAHIHAEGGTKLTHGNVKSTNVLVDKNHNPSVSDYGLSALMSVPVNASRVVVGYRAPETVENRKITQKSDVYSFGVLLMEMLTGKAPLQTQGNDDVVDLPRWVHSVVREEWTAEVFDVELMKEQNIEEELVQMLQIAMACTARSPDRRPTMEELIRMIEGLRQSATESRASSDEKPKESNPPSV >KQL24630 pep chromosome:Setaria_italica_v2.0:II:31828071:31832248:-1 gene:SETIT_030568mg transcript:KQL24630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGRRLDLPVVDLASPDLRAAATSIRQACVEYGFFYVVNHGIERALMERVLAESRKFFERPVEEKMALRKNSSHRGYTAPYSEKVDDNPDSRGDSKETFYIGPEEDDDSHNNVNQWPSEECFPSWRKTMMSYHANALVTGKRILSLIALSLDLDAEFFQKNGAFEIPTAVLRLLHYAGNVNASDDRNIGAAAHSDYGMLTLLATDGTPGLQICREKDRHPQLWEDVHHINGSLIVNIGDLLERWTNCVFRSTLHRVVPVGKERYSVAFFIDPSPNLVIQCMESCCNEAYPPRFPPIKSGDYLEERLSSTYKLATA >KQL23737 pep chromosome:Setaria_italica_v2.0:II:17153257:17157004:1 gene:SETIT_029155mg transcript:KQL23737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNLRVPVRIQTPSRFFPPRRRLPLRCLPLSRLGAELLPSAAATAPPLLPSAAGATTPSSPPPPAPLPSHSHPTEPPPPSLAEASCRAEQKRINTDLRPSTHGPFLAARSVAVMGRRPRRREPPASNHTHGPTEAVRDERVTEGVRPAGKKLRCERSKLLCEGGFGKAFKLEVLRESTDGFSDERLLGEGGSIRVLILKQARLQNGDMIAVKKFTSTILGINDTQFENEASHLMRLKQPNIVQLVGYCSHTEMVPVVHEGAYVLAENLNLELCLCGQSMNLLGLIGTHYKIIEGICYGLHYLHEKWQAGTPIIHMDLKPKNILLDDNMVPKIADFGLSRLFGVEQTQACTTSQFGTIGYMAPEYRDKGLITKKLDIFSLGVIIIEIMTGRRDYPDEIETSSQEFIELVLKNWRNRLEKAQRYTSGISPFPSSHREIDYLQIRRCIQIGLACVKHDLAKRPTALKIMNMLHGLEGPEEEVRAGSARRIDPTKRHRDCLLEVDDSEPRSLMLDDMKSKVAKLTEKGGLLNAEAIEKLVHLLQLDQTEEKMDVSDRVKLADVIAATENPVWLDRLVQSRGLLVLNSWVDEAHQKEADKPMQELLLALLRALAILPINLSALQSCSIGKSVNHLRSHRNLEIQKKAKSLVEDWKRRVDTEMKSNL >KQL26088 pep chromosome:Setaria_italica_v2.0:II:42262291:42266752:1 gene:SETIT_029166mg transcript:KQL26088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSPELLQVLTAGNAARLMEVLSSEGQTNGHVAIDVQPAAPTAVAPPGQATSSSCLLGVTSNGNTALHLAASRGHAELAALLCEKAPSLVATRNRGLDTPLHCAAKAGHREVAACLLSAMRAGGEEAAAALRARNCLGATALYEAVRHRRVGLVDLLMTEAPELSSLAAEDGFSPLYLAASIDSLQMVQRIVRPSLDGTPSPASYSGPKGRTALHSAVIAIREMTREILKWEPEGPTLLTKVDSSGRTPLHLAIIYEQLDVIGLLLDVPTSDKQARTSDHRGLFPIHTAAMVGSTRIIDKLVEKCPDYCEMVDDQGRNFLHCAIEHNQETVVRHICQNYTSAVLLNAMDYDGNTPFHLAVKYRFPRIVTLLLQTVIVEIGITNKDGLTARDLGLRGLVRGRLYFPLDPLWIVVHCLRWSGASVADPYLLVDNVPTGAEEETSHEDYDTKSGTIGSVLIATVAFAAAFTVPGGFVADDHPNAGTAILARRFAFRAFVVSDTMAFVCSVVATSFLIFGGAREIPRNRRRTYNRAAPLLVSMGAVSMITAFAFGLDLVLGNANPGLVVFVHLACLFTVVQSSAVWVATDLVGLVTAVHRRAGWRGLFNVRRRPSGLRQWFRALSGSLLYKYLVWPLFLLLITATFIVAIALNIALPNY >KQL26334 pep chromosome:Setaria_italica_v2.0:II:43821645:43822147:1 gene:SETIT_033529mg transcript:KQL26334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGLHEPGGEYLSLVDRVRAYLNGLKLSLKFKV >KQL27007 pep chromosome:Setaria_italica_v2.0:II:47342429:47356845:-1 gene:SETIT_028677mg transcript:KQL27007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGCMEHRGGCGADNDSGDGSGLMSGIPWDLFDDWANKQGLAPFDRKSTGVGMVFLPQDEKSMEEAKAATEKVFSDEGLEVLGWRTVPFNVSVVGRYAKETMPNIQQIFVKVAKEDNPDDIERELYICRKLIERAAKSSSWADELYFCSLSSRTIVYKGMLRSEVLGQFYLDLQNELYKSPFAIYHRRYSTNTSPRWPLAQPMRLLGHNGEINTIQGNLNWMRSREATVQSPVWRGREHEIRPFGDPRASDSANLDSTAELLLRSGRSPAEALMILVPEAYKNHPTLLIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGATLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTAVKKNVASSNPYGTWLQERMRSIKPVNFLSSTIMDNETVLRHQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAVLSQKPHLLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENADQVALSSPVLNEGELESLLKDSKLKPKVLSTYFDIRKGLDGSLDSTIKALCEEADAAVRSGCQLLVLSDRSEAPEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAIREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWNPLTDVVDGYSSTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKANADIIQISGHDGGTGASPISSIKHAGGPWELGLTETNQTLIQNGLRERVVLRVDGGFRSGQDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRAALAQLGYEKLDDIIGRTDLLKPKHVSLVKTQHIDLGYLLSNAGLPEWSSSKIRSQDVHTNGPVLDETILADPEIADAIENEKEVSKTFQIYNVDRAVCARVAGVIAKKYGDTGFAGQLNITFNGSAGQSFGCFLTPGMNVRLVGEANDYVGKGMAGGELVVVPVDKTGFVPEDATIVGNTCLYGATGGRVFVRGKAGERFAVRNSLGQAVVEGTGDHCLEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGAAVLREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTQLSAT >KQL27006 pep chromosome:Setaria_italica_v2.0:II:47343266:47355522:-1 gene:SETIT_028677mg transcript:KQL27006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLNWMRSREATVQSPVWRGREHEIRPFGDPRASDSANLDSTAELLLRSGRSPAEALMILVPEAYKNHPTLLIKYPEVIDFYDYYKGQMEAWDGPALLLFSDGRTVGATLDRNGLRPARYWRTSDDFVYVASEVGVIPMDESKVVMKGRLGPGMMITVDLQTGQVLENTAVKKNVASSNPYGTWLQERMRSIKPVNFLSSTIMDNETVLRHQQAFGYSSEDVQMVIESMASQGKEPTFCMGDDIPLAVLSQKPHLLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRGNILEVGPENADQVALSSPVLNEGELESLLKDSKLKPKVLSTYFDIRKGLDGSLDSTIKALCEEADAAVRSGCQLLVLSDRSEAPEPTRPAIPILLAVGAIHQHLIQNGLRMSASIVADTAQCFSTHHFACLIGYGASAVCPYLALETCRQWRLSNKTVNLMRNGKMPTVTIEQAQRNFIKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGQEVVDLAFCGSVSKIGGLTLDELGRETLSFWVKAFSEDTAKRLENFGFIQSRPGGEYHANNPEMSKLLHKAIREKSDNAYTVYQQHLASRPVNVLRDLLELKSDRAPIPIGKVEPATSIVERFCTGGMSLGAISRETHEAIAIAMNRIGGKSNSGEGGEDPIRWNPLTDVVDGYSSTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAEQIEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQINPKAKVSVKLVAEAGIGTVASGVSKANADIIQISGHDGGTGASPISSIKHAGGPWELGLTETNQTLIQNGLRERVVLRVDGGFRSGQDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLFVAEEVRAALAQLGYEKLDDIIGRTDLLKPKHVSLVKTQHIDLGYLLSNAGLPEWSSSKIRSQDVHTNGPVLDETILADPEIADAIENEKEVSKTFQIYNVDRAVCARVAGVIAKKYGDTGFAGQLNITFNGSAGQSFGCFLTPGMNVRLVGEANDYVGKGMAGGELVVVPVDKTGFVPEDATIVGNTCLYGATGGRVFVRGKAGERFAVRNSLGQAVVEGTGDHCLEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLVPKVNKEIVKMQRVNAPAGQMQLKGLIEAYVEKTGSEKGAAVLREWEAYLPLFWQLVPPSEEDSPEACAEFERVLAKQATTQLSAT >KQL23317 pep chromosome:Setaria_italica_v2.0:II:10741141:10745310:-1 gene:SETIT_030459mg transcript:KQL23317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAAAKRARESAEDAVAAGAGAEVAGAGAGDQAGISAVIPGWFSEISPMWPGEAHSLKVEKVLFQGKSDYQNVMVFQSSTYGKVLVLDGVIQVTERDECAYQEMITHLSLCSIKDPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVDVSKQFFPHLAVGFEDPRVSLNIGDGVAFLKNVPEGTYDAVIVDSSDPIGPAQELFEKPFFQSVARALRPGGVVCTQAESIWLHMHIIEDIVANCRQVFKGSVNYAWTTVPTYPSGVIGFMLCSTEGPTVDFQHPVFNIEEDEYSTKSKGPLKFYNSEIHTASFCLPSFAKRVIEAKAN >KQL26032 pep chromosome:Setaria_italica_v2.0:II:41923044:41929259:-1 gene:SETIT_028861mg transcript:KQL26032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALSKSAVKSHPRSPTTAQPPPPPNPGAAAAGGGAAAAAPSSGPAAGAMPSKNAAMAELKSRVLAALAKLSDRDTHHIAVEDLDRIIRAPPSPDAVPMLLNALASDSQGLASPARRESLRLLATLCASHPDAAAPHLHKALAHLARRLKDPASDTSVRDACRDAAGQLAAVYLRPLAASGVAEAGNATVTLFVKPLFEVMGEQSKAVQGGAAACLAKTVEGAGPGPGVIGMFGKLGPRICKLLGGQGVQAKAALLGVMGSLAQVGAISSQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATHSGHLIGDGAAPTIAALEACRFDKVRPVRDSMIDAVQLWKKLTGEDANDGRNKDPADGEGKLDTKRSMQRSGKSESFEDSSPDSPSNNAKGSSIAEKAAVLLKKRSTLTDRELNPEFFQKLETRKTDGLAVEVVVPRKTLQSHLRSEEPEEDGDHVGPANSNGSAEDEANLTQMRASSNFQNIRDRWTNQRGNRNKDAKARASDVEDRGEPSAKDTMNIPGEGPFINNKTNWLAIQRQLSHLERQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMAREISLSSGRRGGGPALGFDSSPGRSSKYNGFHEYSNSKFGRSGDGRMGFAERYFSADGASGLRSPSWRPDSEQWDYAYSGSRSGMNARRGLDSVSSDNRMPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGSSRAAARVAIPELDGEALNDDNQGDERGPLWDAWTRAMDAVHVDDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDVALTWLQQNPGFSFGLE >KQL26031 pep chromosome:Setaria_italica_v2.0:II:41922217:41929259:-1 gene:SETIT_028861mg transcript:KQL26031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALSKSAVKSHPRSPTTAQPPPPPNPGAAAAGGGAAAAAPSSGPAAGAMPSKNAAMAELKSRVLAALAKLSDRDTHHIAVEDLDRIIRAPPSPDAVPMLLNALASDSQGLASPARRESLRLLATLCASHPDAAAPHLHKALAHLARRLKDPASDTSVRDACRDAAGQLAAVYLRPLAASGVAEAGNATVTLFVKPLFEVMGEQSKAVQGGAAACLAKTVEGAGPGPGVIGMFGKLGPRICKLLGGQGVQAKAALLGVMGSLAQVGAISSQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATHSGHLIGDGAAPTIAALEACRFDKVRPVRDSMIDAVQLWKKLTGEDANDGRNKDPADGEGKLDTKRSMQRSGKSESFEDSSPDSPSNNAKGSSIAEKAAVLLKKRSTLTDRELNPEFFQKLETRKTDGLAVEVVVPRKTLQSHLRSEEPEEDGDHVGPANSNGSAEDEANLTQMRASSNFQNIRDRWTNQRGNRNKDAKARASDVEDRGEPSAKDTMNIPGEGPFINNKTNWLAIQRQLSHLERQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMAREISLSSGRRGGGPALGFDSSPGRSSKYNGFHEYSNSKFGRSGDGRMGFAERYFSADGASGLRSPSWRPDSEQWDYAYSGSRSGMNARRGLDSVSSDNRMPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGSSRAAARVAIPELDGEALNDDNQGDERGPLWDAWTRAMDAVHVDDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDVALTWLQQLTDLVMENGSDYLGIPLDAKQDLLLGLHEATAIELPDDWEGATPVQIMKQLASSWRIDLQQLVN >KQL26030 pep chromosome:Setaria_italica_v2.0:II:41923179:41929259:-1 gene:SETIT_028861mg transcript:KQL26030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALSKSAVKSHPRSPTTAQPPPPPNPGAAAAGGGAAAAAPSSGPAAGAMPSKNAAMAELKSRVLAALAKLSDRDTHHIAVEDLDRIIRAPPSPDAVPMLLNALASDSQGLASPARRESLRLLATLCASHPDAAAPHLHKALAHLARRLKDPASDTSVRDACRDAAGQLAAVYLRPLAASGVAEAGNATVTLFVKPLFEVMGEQSKAVQGGAAACLAKTVEGAGPGPGVIGMFGKLGPRICKLLGGQGVQAKAALLGVMGSLAQVGAISSQNMPQTLQSIRDCLENSDWATRKAAADTLCVLATHSGHLIGDGAAPTIAALEACRFDKVRPVRDSMIDAVQLWKKLTGEDANDGRNKDPADGEGKLDTKRSMQRSGKSESFEDSSPDSPSNNAKGSSIAEKAAVLLKKRSTLTDRELNPEFFQKLETRKTDGLAVEVVVPRKTLQSHLRSEEPEEDGDHVGPANSNGSAEDEANLTQMRASSNFQNIRDRWTNQRGNRNKDAKARASDVEDRGEPSAKDTMNIPGEGPFINNKTNWLAIQRQLSHLERQQTSLMNMLQDFMGGSHDSMVTLENRVRGLERVVEEMAREISLSSGRRGGGPALGFDSSPGRSSKYNGFHEYSNSKFGRSGDGRMGFAERYFSADGASGLRSPSWRPDSEQWDYAYSGSRSGMNARRGLDSVSSDNRMPRNERSNDQAGPRRGWDKGQGPFRFGEGPSARSAWRASKDEATLEAIRVAGEDNGSSRAAARVAIPELDGEALNDDNQGDERGPLWDAWTRAMDAVHVDDMDSAYAEVLSTGDAELLVKLMEQTGPVVDQLSNEVANEVLHAVGQFLVEESFYDVALTWLQQNPGFSFGLE >KQL24857 pep chromosome:Setaria_italica_v2.0:II:33920568:33924912:-1 gene:SETIT_029228mg transcript:KQL24857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISGAAAPPSSAACRLRLRRHLLLRPSHLRLRAPHSIADLSRSSSSSDSAPTPARPLGSRAENGSGGCRAVEKDPIKLWERYVEWLYQHKELGLFVDVSRMGFTEEFLQQMEPRMQRAFAAMRDLEKGAIANPDEGRMVGHYWLRNPALAPNSWLRDKIETALDSILAFSQDVVSGKIQSPSGRFTSILSIGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELATTLVIVISKSGGTPETRNGLLEVQKAFRDAGLEFSKQGVAITQEKSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIKEMLVGAALMDEETRNTVVKENPAALLALCWYWASEGIGNKDMVVLPYKDSLLLLSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYANDRESISVTVQEVTPRAVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLIVLNEATCKDPAEPLTLDEIADRCHCPEDIEMIYKIIQHMAANDRAIIAEGSCGSPRSVKVYLGECIVDEDMQAA >KQL26840 pep chromosome:Setaria_italica_v2.0:II:46578548:46580805:1 gene:SETIT_029491mg transcript:KQL26840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGWLLSAAAAAALASCVFDALVRLVWRPRAVARRLRAQGVRGPGYSFFDGNLSDIRRLRAAGAGVKLDVADHDFTPIAQPQFREWIPLYGRVFLYWFGSTPDICVADYAMAKQVLADRTGLFPKNRMNANLLRLLGEGLVLANGDDWQRHKKVVHPAFNMDKLKMMTATMADCARSMVTRWEAQLASQREKGCQQVTIELSDEFEELTADVISHTAFGSSYKEGKQVFQALKELQFITFSTLFSVQIPGFRYLPTEKNRRVWKLDREVRATLTKIIRNRLAAKDKAGYGNDLLGLMLEACAPEHGGDQLLSMDEIIDECKTFFFAGQETTSHLLTWVLFLLSTHPEWQDKVREEVRRECGGDKDRAPTHDMLNKLKLMNLFVLETLRLYSPVPLIRRRTRTAVELGGIVVPGDAILTLPIATMHRDKEVWGDDAGEFNPLRFDGGVTKTAPKNLSALLAFSSGPRSCIGQNFAMVEVRAVVAAILQRFMLTLSPEYVHAPTDVITLRPKHGLPMIVTRADA >KQL26355 pep chromosome:Setaria_italica_v2.0:II:43920029:43924961:-1 gene:SETIT_029514mg transcript:KQL26355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGSGDYASSDPAGHYYPHQYAPPDSNPYPAATDASAAGAGGYVSASAPPYSVGGGYSDQPPSAPAYSQPPPPQPQYGAVGYPPYHTNPAPYPPEPYYNYTPPPTQSTPPPAAEPNPPPLPYDAPYYGGGYQPPAAGYDNEDYLNEGAYAYRGGGGSEPYGARGTAPARSGSALFDDYGRSISVPSGGEQQPWSGGGGGSGGGGSFGAIARALPKVDTHEDESGGAQKFRVKLLPEGAGNPTDVLCQIGLDGIRMLDPNTSRTLRIYPLDSLTRWEVLDSTIFAFWAKTSVDIDPKRIRLKSNSYTSNTMLDTVTAATVQFKEIGGDAKSKGTVDAGNPTVQSNEKKKGFDWMFAKPVDEVKDHWVPDEVAKKCHSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRIALTAEDNAPLVRVCDRCMAEVTQRLSMAKEATSRSATVQSHGDLARKLKEEMERNRKSSGPVSGGGASGTRMREVACPTCTVHLQVQVPTSGSETVECGVCQHAFLVSSN >KQL26356 pep chromosome:Setaria_italica_v2.0:II:43920665:43924807:-1 gene:SETIT_029514mg transcript:KQL26356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGSGDYASSDPAGHYYPHQYAPPDSNPYPAATDASAAGAGGYVSASAPPYSVGGGYSDQPPSAPAYSQPPPPQPQYGAVGYPPYHTNPAPYPPEPYYNYTPPPTQSTPPPAAEPNPPPLPYDAPYYGGGYQPPAAGYDNEDYLNEGAYAYRGGGGSEPYGARGTAPARSGSALFDDYGRSISVPSGGEQQPWSGGGGGSGGGGSFGAIARALPKVDTHEDESGGAQKFRVKLLPEGAGNPTDVLCQIGLDGIRMLDPNTSRTLRIYPLDSLTRWEVLDSTIFAFWAKTSVDIDPKRIRLKSNSYTSNTMLDTVTAATVQFKEIGGDAKSKGTVDAGNPTVQSNEKKKGFDWMFAKPVDEVKDHWVPDEVAKKCHSCAVDFSPFNRRHHCRNCGEIFCDKCSQGRIALTAEDNAPLVRVCDRCMAEVTQRLSMAKEATSRSATVQSHGDLARKLKEEMERNRKSSGTSCLPSLLQVQITDYSASEF >KQL22109 pep chromosome:Setaria_italica_v2.0:II:11409:16236:1 gene:SETIT_029055mg transcript:KQL22109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFFCFSSSTAQHRSKEGFSPADEAMRAASNNAQEQKVNCMTGSANPNQMADENNHSAVSGHYGTSPSSHQECCRSEDLNRYACSDEEGKEVGHLKKSQSLGNMLQKDHDHNCCEGTEYDFTDHEHKCHHSITVVGEPTKVCSPKNENAFDASSDLISHDFCEPSGDHAVDSDSHHRISYAQSKFPRSQSAIFQNESTSDREGSVDSEILGSRCRSYEGLCSLIDEKVDYLSGGEMHRCKSNLDVYCAPSSPDVYQKLNIEGNGSVGCSDAAEEGQRSAGSTEENFIRDGILVGHEYWDGKYICGEHSVDPVVPFCADSGDVFHHSGHDDGLSEAMDQEREEKLWNRDSAHHQSLVVEVPDSVNISDTKDISGEAEHNKTDIDEDPNELTPRTYNIKRIEDWINQINIDDVTLDELGESSISAPAIPSEPTVGVPVRPDAKSPLGMEIAYTYISKLTPASSSAQLANLGLVVIPRLSAFSGLRLLNLSGNSIVRVTAGALPKGLHMLSLSKNNISTIEGLRELTRLRLLDISYNRISRIGHGLASCSSLKELYLAGNKISEVDGLHRLLKLKVLDLRHNKISTSKGLGQLAANYISLEAINLDGNPAQKNVGDEHLKKYLLGLLPNLAVYNKHPIRATGSKEVSDRHTRKISSSHRSDRGGRSDRKSSKLVGASSSHKPQSSRHARSGYASGSMLKHSRARNMPTTLLGSRPTEHVSAIDAVKQAQLQGNTQ >KQL24480 pep chromosome:Setaria_italica_v2.0:II:30588493:30589474:-1 gene:SETIT_031658mg transcript:KQL24480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAGGTEPVTDGHHAHVERRLYEEGTQTQGRRPNNERTASNRGRSTFTAHLYRSHYNLALLACCKSLQLQPAGVNRDASQNTRVHRSGWS >KQL27079 pep chromosome:Setaria_italica_v2.0:II:47709631:47710893:-1 gene:SETIT_032077mg transcript:KQL27079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEAGAKKPQLTGPLSRLSEDNITDILARLPAKSVLRCGAVCKAWRGITTDPGFLAAHARLRPTDVVMYTYEYWSPSSPTPSPSLLYWDDDDHFVDVELHAVPVSSDEAGRRHLIRYPDTQAWLLLASSDGVLLFKKDEGFYLLCNPTTRQWAQLPRLPRAQQDSRNHTDREFAFYLDIPSGEFRLLCRRSLTTNGTWCILSTGGAAEPRQVDMAAAESSGITQLVPSLHTKETHVAFRGRLHWPPHQACTVTGRTEMVVFDMSLERFHLMAGPSTTTGKFTKLFIGMDELLGAADFGKAASHVDLWFLGDYDDNKSWELRHRVATPWAGSPGGDGRPLLPVDLMSVAAAGDGEGNVMLGNHKGLVVYNVRRKKTVRTVDTVAKPDALMTRHVFKESLVQQPGFVAAEQSSLDLSLVRF >KQL25238 pep chromosome:Setaria_italica_v2.0:II:36833992:36838368:-1 gene:SETIT_032696mg transcript:KQL25238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEGVAVGCGEEVVVELEDAVKLLVEHLVLPALPRGTLLREEALEPEKQETVARQMHATVLLYNYYHRKQFPQLEFADPERFCMTASLTAGDALLVYLNQVHDHHGNGAGDGLSVTDKAVIEACDIAEALDATKDSPEMTMWPISKVAVLLLDRTKKMCLLEHGSETKGVFSLLEKDIKSALGGSRSSDLSVKESTSKSVVLPSEPLVLQQIAYSEVELKTGINRTSLRFLEEHRVYSLSKKGTTTMLFVMQYDQTVNSKLKEMPIEVLIDRMSGPVFRRDPYLATTNVVECYHVLPYKEVVLNIQNREWPLDCLLSVPDVDPVTKNHALESQKEKVAEKSGGITGSMNNVQKYATLQLLQKMRDDSLRELCKLGDRSAQYEMEIQTILTEGEMTPKVTSILKKYENSWNKMEEEETERGHPSTQQMPGKKPHLMERPCRNWMTYAVTATGFFQDTTYFLQLQVASVYLTGPDFVLSADEDSKVTPHEARDAAASNMLYQLRQKAMES >KQL25758 pep chromosome:Setaria_italica_v2.0:II:40301839:40303272:-1 gene:SETIT_030648mg transcript:KQL25758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAAATFLSTLARATASLSSSAKVVRFLPAAQAGQGRRRAVLSAPRAAVSGTEKAPPPPSDKGQSKDERVVKVNNAEEFDGALKAAKNRLVVVEFAASDNESSSQIYPTMVQLSRTCGDVDFLLVMEDESEATKELCRREGITQVPHFSFYKGAEKVHEEEAIGPERLAGDVLYYGDSHSAVVQLHSREDVEALIDEHRGDKGKLVVLDVGLKHCGPCVKVYPTVVKLSRSMAETTVFARMNGDENDSCMQFLSDMDIVEVPTFVFIRDGKIVGRYVGSGKGELVGEILRYNGVRVTY >KQL22997 pep chromosome:Setaria_italica_v2.0:II:7228629:7231733:1 gene:SETIT_030899mg transcript:KQL22997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRLASLLLEEARRLQAEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWHAREKELELESKMKGRSKDRDDYRGEKRKSELRNHSSSSRVQQEGTTYNISYSDQEDGLRDDEIERFLHSRVKRGRGAIGSRMDEPGPYLDSSSRGHDIGPSADIRMEEKWERRVQGPEKPSFLRSKSPDDHWCKEMLDGRGSSSEPQSKKEKKRKSGKKEKRDKKKEKDAKKSKHRHHKSRRRE >KQL22998 pep chromosome:Setaria_italica_v2.0:II:7228629:7231733:1 gene:SETIT_030899mg transcript:KQL22998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRLASLLLEEARRLQAEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWHAREKELELESKMKGRSKDRDDYRGEKRKSELRNHSSSSRVQQEGTTYNISYSDQEDGLRDDEIERFLHSRVKRGRGAIGSRMDEPGPYLDSSSRGHDIGPSADIRMEEKWERRVQGPEKPSFLRSKSPDDHWCKEMLDGRGSSSEPQSKKEKKRKSGKKEKRDKKKEKDAKKSKHRHHKSRRRE >KQL24377 pep chromosome:Setaria_italica_v2.0:II:29308791:29309316:-1 gene:SETIT_031594mg transcript:KQL24377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSASPTPRSKSRPKAGQHTPGPHLLSTLEADRSTHSGSGHHRGGHNNHAGGQLEPVHEEKVEQPVNPPANNAHQLDNHDNRRCRRRGDGKNRGRQDRQPDLRE >KQL26931 pep chromosome:Setaria_italica_v2.0:II:46966411:46971153:1 gene:SETIT_029572mg transcript:KQL26931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKKQTVSDLDSTSSSRRRGVRADGPPDAMPPSLQTLTTPTLLLLLLTATAVSCSALPPEDGIRILSAEKRIDLTGSIVKVYLTLKVENAPAASDASQVLIAFTPTEAQHLAIVKATRAEGKRKKKTYAPLSVEASDLATTALNGARLYSVLLGTPLKPGEATTIEVLYVLTHSLEPFPAEISQSESQLVYFRDSAVLLSPYHVLEQVTYIKTPSNRIESFTRVDPTSRAGTEVKYGTYKNQAPNSYLPILVHYENNRPFAVVEELVRKVEISHWGNVQITEHYKLKHGGARHKGVFSRLEYQSRPSISGASSFKNLLARLPPRVHSIYYRDEIGNISTSHLRIGSLKSELEIEPRYPLFGGWHCTFTIGYGLPLEDFLFESEDGRRYINLTFGCPLLDTVVDDLTIKVVLPEGSKNPQPVVPFVTEKHLETSYSYLDVVGRTTVVLKKKNVVGEHNVPFQVYYEFNPIFMLAEPLMLVSAVLLFFVACIAYLHMDLSIGKSS >KQL23833 pep chromosome:Setaria_italica_v2.0:II:20750074:20751437:-1 gene:SETIT_032464mg transcript:KQL23833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVASIYHGGTVERDEYGCVPGLDRAYLDYINEIDALTAYSPYEGEDALPFTLSFMCGLDDDLYRMVCPLICFYAVEYHLPDRVARQFGMRQICPTPATSTSVELHSVDRKKKWKVSEWAAFHQAYIEEWEQFHDNVDENDEPHTNSATRHRLKAAWTEDDYADIHSSDDEDTVYDQSTRAGRQVEVGPILDRMRLSNRLRRAAARCGCRTTTTRDVHVPSLREGDVGTSSQGPLGSKAIASEDEDDDDDDDEQRAEELGPSQLQEAPLTQPT >KQL24130 pep chromosome:Setaria_italica_v2.0:II:26583222:26586449:1 gene:SETIT_028923mg transcript:KQL24130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSAVVLVVLLLLEPSPCASDDRLDLGKPLSPGTTIVSDDGGFALGFFTPTNSTSTPAKLYLGIWYNDIPTLTVVWVANRETPATNTTSSTPTLSLTNTSNLVLSDASGVVLWATNVTAVSGSSSPAAAAAMLLNTGNLVIRSPNGTAIWQSFDHPADSFLPGMKIRLAFATRAGVRLVSWKAPGDPSPGTFSYGIDAGTALQLFLWNGTRPLMRDGPWTGYSIASRYQANASVFVYQAIVSTDEEIYLTYTLSDGAARARFVVTVAGRYQLQSWKGGGSSAWSVLGDWPAWECSRYGHCGPYGYCDNTVAAPTCRCLDGFEPADAEEWSGGDFSRGCQRKEALRCAAGDGFLALPGMKSPDKFVRVANRTRDECAAECAGNCSCVAYAYANLSTTTTAGDVTRCLVWAGDLIDTEKMGDVVGSDTLYLRLAGLDAAVQGVRGKSNALRIALPTVLTTSILIITGIFVSWFKFRGKRRSNKEYNTKVSLVTTSSSDVLVEGSPAQDFELPFIKFEDIETATHNFSEAYKIGQGGFGKVYKAMLGGQEVAIKRLSKDSEQGTEEFRNEAILIAKLQHRNLVRLLGCSVEGGEKILVYEYLPNRSLDAILFDSSRKTSLDWPTRFNIIKGVARGLLYLHQDSRLTIVHRDLKAANVLLDADMRPKIADFGMARIFNDNQKNANTRRVVGTYGYMAPEYAMEGVFSVKSDVYSFGVLILELVTGTKRSSFNTIKGFPNLMIYAWNMWKDGKGKDLVDPSIMDTCSQDEVLLCSHMALLCAQENPNDRPFMSSVVLALENGSTTLPTPKNPGHYAQGSSDMEQIRDRIDNSMNSLTLTNIEGR >KQL24129 pep chromosome:Setaria_italica_v2.0:II:26583222:26586449:1 gene:SETIT_028923mg transcript:KQL24129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSAVVLVVLLLLEPSPCASDDRLDLGKPLSPGTTIVSDDGGFALGFFTPTNSTSTPAKLYLGIWYNDIPTLTVVWVANRETPATNTTSSTPTLSLTNTSNLVLSDASGVVLWATNVTAVSGSSSPAAAAAMLLNTGNLVIRSPNGTAIWQSFDHPADSFLPGMKIRLAFATRAGVRLVSWKAPGDPSPGTFSYGIDAGTALQLFLWNGTRPLMRDGPWTGYSIASRYQANASVFVYQAIVSTDEEIYLTYTLSDGAARARFVVTVAGRYQLQSWKGGGSSAWSVLGDWPAWECSRYGHCGPYGYCDNTVAAPTCRCLDGFEPADAEEWSGGDFSRGCQRKEALRCAAGDGFLALPGMKSPDKFVRVANRTRDECAAECAGNCSCVAYAYANLSTTTTAGDVTRCLVWAGDLIDTEKMGDVVGSDTLYLRLAGLDAAVQGVRGKSNALRIALPTVLTTSILIITGIFVSWFKFRGKRRSNKEYNTKVSLVTTSSSDVLVEGSPAQDFELPFIKFEDIETATHNFSEAYKIGQGGFGKVYKAWNMWKDGKGKDLVDPSIMDTCSQDEVLLCSHMALLCAQENPNDRPFMSSVVLALENGSTTLPTPKNPGHYAQGSSDMEQIRDRIDNSMNSLTLTNIEGR >KQL25857 pep chromosome:Setaria_italica_v2.0:II:40980261:40988251:-1 gene:SETIT_029060mg transcript:KQL25857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRFVVRQGPAAVTSGEGEAEEEHEVEYDTEHGIDVLRLQIFSLTSVPPDLQKIVVEADGSVVDDGTDLESLSERLRVLAIGEEEGEDAAAAAVEAARAQEKSDEELARMLQAEEEALLLQQYSVRNDGGEVFRQRVEPYMNQILMYEDPVRQEAARKTVPIHELEEKALASLAKEGNFQPSKDEESHAFLLQLLFWFKQSFRWVNAAPCDSCGCETSNVGMGNPLPSEIEFGASRVELYRCNHCSSVTRFPRYNDPHKLLQTRRGRCGEWANCFTFYCRAFGYEARLILDFTDHVWTECFSNLYGRWMHLDPCEGVYDNPLLYEKGWNKKLDYVIAISKDGVRDVTKRYTRKWHEVLSRRTITSEETISAVLSSITGKYRTGLSTSALTVIENRDKEESDELSKAAYLQVETTLSLPGRLSGSVEWRKARAELGQADSLSCSSCPVRKCVDAHVSNIYDALSSLLSHFCDRKVPKERTIEVFHALKALMLNLKDANFKSRRATLDQKTQQLFEEIFSFIERLFSAISLKAELGTDGHQSVTVVGNPINSSLALPVALDAVEEILSNYKNNIFCTEGNQFPRGNRLSSGSVLASREQLPIGIATAAFDGIHSSKWEEPDGAKGCWLIYKMPDGQTCELESYDLMSANDAPERDPMDWVLEGSTDGGSTWNIIDTRSSEMFEGRFFRKTFTVDKRCKADTFRFKFLLVRESHSNPRFQIGSIDLYGKTV >KQL26072 pep chromosome:Setaria_italica_v2.0:II:42200736:42204170:-1 gene:SETIT_030843mg transcript:KQL26072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWISSCYHVGPNIRKWGHMKLRNVLEECMFDKQFCKSPLIYQLGIGKPLIVRPTVEDVHCSIEGYAAGSCIPSPQKNVGKDFLKKYWSRWKADHVGRCRAMPHIKTFTRYSGQNIAWFLLTSSNLSKAAWGALQKNSTQLMIRSYELVYYSCPQTLQSVPQFSCTERNASNRDGLALGKTIKTKLVTLCWKGDEEKEPSTKTVRLPVPHQLPPRPYGTEDVPWSWDRRYTRKDVYGSVWPRHGVATVCIPSGRFPTLFQC >KQL22240 pep chromosome:Setaria_italica_v2.0:II:851392:854775:1 gene:SETIT_029893mg transcript:KQL22240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPAGAKRERRARLDEDGKEELVDRISRLPDDVLGNIVSFLPTKDGARTQVLSSRWRPIWRSAPLNLEISIAYVSRILSAHQGPGRRFCTQFPYLDPSDRSATLDRWLRSPALNSLQVLEFHLGSPLQNPPLPASVHLFSSTLRAASFGCCAFPEGNSASPLHLPLLKQLSLFDVRISETSFNALLAACPTLQSLLLTNISGCSRVQIVSQNLRSIGFLRTFYRDGHTSLQQLIIKDAPYLERLLCFENGSGMEVTVVSAPQLHRSCIPSSTTVVHSMKVLALTQPDLCLDMVINLLKCFPCLEKLYIETQKAGKKNTWCHKYKNLIGTIEIHLKKIVLTNYRGNTSHVNFAKFFVLNARMLQSMRFELFDQNPSTAWIEKQHGLLQIKKASRVIQFDFVAKNSCSLMLSIEFAGQVHDLLTADPFVKLHNWT >KQL23445 pep chromosome:Setaria_italica_v2.0:II:12906193:12907274:-1 gene:SETIT_033742mg transcript:KQL23445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSITKGAPGSCASSVQTQAYVATTTLSAIRFWICVTRMLLGHARPYLVNYAGRHP >KQL26435 pep chromosome:Setaria_italica_v2.0:II:44367513:44371488:1 gene:SETIT_029418mg transcript:KQL26435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPTSRMVHPFGNVPRQTPKQFLYSGNTQHLCHPYQSASDTHVVPEHHYTMKSHSPDAVSEEHETRKQYTLDSSAASGCSRHDSPSSQSIHTGSGSPLSHEDSHSGSTNGNGSPVSASCVTEDPTDLKQKLKDLEAVMLGTDSEIVDSLEISVANQLSLEPEKWVHMMSMPKGNLKELLIACARAVEQNNSFAIDLMIPELRKMVSVSGEPLERLGAYMVEGLVARLASSGNSIYKALKCKEPKSSDLLSYMHFLYEACPYFKFGYMSANGAIAEAVKGEDRIHIIDFHIAQGAQWISLLQALAARPGGPPFVRITGIDDSVSAYARGGGLELVGRRLSHIAGLYKVPFQFNAVAISGNEMEEGHLGIVPGEAVAVNFTLELHHIPDETVSTANHRDRILRLVKSLSPKVLTLVEQESNTNTAPFAQRFAETLDYYTAIFESIDLALPREDRERINMEQHCLAREIVNLVACEGEERVERHEVFGKWKARLMMAGFRPSPLSALVNATIKTLLQSYSPDYKLAERDGVLYLGWKNRPLIVSSAWH >KQL22961 pep chromosome:Setaria_italica_v2.0:II:6904819:6905718:1 gene:SETIT_032487mg transcript:KQL22961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPTVKTTAVLRQGGGPWTAEEDQKLVSFLVNNGQCCWGAVPKLAGLLRCGKSCRLRWTNYLWPDLKRGLLSPEEEKTVINLHAELGNRWKMGIDPATHKPLQPAPPQPNEEEKVAAASAIVSGVELGNEAFSISEVPMVHLLADVVLPYDLVAGAPPASNSGIDTAYSPEPSSSSSSCSGSATASSCASTVVDGECLDWLEWAESMLLDDVVTGPTPWTFEDPFVTYQRIALFDHQETW >KQL22592 pep chromosome:Setaria_italica_v2.0:II:3713843:3714229:-1 gene:SETIT_033807mg transcript:KQL22592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGDQNAELKFVLNFELLSKCTRKVHLTILLELSTLWLGAHKLKDFS >KQL23279 pep chromosome:Setaria_italica_v2.0:II:10430055:10433442:-1 gene:SETIT_029939mg transcript:KQL23279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTPSSSPLFGTPSSTPAFGTPSTTPAFGTPSSTPAFGATSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTASSSPAFGGLSAFGTPSSTSAFGAPSSTPAFGATPSPSPFGFQQQATPSPSPFGLLGGGGGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSHRLKLQDEVLVSDTDRLSMTHSNVKKLQRHFQADTYPWIQRLKQQELVIQRRLLRVIFCLPVCGCQFTFHA >KQL23278 pep chromosome:Setaria_italica_v2.0:II:10429759:10433442:-1 gene:SETIT_029939mg transcript:KQL23278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTPSSSPLFGTPSSTPAFGTPSTTPAFGTPSSTPAFGATSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTASSSPAFGGLSAFGTPSSTSAFGAPSSTPAFGATPSPSPFGFQQQATPSPSPFGLLGGGGGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSHRLKLQDEVLVSDTDRLSMTHSNVKKLQRHFQADTYPWIQRLKQQELVIQRRLLRFVRIVEALENRGYRSPLTTEEADLYERLVAMLKRLKGPSADLSRRVNTLLSTSRLLASTGGAGGPVYIPNSAKVDERSVTELLEVSLTATN >KQL23277 pep chromosome:Setaria_italica_v2.0:II:10428248:10433442:-1 gene:SETIT_029939mg transcript:KQL23277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTPSSSPLFGTPSSTPAFGTPSTTPAFGTPSSTPAFGATSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTASSSPAFGGLSAFGTPSSTSAFGAPSSTPAFGATPSPSPFGFQQQATPSPSPFGLLGGGGGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSHRLKLQDEVLVSDTDRLSMTHSNVKKLQRHFQADTYPWIQRLKQQELVIQRRLLRFVRIVEALENRGYRSPLTTEEADLYERLVAMLKRLKGPSADLSRRVNTLLSTSRLLASTGGAGGPVYIPNSAKVDERSVTELLEALQQQTEAVAKLGNVLKRDIRDLEIIQSEDTDMAEDSVGRRALKI >KQL23280 pep chromosome:Setaria_italica_v2.0:II:10428248:10433442:-1 gene:SETIT_029939mg transcript:KQL23280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTPSSSPLFGTPSSTPAFGTPSTTPAFGTPSSTPAFGATSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTPSSTPAFGTASSSPAFGGLSAFGTPSSTSAFGAPSSTPAFGATPSPSPFGFQQQATPSPSPFGLLGGGGGQITTQMAPVAPLPLSPSDRDIQAIVDAYKEDPGNPRYAFRHLLFSVTDPSQRVKPVAASDIMWAEAMGKLECMDSADRERLWPQLVQGFKDLSHRLKLQDEVLVSDTDRLSMTHSNVKKLQRHFQADTYPWIQRLKQQELVIQRRLLRVIFCLPVCGCQFTFHA >KQL27230 pep chromosome:Setaria_italica_v2.0:II:48719546:48721709:-1 gene:SETIT_029213mg transcript:KQL27230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGPLLLDHRLPLPADDKGALFGGFGGDCFFGEGDLVNPPPPAHDEIMSFPGGDDSDDGDDDVVGGIDELERRIWRDRVRLRRLKEQQLQQQRGGGRGKEVPRARQSQEQARRKKMSRAQDGILKYMLKMMEVCSAQGFVYGIIPENGKPVTGASDNLRAWWKEKVRFDRNGPAAVARYQGAGGGDGGAVAAAPAGPHSLHELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPQGAEPWWPEAGVPRELGPPPYKKPHDLKKAWKVAVLTAVIKHMSPDVDKVRRLVRQSKCLQDKMTAREIVTWLAVLKQEEDLYLMLHPGAHPPPCSTNAAALPFSASSGEYDVDGADDGEETARNTKPSPNDAPAFVDLSSSMDADATTGNNRFLMPAALMKEEAADAELFQKRSAVPAAVEPELMLSNSFRAYTCGNAQCPHSSCVHGFLDRSARNTHQYACKFNSPAVAPSAATDNNKLLAPSVFLPPGQAVGGFDFDVPVDGQRSLAELMDMYEANVGTSRSLSNTDMVATGGVQVSGQFLTPCLFGNLNQPQQQQQQSAGFYVRDDALPFGGDIAGASPELRFSSGLDGVAVGTSSHYGGALQLQQPQPHKPAAGSNWFY >KQL23894 pep chromosome:Setaria_italica_v2.0:II:22667700:22668203:-1 gene:SETIT_032641mg transcript:KQL23894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAPPTTEAGRRILVAVDEGEESVHALTWCLANVVSPAGGDTLVLVHARRPRPVYAAMDSAGYIMTSDVLASVERHAADVSAAAVDKAKRLCAEHPHLAVETLVEGGDPRDVICDAADKVGADLLVMGSHGYGFI >KQL24222 pep chromosome:Setaria_italica_v2.0:II:27791971:27797955:-1 gene:SETIT_028819mg transcript:KQL24222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLFRESRRDSSHSSSSNGFLPPAAAAASSSSALPSPFPDLGVPLSAADFREAAYEVLVAASRTTGGKPLTYIPQSASGAAAPASPASSASSASSASLQRSLTSAAASKMKKALGLRSSASSKGVGSPGSGGKAAAPPRRPATVGELMRVQMRVSEPADARIRRGLLRIAASQLGRRAESMVLPLEFLQQFKASDFPDPQEYEAWRSRNLKLLEAGLLLHPLVPLNKSDSSAQRLRQIIRGAYDRPLETGKNSESMQSLRTSVMSLAGRSHDGTSGGCHWADGFPLNLHLYQMLVEACFDNDEGTVVDEIDEVMELLKKTWVILGINEMLHNLCFTWALFNHFVMSGQVDIELLSAAENQLAEVAKDAKTTKDPNYCKVLSSTLSSIMGWTEKRLLAYHETFNTSNIESMQGIVSIGVSAARVLVEDISHEYRRRRKEETDVARSRVETYIRSSLRTAFALRMEEADSKRSSRNPTPVLSILAKDIGDLAIKEKNLYSPILKTWHPLASGVAVATLHSCYGNELKQFVAGLTELTPDTVQVLKSADKLEKDLVNIAVEDSVDSDDGGKSLIREMPPYEAENAIANLVKVWIKERVDRLKGWVDRNLKQETWNPGANRENFAPSSVEMLRVIGETLDAFFELPIPMHPALLPDLTAGLDRSLQLYVSKAKSGCGTRNTFMPQLPPLTRCEVGSKLLFKKKEKPQNLQVRVSQNGATNGNDPLGLPQLCVRLNTLQYIRGELENLEKKIKTCLRNVESAQADITDGVDIKFELCQVACQEGIQQICETTAYKVTFYDLGHVLWDTLYVGDTASNRVEVLLRELDPVLETISGTVHNKVRNRAITALMKATFDGFLLVLLAGGPLRAFTRQDSQLIEDDFRALRDLYLADGDGLPEELVDKASSQVKNVLPLFRADSESLIERFKRMMVESNRSASKNRLPLPPTTGHWSPNEPNTVLRVLCYRSDETATKFLKKTYNLPKKI >KQL24340 pep chromosome:Setaria_italica_v2.0:II:29075792:29077894:-1 gene:SETIT_031906mg transcript:KQL24340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLAGNANDLVEQDESTGSIPSPLFTGTKLNKRLRSKVWDDFIPTYVDGKVTRAECMHCHQVFSGTNGTSSLIRHLTNCIPATQKRPKMQEHTSFPFMQKVKIAAGSDLRQKKLSFLPSSQKKCTDTKVTAPEQELALPDIPTNTNRKNQDVDQNGSHEGPDAPEQKNLSLLGISTDNNRKNLSTEEIMLPKQMVIPVDTSQKHQEVDQDTSHEELIEMLAMHGHLPRMVEQDGFRKLVAWSNPKIKMPSHDDVMVYTSNLFQKEKSKLKEELIALRGRVCLSVYMWHYDPISPFLCLRVHYIDDEWEEQQKIIVFRAVDSSCAANELSDIILGAIEQWGLDGKIFCIILDDAFIDDSVASSVRANLQERNPLTAKRSLFVVRYATHLLDQVIQVGLDELGKIMEKLSKCSKHTKSLAPSAVQYPNCRYAPSSEDWRTARKICSILDDLHKHVDFALEYPTPAHFFNMAWDVKKDVNFKSYMCKDDDTFSKIQEKMQKKFKECWKVSFFHFCMPMVMDPECRLERIKSHIWLSDLDKNVRDCIHDVLDTFASLFNEYSDQVEDPSSTSGSKTSKGIVVDGDKLAEYYRYHQSQYSERPMAELDQYLQAPHLTTSEPAGLKRTAGKPSALRWWKGPCVLRWWKEHSLNYPTIARMARDVLALPCVSDWKVATRTATLAISESGSRQWVEELVCTQDWLTPA >KQL23667 pep chromosome:Setaria_italica_v2.0:II:16038383:16041249:1 gene:SETIT_0291791mg transcript:KQL23667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRERKESVTVLLCGTSGCGKSTLSTLLGSRLGITTVVSTDSIRHMMRSFVEEKENPLLWASTYHAGECLDPVAVAEAKARRKAKKGSGVSSSSNIDYEKSGALTEKVDGKAIGKKQMAIEGYKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMRKHPSIIPFMIYISNEGKHTERFAVRAKYMTLDPTKNKYVKYISNIRTIQEYLCSRADKYLVPKVNNTNVDRSVASIHATVFSCLRKRANGDQLYDPDTNTVALVNEEYKNQCVANSMSSKGMFKLIQRLGSSRKLMAIINVDGSVSKAWPVESSGDGKCSSDNSTQKSVGNPIYGPLNIGRAESVNLQFGTFGISAWPTDTGCTSQAGNADESWTNATEGSSRHVPSSSGSPKKSDGHCKEIKESSAASGSDEEEEEEADVQPNSGSDEDLSEVDNREIHEEMEGSVDEDCNRSDEEYDDLAMGDSMENGYLTDDGMFYSGLSKSSNGRFLDGNQRSHSTPRKHQAKLDAGVPETARSTSSALPTGTSSKRHAARKWKRSLSDSFRSRPRSAPDLVSTYKGSPPVPVAPDER >KQL27076 pep chromosome:Setaria_italica_v2.0:II:47699232:47699536:1 gene:SETIT_033220mg transcript:KQL27076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNIDCGPSTPPRSGGGGSPPAAARVLKGDDLSAPPCARCAPIPPHPGVGPSAAPGTLHRDLNGSCFSCVG >KQL24475 pep chromosome:Setaria_italica_v2.0:II:30438585:30440686:-1 gene:SETIT_032704mg transcript:KQL24475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGFSFGDPGVAEEKRRAGVSATTGGRRSGRRRSPPGKSCLGVGESAPDAARGTIDVLLLRAADRRSTAAAIVTREIARESGEGGRSKRPSLQGLSTGGARGGGEMVQRLTYRKRHSYATKSNQTRVVKTPGLDPLLFRSSHPFLLFSFHFWWFLRSALGVVLMCWIALWLVLAGGKLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKTATK >KQL23920 pep chromosome:Setaria_italica_v2.0:II:22836364:22838673:1 gene:SETIT_031006mg transcript:KQL23920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEEEELAGAPRVVGVLSALLERVVERNDAAADELAAGAASASAATSPAPASAFRATARPDISVRSYMARIARFAGCSPACYVVAYVYLDRLLRRGRRGRGALAVDSYSVHRLLITAVLAAVKFMDDVRYNNAYFARVGGISLPEMNYLEVDFLFAVGFDLNVSPETFGHYCTVLQAEMLSLELGKTPPPTTMTTPAAAGPRLHSCCLSEDDGATSSSASGSSQQQLAA >KQL24580 pep chromosome:Setaria_italica_v2.0:II:31453848:31454078:-1 gene:SETIT_032780mg transcript:KQL24580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSFRCHILFGKRWQRYRLFDRASPGCLSFPLVSSTNQVNASLFLCRLVYVVWYPGKCPELQGVLIWGVPELVVG >KQL25682 pep chromosome:Setaria_italica_v2.0:II:39800432:39802424:1 gene:SETIT_030638mg transcript:KQL25682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVAGANGKGRQPAEEEGQGPDYISGLPDAVLGDIVSRLPTKDGARTQALSSLWRHVRRSAPLNLDLRFHPIPLGKVPRVLSSHPGPARRFSTPACYGKLRDAPPSSTSTTTSRLGIGGILRRCPHRHSASRALFASPDSASAVSRMESMSACRFSRSCTFRMASSRRVLCMPCFAGCPVLQRLRLTYNDGCTSVRIASPTIRSVDVGRGSGDLGLQQLVIEDAPCLERLRHDTPISHHKMMDILVISGPKLSILGRIYDHFPRFEIGTTVFKSLRLGLVLNFMKCFPCLEQLNINVSKLTL >KQL22664 pep chromosome:Setaria_italica_v2.0:II:4281094:4281645:-1 gene:SETIT_031943mg transcript:KQL22664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RASFPMATTVMASATSSLAFAAAGGFPARLPAASLAPRRRVPLIVRAAKKEDADTSSAAATPKPAARSPGLWDALAFSGPAPERINGRLAMVGFVSALAVEAARGDGLLAQAGNSAGLTWFAYTAIVLSAASLAPLLQGESVEGRSGGFMTADAELWNGRLAMLGLVALAATEYLTGTPFVHV >KQL25549 pep chromosome:Setaria_italica_v2.0:II:39010889:39011735:1 gene:SETIT_032943mg transcript:KQL25549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHEVLFPLFLFLLPLSSKIDSDSDLEQKVLCDPSAIRAKPPAHTVTTSAIRAPPRRAPKSAAASMAPKAEKKPAAKKPAEEEPAAEKAPAGKKPKAEKRLPAGKSSAGKDGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KQL23848 pep chromosome:Setaria_italica_v2.0:II:21111950:21112319:1 gene:SETIT_032381mg transcript:KQL23848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHSVDTEMNCHITGSLIPPQTGSMRWLSAHDAEYASQINSGKRKSRDISIKIEQNEQEHRILQNENSMVKSERPHKSRKRSIEDR >KQL24481 pep chromosome:Setaria_italica_v2.0:II:30597652:30598541:1 gene:SETIT_033027mg transcript:KQL24481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGRLLILLAVAMAKHEVLARGSDEHDDNVYKVSKGGPGSIKSYQCSPECTRRCGNTQYRKPCLFFCNKCYNTCLCVPSGYYGNKGECPCYNNWKTKRGGPKCS >KQL23850 pep chromosome:Setaria_italica_v2.0:II:21126023:21129412:-1 gene:SETIT_031696mg transcript:KQL23850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKGSPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGNSQGQDEQVKNEQQSSGQGFNAPKAGNTKKWLCCFQPSAAES >KQL26652 pep chromosome:Setaria_italica_v2.0:II:45568778:45570370:1 gene:SETIT_030980mg transcript:KQL26652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASARPGEKATSFAMACSLLSRFVRQNGPAAAELGLGMKGEAEPQRAPATMSLLPTAEAEEAERKKETMELFPQSAGFGVQDAAREPEKKDKSQQLTIFYGGKVLVFNDFPADKAKDLMQLASKGSPVVQNVGLPQPSAPATVTDNAKVHKVMPAPVSSLPVAQAADAQKPARTNASDMPIARKASLHRFLEKRKDR >KQL26651 pep chromosome:Setaria_italica_v2.0:II:45568501:45571149:1 gene:SETIT_030980mg transcript:KQL26651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASARPGEKATSFAMACSLLSRFVRQNGPAAAELGLGMKGEAEPQRAPATMSLLPTAEAEEAERKKETMELFPQSAGFGVQDAAREPEKKDKSQQLTIFYGGKVLVFNDFPADKAKDLMQLASKGSPVVQNVGLPQPSAPATVTDNAKVHKVMPAPVSSLPVAQAADAQKPARTNASDMPIARKASLHRFLEKRKDRLNAKTPYQTSPADAAPVKKEPESQPWLGLGPNAAKSNLS >KQL26502 pep chromosome:Setaria_italica_v2.0:II:44794817:44798229:-1 gene:SETIT_029231mg transcript:KQL26502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEATLNNSGGSESTMSLLEQLAEVFGKLKSHTEASLQLQNGMNWGDIKEYFLNVDKSYRSKFDELEEKQKALEEKKTEASRLIAEKEANVSAKERASLNQLQELKDAAVSSVAEVRQKYKVELADILDANGSKDKKVSTSINGNNASRASEENTPASGSAEPSEASLVEVKPRPVLKELCEQMDTKGLLKFLSENCKKLPSLRDELSVALRCATDPARFVLDSLEGFFPPDQTNSPGNKQNALQVQRRSCIVLMEAIAPALGTKEPGGNDPWSSEIKEQAKAIAEEWKSKLAEVDLDASNGHSLEAQAFLQLLTTFNVDSVLDEDELCKIVVAVSRRKQTAVTCRSLGLNERIPGIIEELVNRHRQIDAVHFIQAFGLSDTFPPAPLLKTYVDELKDSFDNNGDATAAASKDDPKTKELLALRAVIKCIEEYKLQKEYSLGPLQKRVSELKPKSEKRPSSDAGRAYSKKPRGPGTSFPRRPAGPVGSAARRPPFPASNWQRAPAPMPSRAPAPMAPLPDRYGAADQYHYTPPAATYDTGAFSSYGEPFSAPKPFQYTPGSVAASYNSSHYKVAYGGPGALPGTSGYAGYSGGSGPSASSSYPNYLGSGYRPTQQP >KQL22162 pep chromosome:Setaria_italica_v2.0:II:300778:303449:1 gene:SETIT_029567mg transcript:KQL22162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAMVDATVATPPLDTTCGRHRPATLSNSNAARVTAALSNANANAGVGAVAAVPKSKSKSKSKIVASRYLSPSSKPTSTFSSAESPAPRTPASTERPRPAQTNAVSTDAAASCGSATTTTRTLAVAFQSPTYSLETSSARSSTSPAAVPAATPEKKRSGTSGTDARAKVSDASQNTYRWPASAIAPPCGHGGRSALAKSAEHSASNRKASAAAAVFSAARSAAFHGTPRRASVDGVNEYLLALTSDDTETSSSSGGSGNGGGAPRRSVCSGPRPSPRSVIMSSSARFARDAMGTRSERFAYPATPSPSRTSAASPSPAPVKKKKKSLFNGLLSSPFSRPSPSKPVASSFGRTASQSPARRSAEAPGSAANMQGRASSAGRGFDGDTKLKPPPAVKSEEEHQLRLLYTQHLQWRLVNAQAGTTLSLQSTAAEKTLSGAWIAILRMRKSVAIRKMQLQLLRTNCKLMAVLRGQCRDLLSTLASMHVKYSSLQGQRIQINQRSQHFQ >KQL23211 pep chromosome:Setaria_italica_v2.0:II:9677091:9683964:-1 gene:SETIT_028950mg transcript:KQL23211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDEAKESAPDFASVAVSAMCLDVSCEHESTQPARKQQKRKRASSELDIVDKESASAEWQQEIDALYEYYKEVSGHHLNPEELACLTGDSNIACLLEESSLPCAKLTDKIYKRMKLQDGVTESSVRNSVLNIGRRSSYGICAMDVDELEDESDSCLWCWETLDLALLPSHLHSSLSIRRTARKLIHERILSLSGKLAAKDAPNTHTNQNSCSVNAVEVQNLDEICSYVEKSKEKTDADIKTKAQEEQATRKAVKEQQMMARQIENEQKKKDMELKHMKEKAEREAKSVERENKRLKKHQEEVERAKKRKEKEEAELKRKASTKKQANFMEGLFIRKRNNNMESSGNHYLEKTINSKSSGTIEELPVAATLAMDCTLSQANHLRVGEFWVEHVSRWRKLSQHNRLHHWCVRRSPKVQLFLELKLQKSSATAPSDNMPIPIKEQSSQESTGSIDFSKLLDELKISSHGKNIHSRTVQNSISSSAFLVKKLLQFDRSFRPAYYGTWRKKSSTVSGRQPFQRDPELNYDVESDEEWEEEDSGERLSDFEEDDETMNERDYVINVEEETENSFVVPDGDLSGDEGMQYEPVSVKYDESCSMLSNPGVTDEQRFLHRATEDALKIDMPLVISNLDHRKLDLKKAEGITAEKVCLQALCMKKYPSGPIIDVPVVAKVTIEDQEFRQSNKKSPRTPVPSKSISESDMPEFAKLVTSCSHGMGKLVDLLHERFPCVSKLQLKNKVREIADFTHNRWQVKKDILDMYSVCLSPDKVASPKCAAPHSSQHCLPPDEPGKSGESSPCSALKSEVSKQQIGAEGVSNN >KQL26193 pep chromosome:Setaria_italica_v2.0:II:42949074:42949741:1 gene:SETIT_031859mg transcript:KQL26193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQKNWNLFSVNVAMAGTGLYQLSRKIRQDYFSDEKETAPSLEG >KQL23458 pep chromosome:Setaria_italica_v2.0:II:13683419:13686850:-1 gene:SETIT_031842mg transcript:KQL23458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQQPEPVSYLCGDCGAENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >KQL24426 pep chromosome:Setaria_italica_v2.0:II:29991402:29997475:-1 gene:SETIT_029883mg transcript:KQL24426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDKMKDFMKKVTSSGTPSSFKGTSHVLGSGPSPSSSSSSSHPASRPTNPTPNPRPAPTKQPSPPPPPASTTEFTPFAPLISSSRRPDANGAPAPTVACPSCGDAFPSELAVSEHLDGCLASAGGARARAAAYLAADPPPPAAAVEVLKRLLGNLLREPRSDKFRRVRLGNPRIKEAVADREGGVELLEAVGFLVGDEGGELFALMDEVPSDVRLGGIRRAVLLLERAHPSASPAQVDADEKESCSNGVYGQEEVKKTIDRQIRVFFSVPGSSVADNDVPDSFYNLSGEEIRNEARMRRERLEQSRLLIPKSYKEKQALAARQKYKQAVIRVQFPDRVILQGVFLPGEATGSLYEFVASALKQPGLEFELIFPAVPKPRVVPHFPKPGERSRTLQEEDLVPSALLKFKPKETDSVMFTGLLDELLQASEPLPAA >KQL25782 pep chromosome:Setaria_italica_v2.0:II:40531377:40534300:-1 gene:SETIT_031023mg transcript:KQL25782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDLDSAALWAAVDSAAARASRVRCASGDEEHRGEVLQPARPFKSPRLASASYATPPPPGPVPLPLPPPQAHASPCGTPDAAAAARSRLVVVDSPIPEPWGIRGPIPADGCLLPSLSILEKSDYTSISGNSYIKKSGWRKISCFFNISFEIKDRSIEFDEDRNVKRAEFLVRASMLGGRFSDGWGSCDRREKRFNKPNHDIPSTAETRAKNKACQDLLGIGNNRPG >KQL23687 pep chromosome:Setaria_italica_v2.0:II:16295136:16295717:1 gene:SETIT_033483mg transcript:KQL23687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMWVASLPSPTVKVRLGVSHEPTAESAANAAVASRKEGEEAVAKL >KQL24791 pep chromosome:Setaria_italica_v2.0:II:33227633:33232288:1 gene:SETIT_030611mg transcript:KQL24791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAVAVAVAAAGQPATSKSGGGARAGGGPAPFLTKTHQMVEEPSTDEVISWAEQGRSFVVWKPVELARDLLPLHFKHCNFSSFVRQLNTYGFRKVVPDRWEFANENFRRGEQGLLSGIRRRKSTTPQSSKSGGSGAVNVAFPPPLPPAPPASATTSGGGNERSSSSASSPPRADLTSENEQLKKDNRTLATELAQARRQCEELLGFLSRFLDVRQLDLGMLMQEDVVRAAAGDAHQQCRSAVADQLECGGEEGKSVKLFGVLLKDAARKRGRCEEAAASERPIKMIRIGEPWVGVPSSGPGRFGGEN >KQL25208 pep chromosome:Setaria_italica_v2.0:II:36653181:36653685:1 gene:SETIT_031861mg transcript:KQL25208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTNSTEHCLASCMLKTYVNRKTPETTQQEEKTPWFKW >KQL26292 pep chromosome:Setaria_italica_v2.0:II:43598854:43601364:-1 gene:SETIT_031498mg transcript:KQL26292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFYSKQRSSPKLSHGASCARATTGWVAAAAGSSTQMQAGGRQTGKMEMSVIKDMVIGKLAPLVSTSRLSYCSILNLMNRVEGQFTSEHVTRNSFHQFQYEKVCFRTFYRCFVLSYNR >KQL26293 pep chromosome:Setaria_italica_v2.0:II:43599396:43600618:-1 gene:SETIT_031498mg transcript:KQL26293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFYSKQRSSPKLSHACCSGVCAPLCEGASCARATTGWVAAAAGSSTQMQAGGRQTGKMEMSVIKDMVIGKLAPLVSTSRLSYCSILNLMNRVEGQFTSEHVTRNSFHQFQYEKVCFRTFYRCFVLSYNR >KQL24293 pep chromosome:Setaria_italica_v2.0:II:28631702:28635042:-1 gene:SETIT_030422mg transcript:KQL24293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVREQQPASNAAPARRHDAHVSEDGTYAMKKVLIQSKEQLDLVREEIRVSSLFSHPNLLPLLDHAIIAVKGDWSHEAYLLFPVHLDGTLFDNSNIMLSRKEYYSTADVLQIFRQMCEGLKHMHSFDPPYAHNDVKPGNVLITRRKGQAPVATLMDFGSARPARKQIRSRSEALQLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMFNVSPFEYALGESGGSLQLAIVNGQLKWPAGPNPPYPDELRQFVIWMLQPQAAMRPHIGDVVLHVDKLITKYLS >KQL24292 pep chromosome:Setaria_italica_v2.0:II:28631950:28634931:-1 gene:SETIT_030422mg transcript:KQL24292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSISGLNALYDAATGGGDVWINERRFRVLRQIGEGGFAFVYLVREQQPASNAAPARRHDAHVSEDGTYAMKKVLIQSKEQLDLVREEIRVSSLFSHPNLLPLLDHAIIAVKSPQGDWSHEAYLLFPVHLDGTLFDNSNIMLSRKEYYSTADVLQIFRQMCEGLKHMHSFDPPYAHNDVKPGNVLITRRKGQAPVATLMDFGSARPARKQIRSRSEALQLQEWAAEHCSAPYRAPELWDCPSHADIDERTDIWSLGCTLYAIMFNVSPFEYALGESGGSLQLAIVNGQLKWPAGPNPPYPDELRQFVIWMLQPQAAMRPHIGDVVLHVDKLITKYLS >KQL25837 pep chromosome:Setaria_italica_v2.0:II:40816302:40821344:-1 gene:SETIT_030851mg transcript:KQL25837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAERRQAELIGQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDLLRLFAYGTLKDYKSNSGSLPALLPDQVRKLKQLSVLTLAESTKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLTNMIDTLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKKLNNLSRQTSTYGGMTTFSLNLEE >KQL25835 pep chromosome:Setaria_italica_v2.0:II:40816302:40821344:-1 gene:SETIT_030851mg transcript:KQL25835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAERRQAELIGQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDLLRLFAYGTLKDYKSNSGSLPALLPDQVRKLKQLSVLTLAESTKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLTNMIDTLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKLNNLSRQTSTYGGMTTFSLNLEE >KQL25838 pep chromosome:Setaria_italica_v2.0:II:40815752:40821449:-1 gene:SETIT_030851mg transcript:KQL25838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAERRQAELIGQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDLLRLFAYGTLKDYKSNSGSLPALLPDQVRKLKQLSVLTLAESTKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLTNMIDTLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKADIDLRGHDDFLSESGGIMDFEEDRIRPKRRRQPPA >KQL25836 pep chromosome:Setaria_italica_v2.0:II:40816108:40821344:-1 gene:SETIT_030851mg transcript:KQL25836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAERRQAELIGQFSAQAAALSSAPQLAALVLEATSHPALFAFSELLTLPALSKLAGTQYASSLDLLRLFAYGTLKDYKSNSGSLPALLPDQVRKLKQLSVLTLAESTKILPYDQLMQELDVSNVRELEDFLINECMYSGIVRGKLDQLRRCFEVQFAAGRDLTPDQLTNMIDTLSDWLGTSDSLLHQIQEKIKWADTMSEVNKKHQKEFEDRVEEAKKSIKQADIDLRGHDDFLSESGGIMDFEEDRIRPKRRRQPPA >KQL27063 pep chromosome:Setaria_italica_v2.0:II:47645284:47648192:-1 gene:SETIT_030670mg transcript:KQL27063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKELPQAWWFDSHNLARPSPWLNNTLSELDDKTKQMLQLIDQDADSFAQRAEMYYKKRPVLVDMLGDLYRTHRSLAEQYDLLKHGSGTRQTVFGLSSCTQSRSQASSVNGKTTPRSSCSVSIYDSESEVDDPEQEEEHDREQVELMRAEIIKMELSSREQQRQKEQVELMREEIIKMELSLREQQRQKEQVELLRSEIERLKEQNAALQKAAEENKALKAELAGKDEEKREVIRQLASSMDMMREENLTLREHLRGSKHSTTSRAFDLKKVAKDLFSARLFTAHCKPTGPIVAL >KQL23506 pep chromosome:Setaria_italica_v2.0:II:14313308:14315533:-1 gene:SETIT_033497mg transcript:KQL23506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRRGEERLEGGVLVSLLLGKGKAARGTSKTERD >KQL24525 pep chromosome:Setaria_italica_v2.0:II:31022064:31023944:-1 gene:SETIT_029887mg transcript:KQL24525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIEVVESELVPPSEPTPRGALWLSNLDLAARNGYTPTVYFFRHPDEQTRSGFFSADVLHAALAAALVPFYPFAGRLAVGRDGRAEIDCNAEGALFVVARSAAALEDFEGFAPSKAMRDMFVPAYEPAGAGTPLLLLQVTFFRCGGVALGTAMHHFVMDGRSAFNFIRTWAGIARGDAGAAVVPSLDRTPLRARPQPTVLFDHTHEYGGGGRRTAAATAEAGGGSKAEYASAILRVTGSQAAALRARAGAVSTFRALVAHVWRCACAARALDPETESRLYTMVDMRARLSPPLPDAFFGNAVARTSTSARVGDLLGNPLGFGARRLRAATGHGDEYARSLVDYLETADLAALPRGGLPGTDLRVISWLGMPSYDADFGWGEPALLAPALMYYTGFVYLLNSPGKEGGVAVAAALEPERMERFKELFFEELATVV >KQL22924 pep chromosome:Setaria_italica_v2.0:II:6395145:6396287:1 gene:SETIT_032407mg transcript:KQL22924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVTAGEAGRRCAEHAHHRTSVAPLNPPASAPPPPASLRAIAPSLLGRGGVEARPRRSSLRWRRSAHSTPPPAMPCPARPILVLLGEASACPAHSPSSDPGTHGKPRCRTGFPSPRRIAAARLQPPTKR >KQL25287 pep chromosome:Setaria_italica_v2.0:II:37114636:37115117:1 gene:SETIT_033605mg transcript:KQL25287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPFFIFSSSWKDRDRQLLDVHCRLNLDCGQIKITILDFG >KQL22154 pep chromosome:Setaria_italica_v2.0:II:267667:269093:1 gene:SETIT_033362mg transcript:KQL22154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLAISCFVLFLLLNGASRVAESRAVAAGDLSSQRRHRHHGHHHDIDSPPTDDDGDTDSPPSDDDDDADSPPADAKPKKLLVFGDDFADTGNGDSDPKRGVLSRSWRSPFGMSDTAHGRQPSGRFSDGLVQPDFLAKILGHSESPPPYTYDDWDDGIDAAGLNFAVGFSVALDTPAGVPAPAGVPAPAGVPKLREQVQQLRNLIRDGVVERKDLRDSVALLTYSGGDYAYVDNDAMNGTISKVIDELASIVSDLQDLGVPKVLVNTVISYGCTPWLTRRSSNPYSSCDDGRSWVSDEHNTALRDRLGGEEDVMLLDVNSVVRDLVEPKEGSTLYGKQFKERLRPCCEAADDDAGDYCGLDGRYSLCEHPEEYFFWDIEHPTQAGWRAVMQLLQGPIMAFLGISNLEHF >KQL22774 pep chromosome:Setaria_italica_v2.0:II:5194786:5195526:1 gene:SETIT_033652mg transcript:KQL22774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSDFSYPARSFKKNIIRKPKWDVLVLIFLCMLSISDSTARELTENNALPMM >KQL25034 pep chromosome:Setaria_italica_v2.0:II:35490700:35492370:1 gene:SETIT_032291mg transcript:KQL25034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSYTREHVYRYRHPWHRVTAAAWRKFTDPAARAASGALAHTLDVHTLARDVDPGAVRAIAGRTPPPPLLLRALLTPAAGGGAGGDVARTDVDAPARDMRVASRNATLRGLVDVEERCSYAPHPERPDEWTLCRQETTIHCAAAGCRAARVAELVERRCAERFTHNAEKGREVVERICEDLAAEQDGIHGPRPTAFALSSMHADWGEPARGSVACG >KQL25633 pep chromosome:Setaria_italica_v2.0:II:39506908:39509089:1 gene:SETIT_031497mg transcript:KQL25633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSKPDVPLFQLLTDLLQQVESMSNQEEVELRAKIEALGLEVTKVPEQAPKHLDELEIAAELDKLSSRLDNVDKMISSAMASDPEVKSLLSSTADIWMPVITASADERRGFAGTSSEGSQDEEESSKQ >KQL23631 pep chromosome:Setaria_italica_v2.0:II:15565869:15571316:1 gene:SETIT_028897mg transcript:KQL23631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASARSSPHSDSSPLPPVSPQPVAERLFMRGGSGRSPGSSSSSSSSRSPSLREIDEEAAVVINDGGEKLYVAVGKDFKDGKSSLSAAQSLGLLGGGLSLVLLHVHQPADRIMSGLCKVPASQLEEKELKAYRKIEREEMNTLLNQYMTYCRLYLKVQAETLVIEKNNVPNGIVELINQHCITKLVMGMSSFSTKRKVPKSKVAAIVHQQAKPYCQISFICKGSLAWTRDANLDSIKADSPRSSSASTLSDEPELPARSVSLPPGHPGYMGSPDQQFLPRRSNSVSYPSPGFIANNVERMLHIAQHSIHVKPRNCSPNSSLPSNEGSSSSSLKDSDSMDGSPLPASVVSSEEQQMSMVETSMQNEVFEQLQQVRNELEHSRKEASEGRQKAERDLFEASRMFKARENSLLKEKREVDERLNKEKAFLEKENFQIFNELQKANEQRADLENKLLQTNSLLEQLQQLQGELQREKEDALREAEEMRKLYGNSDFISAGEVSLTEFSYSEIQEATKNFDESMEIGHGGCASVYKGFLRHTTVAIKKFNREGIVGEREFNDEVEILGRMRHPNLVTLIGVCRDPKVLVYEFLPNGSLEDRLQCKHHTEPLPWRMRIRIAADICTALIFLHSNKPKSIAHGDLKPDNVLLDTNFVGKLGDFGISRSLNLTNTTVTPYHRTDQIKGTLGYMDPGYIASGELTAQYDVYSFGVVLLRLLTGKSPLGLQSEAEAALSSGVLHEILDTSAGDWPPEFAEELASLALKCCKYERKERPDLAKEAWGILQAMMNEPTPSSSLPLEAPSYFICPMTQEIMRDPHIAADGFTYEGEAIKDWIQRGHRMSPMTYLNFPHHQLIPNNALRFAIQEWQTKQQQ >KQL26508 pep chromosome:Setaria_italica_v2.0:II:44829740:44830657:1 gene:SETIT_032675mg transcript:KQL26508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRWKPFLDAFPLIDTAIEAADADGLLSRGEIRSARSRIIEMLCDAADDDDKAEALCALLDEAMAGSLGTLRAVPVERIALASGDGLVGAVVALTRDHASERVRGLARDVVRGWRAGAVAEFARARAEFARAKADMDVLDGLPSTPPPPPQDDKAPGAGSDAKTKKIPAEQRRPRKTAVVSSCRVSKAESYGTLPKKRAPVVSTSTAKPSSANTGAPAVVPARPKKTPPVVISLATEERKMEATKRKLQERYQEAEDAKRRRTIQVIKPPLEPSGQRQRNEHPALRARGPASCAAERRFMTRV >KQL25043 pep chromosome:Setaria_italica_v2.0:II:35561120:35561455:-1 gene:SETIT_031777mg transcript:KQL25043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRVRTRHHQDSCRQYFNKNYVYQLYATFDFDPIKASTVISYSSASDLATMITGSARGSTVGMF >KQL25459 pep chromosome:Setaria_italica_v2.0:II:38331021:38335319:-1 gene:SETIT_030010mg transcript:KQL25459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGWFKRRSRSVGGSAGKRGASAPPSGPATTTTVSGVSTSRSDDSGAVRPVSKSAGSAASSQSQRSISSLYEERGHGQLRVFDYEELEAATAEFSRAQKLGEGGFGSVYKGFVRAADGKGDRIPVAVKKLNQRGLQGHKQWLAEVQFLGVLEHPNLVKLLGYCAVDSERGPQRLLVYEFMPNKSLEDHLFRRANPPLSWNGRLQVILGAAEGLAYLHEGVEVQVIYRDFKTSNILLDKDFRAKLSDFGLAREGPTGANTHVSTAVVGTHGYAAPEYIDSGHLTAKSDVWSFGVVLYEILTGRRSLDRNKPVAEQKLLEWVAQFPPDSRNFRMIMDPRLRGEYSVKAAREIAKLADSCLLKNAKERPTMSEVVEVLRRAVQAAEPDGRAPGAGAVKGKRADAAAPSRR >KQL24550 pep chromosome:Setaria_italica_v2.0:II:31210916:31211924:1 gene:SETIT_031968mg transcript:KQL24550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVEAAARPSRRYALLMAAHDSEYVLKRYGGYLHVFVAAFGGAGEAWHLYRAVDGELPGPDDDIGAYDGFVISGSPHDAYGDDPWILRLCLLVRKLHAMRKRVLGVCFGHQLICRALGGRVRRAPSGWDVGVREVAIADAAAAAAPCRFLNALRERGQLPTRARITKIHQDEVWEVPEGAEVLASSDKTGVEMFRVGEHVLGIQGHPEYTMDILHSLVDRLLAAGSITVSFAEAVRRQVEATAPDREFWLKLCKSFLKAEEDQ >KQL23676 pep chromosome:Setaria_italica_v2.0:II:16124364:16124995:-1 gene:SETIT_031788mg transcript:KQL23676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACMAVCLQVVLLLLILEQRQRLLFSLGHVSNIYTSLDESTFSKNIISHLSDSVLLHTKHID >KQL23438 pep chromosome:Setaria_italica_v2.0:II:12512727:12513317:1 gene:SETIT_032079mg transcript:KQL23438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIWRWCKVEPWEEFMTFWGVDANMEKGVRAEDQQVPRCQAQLD >KQL24610 pep chromosome:Setaria_italica_v2.0:II:31748637:31749758:-1 gene:SETIT_030708mg transcript:KQL24610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAAGGRGADDDAYLQELIRGSVPGPSSSRPRVAPLTDDEIGWFSCGICMETRLVFDRFRAGCAHEFCIECVVHYIEGRVADGAVPVPCPEPGCRDGAMHPEACKKLLDIDVFDAWCVALCERAVGPARARCPYRGCGELLVLDAADAAVTEARCPTCSRAFCLQCEGPWDERHGGEGCVMSRLADGRNWTRCPSCRAMIDKTGGCRHIVCRCGTAFCYICGSAFSARGCRCIGPPGEDAYAALTPVKAGPECKTGVSIGKC >KQL24609 pep chromosome:Setaria_italica_v2.0:II:31748326:31749758:-1 gene:SETIT_030708mg transcript:KQL24609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAAGGRGADDDAYLQELIRGSVPGPSSSRPRVAPLTDDEIGWFSCGICMETRLVFDRFRAGCAHEFCIECVVHYIEGRVADGAVPVPCPEPGCRDGAMHPEACKKLLDIDVFDAWCVALCERAVGPARARCPYRGCGELLVLDAADAAVTEARCPTCSRAFCLQCEGPWDERHGGEGCVMSRLADGRNWTRCPSCRAMIDKTGGCRHIVCRCGTAFCYICGSAFSARGCRCIGPPGEDAYAALTPVKAGPECKTGVSIDLQQWMSETALRAVESFSVASPISLLQ >KQL26420 pep chromosome:Setaria_italica_v2.0:II:44239816:44243021:1 gene:SETIT_029696mg transcript:KQL26420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKRLSESRDLTRIERIGAHSHIRGLGLDSSMEARDASEGMVGQLPARRAAGLILQLIRQGKIAGRAVLIAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRSIGVRIKEEAEIIEGEVVEISIDRPLSASSAGGSSSAPSGATAAGKSGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVIALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPDGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDEIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLINSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVSGEADGNDAMQS >KQL23405 pep chromosome:Setaria_italica_v2.0:II:12068689:12069151:1 gene:SETIT_033579mg transcript:KQL23405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAIIRIRFNEIFIWILRSKINIKHRLTVIVSCIKYLL >KQL26096 pep chromosome:Setaria_italica_v2.0:II:42318036:42321211:1 gene:SETIT_033193mg transcript:KQL26096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAALLLLLSSPKLLAEGRSICSNANIVYMQSSTYMSNLKSLAEALFASVTDSNSHSAHDTAGTGPDMVYGAVVCRGDTAPGSDCAYRLKEVLDAAMNNLANSSCSSQKDITLFDDGYLVQLRFSDQDFISNLSNSQECIVRANLNPPPSGHVSEQFDSLVSRLMMKLTEAAVKKTDRYETGQGWLTEKSQTVYGLVQCTADMLPDACRACLNNAITKREKMVKSGQMGGAILGVHCSFWYQTEVRFFAGTPLVSLNMPTPSKFWIWLTLGSFSVVVSISWLLVHIWIKTERKRERARFELQLLSMAIQNVMNLWRIEEGNSGFSLYDFSQIKEATGNFSSENELGQGGFGSVYKGLLPGGLEVAVKRLAACSVQGVQLSWSMRLHIIDGVAQGLLYLHEHSRLCVVHRDLKASNILLDSDMTPKISDFGMARIFSSNMTESNTTRIVGTHGYISPEYAFDGVCSIKSDVFSFGVLVLEVISGKRTTGFYPYDGKLYNLISYAWKLWKVGEWRQLVCCRIGENCEAIERCIQVALLCVQESAEDRPTMDLVVSMLNSEHVSLPKPKQPAYFFVRSSKTEASSCDINISITLAR >KQL27265 pep chromosome:Setaria_italica_v2.0:II:48901505:48911325:1 gene:SETIT_028812mg transcript:KQL27265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAAAAAAVFPARFAAAPAVAAAEDLRSPLLRVLGTLRGGRGSVLLGRRARFCSNSSASDSEAAAAEAEAKAEDATVAEGEADGKASSAIVPTNPKIEDCLSVVALPLPHRPLFPGFYMPVYVKDQKLLQALIENRKRSASYAGAFLVKDEEGTDPNIVTGSDSEKSIDDLKGKDLLKRLHEVGTLAQITSIQGDQVVLLGHRRLRITEMVEEDPLTVKVDHLKENPYNKDDDVIKATSFEVISTLREVLRASSLWKDHVQTYTQHIGDFNYPRLADFGAAISGANKLLCQEVLEELDVYKRLKLTLELVKKEMEISKLQQSIAKAIEEKISGDQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERIESKKDKCPPHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTVLPWGNYSDENFDVHHAQKILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRQFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNVNFLDHYLDVPIDLSKVLFVCTANVIEMIPNPLLDRMEIIAIAGYITDEKMHIARDYLEKNTREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGVSNEPDQEALIVTANEEPSSGDGAIAKDEILKDSAVEANEANLAKEAVLHEVHTTEAVLHEVHTTEAPKEDSVSEGKDTDGAKEDGADKAIEKVVVDSSNLGDFVGKPVFQAERIYEQTPVGVVMGLAWTAMGGSTLYVETTKVEEGEGKGALVLTGQLGDVMKESAQIAQTVGRAILLEKEPDNQFFAKSKVHLHVPAGATPKDGPSAGCTMITSMLSLAMGKPVKKDLAMTGEVTLTGRILPIGGVKEKTIAARRSAIKTLIFPAANKRDFDELASNVKEGLEVHFVDTYGEIYDLAFQSDARTETS >KQL25695 pep chromosome:Setaria_italica_v2.0:II:39888870:39894841:-1 gene:SETIT_029396mg transcript:KQL25695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAALGAASGPGAPPPGAAAGEQQAAPRVERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDVIARTNPAASPKWQDVLGQFSMVSMELFNIVEDIKNVSKVFVVYPRNVNAENAPILPVMLSSKLLPEMEVEEATKREQLLSGITNLPVPTQIEKIKVRIDMIGSACETAEKVIADCRKSYGLGTRQGTNLVPTLDKAQAAKIQEQENLLRAAVNYGEGLRVPGDQRQPQSLPSHLVEVLSFGDGQQSFGDNSGGYPKNSSTFTPSGVNTQGNPVQASGGQLLGRPAPSPGGTGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLIQASQQQQLHAQQQLRPSAAGMLAQSTLPQLQDLQGQAQQKLQVPGQQQMQYNQALSQQFHNRQMQPGRMQPGMAQSQLNQGTQLRSHLGQFAGPANSAMFTAAQASSNSQMMANMPGTMQSQSLMPQMQYGMAGGHPQRSHPSQMLTDQMFGMGATNSNMMGMQQQQQQQQQQGVYGNMQAGAQNMQQGMVGLQNQGQNPNFPQQRQQNQQ >KQL25696 pep chromosome:Setaria_italica_v2.0:II:39891073:39894841:-1 gene:SETIT_029396mg transcript:KQL25696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAALGAASGPGAPPPGAAAGEQQAAPRVERLSAGVQQQLNLEGMRARAVGLYKAISRILEDFDVIARTNPAASPKWQDVLGQFSMVSMELFNIVEDIKNVSKVFVVYPRNVNAENAPILPVMLSSKLLPEMEVEEATKREQLLSGITNLPVPTQIEKIKVRIDMIGSACETAEKVIADCRKSYGLGTRQGTNLVPTLDKAQAAKIQEQENLLRAAVNYGEGLRVPGDQRQPQSLPSHLVEVLSFGDGQQSFGDNSGGYPKNSSTFTPSGVNTQGNPVQASGGQLLGRPAPSPGGTGTPNFENVSTPPMPYANSPRSGTNMMNTPSPQQHLTPQQQRQKLIQASQQQQLHAQQQLRPSAAGMLAQSTLPQLQDLQGQAQQKLQAILSLIAFLFC >KQL26089 pep chromosome:Setaria_italica_v2.0:II:42279043:42282902:1 gene:SETIT_029767mg transcript:KQL26089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGPCYMSLDLELLRVLTAGDAAALQELLRRREDQTSGHVAVNVQATAPTDALAAQPCPGTSCLLGVTSNGNTALHLVASRGHADLAALICERTPSLAATRNTFLDTPLHCAAREGHREAAACLVATMRAGGRAGELAAALRARNCLAATALYEAVRHRCAGVVELLMTEAPELASVETEDGSSPLYLAASIRSQELVRTLLRASPDGTPSPASFSGPEGRTALHAAAAATSHEMAQEILSWKPQGPTLLTRVDSTGRTPLQVAVMYGRLRTVQLFLDDITSAEQVRISDNHGLFPVHTAAIFPEEDAIRLMRNTKEYREFTWLKLKQLLLNGRATLIIDTLFKKCPGYYKMRPRASPRRRLPTAAVAATDSGGLAAAHSRTAAQVQARGGTGEGARLRDEHGREGRGGGRVLPVAGVFRSRWAKARSPFPAHPLPTLNSDGGFGLDASRSKLKREIESSF >KQL23395 pep chromosome:Setaria_italica_v2.0:II:11990774:11992605:-1 gene:SETIT_030102mg transcript:KQL23395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVWVNGPIVVGAGPAGLSVAACLRARGVPSVVLDRADCVASLWQRRAYDRLRLHLPRHFCELPGMPFPDHYPEYPTKSQFVDYLEAYAARAGVEPRFNQAVTSARYDAAAGLWRVRAEDASAGGAGTATEYIGRWLVVATGENAERVVPDFDGADEFAGPVSHVSEYKCGEAYRGKRVLVVGCGNSGMEVCLDLCDHNALPAMVVRDSKVHVLPREMFGVATFYIAAFLLRFLPLWLVDMILVVLARLFLGNLEKLGIHRPSGGPLELKNTRGRTPVLDIGALARIRSGDIEVVPGIKRFFRGGAELVDGRRVAADAVILATGYHSNVPQWLKGSDFFTQEGYPRVPFPDGWKGESGLYSVGFTRRGLSGVSSDAVKVAQDIAMEWDQQTSTL >KQL24849 pep chromosome:Setaria_italica_v2.0:II:33800853:33801604:1 gene:SETIT_033077mg transcript:KQL24849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVGSDEADMAAMDGECSPPAAAATRSGPSRSHSEAERKRRQRINAHLATLRTLLPAASRMDKAALLGEVVRHVRELRGEADAAAAGAAVAVPGEGDEVGVEEGQHCCCHGAGERAATTRRVRAWVCCADRPGLMSELGRAVRSVSARAVRAEIATVGGRTRSVLELDVGEGASSSRPALQAALRAVLLSREDLLATECYKRQRFSAHLARV >KQL22944 pep chromosome:Setaria_italica_v2.0:II:6545681:6547344:1 gene:SETIT_031473mg transcript:KQL22944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLSAWFVNPRRNPLARAHRNAVASRLRKYGLRYDDLYDPYHDLDIKEALSRLPREVVDARNQRLKRAMDLSMKHKYLPDEVQAIQTPFRSYLSDMLALVSAFVSPNHFVSSQL >KQL22947 pep chromosome:Setaria_italica_v2.0:II:6545681:6548184:1 gene:SETIT_031473mg transcript:KQL22947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLSAWFVNPRRNPLARAHRNAVASRLRKYGPYRSPRHPLGTKGLRYDDLYDPYHDLDIKEALSRLPREVVDARNQRLKRAMDLSMKHKYLPDEVQAIQTPFRSYLSDMLALVKKEKAEREALGALPLYQRTIP >KQL22945 pep chromosome:Setaria_italica_v2.0:II:6545681:6548184:1 gene:SETIT_031473mg transcript:KQL22945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLSAWFVNPRRNPLARAHRNAVASRLRKYGLRYDDLYDPYHDLDIKEALSRLPREVVDARNQRLKRAMDLSMKHKYLPDEVQAIQTPFRSYLSDMLALVKKEKAEREALGALPLYQRTIP >KQL22948 pep chromosome:Setaria_italica_v2.0:II:6545681:6548184:1 gene:SETIT_031473mg transcript:KQL22948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLSAWFVNPRRNPLARAHRNAVASRLRKYGLRYDDLYDPYHDLDIKEALSRLPREVVDARNQRLKRAMDLSMKHKYLPDEVQVKKEKAEREALGALPLYQRTIP >KQL22946 pep chromosome:Setaria_italica_v2.0:II:6545681:6548184:1 gene:SETIT_031473mg transcript:KQL22946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKLSAWFVNPRRNPLARAHRNAVASRLRKYGLRYDDLYDPYHDLDIKEALSRLPREVVDARNQRLKRAMDLSMKHKYLPDEVQTPFRSYLSDMLALVKKEKAEREALGALPLYQRTIP >KQL23863 pep chromosome:Setaria_italica_v2.0:II:21609059:21616762:-1 gene:SETIT_028994mg transcript:KQL23863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPDLSFSASISSVKLPRYGAPKNEKFLVKRAPKFRAESGKYGTNGRATKMVPTTELRRTNGGGLVRSGTVNGSPNGTVNGSTKAPINGSAKSIINGSTKVVINGTPKMAVNGTSLVKGSKMSSLVKTQKHTRFNDDPFQEELKVLPSDEGFSWAKDNYNSVQRSIDIWSFVLSFRVRVLFDNAKWAYPGGFSEEKQKDRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPQEFVDELAKLQDRVPAFSPEKAKAFIEKEMGCSIDVVYKEFQERPIAAASLGQVHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLDVLDSQGYSRSLIASRAIESYLIQILKTGFFHADPHPGNLAVDKDGSLIYYDFGMMGEIKSFTRERLLSLFYSVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRQQGGPELVQEIRKQANDARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKATVLQMATMYTALGGTLLNVGVTLNGQGNQIIANGSFIGAGIFLALLIRSMQRVKKLDKFETMI >KQL23862 pep chromosome:Setaria_italica_v2.0:II:21609437:21616448:-1 gene:SETIT_028994mg transcript:KQL23862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPDLSFSASISSVKLPRYGAPKNEKFLVKRAPKFRAESGKYGTNGRATKMVPTTELRRTNGGGLVRSGTVNGSPNGTVNGSTKAPINGSAKSIINGSTKVVINGTPKMAVNGTSLVKGSKMSSLVKTQKHTRFNDDPFQEELKVLPSDEGFSWAKDNYNSVQRSIDIWSFVLSFRVRVLFDNAKWAYPGGFSEEKQKDRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPQEFVDELAKLQDRVPAFSPEKAKAFIEKEMGCSIDVVYKEFQERPIAAASLGQVHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLDVLDSQGYSRSLIASRAIESYLIQILKTGFFHADPHPGNLAVDKDGSLIYYDFGMMGEIKSFTRERLLSLFYSVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRQQGGPELVQEIRKQANDARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKATVLQMATMYTALGGTLLNVGVTLNGQGNQIIANGSFIGAGIFLALLIRSMQRVKKLDKFETMI >KQL23864 pep chromosome:Setaria_italica_v2.0:II:21609059:21617092:-1 gene:SETIT_028994mg transcript:KQL23864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPDLSFSASISSVKLPRYGAPKNEKFLVKRAPKFRAESGKYGTNGRATKMVPTTELRRTNGGGLVRSGTVNGSPNGTVNGSTKAPINGSAKSIINGSTKVVINGTPKMAVNGTSLVKGSKMSSLVKTQKHTRFNDDPFQEELKVLPSDEGFSWAKDNYNSVQRSIDIWSFVLSFRVRVLFDNAKWAYPGGFSEEKQKDRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPQEFVDELAKLQDRVPAFSPEKAKAFIEKEMGCSIDVVYKEFQERPIAAASLGQVHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLDVLDSQGYSRSLIASRAIESYLIQILKTGFFHADPHPGNLAVDKDGSLIYYDFGMMGEIKSFTRERLLSLFYSVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRQQGGPELVQEIRKQANDARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKATVLQMATMYTALGGTLLNVGVTLNGQGNQIIANGSFIGADVQPQEVPVPEKAWHEKLKSIFLCKVTWELRIPSRFIVYIGNDILSGKQHLACCPLALVNLYKLYHSLAPKANHTDQSTKKGIQDNCNFILR >KQL23861 pep chromosome:Setaria_italica_v2.0:II:21607950:21617092:-1 gene:SETIT_028994mg transcript:KQL23861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPDLSFSASISSVKLPRYGAPKNEKFLVKRAPKFRAESGKYGTNGRATKMVPTTELRRTNGGGLVRSGTVNGSPNGTVNGSTKAPINGSAKSIINGSTKVVINGTPKMAVNGTSLVKGSKMSSLVKTQKHTRFNDDPFQEELKVLPSDEGFSWAKDNYNSVQRSIDIWSFVLSFRVRVLFDNAKWAYPGGFSEEKQKDRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPQEFVDELAKLQDRVPAFSPEKAKAFIEKEMGCSIDVVYKEFQERPIAAASLGQVHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLDVLDSQGYSRSLIASRAIESYLIQILKTGFFHADPHPGNLAVDKDGSLIYYDFGMMGEIKSFTRERLLSLFYSVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRQQGGPELVQEIRKQANDARDSTISMPYRIQRIEDFVGQLESGDLKLRVRVLESERAARKATVLQMATMYTALGGTLLNVGVTLNGQGNQIIANGSFIGADFITSKIGAKKRGNRRSTVGNRSNGATVRDRLMTSVSLRIERAGVPIGCLLPIRNRPIWRLV >KQL23865 pep chromosome:Setaria_italica_v2.0:II:21609693:21617092:-1 gene:SETIT_028994mg transcript:KQL23865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPDLSFSASISSVKLPRYGAPKNEKFLVKRAPKFRAESGKYGTNGRATKMVPTTELRRTNGGGLVRSGTVNGSPNGTVNGSTKAPINGSAKSIINGSTKVVINGTPKMAVNGTSLVKGSKMSSLVKTQKHTRFNDDPFQEELKVLPSDEGFSWAKDNYNSVQRSIDIWSFVLSFRVRVLFDNAKWAYPGGFSEEKQKDRRRKTASWLREQVLQLGPTFIKLGQLSSTRSDLFPQEFVDELAKLQDRVPAFSPEKAKAFIEKEMGCSIDVVYKEFQERPIAAASLGQVHRAVLHNGERVAVKVQRPGLKKLFDIDLRNLKLVAEYFQRSETFGGPSRDWIGIYEECSKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLIMWDYTTEKVLTLEYVPGIKINNLDVLDSQGYSRSLIASRAIESYLIQILKTGFFHADPHPGNLAVDKDGSLIYYDFGMMGEIKSFTRERLLSLFYSVYEKDANKVMKALIDLEALQPTGDLSPVRRSIQFFLDNLLSQTPDQQQTLAAIGEDLFAIAQDQPFRFPSTFTFVIRAFSTLEGIGYILDPDFSFVKVAAPYAQELLDLKQRQQGGPELVQEIRKQANDVSIQICWCTFVSFYILSSIWYIVQHTKRYHLWSSLYMTGS >KQL27176 pep chromosome:Setaria_italica_v2.0:II:48264793:48268406:-1 gene:SETIT_028982mg transcript:KQL27176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEFGVGMAPRKRESWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIYGALSFVFWTLTLIPLIKYVTIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLECPPEVAQRSRVKEWLEKHKKLHTALLVMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSKHQHEYAVIPITCAILVFLFALQHYGTHRVGFLFAPIVLCWLLCMSAIGLYNIIHWNPHVYQALNPCYMITFLKKTRKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPSLILGYMGQAAYLSKHHNFDASYQIGFYIAVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSAKIHGQIYIPEVNWMLMILCIAVTVGFRNTKHMGNASGLAVITVMMVTTCLMSVVIMLCWHRSPLLALAFFLFFGSIEALYFSASLIKFLEGAWVPILLSLILLAVMFVWHHTTIKKYEYDMQNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPPGHHSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDALFRCSDAGGEQRDSSYYERENALTVIGSNPLRRHLGLGYDDSHDGVSSAHEAAGSANGIELAAAAPAIKKQVRFAVESAAPRSPGVDERVLEELQELCEAREAGTAFILGHSHVQTKPGSSVLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >KQL27175 pep chromosome:Setaria_italica_v2.0:II:48264503:48268842:-1 gene:SETIT_028982mg transcript:KQL27175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEFGVGMAPRKRESWRTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDITHSETNEEIYGALSFVFWTLTLIPLIKYVTIVLRADDNGEGGTFALYSLICRHANVSLLPNRQVADEELSTYKLECPPEVAQRSRVKEWLEKHKKLHTALLVMVMIGTCMVIGDGVLTPAISVFSAVSGLELSLSKHQHEYAVIPITCAILVFLFALQHYGTHRVGFLFAPIVLCWLLCMSAIGLYNIIHWNPHVYQALNPCYMITFLKKTRKSGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTSLVYPSLILGYMGQAAYLSKHHNFDASYQIGFYIAVPESVRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSAKIHGQIYIPEVNWMLMILCIAVTVGFRNTKHMGNASGLAVITVMMVTTCLMSVVIMLCWHRSPLLALAFFLFFGSIEALYFSASLIKFLEGAWVPILLSLILLAVMFVWHHTTIKKYEYDMQNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVLPAERYLVGRVGPPGHHSYRCIVRYGYRDVHQDVDSFETELVESLATFIKLDALFRCSDAGGEQRDSSYYERENALTVIGSNPLRRHLGLGYDDSHDGVSSAHEAAGSANGIELAAAAPAIKKQVRFAVESAAPRSPGVDERVLEELQELCEAREAGTAFILGHSHVQTKPGSSVLKKLAVGVGYNFLRRNCRGPDVVLRVPPASLLEVGMVYVL >KQL25433 pep chromosome:Setaria_italica_v2.0:II:38105215:38108685:-1 gene:SETIT_031007mg transcript:KQL25433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAAATSTSLRPSYPSPPRFASLPRRWCWGILVHPAGLSAFHAERRRRTLLCAADKAPEESLKKTIEVDRLIDMLRDANPRELDQIVVENVLAFDAGFWVRLAARIDICKSDDDKKDYEELAENVMNIVDRLVHKTDQKIEQSTDVLKEIISPAIHEGDVKWPPRDPDTLKLMEKEISRREQEGQLDEGFLSEVSAQLRQAKQDGDKPGLQAMLQKVLQLYAATSLQKRS >KQL25461 pep chromosome:Setaria_italica_v2.0:II:38353360:38357352:-1 gene:SETIT_029476mg transcript:KQL25461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKYTRGCCGWLIVALIAALVATAAMFAIMKRKPGGGGKHLKPLPVPGPPGAIDSKYGDALGVALQFFQVQKSGKLENNQIPWRGDSALTDGKEAGLDLSKGMYDAGDHMKFTFPMAFTATVLAWSVLEYGDQMSAAKQLDPALDALKWITDFLIAAHPSDNVLYIQVGDPDLDHNCWERPETMTEKRPLTQINKKSPGSDVAAEAAAAMAAASMVFKSSDTTYSDVLLQHAQKLFTFADTYRGLSSDSYPKLQDFYNSTSYVDELLWAASWLYHATGDQTYLSYVTVQNGKTYADWGRPTWFSWDDKNPGTQVLLSRLNFFGSKQISNAENEGLKSYRDTAESVICGLIPDSPQATASRTGGGLIWISGWNSLQHATNAAFLAIVYSDYMLSTQTAAVQCSGKYYSPTDIRNFAASQANYILGDNPMKLSYLVGYGSVYPQQVHHRGASIPADAKTGCKGFQYLHSPDPNPNVAMGALVGGPFQNDTFVDSRDNALQTESSTYNSGTLVGLLSGLVTTSSVAQSFT >KQL26823 pep chromosome:Setaria_italica_v2.0:II:46497615:46499855:-1 gene:SETIT_029310mg transcript:KQL26823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDQDDAPDGGEDSPLFRRRGSPSSGAGGVADVPVAQSLIKAASNVCFSLFVLAVLVVTVVAVTYQPPDPWLQSSAAITTSLSRVLPNSTFLVPDDSLLPTGEDSNSSSAVPAPAGADANQDDAATAVAAASAAAAANGTCDPDAPLNCSDPRVLAAVKAFNAKAFFRKSIVFLSYEAPVPGPKPGQCDVAWRFRNRREKSWRRYRDYRRFSLTSGDGCALDIVKVGKFRSGTNAARRPYRKGGKGPKNPLAMAPPVDADINDTIPVVGSEAEFKKGKYLYYMRGGDHCKSMNQFIWSFLCGLGEAKFLNRTFVMDLNLCLSGAHTVDGKDVDGKDFRYYFDFEHLKESVSVVEEGDFLKDWKRWDKKKGPGRITVRKVPSYKVTPMQLKRDKSSIIWRQFDGQEPENYWYRVCEGRAAKVIQRPWYAIWKSKRLMNIVTEIAGRMDWDYDGLHVVRGWKAQNKQMYPNLDADTSPDALVNKVTKLVKPMRNLYIATNEPFYNYFDKLRSHYHVHLLDDYKQLWSNTSEWYNETTTLSGGKPVPFDAYMRVIVDTEVFYRSKTQVETFNNLTRDCKDGINTCNL >KQL23250 pep chromosome:Setaria_italica_v2.0:II:10191985:10194207:-1 gene:SETIT_030251mg transcript:KQL23250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGGFLSLLAVSSTPPSARADINRVVYAVFAGAHARQPRQHHVVAWWFMPVNFGVAFLADGARSGEWPCSSSGHRHSSGGSSASCSAANFGNLLLVVIPAVCEQDGNPFGLAKKIPCVISYASFSMALGGVYIWTYTHSVMKRSGGEAYQPSPGCREQELVGEGERRHSPNDPGVNLASYHRRGHWLGNRNSAVAQLRSIFVGSNAPLRAVQDSLKLLGNHDIAPFLAWYCVLIEMIPVRCRDGTIPCLMLILGGNLTKVVAAIICVRYVILPLVGVAVVREARDMGFLPPDPLYQYTLMMHFAVPPAMSIASFLTNVYNLSQEQCLSCMMLGKRSARLFCCTWTYLVAALALTVWSTIFMWILQ >KQL24545 pep chromosome:Setaria_italica_v2.0:II:31173358:31173863:-1 gene:SETIT_031794mg transcript:KQL24545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQQGKSVFPMMIWLENFKVATSTEVSNWKNNSYYGVNPTTHVTTSQSVPICKGLFVTY >KQL24361 pep chromosome:Setaria_italica_v2.0:II:29201578:29205069:-1 gene:SETIT_030011mg transcript:KQL24361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYLSMGEAHRRIGDYLSRVVNAISSSDGAALASLVAVSSAPGSTPLSDALAALPDFPRLAGDRFPHLADFLVPLLRAIHSHSLQRFADAYSSFEKAASAFLQEFRNWETPWAMEAMHTVTLEIRLLAEKADSELVTTGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALMHCNPQSESNLRRILKFLIPVKLSIGVLPKRTLLERYNLLEYADVVTSLRRGDLRLLRQALDRHEDQFLKSGVYLVLEKLELQVYQRLVKKIHIIQRQKEPAKAHQIKLDVVVKALKWLEIDMDVDEVECIMACLIYKNLIKGYFAHKSKVLVLSKQDPFPKLNGKPV >KQL24362 pep chromosome:Setaria_italica_v2.0:II:29202892:29205069:-1 gene:SETIT_030011mg transcript:KQL24362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYLSMGEAHRRIGDYLSRVVNAISSSDGAALASLVAVSSAPGSTPLSDALAALPDFPRLAGDRFPHLADFLVPLLRAIHSHSLQRFADAYSSFEKAASAFLQEFRNWETPWAMEAMHTVTLEIRLLAEKADSELVTTGKNPDKLQAAGSFLMKVFGALAVKGPKRIGALYVTCQLFKIYFRLGTVNLCRSVIRSIETARNFDFEDFPVKDKVTYMYYTGRLEVFNENFLVADQKLTYALMHCNPQSESNLRRILKFLIPVKLSIGVLPKRTLLERYNLLEVCLLLLLGYGSSRRLL >KQL23727 pep chromosome:Setaria_italica_v2.0:II:17017741:17018255:-1 gene:SETIT_031745mg transcript:KQL23727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHGGQGREHLRPAEVGAKLAKVAVIVLEADGVQLLPQDRDRVHDQLIGCDGTSCFHGEDEAVLLCVDLH >KQL23038 pep chromosome:Setaria_italica_v2.0:II:7892628:7894931:-1 gene:SETIT_029181mg transcript:KQL23038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNTNNELTKGIYLEEMDIIDTLLEQKWFEVHLKVVIVLFVVLVLKLIFVICFVLLYFVSPFVNLGVAVWRLVRRDYGDAGGDIGNKSKLNAALDIFYGLILLQSLFSFYYLVLDFLVLGMESRTRKHCGLEVVIVELYHSETVIKFKKDGELPEDWNLIAYGVEKLQSASGDDHLWGARVLDQLFSKDKSVRQKLLSSRISVQNLIGMIGLRGTADNVENRERAARIVAHLASDLNIAHFPGTLQCICSLLESCNKQSCEPQEEPEDQNGADTVLQIKDQTEYESGGPKELVSQGLLILEGLTRDKVNCTEISKQQLLISKITSPLSSPDFLNNVREDTMVVRMLSKSLTALSRILACPGDGATRLRQELASTTEAVSNLMAILEIDSGAAQELHGQALEILTELAFDDSFKKLEFNKLLKALLCIFLEENATRLMRRKAGEALARLLPVSTARERDVNVADIISKQEAINLLTKVLDQILYSKMGTSADLVEIFLKKQSPEKSEERKFMAAMLSLAVVICNENVISREDFARSTPKDAALAKKLKDILDVNKLCTAKSECLRVVKLTCQMVIAMIQAKPSYIQYFNEHNFKEALTETLGTMSEVDNCMLFARNDREVIKPARSLASLVKEAQELLKTAQEQGN >KQL25591 pep chromosome:Setaria_italica_v2.0:II:39224164:39226516:-1 gene:SETIT_029489mg transcript:KQL25591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKAAKRVAIVGAGTSGLAASKHLLARGFRPVVFEAGAAVGGLWTRTLATTRLQSPNQGYRFSDFPWPEDADAFPRHDQVVAYLAAYARRFGVEDCVRFRSKVVAAEFVGTDDGANAELWAGNGEAFGGDGAGRWRLTVRHGDSDATQTYEFDFLILCIGRFSGVPNIPEFPPGVGPDAFRGRVLHSMDFSDMNDADAAALVRGKRVAVVGSGKSAFDIAAECADANGAERPCTMVCRSPQWLLHDVNVWGKLNLGYLYMNRFAQLMVRKPGAGLASTLLATLLTPLAWLISKVTEAYYKKAIPMREHGMEPELGFAGSISSCNIGMLPDAFYDKVRGGSIVIRRSGAFSFCEDGLVLDGADRRVVPADLVILATGFCGDQKLRDMFVSPRVKDIVAGSSDTTVPLYRECVHPRIPQMAVIGYSESLTNIFSIEMMAKWVARFLDGAFRLPGVARMEQSVAEWGGYMRRSNGERFRRSCLGAVNIWYNDELCRDMGYDPRRKKGLLAEWFQPYGAVDYADIQ >KQL24502 pep chromosome:Setaria_italica_v2.0:II:30787527:30787703:-1 gene:SETIT_031986mg transcript:KQL24502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLPANALDSDSQTETDSSDDRHRGYHTPFPRGDALRIFRHADNSFACPVCPSTRHR >KQL26474 pep chromosome:Setaria_italica_v2.0:II:44585135:44587090:-1 gene:SETIT_029880mg transcript:KQL26474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSQETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKHSASGEVTIKHLNKEQEADQSNFRDASTNAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDDGLYEDSD >KQL26473 pep chromosome:Setaria_italica_v2.0:II:44585135:44588918:-1 gene:SETIT_029880mg transcript:KQL26473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSQETDRNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQVARVAKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINVSLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDINRYVLKHSASGEVTIKHLNKEQEADQSNFRDASTNAELEVQEKMSLLEWFANEYKKFGCSLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDDGLYEDSD >KQL23584 pep chromosome:Setaria_italica_v2.0:II:15304875:15319009:1 gene:SETIT_028644mg transcript:KQL23584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPAAAAAVVAVVAVAAALLSASAAANPAGGPHMADLSVLLPPRMTKPVEYRLIGGDGCFSWSWDHHDLISVKPEYNDSSRCSTSARLASIAPYNGRRETSVYATDIISGITIHCKVFVDKISRIRIFHHAVKIDLDEIATLRVHAFDDEENVFSSLVGLQFLWQLSPRLLDSSSHHLVHIPLKETHLSDCGGFCGDMNIRFELEDKNLGSDFFVVKGIEIGQEVVKAQLFEPQLEHVIDTITLTVAEAMSLEPPSPVLVTVGVSVKFKLKVFRQKVAEVSSLHVVIPRTLFLYLVPVMDDSAHFHGITNIPSSKDWYVYPGRKYMVLAKAFAEGFDAREIYITEENELRLESSTMEFWNLSQVLDSSIGSYEVQTSRLLSPVSQGKGHLVASLTYLTEASGPAKVLKIMQEVNVCSKVKAFWDEGLESSNVIHLPWVPGVYQEIELKATGGCGKTLDDYKLFSSDEDVASVSDSRIVHAKKPGQAVIRVVSAFDFLNFDEIIVEVSIPSVLSILPVFPVEVPVGTRLHAAAALKTSNGHSFSRCDHLNAFIRWSVLSDNESFHILNTAEASSVEDIKHSAGSWGQNGNPCAWISLNASAAGRSTVVATFTFDSDSNIETLGPISLKATSKISAYYPLVVLQGGNGNQFGGYWFDLSGIHGRIEIMDNNSPKELYLVPGSAMDVFLFGGPERWDQVVDFVETVDVIGELKNHITSSTTVQKLSSGIYRVSCPSKVNYKLLFSRGNMIGKDHPVPAIAKSEFSVVCDFPSEITLIANENENRLNILEAASKADRDPDRLQAPAVVISNGRNIRLAAVGIHGNGRFFANSSSLCLKWETIGCEGLAYFAETKSAEMLDESAWERFLVLQNSTGVCTVRATVAGLSTKFAGQTHEEEHTFHSLTDAIQLQLVSSLRVTPEYVMLVFHPDAQENLIVSGGTCSLDASTNDTHVVQIVKHPGKSLCSQLILGAKGLGKAIVTIQDVGLSPKATTYSLARVANVDWIQIIAEEHISLMEGSTKDFQILAGTQDGQTFGDSQFKYMGIELHLGDKILELISPSDSIDGPKFSIKAAKTGITSLYVSTRQHSGQRVLSQVVKVEVYKPLQIHPGYIYLTPGASFVLSVKGGPKTGVYIEYSSLNMEVVEVQNATGKLSAKAVGNSTVRAAILANGGTLVCEAFGRVEVDIPLAMILNTQSDRLCIGCSMPIYPSLPKGDLFSFYETCQSYSWMIADEKVVIFQSAKSWQYRLGQGSEGKNNPWFSNGSSNSFINHMIGRSAGKTKVSISVTCDFLLAGTTGSVVSYNASKTILVVPDPPLALVLPITWLFPPFYTTTSLLPRSANSLGEPDSLDLESSVGYSLLRGSGRGGSAIQDASIIDGSKIRTGESNAVDCIQAKDYSTGRTEIASCLRVAEVAQARLAAAESSVQIAYLSVNDRVELDIKYADELGYIFHEAHGVAPVKVETNYPDVVSILMPRDFNGTHGTHERFVLQARSHGTALIRLRINHIPNKADFIMVSVGAQMYPRDVILHSGQHLNFTVIGDGMETRGSGHWLSSNEKIVHVNRVTGEAQARSEGVAEVIFKGSNLKLQTTVSVLKVNQIVVDAPAETLTNAAGPPDGYKFSVRFSDSTEHSSGSSVSPIDVPFECKVDPSFVGYVEPWTDHAAKKSYCLFHPYPPAQLLAVKLNQKEGFLHILVRANLKEDLKVTGSAHALFVKGFYIKEPGKLNLAPSCNHSTITIGGNTDVELFWNAKDLLSVSRVDSNENKGVPSQIIYRVSHN >KQL23585 pep chromosome:Setaria_italica_v2.0:II:15304875:15320349:1 gene:SETIT_028644mg transcript:KQL23585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPAAAAAVVAVVAVAAALLSASAAANPAGGPHMADLSVLLPPRMTKPVEYRLIGGDGCFSWSWDHHDLISVKPEYNDSSRCSTSARLASIAPYNGRRETSVYATDIISGITIHCKVFVDKISRIRIFHHAVKIDLDEIATLRVHAFDDEENVFSSLVGLQFLWQLSPRLLDSSSHHLVHIPLKETHLSDCGGFCGDMNIRFELEDKNLGSDFFVVKGIEIGQEVVKAQLFEPQLEHVIDTITLTVAEAMSLEPPSPVLVTVGVSVKFKLKVFRQKVAEVSSLHVVIPRTLFLYLVPVMDDSAHFHGITNIPSSKDWYVYPGRKYMVLAKAFAEGFDAREIYITEENELRLESSTMEFWNLSQVLDSSIGSYEVQTSRLLSPVSQGKGHLVASLTYLTEASGPAKVLKIMQEVNVCSKVKAFWDEGLESSNVIHLPWVPGVYQEIELKATGGCGKTLDDYKLFSSDEDVASVSDSRIVHAKKPGQAVIRVVSAFDFLNFDEIIVEVSIPSVLSILPVFPVEVPVGTRLHAAAALKTSNGHSFSRCDHLNAFIRWSVLSDNESFHILNTAEASSVEDIKHSAGSWGQNGNPCAWISLNASAAGRSTVVATFTFDSDSNIETLGPISLKATSKISAYYPLVVLQGGNGNQFGGYWFDLSGIHGRIEIMDNNSPKELYLVPGSAMDVFLFGGPERWDQVVDFVETVDVIGELKNHITSSTTVQKLSSGIYRVSCPSKVNYKLLFSRGNMIGKDHPVPAIAKSEFSVVCDFPSEITLIANENENRLNILEAASKADRDPDRLQAPAVVISNGRNIRLAAVGIHGNGRFFANSSSLCLKWETIGCEGLAYFAETKSAEMLDESAWERFLVLQNSTGVCTVRATVAGLSTKFAGQTHEEEHTFHSLTDAIQLQLVSSLRVTPEYVMLVFHPDAQENLIVSGGTCSLDASTNDTHVVQIVKHPGKSLCSQLILGAKGLGKAIVTIQDVGLSPKATTYSLARVANVDWIQIIAEEHISLMEGSTKDFQILAGTQDGQTFGDSQFKYMGIELHLGDKILELISPSDSIDGPKFSIKAAKTGITSLYVSTRQHSGQRVLSQVVKVEVYKPLQIHPGYIYLTPGASFVLSVKGGPKTGVYIEYSSLNMEVVEVQNATGKLSAKAVGNSTVRAAILANGGTLVCEAFGRVEVDIPLAMILNTQSDRLCIGCSMPIYPSLPKGDLFSFYETCQSYSWMIADEKVVIFQSAKSWQYRLGQGSEGKNNPWFSNGSSNSFINHMIGRSAGKTKVSISVTCDFLLAGTTGSVVSYNASKTILVVPDPPLALVLPITWLFPPFYTTTSLLPRSANSLGEPDSLDLESSVGYSLLRGSGRGGSAIQDASIIDGSKIRTGESNAVDCIQAKDYSTGRTEIASCLRVAEVAQARLAAAESSVQIAYLSVNDRVELDIKYADELGYIFHEAHGVAPVKVETNYPDVVSILMPRDFNGTHGTHERFVLQARSHGTALIRLRINHIPNKADFIMVSVGAQMYPRDVILHSGQHLNFTVIGDGMETRGSGHWLSSNEKIVHVNRVTGEAQARSEGVAEVIFKGSNLKLQTTVSVLKVNQIVVDAPAETLTNAAGPPDGYKFSVRFSDSTEHSSGSSVSPIDVPFECKVDPSFVGYVEPWTDHAAKKSYCLFHPYPPAQLLAVKLNQKEGFLHILVRANLKEDLKVTGSAHALFVKGFYIKEPGKLNLAPSCNHSTITIGGNTDVELFWNAKDLLSVSRVDSNENKGVPSQIIYRVEVLKRQPFSEKVTIVLPVTGQTEEIEISYDTGEKAEPSSSWGLTTFAVILTCIVVPVATIAFFMKSLERPSRRAPSRNTAASTPARTPVASPAAMADPASPANGQLSPRTPQPFMEYVRRTIDDTPYYKRDGRRRFNPQNTY >KQL24004 pep chromosome:Setaria_italica_v2.0:II:24319876:24323676:-1 gene:SETIT_030796mg transcript:KQL24004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKPPPCRHPRQQLRMDLGARMTDAVTCAKLRKPQASDSVGGSLLPSTTPLAPPHPFGPRHGGAAPRALPMLVRRGAPPCAPRAPSDGLCGGGGSPAARCCIPLSRACFLRPTKESSGGALRTRLGRLILAAGRELLEKLNSARTNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGVIVAAIEGIGMLMYRKPIARPPGRLQKLVSMVNYWKAGVCLGLFVDAFKLGS >KQL24003 pep chromosome:Setaria_italica_v2.0:II:24320341:24323676:-1 gene:SETIT_030796mg transcript:KQL24003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKPPPCRHPRQQLRMDLGARMTDAVTCAKLRKPQASDSVGGSLLPSTTPLAPPHPFGPRHGGAAPRALPMLVRRGAPPCAPRAPSDGLCGGGGSPAARCCIPLSRACFLRPTKESSGGALSYQMKNCGLRPAFALETGGPSNTDGQDFDEDSGFLGRTRLGRLILAAGRELLEKLNSARTNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAGVIVAAIEGIGMLMYRKPIARPPGRLQKLVSMVNYWKAGVCLGLFVDAFKLGS >KQL24099 pep chromosome:Setaria_italica_v2.0:II:26037929:26040326:1 gene:SETIT_032686mg transcript:KQL24099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHREVLISALEGFSNAFTDHREKFCQVVDCRICGDPSNGLRYGFVEFQHEEEAYAALFLDGIIIGISPLRVLPSRTAICPVNPRFLPQSEDEWEICSRTIYCTNISKTVCRLKLLDNGKRSTNLAFIEFAELGYLIISSLLTWFVYVCLLICR >KQL24561 pep chromosome:Setaria_italica_v2.0:II:31282653:31283117:-1 gene:SETIT_031865mg transcript:KQL24561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYKPFAAMVVMQCIYVALALWSKAAFTGGMSP >KQL26549 pep chromosome:Setaria_italica_v2.0:II:45009747:45010481:-1 gene:SETIT_031039mg transcript:KQL26549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKKTMSSLAFLMAVLLIACSSMSSAARYLEETKPEYPPHPTVPEIPKPELPPHPTVPELPKPELPPHPTVPEHPKPELPPHPTEPEHPKQPELPPHPTLPELPKPELPPHPTVPEHPKPEIPHPVPEVPKPELPHPAVPELPHPHVPEVPKPELPHPAVPEVPKPELPHPEVPKPELPHPELPKPELPPHPEVPELPKPEAPHVPEVPKPEGHYPVPEAKP >KQL23590 pep chromosome:Setaria_italica_v2.0:II:15352138:15353806:-1 gene:SETIT_033026mg transcript:KQL23590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFSCFSDGAVALAAGAAGGRGAGAALDRSLQAATASVYRVALSSRKELRISVTWTRGIAGAGAGSAAGAPAAGVTGLAVAVDDGSRALPLAAVGTPRRTTAPAPPAGAQHFLQKKRGTRSFATEAGTAVCIYWDTAEAKYQQPGSPEPSRDYHLAVVADGELALLLGGGEGARELGRRFAPAPRRALLSRREQVRGGTAAFPHSAAAARAQLVHTTRCRFRDDGAEHEVTVACRGEEWGAGGPSSRDGEVAVSVDGKKVVEARRVKWNFRGNRTAVLGDGAVVEVMWDVHDWWFAGASPGGGGAQFMVKARGAADGGRVWMDEEMASKGQPPAGFFLHLQCYRRCRARSLASAADAVLGAGELAATDPSLPFEQKGKQLVTCALLPRSVAQPARPRPRPHLLRHHEAHGGDGDSSLVLRSTLPTGNGMLSGGRQLRGVVRGCRRLLLLHRCSFRLPLLGEDDAGPRQ >KQL24785 pep chromosome:Setaria_italica_v2.0:II:33149123:33149627:-1 gene:SETIT_033558mg transcript:KQL24785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATPFLPNVLCMQHILYIQLDIFLLRLLCVRFPSRSLYT >KQL25017 pep chromosome:Setaria_italica_v2.0:II:35278399:35280280:-1 gene:SETIT_030828mg transcript:KQL25017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSKAAIFATAVLAVLFSAPALAQKSSPPAPAPVSLPPSPAPAPAPHYVDLAELLSVAGPFHTFLNYLEKTNVIETFQGQANNTKVGITIFVPKDSAFAALKKSTFSNLTSDQLKTLLLYHAFPNFYSLAEFRNLSSLNPVNTFAGSPYTLNLTDDMGSIYVQSMWSRPKIASSVYATKPVAIYALNKVLLPMQLFSKDPPLAPAPAPAPESGASDAPSPAAGKAGGLNGGKDDSTSTAYKAGAVSVATSLLLAAAGCLMLLW >KQL22832 pep chromosome:Setaria_italica_v2.0:II:5666622:5667904:1 gene:SETIT_033119mg transcript:KQL22832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPPPPPPPLMLLPLLLAASSFAAANAKATPPSATAAAGARAGSPAPVPTPWPEQFHAVIFTNLTESGGRLQLIDIYYDWPKGRNLNLIHNQLSGDPTYNVEWANGTSYLFDAASCWTFHFAVGLLPPDWMKARGAAYLGRGRLDGFDCHVWSNFLFARYYEDAATGRPVGWNFNGMQQHVMSFEVGGVLEDSKWQAPAYCFNGDNANTGNVAADRVDVMNSLIRFAGAPAAAMAASFDQ >KQL26134 pep chromosome:Setaria_italica_v2.0:II:42521095:42521676:1 gene:SETIT_0314222mg transcript:KQL26134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVEAVIVCLLVLAMDVTAGVLGIHAEKAQSQGRHLRILFVECRQPVRRAYELGVAAAAVLAASHAIANAAGGCACSCSGDKLRRSSPNRQMASFALVLTWMILVVGLALLVLGALPTEHSWRVRVCRAT >KQL24082 pep chromosome:Setaria_italica_v2.0:II:25779032:25779514:1 gene:SETIT_033084mg transcript:KQL24082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKKRSSSPLLSLLLLLIIAADSAAAQVFCRSQFNLANEACSLRNFGGPNPARPLELHSNSSSAASYELQAEHHDHHEHHHEHEHEHEREHTAHSRRHGLGHGGRDPYDTACCRRLMGIDNACICQAMSFLPVFMSKVKHAIKLSPVPGCDVSFECGAI >KQL25513 pep chromosome:Setaria_italica_v2.0:II:38818737:38819269:1 gene:SETIT_031781mg transcript:KQL25513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWTMYYPNWERKTTDMREHEMQSLALKSIISVKKWKHPLDSAMANIVLAYSKSSYRSCSVLFN >KQL24657 pep chromosome:Setaria_italica_v2.0:II:32047669:32052864:-1 gene:SETIT_029116mg transcript:KQL24657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDTVRQFFTGKTCRQPRGRRAPSPSRIRHSSASVSWPATPSLESARRGPPPPPPSPAARGGRLDGLAPEQPRPSLAAARARMARNTWTALPWRDAALVEEEERAKQTFRAPCTRWRYELACHFTLPTPPPSTSTPPSSPALPSPLPSPPLPIPSRRTEPHPFRKERRRAMGAFARKEHQFLSELGLAPRNLGSFACGAWGGSGPVVNSTSPANNQVIAEVVEASVNDYEDGMRACFDASKSWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLEKNNLPGAIFTAFCGGTEIGQAIAVDTRIPLVSFTGSTRAGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLILHESIYQTFLDQLVEVYKQVRIGHPLEKGTLLGPLHTPTSKENFLKGIQTIKSQGGTILFGGSAIESEGNFVQPTIVEISASAPVVKEELFGPVLYVMKFQVAYSQYYVFILFYLFCLL >KQL24659 pep chromosome:Setaria_italica_v2.0:II:32045956:32052864:-1 gene:SETIT_029116mg transcript:KQL24659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDTVRQFFTGKTCRQPRGRRAPSPSRIRHSSASVSWPATPSLESARRGPPPPPPSPAARGGRLDGLAPEQPRPSLAAARARMARNTWTALPWRDAALVEEEERAKQTFRAPCTRWRYELACHFTLPTPPPSTSTPPSSPALPSPLPSPPLPIPSRRTEPHPFRKERRRAMGAFARKEHQFLSELGLAPRNLGSFACGAWGGSGPVVNSTSPANNQVIAEVVEASVNDYEDGMRACFDASKSWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERARRGPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLEKNNLPGAIFTAFCGGTEIGQAIAVDTRIPLVSFTGSTRAGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLILHESIYQTFLDQLVEVYKQVRIGHPLEKGTLLGPLHTPTSKENFLKGIQTIKSQGGTILFGGSAIESEGNFVQPTIVEISASAPVVKEELFGPVLYVMKFQTLKEAIEINNSVPQGLSSSIFTKRPEIIFKWLGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCTINYGTELPLAQGINFG >KQL24661 pep chromosome:Setaria_italica_v2.0:II:32046354:32052864:-1 gene:SETIT_029116mg transcript:KQL24661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDTVRQFFTGKTCRQPRGRRAPSPSRIRHSSASVSWPATPSLESARRGPPPPPPSPAARGGRLDGLAPEQPRPSLAAARARMARNTWTALPWRDAALVEEEERAKQTFRAPCTRWRYELACHFTLPTPPPSTSTPPSSPALPSPLPSPPLPIPSRRTEPHPFRKERRRAMGAFARKEHQFLSELGLAPRNLGSFACGAWGGSGPVVNSTSPANNQVIAEVVEASVNDYEDGMRACFDASKSWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLEKNNLPGAIFTAFCGGTEIGQAIAVDTRIPLVSFTGSTRAGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLILHESIYQTFLDQLVEVYKQVRIGHPLEKGTLLGPLHTPTSKENFLKGIQTIKSQGGTILFGGSAIESEGNFVQPTIVEISASAPVVKEELFGPVLYVMKFQTLKEAIEINNSVPQGLSSSIFTKRPEIIFKWLGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCTINYGTELPLAQGINFG >KQL24660 pep chromosome:Setaria_italica_v2.0:II:32047669:32052864:-1 gene:SETIT_029116mg transcript:KQL24660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDTVRQFFTGKTCRQPRGRRAPSPSRIRHSSASVSWPATPSLESARRGPPPPPPSPAARGGRLDGLAPEQPRPSLAAARARMARNTWTALPWRDAALVEEEERAKQTFRAPCTRWRYELACHFTLPTPPPSTSTPPSSPALPSPLPSPPLPIPSRRTEPHPFRKERRRAMGAFARKEHQFLSELGLAPRNLGSFACGAWGGSGPVVNSTSPANNQVIAEVVEASVNDYEDGMRACFDASKSWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTKIVASVLEKNNLPGAIFTAFCGGTEIGQAIAVDTRIPLVSFTGSTRAGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLILHESIYQTFLDQLVEVYKQVRIGHPLEKGTLLGPLHTPTSKENFLKGIQTIKSQGGTILFGGSAIESEGNFVQPTIVEISASAPVVKEELFGPVLYVMKFQVAYSQYYVFILFYLFCLL >KQL24658 pep chromosome:Setaria_italica_v2.0:II:32045956:32052864:-1 gene:SETIT_029116mg transcript:KQL24658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDTVRQFFTGKTCRQPRGRRAPSPSRIRHSSASVSWPATPSLESARRGPPPPPPSPAARGGRLDGLAPEQPRPSLAAARARMARNTWTALPWRDAALVEEEERAKQTFRAPCTRWRYELACHFTLPTPPPSTSTPPSSPALPSPLPSPPLPIPSRRTEPHPFRKERRRAMGAFARKEHQFLSELGLAPRNLGSFACGAWGGSGPVVNSTSPANNQVIAEVVEASVNDYEDGMRACFDASKSWMAIPAPKRGEIVRQIGDALRAKLHHLGRLVSLEMGKILPEGIGEVQEIIDMCDYAVGLSRQLNGSIIPSERPNHMMMEVWNPLGVVGVITAFNFPCAVLGWNACIALVCGNCVVWKAFCGGTEIGQAIAVDTRIPLVSFTGSTRAGLMVQQQVNARFGKCLLELSGNNAIIVMDDADIQLAVRSVLFAAVGTAGQRCTTCRRLILHESIYQTFLDQLVEVYKQVRIGHPLEKGTLLGPLHTPTSKENFLKGIQTIKSQGGTILFGGSAIESEGNFVQPTIVEISASAPVVKEELFGPVLYVMKFQTLKEAIEINNSVPQGLSSSIFTKRPEIIFKWLGPHGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRATCTINYGTELPLAQGINFG >KQL26236 pep chromosome:Setaria_italica_v2.0:II:43222875:43224432:-1 gene:SETIT_030767mg transcript:KQL26236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLLACFGRRGGATASAPDEQEDQQQQQQVAPGPVLLELFASQGCGASPEADAVAARLAQDSAAQQEGGGGGPAVVVLAFHVDYWDHSGWKDPFASSAWTVRQKAYVEALRLDTLFTPQVVVQGRAHCVGTEQDALAQAVRDAPRYPAPAMKATFQRPNPTTLQASFTGTLRSRVEGAGGASVLVALYESGLVTDCGRGENKGKSLLNDHVVRRLEKVAAVREGASAKKSVSGTVQFPLWDGFRAAKCGVVLFVQNAALQVLGVQHFDLPDNV >KQL22382 pep chromosome:Setaria_italica_v2.0:II:2181638:2185770:1 gene:SETIT_029348mg transcript:KQL22382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNISGNSLYGVAGYAIAALRRRFQVVDVSSNYLDGALNGSDGVVITTTNCFHGVPGQRSRVDCEEFYRKQGVNLVDAPAPSPLPSASPLPQPSPEVKRKKQGISKNVLIGVLVAAGALMVLFLAVLLFCLVKMSSRGRSRGRGVEINEEGTGTRSARRRDSSVNPVASSPSAVSPRANGGPKKDVSAIAGDFSYEELVDATGGFGDDKLIKHGHSGDIYHGVLENGSHVIVKKVGSKGINKHASELDFYSRYSHDRIVPLLGYLSKDDEEFLAYKYMPKGDLTDALHKKPVDTADGLPSLDWITRLKIATGVAEAMCFLHDECSPPLVHRDIQASSVLLDDKYEVRLGSMSDICAQQSGGSQNVFSRILRSSKSLDKHTSGPPATCSYDVLCFGKVLLELVTGNFGISGSNDAASEEWLANTLNQINGGDKASVTSIIDPLLLVDEDHQEEVWAAAIIAKACLSAKPSRRPSARHVLRALESPLRVVRQSSSSRSDSARLRSSSSRSSWQSVFLQGNNHRVQSLDRRHSVRSHGSGGEASFSFSFKRAVAAAPEVAPEPVAAALDEEAVVV >KQL25929 pep chromosome:Setaria_italica_v2.0:II:41321610:41324505:-1 gene:SETIT_030701mg transcript:KQL25929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEIYHKVKDKVKDAFSSSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQVGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILSEPEFLSDPETAIRKAYQLTDEKILEKASELGRGGSTAVTAILIGSDKSVKLVVANVGDSRAVISKNGVAEQLSVDHEPNMERQSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSDPYVIEETINENSDFLILASDGLWKVMDMDLV >KQL25930 pep chromosome:Setaria_italica_v2.0:II:41320871:41324831:-1 gene:SETIT_030701mg transcript:KQL25930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEIYHKVKDKVKDAFSSSGPETGKGKTKLSGKRVKHGYHLVKGKSNHPMEDYLVAEYRQVGEHDLGLFAIFDGHLGHTVPDFLRSHLFDNILSEPEFLSDPETAIRKAYQLTDEKILEKASELGRGGSTAVTAILIGSDKSVKLVVANVGDSRAVISKNGVAEQLSVDHEPNMERQSIEKKGGFVSNLPGDVPRVDGQLAVARAFGDRSLKKHLSSDPYVIEETINENSDFLILASDGLWKVMSNQEAVDEIKDFKDAQAAAKHLTELAVNRKSKDDISVIVVKFLC >KQL22972 pep chromosome:Setaria_italica_v2.0:II:6965572:6968694:1 gene:SETIT_032212mg transcript:KQL22972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHDTGMLASWNQSTSYCNWVGVTCGKKHPCRVVALNLSSQGLTGTISPAIGNLTFLHSLNLSSNGLKGEIPPSIGSLQRLQNLDLSQNMLNGVIPSNISHCTSLRVMMISSNKGVQGSIPAEIGNMPSLAIVELFNNSITGTIPPSLGNLSRLTMLSLQMNYLEGSIPACIGNIPYLRSLQLSCNNLSGLLPPSLYNLSSLFQFYVADNKLHGRLPVDLGKSFLSIKHFGVGENQFTGPLPLSLTNLTRLQVLLVGANSFTGVVPSKLGRLHNLQVFVLELNKFEANNEKEWEFISSLANCSRLQMLSFGQNRFAGKLPRSLANLSTNLQRLKAPSNNISGFIPTMIGNLANLEELDFSLNLLTGVIPESIGKLSRLNHLFLYSNNLSGQVPFSIGNLTGLSLLLACSNSLEGPIPPSIGNLSKLSALDLSSNKITGFVPNEIMKISSISMTLDLSNNLLEGPLPLEVGNLVNLEQLLLSRNKLSGEIPDTIGNCRVLQTLCMDDNSFHGSIPATFKNMAGLTLLNLTGNKLNGSIPGNLASITNLQELYLAHNNLLGTIPELLGNSKSLLHLDLSFNNLQGEVPTEGVFRNLTRLSISGNDALCGGIPQLHLPKCPNFTAKKNKEMMQKSLRIAIPTIGAILLLLSGLVWAGFMYRRFKISYRKEMSHQFTDIELPIVPYNDILKGTDGFSEANVLGKGRYGTVYRGTLENSAITVAVKVFNVQQSGSHKSFQAECKALRRVRHRCLVKIITCCSSINHQGQDFRALVFEFMANGSLDRWIHSNFESQNGQGQLSLSQRLDIAVDIVDALDYLHNGCQPPVIHCDLKPSNILLDQDMRARLGDFGIARVLDEATSKHHMDCSNSIGIRGTIGYIAPEYGEGLAVSTNGDVFSFGITLIEMFTGRSPTDDMFRDGISLHYYAEAALPDKVMEIADSNIWLHDEANNSICKRHITITKECLSAVIQLGVLCSKQLPLERLSINDATAEMHAIRDAYINSQQLSDVESF >KQL23531 pep chromosome:Setaria_italica_v2.0:II:14613794:14614249:1 gene:SETIT_032539mg transcript:KQL23531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGWSPVAVAAVVVVALLSSSRCAATAAASSWPLTYAGSSSPSTSLDCGTMTSLLAGCRAFVRRGEAASSPSAPAPGAACCEGVAELYAVAADSADNWRSVCGCMAALVRRYSSNASAIALLPVLCGVLPPAGRTARDTLTYCTRYQHT >KQL27020 pep chromosome:Setaria_italica_v2.0:II:47445675:47446001:1 gene:SETIT_033186mg transcript:KQL27020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSNYEHVEEEDTWPPGSLSHRAAVPGKRSHEAVRSGRGRRARPAGRGRWVRDRRAEKCPCRRPRGKREHARSRLEPSGAEFVLN >KQL27149 pep chromosome:Setaria_italica_v2.0:II:48108193:48109218:1 gene:SETIT_031146mg transcript:KQL27149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKMLLPVTLCFLLVLAAESKEDDNTVVVFYGTARCKINTSRIISNAPLQLVINNATIPGTGRTTSTGQIVMAVSLTSAQHLDALTSNGSGKAFLVAPPHACGAPSIPPGMAVAVEVHPTDVVSASGGLLRPMNNGGLHATTNAGGAATSHNKGAGDTVHAQMPAIAASDGLVLPDVQMVLAKVIDPFACLLLGFFVIG >KQL22685 pep chromosome:Setaria_italica_v2.0:II:4598097:4599396:-1 gene:SETIT_032820mg transcript:KQL22685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTSCVSVRLLNTNVEGKRKIMFALTSIKGAREVSPDELERLKNVVPNPRQFMKDYKDGRFSQVVSNTLDMKLGDDLERG >KQL26338 pep chromosome:Setaria_italica_v2.0:II:43830746:43831469:1 gene:SETIT_032004mg transcript:KQL26338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAHHPCFGGLLPERLGYVQCSFCATILLVSVPCGGGLQLETVAVQCGNCAGILSVTVPPSPPASIELLLQEPALDPPPRDSDESSAEDREAEAAVAENHAFPAVNKPPVRKQRTPSAYNCFIK >KQL26708 pep chromosome:Setaria_italica_v2.0:II:45885891:45888619:1 gene:SETIT_028921mg transcript:KQL26708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWPEARNRIAVAFREGRNASQQPIDGSSWLDLAGVLALGDPCPAYCLPAGLGQPHADLVIGVTVESGRIRDTLLHVSNRVCSPALCKTATTTAPCKLITRVGFNKPNLAAGSFIKKKKPLAVGWVNKMLGATNPASTNRNGSARHFPRIRPSGPRGLSSSRPSDCAHSSTTHPAEPIQTEQRARPECGRRQNHPLPLSRVDLAGGADLAARTAESPTPAGRLVRPAPCLPDFVGGIRQGKGGNNSYNPRRNQAAARRAATPTLPAAMRRRPEPRLVRSLAITASASPAAPARSLLTALPGVRDAVSYNIVLAALCHRGGDLPAALSLLRDMSMESDPGARPNAISYTTVMRGLCAARRADEAVGLLRTMQARSVRPDVVTYGTLIRGLCDAAEVDGAVELLDEMYESGIEPNVVVYGFLLRGYCKSGRWQDVGKVFEEMSRQGVEPDVSMFTGLIECLCKEGKIGKATKVKDMMVERGLEPNAVTYNVLINSLCKEGSVREAMALKKEMVENGVVPDVVTYNTLIAGLSGVLEMDEAMGLLEEMIQGDVVVEPNVITFSSVLHGLCKIGRMFQAIKVREMMAERGCMCDLVTYNCLIGGFLRVHKVKMVMKLLNELASSGLEPDSFTYSILINGFSKMWDVDRAEKFLCTMRQHGIEPERVHYIPLLAAICQQGMMERATILFNEMDKNCGLDVFAYNTMIHGACISGDKKMVKQLLKDMLDEGLTPDAATYSVLINMFAKLGDLEEAETVLKQMTASGFVPDIAVFDSLIKGYSAEGQINKVLKLVHELRDKNVALDSKIIRTIMNSLMASNEDKRILEGLPDLYLKNYCKATPSSCPRSS >KQL25462 pep chromosome:Setaria_italica_v2.0:II:38397111:38397390:1 gene:SETIT_033494mg transcript:KQL25462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKHDSDNIIATNSFTELQLDAILFLSSQWT >KQL23716 pep chromosome:Setaria_italica_v2.0:II:16971718:16977766:-1 gene:SETIT_028771mg transcript:KQL23716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKYLGALAEDRRPDLHRQVGCVTGILQAFDRRHPLASSHKRLLPPTAGHALSSSPSVGGDCTRFSPQIVLEKNSSKTWADNQRAPAAELSQTSYSSSPSSSFSSLDGNRSTQQDLSSTDRMLFPERPFKCSPMLKSSFDSENGLDYPDDVLTKPDNMPTAQSSLPTLGIKNLVKDSIYKDSRDLSVRVCNGEEVKDHPFNFGDPSRQLDGPPRDSTQGKSKGLMDINESLRVLAKLREATWTPSESGHHARLSYDAPRFSYDGKEPASKLREVPRLSLDIKEGHHWNREMNSRSKPSLSSSDRSSSNATGSNAALETQQEQPACKRLPSVVAKLMGLEELPERSESTASLQACKAVKESKEATLNPLSISSHNEPAPRQQRNQDSTVRNLPNSKFPVETAPWKQQERIVLPRKPPRGSKGAHGREPVASVFSDIEKRLKDLDFQQSNKDLRALKQILDSMQAKGLLENKKREEASMSKLYDGSHDDQETTNLNTRLNSNTNSMWVPEESNAESSFKSPIVIMKPSKSANLYSEVDSSVIPLGGSSDLPQLQTGNSTDKRKASTVNRTAKEQHAKWSPRVPTSQPLVSYDRKSNGRNDDSSNKQKSSSLLVTESSSRRQQLPRDSSISLQKNKNSTSPRLLQRKLDSERRARPPVPSAESNKSQRQSGDRNNLDTVSPRSKFRRKPVRAQEGDDGMPNGLNNRTRSLNQQGNDMSTRSDGSMCVASEVDIEVISNDRSTEVNVSNFEQGNGTPSGRNTQKVKTSYDASKDVSSVDPSAAISERPSPVSVLDPLFDQEDLFPSSRTSDSLAADDEHHTSEEPWKPSDTKLREVAMQSKNNKLANVASLLEKLQQLSVNKDEDAPPVDHIAFLCETESPDHRYVSEILLASGLLMKDLGSGHAGLQLHPSGYPINPDLFHVLEQRKAGWVSKPDGIHQSRVKCDPKRAHRKLMFDSVNEILLQKFEKETAVYSASSFTRAKDLPAKTLSGQQLVKFVSSGIEDLEMEQSRICQKEGSVIPDAEILERLQGWTSFCRELPGLVLEIERSVFKELVDEVVHGESADGPLMKAAIRRRRRLFA >KQL23379 pep chromosome:Setaria_italica_v2.0:II:11733534:11739062:-1 gene:SETIT_030245mg transcript:KQL23379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQWFCCNCHFDDEEDGHNKEQSKAQSNKIDPKQKSSKPPVTQPEPEISPPTIDVPELSLDDLKEKTDNFGSSALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSLASKLKHDNLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQRPAPTASEPAPEPAS >KQL23380 pep chromosome:Setaria_italica_v2.0:II:11733534:11739675:-1 gene:SETIT_030245mg transcript:KQL23380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQWFCCNCHFDDEEDGHNKEQSKAQSNKIDPKQKSSKPPVTQPEPEISPPTIDVPELSLDDLKEKTDNFGSSALIGEGSYGRVYHATLDDGRQAAVKKLDASENEPNDEFLKQVSLASKLKHDNLVEMLGYCVEGNYRILAYEFATMGSLHDVLHGRKGVQGAQPGPVLDWMQRVKIAIEAAKGIEYLHEKVQPSIIHRDIRSSNVLLFEDFKAKIADFNLLNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLQQRPAPTASEPAPEPAS >KQL26800 pep chromosome:Setaria_italica_v2.0:II:46387260:46388308:-1 gene:SETIT_032553mg transcript:KQL26800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIPSMSFTDDHTTHYSAYPMDTVQIFSVKLAATRGSLQLPLDVFGTVEVRDHIDHNRNIIFQRTRNDCQTLTEEDPYLLLAGPTRAVMFMVSSPAAVEIDLKVRGAPESEDEDLSFLVAPVTCFSTMYSCLLNCACTSKLSTLEFTLGHIISSVEATIFVRVIHGSWPDGLHGVFAAFTTGIYDDAVFLEKRVAGIGHERIVLLDSRGERLPITGDGNIKLSRRVVSVETSGKLVVRVKALEGDKQVEKETSFDPLEASSSISDLEFSFCKMEVTVFWLLISYYPEL >KQL22587 pep chromosome:Setaria_italica_v2.0:II:3700811:3704814:-1 gene:SETIT_030257mg transcript:KQL22587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNEFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGLKVIIPRSPREAKGLLLASIRDPNPVVFFEPKWLYRLSVEEVPEEDYMLPLSQAEVIRKGSDITLIGWGAQLAVLKEACEDAAKDGVSCELIDLKTLIPWDKETVEASVKKTGKLLVSHEAPITGGFGAEIAASIAERCFQRLEAPVARVCGLDTPFPLVYEPFYMPTKNKVLDAIKATVNY >KQL22588 pep chromosome:Setaria_italica_v2.0:II:3701417:3704814:-1 gene:SETIT_030257mg transcript:KQL22588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARALREAGRRRGWAAEVGRRCFSGGSAGTAVPERKEGGGGKPVNLFTAVNQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADRFGKNRVFNTPLCEQGIAGFAIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNEFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGLKVIIPRSPREAKGLLLASIRDPNPVVFFEPKWLYRLSVEEVPEEDYMLPLSQAEVIRKGSDITLIGWGAQLAVLKEACEDAAKDGVSCELIDLKTLIPWDKETVEASVKKTGKLLVSSSLLMFIFTIYHLGNNNSKSFRQYINYC >KQL22586 pep chromosome:Setaria_italica_v2.0:II:3701008:3704616:-1 gene:SETIT_030257mg transcript:KQL22586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARALREAGRRRGWAAEVGRRCFSGGSAGTAVPERKEGGGGKPVNLFTAVNQALHIALDTDPRAYVFGEDVGFGGVFRCTTGLADRFGKNRVFNTPLCEQGIAGFAIGLAAMGNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNEFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGLKVIIPRSPREAKGLLLASIRDPNPVVFFEPKWLYRLSVEEVPEEDYMLPLSQAEVIRKGSDITLIGWGAQLAVLKEACEDAAKDGVSCELIDLKTLIPWDKETVEASVKKTGKLLVSHEAPITGGFGAEIAASIAERCFQRLEAPVARVCGLDTPFPLVYEPFYMPTKNKVLDAIKATVNY >KQL24624 pep chromosome:Setaria_italica_v2.0:II:31805724:31806636:1 gene:SETIT_031367mg transcript:KQL24624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGLSKLKCMIKRWHSSSRISRTPTGCSARSHDSAGAGFVLEDSWRRGVAPSSVVAIGGGGRGSVSFHGADGVPPGLHPVYVGKSRRRYLIAADLVGHPLFQNLVDRSGGAGVGAGGTVVGCEVVLFEHLLWMLENADPQPESLDELVEYYAC >KQL27295 pep chromosome:Setaria_italica_v2.0:II:49060373:49064399:-1 gene:SETIT_029627mg transcript:KQL27295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRRKPASAAAPAVTKPPPSRRPTAPLSLAGLVVAIFLVAVFLYNDDAVKPTETVAVAGRARSPDLRVLHQQHDEDVEVEDQVVRDGDANHKQAQTEDKQAETTEEKHQQQQVVVRLPAGCDLYQGRWTYDAAGERSPLYRESECEFLTEQVTCMRNGRRDDSYQKWRWQPHGCDLPRFDASLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVVPTGQKTLQKFVNNGSLNVFTAHEYNATVEFYWAPFLVQSNSDDPQVHSVMDRVIAWRAIAKHANNWKGVDYLIFNTYIWWLNTFEMKVLKGSGALRNHNKGAAGGWSKYALVDRPVAYREVLKTWAKWVDRHIDPNRTTVFFMGMSPNHITPWAWGNGGGIKCAMETQPIVNRTEPLNIGTDWRLHGVARGVLARHLRRVPVHLVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNHFIYARIVSAPPLPPTSH >KQL24960 pep chromosome:Setaria_italica_v2.0:II:34991492:34994262:1 gene:SETIT_032817mg transcript:KQL24960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVAAPVVIGLVAEAGVGGGGDGGGPPLEVAGSEVPPPVEVDGKGAPPVEGVTVAVTAGGKDKKEEEEEHKKKRKKKEEEKGQGVKWLGHYSSTQDILLVGDGDFSFSLALATAFGSGANLVATSLDTYETLKSKYSKAESNITNLKRLSATVLHGVDTKKMKLHPDLKKRRFDRIVFNFPHAGFKGKEDDMHMINSHRELVWGFFNNALHLLRPYCEIHISHKTGGAYDRWDIEDLAFGASLVLVEKVAFQQEDYPGYNQKRGDSARSDEAFDLGTCFTFMFRIRDLNWKKLNGDMASSVGIMALATERGPFHLFPPDEAWPRQRLPPPVNAVHMPTTVELDGVAQSQHPDFALNFDGTVRDPYFQQPGNTGPMLGTPGLLVNALHNLGGAICPPMSRIPCPDLLAPQEKPWYQHRPTADAPGRDQCYLARENQWGLQREYEMQGHVMPAESHSALLEHQRRDWEFVQEDRRM >KQL27312 pep chromosome:Setaria_italica_v2.0:II:49106369:49109478:-1 gene:SETIT_030912mg transcript:KQL27312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPAELAHGANAGLDIAVRLLEPIKEQFPTISYADFYQLAGVVAVEVTGGPDVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSTQMGLSDQDIVALSGGHTLGRCHKDRSGFEGAWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPSFRPLVEKYAADEDAFFADYAEAHLKLSELGFAEA >KQL27313 pep chromosome:Setaria_italica_v2.0:II:49107036:49109398:-1 gene:SETIT_030912mg transcript:KQL27313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYPTVSEDYLKAIDKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVGTKTGGPFGTMKDPAELAHGANAGLDIAVRLLEPIKEQFPTISYADFYQLAGVVAVEVTGGPDVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSTQMGLSDQDIVALSGGHTLGRCHKDRSGFEGAWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPSFRPLVEKYAAVYI >KQL27314 pep chromosome:Setaria_italica_v2.0:II:49106369:49109478:-1 gene:SETIT_030912mg transcript:KQL27314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYPTVSEDYLKAIDKAKRKLRGLIAEKNCAPLMLRLAWHSAGTFDVGTKTGGPFGTMKDPAELAHGANAGLDIAVRLLEPIKEQFPTISYADFYQLAGVVAVEVTGGPDVPFHPGRQDKPEPPPEGRLPDATQGSDHLRQVFSTQMGLSDQDIVALSGGHTLGRCHKDRSGFEGAWTSNPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPSFRPLVEKYAADEDAFFADYAEAHLKLSELGFAEA >KQL23586 pep chromosome:Setaria_italica_v2.0:II:15320719:15325459:1 gene:SETIT_030203mg transcript:KQL23586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATAAAAAVLPALVPSGSAVVVLFAYLGYLAAAGAILPGKLVAGAVLQDSSRLHYRCNGLLSLLLLLGLLAFGSYMGWMSPTVVADRGLELLSATFIFSVIVTFALYFAGTKSRHKSSSLRPHVSGSFIQDWWLGVQLNPHFMGVDLKFFFVRAGMMGWLFINLSLFAKSYLAGSVNLSVILYQFFCAWYIIDYFVHEEFMTSTWDIIAERLGFMLVFGDLVFIPFTFTIQGWWLLRNEVKLSPLAGLANFCIFIIGYLVFRGANKQKHVFKKDPKAPIWGKPPKVVGGKLLASGYWGIARHCNYLGDLLLALSFSLPCGFSSVIPYFYPTYLLILLIWRERRDEARCSQKYKEIWAEYCKLVPWRILPYVY >KQL23840 pep chromosome:Setaria_italica_v2.0:II:20877834:20883712:1 gene:SETIT_029063mg transcript:KQL23840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVTENNSDMSILQRIATSDVPFLKDYGLNGVVGAVLLAIVIPFLLTSVFSRKTKKRAVQADVGGEPGLAMRNSRFSSLVQVPWEGATTMAALFEMASKKYSRRKCLGTRKLINREFVESADGKKFEKLHLGEYEWDTYAEAFNRACNFASGLIKMGHNLDSHAAIFSDTRAEWIIAAQGCFRQNLTAVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAISSKLKSLRHVIYIEDEPVEAETLNQMKHWTTLSFTEVEELGKTSHIDARLPSSSDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIVPNLGMDDVYLAYLPLAHVFELAAETVMLASGTAIGYGTALTMTDTSNKIKKGTKGDVSVLKPTLMISVPAILDRIRDAVFKKVGEKGGVTKKLFDFAYNRNLAAIEGSWFGSWAPERMIWDNLIFKPIRAMLGGRVRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKVCVYGFALDTGYCHTS >KQL23841 pep chromosome:Setaria_italica_v2.0:II:20877834:20885267:1 gene:SETIT_029063mg transcript:KQL23841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVTENNSDMSILQRIATSDVPFLKDYGLNGVVGAVLLAIVIPFLLTSVFSRKTKKRAVQADVGGEPGLAMRNSRFSSLVQVPWEGATTMAALFEMASKKYSRRKCLGTRKLINREFVESADGKKFEKLHLGEYEWDTYAEAFNRACNFASGLIKMGHNLDSHAAIFSDTRAEWIIAAQGCFRQNLTAVTIYASLGEDALVHSLNETQVSTLICDSKQLKKLPAISSKLKSLRHVIYIEDEPVEAETLNQMKHWTTLSFTEVEELGKTSHIDARLPSSSDTAVIMYTSGSTGLPKGVMITHGNMVATTAAVMTIVPNLGMDDVYLAYLPLAHVFELAAETVMLASGTAIGYGTALTMTDTSNKIKKGTKGDVSVLKPTLMISVPAILDRIRDAVFKKVGEKGGVTKKLFDFAYNRNLAAIEGSWFGSWAPERMIWDNLIFKPIRAMLGGRVRFVLCGGAPLSSDTQRFMNICLGVPVGQGYGLTETCAGAAFSEWDDTSVGRVGPPLPCCYVKLVSWEEGGYTISDSPMPRGEVVVGGYSITKGYYNNEAKTNEVYKVDERGMRWFYTGDIGQFHPDGCIEIIDRKKDIVKLQHGEYVSLGKVESALSTSNYVDNIMVYADPFHNYCVALVVPAHQALEKWAQNSGINYKDFEELCQNDQAIKEVQQSLSKAGKAARLEKFEIPAKILLLPEPWTPESGLVTAALKLKREQIKTKFKDDLNKLYH >KQL22241 pep chromosome:Setaria_italica_v2.0:II:866356:867199:-1 gene:SETIT_032343mg transcript:KQL22241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGADLLTLDHRLNAFRYGNGQWTEMQRQTYNLVDAQARRTVQVYPSGWSAILVSLDNQGMWNLRSAIWDRQYLGQQLYLRVWTPEPSFANEYSIPTNAILCGRAAGLPH >KQL25364 pep chromosome:Setaria_italica_v2.0:II:37618788:37619483:-1 gene:SETIT_031747mg transcript:KQL25364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAGNVYLWDSDYFTRNFHVLPRLLSPLVVHPIFLPIYECSRHFPIGSTVARIVKHQQTSWGFHLLQTK >KQL23345 pep chromosome:Setaria_italica_v2.0:II:11046384:11047289:1 gene:SETIT_033028mg transcript:KQL23345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSTILLPLFLVAVLLPGAMATIFVFTNRCPETIYPGVLTSPGKPGFPTTGFALPPGPDAAFPGVPAGWSGRIWGRYRCATDASGSFGCASGDCATGRVECAGNGNQAPSTLAEFTLNGQDGKDFYDISNVDGFNVPIQILPYGGPAGGCATVTCAANINAACPPELAARAADGSTVGCRSACGAFNTDEFCCRGEYGTPDTCRPSRYSEFFKAQCPQAYSYAYDDRSSTFTCASGGNYQILFCP >KQL25765 pep chromosome:Setaria_italica_v2.0:II:40382026:40385791:-1 gene:SETIT_031016mg transcript:KQL25765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVKSLLKPRPTPQQQLREWQRRLRNECRVLDRQIRDVQREEKNVEKAIREAAKRNDMGSAKALAKELVRSRRAVNRLYENKAQLNSVSMHLGEIVATARTVGHLSKSAEVMKIVNNLMKAPELAATMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLASVAGETASQLPDAVRTQKIQQASTSKVPEERQAVAEGVDDDEEDLEEIRARLAKVRS >KQL22700 pep chromosome:Setaria_italica_v2.0:II:4671674:4676671:1 gene:SETIT_028752mg transcript:KQL22700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLLSMVKEKASSYLLDQYKVMEGMEEQHKLLKRKLPAVLDVIADAEEQAAKHREGAKAWLEEVRTVAYKANDVLDEFKYEALRRKAKAEGHYKALGMDVIKLFPSHNRFVFRYKMANRLCMILQEIDVLIAEMNAFRFKFKPQPQMLMQWRQTDACIPTESVDIASKSRAQETKDLVHQLLESSKDRLLAGASSKDLMVLPIVGMGGLGKTTLAQLVYNDTEIKKHFELRLWVCVSDDFDVDSLANKIVKENGCEASGSSSALDNLQKAVSGKRYLLVLDDVWNRDEPSKWERLKSYLQHGGSGSSVLTTTRDEAVAKLMMGTTEGAYKLGSLDEESLGKIIKARAFGSKQPGELVNMVGKVAKRCAGSPLVATALGSLLGTKTSKQEWEDVLNGSTICDEENGILPVLKLSYNCLPSYMRQCFAFCAMFPKDYEIDVQMLIHLWMANGFIPEQSKVCPETFGERIFIELKSRSFFQDLKNVPFDDKYSIYKNGNKHRYCSRITCKIHDLMHDVAQSAMGEECAAIATHPSEKEDVLRSARHLYLSIRQPQTLLNASQEKGSPAFQTLICDGYVGDLKILSKYNSIRALKIVCGSILRPKYLHHLRYLDLSESFFEALPEDISILYHLQTLNLSYCDRLKRLPKQLKYLTGLRHLYTHGCGKLKSMPPELGRLTSLQTLTYFVAGADGSGCSNLGELQNSDLGGTLKLSKLENVTGADAQADAEAAGLGKKKKLTELELCWTDSDQEAQNNNHKEVVEGLKPHDELKALRINHCGSRTFPTWMNTLKGMVNLKLFHCKKLEELPALWELPALQILGLEGLESLHCLCSGGTTLWEFTFPKLKVLTLLEMAKFEAWWETHEVQGEEPIFPKVEELEIKECKSLTALPKAASVITELSGGVNTKCRSAFPALRKMTLGGLNILSDLSALERWGAAEGTPGEEVTFPLLEDLEIRGCPKLTDLPEAPNLSELSMRKANHHFLPFPCLESLYIDYCSGLSEVANLPPSIKTLYICECGKLQSFSGDLRLLEELTLCRCKRLVSLPDGPQAYSSLRVLRIIQDGIKLLPPSLHSRLGYLEVKRLDDRHEEPTWKRSIRKLVCSK >KQL22328 pep chromosome:Setaria_italica_v2.0:II:1766992:1770723:-1 gene:SETIT_029216mg transcript:KQL22328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALEKKAHAPFPRAAKPSNPSARLKRSKSDLGDKDAKGALRSSQKTSNQTRLVILQLEMHLKDQQVVRGALEKALGPDPAPITLQNESPMLKPATQLIREVATLELEIKHLEQYLLTLYRKAFEQQQQQQAPTLQSSDAHREAPKLSVSSRSAQLDETPKAKAPVRRGGDPMLHYSCPPLSKGRNGAVADDSSPSTCPRRTTDFDHGLRSQSALSFRGVCSSRISPTEDSLARALRSCHSQPFSFLEEGETATSGVVSLADYLGTNVADHIPETPNNLSEEMVRCMAGVFCKLADPPLVHHRPSSSPSSSLSSASVVSPQYLGDMWSPNCRREATLDSRLINPFHVEGLKEFSGPYNTMVEVPAISRDRRRLREAEDLLQTYKLILYRLETVDLRRMTNEEKLAFWINIHNALLMHAYLKYGVPQNHLKKTSLLVKAECKIAGRTINAAVIQGLVLGCSTTHCPGHWLRTLLNPRIKSRGSISKAGGGEWQAFAVHQSEPLLRFALCSGSHSDPAVRVYFPKRLSQQLEAAREDYIRATVGVWKEHRVLLPKLVEAYARDAKLSPERLVDAVQRSLPETLRTAVQRCRRGGGRSAGKVVEWVPHRQSFRYLLARDLAFPHLS >KQL23064 pep chromosome:Setaria_italica_v2.0:II:8158586:8159418:1 gene:SETIT_032896mg transcript:KQL23064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLAVVDTRFCVPRTLPLTLTMSLTLGGAVTDASGAAVLRVDAPLFGFLHRFVLAGVAGRPILSIQKKARHACLASLKFSLSGRPAANSAFRGDSRDARDLLFTARRSPIFQVRTQMGVFLAPNTAWQGAACGFTMKCSYLDRSCDVYLGKSSTKIAQVRRQFSAAGVLLGKEKFSVTVFPNVDYVFIAALVVHRDPSR >KQL22544 pep chromosome:Setaria_italica_v2.0:II:3436354:3445465:-1 gene:SETIT_029194mg transcript:KQL22544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDMKPLSDQKDEIPSRSVENCGVSKQACHGKSQSCDSDVKPFCAEVIGISSLIGSHTDGKASKENCGACSFTYDHADAIVEDVKVAVARSGHAESADHDGCQTAKNRSCMAVDDLPQEFEREQAGATLEELFFSNDEEEDDSDWDPSSSLVVNRWFCLNCTMPNVDEITNCLNCHELKGSVVGGYDAFKTQIAQAALVSPDTELPPVSTAIGFDERMLLHSELEIKPNPHPERPDRLRAIAASLAAAGIFPSKCALVPPREITKEELLMVHTPDHIESVEQTKNMLYSYFTSDTYANGHSACAAKLAAGLCADLASLIVSGRVHNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAKRAGAKKVLIVDWDVHHGNGTQEIFDGDSSVLYISLHRHEYGNFYPGTGAADEVGILDGQGFSVNIPWSRGGVGDNDYIFAFQTVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPMGYSIMTSLLSDCSKGRLLVILEGGYNLRSISSSATEVVKVCRTVMLGWKQALHSGFHFETAPSKEGLKTVLQVLKIQQQFWPVLGPTYASLQEQQGSVFSKSTNKTNELRKRKHSGGSGPFWWKFGSKRLLYRVLYEGRYLRKIKVSGEGKTIDSAEP >KQL22543 pep chromosome:Setaria_italica_v2.0:II:3436354:3444398:-1 gene:SETIT_029194mg transcript:KQL22543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDMKPLSDQKDEIPSRSVENCGVSKQACHGKSQSCDSDVKPFCAEVIGISSLIGSHTDGKASKENCGACSFTYDHADAIVEDVKVAVARSGHAESADHDGCQTAKNRSCMAVDDLPQEFEREQAGATLEELFFSNDEEEDDSDWDPSSSLVVNRWFCLNCTMPNVDEITNCLNCHELKGSVVGGYDAFKTQIAQAALVSPDTELPPVSTAIGFDERMLLHSELEIKPNPHPERPDRLRAIAASLAAAGIFPSKCALVPPREITKEELLMVHTPDHIESVEQTKNMLYSYFTSDTYANGHSACAAKLAAGLCADLASLIVSGRVHNGFAMVRPPGHHAGVKQAMGFCLHNNAAVAALAAKRAGAKKVLIVDWDVHHGNGTQEIFDGDSSVLYISLHRHEYGNFYPGTGAADEVGILDGQGFSVNIPWSRGGVGDNDYIFAFQTVVLPIAAEFAPDITIISAGFDAARGDPLGCCDVTPMGYSIMTSLLSDCSKGRLLVILEGGYNLRSISSSATEVVKVCRTVMLGWKQALHSGFHFETAPSKEGLKTVLQVLKIQQQFWPVLGPTYASLQEQQG >KQL23678 pep chromosome:Setaria_italica_v2.0:II:16129642:16131526:1 gene:SETIT_031873mg transcript:KQL23678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLIAFAAVLAAAVISTALAATYNIGEPGWSWDLQTNYSDWAASKRFHPGDQIVFKYSPQAHDVVEVSKADYDSCSCWDTRPIVTHTSGNDAIALTSAGMRYFICGIPGHCAGGMKLQVDVVPSATSLAPASAPGTNAPTSPSTSGSAATKATATGFALAGVLIAAGLMA >KQL24133 pep chromosome:Setaria_italica_v2.0:II:26614303:26619405:1 gene:SETIT_030247mg transcript:KQL24133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKEAAGPSSGRAVLVTGGAGYIGSHAVLQLLTAGFRVVVVDSLANSSEIALRRVRALAGDHARNLVFHKVDIREKDGLEKVFASARFDAVIHFAGLKAVGESVQKPLLYYDHNVVGTINLLEIMAAHGCKKLVFSSSAAVYGSPKNSPCTEDFPLIPHNPYGRTKLMAEEICRDIYHSDPEWSIILLRYFNPVGAHPSGYVGEDPCGTPNNLMPFVQQIAVGRRPSLTIFGNNYATKDGTGVRDYIHVVDLAEGHLFALRKLFESSSNIGCEAYNLGTGKGTSVLEIVNAFEKASGKKIPLVMGQRRPGDAEILFSSPAKAERELHWKAKYGITEMCRDLWNWASKHPYGYAASESPKLNGSSR >KQL24001 pep chromosome:Setaria_italica_v2.0:II:24317046:24317494:-1 gene:SETIT_033545mg transcript:KQL24001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGYSVAHDVYYTISFCVNLFCQNERVMTVQFMYYISCFSAHVLFFSWSKTLLAHSNLFV >KQL24523 pep chromosome:Setaria_italica_v2.0:II:31015345:31015693:-1 gene:SETIT_033568mg transcript:KQL24523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDAAAACCSCSRGSCVARRSLGISGLQLQYLLVYILGHAAGDA >KQL26364 pep chromosome:Setaria_italica_v2.0:II:43977881:43979460:1 gene:SETIT_032327mg transcript:KQL26364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSWLLLASAAAALFAALLFGNLLWLRLQSSRADLEELEPLLLRLFLRYSPIITMRIGLPPPFIFVADRHLAHAALVGAGGVTLANRPPGAPNVLLGVSDSIITRTDYGPVWSLLRRNLVGEALHPSRVRRFAPARSWVRRVLMEKLREAGDAPDNVMDAFQYTVFCLLVIMCFGERLDEPAVRAMEDAERAWLAYISSPSQMGVFFFLPSVTKHVFRGRRETGRALRRRQKELFMLMPLINARREYKRQLMQEGKAAPANETTFRHSYVDTLLDIRLPIVALCSEFLNAGTRTTSTALQWIMAELVKNPAVQERLHAEIEAACGDGEVSEGAVQGMSYLEAVILEGLRKHPPGHFVLPHKAAEDVELGGYLIPKGATVNFMVAEMGSDGRELEKPMEFVPERFLEGGDGVGVDIAGTKRIRMMPFGAGRRICAGLTVAMLHLEYFVASMVREFEWKEAPGDAVDFEKFQFTTVMKKPLRPRLVATKR >KQL26506 pep chromosome:Setaria_italica_v2.0:II:44821105:44822922:1 gene:SETIT_030978mg transcript:KQL26506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFITQDANPARLKKRAITGRAPSLYPRSNRNPSRAHLLSFQNNRSPLPPSRSLFPAAMAEDAPNAAAEGTQPAPSEGSATAPASGAKAAEALLPSLSIWPPSQRTRDAVVRRLVQTLAAPSVLSQRYGAVPEPEAERAAAAVEAEAFAAASESAAGASPASVEEGIEVLQAYSKEVSRRLLELAKSRSAAAAAAPAEASAQDSEDSSATAPAPAEAAASEDKYPWIGGVRCICIIVN >KQL22683 pep chromosome:Setaria_italica_v2.0:II:4588152:4588743:1 gene:SETIT_033452mg transcript:KQL22683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAFVSASASSTSETQAEDTCHRVDQQSNVLMLLLDKLSKLLLDMN >KQL26909 pep chromosome:Setaria_italica_v2.0:II:46874739:46878487:1 gene:SETIT_028933mg transcript:KQL26909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSGGGGAGDDHEPYLLGFIVSKIVGMRHYTAKVAGRENVNLVREPLNPYDGNAIAVHNGRNEKVGHIPANVAKALAPLLDSDLLVAAHGIVPRTDSRINRDDFKPHMLPCQVHLFARPEAAAVVEVALYEAELDLIHPNHPEFALSQSAAVMERTKKADRDVDKLFSLVGGKEGKARIDPMEAPGDVVLSELFDHQKEALGWMVHREESGDLPPFWEETEDGVFENVLINQKTEERPPPLKGGIFADDMGLGKTLTLLSLIGRTKARNVGVKEAKRAKRRRVEDAGEGPRPTLVVCPPSVFSSWVTQLEEHLEPGSLKVYMYHGERTRDKKELLKYDLVLTTYSILGTEFEQEDSPVKHIEWFRVILDEAHVIKNSTARQTKAVIALNAERRWVVTGTPIQNSSFDLYPLMAFLKFQPFSIKSYWQKLIQRPLEKGNKTGLSRLQNLLGAISLRRIKETDIGTKSMVELPPKTVLECCIDLSAEEREIYDRMELEVKNKMQEFGDRDSILRNYSTVLYVILRLRQLCDDVSLCPLDVKSWLPSNSLEDVSKNPELLKKLASLVDDGDDFDCPICLSPPTKTVITSCTHIYCQTCIVKILKSSSSRCPICRRSLSKEDLFLAPEVKHSDEDGAGKPVSDRPLSSKVQALLKLLKTSQNEDPSSKSVVFSQFKQMLILLEAPLKNAGFNILRLDGSMSMSKRLQVIKQFAHSGPDSPTVLLASLKAAGVGVNLTAASTVYLFDPWWNPGVEEQAMDRVHRIGQKKEVKVIRLIIKDSIEERILSLQEKKKQLISSAFGKKGAKGDKEMRVEELRMMLGLDKGRPAGCWW >KQL26238 pep chromosome:Setaria_italica_v2.0:II:43239923:43242033:-1 gene:SETIT_029388mg transcript:KQL26238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAGEEEAAAPAAELSQNEELDEQGMLAEALDAISSLVSASLSATLFPLKWQLIRDRLNRLHAGLADITVTGADDDNGEDSDLDVLAAALDAHVARLDEVYASGALTRARALVVPRPGAGATRDDVRFYVRDLFARLRAGGAEMRREAAAALGEALRDDEKCVRVVASDVPDGVGVLVSLLECPDPRVQEEALEAVSVIAGSDAHRGDLVVGGVIAPVVRVLDAGAGSTAAAKERAARVLCSSPRTRIMPGPSPRTVASRRCSTCARTTARAAASSCARRAGCCGASQAWTRSGSTWWPTRAPCRALVSLSQGAATDDAARIQAMELLAAIASGDSSAREAVIQEGAVESLVRALDPSSPTRSSKAREVALRAIDAICLSPPTSTDRLLAAGFLDRVLSLLRNGDTTLQHCALKAAHRLCQVSEEIRKAMGDAGFMPEMVSVLRAAKSPEAREMAADTLCAMVSVHRNRKRFVQDDRNVAQVLQLLGPEEEKPTPAKRLLLSTLMHLTDSSSGRRKIMSSEHVRNLEKLAETNVPDAKRIVKKLGGSKLRSIFHGIWSL >KQL23495 pep chromosome:Setaria_italica_v2.0:II:14234625:14241893:1 gene:SETIT_029014mg transcript:KQL23495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRKSVPLRLLSRRALNPFFLPPPSRPRRLSLLPRAAMGSVAGDAARLAYPPARRDDSVVDEYHGVKIPDPYRWLEDPDSEETKEFVAKQAELAETVLAGCPDRENLRREVTRLFDHPRHAAPFRRGNKYFHFHNSGLQAQSVLYMQDDLDGKAEVLLDPNTLSNDGTVALSTYSISEDGNYIAYGLSESGSDWVSIHVMSVSNKQSMPDKLSWVKFSSISWTHDGKGFFYGRYPAPREGGELDAGTETNINLNHQIYYHVLGSNQSEDILCWKDPEHPKYSFGASVTEDGKFIILGTYDGCDPVNKLYYCKISSLPRGIEGFRESQEMLPFVKLIDNFDAQYQVVANDGDEFTFLTNKNAPKNKLVRVNINNPELWTDVLPEHEKDVLESADAVNNNQLLVCYMSDVKHILQIRDLSTGNLIHQLPLEIGSVSEISCRREDKEVFIGFTSFLSPGIIYRCNLAPTIPEMKMFREISVPGFDRTSFQVKQVFVSSKDGTKIPMFIMSKKDVNLDGSYPTLLYGYGGFNISITPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFAACAEFLISSGYTSSRRLCIEGGSNGGLLVAASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFQWLIKYSPLHNVRRPWEQSSGDHCEYPATMLLTADHDDRVVPLHSLKLLAVSSFLYSVSLNSHLKQKRSLYS >KQL23496 pep chromosome:Setaria_italica_v2.0:II:14234625:14242850:1 gene:SETIT_029014mg transcript:KQL23496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRKSVPLRLLSRRALNPFFLPPPSRPRRLSLLPRAAMGSVAGDAARLAYPPARRDDSVVDEYHGVKIPDPYRWLEDPDSEETKEFVAKQAELAETVLAGCPDRENLRREVTRLFDHPRHAAPFRRGNKYFHFHNSGLQAQSVLYMQDDLDGKAEVLLDPNTLSNDGTVALSTYSISEDGNYIAYGLSESGSDWVSIHVMSVSNKQSMPDKLSWVKFSSISWTHDGKGFFYGRYPAPREGGELDAGTETNINLNHQIYYHVLGSNQSEDILCWKDPEHPKYSFGASVTEDGKFIILGTYDGCDPVNKLYYCKISSLPRGIEGFRESQEMLPFVKLIDNFDAQYQVVANDGDEFTFLTNKNAPKNKLVRVNINNPELWTDVLPEHEKDVLESADAVNNNQLLVCYMSDVKHILQIRDLSTGNLIHQLPLEIGSVSEISCRREDKEVFIGFTSFLSPGIIYRCNLAPTIPEMKMFREISVPGFDRTSFQVKQVFVSSKDGTKIPMFIMSKKDVNLDGSYPTLLYGYGGFNISITPSFSVSRVVLCKNMGFVVCVANIRGGGEYGEEWHKAGALAMKQNCFDDFAACAEFLISSGYTSSRRLCIEGGSNGGLLVAASINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTTDYGCSDKEEEFQWLIKYSPLHNVRRPWEQSSGDHCEYPATMLLTADHDDRVVPLHSLKLLATLQHVLCTDAEDSPQTNPIIGRIDRKSGHGAGRPTQKLIDEAADRYSFMAKMLGAQWTE >KQL26353 pep chromosome:Setaria_italica_v2.0:II:43916788:43917144:1 gene:SETIT_032911mg transcript:KQL26353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVHQASMPARAMPACDEELVPQGFSCFGRSLSRASSSSRLEYRALQQQQQQEQGEERCAAQDAWSARAKLRWKAVAHEIMAKGGGGGARRRKQQLAAFSYDSRSYALNFDQGAAE >KQL23453 pep chromosome:Setaria_italica_v2.0:II:13539104:13539999:-1 gene:SETIT_032534mg transcript:KQL23453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDFTSVWSTIGWDEFLPVKELACCGFSQHGFWGDISGQMVHGKFAPRCNDIQNPTLRLMHKWLAITLFPREDSLTMPLVPQEEARRSSVFGSRMTWSMSRSAAMQQLPPPQRNHSHNLLCFQLRRMGHS >KQL26228 pep chromosome:Setaria_italica_v2.0:II:43166032:43171296:-1 gene:SETIT_029212mg transcript:KQL26228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLVGVGERLLAIGTNRRAASYSHPSNRSGYYTAVRDNGLSTRRKIPAVFSRMFSHYKVIIRKNRGEDHKCRTRMSRGYRTLSVAVANSSATQQAQLAWKRLSHMYSYRGPRFPLMSRAACAVSLSFTRFHIIPGVMALAFGKMALAPPVLADSRSFMPRMDGIITKAQDTRQFLSSLVWSIWEGMTLLIRAVHLTFLFFPATALAPFADKFSVAFRRRWLSLVRRTLEKAGPAFIKWGQWAATRPDLFPSDLCVELAKLHSAAPAHGFAYSKAAIEKAFGRELSEIFESFDENPVASGSIAQIHRATLKHQHPGKHVAVKVRHPGVGESIKRDFLLINLLAKASNIVPGLSWLRLDESVRQFAVFMMSQVDLSREAAHLSRFIYNFRRWRHVSFPKPLYPLVHPSVLVETFENGESVSRFMDEIEGNARMKKDLAHIGTYAFLKMLLEDNFIHADMHPGNILVRLNESKLSRRRFFRAKPHIVFLDVGMTAELTRADRDNLQQFFKAVATRDGLTAAKCTLQLSKNQSCPNPVAFTEELDKTFTFWGTPEGDVFHPVECMHQLLDTVRRHKVNIDGNICTVMVTILVLEGWQRKLDPGFDIMHTLKTLLLEKDVKQPVDFFS >KQL23275 pep chromosome:Setaria_italica_v2.0:II:10421943:10424036:1 gene:SETIT_032069mg transcript:KQL23275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAALSRPPPPPNPSAADRDDSVNSLLASLSNPSALRLLPTPLLAFSRLRLRLPPAAAATHLLLRPIASLLHLHRSDLRLGLQLHALSLSLGLSRHPLLLPRLVSLYSHHPSLLPAASSLASGSTCPQPHNVVISACLSHGLPRHALAAYQEMVGKDAVPPDSFTYPSVLRACAETGDLALGRAVHVRAADAGMDGHLICQNALVSMYAKCRDLVAARRVFDGMGHRDVVSWNSMISGYAASGLWREAVELFHRMRAEGAEVNSVTWNTIAGGYIQMRDYKAAVRLICDMVRGGAGVDFVTLVIGLNACSRAGWLRLGKEIHGLAVRMHCDGIESVSNAVITMYARCKDMERALMLFKMLRCPGLVTWNTMIAGFALSDDAEEASRLFREMVCSDVEPNYVTVVTYLALCARVANLQHGRELHTHIVKHGFKGYCLLWNSLIDMYSKSGRLSVAQNVFDTMDDRDMISYTSMIAGYGMQGKGAVALRLFNQMVDSGIMPDAIIMVTVLSACSHSGLVDEGEELFDKMVHSFGIKPQMEHYSCMVDLYARAGLLEKAEDLLNRTPFPPTSTMWAALVGACHDQGNIIIGERSARRLLEMKTENAGHYVLVANMYAAAGCWNELATVRKLMRDLGVTKAPGLAWADLGNGFTPFLVGDRSNPLAPEIYEVLDELTEQMRNMDACSDLDILSTEELME >KQL23693 pep chromosome:Setaria_italica_v2.0:II:16442975:16453807:1 gene:SETIT_028749mg transcript:KQL23693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEAEGDRVIEVVSAGALYRSGGDWERKYWSSSRGKDRYPYPVGYHAVRHFSGISFTMEIQQGPRGPIFLVTSTEGDSATGETPDFAWKNLQKKTGAKVRNWQRRKSFPQKIDGAEAWLFGFKNASVQRLLREVIVDSTGTVELKLPCSVTSEAAVPLTHKDAADVSEAEDLPVCLGIEGGTCKRSIEPSQVERPSKRVHYQDMFTSVDNRKIVMIHFFCVERALFDDDYCFSMFKRVPAGRALLEDVSDSRCTLSLLEEVPGNSKYISCDDNLGEPSPVSSQQVGLSSGSYLSSEKTDIELAEKEVAKSMMSILLPQAIPLLKKINKKKKSKHSFEDDTQILGDNTSKSVDVHHHESDDACSRGPDENLKLLYGRTEGHAKLFECQVGVHDGTNAPDVVFDHEKGQYILSDSLLACLEEEFGGEDSSHPANCNQYNGIVEQLQFNDFVNGTKNGSSESMDVLYHKSIGNKLIDVCSQAITRHGSAVSKNGERLANVLHAPVHSNAHNDAAEWDKHGVSSTLTEPPSCEAKSSLLDLQDEQHTEVPAIDQKENRFHGVNYECKKSNDPLQKSNTSYHSDDVEFIDKYVAFEPSEKARHSNDGPQGESTTEVCPVGDGPNVDKGNLLGEVEECQAGCRNGNKNTIIPGEHEGFDHQQGHALSVTNCTHGLGSECTKAQARRSGHHLELVGCYLHPMPVLSIMLNTKNHSRLYIYVLCGLLESYQRSVYVYTVTKDQQDAPPCFVGYTTLLLPSLDQSSAGNISLARSGLHFTPDGQFVVLLSCIRIPFCRMQNIDCLCSVCKMGRCEDNSLKIVSVNLGYVSLVTKLLPNGTVSCILICEPNYIVACEDSRNLHIWEMVNGWSEISEQYVIPSLGNVGPSVLELRRMPKSHSLIMGHDGAGGFCLWDISKRTLLAIFAAPGNIVFQILPVGLCSLQEDIVHAPVDDIDKKLRGITISGMSRKIDQESFMTPPREDIAVWVLISSASVAEYQCDLQTKVHNARWRLALLAKKRIIMGNILDTRVTALDASGNYGFAGTHGGLLYLWELSSGRKLTGTQCFNRGPVSCVAVDAKSGAVAVTDGGCQVLLYTQDKVLTDAGTDQHMFRMDKVTVAES >KQL23469 pep chromosome:Setaria_italica_v2.0:II:13879747:13882319:1 gene:SETIT_031424mg transcript:KQL23469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METETHEIFWAKRARARFSVPTSRRRQDTRTDGGGSYSSAAMVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >KQL23568 pep chromosome:Setaria_italica_v2.0:II:15108804:15109341:1 gene:SETIT_031853mg transcript:KQL23568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCTFFLSFHPHHTGKMELGKLQITCINMQTLTDTALFRNVIGSSYLIN >KQL22396 pep chromosome:Setaria_italica_v2.0:II:2331657:2332427:-1 gene:SETIT_032823mg transcript:KQL22396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGVEDSSSPARRPSRYESQKRRDWQTFTRYLGAHRPPLQLRRCSGAHVLEFLRYLDRFGKTRVHAPPCPAYGGGASARVAAPEACQCPLRQAWGSLDALVGRLRAAFDERHGARVGGATTAAAAAAQPENAGAANPFAARAVRLYLRDVRDAQARARGISYTRRKKKRNKQQGTTCAAAASASKQDSGSAAGTTLVAPAVPPPHQHPTPPLPPAAYLTGVPFECCDHGSVFGVPAANGGAAGFYLPLLFNSFV >KQL25121 pep chromosome:Setaria_italica_v2.0:II:36107746:36111950:-1 gene:SETIT_030613mg transcript:KQL25121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKSPQSSKKSRVVVPSDINGPRFENDDFSSESASNQMVVFNHEAGDKEQDELGENRSQKSVITRGISPSIGAFTVQCAKCFKWRLIPTKQKYEEIRERIIQEPFVCKRAREWRPNVTCNDPEDISQDGSRLWAIDKPNIAQPPPGWERQIRIRGEGGTKFADVYYTSPTGRKLRSLVEIDRFLQENPEYVAQGVTLAQFSFQIPRPLRQDYVKKKPKLVNPSDEASTVISKSFQPEEVNPISWAVPTAHEGDASEEASHADETIGSEEIELTRKRKTGSSLSEESNHLSDELKPKLEDAQNGDTST >KQL26170 pep chromosome:Setaria_italica_v2.0:II:42742514:42744395:-1 gene:SETIT_030017mg transcript:KQL26170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSRPVRRRRKATESQQRSLLALSDDVLEEIFLRVGSPGDLARASTACVAFRRLIAGPGFLRRYRSLHPPLLLGFLDPGPGGGFQPAEAPHPNAPAARALARAAGFSFDYIPRGRGRRWHPRDVRDGRVLLYCSPDVQESIVFPDLAVCDPVSRRYLLVPRITDELLASVQVQKQHVQFFEAFLVPSGVEQKEEASFRVLGRACCMTKMVALVFSSGSSHWDVGTSESWDDLSLNARPFAEGLMLRWPSYAYGCFYWKVHLRNKLLKLDMSRMKFSIIDLPPGNADANVVIVETGEGRLGMIGNLNHVRGDTHVYYASKNEGDSNNEWRMAKTIPLPEHYNCCLVGAPEGYIFLLGVPKDKGTLGPACFSLGIKTLEIERVSQMGLNYRHVYPYFGFPPSMLPRMI >KQL24568 pep chromosome:Setaria_italica_v2.0:II:31342647:31344838:-1 gene:SETIT_030954mg transcript:KQL24568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAVAGARASLLPSPLAASSSRARLLALPPRHRRPHGSLASPPAAGRRRLRVRMARTETTGVAVGFRAPEFELPEPLTGKLWTLDDFEGNPALLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSIRTHPQDGPERMAEEAKLFKYPFPYLYDESQEVAKAFGAVCTPEFYLFKKDGRRPFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVEKPSVGCSIKWHP >KQL27126 pep chromosome:Setaria_italica_v2.0:II:47969576:47973662:-1 gene:SETIT_029024mg transcript:KQL27126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAVAAATAFPFRLFSAEARRNARGARGGKRSAARPLKSSPPPRPPSSSSSSSVSGGGVAATTFTRLPLRDAPESAEVTLDRFPTAPANPEAPAPAPTRGNGVQRLEDEEEFEVGLGATSFAQIPLRDSPDGVELTIGQFDARVAGGKSAGGRGFARQMVEHLEDDGDEEFVVNSRDVFEVKRGRNARAVVPEVLDDDDDVVVFDPDYGVDSDDEEEFHMFPIKQSHEAGATPRTELGELEYDEEEDDDDDEIVVFHPDYDDEDEDEDEEAFEEDDDYEEGDGEAKEKGVPAVMRCFDTAKIFAKAGDGGNGVVAFRREKYVPYGGPSGGDGGRGGDVYVQVDGEMNSLLPFRKSVHFRAGRGAHGMGQQQAGAKGEDVVIKVPPGTVVRSSDDGVELLELMKPGQRALLLPGGRGGRGNTAFKSGTNKVPRIAEKGEKGPEMWLALELKLVADVGIVGAPNAGKSTLLSVISAAKPTIANYPFTTLLPNLGVVSLDFDATMVVADLPGLLEGAHRGYGLGYEFLRHSERCSVLVHVVDGSAQQPDYEFEAVRLELELFSPSLVDKPYVVVYNKMDLPEASDRWNTFREKLQAQGIEPYCISAINRQGTHDVIHAAYKLLQKERQRIKETEEWSGTENLNHVSDAIKRERRAPMNEFEIFHDKGTSTWTVVGAGIERFVQMTNWQYSDSLKRFQHALEACGVNKTLIKRGVKEGDTVIIGEMEMVWNDEAKSNRPSKTMNTKDDAVRWPEFG >KQL26426 pep chromosome:Setaria_italica_v2.0:II:44317541:44320562:1 gene:SETIT_029367mg transcript:KQL26426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARRHCEKTMGIATLPDTALSSLPHRNEVSRAPLNHWRSLRSTEQSKKLSTMASAALPEAVEPKKKGNTRFAFACAILASMTSILLGYDIGVMSGASLYIQKDLKITDKELEVLMGILNVYSLIGSFAAGRTSDWIGRRYTIVFAAAIFFAGAFLMGFAVNYGMLMFGRFVAGVGVGYALMIAPVYTAEVSPASARGFLTSFPEVFINFGILLGYVSNYAFSRLPLKLGWRVMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLADAKVVLGKTSDTPEEAAERLADIKAAAGIPAELDGDVVTVPKREKSEEARVWKELILSPTPAMRRILLSGIGIHFFQQASGIDAVVLYSPRVFKSAGITSDNQVLGTTCAVGVTKTLFILVATFLLDKVGRRPLLLSSVGGMIFSLVGLATGLTVIGHYPDAKIPWAIAVSIASTMAYVAFFSIGLGPITWVYSSEIFPLQVRAMGCALGVATNRVTSGVISMTFISLSNAITIGGAFFLYGGIAVLAWVFFFSFLPETRGRTLESMSKLFGATDDEDDLRPQQGGDTKDKKLEMAAATTN >KQL26737 pep chromosome:Setaria_italica_v2.0:II:46018321:46021902:1 gene:SETIT_030903mg transcript:KQL26737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGKLVDGREIMVQFAKYGPNAERINKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSRSRSRDRYTRDRYRERDYRRRSRSRSYSPDDYKKHGRDSLSPARRSPSRSRSRSYSPDDYKRRGRDSASPASRSPSRSPPRKASPSPEKSPVRRNDDRSPRSRSPST >KQL26739 pep chromosome:Setaria_italica_v2.0:II:46018321:46021902:1 gene:SETIT_030903mg transcript:KQL26739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGKLVDGREIMVQFAKYGPNAERINKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSRSRSRDRYTRDRYRERDYRRRSRSRSYSPDDYKKHGRDSLSPARRSPSRSRSRSYSPDDYKRRGRDSASPASRSPSRSPPRKASPSPEKSPVRRNDDRSPRSRSPST >KQL26735 pep chromosome:Setaria_italica_v2.0:II:46018321:46021902:1 gene:SETIT_030903mg transcript:KQL26735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGKLVDGREIMVQFAKYGPNAERINKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSRSRSRDRYTRDRYRERDYRRRSRSRSYSPDDYKKHGRDRSPSRSRSRSYSPDDYKRRGRDSASPASRSPSRSPPRKASPSPEKSPVRRNDDRSPRSRSPST >KQL26738 pep chromosome:Setaria_italica_v2.0:II:46018321:46021902:1 gene:SETIT_030903mg transcript:KQL26738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGKLVDGREIMVQFAKYGPNAERINKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSRSRSRDRYTRDRYRERDYRRRSRSRSYSPDDYKKHGRDSLSPARRSPSRSRSRSYSPDDYKRRGRDSSASPASRSPSRSPPRKASPSPEKSPVRRNDDRSPRSRSPST >KQL26736 pep chromosome:Setaria_italica_v2.0:II:46018321:46021902:1 gene:SETIT_030903mg transcript:KQL26736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFGRSGPPPIRDTYSLLVLNITFRTTADDLFPLFDKYGEVVDIYIPRDRRTGDSRGFAFVRYKYEDEAQKAVDRLDGKLVDGREIMVQFAKYGPNAERINKGRIVEPVPRSRGRSRSRSPRRRYRDDYRDDYRDRDYRRRSRSRSRDRYTRDRYRERDYRRRSRSRSYSPDDYKKHGRDSLSPARRSPSRSRSRSYSPDDYKRRGRDSSASPASRSPSRSPPRKASPSPEKSPVRRNDDRSPRSRSPST >KQL23932 pep chromosome:Setaria_italica_v2.0:II:23187999:23190662:1 gene:SETIT_029637mg transcript:KQL23932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGATLLAAILLVALCAGLPADAAIGVNWGTLSSHRAPPGVVVDLMRANRISKVKLFDADPGVLRALAGSGIQVMVGVTNGELASIAGSQAAADAWVAQNVSRYVGRGVVDIRYIAVGNEPFLTSYQGQFQSYIIPAMTNIQQSLVKANLASYVKQVVPCNADAYESASVPSQGVFRTELTQIMTQLAAFLSSSGAPFVVNIYPFLSLYQNSDFPQDYAFFEGSTHPLVDGPNVYYNAFDGNFDTLVSALGKIGYGNLPIAIGEIGWPTEGAPSANLTAARAFNQGLINRITSSKGTPLRPGVPPADVYLFSLLDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGNSVLKNAKEVPYLPSRWCVANPARNLDSVSDHMKLACSMADCTTLYYGGSCYGIGQKGNVSYAFNSYYQQQKQDPKSCDFDGLGMITYLDPSMGECRFLVGVDDSKSSAVASCGSGYCGFCCGLWVLAFWVFMYLRLMGSA >KQL22426 pep chromosome:Setaria_italica_v2.0:II:2488541:2492523:-1 gene:SETIT_032161mg transcript:KQL22426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPDLGPLIWHSFGTMVVLLQEIMSVYPTLSPPTLSASVSNRACNVLALLQSVASHPETRDPFLKAEITEYLYPLLNTTIDTRSFEYLRLTTLGVFGALVKVDDTEVVNSLLKSDIIHLCLRIMETGSELSKTVATFIVQKIIIDEAGLQHICGTPERFFGIASVLASMVAEQPQPSTRLLKHIIRCYLRLTDDPRARTALQTNLPEALRDGTFDNCLGDDAVARLYLQQLLDNLAEPAGEAPPPVPGPAAAGVTHLGSAPVAGGAPHPGPGPVA >KQL25207 pep chromosome:Setaria_italica_v2.0:II:36647444:36651074:-1 gene:SETIT_031033mg transcript:KQL25207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKIAPSMLSSDFANLASEAERMVRLGADWLHMDIMDGHFVPNLTIGAPVIQSLRKHTKAYLDCHLMVTNPSDYVEPLGKAGASGFTFHIEVTRENWQELIQSIKSKGMRPGVSLRPGTPVEEVFPLVEAANPVELVLVMTVEPGFGGQKFMPEMMDKVRTLRRKYPTLDIEVDGGLGPSTIDVAASAGANCIVAGSSIFGAADPGEVISVLRKSVEGPQNKS >KQL23085 pep chromosome:Setaria_italica_v2.0:II:8306201:8306594:-1 gene:SETIT_033640mg transcript:KQL23085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQTPRRGCSAPSPTTSRPSSPHRLVFCSKLLHCSSSSLFGFALEILLIVILGIRTRY >KQL26885 pep chromosome:Setaria_italica_v2.0:II:46771549:46776113:-1 gene:SETIT_033137mg transcript:KQL26885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVGGGSVEEELTAQETALYDRQIRVWGVDAQKRLSKAHMLVCGMNGTTIEFCKNIVLAGVGSLSLMDDHMVTEDDLNANFLIPPDESIYGGRSRAEVCCKSLKDFNPMVRVSVDKGDPSLIDGEFLDKFDIVVVSCASLKTKLFINDNCRKRSKRIAFYTIDCKDSCGEIFVDLQKHSFVQKKPGGETEQQELTYPSLQETISTPWNNLPRKMTKLYFAMRGKNYELSEGHCPGKTTLSDIPAVLAHMKDMCDKMSLNESQIPTALMKRLLAAGKKEHPPVCAILGGILGQVIKSISCKGDPIKNFFYFDAADGKGVIEDIPTPPPSAN >KQL25648 pep chromosome:Setaria_italica_v2.0:II:39566405:39567172:1 gene:SETIT_031780mg transcript:KQL25648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRWRLTQETHDRIGRGNKMHAHRFTAGEVPSCRATSIRSHLRPKMLKHTSIAISQQRLHAREP >KQL26788 pep chromosome:Setaria_italica_v2.0:II:46323687:46326318:1 gene:SETIT_031975mg transcript:KQL26788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGKPLTALLKSATRPGHLLQLHALMLKSSHFPHNAFPTARLLASPLAPLPYALSLFTAVPRPTLFHHTALLRALSTCASAASLAASLSILAFARARLPVLDEFAFQPLLALCAKVPDDAEAASIGKQLHALVLRYGFLDVVSLRNVLCHFYCNCGGMADARRVFDEMKEKDAISWNTVIGGYVRAEDVGTAVEMFTAMRWSGMDVSLTAVINLIGGVWRGESVHGFCVKAGLCSDVKVAAAIVRMYVREGSVDCAGKVFQETTRRDLVLYNCMVDGYAKAGRIHDAMDLVERMRQSGMRPSSGTLVGVLSACGASGALPAGCRVHELAQEARLELDTALGTALMDMYFKCGCPNEAAAVFDEMRDRDVKAWTAMIMGFGVNGQPGAAISLFCRMEEDGVAPNEVTFLALLNTCSHGGLVQEGKEFLERMVRHHGLSPSPEHYGCVIDLLGRAGRLDEAYELIRSMASRGDATGWRTLLAACRVHGNVKLGRMVQAQLDAMGHYHPSDVIQLSNTYASEGRWDEIARLRDLEAQKISVEQKEAGCTSIVVSYYSSFLSNATCF >KQL23806 pep chromosome:Setaria_italica_v2.0:II:20269410:20269785:-1 gene:SETIT_033440mg transcript:KQL23806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPKHMVMTHVAMCVEGVEWESKQTLILLSNDASNLKFI >KQL22871 pep chromosome:Setaria_italica_v2.0:II:5986636:5988981:-1 gene:SETIT_030586mg transcript:KQL22871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRLRLRGVLLAVALLLAATAVVPVLLLGAAEHGGGGVVAPAPPFNSSRVKAVSWQPRIFVYRGFLSDAECDHLVRLGKAKVRRSMVADNRSGKSVMSEVRTSSGTFLDKRQDPVVSRIEERIAAWTFLPEENAENIQILRYEHGQKYEPHFDYFQDKVNQARGGHRYATVLMYLSTVEKGGETVFPNAKGWESQPKDDTFSECAKKGLAVKPVKGDAVLFFSLHVNGVPDLLSLHGSCPVIEGEKWSAPKWIHVKSYENSPDLKEEAGGCTDKSEYCAQWAVAGECGKNPVYMVGTEGSPGECRKSCRVCDS >KQL25399 pep chromosome:Setaria_italica_v2.0:II:37874348:37877833:-1 gene:SETIT_029397mg transcript:KQL25399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPQEAIDTFVSITGADEALAVRKLEEHGGDLNRAINSHFNEGDNTLNGINQNPIPASREDMMDLDGPLDNTFQRSLFPENFRDPFALMDPDFQQQFFDRVGSTDSASRGPMVSHPREVREIPIEVKDGDPQTGPSGQAPVIEDVTGNESSHGPEVHETIIIDDEDDILPSAPSAPHANIPSNTSSTPSAPPLVHVNDYDDDIEEEMIRAAIEASKKDAEGLANIEEQGRDQHPEGVNLGEHSSDGADMGTTGGTLERQGLASGKAGTSRQPIDEESFQEDTEDVEEQPLVRRRSRRVPSENTESAQMVQPGPPSPALNNRQSNGDDFPSEWGGISSEEHDEAVMLEAAMFGGVPEGPTYHFSMPSHRSSTYYPPLVHSPSPALTEQRLLREQQDDEYLASLQADQEKELKALQEAELRRLEETAAREAALEKQKQEDEERRKKQLEEEELESNLASKQASLPSEPPLDIEGAVTVVVRMPDGSRQGRRFLKTDKLQVNNFELPESS >KQL25398 pep chromosome:Setaria_italica_v2.0:II:37872795:37877969:-1 gene:SETIT_029397mg transcript:KQL25398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPQEAIDTFVSITGADEALAVRKLEEHGGDLNRAINSHFNEGDNTLNGINQNPIPASREDMMDLDGPLDNTFQRSLFPENFRDPFALMDPDFQQQFFDRVGSTDSASRGPMVSHPREVREIPIEVKDGDPQTGPSGQAPVIEDVTGNESSHGPEVHETIIIDDEDDILPSAPSAPHANIPSNTSSTPSAPPLVHVNDYDDDIEEEMIRAAIEASKKDAEGLANIEEQGRDQHPEGVNLGEHSSDGADMGTTGGTLERQGLASGKAGTSRQPIDEESFQEDTEDVEEQPLVRRRSRRVPSENTESAQMVQPGPPSPALNNRQSNGDDFPSEWGGISSEEHDEAVMLEAAMFGGVPEGPTYHFSMPSHRSSTYYPPLVHSPSPALTEQRLLREQQDDEYLASLQADQEKELKALQEAELRRLEETAAREAALEKQKQEDEERRKKQLEEEELESNLASKQASLPSEPPLDIEGAVTVVVRMPDGSRQGRRFLKTDKLQFLFDFLDIGRTCKPGTYRLVRTYPRRTFTTSEGDVSFSDLGLTSKQEALFLEHITE >KQL24019 pep chromosome:Setaria_italica_v2.0:II:24786496:24788639:-1 gene:SETIT_030229mg transcript:KQL24019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASKKAYVIAITVQVILTGMAVISKAAFNAGMSTFVFVFYRQAAGSILMLPLALFLQRKNAWSMPFPWLLKLFLCALVGNTLSLSLYHVSLKFTSATVAAAAGNSMPVVTFCLALLLRMEVVKLNASGIAKLAGVALCLAGVFAIAFYSGPALSPVNHQRAFHTHASVSGHANASSKTTWIEGTFLMVLANMAWSVSIVWQAALLKELPNKMLVATGLCVFSAVQSFIVAVAAERDFSRWQLRLDFWMDQQISQGFVVAGVSYYLQAWCLEMKGPVFFAVWTPLCFVLTIFCSSFFLGEIVPLGSVVGGILLVGGLYSLLWGKHKETPAVSRGQVNMRDCAQDEEEHNEPNKYELEEATSASAGEQEV >KQL23450 pep chromosome:Setaria_italica_v2.0:II:13391375:13391897:1 gene:SETIT_033479mg transcript:KQL23450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYYKPFLGPRVSVLLSTKLCLRDLISNLANMYMLSTIELWQLSVHALFRDLNS >KQL25713 pep chromosome:Setaria_italica_v2.0:II:39981481:39983495:-1 gene:SETIT_033221mg transcript:KQL25713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRGYLRPLRLLFVLFIAFAFVARCGGARMLRPEELLRHHHSSSDPYYSTPVMPPYGDVFGGTPNPPPPPGSPNCGLTPDAPPPPLPITVPAPAFVYSSPPPPEPDYYYPPPPYIIPSPPPTEDTPPLPPIVYPSPPEVTPSPPEVAPYPSPPEVAPSPPEIAPYPSPPPEVAPYPSPSPPEIAPSPPEGTPIIYPSPPEVTPSPPEIAPYPSPSPPEISPSPPEIVPSPPEIVPSPPSYEPTPPSIVPSPPEYAPEPPTYEPSPPEYAPEPPTYVPSPPAYYAPEPPELVPSPPEYAPEPPTYEPSPPIYAPYPPGIIPSPPEYAPEPPGSVPSPPEFAPEPPGSVPSPPEFAPEPPGPPIYAPYPPGIVPGPPENAPEPPGAVPSPGGGGFLPPVVFPPPFATPSPGTVGSEWCVAKPSVPGPIVQQAMDYACGSGADCDSIQPSGPCFRPDTMLSHASFAFNSYWQRTKASGATCDFGGTAMLITKDPSYDGCHYILM >KQL22717 pep chromosome:Setaria_italica_v2.0:II:4750948:4754643:-1 gene:SETIT_030453mg transcript:KQL22717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALKAAASTAGSASFAAAGPRRGAAAAPGRVSFRGAAPVVAVRTAAAAAPAAAAVAEDKRSISGTFAGLKEQGKTALVPFITAGDPDLATTAKALKILDACGSDVIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVIPELSCPLALFTYYNPILKRGIPNFMAIVKEAGVHGLVVPDVPLEETDVLRSEAAKNNLELDLLFMSVFQVLLTTPTTPNERMEKIAEVSEGFIYLVSTVGVTGTRANVSSKVESLLQDIKKVTEKPVAVGFGVSTPEHVKQIAGWGADGVIVGSAMVRLLGEAASPEEGLKKLEELAKNLRAALA >KQL22719 pep chromosome:Setaria_italica_v2.0:II:4751662:4754520:-1 gene:SETIT_030453mg transcript:KQL22719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALKAAASTAGSASFAAAGPRRGAAAAPGRVSFRGAAPVVAVRTAAAAAPAAAAVAEDKRSISGTFAGLKEQGKTALVPFITAGDPDLATTAKALKILDACGSDVIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVIPELSCPLALFTYYNPILKRGIPNFMAIVKEAGVHGLVVPDVPLEETDVLRSEAAKNNLELVLLTTPTTPNERMEKIAEVSEGFIYLVSTVGVTGTRANVSSKVESLLQDIKKVTEKPVAVGFGVSTPEHVKQVNHNLHYSC >KQL22718 pep chromosome:Setaria_italica_v2.0:II:4750948:4754643:-1 gene:SETIT_030453mg transcript:KQL22718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALKAAASTAGSASFAAAGPRRGAAAAPGRVSFRGAAPVVAVRTAAAAAPAAAAVAEDKRSISGTFAGLKEQGKTALVPFITAGDPDLATTAKALKILDACGSDVIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVIPELSCPLALFTYYNPILKRGIPNFMAIVKEAGVHGLVVPDVPLEETDVLRSEAAKNNLELVLLTTPTTPNERMEKIAEVSEGFIYLVTEKPVAVGFGVSTPEHVKQIAGWGADGVIVGSAMVRLLGEAASPEEGLKKLEELAKNLRAALA >KQL22716 pep chromosome:Setaria_italica_v2.0:II:4751455:4754520:-1 gene:SETIT_030453mg transcript:KQL22716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALKAAASTAGSASFAAAGPRRGAAAAPGRVSFRGAAPVVAVRTAAAAAPAAAAVAEDKRSISGTFAGLKEQGKTALVPFITAGDPDLATTAKALKILDACGSDVIELGVPYSDPLADGPVIQASATRALAKGTTFEDVISMVKEVIPELSCPLALFTYYNPILKRGIPNFMAIVKEAGVHGLVVPDVPLEETDVLRSEAAKNNLELVLLTTPTTPNERMEKIAEVSEGFIYLVSTVGVTGTRANVSSKVESLLQDIKKVTEKPVAVGFGVSTPEHVKQIAGWGADGVIVGSAMVRLLGEAASPEEGLKKLEELAKNLRAALA >KQL26447 pep chromosome:Setaria_italica_v2.0:II:44420153:44423969:1 gene:SETIT_029083mg transcript:KQL26447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTGRAPPFESTRGHEACKASTTAAHNPGGTATPCLQKSAKCRGTPPLFPVGKKERGARISPAVRTPIQIQCNPNRCDRLERTSVRPMRRTLPPEPRARVRGGSLAGIVARRFVSLAAGASKRKSDSLLARSPFPSSPRTHSTHDGPRRPRPAATKSHPAVVIHPALASRSVPPRECSLVCCGARGLCCARQQTCTQQHRRRAQRIRVYTHQYSAQPPPLPLLPTFPPSSSLATSLPARRAMVSLAGSQIPSPSPGQSPCAAAGPQRRPGRSMRTIRSALLQPDSAPGSPAPRHGDGDAGDSDIENLTDSVIDFHLSELAATAGPAHPAAVAKSSSAINAAATELLELSRDFSDYSSFSSDISGELERLAMAAAAWAPRSDAPAAAVDLNDLESMDLSPDAAPLERVEPFVLACVQALGPDAAPDARRAAAARIRLLAKHRSDIRELIGVSGAIPALVPLLRSTDPVAQENAVTALLNLSLEERNRSAITAAGAIKPLVYALRTGTAAAKQNAACALLSLSGIEENRATIGACGAIPPLVALLSAGSTRGKKDALTTLYRLCSARRNKERAVSAGAIVPLVHLIGERGSGTCEKAMVVLGSLAGIAEGREAVVEAGGIPALVEAIEDGPAKEKEFAVVALLQLCSDSPHNRALLVREGAIPPLVALSQSGSARAKHKAETLLGYLREQRQGVGCRAGSVAATSLAR >KQL25091 pep chromosome:Setaria_italica_v2.0:II:35838475:35839666:1 gene:SETIT_033356mg transcript:KQL25091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPRRLQPRAGRRQPQGWRQQRGCLVGWWRHRTCWELGWQRPPDGGCRSYPWGLVGEGRVDGGDARASPTGRGGESLISVPVWELIGRESICDRDHPAPMTSEKIKKLGWSCRLLEETIADTVEFCQQAGFLKDLDEEEAPCHFPPLFNKI >KQL25173 pep chromosome:Setaria_italica_v2.0:II:36439539:36440327:1 gene:SETIT_033250mg transcript:KQL25173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATQHHRRAMAVLAVLLATMASPTATRAAAAAAGGCDGDKFPAGRSYAHCAALPYLGARLHWTHDAKTGSLSVAFVAKPAGAGGAGWVSWAINPTGEGMKGAQALVALRPSPAGPYAVNTYNITGYKPFGANSTAISFKTAELAADESAGEVRLYGKLQLAPGTEVVNHIWQVGSAVTGGAPTKHAFDKDNLQSKGRLALSGAALAPAPAPAAAAAKGGSGAASPSGGKPSAATAAASAPVLMLLALAAGFMAIV >KQL24766 pep chromosome:Setaria_italica_v2.0:II:32953680:32962819:1 gene:SETIT_028641mg transcript:KQL24766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHHHEQQHAYQSGAPPGMMGQGGGSSFPQSSSPMPPVQGQMNLPLSGGPQGMVGGQVHNQVAMQQQYLKLAMQQQQKAAHGMLLQQQAKMNMPGSSSRDQDMVNNPAKMQELMAIQAQMFKRQAEHLQHAEKQKEHEQPSSNEQRSGDMRPPMPPPGVPGQQLPSVGMMRPMQPMQGQVGMGSTGGGPLTPLQFQAIQAWAKENNFDLSNPANMSAISQLLPIWQSSRMAAVQKQNEASMAAQQQATPSQVNSDTPGRGNVPNQGAPLKPGQPLPPSSVSGGEEAKVMNSSNLQLQQQLSAHSRDGSNERAVRAPMTVGNGAQMMHIPQSSGHVNKVPEQSNPKTVLANSEAMQMQHARQMQQLNQAGAPTASSGEAGGSQPPTPSARPQTGQTGFTKNQLHVLKAQILAFRRLKRGDRTLPPEVLELIVSGRAPDSQGQQISGPQPTHNREGPGVSSADEHGKHMESGDKAPEKPPLLKGPCLPKVEVSASDDKASSVSGPGPMQVMKASPKESLKIGPVSVPEHSNTTVIKSEQDLERGIQRTPGRSDYNAERGKSLPAESSSADAEQAKRAGSTSSAPAPRDVPRKYHGPLFDFPSFTRRHDSLGPANYNGNLSLGYDVKDLLAQEGMIVFGKKREDNLKKISGLLAINLERKRIRPDLVLRLQIEEKKLKLLEHQARLRDEVEHEQQEIMAMPDRIYRKFVRQCERQRVELVRQVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKRKDDDRTKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITAAKSQQQVEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRDNASVNKYYTLAHAVSERVTKQPSLLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELLNWLPSASCIFYVGAKDQRQKLFSQEVLAMKFNVLVTTYEFVMFDRSKLSRIDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDSSKAFQDWFSKPFQRDGPTHSAEEDDWLETEKKVIIIHRLHQILEPFMLRRRLEDVEGSLPRKDSIVLRCRMSAVQGAIYDWIKSTGTIRVDPEDEKKRAQRNPMYQSKTYKNLNNKCMELRKVCNHPLLSYPFLNHGKDFMIRSCGKLWNLDRILIKLHKAGHRVLLFSTMTKLLDIMEDYLQWRRLVYRRIDGTTSLEDRESAIVDFNRPGSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDDERYQETVHDVPSLQEVNRMIARTEREVELFDQMDEDFDWTGDMMKHHQVPKWLRASSTEVDAVVASLSKKPLRNMSSGGIALDTNDTPEKRRGRPKGTGKYSIYREIDDEDLEESDEDSEERNTTPLPEDGEIEEFEDEEDNDDSVPDNKDESEEEEPINDDGYNFTDGLKSRRTNRIEEAGSTGSSSGSRRLPPPAPSSSSKKLRSLSALDARPGSLSRRTPDDLEEGEIAMSGDSHMDLQQSGSWNHERDDGEDEQVLQPKIKRKRSIRIRPRPNAEKQEDKSGGEGVFPQRAARQQDAVHPIVKQKRNMPSRKVSPAARAGKLAYLPGSGEGSAERSKENRSSKAIDSATPEFRGTKMSDSMQRKCKNVISKLWRRIDKEGHQIIPNISSWWRRNENSSFRGPAGSTLDLQKVEQRVDGFEYSAVTEFIVDMQQMLKSVVQHFSYRHEVRIEAETLHNLFFNIMKIAFPDSDFSEAKNAMSFSNPGGASSGAAGPSTKHAALGHKRRASTSEAEQLGSGHSRHNQPSEVPSRPHSSRSERDSRHSGSGSRDQLPDGAGLLHPSDMFIVKKKRQERGRSSIGSPSSSGRAGPLSPTNPGRPGPVPSPRGARTPFQRDAHPSHQSMHSTGWVAHSDQGGSSSAPGIGDIQWAKPAKRLRTDSGKRRPSHL >KQL26079 pep chromosome:Setaria_italica_v2.0:II:42220980:42221446:-1 gene:SETIT_033520mg transcript:KQL26079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSQSLVFLVHQINYILFKAHTTRSSSWYSQPHPQDAYFK >KQL24859 pep chromosome:Setaria_italica_v2.0:II:33927585:33930587:-1 gene:SETIT_031246mg transcript:KQL24859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTARAALVRVLPPRPSPTSQLKQGGRGRASLAVRVKDSDDYGALLSEKPAAQAKRDGWEGFGRGVTSAAEEEKEEEAQSEPASWDVLNQIGVELDSDKSYSALVYGTSALVAIWISSIVVSALDSVPLVPQVMEVVGLGFTIWFTSRYLIFKENRDELITRVSSIKKQILGSHEN >KQL24858 pep chromosome:Setaria_italica_v2.0:II:33927702:33930555:-1 gene:SETIT_031246mg transcript:KQL24858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTARAALVRVLPPRPSPTSQLKQGLGGRGRASLAVRVKDSDDYGALLSEKPAAQAKRDGWEGFGRGVTSAAEEEKEEEAQSEPASWDVLNQIGVELDSDKSYSALVYGTSALVAIWISSIVVSALDSVPLVPQVMEVVGLGFTIWFTSRYLIFKENRDELITRVSSIKKQILGSHEN >KQL22192 pep chromosome:Setaria_italica_v2.0:II:453540:455217:1 gene:SETIT_029589mg transcript:KQL22192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTWERKSQSEQPGEDKRSLKESPQMDILLSAVLGELTTRSIDFFIKKIFKPKALDVEDRLHMILLRAHVIIDEAMGRQITNQSVLQQLDMLRDAMYRGCYTLDTFRYQVHSEEEAKGQVLSHSLSLSKVNSPQGLCSSSRNPQILKQLNNSLDDLSSMILGVEELVVFLASYPRLYRQPYSMHLVLGNCMFGRQMETEFVINFLLHARPHGSKELDILPIVGPGRVGKTTLVAHVCEDERIRDHFSEILFLRDHDFTVVDLATVREGYAMEYKNRVSNSNKDGRLLVVVELYSAYTRDVPSNTKIIVTSRSDKIIKFGTTQALSMKYLSHEAYWYFFKTLMFGSTDPKMHPRLACQAMEMARMSKRCFIAANMFACLLRDNFDIEMWCKVLAFTRGQNNKNILNFGGHPFDLINQKRPAYLGRMVTPFQYIVLHRGNECSKQEEVPKIKLQDVMYGSITAHGKFEILGWRSRIPPYHSFVDICEIQEVKTTSAKRKRSV >KQL26286 pep chromosome:Setaria_italica_v2.0:II:43559284:43561028:1 gene:SETIT_032551mg transcript:KQL26286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPSPPCRWRWRRPVALSRPASFDSLCLAAPATACNQTNRRPPWRPPCSVARTRRTPRAKPTASETRSRSPAQSSSLAPALSVASTARATRRPCPVARDELLAGAAMLQGVLSRAPATDAAAAAAMKAKRAPVSPDEKGDGDGCPARGKRQQLLGLGPAAAAEEGPETRGLRLLSLLLRCAEAVAMDQLTEARELLPEIGELASPFGSSPERVAAYFGDALCARVLSSYLGAYSPLALRPLAAAQSRRVAGAFQSYNALSPLVKFSHFTANQAILQALDGEDRLHVIDLDIMQGLQWPGLFHILASRPRKPRSIRITGLGASLDVLEATGRRLADFATSLGLPFEFHPIEGKIGHVADAAALLGPRHHHQQQDEATVVHWMHHCLYDVTGSDVGTVRLLRTLRPKLITIVEQDLGHSGDFLGRFVEALHYYSALFDALGDGAGAAEEEAAERHAVERQLLGAEIRNIVAVGGPKRTGEVRVERWSDELRRAGFRPVSLAGSPATQARLLLGMYPWKGYTLVEEDACLKLGWKDLSLLTASAWEPADDDTVAAAPTPRHGSQET >KQL26667 pep chromosome:Setaria_italica_v2.0:II:45651961:45653520:1 gene:SETIT_031279mg transcript:KQL26667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCRACDTVTRPRPILYHSAHQPQVVKPTPGEGRLDRWSPRRRWASPTYPTHGDPPRRRLLHGAIPLSRSTASTPPSFQMASEDSKDMLKNVDWKTVGGAVTTESSQPVIKKRLPKKIRQVPECYFLPRRSLPSALAIYGAVCAAGVGAGMLLEVWINKKIKEDGGIIWEMDK >KQL26666 pep chromosome:Setaria_italica_v2.0:II:45651961:45654136:1 gene:SETIT_031279mg transcript:KQL26666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCRACDTVTRPRPILYHSAHQPQVVKPTPGEGRLDRWSPRRRWASPTYPTHGDPPRRRLLHGAIPLSRSTASTPPSFQMASEDSKDMLKNVDWKTVGGAVTTESSQPVIKKRLPKKIRQVPECYFLPRRSLPSALAIYGAVCAAGVGAGMLLEVWINKKIKEDGGIIWEMDK >KQL24869 pep chromosome:Setaria_italica_v2.0:II:34137298:34141976:-1 gene:SETIT_029415mg transcript:KQL24869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRLAVAHRPPLPVPAPGHLRRRHLHRLPASLSLPTTSLSLPSPHHHRLSAAPRRGLPLPLLASQASHSNPEPESAGAKLVPLVISVAIGLAVRFLAPRPAEVSLQGWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTAAVATRTLPFTAAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTISEACIAPAMPSTTARAGGVFLPIIKSLSLSAESKPNHPSSRKLGSYLVMTQFQAAGNSSALFLTAAAQNLLCLKLAEELGVIIANPWVSWFKAASLPAIVSLLATPYLLYKIFPPETKDTPDAPALAAEKLKRMGPVTKNEWVMISTMILAVSLWVFGDAIGVSSVVAAMLGLSILLLLGVLDWDDCLNEKSAWDTLAWFAVLVGMAAQLTNLGIVSWMSSCVAKLLQSFSLSWPAAFCVLEASYFLIHYLFASQTGHVGALYSAFLAMHIAAGVPRALSALALAFNTNLFGALTHYSSGQAAVYFGAGYLDLPDVFRLGFVTALVNTLIWGVIGTIWWKFLGLY >KQL25308 pep chromosome:Setaria_italica_v2.0:II:37221067:37223664:-1 gene:SETIT_030866mg transcript:KQL25308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWQQAMGSHAAGGSQAPPAAAAGGGETQRTQYPYVTGNSVIALKYKDGVIMASDTGASYGSTLRYKSVERIKAVGKHSLIGASGEFSDFQEILRYLDELTLSDHMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNSLVLGGVKKGPKGDEKYLGMVNMIGTHFEENHVATGFGNHLAIPILRDEWREDLTFEEAVKLIEKCLLVLLYRDRSSINKFQIAKITTEGSTIYPPYSLKTYWGFSHFENPAQGAVGSW >KQL22463 pep chromosome:Setaria_italica_v2.0:II:2799050:2801217:-1 gene:SETIT_030015mg transcript:KQL22463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVRVSSHNAPVHKLGDAQMALTPKFRLATTNTPAPPPPPEDLQQPAWDTPLIPGLPDDAALTCLLRLPVAAHGACRLVCRRWHHLLADKARFFSQRRALGLRSPWLFTLAFHRCTGKIQWKVLDLGHLAWHAIPAMPCRDRACPRGFGCVAVPGDGALLVCGGLVSDMDCPLHLVLRYDVYRNRWTVVTRMLAARSFFAGGVIDGRVYVAGGYSTNQFELNSAEVLDPDKGVWQPIASMGINMASSDSAVISGRLYVTEGCAWPFFSSPRGQIYDPKINQWEAMPVGMREGWTGQSVVIDGRLFVISEYERMKVKIYDPETDSWDSVSGPPMPERIMKPFSVSCLDSRIVVVGRGLHVAIGYVDKQPAGGGNSGNRSSSYSVCWQDVDVPKEFSDLTPSSSQILHA >KQL22372 pep chromosome:Setaria_italica_v2.0:II:2072518:2074581:-1 gene:SETIT_032334mg transcript:KQL22372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSPCVLIESIILMSYMNPLFSQMILHLLLFLHLIFSAFGIGGDQFVYSGFTSANLTLDGTATITPNGLLELTNGTVRLKGHAFHPTPFHFSKASHGTVQSFALSFIFAIYCVQPDICGHGIAFLLAAHKNFSYTMPMQYMGLINEHSNGNTTNHFFAVELDTNCNDEFKDIDNNHVGIDINGLNSVNSSSAGYYDNRNGNFHNLTLASYKVMQLWVEYDEDNTQINVTLAPLNVAKPFKPLLSTTYNLSRVLAGKAYVGFSSSTGSFISRQYVLGWSFGMNRPALPIDITKLPKLPHEGPNPQSKVLEIILPITTAAVVIIVGTIVIILVRRRLRYAEIREDWEVEFGPHRFSYKDLFHATEGFKNKNLLGIGGFGRVYKGVLPKSKVMVAVKKISQNSKQGMKEFVAEVVSIGHLQHRNLVQLHGYCHRKGELILVYEYMSGGSLDRYLYDQENKPTLNWDQRMRIIKGIASGLLYLHEEWEKVVLHRDIKPSNVLLDDEMNGHLGDFGLARLYDHGGEPQTTHVVGTIGYLAPELARTSKATPPTDVFSFGMFVLEITCGRKPIEQTGQDRQLMLVDWVLDCWRKGLVTDAVDVKLQGDYNVDEACLILKMGLLCSHPFMNLRPSKREVMHYLNGNLPLPDKLTPADMSFNMPSFMQNRGFNPATLTNSLSTTNNSMISDLTGGR >KQL22314 pep chromosome:Setaria_italica_v2.0:II:1579094:1580178:-1 gene:SETIT_032183mg transcript:KQL22314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQRPRQPSELMPELVEEILIRLPPDDPATLVRASVVCKRWRRILTDPTFRRRYSVFHRAPTLIGFLYDCHTFGGGEGATPRFVSTLTPSPFPHRALVDYDGCRILDCRHGRILFNTSGESVNLVVWDPATGRRQRLPEPRVPCWHYTAAVLCAATVHGCDHVCCHGGPFLVVLAGFDRARNILRSHLYSSEAGAWTASADLPYCNITSRKPSALIGGDLYFVLVPRDMILRYNMSKNCLSIIRSPAEHEVHGGAALVPMEDGSLGFAQALYIQSSTCEVVGAAERFGTIFVATDVGVFTIELKSGRKRKVAEPGEYSAIFSFMSCFYAP >KQL23424 pep chromosome:Setaria_italica_v2.0:II:12327607:12337034:-1 gene:SETIT_028854mg transcript:KQL23424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGNLLSRRKRSWRANEFVSRSTLQLLDFDDGSPPEHAWRRKLSSHANRLKEFNVTFREAIRMMKLGLRLWSYIREEASHGRKAPIDPFTRESNKPSASQGVPLGGMGTGSISRGFRGEFKHWQITPGYCEMSPVMANQFSIFVARGGNKKYASVLAPGQLDGLKKSSDDGISSWDWKLKGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTLVNTGKERAKVSLLMTWANSIGGLSHHTGGHVNEPFIGENGVSGVLLHHKTANNNPPVTFAIAACENQNVNVTVLPVFGLSGESSVTAREMWGTMVQDGSFDRDNFNAGASMPSSLGDTVCAAVSASTWVEPHGRCTVVFALAWSSPKVKFKKGSTYYRRYTKFYGTSPRSAVNLVQDALMKYKYWEEAIDKWQTPILSDERLPEWYKITLFNELYFLVAGGTVWIDSESLVVDADNKSNSSLLEDSDSSLRDSSCNSTVPLIGFDPHEIDDKENVGKFLYLEGIEYFMWCTYDVHFYASFALLDLFPKIELSIQRDFARAVLREDNSRVRFLADGTWGIRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQIYRDFSATGDMSFGKDVWPAVCTAMEYMEQFDHDGDGMIENDGFPDQTYDAWTVQGVSAYCGCLWLAALQAAAALARSLGHVDYAERCMIRFAKAKSVFEARLWNGSYFNYDSGTSYSSRSIQADQLAGQWYTASSGLPPLFDEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMEHQAFTTAEGIYIAGWSEEGYGYWFQTPEAWTVDGHYRSLIYMRPLAIWAMQCALSPPKSILEAPKVNTMDRAHMSPGTLQFLQDSVRKITPKNGCFGNTVFNWDC >KQL23423 pep chromosome:Setaria_italica_v2.0:II:12327607:12331936:-1 gene:SETIT_028854mg transcript:KQL23423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGTMVQDGSFDRDNFNAGASMPSSLGDTVCAAVSASTWVEPHGRCTVVFALAWSSPKVKFKKGSTYYRRYTKFYGTSPRSAVNLVQDALMKYKYWEEAIDKWQTPILSDERLPEWYKITLFNELYFLVAGGTVWIDSESLVVDADNKSNSSLLEDSDSSLRDSSCNSTVPLIGFDPHEIDDKENVGKFLYLEGIEYFMWCTYDVHFYASFALLDLFPKIELSIQRDFARAVLREDNSRVRFLADGTWGIRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQIYRDFSATGDMSFGKDVWPAVCTAMEYMEQFDHDGDGMIENDGFPDQTYDAWTVQGVSAYCGCLWLAALQAAAALARSLGHVDYAERCMIRFAKAKSVFEARLWNGSYFNYDSGTSYSSRSIQADQLAGQWYTASSGLPPLFDEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMEHQAFTTAEGIYIAGWSEEGYGYWFQTPEAWTVDGHYRSLIYMRPLAIWAMQCALSPPKSILEAPKVNTMDRAHMSPGTLQFLQDSVRKITPKNGCFGNTVFNWDC >KQL23425 pep chromosome:Setaria_italica_v2.0:II:12327607:12336204:-1 gene:SETIT_028854mg transcript:KQL23425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSISRGFRGEFKHWQITPGYCEMSPVMANQFSIFVARGGNKKYASVLAPGQLDGLKKSSDDGISSWDWKLKGDRSTYHALFPRAWTVYDGEPDPELKVSCRQISPFIPHNYQESSLPTSVFVYTLVNTGKERAKVSLLMTWANSIGGLSHHTGGHVNEPFIGENGVSGVLLHHKTANNNPPVTFAIAACENQNVNVTVLPVFGLSGESSVTAREMWGTMVQDGSFDRDNFNAGASMPSSLGDTVCAAVSASTWVEPHGRCTVVFALAWSSPKVKFKKGSTYYRRYTKFYGTSPRSAVNLVQDALMKYKYWEEAIDKWQTPILSDERLPEWYKITLFNELYFLVAGGTVWIDSESLVVDADNKSNSSLLEDSDSSLRDSSCNSTVPLIGFDPHEIDDKENVGKFLYLEGIEYFMWCTYDVHFYASFALLDLFPKIELSIQRDFARAVLREDNSRVRFLADGTWGIRKVIGAVAHDLGAHDPWHELNAYNIHDTSRWKDLNPKFVLQIYRDFSATGDMSFGKDVWPAVCTAMEYMEQFDHDGDGMIENDGFPDQTYDAWTVQGVSAYCGCLWLAALQAAAALARSLGHVDYAERCMIRFAKAKSVFEARLWNGSYFNYDSGTSYSSRSIQADQLAGQWYTASSGLPPLFDEDRIKCTLQKIFDYNVMRVKGGRMGAVNGMHPNGKVDETCMQSREIWTGVTYSLAATMLLHGMEHQAFTTAEGIYIAGWSEEGYGYWFQTPEAWTVDGHYRSLIYMRPLAIWAMQCALSPPKSILEAPKVNTMDRAHMSPGTLQFLQDSVRKITPKNGCFGNTVFNWDC >KQL25717 pep chromosome:Setaria_italica_v2.0:II:39989076:39989511:1 gene:SETIT_031830mg transcript:KQL25717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYERILTGVRATGRGMGDYQISSATTIMALLCHLIQPNPQAARFSEHKRTSRY >KQL25802 pep chromosome:Setaria_italica_v2.0:II:40637094:40639056:1 gene:SETIT_032762mg transcript:KQL25802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYANGSTCANDVPPSAEEMKEHAFPLKTMPLHANGWLNDMKISSPTAIRVNIGNPSAFDPIYRAWTKKYPSALNAFEKIAAYGKGKKTVLFLDYDGTLSPIVDEPDNAIMSDQMREVVRNAALHLPTAIISGSHGMDIMGPVGETGSVTGNRSCTNSSMKQDKEVKIFQAASEFIPMNNDRRDIQCSAKIRGIDGAKVENNKFCVSVHYRNVNEKDWPLVARCTDDILKAYPRLRLSHGRKVLEVRPVIDWNKGKAVEFLLDSLGLADSGNVLPIYIGDDRTDEDAFKVLREDKRGFGILVSSVPKESHAVYSLVDPSEVMDFLKRLVKWKEEEALE >KQL22654 pep chromosome:Setaria_italica_v2.0:II:4216599:4221262:1 gene:SETIT_033352mg transcript:KQL22654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAMDRLHNLLVLLLFIGCLFIDAAAKLNTTAPCLPDQASSLLRLKASFIGDNLPSWQAATDCCHWEGVTCDMAFGRVISLDLSEFHHLMSSRLDPALFNLTSLRNLSLASVDFRGVSLPAFGFERLTDIIYLNLSDTGFMGKIPIGIACLKNLVTIDLSYNYELYFERPSFQTIMANMSNLRELYLDEVGLQNIGSDWSTVLADSVPQLQILSLPWCGLSGSIHPSFSRLRSLTVINLRYNDGLTGKVPEYFSELSSLTILDISGSQFEGQFPTKIFQLKSLRTLDLSWNPMLSVRLTYFPAGNNLEVLNLEGTNFTMGIDNELFSLISELPALDYLRLTGSDLENPVLSWVSNLTQLTNLVLEGYDFSNSVPTWIGKLTRLEILTIWDCSFSVPILYQIRNLTKLAALEFTGNQLTGPIPKSLFQLTNLERLLLAENQLVGSLEDIPAPLSSPLREIDLQGNQLTGPIPKSLFQLTNLEYLNLGSNKLTGTIELGSIRRLKNLIILDLGNNMISLVEKEGDTIFSYSLKIQTLYLASCNLTKFPEPLKYLDTIQYLDLSNNQIEGAIPSWVWEKPLLQLNLSHNMFTTLEKSPTVQMTHLNSLDLSSNRIQGSIPIPSTPSDLILLDYSNNNFSTIEPNFGRYLTNAHYINLSKNKLSGHVPLSFCSLSQLEHMDLSYNNFSGPIPSCLMERVDPSILKLRGNKLHGVLPENIREGCKLQTIDFNENQIEGALPRSLAKCQDLEVLDVGSNHIVDSFPSWMGTLPNLRILVLRSNKLYGTIRDLRRGYQHFTSLQIVDLASNHFSGDLHSEWFENFISMMNNSNDEGQILEHHPTGPMTRLYQDTVTITFKDAALSITKILRAFKVIDLSNNSFEGSIPSSIGRLASLHGLNMSHNNFTGEIPSQLGKLTRLESMDLSCNHLSGEIPQEFTSLTSLSVLNLSYNNLTGRIPQGNQILSFPSSSFEGNAGLCGIQLSKECDPRPDSTTPSTLAPEHNALWQDRLDAIMLFLCAGLGFGVGFALAIICGPFYHIEEWLCKHLY >KQL23704 pep chromosome:Setaria_italica_v2.0:II:16725166:16730996:1 gene:SETIT_033095mg transcript:KQL23704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPPPSSSASVDDLAADLSAAATLTPAAAADGTTAKEDPFASHYGDVPIEEIQSKAVSGRSWTKVGDLDEGAAGRTVLVRGAAQAIRPVSKKMAFVVLRHSMSTVQCVLVASAEAGVSTQMVKFATVLSKESIVDVEGVVSLPKQSLKATTQQVEIQVGKIYCINRAIQTLPINLEDAARSEAELEKAEQAGEKLACVGQDTRLNYRTIDLRTPSNQAVLRIHTSILHKFREFLLSKDFVGIHTPKLIARSSEGGAAVFKLLYNGHPACLAQSPQLYKQMAICGGFEHVFKVGPIFRAKNSETHKHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTTNCKKKLETINGQYPFEPLKYLEKTLKLTYKEGIQMLKEAGTKIEHMGDLNTKAEKNVGRLVREKYDTDFFILYCYPLAVRPFYVMPCEEIISGAQRIHTPELLAKRGSECGIDVNTISAYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSMFPRDPQRLVP >KQL26816 pep chromosome:Setaria_italica_v2.0:II:46445564:46447498:-1 gene:SETIT_030973mg transcript:KQL26816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKTLHGCLLLLVVLLANASGSHAETTVDSEQALAPALPDSDEGKRRSLATGGGMFCVASQGADPAALQAGLNWACGPGHADCTAIQLGGPCYKQNNLQALASYAYNDYYQRSAKTSTACDFNGTATTTTTDPSSGQCVFTGSSMAGGGTPTASAPSGLSPFTPGTGGFGNGSSSFGSPTGGLVPFDGAESLLSGARWAVCVLLLALPLFFFFL >KQL26814 pep chromosome:Setaria_italica_v2.0:II:46444989:46447662:-1 gene:SETIT_030973mg transcript:KQL26814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWHCHRSRWLPRILSVGQWNSKMLDKTLHGCLLLLVVLLANASGSHAETTVDSEQALAPALPDSDEGKRRSLATGGGMFCVASQGADPAALQAGLNWACGPGHADCTAIQLGGPCYKQNNLQALASYAYNDYYQRSAKTSTACDFNGTATTTTTDPSSGQCVFTGSSMAGGGTPTASAPSGLSPFTPGTGGFGNGSSSFGSPTGGLVPFDGAESLLSGARWAVCVLLLALPLFFFFL >KQL26815 pep chromosome:Setaria_italica_v2.0:II:46444989:46448894:-1 gene:SETIT_030973mg transcript:KQL26815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKTLHGCLLLLVVLLANASGSHAETTVDSEQALAPALPDSDEGKRRSLATGGGMFCVASQGADPAALQAGLNWACGPGHADCTAIQLGGPCYKQNNLQALASYAYNDYYQRSAKTSTACDFNGTATTTTTDPSSGQCVFTGSSMAGGGTPTASAPSGLSPFTPGTGGFGNGSSSFGSPTGGLVPFDGAESLLSGARWAVCVLLLALPLFFFFL >KQL26817 pep chromosome:Setaria_italica_v2.0:II:46445564:46447498:-1 gene:SETIT_030973mg transcript:KQL26817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKTLHGCLLLLVVLLANASGSHAETTVDSEQALAPALPDSDEGKRRSLATGGGMFCVASQGADPAALQAGLNWACGPGHADCTAIQLGGPCYKQNNLQALASYAYNDYYQRSAKTSTACDFNGTATTTTTDPSSGQCVFTGSSMAGGGTPTASAPSGLSPFTPGTGGFGNGSSSFGSPTGGLVPFDGAESLLSGARWAVCVLLLALPLFFFFL >KQL24195 pep chromosome:Setaria_italica_v2.0:II:27335095:27335280:1 gene:SETIT_033328mg transcript:KQL24195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYQQCPHWHCHPVLRALPHRSVRTISVTNVPRQLLRQVTYPLLLNLCTCMPHAVASFVAI >KQL24779 pep chromosome:Setaria_italica_v2.0:II:33115627:33117466:1 gene:SETIT_029571mg transcript:KQL24779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSERRLASAVVRLPGRSRVSASPSPRRRSPSPPPRRDRRRDRSPSPYRDRRRNDRSPSPYRDRRRDERSPSPYRDRRRQWSPYHNDRGRDRDRAPPFRGGGDGGGAWSASDDDNDKELQGLSYFEYRRIKRQKLRKSKKRCIWNITPSPPRAEGDDENYGYSDVEEEKKESPEKKSSPEGSEEDSKDASGSESGESDSLSESSESEGSRRKRKGRKSSRRSSKRSRRRHRRRSYHSESEDESVSNDDSEGSLDSEDSRDRRSKKRSRRHRKSRRGRSSRKKKRSQDTASEQSSEEAEHSDPSPRDSKKKSKTSKRKRSKRSDSEESLPSDTNPDVKEDDEEIKEPEIDPEAIKFKERLEAQKKAALENDMPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSAEEIQKFEDLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKSKREHKVMADLQRLVQRTIGNDVGPSHDPFATTDS >KQL23915 pep chromosome:Setaria_italica_v2.0:II:22805022:22814050:1 gene:SETIT_029826mg transcript:KQL23915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPKAAAASSGSGGCLAGWGWDLMLGSIAAFYAVMAPYTKVEESFNVQAMHDILYHTYHIEKYDHLEFPGVVPRTFIGAFVISIFSSPAVFVMRLLHVPKFYSLLTVRLLLSFVTLLSLRLLRVQVKKKFGHQAEVFFVILTAIQFHLLFYSSRPLPNIFALALVNLAYSLWFNGSNLCTLQALIAAAIIFRCDIILLIGPIGLALLLSRSVSLLEAVKYCVSTALICIGFTVLIDSIMWRRILWPEFEVLWFNSVLNRSSEWGTHSIHWYFTSALPRSMLVAYPLCMVGALLDRRIVPYMLPVFLFVVLYSKLPHKELRFIIGSIPMFNVSASLAASRLYNNRKKAGWNLLYILMLGAFLVSLGYSAVTFMASYNNYPGGYALKALHEADSSVKEKMVHIDAFTAMSGVSRFCESEYPWRYAPILQNILRRNKFPLKNFRKGISPIY >KQL26813 pep chromosome:Setaria_italica_v2.0:II:46443912:46445949:1 gene:SETIT_030716mg transcript:KQL26813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVANTAARGHQPWALAAASANRSSGRPAATTATRALVSTGFAGAPNAWAAGRPPRRAVVAARTKAGAAEVRPSSPDAVTYSASISTNTPLHEPPGASFDEYLQDRARVFRAMFPDESRSQRIGDGEWRVQMLPLQFLLVTVRPVVVMQLRHRAGGLDLRITEWELRGLDRDHAPSSFDLGVSGSLYADRSLRGRRAGCRMRGHLEISITAVLPPPLRLVPESVLRGVAESVLSTLAEKMKRDVDVSLIADFQRFRREKAAAASRARPTLDVTASAARDEASES >KQL22479 pep chromosome:Setaria_italica_v2.0:II:2989979:2992000:1 gene:SETIT_029262mg transcript:KQL22479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFILLYYLSPFVNLGVAVWRLVQRDYGDAGGDIGNRSKLNAALDIFYGLILFQSLFAFYYIVIDLVDDGTSRTEKHCGLEERREDIVRIYCSETARKFRKDGGLPDNWNLIAYGVEKLQSASGDDDHLWGARVLDQLFCKDKSVRQKLLSSRISVQNLIGMIGLRGTADNVENRERAARIVAHLASDLNIAHFPDTLQCICSLLESCNKQSCESQATGPSEEPEDQNGADTVLQIKDQTEYEFGGPKELVSQGLLILEGLTQDEENCAEISKHRRLLSKITSPLSSPDFLNIVREDTMVVRMLSKSLTALSRILACPGDGATRLRQELASTTEAVSNLMAILETGSGAAQELHRQALEILTELAFDDSFKKLEFNKLLKALLCIFLDENATRLIMGKAGEALARLLPVSTAREREVNVADIISKQEAINLLTKNKRKIDNNSRFFCLCIMLDLISNSQMSPPEKSEERNFMAAMLSLAVVICNENVISREDFARSTPEDAALAEKLKYILEVNKQCTTESECLRVVKLTCQMVIAMIQAKPSCIQYFNEHNFKEALTETLGTMSEVDNCMLFAGNDHEVIKPARSLASLVKEAQELLKTAQKQGN >KQL23939 pep chromosome:Setaria_italica_v2.0:II:23215195:23215674:1 gene:SETIT_033548mg transcript:KQL23939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMCSVKESHGKNRSSQVPLLAQIILLPQIILIIGFCLK >KQL23147 pep chromosome:Setaria_italica_v2.0:II:8719036:8721183:-1 gene:SETIT_032577mg transcript:KQL23147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYSSLSRAVALVFFFFSAGLAPACCRKVFDSPQEGFEFFNMYSWELGFGIRYGSSCSSLSGRRTRQDIVCACKGRDPSCVARSTRCGCLCMIRMLRKDDDSWFISRFVPGHNHPMNLRANNVQISRVCSILGSMHGSNQYVPFSRQSIRSLCGRLAQESIEGDMTKRDADPAMRDADPAMVLRMQLDQDKRVKSLFWYHGSSRFNYSCFGDAITFDTTYRTNLYNLPFVLFVDDANHHFQSVIFGTVLLLTEETSDAFQWSFCTFVEAMDGCKWHVLKKAKESLGDIYSKNFAFKRSLHELLDEIVSIPEFETRWADLVDKYGLVENEFLGRAYQNRHMWAKPYFAETFCVGMTSTQCSESPFTHTQDLYSKYNRMIADRDADEGKEEHAKQVMIPLLSRRFLRVGVPIEAHAAQVYTRAMYERFSKELFKAGKFGCMKDGDEGY >KQL23352 pep chromosome:Setaria_italica_v2.0:II:11088321:11089649:1 gene:SETIT_032596mg transcript:KQL23352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADAPAGSGGALPNWVMLERFIFRRDDPQSFREDKRTSATGETSVGAHFRISFILAEPPTPSRLYLSWPGGPKQEMMCHLVAAHRNLVLLRLDSFVDPSNTSPFGEMAHDYFIYYVAADPRSQAQSTPALRRLPGCTVHNAYFGRPIPIPFLPWGVGLLCCGEEFAVAYLSVGRRDPEAEALEVELRVLRSTVGGDSIDGGEKWEAKYLPIQGQDDVEGIDLLEWKTNEVVPFKNALLWVDYSKGVLYCEDVCGDSPKVKFNGFPPDYYSYHCYSSKHPSGFPELYRSLCVTEGGRTLAFLDVIRHDGADVGQMVPDTGFTIVSMAVTETQSAHSFRVEADDLWATPPLKDHLHEVMILPLLSMDDVNVAHFVLYDWADLSGKFKVSLVTIDLSTKRVVGSVVPYIDEGDVSTDDADLVKGKPNYFMRFLPAEFPKFLNLQ >KQL25264 pep chromosome:Setaria_italica_v2.0:II:36969526:36969927:1 gene:SETIT_032492mg transcript:KQL25264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVERRPAKPGVLVVTYIAEHCHAVPTMLNALAGTTRHRSASSDGDGHQASHGASDEASAGRRKEDSADASSMTVDGGGGAEMADDENEPWQQADMALDDYPLDLDDFLGPFEDGFDRFFEDDDGVLERRVSL >KQL26858 pep chromosome:Setaria_italica_v2.0:II:46645933:46646796:1 gene:SETIT_031311mg transcript:KQL26858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAARFVRDSRAFSTDLTPDQATPRRRRRRRGRRGTMRSSEAMELLGFPPYSRPSPSEVKAAYRRMVMESHPDRVPTHLKSQAESKFKEISEAYSCLKDGMFLLG >KQL26859 pep chromosome:Setaria_italica_v2.0:II:46645933:46647392:1 gene:SETIT_031311mg transcript:KQL26859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAARFVRDSRAFSTDLTPDQATPRRRRRRRGRRGTMRSSEAMELLGFPPYSRPSPSEVKAAYRRMVMESHPDRVPTHLKSQAESKFKEEEDQGVGWKYMLCGLVFQLDTEDQIKHWLKHHFYS >KQL26860 pep chromosome:Setaria_italica_v2.0:II:46645933:46647392:1 gene:SETIT_031311mg transcript:KQL26860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAARFVRDSRAFSTDLTPDQATPRRRRRRRGRRGTMRSSEAMELLGFPPYSRPSPSEVKAAYRRMVMESHPDRVPTHLKSQAESKFKEISEAYSCLKDGRRSGSRMEVHVMRSGVPTGYGRSNKALVKAPFLLIMFAAVSFGAFSASRAYQRQKELCSSQNPFLP >KQL27116 pep chromosome:Setaria_italica_v2.0:II:47892300:47893235:-1 gene:SETIT_032254mg transcript:KQL27116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISQATRIINELRPLHASLGTANAVFLHHPKKRVQLNQVHCMNQLRWKQFLSAAKSQRSRSLVGCSSSDSSAEVAALSRPADFSTAQSDQSMTRCVDLVPGALIIISGYWIGPDVDDGCGSVEAMLQRIV >KQL23456 pep chromosome:Setaria_italica_v2.0:II:13670914:13676477:-1 gene:SETIT_029568mg transcript:KQL23456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPATAPPPPPPPPFAVHLVTGGGSSPELALLLRSLAAARVVALDAEWKPRRRGTPAAAAPAGPGDGTSPATAPAPAPPQFPTVTLLQVVCRSGDGGEGEVFVVDLLAVPLAELWAPLRDLFERPDVLKLGFRFKQDLVYLSATFAAALGRDAGFGRVEPFLDVTNIYYYLKGHDRQKKLPKETKSLATICEELLSISLSKELQCSDWSCRPLSEGQIQYAALDAYYLLDIFDLFQQKITMEGKCSSTTELTSDRHCSSSVIECSSSGYDICSGGYLMSIVTKYSEKILLTESGTKPRSSRRKEKTKLPTNAKCKDKVACCTEWQGPPPWDPSIGGDGYPKFLCDVMIEGLAKHLRCVGIDAAIPSPKKPEPRELLNQTYKEGRILLTRDVKLLKYQYLASNQVYRVKSLLKHGQLAEVINTFQLKISKDQLMSRCTKCNGSFIQKPLTLEEAVEASKGFQVIPLCLFNRNLEFWKCTNCNQLYWEGTQYHNAVQKFLSVCNISD >KQL23274 pep chromosome:Setaria_italica_v2.0:II:10419252:10419650:-1 gene:SETIT_031655mg transcript:KQL23274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPRRGKDTTRTAWPSSLSLLLPLVLVLLITLVHSRPQGLTSTISGQKGDAGSEFRAWRRDAISWPDRMTDLAPPAPKPNSNVPGGPFG >KQL23273 pep chromosome:Setaria_italica_v2.0:II:10419024:10419830:-1 gene:SETIT_031655mg transcript:KQL23273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPRRGKDTTRTAWPSSLSLLLPLVLVLLITLVHSRPQGLTSTISGQKGSEFRAWRRDAISWPDRMTDLAPPAPKPNSNVPGGPFG >KQL23217 pep chromosome:Setaria_italica_v2.0:II:9787685:9791591:1 gene:SETIT_028856mg transcript:KQL23217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAMVSAATGAMSSVLAKLAELLHEKYKLAKGVRKDIQFLRSELSVMNDLLYDMAGIEDLDARDKGWRDRVRELAYDVEDCIDLSVARFRRAGGDASKGGFFGTKQLVRKLKKIRVSLQIAHEIQELKARVIEESDRQKRYDGLIGSSSDASRNKVDPRMCALWEETKNLVGLNGPMDEVIRLLMPGEGEVPSQQVRTVSIVGCAGLGKTTLANQVYQKIQGHFECQAFVSVSQNPNIKDILMKICSQVGATPSMADDELLLVNKLREQLQYKRYIVLVDDIWHSDPWKIIGQALVRTSPGSVIILTTRLKDVAESCCSSHGGRVYDMKPLDDNDSRRLFFKRIFDSEDKCPHELERASEDILEKCDGIPLAIISISSFLAVDVPQSADHWNKVKESISSPLPGNKSVETMQSVLSLSYYNLPHHLRTCLLDLCAFPEDWIIDSDRLIGRWIAEGFVNAEPGENLYEAGLRHFNELINRSLIQPWDELRGVVWSCRVHDVILNFLVSKSVEENFLTFSNPSGLPTSVHSKVRRLSLQNSYQENVVSWIKSVKPYVRSLSCFRDCNKELFPLTEFEVVRVLDLEECESLKNVDLANIEELLQLRYLNIGGTGVSELPAGIGQVQNLETLDIRWSKVEKLPSTIVRLEKLACLFVDRKVMFPAEGFSKMKGLEQLKCFSIHGQPLNFLKELGQLTNLRTLEAEVMVDSNEANVNYEGSGWGIFTSSLQALCSHKLVDINIYGRGSPPILMDSSFPALQSLRTFVIFPINSPPIWMGLLVNLELLHLETKQFTPEDLQVLGGMLALESLVLDLGNTYACPFTIRGHEFQRLKYFYVSRLCQILFMPGAMPKVKHLEITLAFTTDSYNDLGIQHLASLTRVNVSINHVWCGHRGAVEDLVAKTRSLLDAHPNHPTLIFDTSFYGKNE >KQL23218 pep chromosome:Setaria_italica_v2.0:II:9787718:9791591:1 gene:SETIT_028856mg transcript:KQL23218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAMVSAATGAMSSVLAKLAELLHEKYKLAKGVRKDIQFLRSELSVMNDLLYDMAGIEDLDARDKGWRDRVRELAYDVEDCIDLSVARFRRAGGDASKGGFFGTKQLVRKLKKIRVSLQIAHEIQELKARVIEESDRQKRYDGLIGSSSDASRNKVDPRMCALWEETKNLVGLNGPMDEVIRLLMPGEGEVPSQQVRTVSIVGCAGLGKTTLANQVYQKIQGHFECQAFVSVSQNPNIKDILMKICSQVGATPSMADDELLLVNKLREQLQYKRYIVLVDDIWHSDPWKIIGQALVRTSPGSVIILTTRLKDVAESCCSSHGGRVYDMKPLDDNDSRRLFFKRIFDSEDKCPHELERASEDILEKCDGIPLAIISISSFLAVDVPQSADHWNKVKESISSPLPGNKSVETMQSVLSLSYYNLPHHLRTCLLDLCAFPEDWIIDSDRLIGRWIAEGFVNAEPGENLYEAGLRHFNELINRSLIQPWDELRGVVWSCRVHDVILNFLVSKSVEENFLTFSNPSGLPTSVHSKVRRLSLQNSYQENVVSWIKSVKPYVRSLSCFRDCNKELFPLTEFEVVRVLDLEECESLKNVDLANIEELLQLRYLNIGGTGVSELPAGIGQVQNLETLDIRWSKVEKLPSTIVRLEKLACLFVDRKVMFPAEGFSKMKGLEQLKCFSIHGQPLNFLKELGQLTNLRTLEAEVMVDSNEANVNYEGSGWGIFTSSLQALCSHKLVDINIYGRGSPPILMDSSFPALQSLRTFVIFPINSPPIWMGLLVNLELLHLETKQFTPEDLQVLGGMLALESLVLDLGNTYACPFTIRGHEFQRLKYFYVSRLCQILFMPGAMPKVKHLEITLAFTTDSYNDLGIQHLASLTRVNVSINHVWCGHRGAVEDLVAKTRSLLDAHPNHPTLIFDTSFYGKNE >KQL23767 pep chromosome:Setaria_italica_v2.0:II:17870868:17872660:-1 gene:SETIT_029587mg transcript:KQL23767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSAATLIFLSLLSLPILVTLLSRKRTPSSKKRRPPGPWNVPLIGSLHHFLKSLPQVALRDLAKKYGPVMFLRMGQIDTVVISSPAAAQEVLREKDIIFASRPSIVASEIFCYGNLDIGFSPYGAYWRTLRKLCTVELLSAKMVRQLAPVRDNETLSLIRSIQAAGQGGKPVNLGSLLLSCSNMMTAKAAFGHVCSSELREQFLSGLDVGMQFSGGFTIGDLFPSLRFIDVISGRRRRMWRAHRQLDAVFDKIITQCEALRGDSLVSVLLRIRDEEELEFPMGTTNIKAIIMDMFTGGTETTSSAAEWVMSELMRNPEVMIKAQAEVRQVFDNKNPQDHEGMMDELHYTRMVIKESMRLNPVLPLMIPHLCRETCDIGGFEVKEGTRVMVNTWAMARNPEYWHDAEKFKPERFEDGTIDYKGSRIEYLPFGMGRRRCPGDTFGLVSLELIIARLLYYVDWSLPSGMHEIDMDMLVGATARRKNQLHLVASPYKVVPVQT >KQL23944 pep chromosome:Setaria_italica_v2.0:II:23301698:23302660:1 gene:SETIT_032996mg transcript:KQL23944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVAVAAPKKRAAVDGPCPAAAGGSRSSKKRPKYNFGSIYDYEKLGVLGKGTYGVVVRARHRRTGEVVAVKWVRATRGGGGDALRAAFREAACLAACRGAPSVLQIRDVATDAATGDLFLVTELVEGTTLRDRLNLAGRFPEPRARAAMRQLLRGAASVHATGTLHRDIKPENVLVGPGGALTICDFGMATPARPPYPEDPCAVGTLWYLAPEQLMGSRWYGTAVDVWALGCVMFELLAGEPLFVEVETDDDLLMDVLHLGHEIDSDGVAAFKGLPQDLSQAAGEVLCGLLCVDKDKRLTAEEALKHRWFTEEAEDA >KQL24576 pep chromosome:Setaria_italica_v2.0:II:31423744:31425272:1 gene:SETIT_0330111mg transcript:KQL24576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFDAAVADITITANRSQHVDFTLPYMTSGIAMVVPMRDQRSNRAWVFLKPLRYDLWLVSFVFLIFTGFVVWAVEHRVNREFRGPPSYQIGTLLYFGFSTLYFAHNKELKSNLSRFVVVVWVFVVLILQSSYTASLTSMLTVPQLEPAIGDYASLWHGAGRVGIMNNSFMRASMTRSGFPQSRLVPYRATQSFHEALLNGTIGAVVDEAPYLRLFLKAYCDNFTKTAQTNKTGGFGFAFPKGSPYVADLSRAILNLTESDEMSAIESKWFGDAEGCAAQGSQFTSDSLSFSSFWGLFLITGATSLLCCVVHLATFLVVQRRWIQELASASHLPWKDRFRMFLKRFDNKDLSSHTFRTKDGGGGSVAGRSANDAGASPAGVAHTAAGSPLSVSNHTYDMSEWSLGTPSPAPAATGEVELAAGGQAEEVDVAPDPDGISDQIGAGHQAGN >KQL22112 pep chromosome:Setaria_italica_v2.0:II:19872:21133:-1 gene:SETIT_032707mg transcript:KQL22112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAMVNTAGGKDYPGKLTLFVFFTCVVAATGGLIFGYDIGISGGVTSMDPFLEKFFPQVFHKKKEVKTNQYCKYDNQLLQTFTSSLYLAALVASFFAATVTRVMGRKWSMFGGGLTFLIGAALNGAAENVAMLIIGRILLGVGVGFANQVYC >KQL24276 pep chromosome:Setaria_italica_v2.0:II:28461851:28463156:1 gene:SETIT_030301mg transcript:KQL24276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAVAVGGGGGKGGTAPTDLLVCFPARQHLALMPKPICSPSRTTMDKAAAARRRQLQLPGGASAAAGGGKARGSSPMFRGSKARQRAEEDEEEPQSPKVTCAGQIKVGRPKKAKPGSAAAAKHGKDGAGAGTRSWITVVEEIERLHGRRKKVGWLETLGIRRDALPFLGGALRSLRHKVRCFGSLHGAAVDSTTDSDGDSGDAEEHGGEHRGAPSVFSKWLMVLEGGQEPLEQDCAGDHEGGDQEDDDEGQTDESANAPSAPPPNALLLMRCRSAPAKGLSMSRGETGGLLAGDVEQEKPTADAAPGDGETEDREELVFMSSAPGFLKLSLDIAKETWVVGGVDPLAKSRSSRR >KQL26004 pep chromosome:Setaria_italica_v2.0:II:41792172:41792413:-1 gene:SETIT_031931mg transcript:KQL26004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSACFYLELRPSLPAVHNYPSPGSGFLSVGHAGGKVVLYKLHHYQNA >KQL24115 pep chromosome:Setaria_italica_v2.0:II:26324014:26325461:-1 gene:SETIT_031748mg transcript:KQL24115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGAVGDRREASSRGEALPPLAASRTGCAWYRSLPAGLRICQQSPGTPWRRSTSGPCRLAWIESQTTAG >KQL23987 pep chromosome:Setaria_italica_v2.0:II:24133536:24135468:1 gene:SETIT_030950mg transcript:KQL23987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAISSLITPPALHLRCRSPASASVSVSAPARLSFRAAAAPQAWRRGLALRVAASSAVLEAPAEVAARKLYVGNIPRTVTNDELRDMFAAHGTVERAEVMYDKYTNRSRRFGFVTMSTVEEANAAIEALNETEVGGRKIKVNVTESFLPNIDRSAPEPEPVFVDSQYKVYVGNLAKTVTTEVLKNFFAEKGQILSATVSHIPGTSKSKGYGFVTFSSEEEVEAAVATFNNAELEGQPIRVNRA >KQL23071 pep chromosome:Setaria_italica_v2.0:II:8203668:8204797:-1 gene:SETIT_033046mg transcript:KQL23071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NREKQAYAILKDRTFGHTNAYDPELLEKIGMDIEFTSIWSAIGWDDFSPIGKLAVTSVLGEISGQMVSGKFTPRCNDIQNPTLPLMHKWLAVTLFLREDILSPNPGLRLYNCRLLTMPVLPQEEARKSNVSSGRMTRSMPRSAAIQQPPPSHPQPQPPVYLAQAGWPTAGCVPGHRASSSAWQNVDSDEWDKSIHRNWSTSSSSG >KQL24000 pep chromosome:Setaria_italica_v2.0:II:24316120:24317829:-1 gene:SETIT_0309842mg transcript:KQL24000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HDRPLSKAGRADAISVSNKLQQMGWIPELILCSDAMRTKETLKILQEHVQGLSQAVVHFIPSFYSIAAMDGQTAEHLQKAICEYSSDEILTVMCMGHNKGWEEAASMFSGDSVVLKTCNAALLEAAGKSWVEAFSLAGLGGWKLHGIVKP >KQL25128 pep chromosome:Setaria_italica_v2.0:II:36196179:36198024:1 gene:SETIT_031476mg transcript:KQL25128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAYPDADENLEAIITRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIRDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTGNTV >KQL25178 pep chromosome:Setaria_italica_v2.0:II:36463858:36469897:-1 gene:SETIT_028801mg transcript:KQL25178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADESRRGKDPAALNGGHLCHVCGYQYPNPHPSAKLRRSHRKHCKAPLPPPAAEAGEAVAEVVEEAVAGVAVAMGERKEGGAAARNAAERTPLGTCGGFGRLVLFGFDGSRCFGSLILMAVSARAAVGGGGGGQREGIGAREANGGGAAVRGFAGGVDRSVEDKVTAAFVSNNMNHFTFSFKITECVLIYLSIVLIEHASPGTGTQTELSENNCLINYSNNESAAAEDTGTQTITSGLSQNGLLNRSSKSIENVNEGNGTESQIACTNGSQTKVERPAEREDSFDDYQDASPFLHQPDSEDGAAPGSVFSTEINNLNTVSSESSVAANEISVETNGLCKAQFPGEPNMRDLSSDSNVGYNLEDGALRLAEPHVKLGSPYEHSVNVDNTYTDMVNSKPDKTGHSEMIGHLNASSLQEAHPLILEPESESTNSRKVEDFMEDGLHVSHTMSEASPIPDTVQLETITNPSTNTMPIGSDLKVVCTDNAPIDCSTELPTQNSTVEDISDDHEPVENSCKKSLECPTASFQYDLPVTNVDDIPTTNVNDLEFTFEERPLPNIIEENPSIEKTNGFTKEDVHNKQIDPEICAEDQLSLTQKHATLLKDQASSVKNPFNLDDDRNDDLFELPTDSCYLEVPNSVELRPQVDSTSLMVDQPTVSNLTRMAEAQQCRNSNECILSTSSAVENGEVIGPEDMPISTSSELVSKTCSTDHSLQEDGHKNGVIFVPSQTTSMEFSTVSMQVISAVSAEVEENMQAKDASAKEMIAVRSIDGMEQATSTTAKNGYAANVEEKKPTEGSAAEMNEVQHSDHADEEKQAGGTELSSVQSMGNLEENKQTDDTDAKEMNARFNGDDVEDKMQKTDGTSAEKMNAGGTDDSEVKMLAQGTTAKEVTAEQSTDTVEEKQQLQQQPNGIVGQEGNSTKQNEEIAAPGARLNSGRVHVPLKVLLAEASAENQVKKPSTKERVLSFRRRVSKDGNSSGSPKSGSDDHHWSSPVKLPRKDVDKSSKGRKQPWMPFICCHSVR >KQL26811 pep chromosome:Setaria_italica_v2.0:II:46428113:46428772:1 gene:SETIT_031339mg transcript:KQL26811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWFLFGLELRTDYRTRADAVRMCHDPLLLPSPVLLHSCHVARPGHHMLMLQLLDPSGAAMLLFQQAMNKQAPQVSPRSISSSPNNFFLLPLLIISSYSASLDSAFYHNSFTDQLPADGWLPFRNLSMSQQTHTTLPRHVSFSADFIQKGGKINLELRFRR >KQL22668 pep chromosome:Setaria_italica_v2.0:II:4306760:4308983:1 gene:SETIT_031802mg transcript:KQL22668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLDAGGLLWMFAYVPLGCSNNLRAWALRCESLIVSGTQLLTWDKYVLYGFVRCFFVF >KQL25678 pep chromosome:Setaria_italica_v2.0:II:39786412:39790155:1 gene:SETIT_030430mg transcript:KQL25678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLPDADAAAPLATAAPPQKRDEWSEGGIVRLLEAYEAKWLLRNRAKLKWSDWVDIAREVSAHCADDAAAAGKAPGGGGGNSAKTPNQCKNKIESMKKRYRAESAAVARAGPAAAGPSWRFFARMDGLLKGPAICSGQVPQAELGNSIDLRAPAKAEAEVVEADFAAQLPDAGPGAFSDLMNIDTNGAVPEKAEKVDNSVQKESRAADSDANVSSPRSKVANEDVEEVDKVRDRPKKRKSTEFDIAKSIELLASSYLKIEHARMEMYRETERMRAEAEIKKGEMELKRTEIMAKTHLQIAKLFAKRLKEGSGKTGGSSSVTAEVDTHTKKGENGSG >KQL25677 pep chromosome:Setaria_italica_v2.0:II:39786412:39788477:1 gene:SETIT_030430mg transcript:KQL25677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLPDADAAAPLATAAPPQKRDEWSEGGIVRLLEAYEAKWLLRNRAKLKWSDWVDIAREVSAHCADDAAAAGKAPGGGGGNSAKTPNQCKNKIESMKKRYRAESAAVARAGPAAAGPSWRFFARMDGLLKGPAICSGQVPQAELGNSIDLRAPAKAEAEVVEADFAAQLPDAGPGAFSDLMNIDTNGAVPEKAEKVDNSVQKESRAADSDANVSSPRSKVANEDVEEVDKVRDRPKKRKSTEFDIAKSIELLASSYLKIEHARMEMYRETERMRAEAEIKKGEMELKRTEIMAKTHLQIAKLFAKRLKEGSGKTGGSSSVTAEVDTHTKKGENG >KQL25188 pep chromosome:Setaria_italica_v2.0:II:36546069:36549405:1 gene:SETIT_0301421mg transcript:KQL25188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IWRLAQQVKVRQRVIATAVTYFRRVYTRKSMSEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCGSDDKYRFEIKDILEMEMKLLEALDYYLVVFHPYRPLLQLLQDAGITDLTQFAWGLVNDTYKMDLILIYAPYMIALACIYIASVLKDKDTTSWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGLPEDKISPVLNKLPAKS >KQL25189 pep chromosome:Setaria_italica_v2.0:II:36546069:36549405:1 gene:SETIT_0301421mg transcript:KQL25189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IWRLAQQVKVRQRVIATAVTYFRRVYTRKSMSEYDPRLVAPTCLYLASKVEESTVQARLLVFYIKKMCGTGSDDKYRFEIKDILEMEMKLLEALDYYLVVFHPYRPLLQLLQDAGITDLTQFAWGLVNDTYKMDLILIYAPYMIALACIYIASVLKDKDTTSWFEELRVDMNIVKNISMEILDFYDTYKIDPQRGLPEDKISPVLNKLPAKS >KQL22531 pep chromosome:Setaria_italica_v2.0:II:3359100:3360211:1 gene:SETIT_033525mg transcript:KQL22531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPKPQPALKNTQSSRARSCCRKLKACCCEHKLLPPMNKSSCRPPGYQITEIKQRPDDALDAQPTVGPDAYGHTSMC >KQL26587 pep chromosome:Setaria_italica_v2.0:II:45239971:45243072:1 gene:SETIT_030277mg transcript:KQL26587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASRAPTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPNKTIRVPEGFDYDLYNRNDITRMLGPKATCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAILTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVITDHLGLGVKTGLPYIWHSKASNPFVNLKKEYNGIFWQEELIPFFQSVSLPKEATTVQKCYLELAKQVRAKLGKVDGYFNKLADAMVTWIEAWDELNPPKGGVATANGPPKK >KQL23244 pep chromosome:Setaria_italica_v2.0:II:10108059:10115307:1 gene:SETIT_028688mg transcript:KQL23244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRWWWPNARPSMRAVAARLARVGRPGLAPSGAGGRPHRYAAFAASLFAFCCHAPSPHPATPPLFPCLNPPATFRSGGCGACVVLIAKYNPTTDEVTEFTASSCLTLLYSINFCSVLTTEGLGNTQDGFHAVQKRMSGFHASQCGFCTPGMCMSIFTSLINADKSKRPEPPKGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKRSDKNPDASELPSYTLGGGVCTFPDFLKSEIKSSLDHLNVACIPASREGWYHPRSIKEYYELIDSCLCSDSVKMVVGNTSTGVPGYKDQDLYNKYIDIGGIPELSNIVKRESGFEIGAATTISRTIEILMQECESISSPNGSVVFRKLADHMSKVATPFVRNTASIGGNIILAQKYPFPSDIATILLGAGTTVCLQDVAGQRQITLEEFLEQPPLDSTTLLLSIFIPHWVSDYQAETSLLFETYRAAPRPLGNAVSYVNCAFLGLSSVDERSDTLVFSNLRLAFGAYGTEHAIRAKKVEKFLTGKSLTASVVLRAVQLLRETIVPMEGTSHPEYRVSAAVGFLFSFLSPLAKGIPEPGKALTSGSSDSADTDDVRNLPLSSRREKISNNDSKPVGEPIKKYAVELQASGEAVYVDDIPAPKNCLYGEFIYSTQPLAYVKSIKFKSSLASEKIIDVVSAKDIPSGGENIGSSFIWGDEPLFGDPIAEYAGQALGVVIAETQRYADMAAKQVIIEYDTKGLSPPILTVEQAVENSSYFSVPPEYYPKEVGDVMRGMAEADHKIPSTEVKFASEYYFYMETQTALAIPDEDNTLVVYSSSQCPELAQTVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKARYSVGFKSDGKITALHLDLLINAGISEDASPSIPRVIISSVKKYNWGALSFDFKLCKTNNSSKSIMRAPGDTQGSLIADAIIEHVASVLSLDANSVREKNFHTYDTLQLFYPDSAGEASTYTLHSIFDRLVSTSSYLDRAESIKMFNSSNKWRKRGISCVPLIFRAEPRAAPGRVSVLNDGSIVVEIGGIEIGQGLWTKVQQTTAFALGKLWPDGVEGFLERVRVLQADTLNLIQGGLTAGSTSSESSCAATLQVCNMLVDRLKPVLDRLQQQSEDVSWDTLISQASKENVNLSASAYWVPGQDSNKYLNYGAGISEVEIDLLTGAITLLRGDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEYVTNSDGLMISNSTWDYKIPSVDIIPKQFNAEVLNTGYHKNRVLSSKGRHFSDFLNAT >KQL23245 pep chromosome:Setaria_italica_v2.0:II:10108059:10115904:1 gene:SETIT_028688mg transcript:KQL23245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRWWWPNARPSMRAVAARLARVGRPGLAPSGAGGRPHRYAAFAASLFAFCCHAPSPHPATPPLFPCLNPPATFRSGGCGACVVLIAKYNPTTDEVTEFTASSCLTLLYSINFCSVLTTEGLGNTQDGFHAVQKRMSGFHASQCGFCTPGMCMSIFTSLINADKSKRPEPPKGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKRSDKNPDASELPSYTLGGGVCTFPDFLKSEIKSSLDHLNVACIPASREGWYHPRSIKEYYELIDSCLCSDSVKMVVGNTSTGVPGYKDQDLYNKYIDIGGIPELSNIVKRESGFEIGAATTISRTIEILMQECESISSPNGSVVFRKLADHMSKVATPFVRNTASIGGNIILAQKYPFPSDIATILLGAGTTVCLQDVAGQRQITLEEFLEQPPLDSTTLLLSIFIPHWVSDYQAETSLLFETYRAAPRPLGNAVSYVNCAFLGLSSVDERSDTLVFSNLRLAFGAYGTEHAIRAKKVEKFLTGKSLTASVVLRAVQLLRETIVPMEGTSHPEYRVSAAVGFLFSFLSPLAKGIPEPGKALTSGSSDSADTDDVRNLPLSSRREKISNNDSKPVGEPIKKYAVELQASGEAVYVDDIPAPKNCLYGEFIYSTQPLAYVKSIKFKSSLASEKIIDVVSAKDIPSGGENIGSSFIWGDEPLFGDPIAEYAGQALGVVIAETQRYADMAAKQVIIEYDTKGLSPPILTVEQAVENSSYFSVPPEYYPKEVGDVMRGMAEADHKIPSTEVKFASEYYFYMETQTALAIPDEDNTLVVYSSSQCPELAQTVIARCLGIPFSNVRVITRRVGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKARYSVGFKSDGKITALHLDLLINAGISEDASPSIPRVIISSVKKYNWGALSFDFKLCKTNNSSKSIMRAPGDTQGSLIADAIIEHVASVLSLDANSVREKNFHTYDTLQLFYPDSAGEASTYTLHSIFDRLVSTSSYLDRAESIKMFNSSNKWRKRGISCVPLIFRAEPRAAPGRVSVLNDGSIVVEIGGIEIGQGLWTKVQQTTAFALGKLWPDGVEGFLERVRVLQADTLNLIQGGLTAGSTSSESSCAATLQVCNMLVDRLKPVLDRLQQQSEDVSWDTLISQASKENVNLSASAYWVPGQDSNKYLNYGAGISEVEIDLLTGAITLLRGDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFIYEEYVTNSDGLMISNSTWDYKIPSVDIIPKQFNAEVLNTGYHKNRVLSSKASGEPALIAASSVHCALREAIRAARREFANSTGSGSSPLEFQMDVPAPMTLVKELCGFDIVDKYLESLSNYERAAGA >KQL25150 pep chromosome:Setaria_italica_v2.0:II:36319263:36322272:-1 gene:SETIT_029947mg transcript:KQL25150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPRQVVAGFLTLSMFVMLGNMIKHDHFSSPGPQLGLEATGAEFNTMKLDDNAEMSNINTGGVENLMDADEEVKPCWTIPSPKTQPSNGYVTFSLTMGPEYHISQITDAVVVARYLGATLVLPDIRGNELGNKRKFQDMYNVDKFMRNLEGVVEVIEELPDEVSAKKPALIRVPNRVTESFITDTIQPIFQTNNYLRLAVIFSSVSLRPRETNNKDLDATACLAMFSGLELKHEYSEVARKMLDGLKELSKKSDGKVLAIDLRTDLLEKKACKTTRGARRKGCYNTDEVLGFLRSVGFSANTTIYLTETWWHKGLNDLKEEFPNTYTKDDIIPAENKGEFLKASNADLARALDLEICSQSDVFVPAIAGLFYGHVTGKRIASGRTQILVPSPSASTQASDFISTYISSKNHLAYKCYC >KQL22580 pep chromosome:Setaria_italica_v2.0:II:3667109:3668617:-1 gene:SETIT_032129mg transcript:KQL22580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPTDQIDPFGARACVHDRTRRRPSKKIPRRRHHHRAGGETSRQQRSSAATMCGAPFYPPPIATRFVYNSKTATADVMDLSSPAPSSSETGAPPYDTLPAFSMESSAPYAALPPPSRQQKLMMMAEAAWGLAAPRQRLPYAAAPARKRNQGSPTTATCGAVPVPPPIKLMAVSGPVSQDVMDAGSSAPSTPSDCSSTMETTPSPRGPLPAFPSSSDAPPCGLATARQIPAPRVEVRQVWAHNFDAEAKLIESLLPKFRYAAVDTEFPGTVYRPAGAAYMLTPERRYELLKQNVDALDLIQLGLTLFDSGGRLPSLGGGGGGPARCVWEFNFREFDVRRHRHALESIAMLRAKGVDFDRTRRHGVDAAAFGPRLRKWLRAGLGRAGIVTFSGGYDLAYLVKVIYGPGYELPGTAAQFEGVARSLLRRRLFDVKEMARLCPADLRGGLDSVAGKLNVGRAVGEAHQAGSDSLLTCHTFMKMRESYFDDDGKLAVVAGVLTDITAY >KQL24375 pep chromosome:Setaria_italica_v2.0:II:29305733:29306269:1 gene:SETIT_033535mg transcript:KQL24375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVQKVKCLLIMMTTWDLIGKMWLSIKLLICLRLLAHRKLSILIGKLVRNYQCSIMT >KQL25571 pep chromosome:Setaria_italica_v2.0:II:39099532:39099990:1 gene:SETIT_033136mg transcript:KQL25571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTKRIAQLAKKWQRMAALGRKQLTWRAAAMEADECCTSVASKGHCVVYTTDGVRFEVPLACLSTAVFAELLRMSQEEFGFGGDDGKITLSCDAAVMDYAMCLLRKGASAEVEKAFLSTMAMSCHYANHVVPYVAVCLVVHQ >KQL26038 pep chromosome:Setaria_italica_v2.0:II:41966711:41970312:1 gene:SETIT_028820mg transcript:KQL26038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPGLTVGGWFAGAVISNFVKKAQSILQDNHALQAEADDMLYSVQAALPRIRILVEVTERKAISNDSYDDWLQQFKDVVYEAEDLLDDFETKRIRDELRRKSSKVGSAASFAFRYMRKLVLSDADLRRLKDVLKKLDKIVNDDRGTRFPGKLELTDEQEVPPVRPLIVGRDKEKQDLLSLVCPAAPPSRDGVESSKQFSVIAVVGAAGVGKTTLAQVIYKNQNVKEAFALRGWVLASTRSREKRDIVKDIVDSFRMEQQNTLSSATENKRFFLVLDDVQDDLRKLWGSLRSILVGAANGSVVLLTTRSEEDVYNFRTTAHVSLDHLPLEFMCRVFEHHAFGSQEKGSLEPIGKKIVQKLHGIPLLAEVIGRLLRPNLDEGHWIKISRSHWWLYSEDDDIQNVALPSVTIVCEHLSDHLRKRLCYCSIFPSGYLFERNMLIQMWKANFMQQHDGIEMEKKENKWFDELFNRSFFQPTIWENKYVIPDMIKEPVYRIAEKECHAATDSRELKRSLQLYRHLAIDSSDFNMHLDLRKANKWRTILFFDGHRTNKLHEALANILCDPSALRLLDFSYSKAKLGKAPDFINKFPHLRFLDLSFTEITIIPDSLCKLRLLQVLGLRGCQLTGLPRAMNELVNLRFLYAEARTVSLIYKIGQLTNLQGLEEFPVSRIKGHGITELKDLNDLCGQLCISNLEEVTCTDIEGDVELSRKRHLKKLALKWGLEAGASTTKSDVCMRTLAGLKPNSNLEELKIQCYMGVGFPAWMADEQHFTKLRSIHLIKCKQLKTLPPLGQIPSLVILVLEGLSVLEQIGGEFYGTGYRVFPSLEKLKFLDMPNWRKWSDIEELQDSRAHPFPHLKKVQIKNCKVLSHMPSCCLQASLEELDLSECNEIFKCSPSYFGGLKSLLRLRIHNCLGRIHLPCNLLASLEVLNLQKCEVYFQGGIEQIIKLKRILTSDFCELNLDELKEIRKGQLVPEVFTF >KQL26390 pep chromosome:Setaria_italica_v2.0:II:44079129:44083281:1 gene:SETIT_030157mg transcript:KQL26390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAARSPLPVASLPARRSATAPRAAAAAGPHPLGFSRRFKPSTGGAPRSSLHIVASSSKVDPVEERTPVAPPAVVPVPADASPPGTSLETQPQVSTGTWKWRGYNIRYQHAGTSGPALVLIHGFGANSDHWRKNIPVLAMANRVYAIDLIGYGYSDKPNPREIGENFYTFETWGEQLNTFCAEVVKSEAFFICNSIGGLVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTIVGKLFFNAVATPESVKNILCQCYHDTSAVTDELVQFILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPDVGHCPQDEAPELVNPLVQSFVQRHS >KQL26388 pep chromosome:Setaria_italica_v2.0:II:44079129:44083281:1 gene:SETIT_030157mg transcript:KQL26388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAARSPLPVASLPARRSATAPRAAAAAGPHPLGFSRRFKPSTGGAPRSSLHIVASSSKVDPVEERTPVAPPAVVPVPADASPPGTSLETQPQVSTGTWKWRGYNIRYQHAGTSGPALVLIHGFGANSDHWRKNIPVLAMANRVYAIDLIGYGYSDKPNPREIGENFYTFETWGEQLNTFCAEVVKSEAFFICNSIGGLVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTIVGKLFFNAVATPESVKNILCQCYHDTSAVTDELVQFILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPDVGHCPQDEAPELVNPLVQSFVQRHS >KQL26389 pep chromosome:Setaria_italica_v2.0:II:44079129:44083281:1 gene:SETIT_030157mg transcript:KQL26389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAARSPLPVASLPARRSATAPRAAAAAGPHPLGFSRRFKPSTGGAPRSSLHIVASSSKVDPVEERTPVAPPAVVPVPADASPPGTSLETQPQVSTGTWKWRGYNIRYQHAGTSGPALVLIHGFGANSDHWRKNIPVLAMANRVYAIDLIGYGYSDKPNPREIGENFYTFETWGEQLNTFCAEVVKSEAFFICNSIGGLVGLQAAVMEPQKCKGIVLLDISLRMLHIKKQPWFGKPFIKSFQSLLRNTIVGKLFFNAVATPESVKNILCQCYHDTSAVTDELVQFILQPGLDPGAVDVFLEFICYSGGPLPEELLPLVKCPVLVAWGEKDPWEPVELGRAYASFDTVEDFVVLPDVGHCPQDEAPELVNPLVQSFVQRHS >KQL27191 pep chromosome:Setaria_italica_v2.0:II:48423236:48423869:-1 gene:SETIT_031170mg transcript:KQL27191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTNQGVVVVKPALAKGTPSASFRLRNGSLNAVRLRRVFDLFDRNGDGEITVDELAQALDALGLDADRASLAATVGAYVPKGAAGLRFEDFDTFHRALGDAFFGALADKDDAAEGGKGGEDEEEMREAFKVFDVDGDSFISAAELQEVLKKLGLPEASSMANVREMICNVDRDRDDRVDFSEFKCMMQGITVWGA >KQL22773 pep chromosome:Setaria_italica_v2.0:II:5191113:5192096:1 gene:SETIT_033239mg transcript:KQL22773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIGGFVVMAAFLFLILLHKEKRKMREFYQKNGGPILEKAKIIKLFKKKELKGILKSKNLIGKGCFGEVYKGILDNDLVAVKKPINGNVLENEQFANEVIIQSQVIHKNIVRLIGCCLEVDIPMLVYEFLPKGNLEDILHGNKNVVPLNMDVRLSIAAQSADGLAYMHSKTSNKILHGDVKPANILLDENFMPKISDFGISRLIARDKEHAENVIGDRSYMDPVYMQTGLLTEKSDVYSFGVVILELICRKKTTYSDNNNLVRNFLETQKEGRVAKLFDNEIALTSNLELLHSLARIAMECLNLDVDQRPSMSEVAERLLLLSRSHNP >KQL24183 pep chromosome:Setaria_italica_v2.0:II:27231842:27233604:1 gene:SETIT_030528mg transcript:KQL24183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSMESVDGNGGGLVVTELSHIKELVRQLEVHLGGSHDLCRHLTTQIFSITERSISIITSSSLDAGAGGRKRPASPLSATPTSDVTDGPFKNAKKRKVMEKRKHQVRVSSGSAGGGAAENDGHSWRKYGQKEILGAKNPRGYYRCTHRHSQGCLATKQVQRTDEDPTLFDVIYHGEHTCVQRPTAAAAGQPEHNPEANGLLQSLAAGLTVKTEGLPALAADPQGRGAAAPFYLSSSTPASGCLAAAERSPFSTSENWGVSPATSDSNNHVAASYLPFEDAEWRGHNELQEVVSALVAASALPPLPAVDGFDEFLDLDIASFLA >KQL25854 pep chromosome:Setaria_italica_v2.0:II:40961028:40965125:1 gene:SETIT_028719mg transcript:KQL25854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRWFLASAWFLVVLALLSCFAAADDGDVLLEVKRAFVGDLEGVLAGWNASGAGAGAAGFCSWAGVACDDAGLRVVSLNLSGAGLAGPVPRALARLDALQAIDLSSNALAGPIPAALGALASLQVLLLYSNQLTGEIPASLGKLAVLQVLRAGDNPGLSGAIPDALGELGNLTVLGLASCNLTGPIPAGLGRLAALTALNLQQNALSGPIPRGLAGLASLQVLALAGNQLTGAIPPELGRLTGLQKLNLGNNSLVGAIPPELGELGELQYLNLMNNRLSGRVPRALAKLSSVRMIDLSGNMLSGDLPADLGRLPELTFLVLSDNQLTGSVPGDLCGGAGASDEAESSSLEHLMLSTNNFTGEIPEGLSRCQALTQLDLANNSLSGAIPAALGELGNLTDLMLNNNSLSGELPPELFNLTELQTLALYHNKLTGRLPDAIGRLVNLEVLYLYENQFAGEIPESIGDCTSLQMIDIFGNRFNGSIPASMGNLSQLAFIDFRQNELSGSIPPELGECRQLQVLDLADNALSGPIPETFGKLRSLQQFMLYNNSLSGTIPDSMFECRNITRVNIAHNRLTGSLLPLCGTARLLSFDATNNSFHGGIPAQLGRSSSLQRVRLGSNMLSGPIPPSLGGIAALTLLDVSNNALTGSIPATLAQCKQLSLIVLSHNRLSGPVPDWLGSLPQLGELTLSNNEFAGPLPVQLSNCSKLLKLSLDNNQINGTVPPEIGSLVSLNVLNLAHNQLSGLIPTTIAKLNNLYELNLSQNFLSGPIPPDIGKLQELQSLLDLSSNNFSGHIPASLGSLSKLEDLNLSHNALVGAVPSQLAGMSSLVQLDLSSNQLEGRLGAEFGRWPQGAFADNVGLCGSPLRACSSGGGPSTLSSVTIALVSAAVTLSVVLLIIVLALMVVRRRGRRSREVNCTAFSSSSANTNRQLVVKGSARREFRWEAIMEATANLSDQFAIGSGGSGTVYRAELSTGETVAVKRIAHMDSDMLLHDKSFTREIKILGRVRHRHLVKLLGFITSHDAGAGGSMLVYEYMENGSLYDWLHGGVGGDGSRKKRVLGWDARLKVAAGLAQGVEYLHHDCVPRIVHRDIKSSNVLLDGDMEAHLGDFGLAKAVAENRQAAFGKDCTESASCFAGSYGYIAPECAYSLKATERSDVYSMGIVLMELVTGLLPTDKTFGGDMDMVRWVQSRMGAPLPAREQVFDPALKPLAPREESSMAEVLEVALRCTRTAPGERPTARQVSDLLLHVSLDYYRAGEKR >KQL24238 pep chromosome:Setaria_italica_v2.0:II:27999246:28001003:-1 gene:SETIT_029747mg transcript:KQL24238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSSTAAAAAAAMASLCTLPLPLLVALVVSGLAFLATVLRRVLRRQRPVYLLNYSCHLPDVDRQVNLEVCEYFGLKCRRYSDDIADFMRLIYSKSGLGQETFAPPFIFSGKFEKTLAFAVQEAEEGLFAVVGQLLAKADVTPADISVLVVACSMFSPMPSLASMIAHRFKMRPDVKAYSVAGMGCSAGTVGIDTAARSLRCQRRPGYALVVVTENTSLNWYFGENKHMLVTNCIFRVGTAAALVTDVPSRRADAKYELVRTLRTHHGADDAAFHAATQMEDEKGNLGVALTKDLVRVAGAALRRHITALGPRVLPVAEMLRYGWRVARAHAAGNSKAAAAAVPDFQRAFEHMCIHSGGKAVIDSVARLMGFGPEVVEPARATLHRFGNTSSSLVFYELAYFEAKRRVRAGDRLWMLAFGTGFKACSNVWRALRDCGPDADNPWNGCVHRYPMPLPPPSRTHKHAA >KQL22213 pep chromosome:Setaria_italica_v2.0:II:585924:588893:-1 gene:SETIT_031996mg transcript:KQL22213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVTTNPPHLLLLTILLLTTCGALGQQHPDGGCVPAERAALLSFKKGITIDITNRLASWHGRDCCKWTGVRCSSNETGRVLELRLRNEKTDLHRVPACEDDNALSGEISSSLLHLEQLEHMDLSGNCLVEYGKNIPSFLGSMKNLRYLNLSFIPSFGEVPPQLGNLSRLQYLDLGFNDPYSMKYSSDITWLKNLHSLQHLSMRHVNLSQISDWPQILNGIPSLRVIDFRDCSLESANQSLPHMNLTKLQKLDLSSNNFDHEISYCWFWKATSLKYLDISVHRFFGEFHDALENMSSLQVLDLSYSLHSRLLMKGLQNNLVMKGNYKNLCSLEILDLSDNGINGDINELMERLPQCTWDNLLELHLGDNNFTGTLPNLTGNFTNLIILDLSANNLIGSIPPELGYLSSLTALHLGNNHLNGTIPNKIGALTNLTSLDLSNNNLNGIITEEHFAGLISLKKLNLASNNLKVVVGAHWFPPFRLQNAHFASCPMGPLFPAWLQQQLEISELDLSSNALIDKIPNWFWQTFSLATDIDISNNQLSGTLPADLSGMSFLMLNLSSNQLTGKIPQFPRNITILDISRNSFSGPLPSIEAPQLKILLMFSNQIGGSIPESFCTLKELLDLDLSSNVLEGKIPHCFEFKDISFLQLSNNSLSGYFPAFLRKCTHLGFLDLGWNKFFGRLPDWIVEVNELQFLRLSHNIFSGNIPVEITYLNHLQYLDLSSNNISGVIPWHISNLTGMTKKGLQTPGLYAYPFGNETGYTTMVNQFEDVLSIITKGQQLKYGAGLAYYMGIDLSGNSLTGEIPSGITSLDALINLNLSSNYLSGKIPSKIGAMQSLESLDLSKNKIFGEIPVSLSNLTSLSYLNLSYNNLYGTIPRGRQLDTLNADNPSIMYIGNSGLCGPPLRKNCSGYDGYIHRDHRSNRQELDPMSFHLGAILGCVVGLWIVFCALLFKKTWRVSYFRLVDKLYDKVYVFAALSWASLSRNSDAE >KQL25785 pep chromosome:Setaria_italica_v2.0:II:40544543:40550068:1 gene:SETIT_030169mg transcript:KQL25785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECAAKGLAAEPCAGDLADRRCGSCGAVAYCSRAHQTIHWKVHKEECERFAEQMRRIDLLSQFPFTFLEHPALNHEFPSTRCFFFQSIELHQKGLWKPECICGTDVASAKDLSLAAEWNLQSSLCPCTEPENPVPAVLASWEDYYQWRSLPLHSPVSVLLHWPLTLYHCLQLSRLQTSRYDGQDTLCIHYLGPEKELLQLAAFGELRALFPGVQIHIELVGPEVPESRDGEVVNISRYACCSDESCCCKSSIGSEDLSGTAVRLKLWKGFYHERCGDIMKDSNPHLIVAPNAGVAAYPSWMPTIEIIRQTGIPAIFTDFCEEAAHLASCCISSMTGQPLKIPIQVNPFRQPVPADNSALYLPCYSNCFVFGMRKSTLFEPP >KQL25045 pep chromosome:Setaria_italica_v2.0:II:35568680:35571382:1 gene:SETIT_029614mg transcript:KQL25045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSPVPKDLNLPAAQPQPTRTPPPPQMSSPGLLRYRSAPSTLLGEVMCGDQEFPGAAGHGPDHAAADSVLARFLAGHHPEIPDCKPPRPVAAAHFMEDAASMAASQQQQQLMYQSQQQMAAMEGLYRSVSSGCTEPGATVGAGNSLLRQSSSPAGFLNHLNMDNGYGNMLRAGMAGAGGFRNGVSDARLKGQLSFSSRQGSVMSQISEVGSEELDGGSSPEAAGSNGAPRSYSGIPGGYPMGAWPDESSPTSSGAKRPRDSGGPAPQNGQRQPLAPQLSVPGGSNGTGKPASAEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKQTNTADMLDLAVDYIKDLQKQVKVLNDGRISCTCSAGKLLQNQFAS >KQL25046 pep chromosome:Setaria_italica_v2.0:II:35568680:35571382:1 gene:SETIT_029614mg transcript:KQL25046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSPVPKDLNLPAAQPQPTRTPPPPQMSSPGLLRYRSAPSTLLGEVMCGDQEFPGAAGHGPDHAAADSVLARFLAGHHPEIPDCKPPRPVAAAHFMEDAASMAASQQQQQLMYQSQQQMAAMEGLYRSVSSGCTEPGATVGAGNSLLRQSSSPAGFLNHLNMDNGYGNMLRAGMAGAGGFRNGVSDARLKGQLSFSSRQGSVMSQISEVGSEELDGGSSPEAAGSNGAPRSYSGIPGGYPMGAWPDESSPTSSGAKRPRDSGGPAPQNGQRQPLAPQLSVPGGSNGTGKPASAEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKVTKPNPKSQTPRKIDGTVNVTFCLQTTVLDELTTSYYAFGSLFMMNAANQHCRHVGSGRGLHQGSSEAGQGAERRPHQLHLLRRQAAAEPVRQLKRDTGLSGFSVRCSGRKGLAGGEASAPAARSSSSFRSYRCRLYLSAYMKR >KQL25044 pep chromosome:Setaria_italica_v2.0:II:35568928:35570758:1 gene:SETIT_029614mg transcript:KQL25044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSPVPKDLNLPAAQPQPTRTPPPPQMSSPGLLRYRSAPSTLLGEVMCGDQEFPGAAGHGPDHAAADSVLARFLAGHHPEIPDCKPPRPVAAAHFMEDAASMAASQQQQQLMYQSQQQMAAMEGLYRSVSSGCTEPGATVGAGNSLLRQSSSPAGFLNHLNMDNGYGNMLRAGMAGAGGFRNGVSDARLKGQLSFSSRQGSVMSQISEVGSEELDGGSSPEAAGSNGAPRSYSGIPGGYPMGAWPDESSPTSSGAKRPRDSGGPAPQNGQRQPLAPQLSVPGGSNGTGKPASAEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMEKLLCIWFFVHDECSKPTLPTCWIWPWTTSRIFRSRSRC >KQL26648 pep chromosome:Setaria_italica_v2.0:II:45560985:45561389:-1 gene:SETIT_033697mg transcript:KQL26648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLNLSPLACSGALFIKLNCCLLLASKITAIQILMLMLRNYVHS >KQL24907 pep chromosome:Setaria_italica_v2.0:II:34542082:34545749:-1 gene:SETIT_028965mg transcript:KQL24907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNPKECRKCNLTFHDQGAYMQHQLSFHQRKAKRRRVSKSSELGTYVDGNYETQQKTLGEGFGNSSHGVADVRYQGQSPAKLFDGTFSGQLGVQPSLKAAPLGFQEMTVLPPQLEKEPFAGEPVSMNNKDPPEEMSGFLEQERESAAGEPISRHGKDPQEMINFPEQEKEPAAREAVSGSTSAAELEKGPSAGGPTSGHHLDAVDNSDHRTHDETCDSAVASLSVDAESKLSTCNATNFHENDCSKDLELSNTDHSQKSNRSDETYGVPKEVSPAADDPVESKSTNDLMECTDITQTEQVSQPYDLLHGKFGSSEGNDFHNQLESNPLSGTRDEPDLNSIGMEVDDGNITCSVENPTSFKSERPLDDKIMECEMTSLKEDGIKSGVTIRNVNLNSCSDTISSPVSGGNYETSSTPDDAIRSSIIAQCFGTNSNDENAGKDGNFANQNSAGKGENFVSQENDIVYQSNLTMGPIPPAQINVDCFTSCSMTPEIKSYGNRGEDDGKEALVNSQNMASNETGFDVETYNSDIFNSTITESSLAQLNNAINMKNDFASCYSLSDLNTLTGGSATDEIDIHGMRNSFVSSTSRTDQNEHCTLDFDIKGSMLEALEKSDSDLDNQYNGAGPSCDSLPAAGTNGNIDDFMSMQTNFGSFTSLVRAVEDVPLSRILQDQCDLQLGFGGPKQPMYPSFEQQLRMASAGAPPYGNMGRHDTVPVPEPTLMLGYAPPLGSCPPPFQLGWGAPSYSKMVGVLQSVCVWCNSQFQHFGTIAEQQADSLGYICPSCKGKFSGHLGINGPSI >KQL25110 pep chromosome:Setaria_italica_v2.0:II:36067476:36069114:-1 gene:SETIT_032795mg transcript:KQL25110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSNKGKVCVTGASGFIASWLIKQLLESGYHVVGTVRDPGNRQKVAHLWKLPGAKERLQIVRADLLEEESFDEAVMSCDGVFHTASPVLAKSDSSSKEATLVPAVNGTLNVLRSCKKNPFLKRVVLTSSSSAVRIRDDAQPNISLDETIWSSVPLCEKMQLWYGLAKVFAEKAAWEFAKENGIDLVTVLPSFVIGPSLSHELCVTASDVLGLLQGDTARFSCYGRMGYVHIDDVASSHILVYETPEATGRYLCSSVVLDNDELVSFLAKRYPIFPIPRRLDKPYGKQTYQLNTSKLQGLGFKFRGLQEMFDDCVQSLKDQGHLLECPL >KQL23773 pep chromosome:Setaria_italica_v2.0:II:18410057:18411987:-1 gene:SETIT_032389mg transcript:KQL23773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQPVTGPCVSDGWRARVAAFLGREVEEQGTRTSGVLISWLREHFGQCPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWIHCLTDWHQAGFYSWGSAVLCFLYRQLCEACRRTSGSPSVGGCVYLLQLWMWSRLPVRRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSPYDGDDPLPFPLSFMCATDDDIYRMVCPLICFYAVKYHLPHRVARQFGMRQIWPPQATSTSIELHNVDRKKKRKVSEWAAFHHAYIQEWEQFEQNLDKNNEPHTNSAYRQYQSWYQGATRHRLREAWTEDDYADIHSSDDEDTVYDQSTRAGRQVEAGPILDRMGRTLQSSVRDIEHIRPRVRDPEIRSVLERLSNRLRSAAARCGCRTATTRDVHVPSLREGGVGTSSQGPSGSKSIASEEVDDDDDDDDDEQRAEEIGPSQLQEAPLTQPTQVVGGTRLRRPRSPYTPGTDALGHKGKGKTRRQ >KQL22566 pep chromosome:Setaria_italica_v2.0:II:3555648:3558587:1 gene:SETIT_030830mg transcript:KQL22566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPKGSKMLQFINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRRLPPSKSSKTTGDREERRTLGLLLLRGEEVVSMTVEGPPPPDESRAKAAAAGGALAGPGVGRAAGRGVPTGPLLQAAPGLAGPVRGVGGPAPGMMQPQISRPPMPNLSAGPVAYPQVVRPPQGMPPPPMRPGMPPQMQMQFQRPPGAPPGPFPGAPQQFMRGPPPMGPPQIRPGMPGPPPPGMRPGMPPPPFGQPRPGMPPPPPGPQQPGQNPQQ >KQL22495 pep chromosome:Setaria_italica_v2.0:II:3133971:3141237:1 gene:SETIT_030811mg transcript:KQL22495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTLSKYLRDENTLFPITNSIRTHRPSNYSEQITAKRKNDYKKIHLQATNGCYPLSLSLCISCGIRLLLPPQTSLNSTIDDDSAKDLAFVTAGRRFEIGEMSGQFASEHVCYVNCNYCNTILVVNVPNNCSYNIVTVKCGHCTMVLSMDLSPFHQARTVPESQVVPNRGFQYNNFGSYEAASRNLRTQSMYPMSNNQPQVPPVRPPEKRQRVPSAYNRFIKEEIQRIKTSNPEISHREAFSAAAKNWAHLPRLHFGLSVADGGGGSS >KQL25729 pep chromosome:Setaria_italica_v2.0:II:40042206:40043285:1 gene:SETIT_033396mg transcript:KQL25729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPMLRTHSPPAAAWHRPAPSPAIVSRRARIPLPRARPAAAAATETRTTAAAGTVEALLLLRESGLRAESLPRHVGVVIDGHERWARARGLSVSEGHAAGRRAVERTVRLSRAWGIRALTVFVCSHENMTRPKAEIDFLMRLYEGFIRDNVDEFSREGIRLHLIGDSPGRPASLLSAASEAHEATRSNSEMVLMLAIGYSGRRDMVQACRELAAEAQRNQLQPEDIDEALIAERLGTSVAAGGELSCPDLVIRTSGERRLSNFLLWQSAFSELFFPDVMWPDFGEDEYLGALRSYQSRERRFGQRK >KQL23707 pep chromosome:Setaria_italica_v2.0:II:16809749:16818280:1 gene:SETIT_029362mg transcript:KQL23707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCGLLAGARAAAFPSLPSSAALLRRRRCPPAVAVGPLPHAERWRRGLRFCCASSSSSSPPLPPAPPEEPEDYELLDTTGNCDPLCSVDEVSSQYLGENYKPKNDLLKAFTIFATALAGAAAINHSWVAANQDAAMVLVFAIGYAGIIFEESLAFNKSGVALLMAACLWVIRSIGAPSIDIAVEELNHTTTEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNISTRSPKTLVWVIGIVTFFVSAILDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQLTTLKIMQGLFIPSVVSLAVPLALMSLTSEANGSSQKSSSLSSEQMAPRGQLVLAVGVGALVFVPVFKSLTGLPPFMGMLLGLGILWILTDAIHYGDSERQRLKVPQALSRIDSQGILFFLGILLSVGSLESAGILRQLANYLDANIPNADLIASAIGVASALIDNVPLVAATMGMYDLTAYPQDSDFWQLIAFCAGTGGSMLIIGSAAGVAFMGMEKVDFFWYIRKVSGFALAGYAAGIISYLVGQNLNFSLPTSLAEIPFIPGS >KQL23185 pep chromosome:Setaria_italica_v2.0:II:9010680:9012414:1 gene:SETIT_032509mg transcript:KQL23185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFLQSSVGIIHKSHAESITSFVKDNVVRELKEESKVPDMQSTKNKRITFCVEGNISVGKSTFLQKIANETVELRDLVEIVPEPVSKWQDVGPDHFNILGAFYAEPQRYAYTFQNYVFVTRLMQEKESSGGIKPLRLVERSIFSDRMVFVRAVHEANWLNGMELSIYDSWFDPVLSSLPGLIPDGFIYLRATPDTCHKRMMLRSRSEEGNVTLQYLRDLHEKHECWLLPSQHKDHRLFSASQLPYSMDHSLHPDIKDSVFYLEGSHMHSSIQKVPALVLDCEPNIDFSRDVEAKRKYASQVAIFFEFVKKLKEASPAPIIDAKAKSPQILLPHSGGLLLRDGNHLSESGLKPLTL >KQL24935 pep chromosome:Setaria_italica_v2.0:II:34719683:34720963:-1 gene:SETIT_032556mg transcript:KQL24935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSQRGGGELILAAPHGRPITAYDALTGDVLAEFPAANTPRHGLAVATGPGGTAFVAASHVCPATGAGSIRLLQWWSPAPARELPVPELVAALVAAPYGTHLLAGGVSGCVHAIALPSGGVASSFRAHGGGAVSCLALSDDGSLLVSGGDDGGVAVFPLIRVLDADDASVASAADNVDHAIYRVAAYVAPVTSVVCGRGGCNAVVASASADGTCKVWRLADGAHLRTLALPCTALSLALDPTSSNLYAGGSEGRVHVASLNSPCTKAVTTATASHSSEGSTNAAALVAVALANGCRNLVSCSEDGEVRVWDLTPGLFLANAFWVSGAVSGALVVRRVPGELARGGGERFRLHDGMAWTRARELADMGQLLRAEEEEMTTSVEPIEMNAGVYRRCLRLLLRETTVVANGGRRDGAKDGSDVSG >KQL23203 pep chromosome:Setaria_italica_v2.0:II:9476831:9482169:-1 gene:SETIT_033289mg transcript:KQL23203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENIVSIYYGGTVERDEYGCVKFVGMQCEVVIFDEKPSFSELVARAREELHCHENDEITVEGILHLGSPLNIQRKMVPIWCAGQWEKYVRTVMNGHSPSVEVVVRPAFAEGRDDELLEAPEAGPSMVIEEGRDGVKDNFDLFQVAARQLEDYYFQRKLEQVRTATNAEGRQWLAGLMRDLDKWTRSHDAGGWRLVAWFNERHAKAEALQIAGERWAEKPKRHLIIANERASTHEVQCFDLGSGTYQVERRGGTTSDAARHRNFYIESMIPHEFSVDTLVQTWNPRFVPFRDPREWPPYDGPKYVADPAYCWNKRGTRKRTRHNMTVDQVSERTRRGRATPFLADPEQNECGKCGRLGHNSRTCRWQISEVLLLLRSRAHDGFLALQYDDRYTPLLQMAGLDVILYQVRRGMPKFNSAAITALVDRARVAAFLGREVEEQGTRTSGVLISWLREHFGQCPQDADAETVGHYCKAWILHLFACILFPDATGDTAWGSAVLCFLYRQLCEACRRTSGSSSVGGCVYLLQLWMWSRLPVGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSVNWQPYDGDDPLPFPLSFMCATDDDIYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSMELHNVDRKKKRKVSEWAAFHHAYIQEWDQFEENVDENNEPHTNSAYRQYQSWYQGATRHRLRAAWTEDDYADIHSSDDEDTVYDQSTRAGRQVEVGPILDRMGRTLQSSVRDIEQFRPRVRDPETRSFLERLSNRLRRAAARCGCRTATTRDVHIPSVREGGVGTSSQGPSGSKSIASDDDDDDDDDDEQRAEELGPSQLQEAPLTQPTQVVGGTRLRRPRSPYTPGTDALGHKGKGKTRRQ >KQL23980 pep chromosome:Setaria_italica_v2.0:II:23984481:23990120:-1 gene:SETIT_029962mg transcript:KQL23980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQEQEKEKAAAAAASSAPPKPANPKQGFTVAANKRPFELKKAGPVATGGKLAFSLKKAKVAIAPVFAPEDDDEEGAADVEREEPAKRQKSVKADTPAVAAPTGAVAPLPNDITVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEAAKNANIASSKALVGLHRSSFEQKSNYQTPASALYGAYEGSSSQGSSSYGDTSAPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGSPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPVMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >KQL23979 pep chromosome:Setaria_italica_v2.0:II:23983671:23990474:-1 gene:SETIT_029962mg transcript:KQL23979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGLFANDGSFMERFKQMQQEQEKEKAAAAAASSAPPKPANPKQGFTVAANKRPFELKKAGPVATGGKLAFSLKKAKVAIAPVFAPEDDDEEGAADVEREEPAKRQKSVKADTPAVAAPTGAVAPLPNDITVRQVADKLASFVAKNGRQFENITRQRNPGDTPFKFLFDKHCPDYKYYEFRLAEEEKILAQSKEAEAAKNANIASSKALVGLHRSSFEQKSNYQTPASALYGAYEGSSSQGSSSYGDTSAPSDPVALMEFYMKKAAQEERKRPPRQSKDEMPPPPSLQGSPKKGHHMGDFIPPEELEKFMARCNDAEAQKATKEAAEKAKIQADNIGHKLLSKMGWREGEGLGSERRGRADPVMAGDVKKDHLGVGAVQPGEVTSEDDIYEQYKKRMMLGYRYRPNPLNNPRKAYY >KQL24418 pep chromosome:Setaria_italica_v2.0:II:29872902:29873276:1 gene:SETIT_032926mg transcript:KQL24418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKRSSHHSFVAGDQFSKTSVFMARSPFVPMEAREQAGTGGGAEAGKGQAPHQAREEEQEAAVLLVHSQVRRIKREDEEIRERLLKLRLLETRPAGGFVCDPVAWRASRSLSPLRRAGNGIPVGD >KQL24830 pep chromosome:Setaria_italica_v2.0:II:33587946:33589082:1 gene:SETIT_032992mg transcript:KQL24830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKSKRQLAKLALLLLALLLLLAAAILLCVFLIPRHHRKPLPPVSPPGNASNPDDSVVAFDFSPYLIMYKSGRVHRLDGTARCSAGVDEDTGVTSKDVVIDGATGLAARMYLPPAPAGGAKGNKKDPGRLPVLVFYHGGAFVIESAFTPLYHAYLNAVAAKARVVAVSVEYRLAPEHRLPAAYDDSWRALNWVARNAASGPEPWLRDRGNLSRLFVAGDSAGANIAHNMAMRAGTEGGLDGGAAITGLLLLDPYFWGKEPVAGETTDKATRRQYEATWSFICGGRYGIDDPLVNPLSLPAAELRRLASSRVAVTSSGLDDFRPRDLAYAAALNDSGWGGKVEQYETPGERPKDPNSVKELAFVTGFLSRE >KQL25293 pep chromosome:Setaria_italica_v2.0:II:37143163:37147468:-1 gene:SETIT_032242mg transcript:KQL25293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YNYPFSVDLAWLSQLSSLKHLDMSFVNLKTAVDWVHEINRLPNLKELHIRYSGLTNTVPTLRQFHLTTLNVLDISRNIFNSPIASNWFWNASSLIYLDITFCQFYGAIPDEIGKTTSLEQVSFQQNNLMSTMIPSSFKNLCNLKVLVLENSKTAADIRELMESGELPNRPGPLTNLTHFILSANNLTGKIPSWIWELRKLIVLDLSQNKINGTVKDDHLNSLTDLKYLGFAYTLLQMKIRPNWIPPFKLVALLLQSLPLGPALPSWLKSQKGIKFLHMSNASITEIPDWFWVVFSRAKFLDLADNQISGTLPATLEFMAANNMVDLSSNNLSGEFPSVFKSCPYLIFVDLSHNQFSGNLPVWIGEKMAFLALLSLRSNMFNGPIPSEIATSKELQSLDLACNNLSGSIPDSLVNLSAMALIDPSRHWHSYRSKIFKLVLNRLCGEIPKDIGHLKQLESLDLSHNELSGEIPSSMSSLTSLSHMNLSYNNISGKIPTGNQFNTFDTSVYIGTIGLCGPPITGSCPGNSSGQDTHGNHRDLEDISLYLAMVIGFVLNLGMVFCVMLFKRSWRIAYFMFVDELHGKIYAAVVVRCAILKRKFVVAIS >KQL24253 pep chromosome:Setaria_italica_v2.0:II:28158899:28163879:1 gene:SETIT_028831mg transcript:KQL24253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLPPPAPSPKPPFPCASRPARSPLVRCTRTITETASVSSARASASSPSTSSPAASDGAVGGKGKKKRRPLKPSFEEQALRRWSARAPSQRASVPWEQPQQQSPSPPHRAGRESVGSGGQKTTDGGSSKTLRSIVEYFAGGSSGDDGEGGEREEKGAGNAAAVRAEAARDQEDGSHFRPSYLLGNKPVSAPWMHGEESSNDQWVSSSVAEGEEGVDMDDISDDELGLAEGDDEELDSAEDLLNGSSEEELYEDYAVQIANSSYGVDLVVDRGSNVGGFDRSMRRSSVNSIVKTLRSSMEESSPNVTIERSNAEDFVQKLGPVLLPWEREEEDDEVFGGGKAGRRSNTELAERTIPENELRRLRDAALRMKERIKVGSGGVTQDIVESIHRKWKVDEVVKMRFEGPPSLNMKRTHDLLEDRTGGIVIWRSGRSVVLYRGMNYNLQCVQSYAKSTQIDSDKEVADANSAIHGRHNLQKSRADGVKHSTSSGNFSLELEATEAFDIDSFLDQLGPRYKDWSGRSPIPVDADLLPGVVPGYKQPYRVLPYKIKSTLRDKEMTALRRLARQTAPHFALGRNREHQGLAAAMVKLWEKSAIAKIAIKRGVPNTCNDRMAEEIKKLTGGVLLSRNKEYIIFYRGNDFIAPKVRQVLVEKQEQAITQLDEEELARLKASASITTIPNELKGPLVAGTLAETTEAKSRWGHSLNDKQREEEMKYLALMKHASLLKSLKRKLILAKTKIAKAERALAKVQQFLSPAELPTDLETVTDEERFLFRRIGLKMRAFLMLGRRDVFDGTVQNMHLHWKHRELIKIIVRGKSFAQVKHIAISLEAESEGVLISVDKTTKGYAIIFYRGKNYRRPQIVKPRNLLTRRQALARSIELQRREALKHHISSLQGKIWKLNTQLVQMKEAMEKEDVKLLQTVEADLSSDDDDVEDEGEEAYLQTYSSDEEEDANSDPNEYL >KQL23045 pep chromosome:Setaria_italica_v2.0:II:7996488:7997066:-1 gene:SETIT_032058mg transcript:KQL23045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TARIPAMSAAAEEYPWRAQQVFGDAMAAQRSALVLASYPVLLLLVILAAFVRYLWVALAMYCALLFVLSCASRTFAARAASDDEEARLSRGGLSAAAIAAVAPAFPYEPAAAGAPVSDCAVCLEAMKAGEAARWLPACAHAFHVGCIDMWLDSHATCPVCRCHVVPQKKGGKEPPEGPVQQPSTEPPPLPPV >KQL23923 pep chromosome:Setaria_italica_v2.0:II:22944717:22947552:1 gene:SETIT_029853mg transcript:KQL23923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSCVPPSLPESAAASGLVLLDRWCYIADLPKNTTAASTTSSGLPIKVTFRAARPPLLSHFCVHCPGLDFRKIGPKIVATDADLVLLRVPIDPNSINRGLDWDYFVYRPRAQWLNLLPNPHPRVLDDSATALISREDGAWYVVAALGVRLPLYDGRALIRWDFDLHLYRSSDSKGWISKRLSVNEFERDKLIPLPRAVDRLYHETEKTITIGGEHGTVAWVDLWRGIFFCDVLKECPLLQDVPLPVPARGDWDRLFRHCNPSYLRDVTISRNKDLIKYVELEFLYREELNATPVSHTDWVCNNSRKSQVIRDGWKSTTWNMAIPVGLGEGWHRDCVIDVKDVNLEASDPCLSDLMAMLSSKTTRTWKELPVSCPILSMDDDVVYLLSQTRPRYMDKLAVIFAIDVRKAILRGLAELDVQKSTILFPNICTSEICRGT >KQL23924 pep chromosome:Setaria_italica_v2.0:II:22944717:22947552:1 gene:SETIT_029853mg transcript:KQL23924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSCVPPSLPESAAASGLVLLDRWCYIADLPKNTTAASTTSSGLPIKVTFRAARPPLLSHFCVHCPGLDFRKIGPKIVATDADLVLLRVPIDPNSINRGLDWDYFVYRPRAQWLNLLPNPHPRVLDDSATALISREDGAWYVVAALGVRLPLYDGRALIRWDFDLHLYRSSDSKGWISKRLSVNEFERDKLIPLPRAVDRLYHETEKTITIGGEHGTVAWVDLWRGIFFCDVLKECPLLQDVPLPVPARGDWDRLFRHCNPSYLRDVTISRNKDLIKYVELEFLYREELNATPVSHTDWVCNNSRKSQVIRDGWKSTTWNMAIPVGLGEGWHRDCVIDVKDVNLEASDPCLSDLMAMLSSKTTRTWKELPVSCPILSMDDDVVYLLSQTRPRYMDKLAVIFAIDVRKAILRGLAELDVQKSTILFPNICTSEICRGT >KQL25618 pep chromosome:Setaria_italica_v2.0:II:39413074:39420384:-1 gene:SETIT_033402mg transcript:KQL25618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIKRLPKSVHSSLRSSIVLSDLPRVVEELIYNSIDANASKIDIAINIRACYVKVEDDGCGITRDELVLLGEKYTTSKFHNVMGDGELSPRSFGLNGEALASLSDISVGSKCLHLGIDDKREIVGTTVVVRELFYNQPVRRKQIQSRYKRELHHVKKCVLQIALIHPQISLRLLDNDSEDELLYTAPSSSPLPLISKNFGDDVSRCLHEIAASDESWVLSGHVSGPSDVFHTKDWQTVLLFFEQTVTNYWKKHALQSPKADRVCAGDTCVPRKNDVKLNKGLLRHHNVQNNEEYADFQNTKQKNAVRDTNSDDMSATRAPKDSRCFSFDTEPSIQHVSFSGRITNSPWPNDNVVSIDYKLGYKVMHSPERLNYWWLEDGPSQLDDDVSSVNPTGWKRQRTEGIFHECAYSGNFGMLEDVPTEGFLAHKQKSELIGSEVEMQEPCFGSLNRPNKMSSELVQNQTNIKAHTSGWDGLYVEFDKSNGDCLVNEATDTITDISYPEMWKLNDGFYHDDGNTSRGFCRVLRKCSTNKKLGTAAGCIEGLETDTVSQINFPDIHAVWNSDLMDRSSIEDTFRHFPHLSSLADTPCSYARTGLTLDKKSDKNFGSWSCENIDSSIRIALDRFSNVSSITCEGAKHLDNFDYEIQPLNYFNNDCSSTDQFVSEDDLIMWKPKFDTRFSADISPERSDNGCHLNVPSSNMANDSTLTQDLLNQHNLGLDQRSRLSKGSRSRSHSAPPFYRGKQKFSRLNEPLSKLATDGDKGICINNPKDNASRPVDVLPMSSTQPVPATDGSEFPDLNFSSNGFVKMFKDACSDRLEDSAARITKWRDDSGQHTVKNCFEEARVLLQLDKKFIPVISGNTILLVDQDLAIYVLMSSFTIIQHAADERIRLEELRRKVLSEEGHVVTYLDSEEELCLPETGFQLFQKYAEQIQKWGWIISSDSNSSESFKKNMNILRRQTRLVTLVAVPCILGVNLTGKDLMEFIQQLDETDGSSAMPPAVLRILNFKACRGAIMFGDPLLPSECCLIIEELKATSLCFQCAHGRPTTVPIVNVASLHDELARHQMLSGRQAESWHGLAHQGPSLERAQMRLKQLRNLRRGL >KQL25359 pep chromosome:Setaria_italica_v2.0:II:37571474:37571875:-1 gene:SETIT_033722mg transcript:KQL25359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLMVCFFLLVYYIHRTEADIYIFHGCKKVARVLYHLFYGIVKSVCVG >KQL22275 pep chromosome:Setaria_italica_v2.0:II:1191104:1194313:1 gene:SETIT_029757mg transcript:KQL22275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRSILFFFCHATSVRAEAFRPTSHLFLGPSKTHPAQRGEDFVSPGRSAATSLSPAKSSLRSAGAPTAPQMDPAAALWAMDELVEHILLRCPADDPARLVRAALVCKRWCRILAGAGFRRRFRELHGSPPMLGFLHRSFPFPPRDGAQCGFASTTSFRATNADLGGRHALDSRHGRVLIGRLPKVGDHSDSRLAVWDPITGEQLELPEPPPCRGRPLLNWNAAVLCASSQDGACDHLDCHRGHFLVVVVGTNILVHFAIVYSSEDGAWSKPTYAFQPDDTIVHLEESEPSILAGNALYFISANETDLRSRILKYDLGTSMFDTIRLPPSTSRYRKSVMLTATENGGLGCGIVEHSRLCLWSRVAGRPNEHWVWAQTRVIELEKIIPDDDILMSYHLAGYAHGLDVLFIMRFDGLFSVDLKSGRMKRVCRREGIYGVVPYMSFCTPALRVISTGEGPSAGVSSA >KQL24972 pep chromosome:Setaria_italica_v2.0:II:35122244:35125751:1 gene:SETIT_029152mg transcript:KQL24972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVASAAFDREDAPNLVWPQIAASLAKDLTIERLGEHKNRVLSATDHWQAVEGASSRSRVPGKPDASVLREESETRNADEEDAPVIEGNERARLGQGGVIKQVVGSEASADGFGEPRDGKEAVLQNGEEVGIKLLHPIDAEHKEGSDEAGENIVSGMHTVGNLNSSSSETRDATTSRKHQTRVSNNNSARSTANRSAGRSTTSPDATIHIIKDQLTRAKTYLGFVASRGNHGFARELRARMRDIQQALGDATSDRQLPQNVHSKIRAMEQTLVKVRKIHDSCSGAVNRLRTVLHSTEQQLESNKRQANYLAQVAAKSLPKGLHCLTLRLTNEYYFTNPKNKDFPYAEKLEDPKLYHYALFSDNVLAAAVVVNSTLVHAKKPENHVFHIVTDRLNYAAMKMWFLANPLGKAAIQVQNIEEFTWLNSTYSPVLKQLESRFMIDYYFRNGHARPDENPKFRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDTVVQRDLTALWLVDLKGKVNGAVETCRETFHRFDKYLNFSNPLIAKNFDPHACGWAYGMNIFDLSEWRKQNITEVYHTWQKLNENRLLWKLGTLPAGLVTFWNRTFPLDRSWHQLGLGYNPNVNEKDIRRAAVIHYNGNLKPWLEIGLPKYRKYWSRHVDFDQVFLRECNINP >KQL24540 pep chromosome:Setaria_italica_v2.0:II:31157139:31159687:1 gene:SETIT_029685mg transcript:KQL24540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGACIAALLLLLLAATGGNGNGGVHCLEEGSRSRSRRALQGRHHLRSRAVGGATVLELRHHSFSSAPSKSREEEADALLSSDAARVASLQRRIESYRLIGNDAAAVAASKAQVPVTSGAKLRTLNYVATVGLGGGEATVIVDTASELTWVQCAPCESCHDQQDPLFDPTSSPSYAAVPCNSSSCDALRVAAGMSGPAAACGGDQPAACGYTLSYRDGSYSRGVLARDELSLAGEAIDGFVFGCGTSNQGAPFGGTSGLMGLGRSQLSLVSQTMDQFGGVFSYCLPLKESDSSGSLVLGDDSSVYRNSTPIVYASMVSDPLQGPFYFLNLTGITVGGQEVESSGFSAGKAPAFSILDTCFNMTGFREVQVPSLKLVFDGGVEVEVDSSGVLYFVSSDSSQVCLAMAALGSEYETSIIGNYQQKNLRVIFDTSASQVGFAQEACGYI >KQL24541 pep chromosome:Setaria_italica_v2.0:II:31157139:31159687:1 gene:SETIT_029685mg transcript:KQL24541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGACIAALLLLLLAATGGNGNGGVHCLEEGSRSRSRRALQGRHHLRSRAVGGATVLELRHHSFSSAPSKSREEEADALLSSDAARVASLQRRIESYRLIGNDAAAVAASKAQVPVTSGAKLRTLNYVATVGLGGGEATVIVDTASELTWVQCAPCESCHDQQDPLFDPTSSPSYAAVPCNSSSCDALRVAAGMSGPAAACGGDQPAACGYTLSYRDGSYSRGVLARDELSLAGEAIDGFVFGCGTSNQGAPFGGTSGLMGLGRSQLSLVSQTMDQFGGVFSYCLPLKESDSSGSLVLGDDSSVYRNSTPIVYASMVSDPLQGPFYFLNLTGITVGGQEVESSGFSAGKVIVDSGTVITSLVPSIYNAVKAEFLSQFAEYPQAPAFSILDTCFNMTGFREVQVPSLKLVFDGGVEVEVDSSGVLYFVSSDSSQVCLAMAALGSEYETSIIGNYQQKNLRVIFDTSASQVGFAQEACGYI >KQL23930 pep chromosome:Setaria_italica_v2.0:II:23179054:23180151:-1 gene:SETIT_031283mg transcript:KQL23930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDECLCSDFVWGCSLSLQQKIVLKLPLDDERKKRKAFKAAVGMNGVTSATMEGDKIIVVGDGVDPITLTTMLRRSLGYAELLSVSSGDDKKKGDGYGYGYGGVMMYGGGKEGKEGKEGKESGGKGGGGGYGQYQAMAPVSYPAYQQYNAMPSYPVYSYPAYPQQEQDPGCTIM >KQL23931 pep chromosome:Setaria_italica_v2.0:II:23179054:23180297:-1 gene:SETIT_031283mg transcript:KQL23931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKIVLKLPLDDERKKRKAFKAAVGMNGVTSATMEGDKIIVVGDGVDPITLTTMLRRSLGYAELLSVSSGDDKKKGDGYGYGYGGVMMYGGGKEGKEGKEGKESGGKGGGGGYGQYQAMAPVSYPAYQQYNAMPSYPVYSYPAYPQQEQDPGCTIM >KQL25036 pep chromosome:Setaria_italica_v2.0:II:35514618:35515940:1 gene:SETIT_032973mg transcript:KQL25036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTASSWGRPSYANPAGVGGARAPMGTTRRRVPVSPLPFPSPIKRPGASRPFLAPRRSSQPRPSIHPPDSQAPSRLPALAQSPPSEKQAPRPRRATAKEGMAQRDKKEEPTELRAPEITLCANNCGFPGNPATQNLCQSCFSAATASMSSPTSSSSSVPAPAAVAAQPRPALAGAPPVELVSPAGAAADWPAAAAPAPAPEAARASVNRCSSCRKRVGLTGFWCRCGELFCGAHRYSDRHGCSYDYKGAARDAIARENPVVRAAKIVRF >KQL25261 pep chromosome:Setaria_italica_v2.0:II:36943410:36950475:-1 gene:SETIT_028928mg transcript:KQL25261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSRLDDSPMFRKQMQSLEEGAELLRERCFKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVDIDLHEMKDARKRFDKASLLYDQAREKYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTSLSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGMNDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYSNQRTSTPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSSHHRTASESSSFSSSTELEHSISEDCMLEKNSGSGYFDHSARGTQHHRTSMMKPDKPIDLLRKVAGNGNCADCGAAEPDWASLNLGILLCIECSGVHRNMGVHISKVRSLTLDVRVWELSVINLFQSLGNTFANTVWEGMLPSSSCADHGDISRADELENTLHGFTLSKPKQSDPITVKEKFIHAKYAEKDFVRKHNVDEIQLAQLMWDNVSSNNKKGVYSLIVGSNADVNFSYGHTSFNSALTLGKALLLQEQPASPSNGSSRCFDRNPLEKDSSGDSISPASTSARIDELDDYVEGLSLLHLACRVADLGMVELLLQYGANVNSTDSRGRTPLHHCIMKGRPMYARLLLSRGADSQATDRDDRTALQYAMDGGAIDDEEILVLLEDPSR >KQL25259 pep chromosome:Setaria_italica_v2.0:II:36943410:36946912:-1 gene:SETIT_028928mg transcript:KQL25259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEFKRQIDRESRWSPNGMNDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYSNQRTSTPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSSHHRTASESSSFSSSTELEHSISEDCMLEKNSGSGYFDHSARGTQHHRTSMMKPDKPIDLLRKVAGNGNCADCGAAEPDWASLNLGILLCIECSGVHRNMGVHISKVRSLTLDVRVWELSVINLFQSLGNTFANTVWEGMLPSSSCADHGDISRADELENTLHGFTLSKPKQSDPITVKEKFIHAKYAEKDFVRKHNVDEIQLAQLMWDNVSSNNKKGVYSLIVGSNADVNFSYGHTSFNSALTLGKALLLQEQPASPSNGSSRCFDRNPLEKDSSGDSISPASTSARIDELDDYVEGLSLLHLACRVADLGMVELLLQYGANVNSTDSRGRTPLHHCIMKGRPMYARLLLSRGADSQATDRDDRTALQYAMDGGAIDDEEILVLLEDPSR >KQL25260 pep chromosome:Setaria_italica_v2.0:II:36943410:36949547:-1 gene:SETIT_028928mg transcript:KQL25260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLEEGAELLRERCFKFHKGCRKYTEGLGEAYDGDIAFASSLETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDKLLQFVDIDLHEMKDARKRFDKASLLYDQAREKYLSLKKGTRTDVATAVEDELHSARSSFEQARFNLVTSLSNIEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLAYAQQSRERSNYEQAALVERMQEFKRQIDRESRWSPNGMNDSPNGDGIQAIGRSSHKMIEAVMQSASKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNSRPSSGYSNQRTSTPSEHGSGLLSRWFSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESAMDQMDWIEKITGVIASLLSSQSPERRLLLSPKGSSHHRTASESSSFSSSTELEHSISEDCMLEKNSGSGYFDHSARGTQHHRTSMMKPDKPIDLLRKVAGNGNCADCGAAEPDWASLNLGILLCIECSGVHRNMGVHISKVRSLTLDVRVWELSVINLFQSLGNTFANTVWEGMLPSSSCADHGDISRADELENTLHGFTLSKPKQSDPITVKEKFIHAKYAEKDFVRKHNVDEIQLAQLMWDNVSSNNKKGVYSLIVGSNADVNFSYGHTSFNSALTLGKALLLQEQPASPSNGSSRCFDRNPLEKDSSGDSISPASTSARIDELDDYVEGLSLLHLACRVADLGMVELLLQYGANVNSTDSRGRTPLHHCIMKGRPMYARLLLSRGADSQATDRDDRTALQYAMDGGAIDDEEILVLLEDPSR >KQL26852 pep chromosome:Setaria_italica_v2.0:II:46620061:46620654:-1 gene:SETIT_032128mg transcript:KQL26852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLMPTCKLITVIPAILLLLGLMTGVAHGRRRLVSSHDGEPCLNMTVYYHDILYDGTNTANATAAAATQPTLLSRSVSINDTYFGEIVVFNDVVTAAPALASAPVARAEGFYFYDRKEALSAWFAFSLVFNSTAHRGTLNLMGADLMAEKTRDISVVGGTGDFFMARGVATLRTDTFQGLYYFRLQMDIKLYECYV >KQL25968 pep chromosome:Setaria_italica_v2.0:II:41654163:41656270:-1 gene:SETIT_031539mg transcript:KQL25968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHELEKRLSESARIRDKYPDRIPVIVEKAGKTDVPEIDKKKYLVPADLTVGQFIFVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >KQL25969 pep chromosome:Setaria_italica_v2.0:II:41654492:41656012:-1 gene:SETIT_031539mg transcript:KQL25969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASFKMEHELEKRLSESARIRDKYPDRIPVIVEKAGKTDVPEIDKKKYLVPADLTVGQFIFVVRKRIKLSPEKAIFVFVKNTLPPTASLMSAIYEENKDEDGFLYMTYSGENTFGSA >KQL22182 pep chromosome:Setaria_italica_v2.0:II:409290:410712:-1 gene:SETIT_033128mg transcript:KQL22182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAHVLLFPWPVQGHINPMLHLASALLDAGLRVTFLHTDHNLRRLALAGAPAPPHHPRLRVLSVPDGLPDDHPRSTKASSRGPPVTCVVADGTMPFAIAAAEEAGVPAPAFRTESACGFLCYLSVPRFLELGEIPAASDEPVRGVPGMEGFLRRRDLPRVVPSSDADPVPVLLAVAGTAARCAESRAVILNTAASLEAETVARIVQRVRGEVFAVGPLHASWPRPAGVEVEPAARDDDDGGCAAWLDGHADRSVVYLNLGSLTIISAEQLAELLRGLAVAGYPFLCVLRPDMVSDCGVGHESVVREAAAAAGEKVLVVEWAAHRDVHRALRHRALGCFVTQAGWNSLLEAAAEGMPTVCWPYFADQQTVSRFVGAVWKTGLDMKDVLDGGVVARMVREAMDDPEIRAAAQDMARQLRVDVAPGGSSASHLERLVGFITDLSVGQ >KQL25807 pep chromosome:Setaria_italica_v2.0:II:40658149:40659911:1 gene:SETIT_029804mg transcript:KQL25807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRSGRRLVPSRPSAAPQGGARRGCPRRRPEEGDGGGEDRLSGLPEELILEVLDRLGCAREAARTSGLSRRWRGLWTHLPVLTFDGMNPDSLGGALARVRPERNRLVIRIYPGATLSAARVSSLLNASARIAPKELVVELDRYSRGVRVKLPRLIQNLASALSEAGKFAALESLALVPCCVDPSDLLPLCPCLRRFEAQCHYNWTLDTLEVHSESLEELVLGIQHRSSMPQHVNIATPMLKKFMLRSYGFRFAMSFLAPKVEEFSLEMECGLSRVGFGEKWHLLWLSMATAWTNRYGQFPRVRVHTLSLTIAARDRYSAAAQSFAQEIARLPVSHFSVLELRLRTEGRGFGALFGECLKNFDCDQASNWRNEHISLPNLQVVEFEGFHGADHEVDFLKFLFQSAPMLKRLTIELSAEISPDIQGCQELCSILKANASVKCYVYDRSGQKISFA >KQL24345 pep chromosome:Setaria_italica_v2.0:II:29114549:29117776:-1 gene:SETIT_031661mg transcript:KQL24345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAFLSSLRSRLRSAPPPTPHHRLQPSRGYHVELGAREKALLEEDVALKRFKSYKNGVKQVSKIGNVLTLAVVLACSYEIAVVATSTK >KQL27211 pep chromosome:Setaria_italica_v2.0:II:48560826:48563027:-1 gene:SETIT_030029mg transcript:KQL27211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEYLDDDEFDDYNPHPYAGGYDISATYGSPLPPSPATCYPVSSPAAVPAPTAPQPRSPLPHQPSPRPQPPAPAQPRPSSPPPPVAEPYYWPKPYDYGDAPRYQPAYATPEVFRGWPFLPGAPCRSACGRDYWRQCMRGLDYLFGHSDGYGERRIGVDCLGVPVYANRKGGVEDAVVVEVAPPATGTVEWHDTSEEQYYQSNRLSWYGNTEEETYAYAQPTYPSYDSSYEQSYGVSDETTWFPNQSYQEVYNEEESQYQQEFFSYNEDSKISSQPIFSYNQHVGEEPLHFHVEPPETVSSHKLEYYENFSIYNSQNNVDNLESLGQLYEIQPYMHIPYDQLEPCTPSWSLNPGYYRACTEGMAMTPEYDNHTLASGDCWDMSSLFMPPFYPQDIQVYEQSHGDENV >KQL27209 pep chromosome:Setaria_italica_v2.0:II:48559202:48563027:-1 gene:SETIT_030029mg transcript:KQL27209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEYLDDDEFDDYNPHPYAGGYDISATYGSPLPPSPATCYPVSSPAAVPAPTAPQPRSPLPHQPSPRPQPPAPAQPRPSSPPPPVAEPYYWPKPYDYGDAPRYQPAYATPEVFRGWPFLPGAPCRSACGRDYWRQCMRGLDYLFGHSDGYGERRIGVDCLGVPVYANRKGGVEDAVVVEVAPPATGTVEWHDTSEEQYYQSNRLSWYGNTEEETYAYAQPTYPSYDSSYEQSYGVSDETTWFPNQSYQEVYNEEESQYQEFFSYNEDSKISSQPIFSYNQHVGEEPLHFHVEPPETVSSHKLEYYENFSIYNSQNNVDNLESLGQLYEIQPYMHIPYDQLEPCTPSWSLNPGYYRACTEGMAMTPEYDNHTLASGDCWDMSSLFMPPFYPQDIQVYEQSHGDENV >KQL27210 pep chromosome:Setaria_italica_v2.0:II:48560826:48563027:-1 gene:SETIT_030029mg transcript:KQL27210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEYLDDDEFDDYNPHPYAGGYDISATYGSPLPPSPATCYPVSSPAAVPAPTAPQPRSPLPHQPSPRPQPPAPAQPRPSSPPPPVAEPYYWPKPYDYGDAPRYQPAYATPEVFRGWPFLPGAPCRSACGRDYWRQCMRGLDYLFGHSDGYGERRIGVDCLGVPVYANRKGGVEDAVVVEVAPPATGTVEWHDTSEEQYYQSNRLSWYGNTEEETYAYAQPTYPSYDSSYEQSYGVSDETTWFPNQSYQEVYNEEESQYQQEFFSYNEDSKISSQPIFSYNQHVGEEPLHFHVEPPETVSSHKLEYYENFSIYNSQNNVDNLESLGQLYEIQPYMHIPYDQLEPCTPSWSLNPGYYRACTEGMAMTPEYDNHTLASGDCWDMSSLFMPPFYPQDIQVYEQSHGDENV >KQL22917 pep chromosome:Setaria_italica_v2.0:II:6338760:6340473:-1 gene:SETIT_029677mg transcript:KQL22917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRPLHVIVFPWLAFGHMIPFLELAKRLARRGHAVTFVSTPRNAARLGAVPPEPSVRLRVLTLNLPEVDGLPEGAESTADVPPEKVGLLKKAFDGLAAPFAELVAEACAAGDDNAAAAFSRKPDWIILDFAQHWIWPIAEEHEIACAVFLILPAALLAFIGTKHENEAHPRTTTEDYMVAPPWIPFSSTISYRRHEAEAVAAAFRPNDSGVSDMDRFWEMQQRPCCRLIVHRSCPEAEPRLFPLLTELFARPVIPAGLLLPDEAVADDDDGDAPGVDRSFSDAMHWLDEQPSRSVIYVALGSEAPVMAGHVRELALGLERSGAQFLWALRLPAGHSGSLLPDGFERRVAGRGVVWTGWVPQVRVLAHGAVGAFLTHCGWGSTVESLFRLGLPLVMLPFVADQGLIARAMAAHGVGVEVPRNDDDGSFRGDDVAATVRRVMAEEEGQELARNARELQKVVGDKVRQEQYVDELVECLHRSK >KQL24888 pep chromosome:Setaria_italica_v2.0:II:34397115:34400304:1 gene:SETIT_031945mg transcript:KQL24888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLLVALNCTLLALGGTGGQLLSRLYYINGGQRQWLSAGLQTGGWPLLLIPLAGSYASRRARDRGAPVLLSPPRFLLAAAGLGVITGVDDFLYAWGLEFLPVSTSAILISTQLVFTVLFSFLIVRHRLTAATVNAVALLTVGGVVLGLHVSSDRPEGVTRGQYWLGFVLTLGAAALGGVLMPLVELAYKCAAGGGRVLTYSVAMELQLVIGLVATAFFTAGMIVNKDFQAIPSEAKRFELGEARYYTVLVWAAVLWQFFFLGAVGVIFCVHTLLAGILIAVFIPVTEVAAVIFLHEKFSSEKGVALVLSLWGLASYSYGEWNEARAKKNTEAVAEAQAS >KQL23337 pep chromosome:Setaria_italica_v2.0:II:10990282:10991907:-1 gene:SETIT_033125mg transcript:KQL23337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREKLQVLHALDVAETQRYHVRAVVIAGTGFFADAYDLFCITLVTRLLGRIYYHVPGRGEPGRLPPRLEAAISGATFCGMVVGQLFFGWLGDRVGRKRFYGKTVMLMAMGSFLSGLSFGRTAGGVMATLCFFRFWLGVGIGGDYPLSATIMAEYASKRTRGTFVAAVFAMEGFGVLAGCIVTLAVSATFQARTGAPAYEEDPAASTPPQADYAWRIVLMAGAIPACLTYHWRMLMPETARYTALVARDAGKAARDMSRVLEVDITAGEPGEMEGFTRGRDDYGVLSRRFALRHGLHLLGAAACWFVLDVVVYSQNILQEKIFSDAKWVPKARTMSALEEAYRIGRAHAIIALCGTLPGYWFAVAFVDVVGRKAIQFLGFAMMMSFMLAIAALYDGLTASPGRRTWLVVMYAVTFFFANFGPNSTTFIVPAEIFPAHLRATCHGMSAAAGKVGAIFATFGFMYAAQMADGSEAAETGYPLGIGARTSLFVLGASNVLGILFTCFLPEPKGRSLEEVSGDGSESMDKDDADVDVGDSQILPL >KQL22465 pep chromosome:Setaria_italica_v2.0:II:2819531:2820100:-1 gene:SETIT_032168mg transcript:KQL22465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQEPSSPPLQGAGLHLSLAVTPAAGGRRDELDEVAAAPTAYIAGKRVRLFPCLFCKKKFLKSQALGGQQNAHKKDRAAGGWNPYVYGHHEAAAAAAPPDALGGVAAAALSAVPIASHGEPLADVKLEVPDGGSPLFADHVLLPRAAAADPSAGVGMLNWRRTSRVSAPPESTAPSSSGYELDLELRL >KQL23777 pep chromosome:Setaria_italica_v2.0:II:18477630:18484798:1 gene:SETIT_028789mg transcript:KQL23777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTVPMDSATSASSSRDLAERLFNKNNELEDQLRKSVQSKLPSDPNTWIQMRDNFEKIILTDNDFSEQNEVEYLLWQLHYKRIEDFRRSISAASSAASQSGKNNANPDRVKRIKSAFKSFLSEATGFYHDLMLKIKSNCGLPLGYFPEGFENASNSDKKTAQLRKGLISCHRCLIYLGDLARYKSLHGDGDSASREYAAASSYYKEAASIYPSSGNPHHQLAILASYSGNEVVAVYRYFRSLAADTPFSTARDNLIILFEKNRQSYGQLPDNNKVPIAKILPPRSSGRGRGRGEVRFQPKDVNTETVARERECNIPDTLKTFYIRFVRLNGILFTRTSLETFGELFSSVSNDLQILLSSGLEEELNFGSDAAENALSVVRLTAILIFTVHNVKKEPDSQSYAEIVQRRVLLQSAFTAAFEFVGRILRRCSELRDVASSFYLPAILVYIEWLASHPELAVDSEMEEKHANARSFFWNQCIAFMNKLVLTNLAAVDGDDDEACFSNMSMYEEGETGNRLALWEDLELRGFLPLVPAHIILDFSSKHAFGNVGSTKEKKARVQRIFAAGKSLLNFVQIDQLRIFFDPSSKKFVMAKNPPVSKVDAPLHESPDVLKTNAIEMEHEAARRFDSVSGMSAIQSKVQLCPEGDDDEEIVFKPTASEKFPKAPSDLSVNGYTHPVPVSAPGWPTNANLVSVQSTASMSAAGNYNNTASVSVAGNYNINQSLPISSIGWAVNGEQKVIPSAASRFELMQPVEVPASSWTSNGTQHVGPQNTISACPDVASDLRVSASMVPHFNSPDYSKLLSEQEMLLMNGLKNINITGNGYLEQRLQAGLSGLQFMGYLPQIPVESGGNITNLIHNHMKITGETIPSTFDSVVPSIAPSGGVPLKFTETPLAASKKNPVSRPSKPVGPPPGFNHVTPKRQDDSISVEKLQSPQVDDYSWLDGYQPSMDHVHNLRAVYPGVSASSTAFTTTFPFAGKQQVSGVHPQGAIEQTWQDFHLFEPAKQNMFQNFPQRSQQSGQMAEQEPTNSIWSNSYHV >KQL23520 pep chromosome:Setaria_italica_v2.0:II:14498427:14500259:1 gene:SETIT_029902mg transcript:KQL23520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPLLRLLLPLLLLLLPPPLREYFSASHRPKDAGFSGELHPVVLVPGQSCSDLEARLTEAYKPSAPRCGAMKGNGWFGLWKNVSDLAANDYVDCFVEQMRLVYDPAINDYRNLPGVETRVPNFGSARGFHCKDPLHPKQCVDYVREGLERVGYRDGDTLFGAPYDWRYAPPVPGQQSQVYSRYFRQLKSLVETASKKHHKKVIIFGHSYGGMVVLDFVRNTPLAWRNEYIKHLILVAPVLSLGILIQAQLIAFGPNMKFVGATQSSLRTMWRSFETGIVDLPSPKVFGHMPLVITEQRNYSAYDMEDFLVAIGFGDSVEPFRRRMVPKMRYFKVPMVPLTCINGVGIRTAKQLVYWKSDYDRSPEIAYGDGDGAVNLISMLAFDKEMRRQPAQKKQFKSVKIHGADHCGLVTEEWAVKRVIQEFLEANRISS >KQL24763 pep chromosome:Setaria_italica_v2.0:II:32906063:32906679:1 gene:SETIT_033629mg transcript:KQL24763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWAPTSLTCFRKSGVDEKCRRWLNRGTPIGRNGGSGGGSVPRSWSIFLP >KQL26842 pep chromosome:Setaria_italica_v2.0:II:46587876:46589219:1 gene:SETIT_033428mg transcript:KQL26842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGVAAWPVGADMSTLHRPFYYCNCLARFIHYVVFKNIMHHVTLVI >KQL22904 pep chromosome:Setaria_italica_v2.0:II:6247685:6250928:-1 gene:SETIT_032489mg transcript:KQL22904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAIVSAATGAMSSVLAKLAELLHEKYKLAKGVRKDIEFLRSELSVMNDLLYVMADIQELDALNKGWRDRVRELAYDVEDCIDLSVARFCHAGGDASKGGFIGTKQLARKLKKIRVSFQIAHQIQELKARVIEESDRQKRYKLDGLIGSSSDPSRNKVDLRMCALWEETKNLVGLDGPMDEIIRLLMPREGEVPSQQVRTLSIVGCAGLGKTTLANQVYQKIQGHFECKAFISVSQNPNIKDILMKICSQVGATPSMADDEVLLVNKLRERLQYKRYIVVVDDIWHSDPWKIIGQALVRTSLGSIIIVTTRLKDVAESCCFSHGGRVYDMRPLDDNDSRRLFFKRIFDSEDKCPHELERASEDILEKCDGIPLAIISISSFLAVDVPQSADHWNKVKESISSPLTGNKAVETMQSVLSLSYFNLPHHLRTCLLDLSAFPEDCIIESDRLIGKWIAEGFVNAEPGESLYEAGLRYFNDLINRSLIQPLHEVRGVVVSCRVHDVILNFLVSKSIEENFLTLSDPSGLPTSLHSKVRRLSLHNSYQENVVSWIKYIKPYVQSLACFVDCKELFSLMEFEVVRVLDLEDRLPLTNAHLANVEVLLQLRYLNIKGTGVSELPAGIGKVQNLETLDISLTQVEKLPSTIVHLEKLARLFVSPKVKFPAEGFSKMKRLEQLTLLSIHRQPLSFLKELGQLTNLRMFEAICDDNYEGSAWEILTSSLRALCSHKLVGVDINMRIGSNAIPMDSSFPALQSLQTFMGLLVNLEVLQLETKRFTPEDLRVLGGMPALENLILYLADTHAGPFTIRGHEFQRLKSFRVGELNQILFMPGGMPNLKHLKIRLAFTTNSYSDLGIQHLASLIMVDVGINAWCDHRGAVEDVEAKTRSLLDTHPNRPTLIFNTNFLDDCYFTKRVGKISYFTKRVGKINDEN >KQL23017 pep chromosome:Setaria_italica_v2.0:II:7387849:7392428:1 gene:SETIT_030906mg transcript:KQL23017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCCPPLLLGVGPRLRSFLRDYDALQSLALALIYLQIGCALIGSLGALFNGVLVINLVIGLFAVVAIESSSQRLGRTYAVLLFFAVVLDVAWFILFSHAIWTITPDEKYGELFVFSLRLALWMQIIGFSVRFLSSFIWIQMYRLGASSSTPTYFDANHEVRNSFLSPRSDSVRRSSMADDILGGSIYDPSYYSSLFEDGRNNACNHQGDKQSGSNDSGSTSAGQSPRLKSFSCRSLLTNDVESGLRRPLNP >KQL24603 pep chromosome:Setaria_italica_v2.0:II:31717381:31721774:-1 gene:SETIT_029019mg transcript:KQL24603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLACFYKSVSYHFSSLCFLTSKQVVKCHTFVICCLKENQFCCLCSFANGDIILFQGIPYVIYWRNSFSSYAASHFRHALMSVIQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINISAPETETVDEEGSSEVTPAIKIYDEEITMKLLLCGVPCTLDPCLLGSLEDGLNALLNIEIRGSKLQNRISASPPPLEAASLPRGMVTMRCDISTCSSSHVSLLVSGSAQTCFDDQLLESHIKNEIIEKSQLVRALSNNEDKLSSSEPLTSMSTACGASTFEVWMTLPKWAAQVLKHLAPEISYRSLVALGIGCINGTPVASFERRDADRLLFFCSSQSKDLANENGPYFHLPRWSASLTKDRTKVASESRPNLLGANGVLEDKKHLTEGPSSFPSSQAKLKPATMRPIPHSRKQQMHPFMGLPETGLHDTSLVKPSLPAAPPVKHSLVSSAPTTHRKSTSGPSHTPSVIQLNPLPMKKHGCDRLPIQVCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPDAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTATNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPKVANGFANTASVSRNV >KQL24604 pep chromosome:Setaria_italica_v2.0:II:31717381:31723523:-1 gene:SETIT_029019mg transcript:KQL24604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIQSFSRSNCVLLAVLCGKHAEKRVTAAKSGPEAKRLRPSYPFPELSSVGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGEQLEDEKEIGSLVWGDADVSDPQAFSTLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVIQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINISAPETETVDEEGSSEVTPAIKIYDEEITMKLLLCGVPCTLDPCLLGSLEDGLNALLNIEIRGSKLQNRISASPPPLEAASLPRGMVTMRCDISTCSSSHVSLLVSGSAQTCFDDQLLESHIKNEIIEKSQLVRALSNNEDKLSSSEPLTSMSTACGASTFEVWMTLPKWAAQVLKHLAPEISYRSLVALGIGCINGTPVASFERRDADRLLFFCSSQSKDLANENGPYFHLPRWSASLTKDRTKVASESRPNLLGANGVLEDKKHLTEGPSSFPSSQAKLKPATMRPIPHSRKQQMHPFMGLPETGLHDTSLVKPSLPAAPPVKHSLVSSAPTTHRKSTSGPSHTPSVIQLNPLPMKKHGCDRLPIQVCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPDAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTATNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLANGFANTASVSRNV >KQL24605 pep chromosome:Setaria_italica_v2.0:II:31717729:31723328:-1 gene:SETIT_029019mg transcript:KQL24605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIQSFSRSNCVLLAVLCGKHAEKRVTAAKSGPEAKRLRPSYPFPELSSVGRLEVHTLFNPTPEQFLEAQRVVQPNFLYIQGEQLEDEKEIGSLVWGDADVSDPQAFSTLISPPFPTIVYLEVPIGEKLAQAVHSKGIPYVIYWRNSFSSYAASHFRHALMSVIQSSVSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINISAPETETVDEEGSSEVTPAIKIYDEEITMKLLLCGVPCTLDPCLLGSLEDGLNALLNIEIRGSKLQNRISASPPPLEAASLPRGMVTMRCDISTCSSSHVSLLVSGSAQTCFDDQLLESHIKNEIIEKSQLVRALSNNEDKLSSSEPLTSMSTACGASTFEVWMTLPKWAAQVLKHLAPEISYRSLVALGIGCINGTPVASFERRDADRLLFFCSSQSKDLANENGPYFHLPRWSASLTKDRTKVASESRPNLLGANGVLEDKKHLTEGPSSFPSSQAKLKPATMRPIPHSRKQQMHPFMGLPETGLHDTSLVKPSLPAAPPVKHSLVSSAPTTHRKSTSGPSHTPSVIQLNPLPMKKHGCDRLPIQVCSEEDFLKDVMQFLIQRGHNRLVPHGGLAEFPDAILNAKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMRNHTATNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAPGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPHCSLANYKKKPPPPKVANGFANTASVSRNV >KQL26715 pep chromosome:Setaria_italica_v2.0:II:45914605:45915113:-1 gene:SETIT_031948mg transcript:KQL26715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSGRRRLGTQPPRGAGRNCRPRRGRSRDGGAVDRISELSDDMLIQILARLRCPRAAARTSVLSRRWRDRGLWKHLPELSFRGIAYTLSKPPSPSLVPAGAFASLLRTTVSLDPVELSIAIRWVNPMPIQVPSFSRATSITLNVTYLHLAR >KQL23091 pep chromosome:Setaria_italica_v2.0:II:8325578:8329893:-1 gene:SETIT_030831mg transcript:KQL23091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAPPPPPATARSLETGTKLHISNLDAGVTVEDVQELFSEVGELKRYSMNYDKDGISKVGTAEVVFARKVDALDAIKKYNGVLLDGKPMNIDLIGSNAERPSMPPLIQNRPLQNYNDIHSSVPQNQRGGQRKAPQGNGRGGGSIQNSGGRGQGKGRGQDRNRTPLSAADLDAELDNYHASAVKEK >KQL23090 pep chromosome:Setaria_italica_v2.0:II:8325578:8329893:-1 gene:SETIT_030831mg transcript:KQL23090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGLDMSLDDLIKQSKSKPKSNHASSSGPARRVPPATRAAPYPPAATKAHRAAAESPYGVYSEHIAAMAAVAPPPPPATARSLETGTKLHISNLDAGVTVEDVQLINCVVLFHQELFSEVGELKRYSMNYDKDGISKGTAEVVFARKVDALDAIKKYNGVLLDGKPMNIDLIGSNAERPSMPPLIQNRPLQNYNDIHSSVPQNQRGGQRKAPQGNGRGGGSIQNSGGRGQGKGRGQDRNRTPLSAADLDAELDNYHASAVKEK >KQL25458 pep chromosome:Setaria_italica_v2.0:II:38323905:38325047:-1 gene:SETIT_033782mg transcript:KQL25458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQKEEKGLIGVLPVRDSEAGAVGSLLSAGVGSDSGEGGSKAGGSAGESSKQDTKNGKEPIHWHSKCVTSASLLI >KQL22723 pep chromosome:Setaria_italica_v2.0:II:4786032:4787238:1 gene:SETIT_030675mg transcript:KQL22723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASRRRLAASLLALAAVLLAGPAAATGKTGQVTVFWGQNKAEGSLREACDTGTYTFVIISFLNVFGHGKASLDLSGHPIGPIGADVKYCQSKSILVFLSIGGLGTQYSLPSVQAATDLADYLWFAFLAGHRKGVHRPFGDAAELDGIDLFIDQGPPDYYDVLARRLWSYNKDFRGRTPAQLSATPRCRYPDPRLERALATGVVTRINVRFYGADGYCAAYWQLEWDKWTAAYPNSMIYVGLPASEQTVGYVHPKNLYYGVVPVVQKAANYGGIMIWERYADKQSNYSSYAIQWA >KQL23483 pep chromosome:Setaria_italica_v2.0:II:14066497:14067663:1 gene:SETIT_032467mg transcript:KQL23483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRISVRIIAAPAAALIFLALILVAGAVGTLHHNGNITSTHGVVVNDRAMRPLPRKMHAVEAVQPKKEPISNSHDASQGGEPLPRGLAHDTTNLEMEASLAGNPERKHEAAAKPKSLLAIPVGIKNKAVVDRLVSRFPADDFTVMLFHYDGAVEQWGDMEWSDRAMHVSAKGQTKWWFAKRFLHPDVVAEYDYVFVWDEDIEVDAFDPVRYLDVVRREGLEVSQPALDRRSEIHHSITARALIQTEDGVHRRVTNSRCDGDSKAPPCAGWVEVMVPVFSRAAWRCAWRMVQNDLIHGWGLDYKLGYCAQGDRAVNVGVVDSEYVLHRGVPMLSDGSGKATPSAGRAAVRLRSFREMQIFNRRWEQAAAEDGSWMDPYAAQPATASSR >KQL26272 pep chromosome:Setaria_italica_v2.0:II:43449732:43453740:1 gene:SETIT_029886mg transcript:KQL26272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVAAMALAGARPTAHAPSSAADTERRAWRTRLSLNRRGRGTPGLIARASHRPPCAAEGVIQWLRSAAAALAIAAQISVSLPADAVLYSPDTKIPRTGELALRKAIPANPNMKIIQESLEDISYLLRIPQRKPYGTMEGDVKKAMKIAMDNKEAILGSIPAERKEDGAKLYTSLLEEKGGLLTLLKYIKENNPDKLSIALASSLDTIAELELLQAPGLSFLLPQQYLEYPRLTGRGVVEFTVEKGDGSTFFPTGGGEPKSTATIQVVIDGYSAPLTAGNFAKLVLDGAYDGVTLKCASQAISADNETGKKGYTVPLEVMPAGQFEPLYRTPLSIQDGELPVLPMSVYGAVAMAHSVDSDEYSSPTQFFFYLYDKRNSGLGGISFDEGQFSVFGYTTDGRDVLTQIKTGDKIRSAKLVQGRERLVLPSAAPEES >KQL23794 pep chromosome:Setaria_italica_v2.0:II:19909313:19923580:-1 gene:SETIT_028793mg transcript:KQL23794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAVEGNGFVHGEEEEEEGDRPIRYLPLGHVYSSSGPAPCPPAPKKPRVDDGKPPLKVYYRRRHKKPRVEEPPPPLSPATAPPPPLVQDEDAGPSRRKGSLKHELLSLGSAPLALDGDGEGGEPSRRQGRMRRGGGAEKTVCFSGHERRRPGRPKGSVGRRWVELDIQGADPNAFVGLACKVFWPLDDNWYKGSITVYNEATKKHSVKYDDGEAEDLSLADERIKFSISSEEMRSLNVNIGISNQDKKGHDELLALAVSFHDYQGLDSGDLVWAKITGHAMWPAVVVDESDVPANRALKPVRLDQSILVQFFGTHDFARVKLKQAVPFLNGLLSSLHLKCKQASFSRSLEEAKEFLRTQQLPEIMMQLRKCVQHDGSDDNSCEDRVDSCGNLSEDRAVQNGEDYAEMTQIELGNLRVSNLGRIVSDSDHFHNKKHIWPEGYTAFRKFMSIIDPNSVTSYKMEVLRNSDTKARPLFRVISEDGVQIDGSTPNACWKEIYCRIKQKQCSAVTELERNVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFDNSGDTILGYRAVHVNWKDLDFCNVCDMDEEYEDNLFLQCDKCRMMVHARCYGELKQLDGGLWLCNLCRPGAPRMSPKCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLVCSICGVSYGVCIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHHMLLDEDEDPCIRLLSYCKKHRQPSAERPYLESDPAEPSQLVQTDMASSSGCARTEPYNFHRRRGQKQPQVMATASLKRLYVENRPYIVSGYCQNRVGNHTCSESLQPVGLSDAVQHEAFGNVSSMVEKYTSMKATFRKRLTFGKSRIHGFGVFAKVAHKAGDMMIEYIGEIVRPPISDIRERRIYNSLVGAGTYMFRIDDEHVVDATRAGSIAHLINHSCEPNCYSRAITVNGDEHIIIFAKRDIDPWEELTYDYRFFSSDQRLPCYCGFPKCRGVVNDVEAEEQAAKIRVKRSELFQKRDN >KQL23793 pep chromosome:Setaria_italica_v2.0:II:19910048:19923580:-1 gene:SETIT_028793mg transcript:KQL23793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAVEGNGFVHGEEEEEEGDRPIRYLPLGHVYSSSGPAPCPPAPKKPRVDDGKPPLKVYYRRRHKKPRVEEPPPPLSPATAPPPPLVQDEDAGPSRRKGSLKHELLSLGSAPLALDGDGEGGEPSRRQGRMRRGGGAEKTVCFSGHERRRPGRPKGSVGRRWVELDIQGADPNAFVGLACKVFWPLDDNWYKGSITVYNEATKKHSVKYDDGEAEDLSLADERIKFSISSEEMRSLNVNIGISNQDKKGHDELLALAVSFHDYQGLDSGDLVWAKITGHAMWPAVVVDESDVPANRALKPVRLDQSILVQFFGTHDFARVKLKQAVPFLNGLLSSLHLKCKQASFSRSLEEAKEFLRTQQLPEIMMQLRKCVQHDGSDDNSCEDRVDSCGNLSEDRAVQNGEDYAEMTQIELGNLRVSNLGRIVSDSDHFHNKKHIWPEGYTAFRKFMSIIDPNSVTSYKMEVLRNSDTKARPLFRVISEDGVQIDGSTPNACWKEIYCRIKQKQCSAVTELERNVCQRSGSYMFGFSNPQIRQLIQELPNARSCLKYFDNSGDTILGYRAVHVNWKDLDFCNVCDMDEEYEDNLFLQCDKCRMMVHARCYGELKQLDGGLWLCNLCRPGAPRMSPKCCLCPVTGGAMKPTTDGRWAHLACAIWIPETCLKDVKRMEPIDGLSRINKDRWKLVCSICGVSYGVCIQCSHPTCRVAYHPLCARAADLCVELEDDDKIHHMLLDEDEDPCIRLLSYCKKHRQPSAERPYLESDPAEPSQLVQTDMASSSGCARTEPYNFHRRRGQKQPQVMATASLKRLYVENRPYIVSGYCQNRVGNHTCSESLQPVGLSDAVQHEAFGNVSSMVEKYTSMKATFRKRLTFGKSRIHGFGVFAKVAHKAGDMMIEYIGEIVRPPISDIRERRIYNSLVGAGTYMFRIDDEHVVDATRAGSIAHLINHSCEPNCYSRAITVNGDEHIIIFAKRDIDPWEELTYDYRFFSSDQRLPCYCGFPKCRGVVNDVEAEEQAAKIRVKRSELFQKRDN >KQL27185 pep chromosome:Setaria_italica_v2.0:II:48357848:48360233:1 gene:SETIT_031901mg transcript:KQL27185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGGSSVGDAGTPAWVGSQENMGVSTFPALVTARVRFLFLTFITEEAALAYCPAGVGNRGTNGGKERDPARALTTADNSHHFWNRSLLRVEGEMASFGSEYKRRRWYVRYVGESNVAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWIFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHKFRRWVPPPPNPPMMTDEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESENALWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKVRKEQEIKEKIRKKYDVPIPDDDLLWGKIYQDMVHETGVKPNGFYARETIIKYWRQNRSKEKIGGSCRRRGTWRNKG >KQL23530 pep chromosome:Setaria_italica_v2.0:II:14606872:14612015:1 gene:SETIT_030318mg transcript:KQL23530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFPRRSSGGEPSSPSSPLLPSPASPSPGGPLGRLTGLRGAARFIRRTGSRRLMREPSVAVRETAAEHLEERQTDWAYSKPVVVLDVLWNLAFVAVAAAVLAASLGEQPAVPLRVWLAGYVLQCLLHVLCVTVEYRRRSRDADQDGAGDGDFKLSIAKHLESANTMFSFIWWIIGFYWVSAGGQALSHDAPQLYWLSIVFLAFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQQEGASEEDINNLSKFKFRTMGDHDKLVAGIAAPVGGVMTECGTNPPVEHILSAEDAECCICLCPYEDGAELRELPCNHHFHCSCIDKWLHINATCPLCKFNIVKSNLDREEV >KQL24652 pep chromosome:Setaria_italica_v2.0:II:32015061:32018310:-1 gene:SETIT_029505mg transcript:KQL24652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARFLLAVALALLLRPGEASVHEYRGLGFANKGNAFILHAGSEGLYAASSANATAEDDEDAAAAADAFIRFDKITFRRPEDAIDSVKEATSAKVQVLVFEIEDREMIGGSAYGGQKAICCTSDLAKLGACTEGSVIYRPSQVNPGWPKLFVASFDGSDLIATLPSRTIPITKTGMYNMYFIHCDPSLADLEIEGKTIWKNPTGYLPGRMAPLKNFFGLMSFAFVVLGIYWFYQYMKSWREVLPLQNCITLVITLGMLEMALWYFEYAEFNETGVRPKGITFWAVTFGTVKRTAAEIIVLIVSMGYGVVTPTLGGLTSKVVMLGGTFFLATETLELVENLGAVNDLSGKARLFLVYPVAILDAAFVIWIFISLAKTIGKLQARRLMAKLEIYRKFAIALIVSVLVSVGWIGYEIYFKSTDVFNERWQYAWIIPAFWHVLSFSLLCVICYLWAPSQNSMRFAYDGSEFFDRKDNLSLIRPAPSASKNGWSLSSTPEDKATKNVNSVASFDEDDEENKRE >KQL23959 pep chromosome:Setaria_italica_v2.0:II:23559621:23570135:1 gene:SETIT_029750mg transcript:KQL23959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSFRPRPLDIHKKLPILKSVREFEDEEPGVAPLASARAGVLLRHSGAELTASAANNATEGEGNQAPSKKNIQEIPTPQFDIVDTYERDYTRTFAQPTSYIRGRGARAEIGEFVEYDLDNEDEDWLEDFNNERKNINPEKLEVLLFKLEILDHKARERAGAITPTFIGPVPVLLQLDTAMEALQYLSVRYAVFQAVYNYWKEKRERWQKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNIQSFEKLRLVRRNLEQAKALMDALIKREETKREAMECHVNLQRIQMKYKHEAQLVDDGTTLSAFQQTSSRFDSSDDDYADSDDTTTEQQYIRPAVFHPRFRSNKLSVIPPLRIKRERELKRRPQQNGWVFKRDPEEPVFLFTRPLDPEKLVAAGIKPPPDPPIENGATTPPFRCRGRIGRGGRIIFDRWNPLLRTPIGQETSYYVPYGHRPPSPEG >KQL25539 pep chromosome:Setaria_italica_v2.0:II:38983495:38984648:-1 gene:SETIT_030805mg transcript:KQL25539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATAAKDATPAAGGPQLKLLVDKRSRRVLYAEARKDAVDFLIGLLRVPAGLAARALARHGERAPGSLGSLYAGARGLDDAFFVSASPDRDALLSPALPSAAAALLLGGEGALPTPPPPPPPQRYFRCAAYTVPCRGNPTNVTDVSGLPCPGCRQPMTVEMRWAPGDAHGKLALAQAQEARGEGAGGYVREVVTYLVMDDLTVAPMSTISAIMLLKKFNVKDCSALEEMTVELGTKEAVMLLKASLQSSTALTDVFSGGVSIDSRIDG >KQL25335 pep chromosome:Setaria_italica_v2.0:II:37435586:37438453:-1 gene:SETIT_029523mg transcript:KQL25335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRLTATSLLSRTLRRGVTSRIPPRPFAPLGLEPSAGIPRSQPRPSYSTASGDPGQEPPPAMDSPIKVVSHLGGGGGGRGSGEGGAAAIDAGKSARRPLSLWPGMYHSPVTNALWEARSSIFERMMDAGNGGAATDAADAGEQRAPTELLVKTPAQSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLVVTASVDKMELKKPIRVDTDLKIAGAVTYVGRSSIDIQIEVTQVDQDGDNDSDPIALTANFTFVARDSKTGKSAPVNRLSPETEREKQLFSEREARDKMRKRKREEQKGGLENGIHKLRAEAERLNALLAEGRVFSDLPALADRDSILLKDTRLENSLVCQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLDNPEQPLVNVEVVAHVTRPELRKSEVSNTFHFTFTVCSNMLKNGLKIRNVVPSTEEEARRILERMEAEGLCN >KQL25334 pep chromosome:Setaria_italica_v2.0:II:37435294:37438453:-1 gene:SETIT_029523mg transcript:KQL25334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRLTATSLLSRTLRRGVTSRIPPRPFAPLGLEPSAGIPRSQPRPSYSTASGDPGQEPPPAMDSPIKVVSHLGGGGGGRGSGEGGAAAIDAGKSARRPLSLWPGMYHSPVTNALWEARSSIFERMMDAGNGGAATDAADAGEQRAPTELLVKTPAQSRTSIVYKFATDDILREQYRDPWNEVRIGKLLEDLDALAGTIAVKHCSDEDSTTRPLLVVTASVDKMELKKPIRVDTDLKIAGAVTYVGRSSIDIQIEVTQVDQDGDNDSDPIALTANFTFVARDSKTGKSAPVNRLSPETEREKQLFSEREARDKMRKRKREEQKGGLENGIHKLRAEAERLNALLAEGRVFSDLPALADRDSILLKDTRLENSLVCQPQQRNLHGRIFGGFLMHRAFELAFSTAYAFVGQRPCFLEVDHVDFLKPVDVGDFLRFKSCVLYTQLDNPEQPLVNVEVVAHVTRPELRKSEFVATC >KQL23473 pep chromosome:Setaria_italica_v2.0:II:13906013:13908645:-1 gene:SETIT_030703mg transcript:KQL23473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDDVIESGAGGGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVLTVIGYKHQTDPNVAGTDACGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKAFQSAYFDRYGGGANSLASGYSRGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVIYNKDKPWDDHVRYVSTHPVPSPFT >KQL23472 pep chromosome:Setaria_italica_v2.0:II:13905399:13908725:-1 gene:SETIT_030703mg transcript:KQL23472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDDVIESGAGGGEFAAKDYTDPPPAPLIDAAELGSWSLYRAVIAEFIATLLFLYITVLTVIGYKHQTDPNVAGTDACGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRALLYIVAQCLGAICGVGLVKAFQSAYFDRYGGGANSLASGYSRGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSLGAAVIYNKDKPWDDHWIFWVGPFAGAAIAAFYHQYILRAGAIKALGSFRSNA >KQL26190 pep chromosome:Setaria_italica_v2.0:II:42913183:42916551:-1 gene:SETIT_031960mg transcript:KQL26190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAPAATGAVSVGDGGLADPLLAVGSGATKQESGADGKVGADEAERLAATECGREDGRPLLFRTYKLRGAILHPYRAPIFVRLIAVLLFFVWRIRHNKSNVMWFWTLSVAGDAWFDVFVTTADPVSEPIIYTINSILSILATDYPVDRLACYVSDDSGALILYEALVEVAKFATLWVPFCHKHCIETGAPENYFELELPPLIGRASEEFMNDYKWVQMEYDDFKIRLDNLPDTIRKRSVVYNSMRTPEGDAEATWMANGMQWPGTWIDPTDNHRKGDYTGIVKVVMDHPIHGDHHGPQVNAERNPSFNTTDVRLPMLVYVSREKNPSYDHNKKAGALNALLRVSALLSNAQFIINFDCDHYINNSQALRAAVCFMLDQREGDNTAFVQFPQRFNNVDPTDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRIALYSIDPPHCRPGNITADSNKYGESTPLTNSVSKAIKQERSTTPPPLDDTFVAEMEMHDAFCGTAPISLTERLHQIVRWSGGSLEMFFSHNNPLVGGQRLQLLQRVSYLNMTVYPVTSLFILLYALCPVMWLIPDEIHIQRPFTRYFVYLLIIILMIHMIGWLEIKWAGVTWMDYRRNEQFFMIGSTSAYPIAVLHMAKTLLTKKGIHFRFSSKQTNADTNDRYADLYELQRTPMLIPTMFVLVANIGAIGVAMGKAVVYMGVWTAAKKMHAALGLLFNVWIMVLLYPLALAIMGRWAKRPIVLVVLLPAVFVVVGVIYVALHILLANVIPI >KQL26498 pep chromosome:Setaria_italica_v2.0:II:44768227:44768814:1 gene:SETIT_033363mg transcript:KQL26498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVNAPITKFLYLKHLTILISERTFSPPYNYFSLVYFFDASPSLETFFLDVRHCSSYPSKVPHEDVKHESVFGASSHLGELPEQQHNCLKIVEIIGFSSAKSLVELTCCIVKNAVSLECLTLDTLRISGEANKTCWPISNDELKEASRAVVAIRMYIEHRVAPTSKLTVLEPCTRCHSR >KQL24320 pep chromosome:Setaria_italica_v2.0:II:28863246:28866130:1 gene:SETIT_033337mg transcript:KQL24320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLPALAAALVALLAGCSCGVRGGESPEARESLVQFLTALAGGDGQAALRLGWDASVDPCAGTDSSWGDTIKCFENKSENVGKIKKIVLDGKGLSGTIDAALLCAAPAVRVVQLPDNSLRGGVPAGISACSGLTQLIVSGNQLSGSLPPSLAQLGKLEVLDVSRNNFSGEIPGGLSKLGELVRFVANDNHFNGTIPDFDLDKFQNFTVSTNNITGPIPKNAERFGNDSFWPNAAGMCGRPFFDPCPSSPTTAPFNSPSPSSVSEDEDGQGEDNHKKRTVPKIVMYLGYVLLGAAILAFVLYKICSKKKRSKLGRKSKPGGGRGVYDSSRLTTTTTTTSVSPSKSAYSLPTSVEQSAAGAPPSASLVVLRRSGTASITSNAAAAAAKELRFEDLLKSPAELLGRGRFGSSYKVVVPNGAALAVKRVKDAAVDEEAFRRRMERVASAKHPAVLPPLAFYCAMQEKLVVYEFQSNGSLAKLLHGSIESSQGPLDWPARLHIAAKVADGMAFMHTALGRYGASSNSSTSGEEAAADGPIAHGNLKASNVLFTAGMDPCISEYGVTAAAPPASRSASAGAAAAALRADVRAFGVLLLELLTGKATSERGDGAELARWVTSVIREEWTAEVFDRALLAGGGDGSSEQRMLRLLQVAMRCVDASPGSAPPPTMREAASMINAIREEDDRSFSLEA >KQL26223 pep chromosome:Setaria_italica_v2.0:II:43133164:43138415:1 gene:SETIT_029184mg transcript:KQL26223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRGRGKGKGRGMPRAKAAEPEPEPVEEAVESEVEAGDAKMEEEGADAESEDSKEEESGSDAESMDAEGKEEAGENGAKGMDTEPEAKAGEAKAEAPAAEAEVKADASDASDDEGDETGSEREADAKVPDPKAKAEESDGGDEAEAAETDGESEEEAAEAGVENEDAAEDSDTEGDAAEESPPPSPPSRGRRRKRAATPDPTPEDDEAEEETPTPSRRRRRRKSGERGDSPPPPPDHLRCRRSDGKKWRCSGRALPTVSFCEYHYAKANKSKKLPADGEVLAVALQRQKNKRKGRKSINTPASPQATTSDRQTRDLPNGLMTISPGSSGPAALSSPVTTKVGVEIPAPIRRCYRSKNAEPLPVGPVKVVPRAMSMTKPIPRTCHRCGLTKAARVANCKNCEKNFCNSCINKWYSGITRKDIKTRCPVCRGLCDCKKCALGQTKGAMRKESPSGEGKILSIKISNHQFYKLLPVKLDQEQLDELELEAKIQGTKISDVRVQVAENGQSESLYCNNCKLSASQFLRCCPACPFKLCLSCCHKIREGNMSDSTPEDKFKNRLLQQESVHEDGSITCPSIELGGCGDAPLNLIYASPSGQSEELSSDDELHAPGNHSGVKDAQVHSSPAPESNGRLSAAQTETVST >KQL26222 pep chromosome:Setaria_italica_v2.0:II:43133164:43138068:1 gene:SETIT_029184mg transcript:KQL26222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRGRGKGKGRGMPRAKAAEPEPEPVEEAVESEVEAGDAKMEEEGADAESEDSKEEESGSDAESMDAEGKEEAGENGAKGMDTEPEAKAGEAKAEAPAAEAEVKADASDASDDEGDETGSEREADAKVPDPKAKAEESDGGDEAEAAETDGESEEEAAEAGVENEDAAEDSDTEGDAAEESPPPSPPSRGRRRKRAATPDPTPEDDEAEEETPTPSRRRRRRKSGERGDSPPPPPDHLRCRRSDGKKWRCSGRALPTVSFCEYHYAKANKSKKLPADGEVLAVALQRQKNKRKGRKSINTPASPQATTSDRQTRDLPNGLMTISPGSSGPAALSSPVTTKVVPRAMSMTKPIPRTCHRCGLTKAARVANCKNCEKNFCNSCINKWYSGITRKDIKTRCPVCRGLCDCKKCALGQTKGAMRKESPSGEGKILSIKISNHQFYKLLPVKLDQEQLDELELEAKIQGTKISDVRVQVAENGQSESLYCNNCKLSASQFLRCCPACPFKLCLSCCHKIREGNMSDSTPEDKFKNRLLQQESVHEDGSITCPSIELGGCGDAPLNLIYASPSGQSEELSSDDELHAPGNHSGVKDAQVHSSPAPESNGRLSAAQTETVST >KQL22535 pep chromosome:Setaria_italica_v2.0:II:3380566:3387366:-1 gene:SETIT_029317mg transcript:KQL22535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLRLSRPCRALLPLSSLRLPLSTQPQPAPPAPAPSSTTSRRPRFLSFLAAAAAAAAAAGGTTVALCDPGVDLRVGGKDSTELIVKGEHRRVPREFIDELASFLGDNLTVDYEERSFHGTPQNSFHKAANVPDVVVFPSSQEEVQKIVMACNKYKIPIVPYGGATSIEGHTLAPHGGVCIDMTLMKKIKSLHIEDMDVVVEPGVGWIELNEYLKPYGLFFPLDPGKFLFLTSKFLINAVMIEVFIKCSIFRPGATIGGMCATRCSGSLAVRYGTMRDNVINLQAVLPNGDVVKTGSRARKSAAGYDLARLIIGSEGTLGVITEVTLRLQKLPSHSVVAMCNFKTVKDAADVAIATMLSGIQVSRVELLDEVQIRAINMANGKNLPEVPTLMFEFIGTEAYALEQTLLVQKIANEHHGSDFVFVEEPEAKTELWKIRKEALWAGFAMKPDHEAMITDVCVPLSRLAECISTSKQLLDASPLTCLVIAHAGDGNFHTIILFDPSKDDQRKEAERLNHFMVHTALSMEGTCTGEHGVGTGKMKYLEKELGIESLRTMKRIKAALDPNNIMNPGKLIPPHVCI >KQL24351 pep chromosome:Setaria_italica_v2.0:II:29144661:29145197:-1 gene:SETIT_031535mg transcript:KQL24351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVTTNTEVLGNLKVLAVQSRCLVKCAATAADRCWKPCRRLLCQARQDYWETGPLNFFPCLPHLVFGTFKFLHLMSNFYSNSDMLLIFRRPGHGKRRPSIPIIPISTTVINPKFQIHHC >KQL22267 pep chromosome:Setaria_italica_v2.0:II:1131974:1136347:1 gene:SETIT_032294mg transcript:KQL22267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQPVKIDTLENTAFHSGRTIRVTSVFLPRENDDPNNNAGKRYGVRIDYDRAAHNLSAYLVLDGGTETVPDEATKQLDARDALSPDGLVLALSSTMGQLLQLHTWSFTIEVPETVHSQGPNTVTILSSVLGSAAAASAIAAAVYLYLNSKYRRWKKDLDQLSKNMQRLPGVPMQVDFADIRKATNNFHESTRLGQGGFGAVYRCRLPAAKKGEFMEVAVKKFTRADSRGYEDFLAEVSIINRLRHKNIVPLVDQQLFSRSGDKQQSCIHQWGTRYNIVRDIATGLQYVHHEYEPMVLHRDIKASNILIDLTFQARLGDFGLACVLADGKNSYTDHGAPGTIGFRSPEYIYNGRATRKTDVFAFGVLVLEIVTGKRAVGRDDVQFGHVTDWAWKLHAEGNLLAAVDAAVLTAGGEFDAEEAIRLLKLGMACSNPNPADRPSMVDAVRIIAKSVPPPDMPLSKPPMVWPPEGWDSVTSDCSTSTSNFNRTSSTFMVETMTVGRERTHLF >KQL23051 pep chromosome:Setaria_italica_v2.0:II:8051568:8053665:-1 gene:SETIT_031453mg transcript:KQL23051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQEAPVAVEAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHVAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPASKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >KQL23052 pep chromosome:Setaria_italica_v2.0:II:8051568:8053725:-1 gene:SETIT_031453mg transcript:KQL23052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQEAPVAVEAPTPVLGEPMDLMTALQLVMKKSSAHDGLVKGLREAAKAIEKHVAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPASKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >KQL22414 pep chromosome:Setaria_italica_v2.0:II:2429110:2431001:-1 gene:SETIT_030818mg transcript:KQL22414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDSSRLSHPSPPPPPPPASPTPEASPAANAQPNLIAVEPTMIIKGVLGRYERWNPVHPTAGTFWGVGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGFTLAGVGIGLPQHGLIRNIEDSGFASNVSLDSARYYAATIIRGGVDLPKLGKGMSRVDPVSGGVDPVSGGVDLPKLGKGAVSRVDLPKLGKGVLRVDLPKLGKGVSSSIQSAVECIRAFKDQHWPH >KQL22415 pep chromosome:Setaria_italica_v2.0:II:2429516:2430900:-1 gene:SETIT_030818mg transcript:KQL22415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDSSRLSHPSPPPPPPPASPTPEASPAANAQPNLIAVEPTMIIKGVLGRYERWNPVHPTAGTFWGVGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGFTLAGVGIGLPQHGLIRNIEDSGFASNVSLDSARYYAATIIRGMVWDAISYAGNVGAIRKESWQKLLRFQDNPRISRVDPVSGGVDLPKLGKGMSRVDPVSGGVDPVSGGVDLPKLGKGAVSRVDLPKLGKGVLRVDLPKLGKGVSSSIQSAVECIRAFKDQHWPH >KQL22413 pep chromosome:Setaria_italica_v2.0:II:2429516:2430663:-1 gene:SETIT_030818mg transcript:KQL22413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKGVLGRYERWNPVHPTAGTFWGVGLGLGCGVGWGPGFGPEVIGYVGAGCGVGFSVGFTLAGVGIGLPQHGLIRNIEDSGFASNVSLDSARYYAATIIRGMVWDAISYAGNVGAIRKESWQKLLRFQDNPRISRVDPVSGGVDLPKLGKGMSRVDPVSGGVDPVSGGVDLPKLGKGAVSRVDLPKLGKGVLRVDLPKLGKGVSSSIQSAVECIRAFKDQHWPH >KQL24653 pep chromosome:Setaria_italica_v2.0:II:32025020:32027826:1 gene:SETIT_029255mg transcript:KQL24653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKNPKVIENAEGARTTPSVVAFNQKGERLVGTPAKRQAVTNPQNTFFGTKRMIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQVGAFVLTKMKETAEAYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLEVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLGSDFKRTEGIDLSKDRLALQRLREAAEKAKVELSSTTQTEINLPFITADSSGAKHLNITLTRSKFEALVHQLIERTRDPCKNCLKDAGISTKDVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGLETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKATGKETNITIRSSGGLSEAEIQKMVQEAELHAQKDQERKALIDIRNNADTTIYSIEKSLGEYRDKIPVEVASEIEAAIADLRKEMASDDIEQIKAKLEAANKAVSKIGQHMSGGGSGGSQAEGSHGGNDQPPEAEYEEVKK >KQL27145 pep chromosome:Setaria_italica_v2.0:II:48072563:48074095:1 gene:SETIT_031166mg transcript:KQL27145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHFNPLNSAEPTTKKEGVGSPTDYECSITGPNNVDHQNPHQPRLSSRKTLAATPHDPPEHQPSGGEMARGVVAAKGGAAAGKKKGSVTFTIDCTKPVEDKIMEIASLEKFLQERIKVAGGKAGSLGDSVTVSREKTKVTVTSDGPFSKRYLKYLTKKYLKKHNVRDWLRVIAANKDRTVYELRYFNIAENEGEEED >KQL23329 pep chromosome:Setaria_italica_v2.0:II:10871122:10873332:1 gene:SETIT_030847mg transcript:KQL23329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGIYTGQFIYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKSDKAT >KQL26046 pep chromosome:Setaria_italica_v2.0:II:42026170:42027477:1 gene:SETIT_0297102mg transcript:KQL26046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMMFLFGM >KQL26045 pep chromosome:Setaria_italica_v2.0:II:42026223:42027477:1 gene:SETIT_0297102mg transcript:KQL26045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMMFLFGM >KQL24806 pep chromosome:Setaria_italica_v2.0:II:33386264:33387398:-1 gene:SETIT_030785mg transcript:KQL24806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPRLRSPRPPQLSRPAPPPPLPPPPPPLSAATSPSHDGFSSFPSPFGGHLPPLPMSRTPVGRVGSDLSHLNHARTSHHHATTSSKDKDRDRAKNKASPFFFSGLGAAWRKENTINTSSSDAAGKQQAEDKRKDKAKRALDLGQRVKRHMASMVEQLCASFSRKSERERREQRRRPHSFSGHGPGAAREMRERERWRRRCGQLSSAPASLRVSPANSGHLSVGGSVKVSTSSEESTMEELQSAIEAAIAHCKNSISVAKQTAAGDGNKLQ >KQL26605 pep chromosome:Setaria_italica_v2.0:II:45341882:45346046:-1 gene:SETIT_029112mg transcript:KQL26605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEDKKGQKKVKVRWFHQNQEFACAIPPPPPHPCEVFITPFTQVISVECVDDIATVLTPDHYEKCSNALPTSSFVGIRFCFRQYSKNKFKHFDLSTLRGYFSQAVVLSLKIPAESEKDGEITPGKTKFQKQYERLYSKCLGTKICRGPQADSIPPYQILNNKQSPGKHFSIKFIGPQIQLKPTYSAGDRVEILSQDSGIIGCWFRCTVLKSCINHNKLKVQYDDLQNADDSGRLEETVPASTLALPDKLGLRSPDRLRIRPRPQQNISVNGAALIPGTAIDVWQFSGWWEGIVVSLENPAADSLQVYFPGENFFRVCQLQDVRISKDWVKNQWVDIEIKTNVLSRIPSDGVKTRQPDNFSSVGVLDSSSSALPEQELAAIQANSNGDKPVPERGLAAIQANSNGDKPVPERGLATIQANSNGDKPVPEQGLAATQANSNGDKPVPEQGLAATQAICNGDKSVTEQGLEAIQTTSNGDKQTEASKQTEVSLTDKTSSLLVEDEKQTILGKRPRDDGEQDCNGEVGVDVGKT >KQL26604 pep chromosome:Setaria_italica_v2.0:II:45341713:45346046:-1 gene:SETIT_029112mg transcript:KQL26604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREDGPVFVRWREEFVSQERGSRVVHYYLDDAAGGSHLAVVGTERSLRHMLYVVSEDFRAAWGCGGGADDGGGPAVFARKWRSRREVVDWLASFLPVKALNSKFSKCGSYADNDTGLDGYSETDSFQHQNLGKDYSSDITWSGSFWTCGKQLRHYRAFCRNGTTISTHTFVLVLSEEESRYLAYLEDMYEDKKGQKKVKVRWFHQNQEFACAIPPPPPHPCEVFITPFTQVISVECVDDIATVLTPDHYEKCSNALPTSSFVGIRFCFRQYSKNKFKHFDLSTLRGYFSQAVVLSLKIPAESEKDGEITPGKTKFQKQYERLYSKCLGTKICRGPQADSIPPYQILNNKQSPGKHFSIKFIGPQIQLKPTYSAGDRVEILSQDSGIIGCWFRCTVLKSCINHNKLKVQYDDLQNADDSGRLEETVPASTLALPDKLGLRSPDRLRIRPRPQQNISVNGAALIPGTAIDVWQFSGWWEGIVVSLENPAADSLQVYFPGENFFRVCQLQDVRISKDWVKNQWVDIEIKTNVLSRIPSDGVKTRQPDNFSSVGVLDSSSSALPEQELAAIQANSNGDKPVPERGLAAIQANSNGDKPVPERGLATIQANSNGDKPVPEQGLAATQANSNGDKPVPEQGLAATQAICNGDKSVTEQGLEAIQTTSNGDKQTEASKQTEHLGAVDSRSQS >KQL27092 pep chromosome:Setaria_italica_v2.0:II:47766224:47778753:1 gene:SETIT_028654mg transcript:KQL27092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQSPEPSTPGTPTPVLSVRIVSIDYYMAPPLPGFDFSRSPFHGEEVEEVPVIRIYGSTPAGQKTCLHIHRVLPYLYVPCPEELLYNVDKGNSYITGLLSDLEKALQIRGPSKRKHVHGCSLNGVFIIKLRCAIGFLDFVSRYYPHEVSRAATLLLSGAIFNRAFQPYESHIPYLLHFLIDYNLYGMGHIHVKDFKFRPPLPDDFHPKSSLCKKTQSNNSEIKSPTVWISSTVPHSSILGSSAASHCLGGTKLSFACRHSSSMLEADSRLEGILNEKYKMYTSLSQTTEDMKMVQSLVAIWEELERLRLLEETKHADLGRPLREEVLKDFLHGIKYESALSMLFSQEEPQHKVSTTEESERLERCFKSLTDVVGTVKFSQDDYCDNVDVGNSAGMQNDKPNASLCSGPLKQIAQTISPERNSQYLVSSSVTQRILSQLSDEGEKQVDAEALGLLSWLASSQAAEEPTTDDELVNEVILSPLFGKKSIEVALESAHLDFDGASQQECQDILDSVDPVSAAEEPNTHTSYLDSVKPNSTTSVGNIIPQVDGSADESPKVSQEYERSKITRKTVGSPSYTPTKNSSKSASKRAGTEHLWGSLPLSRKKGLHRNADDSCSAMPSQKDLSASNKSTTDKNYHDITSNTDGESSSFVGVHDSVCHSVRDLMRRRRSLRREQLEFGSSGAATCTMDKESEIVNSGGLEFHDFASDIPNSAMAYSDDECLQMTFSQKPPLKNHVHSSLESPSGCEQRECYPNYGEGVPADKEEAHSSALSPNSPSRSTLENSSVKVAVDGDVMESTLSNRESKHLDEWHAFSQISAGNEKDKLTPLSQIGFRDPASVGCGQQLTMLSMEVLAESRGELRPDPRFDAINAVSLAIEDDADNTVEVHVFIRDNSDISCRRRNLDGIAGCNVDVFPEERDLLNNLISAVCSIDPDILVGWEIQLGSLGFLAERAAYLGIGLLKRISRTLPHELNHPRKVPVDDSSQMLAEASSADDVGDVSENNWSHTHASGIHAVGRIVLNLWRLMRAEVKLNNYSLEAVADEVLRRKIPLIPNGILNRWFATGPGRGRHRCIEYISTRARINLEIMNQLDLVNRTSELARVFGIDFFSVLSRGSQYRVESMLLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESAFYPDPVVVLDFQSLYPSMIIAYNLCYSTCLGKVFPSKSNVLGVSSYSADPHTLVDLKNQLLLTPNGVLYVQPEIRKGVLPRLLEEILSTRIMVKQAMKKLGPSEQVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRRTLETAISFVNQHPMWKARVVYGDTDSMFVLLKGRSREEAFRIGKEIASSITAMNPDPVTLKFEKVYQPCFLLTKKRYVGYSYESPEQNEPIFDAKGIETVRRDTCPAVAKILERSIRIIFEEQDLTKVRSYLERQWTRLLSGKVSIRDFIFAKEVRLGTYSARASTLPPAAIVATKAMLSDPRAEPRYAERVPYVVIHGEPGARLADMVIDPYGLLEVGSPYRLNELYYITKQIIPALQRVFGLLGADLNNWFSEMPRPIRPTLAKRQSASGHGLFSRGGRFIWLGLNNKASSKGGRIDTYYMSSHCSICGDLIQGSETFCENCLKNEAVVATVVAGRTSKLEREIQHLAAICGHCGGADWIVESGVKCISLACPVLYERRKIQRELRVVSESAGEAGYYPFCCAELF >KQL22292 pep chromosome:Setaria_italica_v2.0:II:1429060:1430622:1 gene:SETIT_032187mg transcript:KQL22292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVSAAIVQETVGQILSGLGQKYEEKEESNEKRNLERLEMAHIRLEAALETSNKWQITDASLLRWRRKLKRAAQECDDTLHKCKQRILEDEQMEREVKNSSLPNRIVHATKSFALSIFKRNDNDLRRSIAQRFEWYADGASEFLRFIELGGTPRRHIPFESLVRNLFAGKELHHKIVRGNEYPLFQLWLTPIRNPVHGIDVSLTFIQYDGTPEGNICFSLVVQLSESIDIVGIAVKCLQLFAPHFKCKFENIRNELTQLPNEDFLWGPSFYSDHKEHWDKLNRLSSQLVRPNPFCCKEYGRHEVQHFSSMDMAGLSDGLLEPVIQFTLHCHVSLPMYRKKKTSHSEDLISLQDCPYLKAGIAFSPHGSLEDMLPANRNSEIAAIVRKEQHFLHTDIALEQLEEIMLPKAIDYFHQNAEAMVYQMLWKSKHGFALIQVEKPCMSTWRSSMRRQSTSGGARKRKLLQGDDEELIRNRIRLCHWLDSWFTHVPVRLQRSLMNWIRKEKELLIAAPQLHLKF >KQL27086 pep chromosome:Setaria_italica_v2.0:II:47743603:47746578:-1 gene:SETIT_033133mg transcript:KQL27086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVALVLVAFAASLPPAAAEDTILGHKCGMPAAGNNASSDAYRSNLNALAAILVAGARANGSAAGAVGAAAAPDAAYGVALCRGDFTGDACARGLGDALASAVNDSESAFGCGRQLRDVTLFYDRYQLRFSGADFLSGDGDTPKWAGNNTNFVKPADAARRFDGLVSELVNKIADIAAGRPDRYATGRSRFQEQGLTLYGLVQCTVDMPPERCRACLDGLISAFPATFPSGQHGGRILVPRCTVRYETDDTFFNTANLSVDLHKPKQLARLELFRLSIAVKSVINLWRMEEGNSGFSLYDFSQMKGATNGFSIENKLGQGGFGAVYKGLLPDGLEIAVKRLAPCSSQGLLEFKNEIQLIAKLQHRNLVKLLGCCIEGEHEKILVYEYMANKSLDLIIFDSKKGVPLDWPKRLNIIDGISQGLLYLHIHSRPCVVHRDLKASNILLDNEMNPKISDFGMARIFSSSVAESNTTRIVGTHGYIAPEYASDGVCSVKSDVFSFGVLLLEIISGTMTTGSYRYDGKLYKLIAYAWLLWKAGQWHELVDHCLLGNERYHFTMERYVHVALLCVQEGADDRPAMDEVVKMLSSGEGVVLPEPKKPAYFNVRPVGTEMSASCDMGISITLSR >KQL22120 pep chromosome:Setaria_italica_v2.0:II:48130:51195:1 gene:SETIT_031967mg transcript:KQL22120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKCALLLPLLLLLSRAAAAAAAAPCHPDDLRALRAFAGNLTGTGGAGLRAAWSPSSSSPAAAACCAWDGVSCDAGGRVASLRLPARGLAGPLTAAPLAGLARLRDIDLSRNALEGPVSAVLAAVPPGIRAANLSSNLLDGALPDLAALPALDALDASNNSISGALAPDLCAGAPALRLLDLSANRLAGALPSSGNATPPPCAATLRDLSLASNAFTGALPAALFDLTGLQRLSLASNGLTGQVSSRLGDLKNLTFLDFSGNRFSGHLPDVFGDLASLENLAAHSNGFSGQLPPSLSLMSSLRVLDLRNNSLSGPIARVNFSGMPLLASVDLATNHLNGTLPVSLAGCQELKSLSLAKNRLTGQLPQDYSRLASLSMLSLSNNSLHNISGALTVLGACKNLTTLILTKNFIGEELPGDGVRGFDSMEVLALGDCALMGRVPEWLTQCTKLEVLDLSWNQLVGTIPSWIGDFEYLSYLDLSNNTLVGGIPKSLTQLKSLVTSRQSPGMAFTSMPLYVKHNRSTSGRQYNQLSNFPPSLFLNDNGLNGTIWPEFGNLRELHVLDLSNNFISGSIPDALSRMENLEVLDLSSNNLSGSIPSSLTELTFLSKFSVAHNHLVGQIPNGGQFLTFSNSSFEGNPGLCRSGSCNLNMSVETPNGKEVQPAGSMRNRKNKILGVAICIGLALAVFLAVILVNMSKREVSAIDYEETEGSCHELYDSYSKPVLFFQNSAVKELTVSDLVRSTNNFDQANIIGCGGFGLVYKAYLPDGTKAAVKRLSGDCGQMEREFRAEVEALSQAQHKNLVTLRGYCRYGNDRLLIYSYMENGSLDYWLHERSDGGYMLKWESRLRIAQGSARGLAYLHKVCEPNIIHRDVKSSNILLNENFEACLADFGLARLIQPYDTHVTTDLVGTLGYIPPEYSQSVIATPKGDVFSFGVVLLELLTGKRPVDVSKSKGSRDLISWVLQMKSEKKEDQIFDRLIWSKAHEKQLLLVLEIACKCISPDPRQRPSIEQVVSSLDNV >KQL22845 pep chromosome:Setaria_italica_v2.0:II:5782035:5783074:1 gene:SETIT_031637mg transcript:KQL22845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPRYASYPYPAQGYYQGPYQGPPVMAPPQYQYGPPPPRRSPGFLEGCLAALCCCCLLDECCCDPSIIFVS >KQL22846 pep chromosome:Setaria_italica_v2.0:II:5782035:5783074:1 gene:SETIT_031637mg transcript:KQL22846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPRYASYPYPAQGYYQGPYQGPPVMAPPQYQYGPPPPRRSPGFLEGWYACYHLLDNNLHRWGAWACSHQPPSFRSSANSDPVRSHQLFSVSWL >KQL25250 pep chromosome:Setaria_italica_v2.0:II:36906651:36911691:1 gene:SETIT_029443mg transcript:KQL25250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQIPMVKEEVPTTLSTPATSPHSSVSGPCNLDKLPVGCSKSAFPPSTSDFKRKSALSASNGFNSHFRTSHATDGPAGCQRLNPESRDPSYRSCHKFRSTVQMPAMRVVGFDSGSASSTGVPDMMVADKMNSSLVIDNCDLSAEQHGLHARKRVLSPLTNVLPAGQFHGDALSIGSGNVKNQHTDCVRQLSSSGFHDSKKANTGTLDAFHSPTEPILRYSNWSTEQGVGKFSSNIFTDGPLLEGKEFFSCSDQPFAERIMNLARVSIPPARLSHSPPLTLSPLGPKWMHRMTTTRAQRDLSGEIENDFLGLKEMGGSNCEDHSEYGGRIRMSDVLEETSIFHDGFGTMIPKRSFDRRYRNWVPESAPVSPSIGCIRSLNLVPVRRSLVGSFEESLLSGLFMWKRQSGSPCFLLLLLVSNPEKTPLHTFFCAYDLSDMPAGSKVNDISAGGVLRYALHLRFLSPFSKKPSRSRQQCKSDLSSEPHSRSTQTEEERRFYLYNDIRVVFPQRHSDADEGELRVEHDFPANPKYFDISN >KQL23592 pep chromosome:Setaria_italica_v2.0:II:15356954:15361762:1 gene:SETIT_030137mg transcript:KQL23592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLLAAGRSLRSILHPRLAGAAAAFSSAPSAASAAAAEAERTIREGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRHVHRFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRGMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESVPINALVAVKGTPLGDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDEEAPAPADTERSEQAASM >KQL23593 pep chromosome:Setaria_italica_v2.0:II:15357117:15361762:1 gene:SETIT_030137mg transcript:KQL23593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLLAAGRSLRSILHPRLAGAAAAFSSAPSAASAAAAEAERTIREGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRHVHRFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGLKAQKLMNKDAVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILEYVKEIRGMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPAHPESVPINALVAVKGTPLGDQKPVEIWEMIRMIATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADQAMFKILGLIPKAPSFGDEEAPAPADTERSEQAASM >KQL26825 pep chromosome:Setaria_italica_v2.0:II:46509444:46509932:1 gene:SETIT_032967mg transcript:KQL26825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEMLMAREHEQLPRSACRYQRLRGELGGEGEEAGVVRGSVMARRPRPLRLRRRSCKAAGMRWRNATTVAGGGRKALRLRLMRLVLLLPARRLAALVAELVRRLAAAVAANAAADCPAIVFSSQWGLPVLSHSASTTRSSRLRAFYLERSLSAGYGTGSPC >KQL23137 pep chromosome:Setaria_italica_v2.0:II:8642080:8643634:-1 gene:SETIT_031095mg transcript:KQL23137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEIKLRLPDAAAHRRLSSFLAPRLHCIHPQRNLFFDAAMCPLATANAELRVRLYGPNDAPTLAILVLKRRPCIDAGVSRVEEIVETIDTTLALACADDPARLGGVDSSIIQLVAREYGVGRDAAPFVCLGGFRNTRAVYKLEEDGEGLGLVLELDETRFDFGTRYELECETEEPDRAKEVLERLLTVAAVPYEYSQSNKFACFMAGKLLQ >KQL25026 pep chromosome:Setaria_italica_v2.0:II:35367317:35369169:-1 gene:SETIT_030238mg transcript:KQL25026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNACISLGFQETVGGFIQGFRFSYEEGDKISHGSLILESRSDELIEHEATFLLLPKDQDGKGAAERIFQSTHKVKGSAAIASAHLIAECEELDTWIVCYEKLECLLQHKDLPPFYEQNNEISGYWKNQLRAIITTLSDMGIRDLYHGGMANSASYAIQSSMNIKLIGISKEPNDKKKFFFNDLEDFDKFLCGAAYINASSGCDWQGFSYLINSHAMCQKYTWAQAVLNHPILLDPALRIQSYIALYEWADSLPFGVLKKFCNDLYCLMPQYYGDNLWNLIKKCPACCQIYSNGTYTGFIYKNIIKFVRNMIDHGHADFAAINNGSWTDMDLYNKINDLFAGFMSLAYSVSKATAKDRDLLRKGVQRLPY >KQL25025 pep chromosome:Setaria_italica_v2.0:II:35367792:35369169:-1 gene:SETIT_030238mg transcript:KQL25025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNACISLGFQETVGGFIQGFRFSYEEGDKISHGSLILESRSDELIEHEATFLLLPKDQDGKGAAERIFQSTHKVKGSAAIASAHLIAECEELDTWIVCYEKLECLLQHKDLPPFYEQNNEISGYWKNQLRAIITTLSDMGIRDLYHGGMANSASYAIQSSMNIKLIGISKEPNDKKKFFFNDLEDFDKFLCGAAYINASSGCDWQGFSYLINSHAMCQKYTWAQAVLNHPILLDPALRIQSYIALYEWADSLPFGVLKKFCNDLYCLMPQYYGDNLWNLIKKCPACCQIYSNGTYTGFIYKNIIKFVRNMIDHGHADFAAINNGSWTDMDLYNKINDLFAGFMSLAYSVSKATAKDRDLLRKGVQRLPY >KQL23811 pep chromosome:Setaria_italica_v2.0:II:20420457:20423632:-1 gene:SETIT_032028mg transcript:KQL23811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HRSLLTVIPVQKSSPPPASKSPELRDETERTLLCCTCTRQVSSTPSPLQLHLHTQQVHTKRHKKLQHARMKDLIFVKFNSKLKQKRETKNRDPIEKTISNILEDEDNEWITGSRPNANSEQEQERSCAQGQGASSSQGAAAAIQPKRRGVQLEQQGNRKRKKLIPVLEEVQTSSSESENVDLDLPSSPAASDDDDDNSHSASFNLSD >KQL26221 pep chromosome:Setaria_italica_v2.0:II:43129920:43130547:-1 gene:SETIT_032282mg transcript:KQL26221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGAITSALRLVTKLPIVKFYRSKAASEGSLTVMADLAASGIGRHPNSSPLVEEGPAPPERLSNKQLLAQNVDYALHVFLIYLLMLSIFPGSSLKMSDRTAWVP >KQL26995 pep chromosome:Setaria_italica_v2.0:II:47304411:47308116:-1 gene:SETIT_030537mg transcript:KQL26995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPADGAAYWLRWQVFVCGALIALPAAAAAALLPRLRRAVPPLRATDLWVPCWARLHPGWLLGYRAFALAAAVALLARLLIGHGISVFYFYTQWTFLLVTIYFAFATAISAHGCWVYSKKSSRKADESHGFLNDDVENRGLSSSVSVEREKDETNKLPSYYEQIVNEKRAGFWGRCMQIIYQTSAGATMLTDVTFWGLLVPFFYRDKFGLSLVTDGMHSLNAVFLLIDTVLNNMPFPWYRMAFFVFWSCAYVTFQWVLHACGGLSWWPYPFLDLSSSGAPLWYFGMAIAHIPCFFLYWLIVKAKHAYFPRMFPHAYARTV >KQL22999 pep chromosome:Setaria_italica_v2.0:II:7232058:7235636:-1 gene:SETIT_033288mg transcript:KQL22999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKISGFLPQEIGLLPNLSYLVLFGNQMSGPVPSSLGNLTNLFDLDLFDNQFVGSIPEELGTLVGINTVHLSLNQLSGSIPATLANLTSITTLTLFGNMLSGPLPRELANLTHLVTLYLSNNSLTGELPSGFCKGGKLQWFNVGDNLFTGTIPKSLQTCNSLKQLGLSFNHLMGDISNLGPYPHLIEVGLRRNNLSGQLSKTWALSINLTKMIISENMISGSLPLELSNLVNLVALSLHTNNLTGNIPPELGNLGNMYSLNLSRNQFSGHIPSELGRMRNLQFLDISHNKFSGSIPEELGSCTKLQCLMIDHNNLSGYLPLAIGNLENLQIVLDLSSNKLTGELPAQLGNLGMLEFLNLSHNQFNGSIPSSFGRMISLSTLDVSYNNLEGPVPEGRLFLDASITWFVHNKGLCGNISGLPTCPPAPIVEYHKRRIHSFVLAISIPVCIAVIIIIFIIVIIIPKRKRPQKTTATDTRDVLSVWNFDGKLAFEDISRATENFSDRYIVGSGGYGTVYKAQLQEGRLVAVKRLHQTEEAMSDEKRFLSEIKVLTKIRHRSIVKMYGFCSHPRYKFLVYDYIERGNLHVILGNEELAKDLDWQKRVAVARDVAQAIYYLHHECDPPIIHRDITSNNILLDADFNAYVSDFGIARMLKPDSSNWSELAGTYELSYTSVVTTKCDVYSFGVVVLEIVMGRYPMELQSLAATGQHQELAMEDMLDKRPLTPTVVEKKEVAVLVEVAFACLQTSPQFRPEMQDVYQKLALHKPPPPLASPSHAPTPEEIREV >KQL22962 pep chromosome:Setaria_italica_v2.0:II:6907772:6910939:-1 gene:SETIT_028868mg transcript:KQL22962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSSSSFPRRRFLLFLALLSACLAAPGPVDGAGDGDGAAMQALRRDLAPPGWGPGADHCAWRGVTCAATGGAVTAIELPRRGLRGDFSAAGALPALARLDLSANSLRGGVPTALGALPRLEFLDLSMNALTGPVPAALAGASGLRFLNLSNNALSGTIPDELRGLRALQELQISGNNLTGALPGWLAGLPALRVLSAYENSLSGPIPPGLGLSSELQVLNLHSNALEGSIPGSLFQLGNLQVLILTLNRLNGTIPDAIGRCRGLSNVRIGDNRLSGAIPPSIGDATSLTYFEANTNDLSGGIPAQFARCANLTLLNLAYNRLAGEVPDVLGELRNLQELIVSGNGLGGEFPKAILRCRNLSKLDLSYNSFHGDLPEDICNGSRMQFLLLDHNGFSGGIPPGIGSCTRLLALQLSSNNLGGGIPAEIGKVKSLQIALNLSFNHLVGTLPRELGRLDKLVTLDLSSNEISGQIPGDMRGMLSLIEVNLSNNRLSGAIPAFGPFQKSAASSFSGNAKLCGDPLDVDCASIYGSNYGMDHRRISYRVALAVVGSCVLIFSIVSLVVALFMWRERQEKEAEAKKAEAGEVVVAAPQVVASTVFIESLQQAIDFQSCVKATYKDANELGNGTFSTTYKAVMPSGMVVCVKKLKSVDRAVIDHQTKMIRELERLAHINHKNLVRPIGYVIYDDCALLLHHHMPNGTLLQLIHNDWNSDGEKQKPDWPRLLSIAIDVAEGLAFLHQVATIHLDISSGNIYLDSHYNALLGEVEISKLLDPSKGTASISAVAGSFGYIPPEYAYTMQVTVPGNVYSYGVVLLEILTSKLPVEEEFGEGVDLVKWVHTAPARGETPEQIMDPRLSTVSFAWRRQMLAVLKVAMLCTEHAPAKRPRMKKVVEMLQEAKNS >KQL27220 pep chromosome:Setaria_italica_v2.0:II:48655888:48658128:1 gene:SETIT_029974mg transcript:KQL27220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQNQSLHVYLPSSIIKQKKAGGSSVPLTFRCSGPAPRTDPHLARVPEPPYPGGTTKTWRVPTAREGEAQPLILSYPKYYYIHSAALLRRALRFLAASPHPPLPFAISSLPSHPHLRVLHLSTKLARCGRVRSGTTKDLDMAEALCNGVVPSPCGGDVGVAGRVSGAAAALAESVPIGGYRTKSSFSAGRMAMTDRKMRPLPRSIEAAPGQMNLSFPKAMRWWEKGLQPNMREIESAQDLADSLLNAGDKLVVVDFFSPGCGGCRALHAKIAQFAEKNPDVMFLQVNYETHKSMCYSLHVHVLPFFRFYRGAEGRVSSFSCTNATIKKFKDALAKHGPDRCSLGPARGLEESELMALAANKDLQFTYEKPGLVPLAEAIAKEAAAPGGPWFPLPASATQFLTQGSENSLLSSGR >KQL22930 pep chromosome:Setaria_italica_v2.0:II:6441840:6442824:1 gene:SETIT_033040mg transcript:KQL22930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKVFYTIFMKDFPLLLGLAPGCSPGPRSARVEVYKAQLPVEREGWSPVVPSDAVGDPHRGHIRHRNLLPLAAHVPRPDCHYLVYEYMKNGSLHHALKADGSGSGVAGLSWPARLRVAVGVAAGLEYLHVSHVPQIIHRDLKPANILLDDDLEPRITDFGLAKAIPDAQTHVTSSHVTGTLGYIAPEYHRTFKFTAKCDVYSFGVILAVLATGKEPSDPFFTQTDEVVGLVKWLRRVMLAGNHAEAIDPAIAGAENEESIVLVLRIAMFCTADEPKERPSAKEVRCMLSQIKIHQDWK >KQL23270 pep chromosome:Setaria_italica_v2.0:II:10390099:10391939:-1 gene:SETIT_029633mg transcript:KQL23270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESTQEPPLHVVVFPWLAFGHIVPFLELAGQLARRGHLVTFVSAPRNVARLPRELSPRIRLVSLPLPAVDGLPDGAESTADVPPEKVELLKLAFDGLAGPVASFLAEAFAGAGGEGHAKRPDWIILDFAHNWLPPIAEQHKVPCALFLIFPAPFVAFMGPKAANDAHPRTAPEQFTVPPPWIPSASSTPAFHLHEAKRIAGGFHPNASGTSDMSRFWETERRCPLLVCRSSREVDGPAACALLRELYGKPVVPSGLLAPYDAVLAAAHAGGGGGGEEEEDAGGGVGPVMRWLDAQPARSVLYVAFGSEAPLSPALVREVALGLEIAGVRFLWALRKHAAAAGGGLLPAGFERRVAAAGRGVVRAGWLPQVRVLAHAAVGAFMTHAGWSSLVESFLLGHPLVMLPLAGDQGLTARVMAARRAGLEVPRAADDGSVARDDVAAAVRRVMVEEEGEAFARAARELQRVLWDRETQEGYVDDLVHNLLLQRRRE >KQL22575 pep chromosome:Setaria_italica_v2.0:II:3634703:3638531:1 gene:SETIT_029650mg transcript:KQL22575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAAALLLLVAVACWAVAPGAEALGMNWGTQASHPLPPKAVVQVLRDNGIKKVKLFDTDFAAMSALSGTGIEVMAAIPNNMLADLADDAGKAKDWVKRNVKRYDFDGGVTIKYVAVGNEPFLQSYNGTFINITFPALQNIQNALNDAGVGDRIKATVPLNADVYNSPDSHPVPSAGRFRPDISGLMTDIVKFLAKNNAPFTVNIYPFLSLYLSDNFPLDYAFFDGGATPVNDNGVLYTNVFDANFDTLVAALRAVGHGDMPVVVGEVGWPTDGDKHAKVSYAQRFYAGLLRRLAANAGTPARPNQYIEVYLFGLVDEDLKSVAPGNFERHWGILRYDGQPKYPMDLAGQGRNTMLVPAKGIKYLPKTWCALNPNAKDLSKLGANIDYACTFADCTPLGYGSTCNGMDTAGNASYAFNAYYQVQNQKDDACDFQGLALPTETDPSTAACNFTIQIAGAAAVHGRRSAGAAAAGVVLLALLQLLALW >KQL26321 pep chromosome:Setaria_italica_v2.0:II:43729948:43732072:1 gene:SETIT_031632mg transcript:KQL26321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLARTPHPPSTTANLQRAWRRRRSSQWHLWRCSPRRCRCREASLKPWLGDAAGMCGDDTRGDERRGGARRHGHHPLRVLYREVDQCLLVPWCYQS >KQL22305 pep chromosome:Setaria_italica_v2.0:II:1535111:1537216:1 gene:SETIT_0293831mg transcript:KQL22305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCSKGSCVIWDFETKGLAREFHDKDYSASITSVSWSKYGHRLLASATDKSLTLWDVLSGEKISRITLEKTPLHASLQPSCPTPSICLACPLSSAPLLVDLDTGSTRFLPVSVSENSNPSAHNPRNKFADGTPPFTPTAAIFDKHGDLIYVGNSKGEILIVDSKSIQVHAVIPIPGGAVVKDIVLSRDGRYLLTNSNDRVIRVYKNILPVKGSGEEIRNISSNSNDYESHYDKLKVNGASCLILSCELSDFITKTQWKTPCFSGNGQWIVGASANKGEHRLQIWDQAGPLVKILDGPKEAVIDLAWHPAEPTIATVSVTGLVYIWAKEHVENWSAFAPDFEELEENEEYVEREDEFDLNPREEEAEEVSIDEDADIDIETFEKNAMFSDVEDSVDEIVFLPAIPSPDVPDEQPVKCLESSSKLEDSSHSGSPSSMAAVQDGQAIPMASSPMEVNYSAAEDPAELPISKRVRRLSMKGLESLQFEKDKTPATKSKSNGKPAKSSALDDEATEDEEVNIET >KQL23133 pep chromosome:Setaria_italica_v2.0:II:8617387:8623744:1 gene:SETIT_029450mg transcript:KQL23133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGWHCRCWLIVRTHEGWPRCMKLGPGGGITCSSQAQERGAAALQEARATQLEPKSQFPSRLYATRKHPSPPARISIALPPASPPPPACSPARPQPRRFLLLLLSPPRRGTPRVSPRGRAGRGRDGTGMEGWATAAAYTAAALASAAAATVMALRLVHRHLLHYAEPTHQRFIVRIILMVPVYAVMSFLSLILPRKAIYFNSIREIYDAWVIYNFFSLCLAWVGGPGTVVVSLSGQSLKPSWFLMTCCLPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILHAKGKYEDGNFSVNQSYLYITIIYTISYSMALFALALFYAACRDLLQPYNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIRDAEKAAYLQNFVLCVEMLIAAIGHRFAFSYKEYAGSNARPFGGFKGSLLHAMKFNDFYHDTVHQFAPTYHEYVLYSNEEEDEPTKYSPSTVVPTGEQLVELAEVTVVSSKAPAMSSLPLNKANQAETMPTQSLEVKTATSAEPYELSNFLNVELSDYPAEVPVIPDVREQ >KQL25066 pep chromosome:Setaria_italica_v2.0:II:35673835:35677252:-1 gene:SETIT_030195mg transcript:KQL25066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGGSGSGNGGGSDDVHGLKFGKKIYFEQDAAAGGSGTAAAAAAGGRKGKGVASGGPPPAAPPRCQVEGCGVDLSGAKPYHCRHKVCSMHTKTPRVVVAGIEQRFCQQCSRFHELPEFDQGKRSCRRRLIGHNERRRKPPPGPLTSRYGRLAASFQEPGRFRSFLLDFSYPRVPSSVRDAWPAIQPGGDRMPGTIQWQGNQGIHPHRSTVAGYGNHAYIGHGGSVAGPSSVLPAAFELPPGGCVAGVATDSSCALSLLSTTQPWDATTESASHNRSPTMSAASAFEGTPVAPSVMASSYATAASSWATGPRGHVEGSSRNVQHHEDALHLVGHGHFSGELELALQGSGPSNPPHVHHGSSSGTFGHSSNAMNWSL >KQL25243 pep chromosome:Setaria_italica_v2.0:II:36880107:36881147:-1 gene:SETIT_033158mg transcript:KQL25243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMDVDNSPELRRLLEQEKEQLMAKQMVSKLTSVCWDKCITSTPGSKFSTGETTCLSNCARRFLDMSMILAKRFQLK >KQL23870 pep chromosome:Setaria_italica_v2.0:II:21810802:21811295:1 gene:SETIT_031519mg transcript:KQL23870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWQLESSCSKQSTLDATIPTGNTRHDTAVDILPNGSAQDGLSDSAACYQRDGSSQNMETADVAMKDHVMKARITESSYRPHDSCGYILQKWTSLSNRMVTPAEAEAAANDKIAVTCNNETAS >KQL23718 pep chromosome:Setaria_italica_v2.0:II:16991241:16993871:-1 gene:SETIT_028964mg transcript:KQL23718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCAAAARSLLPLPLPISGPAGAGPIHTSAPSLAAELEASDALHALLSTLPPSLPALLPCLSLLSRRLTPHSVADALLCAALPPASRLRLFLFSALSPSLRSPLLHSRAVVPILLATDADAAMYDAIADAQAAGLRPPAAAFEALVFAHASAGRHHEAVEAFSRMEDEFGCRPTTFVYNAVLRVLVNSGVVPAALALYNRMLATGLPPNRATYNVLMDGLCKRGTAADALKLFDEMISRGIVPDVKTHTVLLSSMCNAGHLKEAENLLHSMKDQGCPPDEVTYNAFLSGLCKAGRVDEAIQQLEPLRGTGEFVLGLKGYSCLIDGLFQAGRYDEGFQCYREMLEQTDVSSDVVLYTVMIRGCAEAGRIEDAFVFLDEMKEKGFAPDTFCYNTLLKALCDVGDLDGARSLMSEMTQNSVVLDTTTHTIMICGLCKKGLVDEALQVFDEMGKVGCHPTVMTYNVLINGLYRARRLEEARMLFYKMEMGNNPSLFLRLTLGANQVRDRESLQKLVDSMCQSGQVLKAYKLLRGIIDSGVVPDVVTYNTMINGLCKVRNLDGALRLFKELQPKGFTPDEITYGTLIDSLLRAHRDDDAMMLFQDMLQSGGTPSLSIYNSMMRSLCRKNKLSQAIKLWFDHLPQKYNLSAEDEVIASARKKFEDGSLDEAVRELIKIDQEHGSVNSSPYTIWLIGLCQARRIDDALKIFRILVEFGINVTPACCSHLTKYLCWERNLNAAVDVMLYTLSKRFIMSQPVGNRLLRNLCICHRRKDAQALAWRMHLVGYDMDAYLRESTKGLLYSQ >KQL24311 pep chromosome:Setaria_italica_v2.0:II:28746592:28748768:1 gene:SETIT_032308mg transcript:KQL24311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAGGQVPKSELGIGVCERQGKGQSVWIPLRSDGNLESSAPRAGLLGLSGELGWIVGLRGDCGLCGREENVTHAFLTCQYASEVWKELKAKSGLRRRLKNFCSPRQWLFDYLAASTEEEATTMTITLWHIWETRNGARNGEGQLHPHCIVEKNPSLCWCDPIRPKKWAPPPGVWVMVNVDAAVFAESNRMGIGLVFRDHNGNFLAACRQGLNGITEPEVAEATALRHAVHFVSALPYNHVIVASDCLSVVKKLLSTGKDRSQVAVLIQDIKQAAKGSIAFSFIHVSRSCNEVANSLARSADQLSESVWFREAPEFIRASLSTSALSTRFHPLESQPYPLAPAFLRSTAAPAPPRQHLAPPFAAPRHRLPAPPACRPQHHLTPPRTGPSTAVDRPSVAVCRPQRCLPPPRVMVGKKVSCPCGRG >KQL24863 pep chromosome:Setaria_italica_v2.0:II:34102427:34105905:1 gene:SETIT_030393mg transcript:KQL24863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCGSLPLVAVLVALLCRAEAAVRELKVGYYAETCPEAEGIVREVMARARAREARSVASVMRLQFHDCFVNGCDGSVLMDATPTMPGEKDALSNINSLRSFEVVDEIKEALEERCPGVVSCADIVIMAARDAVVLTGGPSWEVRLGRDDSLTASQEDSDSIMPSPRANASALIRLFAGLNLSVTDLVALSGSHSIGEARCFSIVFRLYNQSGSGRPDPHMDAAYRCALDALCPKGGDEEVTGGMDATPRAFDNQYFKDLVALRGFLNSDQTLFSDNARTRRVVKRFSKDQNAFFRAFAEGMIKMGELQNPRKGEIRRNCRVANNSPATTPKEVAAYRVMDF >KQL24040 pep chromosome:Setaria_italica_v2.0:II:25104370:25108195:-1 gene:SETIT_028888mg transcript:KQL24040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRYTQYVDERHSIERGRKRQSSPENSIDKSKRNRSTEPSEVLWIGFPPGLKADEALLWEAFSPFGEVLRVTTFSGRTYAFVQYTSIAAACRAKEALQGKLFNNPRVSICFSRSEGAAPEVGKRSFVAPYSPQPSAQPVFRDQDFEAFPTTRPFDSPPRDFRMASPHFGLNRLSRDSDDMGFHRDGYFQHEAGAELGRVSNIKPFRIRELGPERRMPEELYEPHRQSPTARSDAPWRNIPFERPRRPLPLEDSWDVEDNSYLISKELRGSQVHDTELPEYPFSEFDRGKVLPDYPRRPHRDLPEDDLRSRTYPFTPMHSKHHTDPLKNLTPLVNKHEPWHAQESFARHLGEMDRLTPEHHEPALKEEWKWNGIIAKGGTLICRARCFPVGKVLDFMLPEFLDCTARTSLDMLSKHYYQAAGSWVVFFVPENDADMAAYNDFMSYLGDKQRAAVCKLGERSTLFLVPPSDFSEQVLRVPGKVSISGVILRFQQSNPDYSSPNRKSLERIHPSSASNLNADVSSREDLNALRRLNQPDSRTFPQGPDYVRSSGGSYTLASADIISPYKPESPPYVVSQLPHDWPPADPHMGIAQDKHQQLLNMLPSGWSNSMNDPNPGSGNFSSLSQSAISHALNNRIQEPYSFATQGVPKEAASGYAPGEVSNSMSWPSMQPNSQQVARPDQPTIPVSLPPDQLAQLAALLAQQNQPGKVGFPMDSSNNQSGFIQNSNPHGHATMIRGNSGSFPIQNSMPPLPPSTPQLPGHVPPIQGALPVHPASAPIISNTTLPIPPMHALVNPAHSSMPLRPFVPPLPEGPPPFQQNTSSASTLQPLAPSRQQPSQQLSTQEDGDGDPQKRLQATLQLAATLLKQIQNQSNPGAQK >KQL24039 pep chromosome:Setaria_italica_v2.0:II:25105050:25108195:-1 gene:SETIT_028888mg transcript:KQL24039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRYTQYVDERHSIERGRKRQSSPENSIDKSKRNRSTEPSEVLWIGFPPGLKADEALLWEAFSPFGEVLRVTTFSGRTYAFVQYTSIAAACRAKEALQGKLFNNPRVSICFSRSEGAAPEVGKRSFVAPYSPQPSAQPVFRDQDFEAFPTTRPFDSPPRDFRMASPHFGLNRLSRDSDDMGFHRDGYFQHEAGAELGRVSNIKPFRIRELGPERRMPEELYEPHRQSPTARSDAPWRNIPFERPRRPLPLEDSWDVEDNSYLISKELRGSQVHDTELPEYPFSEFDRGKVLPDYPRRPHRDLPEDDLRSRTYPFTPMHSKHHTDPLKNLTPLVNKHEPWHAQESFARHLGEMDRLTPEHHEPALKEEWKWNGIIAKGGTLICRARCFPVGKVLDFMLPEFLDCTARTSLDMLSKHYYQAAGSWVVFFVPENDADMAAYNDFMSYLGDKQRAAVCKLGERSTLFLVPPSDFSEQVLRVPGKVSISGVILRFQQSNPDYSSPNRKSLERIHPSSASNLNADVSSREDLNALRRLNQPDSRTFPQGPDYVRSSGGSYTLASADIISPYKPESPPYVVSQLPHDWPPADPHMGIAQDKHQQLLNMLPSGWSNSMNDPNPGSGNFSSLSQSAISHALNNRIQEPYSFATQGVPKEAASGYAPGEVSNSMSWPSMQPNSQQVARPDQPTIPVSLPPDQLAQLAALLAQQNQPGKVGFPMDSSNNQSGFIQNSNPHGHATMIRGNSGSFPIQNSMPPLPPSTPQLPGHVPPIQGALPVHPASAPIISNTTLPIPPMHALVNPAHSSMPLRPFVPPLPEGPPPFQQNTSSASTLQPLAPSRQQPSQQLSTQEDGDGDPQKRLQATLQLAATLLKQIQNQSNPGAQK >KQL24595 pep chromosome:Setaria_italica_v2.0:II:31624084:31624555:-1 gene:SETIT_032335mg transcript:KQL24595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQLLDHDDSSTSCEAAAASGGGDRYCVLDLPLRSVGGHYHPATAVTGASALRCLPLAAAASTVRALLGASHEDLRLRSQQLSCALGGAFFEPDTAAAGPLAESHGGGTRFPEDALYVCPELPLLWPALMVIQRALLQVVVKEANHGSCDWNYDNV >KQL27130 pep chromosome:Setaria_italica_v2.0:II:47985927:47987198:1 gene:SETIT_029944mg transcript:KQL27130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRYVNLVMANHDQGIYSLRRLNNDNFFYPAKEAAAKARDLPRLKRVPQNALSSWIDPKKKQAAALTDKQLESTLKPPPPIFSLRPSTCPVTKGDWNRLHSFPLSGTKIFFADSGKRTTLYDTKARCSISTPCLHAPKKLPVALSVPSPEGPEGEQDQDGGSLYIMDTLLDEMNATPFEALIWRNCAGGHYLITHKSWHCDALPRPPFFDHRPDEPTSVQSYAVVGNIICVSVNGHGTYCFDTVSQKWSMAGDWQMPFSGKAEYVPELKLWFGASAGNHQLPCAADLSPILRGHAPKKQQHYVWGDPHVPAEWLPNLFNPAKIVSLGSGRFCIINFFQDMGGRPPSMDGLSASDGSPIVVFSGLEVLAGNGNGSSSSSSSDSDKDSCNDKGNGNGLRMIKHKSRLCVCKLANIHYSLESVL >KQL24330 pep chromosome:Setaria_italica_v2.0:II:28965748:28968471:-1 gene:SETIT_030640mg transcript:KQL24330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGRNMISSAVPFRAAGEGGGQVFLFGGGGGGGEFLRGAPVVGAGAAGGGWRKRPFQLAAHEELQLQLELGDDELAGFDYELNGGGAQERTKRRLTAEQVRELELSFEEEKRKLEPERKSELARRLGIAPRQVAVWFQNRRARWKAKQLEQDFDRLRAAHDELLAGRDALLADNDRLRSQVITLTEKLQAKEESSAPDPEEQTVAALREGAYGTLEQDQLCIQPATGGAAPAPAVGYCYTGGDSDSPESYLAGARSPPSSSEDDCGGGDGDAGPFFLPDPEEDGVQLNNWAWLWNEQQYNIA >KQL25198 pep chromosome:Setaria_italica_v2.0:II:36595306:36597560:-1 gene:SETIT_032859mg transcript:KQL25198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWGDVYKVVAAMAPLYFALGLGYGSVRWWKLFTPDQCDAVNRLVAYFSVPFFAFDFAARIDPFALSYRVLAADALAKLAVVVSLAAWAAACASARRGGGDGKGNKDRAFSWCITGFSLAALNNTLVVGVPLLDAMYGKWARDLIVQISVVQIIVYFPLLLLTFEARRAWGAGKRPAAEEEGAGGDVEESGGETAARSFWPLVRAVWMKVAKNPNVYAGVLGVAWACVTNRWHIETPSIIEGSVLVMSKTGVGLAMFSMGLFMALQEKIIVCGAGPTFLGMALRFVAGPAATAAGALAMGLRGDVLRLAIIQAALPQAITTFVFAKEYSLHADVLSTAVIFGTLASLPVLIVYYIVLGLIRC >KQL25115 pep chromosome:Setaria_italica_v2.0:II:36082470:36083093:1 gene:SETIT_032276mg transcript:KQL25115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCPFPPLVFLLTIFLAFAVSTRATADGNPPAPADSRFLRTCCANTTNASACYDSLIPFAGSFHGNRVRAARAAAVLAFSRLRGFHDELRRLQPRAGAGRVVDLALRFCATSAEVSLGREGDSLAELRRLETAAGRRRGEQAEWDLYNARLYVGGIGPCATSCVDDLASIGDAVLASPVGKKVVAWAADVRLCGDIALDLVASIKL >KQL25142 pep chromosome:Setaria_italica_v2.0:II:36262624:36264090:-1 gene:SETIT_0301872mg transcript:KQL25142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KAKLGEKEWYFFSLRDRKYPTGVRTNRATNAGYWKTTGKDKEIYTGQLPATPELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSAPKSNKDEWVVCRVFAKSAGAKKYPSNNAHSRPHHHPYTLDMVPPLLPTLLQHDPFARGHHHHPYMTPADLAELARFARGTPGLHPHIQPHPGTAAAAYMNPAAAAAVAPPFTLSGGLSLNLGAPPAMPSPPPPPAALHAMSMSMAMSQQGAPSGAAGNHQVMAGDHQQQMAPVGLGGCVIAPGGDGGFGADAAGARYQSLDVEQLVERYWPAGYQV >KQL26762 pep chromosome:Setaria_italica_v2.0:II:46195456:46196112:-1 gene:SETIT_033265mg transcript:KQL26762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQPRPDHVVVDIELQAVDVAGDGGDASQRNAVDVVVKPLPTGDIADCRVVDMNLLHVPSVVVGNPTAGSPDCHVADAAAKPGGAKRDGAGSDDDDGSSCCVVCTEPLEWVVVGRCGHRVVCGRCMVRIRFFHQDKRCCVCRTRCPKVLVTRWDAAAAASRGAGNNLPALPRFAFREGRVGKYWYHKLTAAYFEDEQQYDVARAACHGILSAFHQPL >KQL26868 pep chromosome:Setaria_italica_v2.0:II:46670231:46676101:-1 gene:SETIT_029328mg transcript:KQL26868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSFGGEQRPSFAPRKRTSWNRSLSIRGRESIFVAPGAHLQQQKPSRAQKRPPKPCNRVKKTPSGPLDLRKEKAYFEEVDAFELIEESPSPKTFGSWNREMEQNHIIHDLPAILERWKISKLARRVSSEPLFHIMETPIIPSVLSSNSTSSSLFRTPEKDTWSGTHTTGRAIPLGYTDISLNSTAKETNIFTSFSKLNIKEEPVEASIPWSGEALTAFEQLLMVCRQSEPVTLAEVFSAYCEPGSIKKLGEGTYGEAYRAGRTVCKVVPFDGDLLVNGETQKRSEEILEEVLLSLTLNNLRSNQADDEKKDSCNGFIETKDFRVCQGPYDPYLISAWEDFDAKRGSENDHPKEFTSEQCYIVFVLADGGTDLESFALVDYNEARSLLVQVTASLAVAESACEFEHRDLHWGNILLAQEETPDTNHTVRFALQGKRMNAKTFGLNVSIIDFTLSRINTGTAILFLDLSADPALFQGKKGDKQAETYRKMKEITQEHWEGSFPKTNVVWIIYLVDMVLHKMKALALGAKVDRELRSFKKRLASCESARDCLADPFFSDLLLEEDPQRREVWACCAGAGDTG >KQL24482 pep chromosome:Setaria_italica_v2.0:II:30617057:30620186:1 gene:SETIT_029241mg transcript:KQL24482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKASSTDNRTRSTVSICIVIGMCCFFYILGAWQKSGFGKGDSIALEITKRTDCTILPNLSFDTHHSKAGSSSSDLVLPVKKFKPCPDRFTDYTPCQDQNRAMKFPRENMNYRERHCPPQKEKLHCLIPPPKGYVAPFPWPKSRDYVPFANCPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIANGTVRTALDTGCGVASWGAYLLKRNVLAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEVDRVLRPGGYWVLSGPPINWKVNYKGWQRTKKDLEAEQNRIEEIADLLCWEKVSEKGEMAIWRKRVNTESCPSRQGESTVQMCESTNPDDVWYKKMKACVTPVPDVKDGSEVAGGAIKPFPDRLNAVPPRIANGLIPGISSQAFQKDNKMWKKHVKAYSAVNKYLLTGRYRNVMDMNAGFGGFAAAIESPKSWVMNVVPTIAKMPTLGAVYERGLIGIYHDWCEAFSTYPRTYDLIHASGLFTLYKNKCSMEDILLEMDRILRPEGAVIVRDDVDVLTKVNSLAQGMRWNTKLVDHEDGPLVGEKILYAVKQYWVGGNQTAVA >KQL25393 pep chromosome:Setaria_italica_v2.0:II:37858998:37862021:1 gene:SETIT_030763mg transcript:KQL25393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPNRRPTGASASTSSKREPEEDLPSASEESDEDEEVSSSSVSESESGSDDEDVARERELERALADVPFGELQRARADGSLALRTASAAKAAAEKKARRASKKRPMEISTKMRPPKLREVIQVPKKVVRDPRFEPIYGSVDKEGFRKRYNFLFDEDFPAEKQRLQEMIKKSKDPNAIEEMKSRVTWIDKQLKSHPRKNVESEILREHIKKEREAAKAGKRPYYLKRSELRERKLINKYEELKEAGKLDAFMEKRRRKNASKDHRYMPYRRNGDSA >KQL22110 pep chromosome:Setaria_italica_v2.0:II:16811:18677:-1 gene:SETIT_033297mg transcript:KQL22110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSRSSSLANKSIDVNQLCVCYFTIKKFPTNDDRCCMQSVPVYLSEMAPARLRGMLNIGFQLMITIGILAAELINYGTNKIKAGYGWRVSLALAAVPAGIITLGSLFLPDTPNSLLERGHADEARRMLRRIRGTEDIGEEYADLVAASEEARQVRHPWRNIVKRRYRAQLTMAIMIPFFQQLTGINVIMFYAPVLFETLGFKGDASLMSSVITGLVNVFATVVSIFTVDRLGRRALFLQGGAQMIICQVVVGSLIAAKFGTSGIGEMPKGYAAVVVLFICVYVAGFAWSWGPLGWLVPSEIFPLEIRPAGQSINVSVNMFFTFCIAQAFLTMLCHFKFGLFYFFGGWVVIMTIFIALFLPETKNVPIEEMVLVWKSHWFWKKFIADEDAHVGNGAAALGNIDERAWRILTQIGVGIFRVWINTW >KQL26055 pep chromosome:Setaria_italica_v2.0:II:42087177:42089201:-1 gene:SETIT_033187mg transcript:KQL26055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKPLRRRWALLAVTLLAVVTAAVAQDYNDGAGDDEEDEKKKPQYKAQESCNGVFLSYTFMERTKEYPHLKNATAQPYAFKATATVLNTMAEDLKAWQMFVGFQNKEILVSVGGAVLLDGSDLPANVSGGVTFAGYPMADLLNSIDTAGETSLIESKIEITGTQFGVKPPGKPMPKTIKLTNPAGFRCPAPNHKDGVMYVCCVKDRKFKAKKANSTRYVARQKGDLTFAYDVLQSNANSYMAQVTIDNWSPISRLDNWNLTWEWKRGEFIYSMKGAYTLLKEGPACVYSPAAGYYKDLDFTPVFNCEKRPVIVDLPPEREKDKDVGNVPFCCKNGTLLPPTMDPSKSRAMFQMQVFKMPPDLNRTALYPPQGWKISGKLNPQYTCGQPIRVSPQEFPDPTGLLSSTPAVASWQVACNITRPKRRASKCCVSFSAFYNDSVVPCNTCACGCGNDTATCDPDSRAMLLPPEALLIPFENRTAKARAWAKIKHWRVPNPMPCADSCGLSINWHVINNYKSGWSVRMTIFNWQDYTFKDWFAAVTMGDHYSGYENVYSFNGTRMDAPFNNTIFMQGVPGLAYLEPITDGKTPADPRVPGKQQSVISFKRKDAPNINIAKGEGFPKRVYFDGEECALPDRIPKVSSARRRAGTASLGQVAMAAALVMLVALVDSWRL >KQL23083 pep chromosome:Setaria_italica_v2.0:II:8297965:8302991:1 gene:SETIT_029636mg transcript:KQL23083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSIPFVPLTSHRAVPFALGTTPPWPPPPRPDAAAARLLQEEEEAGVGGSRARSPAGLELEPMVLDLNAESPTAGSASATSSSSGVAGGGGGFFRFDLLGGSPDEEGCSPSPPVVTRQLFPSPHPYAASPASDGSPPPGVAGASAHRAADLGASAPAPAAAAPSSPAAGKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFHLKDYESDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEIEAARAYDRAAIRFNGPDAVTNFDSSSYDGDVPLPPEIEKDVVDRDILDLNLRISQPNVHDPKSDGILTGFGSNRDSPEASSSIASQPIRPQWLVHPHSTLGSPQQPQLYASPPGFFVNLRAFPPWAWQMQGSPAPLLPATAASSGFSTAAAAATAGVPPPPLGPSPFAGRHHHQLRFPPTA >KQL25388 pep chromosome:Setaria_italica_v2.0:II:37778312:37780604:1 gene:SETIT_032530mg transcript:KQL25388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLIRLPNVQAERVCDLFLPGTRQWNEQMVRESFVALDVEEILKLKLGLHLNEDILAWSLERNVSCFWQAAREVTGCKIPDLHQDSWTRDLLSSKLCAQEEAALIICGVWRE >KQL22355 pep chromosome:Setaria_italica_v2.0:II:1945680:1950031:1 gene:SETIT_029185mg transcript:KQL22355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNSSSSNGNGTNAAASGWLGFSLSPHMASAMDDHHQHQHHVQQQQQHGLFFPSVTAAAAAYGLGLGAGDAAAVAASAPSYYTPQLASMPLKSDGSLCIMEALRRSDQDHHGPKLEDFLGAAQSQAMALSLDNAAAAAAASSFYYYGGGAGTGGHHHGFLQPCADLYGGPSAAALVADDEATAAATAMASWVAARASAAESGVHSAAAAGHQHHHHALALSMSSGSLSSCVTAHPGEYGMVAAAGAGAMVDGGRKRGVAAGQKQPVHHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGPSTHINFPLEDYQEELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKIMASNTLLPGELARRKKDDEVAAIAPADGGAGGVTTAALAAAAHQAANGSAAAADTWKVAAALAAAEHGHGAHHHHHDVLSGAEAFSVLHDLVTAADGGGHHGAGAAQHMPMSSASSLVTSLGNSREGSPDRGGGLSMLFSKPPAPAQQQQQQAANNKPMMSPLMPLGSWASPASARGAAAAVSIAHMPVFAAWTDA >KQL26209 pep chromosome:Setaria_italica_v2.0:II:43054167:43055929:-1 gene:SETIT_032222mg transcript:KQL26209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEWELREEDASEYEFDLENPFTSPADEPIASLLEAEGHHAPSVSAAASAARRDAAGFISKVRFGGELAVNPRVAYLALNYVDRFLSKNQLPCEQQPWAPRLLAISCLSLAAKMQRVAAFSIADIQRDEEFMFDAVTVRRMERVLLDALEWRARSVTPLAFLGFFISACYPPPRHPLQVAAVKARAVDILLRAQPEVKMAEFSPSVAAAAALLAAAGEVTAANLPVFQAGVAACPFVNSDKLRECGEVLAAACGVGPGRAAASADTPVTVLGHHRSASSASESDWTVGSAANGGDAKKRCMGPPSQWG >KQL23312 pep chromosome:Setaria_italica_v2.0:II:10715737:10719019:-1 gene:SETIT_029692mg transcript:KQL23312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVVMVPGSNGCGGGGGEGKAEEGQQAQGQGQVLALVLAALRKSVVLPCQMADADDPAGAAWGMEIGWPTDVRHVAHVTFDRLHGFLGLPVEFELEIPGQVPSASASVFGVSPESMQCGYDDKGNSVPKILLLMQERLYAQDGLKSEGIFRITPENSQEEHVREQLNSGIVPDDIDVHCLASLIKAWFRELPEGVLDSLSPEQVLHCNTEEQCIELVELLPPTQAALLHWVVELMADVVEEEESNKMNARNVAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLILKTLRERDDDDDAGAYSSFSSSSSLSEELDEEGHDQQDDENDSGSENCNCEGNGSPKDVDKASALRVDNEQLIGVSRRHTSIDCHLPYIGYGNDNEGTSLDDIEECFLRRLEWKSLRECVAEDNSSNCPPSKKGAEQPSSSESIAEGSDTIVGKRDVTSNGIDVTITELGQMEIRIGMTNAEVRSATKGELILCS >KQL22242 pep chromosome:Setaria_italica_v2.0:II:894219:894642:1 gene:SETIT_031893mg transcript:KQL22242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDVGRPEVLMINRYVRLWTATGNIVSALGFLALLWSTVVLLGGFIDDLLLKEFWVLTALSFLMSFRVPFKI >KQL22618 pep chromosome:Setaria_italica_v2.0:II:3899867:3900885:1 gene:SETIT_033007mg transcript:KQL22618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTMGTALAMTSLVVVLAFSRAAAQGNGCSSVMMTLSPCMDFISSKAPIPGISCCSVLAGVVQTDPRCLCMVLDGTAATFGISINQTRALELPVVCKVQAPPISQCTGISTNSKNAASLMATMLIPVCALLYVF >KQL25849 pep chromosome:Setaria_italica_v2.0:II:40887455:40889613:-1 gene:SETIT_031290mg transcript:KQL25849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASKEEVIGKLNVRVVRGNNLAICDPLTHTSDPYVVLQYGGQKVKTSVQKKNPNPVWNEVLQLSVTHPTKPVHLEVFDEDKFTADDSMGVAEINITDIYDAAKLNLSHATNGTRIKTIYPVGVNYLGGESHVQWKDGKVVQDMILKLKKVDNGLIVVQLEWVHVPGVKL >KQL25848 pep chromosome:Setaria_italica_v2.0:II:40887455:40888690:-1 gene:SETIT_031290mg transcript:KQL25848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKVKTSVQKKNPNPVWNEVLQLSVTHPTKPVHLEVFDEDKFTADDSMGVAEINITDIYDAAKLNLSHATNGTRIKTIYPVGVNYLGGESHVQWKDGKVVQDMILKLKKVDNGLIVVQLEWVHVPGVKL >KQL22349 pep chromosome:Setaria_italica_v2.0:II:1904777:1907652:-1 gene:SETIT_030099mg transcript:KQL22349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLPPTPTELIDDVTAEILLRLPPEEPEHLFRAALVCKPWLRVLSDPAFRRRYRVFHGAPPLLGLLHRLQVLQGPPPVRFASTTSMPDFPHPGSDGGQTLPLDCRHGRVLVQMWGEGEESLVYLVWDPVTGDRHLAPKPDIDWLIHTAAVFCTAVGCDHLDCHGGPFRVLFMATDDHDLLVKVSLYSSETGVWSAPASLGDSCECYVQHMEDAIQEDRYHLPYVQPRRAAVIGDEIYFTLRRGHAIIKYDGCNNCLSMINPPPHDAYGIALMVMDDSSLGFVCIEGSSLYLWSRKVSSEGAAEWVQCRVIELKTIIPVVDPGEVPFVVGAAEGVGAIFISTDAGLFTIEIKSGRVRKVDEPGDYFSVLPYMSFYTPDRGRLLSLAKASYQQ >KQL26657 pep chromosome:Setaria_italica_v2.0:II:45610401:45611351:-1 gene:SETIT_032460mg transcript:KQL26657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEQLAAAARDIAEFSMLLVRHLGGHHQENKLQGPTNLALSPASLHTILSLLAAGATGAVRNQIVSFLGPAGGDAHVALAAHENVDEVQDDDDDDEAPTPPPEVRCAMGVWVDSSSLVLKHAFAAMAASRYKADAQAISFRNMLPCAGQSRLAEINKWLESKTGGHVQGLLPGSSISASTLLILANAIYFRGYWYDHFLPDMTRDATFHVSPGHEVTVPFMEGKDLHARMQVIGHPGFKVLRMPYAAGMCQQQQSFSMYIYLPDDRDGLPRLVRELNSEPAALLHGRPVPDRR >KQL22503 pep chromosome:Setaria_italica_v2.0:II:3190854:3192557:1 gene:SETIT_033149mg transcript:KQL22503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHIFKEVATYLRSKRFIVDTRITVEEKLGFFLYMLSRNASYEDLAVTFGHSNDTFHYHINHFFNKVIPTLSRRFLQSPNPNQVHPKILENPRYYPFFKNCLGAIDGTHIPTFPKIKKFQNNKASFPLFDALGELYDGGKEWTRKKPEKEGQRSRKSAKLKTMMERFLEMRTKQVEDEAKQLERENEARENEIREKEARYKEAAKGDEYSIKRCISIINTMEVTKQEKTKAYAIFTKSKENKETFICASEEDEESASIWLRNEMA >KQL24282 pep chromosome:Setaria_italica_v2.0:II:28501322:28503021:1 gene:SETIT_031912mg transcript:KQL24282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQDRDRRRTLALVNLAAIMERADEALLPAVYREVGAALHATPAGLGALTLCRSLVQAACYPLAAYAAARHNRAHVIAAGTFLWAATTFLVGVSQTFVQPFRLDSTDDGTRGSAFGWLQLASSLGHVSGGLVGLLLAQTTVLGIAGWRVAFHLVAAISVAVGALNWFLAVDPHFSTSHDAGHRRDGRPVAKKQPATGRQVVREMIREAKLVVWIPTFQIFVAQGVSGSFPWSALSFEPMWLELIGFSHGDTAVLMTIFWVGRSLGGKMLRGLPDDPSTGVAYGAVPFVMGMFISWNGPATNFPIFAEIVPERSRTSIYALDKSLEAALSSFAPPIVGILAQRVYGYTPDDKGKSINQDRQNARSLAKALYVAIAMDFESELEQMDFDQVDDGERGMIGAVYDNEELLEAEKDTAKLLGNRGM >KQL26261 pep chromosome:Setaria_italica_v2.0:II:43386505:43397089:-1 gene:SETIT_028656mg transcript:KQL26261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAGGFVTRAFEAMLKECAANRGKFAALQQSIQSYLDSIKGATAEGAVITEALASAGRVLEGPQAELVLQPLRLAVETKHVKLVEPALDCLHKLIAYDHLEGDPGLEGGKNSALFTDILNMVCGCVDNTSSDSTVLQVLKVLLNAVASNRFRVHGEPLLGVIRVCYNIALNSKSPVNQATSKAMLTQMISIVFRRMESEQVSVSPASSAVKETPPSSTKDSENGEISTDSQDEEKVTLGDALSMNRASEAPPTSVEELQNLAGGADIKGLEAVLDKAVELEDGKKVSRGIDLDTVNIIQRDALLLFRTLCKMSMKEESDEVATKTRLLSLELLQGLLEGVSDAFTKNFHFIDSVKAYLSYALLRASVSSSPVVFQYACGIFSVLLLRFRESLKGEIGVFFPLIILRSLDSSDSPLSQKASVLRMLEKVCRDPQMLADVFVNYDCDLEGPNLFELMVSALSRIAQGSQIADTNSIVSSQTVSVKGSSLQCLVSILKSLADWEQLRRDSSKQGSTVESHEEDASRSLTTDETKGQEDGRNQFERAKAHKSTMEAAVSEFNRKPAKGIEYLLSNKLVENKASSVAQFLKNTSSLDKVMIGEYLGQHEEFPLAVMHAYVDSMQFSGLTFDAAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVIMLNTDAHNPMVWPKMSKSDFVRMNTVSDAEECAPKELLEEIYDSIVKEEIKMKDDLHDASKTIKRPETEERGRLVNILNLALPRLKSASDTKAESEKIIKQTQALFKNQGQKKGVFHVAQQVELVRPMLEAVGWPLLATFSVTMEEGDSKPRVVSCMDGFRAGIHLTRVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLGLADTDMDALQDTWNAVLECVSRLEYITSNPSISASVMVGSNQISRDSVVQSLKELAGKPAEQIFVNSVKLPSDSIVEFFTALCGVSAEELKQTPARVFSLQKLVEISYYNMARIRLVWARIWSVLAQHFIAAGSHQEEKVAMYAIDSLRQLGMKYLERAELNNFTFQSDILKPFVILMRNSHNSKIRGLIVDCIVQLIKSKVGSIKSGWRCVFMIFTAAADDEDESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIGFANNKCTPRISLKAIALLRICEDRLAEGFIPGGAVKPIDVVPEANFDVTEHYWFPMLAGLSDLTLDSRPEVRHCALEVLFDLLNERGHKFSSPFWESIFHRVLFPIFDHVRHAGRDGLSSGDDWLRDTSIHSLQLICNLFNTFYKEVSFMLPPLLSLLLECAKKTDQTVVSIALGALVHLIEVGGHQFSDGDWETLLKSIRDASYTTQPLELLNSLGFQKSNNQQVLSREAESNSHGDSYNGTRGEVSISNNGEYSHPEANPQTSLDNSEGSPSPSGRTQPAVSPRGQSIGQRIMGNMMDNLLVRSLTSKSKGRSDDIAPPSPVKAPDDEADKAEEEESPMMETVRSKCITQLLLLGAIDSIQKRYWSRLKATQQIAIMDILFSLLEFASSYNSPSNLRTRMHHIPPERPPLNLLRQELVGTAIYLDILHKSTVEQEEKDSTEETNGFSAESGEQEKIKNLAEGKLVSFCGQILKEASDLQPSTGEAASADIHRVLDLRAPVIVKVLKGMCIMDAQIFKRHLKEFYPLITKLICCDQMDVRGALGDLFSKQLTPLMP >KQL24123 pep chromosome:Setaria_italica_v2.0:II:26509715:26510731:1 gene:SETIT_032853mg transcript:KQL24123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRSDAVFRRRYRSLHIPPAAAGAYHNDPRIQRLFFIPSPVKDSRGSLLLLDRFHLEKGTGWLSDLVVCEPLTWRYEIIPPLSTSTNYQYQLVEAFLVDNGDGTEEGCDGMSNFRVLCVLQGYNRTYAGVFTSGSTSWWGRIFGALPAELSSFVLPHNIEDWDGGDGHMSWCSMTVTAGRESEPLVVVGELGCNVKVYARQHGSGGEWALQKSIELSEATRGLLRFGCWDLIQAASLSHYRPGSVLIQQESGSRVTCFRLDVETVEMQMLPDQNAYSIEVPLPWK >KQL25909 pep chromosome:Setaria_italica_v2.0:II:41200804:41201390:-1 gene:SETIT_0325661mg transcript:KQL25909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGCAVLLGWRGGHRRRGAGVRGGVAGKQHRSAPAPRFPSETNPLEADLICIGAGIVSSALLDVPWRSVRWNGPGGPVTMRAHALRHVLYFFASPLGPMRFTDAVYRSKSDYKLFEAINILSVKIASSDCIYLFRRDSDHCQLINSE >KQL23698 pep chromosome:Setaria_italica_v2.0:II:16640886:16646965:-1 gene:SETIT_030933mg transcript:KQL23698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTTASKGRGAARSAPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVILILFLMFATSAYCAAYGESASHAALTITSVVFFHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQRVEWLYAFDVHCNSFFPAFVILYALLSNLLFVVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRYFLSLYFG >KQL23699 pep chromosome:Setaria_italica_v2.0:II:16641261:16646780:-1 gene:SETIT_030933mg transcript:KQL23699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTTASKGRGAARSAPPLFGPYLRRIVKWQQMDIEYTFWQMVHLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVILILFLMFATSAYCAAYGESASHAALTITSVVFFHFLFAGIVLATLCWFLTNSYLREEPNSHVVEQRVEWLYAFDVHCNSFFPAFVILYVLQYFLSPLLVAHGFFPALLSNLLFVVAISYYHYLNFLGYDVLPFLDRTTFFLYPIGLVIILSPLMILIGFNPTRYFLSLYFG >KQL24338 pep chromosome:Setaria_italica_v2.0:II:29073160:29073771:1 gene:SETIT_031213mg transcript:KQL24338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAAAVIIQHPGGKVERLYWSTTAAEVMRNNPGHYVALVILRVAADKAAAATTGDAAAAATTGAGAGGGGGGGAKITRVKLLKPKDTLLLGQVYRLITAQEVTKALQARKNEKMQRCEAIRQQHEQLRRGDGGDHGSSDQVGQRFSDTILFPPCRERGSC >KQL24339 pep chromosome:Setaria_italica_v2.0:II:29073019:29074273:1 gene:SETIT_031213mg transcript:KQL24339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAAAVIIQHPGGKVERLYWSTTAAEVMRNNPGHYVALVILRVAADKAAAATTGDAAAAATTGAGAGGGGGGGAKITRVKLLKPKDTLLLGQVYRLITAQEVTKALQARKNEKMQRCEAIRQQHEQLRRGDGGDHGSSDQDGKQEKDRHRGRGRHWRPALQSISEAASQSSSSVSEAATS >KQL22725 pep chromosome:Setaria_italica_v2.0:II:4805579:4810041:1 gene:SETIT_028800mg transcript:KQL22725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEVIGADTIDEAATEVLQVLKEKANTARSVSSRDNVFYFDGWDGLGASAVLRNIAQRLTATTPAGKRALADLEFDQVIHIDCSMWESRRAFQRAVAEQLKLPDEVMKLFDRQDEEDDFLGVAQGSRLEVEQVVREMHECIQKLNRRFLVIFHNGSGEEIDLASFCGFLLSGYSTSKVLWTFQGRYRIKPRTKVDKAMKSAGKTDAFVSVVPRNESQEEVWSYLVLQEAAEVAEACKVTAGSRDIIDQPAQVAECFIYMLEQCCHSIDFDLATHGTNYWVCDGIIQQLKLEGRVLSANDDSDWLWRAADALQREIALDVDYHQHFPSSHLSICVERKPYWISPNYGFNRVPGRAILNGGIFQHYLDKLRVLKLSRCTFNFQSPPFLCCQGLRFLWLDHCQDTGINTDGEGKEEDVRRCFQRLWVLDVRYMHRVHILSGQMMDYMTQLRELNVMGAWEWDMGQLQGRLPNIRKLRVTKSKVTFRCSEEDLFSKMNNLELLDFSGNNIYSARSPRLPAAITINNSSCLETVNIGENRALEGISFKGCTKLKNLIFSGWLYDVRAIDISGTAVKTLDLTAATTINNLNELYLLDCKKLCAILWPPKRNMKQDIDMLCIDTTRSAPTAQSREEIAKRGTTTAATIGTSAATTVLHRSRPTNEFPWYISVRDARLLMSLEAVYSDSRELYLEVSPTPSPTVFAAVCRDDEGIKSGSSSELQRQPAPAIYAATGTTAKCDGDAPGIMWLWSCPDVPDLGLRSSYIHIQETNTITVPRFVIDCAKILHVRDSMSITVFPSGTSKYQGSEWHQLEWCRIERCPRLEDVFTPRGPSEWRTSHSMKTFWASQLRKASCIWKWSEPYGASKFPYLVFLHLDCCPRLVHVLPLSIHTIAQAGQLETLEITWCGDLREVFPVDTIAKRYVKLLPQPFTVDFPGLKRIHLHELPRLHSICGVRMSAPNLETVKIRGCWSLRRLPDVGDGDKAVECDCEKEWWDRLEWDDGSQVTRYKPIHSRYYKKTLLRSSVLR >KQL26785 pep chromosome:Setaria_italica_v2.0:II:46313567:46317032:1 gene:SETIT_032500mg transcript:KQL26785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPAPSRPPTPTASAATATSSSRIDSPSLKAALAMALIHYNRLPGKAAATASAAAGTSTSSAPFLHWKRKAKDRKREILRLREELKLLQDGARGEEMEPPVASCRCHFFDGCGDLLPQPGGGGGEHWVDEVLRRRFLRLVRWKEKRRRVDRPLPRSSLIDLNSEDEMQQLSMSTDFLVELSDGLFAKREAAPSFVTFSHQAVDFILASLKNILSSEREKELVGEIIDGLVSRLMKRMCTVPENAGTSDSGSVDCSDAQFSVQHLFRKLGNEEFIGQRIILAVSQKISNASERLLLVDPFDDAFPDIHGNIFIMIQLIEFLITDYMKDWLCCEHFDKS >KQL24748 pep chromosome:Setaria_italica_v2.0:II:32825286:32828012:-1 gene:SETIT_030567mg transcript:KQL24748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPPHDATPTAGDFLTGDGLSQNATPPRTLEQTRSDSRPPSQPASSPLPPSFQAASAAEQPPVLASWKQQVIFRMANYMRNKKSSSRQLRSSHYQKPSGHCIFKKRAASQELAFAATEKCAWTDATCPVCMEFPHNAVLLLCSSHDNGCRPYICASNFQHANCLDQLVESCRKESSEDPDTIEVTCPLCRGEVKGYTLVEPARKKLNHKRRSCMQDGCSYVGTYRELCKHIKRKHPSANPRAVDPVHALRWKRLLFRSSLQDMICSTTSPLLHGLLSAMLQFDELMAPAWGEGGDLRDATNDSSRQSADAETTDS >KQL22538 pep chromosome:Setaria_italica_v2.0:II:3391898:3405216:1 gene:SETIT_028828mg transcript:KQL22538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGPSSALLSSSSSACLRRLNPLLLSAACRRSAWGQRRASRRFCAAVASEADVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPTLWIPGTDHAGIATQLVVEKMLAAEGVKRTDLTREEFTKKVWEWKEKYGGTITNQIRRLGASCDWSRERFTLDEQLSRAVVEAFVRLHDKGLVYQGSYLVNWSPNLQTAVSDLEVEYSEEPGSLYFIKYRVAGGTRDDFMTIATTRPETLFGDVAIAVNPEDKRYAKYVGKLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALRAVEEGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARTEEEALAKAQEKYGKSVEIYQDPDVLDTWFSSGLWPFSTLGWPDLSKEDYKHFYPSTVLETGHDILFFWVARMVMMGIEFTGSVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKDYGTDALRFTLSLGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDVSAWDFLLANKFDTEASLQKLPLPECWVVTGLHELIDRVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSGDKLAAATAQSVLLYVFENILKLLHPFMPFVTEELWQAFPYRKQALMVAPWPTTDLPKDLRSIKRFQNLQSLIRGIRNVRAEYTVEPAKRISASVVATADVLDYVSKEKQVLALLSKLDVQNVHFTESAPGDANQSVHIVADEGLEAYLPLADMVDVSEEVKRLSKRLTKMQSEYDALMARLNSQSFVEKAPEEIVRGVREKASEAEEKISLTKTRLAFLQSTVSS >KQL22537 pep chromosome:Setaria_italica_v2.0:II:3391898:3403902:1 gene:SETIT_028828mg transcript:KQL22537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGPSSALLSSSSSACLRRLNPLLLSAACRRSAWGQRRASRRFCAAVASEADVFTSPEVAKSFDFTNEERIYKWWESQGFFKPNFDRGGDPFVIPMPPPNVTGSLHMGHAMFVTLEDIMVRYFRMKGRPTLWIPGTDHAGIATQLVVEKMLAAEGVKRTDLTREEFTKKVWEWKEKYGGTITNQIRRLGASCDWSRERFTLDEQLSRAVVEAFVRLHDKGLVYQGSYLVNWSPNLQTAVSDLEVEYSEEPGSLYFIKYRVAGGTRDDFMTIATTRPETLFGDVAIAVNPEDKRYAKYVGKLAIVPLTFGRHVPIIADRYVDPEFGTGVLKISPGHDHNDYHIARKLGLPILNVMNKDGTLNDVAGLYSGMDRFEAREKLWSDLVETNLAVKKEPYTLRVPRSQRGGEVIEPLISKQWFVTMEPLAEKALRAVEEGQLTILPERFEKIYNHWLTNIKDWCISRQLWWGHRIPVWYIVGKKCEEDYIVARTEEEALAKAQEKYGKSVEIYQDPDVLDTWFSSGLWPFSTLGWPDLSKEDYKHFYPSTVLETGHDILFFWVARMVMMGIEFTGSVPFSYVYLHGLIRDSEGRKMSKTLGNVIDPLDTIKDYGTDALRFTLSLGTAGQDLNLSTERLTSNKAFTNKLWNAGKFLLQNLPDRSDVSAWDFLLANKFDTEASLQKLPLPECWVVTGLHELIDRVSTSYDKFFFGDAAREIYDFFWGDFADWYIEASKTRLYHSGDKLAAATAQSVLLYVFENILKLLHPFMPFVTEELWQAFPYRKQALMVAPWPTTDLPKDLRSIKRFQNLQSLIRGIRNVRAEYTVEPAKRISASVVATADVLDYVSVSWQVHFC >KQL22458 pep chromosome:Setaria_italica_v2.0:II:2780574:2784190:1 gene:SETIT_030630mg transcript:KQL22458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLARPPPSPPGLPDSRGQSRGEDAHAMSGSPPKPWERSGGEGTAGPAPFKPPSGGSTSDVVEASGTAKPGENVSAAERNASGNVNSTVSRPVPQRPWQQTGYGNTYGGYGSNMYSSYGGYGNSYGTGGLYGNSMYSSYGGGYGGGMYGGGGMYGGGMYGGGGMGGYGSYGMGGMGGMGGMGMGPYGNQDPNAMGPPASPPGFWVSFLRVMHGVVNFFGRISFLVEQNTQASYFFMTAMLQLFDRSGMLYGELARFVLRLLGVKRKPKKGSLQGPEAPAFEGPSQPFMEAPKGGNNWDNVWGN >KQL22459 pep chromosome:Setaria_italica_v2.0:II:2780574:2784190:1 gene:SETIT_030630mg transcript:KQL22459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLARPPPSPPGLPDSRGQSRGEDAHAMSGSPPKPWERSGGEGTAGPAPFKPPSGGSTSDVVEASGTAKPGENVSAAERNASGNVNSTVSRPVPQRPWQQTGYGNTYGGAGYGSNMYSSYGGYGNSYGTGGLYGNSMYSSYGGGYGGGMYGGGGMYGGGMYGGGGMGGYGSYGMGGMGGMGGMGMGPYGNQDPNAMGPPASPPGFWVSFLRVMHGVVNFFGRISFLVEQNTQASYFFMTAMLQLFDRSGMLYGELARFVLRLLGVKRKPKKGSLQGPEAPAFEGPSQPFMEAPKGGNNWDNVWGN >KQL22568 pep chromosome:Setaria_italica_v2.0:II:3577173:3581516:1 gene:SETIT_028967mg transcript:KQL22568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSCRHPLLILLPLLLAMVPESTQLQSSQTWSLLKIQQLLNYPAVLSTWGNQTDFCYGGDYKTASAFVECYGDSVTQLHIIGPGGAPPLPKTFSIDAFFTTLTRLPDLRVLTLTGLGLWGPLPGKVSRLASLEIVNVSGNYLYGALPEGLSRLDNLQTFIADDNMLSGELPGWLGRLPSLAVLSLRNNSLQGPLPESVRDMGSLRSLVLASNNLTGGVPDLSALKNLQVVDLANNSLGPAFPRLGRKVASVVLSGNRFGDGLPGELASFYLLEHLDVSRNRFVGPFPPALLALPSIEYLSIAGNRFTGLLTANMSCGENLRFVDVSSNLLTGSLPSCLTGAAGPRKPAVAAASNCLSAAAAAAGWQHPSLFCQNQALAVGIVPDQAHSKKSGAKAGLVAGIVAAALAGAVLVGVAVFLAVRKVAMRRAKARPPRRLVEHASSAYPSQFFADARYISQTVKLGALGIPAYRSFSLVELEAATNNFEVSCLLGQDAHGQMYRGTLSNGTAVTIRSLRVKRSQTSQSLNRHIEMISKLRHRHLVSALGHCFEYNLDDATVTQLYLVFEYVHNGNLRSRISQGTEGRKLPWAQRISSAIGVAKGIQFLHGGIMPGLFANNLKITNILLDQNLVAKIGSYNIPILAETAKSEGGGGSKYPSDRVPNGDKMDIYDFGVILLEVVSGRPITSIHEVEIMREQLQSALTSESPARRRVLVDPSVGRACSDESARTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGGGDSRSQSSEESPLSPAQIPRESHTTPADAA >KQL22567 pep chromosome:Setaria_italica_v2.0:II:3577173:3581516:1 gene:SETIT_028967mg transcript:KQL22567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSCRHPLLILLPLLLAMVPESTQLQSSQTWSLLKIQQLLNYPAVLSTWGNQTDFCYGGDYKTASAFVECYGDSVTQLHIIGPGGAPPLPKTFSIDAFFTTLTRLPDLRVLTLTGLGLWGPLPGKVSRLASLEIVNVSGNYLYGALPEGLSRLDNLQTFIADDNMLSGELPGWLGRLPSLAVLSLRNNSLQGPLPESVRDMGSLRSLVLASNNLTGGVPDLSALKNLQVVDLANNSLGPAFPRLGRKVASVVLSGNRFGDGLPGELASFYLLEHLDVSRNRFVGPFPPALLALPSIEYLSIAGNRFTGLLTANMSCGENLRFVDVSSNLLTGSLPSCLTGAAGPRKPAVAAASNCLSAAAAAAGWQHPSLFCQNQALAVGIVPDQAHSKKSGAKAGLVAGIVAAALAGAVLVGVAVFLAVRKVAMRRAKARPPRRLVEHASSAYPSQFFADARYISQTVKLGALGIPAYRSFSLVELEAATNNFEVSCLLGQDAHGQMYRGTLSNGTAVTIRSLRVKRSQTSQSLNRHIEMISKLRHRHLVSALGHCFEYNLDDATVTQLYLVFEYVHNGNLRSRISQGTEGRKLPWAQRISSAIGVAKGIQFLHGGIMPGLFANNLKITNILLDQNLVAKIGSYNIPILAETAKSEGGGGSKYPSDRVPNGDKMDIYDFGVILLEVVSGRPITSIHEVEIMREQLQSALTSESPARRRVLVDPSVGRACSDESARTVMEICLRCLAKEAVQRPSVEDVLWNLQFAAQVQDDWRGGGDSRSQSSEESPLSPAQIPRESHTTPADAA >KQL23569 pep chromosome:Setaria_italica_v2.0:II:15104834:15109739:-1 gene:SETIT_030306mg transcript:KQL23569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKCAAAAADLATGRPRKRPRLGWDVAPAAEAQIGTFCGQEVGGVASLLLSANHSDHTCSSLLPKGVARNASPPWREDDKDGHYVFAVGENLTSRYKIYRKMGEGTFGQVLECWDRESKEMVAIKVIRSVQKYSDAAMIEIDVLQKLARNDASGKHCVQIRNWFDYRSHICIVCEKLGPSLYDFLRKTGYRPFPIDLVRQIGEQLLESVAFMHRLQLIHTDLKPENILLVSSDYVKLPDSKDGSFSRKLPKSSAIKLIDFGSAAYHHQDRSYIVSTRHYRAPEVILGHGWSYPCDIWSVGCILVELCSGETLFQTHENLEHLAMMERVLGSLPRHMLEKAEYGFFTCAIGTIST >KQL23141 pep chromosome:Setaria_italica_v2.0:II:8693973:8695794:1 gene:SETIT_031186mg transcript:KQL23141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEAPPQAAAQDRDYKLMKEAVCEAYRAVDRGDGGPFGAVIVRDDAVLVSCHNLVRKNTDPSAHAEVTAIRQACRRLGKVDLSDCEIFASCEPCPMCIALIRASKIKKVVYGAKAEAAVAAGFDASIPEAFVEYYRKSGIEIRQVQGEAARIADKVFEKPWEIPGEAMQRRRTGGGWFEKAKGMVIRCLWN >KQL22217 pep chromosome:Setaria_italica_v2.0:II:613708:615081:-1 gene:SETIT_033226mg transcript:KQL22217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVNSSKAVKPATADAPRPDVVPLSVFDKVNFDTYVSVIYVFRPPTPPNAALEAGLARALAEYPEWAGRLGVDGRGNRAILLLNGEGARLVEATADVALDSVLPLSPAPEVRSLHPSEDGAEEVMLIQLTRFACGGLAVGFTAHHLVSDGRATNNFFLAWSQATRGVPVDPVPVHDRASFFKPRDPPRVEFDHSGVEFKKPEPAAAPLHSEAGNNVNDEVLVHKAHFSREFIARLKSQASPPGGRPCSTLRCVVAHLWRCITAARGLHAAGAATTSVCIAVDGRARMIHPVPDGYTGNVVLWARPTAAARDLVARPLRHAVELINRELARVDGSYFGSFVDFATSGAVEAEGLVPVADAAEMVLSPNIEVDSWLRIPFYDLDFGGSRPCFFMPSYLPVEGLLILVPSYYRDGSIDAYVTLFSRHMDAFKTLLVNGPTASVPIEIGPDTNTTGKITS >KQL26746 pep chromosome:Setaria_italica_v2.0:II:46077938:46080934:-1 gene:SETIT_030376mg transcript:KQL26746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHAAFAAEDVAAAAQPGRRFTSYPPASCRTAAAQGMVDPSTAAGVVARAGSWLGAVPRRAEAEHDDWMEKHPSALAAFESVLAAAKGKQVVMFLDYDGTLSPIVKDPDSAVMTDEMRDAVRGVAEHFPTAIVSGRCRDKVFNFVKLAELYYAGSHGMDIKGPTAQSKHAKAKAEAVLCQPASEFLPVIDEVCRALTATTAAIPGARVENNKFCLSVHFRCVQEEKWRALEEQVRSVLKEYPDLRLTKGRKVLEIRPSIKWDKGNALQFLLEALGFADSKNVFPIYIGDDRTDEDAFKVLRNMGQGVGILVSKIPKETSASYSLREPSEVKEFLHKLVKSKQRD >KQL23796 pep chromosome:Setaria_italica_v2.0:II:20052512:20052739:1 gene:SETIT_033166mg transcript:KQL23796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVGLGTWLQFCLLISSLCIYFFHEPDPSMMHAVPFACQMDNAFGVLVEMDFLLGVHFGGLSKCVSFRLYAFPH >KQL22737 pep chromosome:Setaria_italica_v2.0:II:5008226:5009483:1 gene:SETIT_030788mg transcript:KQL22737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEEAAAAATEVPETEVESEAPAAEEAEAAKPAKAKKAAAKEKKAPKEKKAPKEKKPAAARKPAAHPPYAEMISEAIAALKERTGSSSVAIGKYLEEKHGGNLPSNFRKQLAVQLKKLAAAGKLTRVKNSFKLPSARPAVEPKAAPKPAKAAGKPAAKPAKAAKPAAKPKASPKAKAKTAAKPKAAAAASPKPKAKAKAAAPAAAPKPRGRPPKAAKTSAKASPAKAAKKPAPATPKKEKAAATPKKAGRPKKAAAASPARKGAARKAKK >KQL22554 pep chromosome:Setaria_italica_v2.0:II:3498673:3499188:1 gene:SETIT_032324mg transcript:KQL22554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLVLLVAAAAVAAAACLPAPASATEWMVGDNGGWRAKFNTTGWADGKTFTVGDALMFMYPQGKHTVVQVGNKDDFVACNLMANAIATWNSGNDVVTLDKPGKMWFFCSVPGHCANGMKLVIDVEDGALVPAPAPAPGWF >KQL23608 pep chromosome:Setaria_italica_v2.0:II:15453953:15456986:1 gene:SETIT_032336mg transcript:KQL23608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAEDTAAAAPDSWETADIDGPMSRLILSARRVSSSPDLAEEQQQQDLPAPTQPQQGPAPPPRDDSAAQVDQFLREALEKPRERLSVLRMEQDILKFIRDPRQTQFEFPALPTSYLRLAAHRLAQHYFLQSIAIPDNSLPDGTGSRIILRKMSSECRLPAVRLADIPVNLPQEESSTVAKVAIKQRPQKNFHSINSSSAHSSRDNLQKSVEERKEEYNRARARIFNNSSSSNAADGRPAEEATLPNTLHRSTSLELNSSNRMGQGAEITLERSLTTTSASRSNRSKIEKEPAVNRNRQNNRVAIFRDRESERKDPDYDRSYDRYMQRFDPGFGFNGGPYTIQPLYAPAVNYNTEFPQLGSAHRSPVAVEQQPRPIAQHMLGSWSAGQAPNAIGYGPPDGVMTPYSPGHAGAPVRSSVFMHASQQYPMPSRPGVPFVHPPESMGQFGQTHQQQPEANLRFARPR >KQL26540 pep chromosome:Setaria_italica_v2.0:II:44972455:44977136:1 gene:SETIT_030276mg transcript:KQL26540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDDCPPLAVPQRAPSPPTPDPSEASPVGVTVITGYLGAGKSTLVNYILNEQHGKRIAVILNEFGEEIGVERAMINEGQGGALVEEWVELANGCVCCSVKHSLVQALEQLVQRKDRMDHILLETTGLADPAPLVSVLWLDDQLESSIRLDSIITVIDAKNFRVQIDEHKNSSSFPEAFHQIAFADVVILNKIDLVEDNLEDLEKHIHDVNTLVTVVRSVRCQVDLNEVFNRQAYGAKNSSHLQELLDYSKSIPPNRRHDNSISTLCIYEQDPVYLAKVESWLEDLLWEKNSSMDIYRCKGILHIQDSDQIHTLQAVREVYEVVPARKWSETESRMNKIVVIGRNLDINVLQDSFSGCKG >KQL26716 pep chromosome:Setaria_italica_v2.0:II:45919428:45927350:-1 gene:SETIT_032537mg transcript:KQL26716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSDPPRSPSPSRTLQNLLQTLETTATALPIAAAAMRRSQDRRPFRPPDWAPPPPPHHHHRDYRDRHYHSEPQYQPQHQRYRPAQPSPPPPQLAVFLLRAGPDYSAPTATEVEALVAGLPSPAPASLSVNSSGRAAARLVFRSLPDAAAAARELWKLRLEGHHLLTLELLDPALAAHASPLIASLFAAHASRLLDSDLLAVSAARSAELAASIKTVKGRLGSRNRFRDFDQLNLERKTLEAEKELVDAKIAEYQAAMRSIRRAMLSGAEEGEEEEEAVDVFGAVQGGEVDFARVHKIMLRECRRLKEGLPIYAYRRRILNHIFTNQVMILIGETGSGKSTQLVQFLADSGLAAGGSIVCTQPRKIAAISLAHRVDEESNGCNGDNSVMSYSTFLNYQGFGSKIVFTTDSCLLHHCMSDKGLNGISYIIVDEAHERSLNTDLLLAMIKKKLLDRLDLRLIIMSATADADRLAEYFYGCQTFHVKGRSFPVEIKYVPDISAEASLNTLPSISSVACATASYVTDVVRMVSFIHKNEEEGAILAFLTSQLEVEWSCESFNDPNAVVLPMHGKLSHVEQSLVFKSYPGKRKIIFCTNIAETSLTIKEVKYVVDCGLAKEYRFIPSSGLNILKVNWISQSSANQRAGRAGRTAAGKCFRLYSESDFGMMEVHQEPEIRKVHLGTAVLRILALGVGDVQNFEFVDAPDPEAINMAVNNLEQLGAIEYKYNGFELTDTGRHLVKLGIEPRLGKIMLDCFSYGLKKEGVVLAAVMANSSSIFCRVGTNEEKYKADRRKVPFCHPDGDLFTSLAVYKKWEDGLENKNVWCWQNSINAKTLRRCQETISELENCLKHELNIIIPSYWHWNPEEPTLHDASLKRIILSSLRGNLAMFSGHDKFGYQVISADQPVQLHPSCSLFIYDSKPEWVVFVEILSVPNQYLVCVTAVDHDALCTVHPMSFIRQLEMNKLQRKVITGIGNKSLRRFCGKSGQNLHKIVSLLREGCKDDRITVDIDFSCNEVLLFAKQHDMEKVFCIVNDALELEAKMLRNECDERRPGCSVLALFGSGAEIKHLELGKRYLTVEILHQNAWDIDEKDMICLVDAHVPGISNFYKFGNFQATSDEMKWGKITFLKPENAEDAVSKLNGMEFHGSLLKVVPVCSYKNPGLPFPAVKAKVSWPRKPSRGLAIVTCASGEAEFVVKDCFALGVGGRYVNCEVSKKYENCVFVTGVPLHVTEPELYDAFRGTTTRRILDIHLLRGSPAVGPSVSECAEALMREISVFMPNKNFPGQNFRVQVFDPEEKDSMMRASITFDGSLHREAATALDHLQGNVLPCCLPWQIIQCQHVFHSTVSCPTRIYNVISQAVGSLLESFRSQKGVSYNLEKNENGSFRVKLTANATKTIADLRRPLELLMEGKTINHPDLTLSAVQLLFSRDGLAHLRSVEQETGTYIHYDRQSLNVKVFGHTDQVAAAEEKLVHALLHLHEKKPHEVRLRGRNLPPNLMKEVIKRFGADLEGFKKEAPAAELHLNTRRHVLYVRGSKEEKQRVEEMISELMTSSDHNSLGQLLSENACPICFCELEDPFKLESCGHMFCKACLVDQCESAMKSQDGFPLCCLKNGCKKLLLLADLKSLLPDRLDELFRASLNAFVASSAGLYRFCPTPDCMSIYQVAAADAEGKPFVCGACYVEICTKCHIECHPFISCEAYKEYKADPDATLLEWRKGKENVKNCPSCGYTIEKTEGCNHVECRCGSHICWACLENFNSSEECYGHLRSVHLSY >KQL22519 pep chromosome:Setaria_italica_v2.0:II:3255264:3255761:1 gene:SETIT_033491mg transcript:KQL22519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAMCYSYSMVVAGDMMICAWAGHAAVQGVSN >KQL26156 pep chromosome:Setaria_italica_v2.0:II:42658139:42659988:-1 gene:SETIT_032156mg transcript:KQL26156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNRSVGVSCPGGDRISDLPDNLLHHILVLIPLVEAVRTCVLSRRWGGVWTRLPRLLFQDVDAAAAAAPRVRRFPDLVDGVLRGYADDVDIDDLFISVDVAAGVDDPVRLAAATAALAAPRVTARFGIFLSPDAVNLYMVGEATLQLPCFPRATEFSVTFMGVDLRMPETGTFARLTKLYLAGVRFTDDGEGISDAVSSRCPRIRVLELLMVDGLRVLTVASQSLLSLRLSAIMELERLGVVAGNLREMVVDTCFVLNNAGALMLLSVPALEKLHWEDCCPGQLLPWKLPGCLRRLVVTWLKLECLVDAAGGSSNFMRILQLFPRVHTLRLEVPIAPDQLDQNNPIENVNLPYCSELEFIANQTKHKFGPTIISLLKKSSCVRKLSLQMFRREQAGYIPCTSDCNCRQLSIWRDKGVDLISLEWVVMYGFSETQDEKSFIYYIMRKAKRLRRVSLVLSVGVNPTRRFLRKLCKLSMSGCNIEFYSC >KQL24786 pep chromosome:Setaria_italica_v2.0:II:33154284:33159357:1 gene:SETIT_029261mg transcript:KQL24786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGGSGLGFRGMVVEEGEEEEVVSAPERPMQRRRRRWGVEVDDGYSPSSTGGGGSSCCDSFGCDSPLAGFVRPDGDPDTDLETDGLATSSSSAFTERQDDEEVLCGVKEEEWAKVQESAKNLAGRATPECHNERYGTEAAVLLHGRKGSKQRPASLDLSSPGFHGATFSPSFVVGGVGLMKASHIRSDVFHSPGTPNYPRHRASVLGFQKGWCSERVPHPSKASRRYPGSSMAFPYSNGRTLPSKWEDAERWIFSPNSSDALIRSTVAHARRPKSKSGPLGPPGRLGGQYSSVSSVSLLDSGRAGPITSNSPFMAGVLMPEHVCGGKNANGMYLGRPAGDETAIGSSVRFCAPNGVSHAIRSSRVRRQLDAAVESSASLPSTQESIQGEQVEITEDSATTFASMISRKDAATQTSPELSRSSSPNTRPTFTRSLSTQQVKESESCFSDLEIRDVQMDDRVTLTRWSKKNVTRSSNKNSTNIIEWKEKTVESKSSSWGFAEAKCISRIEREDAKITAWENIQKAKAEAAIQKLVIKLEKKRSSSLDKILNTLKSAQRKAQVMRERDAATANQDEKGSRKAKKTAQLSKNGQISSLSGCFTCHAF >KQL24881 pep chromosome:Setaria_italica_v2.0:II:34288798:34290333:1 gene:SETIT_029772mg transcript:KQL24881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPPPPLRVVSRRSVKPPPRPRELIPVTSWDAPGLFMNYIQKGLLFAQPPLPTTELVDHLQAALAGALASYYPVAGRFVTEQHRDDQGDVVGCSFAIDCGGQGVDILHAVADGVAVADVIPPDTDVPRIVQALFPLNGAVNYDGHELPVFVAQVTELVDGVFLGFACNHALCDGTAFWNFLNAWAEIARTRLVPTSRPPLFERWLPDGGAAAPAVLPYADPSVLIKRPTPVSPLRERMLHFSANSLAALKERARQELLAAGDTAGAGALTRFQALTSLVWRCFTRARRLAPDQETVCYAAADNRGRLRPPLPAEYFGNSISAIRTEAVLASELLARGHGWAAAAVGRAVAAHTDASIRARAVAWAANPALYAAGSFPTNCVVMGSSPRFDMYGCDFGWGKALAARSGMANKMDGKVSLYPGRDGGFDVEVSMLPEYMAALEQDEEFWAAVSPDAVPPGMKE >KQL22468 pep chromosome:Setaria_italica_v2.0:II:2853299:2853817:-1 gene:SETIT_032113mg transcript:KQL22468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKRAPPCSDDASGGGGGGGARLFPCLFCSKTFLKSQALGGHQNAHKKERVAGGGDWNPYATSYAAALELDALVAAAAGSAIPTTYALVAGAQHCGGAGGGARAGEAYRDSGAAAIAARRLELVDRWTGGPLPALNGGTEHDAGDMDGLMDDVLNWRRGTQQAVPVVVVVV >KQL22478 pep chromosome:Setaria_italica_v2.0:II:2930338:2935597:1 gene:SETIT_028713mg transcript:KQL22478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYSDFCIWILQIFGIALGFNLLFEYDDLVTGVCFAMVVPNLLPYAISHLGKKMAGTVNACIAGFALLCYVLGLLVSQPQIPLTMNVMFPKLSGESAYSLMALLGANVMAHNFYIHSSVVQGQKRSSAVGLGALFHDHLFSILFIFTGIFLVNYVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPLAPTIFLVVLLFSSHIITLTSVIGSQVISQHLFGVNIPLSGHHLILKGFAIVPTLYWAKVAGAEGIYQLLIICQIIQAMLLPSSVIPLFRVASSRSIMGAHRVSLHLEILAFLAFLLMLFSNIIFVAEMLFGDSGWMNNLKGYTGSPVVLPYSVFILVACISVAFMLYLAVTPLKSGSNEAESQEWSVHSQRELLCTQGREEAKADNVSYEEDQRSDVDPSPRDLVDNYPQSAMEYADTSDTAVESDHDSQQSTAFASTIPETCPSPSFTPEESKSVVAVNWPEPLEKLSTSTVIEESTVESVDSRSTTERDVLVETDVLADKYKEDLNVLESEKSVVGSTPSCVSDDGPPSLIFSKGKGSDAGNGNGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASTKKFDILLGLDLRAPSSAARTDKQAIEIPKSPMVRDTMRGPAFMPSSVDLMSPKNEMSNLELTYGLQRGTSMGSSTWSQGMQLPNTQLQSSSNSLLEQSARLNSNFGAPSYSDNNQFYQPATIHGYQLTSYLKQMNANRNPYSSMPLDPQRLPKSSAPAAPTYVDSMMHSRNQNLLASLGATPSQIAATSRIGSMMTERSYYDPSIVDGSESAGSSAYSKKYHSSPDISAIIAASRTALLNEAKMGGAIGPQSYLSRMASERSQYANSIARPAAPLAFDELSPPKLQSDIFSAQSSMSPSARSLWAKQPFEQLFGMSSAELSKGDFNLSGRSGGMAKDDFSYKESEMKLLQSLRFCIMKLLKLEGSGGLFKQSGGRDEDLIDRVAAAERLLLQGTTENQLLHGDLQQPSSDQADIQYMRTLPNCGEDCVWRASLVVSFGVWCIRRVLDMSQVESRPELWGKYTYVLNRLQGILDPAFSKPRSALTICACLQKDIRVLNSPAHSGLSAMGPIPMPIRGTFTTAAVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLASKGQ >KQL22477 pep chromosome:Setaria_italica_v2.0:II:2930264:2935597:1 gene:SETIT_028713mg transcript:KQL22477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHETKICHQEYSKPTCVFLGIQAGLSLLTSELTMIFGIALGFNLLFEYDDLVTGVCFAMVVPNLLPYAISHLGKKMAGTVNACIAGFALLCYVLGLLVSQPQIPLTMNVMFPKLSGESAYSLMALLGANVMAHNFYIHSSVVQGQKRSSAVGLGALFHDHLFSILFIFTGIFLVNYVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPLAPTIFLVVLLFSSHIITLTSVIGSQVISQHLFGVNIPLSGHHLILKGFAIVPTLYWAKVAGAEGIYQLLIICQIIQAMLLPSSVIPLFRVASSRSIMGAHRVSLHLEILAFLAFLLMLFSNIIFVAEMLFGDSGWMNNLKGYTGSPVVLPYSVFILVACISVAFMLYLAVTPLKSGSNEAESQEWSVHSQRELLCTQGREEAKADNVSYEEDQRSDVDPSPRDLVDNYPQSAMEYADTSDTAVESDHDSQQSTAFASTIPETCPSPSFTPEESKSVVAVNWPEPLEKLSTSTVIEESTVESVDSRSTTERDVLVETDVLADKYKEDLNVLESEKSVVGSTPSCVSDDGPPSLIFSKGKGSDAGNGNGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASTKKFDILLGLDLRAPSSAARTDKQAIEIPKSPMVRDTMRGPAFMPSSVDLMSPKNEMSNLELTYGLQRGTSMGSSTWSQGMQLPNTQLQSSSNSLLEQSARLNSNFGAPSYSDNNQFYQPATIHGYQLTSYLKQMNANRNPYSSMPLDPQRLPKSSAPAAPTYVDSMMHSRNQNLLASLGATPSQIAATSRIGSMMTERSYYDPSIVDGSESAGSSAYSKKYHSSPDISAIIAASRTALLNEAKMGGAIGPQSYLSRMASERSQYANSIARPAAPLAFDELSPPKLQSDIFSAQSSMSPSARSLWAKQPFEQLFGMSSAELSKGDFNLSGRSGGMAKDDFSYKESEMKLLQSLRFCIMKLLKLEGSGGLFKQSGGRDEDLIDRVAAAERLLLQGTTENQLLHGDLQQPSSDQADIQYMRTLPNCGEDCVWRASLVVSFGVWCIRRVLDMSQVESRPELWGKYTYVLNRLQGILDPAFSKPRSALTICACLQKDIRVLNSPAHSGLSAMGPIPMPIRGTFTTAAVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLASKGQ >KQL22476 pep chromosome:Setaria_italica_v2.0:II:2929788:2935597:1 gene:SETIT_028713mg transcript:KQL22476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTEVQQGMRSMDARGGAPNLFHALGPALLISMGYIDLGKWVAAVEAGSRFGFDLVLLALIFNFTAIVCQYLAACIGTVTGKNLAEICHQEYSKPTCVFLGIQAGLSLLTSELTMIFGIALGFNLLFEYDDLVTGVCFAMVVPNLLPYAISHLGKKMAGTVNACIAGFALLCYVLGLLVSQPQIPLTMNVMFPKLSGESAYSLMALLGANVMAHNFYIHSSVVQGQKRSSAVGLGALFHDHLFSILFIFTGIFLVNYVLMNSAAAESTNTLLLTFQDVVELMNQIFVNPLAPTIFLVVLLFSSHIITLTSVIGSQVISQHLFGVNIPLSGHHLILKGFAIVPTLYWAKVAGAEGIYQLLIICQIIQAMLLPSSVIPLFRVASSRSIMGAHRVSLHLEILAFLAFLLMLFSNIIFVAEMLFGDSGWMNNLKGYTGSPVVLPYSVFILVACISVAFMLYLAVTPLKSGSNEAESQEWSVHSQRELLCTQGREEAKADNVSYEEDQRSDVDPSPRDLVDNYPQSAMEYADTSDTAVESDHDSQQSTAFASTIPETCPSPSFTPEESKSVVAVNWPEPLEKLSTSTVIEESTVESVDSRSTTERDVLVETDVLADKYKEDLNVLESEKSVVGSTPSCVSDDGPPSLIFSKGKGSDAGNGNGSLSRLSGLGRAARRQLAAILDEFWGHLFDYHGKLTQEASTKKFDILLGLDLRAPSSAARTDKQAIEIPKSPMVRDTMRGPAFMPSSVDLMSPKNEMSNLELTYGLQRGTSMGSSTWSQGMQLPNTQLQSSSNSLLEQSARLNSNFGAPSYSDNNQFYQPATIHGYQLTSYLKQMNANRNPYSSMPLDPQRLPKSSAPAAPTYVDSMMHSRNQNLLASLGATPSQIAATSRIGSMMTERSYYDPSIVDGSESAGSSAYSKKYHSSPDISAIIAASRTALLNEAKMGGAIGPQSYLSRMASERSQYANSIARPAAPLAFDELSPPKLQSDIFSAQSSMSPSARSLWAKQPFEQLFGMSSAELSKGDFNLSGRSGGMAKDDFSYKESEMKLLQSLRFCIMKLLKLEGSGGLFKQSGGRDEDLIDRVAAAERLLLQGTTENQLLHGDLQQPSSDQADIQYMRTLPNCGEDCVWRASLVVSFGVWCIRRVLDMSQVESRPELWGKYTYVLNRLQGILDPAFSKPRSALTICACLQKDIRVLNSPAHSGLSAMGPIPMPIRGTFTTAAVVLEMIKDVETAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLASKGQ >KQL26573 pep chromosome:Setaria_italica_v2.0:II:45177536:45181872:1 gene:SETIT_030189mg transcript:KQL26573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLVSCLSDPCPSASPPPQAKRRSSTSSRGRGGGGRDSAKASMSIDEEALAAAAALVLGQRGAVGAFERSASVRYAAKRQGQGPPLPRSCSTRPRSLADPELQPQQLLAKDLNSKDLETNIIVLVHGGGFGAWCWYKTISLLEDSGFKVNAIDLTGSGIHSYDTNKISSLSEYAEPLTSYLKGLGDAEKVILVGHDFGGTCISYAMEMFPSKVAKAVFVCAAMLTNGHSALDMFQQQMDANGTLQKAQEFVYSNGKDRPPTAINIDRALLRDLLFNQSPSKDVSLASVSMRPIPFAPVLEKLQLTAENYGSVRRFYVETTEDNAIPLHLQQSMCGINPPEKVLRLKGADHAPFFSKPQALHKTLVEIAAVPPVQAS >KQL22854 pep chromosome:Setaria_italica_v2.0:II:5851496:5853556:1 gene:SETIT_029527mg transcript:KQL22854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSIVMDMASMRPPAEPKKVCVVGAGMSGLAAARELRREGLAVTVLEQCGDIGGQWLYDPRTDADDPLGAAVPVNVHGSMYASVRLISTRETMGFSDFQFVPRPGAAGRDARRFPGRREVYCYLKDFCAAFGLADAVRLNTRVVRVAMAAPAPREASGGDVKWLVRSVHVQPDGSEDGVAAEEVFDAVVVANGHYSQPRLPKIQGMGEWSRRQLHSHSYRVPDPYRDETVVIVGCGDSGLDIALDLSGVAKEVHLSARTVGDATTPAVSNILANHSDMHLHPQVHRLCEDGRVVFADGSSVVADTVIYCTGYLYSFPFLDTGGVVTVDDNRVGPLFEHTFPPALAPSLSFVGIPMRVFVPWFLEAQARWIARVLSGTAALPPEQDMLQAVQEDYRAREMAGLPARYSHDIGMFKISEILEFVNRYTDLPNMEDWKMELLLTTLGNMKDNRETFQDRDDYSENVNQGFQRWLSLAGAQYEAAIAAGGAGDDDAGGDAAEVQQKPLPLHLKSEL >KQL22855 pep chromosome:Setaria_italica_v2.0:II:5851496:5853556:1 gene:SETIT_029527mg transcript:KQL22855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSIVMDMASMRPPAEPKKVCVVGAGMSGLAAARELRREGLAVTVLEQCGDIGGQWLYDPRTDADDPLGAAVPVNVHGSMYASVRLISTRETMGFSDFQFVPRPGAAGRDARRFPGRREVYCYLKDFCAAFGLADAVRLNTRVVRVAMAAPAPREASGGDVKWLVRSVHVQPDGSEDGVAAEEVFDAVVVANGHYSQPRLPKIQGMGEWSRRQLHSHSYRVPDPYRDETVVIVGCGDSGLDIALDLSGVAKEVHLSARTVGDATTPAVSNILANHSDMHLHPQVHRLCEDGRVVFADGSSVVADTVIYCTGYLYSFPFLDTGGVVTVDDNRVGPLFEHTFPPALAPSLSFVGIPMRVFVPWFLEAQARWIARVLSGTAALPPEQDMLQAVQEDYRAREMAGLPARYSHDIGMFKISILEFVNRYTDLPNMEDWKMELLLTTLGNMKDNRETFQDRDDYSENVNQGFQRWLSLAGAQYEAAIAAGGAGDDDAGGDAAEVQQKPLPLHLKSEL >KQL25088 pep chromosome:Setaria_italica_v2.0:II:35801243:35804581:1 gene:SETIT_031537mg transcript:KQL25088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEADRAPDWSTSPAKAQPPDQASTDLRKAAAARVSLVLGERGGLAAPARDGKGQQQRATAGSGPRRLMMKPTMTLKIRKEEVHKDELSIEVSTNKNKTQDGEMESQLYGYSFGALEKS >KQL25086 pep chromosome:Setaria_italica_v2.0:II:35801243:35803508:1 gene:SETIT_031537mg transcript:KQL25086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEADRAPDWSTSPAKAQPPDQASTDLRKAAAARVSLVLGERGGLAAPARDGKGQQQRATAGSGPRRLMMKPTMTLKIRKEEVHKDELSIEVFIA >KQL25087 pep chromosome:Setaria_italica_v2.0:II:35801243:35804581:1 gene:SETIT_031537mg transcript:KQL25087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEADRAPDWSTSPAKAQPPDQASTDLRKAAAARVSLVLGERGGLAAPARDGKGQQQRATAGSGPRRLMMKPTMTLKIRKEEVHKDELSIEYQ >KQL26237 pep chromosome:Setaria_italica_v2.0:II:43230069:43232521:-1 gene:SETIT_029479mg transcript:KQL26237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVVVNTGGGKDYPGKLTMFVLFACIVAATGGLIFGYDIGISGGVTSMNPFLMKFFPSVYRKEQEAERNQTNQYCKFDSQLLTMFTSSLYLAALVASFFAATVTRVAGRKWSMFGGGVTFLIGAALNGAAKDVVMLILGRVLLGIGVGFANQAVPVYLSEMAPARLRGMLNIGFQLMITIGILCANLINYGTAKIKGGWGWRVSLALAAVPAAIIAIGALFLPDTPNSLIDRGYTDAAKRMLKRVRGTEDVEEEYNDLVAASEESKLVAHPWRNILQPRYRPQLVMAIAIPMFQQLTGINVIMFYAPVLFKTLGFADDASLMSAVITGLVNVFATFVSIVTVDRLGRRKLFLQGGTQMLACQIVVGSLIGAKFGFSGVAEIPKAYAAIVVLFICAYVAGFAWSWGPLGWLVPSEIFPLEIRSAGQSINVSVNMLCTFIIAQAFLPMLCRFKFILFFFFGAWVVVMTIFVALFLPETKNVPIEEMVLVWKNHWYWGRFIRDEDVHVGADLEMRGGANGNGKLAGMQ >KQL27255 pep chromosome:Setaria_italica_v2.0:II:48844595:48847227:-1 gene:SETIT_029200mg transcript:KQL27255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAPSKLEDNKVLVLCQERKRLVREALDGRCALAASHYAYILSLREIGSLLRKCFEESITNVSPSLLYVNHTSAGRNSVKTTEEVSVPAQSSFPGAREGSQDIENADGVRHLQEEEVIPELEEGENTPTNGYGGFAESEDDFDNPSTETLVQVFKNRNDVLVASTDSHSRHALENIASQSTDSRNRNSKNKMTMTGRSSLDVLPVDGELKKPYTDVGNVVRDINSCMKKVEILFFRACDSGKEVPFILEEDKVQFRLLLPEEIAHGSKPSSFLAALFACRSEDTTVPEFPPQADIKYLTWHRSVSSQLSPSRNSLGINAGSHISTLDRLYAWESKLYDEVKASNANCRKYDEKRKKLRHLEARGGNQIDIDFTRAAVKDLHSRVLVSVQKIDFISKSIEDMRDEDLQPQLDELVGCFTRMWATMLECHQSHCGIIKFAFRSCSLKISFQSESQCQAALLLLVELRKLCSNFQNWIASHKAYLCSLNLWLHKCMKPLKRRKVSRKRNAVDVSLTGSAVAPIFTTCEMWIKLLDDLPTRDLEEAIEGLIADTCRSIPRQGKVPNDGKGGDVQTSHAPADLQSSLLRFLEKLEAFSEISVQRYVDLQKNVSAAKERIWRKDSNSAANTNYLILV >KQL27254 pep chromosome:Setaria_italica_v2.0:II:48844218:48847227:-1 gene:SETIT_029200mg transcript:KQL27254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAPSKLEDNKVLVLCQERKRLVREALDGRCALAASHYAYILSLREIGSLLRKCFEESITNVSPSLLYVNHTSAGRNSVKTTEEVSVPAQSSFPGAREGSQDIENADGVRHLQEEEVIPELEEGENTPTNGYGGFAESEDDFDNPSTETLVQVFKNRNDVLVASTDSHSRHALENIASQSTDSRNRNSKNKMTMTGRSSLDVLPVDGELKKPYTDVGNVVRDINSCMKKVEILFFRACDSGKEVPFILEEDKVQFRLLLPEEIAHGSKPSSFLAALFACRSEDTTVPELSSQLSPSRNSLGINAGSHISTLDRLYAWESKLYDEVKASNANCRKYDEKRKKLRHLEARGGNQIDIDFTRAAVKDLHSRVLVSVQKIDFISKSIEDMRDEDLQPQLDELVGCFTRMWATMLECHQSHCGIIKFAFRSCSLKISFQSESQCQAALLLLVELRKLCSNFQNWIASHKAYLCSLNLWLHKCMKPLKRRKVSRKRNAVDVSLTGSAVAPIFTTCEMWIKLLDDLPTRDLEEAIEGLIADTCRSIPRQGKVPNDGKGGDVQTSHAPADLQSSLLRFLEKLEAFSEISVQRYVDLQKNVSAAKERIWRKDSNSAANTNYLILV >KQL26841 pep chromosome:Setaria_italica_v2.0:II:46584410:46586506:1 gene:SETIT_032304mg transcript:KQL26841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLYSAVKRRINLRLRRPPLASPATPPRHGCHSHSSCGPREAAVSHDSLLLRLQSGPVLAEVRRLHAALLVRGYRRSTVLAAQLVRAYARLGDAGLGHALRVFDGMPRRNSFAWNAVIKALVDAGRFSEALERYWDMVSDGLVAADRFTYPPVLKACAVLGAIEQGRRVRENIEIEIAGGSVVPNVFVQCALVDMFAKCGCLGEARSVFESMGVRDLAAWTAIIGGAVHEGDWFEVMNLFNRMRSEGFLPDSVIFATVIPACGRVKELRTGMALQGCAVRCGVGDDTRVSNALVDMYCKCAYLDMAASLFWSIDCKDVVSWSTIIAGHSQNGMYCSSVKLFTEMVSSGVKPNSTTLATILPSLSELKLSRYGKAIHCFSVRNGLDRSEFLVSAFIDFYSKQGLIGEAETVFEFTPKKDLVIWNSMVGGYAVNVDYESALHALRELQKVGLRPDHVTVVSVLPLCNRHSWLIQGKELHAYAIRHNISSVCSVSNALIDMYCKCGCLEIASRIFLIMTERNTITYNTLMSSLGKHGHDDQAFILFDLMKRDRIFPDKVTFVALLSCCSHAGLIDKGLCFYDSMLQDYNISPDKEHYSCIVDLYSRSGKLDDAWSFITNLQEVPEIDVLGCLLSACREHNRMDVAELVAERIFEQNPSDPGYHILLSNIYANAGMWSDVTRIRTMIGERNLKKRTGNSLI >KQL24702 pep chromosome:Setaria_italica_v2.0:II:32379724:32384549:1 gene:SETIT_030000mg transcript:KQL24702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIACSKQQFAAGGPPLHEPPEDEDVVDGGAIGGGAATPSTRHAIKALTAQIKDMALKASGAYRHCKPCSGSSAAASRRHHPYHHRGGSGFGGSDAGSASDRFHYAYRRAGSSAASTPRLRAGGAALSSGDATPSMSVRTDFPAGDEEEDDEMASEGGGKEDDAKEWVAQVEPGVLITFVSLAQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQTVPLPTTPKSEDESSKEDSPVTPPLDKERLPHTLHRPMSSGGAMGYSSSDSLEHHLNRYCNGHHHHHGHQFCDSMGLASTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHEQYL >KQL24703 pep chromosome:Setaria_italica_v2.0:II:32380354:32384549:1 gene:SETIT_030000mg transcript:KQL24703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKASGAYRHCKPCSGSSAAASRRHHPYHHRGGSGFGGSDAGSASDRFHYAYRRAGSSAASTPRLRAGGAALSSGDATPSMSVRTDFPAGDEEEDDEMASEGGGKEDDAKEWVAQVEPGVLITFVSLAQGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVQRFNQTVPLPTTPKSEDESSKEDSPVTPPLDKERLPHTLHRPMSSGGAMGYSSSDSLEHHLNRYCNGHHHHHGHQFCDSMGLASTPKLSSISGAKTETSSMDASMRTSSSPEEVDRSGELSVSISNASDQEREWVEEDEPGVYITIRALPGGIRELRRVRFSREKFSEMHARLWWEENRARIHEQYL >KQL24899 pep chromosome:Setaria_italica_v2.0:II:34473782:34474365:1 gene:SETIT_033216mg transcript:KQL24899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSYTTRAWHGRVDPAKPLMEARACFKNHIWYHINFWARSRSSNPGGTAKIKRSFAELRYKQDDGPTIVETCTLIGRSCGFCPGEYDILHPINGKYLSGKRFPVIKGEFTWHGHTLLELPFTGRRDQARRRYEDGTGLFRCLLNWVQCIFHYLRAAFAFWRAFGPSEQASD >KQL23510 pep chromosome:Setaria_italica_v2.0:II:14341928:14343314:1 gene:SETIT_032262mg transcript:KQL23510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPKLPSNFQIKSFDPSTTIMKKNELKISNLYLHHAYREPSPTHLTLLSPKGQSGFGATVSNNWAIHDGPDLSKDAIVARSQGLHMQSGNWHNSFTIAFEIDGLKDSTLQVMGLGVDKGTDQWSIVGGTGQFTFAQGFINKKLHKVVDTGNIIELDIYAIFQTKYTHTYTRDGPKGGDAGQAREPKYEPHRLETIKIDHGDLIYSIEYSHIDQYGTKHTEGRGTEGSETGIIELGPTEFVHEVSGTLGKCNNIYTVLSSLTIVTNLRTLGPYGKETSESPFSLPEKKGGSVVGFFASTGVAVGALGVIVRQ >KQL26257 pep chromosome:Setaria_italica_v2.0:II:43368409:43369257:-1 gene:SETIT_031280mg transcript:KQL26257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSICPALNSPRPPPVPHVASRGIASYWRCLPPAPRSPLLTSQKPRHRELAAGLAPLRIAAALPLYKKAPHASRLVCWCCWSLGEPGRERNQKGIKEREGMVFFCFLVDQRRTVRSSKPAAGICSRCGGCASVADMETSTRVCYLLTVHRRTWRAIICTFCGAMLKSYRHYRLY >KQL26482 pep chromosome:Setaria_italica_v2.0:II:44644300:44644702:1 gene:SETIT_033729mg transcript:KQL26482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVKQGRLADGRRRSDNNVEMVDEDADTMLVAWTWWYGFSST >KQL23177 pep chromosome:Setaria_italica_v2.0:II:8950521:8953549:1 gene:SETIT_029793mg transcript:KQL23177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSLLAKTSLLLLFLLILVLYSWLSPGAAAAAAAASSALGGDLLGTARAPGFAAWLRGVRRRIHERPELAFQEHRTSELIRAELDAIGVPYAWPVAQTGVVATIAGGGGGGDGPVVALRADMDALPLQELVDWEHKSKESGKMHACGHDAHTTMLLGAAKLLHSRKDDLKGTVKLVFQPAEEGYAGAFHVLREGVLDDVSAIFGLHVDPSLPVGAVASRPGPFLAASGRFLVTVTGKGGHAAGPQDAVDPIVAASSAIVNLQLLVAREIDPLQAAVVSVTFMKGGHAHNVIPELVSFGGTLRSLTTEGFSYLKKRIKEIIEAHAIVHRCTASVDFMEEQLRPYPATVNDEGMYHHAKEVAETMLGQDNVRRGTPLMAAEDFSFYAQKFAGAFFMIGVRNKTIEAVHPLHSPYFVIDEDVLPVGAAFHASVAIEYLNKHAAMANGTGHRHLLIAL >KQL22704 pep chromosome:Setaria_italica_v2.0:II:4677761:4684348:1 gene:SETIT_029221mg transcript:KQL22704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAHSLLHPPAARKAPTSSSSPSPSPFLRLPAPARPRLPLRLRSTSPAAASDLTDFPNPNGILAPIDVDAATEAELRENGFRSTRRTKLVCTVGPATSSPEQLEALSVGGMNVARLNMCHGDREWHRGVIRAVRRLNEEKGYAVAVMMDTEGSEIHMGDLGGASSAKAEDEEVWTFSVRSFELPLPERTINVIMTGLLKVYKLTYLLISFAVIVHCHNFASKSYTDVRVGDELLVDGGMARFEVIEKLGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIKHFKSYIAARSRGRENSESTRYPLSGVPPFLNNAVEQHDSRKNNKNCLKLYGLPQPGVFSVPKKQHLVSVIAKIESIDSLKNLEEIIRASDGAMVATLDMGAQVPLEQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEALELQGVSSSFSDKISEEICNSAAKMANGLGADAVFVFTKTGHMASLLSRCCPDCPVFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQGEEEEPAEEHGCCAQAQPLPRHCPQDGYPR >KQL24033 pep chromosome:Setaria_italica_v2.0:II:24993914:24995584:1 gene:SETIT_033058mg transcript:KQL24033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADGGACEECRYNQLASPCCRAGLLRRSPRVRRGTSGEDRISALPDDLRLKIISHLDCVRAAANMSLLSRKWNGLWRHLPKLVFHNITPDPLIAALGQVPHPAGSSLNIYLQIHHKLSAERISSILRAAAPIEPANLHAVFFMDEDADTSVPIELPIFDRATSIKLSFPPLETTLLPTGDFTKVETLSLTFCNIDLCDLLPRCPKLRKLKISCEPLDSIKVHSDSLEELDVYTLSDVRVIDIATPKLKKLRFASNEGTEDAFSLSFSAPLLDDLLWQWWCKSSFGALWRMWTLKLKTIEPHGRTHLASNGESSCLKLHQRPHNNILLLKMGRSRYARNVFRSFGLDISRIPVRFSILELDITTGRHVYGAVVLEVLGFCTSIQRLKIMLNRRQERKRRGTCRASCPCDRPRNWRSQSITLTNLKEVEVEGFSGEDHEVDLLKVIFRSTTMLEGVTLKFSRNVSPECSVYKELPSILDAHPSVKFNIYSCYHFQES >KQL25693 pep chromosome:Setaria_italica_v2.0:II:39880633:39881436:-1 gene:SETIT_0322552mg transcript:KQL25693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGTELFCLLRDKHGADFQSFIREKISPLAGDMRDENLGLESTRAKQLFEEIDIIVNGAAITDFYERYDVALASNTFGTVHVCNFAKQCSHLKLLLHVSTESCGGLEAQGMVMDTRLTLIPIASTWRLYLFSTHIPAVLKVAAHMKKEGSA >KQL26301 pep chromosome:Setaria_italica_v2.0:II:43641619:43642994:-1 gene:SETIT_031333mg transcript:KQL26301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPVHPLATSAVGGGGFLAVLPRSLRERRGRTCRKVVSFSSSGKGGEESAAPGETPEEARRRLAELDALLEGLVEPKMRPPMPPPPPDLYMDRDMITGRGSTDELPEFSPTYVAFSTLALVILTIFTNVMFNLYIKPSVDGVDQPVRIQRVPLVNPADRQFE >KQL27305 pep chromosome:Setaria_italica_v2.0:II:49093876:49096917:-1 gene:SETIT_029273mg transcript:KQL27305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTYKCCLIFKRRFQSRDAAPPDDVRALFNLHSGGGTHMGADGLRRYLDATEDALDDGEVDRLLDQIRIQQQQGRARLPRLSRPLLALDDFHRYLFSHDLNPPIRRPQVHHDMSHPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSAKDDINILHGRTLTTPVSLIKCLRSIKEYAFVASPYPVIITLEDHLPADLQAKVAEMVLEVFGNTLFYPGTDHFKEFPSPEELKGRVLLSTKPPKEYLEAKVGTMKEGDADPHPGKGAADDAAWGKEVPDFQTEIQSANKQHDDDGSDHQRDDEEDDDDDDDEEQIMHPHSAPQYKQLITIRAGKPKGSLAAALNSDPDKVRRLSLSEQQLAKLAEDHGTEIVRFTQRNILRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTEPEVFDPRERQPVKKTLKVKVYLGDGWRMDFKQTHFDQYSPPDFYARVGIAGVPADSMMKKTRAIEDNWVPVWEEEFTFPLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELRPGIRAVALFDRKGNQYNHVKLLMRFEFV >KQL27304 pep chromosome:Setaria_italica_v2.0:II:49093686:49096917:-1 gene:SETIT_029273mg transcript:KQL27304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTYKCCLIFKRRFQSRDAAPPDDVRALFNLHSGGGTHMGADGLRRYLDATEDALDDGEVDRLLDQIRIQQQQGRARLPRLSRPLLALDDFHRYLFSHDLNPPIRRPQVHHDMSHPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSAKDDINILHGRTLTTPVSLIKCLRSIKEYAFVASPYPVIITLEDHLPADLQAKVAEMVLEVFGNTLFYPGTDHFKEFPSPEELKGRVLLSTKPPKEYLEAKVGTMKEGDADPHPGKGAADDAAWGKEVPDFQTEIQSANKHDDDGSDHQRDDEEDDDDDDDEEQIMHPHSAPQYKQLITIRAGKPKGSLAAALNSDPDKVRRLSLSEQQLAKLAEDHGTEIVRFTQRNILRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTEPEVFDPRERQPVKKTLKVKVYLGDGWRMDFKQTHFDQYSPPDFYARVGIAGVPADSMMKKTRAIEDNWVPVWEEEFTFPLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELRPGIRAVALFDRKGNQYNHVKLLMRFEFV >KQL27306 pep chromosome:Setaria_italica_v2.0:II:49093876:49096318:-1 gene:SETIT_029273mg transcript:KQL27306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTYKCCLIFKRRFQSRDAAPPDDVRALFNLHSGGGTHMGADGLRRYLDATEDALDDGEVDRLLDQIRIQQQQGRARLPRLSRPLLALDDFHRYLFSHDLNPPIRRPQVHHDMSHPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALQRGVRVIELDMWPNSAKDDINILHGRTLTTPVSLIKCLRSIKEYAFVASPYPVIITLEDHLPADLQAKVAEMVLEVFGNTLFYPGTDHFKEFPSPEELKGRVLLSTKPPKEYLEAKVGTMKEGDADPHPGKGAADDAAWGKEVPDFQTEIQSANKQHDDDGSDHQRDDEEDDDDDDDEEQIMHPHSAPQYKQLITIRAGKPKGSLAAALNSDPDKVRRLSLSEQQLAKLAEDHGTEIVRFTQRNILRIYPKGTRVTSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTEPEVFDPRERQPVKKTLKVKVYLGDGWRMDFKQTHFDQYSPPDFYARVGIAGVPADSMMKKTRAIEDNWVPVWEEEFTFPLTVPEIALLRVEVHEYDMSEKDDFGGQTVLPVSELRPGIRAVALFDRKGNQYNHVKLLMRFEFV >KQL25467 pep chromosome:Setaria_italica_v2.0:II:38412215:38414576:-1 gene:SETIT_030636mg transcript:KQL25467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSSLASSHLSRLADPRRAAAAAAPAAPQQLRIGSSRRRAQRVVAMAGSGKFFVGGNWKCNGTKDSISKLVSELNAATLEADVDVVVAPPFIYIDQVKNSLTGRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHIIGEDDEFIGKKAAYALSQNIKVIACIGELLEEREAGKTFDVCFEQMKAFADSISNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPDVASSVRIIYGG >KQL25466 pep chromosome:Setaria_italica_v2.0:II:38412215:38414576:-1 gene:SETIT_030636mg transcript:KQL25466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSSLASSHLSRLADPRRAAAAAAPAAPQQLRIGSSRRRAQRVVAMAGSGKFFVGGNWKCNGTKDSISKLVSELNAATLEADVDVVVAPPFIYIDQVKNSLTGRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHIIGEDDEFIGKKAAYALSQNIKVIACIGELLEEREAGKTFDVCFEQMKAFADSISNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPDVASSVRIIYGG >KQL25468 pep chromosome:Setaria_italica_v2.0:II:38411154:38414716:-1 gene:SETIT_030636mg transcript:KQL25468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSSLASSHLSRLADPRRAAAAAAPAAPQQLRIGSSRRRAQRVVAMAGSGKFFVGGNWKCNGTKDSISKLVSELNAATLEADVDVVVAPPFIYIDQVKNSLTGRIEVSAQNVWIGKGGAYTGEISAEQLVDIGCQWVILGHSERRHIIGEDDEFIGKKAAYALSQNIKVIACIGELLEEREAGKTFDVCFEQMKAFADSISNWADVVIAYEPVWAIGTGKVATPEQAQEVHAAVRDWLKTNVSPDVASSVRIIYGGSVNAGNCAELAKKEDIDGFLVGGASLKGPDFATIVNSVTAKKVAA >KQL22754 pep chromosome:Setaria_italica_v2.0:II:5113739:5114287:1 gene:SETIT_031567mg transcript:KQL22754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLGVVTITTTTQLERELSRNPNKLIVLEFMAPWSEPSKVMKIPYRQIAGNSSKDKVSFYTLNVDKFRDLAEEVGVEALPTYVLVKDEDVKDMIVGMKRQELEKAIGDWSK >KQL22753 pep chromosome:Setaria_italica_v2.0:II:5113587:5114554:1 gene:SETIT_031567mg transcript:KQL22753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVTITTTTQLERELSRNPNKLIVLEFMAPWSEPSKVMKIPYRQIAGNSSKDKVSFYTLNVDKFRDLAEEVGVEALPTYVLVKDEDVKDMIVGMKRQELEKAIGDWSK >KQL22755 pep chromosome:Setaria_italica_v2.0:II:5113587:5114554:1 gene:SETIT_031567mg transcript:KQL22755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVTITTTTQLERELSRNPNKLIVLEFMAPWSEPSKVMKIPYRQIAGNSSKDKVSFYTLNVDKFRCRIWRRKLVWRRCRRTFWSRTRT >KQL22751 pep chromosome:Setaria_italica_v2.0:II:5113651:5114077:1 gene:SETIT_031567mg transcript:KQL22751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVTITTTTQLERELSRNPNKLIVLEFMAPWSEPSKVMKIPYRQIAGNSSKDKVSFYTLNVDKFRVCTVWMVPS >KQL22752 pep chromosome:Setaria_italica_v2.0:II:5113587:5114554:1 gene:SETIT_031567mg transcript:KQL22752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWSEPSKVMKIPYRQIAGNSSKDKVSFYTLNVDKFRDLAEEVGVEALPTYVLVKDEDVKDMIVGMKRQELEKAIGDWSK >KQL24264 pep chromosome:Setaria_italica_v2.0:II:28217502:28219802:1 gene:SETIT_032708mg transcript:KQL24264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRARRLHPALRHLLLPTTSAPSRHPLLPPHHPAATQTSKPSPILFRRHLCSPPPPPNAAACIAAPAVVSSDLPAVTTNSVCPGCGITMQSSDPALPGFFSLPSPKSPDYRARLVPITADDTRISASLKSGHLREGLEPSRGAEPAAAAAAETAEAKGEGKVLVCARCHSLRHYGHVKHPDAERLLPDFDFVAAVGPRLASPSGARSLVLLLADASDFDGSFPRAVARLVAAAGEAHRKDWKHGAPANLPRALLVVTKLDLLPTPSLSPDDVHAWAHARARAGAGADLRLAGVHLVSAARGWGVRDLLDHVRELTGARGNVWAVGARNVGKSTLLNAIAKCSGVVGRPTLTEAPVPGTTLDVIKVDGVLGAQAKLFDTPGLLHGHQLTSRLTSEEMKLVQVRKEMRPRTYRIKTGQSIHIGGLVRLDVEELTVGSIYVTVWASPLLPLHMGKTENAATMIKEHFGLQLQPPIGQDRVKELGKWVRKQFKVSGNSWDTNSKDIAIAGLGWFGVGLKGEAVLGLWTYDGVDVISRSSLVHERASIFEEAGFTVSQIVSQADSMTNKLKGTKKTNKKKESKTNTSPVTAPEAAESASTVDA >KQL26097 pep chromosome:Setaria_italica_v2.0:II:42339215:42340039:1 gene:SETIT_031896mg transcript:KQL26097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPLPPLLLLLLVAAVASTPAPAAGDGGAGALPVINPISCLCNSTSVRRTYQPGSAFATNLADLSRALPRNASASGFSAGAFGAAAPGTAYGLVLCRGDFTGTTCASCLEAGFRYAEQNCFSSSDVAVYYDQCQLRFSDKDFLAGGGNAPESAATNMNNVSHGNVAAFDALVAQLVAAVADKASNASRRYATGQAGFPPQKMNVYALAQCTPDLTTAQCRGCLAGIIREMPSWFTGRIGGRILGVRCDIRYEDTVFMATGADMVKLTPLVNSSK >KQL26925 pep chromosome:Setaria_italica_v2.0:II:46950190:46952945:1 gene:SETIT_029351mg transcript:KQL26925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTLHAPAAPDPFADLPFPEFQAPVDGDDFAFEDFDLEDLDLDVDFDLDLFASDGQLSQPPPLATSSSSAGSPEGGSSSSGGGGDGGVRNEESSESSSRSASGTDGIGKGKGEEDEAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSTRISCITAENAALKQQLGGAAGAAPPPPMPMYPAVYPLPMPWMHPAYAIRGSQVPLVPIPRLKPQQPAPAAAEPPSKKARKTKKVASVSLLGLLCLVMLCGCLIPAVNRMNGSVDAGEGAGFGPSHHGRVLAVEGPRDSVSDSIDPKPPQASSETLPALLYLPKNGKHVKINGNLVIKSIVASEKASSRMSGYDGKSPRNQGKEETSLAIPGYVAPLEAGEVMESTTGMKNKLMALAPTDGNMYREDDGLLPQWFSEAMSGPLLSSGMCTEVFQFDVSPSSAHANGIVPVYSNAMSNSSQNFTENLPSRPHTVKNRRISYSEAIPLRGSKSNDTDHLKTTPKNESFGSTKPVSSVVVSVLADPREAGDGNGEGRISSKSLSRIFVVVLIDSVKYVTYSCVLPFKSHSPHL >KQL26924 pep chromosome:Setaria_italica_v2.0:II:46950323:46951627:1 gene:SETIT_029351mg transcript:KQL26924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPTLHAPAAPDPFADLPFPEFQAPVDGDDFAFEDFDLEDLDLDVDFDLDLFASDGQLSQPPPLATSSSSAGSPEGGSSSSGGGGDGGVRNEESSESSSRSASGTDGIGKGKGEEDEAKRRARLVRNRESAHLSRQRKKQYVEELEGKVKAMQATIADLSTRISCITAENAALKQQLGGAAGAAPPPPMPMYPAVYPLPMPWMHPAYAIRGSQVPLVPIPRLKPQQPAPAAAEPPSKKARKTKKVASVSLLGLLCLVMLCGCLIPAVNRMNGSVDAGEGAGFGPSHHGRVLAVEGPRDSVSDSIDPKPPQASSETLPALLYLPKNGKHVKINGNLVIKSIVASEKASSRMSGYDGKSPRNQGKEETSLAIPGYVAPLEAGEVMESTTGMKNKLMALAPTDGNMYREDDGLLPQWFSEAMSGKMPYSFHTLYNL >KQL22277 pep chromosome:Setaria_italica_v2.0:II:1200389:1201834:1 gene:SETIT_032506mg transcript:KQL22277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVFTAIMGEIANKSISFLIDKCSNNLDTPTEEDRRIKTLQSLLLRACVIVEEADGRNITNQAMVHQLNILRKEMYRGYFVMDSLITSQTSEEDKSKDHDVSSNPFAISKFNPVKRLFFHTRSTHGENELQQVLGNLNNIITDMREFIEFMKEYPPLYRQPYSMHLFLDKCMFGRQMEMERIMNFLMTEGPPSAKDVDVLPIVGPVNVGKSTLVGHVCNDERVRNNFARIVFITEVDIKDNGLTDLKDGGLIIHQNNSPNGNERLLSIIEFPDDVDEAGWKMYLAFATRLAATVKIIITSRSSKIIGFGTTQALILNFLPPEAYWYFFKVITFGSAYPKDHPKLESIAMEICRGINGSFKVANIVSSLLRDSLNARHWFVVHKFLKLLMEMNICLTAHESKEVRTYYYLKRMVNNDHFIIYDYHESFADDMVPKTTLCDVIFGSVRCEGKFKVLSWKSRIPPYKNYIYTCDMKKKKKKTT >KQL26050 pep chromosome:Setaria_italica_v2.0:II:42043160:42043816:-1 gene:SETIT_033286mg transcript:KQL26050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEATKKKGVMSRRRKAHCTACCVVLAVLAILGALALAFYLHYRPRPPRVVATPVDLSIDEFALLPHPTLRVSVGVHVVVTNPSNSPYRYGAALSAVTYHGAPVGETLVPAGEIGGKSTARVEPVTVVDGVRVAESPHFAADAVAGVLPFVAVVRVVGKALVLHAFEVPVTVEVVCLVRMYVFHGESSSRCASTVRTGSRAAVSGSGGGFPAGHAHEH >KQL24406 pep chromosome:Setaria_italica_v2.0:II:29648717:29649070:-1 gene:SETIT_032979mg transcript:KQL24406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSQTSFSERTNTLFSMAKDLSQEFGGHAIVAFSSTGEPKAYEAPTADSIIRTYLPKIHSSPSLACFETAGEDAARVDGMKQEVEQTAFLANAERACQNAAWSKFLVTQMRMGKQN >KQL25560 pep chromosome:Setaria_italica_v2.0:II:39044091:39044759:1 gene:SETIT_031413mg transcript:KQL25560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKRLVQMAKKWQRMAGLARKRITSAPAKETEGPCSTSTSVAGKGCCVVYSADGRRFEVPLAYLGTAVFGELLNMSQEEFGFAGDDGRITLPCDAAVMEYVLCLLRRDASEEVVRAFLSSMARPCHYGNGLAQSMGVSQQVAVASF >KQL24348 pep chromosome:Setaria_italica_v2.0:II:29134865:29136677:1 gene:SETIT_030944mg transcript:KQL24348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRDWENEGRATPCSLHPLESVKGGPLPSSPRRSWRRGAGGSPRSVRRFPGTLPRPPQRRAPRARRRGQEGVAHQGLRNHFNPVEITQAYEKNGAACLSILTDEKHFLGSFKNMKTIHNSGVNFPLLCKDFIIDIWQIYYARSKGADAILLIAAVLPDLDIKYMLRVCRSLGMTALVEVHDVRELDHVLKIDGVQPIGINNCSLGTFEVDTTNTNMLLEKRGDIIRKKKIMVGWSGFSYKKTL >KQL24283 pep chromosome:Setaria_italica_v2.0:II:28505546:28507098:-1 gene:SETIT_033207mg transcript:KQL24283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQQGRRQRRSRRATLLLAFAALAMERADAALLPAVYREIGAALQASPTALGSIALSRSVVQTACYPLAAYLAARHDRLTVIALGAFVWAAATFLIGFSTTFPQMAVTAALNGVGLALQIPAIYAFVADSVDGASRGVAFGWLAVAGKAGTVAGTSLGLLMAPTSFLGLPGWRLAFLLLGVLGGVVGVSIRAFAAARGRAVTPASVKPVRQELQDFAREAKAVMRVPSFQVIIAQGLTGSFPWSALLFTPMWLELVGFSHGETAALMTLFKVATSVGALFGGKMGDALARRFRNSGRIVLSQISSGSAIPLAGVLLLALPNDPSTTAKHGAALFILGIMASWNGTATNSPILAEIVPPRAMTTVFALDRTFEAVLASFAPPVVGMLAERLYGYKLARSGTGGGGVDERAAVDVEMERHNATSLARAIYTSVAVPMALCCSIYSFLYCTYPRDREVARAEAVRDREGHGGEESDSEDEGDGERKLMPH >KQL23191 pep chromosome:Setaria_italica_v2.0:II:9127607:9130037:1 gene:SETIT_030873mg transcript:KQL23191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCQSCKEEYEEEDAGTCKECYEEASETEEELKREIDDLRSRLHFLRLPSPTLDAASAPHSDLLLHAIPSCSSSGAGGDGDAARVPDTPAVPAHRVILASRSPVFRAMLENEMEESRSGIIKIYDVSYDVLRAFVHYMYTAEALLDEQMASDLLVLAEKYEVKHLKAYCEKFITSKVNNDNAITHYAFAHRHSAKQLLESSLSVLMDNMSTLADREEYKELVEKDPRLVVEIYEAYLNRQVNTAAGKDTDCSGRK >KQL25899 pep chromosome:Setaria_italica_v2.0:II:41164312:41165481:1 gene:SETIT_032106mg transcript:KQL25899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILLVTWGTFGMKVVVALWVKQIVDASLRRSYLWESMHHLKLVRNMRAQSDPWFAEYLLRIGGGTEEVNGDGDVCLPDDICVPYSGDSEKDLNRLIECIFPNLNANMTNKDYITSRAILSTRNDWVDNINIKMIGMFQGGEMVYHGFDSAIDDPHNYYPSEFLNTLTPNGLPPHLLKLKIGCPVILLRNIDPANGLCNGTRLVVRGFQKNSIDAEIVLGQHAGKRVFLPRIPLCPSDDEMFPFQFKRKQFPIRLSFAMMVNKSQGQTIPNVGVYLPAPVFSHGQLYVAMSRATARTNIKILALPPNAEADEEHTKRKEKKKASKKVNGQVIKIIMNKK >KQL25416 pep chromosome:Setaria_italica_v2.0:II:37943908:37947387:1 gene:SETIT_030893mg transcript:KQL25416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKSDIRKNPIFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYEIGVQIVDICIATRSHNGGLIDLLDLRKLLCQKRKATLESLSEDDCLRAISKLKVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEQVEKEFSWSAGRAIDALETLLKEGLAMIDDGHRDGKRRYWFPCVTVSSDTTGSETKS >KQL25417 pep chromosome:Setaria_italica_v2.0:II:37943908:37947387:1 gene:SETIT_030893mg transcript:KQL25417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPGIAGLQNAAATRDQFRLVGENVAKVRTDVMKEQLATFRSQLEEFARKHKSDIRKNPIFRQQFHEMCAKVGVDPLASNKGVWAELLGIGDFYYEIGVQIVDICIATRSHNGGLIDLLDLRKLLCQKRKATLESLSEDDCLRAISKLKVLGSGFEVISVGRRKLVRSVPTELNKDHSGILGLAQAEGYVTVEQVEKEFSWSAGRAIDALETLLKEGLAMIDDGHRDGKRRYWFPCVTVSSDTTGSETKS >KQL24694 pep chromosome:Setaria_italica_v2.0:II:32296034:32298623:-1 gene:SETIT_032921mg transcript:KQL24694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRPRKKRRIPGATATPAPASDALLSLPPEVLDEILTRLDLRDAVRTSALCRAWRRRWESLPSLDISTPFGEQPLWTVDCVLPRCSGRVRRFHASLDELSARRLDDWLLILSHRGGVEDLELSPPYPYKFFSLHSTIFSWRRLISIDLFACDIPLLPQDFEGFPDLKVLSLANVKLQQKGEYQLEEIIETSPLLEKLILSEVCIGGDDFIEWEIQAHNLRHITICSNIDYGWNFAELPCLHSAVIDLWEYVGGRDFAKFLAGLVQVRKLSLCTFYAPVNGIKILETLPCTFNNLKSLKLFMHFCELPPILLVICFLRSVPNLEKLKIRIYYGKEQKVEANGEFLNAQWADGMCANLQILKMTVSHVDPLHELVTYKSTSAQAQVLFK >KQL26938 pep chromosome:Setaria_italica_v2.0:II:47020657:47021525:1 gene:SETIT_031090mg transcript:KQL26938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGIKAEPKKEGAATDPAKGSTSTVGVMADATFKSIGDVLRLLPTATVIVYEVLNPIVTNTGDCHVGYKIATGILLGLCGFFCAFSTFTDSYVGADGKAKYGLVTPRGLLPFSDDGGAAAGDGRDFSKYRLRFADFVHSAFAVAVFAAVALLADANTVACFYPSLKDQQKKVVMALPVVVGAVASVVFIVFPCTRHGIGYPPSKPETSALASQ >KQL25288 pep chromosome:Setaria_italica_v2.0:II:37113970:37116594:1 gene:SETIT_029774mg transcript:KQL25288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAELRLRLRVLRRRLRGQRRRRGRSRRGRAAVEGGGREPVLLVSGMGGSVLHARRRSDPKFDLRVWVRILFANLDFKKYLWSLYNARTGYVEALDKDVEIVVPEDDHGLYAIDILDPSWFVELVHLTMVYHFHDMIDMLINCGYKKGTTLFGYGYDFRQSNRIDKVMAGLRTKLETAYKTSGGKKVNIISHSMGGLLVCCFMSINHDVFTKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQLLVECPSIYEMLPNLDFNWKKKPIIQVWRKNPEKDGTVELVQYEATGCVSLFEEALRNNELMYNGKKVALPFNLSIFKWATETRRILDNAELPDTVSFYNIYGTSYDTPYDVW >KQL25289 pep chromosome:Setaria_italica_v2.0:II:37113970:37117978:1 gene:SETIT_029774mg transcript:KQL25289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAELRLRLRVLRRRLRGQRRRRGRSRRGRAAVEGGGREPVLLVSGMGGSVLHARRRSDPKFDLRVWVRILFANLDFKKYLWSLYNARTGYVEALDKDVEIVVPEDDHGLYAIDILDPSWFVELVHLTMVYHFHDMIDMLINCGYKKGTTLFGYGYDFRQSNRIDKVMAGLRTKLETAYKTSGGKKVNIISHSMGGLLVCCFMSINHDVFTKYVNKWICIACPFQGAPGCINDSLLTGLQFVYGFESFFFVSRWAMHQLLVECPSIYEMLPNLDFNWKKKPIIQVWRKNPEKDGTVELVQYEATGCVSLFEEALRNNELMYNGKKVALPFNLSIFKWATETRRILDNAELPDTVSFYNIYGTSYDTPYDVCYGSKSSPIGDLSEVCHTVPAYTYVDGDGTVPAESAMADGFAAKERVGIKADHRGLLSDENVFELLKKWLGASEKAQRRRVSKPKVVDLSS >KQL26914 pep chromosome:Setaria_italica_v2.0:II:46917763:46921237:1 gene:SETIT_032115mg transcript:KQL26914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDCQRARSPRRQSARRHALCLSSSSVRSSAARACWPSRLRIWNVEGALRRRRRIRHRTAAALSCNLARGRAMAGEEEAPFFRIGDDVLQGEEDAGESLKFEATREMEEEEDSYSFIVMLDGEVWRSKAPRSPRRTEAEEEAGATRMEEHETPELEVMGPEDDEPHWMSVSKFRRYRNKRWSGHYGSFEDTTRIPPMRFTEKPLEKVNARRDTLKIFSVKLATTRGNLQLPFYVFGMDPYLVLAGPTRAVMFALNPAIIEVDLKVKGTTESKDVYLSFLVAPLRCYSTIFSHLFNRAYTSKLSTLEFSLGQIAFSVEATLFVRGVHGSWPDGLHGLFAAFTTGFTDKCPFRVGDKYSTGTSDERIILLDSGGEKLPVAAGKSIGEIDISFCKMEVTVVWSLFSCYP >KQL23755 pep chromosome:Setaria_italica_v2.0:II:17355156:17361051:1 gene:SETIT_029981mg transcript:KQL23755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRPRNLRVPKIGQVPDTRAVNEGDHEAMSRRRSDATREARAAVVASPALPVSPWVPCTPVAGVTRQDPRRRPRGPAVVAVLQGLPFHPPAPIPSPHPRRHRPLSLPRNPNPSTHPHPPREGAAESGGAGSMSAAVCGKRASSFFEEQQHSPHAGTPPPSKRARFRAGGGGGSPSPPRPRGGGSGDPALVAAIHARFPSVSLEFIEKALEEGGNDFDLATKYLLNFHAQSAECDAANGYQSPNGMTTEDQVPAEDSVPWAENLPSSSTQWSEVLVKEMMSASNTDDAKARASGVLEVFERAMTSRIGAEALQNFQKENLVYKEQFEAVIRENAILKKAVAIQHERQKEQDERSQELQQLKQLVVQYQEQVRSLEVNNYALSMHLRQAQQGSSIPGHFQRDIF >KQL23756 pep chromosome:Setaria_italica_v2.0:II:17355156:17361051:1 gene:SETIT_029981mg transcript:KQL23756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRPRNLRVPKIGQVPDTRAVNEGDHEAMSRRRSDATREARAAVVASPALPVSPWVPCTPVAGVTRQDPRRRPRGPAVVAVLQGLPFHPPAPIPSPHPRRHRPLSLPRNPNPSTHPHPPREGAAESGGAGSMSAAVCGKRASSFFEEQQHSPHAGTPPPSKRARFRAGGGGGSPSPPRPRGGGSGDPALVAAIHARFPSVSLEFIEKALEEGGNDFDLATKYLLNFHAQSAECDAANGYQSPNGMTTEDQVPAEGILVDNVVAAPVDSVPWAENLPSSSTQWSEVLVKEMMSASNTDDAKARASGVLEVFERAMTSRIGAEALQNFQKENLVYKEQFEAVIRENAILKKAVAIQHERQKEQDERSQELQQLKQLVVQYQEQVRSLEVNNYALSMHLRQAQQGSSIPGHFQRDIF >KQL25154 pep chromosome:Setaria_italica_v2.0:II:36352093:36354203:-1 gene:SETIT_031988mg transcript:KQL25154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNERMELEFKAPDHNMWKKEAAACDKDNGNGKDEPITVRNEYPRLPTTYFEFNKEFNLKKAEEIMSERHISMAQSIANDIIIPDPTPERVCETFFHKYYQLEPILKKDSVQCFLQLFKNCAGKGMSWNLTITAQTLTHMVSFNALQCAKVVLEGKALELHGMHANPNCINSYGYFPLHEAAERFSIDMIELLLCHGASANVRTVGNDVIEDLLPLHVAVENTCLHKYLEDNLSLSQNPLEYIYKLVLLLCLPEMKIFLDTTRLLAEKTNNLLEELWNYIEDGKLIQSAVLLLAAQEQIRGGCSSKMDGKKDGFDILNRRILRLYLALRWGKGSNEMTHELLEERRTLIHCAGLLVDLVLLIRKYMPLSY >KQL22423 pep chromosome:Setaria_italica_v2.0:II:2475963:2481716:-1 gene:SETIT_029021mg transcript:KQL22423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIQPVLDERMDPFLGRLTRTTTIDQTWCTAQNGLSLFNEPLPDTRISSAELNYGFIKFKDTTAPSNNANNFEPFATVVSRLHQHLLDANVEVTYTEYLDLMKLEVDQQLNKLTEDIRVFKSYDLAHKYDANSSCSTACHVGKLTEIDEGFNSLKVLLVVVFQQIREMLTLVNASIHDLQWEHELQLEVIRIIIGDCIRGLQDELGRRLHEQSSIVNSLRKNWQETVAQCASIREDLIAISDILLPSEEEPHIPLCRHESLGNWSDRWKFSFFRKITHQDHSLSSSEQNKNSATQKSISLSEVISEKSDFRHLKGMPRQEMLNYFRSEISKLRRLHELDLQEKTEELFKFKREKWSLALKYDVEFEPLRKKFPEIILRFDQIMSNGMAAPTICSASDALDERSRLNSRIDSLYRDNQHLRCLLAEKTKNVQELSCQISDASRKMSLQYSLEKQLLRQVSNIKEEYEDLYVESTIRDEIYQTVTRKLVDSHRNILEDTAQNFHAKLSSLEAALSEKDKALSLSNEENQKLKEKLSILEKEHFVQNNQQDLELTKQESEEMVLRDIEMETHVAPQRPYEISDQDMPYEELINLNQTLEIASTTLKEVETKKLDYSDILGKREQEKQLDCIMVSIMDLSKEFVEIEHKMSEDIKGNDKKTENLNDRCNHMVQQAIVLTKKGLWYKQMLDTRRSELRKAESEVDILGNKVNALLSLVQKIYVTLEHYSPVFQHHPVLLDAFLKTCKLVAGIRSRQKEESEDTT >KQL22461 pep chromosome:Setaria_italica_v2.0:II:2784768:2787447:1 gene:SETIT_029923mg transcript:KQL22461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITNVMEYQAIAKQKLPKMAYDYYASGAEDEWTLNENREAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAFQKMAHPDGELATARAASAANTVMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQAADSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVVTAEDTRLAVENGAAGIIVSNHGARQLDYVPATITALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGIFVGRPVVFSLAAAGEAGVSNVLKMLRDEFELTMALSGCTCLADITRNHIITESDKRTVMPSRL >KQL22460 pep chromosome:Setaria_italica_v2.0:II:2784551:2787447:1 gene:SETIT_029923mg transcript:KQL22460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQSAPRLTTSSLVLLSSPPHLHFLLLLLSQTPCTCRGQAATAYCKAEEELRFREVPAKMEITNVMEYQAIAKQKLPKMAYDYYASGAEDEWTLNENREAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMVAPTAFQKMAHPDGELATARAASAANTVMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPNLTLKNFEGLDLGKMDQAADSGLASYVAGQIDRTLSWKDVKWLQTITTLPILVKGVVTAEDTRLAVENGAAGIIVSNHGARQLDYVPATITALEEVVKAARGQLPVFLDGGVRRGTDVFKALALGAAGIFVGRPVVFSLAAAGEAGVSNVLKMLRDEFELTMALSGCTCLADITRNHIITESDKRTVMPSRL >KQL27288 pep chromosome:Setaria_italica_v2.0:II:49026500:49028865:-1 gene:SETIT_031941mg transcript:KQL27288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLDCRMYEARLPEVDEAVMIQVRRIVDTGVYVALLEYNNIEGLIPQTELSRRRIRSIASLVRIGRQETAIVLRVDHDKGYVDLSKRRVSDQEARTCEDRYNKAKLVHSIMRHVADTVDLDLETIYERVAWPLYRNYGHAFDAFKLIAADPDVILDPLTYHEEETGPDGEQVTKVVPAVTLEVKEALVKDIRRRMISQELKIRADVEMKCFQFDGVLHIKVMFVLVTAYGTPILQEAMRRAEAAGTEDCPVKMKLVAAPLYVLTTQTLDKEQGILVLTNAIKACSEVIEGHGGRLVVKEAPRT >KQL27262 pep chromosome:Setaria_italica_v2.0:II:48881637:48883479:-1 gene:SETIT_030392mg transcript:KQL27262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDMGNKQEEEVQVPVPEEGMASDEEAALVHKIAGLAAAIAKLPSLSPSPEVNALFTELVTACIPPSAVDVERLGPELQEMRARLIRLCADAEGLLEAHYSDLLAGFDNPLDHLGLFPYFNNYILLSELEHGLLASHVPGPVPARVAFVGSGPLPLSSLVLAARHLPAASFDNYDICGEANERARRLVRADAGLGARMAFRTSDVAHVTRDLASYDVVFLAALVGMAAEEKARVVEHLGRHMAPGAALVVRSAHGARGFLYPVVDPEEIRRGGFEVLAVHHPEGEVINSVIIARKPVPADAQAGGGVAHAHAHGAVLSRPCLCCEMEARAHQKMEEVALEQLPS >KQL25112 pep chromosome:Setaria_italica_v2.0:II:36077110:36079077:1 gene:SETIT_031065mg transcript:KQL25112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAISSHGLACAPPAAVPLNPRARRASAAGHRSSPRLLLRSDLPPPAVLCRARSQSSSSSNVNFGRGDDADKLLEDLLKQHGEVVYSSGASPAAEADDDAECLSFAVSLAKAASEIKAADIRVLCVKRLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSKVASGDTKPNSWTLLDFGKSLFPDMFILY >KQL25113 pep chromosome:Setaria_italica_v2.0:II:36077110:36079826:1 gene:SETIT_031065mg transcript:KQL25113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAISSHGLACAPPAAVPLNPRARRASAAGHRSSPRLLLRSDLPPPAVLCRARSQSSSSSNVNFGRGDDADKLLEDLLKQHGEVVYSSGASPAAEADDDAECLSFAVSLAKAASEIKAADIRVLCVKRLVYWTRFFIILTAFSNAQIDAISSKMRDIGEKQFSKVASGDTKPNSWTLLDFGDVVVHIFLPQQRAFYNLEEFYGNATPIELPFDTQWQ >KQL25012 pep chromosome:Setaria_italica_v2.0:II:35255123:35259688:1 gene:SETIT_030572mg transcript:KQL25012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTGVAASKVLILVSAGMTGSILLRNGKLSDVLGELQEIMKGVNQGAASGPYDIALIQAQIRNLAQEVRDLTLSRPITILNGKSDSGGGLSSYILPAAAVGAMGYCYMWWKATKRHLTQRLENLDGKMDEQVEVSKAIRNEVNDVKDDLSQIGFDIEAIQQMVAGLEGKIELLENKQDVANTGIWYLCQVAGGIKDGINTKFFQEATEKLKLSHPAQPETKPVKGLELFSESAKEHKVADSKPIMFKSDAENEKPTKTTAVKGAAVHRSIRFSFHKEGLAL >KQL25013 pep chromosome:Setaria_italica_v2.0:II:35255123:35259688:1 gene:SETIT_030572mg transcript:KQL25013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTGVAASKVLILVSAGMTGSILLRNGKLSDVLGELQEIMKGVNQGAASGPYDIALIQAQIRNLAQEVRDLTLSRPITILNGKSDSGGGLSSYILPAAAVGAMGYCYMWWKGLSLSDVMFVTKRNMANAVQSMSKQLEQVSSALAATKRHLTQRLENLDGKMDEQVEVSKAIRNEVNDVKDDLSQIGFDIEAIQQMVAGLEGKIELLENKQDVANTGIWYLCQVAGGIKDGINTKFFQEATEKLKLSHPAQPETKPVKGLELFSESAKEHKVADSKPIMFKSDAENEKPTKTTAVKGAAVHRSIRFSFHKEGLAL >KQL25014 pep chromosome:Setaria_italica_v2.0:II:35255317:35259688:1 gene:SETIT_030572mg transcript:KQL25014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSILLRNGKLSDVLGELQEIMKGVNQGAASGPYDIALIQAQIRNLAQEVRDLTLSRPITILNGKSDSGGGLSSYILPAAAVGAMGYCYMWWKATKRHLTQRLENLDGKMDEQVEVSKAIRNEVNDVKDDLSQIGFDIEAIQQMVAGLEGKIELLENKQDVANTGIWYLCQVAGGIKDGINTKFFQEATEKLKLSHPAQPETKPVKGLELFSESAKEHKVADSKPIMFKSDAENEKPTKTTAVKGAAVHRSIRFSFHKEGLAL >KQL27122 pep chromosome:Setaria_italica_v2.0:II:47922330:47928024:1 gene:SETIT_028991mg transcript:KQL27122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEIGAARQVQWKSYCKTLSLLAFQSFGVVYGDLSTSPLYVYSNTLSGRLNSYLDETTIFGLFSLIFWTLTLVPLLKYVIIVLSADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEDLSTYYQPGTDRNVISSPFKRFLEKHRKLRTCLLLFVLFGACMMIGDGVFTPTISVFSAISGLRDPDISKLADGWILFITCVVLVGLFALQHRGTHRVAFMFAPIVVLWLLSIGSIGLYNIIRWNPRIFVALSPHYIVKFFKTTGRDGWISLGGVLLAITGTEAMFADLGHFTAASIRLAFVGVIYPCLVLQYMGQAAFLSKNLTAVDNSFYLSVPDPLFWPVFVIATLAAIVGSQAIISATFSTVKQCLALGCFPRVKVVHTSRWIHGQIYIPEINWILMVLCLAVALGFRNIEVIGNAYGLACITVMFVTTCLMSLVIIFVWQKNLLISLLFLVFFGAIEGAYLSAAVMKVPQGGWAPIVLAFVFMSIMYAWHYGMRRKYQFDLQNKVSMKWILNLGPSLGIMRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPYVPVDERYLIGRIGPREYRMYRCIVRYGYKDVQKDDENFENHLVMSIARFIQMEAEESASSGSYESSTEGRMAVVHTTDTTGTGLVVRDSDDGAAGASQLTRSSKSETLRSLQSMYEQESVGSVSRRRRVRFQIDEEERIDPRVRDELSDLLEAKEAGVAYIIGHSYVKARRNSNFLKKFAINYAYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >KQL27121 pep chromosome:Setaria_italica_v2.0:II:47923705:47927693:1 gene:SETIT_028991mg transcript:KQL27121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYTGGTFALYSLLCRHAKFSLLPNQQAADEDLSTYYQPGTDRNVISSPFKRFLEKHRKLRTCLLLFVLFGACMMIGDGVFTPTISVFSAISGLRDPDISKLADGWILFITCVVLVGLFALQHRGTHRVAFMFAPIVVLWLLSIGSIGLYNIIRWNPRIFVALSPHYIVKFFKTTGRDGWISLGGVLLAITGTEAMFADLGHFTAASIRLAFVGVIYPCLVLQYMGQAAFLSKNLTAVDNSFYLSVPDPLFWPVFVIATLAAIVGSQAIISATFSTVKQCLALGCFPRVKVVHTSRWIHGQIYIPEINWILMVLCLAVALGFRNIEVIGNAYGLACITVMFVTTCLMSLVIIFVWQKNLLISLLFLVFFGAIEGAYLSAAVMKVPQGGWAPIVLAFVFMSIMYAWHYGMRRKYQFDLQNKVSMKWILNLGPSLGIMRVPGIGLIYTELVTGVPAIFSHFVTNLPAFHQVLVFVCVKSVPVPYVPVDERYLIGRIGPREYRMYRCIVRYGYKDVQKDDENFENHLVMSIARFIQMEAEESASSGSYESSTEGRMAVVHTTDTTGTGLVVRDSDDGAAGASQLTRSSKSETLRSLQSMYEQESVGSVSRRRRVRFQIDEEERIDPRVRDELSDLLEAKEAGVAYIIGHSYVKARRNSNFLKKFAINYAYSFLRKNCRGPSVTLHIPHISLIEVGMIYYV >KQL25732 pep chromosome:Setaria_italica_v2.0:II:40060923:40061729:1 gene:SETIT_032821mg transcript:KQL25732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKCTIAFLLILAAVSASLHPSSAARIQAEEGASPQPPASFWRPWRPRVPLPSFPCIPGLPRPRFLPRCNDSSGGAPLTPLPSFPCIPGLPRPRFLPPCNDSSGGALPLPLPPPAPQPAECGTSLSALTACADFLTAANTTSSLVPPPAACCDGVKALVKDAPVCLCHVMNGDLGKLLPAPMLRLRAMALPRVCGAAVPLGTLRQCIRGPVPPMDAPAPPS >KQL24411 pep chromosome:Setaria_italica_v2.0:II:29798315:29800190:-1 gene:SETIT_029702mg transcript:KQL24411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPAASLPLPPGPVGLPLVGSALHFIGPFSRSPHAVLTRLAETYGPIMSFRPGMAGNFVVVSSPAAAREALVDNDAALAARFVPDVARALDHSSESLFFLPNSSPLWKQHRATVGAHMSAARSLERTRHVRDRHARGLAKSMRARSGTPVVVGEAVLGSVLDVVSNILFSEDVGDMRVQGGQLFKDLMVAVLEDWTRPNVSDAFPFLAPTDLFGSRRRVSRGLAKLYKFFDDEFIERRLGANGENHGDLLDVVLARHTMSELTRSEITKFFTDMFLATSNTSRITVEWAMALLLKHPDKMKKVQAELAASIRSKEFVEESELNKLPYLDAVVKETLRLQPPAPLLPRMVVVDGMSLGGFSVPIGTYVLVNLWAIGRDPAVWPKPEEFLPERFLGNQAADFRGLDFAYKPFGAGRRMCPGLDFATRLVPLLLASILHKIEWRLPGGMAPNKYVDLKDRYSMVLELAKPLNAVPVSMP >KQL27082 pep chromosome:Setaria_italica_v2.0:II:47726131:47729028:1 gene:SETIT_032753mg transcript:KQL27082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQQEGVDSSDAAAAPAEAGAAPEQQAAEKPEKPAQVKRERRSRSSRSATAAAAAAAHAEVRLGGSFANKARGEQVAAGWPAWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDSLSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLDGLVTSRMSCSLYLVFDYMVHDLAGLAASPEIKFTLPQVKCYVHQLLSGLEHCHNRGVLHRDIKGSNLLLDNNGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIADTFKDFPQSALRLIETLLAIDPADRLTATSALQSDFFTTEPHACEPSSLPQYPPSKEMDAKRRDEEAR >KQL24147 pep chromosome:Setaria_italica_v2.0:II:26743665:26745056:-1 gene:SETIT_033014mg transcript:KQL24147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HKAPQPQDQGQEQTERDEPPAGPTSVHGLPDELLVRVLLHLGTSLHLVHAAATCRRWRRAVADAGFLARFRSLHGVPSVAGHYYVTETLHPNSRDPWRRQVPEKMTATFVPVSPAVVDASHFSLDFLYVPPVDGNRPRYSYRSARRVRHSRSREIIDSRGSLLLLTNGISEGRYWSPDFIVCEPLTRRCQGIARPSILSRLHFLGGFLLDGSGSGGCRDAMSNFRVLSVLYKQEGSFGTPRACVFSPGSDGGWHFCWHPIMNDDDDDDDNDDDIELPTIDKIHLAGRAAGRIYWGIETGTVLVLDESTLMFSVLTLPEQMRWPYRRTSFRVVGGVDGDMVRIVRLDGQDLVVYGQILGSGQWVVEKSVRLRDTAAGLPGWRDSFSVQPARIVAAGDTFVVLTRTEKTWLFSVDLETMEAERRHERNRHAGPAYPCSLPWPPVLQAGLSQGDIVVRKRSQRRNG >KQL26902 pep chromosome:Setaria_italica_v2.0:II:46839233:46843059:-1 gene:SETIT_031590mg transcript:KQL26902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKEFRRMLELFPVVRSRDYCAEPGTSSRGTRQQSQVQEATKGNKKDLSGAEDLFMQKLKMAAEKKIGATKAEFFCKAFEEAHEKLVYKELNLDAAKKFLSAYES >KQL24822 pep chromosome:Setaria_italica_v2.0:II:33518843:33522394:-1 gene:SETIT_029706mg transcript:KQL24822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKRLAESRDLTRIERIGAHSHIRGLGLDSSLEARDASEGMVGQLPARRAAGLILQLIRQGKIAGRAVLLAGQPGTGKTALAMGIAKSLGAETPFASVAASELFSLDLSKTEALTQAFRRAIGVRIKEEAEIIEGEVVEISIDRPLSAAAGSAAPSGATAPGKTGRLTLKTTDMETVYELGGKMIEALGKEKVQSGDVVALDKASGKVTKLGRSIGRSRDYDAVGPHTKFVKCPEGELQKRKEVVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVIATNRGITSIRGTNYRSPHGIPPDFLDRLLIITTQPYTEDDIRKILDIRCDEEDVEMSADAKVLLTKIGVETSLRYAIHLITSAALACQKRKGKVVEMEDISRVYQLFLDVKRSTQYLMEYQSQYMFNEVPGEADGDDAMQS >KQL24500 pep chromosome:Setaria_italica_v2.0:II:30748506:30749602:-1 gene:SETIT_030794mg transcript:KQL24500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDGECSSPTGSAAALLPLFGPSSPAAESLEEKLRRVSEENRRLAGALDAILADRPHPRALATSPTPSCHGNAAPAEVAGGGVTAEPRPKVRTVCARAEPSDADANHLKDGYQWRKYGQKVTRDNPYPRAYFRCAYAPSCPVKKKVQRSAEDKSMLVATYEGEHNHEQRAQSEYVTDASTTQQQQQEAGSQLPCSISINSLGRTITLGLMDQRPGSNAEAVAAEVITPEFRKVLADEIVELLKNDSEFMESLTSAVAARVMERIPGHIF >KQL22973 pep chromosome:Setaria_italica_v2.0:II:6969154:6969610:-1 gene:SETIT_033614mg transcript:KQL22973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLTFLGFRNSNSSLQSGLQSLAGSSDFEVQLLLV >KQL26049 pep chromosome:Setaria_italica_v2.0:II:42036496:42037062:-1 gene:SETIT_033360mg transcript:KQL26049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNKRALLCCGVLVAVLVVLAVVFVALYFTVFRPRSPRVVATVVGTEISALNVFPPVLNITVHVDVTVRNPNYASFRYGDVVTAVRYHGDGVGESVVPAGEIGARATETIAAKVSVDTVRMAATPYFLPEAALGVLPLETATAVAGKAVVMGRFKISASSEVACEVHVYWTRSNATSECTSTVHIGR >KQL23115 pep chromosome:Setaria_italica_v2.0:II:8455991:8457601:-1 gene:SETIT_029948mg transcript:KQL23115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPSGSTRKEARIADGAGADRFTALPIELRAHIVSFLPYREIVQLSVLSRPWMHIHHYTPVVKLNLDEFLFFDDIMLDEGDEDALPGVVDDGLLVGLRVALRRRAQEGSGCTVDALRIAYAVDDRRMRRHADRIIALVDARRIRVTVPYNGRTSRDAWTLALPAAARYLDVAVFGHLSPAITGPGAAALLNLRLEHVVLREWPCLPSLRSLTLNTVTVEAPLPPGAWSPLLEYLSIFNSKIEQARVDIRLPLLKSLDLDLVDVSAHGDSIGSPFGDITVDAPRLEDLEVICIAGCAAEYKSFTLRAPMLRYLYWHNQFAERVAIDVGSPGSVTAGKIQFEWNHESSCRELKSYKAQMMRMLEGLIPELSPERVAHAARPHMTLDKYTVEGTDFVEDGKMIPEERLACDLDAIMSSLKD >KQL23094 pep chromosome:Setaria_italica_v2.0:II:8353138:8356061:-1 gene:SETIT_033179mg transcript:KQL23094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRLSVTVALPLAGDSRRRSVPSPVRPFRSHRRLLRSIGVALILFPTLCWCWACAARGSVHADLDRHSASAGFVAMGLFRGSFTLLVGMGCGVYIAQNYNVPNVKKLFNTYVFLAKHIEETYRKPKKDDD >KQL25960 pep chromosome:Setaria_italica_v2.0:II:41542026:41546220:1 gene:SETIT_029146mg transcript:KQL25960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPPPPAPAAASTSSAPAPQSLVSRARTAIHSAAARVLTDIKADLRDADGSGGRSRAPSPRTSLDREVDLGATGRELDVKPPSPRDEVLELSPSGNVDSSSIATESISSAKLPTVPPTSTVKQLVAAIEHGKNFKSMSHMRATGDQFLKDKGGLSLSVVKSLVRRDKEERSSSEFFGDDETQSLMYSLFKLEEQFPLDGSQCNPELIHSRSLSKDLHGAPPGSFIHHLAVVIGSISSVHKMAFFWQSVVLELRKLWSDWQPVPRMPLDAPPDLNSCLLHQEMQVINCCIARKKRRKAAKESLDSLLKQANIDNSEPRFSNGKSPDSEVCARDSTGDYVLRLGADRASENLTLLETGELIYAPTLQEGPIMTAELIKETEELVLRTGSLGAGCSQLLSDMQAFKAANPGCVLEDFVRWHSPPDWSEDCAANSTTVGEGSSRRGRLSDRMQTKEGNLWKELWETAKPIPAVEQTPIYDEDLAVESIFDALEVIEPSKLFEQLLAVTLSVCFVAAELVLTADSNLSKLFYDCKDYIIGIYQDDMSKDKLDEICKP >KQL25959 pep chromosome:Setaria_italica_v2.0:II:41542026:41546220:1 gene:SETIT_029146mg transcript:KQL25959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPPPPAPAAASTSSAPAPQSLVSRARTAIHSAAARVLTDIKADLRDADGSGGRSRAPSPRTSLDREVDLGATGRELDVKPPSPRDEVLELSPSGNVDSSSIATESISSAKLPTVPPTSTVKQLVAAIEHGKNFKSMSHMRATGDQFLKDKGGLSLSVVKSLVRRDKEERSSSEFFGDDETQSLMYSLFKLEEQFPLDGSQCNPELIHSRSLSKDLHGAPPGSFIHHLAVVIGSISSVHKMAFFWQSVVLELRKLWSDWQPVPRMPLDAPPDLNSCLLHQEMQVINCCIARKKRRKAAKESLDSLLKQANIDNSEPRFSNGKSPDSEVCARDSTGDYVLRLGADRASENLTLLETGELIYAPTLQEGPIMTAELIKETEELVLRTGSLGAGCSQLLSDMQAFKAANPGCVLEDFVRWHSPPDWSEDCAANSTTVGEGSSRRGRLSDRMQTKEGNLWKELWETAKPIPAVEQTPIYDEDLAVESIFDALEVIEPSKLFEQLLAVTLSVCFVAAELVLTADSNLSKLFYDCKDYIIGIYQDDMSKDKLDEICKVYETMEAIVTHPEEALQIMEQPDEKSIENKNRFKLKLNFMTKDRPPLWKRTSKDEKKMSPKDDKSTSEEKNTKIFSNLFDKKVNIFSKKNAKSLEVPVAPPSTSQGPFDESEWTIL >KQL27198 pep chromosome:Setaria_italica_v2.0:II:48464594:48466531:-1 gene:SETIT_029657mg transcript:KQL27198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQPSSLTAALAQGPNLCPVKILLPMGPPDVVSSESIEYDFSDVFGSTPVQTPTNLCGHEPNSPAHTVESNEEIYNDPVVIIKRSHSLVGPTSLVSRSLLLSKLSLGKTEGSSELVKCLSEEKEGDQGQLSDEEFDNATTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKNTSEIYAMKVMRKDKILENNHAEYMKAEREILTKVDHPFIVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFCENTRSNSMCGTLEYMAPEIILGRGHDKAADWWSVGILLFEMLTGKPPFVGNRDKVQQKVVKEKLKLPSFLSSEAHSLLKGLLHKEPNKRLGSGPGGSNEIRNHKWFKPINWRKLEARQIQPSFRPNVAGLTCIANFDECWTKTPVLDSPVATPAGGGHDNFAGFTYVRPAPILEEVKPSSSRLKD >KQL27197 pep chromosome:Setaria_italica_v2.0:II:48464333:48468109:-1 gene:SETIT_029657mg transcript:KQL27197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSQPSSLTAALAQGPNLCPVKILLPMGPPDVVSSESIEYDFSDVFGSTPVQTPTNLCGHEPNSPAHTVESNEEIYNDPVVIIKRSHSLVGPTSLVSRSLLLSKLSLGKTEGSSELVKCLSEEKEGDQGQLSDEEFDNATTEDEGVGLDDFEILKLVGQGAFGKVFQVRKKNTSEIYAMKVMRKDKILENNHAEYMKAEREILTKVDHPFIVQLRYSFQTKYRLYLVLDFINGGHLFFQLYKQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGLAKEFCENTRSNSMCGTLEYMAPEIILGRGHDKAADWWSVGILLFEMLTAPICWEQGQSSAEGCKRKAEASFIFV >KQL24153 pep chromosome:Setaria_italica_v2.0:II:26796448:26799518:-1 gene:SETIT_028840mg transcript:KQL24153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRLLLAFLLLLLQAIAAASSDAAHLLAARSVLRDPTGALASWGAGSGRGLPCRWARVSCANNSAAAVAGLDLSKLSLGDGFPAALCSLRSLEHLDLSANEFVGPLPACLAALPVLAHLNLAGNSFSGEVPPEWGAGFRSLLVLNLVQNLLSGEFPAFLANLTGLQEFSLAYNLFSPSPLPMKIGDLADLRVLFVANCSLNGTIPASIGKLKNLVNLDLSRNSIHGEIPRSIGNLSSLEQIELFANQLSGSIPVGFGGLKRLHSLDFSMNGLTGDIPEDMFAAPSLASVHMYQNNLSGHLPATLGTAQSLYDLRIFANQLSGPLPPEFGKNCPLMFLDTSDNGLSGPIPATLCASGKLKQLMLLDNEFEGAIPVELGQCRTLIRVRLSSNRLSGPVPLEFWGLPGVYLLELSGNALSGTVDPAIAGAKNLSKLLLQDNRFTGALPAKLGTLTNLQEFKASNNCFSGPLPPSLANLSLLDNLDLSHNSFSGEIPRDFGKLKQLSQLYLSDNHLSGDVPSELGDIIGMNTLDLSNNELSGQLPVQLQNLKLTHFNISYNKLSGTLPVLFNGLQYQESFLGNPGLCHGFCQSNGDPDAKGHNTIKLIVYIFIAAAIILLIGLAWFGYKCRLHKINASELDDGKSSWVLTSYHRVDFSERDIVNSLDESNVIGQGGAGKVYKAVVGPEGEAMAVKKLWPVGVASKRIDSFEAEVATLSKVRHRNIVKLACSITNTVCRLLVYEYMPNGSLGDMLHSAKRSILDWPMRYKIAVNAAEGLSYLHHDCEPPIVHRDVKSNNILLDAEYGAKVADFGVAKTIGDGPATMSVIAGSCGYIAPEYAYSLHVTEKSDIYSFGVVILELVTGMKPMAPEIGEMDLVTWVSANIAQNGLESVLDHTLSEAEQFKDEMCKVLKIALLCVLNVPKSRPPMRAVVKMLLEVKEENKPMLKLAPLSI >KQL25596 pep chromosome:Setaria_italica_v2.0:II:39251588:39252094:-1 gene:SETIT_031528mg transcript:KQL25596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAKRYVLRLFISLKYVTANVVDRQSGRIVTTASTAERPLRDGLECGRACNAKAAAAVGEVLAMRLKVDGLAREPIHADAAKEVAKKGFKNQTKVWAILNALRNHGVNLHIDDDGDHRRHV >KQL23413 pep chromosome:Setaria_italica_v2.0:II:12111563:12117387:1 gene:SETIT_029466mg transcript:KQL23413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAVGPRRRALRPAGLGSLCHRLCFRCFLAVFLVVAFAASVPASGSGKVVTSLPGFDGPLPFHLETGYVEVDKDNDAELFYYFVGSESGGAAAPFLLWLTGGDRCSVFSGLAYEIALAGPIRFVLEPYNGTLPRLRYNQNSWTKVSHILFVDSPVGAGFSFSREPKGYDVGDISSSLRLHDFLIKWFNDHPEFLANPFYIGGDSYAGKLVPFLAQIISEGIKAGRTLPNLKGYLVGNPGTGEIIDFSSRVPYAHGVGIISDQLYETILGHCQGLDYINPSNALCAQALNTFNNLISEVQNAHILLDNCVYACPAPNIYSKTDGADGRRILREENGTGKLNHPPARPPFGCITYGYYLSYFWANDRRTREALGIKKGTKNEWVRCHDKDLPYTGDLGSVIKYHRNLTSRGYRALVYSGDHDLIVPHLGTQAWVRSLNFSIVDDWRAWHLGGQSAGFTISYSNNMTFATIKDGGHTAPEYEPERCFAMFRRWILNRPL >KQL23411 pep chromosome:Setaria_italica_v2.0:II:12111563:12117387:1 gene:SETIT_029466mg transcript:KQL23411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAVGPRRRALRPAGLGSLCHRLCFRCFLAVFLVVAFAASVPASGSGKVVTSLPGFDGPLPFHLETGYVEVDKDNDAELFYYFVGSESGGAAAPFLLWLTGGDRCSVFSGLAYEIGPIRFVLEPYNGTLPRLRYNQNSWTKVSHILFVDSPVGAGFSFSREPKGYDVGDISSSLRLHDFLIKWFNDHPEFLANPFYIGGDSYAGKLVPFLAQIISEGIKAGRTLPNLKGYLVGNPGTGEIIDFSSRVPYAHGVGIISDQLYETILGHCQGLDYINPSNALCAQALNTFNNLISEVQNAHILLDNCVYACPAPNIYSKTDGADGRRILREENGTGKLNHPPARPPFGCITYGYYLSYFWANDRRTREALGIKKGTKNEWVRCHDKDLPYTGDLGSVIKYHRNLTSRGYRALVYSGDHDLIVPHLGTQAWVRSLNFSIVDDWRAWHLGGQSAGFTISYSNNMTFATIKVGRACEFDLLMSALYSSESMDITDCRIWMRIAGWRAYGARVRAGEVFCHVQTLDTQPSTVIIQ >KQL23408 pep chromosome:Setaria_italica_v2.0:II:12111563:12116488:1 gene:SETIT_029466mg transcript:KQL23408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAVGPRRRALRPAGLGSLCHRLCFRCFLAVFLVVAFAASVPASGSGKVVTSLPGFDGPLPFHLETGYVEVDKDNDAELFYYFVGSESGGAAAPFLLWLTGGDRCSVFSGLAYEIGPIRFVLEPYNGTLPRLRYNQNSWTKVSHILFVDSPVGAGFSFSREPKGYDVGDISSSLRLHDFLIKWFNDHPEFLANPFYIGGDSYAGKLVPFLAQIISEGIKAGRTLPNLKGYLVGNPGTGEIIDFSSRVPYAHGVGIISDQLYETILGHCQGLDYINPSNALCAQALNTFNNLISEVQNAHILLDNCVYACPAPNIYSKTDGADGRRILREENGTGKLNHPPARPPFGCITYGYYLSYFWANDRRTREALGIKKGTKNEWVRCHDKDLPYTGDLGSVIKYHRNLTSRGYRALVYSGDHDLIVPHLGTQAWVRSLNFSIVDDWRAWHLGGQSAGWVFLFLPLFPLLALAKTSS >KQL23410 pep chromosome:Setaria_italica_v2.0:II:12111563:12117387:1 gene:SETIT_029466mg transcript:KQL23410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAVGPRRRALRPAGLGSLCHRLCFRCFLAVFLVVAFAASVPASGSGKVVTSLPGFDGPLPFHLETGYVEVDKDNDAELFYYFVGSESGGAAAPFLLWLTGGDRCSVFSGLAYEIGPIRFVLEPYNGTLPRLRYNQNSWTKVSHILFVDSPVGAGFSFSREPKGYDVGDISSSLRLHDFLIKWFNDHPEFLANPFYIGGDSYAGKLVPFLAQIISEGIKAGRTLPNLKGYLVGNPGTGEIIDFSSRVPYAHGVGIISDQLYETILGHCQGLDYINPSNALCAQALNTFNNLISEVQNAHILLDNCVYACPAPNIYSKTDGADGRRILREENGTGKLNHPPARPPFGCITYGYYLSYFWANDRRTREALGIKKGTKNEWVRCHDKDLPYTGDLGSVIKYHRNLTSRGYRALVYSGDHDLIVPHLGTQAWVRSLNFSIVDDWRAWHLGGQSAGFTISYSNNMTFATIKDGGHTAPEYEPERCFAMFRRWILNRPL >KQL23409 pep chromosome:Setaria_italica_v2.0:II:12114021:12116717:1 gene:SETIT_029466mg transcript:KQL23409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSSFLGIFSSWNHGPTIQLSYMNVACYQRANGFTIYISESPEHFIFGTINLKYVSGFKILAIYGLKSLPVKPVNQVSHILFVDSPVGAGFSFSREPKGYDVGDISSSLRLHDFLIKWFNDHPEFLANPFYIGGDSYAGKLVPFLAQIISEGIKAGRTLPNLKGYLVGNPGTGEIIDFSSRVPYAHGVGIISDQLYETILGHCQGLDYINPSNALCAQALNTFNNLISEVQNAHILLDNCVYACPAPNIYSKTDGADGRRILREENGTGKLNHPPARPPFGCITYGYYLSYFWANDRRTREALGIKKGTKNEWVRCHDKDLPYTGDLGSVIKYHRNLTSRGYRALVYSGDHDLIVPHLGTQAWVRSLNFSIVDDWRAWHLGGQSAGFTISYSNNMTFATIKDGGHTAPEYEPERCFAMFRRWILNRPL >KQL23412 pep chromosome:Setaria_italica_v2.0:II:12111563:12117387:1 gene:SETIT_029466mg transcript:KQL23412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAVGPRRRALRPAGLGSLCHRLCFRCFLAVFLVVAFAASVPASGSGKVVTSLPGFDGPLPFHLETGYVEVDKDNDAELFYYFVGSESGGAAAPFLLWLTGGDRCSVFSGLAYEIGPIRFVLEPYNGTLPRLRYNQNSWTKVSHILFVDSPVGAGFSFSREPKGYDVGDISSSLRLHDFLIKWFNDHPEFLANPFYIGGDSYAGKLVPFLAQIISEGIKAGRTLPNLKGYLVGNPGTGEIIDFSSRVPYAHGVGIISDQLYETILGHCQGLDYINPSNALCAQALNTFNNLISEVQNAHILLDNCVYACPAPNIYSKTDGADGRRILREENGTGKLNHPPARPPFGCITYGYYLSYFWANDRRTREALGIKKGTKNEWVRCHDKDLPYTGDLGSVIKYHRNLTSRGYRALVYR >KQL26888 pep chromosome:Setaria_italica_v2.0:II:46783741:46785902:1 gene:SETIT_030955mg transcript:KQL26888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWSALASPTAAPSGLGLFATRRRAFQGVRMADAGSKSGRGALVVLEGLDRSGKSSQCARLLSYLEGQGCRAEGWRFPDRGTCVGQMISAYLANESQLDDRTIHLLFSANRWEKRALMESKLLGGTTLIVDRYSYSGVAFSAAKGLDIQWCKAPDVGLIAPDLVIYLDVQPEKAAERGGYGGERYEKIEFQKRVADHYHSLRDSTWKVVDGSLPMETVEEHLRELATNCIQECQEKPLTNLTW >KQL23595 pep chromosome:Setaria_italica_v2.0:II:15390006:15394401:1 gene:SETIT_030080mg transcript:KQL23595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARIAFNSSQQPPQRRALLPATTADPANKMLCLPSSSAFRPADPAARGAMASQQQRQSFPHASTAARGMLSFSSAVPSGPADPAAQQQDFRMREFDYFVVVDFEATCEKDSRIYPQEIIEFPAVLVDAATGGLLSSFRTYVKPRHHPVLTAFCSELTGIQQEQVDGGVDLATALALHDKWLAAAGVAKNRLAIVTWGDWDCRTMLKFECNFKNISKPSYFNQWVNLRIPFETAFGAGRRNLQEAVREAGLQWDGRLHCGLDDARNTARLLVELMRRGVRISITGSLVPPPLPEPEPEPEQEPELQHQRRCGDHTRTNARTILLRLWELDADMSFLPLGCLIAGHLDSIASSALSRRFLHRPSNGRKQRCRKQSGTVGPGSQCGLVRCKQRSKTARG >KQL23594 pep chromosome:Setaria_italica_v2.0:II:15390006:15392444:1 gene:SETIT_030080mg transcript:KQL23594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARIAFNSSQQPPQRRALLPATTADPANKMLCLPSSSAFRPADPAARGAMASQQQRQSFPHASTAARGMLSFSSAVPSGPADPAAQQQDFRMREFDYFVVVDFEATCEKDSRIYPQEIIEFPAVLVDAATGGLLSSFRTYVKPRHHPVLTAFCSELTGIQQEQVDGGVDLATALALHDKWLAAAGVAKNRLAIVTWGDWDCRTMLKFECNFKNISKPSYFNQWVNLRIPFETAFGAGRRNLQEAVREAGLQWDGRLHCGLDDARNTARLLVELMRRGVRISITGSLVPPPLPEPEPEPEQEPELQHQRRCGDHTRTNARTILLRLWELDADMSFLPLGCLIAGHLDSIASSALRLASYYSLIHTHGVHA >KQL27138 pep chromosome:Setaria_italica_v2.0:II:48031485:48033366:1 gene:SETIT_030652mg transcript:KQL27138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRTSLLGRGRMVVAIATADRPSLSGRPPAAESQKKPKPRGEPSKTTGKTTSKPGSRRGGGTRAWPGQVCKWQSLAAPAVSAPSQSQCPVQPISFRLDRSIALFGSFAYMAEAKTAQPAHPAPPPPPAAAVDGSVEHQKQQQQQRKTVVVVGVDDSEHSYYALEWTVRHVAGGVAGGAELVIVHAKPSASSVVSFGGPGAGEAMRCVEADLRKMAEAVVGRARQVCIANSVHALIEVVEGEPRYVLCNAAEKHHADLLVVGSHGYGAIKRAFLGSVSDYCAHHTHCTVMIVKRPKSKC >KQL27137 pep chromosome:Setaria_italica_v2.0:II:48031485:48032493:1 gene:SETIT_030652mg transcript:KQL27137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRTSLLGRGRMVVAIATADRPSLSGRPPAAESQKKPKPRGEPSKTTGKTTSKPGSRRGGGTRAWPGQVCKWQSLAAPAVSAPSQSQCPVQPISFRLDRSIALFGSFAYMAEAKTAQPAHPAPPPPPAAAVDGSVEHQKQQQQQRKTVVVVGVDDSEHSYYALEWTVRHVAGGVAGGAELVIVHAKPSASSVVSFGGPGAGEAMRCVEADLRKMAEAVVGRARQVCIANSVIQSDCSNGLGSAAR >KQL23967 pep chromosome:Setaria_italica_v2.0:II:23629071:23635943:-1 gene:SETIT_031953mg transcript:KQL23967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPSSSGRPPSHPQGARAPLLMRRNPTPRADLSMDDNTVKLLRAAYAGDVPKFKKLAKRLEKAGKSMADVVVGMEAPWDRGYGPLHYAAVAWKVEMCKFLIKDLKFDVDTTEALGVTPLMLAIQYRGLAVARLLLVHGADPNKAASNGVTPLHMAACLDTCEGADLLLSNGAYVDPMWEEKTPLYLACQCGNDRMMELLLQHQADPNAVVLLVYTPLKAATYAHSLKAVELLIKAGADVNAGQPVTPLMVAALAGYTECIKCLLKAGADANIPDDKGTLPVEIAAIQGWQECVEILFPVTAPLTRVADWSIDGITQHAKIIRSKAQGYLLQEDGKPDFEADGDAALYERDYAHALTLYTKAVEADPDNSTLYAKMSLCSLHAGDKGKALDYAGTYKGMRPDLSKSCSAQGAALILMKEYGRACEALMSGLRLDFESKPTDIASSGDHL >KQL24928 pep chromosome:Setaria_italica_v2.0:II:34683500:34684627:1 gene:SETIT_030774mg transcript:KQL24928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPGRRPAPPFAGVDLRRPKGYPAPAARAAEEPASASSASAQAQPPAGDPCPRCESRDTKFCYYNNYNTSQPRHFCKSCRRYWTKGGSLRNVPVGGGTRKSSSSSSASSSSLAAAPKSTKRSKNSKRRRVAPAPDPVPATEASATTTRVANNAPVPAPSTVAAAMVVATGKPTASKPAPPVAAAEKTTAPEPAATVAAMDEKLTAPPAAVGCFTSEPSTAPGLGLADVGCGGGKELPPDPNHFEWPSGCDLGSYWSTGVFADTDPALFLNLP >KQL25619 pep chromosome:Setaria_italica_v2.0:II:39422046:39426569:-1 gene:SETIT_030485mg transcript:KQL25619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFGNGGYVYSSESEGEEEDEGTEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTHHSRFVALKIQKSARDYGHAALHEIELLSAVAQGDPTNSKCIVRLLDHFKHVGPNGQHVCLVTEFLGDSLLQLIRYNRNKGIGLSRVKEICRSLLVGLDYLHRELSIIHTDLKPENVLLVSTINPAKDPVRSGFTPILDRPVGSQYGGTVISFSEKMLRMRARRAVARISQRRVSLGGIAAEVEKERNLDGISLKCKIVDFGNACWADQQLAGEIQTRQYRAPEVIIGSGYSYSADMWSFACIAFELATGDLCLLPRIARMQ >KQL25620 pep chromosome:Setaria_italica_v2.0:II:39422046:39426378:-1 gene:SETIT_030485mg transcript:KQL25620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFGNGGYVYSSESEGEEEDEGTEGYRKGGYHAARPGDRFAGGRFVAQRKLGWGNFSTVWLAYDTHHSRFVALKIQKSARDYGHAALHEIELLSAVAQGDPTNSKCIVRLLDHFKHVGPNGQHVCLVTEFLGDSLLQLIRYNRNKGIGLSRVKEICRSLLVGLDYLHRELSIIHTDLKPENVLLVSTINPAKDPVRSGFTPILDRPVGSQYGGTVISFSEKMLRMRARRAVARISQRRVSLGGIAAEVEKERNLDGISLKCKIVDFGNACWADQQLAGEIQTRQYRAPEVIIGSGYSYSADMWSFACIAFELATGDLCLLPRIARMQ >KQL27018 pep chromosome:Setaria_italica_v2.0:II:47436738:47439440:1 gene:SETIT_030600mg transcript:KQL27018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGQAMQEARFDGPRKRAGVAPDSRYPVLTPSPTYHPSRSRPAPQAAAWRRGLEKVGMGKRRRAAAPVGEELISPVNSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVERPIRDVMEPGVYPNLEAAVSAARPGDTILVAAGGTHVACNIQIKKTICIIGGGELPDDTVLTCSRGSDNALEFLSTCKIANLTIRAELGCCLLHRSGRLTIQECLLQCEQNPLDYLSFPIISTAIEYNSFPSLKGQGHGVTVVRTRIEGGAKAVRTNGTLALQHVRAIYSRSSVFFWFEVGEKVENAVH >KQL24194 pep chromosome:Setaria_italica_v2.0:II:27330447:27331627:1 gene:SETIT_031060mg transcript:KQL24194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLAKFVYPPPWLLFSKPLRGHWAANRMSGEDELLASGGVPIRHPRLEDAGLEDCALPPESIAEAFSLAAAAISSRLARFPRFPLSDDSDEEDEEGRVSAPRGGGCVDDAGPARGAVPDADVLVASGVMGDGGGADEVVVVGGGRGGGCEDAVVVGGRGEEQDGVVVVGEGSGEKELGKEGGCVEGVREGVSEPGRAHGDGKDDEEVAEKAILVPDFD >KQL25501 pep chromosome:Setaria_italica_v2.0:II:38668814:38670500:-1 gene:SETIT_030044mg transcript:KQL25501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQSHLPSPARLGLTASSPSLPPNPSPLNPTSSPPQGNLPASATAGTGAAPTLTTSPSLLPLLPPLPRAQSLLQLISSLASNLFELSPNRAAWISAYRGSLPTFLPSASSSPPPPLPTPISSTKDALSLLTTLQTQLFEAVAELQETLDLQDARVRLAREARAKDAALLAFAKKLHEAHHVLDRLVDDYADYRRDPKRPRGAAAADDPEPVSDGDFGASLHSRIKLEDILIYAHHISYTTFAPPEHGAGLPLRGALPPAPQESEMRSSKLYQFADMDVGVPKKPLEAKEGITVEVEAMPLYEPPPQEGAPRLPDTLPPMFPKDLKPPPGWKPGDPITLPLDDILPGVKGEEPKAPVPQAPVSVRPMVPMGPAPIQVAAVQLDFGSSSSDEYSSDVGSSEEDDED >KQL23853 pep chromosome:Setaria_italica_v2.0:II:21256663:21270740:-1 gene:SETIT_028637mg transcript:KQL23853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVNKSVGKSSIRSTSLTSKLFAFSQGWGGKEGGLGLIACSLPIVSDPVSTEVGSTLHFEFYRGADKSEKSQSVDKGNRLEVIHLPNVNTCKETDLEILDKLVKDYSVPQALRFPLLTRLRFARAFDTLTCRRQYICIRLYAFIVLVQAGHDTEGLSSFLNNEPEFIDELLSLLSYEDEIPEKIRILGILSLVALSQDRSHQPTVLSSVTSGGHRSILPSLMQKAVDSIISGSMKWSIIFAEALLSLVSMLVSSTPGSLALQEAGFIPTILPLLKDTNTQHLHLVSTAVHVIESFLDYHNPSSALFRDLGGLDDTITRLKIEVSQVEIGSKKNEESQSINKGKEVESCPLVPDMQPSCSEALVLYNRKNLMKVLLRTISLATYVPGSSARVDGSEENVLPPCLCTIFRRGKDFGGGVFSLAANVMSDLIHKDPTCYSVLDAAGLPQAFLDAILDGVLYNSDAVSCIPQCLDALCLNNSGLQLVKDCNALRCFVKIFTSRLYLKALNGDTTGALSSGLDELMRHASSLRSSGVDMFIEILNTVSKIGCGGDSNSCAECDNSSAAVPMDADVEGATTQSEGVPSEVGCSGKMVEAPLDATTSSSIELFLPECICNVARLLETVLQNTDTCRLFIEKKGIEAVLQLFKLPVLPVSVSIGQSISVAFKNFSPQHSVSLARAVCSFFRDHLKLTNELLGLISGTKLVDSELVKQSALMKSLSTLEGLLSLANFLLKGTTIMISELAFADAEILKELGKVYTEVTWQISLLSDAKVDKQESDQDDVAGDASISNASERDSDDDTSAAPVARYMNSVSARASLSPWSMEQDFVSAVRSAANMHRHGRHSLSRIRGRLSGVLDATHTDLDGPFSPAEISRSHDASKKSPEVVVSELLTKLGYTMRSFLSTLVKGLPARRRADSNLSPASRSLVTALAQLFLSALGYSGHSTAGFEMSLSVKCRYLGKVVEDMAVLTFDSRRRSCNSALVNSFYVNGTFKEVLTTFEATSQLLWTLPFSAPAGTDQGSSINEKVSHNSWLLDTLQSYCKLLEYYVNSTFLLSPSSSHNQLLVQPIVTELSINLFPVPSEPESFVRMLQSQILDAVLPVWNHKIFPECSPTLVTSLVSIMNNICSAVGDLKQSRNIAGVANQRVTSPPLDESAIATIVEMGFSRARAEEALRSVRTNSVEMATDWLFSHPEEFVQEDVQLAQALALSLGNSIETPKEDGSNKNDTATAEEKGVFVLPLDDILTVSTKLFCSSDSAMAFPLTDLLVTLCNRNKGEYRQRVVLYLFEQLRRFSSDTITDAGALYSVAHLLALLLSEDSGIREIGAENGVTPHVLNMLENLKSRTDHTDKTWNSISALLLILDNMLQFNPKLNTETTDGASKSTSDISSADSKANPAPPDEEKTETMDSADDASANVFEKILGKSTGYLTDQESQKALVFCCEFIKKRVPATVMQAVLQLSARLTKTHALAAQFFENGGLSSLLNLPSACIFPGFETLASAIVRHLIEDPQTLQSAMELEIRQSLSNRGSRTPRSFLTNMAPLISRDPVIFMRAVTSVCQLDSSGGRMNVVLLKDKERDREKQKVPSIESGVPCNEPVRVTADIKSVDTPNRWSRSHKKVPASLSQVIDQLLEIIMSYPSASKEQGFDGYSLLTPIDVDEPNTKGKSKVDDGQELEGDALSERSALLSKLAFVLKLMSEILLMYVHAVGIILRRDTEISQSRSCDQGAGHSGLLHHIFYLLLPLTSVKTADVSDDWTGKLSERASCFLVALCCRSAEGRRRVISEIVKAFNYFIDSASSTSRGSLIPDRKVLAFSELVNSILSRNSQSNLPVLGCSPDIAKPMVDGGMVQSLSGFLKVIDLDHPDATKVVNLILKALDSLTRTAYASDQVLKSDRYTKNRLPGSHEQTHEADDTVVHEQSTDNRHHHTDDTIQSTSQQAQELSHVDRDNNENHVQPSEQEMGVDLVDNNSSGNPPMHGVEFMRDETIEGNVMATSTDVGLPFPVQHQVDDEMGEEDEDIGEEGEDEDEDEDDEEIADEGAGLMSIADTDIEDQENNAIGEEYNDDLMDEEDDDFLENRVIEVRWRESLTGMDHHLRFSRGRADSSGFIDISSESFHGVGTEDSFNLHRSFGLERRRQSGSRSLLDRPRSDGNAFLHPLLVRPAQSREGTGSAWPSGGTTSSRDFHTLSFGNSDIPLYMLDAGFPPETAPPVFGERVVSTAPPPLIDFSLGGDSLRIRRGPGDNPWTDDGQPQAGNHAAAVAQAVEDQFVSQLTAVSNSNNTPLVQPEQNGNDVNAHLQSPDTGTIATNSLAQPVGGHQQVHTVNQEPAPADGLCPTNVQVNQQSVGSVHDNRVEEAVQQTAADDPIPQSDEMLSIADTQLGDCPERDSLSGNQSCDHIMHNEIEAPQQAQLSNDPREAPSDLESSCHALVTSASAAPELSDAHVDSAALNADVDMNSVDIADNEVGNSAPGSDGNGLSSRRHEEAHQEPQTEQPNANNEASSANEIDPTFLEALPEDLRAEVLASQQNRSAPAASYTPPAAEEIDPEFLAALPPDIQAEVLAQQRAQRIAHSQPVGQPVDMDNASIIATFPPDLREEVLLTSSEAVLSALPSALLAEAQMLRDRELSRYRARGSLFGGSYRLGGRRLPADNQTVIDRAVGVTMGRRVISATPGSSKGKDVEGTPLLDSDALRALIRLLQLAPPLSKGLLQRLMFNLCAHSVTRVTLVGHLLNMIKPESEGLSISNCMATYRLHGCQWNIVYTQPYSANGLPPLVTRRLLEILTYLASNHPSVADLLVHFNPSASSNCLMLQRSKEISQESASLDTKQPSSQGYTPILLFLKLLNKPLFLRSRVYLEQVMCLLEVVVNNAASQIDYPPRSAQIANSSDVELVDGAPSQTQAEPSTLEQGHIQDNSQSKDVEVPASCARQDVNVHAILTQLPDAELHNLCNILALEGLPDKVYSLASEVVKKLASVAASHRKFFSIELAGVAQSLSSSAVDELVTLKNTQMLGLSTCSMAGAAILRVLQVLSTLTSDVIDSRHEQDMGQEEQSILWDLNVGLEPLWQELSDCISATEAKLVHNSTFTSPAPLVDTLEVGASSSVSPPLPPGTQRLLPFIESFFVLCEKLQTNQPVTQSDYNVTAPEVKDLAGSSSSPSLKTGGICNVTFIKVAEKHRRLLNVFIRQNPSLLEKSLSMMLKVPRLIDFDNKRAYFRSRIRQQHDQHLSAPLRISVRRAYVLEDSYNQLRLRRTQDLKGRLTVQFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYYKNLKWMLENDVSDLPDLTFSMDPDEEKHILYEKTEVTDYELKPGGRNIRVTEETKQEYVDLVAEHILTTAIRPQINAFLEGFTELVPRDLISLFNDKELELLISGLPEIDLDDLKANAEYIGFSAASPVIQWFWEVVKAFSKEDMARLLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPDRLPSAHTCFNQLDLPEYTSKEQLEERLLLAIHEASEGFGFG >KQL24905 pep chromosome:Setaria_italica_v2.0:II:34531421:34531723:-1 gene:SETIT_032150mg transcript:KQL24905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAGAGKKKKVVKKKLPEAHIDDVEERLYTIKDIPEDDLAKYYSQEFRDIYGAEKVIAHKIAAYQRALLAQYDALGFAEDETEVSDEEELTDDKDKVEAAN >KQL24956 pep chromosome:Setaria_italica_v2.0:II:34950086:34950460:-1 gene:SETIT_032665mg transcript:KQL24956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKNKEWRKHTLHKVTQYKKGKGSLSAQGKCPFCR >KQL26509 pep chromosome:Setaria_italica_v2.0:II:44831959:44834122:-1 gene:SETIT_030884mg transcript:KQL26509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPQRLELPSPSPPPPLPSPPVAAVSAPASPYSVLHPLLLPSPNPHLLLKPKTLTHSLSSSSLTSMASSSPPAPDAWEFITPTSPSVAHVDGGLDDCAIFPPRLHEGLGLEAEPEEAVAPAAAKEVEEEEEEDEGEEEEDGEWLWGAWARWERCRLAARRAWATGVGAVQERVLVHSACGCPSVRPAVWSAAAAVAVVGALLYARRRDRKERDLLVLLSKEKDKRIAQLLHQIALMSDIRSGSEAVKIIRNS >KQL26510 pep chromosome:Setaria_italica_v2.0:II:44831560:44834122:-1 gene:SETIT_030884mg transcript:KQL26510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPQRLELPSPSPPPPLPSPPVAAVSAPASPYSVLHPLLLPSPNPHLLLKPKTLTHSLSSSSLTSMASSSPPAPDAWEFITPTSPSVAHVDGGLDDCAIFPPRLHEGLGLEAEPEEAVAPAAAKEVEEEEEEDEGEEEEDGEWLWGAWARWERCRLAARRAWATGVGAVQERVLVHSACGCPSVRPAVWSAAAAVAVVGALLYARRRDRKERDLLVLLSKEKDKRIAQLLHQIALMSDIRSGSEAVKIIRNS >KQL26556 pep chromosome:Setaria_italica_v2.0:II:45030075:45030362:1 gene:SETIT_033164mg transcript:KQL26556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVALLLALLLSCAVMMTSAARKLEEATPKEEEPEFPPHLPVPELPPLPKVELPPFPEVHLPPKPELPPFPKVELPPKPEMPVVPDRVPLPRAGGG >KQL24013 pep chromosome:Setaria_italica_v2.0:II:24567332:24577371:-1 gene:SETIT_028859mg transcript:KQL24013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLAVASPLPPAAAAALRRTRAPSSSGREVSSQCWKYDLSQDRSLGGSLRIGQSQGSLHRHRPTNLLRPAAAAISVEQDEVNTYLPKGDMWSVHKFGGTCMGTPQRIQSVADIVLGDSSERKLIIVSAMSKVTDMMYNLVHKAQSRDDSYTIALEEVFEKHMAAAKDLLDGEDLARFLSQLHSDVSNLRAMLRAIYIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGAPCSWMDTREVLVVKPSGSNQVDPDYLESEKRFQKWFSRQPAETIVATGFIASTAENVPTTLKRDGSDFSAAIIGSLVRARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKDNIPIVIRNMFNISAPGTMICRQPANDNGDLDACVKSFATIDNLALVNVEGTGMAGVPGTSSAIFSAVKDVGANVIMISQASSEHSVCFAVPEKEVAAVSAALHVRFREALAAGRLSKVEVINGCSILAAVGLRMASTPGVSAILFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIIGPGLIGGTLLNQLKDQAAVLKENMNIDLRVIGITGSSTMLLSDTGIDLTQWKELLRKEGEPADVANFVRHLSDNHVFPNKVLVDCTADTSVASHYYDWLKKGIHVITPNKKANSGPLDRYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGTRTFSNVVAEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVKSLVPEALASCSSADEFMQKLPSFDEDWARQRSDAEAAGEVLRYVGVVDVVNKEGQVELRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFCDILRLASYLGAPS >KQL24853 pep chromosome:Setaria_italica_v2.0:II:33827092:33836886:-1 gene:SETIT_028935mg transcript:KQL24853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTAVALVVAVALMALGMAATGWLQRAEARRLEVRRLAWQAAEEVEIAEREEAYYYGQYGGEFVSASDVPEAPPLWAAPEVDPSPKEAVVEDEVAVAASTPPGKGVCAMCARPTTLRCKRCKSVKYCTFKCQIDHWRKGHKDECHPRNLGARQDGAPENVVTRVEVKDMPPDKPSNTAEVPAEYSQFTGKAESVDCSRLTTSSNAAKVHDTAVCEKIYLTTPDQHIELESELEQSNKQALGADNHESSRNSPCMSAVDKVSSAHSSAYCLSHNPSKRGDNSHGLCARSESSGVMPNNPSTEKKYARQQTAIKVVRHYATELALFPYKHFIELYNFEKLELHPFGLCNLGNSCYANAVLQCLAFTRPLTAYLLEGYHSRNCSKMEWCFMCELEKVMTEGKCGKAPVSPTGILSHLNEIGTSFGQGREEDAHEFLRYAIDTMQSASVKEAKKNGVHKLAEESTLVQLIFGGYLQSKIICTKCQVSSAQSERILDLTVEIDGDINTLEGALRRFTSSEVLDGDNRYHCSRCMSYERAKKKLMISEAPNILTIALKRYQSGVYGKISKDVKFPEHLNLSQFMCETDDYSPVYSLYAVVVHHDVMNTTISGHYVCYVKDPQGKWHEMDDSKVKPVSLKKVLSKCAYMLLYARCSPRVPDSVRKAMINQGASHAKKPKKMADSESTPLGGGNYVSMHQGGNLCKDRAVHHLTYTSEASDGWSYPIPGFSRSDSSSLFSNSDAGSSSTLSSDSTNSTRNLASMEYDYIFGASDHMHPVSPAVIPEEDELSYLRQRSSFNPCSSGHYMDQGGEFAQQYYHRLQERGPSMSAHQ >KQL22769 pep chromosome:Setaria_italica_v2.0:II:5174594:5175850:1 gene:SETIT_032615mg transcript:KQL22769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKVLFETPSGFAIFRYNGYKLRHQVALMKNKVHAISQSTGVSNELAKMIRNNLQPRQRLAVGNEDYKSIIEKELGIRCVYDSAVAELMWGLKIQMQSLLTPENSDLSNEGYFPMSTGMYCFLKGQKFDVKPDMM >KQL22111 pep chromosome:Setaria_italica_v2.0:II:18843:19508:-1 gene:SETIT_033533mg transcript:KQL22111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSNLSICNQAKAICRCTSRLSPPFSSYTDSHGLHVWDNACNNLEFWTS >KQL27107 pep chromosome:Setaria_italica_v2.0:II:47837182:47838882:1 gene:SETIT_031707mg transcript:KQL27107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDENGMYDGRFTTFALSGFVRAQGDADSSLDRLWQKKRAEIKQ >KQL27106 pep chromosome:Setaria_italica_v2.0:II:47837182:47838882:1 gene:SETIT_031707mg transcript:KQL27106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGKMVDLYVPRKCSATNRIITAKDHASVQINIGHLDENGMYDGRFTTFALSGFVRAQGDADSSLDRLWQKKRAEIKQ >KQL26431 pep chromosome:Setaria_italica_v2.0:II:44344956:44345414:1 gene:SETIT_033514mg transcript:KQL26431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYRSIFPIARATRVNQLPVPLCYIYMVTI >KQL26542 pep chromosome:Setaria_italica_v2.0:II:44977782:44981561:1 gene:SETIT_029254mg transcript:KQL26542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAATSLLLRRNRNPQLLLLRAAISSSRTLPQQAELSPDPTAAAPDPAPLPPNPSTGSPFYGENWRNPSAANLPSSLLPAVVGGSPFGAPHRTAGFSDAAGLKETFAVWMAEQRWEDMKQLFESWVRSLDAATGKPNRPDVDLFNHYLRANLMAGALPHEMLDLADHMREFEVEPNTASYNLVLKSMVTALEAEGAEKLVERMLQTGTVPDDETYNLVISLLIRQNLFDSALKYLDLMLKSGHTLSLTIFNDYVRACVRSGRLDTLASIIEKCKTTDKNKVLCPQWAWCIDIAEAAFDANNSKLALFALEFLARWIVLGENAKLQLSVNEGLVISALSAAGRTYSTDLLNAAWSLLKKSLRQKRAPTPETYLAKIYAHSSIGQLQRAFGTLREFENAYGNSEDIDLELFSPFTSLHPLVVACCKDGFSTLDSVYVQLENLSRADTPYKSVAALNCVILGCANIWDIDRAYETFVAIKDKFELTPDIHSYNALLCAFGKKKRTDEACNVFEHISTLGVKPNATTYSLLVDAHLANKDPKAALAIIDKMVDAGFTPSKDTLKKVRRRCSRESDFDSDEKVQSLAKQFNYRMGGENRREMLYNIEYNPVY >KQL26541 pep chromosome:Setaria_italica_v2.0:II:44977782:44981561:1 gene:SETIT_029254mg transcript:KQL26541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAATSLLLRRNRNPQLLLLRAAISSSRTLPQQAELSPDPTAAAPDPAPLPPNPSTGSPFYGENWRNPSAANLPSSLLPAVVGGSPFGAPHRTAGFSDAAGLKETFAVWMAEQRWEDMKQLFESWVRSLDAATGKPNRPDVDLFNHYLRANLMAGALPHEMLDLADHMREFEVEPNTASYNLVLKSMVTALEAEGAEKLVERMLQTGTVPDDETYNLVISLLIRQNLFDSALKYLDLMLKSGHTLSLTIFNDYVRACVRSGRLDTLASIIEKCKTTDKNKVLCPQWAWCIDIAEAAFDANNSKLALFALEFLARWIVLGENAKLQLSVNEGLVISALSAAGRTYSTDLLNAAWSLLKKSLRQKRAPTPETYLAKIYAHSSIGQLQRAFGTLREFENAYGNSEDIDLELFSPFTSLHPLVVACCKDGFSTLDSVYVQLENLSRADTPYKSVAALNCVILGCANIWDIDRAYETFVAIKDKFELTPDIHSYNALLCAFGKKKRTDEACNVFEHISTLGVKPNATTYSLLVDAHLANKDPKAALAIIDKMVDAGFTPSKDTLKKVRRRCSRESDFDSDEKVQSLAKQFNYRMGGENRREMLYNIEYNPVY >KQL27171 pep chromosome:Setaria_italica_v2.0:II:48208752:48209567:1 gene:SETIT_033594mg transcript:KQL27171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLRSFAQHKLNNVHQLRSYLLVHATRSILYVHASVICMRAAGVKLELTSCFRIV >KQL25348 pep chromosome:Setaria_italica_v2.0:II:37503647:37517813:-1 gene:SETIT_028753mg transcript:KQL25348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEENVVELLQRYRRDRQVLLNYILSGNLIKKVVMPPGAISLDDVDIDQVSVDYVLNCAKKGEPLDLGDAIRLFHDSLDYPYVNNTGAVEEFYLLTKPEYSGPAPTREPPPVPATTPSPVVIPPPVVESAPVTVSSPVATTNLTKSQSFDSPSEKELTLDDIEDFEDDEDEFDSRRASRRHQTDASDLSLRLPLFETGITDDDLRETAYEILVAAAGASGGLIVPQKEKKKEKRHRLMRKLGRSKSESVDAHTQRQPGLVGLLETMRAQLEITESMDIRTRQGLLNAMAGKVGKRMDNLLIPLELLCCISRAEFSDMKAYLRWQKRQLNMLEEGLINHPVVGFGELGRKVNELRNLFRKIEESESLPPSAAEVQRTECLRSLREVATSLSERPARGDLTGEVCHWADGYHLNVALYEKMLGSVFDILDEGKLTEEVEEILELLKSTWRILGITETVHDTCYAWVLFRQFVFTGEQGLLKVVIDHLRKIPLKEQRGPQERLHLKSLRSSVDAEGSYQDFTFFQSFLSPIQKWVDKKLNDYHLHFSEGPSLMADVVTVAMLTRRILGEENDKALESPDRDQIDRYITSSVKSAFLKMAHSVEFKADTTHEPVLASLAEETKKLLKKDTTIFMPVLSKWHPQAAVVSASLIHKLYGNKLRPFLDHAEHLTEDVVSVFPAADALEQYIMSVMASVAGEDGLDSICRQKIAPYQIESKSGTLVLRWVNGQLERIETWVKRAADQEVWDPISPQQRHGSSIVEVYRIIEETTDQFFAFKVPMRDGELNSLCRGLDKAFQVYTQLVTAPLVDIEDLAPPVPVLTRYKKELGIKAFVKKEVQEVKTVDERKAAEITQLTMPKLCVRLNSLYYGISQLSKLEDSINERWARKKTENINIRRSTSEKSKSAVPNQKNQFDGSRREINSAIDRLCEFTGTKVIFWDLQQPFIDNIYRNSVQQARLDSIMEVLDMVLNQLCDVIVEQLRDRVVTGLLQASLDGLLRVILNGGSTRVFSPNDAPYLEEDLETLKEFFISGGDGLPRGTVENLVSRVRPVINLIKQETRVLIDDLREVTQGGKSKFGTDSKTLLRVLCHRNDSEASHYVKKQFKIPSSAPNT >KQL22439 pep chromosome:Setaria_italica_v2.0:II:2614854:2621411:-1 gene:SETIT_028827mg transcript:KQL22439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEARSSSAAPPDPPPARSVSPPPTPVASSAGASSPPAQTNVASIDWLGSDQVSKAGSSHVAPPASQPAFSTNADGAAADFSQSSCRPWERGDLLHRLATFKQSTWASKPKAASSLACAQRGWVNIDVDKIECESCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHQNDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFVSLPVIASSAIESMKITRSVQIDRILSQSVTILSGELGYRTDSTTGIDISQQDESCGYSQAQKLISVCGWEPRWLPNVQDWEENSTRSARNAGSAEPDGQFHSQIPEHHQSSYSASVKKEKGKGKMRVKDSGCSMRSPLLDCSLCGATVRIWDFKSVPRPSHLSLNNIDMPDTGRKPVLTRGISATSGINGLVAEVAEKENVEGRDEAGTDERKSVSNAQVDLNLTMAGGLPSNHSALPPMPGHFSYGGMGRDLIIGQPTGSELGGHAASFESRGPSSRKRNLEEGGSTADKPINRLQPADSIEGTVIDRDGDEVDDAAQDSGARSKRPRGFNLFDINRPSSTGAGPSRNLSFDLDIDVNRFDTSNAEGPSALHNPFPKDSMRASSVIAMDTVHSAEENSMESVEYHPCDGDDVNKPSSALRSGGMSEALDLNYSNQAQQSSFVQPAAETESNAREIGGSSMNGGEEVLNAETTPASARDQLSLGVSGGSVGMGASHEAEIHGTDISEHKTGSVVGDADPIPELIEIMGHTGESAPGPALMDESAPEEVGREDPHGDSQDMASRLAVRADSGSKICGSTKADSVESGEKMSHAVAHENSAHPSLSCNARVYSGIDASKEEVTGIMLTNDDYDPANGLGATNGENDYETELPDFDPIKHHNNYCPWVNGNVAAACCINSGSSTALSGWQLTVDAIETLQSLGQAQNQTMQSDSAASLYKDDHAPPSRKLLKRANHSKS >KQL22438 pep chromosome:Setaria_italica_v2.0:II:2615260:2621324:-1 gene:SETIT_028827mg transcript:KQL22438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEARSSSAAPPDPPPARSVSPPPTPVASSAGASSPPAQTNVASIDWLGSDQVSKAGSSHVAPPASQPAFSTNADGAAADFSQSSCRPWERGDLLHRLATFKQSTWASKPKAASSLACAQRGWVNIDVDKIECESCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHQNDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFVSLPVIASSAIESMKITRSVQIDRILSQSVTILSGELGYRTDSTTGIDISQQDESCGYSQAQKLISVCGWEPRWLPNVQDWEENSTRSARNAGSAEPDGQFHSQIPEHHQSSYSASVKKEKGKGKMRVKDSGCSMRSPLLDCSLCGATVRIWDFKSVPRPSHLSLNNIDMPDTGRKPVLTRGISATSGINGLVAEVAEKENVEGRDEAGTDERKSVSNAQVDLNLTMAGGLPSNHSALPPMPGHFSYGGMGRDLIIGQPTGSELGGHAASFESRGPSSRKRNLEEGGSTADKPINRLQPADSIEGTVIDRDGDEVDDAAQDSGARSKRPRGFNLFDINRPSSTGAGPSRNLSFDLDIDVNRFDTSNAEGPSALHNPFPKDSMRASSVIAMDTVHSAEENSMESVEYHPCDGDDVNKPSSALRSGGMSEALDLNYSNQAQQSSFVQPAAETESNAREIGGSSMNGGEEVLNAETTPASARDQLSLGVSGGSVGMGASHEAEIHGTDISEHKTGSVVGDADPIPELIEIMGHTGESAPGPALMDESAPEEVGREDPHGDSQDMASRLAVRADSGSKICGSTKADSVESGEKMSHAVAHENSAHPSLSCNARVYSGIDASKEEVTGIMLTNDDYDPANGLGATNGENDYETELPDFDPIKHHNNYCPWVNGNVAAACCINSGSSTALSGWQLTVDAIETLQSLGQAQNQTMQSDSAASLYKVRLLRRSNYPTILCRPFWLSANFSKFLKCPAFFCALHCLMW >KQL22440 pep chromosome:Setaria_italica_v2.0:II:2614854:2621324:-1 gene:SETIT_028827mg transcript:KQL22440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEARSSSAAPPDPPPARSVSPPPTPVASSAGASSPPAQTNVASIDWLGSDQVSKAGSSHVAPPASQPAFSTNADGAAADFSQSSCRPWERGDLLHRLATFKQSTWASKPKAASSLACAQRGWVNIDVDKIECESCGAHLIFTALTSWSPAEVANAGEAFAEQLDASHQNDCPWRGNSCADSLVQFHLTPSALVGGFKDRCDGLLQFVSLPVIASSAIESMKITRSVQIDRILSQSVTILSGELGYRTDSTTGIDISQQDESCGYSQAQKLISVCGWEPRWLPNVQDWEENSTRSARNAGSAEPDGQFHSQIPEHHQSSYSASVKKEKGKGKMRVKDSGCSMRSPLLDCSLCGATVRIWDFKSVPRPSHLSLNNIDMPDTGRKPVLTRGISATSGINGLVAEVAEKENVEGRDEAGTDERKSVSNAQVDLNLTMAGGLPSNHSALPPMPGHFSYGGMGRDLIIGQPTGSELGGHAASFESRGPSSRKRNLEEGGSTADKPINRLQPADSIEGTVIDRDGDEVDDAAQDSGARSKRPRGFNLFDINRPSSTGAGPSRNLSFDLDIDVNRFDTSNAEGPSALHNPFPKDSMRASSVIAMDTVHSAEENSMESVEYHPCDGDDVNKPSSALRSGGMSEALDLNYSNQAQQSSFVQPAAETESNAREIGGSSMNGGEEVLNAETTPASARDQLSLGVSGGSVGMGASHEAEIHGTDISEHKTGSVVGDADPIPELIEIMGHTGESAPGPALMDESAPEEVGREDPHGDSQDMASRLAVRADSGSKICGSTKADSVESGEKMSHAVAHENSAHPSLSCNARVYSGIDASKEEVTGIMLTNDDYDPANGLGATNGENDYETELPDFDPIKHHNNYCPWVNGNVAAACCINSGSSTALSGWQLTVDAIETLQSLGQAQNQTMQSDSAASLYKLSSVHCIVSCGRMITPHPAGSC >KQL22171 pep chromosome:Setaria_italica_v2.0:II:366039:367535:-1 gene:SETIT_032637mg transcript:KQL22171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVISAIIGELATRSLSQLIDKYLKPATSKEEGMQRLQWMLLRVRLTVEEAEGRCITNQAMLQQLNILRKVVYKGYYMLDTFRCHAPEEEKGKKGHGMKITIAGMSELLIFLRNYPPMFRQPYNTYLFVEKCMFGRQMEMERVINFLLNEESPVHCNFGVLPIVGPEKVGKTTLVEHMKGNDDFREEKQLKIRDGSRIKHKRAYWYFFKALVFGSANPEEQPRLASIAMAIFDEYFDQDVYKAFAGPFIYLNKTAMGLKSSVNAQNWNRILACFKDNRRQNEPGFRKSLSDCRMNSDHIFLQRVVDSSQYCVVHNHDRIALVNEEAPKITLHDILDGTGSVRPHEKIDILVWESHLPPYHKYIYSCQILERDCKVTRKKQGEKRKISS >KQL26204 pep chromosome:Setaria_italica_v2.0:II:43000078:43007624:1 gene:SETIT_028648mg transcript:KQL26204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRGDRGEGHRRPGRSSSFGGHRGGGVGGAGKGGGGSSGQPPLSSNRSFRKPGNGHGGHQRVVSQPDTTGFQPAPAPVPHQTPARPPVAPQNAPAHVPVPAPRPQHHDPQVSSSSPASEKPANAPLPKATHAAPRAPPKSSNPPIPQGASKGEPSKGFNFQFGSINMNGLPQFPARTSSAPPNLDEQKRNQALLEELKVTPPGPMQPAPKQQPLQKQQQQQPQQLLQQSQQVPQQPQQQQARKDAVSSSQPNTINPHVPSQLKRDVHASPSVPNVTSLRPPTVQPMPGVQMSIPFHHQPAPVPLQFGGHGPQLQPQVVPSSLQMSMGLTGSNAPQVPQQLYAPTIQHQLQQQAMMHQGQGMSYVTSVGHQFPPQLGNIPMNMPPQYPQQQNKFVAAPRKTTVKITHPDTHEELKLDKRMDSSGQRAAPNLTQQSQSQPVGSYAPHIGFFHQPSNSYNQSGIYYPPTTGVNQVPTGSSGPRFNYPVTQSGQAIPYVSPSAGPPVSGHSQMTGKPHPGGLQAEKSGTHMVTITAPPGKSDAPKPRPVEDAAASRQKDNEVVSGITVSDKSAHEKESKAPLVPEKHPAVVSLPTQGAKPQTSVTANSALPMSGADGKNKESIQRTGSFKDNKKIAIKKEARNSSEPQHSASSAEDDSGDRQETKNLNKELDLTISSSGAAAPLPESKAGIAEADSTPVNAADIPGTDRSPARPASEGTSEPQGAESVAVSAVECDENKGTPKVTTDPIKDNISSDATERKSPEVCAVDMTEQASAVTCHTGNSDAAPHVTDQEHLPKESTPSGPEQQGSSSKNSETSSHVLDGGGVAVTTSETSEPTVQGVIDGDSDISPETGLAVSNVTLISSEGQQKSETMSSDQSTAAPTASIRPVSREKPSAEITRTKSTAGKKKKRKEMLSKADAAGSSDLYNAYKGPEEKSDIMGTAEGADSSSTVDTTPVLPDEPETEASSSADDSKKKVEPDDWEDAADMSTPKLQSDSGNQSGITKVLESDTTEANGRKKYSRDFLLTLQHHCTGLPVGFQMNEAVNAIMNNLAGKSYVVDREPHPSPGRGSDRPTSRGDRRGAAMADDRWTKSGVPLSPGRDMDLANGPSIVNYRGGPGGSHGVLRNPRGQPGGGLLLGPMHSVGPQVPRSGSDADRWQQKGLMPSPVTPMQAMHKAERKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEQVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLFGNYDDPDEENIEALCKLMSTIGEMIDHVKAKEHMDAYFDIMYKMSTSQKLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERHAQSSRLGRGPSVSSLPRRGAPPMDYGPRGSSALASPSSQQGSIRGMPPHSRSFGGSQDIRFEERHQFDSRTPLPQRAVKDEPITLGPQGGLARGMSIRGQPPVSNSELPSVVDHRRIVSGSNGYNSLADRTSGRTPASSQSAGPSQRPASQEGRSGKKSYSDDDLREKSISAIREYYSAKDEKEVALCIEELNAPSFYPNVVSIWVNDSFERKDMERELLAKLFVSLSSGRHNLLSKGQLIDGLALVLGSLEDTLSDAPRATEYLGRLLARFVQENILPLQEVGKLIQEGGEEPGYLVQDGIAADILWAVMDSIRLEKGDSFLNEVKSSSSLKLEDFRPQHLKRSKLDAFM >KQL26203 pep chromosome:Setaria_italica_v2.0:II:43000078:43007624:1 gene:SETIT_028648mg transcript:KQL26203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRGDRGEGHRRPGRSSSFGGHRGGGVGGAGKGGGGSSGQPPLSSNRSFRKPGNGHGGHQRVVSQPDTTGFQPAPAPVPHQTPARPPVAPQNAPAHVPVPAPRPQHHDPQVSSSSPASEKPANAPLPKATHAAPRAPPKSSNPPIPQGASKGEPSKGFNFQFGSINMNGLPQFPARTSSAPPNLDEQKRNQALLEELKVTPPGPMQPAPKQQPLQKQQQQQPQQLLQQSQQVPQQPQQQQARKDAVSSSQPNTINPHVPSQLKRDVHASPSVPNVTSLRPPTVQPMPGVQMSIPFHHQPAPVPLQFGGHGPQLQPQVVPSSLQMSMGLTGSNAPQVPQQLYAPTIQHQLQQQAMMHQGQGMSYVTSVGHQFPPQLGNIPMNMPPQYPQQQNKFVAAPRKTTVKITHPDTHEELKLDKRMDSSGQRAAPNLTQQSQSQPVGSYAPHIGFFHQPSNSYNQSGIYYPPTTGVNQVPTGSSGPRFNYPVTQSGQAIPYVSPSAGPPVSGHSQMTGKPHPGGLQAEKSGTHMVTITAPPGKSDAPKPRPVEDAAASRQKDNEVVSGITVSDKSAHEKESKAPLVPEKHPAVVSLPTQGAKPQTSVTANSALPMSGADGKNKESIQRTGSFKDNKKIAIKKEARNSSEPQHSASSAEDDSGDRQETKNLNKELDLTISSSGAAAPLPETADIPGTDRSPARPASEGTSEPQGAESVAVSAVECDENKGTPKVTTDPIKDNISSDATERKSPEVCAVDMTEQASAVTCHTGNSDAAPHVTDQEHLPKESTPSGPEQQGSSSKNSETSSHVLDGGGVAVTTSETSEPTVQGVIDGDSDISPETGLAVSNVTLISSEGQQKSETMSSDQSTAAPTASIRPVSREKPSAEITRTKSTAGKKKKRKEMLSKADAAGSSDLYNAYKGPEEKSDIMGTAEGADSSSTVDTTPVLPDEPETEASSSADDSKKKVEPDDWEDAADMSTPKLQSDSGNQSGITKVLESDTTEANGRKKYSRDFLLTLQHHCTGLPVGFQMNEAVNAIMNNLAGKSYVVDREPHPSPGRGSDRPTSRGDRRGAAMADDRWTKSGVPLSPGRDMDLANGPSIVNYRGGPGGSHGVLRNPRGQPGGGLLLGPMHSVGPQVPRSGSDADRWQQKGLMPSPVTPMQAMHKAERKYVVGKVSDEEEAKQRQLKAILNKLTPQNFEKLFEQVKEVNIDNVATLTGVISQIFDKALMEPTFCEMYANFCFHLAGALPDFSEDNEKITFKRLLLNKCQEEFERGEREEAEADKTEEEGEIKQTKEEREEKRIRARRRMLGNIRLIGELYKKRMLTERIMHECIKKLFGNYDDPDEENIEALCKLMSTIGEMIDHVKAKEHMDAYFDIMYKMSTSQKLSSRVRFMLRDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERHAQSSRLGRGPSVSSLPRRGAPPMDYGPRGSSALASPSSQQGSIRGMPPHSRSFGGSQDIRFEERHQFDSRTPLPQRAVKDEPITLGPQGGLARGMSIRGQPPVSNSELPSVVDHRRIVSGSNGYNSLADRTSGRTPASSQSAGPSQRPASQEGRSGKKSYSDDDLREKSISAIREYYSAKDEKEVALCIEELNAPSFYPNVVSIWVNDSFERKDMERELLAKLFVSLSSGRHNLLSKGQLIDGLALVLGSLEDTLSDAPRATEYLGRLLARFVQENILPLQEVGKLIQEGGEEPGYLVQDGIAADILWAVMDSIRLEKGDSFLNEVKSSSSLKLEDFRPQHLKRSKLDAFM >KQL26022 pep chromosome:Setaria_italica_v2.0:II:41881013:41882724:-1 gene:SETIT_029464mg transcript:KQL26022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLPLAAPTAAASLSPSPSQGAARALDAYKAALATAASAAAYAVMARSMARELLPDELRAAVRWCAAVARARFGRGERERHTIVIRRQYDTGYGENHLFDAARAYLATRIDPRAMRRLCLARSRAKEPDGCGGRWSTLLCMEPGGSTVDVFDGVEFTWTSVETGGDDKKKGKGGGGGGSPRESLELSFDAEHTDTALERYVPFVMSTAEELQLRDRALRIFMNEGRSWHGINHHHPATFDTLAMDPNRKDSVIADLDRFLKRREYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRLNSALQKLLIHMPNKSILVIEDIDCCFDAAASRKGAKAPEVVAELDSGYTSDSSDDSWPMNTQQPAKGITLSGLLNFIDGLWSTCGEERIIVFTTNYKDRLDPALLRPGRMDMHIYMGYCCWEAFRTLARNYFLVDDHELFPEIQELLLAVDVTPAEVSEMLLRSEDVDVALWGLKEFLQERRRKTRKETEDKKDAAEDRVEVAEEAAEDKVEVAEEAV >KQL26574 pep chromosome:Setaria_italica_v2.0:II:45186474:45188166:1 gene:SETIT_032866mg transcript:KQL26574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTMTAGYEDSLLLLLLPTTSPLPPLLAVSVLAAVLLWLSPGGPAWALSRCRRPPSGPPGVVTALSSPVAHRTLAALSRAVDGGAALMSFSVGLTRLVVSSQPDTAREILVSPAFGDRPVKDAARHLLFHRAMGFAPSGDAHWRGLRRLAAAHLFGPRCVAGAAHHRASIGATMVSDVAAAMARHGEVSLKRVLHAASLNHMMATVFGKHSDDLASQEGALLEEMVTEGYDLLGTFNWADHLPLLKWLDLQGVRRRCNRLVQKVEVFVGKIIQEHRARRANGGVADEFMGDFVDVLLGLEGEEKMSDSDMIAVLWEMIFRGTDTVAILMEWIMARMVLHPDIQAKAQAELDAVVGRGRGVADADVANLPYIQCIVKETLRMHPPGPLLSWARLAIHDAHVGGHLVPAGTTAMVNMWAIAHDPAIWAEPEAFRPERFQEEDVSVLGSDLRLAPFGAGRRVCPGKMLALATTHLWIAQLLHQFEWAPAAAGGGVDLSERLNMSLEMATPLVCKAVPRVVQA >KQL23354 pep chromosome:Setaria_italica_v2.0:II:11190422:11191057:-1 gene:SETIT_032681mg transcript:KQL23354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIAGHALGSAVVSKPEDNEVVIFHVLLYAGLRFEFNLVVIDILHLYDIYLHQLTPNAFVRLLVYMWICKTTKTKPSATGFASAHKVHHQPKYFLEESVDGVVEKQAQFGYLNFVYCTGVISPVAAYRNKWPIDWHQHWLYHEVEPEGNGEVNRLVTNKIEVLHQDYKVDLPPCPEGDAFILMLRLFARKYNTRDIVEEYCVLGVWPVRRG >KQL23457 pep chromosome:Setaria_italica_v2.0:II:13679353:13682628:-1 gene:SETIT_030003mg transcript:KQL23457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPREARWCPVARRRPSRPTAVLLLLLLLLMAACCLAAAADARPLLPTASGARRGLLDNGLGLTPQMGWNSWNHFQCDINETVIRSTADALVATGLAKAGYTYVNLDDCWADDQRTKEGYMTANPKTFPSGIKALADYVHSKGLKLGLYSSAGTRTCSDRMPGSLGHEDTDAKTFASWDVDYLKYDNCYRDGTPETERFPRMSRALKDSGRPIFFSLCEWGYMEVAKWGATSGNSWRTTGDINDTWTGMLDNIDRNDAFAQYAKPRGWNDPDMLEVGNGGMTYAPLIIRCDVTSISKETLGILSNAEVIAINQDRLCIQGKKVRDYGNDLEVWAGRLSRHRQAVLLLNRGAARSASITAAWPDVGIRPGVAVEARDVWKHETLPGKFAGSLTAAVEPHSCKLFVLTPVPR >KQL22374 pep chromosome:Setaria_italica_v2.0:II:2085119:2086377:1 gene:SETIT_031433mg transcript:KQL22374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSLQCCSAHTPLRPLFFSILLLLTSSMEVAHSDARRVPLRLLEIGASKGQEAAGMRGEMTKGRRALIGSRPPRCERVCMSCGHCEAVQVPIVPQDHHHLQKRAAATTNAAISAAMFTYRVDGITNYKPLSWKCRCGGTILDP >KQL22191 pep chromosome:Setaria_italica_v2.0:II:449862:451308:1 gene:SETIT_033215mg transcript:KQL22191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVSAVLGELAIRSINFFIRSTFKPTSPDVEDRLRRILLRAQVIVDEAMERQITNQTVLQQLHMLRDAMHRGYYILDTFRYQSHNNEEAKGQVLSHSLSLSKVNFLQGMCLPRKNTPILEQLQKSFDDLSSMILDAQELVVFLTSYPRLYRQPYSMHLLLGNCMFGRQMESELVINFLLHTRFYGSKELEVLPIVGPGLVGKSTLVAHVCEDERVRDHFSESYRRLLVVVELVGDLNKDAWNRLYSAMQHVPSGSKVIVTSRSDKITKFGTTQALRMKYVSCEAYWYFFKTLTFGSTDPETHPRFAHLAMEIAEMLNGCFIGANMTSCMLRDNFDIRFWCKVLAFLRGLMQKHVSRFGGHPLEVINQNRPAHLWRMAAPSERLVLYHLYQHPSQEEVPEIKILDMLFGSPGGAKRKRSKKSRVMVC >KQL25598 pep chromosome:Setaria_italica_v2.0:II:39274933:39276056:-1 gene:SETIT_031282mg transcript:KQL25598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAIFVFVLVFALQLLDGYLDLAKKRGSQSEEQIKLRLEIKQLLTEANQLSTPSTFAQAAKLKRLAVAKEKELGKIQEQDTKGTQSLYDKYGKFMLVTKVLLCELPFMFGLLFLPCTIVSLTLIFLIK >KQL25599 pep chromosome:Setaria_italica_v2.0:II:39273603:39276172:-1 gene:SETIT_031282mg transcript:KQL25599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAIFVFVLVFALQLLDGYLDLAKKRGSQSEEQIKLRLEIKQLLTEANQLSTPSTFAQAAKLKRLAVAKEKELGKIQEQDTKGTQSLYDKYGKFMLVTKVLIYALLVLWFWSTPVTTVPRHLLQPFGKMFSLRGVDSATGHVVVGILPWLFLTSRVSKLLCQKFSFVLLRP >KQL22456 pep chromosome:Setaria_italica_v2.0:II:2759506:2761585:1 gene:SETIT_031506mg transcript:KQL22456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFLSSDVRVDLDLRDSPPADSPPPTSQGGSSNGAKAGVARKMKEVDHLLAKLEKEGVEIDGKIASVIDDGIARIKAEAARENINEQEGIGKVLLLAIASVALGFIMGVDWFEDAILEELAKSRRS >KQL26379 pep chromosome:Setaria_italica_v2.0:II:44023347:44026732:1 gene:SETIT_029822mg transcript:KQL26379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNACWELYCLEHGIEADGTMPSDTSVGVAHDAFNTFFSETGSGKHVPRAIFVDLEPTVIDEVRTGSYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGADDEGDDGEDY >KQL22686 pep chromosome:Setaria_italica_v2.0:II:4615479:4621662:1 gene:SETIT_028704mg transcript:KQL22686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLLSMVKEKASSYLLDQYQVMEGMEEQHKLLKRKLPAILDVIADAEQQAAKQREGAKAWLEEVRTVAYKANDVLDEFKYEALRRKAKAEGHYKALGMDVIKLFPSHNRFVFRYKMAKRLCMILQEIDVLIVEMNTFRFEFKQQPQMPMQWRQTDACIPTESVDIASESRAQETKDLVHQLLKSSKDRLLAGASSKDLMVLPIVGMGGLGKTTLAQLVYNDTEIKKHFELRLWVCVSDDFDVDSLANRIVKENGCEASGSSSALDNLQKVVSGKRYLLVLDDVWNRDEPSKWERLKSYLQHGGSGSSVLTTTRDEAVAKLMMGTTEGAYKLGSLDEESLGKIIKARALFGSKQPGELVNMVGEVAKRCAGSPLAATALGSLLGTKTSKQEWEDVINGSTICDEENGILPVLKLSYNCLPSYIRQCFAFCAMFPKDYEIDVQMLIHLWMANGFIPEQSKVCPETFGERIFIELKSRSFFQDLKNVPFDEKYFSYAKGKKDMYCSRITCKIHDLMHDVAQSAMGEECAAIATHPSEREDVLRSVRHLYLLIYRRETLLNAEKGSPAFQTLICDGYEGDLKILSKYNSIRALKNNIYCGSILRPKYLHHLRYLDLSGSFFVALPEDISILYHLQTLNLSYCHRLERLPKQLKYLTALRHLNTHRCEQLKSMPAELGRLTSLQTLTDFVAGADDSGCSNLGELQNLDLGGTLELSKLENVTGADAQAAGLGNKKKLTELVLYWTDSNQEAQNNNHKEVVEGLKPHDGLKALRINQCGSRTFPTWMNTLKGMVELKLFVCKKLEELPALWELPALQILHLEGLESLHCLCSGGTTPVTFPKLKVLTLLKMAKFEAWWETHEVQGEEPIFPKVEELEIKECNSLTALPKATSVITELSGRVDTKCRSAFPALRKMILFELTMFERWEAAEGTPGEEVTFPLLENLSIDDCPKLTDLPEAPKLSELSIRTYGDQQISLEAASRCIPSLSRLHLDVSPDDTETTLLHVKKKLNGTLALPAMRLGCCDVFFSSRSSALALWTCFAQLVDLKIWCCDALVYWPENVFQVLVCLRNLHISTCSKLTGRTQASDEQSAPAPERGGLLPCLESLRIDYCPSLVEVPILPASLKTLHIEGCDEIESIVFCHPEDTRLVSGEGVVRPDTSSLIPGSTAVLKLSSGANHRFLPFPCLEYLLIWYCSGLSEVANLPPSIKTLDIYSCNNLQSLSGDLPLLEKLDLFRCERLVSLPDGPQAYSSLRVLRIMNCDGIKLLPPNLQSRLGYLEKKDLDARYEEPTWKRSIRKLVCLK >KQL22687 pep chromosome:Setaria_italica_v2.0:II:4615479:4621662:1 gene:SETIT_028704mg transcript:KQL22687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLLSMVKEKASSYLLDQYQVMEGMEEQHKLLKRKLPAILDVIADAEQQAAKQREGAKAWLEEVRTVAYKANDVLDEFKYEALRRKAKAEGHYKALGMDVIKLFPSHNRFVFRYKMAKRLCMILQEIDVLIVEMNTFRFEFKQQPQMPMQWRQTDACIPTESVDIASESRAQETKDLVHQLLKSSKDRLLAGASSKDLMVLPIVGMGGLGKTTLAQLVYNDTEIKKHFELRLWVCVSDDFDVDSLANRIVKENGCEASGSSSALDNLQKVVSGKRYLLVLDDVWNRDEPSKWERLKSYLQHGGSGSSVLTTTRDEAVAKLMMGTTEGAYKLGSLDEESLGKIIKARALFGSKQPGELVNMVGEVAKRCAGSPLAATALGSLLGTKTSKQEWEDVINGSTICDEENGILPVLKLSYNCLPSYIRQCFAFCAMFPKDYEIDVQMLIHLWMANGFIPEQSKVCPETFGERIFIELKSRSFFQDLKNVPFDEKYFSYAKGKKDMYCSRITCKIHDLMHDVAQSAMGEECAAIATHPSEREDVLRSVRHLYLLIYRRETLLNAEKGSPAFQTLICDGYEGDLKILSKYNSIRALKNNIYCGSILRPKYLHHLRYLDLSGSFFVALPEDISILYHLQTLNLSYCHRLERLPKQLKYLTALRHLNTHRCEQLKSMPAELGRLTSLQTLTDFVAGADDSGCSNLGELQNLDLGGTLELSKLENVTGADAQAAGLGNKKKLTELVLYWTDSNQEAQNNNHKEVVEGLKPHDGLKALRINQCGSRTFPTWMNTLKGMVELKLFVCKKLEELPALWELPALQILHLEGLESLHCLCSGGTTPVTFPKLKVLTLLKMAKFEAWWETHEVQGEEPIFPKVEELEIKECNSLTALPKATSVITELSGRVDTKCRSAFPALRKMILFELTMFERWEAAEGTPGEEVTFPLLENLSIDDCPKLTDLPEAPKLSELSIRTYGDQQISLEAASRCIPSLSRLHLDVSPDDTETTLLHVKKKLNGTLALPAMRLGCCDVFFSSRSSALALWTCFAQLVDLKIWCCDALVYWPENVFQVLVCLRNLHISTCSKLTGRTQASDEQSAPAPERGGLLPCLESLRIDYCPSLVEVPILPASLKTLHIEGCDEIESIVFCHPEDTRLVSGEGVVRPDTSSLIPGSTAVLKLSSGANHRFLPFPCLEYLLIWYCSGLSEVANLPPSIKTLDIYSCNNLQSLSGDLPLLEKLDLFRCERLVSLPDGPQAYSSLRVLRIMNCDGIKLLPPNLQSRLGYLEKKDLDARYEEPTWKRSIRKLVCLK >KQL26764 pep chromosome:Setaria_italica_v2.0:II:46200513:46204968:-1 gene:SETIT_028782mg transcript:KQL26764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLAFVLLLLSGGAAGDDVAALLEFKKGIADRDRDPVLGSWSPPATTEAGNGGGSCPAAWRGVVCDGARWSASRSMASASPGSSRWRRSPGMRALQNLSLAGNAFSGRLPPAIGTLSSLRHLDLSGNRFYGPIPGRLSDLNGLVHLNLSHNNFSNGFPTDGIRQLQNLRRIDVRNNSFWGNAGDLLKELRNAEHIDLSDNLFTGSVDLELDNLTSIGNTVKYLNLSHNKLGGGFFRNETVGAFKNLAVLDLSNNGLGGTVPRLDAWFSLEIFRVSGNGLFGMMPEALLQNSMRLIEVDLSRNGFSGSLPIVNSTTLKVLNLSTNVLSGSLPATVGKCTSVDLSGNQFSGELAKLRSWDGIVEVIDLSSNKLEGSYPNDAAQFQNLVSLKLRNNSLSGSLPSVLGTYQKLSVLDLSLNALEGSVLPTFFMSPALTVLNLSGNRFTGTIPFQSTHSTESILLSSQPALKIVDLSSNSLNGPLPPDISNLQKLEFLILAMNELSGEIPSEISKLQALEYLDLSHNHLTGRIPDMPQNGLKLFNVSYNNLQGTVPKSVEKFPLSCFRPGNDLLVFPDGLPAGNDDYTGVGQSRTSHGHKAGVRVALIVGCIGAILLVIFIALALYVVRSQELCGRNGFRGQITIRDLKGRISRPNLFKSPKDNVIPSKTSFSNDHLLTAAARSMSAQKELLAEAAVEYGYTDPKEVAQSTSSGVAETSAAVQARESSPQAALPTSPHFDSRFHEEPVAFEVYSPDRLVGELIFVDSTLVFTAEDLSRAPAEVLGRSSHGTTYKAVLQSGHVLTVKWLRVGLVKHKKEFIKEVKRIGTISHPNIVSWRAFYWGPKEQERLIISDYISGDSLALYLYESTPRRYSRLSVSQRLRIAIELARCLQFLHHEKGLPHGNLKPTNIFLTGPDLSPKLVDYGLHRFMAPSGTAEQILNLGALGYRAPELANAAKPAPSFKADVYAFGVIVMEMLTRKSAGDIISGQSGAVDLTDWIQMCNREGRGTDCFDRDITGLEESPRIMDELLAISLRCILPVNERPNMKTVCDDLCSITV >KQL24422 pep chromosome:Setaria_italica_v2.0:II:29913361:29914295:1 gene:SETIT_031939mg transcript:KQL24422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLNNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSPEEEKTVIDLHAELGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHKPLQPAPPQEPTGSPEEEEIVTAVTPGHEAFCTDDVPMAHLLDDIVFPAGEEVGAQPAPSDGITMAYSPDQSSSSSSSSSYSASVAAASSGGNSSADGEWPDWPPMDWPESMWQLEDVVTGRAPWEFEDPFVTYQRIALFDHQETCCNVELF >KQL25351 pep chromosome:Setaria_italica_v2.0:II:37526524:37527016:1 gene:SETIT_031816mg transcript:KQL25351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRNREGEPFCAGHWIHVSPARSVPWFSSTLLTRVTVSGVLLYQVLAVNLRASVT >KQL26468 pep chromosome:Setaria_italica_v2.0:II:44536466:44549763:-1 gene:SETIT_028756mg transcript:KQL26468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVYINDESYQNDYCDNRISNTKYTLWNFLPKNLWEQFRRFMNQYFLLIACLQLWSLITPVNPASTWGPLIIIFAVSATKEAWDDYNRYISDKQANEKEVWIVKNGARKHIQAQDIRVGNIVWIRENEEVPCDLVLLGTSEPQGLCHVETAALDGETDLKTRVSPPPCVGLEFEQLHKIKGVIECPIPDKDIRRFDANIRLFPPFIDNDICPLTINNTLLQSCYLRNTEWACGVAVYTGNETKLGMSRGVPEPKLTAMDAMIDKLTGAIFLFQLTVVVVLGAAGNVWKDAEARKLWYVKYDDNEPWYQILVIPLRFELLCSIMIPISIKVSLDFVKSLYAKFIDWDEDMYDLENDTPAHAANTAISEDLGQVEYILTDKTGTLTENKMIFRRCCIGGTFYGNECGDALKDVELLNAIANNSPHAIKFLTVMTLCNTVIPIKSPSGSILYKAQSQDEDALVNAAANLHMVLVSKNGNNAEIHFNRRVMRYEILDILEFTSDRKRMSVVVLDCQSGKIFLLSKGADEAMLPCAYSGQQTKTFVDAVDKYAQLGLRTLCLGWRELESEEYTEWSRSFKEANSALIDREWKVAEVCQRLEHSLEILGVSAIEDRLQDGVPETIEILRQSGINFWMLTGDKQSTAIQIALLCNLISSEPKGQLLHINGRTRDEVARSLERVLLTMRITSSEPKELAFVVDGWALEIILTQYTEAFTELAVLSKTALCCRVTPSQKAQLVKLLKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQILFSFLSGIAGTSLFNSVSLMAYNVFYTSIPVLTTVLDKDLSEKTVMQNPEILLYCQAGRLLNPSTFAGWFGRSLYHAIIIFVITIHAYANEKSEMEELSMVALSGSIWLQAFVVTLEMNSFTFVQLLAIWGNLIGFYVINFFISSIPASGMYTIMFRLCGQPSYWITLVLISGVGMGPVLALKYFRYTYRPSAINILQKAERSRGPMYTLVSLESQLRSDKDNMMVSSSTTPVKNKSSVYEPLLSDSPMASRRSLAPSSFDIFQPAHSRTSHPRNIKAN >KQL22289 pep chromosome:Setaria_italica_v2.0:II:1390202:1390597:-1 gene:SETIT_032679mg transcript:KQL22289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVIYNNSSFFHFITMPQPTSSTVLCGYYVCEFLKNNGSYQTNDPKNLPKIDTRNSVLEDQVIVNICRDMAHFIKTEICHENKLFFDPKGKEAVDGCEGLRTWTL >KQL24456 pep chromosome:Setaria_italica_v2.0:II:30318536:30319135:1 gene:SETIT_033738mg transcript:KQL24456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSDNTCIFMHHRQPIESSYLRDQISKKIKKKRGENIKKNWQRNRFGFGKAKKHQRDSH >KQL22454 pep chromosome:Setaria_italica_v2.0:II:2734353:2735130:-1 gene:SETIT_033126mg transcript:KQL22454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEPEVVLLDFWVSPFGQRCRIALEEKDVPYEYREQDVLNCKGELLSSAPTRAQDDPRPAPRRQGHLTYVDEAWPDAAPLLPRDDPYARAEARFWADYIDKKIYGCQTRLWKLKGEAQEQAKKDLTEVLKTLESELGDKPYFAGDTFGFVDIALVPFTSWFLAYEKLGGFSIEEHCPKIVAWAKLCREGESVAKALTDPDKVEFVQFLQTKFGPM >KQL24633 pep chromosome:Setaria_italica_v2.0:II:31847734:31848657:1 gene:SETIT_031622mg transcript:KQL24633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLEAAHENDIELEGACEGSLACSTCHVIVTDVNYYNKMEDPVDEENDMLDLAFGLTETSRLGCQVIASPELDGIRLALPAATRNFAVDEYVAKSH >KQL24632 pep chromosome:Setaria_italica_v2.0:II:31848191:31848563:1 gene:SETIT_031622mg transcript:KQL24632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNMPCDRNGSIQDVNYYNKMEDPVDEENDMLDLAFGLTETSRLGCQVIASPELDGIRLALPAATRNFAVDEYVAKSH >KQL22399 pep chromosome:Setaria_italica_v2.0:II:2350421:2357355:-1 gene:SETIT_028919mg transcript:KQL22399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYSDDSDPDIDEDLREDLDALRRSCILSGADPDAAVAQVSSGLAGPSTPALAAATTTAAAGSNGFSSDDDDEEEDEDLALVRAIRENLHRLNNKASPPLPDAPGGGGDRRRLVQRLGFDLPNVGTSTSSPKAMKPEASQGVHGELFVDRNDDESAAQKQNAKAHNRTGFPKAALLLVDALKKNRACQKLIRRKLINIEAKIEENKDLRDRVKCLLGYQLSCRRSAGRSLSQKEDPRIRLISSRKPTQLSEKNNNRKMPALFLGPAENPRVSKYRMVLEQFPMSFKKQPWSDAEKDKLARGIKQQYQETLILDSLNNGSAIGDFSAVDMAYALTNGVGNFEVTPESLRSVLPLINWDKISAMYLPGRSGAECESRWLNCDDPLINLEAWTAQEETKLLLIVQEKGLYNWINIAATLGTYRTPFQCLVRYQRSLNPHIINKAWTKEEDLQLRAAVHTFGEKWQLVSASLDGRTGSQCSNRWRKTLHPTRTRVGRWHLDEDKRLMVSVKLIGSGSWSKIAPFIPGRTQTQCHERWCNILDPSIDLGKWRPEEDTKLLAAVSEFGPCWSKIAMNIIPGRNDNMCSRRWNKLCKHHLPAVKAAIQLKKSVFQTNFVDREKERPAIAPSDLISLVQSKGDESGENTRDRSRKQTKENLVASNIGVVKKRTRRSKPAGSEGAARKRRCTISADNEAGTNTRDPVSGEEEVVKKRKRRSKPVGNEGAARKRMRGSVSVGDEGVVEKRTGSVATENHGDVTKRKRAPSRRKSAEDNLTTEGPANATPELGLPSAPSEERVVDAGNMDKGKRKSTPRPKHINIEGDADKHSPSARLANCLSFARMKGINRNKR >KQL24177 pep chromosome:Setaria_italica_v2.0:II:27055844:27056582:-1 gene:SETIT_033323mg transcript:KQL24177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPATQHGSTADPSAAFRSSRSPSVMPSAPRTGRPASCTGVAAARPAPSSTSSPSPSPLPNRLPRPVPLPPPPRPPTMPTRPSRSAAREQGKLTEHWEMVVSALPFGSFLALGWRSAEQQREGLEIHPLPHLLQRQGRGGPLPPSTARLPGCAHHPFAPHRLAHTSAPGRRRRG >KQL24634 pep chromosome:Setaria_italica_v2.0:II:31867813:31870557:1 gene:SETIT_030370mg transcript:KQL24634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISVPLVLYVGERMLRALRSNAYTVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPMISPFEWHPFSITSAPGDDYLSVHIRTNGDWTQELKRMFVENYFPPHLNRRASFSELGAAEPRSLPKLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKIADELMDLAMETSRSEDSANSFSVSTASSNRKRAYRTSRAHFYWVTREAGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWNEVFTRIASKHPNSTVGVFYCGAPTLAKELKTLAHEMSHKTGTRFHFHKEYF >KQL22811 pep chromosome:Setaria_italica_v2.0:II:5475874:5477809:-1 gene:SETIT_031886mg transcript:KQL22811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDAGAGSSPAAAAPSGNPLRVILTRGFARQVLVGRWFTVFASLLIMAASGATYIFGSYSGTLKSSLEYDQHTLNTVSFFKDLGANLGVFSGLINEVTPPWVVLAMGATMNLSGYLMVYLAVSGRTARPPVWLVCLYFFIGANSQSFANTGALVTCVKNFPESRGVVLGILKGFVGLSGAVYTQLYLAFYGGDDAESLILLIAWLPAAVSVVFVHTIRYMPYPRRRGGQETSPDPFFCFLYLSIALASFLLVMIVVQKQVPFSREAYGLAATPLLILLLMPLGVVVKQEYKIFRERQLDAALLAAGPPPTITVAGAANDNIQMSTDTKKTEQQQPAPPTSTSSSCLGRFGGCVRTMFRPPARGEDYTILQALVSVDMLVLFVASICGVGGTLTAIDNMGQIGQSLGYPSKSINTFAVLARYRFPRPLLLTLVLLLACAGHLLIAFGVPQSLYAASVIIGFCFGAQWPLVFAAISEIFGLKYYSTLYNFGGMASPVGSYILNVRVAGRLYDAEAARQGNVVGVGAGGTKHERVCLGVECFKRSFLIITAATVVGAAVSLVLVWRTWGFYKGDIYARFREEAAGGGEGRGQVDGRLPVGQPRRRVEAAAEEEEDREAGAVNSRKG >KQL23126 pep chromosome:Setaria_italica_v2.0:II:8537366:8538153:-1 gene:SETIT_032016mg transcript:KQL23126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPGYTSNYSADAPGNATPTHPSYYDYMTAAFLGHCSGLPPPGLDAAAGGEQPLSTLDPDSNQSGTCARLAVEFYNRQEGNSPITLDRAKDSHKFFSQGTAYFHVNFKAAFDGGSGHCYTFFAEVEGPGGVPESATMVVQFHTKEERRTRDNCLYCTGLSHPEEGGFVGHDGGEGGDDDHNNGN >KQL24801 pep chromosome:Setaria_italica_v2.0:II:33327521:33329381:1 gene:SETIT_032558mg transcript:KQL24801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFSLLAGALVLLVGAADAALPGEALSSTLQQSVVPPYILYFQMTSSSAHLHPLSRSLAGYQISCGATSAKVAGNVTWVPDGAFVHTGKAAELGDSRGLMAPMLSSLRYFPDASARKHCYVVPAERHARYLVRTTYYYGGFDGGRAPPVFDQIIDGTRWSAVDTAADYAGGLATYYEAVVDAAGKQVSVCLARSGATEAGRSPFISALEVVPLEGSVYGAVNFTAYALSTIARHSFGHDSSTIGYPGDRFNRYWEPYSGGNIPVVESQASVATEAFWNKPPEAVFRRGLTASRGKSLDLQWPPAPLPAASYYLALYFQDNRAPSALSWRVFDVAVNGQPFFAGLNVSTAGTMVYGAEWPLSGQTRIKLTPAPDSPVGPVINAAELMMIVPLGGRTHPRDVIGMEALARGFWNPPSDWRGDPCLPKGNSWTGVTCNEDPLARVIAINLTNSRVGGSISDHIANLTAVSSIWLVGNNLTGPIPDMSPLHHLVS >KQL26644 pep chromosome:Setaria_italica_v2.0:II:45536059:45537699:-1 gene:SETIT_033320mg transcript:KQL26644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNERDGQEPAAATSSSASDWLDESIAFLAADLDLGLDAYGWMQASAEAERQGFHSMVTETLPPPATTLPQSGLGPVSTSVAPSPVASPQEFGQPRKRKSPQHSSQRCPVGGGGGRGGPELDRGGTSCSRKPSKKGSARAGDASLDRDARWAEQLLNPCAVAIEAGNLPRAQHLLYVLSELASFSGEPNHRLAAHGLRGLALRLPAAVGQAAAATLKMPPCECPAPAFPGADPRLFRASLIRFNEVSPWFAVPNALANAAIAQAASARGAAAEPRRVHVVDVGVSHGVQWPTLLEALTRVPRGSTPPSVRLAVAGHAATPPAPFSSSPPGYDCSLQLLRYAKTINLDLTIVQAPSLDTLHGILTPGETLVVCLQFRLGHAATDEQAAVLRNIRTLNPELLILAELECGSRSDSSAAIEFAARLENLWMFLDSTAAAFKGRDVDERRVMEAEAGTALAATARRGAAVAGGREAWRARLAAAGFEEAAFGGEAVETAKALLRKYDSGWELVPPSPSAGAAVGLRWKGQPVSFCSLWRPAQAGPEPMWHG >KQL25980 pep chromosome:Setaria_italica_v2.0:II:41691431:41693853:-1 gene:SETIT_0290021mg transcript:KQL25980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQDSQEERHQRRENGEETEKAADYGSALSRKEATEELLGCIVHSEEEAYRLYCDYGHRIGFSVRKGKQSYFIGTKNIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMIRFRVDEKGRWTVIRFVPVHNHQLAKPGERHMLRSAKSLAFGKSGVIDPSASTESHPINGFSDTIEGDTADNSGYTIRECYNQVGMQGITVIEAGDGQSLVSYFKRRTNEEGMFYWDVQVDQEGRMTNFFYRDGKCRNDYGCFGDAIIFDTTYRTNKYNLICAPFVGVDHHWQNVVFGCAFLLDESVASYVWLFKSFLESMGGKSPKSIFTDQDEAIMQAVEQVSLTHTLLFLLAYSEECTISFSSLNTSQAFQHLFMQGSDSEEDFEESWTAMLREYKLQDNSWLNDLHRFRHKWCSALNKDTFDGGINSSQWGEVSNNILSGISDENTSLTRFALLLEKVVKDLRRNESEEDFRCSQTAPVRAVKHSTVLKQAAESYTHRIYKLFEAEFLDGCGATSCHETSSGGNLLRFEITMQGRGSKVWAVALDTSTMEITCGCRKFERMGLLCSHALKVFTLQNVDTIPEKYVLKRWTKDARRSMFKLAQDDSTQQECTEAELAYRNRAMQYAYNLIMKSQELEESRKIFWDSLETGEKALEVFFEMRNMRTQAAKDASNREKKKKKPSKGPNSKKAKQAPAASSAGLELIVQTNEHQYQPSQDAQGNATIGRPYYYQAFPTAPIQPNQMYMHPNVHTMPLCTSQ >KQL25981 pep chromosome:Setaria_italica_v2.0:II:41691431:41693853:-1 gene:SETIT_0290021mg transcript:KQL25981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQDSQEERHQRRENGEETEKAADYGSALSRKEATEELLGCIVHSEEEAYRLYCDYGHRIGFSVRKGKQSYFIGTKNIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMIRFRVDEKGRWTVIRFVPVHNHQLAKPGERHMLRSAKSLAFGKSGVIDPSASTESHPINGFSDTIEGDTADNSGYTIRECYNQVGMQGITVIEAGDGQSLVSYFKRRTNEEGMFYWDVQVDQEGRMTNFFYRDGKCRNDYGCFGDAIIFDTTYRTNKYNLICAPFVGVDHHWQNVVFGCAFLLDESVASYVWLFKSFLESMGGKSPKSIFTDQDEAIMQAVEQVSLTHTLLFLLAYSEECTISFSSLNTSQAFQHLFMQGSDSEEDFEESWTAMLREYKLQDNSWLNDLHRFRHKWCSALNKDTFDGGINSSQWGEVSNNILSGISDENTSLTRFALLLEKVVKDLRRNESEEDFRCSQTAPVRAVKHSTVLKQAAESYTHRIYKLFEAEFLDGCGATSCHETSSGGNLLRFEITMQGRGSKVWAVALDTSTMEITCGCRKFERMGLLCSHALKVFTLQNVDTIPEKYVLKRWTKDARRSMFKLAQDDSTQQECTEAELAYRNRAMQYAYNLIMKSQELEESRKIFWDSLETGEKALEVFFEMRNMRTQAAKDASNREKKKKKPSKGPNSKKAKQAPAASSAGLELIVQTNEHQYQPSQDAQGNATIGRPYYYQAFPTAPIQPNQMYMHPNVHTMPLCTSQ >KQL25982 pep chromosome:Setaria_italica_v2.0:II:41691431:41693853:-1 gene:SETIT_0290021mg transcript:KQL25982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQDSQEERHQRRENGEETEKAADYGSALSRKEATEELLGCIVHSEEEAYRLYCDYGHRIGFSVRKGKQSYFIGTKNIRTKDYYCSKEGLKYDEPVTEANFNRPDTRTNCKAMIRFRVDEKGRWTVIRFVPVHNHQLAKPGERHMLRSAKSLAFGKSGVIDPSASTESHPINGFSDTIEGDTADNSGYTIRECYNQVGMQGITVIEAGDGQSLVSYFKRRTNEEGMFYWDVQVDQEGRMTNFFYRDGKCRNDYGCFGDAIIFDTTYRTNKYNLICAPFVGVDHHWQNVVFGCAFLLDESVASYVWLFKSFLESMGGKSPKSIFTDQDEAIMQAVEQVSLTHTLLFLLAYSEECTISFSSLNTSQAFQHLFMQGSDSEEDFEESWTAMLREYKLQDNSWLNDLHRFRHKWCSALNKDTFDGGINSSQWGEVSNNILSGISDENTSLTRFALLLEKVVKDLRRNESEEDFRCSQTAPVRAVKHSTVLKQAAESYTHRIYKLFEAEFLDGCGATSCHETSSGGNLLRFEITMQGRGSKVWAVALDTSTMEITCGCRKFERMGLLCSHALKVFTLQNVDTIPEKYVLKRWTKDARRSMFKLAQDDSTQQECTEAELAYRNRAMQYAYNLIMKSQELEESRKIFWDSLETGEKALEVFFEMRNMRTQAAKDASNREKKKKKPSKGPNSKKAKQAPAASSAGLELIVQTNEHQYQPSQDAQGNATIGRPYYYQAFPTAPIQPNQMYMHPNVHTMPLCTSQ >KQL25253 pep chromosome:Setaria_italica_v2.0:II:36912222:36916739:-1 gene:SETIT_029264mg transcript:KQL25253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKDGGPPRWLSPIECGDAGGRVPGAPTLFYLPGIDGVGLGLIRHHEKLAKMFELWCLHIPVEDRTSFEGLVEYVETTVKSESSRAPDRPVYLVAESVGACIALAVAVRNPDIDLVLVLVNPGTSFHNSQLQTLSAFLDLVPEPFHLTTPQLLNFLTGNFMNMPLTFIGRGLSLEEAGQTLSDITSSLFASLLFLVDILTKESIVWKLKMLKTASSFVNSRLHAVKAQTLVLASGNDELLPSSQEAERLRGALEKCRTRLFRDKGHKILLEDEFDLATTIKGAGYYRRSRKTDFVSDYLPPTPDELQQAINRDRVLNFITDPVLLSTLPDGKIVRGLAGLPREGPAVLVGYHMLLGLELGPMVTGVLSSTGVHIRGLAHPVIFDKNSEQLMPHSAYFDLHRILGAVPVTGANFYKLLADKEFVLLYPGGAREALHRKGEEYKLFWPEQPEFVRMASRFGATIIPFGVVGEDDIGDLLLDYNDIQKLPFYGMLDEALNRDDLKLRTDSMGELKDQGMHPVVLAPKVPGRFYFVFGKPIETRGREKELRDKEEAQQLYLQVKFEVESCINYLKEKRQNDPYRSILPRLLYQAVHGPNAEIPTFEP >KQL25252 pep chromosome:Setaria_italica_v2.0:II:36912061:36916747:-1 gene:SETIT_029264mg transcript:KQL25252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKDGGPPRWLSPIECGDAGGRVPGAPTLFYLPGIDGVGLGLIRHHEKLAKMFELWCLHIPVEDRTSFEGLVEYVETTVKSESSRAPDRPVYLVAESVGACIALAVAVRNPDIDLVLVLVNPGTSFHNSQLQTLSAFLDLVPEPFHLTTPQLLNFLTGNFMNMPLTFIGRGLSLEEAGQTLSDITSSLFASLLFLVDILTKESIVWKLKMLKTASSFVNSRLHAVKAQTLVLASGNDELLPSSQEAERLRGALEKCRTRLFRDKGHKILLEDEFDLATTIKGAGYYRRSRKTDFVSDYLPPTPDELQQAINRDRVLNFITDPVLLSTLPDGKIVRGLAGLPREGPAVLVGYHMLLGLELGPMVTGVLSSTGVHIRGLAHPVIFDKNSEQLMPHSAYFDLHRILGAVPVTGANFYKLLADKEFVLLYPGGAREALHRKGEEYKLFWPEQPEFVRMASRFGATIIPFGVVGEDDIGDLLLDYNDIQKLPFYGMLDEALNRDDLKLRTDSMGELKDQGMHPVVLAPKVPGRFYFVFGKPIETRERRS >KQL25251 pep chromosome:Setaria_italica_v2.0:II:36912694:36916739:-1 gene:SETIT_029264mg transcript:KQL25251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRKDGGPPRWLSPIECGDAGGRVPGAPTLFYLPGIDGVGLGLIRHHEKLAKMFELWCLHIPVEDRTSFEGLVEYVETTVKSESSRAPDRPVYLVAESVGACIALAVAVRNPDIDLVLVLVNPGTSFHNSQLQTLSAFLDLVPEPFHLTTPQLLNFLTGNFMNMPLTFIGRGLSLEEAGQTLSDITSSLFASLLFLVDILTKESIVWKLKMLKTASSFVNSRLHAVKAQTLVLASGNDELLPSSQEAERLRGALEKCRTRLFRDKGHKILLEDEFDLATTIKGAGYYRRSRKTDFVSDYLPPTPDELQQAINRDRVLNFITDPVLLSTLPDGKIVRGLAGLPREGPAVLVGYHMLLGLELGPMVTGVLSSTGVHIRGLAHPVIFDKNSEQLMPHSAYFDLHRILGAVPVTGANFYKLLADKEFVLLYPGGAREALHRKGEEYKLFWPEQPEFVRMASRFGATIIPFGVVGEDDIGDLLLDYNDIQKLPFYGMLDEALNRDDLKLSCGFKGLIPWGS >KQL24978 pep chromosome:Setaria_italica_v2.0:II:35152070:35153099:1 gene:SETIT_031456mg transcript:KQL24978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTAAVLSPPSVAGLRLAPSPRARVSFRATPARRSVAARAELSPSLVISLSTGVSLFLGRFVFFNFQRENVAKQVPEQNGKTHFDAGDERAKEYAGLLKSNDPVGFNLVDVLAWGSLGHIVAYYILATSSNGYDPNFF >KQL24913 pep chromosome:Setaria_italica_v2.0:II:34568490:34571817:1 gene:SETIT_031188mg transcript:KQL24913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPWPRTAGTAPAPPPEAAAAAATAAATPAPTSEQHLVKEGGNAAAAAVPLPQEEEAKPHLPRDDDSEAVIQEHEQKINRYQAILAARLKAKFFSKKAFDGGNIFEAETIVEGETIQSSRWPCTRSFAKPEFFSRDKNSHEKGNSPTSAADSSAKNSSPSLAGDVSPKNNASALATENNLTPGKRQQSKKT >KQL23760 pep chromosome:Setaria_italica_v2.0:II:17378593:17381498:1 gene:SETIT_031024mg transcript:KQL23760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATLLLSANAALAARRRPSTPAGRPGRVVPGRGPASCRPVRARAAAAAAPAAGGVDGQSNGVYTVGDFMTTRENLYVVKPTTSVDEALEMLVQHRISGFPVIDDNWKLVGVVSDYDLLALDSMPGNGLADTNTNMFPEVDSTWKTFREIQRLLNKTNGKVIGDVMTSSPLAVRENTNLDAAIRLLLETKYRRLPVVDSTGKLVGMITRGNVVGAALKIKKKSEEGA >KQL22269 pep chromosome:Setaria_italica_v2.0:II:1153615:1155548:1 gene:SETIT_030188mg transcript:KQL22269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPLKLFDDLVEEVLLRFPPDDPASLLRAALVCKQWLRIVSGRHFRRRFRSFHRTPPMLGAFTKLHGFVPTSSFKCQVPAEVRHGRMVLDARHGRVLFHNVRHASEWWDPTLSLWYPISGEQLGLPKLPRVPDPHECSSGFAVLCAAAGCNHLDCSHGPFLVVVVAVGLSEVFARVYSSEVGEWSEPTFAPHINESLRHPDSLYLMPGVLAGDTICFLLDTANAVVKFDLKTREMEWIHLPVTSYSSWPIALITAEDGSLGFARTKGRTLHLWSMVAGPSEEARWAQSRVIELETLFPVNALKCTPIMLRSVGIIYFFCTNDRGIYSVDLNSSQARKMPKSFGYPNYAVPFVSFCSPALGAASTDEAPRDSSSSA >KQL23463 pep chromosome:Setaria_italica_v2.0:II:13767187:13767456:1 gene:SETIT_032754mg transcript:KQL23463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRAPTRTAYAKVDAEEARQLRAQYLIQKVLEEKSPAARSRPPALVRVKARIGVRLKKLRLAIRSVRVRACRTLQRHLRNLRKLIALG >KQL24842 pep chromosome:Setaria_italica_v2.0:II:33680832:33683330:-1 gene:SETIT_029713mg transcript:KQL24842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSATTFFLLSLAILLCTSLVADASQEAQLSKFMASRIGPLPERCKSPPSGSKEADRIAELPGQPLLIDFEQYAGYVTVKEEHGRELFYYFVEAPEDAESKPLILWLNGGPGCSSLGYGAMMEIGPFRVNPDGKTLSRNKHAWNNLANVIFLESPAGVGFSISRDAADFKTVHDGRTAEDTYTFLLNWFERFPEYKGREFFVAGESYGGHYVPQVATVIALMNRRIPVLQTPINLRGIFVGNPLLDNDLFNKGYLEFLWSHGVVSDEVWADILSNGSFALPDDLMYSLADRTLKGAKVDCFNIYAPVCLQSRNGTHYSSSYLPGYDPCSEHYVKSYLNHLEVQTALHARIGNWSACIPNLTRIDSPTFMVPTVRYLVDIGLRVWIFSGDFDSICSLTVTRYSVKDLNLAVTKKWRPWYAPNGEVGGFVQQYQGGFTLASVRAAGHTVPTFQPERSLALLYAFLENMLPPADIPN >KQL22523 pep chromosome:Setaria_italica_v2.0:II:3324996:3326177:-1 gene:SETIT_030411mg transcript:KQL22523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVPACSAAAPHVVEDCLGLVQLLSDGTVRRSTDYSVFPLVGGVPPPDLPVEWKDVVYDGAHGLRLRMYRLSTAGAGGGVEEKKLPVLVYFHGGGFCVASFEVINFHAGALRLAAELPAVVLSADYRLAPEHRLPAALDDAESVFSWLRSQAAGGGGADPWLVESADFRRVFVTGDSAGGNIAHHISVRHGSGELPLTPLRLAGCVMLWPYFGGEELMPSEAASPPGEPMGMALFDQLWRLALPAGATKDHPIANPLAPGSVPFGDLGGDFPPVLVLDPDQDVLHDRVGEYVARLRAAGKEVELVVFEGQGHAFFVTEPCGEASDELIRVIRRFVHSG >KQL22556 pep chromosome:Setaria_italica_v2.0:II:3505804:3506175:1 gene:SETIT_033570mg transcript:KQL22556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQKLKAALLVHGTFVKLCLLLEENRPNNNTSGIWSTGSI >KQL26568 pep chromosome:Setaria_italica_v2.0:II:45116989:45120852:-1 gene:SETIT_030558mg transcript:KQL26568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDFLGRFGRDGGAPAAGGGSAATVDSDDIELSLGLSLGGCFGADPAHEAKKPRLVRSTSIPSICSLPGGFPSGEEPAAVTAPPSDLLRTSSLPTEYMEDRLRRRAMQSQRRLEAKRKRLERRNSMNSGRSGTNASAAVCRDEALEQTVPSGFQFRRTVALQGTTSSSVPEQASAGSGAEAKSPPAMNTAETSGGQSSSRPPTASGTGRPSNGTTGREQPPLRTLRSLTMRTASTGDLRNSMVEDMPMVSYKVEGPSGRKTDGFLYKYRKGEEVRIVCVCHGNFLTPAEFVRHAGGGDVTNPLRHIVVNPQQSVFL >KQL26569 pep chromosome:Setaria_italica_v2.0:II:45116989:45119613:-1 gene:SETIT_030558mg transcript:KQL26569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDFLGRFGRDGGAPAAGGGSAATVDSDDIELSLGLSLGGCFGADPAHEAKKPRLVRSTSIPSICSLPGGFPSGEEPAAVTAPPSDLLRTSSLPTEYMEDRLRRRAMQSQRRLEAKRKRLERRNSMNSGRSGTNASAAVCRDEALEQTVPSGFQFRRTVALQGTTSSSVPEQASAGSGAEAKSPPAMNTAETSGGQSSSRPPTASGTGRPSNGTTGREQPPLRTLRSLTMRTASTGDLRNSMVEDMPMVSYKVEGPSGRKTDGFLYKYRKGEEVRIVCVCHGNFLTPAEFVRHAGGGDVTNPLRHIVVNPQQSVFL >KQL23040 pep chromosome:Setaria_italica_v2.0:II:7909388:7914989:-1 gene:SETIT_032929mg transcript:KQL23040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIFFVCFICLCLFSPIVSLGVSVSHLVHGRDIAANGAAALLNAALDIFYALLLLQSLFTLYYAAIIIYIAEDDTQILTNKHSGLEEEWGLQAIRMYYSETRKRSKDGKLPCNWDLITYAIGLLQISIQNLIAMIGRRGVAHDIIESRERAARIVAHLATSINITHFPSIVQCICSLLERSNCKQYCEPQVTTCPSENPQNRFFPDDRKDQHETSQHQDDAYVALVENSPSMRPFLSQFKVGPRQKELISQGLLILERLTRDEGNCTEISRHQRLVAKITSPLSSHDFLSNNARDKEKMVEMLNKSLTVVSRLLSSPGDGATRLRARSWQALVRIFLEKEASNTAGDMAIVDVADSTIAEFERADREHKSTRLRRKAGEALARLLPLGAATDVNVADKLSKQEAIDLLTKKQSEEIKSMAAMLSLAVRRFCSCHPGDETMVKKLKEILKVNKHSTAECLEVVKLTCHMVVAVIKAQPSCIQRFNEHNFEETLAETLETMSEVDDCLLFAGNDREVIKTARSLASLAHDQACQMLHPEQLMVFDN >KQL25376 pep chromosome:Setaria_italica_v2.0:II:37695857:37699412:1 gene:SETIT_029736mg transcript:KQL25376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKDVGILAMDIYFPPSCVQQEALEAHDGASKGKYTIGLGQDCMAFCSEVEDVISMSLTVVNSLLKKYKVDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALLNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRASHMAHVYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRQFCNKYEKIVGKQFSISDAEYFVFHSPYNKLVQKSFARLCYNDFMRNCSSIDDDAKEKLQPFSNLTGEESYQSRDLEKASQQVAKHLYDIKVQPSTLLPKQIGNMYTASLYAALASVLYNKHDSLDGQRIVMFSYGSGLTSTMFSLRLNNGQHPFSLSNIASVLGVTEKLQSRHETLPEKFIETLKLMEHRYGAKDFETNKDTSLLPPGTFYLTHVDSMYRRFYDQKPVEETAGGKAKCCNGFANGH >KQL26003 pep chromosome:Setaria_italica_v2.0:II:41784447:41786480:-1 gene:SETIT_031215mg transcript:KQL26003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKARRQPFAAAERFLGFPRGGSPGAVAPAPDGDDDLPDLAEADVWYSAATDSGSPWPAASRQVEAEGRTTTTGGGGLAPRRGVQGGLSRAFGDGPGRQVAASAPVEVPAWPSHFAVPDPALLFEMEMPEDEPGKDGAGGWVPPHVYLARRQARASVVEGVGRTLKGRDMSRVRDAVWSRTGFDG >KQL24032 pep chromosome:Setaria_italica_v2.0:II:24965942:24966487:-1 gene:SETIT_032209mg transcript:KQL24032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAAVAVAFAAIAAVAGAAESYYASVENHLPERGMKLVCQALGGMFLTELSVVPRGRVPHGKAGRRVAELMVEGDRSGWVRCNWAYAGNYVAGLTLLDSRWPEARRCRDPAGEGLCRILFEHDTVFLKTPGGGVRVIGDLPVKRCRRHWLLFSTECSYPDHPYPYAGRRLGNAFQYFAI >KQL22285 pep chromosome:Setaria_italica_v2.0:II:1345882:1347444:1 gene:SETIT_032180mg transcript:KQL22285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVSSAIVQETVGQILSGLVQKYEEKEESNEKRNLERLEIAHIRLEAALETSNKWQITDASLLRWRKKLKHAAQECDDTLHKCKQRILEDEQMEREVKNSSLPNRIVHATKSFALSIFKRNDNDLRRSIAQRFEWYADGASEFLRFIELGGTPRRHMPFECFVKNLFAGKELHHKIVRGNEYPLFQLWLTPIRNPVHGIDVSLIFIQYDGTPEGNICFSLVIQLSESIDIVGIAVKCLQLFAPHFKCKFENIRNELTQLPNEDFSWGPTFYSDHKEHWDKLNSLSSQVVRPNPFCCKEHGRHKVRRFSNMDMAGLSDGLLEPVIQFTLHCHISLSMYRKQKTSLSEDLISLQDYPYLKAGIAFSPHGCLEDMLPVNRSSEIAATVRKEQHFLQTDITLEQLEEIMLPKAIDYFRQNAEAMIFKMLWKSKHGFALIQVEKPCMSTWRSSMRRRSTSRGARKRKLFQEDDEEIIRSRIRNCHWLDSWFTLMPVQLQRSLRNWIRKEKEILIAAPQLHLKF >KQL22393 pep chromosome:Setaria_italica_v2.0:II:2301816:2305086:1 gene:SETIT_030226mg transcript:KQL22393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISLRAALGSTTNKLTKGQLDDLTIMMETLRVKDDELHQLLQDIRARDSTINEIADKLQETAEAAETAASAARSIDGERRFLSSEFERLKQDHEKQVEVSLLRLKESEEKAKLLVEERDHLLTERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADMRVKEAMSRLESAIKEKEDLLALVDALQSQIKRQETSTIQVCEENSELCSTTSKHMEDDNVDKACVSDTDPIPVAENIVELDDEGVDIRTIGDTEWGNSHYLEVSDVREVTTEPEENSLDIPVDT >KQL22392 pep chromosome:Setaria_italica_v2.0:II:2300624:2305086:1 gene:SETIT_030226mg transcript:KQL22392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDAVSILELHRKRNTFFVQKLLALQEHGATQLVLQAHKEAVNSLGENSPAKLGTVATVVAVANATAIEATKEVEAAMKISLRAALGSTTNKLTKGQLDDLTIMMETLRVKDDELHQLLQDIRARDSTINEIADKLQETAEAAETAASAARSIDGERRFLSSEFERLKQDHEKQVEVSLLRLKESEEKAKLLVEERDHLLTERDSALQEAQMWRSELGKARGNAVILEAAVVRAEEKARVSAADADMRVKEAMSRLESAIKEKEDLLALVDALQSQIKRQETSTIQVCEENSELCSTTSKHMEDDNVDKACVSDTDPIPVAENIVELDDEGVDIRTIGDTEWGNSHYLEVSDVREVTTEPEENSLDIPVDT >KQL22697 pep chromosome:Setaria_italica_v2.0:II:4665822:4667916:1 gene:SETIT_032668mg transcript:KQL22697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLHEVEVEYPDGRPIEKHQAMLLEFGKFENGQSTTAMSLTVGVAAEIGALLLLQNKVQTKGVIRPLEPEIYIPEFLKVGNSSSDNEVRTSRFAE >KQL26314 pep chromosome:Setaria_italica_v2.0:II:43690534:43691123:1 gene:SETIT_031607mg transcript:KQL26314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEIAATIALCLLLLTCGAEATLCNLRSMGFVGVCWSNMSCANQCVLEGRTSGYCKGIPAIKYCMCTFECGVNGADGGGGGAQVPALPPPVLTVRARRAGS >KQL23999 pep chromosome:Setaria_italica_v2.0:II:24235787:24238566:1 gene:SETIT_030559mg transcript:KQL23999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVASLNALALRAPSPSPAAPSPRRGTVAGALAFPTPPRFPLLRAARRVLARAVAAGGDSEDEWGPEPEGGSAVTGTAVAEASDPEASEVAELKAQLKEALYGTERGLRASSETRAEVVELITQLEARNPTPAPTEALTLLNGKWILAYTSFSQLFPLLGSGRLPELVKVEEISQTIDSETFTVQNCIKFSGPLATTSVSTNAKFEIRSPKRVQIKFDEGVIGTPQLTDSIVLPEKFELFGQNIDLSPLKGIFSSIENAASSVANTISGQPPLKIPIRTNNAESWLLTTYLDEELRISRGDGSSIFVLFKEGSSLLN >KQL27115 pep chromosome:Setaria_italica_v2.0:II:47888548:47889468:-1 gene:SETIT_033412mg transcript:KQL27115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRVLEVTLLSAKDLKRVNLISRMEVYAVVTISGDPMTRQCTQPDPYGGRHPSWNTSFRFNVPPTAATATGCLHVLLRTERALGDRDVGEVIVPLADILAGGGAACDPGARPPQVASYQVRKVHRCEPRGMLNVSYRLGPIVAPQAPETAAAPFVGYPVPRSCYAPPYAYLPAAAPLSLPPPPHPQAAGGHDAVHSSPQSAGATSTYNFPAAYPQAAAGHASLPPPTSGKDSGGGKLDFGVGLGAGLVSGAISGILAGDMVSEVAAYNYGYRAGLADGGAGATVYKKNSHYGVPQGKVEPNRTMV >KQL26682 pep chromosome:Setaria_italica_v2.0:II:45749636:45751813:1 gene:SETIT_029695mg transcript:KQL26682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCYTFDLRHSLSSYNKASRHVIPCKARSLTRFSCGGSSADQEIVIAMGSNVGDRVSTFDRALQMMKSSGPRFLNSAIRGMTRMGPHELLKKLKEIEKDIGRTGGIRYGPRPIDLDILLYGKSQIDSETLIVPHERIHERPFVLAPLVDLLGTSCDDGIETSWHSLSKCSGGFFELWKKLGGESVIGTEGIKRVLPVGNRLLDWSERTLIMGILNLTPDSFSDGGKFQQVEAAISQAKLLISQGADIIDIGAQSTRPFAKRLSPREELERLIPVLDEITKIPEIEGKLLSVDTFYVEVATEAVKRGVHMINDVSGGQLDPRILKVTAELGVPYVIMHMRGDPSTMQSEKNLQYDDVCKEVASELYTQVREAELSGVPLWRIKCGHNNEIIKGLKSIRSEIGKMSIGASHVPILLGPSRKSFLREICNHSNLVDLGPVTISAVTIGIMNGANIVRVHNAGYCAPGAKFYDSLYKGRRWEN >KQL22341 pep chromosome:Setaria_italica_v2.0:II:1857307:1859428:-1 gene:SETIT_033068mg transcript:KQL22341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSGEELHGHEDEDYGDAATMEAVRQLRAGYAWADVVSFEPASAAGRAVRVRGAAQAVRAVGRRVAILVLRQGAATVQCVVSGGDGGMARFAAGLSRESVVDVAGVVSLPREPVRGTTQQLVEIQVEKLHCISRAVPNLPISVDAAARSEEDVARAKAAGEQLVHVGQDKRLGYRVIDLRTAANQAIFRVQCQVENVFRQVLLSEGFVGIHTPKLIGGSSEGGAAVFKLDYNGQPACLAQSPQLHKQMAVCGGFERVFEVGPVFRAESSDTHRHLCEFVGLDVEMALRDHYSEVCDVVDRLFVAMFDHLNKNCAKELEAIQRQYPFKPYLETTLRLDYDEGIRMLQEAGVHVDPMGDLNTGREKAGRACSRQRFSIACLTWVELNHDRYGTEFYILGRYPSAVRPFYTMPCSDDPRYSCSFDVFVRGEEIISGAQRVHDPELLAAQAEARGIDVSTIAAYVNSFRYGAPPHGGFGVGLERVVMLFCGLGNIRKTSLFPRDPRRLAP >KQL23194 pep chromosome:Setaria_italica_v2.0:II:9289577:9289786:-1 gene:SETIT_032301mg transcript:KQL23194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEVGRRCRIAGLGSSVASFQCMYVRRDANSLAHCLAGLTSGNNPLYSWSDNFPDWIREIADKDCNLGVN >KQL23282 pep chromosome:Setaria_italica_v2.0:II:10452407:10456179:1 gene:SETIT_032837mg transcript:KQL23282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASAIFVGVYEPAKRKLLEMFPENLSAIAHLTAGAIGGAASSLIRVPTEVVKQRMQMSQFKTAPDAVRLIVAKEGIKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYRLAAKRDLKDAENAIIGAFAGAFTGALTTPLDVMKTRLMIQGQASQYRGFIDCAQTILREEGAGAFLKGIEPRVLWIGIGGSIFFGVLEKTKSILAERTNRSR >KQL22180 pep chromosome:Setaria_italica_v2.0:II:399856:403105:1 gene:SETIT_029653mg transcript:KQL22180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIISVVMGELVTRSISFLIDRYLKPAASSKGKNRERLQWMLMRVRVTVEEAEVRRITNEAMLQQLKMLKEVMYRGFYMLDTFILQAQEEEKGSDHGASRSLSLSKFSPAKRVRFSCRSKSDINTIEDTLECVEMAIASMSEFVIFLRNYPPMFRQPYSAYLFIENCMFGRQVEMERVINFLLHEGPPVDGKIGVLPIVGPGKVGKTTLVEHVCRDERVHNHFFRIILLNDYDFREERQCSLRDQGRIKYQNDHSNEEIFLVIVELVGTVDESAWRRLHSAYQSSVSTRSKIIVTSRSKDIINFGTMQALSLNFLSQEAYRYSFKALLFGSADPEEQPKLASIAVTILDEYFDQGIYTPFAGPFINLNNLAKLLKAIPGAHNWQKVLECISENRRQNEILSRRSLSDFGMENDCIFLRRVTETIQYCVVHNQYRIGLDGEEVPNITMTDIASMKFPPRGKFEWLIWRGNSILWRRCEICTPRRRI >KQL22486 pep chromosome:Setaria_italica_v2.0:II:3075951:3076773:1 gene:SETIT_031237mg transcript:KQL22486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGPSAQPSPAHEPSAPAVAGQEEAPREPAPEAAAPLAAATEKVEGEEEEEEEEGECGFCLFMKAGGCKDVFVAWEECVEAAQKEGTDMVERCHEATANLKKCMDANADYYAPVLQAEQAVNERAEAEAEAAAAAAADAGKGEPASDAEKEEEAVPHQAAPSPPAAGEGKKEEAVIERV >KQL24405 pep chromosome:Setaria_italica_v2.0:II:29595324:29595804:-1 gene:SETIT_031851mg transcript:KQL24405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRPDINTCNHIPQKKKCNHTMKSQTRCPLAPMVGTHKKEPMDVMQHNS >KQL24549 pep chromosome:Setaria_italica_v2.0:II:31186597:31191839:1 gene:SETIT_029959mg transcript:KQL24549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGFAIGGLAGGEDKDSFWRVVAQCTAGLPENKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGLLKLKQNAMATDERPIDPTCSCMVCKKYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHTSILEGRFPEFVRGFLRAQFPKGDVPQWVRNAMEVAGIDISECCASAKCHTPSIQEPPIPVPVEVNGVVQQN >KQL24548 pep chromosome:Setaria_italica_v2.0:II:31184432:31191839:1 gene:SETIT_029959mg transcript:KQL24548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRFEILGRFNRARAARLTLPHFTCQTPLFMPVGTQGTIKGLTTDQLEDIGCQIILGNTYHLELRPGSRLIDELGGLHKFMNWKRALLTDSGGFQMVSLLHLADITEEGVTFQSPVDGKPMLLTPEESIHIQNNIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPDVQNLFGIVQGGLDPVLRDICVRGLVERNLPGFAIGGLAGGEDKDSFWRVVAQCTAGLPENKPRYVMGVGYPLDIVVCSALGADMYDCVYPTRTARFGTALVPEGLLKLKQNAMATDERPIDPTCSCMVCKKYTRAYLHCLVTKDAMGSQLLSYHNLSFMMRLSRDLHTSILEGRFPEFVRGFLRAQFPKGDVPQWVRNAMEVAGIDISECCASAKCHTPSIQEPPIPVPVEVNGVVQQN >KQL23320 pep chromosome:Setaria_italica_v2.0:II:10798518:10800735:-1 gene:SETIT_029480mg transcript:KQL23320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNPQSLDQHSAERAAVTGYKRALASSCGVVVTVSQRLHGETEIWHHGYDEGGGGDSVPAGDREDRRVRCRPRKKDKEPLDRAEHPCLCWAGPEGERGDSVGPVLWATERDPFSRPVPLPQPRLCLSRWRRRPGERRRPSPATSVHQGLAIGGRQLRGWADQNRDRIRFPFSPVTDLRLLVPHSEMDDDGNLSIRNWGFYDTMKGNLGLQLMSSVPADRDTKSLLPTSAFLQHHGHHNAPHQLHSHHSRDSGGGGGASGSMPTEPHSIHMDFSRNEAWLHPSHHQHPREQKVLHARPVGPAGHVGHPGHGGHPGHGGHAVHHHPTGYGMMPDAPHTLQMMQPQLPSQPQEPPPCKEDHVPTPPVEDHSVVRTEPPVKKRQQGRQPKSPKPKKPKKPAVPREDGAVNGHAPRGRGPRKTVGMVINGIELDLSNIPTPVCSCTGAPQQCYRWGAGGWQSACCTTSISTYPLPMNAKRRGARIAGRKMSQGAFKKVLEKLVGEGYNLANPIDLKTFWAKHGTNKFVVIR >KQL24627 pep chromosome:Setaria_italica_v2.0:II:31824346:31826697:1 gene:SETIT_030894mg transcript:KQL24627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHARCSVHVLRREEERYGVEQKKEAISIRKENRATGRTLPDRAFFSLSISLQTPERAEQEDLSLNFLSKKRTHVEIRERAGRARCMGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSVSAAAASPPAAEGASRAVPVPPVTRSITMLRPSALSVATSPRSESSSAPSSPASGAPDSPFGAATTPKGEGWKKLRRKGRMAAADGADTPGTPRSPTVYDWVVISSLDR >KQL24629 pep chromosome:Setaria_italica_v2.0:II:31824346:31826697:1 gene:SETIT_030894mg transcript:KQL24629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHARCSVHVLRREEERYGVEQKKEAISIRKENRATGRTLPDRAFFSLSISLQTPERAEQEDLSLNFLSKKRTHVEIRERAGRARCMGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSVSAAAASPPAAEGASRAVPVPPVTRSITMLRPSALSVATSPRSESSSAPSSPASGAPDSPFGAGRLFTRGILSLGAATTPKGEGWKKLRRKGRMAAADGADTPGTPRSPTVYDWCVPQLASKQLRSTSI >KQL24628 pep chromosome:Setaria_italica_v2.0:II:31824346:31826697:1 gene:SETIT_030894mg transcript:KQL24628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHARCSVHVLRREEERYGVEQKKEAISIRKENRATGRTLPDRAFFSLSISLQTPERAEQEDLSLNFLSKKRTHVEIRERAGRARCMGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSVSAAAASPPAAEGASRAVPVPPVTRSITMLRPSALSVATSPRSESSSAPSSPASGAPDSPFGAATTPKGEGWKKLRRKGRMAAADGADTPGTPRSPTVYDWCVPQLASKQLRSTSI >KQL24626 pep chromosome:Setaria_italica_v2.0:II:31824346:31825980:1 gene:SETIT_030894mg transcript:KQL24626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHARCSVHVLRREEERYGVEQKKEAISIRKENRATGRTLPDRAFFSLSISLQTPERAEQEDLSLNFLSKKRTHVEIRERAGRARCMGLLDQLWDDTVAGPRPDSGLGKLRKYASFSPSSSSSVSAAAASPPAAEGASRAVPVPPVTRSITMLRPSALSVATSPRSESSSAPSSPASGAPDSPFGAATTPKGEGWKKLRRKGRMAAADGADTPGTPRSPTVYD >KQL26804 pep chromosome:Setaria_italica_v2.0:II:46403254:46406063:1 gene:SETIT_030850mg transcript:KQL26804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQAGTGTYSPAAASGDKRRERREELRRHLAEDADWPRADGRSFHDCRPAFMQTGPTTAASGSAYAEFGKTKVIVSVFGPRESKKAMMYSDVGRLNCNVSYTTFATPVRGQGADNKEYSSMLHKALEGAVMLHTFPKTTVDVFALVLESGGSDLPIIISCASLALADAGIMMYDLVTSVSVSCFGKNIIIDPTSDEEAWQDGSLMVAFMPARKEITQLTLTGEWSDGKITNAVELCMDACSKLGEILRDRLKDTATLTNE >KQL22534 pep chromosome:Setaria_italica_v2.0:II:3378038:3379382:-1 gene:SETIT_030423mg transcript:KQL22534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAAASAEPAPQVVDECRGVLFVYSDGTVVRRAQPGFATPVRDDGSVEWKDATFDEAAGLGLRLYRPRERGGRSRLPVFFYYHGGGFCIGSRAWPNCQNYCLRLAADLGALVVAPDYRLAPEHRLPAAIDDGAAAVLWLAAQGRTPGGGGDPWVAESADLGRVFVSGDSAGGTIAHHLAVRFGSPDGRAELAPAAVAIRGYVQLMPFFGGVQRTRSEAECPDDAFLNRPLNDRYWRLSLPEGATADHPVANPFGPGAPPLEGVELAPTLVVVGGRDILHDRAVDYAARLRAMGKPVEVRDFEGQQHGFFTIDPWSDASAELMRVIKRFVDSDGRFD >KQL25171 pep chromosome:Setaria_italica_v2.0:II:36423818:36425251:-1 gene:SETIT_033032mg transcript:KQL25171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAVPALVLALLLLAVAPPPPAHAASVGRCAGESFSANRAYASCSDLPRLGASVHWTYDRASGDLSVAFVAAPAAPGGWIHGVLNAVSWGVLLPMGAIVARYLKTFRSADPAWFYLHVACQLAGYGVGVSGWATGMNLGRESEGVTYADHRDIGIAVFALGTLQVLALFLRPKKEHKYRAYWNAYHHSVGYAVIVLGVVNIFKGMGILGVEQRWRTAYIAAVCVLAIAAAALEAVTWGVVVRRRKAEGKTFSSSAASNGHLPH >KQL25067 pep chromosome:Setaria_italica_v2.0:II:35686827:35687234:-1 gene:SETIT_031479mg transcript:KQL25067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRGGKVKRPTPQATKSEDTDAASGDEETVMPAYKRRGRPQKHLKADDTDEEEQDSAKVEPMEDSDGVKPAVPGKGSAENGGKKRRRRQPRKRGCDPAAEEKDEAVKQSGFRHHGSRRKSTPRRAAEAGVECK >KQL25068 pep chromosome:Setaria_italica_v2.0:II:35686525:35688202:-1 gene:SETIT_031479mg transcript:KQL25068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRGGKVKRPTPQATKSEDTDAASGDEETVMPAYKRRGRPQKHLKADDTDEEEQDSAKVEPMEDSDGVKPAVPGKGSAENGGKKRRRRQPRKRGCDPAAEEKDEAVKQSGFRHHGSRRKSTPRRAAEAGVECK >KQL25069 pep chromosome:Setaria_italica_v2.0:II:35686827:35687234:-1 gene:SETIT_031479mg transcript:KQL25069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRGGKVKRPTPQATKSEDTDAASGDEETVMPAYKRRGRPQKHLKADDTDEEEQDSAKVEPMEDSDGVKPAVPGKGSAENGGKKRRRRQPRKRGCDPAAEEKDEAVKQSGFRHHGSRRKSTPRRAAEAGVECK >KQL24090 pep chromosome:Setaria_italica_v2.0:II:25982863:25983249:1 gene:SETIT_031954mg transcript:KQL24090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CTRRRGNKSVPFPFGLEDGCFARKQFHLDCKHTTSSILLAADFIHHVTNIYVDKGLLEYIIADTNNAKADIAIWDNVPRLYVYSEDLALISVEWFVAHITCQEAKQNTSGYACVSDNSECITSKHIGGY >KQL26887 pep chromosome:Setaria_italica_v2.0:II:46777874:46781382:-1 gene:SETIT_029381mg transcript:KQL26887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGSLPEGSLFLGFDSSTQSLKATVLNNELAIVASEIVNFDSELPHYKTEGGVYRDSTDDGHIFSPTIMWVEALELLLEKLKPKINFSKVVAVSGSGQQHGSVYWKKGSQAVLSSLDPSKSLSPQLKDAFSTTNSPIWMDSSTTKQCRELENAVGGALELAKLTGSRAYERFTGPQIRKIYQTEPNVYEDTERISLVSSFMASILVGSYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEAKLGNLAPAYSAAGRIATYFVERFQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNNYLEKTPPLNGGKLGFYYKDHEILPPLPGRCIGICSIGAPCIYKDSKPETKRFVHAVGFHRYMVENLDDASSDNLIERELSEFDPPSEVCEKMYL >KQL26886 pep chromosome:Setaria_italica_v2.0:II:46776946:46781530:-1 gene:SETIT_029381mg transcript:KQL26886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGSLPEGSLFLGFDSSTQSLKATVLNNELAIVASEIVNFDSELPHYKTEGGVYRDSTDDGHIFSPTIMWVEALELLLEKLKPKINFSKVVAVSGSGQQHGSVYWKKGSQAVLSSLDPSKSLSPQLKDAFSTTNSPIWMDSSTTKQCRELENAVGGALELAKLTGSRAYERFTGPQIRKIYQTEPNVYEDTERISLVSSFMASILVGSYASIDETDGAGMNLMDINQRTWSKTVLEATAPGLEAKLGNLAPAYSAAGRIATYFVERFQFDKNCLVIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREDVRNRCAEKSWDVFNNYLEKTPPLNGGKLGFYYKDHEILPPLPVGFHRYMVENLDDASSDNLIERELSEFDPPSEVRAIIEGQMLSMRGHAERFGMPNPPKRIIATGGASSNESILRALAQIFGCPVFTVQRPDSASLGAALRAAHGWLCNAGGSFVPISCLYEGNLEKTSLGSKLAVPAGDKEEDRELLKKYTLLMRKRMEIERRLVETIGRA >KQL25256 pep chromosome:Setaria_italica_v2.0:II:36929332:36932542:1 gene:SETIT_031196mg transcript:KQL25256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKNTFQSGFLSILYSLGTKPLQIWDKEVVDGHIKRPQDEDIQSNVLEIIGTNVQSTYITCPADPSATLGIKLPFLAIIVKNLKKYFTFEIQVLDDKNVRRRFRASNFQSVTRVKPYICTMPLKLDDGWNNIQLNLADLTKRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPIQKS >KQL23786 pep chromosome:Setaria_italica_v2.0:II:19280571:19287822:1 gene:SETIT_032521mg transcript:KQL23786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSYASRSSGELATAASGGGRPVRVIPLRQPPGFGSISSSSPPWWRAAVGRARDMGPLEWAEAALPCVAWMRKYRWKEDLQADLAAGITVGVMLVPQAMSYAKLAGLHPIYGLYTGFVPLFVYAIFGSSRQLAVGPVALVSLLVSNVLGGIVNSSSELYTELAILLAFMVGILECMMGLLRLGWLIRFISHSVISGFTTASAIVIGLSQIKYFIGYNVTRSSKIIPLIESIIAGAGQFSWPPFVMGSAFLTILLTMKNIGKSNKRLRFLRASGPLTAVVLGTIFVKIFHPPAISVVGEIPQGLPKFSIPRGFEHVMSLMPTAVLITGVAILESVGIAKALAAKNGYELDSNKEASHYH >KQL23993 pep chromosome:Setaria_italica_v2.0:II:24192099:24192642:-1 gene:SETIT_0299872mg transcript:KQL23993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VWAGPLSGGRVAVVLWNRGSDEASITASWSSIGLNASTVVDAHNLWTDEVTSSVQGELEETVDTHACKMYVLTPK >KQL26316 pep chromosome:Setaria_italica_v2.0:II:43696717:43697088:1 gene:SETIT_032314mg transcript:KQL26316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKGKIPAMTALVLLLLTLGTRAELCEKVKQFSWVCDEPLCIKGCTNEGYTGGYCNGWPHPGRCVCT >KQL23970 pep chromosome:Setaria_italica_v2.0:II:23809717:23810054:1 gene:SETIT_033723mg transcript:KQL23970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALHSTRNNTIMTQQGVICLDYFVHVWVEWCTKKWIT >KQL26040 pep chromosome:Setaria_italica_v2.0:II:41985895:41990313:1 gene:SETIT_028848mg transcript:KQL26040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSHLAALLLCACMFASGTTAGNQNGTGVPTRPAEVRIGALFTFDSVIGKAVRPAIELAVAHVNADPSILRGTKLSVLMQDTKCSGFVGTIEALQLLAKDVVAVLGPQSSAVAHVICHAVNELHVPLVSFSATDPTLSSLEYPYFVRATQSDYYQMGAIASIISYYRWKQVIAIYVDDDYGRGGIMALGDALAKRKCKIAYKAKLPPGAANTTVEDILMQVNEMESRVYVVHVNPDSGLNVFSAAKSLGMMSSGYVWIATDWLSEVIDSSVHDNPAVMEHTQGVLVLRQHVPVSEIQHALLPKWNNLTRNGIAYSMRAYDSVWLVAHAIERFLSEGNAISFSADPNLVTTKGSSLQLDSLRIFNNGNKLLEKVWSANFSGVSGPVQFTLDRNLVHPAYDILNIGGTGLRTIGYWSNFSGLSVVAPEKLNLSSLNSSTNNVQLHSAIWPGQVSETPRGWVFSYHGKPMRIGVPLRTSYKEFVMQDDGPDGVKGFAVDVFKAAISLLPYPVSCNFVLFGDGLKNPSYSDLVQKVSENYFDAAIGDIAIVTNRTRLVDFTQPYIESGLIIVAPAREIESNAWAFLKPFTFQMWCVLGVIFLFVGAVVWVLEHRTNTEFRGPPRQQIMTVCWFSFSTMFFAHRENTVSTLGRFVLLIWLFVVLIINSSYTASLTSLLTVQELTSGIQGLDSLISSSSTIGYQVGSFSRNYLVDELNIAESRLVPLNSPSDYARALELGSGNGGVAAIIDELPYVEIFLSKYCKFKTVGQVFTKSGWGFAFPRDSPLAEDLSTAILTLSENGNLQRIHDEWLSGTECSADNNAAASNSLSLSSFWGLFLICGLACLLALVIFFLRIFCQYSRYSNQVEQFPEPQIVNRPARLTTIKSLISFVDKKEEEVKNALKKRPNGSQHPSIGDSQHPSIGNTATEEQSTLPT >KQL24790 pep chromosome:Setaria_italica_v2.0:II:33229334:33229798:1 gene:SETIT_033687mg transcript:KQL24790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLQGTKNEPDDSQLAEEKRRALRVSLCCAIRCSICQLHKNGTGSWYLDSGEGD >KQL26476 pep chromosome:Setaria_italica_v2.0:II:44603376:44604809:1 gene:SETIT_033151mg transcript:KQL26476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVESTTLSPVWFEFLPKLLGRIAACCPKPTDRTSFHAVCRSWHSAACHNYPRMPLLQWVVLRDGSFLTLSDDGRDLPQGTVRYSEFVHPTHGLCSLTLPDPENTACVGSMGGWLAHCHHDRWSSRADDYSFVLHNPFSDTTVLLPDVDGVGSIALPGVFDALKVLMHSTAKDIVASCPTIKVILNVTFLGGKLYGITKVEDLFSFDLALLQDEKMPTVTDCKQVIRQPLDKQCYDYVPWSDVDDEEDQENNDDDGDDELASISGEKYGSSEDEYEEEKELE >KQL26136 pep chromosome:Setaria_italica_v2.0:II:42527714:42529204:1 gene:SETIT_031480mg transcript:KQL26136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKAIGAGAAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELTKLLGGATIASGGVMPNIHQHLLPKKAGSSKASSHDDDDN >KQL26790 pep chromosome:Setaria_italica_v2.0:II:46345633:46347337:-1 gene:SETIT_030560mg transcript:KQL26790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPPCCDKEGVKKGPWTPEEDLVLVSYIQEHGPGNWRAVPTRTGLMRCSKSCRLRWTNYLRPGIKRGNFTDQEEKLIVHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKRKLQSGGEGAAKPPAHRPASSSKGQWERRLQTDIDMARRALREALTPLGDLKTQQHDGVDAAGAGTGGGDSPASSSSGASQCSPSAAAPGPYVLTTENISRMLDGWAGGRKVRRGGSAGPGTPGGAESASTGSSDASEVSYGGAAVASAAPATAGTLSEYETKPAVAAPQMPLSAIESWLFDDDSHFHQVQNASLLDVPTMDYPF >KQL22425 pep chromosome:Setaria_italica_v2.0:II:2490178:2490990:-1 gene:SETIT_033424mg transcript:KQL22425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAIGLEPFLMILIVVLFSDIQISPKPRENETLCASSLSLFHHNGYFDGHTMFYQITIIL >KQL27114 pep chromosome:Setaria_italica_v2.0:II:47883781:47885574:-1 gene:SETIT_031205mg transcript:KQL27114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDEKETTQVFEKLFKFTGPNLKHLLERPAVEGPDPEHGRYCFRLHRNRVYYASEALVRRATTVARPRLAGVGTPIGKFTHHGAFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKAGDGVVVMSMADVPLGFGIAARSAQDCRKADTNAVVVLHQADAGEYLRKEEELM >KQL24484 pep chromosome:Setaria_italica_v2.0:II:30621756:30624619:-1 gene:SETIT_033463mg transcript:KQL24484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFFGGSSHLGELPEQQHNCLKIVEIIGFSSAKSLVELTCCIVKNAVSLECLTLDTLRDPWRCSGEANKTCWPIHNDVLKEASRAVVAIRMYIEHKVAQHLS >KQL24483 pep chromosome:Setaria_italica_v2.0:II:30622326:30622828:-1 gene:SETIT_033463mg transcript:KQL24483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLDMHQSDVVCYACAELPSIMPNLETLLIGSGIEVVNAPMVLTKFLYLKHLTILISERTFSPPYNYVSLVYFFDASPSLETFFLDVPHEDVKHESVFWRFLTFGGAA >KQL23771 pep chromosome:Setaria_italica_v2.0:II:18400177:18406331:1 gene:SETIT_028942mg transcript:KQL23771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPAAKEAPPPPQPAAEEDAMLSATAAMAKEAAVSFQGRRYSECVLVLKKLLEMKEGDPKVHHNMAITQSFLDGCPDPERLLKILGDVKKRCEELACASREQADSANGVGSNASSGSRGSGIVLPYSAAHNASTYGDEFDTTIITFNMAVILYHLHDYESALSVLDPLYRNIEPIDETTALHVCFLLLDITLALQDATKAADVIQYLERSFGVANTTNQNENASIAQQQLAQPKPPAKSNTPPDSDSNAYGGGCENLSTGSFPDEPIEFESLYSSFDGHQNLGRPILNDFPRASADLAATAADLKVRLQIYKVRLLLLTRNLKVAKRELKVLMNMARGRDSSTELLLKSQLEYARGNYRKAVKLLSTPNNRTEPAMLAMFYNNLGCILHQQRSNHTSIWCFSKALKYSLSLRSEKPLKLTALSQDKSCLISYNCGIQHLMCGKPLLAAQCFREAMPLFYKRPLFWLRFSECSLLAVEKGLLCAAGASSCNDEIEVNVVGSGQWRQLIVNPVHSRSNSASARVTSDEHKNLVSLGFARQCLLNAQLLLDASEQENPVTASDAEDGNQGVVQGHKSSGQKNTVSTDFKAPSGPTLAFVNGEQKGTSLNATLQSSLALYDEICRKENLKIRQAILGSLAFVELCLENPLKALSYAKLLQQLTDCSRMYVFLSHVYAAEALCALNRPKDAAEQLSVYIKDGNDIELPYNVENCEKALDEKDSDGEDTVAPAVTKLTSEESQHSESLKPEEARGVLYIDLGMTAAMQGEFEQADYMVSRGLAMLPNNPRAVLASVYMDLLQGKSQGAVAKLRHCRHVRFRPSVLATS >KQL23480 pep chromosome:Setaria_italica_v2.0:II:13996818:13998023:-1 gene:SETIT_032306mg transcript:KQL23480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAFSSNGKGSSLRKIGSAAALICVVLLFVVAGGVATLHRTDNNTSARGVLVKDLATTRIPRKILRAVAVEPTTKEPGRNSRANKRRSSSGSEPLPRGIAHDTSNLEMEPSLAGDPEHRKKEQEAVATAPPKPKSLLAIPVGIKNKAVVDKLVSKFPAADFTVMLFHYDGAVEQWSDVEWSGRAVHVAAKGQTKWWFAKRFLHPDVVAEYDYVFVWDEDIEVDAFDPVRYLDVVRREGLEVSQPALDRRSEIHHAITARALAPTADGVHRRVRNTRCGDGDGSTGRPPCAGWVEVMVPVFSRAAWRCAWRMVQNDLIHGWGLDYRLGYCAQGDRAVNVGVVDSQYVLHRGVPMLSDGGKATASAGRAAVRLRSFREMQIFNKRWEKAAAEDKSWSDPYAA >KQL23729 pep chromosome:Setaria_italica_v2.0:II:17062061:17063796:-1 gene:SETIT_033341mg transcript:KQL23729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YENGGFLSPTEEKVVVEKLLSHHPCVDEKIGCGLDGIMVDRHPEFRQSRCLFVVRTNGDWVDFSYRKCLQAYIKEKYPSHADRFLQKHLVNRSSEPFRVQK >KQL23251 pep chromosome:Setaria_italica_v2.0:II:10228765:10229706:-1 gene:SETIT_032772mg transcript:KQL23251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSLLLPTQDDRLFATTFLLLFAHTFVSIAVAVHYAHPLATSILSDVKALKAAAATSHNYDRAVVGTTWEHGKKLFLIYLAYVASKLATQLAVTLAASATYSGEHLTRKVVKERIGGLLGTAAFAGVLELSLTALLVARTSRIHMDLLIINHGIFVWLHAVLSGSSTLRLPRHGDPGERRRVGGRQRLPQRVVVWTLRRAWQLMKARTKEEAAVLVFVVNLLPAVVYPAPVYAFSFVYPADEYSLYYPVDRFSISNEDVWLIGVVSGSGLPTVGAQLFSMVTATVFCGLSMGSNAGGAACPFDEMNASMRETHH >KQL22244 pep chromosome:Setaria_italica_v2.0:II:900172:902697:1 gene:SETIT_033364mg transcript:KQL22244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSGGDSEGAPKRAKLSSGDACGGEDCLSALPDDVLVLILLRLDTTVAARTSVLSRRWRRVWALLPKLCFPLAPEPHRFRDTLDTHEVPLRDLLVGAGGATPESLAIWLPAAARRVSGDLTLLTFDPGKDAGEEEGEAAQRGAFELPCFEKATSISLILGFHGLAIAMPPTGVFARLTGIYLSCVRFHGPCALGDAMSSPRCPCLQRLTVDDSRGLGDLTINSVSLLQMELRNLRGLWQVTVVAPALTELTVIYCFRNDDTQPVANISAPQLVSLDFYLVYGQDGLSHNHSCLTLLQRFEAIETLFLTLAYMREIENYQYLMEDMMVLPDITFLCLDVIANGHAFGASSFHALRLCTGIRRLTLLFAAPTGLEAQTVCPSGCICHQPAEWETEGLSLNHLEEVEIRHLRGSEHEVAFVKRLFSWATVLKQIKVTFYYSIPEIKAKELYQMFWSFSRPGMRMTFYIYRKFSKVVYVPED >KQL22555 pep chromosome:Setaria_italica_v2.0:II:3499621:3500090:-1 gene:SETIT_031784mg transcript:KQL22555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLVGASKVAVAAHPRNSGEFEHIRLVSRSNCSGCCSICVKSSNLLLVAPSFLAKLYVQICA >KQL27089 pep chromosome:Setaria_italica_v2.0:II:47753978:47757432:1 gene:SETIT_030343mg transcript:KQL27089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRCTGVVALIILLLSVASHGRELPVKKSDQSFVYNHTLAKTIVEYASAVYMSDLTALYTWTCSRCNDLTQGFEMRSLIVDVENCLQAFVGVAHNLNSIVVAIRGTQENSVQNWIEDLIWKQLDLSYPNMPNAKVHRGFFSSYNNTILRLAITSAVHKARKSYGDINVIVTGHSMGGAMASFCALDLAMKLGSDSVQLMTFGQPRVGNAAFASCFAKYVPNTIRVTHGHDIVPHLPPYFSFLPQLTYHHFPREVWVQDSKGNTTEQICDNSGEDPDCCRSVSMFSLSIQDHFTYLGVDMQADDWSTCRIIAAQSVKQFRKELTSNIITTKHNADISIVEPSVQTDWSSSR >KQL26950 pep chromosome:Setaria_italica_v2.0:II:47092387:47094231:1 gene:SETIT_029824mg transcript:KQL26950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKSILAEPDPDPASPPPEQEPEPAPTAPAGGGGGWGFGGLLKTLTSQSETVLEAYRRDLAEFSTGLRRETEVLRDAAARAARDLPSSAHALDGLADIVAQGKDALSQVAAAAAAPVSAHSDGGEWEQSSASGAHVRYSRFEAQLRALQADPTTFTADPEDAEDFAAWSKGFNLDERKDEIEALCYDSDALEAMVDRLVPGTVESEVFWSRYFYRVHKLKQQEDARAKLVQRVIAQEEDEDLSWEVDDEDEEEEQQKEDAKELAARQEPIKEEVKHEVEVKENERVVEERKVEAVEEPAALEEQKNADEPQPVVLGSSLVVVDEEEQKNADEPQPVVFGSSLVVVDEEEKEGHSKSSVEESGDKKEAVKHETSDSSKDSDYSIVSRQRTMEEEDLEWDEIEDLGEHEEKKGSTHDSSPALKEELRKRLSVAEDDEDLSWDIEDDDDKS >KQL23087 pep chromosome:Setaria_italica_v2.0:II:8317787:8321048:1 gene:SETIT_031041mg transcript:KQL23087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFGKRKTPAELLRENKRMLDRSIREIERERQGLQAQEKKLITEIKKTAKEGQMGAVKVMAKDLIRTRHQITKFYQLKSQLQGVSLRVQTLKSTQAMGDAMKGVTKAMAQMNRQLNLPGLQKIMQEFERQNERMEMVSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIDINQELVGAPSAAVAQPASAGKVAQAESAGAADGGIDADLQARLDNLRRM >KQL23891 pep chromosome:Setaria_italica_v2.0:II:22501734:22502459:-1 gene:SETIT_032160mg transcript:KQL23891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANRQQPSLEMLPTELLTVIAIHLAATLDQPMEDLGRLRATCTVMRRVCGQRAVGWRVALLQYYSLLRLLLDVGDLEASLLTEIPNFFWGYQPSLDQLSRTIAGELNVAAYLYALMLYRNAGGVATDDMAKMYIRCLEGEEGTATSGSISPKMLHNFICRECCEDAVYLVVRILWTNVVLPPAPGHGEFLCDGGGCGFPNGWGEDTLFCSEDCRLHHELAAFERRIVD >KQL24963 pep chromosome:Setaria_italica_v2.0:II:35018522:35022135:1 gene:SETIT_033168mg transcript:KQL24963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGVASPAVGHAAGDDAGQATSGVAGDDQPIPAEGVRELELEGKGAEPSEGVPVAIAVEGKVEENVSAAAAEAEDEGGDAGDEEEEGEKLLGCYSSTQSILLVGDGDFSFSLALATAFRSGTNIVTTSLDTYGSSTSIDCFCLLSRRVKLYLYISPAFLAAYSSCPEVLLGKYSEAESNIMKLKSLETTVLHGVDVKIMKFHTDLKNKRFDRIVFNFPHAGFRGREDQVHMINSHKRLLRDFFCSALYLLIPYGEIHVRHKTGGPYDRWDLEQLASDSSLIIFEKEKFQITDYPGYNHKRGDGARCDQPFPLGPSCTFKLQIGDLKRWKKSSGNNATSTSFLGGSNVRPSGKLETDTRLLHLLPPVQARPWLHFTPPANTVRMPIPPQPYIFAQRQQPGPPLSLDGIVRALFHPQPMFSIAGPSLNAWRAPGSISSSMSRIACPNLLAPQEQPWHQRGSIAVQPGGDGCSYFEHQRCLQRDYEVRRKAMMPGAAGLSYSSAFLESVQRQEGPMECGVQRKATMPGAAGLNYSSAFLEQCRRDVQ >KQL23582 pep chromosome:Setaria_italica_v2.0:II:15291519:15296269:1 gene:SETIT_030540mg transcript:KQL23582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVVCVTGAGGFIASWIVKLLLERGYTVRGTLRDPADPKKTEHLHALEGSAERLQLFKADLLDEGSFDAIVEGCDCVFHTASPFYNNPKDPQVELIDPAVKGTLNVLGSCKKAASVKRVILTSSMAAVVFTEKPLSPDVVVDETSYSIPELCEKAKEWYVLSKTLAEQAAWKFSKDNGIDLVTINPAVVIGPLLQPTLNTSAQIILYLINGSPVYLNYSFGWVNVKDVALAHVLAFETPSASGRCVDDELFAPTYQVSRERITSLGVELTPFETSLKETIECLKENGFVSF >KQL23583 pep chromosome:Setaria_italica_v2.0:II:15291519:15296269:1 gene:SETIT_030540mg transcript:KQL23583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVVCVTGAGGFIASWIVKLLLERGYTVRGTLRDPADPKKTEHLHALEGSAERLQLFKADLLDEGSFDAIVEGCDCVFHTASPFYNNPKDPQVELIDPAVKGTLNVLGSCKKAASVKRVILTSSMAAVVFTEKPLSPDVVVDETSYSIPELCEKAKEWYVLSKTLAEQAAWKFSKDNGIDLVTINPAVVIGPLLQPTLNTSAQIILYLINGSPVYLNYSFGWVNVKDVALAHVLAFETPSASGRYGMVDKVIHFSEVVKIIKDMYPSLPVPEKCVDDELFAPTYQVSRERITSLGVELTPFETSLKETIECLKENGFVSF >KQL23581 pep chromosome:Setaria_italica_v2.0:II:15291519:15296269:1 gene:SETIT_030540mg transcript:KQL23581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVVCVTGAGGFIASWIVKLLLERGYTVRGTLRDPADPKKTEHLHALEGSAERLQLFKADLLDEGSFDAIVEGCDCVFHTASPFYNNPKDPQVELIDPAVKGTLNVLGSCKKAASVKRVILTSSMAAVVFTEKPLSPDVVVDETSYSIPELCEKAKEWYVLSKTLAEQAAWKFSKDNGIDLVTINPAVVIGPLLQPTLNTSAQIILYLINGSPVYLNYSFGWVNVKDVALAHVLAFETPSASGRYGMVDKVIHFSEVVKIIKDMYPSLPVPEKSQGRGSRAWELS >KQL22363 pep chromosome:Setaria_italica_v2.0:II:2036907:2039082:-1 gene:SETIT_029153mg transcript:KQL22363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLFLGLLAPSAATDGDQFLYTGFAGANLTLDGAATVTAAGLVELTNGSTHQKGHAFHPAPLRLRGSPKGTVRSFSVAFVFAIVSGYLDFSTHGLALVVAPGIKSLSTALTDQYMGLTNAKDDGKDTNHMLAVELDTVQNIEFKDMNANHVGIDINTLTSLQSNETGYYHDNNGSFQNLSLISREAMQVWVDYDGEATQINVTIAPLAMVKPKRPLISYIYNLSTVLAEPSYIGFSSATGPGNSRHYVLGWSFGMNKPAPVIDIAKLPKLPQLGSKPRSKVLEITLPIASAALVLTVGIAVVLLVRRRLRYTELREDWESEFGPHRFAYKDLFHATKGFKDKHLLGAGGFGMVYRGELQKSRVEVAVKKVSHGSKQGMKEFIAEIVSIGRIRHRNLVQLLGYCRRKDELILVYDYMSNGSLDKYLYSEEDGLTTLDWAQRFRIIKGVASGLHFLHERWEKVVIHRDIKTSNVLLDKEMNGRLGDFGLAKLYEHGANPQTTRVVGTTGYLAPELVRTGKATPLTDVFAFGTFMLEVTCGQRPIKQDEQGNQFLLVDWVLQHWHNGSLLEVVDPKLRGEHNSDEVRFVLQIGLLCAHPSATARPSMQQVLQYLDGETLLPEMTRADLSFNMLALLQRKGLHVMSWPCSSTMVSAGTISDLSGGR >KQL24267 pep chromosome:Setaria_italica_v2.0:II:28246054:28250434:-1 gene:SETIT_032784mg transcript:KQL24267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPGAGRRLLCSLFLCLTLSGAARAATRHHEWEISYQFKSPDCVGKLAVTVNGQTPGPTIRATQGDTVVVRVNNSLPTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDTFTYTFVIDRPGTYMYHAHYGMQRSAGFNGMIVVAAAAGGKDAEPFAYDGEHDVLLNDWWHNSTYEQAAGLASVPIRWVGEPHSLLINGRGRFNCSAAVAGTCNATLPECAAPVFAVVPGKTYRFRIASLTSLSALNFEIEGHQMTVVEADGHYVKPFVVTNLNIYSGETYSVLIKADQDPNRNYWLASNVVSRKPGTATGTAILSYLGSQHPPPTTPPAGPAWNDTMYRFRQSVATVAHPAHVEPPPPRADRTILLLNTQNTINGRIKWALNNVSFTLPHTPYLVALKNGILGAFDQRPPPETYAHQSYNIYDPPPNPNATTSDGLYRLRFGSVVDVVLQNANMLDANRSETHPWHLHGHDFWVLGYGIGRFDPAVHPATYNLKDPILKNTVAVHPYGWTALRFKADNPGVWAFHCHIEAHFFMGMGIVFEEGIERVAELPREIMGCGKTKGGR >KQL25305 pep chromosome:Setaria_italica_v2.0:II:37192633:37193169:1 gene:SETIT_033813mg transcript:KQL25305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSCALAFCVFDLHMVLDVYHACLFSSSYTLYSFSPFHWCSASGQVGNFLYCFLLVKVQPSYFHSIYGWCKLHGST >KQL26175 pep chromosome:Setaria_italica_v2.0:II:42780887:42782003:1 gene:SETIT_033319mg transcript:KQL26175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWGSHGGVDRISSLPDHLLHTILLHLSDAEAAARTTILSRRWRRVWAHLPELSFRYHRDAGARTWAHRRVDAALAAYPGPTVTLLDIDLPLWAPAPREHPVDPDRDDPLLRFLASQRVAGELRLLLRDRGWGDVVLPPCERVTAISLSVIALTLRFQPLPDGGTFAALAILRITSARVDSRELGDVLSSRCPRLKELYLKRVGLMKDRDRVLSIRSNSLERLEMDMKVYHGARLQVIAPKLRVFVSPRIFSDAPIVAPMLLEMCWDGPYDPSRRHLGEAGRHLQRLDIAKNTTPAATMLMRQFYAVHELQLTVQIWKIRYLIYEILLVFHLNHPTSYL >KQL24917 pep chromosome:Setaria_italica_v2.0:II:34600248:34605291:-1 gene:SETIT_028990mg transcript:KQL24917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLIRSLGASSVAALRPSPSFRLLPRAALVPQGRAAAARGVRWEAGRRRIVRVGCDAAVAEKPTEEETAGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDPSVLADGGELEIRIKPDQDAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEAEADSSSYVIKEETNPEKMLTRGTQITLYLRDDDKYEFADPARIQGLVKNYSQFVSFPIYTWQEKSRTVEGEKQKKKKTITEKYWDWELANETKPIWMRSPKEVERTEYNEFYKKTFNEFLDPLAYTHFTTEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEIAEKDDKEDYKKFWESFGKFMKLGCIEDTGNHKRLAPLLRFYSSKNETDLISLDQYVENMPETQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDDDEDKEKESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIIRDLSAACKNEPESTEAKRAVELLYEAALISSGYTPESPAELGGKIYEMMTMALGGRWGRSDTEDAEATSSEASVEVDSSEGAVTEVVEPSEVRTESDPWKD >KQL24918 pep chromosome:Setaria_italica_v2.0:II:34600859:34605186:-1 gene:SETIT_028990mg transcript:KQL24918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLIRSLGASSVAALRPSPSFRLLPRAALVPQGRAAAARGVRWEAGRRRIVRVGCDAAVAEKPTEEETAGEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTDPSVLADGGELEIRIKPDQDAGTITITDTGIGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKADKQYVWEAEADSSSYVIKEETNPEKMLTRGTQITLYLRDDDKYEFADPARIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEEEESKEGEEATEGEKQKKKKTITEKYWDWELANETKPIWMRSPKEVERTEYNEFYKKTFNEFLDPLAYTHFTTEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEEIAEKDDKEDYKKFWESFGKFMKLGCIEDTGNHKRLAPLLRFYSSKNETDLISLDQYVENMPETQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDDDEDKEKESKQEYTLLCDWIKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIIRDLSAACKNEPESTEAKRAVELLYEAALISSGYTPESPAELGGKIYEMMTMALGGRWGRSDTEDAEATSSEASVEVDSSEGAVTEVVEPSEVRTESDPWKD >KQL25404 pep chromosome:Setaria_italica_v2.0:II:37894601:37900842:-1 gene:SETIT_028908mg transcript:KQL25404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGMFDGAGSGVFSYDGAGGGGGAGMHNPGRLIAAPPIPKPGGFGAPGLSLGLQTNMDGGQLGDMGRMGMMGGGGSGSAGEGDSMGRGREDENDSRSGSDNVDGASGDELDPDNSNPRKKKKRYHRHTPQQIQELEAVFKECPHPDEKQRMELSKRLNLESRQVKFWFQNRRTQMKTQIERHENALLRQENDKLRAENMTIREAMRNPICANCGGAALLGEVSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSGGPIPSLQGCSGLELGVGTNGFGLGPLGATSALHPLPDLMSGGPVGSAGMRLPAGIGGLDGGMHGAAHGVDRTVLLELGLAAMEELMKVAQMEEPLWLPSPDGGGLETLNYDEYHRAFARVFGPSPAGFVSEATREAGVAITSSVDLVDSLMDAARWSEMFPCIVARASTTDIISSGMGGTRSGSIQLMHAELQVLSPLVPIREVVFLRFCKQHAEGLWAVVDVSVDAVLRPDGGNNPHHHAQNGGAAGYMGCRLLPTGCIVQDMNNGYSKVTWVVHAEYDETAVHQLYRQLLRSGQALGARRWLASLQRQCQYLAILCSNSLPARDHAAITPVGRRSMLKLAQRMTDNFCAGVCASAAQKWRRLDEWRGEGGGAAGNGAAGEGEKKVRMMARQSVGAPGEPPGVVLSATTSVRLPSTPPQRVFDYLRDEQRRGEWDILANGEAMQEMGHIAKGQHHGNAVSLLRPNATSGNQNNMLILQETCTDSSGSLVVYAPVDVQSMHVVMNGGDSAYVSLLPSGFAILPDGHSQPSSNTAQGSPNSQSSTVGSNSAGSLVTVAFQILVNNLPTAKLTVESVETVSNLLSCTIQKIKSALQASIVTP >KQL23404 pep chromosome:Setaria_italica_v2.0:II:12063089:12067114:1 gene:SETIT_030504mg transcript:KQL23404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWKERVADRLARLLADSPVSPSPAPAAVGPPQAISFPAEHFTSPKKSLLSSCVLSLLPTANSGHEQNSPCSETLRPLPPESLPKRWRGSDFTWQELPLELSEESGSESARDERNSYVSKNQVHQSYRSEENSNGNEETSTSDCAGTLHYLTEKSMFVSPKLYAFFESSLPGTLKGCHWVLLYSTWKHGISLRTLLRRSENVQGPCLLIVGDMQGAVFGGLLNSPLRPTEKRKYQGTNQTFVFTTVHGEPRLFRPTDSTICA >KQL23403 pep chromosome:Setaria_italica_v2.0:II:12063089:12067114:1 gene:SETIT_030504mg transcript:KQL23403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWKERVADRLARLLADSPVSPSPAPAAVGPPQAISFPAEHFTSPKKSLLSSCVLSLLPTANSGHEQNSPCSETLRPLPPESLPKRWRGSDFTWQELPLELSEESGSESARDERNSYVSKNQVHQSYRSEENSNGNEETSTSDCAGTLHYLTEKSMFVSPKLYAFFESSLPGTLKGCHWVLLYSTWKHGISLRTLLRRSENVQGPCLLIVGDMQGAVFGGLLNSPLRPTEKRKYQGTNQTFVFTTVHGEPRLFRPTGANRFYYLCLKDALAFGGGGSFALCVDEDLLHGSSGSCDTFGSSCLAYTPEFELKNVELWGFTHSWSRSK >KQL26371 pep chromosome:Setaria_italica_v2.0:II:44006866:44010674:1 gene:SETIT_029048mg transcript:KQL26371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFTSRRKPNAAEPSEDAPSSSSPRSSQPRGYSLRISSSNPCPQTQSSRDGALASATSSSAAACDLGGGWGNSVGRGGGGFLWWIFSAGAARADEGKPAGADWDAHGLPVTRTPVPLSRLDGRKRYKVSELNFLDCRARDRASAAEKNPLFDDMAKLRPGGVYTRSQLLGQLQAMTSSGMFEQVSLQGKPKPDGTLALTVTYAENIWPGATKRFKCVNVGLMAPPDAGPAEDMTAREKMDYIRRQDHDYRQRIRSAKRCILPESVREEVLGMVKKQGKLTAGVLQRMRDRVEKWYHDEGFVFALVQNFGNLDTDEVVLEVVEGDITMVEYQFQDKLDNIVEGNTHIAVVDRELPQQLRPGHIYNIGAGKQALKHINSLALFSNIEVNPRPDETKEGGVVVEIKLKEHEPKSAEASIQPGGTVSFEHRNISGLNRSLVGSVTSSNLLNPQDHLSFKLEYAHPYLDGVDDRSRNRTFKASCFNVRKLSPVFVAGPNMDDAPPIWVDRVGIKATITESFTRQSKFTYGLVLEEIKTRDEDNNICTHGSRVLPSGALNMDGPPTTFSGTGVDRMAFLQANITRDNTEFVNGATIGDRCIFQQDKGAGKPPPAILAIHGRYAGCVGDLPSYDAFAIGGPHSVRGYGMGELGASRNLLEVATELRVPITVKNKQTQVYVFAEHGTDLGSSKDVKGNPTEFFRRAGSGSSYGIGVKLGTVRAEYAVDHNAGTGAFFLRFGERF >KQL23797 pep chromosome:Setaria_italica_v2.0:II:20054147:20057532:1 gene:SETIT_031015mg transcript:KQL23797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEKDALRQCKEQPCRRQDEAPALAALPSSSSSEKDSDAMPPPSSPYAALLRREGEAAPAAAAGEELLLVPPLNFAMVDHGIYRSGFPDVSNLPFLESLRLRSVLCLCPEPYPEANLEFLRAHGIRLFQFGIDGSKEPFVNIPEDRIREALNVILDVRNHPVLIHCKRGKHRTGCVVGCLRKSQRWCLTSIFDEYQRFAAAKTRVSDLRFMELFDISSIKHLPGSFTC >KQL23798 pep chromosome:Setaria_italica_v2.0:II:20054285:20057029:1 gene:SETIT_031015mg transcript:KQL23798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEKDALRQCKEQPCRRQDEAPALAALPSSSSSEKDSDAMPPPSSPYAALLRREGEAAPAAAAGEELLLVPPLNFAMVDHGIYRSGFPDVSNLPFLESLRLRSVLCLCPEPYPEANLEFLRAHGIRLFQFGIDGSKEPFVNIPEDRIREALNVILDVRNHPVLIHCKRGKVSN >KQL23963 pep chromosome:Setaria_italica_v2.0:II:23586084:23591246:-1 gene:SETIT_030389mg transcript:KQL23963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAITTLDQIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHSNNIDYICRAHQLVMEGYKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHESRGVPSKRPAPDYFL >KQL23964 pep chromosome:Setaria_italica_v2.0:II:23585523:23591396:-1 gene:SETIT_030389mg transcript:KQL23964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASDLDRQIEQLKRCEPLTEAEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPAITTLDQIRVIDRKQEVPHDGAMCDLLWSDPEDAVDGWGLSPRGAGFLFGGNVVSSFNHSNNIDYICRAHQLVMEGYKWMFNNKIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFEAAPHASSAEDVPCCKSSGLTGVLPGGAFSGCVNLVSRSPFRPMICKRADVGIQFFTE >KQL25370 pep chromosome:Setaria_italica_v2.0:II:37632430:37635354:-1 gene:SETIT_030031mg transcript:KQL25370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAAAAAVLRRPHLAGVHSLAGRRQPVVDRVSVLPPATRVSWQRGSGGVAMRRRLWVSASGSMEQDDVGEDAVLPSQVVEEGKVDLLKILRSANTVIPHIVLGSTILALVYPPSFTWFTTRYYAPALGFLMFSVGVNSSVKDFVEAIKRPDAIAAGYIGQFVIKPLFGFLFGTLAVAVLNFPTALGAGIMLVSCVSGAQLSNYATFLTDPHMAPLSIVMTALSTATAVFVTPTLSYFLIGQKLPVDVKGMMSSIVQIVVAPIAAGLLLNRFLPRLCAAIQPFLPPLSVFVTALCVGSPLAINIKAVLSPFGLAIVLLLFAFHTSSFLSGYHLAGTWFHKSADVKALQRTISFETGMQSSLLALALANKFFPDPLVGVPPAISVVLMSLMGFSLVMVWSKKTET >KQL23759 pep chromosome:Setaria_italica_v2.0:II:17371810:17376412:1 gene:SETIT_029931mg transcript:KQL23759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLPSSVLLLLHIALLLLVVPCSAQVGDSCSSARDCGTGLYCGNCPASGRTKPSCIRDLAIQPTSIVKGLPFNRYSWLVTHNSFSILGEPSRTGVERVTFYNQEDSVTNQLRNGVRGLMLDMYDFNNDVWLCHSLQGQCYNFTAFVPAVETLKEVEAFLSENPSEIVTIFIEDYVRSPMGLSKVFTAADLMKYWYPISEMPTNGKDWPSVTDMVAKNHRLLVFTSDSSKEASEGIAYQWSYLIENESGDPGIVPGSCPNRKESQPLNSRSASLFLQNYFPTMPVQNEACKENSGLPQMAQACYAAAGNRIPNFIAVNFYMRSDGGGVFDVQDRINGITLCGCNTIAACQAGAPMGACKDTGAPNRTPSSSSSSSSSVDGNIYSGTIEFKTHPTADASTTSMRSSFVLLLSLVLTVKLVASFMH >KQL26456 pep chromosome:Setaria_italica_v2.0:II:44460777:44468013:1 gene:SETIT_031362mg transcript:KQL26456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLRRSLPSLGRALLTPAPVRMLSAEASDALVEIKPGEIGMVSGIPEEHLRRKVVIYSPARTASQQGSGKVGRWKINFLSTQKWENPLMGWTSTGDPYANVGEAGLTFDSAESAKAFAEKHGWNYVRKRHTPLLKPKAYAENFKWRGPPKPEQA >KQL26455 pep chromosome:Setaria_italica_v2.0:II:44460777:44468013:1 gene:SETIT_031362mg transcript:KQL26455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLRRSLPSLGRALLTPAPVRMLSAEASDALVEIKPGEIGMVSGIPEEHLRRKVVIYSPARTASQQGSGKVGRWKINFLSTQKWENPLMGWTSTGDPYANVGEAGLTFDSAESAKAFAEKHGWNYVVRKRHTPLLKPKAYAENFKWRGPPKPEQA >KQL22705 pep chromosome:Setaria_italica_v2.0:II:4691006:4692346:1 gene:SETIT_033646mg transcript:KQL22705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAREVGPMSSPVSSAFMKGSPGPSSHLFCPPTQSTISQRDRDGDTDTDGWSSLNAARIFESRKRKQ >KQL23351 pep chromosome:Setaria_italica_v2.0:II:11066185:11067580:1 gene:SETIT_030456mg transcript:KQL23351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATRKRPAPDGTAAGGESKRARITLGSIYDYEKLEVLGEGSFGVVVKARHRATGEAVAVKRARASDLRAVLREAGCLAACRGHPSVVGIRDVVEDAATGDVFLVMEFVGASLRRLLRRAAAARFPEARARAAMRQLLRGAERMHGAGIIHRDIKPDNILVGAGGAVKICDLGLATPARPEGAAYPERRVGTLPYRSPEQLAGHRDYGPGVDIWALGCVMAELLTGRFMFDEETDDAMLARVTELGRALGEKGLKAFDDWPAFQGLPELSPGAQEVLAGLLAVEPRDRLTAAAALQHPWFAEEGEEERPAATCGSACRAARSCSASVVTVVV >KQL24162 pep chromosome:Setaria_italica_v2.0:II:26856521:26859021:-1 gene:SETIT_030571mg transcript:KQL24162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGSRQEPAVSRLSDGLPQKPALGFNSFLQIPDKIQNSLKVGFFLKKIEVFGTRCADVNWLGLAIVHFGRFLKKDGAGRGMNAQMSPEMGKESCTAAAQFSLDRQLQAWRNNPSWTDEPPEIKVTVPEGSLCNLNLRFKAGLPPDAVYNIIIDPENKRVFKNIKVKFKQGRTGFMRKFEGCWRIEPIFVDKEVCLPLHPCTLEEYESCTGGRGRVASAITLDQLIEPALLPPPPISWYLRGITSRTTEMLVNDLIAETARLRGISNNADGKQDVEEICDASKSHPVIECGDIKERWRQRRKSGRHGNSLRLTSQFL >KQL26323 pep chromosome:Setaria_italica_v2.0:II:43755270:43755581:-1 gene:SETIT_031810mg transcript:KQL26323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGGSSAKSCKRAGIGPVVPVDREIIALEIGLGEMAPVSATLLAGVCKCLFVLRC >KQL23310 pep chromosome:Setaria_italica_v2.0:II:10704706:10705195:1 gene:SETIT_031572mg transcript:KQL23310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGPAATREDAVEVGDADRPEEGEVEPDEAAEEWRRVGHDVVVELGDEPPEDAHQRRRVEAGREDDLGVEQRRRHGHGQREERHHHVHGRRNHGHRRLLRSGLTDRYG >KQL23462 pep chromosome:Setaria_italica_v2.0:II:13708207:13710818:1 gene:SETIT_032147mg transcript:KQL23462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCYFLPRRIFLERSTSRGVFRYVGMNGWYETKRGELYFHPKAYDVVDKEQFQDLNSLGYPIPSRTMVNRGMILVNTCEDPFGDLYPKGVWGEFRKRVSSEISRNVVALASFKGETRFFACTGVFIDYDDEYPKILTSASLIRDRNDPNKIVEDLRIDVLLPSKKCRVIGTLKHYSLHYNVAVVNVDNHRALCPMNLEKRPVNLHDSLVNNSTVVAIGRIFQSGTLMAASGKLTLGSSSLDCKVLCYSTCKISKVGIGGPLVDVDGNFIGMNFHGMCYNCEKIGTPYMDYEDLCRILECLKTKKTTEFSFGDTVRRDEEPINEWPVPDPYWFDPSDVEEDDMNDKQEVVADGCP >KQL23065 pep chromosome:Setaria_italica_v2.0:II:8160399:8161304:1 gene:SETIT_032371mg transcript:KQL23065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTPAPVVGSQFCSPQVLPLRLTTKLTGGCTVTDPAGAVLLRIDVPLFRSCPRRRPPAHPLPAKKEGTANGGDLLFTARESPMATPNSGLDVFLAGNTAQNVADFKIKGDFGGSCYFYLGDCHTMIATAMSIIF >KQL25880 pep chromosome:Setaria_italica_v2.0:II:41079234:41085052:-1 gene:SETIT_030672mg transcript:KQL25880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQGGAGLDRKRISDALDKHLEKAVASPSTSRGSAGGGGGRDHHRLVVPSSASSMPKGRCSEGESDSDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQDIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >KQL25878 pep chromosome:Setaria_italica_v2.0:II:41079234:41085052:-1 gene:SETIT_030672mg transcript:KQL25878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQGGAGLDRKRISDALDKHLEKAVASPSTSRGSAGGGGGRDHHRLVVPSSASSMPKGRCSEGESDSDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMGCLFGQLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >KQL25881 pep chromosome:Setaria_italica_v2.0:II:41079634:41084821:-1 gene:SETIT_030672mg transcript:KQL25881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQGGAGLDRKRISDALDKHLEKAVASPSTSRGSAGGGGGRDHHRLVVPSSASSMPKGRCSEGESDSDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYEHLKPQKPSQRYVPRVFGFKLHKP >KQL25879 pep chromosome:Setaria_italica_v2.0:II:41079937:41084821:-1 gene:SETIT_030672mg transcript:KQL25879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQGGAGLDRKRISDALDKHLEKAVASPSTSRGSAGGGGGRDHHRLVVPSSASSMPKGRCSEGESDSDSEASDVSGSDGEDTSWISWYCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGLAAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIHRSSTVKIYCPKCEDIYYPRSKYQGSILTILLLDYLINACVCCPPKKCVSNSNLFVRTQTKGHFVLNLTLRMGCKCSHMHQRPFTHSSMSKHH >KQL26319 pep chromosome:Setaria_italica_v2.0:II:43715087:43715563:-1 gene:SETIT_033715mg transcript:KQL26319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCELCCVSCCFSRNAWTCVRFTVLPFWFCGSWSASLGDFYRLSINFLMF >KQL26161 pep chromosome:Setaria_italica_v2.0:II:42687811:42688241:-1 gene:SETIT_032680mg transcript:KQL26161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSILLKIASLVVAVVLLATPQARGWASEDDGDQVSDMVPVRTPAEQVVVAISGGGAGTMAAGSPVCLQCRCCSRSSPGNCQTTTCCSSFNCDPAGKCNLVQDKCGCSGCGGAN >KQL23367 pep chromosome:Setaria_italica_v2.0:II:11505057:11517966:1 gene:SETIT_028647mg transcript:KQL23367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEENADEYSEESAVEHVRRLLDIVACTTSFGPAPPPPPPPSPKDADAAKEPSSSSATSKAAASGSSGGRRTASPPPASPSKESSAAKEAAAKESAAAAELEAEMSGACPRLGAFYEFFSIANLTPPLHFIRRVSQPRQEEQPSDDHLFFLEAKLCSGKFIVVEARRKGFFSLGKQRVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPAEDETWGGSGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMESTEISASTKVDEVLYSETVGNFSITVTRDSSDASCKLDTKIDGSQATGMDSKNLAERNLLKGITADENTAAHDVDSLGIINLRYCGYVAVAKVNNIDKTKVTSSIKPINIADQPEGGAHALNINRHEELTTAQNFVEKLLKESLQKLEEEENGKQSFMRWELGACLVQHLQDQKNSDKDKKQGGEKDKKKTVDKSLKETKIEGLGKPLKALKNSKIVDTADMGSSLGAKSSAESQKDKPSDTELPQGESNASENENLLKDLLPESAFTRLKESETGLHQKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGRVVKLSDKLSHVQSLCVHEMIVRAFKHIVRSVIAAISDTRQLALTIAAALNLLLGVPESDVSGSSPSVHPLVWRWLVTFLKKRYEFELTEKHYHDMRKYAILRGLCHKVGIELAPRDFVMDSAFAFHKQDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALSKLITVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNQENKGRDSESGKRRYSSIKVLSHSNESSNGASPEISPRDSTPIIDVEEQVKELSKDDSTEIISEAEVKQSPKSFEQPAPSELPIEIHEVNINVPKEVLQDETAEPEDGWQPVQRPKSAGGPGKQIKHYRPTARKVYDPDSHDPTYTSQYKARNSYSNNRYYFLRKRTVVPTTYTDPQQHVKVQTSGARFGRKIYKAVTYRVKPGTASTEVQDTSKSTEQVSGKAETQVTYSQAHDPTSIDHKESELHGALVASSGNAPSYKDVALARPGTIVKTQIQKSRDDVPQNQPSLGQIIAQEMKDSLVDSLRVEQRPVSSNANNPKEIANVSEQIQQSEETKFSGREPEIENLGKDGSQNLPISVIGSEPGSSKEDANVFSNTRQEPSSSGNADAAIEFSESTGSAIAEQSGKSDVELFEGLPSSIEPISVTASTTNTGSLEGVASEKSNPNLVLSNIDLREMPNKKLSAAAPPFNPSPPGGLSPLAGNVGIPPPGAIPGVAPWPVNVSLHPGHSSMVPSGPPLCTSPHHLYPPAPRSPNLMHPMPFIYPPYSQPQVVPSTTFPMNTNIFRPNHYGWQTYMSPGPSEFVPVSAWSNSHTVEFITPTHGVDPISQSLADKHIQSDAAVVSIGPSLDSSAVAVKEEMETPAVVGSDNFISNKHDDQDKQLKDAIRIELNPDMQADNSQDIGVTNHSRSNMKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKFVYNRVVRENDIFRPSSVSFAEVVSSGN >KQL23368 pep chromosome:Setaria_italica_v2.0:II:11505057:11517966:1 gene:SETIT_028647mg transcript:KQL23368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEENADEYSEESAVEHVRRLLDIVACTTSFGPAPPPPPPPSPKDADAAKEPSSSSATSKAAASGSSGGRRTASPPPASPSKESSAAKEAAAKESAAAAELEAEMSGACPRLGAFYEFFSIANLTPPLHFIRRVSQPRQEEQPSDDHLFFLEAKLCSGKFIVVEARRKGFFSLGKQRVLCHNLVDLLRHLSRAFDNAYEDLMKAFLERNKFGNFPYGFRANTWLVPPIAAQSPSTFPPLPAEDETWGGSGGGWGRDGKSDMLPWADEFLYLTSMPCKTAEEREIRDRRAFLLHSLFVDVAIFRAIAAVRHVMESTEISASTKVDEVLYSETVGNFSITVTRDSSDASCKLDTKIDGSQATGMDSKNLAERNLLKGITADENTAAHDVDSLGIINLRYCGYVAVAKVNNIDKTKVTSSIKPINIADQPEGGAHALNINRHEELTTAQNFVEKLLKESLQKLEEEENGKQSFMRWELGACLVQHLQDQKNSDKDKKQGGEKDKKKTVDKSLKETKIEGLGKPLKALKNSKIVDTADMGSSLGAKSSAESQKDKPSDTELPQGESNASENENLLKDLLPESAFTRLKESETGLHQKSPPELIEMALKYYDEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGRVVKLSDKLSHVQSLCVHEMIVRAFKHIVRSVIAAISDTRQLALTIAAALNLLLGVPESDVSGSSPSVHPLVWRWLVTFLKKRYEFELTEKHYHDMRKYAILRGLCHKVGIELAPRDFVMDSAFAFHKQDIISLVPVHKQVACSSADGRQLLESSKTALDKGKLEDAVNYGTKALSKLITVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKVIEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPNQENKGRDSESGKRRYSSIKVLSHSNESSNGASPEISPRDSTPIIDVEEQVKELSKDDSTEIISEAEVKQSPKSFEQPAPSELPIEIHEVNINVPKEVLQDETAEPEDGWQPVQRPKSAGGPGKQIKHYRPTARKVYDPDSHDPTYTSQYKARNSYSNNRYYFLRKRTVVPTTYTDPQQHVKVQTSGARFGRKIYKAVTYRVKPGTASTEVQDTSKSTEQVSGKAETQVTYSQAHDPTSIDHKESELHGALVASSGNAPSYKDVALARPGTIVKTQIQKSRDDVPQNQPSLGQIIAQEMKDSLVDSLRVEQRPVSSNANNPKEIANVSEQIQQSEETKFSGREPEIENLGKDGSQNLPISVIGSEPGSSKEDANVFSNTRQEPSSSGNADAAIEFSESTGSAIAEQSGKSDVELFEGLPSSIEPISVTASTTNTGSLEGVASEKSNPNLVLSNIDLREMPNKKLSAAAPPFNPSPPGGLSPLAGNVGIPPPGAIPGVAPWPVNVSLHPGHSSMVPSGPPLCTSPHHLYPPAPRSPNLMHPMPFIYPPYSQPQVVPSTTFPMNTNIFRPNHYGWQTYMSPGPSEFVPVSAWSNSHTVEFITPTHGVDPISQSLADKHIQSDAAVVSIGPSLDSSAVAVKEEMETPAVVGSDNFISNKHDDQDKQLKDAIRIELNPDMQADNSQDIGVTNHSRSNMKNEDEGSFRIYVKGKSRRKQTLRIPISLLNKTYGSRSFKFVYNRVVRENDIFRPSSVSFAEVVSSGN >KQL26959 pep chromosome:Setaria_italica_v2.0:II:47121743:47127248:-1 gene:SETIT_030791mg transcript:KQL26959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSWPSAVRLAVATVLLVAVGVALFTLPVEKILKDFLVWIKGNLGPWGPLVLALAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSLGATIGATAAFLLGRTIGRPYVLSKCKDYPKFQAVAIAIQRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVGIGEYMLASWLGMMPITLALVYVGTTLKDLSDVTHGWSEISTTRWILIISGFVLSVVLIICVTKVAKSSLEKALAENGELDAGTSQLPVVASPSDLQQPLVIKIDTSNEDHEK >KQL24305 pep chromosome:Setaria_italica_v2.0:II:28727671:28728404:1 gene:SETIT_031743mg transcript:KQL24305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNDIHITLKFATKAPNFCSPISGSQPSYAESAGFASSGFLVGISVPISALRSLMLCSSSSILVPISSSAM >KQL23846 pep chromosome:Setaria_italica_v2.0:II:21071312:21074680:1 gene:SETIT_032826mg transcript:KQL23846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKLKKDEDLYDEWIKSQLIKEQKAKLKPVDLESHKAKEVPQSNIMKDSTIDKEAQKKLNERYLLESYEEEENNVQSHNDFINSLGEEEIHNIENAMDALEIKDEETSDSKEARTELMDQGDCEHDWIRGRVRLPFKEIVGIRIPVKVKLTPTITYKILALVETGCTKNIIHNKYFARCPEIVHTIDQDKAEISTDMSGIKKLHNQDLSMINDDMILGLRFLQYSLQTTIIHEQGITFIPYQDNIPYITEVRKTISSNEGKAKIETQEYDDNNYVDNIDEELVCKLNIINPDYIIKTSPIEATPKDIEEFKMHIEELLKLGAIRESRSPHRSAAFIVRNHAEIARGKSRMEGKIYLQDHIAKKILEFPDVMNDKKTLQQFLGIVNYARNYIENLAKLAGPLYAKLRKNGQKHFNSEDIKLKGVSPTGIDCFCFGAENKLRIFPPNTYKFKPRDHIVLDEVQECILDNFWYQYNNKREDKGYMLAILNSLAEYFHTINGLIQPKESPENIEKKAIYVIYKGKNPGIYVSFEQVIAQKIEKDKDGGILWKKYTDIDQALTYARNILGVNYFLEPAAKEYIQKYKKIRELKPSPSGINIKEEGSSMEE >KQL26450 pep chromosome:Setaria_italica_v2.0:II:44437027:44442505:1 gene:SETIT_030445mg transcript:KQL26450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDVVRQTRENLVHLDLNSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIIHLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLESNKDLLDILISGYENMDIALHYGAMLRECIRHQSIARYVLESEHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLTNNYDWFFEEFNSRLLSSTNYITKRQAIKLLGDMLLDRSNVAVMMRYVSSKDNLMILMNLLRDSSKNIQIEAFHVFKLFAANKNKPAEVVNILVTNRSKLLRFFAGFKIDKEDEQFEADKEQVIKEISAL >KQL26451 pep chromosome:Setaria_italica_v2.0:II:44437452:44441739:1 gene:SETIT_030445mg transcript:KQL26451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLFKSKPRTPVDVVRQTRENLVHLDLNSGSRGGDAKREEKMAELSKNIRELKSILYGNGESEPVTEACVQLTQEFFRENTLRLLIIHLPKLNLETRKDATQVVANLQRQQVSSKIVASEYLESNKDLLDILISGYENMDIALHYGAMLRECIRHQSIARYVLESEHMKKFFDYIQLPNFDIASDASATFKELLTRHKATVAEFLTNNYDWFFEEFNSRLLSSTNYITKRQAIKLLGDMLLDRSNVAVMMRYVSSKDNLMILMNLLRCFIFSGFK >KQL24478 pep chromosome:Setaria_italica_v2.0:II:30490414:30491144:1 gene:SETIT_031621mg transcript:KQL24478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQPRKLPRWPSPSAPQGSAVPRAPRRRAWRGREGNAFKGVKGKSKWQLASEASQFGGLSSPRPCWRVTNQLGVLERPRRLGRAPAASSPAGRLNAAR >KQL22282 pep chromosome:Setaria_italica_v2.0:II:1281133:1282466:1 gene:SETIT_033378mg transcript:KQL22282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMVGSAVVQETVSRITSYLFSKCDHDKRTASTGHHIERLEMAHTELELALERSARMPITDVSLLRRRKLLERAFKDCGDLLHRCIKQQTMDLIEFEQSSVSSYFTGFCKDNISYSDVRRNEWFAECANRFLRDVESGCSPLRCVFSNPLVWQLLEGKTLEYKMVQGSILRCLHIQSMCVEGRGVEATLEFCYEDRKTPMTSFSLMLMLRLSESTDIIGTAIRCLQSFTSSMKDVAEAVMRELTQLPQQDISHSHAASYFIIKDLCSYDTHFWRPDPLCCKPDGCPTSYIPSELSCKFPEQVILIHIKCYVSAFECSSLHNTANATARNLVGHWPPLKLEVGFAPHLFQECMQGRTSVEIIGGKAEHINDSLQQMDKMVRRNAINRYICQPDLMDYRMGWNPGHGAAYSSASKVDSGFETQRAAKRRRSKLEA >KQL25016 pep chromosome:Setaria_italica_v2.0:II:35269065:35274339:1 gene:SETIT_032559mg transcript:KQL25016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPSPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERGEANINVDNVSMGSEDCELEEDEVVGEEVEEESLFESGGHEYENVGVENEEDGLQFDTATVHDVEGIRRMDDCFSYTQCELRMLKERDVELPSVPNDKDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWWAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVSKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFDLVESENNESWSWFMKLVRINVLGPSRIVCMISDRHHGLLNCANDHIDGFPPLVHRWCMRHFAANMSCRQKSNRVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLKFESPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVMGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEVCPPGANDEVVQRFARVWLWHFVSTFLLPDAAGNTVSWMVLPILGQVWENIATYSWGSAALAWLYRQLCEACRRTARDSNVGGCTYMLQIWIWERMPMGRPSRLRVDESEDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSQ >KQL23378 pep chromosome:Setaria_italica_v2.0:II:11693723:11696859:-1 gene:SETIT_029910mg transcript:KQL23378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVWWLCGVGYWVQGFRCFPWLALNFHLARGLGLSPAALQLVQNAGTLPLVAKPLFGVLSDAVYVGRAHRLPYISIGALLQLIAWGTLAIIPVTGDTFPTQMACILIGNLGASVTEVVSDAVVTEFSRTQKAGVLQSYAFIALAAGSLLGNLSGGYVLLKTQEPKIMFTAFSVLLGFQLALSLSTKETLPSTPRNTRSRRVRSSLAVNLRKQFSNLMMVIREERIFYPLTWIMTSFAVVPILSGMMFCFQTQYLKLDPSIIGLSKVVGQIMVLSLTVLYNRYLKTIPLRHLIAGVQMLYAVAVLSDLVLVKQINLMLGIPNEIHVLCFSALAEAIAQFKVLPFSVLLSSLCPPGCEGSLFAFFTSGLVFSAIVSGVFGVGLSTLIGVSSVDYSNLPLGILLQSLAALLPLGWISFVPEKWNADEKVVMQR >KQL24684 pep chromosome:Setaria_italica_v2.0:II:32221652:32225537:1 gene:SETIT_030279mg transcript:KQL24684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRLLVLAVLALAATAAAAKSGFAESNPIRPVTDRAASALESTVFAALGRTRDALRFARYAVRYGKSYESVAEVHKRFRIFSESLELVRSTNRKGLPYRLGINRFADMSWEEFRATQLGAAQNCSATLAGNHRMRAGAALPETKDWREDGIVSPVKNQGHCGSCWTFSTTGALEAAYTQATGKPISLSEQQLVDCAGAYNNFGCNGGLPSQAFEYIKHNGGLDTEESYPYQGVNGVCHFKDANVGVKVLDSINITLGAEDELKDAVGLVRPVSVAFEVINGFRLYKSGVYTSDHCGTTPMVSYNILVSSTYATI >KQL24685 pep chromosome:Setaria_italica_v2.0:II:32221571:32226318:1 gene:SETIT_030279mg transcript:KQL24685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRRLLVLAVLALAATAAAAKSGFAESNPIRPVTDRAASALESTVFAALGRTRDALRFARYAVRYGKSYESVAEVHKRFRIFSESLELVRSTNRKGLPYRLGINRFADMSWEEFRATQLGAAQNCSATLAGNHRMRAGAALPETKDWREDGIVSPVKNQGHCGSCWTFSTTGALEAAYTQATGKPISLSEQQLVDCAGAYNNFGCNGGLPSQAFEYIKHNGGLDTEESYPYQGVNGVCHFKDANVGVKVLDSINITLGAEDELKDAVGLVRPVSVAFEVINGFRLYKSGVYTSDHCGTTPMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDEGYFKMEMGKNMCGVATCASYPVVAA >KQL24649 pep chromosome:Setaria_italica_v2.0:II:31999127:32000665:1 gene:SETIT_030730mg transcript:KQL24649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAGSFAACSLQPSRASVRACHAPRPAQPPPLQGPTAVSFTRKTGRRVNATKGVSAVCEPLGPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSEPELLRWFAQAELMHGRWAMLAAVGILIPDLLARWGFIDAGFSWFDAGSRDYFADPWTLFVSQMALMGWAEGRRWADLLNPGCVDIEPDFPNRKKPVPDVGYPGGLWFDWANWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGQGPIDNLLAHLADPGHCNVFSAFTSH >KQL24650 pep chromosome:Setaria_italica_v2.0:II:31999265:32000665:1 gene:SETIT_030730mg transcript:KQL24650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGPLHLHHDPPVSRVSAVLCFCSRASVRACHAPRPAQPPPLQGPTAVSFTRKTGRRVNATKGVSAVCEPLGPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSEPELLRWFAQAELMHGRWAMLAAVGILIPDLLARWGFIDAGFSWFDAGSRDYFADPWTLFVSQMALMGWAEGRRWADLLNPGCVDIEPDFPNRKKPVPDVGYPGGLWFDWANWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFWFQAVYTGQGPIDNLLAHLADPGHCNVFSAFTSH >KQL24992 pep chromosome:Setaria_italica_v2.0:II:35174443:35176131:-1 gene:SETIT_029995mg transcript:KQL24992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPARGASSSSAGESLRNSCNDFARTLARLPASIMEGLSRSIPRRRSHHYPAAPHRLQPPPQAPPPLLPPPFVPEELVFFSMLEQQYGAHHPFFYGCRFADTLRAARREGKLVFVYLHDPAHPYTEPFCRRTLCSDVVVEFLDANFVSWGAVAGMGEGPGMVASLQPGSFPFCAVVAPVSDESIAVLQQVEGPVSPTELVEILQRTIDEQGAAFRASSRPDEQAAAVRSDRTAEEEERRRSAQRLRQEQDAAYLESLRRDQEKERSRKSLQEGAAKPRAGSQLRPKHPGHGQAARQPTKTTQSQIRASPQKEPAASQRTEPNTKIMIRFPNGERRQQSFRHTDTIRDVYRYVDSLGIPGIGSYQLVRSYPRKTYGHQQLGMTIGDAGFYPSVALYIEQLQ >KQL24993 pep chromosome:Setaria_italica_v2.0:II:35174585:35176131:-1 gene:SETIT_029995mg transcript:KQL24993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGPARGASSSSAGESLRNSCNDFARTLARLPASIMEGLSRSIPRRRSHHYPAAPHRLQPPPQAPPPLLPPPFVPEELVFFSMLEQQYGAHHPFFYGCRFADTLRAARREGKLVFVYLHDPAHPYTEPFCRRTLCSDVVVEFLDANFVSWGAVAGMGEGPGMVASLQPGSFPFCAVVAPVSDESIAVLQQVEGPVSPTELVEILQRTIDEQGAAFRASSRPDEQAAAVRSDRTAEEEERRRSAQRLRQEQDAAYLESLRRDQVGGQPCQAEEKERSRKSLQEGAAKPRAGSQLRPKHPGHGQAARQPTKTTQSQIRASPQKEPAASQRTEPNTKIMIRFPNGERRQQSFRHTDTIRDVYRYVDSLGIPGIGSYQLVRSYPRKTYGHQQLGMTIGDAGFYPSVALYIEQLQ >KQL25197 pep chromosome:Setaria_italica_v2.0:II:36576719:36580744:-1 gene:SETIT_030266mg transcript:KQL25197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCHTPPQCGRTPLRHHGRRESAAAPGVVVRCARGAPQVSGLEAAAAPGHVAATKAAKAEGGGARPSLAERLRLGSLLEDGLSYKESFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGFSTDGFATTTTMRKLGLIWVTNRMHIEIYKYPAWGDVVEIETWCQEDGRIGTRRDWILKDLANGEVIGRATSKWVMMSQNTRRLQRVSDDVRDEVFIHCPKTPRLAFPEENNGSLKKIPILSDPAQYSRLGLVPRRADLDMNQHVNNVTYIGWVLESIPQDIIDTHELQTITLDYRRECQHDDIVDSLTYIEEGEEKSMNGSASAAQHKEEQQQFLHCLRFARNGDEINRGRTVWRKLAR >KQL26650 pep chromosome:Setaria_italica_v2.0:II:45569353:45569863:1 gene:SETIT_033719mg transcript:KQL26650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHEFVVDRKNLERFNLSWSLAIHGLKMRCFNQEKRGVYLVVKTY >KQL23722 pep chromosome:Setaria_italica_v2.0:II:16997174:17001073:-1 gene:SETIT_030724mg transcript:KQL23722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPLLLLALLLLPAAAVASGGEPAAAHPGYAHGVEDACGVAEDEAEAAVLPAPERREEFDGGRIVDISHYYREDMPAWESAEGTGGFLRLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNGPALLVDVPRDKNITAGVMASLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLVDNTGIKLVVHAGVDYLSVGAFDECIPAHLVFLEKRVPF >KQL23719 pep chromosome:Setaria_italica_v2.0:II:16996999:17001023:-1 gene:SETIT_030724mg transcript:KQL23719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPLLLLALLLLPAAAVASGGEPAAAHPGYAHGVEDACGVAEDEAEAAVLPAPERREEFDGGRIVDISHYYREDMPAWESAEGTGGFLRLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNGPALLVDVPRDKNITAGVMASLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLVDNTGIKLVGVDYLSVGAFDECIPAHLVFLEKREVILVEALNLEHVTPGIYTLHCLPLRLRGAEGSPARCILIK >KQL23720 pep chromosome:Setaria_italica_v2.0:II:16996999:17001023:-1 gene:SETIT_030724mg transcript:KQL23720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPLLLLALLLLPAAAVASGGEPAAAHPGYAHGVEDACGVAEDEAEAAVLPAPERREEFDGGRIVDISHYYREDMPAWESAEGTGGFLRLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNGPALLVDVPRDKNITAGVMASLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLVDNTGIKLVVHAGVDYLSVGAFDECIPAHLVFLEKREVILVEALNLEHVTPGIYTLHCLPLRLRGAEGSPARCILIK >KQL23721 pep chromosome:Setaria_italica_v2.0:II:16996845:17001073:-1 gene:SETIT_030724mg transcript:KQL23721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPLLLLALLLLPAAAVASGGEPAAAHPGYAHGVEDACGVAEDEAEAAVLPAPERREEFDGGRIVDISHYYREDMPAWESAEGTGGFLRLARSMRNGSDIANFSELRLTAHSGTHVDAPGHVFEHYYDAGFDVDTLDLAVLNGPALLVDVPRDKNITAGVMASLHIPKGVRRVLFRTLNTDRKLMWKKEFDTSYVGFMKDGAQWLVDNTGIKLVGGHPCGSLKSGACYPWNIHLALLATKIAGC >KQL25910 pep chromosome:Setaria_italica_v2.0:II:41214469:41216477:1 gene:SETIT_029659mg transcript:KQL25910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPALVLLPEWGSGHLMSMLESCKRVLLSGGGGGKAFSITLLVVRPPTDEATSEVEAHVRREAASGLDIRFHRLPAVDPPAGDLGVEEFSALYLSLHAPHVRDAIAGMECPVAALVLDLFAATLVDEARDLGVPSYVFMSSTGAMLALMLHLPVLHERVTVEFDEVEGEIEVPGLPPVPPANMPCPVVDKKSPNYTWFVRLGDSFMDATGIIANTADALEPGPLAAIAEGRCVPGRPAPPVYPVGPVLSLGDRSPSHECVKWLDAQPPASVVFLCFGSMGWFDPPQVVEITAALERSGQRFLWVLRGPPSSETGAGSPDGSEHPTDANLDEILPEGFLERTKGRGMVWPKWAPQKDILAHPAVGGFVTHGGWNSVLESLWHGVPMAPWPLYAEQHLNAFELVADMGVAVPLKVDRKRDNFVDAAELEGTVKELMGGGEVGRKAREKAKQMMAVCREAVAEGGSSHAALQRLAQALHDGAAMPKK >KQL23327 pep chromosome:Setaria_italica_v2.0:II:10853364:10853561:-1 gene:SETIT_032024mg transcript:KQL23327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKEVAHGHGSFTPQDCRTLPVNPGPCAPASCSQNCRNSIGYGSVGECVSGGCQCTYCAPSKPK >KQL26918 pep chromosome:Setaria_italica_v2.0:II:46934910:46941562:1 gene:SETIT_029497mg transcript:KQL26918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPANGDSESGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTLATSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATVENVVICGSMKSALELLASTPYCLSIEKVFVIGGGQVLREYLNRPACEAIHLTDIQSSIECDTFIPPIDFSVFQPWYSSFPVVESNIRHSFVTFVRVRKSLAETHESNGKESTEVDTKNDKFETENFSFLPKMIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCDLSPGDFVHVIGDAHVYRTHVRALEEQIQKMPKPFPILKINPSKKDIDSFVASDFKLVGYDPHQKIEMKMAI >KQL26919 pep chromosome:Setaria_italica_v2.0:II:46936714:46940690:1 gene:SETIT_029497mg transcript:KQL26919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPANGDSESGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKELTLATSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATVENVVICGSMKSALELLASTPYCLSIEKVFVIGGGQVLREYLNRPACEAIHLTDIQSSIECDTFIPPIDFSVFQPWYSSFPVVESNIRHSFVTFVRVRKSLAETHESNGKESTEVDTKNDKFETENFSFLPKMIFDRHEEYHYLNLVEDIIRSGAQKNDRTGTGTLSKFGCQMRFNLRKNFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCDLSPGDFVHVIGDAHVYRTHVRALEEQIQKMPKPFPVRNS >KQL23479 pep chromosome:Setaria_italica_v2.0:II:13994323:13995371:-1 gene:SETIT_032064mg transcript:KQL23479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVSTAADGLGTFVGNSLSAPFKALFGASCEGVCSGTWDLPCFVEHLCVSSLARLFVVAILTYIVLLFGYLLCKLGIVKCVAKNAFKMVWKPCSACCRALGGACCLLCHKVRDTKRVYRGRRRRRRDVELGELSTSSCDDTDSYSSSSSSSEDDDGDRRGGGTAAGRSRGKPSSSSVREKRKERIRQSLRLKRVNSKVEHAARVNQGSGRHHRHSTVPRGTEVSSMSSMRVHGSVARGHSHAHRRHMKDEPRQLAGATIRRDIPSMTYGYSTG >KQL24936 pep chromosome:Setaria_italica_v2.0:II:34723056:34723796:-1 gene:SETIT_032818mg transcript:KQL24936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQNSLSCSIRYSDQSIHRQPSAISASPPRSGPKRSVAKTTPSAPPPPPREGADPSSTNSKSASTHAPRHRPHPSLRPNVISPSTPQPPPRSAPSSAGSASPSTLGAGEHLRPGSEVGVRTRTTTLKTGEVLVFWFRATIVSPTHGGYEVVYDGNWPPGDPYGTVHVPRRHVRTIKPSPSPTKIPPPSLPPSSAPSSSASDTTATVAAARKGMWPVPRPTTGGKSLRLIRSLWSEIKRQAQAASPGY >KQL25957 pep chromosome:Setaria_italica_v2.0:II:41521973:41523447:1 gene:SETIT_033321mg transcript:KQL25957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVERSDGHTRAHGRLRPYIPVLPAMRPQLHIRRIADAGHDLGNSDRLIDHGGGLVVAPLGPRPPHRRLPAGHQRHRLLHGDARAVCHGWRSATAVDPRAQGAAGAADPRSLLPHQWIMLEEESSDCDGQGGDARLFVYASTGRFHRRRVPLLRDRILVAASDGLLLLGDKSYPRAASVLNPFTGSLLRFSAPIPVGVGRVIASVTNYDPMLVFAFETGSRYDGGEVMCADPTSQRCGEQFFRPVNFNVASLVSHACHAYMVDMEGEREQEDSAKISLSPLPVNSCSSDVILVNSQPSRFSDSEGKALEAVKNTGGRALFLGDRSLSVNADVLPSVDGNCVYYTSGSLLPSSPEGMYRHYLEDGIEEKISGDNVTDSERPFSLVQVLITYCRDSQHSLYFDRLSMTAPCYSEKNSGLIGEYP >KQL26481 pep chromosome:Setaria_italica_v2.0:II:44630987:44637315:1 gene:SETIT_028978mg transcript:KQL26481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGREVAVSALLAVVVVAGASLLSAEAGADGGGLPRRSLHQPFFPIDSTPPPGSDDSIVPPPPPPTPGAAAAATSSSSKGGGLTNAIAIALATGLVALAVAFYSCFLLRRRRSDGGGGGGAAALRAAKPARAGAAAARVASDVGSSTRHQRSSPPPSSTASDAIYIDPLTTMVEVGRHRPQSPDLRPLALVKQPSPDLRPLPPLRRPATQPPPPPVSTPPMTTTGDSSDDEDQATFYTAPKTAKSSFSRSTSQRSGLEPTAPQPQPPAPAPAPAPTPPPPPQANPPRPARPPPPPPPPRQRLLRPMPTESPPPAVLASLALTNSSEPSAQDRGGENPDGHGGRARPPKPPSLKPLHWDKLRAISGRTTVWDQVNNSDSFRVDEAAMESLFLNKTGGAGNSDQAARKGGAGKQESRLLDPKRLQNVAIMLKALNVTSDDVIGALMHGSGDLGSEFYETLAKMAPTKEEELKLKDYSGDISKLDPAESFLKDVLDVPFAFKRVDALLYRANFDTEVNYLKNSFGTMEAACADLRSSKLFLKLLDAVLKTGNRMNDGTNRGEARAFKLDTLLKLADIKSTDGNTTVLHFVVQEIIRSEGFSSDQTAVVNPVSTSKEQFKKDGLKVLAGLSSELSNVKRAATLEMDTLIGNVSRLETDLEKVKLIMQLKETCPNQGASEKFFEAMDAFLGRSRVEIESVKTAGESALQRVKETTEYFHGDATKEEPHPLRIFMVVSDFLSTLDRVCRDVGRTPERVMMGSGKSFRVSAGTSLPPRRYEPRREPSSSEEDSSSS >KQL24664 pep chromosome:Setaria_italica_v2.0:II:32101099:32102646:1 gene:SETIT_032671mg transcript:KQL24664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYSEGDIAGGCRGSGTDRICGLPDNLLHSIVLRLPGTADAARTSVLARSWRGVWAQLPELILQPRSFKHFVHSLSDFHIAAPKLSEGIKQYKGFLEDTNKLASCEVLVVRLETEHGCKPTMLRLLRKCAGLRKIVVELGYRMDDYDYLCKSGSCCPCSCLENRMTNHIVLDSIEEVEIRDYGRAADKLELVRLFATLSARCKKRVSITVSERCEDMHEKIRSIFPPNDKVEIVVRST >KQL22601 pep chromosome:Setaria_italica_v2.0:II:3798434:3800244:1 gene:SETIT_032937mg transcript:KQL22601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWPAAAASLLIALLSFCEAVRAIRTHGGGGGYYVSTVGDPSMRRDGLRVAWEAFCNEVGQEALGMGSPRGTDCFDLETSAGEHGQPVHEVVHRVTDADNDLREGDPFPGAPAERQPRHHRGHLPENGCRASPSPQTSRFPFPGGAGRCMNQPLVFHNRTALGGAGRWLRGGLFVTYELDAADLGSGDVSYYSVTWENEVAASAGGRGGGWVFHQKLRTSNKGFSGGYHYDTRGMNQTGAGIAGLQGERDAGGEAGRRSQQPVLLEYLMDMGSCWKNDGRPCDGDTATDVTRYSEMIINPETPCPPWHTFRNGTRVHRADTARFPYGAYHVYCSPAQRAEQPTTYCDAYSNPQLQEILQLLPHPVWGEFSYPTAKGQGWVGDPRAWELDAGALSQALYFYQDLGTPPARRRWTLLDVDTEIYVRENAEAEWTLSGFDILVSDTCIKSQQGSCW >KQL24644 pep chromosome:Setaria_italica_v2.0:II:31953446:31958732:-1 gene:SETIT_028830mg transcript:KQL24644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANRNRKLSAKHSPSPAVVPTPRPKSTAPPFLGDDDDDDFQPPRSRPLNPCNAAAARRPRKKLKPSSSSGKENGSVPGGAIPAVTVAATAAKGAGTLAAGSRVSGGVPEAKELMAGEIFDPSRYGSDVSELGRKGKLRLDAYGYCKGSSSSLPNSLKSRVLALGVVCDLGSGRCEQAQAVDSSISLPVPQEWHVTDEVAGSECDQPTVEKKLRSSEALEEHHHSRLIEPEILESDANCEFVTAGPYYSEGLGSGILGPLTDEKSMEKEAGVASERGAGLHKGNNCLDSLESKLPISNANHDSRGGDCSKAQEPGLRACNLDSQEGKVAAGHCATPENEAMENRSNGPEACEGHCFSSPSESNLPESHMIHDFEADGYDNFEIGTQLNELINLCMEDSIEGHSNCTSPIEKNTFDSKRFKSDYQVKCPLCGLDISDLSEELRQLHTNNCLDEPAKKLKGDSVLVFILRLIESSPNHEKEPSAGETVEIRCVIEWLRNLGLSKYEEIFIKEEVDWETLQWFTEEDLLGMGITALGPRKKITHALAELRKKHDDDANDMEAGVLSSEDTKKTKLPMNGNKLITEYFKCSSVDQRQSRVCKVNKSSNLNEQKKSSAKVPTRRISTGKGKVKDTPLWCCIPGTPFRVDAFRYLRGDCCHWFLTHFHVDHYQGLTRSFCHGKIYCSSITASLVHHKIGIPWDKLHVLPLNKRITISGVNLTCFDANHCPGSIIILFEPPNGKAVLHTGDFRFSSEMVNNPVLQSSHIHTLILDTTYCNPRYDFPSQEIVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFMEVARLLQKKIYVGAAKLQILKHLELPQEIMHWFTANEAESHIHVVPMWTLASFKRMKHLSNQYAGRFDLIVAFCPTGWAFGKGKKRTPGKRWQQGSIIRYEVPYSEHSSFTELQEFVKFISPEHIIPSVNNDGPESADAMLAQLLNE >KQL23140 pep chromosome:Setaria_italica_v2.0:II:8674019:8677328:1 gene:SETIT_030550mg transcript:KQL23140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWNGKGGPENMKTCEMCDWRRPIRQTKSSSPGRRVFYSPIRNVFSSSGCKTCKSKQATPSQSTWPTLFSVHHVHGSRGALDSVDGSEAAADHGTSQRRRDAIATSRPANLGVVIPFLSRSKVAGAPSLRLPTAMEEAQVVQSKDGTISVASAFAGHQQAIQDRDHKFLTKAVEEAYRGVECGDGGPFGAVVVCNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGFYQKANLEIKKADGNGALIAEEVFEKTKEKFQMY >KQL23139 pep chromosome:Setaria_italica_v2.0:II:8674019:8676603:1 gene:SETIT_030550mg transcript:KQL23139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWNGKGGPENMKTCEMCDWRRPIRQTKSSSPGRRVFYSPIRNVFSSSGCKTCKSKQATPSQSTWPTLFSVHHVHGSRGALDSVDGSEAAADHGTSQRRRDAIATSRPANLGVVIPFLSRSKVAGAPSLRLPTAMEEAQVVQSKDGTISVASAFAGHQQAIQDRDHKFLTKAVEEAYRGVECGDGGPFGAVVVCNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKVHTSYFDCLAWRT >KQL26960 pep chromosome:Setaria_italica_v2.0:II:47133202:47134380:-1 gene:SETIT_032068mg transcript:KQL26960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPFCFSCSSPLLVVLFLLLLLRLSTPSLAWAAVSRTITVDRQGRGDFPTVQSAVDSVPDGNRDWIKIHVHAGSFWEKVTIPRRKGYILLEGHGSSTTDISFDANARAGIDQIMRRPNVGVDEHSPTMRSATFTVLADNFVARDISFKNAYRAQDVSKENQAVAALVGGDKSAFYGCEFHGFQDTLCDFKGRHYFRRCLVRGGVGFVFGSG >KQL24184 pep chromosome:Setaria_italica_v2.0:II:27233813:27237503:-1 gene:SETIT_031544mg transcript:KQL24184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILIYISLVVLLGLGALFVIPRSNCKGKGDAAHLAGSGKISRSYTKKEVSTHNTRKDCWIIIKDKVYNVTAYVEEHPGGDAILNNAGDDSTEGFFGPQHGTRVFDIIEDFCIGELRD >KQL24186 pep chromosome:Setaria_italica_v2.0:II:27234229:27236265:-1 gene:SETIT_031544mg transcript:KQL24186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILIYISLVVLLGLGALFVIPRSNCKGKGDAAHLAGSGKISRSYTKKEVSTHNTRKDCWIIIKDKVYNVTAYVEEHPGGDAILNNAGDDSTEGFFG >KQL24185 pep chromosome:Setaria_italica_v2.0:II:27233813:27236506:-1 gene:SETIT_031544mg transcript:KQL24185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILIYISLVVLLGLGALFVIPRSNCKGKGDAAHLAGSGKISRSYTKKEVSTHNTRKDCWIIIKDKVYNVTAYVEEHPGGDAILNNAGDDSTEGFFGPQHGTRVFDIIEDFCIGELRD >KQL24057 pep chromosome:Setaria_italica_v2.0:II:25304738:25304857:-1 gene:SETIT_032083mg transcript:KQL24057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGAHDLKLKGLKRALKQQKARLYIIRRCVAMLMTWHD >KQL24178 pep chromosome:Setaria_italica_v2.0:II:27126268:27128043:1 gene:SETIT_033416mg transcript:KQL24178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELARRTIIFVPFPGQGHVIPMLRLARILVDRGDISATVAVPDFIHRHMGQHSVPGVAFVSIPSGVQDDGDQPPGPPSFLHSLEHYMPAQLEAMLMAPHGTRAGRVSCLVIDLLASWAIPVAARCGLPVVGFWPAMLASYRTVAAIPELMDKGLISESDFNCNKDQNIADLDILPAKLNLRFQDLPWLISNSAVSQKSRLAFWLQIANRAKSLSYILVNSIPSEGGDSDKYDPPQGQEILPIGPVLLNDDSTKATAVWQTDQTCIDWLDQHSVGSVIYVSFGSWAAPMEPEKISGFAHGLEASGQPFLWALKNHPSWRAGLPDGYMEKVAGRGKIVSWAPQDDILKHEAVGCYITHCGWNSALEAVRHGVRMICYPINSDHFVNCAYMVNMLEIGILLVSSDSSDVKDCIERVIKGNEGRHLQQMVNKMRETITVGEAMCVAKRNLSLFMERMKSN >KQL25581 pep chromosome:Setaria_italica_v2.0:II:39147309:39151073:-1 gene:SETIT_033768mg transcript:KQL25581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMATISAPLAARSAPFGLLSHRQNGARARLALRGLQFASRGVASQQDKRKRCHSSLRLNTIVASENENPPLMPAIMTPAGPLDLATVLLGNRVIFIGQYINSQVAQRVISQLVTLAAVDEEADILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVAFGVVASQAAIILAGGEKGMRYAMPNTRVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >KQL25579 pep chromosome:Setaria_italica_v2.0:II:39146936:39147766:-1 gene:SETIT_033768mg transcript:KQL25579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCMQKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >KQL25580 pep chromosome:Setaria_italica_v2.0:II:39146936:39148731:-1 gene:SETIT_033768mg transcript:KQL25580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICDFFVYRNQIKPKVGTVAFGVVASQAAIILAGGEKGMRYAMPNTRVMIHQPQGGSEGNVEEVRRQVGETIYARDKVDKMFAAFTGQPLDMVQQWTERDRFMSSSEAMDFGLVDALLETRY >KQL25582 pep chromosome:Setaria_italica_v2.0:II:39146936:39151165:-1 gene:SETIT_033768mg transcript:KQL25582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMATISAPLAARSAPFGLLSHRQNGARARLALRGLQFASRGVASQQDKRKRCHSSLRLNTIVASENENPPLMPAIMTPAGPLDLATVLLGNRVIFIGQYINSQVAQRVISQLVTLAAVDEEADILIYLNCPGGSLYSILAIYDCMSWIKPKVGTVAFGVVASQAAIILAGGEKGMRYAMPNTRVMIHQPQGGSEGNVEEVRRQVGETIYARDLIKCLLPSRGNHWTWYNSGQRGIASCLLQRPWISD >KQL25702 pep chromosome:Setaria_italica_v2.0:II:39947759:39951009:-1 gene:SETIT_031141mg transcript:KQL25702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKATAATSWRWRLLLLLLVTVAALCWIPPAIAAAAAAAAASTANGARRSLLGFVEAQGNASYQCTPSGPCIPCQYSEKNDEKYSCSETGYRLPLKCVQAQNVTKEGNKGKQRKILDDASTSGGTKSTSGGTKSTSGGPKYYITYRSCVPLEGEEKLSVLGFEVIMAGMLLVSGPFVYYRKRRTNIMQGAARIPTSPPRF >KQL22848 pep chromosome:Setaria_italica_v2.0:II:5789149:5800188:1 gene:SETIT_028741mg transcript:KQL22848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASSLLSPHAPRRILPLLLPLRLSSSSSAASAPMPPRRDGTKPPPRKWKPKATDASFSSSSASAAAGIAEPVGRMTLASQEPPRAGAAQMWVPRGYATSAADAPGVASASTSASAAVTAEQGGAASGMLSNLFKVDNNTFTEAQIRATFYPKFENEKSDQETRTRMIEMVSHGLANLEVTLKHSGSLFMYAGHHGGAYAKNSFGNVYTAVGVFVLGRLFREAWGKEAPKMQAEFNDFLERNRISISMELVTAVLGDHGQRPKDDYAVVTAVTELGHGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSASSFFAAYDALCEEGTATPVCKALDEIADISAPGSKDHVKVQGEILEGLVARIVTRESSAQMEEVLRNFPQPPIDGVDLDLGPSLREICAANRSDEKQQIKALLENVGASMCPDFSDWFGHSGLDAQSKSTDKSVVPKFLQAHPTDYATKKLQEMIRLMKQRHFSAAFKCYLNYHKTDSLSNDNLCYRMVVHVHHDSVFKRYQQEMRASLAFYLDISFINSKRFFVDVNLFKATNKKAAELAKNGDALLKNINGAMDSSSSTVDGLADEDSNLMVKLKFLTYKLRTFLIRNGLSTLFKDGPLAYRTYYLRQMKIWGTSPSKQTELSRMLDEWAVYIRRKYGNKPLSSSTYLSEAEPFLEQYAKRSPSNQALIGAAGNLVQTENFLAILDAEKDEEGDLRAEHGAAPSSPASTSADVVPKTEGLIVFFPGIPGCAKSALCKEILDTPGGLGDNRPLHSLMGDLIKGRYWQKVADERRKKPARITLADKNAPNEEVWRQIEDMCGSTKAAAVPVVPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKASPNAGYVLLMFYHLYDGKSRREFENELYERFGSLVKMPLLKPDRAPLPGAVKAVLDEGISLFRLHQSRHGRVDPSKGSYAKEWTQWEQRLRVTLFGNADYINAIQVPFEFAVKEVLEQLKAVAKGDIKTPDTGKRKFGNIIFAAVRLTPADIVGLLRKVSEKDTAVNTFLNEIKLEDSLTKAHVTLAHKRGHGVAAVASYGVYQHQEVPVSFNALYYTDKMAALEAQLGAVNGEQIESRNEWPHATLWTAAGVAPKEANTLPQLAAEGKATRVPIEPPITISGVLDFY >KQL27025 pep chromosome:Setaria_italica_v2.0:II:47458246:47460505:-1 gene:SETIT_0286552mg transcript:KQL27025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKKFGNATQIDLIVDDVGGVLAKAPHEAQIELFDLLIDGCQEAVKENTDIKGTVLDFFGVAVKPYELLARVEELQFLAKRIARYKDPIKQYRIQLPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLGLTTKIAPATLGERETFLPRAPNLDNRASALLQKEYAKFSGKSSKAKAGARQTVNNDNSGGTRSMRGRQKDAQEKDDNKLNKDEIQKRKIVEAEAREEGEISESEAETKYRLDKEEKWLEWCSEVLDEEQETLKRLDRLQNTSLNLPKEKVLSRIRKYLQIIGDKIVEVVRQHSESYRQSRKSSRSSRMTMRLWNYVATFSNMSGEQLHDLYLKLSQDVEGGVGPSHGGNFTSNPPSKGGTSNQLHPSRNQRPTRSLQYTSESFHNNENSGSSEAWKRRRRADPDNQFDTQSLYQPPPMMTNGNRLQEPGSSAGILGWGPVEMRRYGNERPKRGVHPSHFPAGHGPLL >KQL27024 pep chromosome:Setaria_italica_v2.0:II:47457656:47460505:-1 gene:SETIT_0286552mg transcript:KQL27024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKKFGNATQIDLIVDDVGGVLAKAPHEAQIELFDLLIDGCQEAVKENTDIKGTVLDFFGVAVKPYELLARVEELQFLAKRIARYKDPIKQYRIQLPYKKPQWSASCGWTETDDARLMVGIHWYGYGNWEKIRLDPKLGLTTKIAPATLGERETFLPRAPNLDNRASALLQKEYAKFSGKSSKAKAGARQTVNNDNSGGTRSMRGRQKDAQEKDDNKLNKDEIQKRKIVEAEAREEGEISESEAETKYRLDKEEKWLEWCSEVLDEEQETLKRLDRLQNTSLNLPKEKVLSRIRKYLQIIGDKIVEVVRQHSESYRQSRMTMRLWNYVATFSNMSGEQLHDLYLKLSQDVEGGVGPSHGGNFTSNPPSKGGTSNQLHPSRNQRPTRSLQYTSESFHNNENSGSSEAWKRRRRADPDNQFDTQSLYQPPPMMTNGNRLQEPGSSAGILGWGPVEMRRYGNERPKRGVHPSHFPAGHGPLL >KQL24715 pep chromosome:Setaria_italica_v2.0:II:32537951:32539501:1 gene:SETIT_029516mg transcript:KQL24715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLSQMWSLLGLLTILQNVLPTQLLSLLHSLWQSLQDSLTPYSYFDVPEFLGSAAVEPNALYRHVQLYLHRSLLLSSPPPPRLTLSLPRSAVAASGASATAAAAPPSVSLSPNHSVADTFNGHRAVWTHHADTLQDSLEERRSFSLRLPKRHAAAVLPAYLAHLAAAADHLERSSRARRLHTNAASPRVAAAWASVPFCHPATFDTLALDPGLKARLLADLTAFAEGREFYRRTGRPWKRGYLLHGPPGSGKSSLIAAMANHLRYDVFDLELTRVATNADLRALLIQTTNRSLIVIEDIDCSLHLTGDRGLASERMHKRRKLHAAAYDDGDDSSDSDDDAGGNHRGKVTLSGLLNFTDGLWSCCGEERIIVFTTNHVDGIDPALLRPGRMDVHVRLDACGAHAMRELVQRYVGVGDHEMLDAAEGSIRSGAEMTPAEVGEVLLRNRDEPEMAVTELAAELKARRNAADDLQWEDSAAELSDGSPTKKGRKGLGWEGKVRILGRLRSLTKSDSGRR >KQL23609 pep chromosome:Setaria_italica_v2.0:II:15459651:15461888:1 gene:SETIT_031080mg transcript:KQL23609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQTEVLKDAISQVVSEAREKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPNRVF >KQL23610 pep chromosome:Setaria_italica_v2.0:II:15459599:15461888:1 gene:SETIT_031080mg transcript:KQL23610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQTEVLKDAISQVVSEAREKNRKFTETVELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPNRVF >KQL26327 pep chromosome:Setaria_italica_v2.0:II:43776861:43780324:-1 gene:SETIT_030125mg transcript:KQL26327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQPFKTSAFFVLAIAQSLGRTCSSVLKKGSRLKVVFLLVVATWGLLLFTAGPHEKHVQELLWYVRFGLWWIILGVASSIGLGSGLHTFVLYLGPHVALFTIKAVQCGRVDLKSAPYDTILLKRMPSWLDKDCLEFGAPIYQETIPFSKILQKVYLEAVLWGIGTALGELPPYFLSRAASMSGRRIDELEDFNAPVSEGFLSSTLHRAKQWIMSHLSFAVILLLASVPNPLFDLAGILCGQFNIPFWKFFLATLIGKAIVKVCLQTTLVITLCNNQLFDLVEKRLIWAFGIVPGVASVVPSLVAKLKTAKDKFLSAHVAASASIAVKGKWNLSFTLIWNTVVWLMVINFIIQIVTSSAQGYLRTQQQLEISKKLSETKLSASEPSSR >KQL27005 pep chromosome:Setaria_italica_v2.0:II:47334583:47342362:1 gene:SETIT_028896mg transcript:KQL27005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATAPGSAERQARGGAVSASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRSGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDVYEGAIPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDKRLQEYEQGRTEFAPEEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAFRLEIKESRINSMRAAVSETFPEPNRRLLQRILRMMHTIASHTAENRMTPSAVAACMAPLLLRPLLAGECEMEDDFDMNNDSAAQLIAAANAANSAQGIVTTLLEEYESIFNDEHLRCSLSPDSQTGDSGSEESTDDETVDIKDNSFHDAENDVDQDLDDAERILSGKLSETSAGTRGDVYDYKEVNGNDSDAEHSVEDNALESSIDVNDAPLSHSTENGSMRVQQSSNEKDPSNRVSSHETPLSMGEILLSLDAGIPLPGPGAEYSKDRHSNKPNGTQQHVKRSNLWGRNNARKGHQSDLVDPSGEEELAIQRLEVTKNDLQIRIAKEARGNAILQASLERRKQALHERRVALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSSADANDRYEHLPSHLSQNIVQPGFDRSIAFCNQEKKQRNEESLPSSSHWRSIKQHVLLHGSSRPFSRKHSLDASLSDSRDASTSVPAEGGSTLLNIPRTTEGVEYGRPPAVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASHGFPYKPSSPWNSPR >KQL27004 pep chromosome:Setaria_italica_v2.0:II:47334583:47342362:1 gene:SETIT_028896mg transcript:KQL27004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATAPGSAERQARGGAVSASGNAVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPSTLPQRSGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTTDVYEGAIPNWREKRPIKSLVVGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDKRLQEYEQGRTEFAPEEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAFRLEIKESRINSMRAAVSETFPEPNRRLLQRILRMMHTIASHTAENRMTPSAVAACMAPLLLRPLLAGECEMEDDFDMNNDSAAQLIAAANAANSAQGIVTTLLEEYESIFNDEHLRCSLSPDSQTGDSGSEESTDDETVDIKDNSFHDAENDVDQDLDDAERILSGKLSETSAGTRGDVYDYKEVNGNDSDAEHSVEDNALESSIDVNDAPLSHSTENGSMRVQQSSNEKDPSNRVSSHETPLSMGEILLSLDAGIPLPGPGAEYSKDRHSNKPNGTQQHVKRSNLWGRNNARKGHQSDLVDPSGEEELAIQRLEVTKNDLQIRIAKEARGNAILQASLERRKQALHERRVALEQDVSRLQEQLQAERDLRAALEVGLSMSSSQFSSSRSMDSKTKAELEEIALAEADVARLKQKVAELHLQLNQQRQHQYGSSADANDRYEHLPSHLSQNIVQPGFDRSIAFCNQEKKQRNEESLPSSSHWRSIKQHVLLHGSSRPFSRKHSLDASLSDSRDASTSVPAEGGSTLLNIPRTTEQGVEYGRPPAVPSSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASHGFPYKPSSPWNSPR >KQL22129 pep chromosome:Setaria_italica_v2.0:II:99620:101638:-1 gene:SETIT_029198mg transcript:KQL22129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAAALLPSPGPARQSPAGGQHATLTADRAAALLAGCASARRASELHAAAVRAGVDRDKAVDFRLQRAYAASGRLDLAVALLRRAPDPTAVFYTSAIHAHSSRGLHLPALALLSDMLSRGLLPTAHTLSASLPACNGLAFGRALHGYAVKLALSGDSYVATALLGMYARAGDAAAARALFDEMQPEPHVVSVTAMLTCYTKMGALDDARSLFDGLPRKDFICWNAMIDGYTQHGRPNEALRLFRRMLRSDVEPDEVSVVLALSAVAQLGMTESGRWLHSFVKNSPRVRLNARVGTALIDMYYKCGSLEDAVAVFNGLNDGKDIVVWNAMINGYAMHGHSRKAIEMFSQLRAQGLWPTDITFIGVLNACSHSGLVDEGRKLFKSMEQEYGIEPKIEHYGCMVDLLGRAGLIEEAFDLVQSMKKVKPDAVMWVSLLAACRLHKNMALGQRIADYLVANGLANSGMYVLLSNIYAAVGNWREVGRVRSMMKASGIQKEPGCSAIEVGRRVSEFVAGDTSHPRTDEIYAKLEEVMSVVVREHGHVPQTELVLHDLDEAAKEKALAVHSEKLAVAFGLISTPPGETIKIVKNLRACADCHAVMKLVSKITGRRIVFRDRNRFHHFVDGACSCGDYW >KQL22841 pep chromosome:Setaria_italica_v2.0:II:5756185:5759368:-1 gene:SETIT_032627mg transcript:KQL22841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVYIVYLGHLPTPPDLSGSEDGVSAADEFAHHDLLNQVLDESSSASDRILRSYRRSLNGFAARLTEQEAHELSSMDGVVSVFPSETYEPLTTRSWDFLGFPQTPKEELPLEGEIIVGMLDTGIWPDSPSFSDDGFGPPPSRWKGVCQNFTCNNKIIGARAYRGGSSDGLSPLDDEGHGSHTASTVAGRSVGNVSFGGLAAGVARGAVPGARLAVYKVCWDRGCGSADILAAFDDAVADGVDVISFSIGSSDPREYFRDAQAIGSFHAMRRGVLTSASAGNSGMLGGHVCNVAPWMLSVAASSIDRRFVDKIVLGNGETIVGASINTFPTLTNATLAFPAGGSCDPDNLSGGSYRGKIVLCPPQNNGRPNDGSGPLSAGAAGVVIVTRSPDVAFVLPLPGLTVTQDEFDQIMAYVNSTSNAVATIHRTETTANPPAPVAASFSSPGPNLISSGILKPDISAPGIDIIASWSMLSSPTGNPNRKVLYNIISGTSMACPHASGAAAYVKSHHRDWSPAMIMSALITTATPMNTAGTSNSTELKYGAGQLSPAKARDPGLVYDASESDYVAMLCSHGYNATQLALVTGYATACAAGGAAAGSSSDLNYPTMAIRVAPRKNFTVSFPRTVTNVGAAGDAYDVKVVIPIEAAKVFAAVVVSPVKLEFSALSQKISFTVTVSGVAPAEGQAHSAAVVWYNDDHQVRSPLVVYTV >KQL27054 pep chromosome:Setaria_italica_v2.0:II:47605629:47606464:1 gene:SETIT_032970mg transcript:KQL27054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGGDVVVTSRSQARGRKQRQTPSLPFDVLLEIAARSDPATLIRCATTCRDARRRIADGPSFRGRLRLRHTDRFVLPLLRGHLTGPEFCFGADIGEDAEDREDLYLVDTSAADATRLAKVTWGLSSDLQFPLGPSFDGSYVLLVGDGEGGAAVGRPFQVLKAKLVLSGYNRSARCLRIQTVSSEHGRTAGPLVVGDVVHWLCLTDAGSYVLMLHVGAARAT >KQL24117 pep chromosome:Setaria_italica_v2.0:II:26334143:26335815:-1 gene:SETIT_032444mg transcript:KQL24117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGPPLLRRIAPLLNGRIRANHRLLAFSSVVTAAAETTSSAEPEAVVMTENRIRRLKELHAKEPCNKGKMLRLCVEAGGCSGFQYAFLPDDKTNADDRVFEKDGAKLVVDNVSYEFVKGATVDYVEELIRAAFLVSANPSAIGGCSCKSSFNVK >KQL23233 pep chromosome:Setaria_italica_v2.0:II:9985315:9985468:-1 gene:SETIT_032879mg transcript:KQL23233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPVALHMDELVEEILLRFPPDDPARLLNAALVCKRWCRLITDCGFHHRF >KQL26834 pep chromosome:Setaria_italica_v2.0:II:46529103:46530805:-1 gene:SETIT_030747mg transcript:KQL26834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYAAGAKFDCLLFDMDDTLYPLSLGINLACRKNIQGYMLNKLQIEESQVPKMCLDLYKEYGTTMAGLKVLGYDFDYDDFHACVHGALPYEKLKPDPVLRQLLLSLPQRKIIFTNSDKAHAARVLEKLGLEDCFEGIICFETLNPSTQQDANDQNQKNAGEADTDGGSRAGSDDPAPPRRGILCKPSLESMEAVIEIAKLDAKRTVFFDDSARNIASGKAAGFHTVVVGTSALVPGADVALESIHNIKEALPELWDAAGEHVEAVLRPAAVETTVLA >KQL24782 pep chromosome:Setaria_italica_v2.0:II:33143152:33144120:-1 gene:SETIT_031940mg transcript:KQL24782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGGGEVVYSCAYFRLYKDGHVDRGGVADTAPAGFDAETGVTSKDVAIDAATGVAARLYLPPAVQPATATAAAAAKLPVLVFFHGGYFVVGSPYHPLFHRYVNSLAAAARVVAVSVRYRLAPEHRLPAAYDDSWAALSWVASGADPWLADHGDLGRIFLSGVSAGANIAHNMAIAAGVSGLRAGTGTPARIEGVILLHPSFASEQKMEGEDEEFWQSNKDRWAVIFPGADGGLDDPSINPMAAGAPSLAKLAGERLLVSTASEDPRAPRGRAGWRGELECFESQGGHGFFVPDHGSREAAKLMDRVVDFLGGH >KQL22143 pep chromosome:Setaria_italica_v2.0:II:172313:186150:1 gene:SETIT_028658mg transcript:KQL22143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGAAGAGGGTRAGFERACRLPNTVHSEIAARVAGSPPSRLALGFDDLRDDEPLAAPDRPDMIMQAANIARILAETDVSHLGFTEADNIDVDPSQCSWLWMEVLKHNPDAFKVKAPAPPPSLQGPHEGPEYQNQSEMHFEYLTSNMNKARKEPVFPLDDINSRREHLRNELTPDSVASKKPKVRKKENGNSVSSSGPSIPNSQEVIANFCEMVEDFCGRVEIPDDADGGDWLSIPLNDVKVLVNEITSVRSKRILHEVPMDTVTRLLHVIDRQIRCSQGLSIDEKENPDAEPMVFSALESVHAALAIMTHHDMPKQLYREKYAHAEFFFPSCCEMKLCLVLGFLKELLTTVRLSDSCILQLAKTCFTTFLVDNMQLLQLKAIGVIGTVFSSYTQHRSYLVDETIVLLRKLQFSRNAVRTYHLADEEQKQIQMITALLVHLVQFSAIVPDSLKGTVDWSTIIDAPVDASYPIKCHEAATEACCLFWTSVLQRFTAAKSQDMSEAKGIIDNLVQDLLTILNLPEYPAAASVLEVLCVLLLQNAGLKSKDTNARCFAIDLLGGIASRLKRDSVTCSEEKLWILQELTDAGSDGSKILKNKCCVCLGGRGINIACDVCGRCFHSDCMGASSQDNLQHDSVCPLCFCKQQLSVLQSYCQLQTKENGKRTAASVSKKSAAPSEVPALDIVQQILLSYLQEAGPQDDGNLFTRWFYLCIWNKDDPHSQEKIIYYLARLKSKEILRDSGNGLVISRDWAKKICLALGQKNSFSRGFDKILALLLASLRENSPVIRAKALRAVSSIVEADPEVLGDKRVQSAVEGRFCDSAISVREAALELVGRHIASHPDVGLKYIEKVAERIKDTGVSVRKRAIKIIRDLCASNPNTDTTHAFVEIISRVNDEESSVQDLVCKTFHELWFEEPTGSHKHLVADGSSVPMEIAKKTEQIVEMLRKMPNHQPLITIIKRNLTLDFLPQSTKATGINLSMVASLRKRCELICKRLLERILQVEEGAANEMEIHALPYIIALQAFCIVDPTLCIPVTDPSKFVVTLQPYLKIQIDNKSAAQLLESIIFVIDAVLPLIRKPPQTVVVELEQDLKQMIVRHSYLTVVHACIKCLCSLSKSAGRGPGLLEYLVNVFYKHLSGTNTDSQLLGRSLFCLGLLLRYGYQLMLTSENQLDFPKIINLLQRRYLLRDDFNLKVRALQTLGYILIAKPEFMLQKEIMNLIEATLSSAVDHRLKIQGLQNLYEYLRDAESQLTAESTGKPPVQSAINGGSEVPVAAGAGDTNICGGIIQLYWSSILERCLDTNDQVRQSALKIVEVVLRQGLVHPITCVPHLIALEMDPLEGNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFIFFETTVSNHKLAANVKSNPIAFVKPGITRIYRLIRANRNSRNKFVHSIVRKFEPDGRNRSTVSFLVYCAEVLASLPFTCPDEPLYLIYDINRVIHLRAGAIEANLKRWTSMDQPQDAAGMATLPGESHVVMHEPGGYYDHNEGYIPVRVNNNPCSTSDVDMAKVQEDCHDAIALQLLLKLKRHLKIVYSLTDARCQAFSLKEPPKTGETLSKQNVPFNIGNNNISLPSCLQDVASVYQDFKTVLREDSMDFGMYTPSVQRKRPTPRSTSRVRRTAATSVTRARGGGRGGDDDDTDDDDWTGGPRVLDFSAQASNGGRVTRQRVQV >KQL22229 pep chromosome:Setaria_italica_v2.0:II:740531:743295:-1 gene:SETIT_032604mg transcript:KQL22229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLNTPVDPIGMEGPKTELVRCLKPEGGDVEERQLKVVSILGVEGVGKSTLAQELWPSKKPNMRRILRSILLQVRPNQQPPEACQVPNLIRNITKHLQDKRYFIILDDLWAVSVWDTVSRAFPESNCCSRIVTTTTIKDVALACCSYNPEHIFKMKSLSHDHSIGLFTRTVFGSGKECPQKFHDVSDEITGKCGGLPLAITCIAALVASQQETVHHWECIQKFLRQNLRTKPTSVEILKQVLNLCYSSLPRCLKTCLLYLSVYPENYLILKEDLVKQWIAEGFVCAMEQKDIPQVASRYFDQLVSLGLIQRIDMISCDKKGLPYVVHPTVFEFITCKSIEDNFITIIDYSQSTIALTEKVRRLSLHFGSATYATIPESIRPLQVRSLSFMGLLNCMPSLEDFKLVRVIILHVVVDSGDTSFSLTGIHGLLMLRYLQVRCNVTVELPDQIRCLKHLETLEIHARVAAVPSDIVNLPRLRHLHIRTGRKRYCYHHKHLTPKYDPLEKKNWVQEMELSNEQPDAVKIQHEERRKDLSNDQPRVLGKMPWGDDLRSRKHARRMYG >KQL23452 pep chromosome:Setaria_italica_v2.0:II:13414778:13415017:-1 gene:SETIT_032089mg transcript:KQL23452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLVARARLFHLQGFTHFNWNVARFLHLYFIIYNYTFIEAFLSTCDIVIRNLLLSCSIVGSGGNEYKEVTSKLQEQIH >KQL25141 pep chromosome:Setaria_italica_v2.0:II:36256952:36262351:1 gene:SETIT_029789mg transcript:KQL25141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITRRLFLAAAAAALLLLVAGPAAAQDAAVEGVAPAAEEIAANARAKEAAVLTAELGKLRAKISALESRIADQTLELKTKDDAIETLDMIIKEKSQEITAMQNEVTSLQAKGSLAAEEQASKANARAVELEKQIEKLKKDITAQKGKKAALEARVGDADKKVQELNTKLEKLQRTSDDQKRRIQKTEHALKVAEEELMKVQLETTTKAKQLKEVHGAWLPPWLATHAAHSMEVMSNHWNEHGKPAVNSLLQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKMVNLKKNMEPYVQMVSEKSVEVYQTSSDFIRPHLVNAHKVADPYFQEAKKLSKPYIDQIATATKPHVEKIGTTMKPYTKRARHVYGQFRETAATYHHQAQESISDYLHQHEFTKQFATEELVWYLAAALLVMPLFVLYTLVTETFWFVFSFLNVEIVLVACYNGMALYELTLFAT >KQL25139 pep chromosome:Setaria_italica_v2.0:II:36256952:36262351:1 gene:SETIT_029789mg transcript:KQL25139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITRRLFLAAAAAALLLLVAGPAAAQDAAVEGVAPAAEEIAANARAKEAAVLTAELGKLRAKISALESRIADQTLELKTKDDAIETLDMIIKEKSQEITAMQNEVTSLQAKGSLAAEEQASKANARAVELEKQIEKLKKDITAQKGKKAALEARVGDADKKVQELNTKLEKLQRTSDDQKRRIQKTEHALKVAEEELMKVQLETTTKAKQLKEVHGAWLPPWLATHAAHSMEVMSNHWNEHGKPAVNSLLQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKMVNLKKNMEPYVQMVSEKSVEVYQTSSDFIRPHLVNAHKVADPYFQEAKKLSKPYIDQIATATKPHVEKIGTTMKPYTKRARHVYGQFRETAATYHHQAQESISDYLHQHEFTKQFATEELVWYLAAALLVMPLFVLYTLVTETFCTKKLKKTPRSSNANHGHRRHKRRHADK >KQL25140 pep chromosome:Setaria_italica_v2.0:II:36256952:36262351:1 gene:SETIT_029789mg transcript:KQL25140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRITRRLFLAAAAAALLLLVAGPAAAQDAAVEGVAPAAEEIAANARAKEAAVLTAELGKLRAKISALESRIADQTLELKTKDDAIETLDMIIKEKSQEITAMQNEVTSLQAKGSLAAEEQASKANARAVELEKQIEKLKKDITAQKGKKAALEARVGDADKKVQELNTKLEKLQRTSDDQKRRIQKTEHALKVAEEELMKVQLETTTKAKQLKEVHGAWLPPWLATHAAHSMEVMSNHWNEHGKPAVNSLLQKASEKSAQAKKWAEPHLETAKTKWMPVAKEKMVNLKKNMEPYVQMVSEKSVEVYQTSSDFIRPHLVNAHKVADPYFQEAKKLSKPYIDQIATATKPHVEKIGTTMKPYTKRARHVYGQFRETAATYHHQYQEAEENPTEQ >KQL22689 pep chromosome:Setaria_italica_v2.0:II:4634167:4635423:1 gene:SETIT_031029mg transcript:KQL22689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLDMGAQVPLEQIVQLCRQLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEALELQGVSSSFSDKISEEICNSAAKMANGLGADAVFVFTKTGHMASLLSRCRPDCPVFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >KQL24718 pep chromosome:Setaria_italica_v2.0:II:32544860:32545530:-1 gene:SETIT_031671mg transcript:KQL24718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGSERPYAFATPSTVPEGFSRGSGTGPTAAATDSSGRSSFSGAPAKSRKPPFRPAADDTKPVLRDPISRSDPVETQAVLRLPPFP >KQL24719 pep chromosome:Setaria_italica_v2.0:II:32544155:32545530:-1 gene:SETIT_031671mg transcript:KQL24719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGSERPYAFATPSTVPEGFSRGSGTGPTAAATDSSGRSSFSGAPAKSRKPPFRPAADDTKPVLRDPISRSDPVETQAVLRLPPFP >KQL26440 pep chromosome:Setaria_italica_v2.0:II:44380240:44383179:1 gene:SETIT_031578mg transcript:KQL26440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFVESLPGPKVFKCKHCGVDSASPDAIVSKEFRGRHGRAYLFDSVVNVSFGPNEDRILMTGMHIVNDIYCSCCQRLLGWRYEKAYNEDQKYKEGKYILEKSMMVKE >KQL25382 pep chromosome:Setaria_italica_v2.0:II:37725487:37726395:-1 gene:SETIT_030869mg transcript:KQL25382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGRLELSPSSSSTSASSSSSDHNSKAVWSPSSSSSPQPAKKRPAGRTKFRETRHPVFRGVRRRGAAGRWVCEVRVPGNRGARLWLGTYVAAESAARAHDAAMLALGRGRSGPGCLNFPDSAWLLAVSPPSALSGLDDARRAALEAVADFQRRFEAAASGAAVDEVTSRVSAPPPLPDIVSGSAATSLEQVPVKADEPAALDVEAFEPDWFGDMDLDTYYASLAEGLLMEPPPPAAAWEHGDFGDGGADVALWSY >KQL26365 pep chromosome:Setaria_italica_v2.0:II:43980550:43983542:-1 gene:SETIT_032015mg transcript:KQL26365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESDDISQKDVESLDLRSLSNTAVTSSLSATGGPKGKNTWKLKSIVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEFLKCLLSLVALYRTWNSQGVTEDNRLTTSFDEVSVYPIPAILYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFILLCAGCTTAQLNPSSDHVLQTPIQGWMMAIVMSLLSGFAGVYTESIIKKRPSRNINVQNFWLYIFGMVFNLVAICVQDFGAVINKGFFHGYSFITVSMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFSFHLSLAFFLGSTVVSVSVYLHSVGKPQQQK >KQL23163 pep chromosome:Setaria_italica_v2.0:II:8822764:8823957:1 gene:SETIT_031609mg transcript:KQL23163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSCLNRSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRSGGGATAAPLFSRIHTSLISVWRAISRAQVEVRPQWENGAPNNASSQTKNYEITPFILG >KQL26516 pep chromosome:Setaria_italica_v2.0:II:44850517:44851938:1 gene:SETIT_030472mg transcript:KQL26516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRGAGGGVRLPPMNALEILRETVRVLRGDPHAFTSILFLLLCPASGCLLLSAAALDGAVVLPLARRLLVAGAASGLPLTHFVRQLAHHIAATLVSAVVSFPALLTLLLAARAAVAYSVAAVYAGKPLAAGDITLLARRAWPRLAATYALGCAAVAAGLVAFFALLVTACSTLKAMLYPPDIVVCAGLFTVLAYSVVYAHTIIICNLGGVIAVLEEVAGINALRRSVQLMRGQTHVGLLIFLGSTIGLAFVEGLFEHRVKTLSYGDGSSRLWEGPLLVLMYSFVMLIDSMMSAVFYFTCRSSSLDFLDEEGASVEELEMMRAGNSDAVR >KQL22362 pep chromosome:Setaria_italica_v2.0:II:2032667:2033652:1 gene:SETIT_031454mg transcript:KQL22362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGRENEPPEIDPRAEDKELKYQLLKKYSGYLSSLRQEFSKKKKKGKLPKEARQKLLHWWELHYKWPYPSETEKIALAESTGLDQKQINNWFINQRKRHWKPSEDMPFVMMEGFHPQNAAALYMDGTFMADGMYRLGS >KQL26398 pep chromosome:Setaria_italica_v2.0:II:44115096:44117976:1 gene:SETIT_031202mg transcript:KQL26398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSFPTSRFPFAAGAGAPGGGGGGGGGGSSVRPWGSSGGTSVSSSGKRIQKELLDLNASDCSAGPKGDNLYHWLSTIIGPQGSPYEGGIFFLDIVFPPDYPFKPPMVTFKTRIYHCNVDSAGKVHLDILKDGWSPAFTISKVLLAIKDIIGNPDPYTPLVMSISRQYLTDRTKHDEIAAEWTMRFAR >KQL26427 pep chromosome:Setaria_italica_v2.0:II:44331630:44333258:-1 gene:SETIT_029551mg transcript:KQL26427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKLEEAVEPKKKSNVKYASFCAILASMASIILGYDIGVMSGAALYIKKDLKITDVQLEILMGILNVYSLIGSFAAGRTSDWIGRRFTVVFAAAIFFAGALLMGFAVNYAMLMAGRFVAGVGVGYAIMIAPVYTAEISPAAARGFLTSFPEVFINIGILLGYVSNYAFARLPLHLSWRVMLGIGAVPSALLALMVFGMPESPRWLVMKGRLADARAVLEKTCDTPEEAAERLTDIKAAAGIPKELDGDVVTVPKERNGETQVWKELILSPTPAVRRILLSAVGLHFFQQASGIDSVVLYSPLVFKSAGITDDNKLLGTTCAVGVTKTLFILVATFLLDRAGRRPLLLTSTGGMVISLIGLGTGLTVVGHHPDAKIPWAVALCILSILAYVSFFSIGLGPMASVYTSEIFPLRVRALGFAVGVASNRVTSGVISMTFLSLSKAITIGGSFFLYSGIAVLAWVFFFTYLRETRGRTLEEMGKLFGMEDTDMAGEENAAAKEKTVEMRTS >KQL26428 pep chromosome:Setaria_italica_v2.0:II:44331321:44333363:-1 gene:SETIT_029551mg transcript:KQL26428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAALYIKKDLKITDVQLEILMGILNVYSLIGSFAAGRTSDWIGRRFTVVFAAAIFFAGALLMGFAVNYAMLMAGRFVAGVGVGYAIMIAPVYTAEISPAAARGFLTSFPEVFINIGILLGYVSNYAFARLPLHLSWRVMLGIGAVPSALLALMVFGMPESPRWLVMKGRLADARAVLEKTCDTPEEAAERLTDIKAAAGIPKELDGDVVTVPKERNGETQVWKELILSPTPAVRRILLSAVGLHFFQQASGIDSVVLYSPLVFKSAGITDDNKLLGTTCAVGVTKTLFILVATFLLDRAGRRPLLLTSTGGMVISLIGLGTGLTVVGHHPDAKIPWAVALCILSILAYVSFFSIGLGPMASVYTSEIFPLRVRALGFAVGVASNRVTSGVISMTFLSLSKAITIGGSFFLYSGIAVLAWVFFFTYLRETRGRTLEEMGKLFGMEDTDMAGEENAAAKEKTVEMRTS >KQL24334 pep chromosome:Setaria_italica_v2.0:II:28997284:29002349:1 gene:SETIT_029298mg transcript:KQL24334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASHKPLGAITADDLAAAAPGVDAAALHAALRRALDSRAGAGDPAAVWGELCRSLLRPDVPFAVHRMLYYGCFAGFPSPTPPAWTPDPKEAALTNVGRVMEVRGRELLGDAYKDPITSFPDLYKFSNENPEAYWRMVFEDMGVEFSVEPSCIWRESDAYPGGEWLPGAELNAAANCLNAKPGRSSQDVAIVWRDEGKDSEPLNFMTLEELRKKVCLVANALDALDLPKGSAIAIDMPMNVNAVVIYLAIVLAGYVVVSIADSFAAPAISTRLKISEAKAIFTQDYILRDDKELPLYSRVVEAKAPMAIVIPVRGSLPIKGLRVSDLSWQDFLGRVNDTKVENYAAVKQPAYAFTNILFSSGTTGEPKAIPWTHITPLKAAADGWCHMDIRKGDVVVWPTNLGWMMGPWLVYASLLNGASMALYNGSPNSSGFAKFVQDAKVTMLGLVPSIARTWKNTDCTAGFDWSAIRCFSSSGEASSVDDYLWLMGRACYKPVIEYCGGTEIGGGFVAGSLLQPQALSAFSTPAMGCNLFILDGSGNPLPQDSVGIGELALDPVLFGSSTTLLNADHQEVYFNGMPEWNGKVCMVFLACF >KQL23059 pep chromosome:Setaria_italica_v2.0:II:8144904:8146427:-1 gene:SETIT_031105mg transcript:KQL23059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPYRDPSALAPAPAPVAVVSPQFCAPRTVPLTVTKMAMSFSGGDFTVTGADGAVVLRVEGVCFSVGNRRVLHDAAGRPILTMQQKVFSMHDKWKVFRGDSTSSRDLLFTVKKTSIFQLKTSLGVFLARNTSEQVCDFKIKGSYFERSCAFYLGNSNAMVAQMKRKFTVSNVLLGKDTYCVTVFPNVDHVFIAALAVILDKVHRDRSK >KQL23228 pep chromosome:Setaria_italica_v2.0:II:9924741:9924993:1 gene:SETIT_0308782mg transcript:KQL23228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRGELGRQLPLRGPLKALEADIHHANA >KQL23396 pep chromosome:Setaria_italica_v2.0:II:12034467:12039280:1 gene:SETIT_033345mg transcript:KQL23396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGGGVIQGTASEAVLVVLLAARDRTLRKHGKTSLEKLVVYASDQTHSSLQKACQIAGIFPENVRVVKADCNKNYAVAPEAIFEAISTDLSSVLIPFFICATVGTTSSSAVDPLPELGQIAKAHDMWLHVDAAYAGSACICPEYRHHLNGIEEADSFNMNAHKWFLTNFDCSLLWVKDRSYLIQSLSTNPEYLKNKASQANSVLDFKDWQIPLGRRFRSLKLWMVLRLYGVENLQSYIRKHIGLAKYFEELVISDSRFEVVTPRTFSLVCFRLLPLASDQDNGYKLNYGLMDAANSSGKIFISHTVLSGKFILRFVVGAPLTEEQHVFAAWKVLQDLATKQLGSS >KQL23562 pep chromosome:Setaria_italica_v2.0:II:15058132:15060878:-1 gene:SETIT_029845mg transcript:KQL23562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAAAVTLRRVLLASAALRLALVVFGEWQDAHLEVRYTDVDYLVFSDAAASVAAGGSPFARATYRYSPLLAFLLLPNSLLHAAWGKLLFSAADLLVGLFIDTILKLRGIPEKMRMWSVIAWLFNPFTFTIGTRGNCEPIVCAAILWILICLMKGRVFQAAFWYGLIVHFRIYPIIYAIPFVIVLGKSYAGSSGRPTLTLWRSEQHLQNDKSSQREGPTSFLATLWDFLSNFITRNAILFGLLSGSMFFVWTGVFFYLYGWEFLNEALLYHLTRTDPRHNFSIYFYHIYLHHQQGFSSIQRLASFLPQLIVQLALILRFCRDLPFCMFLQTVAFVAFNKVMTAQYFVWFFCLLPLILPWSSMKLKWKGLACMLVWMGSQLHWLMWAYLLEFKGRNVFVQLWLAGLVFLAANTFVMLMVIKHHKYTPLFSTPVKPGSKVATKKE >KQL22348 pep chromosome:Setaria_italica_v2.0:II:1902506:1903630:-1 gene:SETIT_033203mg transcript:KQL22348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELVGEILLRLPPDEPEHLFRAALVCKPWLRVLCDPAFRRRYRVFHGAPPLLGLLHRLQVLQGLPPDPAMDLLVWDPVTGDKHGLLDPDIDWLIYSASVFCATDGCDHLDCHGGPFRVVFVATHDYKDDIFASVYSSETGAWSTPVSLDRSSEAYIQHMRDGLAVRSDYLPYLQPRRGTLVGDAIYHTIRLDNTIVKYEWGQNCLSLIKPPSPDEDDIALMAMEDGSLGFACIEGSSLNLWSRKVVGSAEGVGVIFLSTDVGLFTIELKSGRVRKVDGPGVYFSVLPYMASTLQLLYVC >KQL26543 pep chromosome:Setaria_italica_v2.0:II:44984328:44987943:1 gene:SETIT_030976mg transcript:KQL26543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANPPPPPPGSSSSAPAGASYFPLPFHLQQHQPQPQPQMPPPIAASSYQQYQQQLHQAHQLFQRDAQTITPEALLSVKAALATSDVLDPAVAASARPSDASTSKKPIPRRAAGQSWEDPTLTDWPENDYRLFCGDLGNEVNDDVLSKAFSRFPYFNMARVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVEALERQKNHVQRKPKMPKKGILHK >KQL26913 pep chromosome:Setaria_italica_v2.0:II:46913759:46914754:1 gene:SETIT_032545mg transcript:KQL26913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPWTVSIQTAAYAIAHRLDGSIRRSLFSIGDLKKAPRPNASEVRSADITIDASRGISARVFSPSTAAGDTTPLPVVVFFHGGGFALFSAASRPYDTLCRRLCRGVGAVVVSVNYRLVPEHRFPAAYEDGVAALQYLDGIAVPADLAPVPVDLSSCFLAGDSSGGNMVHHVAQRWAAMSAASPPLRLRLAGAIMIQPFFGGEERTAAEMIFDKACRILTIARADHYWREFLPEGATRDHPAARVCGDGVEIAEAFPPAMVVVGGFDLLKDWHTRYVETLRRKGKVVSVVEYPDAFHGFYAFPELADSRKFVEDMRLFVDEHRSKRSLLV >KQL26986 pep chromosome:Setaria_italica_v2.0:II:47267982:47268341:-1 gene:SETIT_033306mg transcript:KQL26986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEIPLNFSPLPANPSPPWGYQLLSTRQQAVA >KQL23330 pep chromosome:Setaria_italica_v2.0:II:10919674:10920090:1 gene:SETIT_033101mg transcript:KQL23330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APPLLLPSITLSLLLLLLLLVASPRGAAAAPNTEALSVLCNGATYGAGDPFAASLAYVLSELVSETPAAHGGFYDISPYPAAFAYGHAACRPSTAAADCAACLRSAVSQMGATCGRSVGARAVLVDCSVRYEQYPFVD >KQL25048 pep chromosome:Setaria_italica_v2.0:II:35593488:35593916:1 gene:SETIT_032141mg transcript:KQL25048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YVPKTNRVTVLQSGITYPNGLAISTDRTHLFVALTGPCKLLRYWIKGPKAGTSEPLADVPGYPDNVRADGKGGFWVALHREKMELPFGPDSHLLAVRINAYGKVVQVMRGPKSVRPTEVVEREGGKLYMGSVELPYVAVVSE >KQL27058 pep chromosome:Setaria_italica_v2.0:II:47629079:47630805:1 gene:SETIT_032238mg transcript:KQL27058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVRAVQQALIRQEKSRAGPALPGVASRFTTAADSQRLAGKVAVITGAASGIGAATAREFVRNGAKIVAAAVDLAVARHGRLDVVFNNAGVVGSLSRPALVALDLADLDRVMAVNARGVVAGVKHAARVMVPARRGRSIIFKINTNIICTASVVGVLGGVTPHPYSVSKAAVIGLVRAVAGELARSGVRVNAISPNYIATPLVMRILEEWGVAGAGGRGEGGAVPGVRRVQDSKYVNGHNLVVDCGFTVGKAPNMPASAAWPLSRRVEWVRGGFACTVPES >KQL22152 pep chromosome:Setaria_italica_v2.0:II:258585:259409:-1 gene:SETIT_032367mg transcript:KQL22152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRNPVVPRRTVSVAGCPVQTTVTARPAVARRWLYTTLWRQRRRLHHPAGGLTVGLGVQWTPPSRRLPAGVEPRPGTLQLCCGNRCLVFQLARVGGGGAVPQILRRFLADARVTFAAYYVASDCRKLRAHHGLEVESTLELCGAGGTGRASMADMADRLLGIRHGMGKPMKLSRSRWDGAKLSMEQVRYAAIDAYISCRLGVHLRRRAAVHVADQDDESEAGYSDDDVRSATSEASEEPEYEHGGWGRFVGFIERVSGDQQDWEASVDDHVYD >KQL25148 pep chromosome:Setaria_italica_v2.0:II:36295780:36296427:1 gene:SETIT_031848mg transcript:KQL25148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAERDSANGLQLKFSERGRGDQIRRGKLKYRRLASQFVQLKTLGNLEEKP >KQL22861 pep chromosome:Setaria_italica_v2.0:II:5923231:5928147:1 gene:SETIT_029495mg transcript:KQL22861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTKGGKVMNPTDAFRKEQRKKELKRNKKERKKVRDVGILKKDPEAIREQIEKLEKMKADGALDKARKHKKRQLEDTYNLIVKKRKEYEQKMKEKGEQPVMFGHLGPPKRRPAAEEEDRANPKPEDSVYYHPTLNPSGAPPPGKPPMYKSSIGPRIPLPSSSNAGASSSMSESEAGPSTLPPPPPPPPLPATSESIDPSAPPFPLPPLPPPPPPPPKPVSDSALPSLPPPPPPPPGPPPRELVSGHTVLPPPPPPPQRPSGANESITDSAQPSVVLPPPPPPPGLPPKSNDMEAAGPSKDTPGFIQDTAARVLPPPPPPQSSNLPPLPPRPPLQPDMLTPGVMRFPPPPPPPDSRPQYMAPGVARPPPPPPGLPPAQMPMPPYGVLPGPPQMPRPPFLPGPPMHPDEFAAFGPRPQLPQQPSYVKSAAPTVVKRPLAQHTPELTAMVPASVRVKRESALPKPKPKVVQQQQSSTPSISKPSVTLIRSDVQPSSSAPKPPSIDDSYMAFLEDMKELGALDG >KQL26740 pep chromosome:Setaria_italica_v2.0:II:46022699:46026251:-1 gene:SETIT_031504mg transcript:KQL26740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGGGMMDDDDRVTHAQIPTSFGPELRACLRCRLVKTYDQFRQNGCENCPFLEMDKEHDNVVNCTTPNFTGIISLMDPSRSWAARWLRIGRFIPGCYTLAVSEELPEEYQGICQDNNVQYVPPKRV >KQL22635 pep chromosome:Setaria_italica_v2.0:II:4103854:4105760:-1 gene:SETIT_030780mg transcript:KQL22635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAISVRLHPAAARHVTAARRARLGAVRAQSAPAAAALTQDDLKRLAAVRAVEQVQSGMVLGLGTGSTAAFAVAEIGALLASGKLEKIVGVPTSKRTFEQAQSLGIPLSTLDDHPLIDLAIDGADEVDPDLNLVKGRGGALLREKMVEAASDKFIVVVDETKLVDGLGGSGLAMPVEVVQFCWKYNLVRLQELFKEEGVEAKLRLEGDKPYVTDNSNYIVDLYFKTPIKDALAAGEAIAALEGVVDHGLFLNMASSVIIAGSDGVSVKTK >KQL23332 pep chromosome:Setaria_italica_v2.0:II:10942159:10942368:-1 gene:SETIT_031754mg transcript:KQL23332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCWCWRSRAPLFVSSSVEGVSVSFHCFVCEREKERAINKQYCHLFTLPVEYLKSVIKRFRSLHLSQHH >KQL22119 pep chromosome:Setaria_italica_v2.0:II:40046:45459:1 gene:SETIT_029030mg transcript:KQL22119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISITSDDDLRNMIDECIVLEANRERLTMYLFSAKDDEHNVHLLVTCSSYAEKEAQFIALINGLTRPIVASRMQSIGSTSTNDLDQAMLGIKDDRLPAGTEEESSLYMKGKPSQRIVVEPPKASSGSLEKTLPTPNFLTRMAKKDKAKNREGDLITSGRKITGVHFSPSVPAESIHAAKREAGSDQAVSRHQPELERTATITIEKGIQAAGTQEKGSPRKELLIPPDNSNVNKLLSNSNNNSPTPHTSRAAYEVPASLSRAPKKAANQQTSSDNNKMKPGGHNSQEEGMSHSAQEPQMKNKNYQLQNKMEMSGHGPESVTPMQCHDNMGISSNHTLEKSVATNSRTKQQPEVPITRSNTSKEGHPSKVSSNSEETIFSSPFTSSDKTTELKQHTLVRASSERQQERPSSPRPDEQSSRMIKSRSVGADRNSPQEVKDNTVPLISELEEHRTKNTEQGLPKSVALSRGLTTNVQIISNEDLEDLREMGSGAFGTVFHGKWKGTDVAIKRIKNSCFMLPSPQADKLITEFWREADIISKLHHPNILALYGVVNNGPGATLATVTEFMVNGSLKKVLHRKDKYLDWRKRIMLAMDAAIGMEYLHSKDIVHFDLKCDNLLVNVKDPSHPICKVADFGLSKMKQATLVSGGMRGTLPWMAPELLTMSGTKVSEKIDVYSFGIVMWEILTGEDPYDGMHYGGVIGGILSNTLRPPVPASCNPEWRKLMEQCWSTEPERRPAFTEVASRLRAILEAS >KQL23219 pep chromosome:Setaria_italica_v2.0:II:9800608:9804036:1 gene:SETIT_033409mg transcript:KQL23219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAMVSVATGAMSSVLTKLAELLHEEYKLAKGVRNDIEFLRSELGVMNDLLYVMADIEELDALNKGWRDRVRELAYNVEDCIDLSVARFRRADGDASKGGKLKKIRVSLQIAHQIQELKARVIEESDRQKRYKLDGLIGSSSDASRNKVDLRMCALWEETKNLVGLDGPRDEIIRLLMPAEGEVPSQQVRTLSIVGCAGLGKTTLTNQVYQKIQGHFECKAFVSVSQNPHIKDILMKICSQVGATPSMADDELLLVNKLREYISVVDDIWHSDPWKIIGQALVRTSPGSIIIVTTRLKDVAESCCSSHGGHVYDMRPLDNNDSRRLFFKRIFDSEDKCRRELERASEDILEKCDGIPLAIISISSFLAVDVPQSADHWNKVKESISSPLPRNKAIETMHSVLSLSYFNLPHHQRTCLLYLTAFPEDCIIVSKLLISRWIAEGFVNAELGESLYEAGLRYFNDLINRSLIQPWHEVRGVVLSCRVHDVILNFLISKSVEENFLTLSDPSRLPTSLHSKVRRLSLKNNCQENVVSWIMSIKPYVRSLACFVLLQLRYLNIKGTGVGELPAGIGQVQNLETLDISLTEVEKLPSTIVQLEKLARLFVSPKVMIGSNTIPMDSSFPTLQSLRTFIISTISSLPIWMGLLVNLELLQLETKRFTPEDLRVLGGMPALETLILVGELYQILFMPGAMPNLKHLKIRLAFTTNSYSDLGIQHIASLTRVDVGINAWCDHREAVQDPEAKTQSLLDAHPNRPTLIFNTDFLDDRYSLKGLEK >KQL23898 pep chromosome:Setaria_italica_v2.0:II:22704649:22706705:-1 gene:SETIT_031042mg transcript:KQL23898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSLINPKRLKKLKQEPNKKVYFTRQTIGNACGTVGIIHALGNAGSRIKLGEGSYFKRFYKRTADMDPVQRAAFLEEDQEMEDAHSVAVSAGDTEAKDGVIEHYVCFSCVDGELYELDGGTSGPIPHGPSSPDTLLQDAAKVIKARVALYSESNNFNVMALSVK >KQL23899 pep chromosome:Setaria_italica_v2.0:II:22704649:22707790:-1 gene:SETIT_031042mg transcript:KQL23899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRWLPLEANPDVMNQFMWGLGVPEDVGFCDVYGLDDEILAMVPQPVLAVILLYPQDRIKESQASITSPVETKEPNKKVYFTRQTIGNACGTVGIIHALGNAGSRIKLGEGSYFKRFYKRTADMDPVQRAAFLEEDQEMEDAHSVAVSAGDTEAKDGVIEHYVCFSCVDGELYELDGGTSGPIPHGPSSPDTLLQDAAKVIKARVALYSESNNFNVMALSVK >KQL23799 pep chromosome:Setaria_italica_v2.0:II:20057874:20058220:1 gene:SETIT_031826mg transcript:KQL23799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHPCFHLVNILLVLNTIYWPSFSRRETENSSISRLLSVVLSSLLLRNKYLRVLL >KQL25720 pep chromosome:Setaria_italica_v2.0:II:39989858:39991318:-1 gene:SETIT_031409mg transcript:KQL25720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTCRFAAPLGLAPLPRGRAPAGAVVSFPQCAGSKISRGVAVRATSGGEGATEEVPEIVKAAQDAWDKVEDKYAVATIGVAAIVALWTAAGALKAIDKLPLLPGILEIVGIGYTGWFTYRNLIFQPDREALISKIKSTYKEITGSSN >KQL25719 pep chromosome:Setaria_italica_v2.0:II:39989523:39991534:-1 gene:SETIT_031409mg transcript:KQL25719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTCRFAAPLGLAPLPRGRAPAGAVVSFPQCGSKISRGVAVRATSGGEGATEEVPEIVKAAQDAWDKVEDKYAVATIGVAAIVALWTAAGALKAIDKLPLLPGILEIVGIGYTGWFTYRNLIFQPDREALISKIKSTYKEITGSSN >KQL23316 pep chromosome:Setaria_italica_v2.0:II:10743423:10743800:1 gene:SETIT_033655mg transcript:KQL23316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVWKCKTNGMIGSAHATVENLSDICQIHHRPKFEGKTCNSS >KQL24513 pep chromosome:Setaria_italica_v2.0:II:30947829:30949795:1 gene:SETIT_031382mg transcript:KQL24513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGY >KQL22492 pep chromosome:Setaria_italica_v2.0:II:3113381:3114211:1 gene:SETIT_032484mg transcript:KQL22492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIKTLKARILRALKSSLPDESAGADSPPPSPTKPGARGIASVHAGDSFSDDASFFDAREAETPPKAPHTRHPSTCTEPLDEWELVDDPDGRAAPAPPADGPDPLLDFPARCPPGGEAAVVLYTTTLRGVRRTFEDCNGVRALLENLAVAFQERDVSMDRGLRDQLWSVTGEKAVPPRLFVRGRDVGGAAQVLALHEEGRLVPLLLPSVPAPDDKKLPAFGGKKGKCGACGGLRFVCDGSRKVFDSGRSGGGGGARCRGCNENGLVMCPLCF >KQL25922 pep chromosome:Setaria_italica_v2.0:II:41286776:41287182:-1 gene:SETIT_033580mg transcript:KQL25922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGISSFFEASLICSFIKLEDIHLVTVPF >KQL27118 pep chromosome:Setaria_italica_v2.0:II:47896944:47908738:-1 gene:SETIT_028665mg transcript:KQL27118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVRRRQEDAAGRGGVAQLRGGMDDDAELEEGEACGDDTAFVDPDVALSYIDEKLQDVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLPQTRSPPKAAANVTSKSPFHQSFEGMSQNPSAVSVPSVPQNNGSVVPFPGDSSKKETRPITKSERGSGPSGSHDSYGPSKSSDQNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSIEDSPDGCGSLSPEVNNVSHESPRTILQIMTCFSVPGGFLLSPLPANILQLTKKVVASSKKWESNVDIENVQEAYEGHVAKKVKSDGRKKKQVDAKNSKSRNDVSAVMRKEIDIETVAGQKIVSEALNIALLSDSRAMEAKGENRLEEEPTENNLGGNKDARLKERAIKSDSLTIKVEPPKAEVTECLENSSFGSSEMEFSASKGEPKPKTEKGETILEERNTTNDKNLILDRKQEKKIKPESKSNASNFEGNNVINERAPVVSRSMGKVPCKETLPYDTNGENNSKSEAKKMQREQKTNASTPSDFLEDEKHIHSSAAVKERKNEMQSKSSHTGKKPKAKSHRDVRDNVLEGSYAGKEHDVLENESGFGDPRPKEKPWKNDSERDSDVPGASRRETSSSVKHDRHAASEEQKMNIPPPATVSTTNAAPLPAPVVIEEHWVCCDICQKWRLLPYEMNPSSLPKKWKCSMLQWLPGMNRCEISEEETTNALNALYVIPAPANGIPSVGHPHVASAGLVTSSTSHLNGPVEQSRKRKNTLNDGNFVTEGSHQAQASGHLMSNQHAPSKSKSYADGSQYSIERDSVSKLVDPTIEKKKSKSKHRSSYSDGGDLIERSKKHSKVKSKRDMDHDEYKASKKIRKEERHRFDRDRNPDLASGDIPDEAKALPTKTATSKGSGERSDVSSSKQKNVSRHNRLENSKKARQEDVVVPEDENKEYFHQSDVQRSDLSSKKRIVKEWEESQYNSVAHVSKGATVNHNSVTKETYKDQNLKEAKLKSLKSEELFYADSKPGKIQHADQILSYDGSHMNSELVEDNTLFSGKRGPPELENNLCDQALDLDEPASDVAYIQTAAVTSSSSKASVSQKKKHNTQATRTSPIESLSSSPQRNSNIDKVSHSRISGNDQRSSEPVLVGSSRRKSDKDNGQVQLTQGHASDGIHFEKGSNDDLQHESGRKDSNLKGSHIPRGSNHLHSVEKNNHHADGSPMQPGKHTVDPKTSVLDAKGDSSMHENKKSANSLQDRNGSTHGPPDGNPLPGLPSGKEKSYLKSNKQDSQKPKPQMVCSPPKESKLDSHSTPSKPNGSKLTPQIRQYNSENGGRHGTAKQVIPSPAHAASPARKDNTSTAYALKEARDLKHKANRLKEEGKELESTRLYFEAALKFLHVASLLEPPSCDGSKQGDAAQSMYSDTAKLCNFVGHAYEKCKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQVLQAVVQTAPGESPSSSASDIDNLNNNALSKGSSSKDANSPQVAGNHLLLAARNQPHLMRLLAYTNDVNGAFEATRKSQLAISSAAGNHENGADGLSSVRTVLDFNFRSVNDLLRLVRISMESISC >KQL27119 pep chromosome:Setaria_italica_v2.0:II:47899050:47908738:-1 gene:SETIT_028665mg transcript:KQL27119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVRRRQEDAAGRGGVAQLRGGMDDDAELEEGEACGDDTAFVDPDVALSYIDEKLQDVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLPQTRSPPKAAANVTSKSPFHQSFEGMSQNPSAVSVPSVPQNNGSVVPFPGDSSKKETRPITKSERGSGPSGSHDSYGPSKSSDQNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSIEDSPDGCGSLSPEVNNVSHESPRTILQIMTCFSVPGGFLLSPLPANILQLTKKVVASSKKWESNVDIENVQEAYEGHVAKKVKSDGRKKKQVDAKNSKSRNDVSAVMRKEIDIETVAGQKIVSEALNIALLSDSRAMEAKGENRLEEEPTENNLGGNKDARLKERAIKSDSLTIKVEPPKAEVTECLENSSFGSSEMEFSASKGEPKPKTEKGETILEERNTTNDKNLILDRKQEKKIKPESKSNASNFEGNNVINERAPVVSRSMGKVPCKETLPYDTNGENNSKSEAKKMQREQKTNASTPSDFLEDEKHIHSSAAVKERKNEMQSKSSHTGKKPKAKSHRDVRDNVLEGSYAGKEHDVLENESGFGDPRPKEKPWKNDSERDSDVPGASRRETSSSVKHDRHAASEEQKMNIPPPATVSTTNAAPLPAPVVIEEHWVCCDICQKWRLLPYEMNPSSLPKKWKCSMLQWLPGMNRCEISEEETTNALNALYVIPAPANGIPSVGHPHVASAGLVTSSTSHLNGPVEQSRKRKNTLNDGNFVTEGSHQAQASGHLMSNQHAPSKSKSYADGSQYSIERDSVSKLVDPTIEKKKSKSKHRSSYSDGGDLIERSKKHSKVKSKRDMDHDEYKASKKIRKEERHRFDRDRNPDLASGDIPDEAKALPTKTATSKGSGERSDVSSSKQKNVSRHNRLENSKKARQEDVVVPEDENKEYFHQSDVQRSDLSSKKRIVKEWEESQYNSVAHVSKGATVNHNSVTKETYKDQNLKEAKLKSLKSEELFYADSKPGKIQHADQILSYDGSHMNSELVEDNTLFSGKRGPPELENNLCDQALDLDEPASDVAYIQTAAVTSSSSKASVSQKKKHNTQATRTSPIESLSSSPQRNSNIDKVSHSRISGKDGSLNANSSTIPSMVKQLNTEVGVAGNDQRSSEPVLVGSSRRKSDKDNGQVQLTQGHASDGIHFEKGSNDDLQHESGRKDSNLKGSHIPRGSNHLHSVEKNNHHADGSPMQPGKHTVDPKTSVLDAKGDSSMHENKKSANSLQDRNGSTHGPPDGNPLPGLPSGKEKSYLKSNKQDSQKPKPQMVCSPPKESKLDSHSTPSKPNGSKLTPQIRQYNSENGGRHGTAKQVIPSPAHAASPARKDNTSTAYALKEARDLKHKANRLKEEGKELESTRLYFEAALKFLHVASLLEPPSCDGSKQGDAAQSMYSDTAKLCNFVGHAYEKCKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQVLQAVVQTAPGESPSSSASDIDNLNNNALSKGSSSKDANSPQVAGNHLLLAARNQPHLMRLLAYTNDVNGAFEATRKSQLAISSAAGNHENGADGLSSVRTVLDFNFRSVNDLLRLVRISMESISC >KQL27120 pep chromosome:Setaria_italica_v2.0:II:47899050:47908738:-1 gene:SETIT_028665mg transcript:KQL27120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVRRRQEDAAGRGGVAQLRGGMDDDAELEEGEACGDDTAFVDPDVALSYIDEKLQDVLGHFQKDFEGGVSAENLGSKFGGYGSFLPTYQRSPLPQTRSPPKAAANVTSKSPFHQSFEGMSQNPSAVSVPSVPQNNGSVVPFPGDSSKKETRPITKSERGSGPSGSHDSYGPSKSSDQNRFKVRIKVGSDNVLARNNAAIYSGLGLDISSPSSIEDSPDGCGSLSPEVNNVSHESPRTILQIMTCFSVPGGFLLSPLPANILQLTKKVVASSKKWESNVDIENVQEAYEGHVAKKVKSDGRKKKQVDAKNSKSRNDVSAVMRKEIDIETVAGQKIVSEALNIALLSDSRAMEAKGENRLEEEPTENNLGGNKDARLKERAIKSDSLTIKVEPPKAEVTECLENSSFGSSEMEFSASKGEPKPKTEKGETILEERNTTNDKNLILDRKQEKKIKPESKSNASNFEGNNVINERAPVVSRSMGKVPCKETLPYDTNGENNSKSEAKKMQREQKTNASTPSDFLEDEKHIHSSAAVKERKNEMQSKSSHTGKKPKAKSHRDVRDNVLEGSYAGKEHDVLENESGFGDPRPKEKPWKNDSERDSDVPGASRRETSSSVKHDRHAASEEQKMNIPPPATVSTTNAAPLPAPVVIEEHWVCCDICQKWRLLPYEMNPSSLPKKWKCSMLQWLPGMNRCEISEEETTNALNALYVIPAPANGIPSVGHPHVASAGLVTSSTSHLNGPVEQSRKRKNTLNDGNFVTEGSHQAQASGHLMSNQHAPSKSKSYADGSQYSIERDSVSKLVDPTIEKKKSKSKHRSSYSDGGDLIERSKKHSKVKSKRDMDHDEYKASKKIRKEERHRFDRDRNPDLASGDIPDEAKALPTKTATSKGSGERSDVSSSKQKNVSRHNRLENSKKARQEDVVVPEDENKEYFHQSDVQRSDLSSKKRIVKEWEESQYNSVAHVSKGATVNHNSVTKETYKDQNLKEAKLKSLKSEELFYADSKPGKIQHADQILSYDGSHMNSELVEDNTLFSGKRGPPELENNLCDQALDLDEPASDVAYIQTAAVTSSSSKASVSQKKKHNTQATRTSPIESLSSSPQRNSNIDKVSHSRISGKDGSLNANSSTIPSMVKQLNTEVGVAGNDQRSSEPVLVGSSRRKSDKDNGQVQLTQGHASDGIHFEKGSNDDLQHESGRKDSNLKGSHIPRGSNHLHSVEKNNHHADGSPMQPGKHTVDPKTSVLDAKGDSSMHENKKSANSLQDRNGSTHGPPDGNPLPGLPSGKEKSYLKSNKQDSQKPKPQMVCSPPKESKLDSHSTPSKPNGSKLTPQIRQYNSENGGRHGTAKQVIPSPAHAASPARKDNTSTAYALKEARDLKHKANRLKEEGKELESTRLYFEAALKFLHVASLLEPPSCDGSKQGDAAQSMYSDTAKLCNFVGHAYEKCKKMAAAALAYKCVEVAYLKAAYYKYPTASKDRQVLQAVVQTAPGESPSSSASDIDNLNNNALSKGSSSKDANSPQVAGNHLLLAARNQPHLMRLLAYTNDVNGAFEATRKSQLAISSAAGNHENGADGLSSVRTVLDFNFRSVNDLLRLVRISMESISC >KQL26411 pep chromosome:Setaria_italica_v2.0:II:44182667:44186471:1 gene:SETIT_030726mg transcript:KQL26411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNAVASLMVRLHHLPLPRAAPASTYRHHHHHALHLPPPASVPLRPAAGAAMSTTAEQAVADQKRALRTEVRRALKALSPDQRASEDLAIQTTILDSSWFKASKRLCAYISCQQLREVDTSKILAECLPSSPGQEELAKDLYVPRVEDKNRNMRMLKITTMDDLVKNSMNILEPSPVDASGNDREDVLSSSSPIDLFLLPGQAFDRTGRRLGRGGGYYDTFLLKYQELAKEKGWNQPLLVALSYSVQIMEEGIIPVNSTDVPIDALVSSSGVIPISPTALERM >KQL22324 pep chromosome:Setaria_italica_v2.0:II:1749551:1750691:1 gene:SETIT_031172mg transcript:KQL22324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCLSSGAVGEAARAAAAGAPRDTALVLLPTGELREYPRPATAARVLEDASASNDGGGWFLCDADRMGFEGPVAAVGADEELRAGQIYFVLPAGARRRGLSREEVAALAVRASAALSRASAAAANGSGSGRRRRGAVAPLVFAPPEEEHQELERTSSYKVAPPQPKRRPAARPGRRLERFASDLTAIPECEMSSE >KQL23362 pep chromosome:Setaria_italica_v2.0:II:11249216:11255245:-1 gene:SETIT_029583mg transcript:KQL23362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKDDGTVVVDIPTNLEAASLDLPSENHHNVAFGGEPLDSSDLQHRPPMQIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHANFKDFVMTTGLEFYPLGGDPKVLAGYMVKNKGFLPATPSEIPIQRKQIRDIIFSLLPACKDPDIDTGVSFSADAIIANPAAYGHVHVAEALNIPIHIIFTMPWTPTCEFPHPFSRVKQSAGYRLSYQIVDSFVWLGIRDMINDLRKRKLKLRPVTYLSGTHAYSNDIPHAYIWSPYLVPKPKDWGPKIDVVGFCFLDLASNYEPPEPLLRWLESGDKPIYIGFGSLPIPEPDKLTKIIVEALEITGQRGIINKGWGGLGNLEESKEFVYVLDNVPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIIPFFGDQFFWGSMVHARGLGAPPVPVEQLQLHSLVDAIKFMIDPKVKERAVELAKAIESEDGVDGAVKSFLKHLPRQRNSETVPTAPPSTFMHPFLLPVKRCFGIAS >KQL22779 pep chromosome:Setaria_italica_v2.0:II:5229911:5231967:1 gene:SETIT_032346mg transcript:KQL22779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNSKKHLCGAITKRTVRKVLLSNLPTDILSQILSWLPINDAVRTSILSREWKYVWRGHTNLTFDSATMRKQYFKASFGYGFINATEFISRVDTVLRQHSGVEIKHMEVKHMLHNKHANHVDRWINVAIASKTKELIIDLNGGFKLSLSRDISRGIYRDKGEPYNIPPQLFSADNGPYLQRLELTSVSLHLPADFKGFLNLKKLTLVDVSITDEDVQCMLSRCNLLEFFEIAYCRMVTSIRMPKPLNQFKHLLVDKCPLLQVIELNCSLTVLEYTGTVVPLIFTSTCRLKNILIKFMTCHAALDYMVTGFPSTLPSLETLTLHCAQRERIILPGKTFIFTHLRHLRLELVLLGNKKRHTDVLDYAYLLEVAPFMEKLELLMWLCCQRRPYCKEDGELRSRPPHHHTHLKFVHISGFFGHKDQVELALHILRSSIMLEKMEINPRVEIADCDESEKQFYEREQYVDGHRVATEYVCKADHRNVVDA >KQL24016 pep chromosome:Setaria_italica_v2.0:II:24638120:24641329:1 gene:SETIT_029608mg transcript:KQL24016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPPPTQTAQPDPKPNNNRRRVLLFLAPPLLAVAVALLLGASTNPLPRRFLRVLLGPKPSVLRPAAPRPAVDLSPDAGRPPCVLWMAPFASGGGYCSEAWSYVAALDAHADAWAGKNFTLAIAHHGDLESPEFWIGLPEPSKNLAYRLAAARCELGRAVVVCHSEPGAWYPPMYEALPCPPTGYHEPAFVIGRTMFETDRVSPEHVRRCNQMDAVWVPTDFHVSTFVKSGVDPAKVVKVVQAVDVEFFDPAKHAALPLPIGVPVMVPEGSILEHGDPKSRGFVFLSVFKWEQRKGWDVLLRAFLQEFSGADDVVLYLLISAYHSDTNFIGKIRRFVKESSINEPVEGWAEIRVIDVHVPQSALPSLYKAADAFVLPTRGEGWGRPVVEAMAMALPVIVTNWSGPTEYLTEENGYPLNVDRLTEVTEGPFKGHLCAEPSVDHLRALMRHVFGDREEARSKGKKAREDMMERFSPEIVARIVADKIQQALVNTRSTED >KQL22894 pep chromosome:Setaria_italica_v2.0:II:6189294:6191761:-1 gene:SETIT_033669mg transcript:KQL22894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGRFIFFFKTTAIVGRVFYVLSLLGRPDVNPVSRCGSDQSIH >KQL23892 pep chromosome:Setaria_italica_v2.0:II:22607041:22611253:-1 gene:SETIT_0288242mg transcript:KQL23892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVEIMRRDSQKDPSTDPDAARARLILDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLKERGVIADDAELFGASCYAAKVTLTALGEMFQAARSIMNWLGDCAKVIACENEPVKWTTPLGLPVVQPYRKLGRHLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRQGLNFAGVHDSYWTHACDVDTMNKILREKFVELYDTPILENLLESFEKSFPKLKFPPLPERGDFDMKDVLESPYFFN >KQL24301 pep chromosome:Setaria_italica_v2.0:II:28714919:28715444:1 gene:SETIT_033649mg transcript:KQL24301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGRGGVTGRMAPRPERGGARRNPAAPAERRTWGGTPCPRAAPHRALARAPPPHVTLPPLLTAAGEGRAEGGREGR >KQL24521 pep chromosome:Setaria_italica_v2.0:II:31002559:31004102:-1 gene:SETIT_031892mg transcript:KQL24521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAAADGGGVSDGRRFRDPPSHGDSMLVIRDALQAQLQKDRLRQEIIEAELAKIDRAMALRPATLNCISDVQQGKPVSCSLIEEFMTHRGFLGAGHDLKKKDERHGSLEMKPWNPVMGMGYRLGECSTDGKAGQESKMQESNEVEATSEHNLQEHFAGQKHQANVASLESRNNGGRQQTAIRALQQEESKSTAMDYVYVRPPSAGGKLPLNGSRSNVASSVMARHMMSLYFCKVCNVQCSSEVMFEDHLRGKKHRGKMRKLKVRTFCKVCNLQCHSDEMLADHLAGKKHQKKARVMGLI >KQL25649 pep chromosome:Setaria_italica_v2.0:II:39567649:39575479:-1 gene:SETIT_029079mg transcript:KQL25649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVRGLQLPPPLAYPGTSRRRLAPSTRGRRSRSRRRIHGVRAAALEAAEGASRATEPVEVVGVGSRKDAVIDFCMGSRTLSSTPIRFWTVHVLDNSRVQLIQKGHGTDAVFRDLEPPLFLHPCPPAVILVSSAGQDTDHIMAMELLSAVKSAGNLAASIFLKPFCFEGQRRQVEAADLIGKLRTCSNFHIVIEADSLLETEVETLAEALESANNAVLSTISMISIMMSGYNKMFWSSLNAQIKEVDPEEVAKLLRSYGEARVGFGAGYNIQSAIKQAVFHCPFLRGGIKDLNNVVFLSLTTARVLSESDMISILHIFRRVTGFSKDIIFSRNSEPDLEPKLIVVSLLTIRNHYDESVATVQEGFLSSLALHFPFITSLMGGDIPEQKQTRQKHSFNRLPDNGSNSVEREFSQLSNGSSDATVSKISPEEIEDLEYERNGRIKPESLEGNFLVAEELGKDNNREHLGSQQEHNFLSNSPGFGIAQLWAKERTMASGSSKNDELDIVTLPVGVKLSKVQSDHSPNTQLETPDAGTTVATGHAAFAATFSDVRLEKDAEREPEKTWSPVVEIRYRGGIYRGRCQEGVPEGKGRLTFSAGSFYDGLWRYGKRSGLGTLFHSNGDVYHGTWRDDLIHGKGWYYFHSGDRWFANFWKGKANGEGRFYAKDGSIFFGHFQNGWRHGESLLVDANGLRWIEVWDEGVLVGRTKMEK >KQL22296 pep chromosome:Setaria_italica_v2.0:II:1468655:1470200:1 gene:SETIT_033018mg transcript:KQL22296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSVVAQETFSQILSGLVDRYKEIKRKTQITDASLLRWRRRLKRAAQECDDTLHKCKQRILEDEQMERDVKNSSLPNRIVHATKSFALSIFKRNYNDLRRSIAQRFEWYADGASEFLRFIELGGTPCLHMHFESLVKNIFAGKELHHKIVRGNEYPLFQLLLSPIRNPVHGIDVSLTFIQYDGTPEGNICFSLAVQLSESIDIVGIAVKCLQLFAPHFKCKFKNIRNELTQLHNADFSWEPSFHSDHNEHWDKFNSLFYQVVRPNPFCCKEHGQHEVRCFRNMDMAGSSDGLLEPVILFGLHCHVSLAMYRKQKTLLSEDLISLQDYPYLKAGITFSPHGSFCQRIGNAKAMVYQMLWKSKHGSALIQVEKPCTSAWRSSMRRQSTSRGARKRKLFQGNDEELIRNRIRLCHWLDSWFTHVPIRLQRSLMIQKEKEILIAAPQLLHLKF >KQL22156 pep chromosome:Setaria_italica_v2.0:II:277501:278876:1 gene:SETIT_032110mg transcript:KQL22156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLAISCFVLFLLLNGASRVAESRAVAAGDLSSQRRHRHHGHHHDIDSPPTDDDGDTDSPPSDDDDDTDSPPADAKPKKLLVFGDDFADTGNGDSDPQLGYGSRSWRSPFGMSDTAHGRQPSGRFSDGLVQPDFLAKIMGRSESPPPYTYDDWDDGIDAAGLNFAVGGSVALDTPAGVPKLRAQVQQLRNLIRDGVVERKDLRDSVALLAYSGDDYAYANNDAINDTISKVIDEVASIVSDLQDLGVPKVLVNTVISYGCTPWLTRQSSDPYSSCDDSRNWVSEVHNTALRDRLGGEEDVMLLDVNSVVRDLVEPKEGSTLYGKQFKERLRPCCEANDEDAGDYCGLDGRYSLCEHPEEYFFWDNEHPTQAGWRAVMQLLQGPIMAFLGVSNLEHF >KQL23764 pep chromosome:Setaria_italica_v2.0:II:17467978:17468320:1 gene:SETIT_033667mg transcript:KQL23764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWSKTCIEEVKLVSCVCLSAFTSMSSSWHNSRVIIHAKGVTSEVS >KQL25339 pep chromosome:Setaria_italica_v2.0:II:37464099:37465340:-1 gene:SETIT_031764mg transcript:KQL25339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLPLRSCFKRSMIKSSPMCLMLSYQLNGRSKECSETKQEKGSDQRKGDLIELEACHSVIMNELAGR >KQL25412 pep chromosome:Setaria_italica_v2.0:II:37935436:37938159:1 gene:SETIT_030951mg transcript:KQL25412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARGKEAEEEEARWEGEAEIDYVFKVVVVGDSAVGKTQLLARFTRDEFALDSKSTIGVEFQTRTLTLHRKRVKAQIWDTAGQERYRAVTSAYYRGALGAMVVYDVTRRATFEHVARWVEELRAHADGSTVVALIGNKADMPAARREVAADEAARLAEEQGLFFSEASALTGDNVERAFLTLLEEVFAVVSRRALELDEARRMRGEQGDGGGGEVLSLKGTAVDVGSIMETSAMKRTSQCACS >KQL24062 pep chromosome:Setaria_italica_v2.0:II:25395328:25395822:-1 gene:SETIT_033759mg transcript:KQL24062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVQSVKSNTKCHFNSRKQVRVATSSQKQAVEEVGFTVREYRTSA >KQL22873 pep chromosome:Setaria_italica_v2.0:II:6007915:6010199:1 gene:SETIT_032932mg transcript:KQL22873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGPFPSTSTDWPHYKSIRNPARSRGVGCFKLYLFICLCALSIPIKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDFPLNVSREILQESRIVRIMRKRLVRKTFDMIEEIAEKDDKEDYKKFWESFGKFMKLGCIEDTGNHKRLAPLLRFYSSKNETDLMSLDYLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDDDEDKEKESKQEYTLLCDWIKQQLGDKVTKVQISKRLGSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIIKDLSAACKNEPESTEARRAVELLYEAALISSGYTPESPAELGGKIHEMMTMALGGRWGRSDTENAETTASEASVEVDSSEGTVTEVVEPSEVRTESNPWKD >KQL24024 pep chromosome:Setaria_italica_v2.0:II:24841859:24847051:-1 gene:SETIT_030669mg transcript:KQL24024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPSLKSLNAFPHAEEHLLKKTYSGAIVTILGLIIMFTLFVHELQFYLTTYTVHQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDRYGHIIGTEYLSDLVEKGHGAHHDHDHGHEHHDEQKKHEPTFNEDAEKMVKSVKQALENGEGCRVYGMLDVQRVAGNFHISVHGLNIFVAEKIFEGSSHVNVSHVIHDLSFGPKYPGIHNPLDETTRILHDTSGTFKYYIKVVPTEYRYLSKKVLPTNQFSVTEYFLPIRPTDRAWPGNCFISPFMLR >KQL24025 pep chromosome:Setaria_italica_v2.0:II:24843808:24847051:-1 gene:SETIT_030669mg transcript:KQL24025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPSLKSLNAFPHAEEHLLKKTYSGAIVTILGLIIMFTLFVHELQFYLTTYTVHQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDRYGHIIGTEYLSDLVEKGHGAHHDHDHGHEHHDEQKKHEPTFNEDAEKMVKSVKQALENGEGCRVYGMLDVQRVAGNFHISVHGLNIFVAEKDFLRSCCLENLLSIEAGCLAILIFSC >KQL23114 pep chromosome:Setaria_italica_v2.0:II:8453826:8455300:1 gene:SETIT_033228mg transcript:KQL23114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEQLRDGGLHRRRVVVLFSLPFHGHLNPTLKLAALLRARGLAVTVLHTDFNAPDPARHPGLAFVPIHETPLQDTAVSPDSDILAKLLALNAAIAAPFRQALASLQDAVACAVVDGQCYAAMDAAGELGVPVLALRTDSAAALRNMLAIPRLRDAGYLPFKGKQTKKEQLDEPAPGLEPLRVRDLIRVDGCDTDELCGFVTSVADAVRASVSGIVFNTFEAIEAPELAKIQRELSLPAFAVGPLHLLSQTPPPAEQSLHAPDRGCLAWLDARTPRSVLYVSLGSLACVNRAVFEEMAWGLAGSGVPFLWVVRPGMVTGGAAGEEAPPPLPDGLEEKMRGRGKIVTWAPQREVLAHDAIGAFWTHCGWNSILESICEGVPMLVQPCFADQMVNARYVTHEWGVGMEVGEVIERERVAKAVTKLMVGEDGAWMRERARRLQVQASAATSLALDSLVQYILSL >KQL26157 pep chromosome:Setaria_italica_v2.0:II:42667683:42669462:-1 gene:SETIT_031942mg transcript:KQL26157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENRRRRRPGGMLPPPLTGEGEDRISGLPDELLHIILLRLCSPRSAARTSVLSRSWPHVWAHPSSRLAPWLRFASQQRLVGALVVCVPMLIGSLHKPGVEGEENEIELPACEGAKTIKLRLDLQWRLRLCPAGIMDGTELTALVSMLCPRLRKLSIQVLLVAVSDVSIRSDTVESLWFHVENIGRLDFVTPRLEVLNASRAIEVHISAPKLAEVVWNNGTYDPRLHQFTDASRHLRLLDISCNSLVASLLQRFDTVGKLKLSVSISQRNSCPLSCPCRLAESYKIDDITLD >KQL26911 pep chromosome:Setaria_italica_v2.0:II:46895611:46898395:-1 gene:SETIT_029840mg transcript:KQL26911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSRDYWLGFFRGAGDSIFDAIDAAITVAASDHPGALRERRDGIAERLFTALLVTGATAAAGAAAVAAAAAGTPVAGAPTPAQLHPEGAASVPSLCSSDRAEAITDDGAPRCDDPVLAETERIKAILLNDQEKSEAELLELLRRLQELDLAFDTLDVTAIGKAVANFRKHSSKQIRNLVRSLIEGWKHTVDVWIARRREAVVDQTPQSMGPSSLEQEDRGVASTPMDERDLFATLSTTIRLSEENQGSKFSDGMDDDGSVMNNSGRDCGQQYPINQEPARRPPSMGQLYDPEPYWRQEQPAMKQSRPQELSNGQKKEQFVAEMLARPSNAELGPGRPQARPRQHQESSPAQGRPQSASSEKPPAHHDTNSVRAKLELAKNAKLEATKRKLQEGYQEFDNAKKQRTIQMVDPQNLPKQGNNRNFQPSGKPRNNSNINSNRNWSR >KQL26522 pep chromosome:Setaria_italica_v2.0:II:44901145:44904352:-1 gene:SETIT_031349mg transcript:KQL26522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGFIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVCSSNQPKYLSFRLNVRFDIYQLSQLLSGEPSYF >KQL26524 pep chromosome:Setaria_italica_v2.0:II:44901145:44904352:-1 gene:SETIT_031349mg transcript:KQL26524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGFIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTSDGNTKDDLRLPTDETLLGQIKEGFDGGKDLVVTVQSAMGEEQICALKDVGPK >KQL26523 pep chromosome:Setaria_italica_v2.0:II:44901554:44904122:-1 gene:SETIT_031349mg transcript:KQL26523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGFIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGFVSLLTSDGNTKDDLRLPTDETLLGQIVQKCLLHLIRSRKDLMGERILW >KQL23561 pep chromosome:Setaria_italica_v2.0:II:15055440:15057367:-1 gene:SETIT_032485mg transcript:KQL23561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKALASGAGDCGFESHRGRPPSLHRTLSFHGRTGTSMAVAADATVAQLHRTLSCPSDFFYLVPPPTSQAEAVYRFAHGEVGDDADLYELLHSLERELEELDQREERVRVDMAQLRREVRSTEEALKGVQQDTPLVVGHVVEIVGEDHAVVEEETDDGSPHGYYVRVHGAVDRARLKPSATVLLHASPSHAVLEALPVDAAGEGAAAASSLLVAEGERPGVTYDDVAGCEAQKREVREAVELPLTHPELFARVGVDPPRGVLLCGPPGTGKTMLARAVAHHASAAFFRVSGAALVGKFLGEGPQMVRDVFRLAREKAPSIIFIDEVDAVAAAATSDSGADREVRRVLVELLAQMDGFDGDGRAGDGVRVIMATNRPDTLDPALLRPGRLDRRVEFPLPDRRQRRLVFRACAAGMSLDGGVDLESLAARHDRMSAAEIAAVCFEAGMRAVRGDRPVVTSEDFEEGYRAVAKRPECGAYYELSYDS >KQL25898 pep chromosome:Setaria_italica_v2.0:II:41156990:41158332:-1 gene:SETIT_032624mg transcript:KQL25898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPAANFREICRARRADGPAAVLAIGTANPGNCVLQEDFPDFYFRATKSEHLTGLKEKFKRVCQKLGVQRRYLHHTEELLSAHPEFLDLVCSPSLDERLDIVKTAVPELAAAASRKAIAEWGRPAADITHLVVTTNSGAHIPGVDFQLVPLLGLRPTVRRTMLYLNGCFAGAAALRVAKDLAENNRGARVLVVCAELTVLVFTRPVEGYFQTLVKQALFGDGAGAVIVGADPVMTIPAERPLFEIVSAAQTIISESEDAITMHLTKGGCGGNISTRQVPVLIGHNIERCLLDAFQPLGIGAGWNDLFWDVHPGSSAILDQVDTVLHLKPEKLAASRRVLSEYGNMQGVTVIFVLDELRRRMEKGEEEGEPEWGVMVAFGPGLTVETMVLHRCAAQATGAPTEEGLTVFCG >KQL24969 pep chromosome:Setaria_italica_v2.0:II:35106212:35106634:-1 gene:SETIT_032955mg transcript:KQL24969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLRLATCLTVGGPRRHGGAGARTTTTAYVAAAVSARAAAARSPYEVLRVGEAAPAAEVRAAYRAMAKRAHPDAGGGGNGEAFLELRRAYETLSDPAARARYDASVVAGRLRLARERTGGRSGPAPAARRTWETDQCW >KQL23112 pep chromosome:Setaria_italica_v2.0:II:8447624:8449098:1 gene:SETIT_032617mg transcript:KQL23112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQEQRRRRHRVVLFPLPFQGHVTPMLQLAALLHSRGLAVTVLHTAFNAPDPARHPELAFVPIHEALPDEVTCPGADIARQLLALNTACEAPFRDALASLLLLRGRRGQDQDQGSVACAVVDGQCYAAVDAAAQLGVPVLALRTDSAATFRTMLAFPRLRDAGYEMLDELVPDLEPLRVRDLIRVDGSDADALCGFIARVAGAVRSSASGVVVNTFEGIEAPELAKIRRELSLPVFAVGPLHLMSRAPAEQTTLRAPDGGCLAWLDTRPPRSVLYVSLGSVATVGHAAFEEMAWGLAGSGVPFLWVVRPGLVAGGEEEAPPPLPDGFSEEIKNRGKIVTWAPQREVLAHAAIGAFWTHCGWNSTLESVCEGVPMLVQPCFADQTVNARYVTHVWGVGMEVGEVIERGIVAEAVAKVMVGEEGARMRERAHRLKMEASAATSLSIDSLIHYISSLPTAN >KQL22983 pep chromosome:Setaria_italica_v2.0:II:7085994:7093415:1 gene:SETIT_028696mg transcript:KQL22983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSAPPPAPSPSSSSSAWLEWAAEYTKAAQAESRPPPEWAARVAAAAAAGAAGESTDVPWSAGLAEVLAQPLLSGVGGGGGAPAAAAAWKYAEAALASRLASPALLLALLSTRVIPHRFSRPMEYRLYLELLKRHGFNFHYQMKAANFRKIMDLIDGNLSLSKIFGVSTCHPGVFVVHFVLCILWQLVDVVLDDEGLLELTPEKKAQWPTRPEDMSTFEGTFTEQRTEKIEKLQKMNTLTTVELIEHLLRDKVITRILSLARENMHSHWGTFTSRLHLLATNSSTLQNSAISLEPFQQLILGDCNVYGEIKHNMRKRFHPILASNPLSSPNGRCLGASYSAQWIPIDLYLEDCLDGSIAATNSIEILSGLVKALQSVNRSTWHDAFLALWVASLRLVQREREPIEGPVPHLDTRLCMLLSITTLVVADIIGEADSLCNEKELNSHAKEKKSIGNLRDELMLSLQILGDYESLLAPPPCVIQAANHAATKAAMFISGISINNGYIENVNGMNYAGNMRHLIVESCISRNLLDTSAYYWPGYISNHVNSVSHTLPSQLAGWSSFMKGAPLTQSLVNMLASTPAPRSIQFGISAVDYAPIFSFHGLIPELAAALMAICEVFGCLSPSVSWTLRTGEEISAHTVFSTAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVLSSSSLAKQRNGQRQLQIPTSHPSCEHPIFMDSFPKLKLWYRQHQACLASTLSGLAHGTPVRNNVDSLLNLMFRKANKGGTSIGSLSGSSSISNSSGPGGDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLADFLPASLATIVSYFSAEVTRGVWKPAFMNGSDWPSPSVNLSMVEEHIKKIVATTGVDVPRLVAGGSSSGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMAIVAALWTQKVKRWSDFLIFSASRTVFHHNNDAVVQLLRSCFAATLGMSSTSVCGCSGVASLLGHGYCPGGFSPVAPGILYLRIFRCIKDCSILAEDILNLLMLSVKDIAETTVPKQRSDKLKKTKYGMRHGQVSLSAAMTQVKVAASLGATLVWLSGGTALVQSLIQEMLPSWFLSVQDLDKGGASGGMVYKLGGHALAYLAVYSGMFAWGIDPTPVSRRRERVTRSHLQFLASALDGKISLGCDPSLWRAYVAGFLGLVVECTPCWVQEVDLPVLKRLSSGLRQWGEDELAVALLRRAGPEAMGTAAELILGSEW >KQL22982 pep chromosome:Setaria_italica_v2.0:II:7085994:7093415:1 gene:SETIT_028696mg transcript:KQL22982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSAPPPAPSPSSSSSAWLEWAAEYTKAAQAESRPPPEWAARVAAAAAAGAAGESTDVPWSAGLAEVLAQPLLSGVGGGGGAPAAAAAWKYAEAALASRLASPALLLALLSTRVIPHRFSRPMEYRLYLELLKRHGFNFHYQMKAANFRKIMDLIDGNLSLSKIFGVSTCHPGVFVVHFVLCILWQLVDVVLDDEGLLELTPEKKAQWPTRPEDMSTFEGTFTEQRTEKIEKLQKMNTLTTVELIEHLLRDKVITRILSLARENMHSHWGTFTSRLHLLATNSSTLQNSAISLEPFQQLILGDCNVYGEIKHNMRKRFHPILASNPLSSPNGRCLGASYSAQWIPIDLYLEDCLDGSIAATNSIEILSGLVKALQSVNRSTWHDAFLALWVASLRLVQREREPIEGPVPHLDTRLCMLLSITTLVVADIIGEADSLCNEKELNSHAKEKKSIGNLRDELMLSLQILGDYESLLAPPPCVIQAANHAATKAAMFISGISINNGYIENVNGMNYAGNMRHLIVESCISRNLLDTSAYYWPGYISNHVNSVSHTLPSQLAGWSSFMKGAPLTQSLVNMLASTPAPSLAEVEKLFEVAVNGSDDDNVSAASVLCGATLLRGWNFQEHTVRLVVKLLSPSDPNDYSGRESQLIKLGPMLNVILSGISAVDYAPIFSFHGLIPELAAALMAICEVFGCLSPSVSWTLRTGEEISAHTVFSTAFILLLRLWKFNHPPLEYCVMGDGAPVGSQLTPEYLLLLRNSQVLSSSSLAKQRNGQRQLQIPTSHPSCEHPIFMDSFPKLKLWYRQHQACLASTLSGLAHGTPVRNNVDSLLNLMFRKANKGGTSIGSLSGSSSISNSSGPGGDDSHLWPQLPAWEILEAVPFVVDAALTACSHGRLFPRELATGLKDLADFLPASLATIVSYFSAEVTRGVWKPAFMNGSDWPSPSVNLSMVEEHIKKIVATTGVDVPRLVAGGSSSGTLPLPLAAFVSLTITYKLDKASERFLNLAGPALENLAASCPWPSMAIVAALWTQKVKRWSDFLIFSASRTVFHHNNDAVVQLLRSCFAATLGMSSTSVCGCSGVASLLGHGYCPGGFSPVAPGILYLRIFRCIKDCSILAEDILNLLMLSVKDIAETTVPKQRSDKLKKTKYGMRHGQVSLSAAMTQVKVAASLGATLVWLSGGTALVQSLIQEMLPSWFLSVQDLDKGGASGGMVYKLGGHALAYLAVYSGMFAWGIDPTPVSRRRERVTRSHLQFLASALDGKISLGCDPSLWRAYVAGFLGLVVECTPCWVQEVDLPVLKRLSSGLRQWGEDELAVALLRRAGPEAMGTAAELILGSEW >KQL22584 pep chromosome:Setaria_italica_v2.0:II:3692577:3694094:1 gene:SETIT_030474mg transcript:KQL22584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVGCHPGMTGGPAWHNFRVPARNDPLTTQPYPPQYTISPTVRSLPSSSSTQTPLSLPFLFNLRLPSCLLPPSLVAPYPHCLLPCPSPPWRSPRTPTACCHALLLRGERYFSHALPANKNFSSVPLNPSTHRSSPSRIRCLCSAAASPRAVRLLLGLPMDAGAGAGSGNASGGGGGASACCYYALLGIRKNASATDIRTAYRRLAMKWHPDRWASDPGAAGEAKRRFQRIQEAYSGKAAHVCSAPPRLGCTGFDRIVRLAQSCRTRGRRPCTTPGSSIPWTPTTRISPTSCRRCW >KQL22585 pep chromosome:Setaria_italica_v2.0:II:3692577:3695346:1 gene:SETIT_030474mg transcript:KQL22585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVGCHPGMTGGPAWHNFRVPARNDPLTTQPYPPQYTISPTVRSLPSSSSTQTPLSLPFLFNLRLPSCLLPPSLVAPYPHCLLPCPSPPWRSPRTPTACCHALLLRGERYFSHALPANKNFSSVPLNPSTHRSSPSRIRCLCSAAASPRAVRLLLGLPMDAGAGAGSGNASGGGGGASACCYYALLGIRKNASATDIRTAYRRLAMKWHPDRWASDPGAAGEAKRRFQRIQEAYSVLSDKGKKAMYDAGLFDPLDADDQDFSDFMQEVLVMMDNVKNEKPDTLEDLQKMLEDIVKGDEGSRGGGVGLGGRVPPDGVRRARVAPYPQQQRR >KQL22583 pep chromosome:Setaria_italica_v2.0:II:3692577:3695346:1 gene:SETIT_030474mg transcript:KQL22583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVGCHPGMTGGPAWHNFRVPARNDPLTTQPYPPQYTISPTVRSLPSSSSTQTPLSLPFLFNLRLPSCLLPPSLVAPYPHCLLPCPSPPWRSPRTPTACCHALLLRGERYFSHALPANKNFSSVPLNPSTHRSSPSRIRCLCSAAASPRAVRLLLGLPMDAGAGAGSGNASGGGGGASACCYYALLGIRKNASATDIRTAYRRLAMKWHPDRWASDPGAAGEAKRRFQRIQEAYSVLSDKGKKAMYDAGLFDPLDADDQVKQPSLSVPFLFFSPCLLSRRQLFCVRIFCTIHLKIKQIACSVFGRPGFLRLHAGGAGDDG >KQL23509 pep chromosome:Setaria_italica_v2.0:II:14324074:14325749:1 gene:SETIT_032226mg transcript:KQL23509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CACSPSPDPDPGDGGEGRARHLFDDFSVLSPVVPWEVDDIWRIYAGYFFILHIPLSFGGLGVVAKVLKCSSLDPMTTVISTVMLQFAELSLALALLQYSSMPGNDVQAFFASKVSTRNWVKETVIGFTIMMTLVWITSILPDKVVGPEDAYDPILKGILSDSPTSKLLCFFLYCVVAPLSEEIIYRGFLLTALSSSVKWRDAVVMSSLAFSVAHLSGKGFIQLFVIGCNLGLTYCRTGTLVASFTIHSLYNAVTLYMVLAS >KQL25558 pep chromosome:Setaria_italica_v2.0:II:39039703:39041948:1 gene:SETIT_032048mg transcript:KQL25558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPARKMNKRKWGRKTEVGGFMQKSDRWVKLLRNRREAQVRQMRRDIAALLRDKQEDTARIRVEHVIREQNFMAANEIIELFCELIVMRLPIIAKQKFSDHEYDNGDTMQLKDAASAARAAAESAERAASAANAPADFANKNNHPFDEVEDCKDSAHEYTHPRKRQSMSNSSRPSRKEHTDAFDELKPHGGKASSTGSFSGTNHVEDTVNYPADLDTRKTRRRNSRAARKVHSEIKFDDSEGLYSESEDENDVEIQSVERPLPPTREPFSENRHSEEEGPDNDFPELPKANHQSRVHPNMPLDYETLTARFEALKIGKLP >KQL27170 pep chromosome:Setaria_italica_v2.0:II:48201165:48202691:-1 gene:SETIT_030541mg transcript:KQL27170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLVYLTVMAAVVASAAFGAAELTADYYSETCPHALSTIKLLVGAAILREPRMGASLVRLHFHDCFVNGCDGSILLDDTDDMIGEKTAKPNNNSIRGYDVIDTIKSAVNTVCLGNVVSCADIVAVAARDSIVALGGTSYDVLLGRRDATTASIDDANNDIPNPFMDLPALVSNFESHGLSLHDLVVLSGGHTLGYSRCLFFRSRLYNETDTLDPAYAASLDERCPPSGDDEALTSLDDTPTTVDTDYYQGLMQGRALLHSDQQLYQGGGGDADALVKYYGEKPSKFWEDFAAAMVKMGNLSPLTGDEGEIRENCRVVNQE >KQL22733 pep chromosome:Setaria_italica_v2.0:II:4963060:4964696:-1 gene:SETIT_030577mg transcript:KQL22733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYVPGRCSVEAGSSCGAASSGRAGQVTHRGEGSVPSAAGNDGSGQGLQAAAGARHAGRGCREHDGGAGQGAPSEHGMPGLQNRFENQLSSLKYELSIIRRTTSPARGCAPRRVDWSPPTTRPVRRRSNREPATAVMGKIGNFFAKIIRFRDGPTASAGSAESPFTALVVALLTYDTAVMIGILAESKDTIAGWNTATEVAYLAMVVVTCALIGVGFMAGAGCPAAAASAAKRLVGDPSFVSPFCARLGAILASALLVVTISCKFEPWGCTAGVPSAAVVACVMAAVWVWAEPGARGAVCGCWSRVRGLGISQTK >KQL23603 pep chromosome:Setaria_italica_v2.0:II:15434400:15439691:1 gene:SETIT_028811mg transcript:KQL23603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEKPSWIRHEGLQIFSIDIQAGGLRFATGGGDQKVRIWSMKSVEKNNANNDSSQSLLATMRDHFGSVNCVRWARHGRYLASGSDDQVILIHERKAGSGTAEFGSGEPADVENWKVVMTLRGHTADVVDLSWSPDDSTLASGSLDNTVHIWNMTNGMCTAVLRGHSSLVKGVTWDPIGSFLASQSDDKTVVIWRTSDWSLAHKTEGHWAKSLGSTFFRRLSWSPCGHFITTTHGFQKPRHSAPVLERSDWSATFDFLGHNAPVVVVKFNNSLFRKNISNGQDTKAVPAGWANGASKTSAKEQQPYNIIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSELDELKRSRYGDVRGRQSNLAESPAQLLLEEASAKQSVSKKGTSVVQQFQAPPKVSADVPNPAPVAQSPKAPEALPEDGKKTSGPAAGDINKVTRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNIPSSSQNQVVDFSSLDQRMNGIRPSYGGSGNCNNCGVKDRSGVTARANITESLVIQKASTGAGNDGRLRVEHTGSVVPGSLTCSVLSIHVSNKKDNEDLLPVCLEAKPVERAAADMIGVGGAFSTKETEIRCTRGTETLWSDRISGKVTVLAGNANFWAVGCEDGCLQDSLASLVASPDEPSGNHSGAVKVISAKFSRCGSPLVVLASRHAFLYDMSMKCWLRIADDCFPASNFATSFSSSQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRAHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMLGSASSTDPKNPVWDPDVLGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAEARADPMDVAAAAEAKADPMDVAAEADPMDVAPTPQPAITEANDKMIT >KQL23604 pep chromosome:Setaria_italica_v2.0:II:15434400:15439691:1 gene:SETIT_028811mg transcript:KQL23604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEKPSWIRHEGLQIFSIDIQAGGLRFATGGGDQKVRIWSMKSVEKNNANNDSSQSLLATMRDHFGSVNCVRWARHGRYLASGSDDQVILIHERKAGSGTAEFGSGEPADVENWKVVMTLRGHTADVVDLSWSPDDSTLASGSLDNTVHIWNMTNGMCTAVLRGHSSLVKGVTWDPIGSFLASQSDDKTVVIWRTSDWSLAHKTEGHWAKSLGSTFFRRLSWSPCGHFITTTHGFQKPRHSAPVLERSDWSATFDFLGHNAPVVVVKFNNSLFRKNISNGQDTKAVPAGWANGASKTSAKEQQPYNIIAIGSQDRTITVWTTASARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSELDELKRSRYGDVRGRQSNLAESPAQLLLEEASAKQSVSKKGTSVVQQFQAPPKVSADVPNPAPVAQSPKAPEALPEDGKKTSGPAAGDINKVTRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNIPSSSQNQVVDFSSLDQRMNGIRPSYGGSGNCNNCGVKDRSGVTARANITESLVIQKASTGAGNDGRLRVEHTGSVVPGSLTCSVLSIHVSNKKDNEDLLPVCLEAKPVERAAADMIGVGGAFSTKETEIRCTRGTETLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPAMMMGSAAVFIDCDDCWKLLLVTRRGLMYIWDLYNKNCILQDSLASLVASPDEPSGNHSGAVKVISAKFSRCGSPLVVLASRHAFLYDMSMKCWLRIADDCFPASNFATSFSSSQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRAHLETQLAASLALKSPQEYRQCLLSYIRFLAREADESRLREVCESFLGPPMGMLGSASSTDPKNPVWDPDVLGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAEARADPMDVAAAAEAKADPMDVAAEADPMDVAPTPQPAITEANDKMIT >KQL22745 pep chromosome:Setaria_italica_v2.0:II:5062167:5066359:-1 gene:SETIT_029869mg transcript:KQL22745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWCEEAVALLRRPAVAEMAVDVLLCAVPIWAAVMIGLVVGWSWRPRWTGLLFLGLRSRLRLLWVPPGLGARRLWFACTALSACSVAPRLLSSAFRRCRGKHQEKASPEGDDAAAGADGGCADGRTIFEGEQDTVAEKDLEHLLQLLDNKESGDTAWQNLMERTTSNMTYKAWRHEPEEGPIMYCSRTIFEDATPELVRDFFWDGDFRLKWDPMLAHSKTLDEFPQNGTTIVHWIKKFPFFCSDREYIFGGRIWESGKTYYCVTKGVPYLSLPKKEKPRRVELYFSSWRIRAVQSPKQPGQQSACEVTLVHYEDMGIPKDVARVAVRHGMWGAVKRLQSGFRAYQKMRDTENTLSHSAVMARVTTKVSIDGSNGPLDQVLSGADKKISDGDENWAVQHGFDWKWVVVGGAVAAVCVLNTGLVGKVLLLGAARRQARK >KQL26289 pep chromosome:Setaria_italica_v2.0:II:43577507:43581865:1 gene:SETIT_029334mg transcript:KQL26289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFLLLYALLLALATAAASQGLAQEDVAKRLKEELSERNRENEMLESWNGDPCSPSTWEGFSCEPKDGVRVVVKLNFSSKNLQGQIPATIGNLTDLTEIDLQDNNFTGSIPVSFSALKHLRNLSVKCNPFLSNQLPDGFSTGVDFRHGACAAEEYHSSPAEEYQSPPGVASQRVIVIGGVAGGSLACTFALGFLFVCFNKRERRSPEKDCSSTTNPIFQECGIHNTTNPAVQQLSLKSIQTATGNFKRLIGEGGFGAVYRGILPHGQEVAVKVRSSSSTQGTREFNNELRLLSAVWHENLVPLIGYCCEKDQQILVYPFMSNGSLQDRLYGEASKRKVLDWPTRLSVCIGAARGLVYLHNFAGRCIIHRDIKSSNILMDHSMCGKVADFGFSKYAPQEGDSNPSMEVRGTAGYLDPEYYSTQVLSTRSDVFSFGVVLLEIVTGREPLDVKRPRDEWSLVEWAKPYIREYKIEEMVDPGIKGQYCSEAMWRVLEVALVCTEPFSTFRPSMEDVLRELEDALIIENNASEYMRSIESTGTLGSNRYLSIDRKMFTSGSARIEPTKGQLQTMPSLPR >KQL24729 pep chromosome:Setaria_italica_v2.0:II:32602012:32603382:-1 gene:SETIT_030329mg transcript:KQL24729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLSLGETMADAGRDLVLGLGMGLGVRRDEEAETGREVRREHEFGAGRCGRSSPEPAVRLTLLPGLVPSLGLPWPPSSETSRHLEASTRGFDVNRAPSLSVAGAAAEDEEEQDDAAGAGAGAAAAASSSPNNSAGSFPTDFSAQGQAGTGGGGGGDRAGSRASDEDDGGSARKKLRLSKEQSAFLEESFKEHATLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELAELRALKTVHPFYMHLPATTLSMCPSCERVASNSSAPAAAAPAPASSSSPSAAGGGIAAAPPEQRPSSFAALFSSPLNRPQAAQPQPQPQAPASS >KQL23455 pep chromosome:Setaria_italica_v2.0:II:13667742:13669465:1 gene:SETIT_032388mg transcript:KQL23455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNPMTRISFVPQSKRRSSSGSAPLPRGLAHDTTNLEMEPSLARDPEHRKKELEAAATVSPMPKSLVAIPVGIKNKAVVDKLVCKFPADDFTVMLFHYDGAVEQWGDMEWSERAVHFAKWFLHPDVVAEYDYVFIWDEDIEVDAFNPVRYLDVVKREELEVSQPVLDRRSEIHHAITAHALSPTEDGMHRRVQNARCGNGDGSTGRSPCEGWVEVMVPNDLIHGWGLDYKLGYCAKGDRAVNVGVVDSHDGGKATALAGRAAVRLRSFREMQIFNKRWEKAAAEDKPWSDSYAA >KQL22354 pep chromosome:Setaria_italica_v2.0:II:1925576:1929267:1 gene:SETIT_030158mg transcript:KQL22354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQGGGSGPATGEEGAAGESRKLFVGGIPSGAQEGELREHFARYGEVRSVIVMRDRETGHGRGFGFVEFEDEADAAAALGDGDKPRHFICGRMVDVKRARTRAPRNQGEQNSQPQQPEHGQGQGHQDNQPPAGNGNAESGNNVTYDSKKVFIGGLRDNITEEEFRAYFETFGTVTDVVVIYDSLTSRSRGFGFVTFDSEEAVGKVMRESFHTLNGTKVEAKIAIPKDEQYYRNGRGRGPRPFGGRGPAGYEGSAYQPYNARYGLYNGYMPQPVPAQPYFPAPYFAVGGYHYGSGYPSQGVMANVPGMMPRRPPAYGTYPQMYPGLNFVYRAGYGGAATSFQHGINGGSDNKKDQMNVDMQQVDSTASVATMLEHMKLGSQ >KQL22353 pep chromosome:Setaria_italica_v2.0:II:1925576:1929267:1 gene:SETIT_030158mg transcript:KQL22353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQGGGSGPATGEEGAAGESRKLFVGGIPSGAQEGELREHFARYGEVRSVIVMRDRETGHGRGFGFVEFEDEADAAAALGDGDKPRHFICGRMVDVKRARTRAPRNQGEQNSQPQQPEHGQGQGHQDNQPPAGNGNAESGNNVTYDSKKVFIGGLRDNITEEEFRAYFETFGTVTDVVVIYDSLTSRSRGFGFVTFDSEEAVGKVMRESFHTLNGTKVEAKIAIPKDEQYYRNGRGRGPRPFGGRGPAGYEGSAYQPYNARYGLYNGYMPQPVPAQPYFPAPYFAVGGYHYGSGYPSQGVMANVPGMMPRRPPAYGTYPQMYPGLNFVYRAGYGGAATSFQHGINGGSDNKKDQMNVDMQQVDSTASVATMLEHMKLGSQ >KQL24255 pep chromosome:Setaria_italica_v2.0:II:28172292:28175625:1 gene:SETIT_029733mg transcript:KQL24255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRAANRCLVQEDAKRAPKLACCPQSVQQHDMSGANPTNPQDPHIPNFMPINWNLMSSNLPTDTQWWLQLQPNFGCQMALAREHLSYIGGEAGEKKMEGLVPVSKPDDVRAKKDADPCEPPWIVSTAFMKQSSETGLEELKNLAGYTPTSLKCKGNANNCVYEDKEFTEFKAFDPLFPKQQQKVYCEMGAPWEENKKSQPWWQVADVDGLASLVAERAMESIVNNDLPRPTQTVRVHGAELNSPGNKVDYELPLPPSGKEPDPVHDTMTCSYSVSSNTNETNSSDGGGWEQQRRNNVPGGAQDSYSSTNSTPGSKPTYQNASERAKLLDALRHSQTRAREAEIAAKKAYDEKEHVIKLLFRQASHLFACKQWLKMLQLENICLQLRFKEHQIATMFPELPWMTMKEKVAPGQEHKDGTRKRGRRPNRKGGLHNAFAVAVGVGIVGAGLLLGWTLGWLLPML >KQL26971 pep chromosome:Setaria_italica_v2.0:II:47184351:47184837:-1 gene:SETIT_031717mg transcript:KQL26971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQSNAHIALCGETNRHHNCPAMHHKITRVCISKQTCTKLLKTFLITMHALLSLKLLMTMLRNITISWTVSCCLSTK >KQL26614 pep chromosome:Setaria_italica_v2.0:II:45413362:45417873:-1 gene:SETIT_029190mg transcript:KQL26614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEDKKEKKEKEVGKEEKKVKKKKGEGSGILSNKLCSELPISELTANAIREMNYTHLTQIQARSIPHLLEGKDVMGAAKTGSGKTLAFLVPAIELLHHLHFSPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGNNRRSEADQLAKGVNLLVATPGRLLDHLQNTKSFIYKRLKCLVIDEADRILEQNFEEDMKQIFKRLPQNRQTVLFSATQTPEVEKFAKLSFEKNEESKEKPVYVGVDDDNSKQATVEGLQQGYCVISSEKRFLVLYAFLKKKQNKKVMVFFSSCNSVKFHTELLNFIGIECFDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGDKGKGSALLFLLPEELKFLIYLKAARVALTEYEFSQKNVPNLQSHLEKIVSDNYYLNQSAKEAYRSYVLAYDSHSMKDIFNVHQLDLQKVAASFCFRSPPKVNLDLESSAAKHRKRRRLDGGKRHGIGPSNPYGRKDKDGGNKRQFARF >KQL26615 pep chromosome:Setaria_italica_v2.0:II:45413362:45419080:-1 gene:SETIT_029190mg transcript:KQL26615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGKMVDLYVPRKCSATNRIITAKDHASFIESDSKEEESVYDTASDGDEGEERQQELESDDEDEDVEERSNDDEEGEDEDEDEDESEEEEVKEDKKKKKKEKEQAKEMVKEDKKEKKEKEVGKEEKKVKKKKGEGSGILSNKLCSELPISELTANAIREMNYTHLTQIQARSIPHLLEGKDVMGAAKTGSGKTLAFLVPAIELLHHLHFSPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGNNRRSEADQLAKGVNLLVATPGRLLDHLQNTKSFIYKRLKCLVIDEADRILEQNFEEDMKQIFKRLPQNRQTVLFSATQTPEVEKFAKLSFEKNEESKEKPVYVGVDDDNSKATVEGLQQGYCVISSEKRFLVLYAFLKKKQNKKVMVFFSSCNSVKFHTELLNFIGIECFDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDYIVQYDPPDEPKDYIHRVGRTARGDKGKGSALLFLLPEELKFLIYLKAARVALTEYEFSQKNVPNLQSHLEKIVSDNYYLNQSAKEAYRSYVLAYDSHSMKDIFNVHQLDLQKVAASFCFRSPPKVNLDLESSAAKHRKRRRLDGGKRHGIGPSNPYGRKDKDGGNKRQFARF >KQL27129 pep chromosome:Setaria_italica_v2.0:II:47981660:47984318:1 gene:SETIT_030357mg transcript:KQL27129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHRLFRPPPLPLKLAFTISLAVSFSVSCCADPSPPSSSHASARSRSRSPPPSPKAVAADLLSVLAGPGAAARVPPAEASRLRACLRFLSPVNPAAASKVSSWSGGGSRKFLREGRDAGAAEADEMVMWPPAPVMELARLAVDSGGDPGAIHRALDPTMLPVPDVQGTQKDKCQLTRTPYGRRFANEELNSYLAFLFELIVARGPLVGLNVSLSRYDLFHGHLFLSYETGRLGILFHAKEYPAIDKELFPYNLGYCQAGSNVPYDDSMNLRNILWLAPLPSKETKAWLAPGTLVVLDAHPDGIIYQEIIRDYVQIVRTVYEDDFGENAVDVNYLNVANSAPVDRIFIC >KQL23042 pep chromosome:Setaria_italica_v2.0:II:7923478:7924627:-1 gene:SETIT_033162mg transcript:KQL23042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDYSWVRHTRFSYTDLKLNGLGTGPRLPRQNLQPVAKGSVLSNSARLPIPKAKSAVAQSERKLKHVSSDGQLNRDRSSDRSPRQASAKQDLKGASLSLDIPQRHALDFSFHSEEHSQRLQRVCSSPAPFYSQDAGPPVDDSRARSASMKVMGEVSKPAPKPKRRAKSPIPKRVFSDMFKEAKAATKRLSSPQRHRKPTSPRSPDDSPPSGFASLKTPSRLKINRRTSSWLRRNLGDGAPMVAASEILERWTVDRSELLIGHRFASGAYSRLFRGIYKEQPVAVKFIRQPDDGEDEELSARLEKQFTAEVTILARLQHRNVIK >KQL24192 pep chromosome:Setaria_italica_v2.0:II:27307618:27309766:1 gene:SETIT_033386mg transcript:KQL24192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPDTKKNQLSLNRAAGESAATAPAARGARDADATDGAPGPQAPSFGPVVGAVRGVGGAPEAGGSPGAGGFHACCWWSCSSRWWCYGVGAQATAPCWPAAALGASFAHVGLRNSLRIYPQGSFMNMLQNPFVNYAKGSQLPEKFHFVGGPMSHSRVSPKAASSKGTPSPTGSFADGGSDDARSDRRLNWSIEEDIRLVRAWLLNSIDPVDGNDKKSDQYWSDGTSTYNSTTKCDRMRNCNQLKLRWEHIERLVTEFNGCYTRITKVHRSGMSDDQKMDQALQLYASEHSDKPFTMIHVWRILRHEKKWSAYVKKLNNEKDKSASPNPGPVMDALNRPGLSPIKKCTAAIRQLGNGSPADQLDEYLKIGESTGVECLKKFVKGVIEVFGKEYLRRPTAQDIERLVHIGEWHGFP >KQL24662 pep chromosome:Setaria_italica_v2.0:II:32066993:32069089:1 gene:SETIT_031084mg transcript:KQL24662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMRERCGHVAYYRSPCFGDLPMFFPFGQRSTVASPSALPIAAPNPSSAAAAVTPQPATMMHMTFYWGKSATILFDGWRTSTWLGYLLSLVALLLAAAFYQYLEALRIRVKLAAGGDAGKQQAPSIPPPAGSDPSRAPLLAPALAFAAGRWPARVAVAAMFGVNSGLGYLLMLAVMSFNAGVFIAVVVGLALGYLAFRSSDGEDLVVVDNPCACA >KQL26023 pep chromosome:Setaria_italica_v2.0:II:41885655:41887079:-1 gene:SETIT_033238mg transcript:KQL26023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLPSSTGISATAGKRRRRKVRKLSGGGAGASDVNSGPLVSNLQPEDPNQMGIGGSESHDPSLYLAVSRGRWFSSVGCYYPLHKVEIAAASDESSQEAPAASRVANMRTDVGFKTFVSVQSRWIVGGVGGDPGATVIFDAKTAEAIPGPKPASAKLCPVVAAVGCRVYALSARAGFTEDSDDLTPWFEAMPSPPFFPRKLPTAAECLTPHVITVTANVLHLGLSSEEYHKVTYAFDTASERWHKVDDGSAAGRVFLGLSRRNGPISAYRICVSTSSGSDTATMARVSDTDDGALKLSVTVTAFPLKTKADEQVRAGTGHYFASLDGACFHTSLHSLDGSKRCRKYCEKTGEFYSRMLDAKVRTYQIGSESLALLETPDEEKLLAVKPEIVISSQREQTFRISSAHGFYSPPIIAFAASM >KQL25706 pep chromosome:Setaria_italica_v2.0:II:39957498:39959975:1 gene:SETIT_030345mg transcript:KQL25706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLANSDAAGPSSSQDDSAATMKKRNRPQYHPFTQQQLPACKPILAPKTVIPVLLFVGIIFIPIGLGCIAASNRVVEVVYQYETSCVPGYMLDNKVAYIQNPSIDKTCRRILKVPKDMKQPIYIYYQLDKFYQNHRRYVTSRNDKQLRSPEEVNTTQYCKPEATHHGNPVVPCGLVAWSLFNDTYSFTRGNEVLMVNKRGISWRSERGDIFGKRVFPRNFQNGTLIGGGTLDPRIPLSRQEDLIVWMRTAALPTFRKLYGRIEVDLHVDELITVTLQNNYNTYSFGGKKALVLSTAGVLGGKNGFLGRGYVVVGLACLALAALLTLLCLVFPLREEHLALRYPLTRPAR >KQL25707 pep chromosome:Setaria_italica_v2.0:II:39957404:39959975:1 gene:SETIT_030345mg transcript:KQL25707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLANSDAAGPSSSQDDSAATMKKRNRPQYHPFTQQQLPACKPILAPKTVIPVLLFVGIIFIPIGLGCIAASNRVVEVVYQYETSCVPGYMLDNKVAYIQNPSIDKTCRRILKVPKDMKQPIYIYYQLDKFYQNHRRYVTSRNDKQLRSPEEVNTTQYCKPEATHHGNPVVPCGLVAWSLFNDTYSFTRGNEVLMVNKRGISWRSERGDIFGKRVFPRNFQNGTLIGGGTLDPRIPAGRSDRLDANRGAANVPEAVREDRGGPPRRRADHGDAAEQLQHVQLRREEGAGAVDRRRAGGQERVPRPRVRGRRPRLPRAGGAPDAALPRLPTERGASCAAVPSDPACTMTTRA >KQL25708 pep chromosome:Setaria_italica_v2.0:II:39957664:39959642:1 gene:SETIT_030345mg transcript:KQL25708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLANSDAAGPSSSQDDSAATMKKRNRPQYHPFTQQQLPACKPILAPKTVIPVLLFVGIIFIPIGLGCIAASNRVVEVVYQYETSCVPGYMLDNKVAYIQNPSIDKTCRRILKVPKDMKQPIYIYYQLDKFYQNHRRYVTSRNDKQLRSPEEVNTTQYCKPEATHHGNPVVPCGLVAWSLFNDTYSFTRGNEVLMVNKRGISWRSERGDIFGKRVFPRNFQNGTLIGGGTLDPRIPLSRQEDLIVWMRTAALPTFRKLYGRIEVDLHVDELITVTLQNNYNTYSFGGKKALVLSTAGVLGGKNGFLGRGYVVVGLACLALAALLTLLCLVFPLREEHLALRYPLTRPAR >KQL23184 pep chromosome:Setaria_italica_v2.0:II:9006590:9009152:-1 gene:SETIT_033033mg transcript:KQL23184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTGDARSPAKRPRDSDDSGSDGDSDSDCDGGLVSDLREIVCLLRLIKGGANKDGQKMCQQIIASVAVDIQTMLEETQLKFEKERQNLLEVLSNSSKEQCENSLDEEYSKFQETYDMFCREKDAHMQTFRDLFSEVEVEKKKLLEQYEHHKKMETTMLSELDKTFSEKITHAELSVRRMKKDDKSFIIFRKSIGSFLECGSDDDFDIDDE >KQL23934 pep chromosome:Setaria_italica_v2.0:II:23192350:23197214:-1 gene:SETIT_030990mg transcript:KQL23934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRTQVNLLRLLDSAPRQENQAKLIHYVTTSRELLEQLAAETTSEGISSVSKVKLNEYSDKIEELAARLASKVPDDALNEIQEEYSSEVEHVRSPIALSSGLKRRLTAQVEARETVSEKERDVGAPIRLDADAQAQIEKHRNLQEGFTDEMVELARQLKETSLLMNQSVQETEKRGLWSIAWQAPVMLMHVQWKCIH >KQL23935 pep chromosome:Setaria_italica_v2.0:II:23192680:23196738:-1 gene:SETIT_030990mg transcript:KQL23935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRTQVNLLRLLDSAPRQENQAKLIHYVTTSRELLEQLAAETTSEGISSVSKVKLNEYSDKIEELAARLASKVPDDALNEIQEEYSSEVEHVRSPIALSSGLKRRLTAQVEARETVSEKERDVGAPIRLDADAQAQIEKHRNLQEGFTDEMVELARQLKETSLLMNQSVQETEKILDSTERVVEHSLAGTSHANARAVEVYSLTSKTTCFQWLLLFVMTCMFFMVVLLIRIT >KQL23936 pep chromosome:Setaria_italica_v2.0:II:23192680:23196738:-1 gene:SETIT_030990mg transcript:KQL23936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRTQVNLLRLLDSAPRQENQAKLIHYVTTSRELLEQLAAETTSEGISSVSKVKLNEYSDKIEELAARLASKVPDDALNEIQEEYSSEVEHVRSPIALSSGLKRRLTAQVEARETVSEKERDVGAPIRLDADAQAQIEKHRNLQEGFTDEMVELARQLKETSLLMNQSVQETEKILDSTERVVEHSLAGTSHANARAVEVYSLTSKTTCFQWLLLFVMTCMFFMVVLLIRIT >KQL26789 pep chromosome:Setaria_italica_v2.0:II:46329599:46332407:-1 gene:SETIT_030679mg transcript:KQL26789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSAIAARLGLSESRPVVRKAGELRRLCDVNFDSSVLGIGEVCKAIICLEIAASKFQVIFDRAEAVRMSGMSEKAYIRSFNALQNGLGVKTTLDVRELGIQFGCVRLIPFVQKGLSLYKERFLAALPPSRRASTDFGRPVFTAAAFYLCAKRHKLKVDKLKLIDLCGTSSTEFTTVSTSMADLCFDVFGIAKEKKDPKSIKGNRELLDVLPSKRKHEDDNDSSDESSEDDQDELDLPTHKRRKKMEKQAYNEWKSSVLSSNKQTKPDPAKPRRQAQLNFKKPADITLEVPSAAN >KQL22643 pep chromosome:Setaria_italica_v2.0:II:4135386:4145741:1 gene:SETIT_028744mg transcript:KQL22643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLPGEWVPHVEAYVDVSRPAAQHSASVDALAALVNKDKLTLFDLVSKMEMYLTTTDHIVRSRGILLLGEILSRISVKWLDVNTITTLSDFFISRLSDWQAIRGALVGCLALLHRKQGVGCIVIADVKRIVESFLQNVQVQSLAAADRKLCFQILSCILDRYPEVVKTMDGEQLYWICEAIDEEKDPECLKLSFHVVEVVMKLFPDPSGLAAQFASEFFEILSKYFPVYFTHGVGDDLNATRDDLSRALMHAFCSTPYFEPFAIPLLLDKLSSSLPLAKIESLKYLDNCIRFYGTDRMVRHASAVWFKLKEVIFSSSPEEFLLTSGSPKDAEKNKNQMVLEALKCLKTAIMCIDSSDKDLFINLILLDEDIVNKIHSISSEEKSILSSLEDLAQLHALGSVISILSESSTYLCTRVLQEHFTQLVDILGTSTDYESRQLNTCNGSSSAADNYGALYLSVQMLTSCREVALVSYADCSSIKLAKESWWLILEKKLDRLIHFLGSFLTIDSESMQSMFRQEYVSCAVKGLLTLATFPEQCSPLTANAYEDILAMLTSVIISKFENVDLWRLSLKALTSIGSSIGEFHASQKEVIYCQTVVDKIVSLVESYDGPMPLSLRLEASYEIGTAGFNYMLRVARSLEGAVVTNISKTNGGMECTEHVAHLFECYSGQVLPWLFTSGGINELALSFAMHLLDEIKDLTMLDRIGSQGLLDSLMAGMKLLVGVCTEEQQTRIVQKAYSMVSSVIPLPLKSMAYHLLSADELVPSHTVQETALVGMLSSVIVGLRPQTPAPDMVVMINLFTVFLLNGKLPAAYALASVFNKYLHNPEFSHENQLDKILDGILERCFSTVLASNQSKISQSGNVLSKIDILSGLAWIGKGLLMRGDEKVKDISMFLLKCLCSDETLATIPSHEEESYVNDSSNTSIATSAAGAFHVMMSDSEVCLNKKFHARIKPLYKQRFFSIMMPIFLSKIKEATSMTTKLALYRAFGHIISNAPVPAVITEAHQILLVMVDSLAKLSVDIQDKDLVYNLLLVLSGMLMDEKGKECILDNVHITISVLTQLVSYPHMMVVRETALQCLVAFSTFPHSKVFPMRRKVLQAAIKALDDKKRAVRQEAVRCRQTWQSFA >KQL25262 pep chromosome:Setaria_italica_v2.0:II:36962449:36963806:1 gene:SETIT_030810mg transcript:KQL25262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGVVVTGEEVDERRAWFIQVQAGAARPGGMHGDRGLVAPWWGEEEQEERVAAVGGGFVGHCYSAARAEYDAAAVAAALTYVVCATEPPPPTTRGGGVAASALPPAPWQGRRHGGGGAQQHYRGVRRRPWGKWAAEIRDPAKAARVWLGTYATPEEAARAYDAAARRFKGAKAKLNFPATAAPSQRPQQQATAAHLPIISPSPPSSPTFAAAATVVEFPGLWQYAHILQSSDAGHVRAVASGLPPPPPVGSGHGRDGIGGGSVGDRR >KQL24020 pep chromosome:Setaria_italica_v2.0:II:24791404:24794362:1 gene:SETIT_030569mg transcript:KQL24020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPPPLLLRSAAPPGAVARQRLRRRRSPTPVRASWQELAGVLVFSAIPFTAVKALANSPLGARLRRRLEERKAAAAAEADALRAAARDARNNSFWYGGDRPRWLGPVPYNYPEHLTGEYPGDYGFDIAGLGRDPVAFANYFNFEILHCRWAMLAALGVVIPELLDLFGLVHFVEPVWWKVGYAKLQGDTLDYLGIPGFRIAGGQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGALFDPLGLSKDPVAFEELKVKEIKNGRLAMVAWLGFYIQAAVTGKGPVQNLVEHLSDPVHNNILSSFQ >KQL25379 pep chromosome:Setaria_italica_v2.0:II:37705406:37708296:-1 gene:SETIT_032524mg transcript:KQL25379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFSKKLTTDQIPGWEEHYFNYKLLKGRVKEYTEQTKEGNRDRRRVLKDFSKLLDDEIEKIVLFMIEQQGLIAARLEDLGKKKARLQDLLLLQEISELREDYREVGLDLVKLLKFVDINANAVRKILKKFDERLGDKFTDYYVSSRSNHPYSQLKQVFKHVDPIIDLIKATADKLTNSTNFLRFLGQHALIAQEDTAGSEVERIEEDKYHFISLVLNLVNTFLYMVNTYIIVPTADDYATSLGAAATVCGVIIGSMAVAQIFSSVYFSAWSNRSYFRPLLFSSVVLLLGNVMYALAYDLNSLVILLVGRLLCGLGSARAVNRRYISDCVPPRIRMQASAAFVSASALGMACGPAFAGLLQTNVKVSVFTINQDTLPGWVMAFGWFVYLIWLWISFREPALDPDVKDFHEGSSSSSSTRYLEQEVEQGLAEQLLPSHENDSQGERSTLRPASSVASAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTSYYFGWNTSTIAVFLAILGLTVLPVNAVVGTYISNMFEDRQILLASEVVLLVGILLSFRVTGTYTAAQYVCSALLTFVSAEVLEGVNLSLLSQVMSSRLSRGTYNGGLLSTEAGTLARVAADGTITLAGGLLGRAALLNATLLPSLLICLASIAATMSTYNSLFY >KQL26976 pep chromosome:Setaria_italica_v2.0:II:47205384:47205608:1 gene:SETIT_032805mg transcript:KQL26976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHADRWCDVNSLFFGNYPNTSFSLTSLILYNAAFSLHNLLANTCTQLQYLYLYQCDTGIKTIFKIDVPNSKLM >KQL27253 pep chromosome:Setaria_italica_v2.0:II:48839908:48840856:-1 gene:SETIT_031131mg transcript:KQL27253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISCLPPPAALLATSFADAAIARALHFSISSADSASSSSSLSAPPPAAYYAPPHVTTTCCDSVLVADSPMAGRRQHKQQLAPAGGRAGKRRSRASKRAPTTYISTDPANFRIMVQQITGVQADIEMLHPTATTAIDAAAAVLMAADASASATVGAYGGNPLQLPVPGGDEASATLHHHQQLQQPCFPTLDSWNVMYERSELL >KQL27222 pep chromosome:Setaria_italica_v2.0:II:48668010:48670248:1 gene:SETIT_030836mg transcript:KQL27222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGLSPERHAAAEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQLQAQAQAAAAAAAATGSSSSSGSPPTSGGAGLAPGHAGSAASSTMGMFAHGAAYGSSASASWPPSSAGMMGDLDCGGGDDLFAISRQMGYADGGGSGSSAAAAVSQQQQHQQLYYSCQPGSMTVFINGVATEVPRGPIDLRSMFGQDVMLVHSTGGLLPVNEYGVLMQSLQMGESYFLVTRG >KQL24872 pep chromosome:Setaria_italica_v2.0:II:34192279:34193283:-1 gene:SETIT_031364mg transcript:KQL24872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALPLVALLIAGCAALASAATITVGDSQGWTTGVDYTSWASGKSFSVGDKLVFNYVSKAHTVTEVSKSGYDACSGNNNLLDDDSGSTTVPLNTPGTHYFICNVPGHCSDGMKFAVTVSATPSGNTPSAGAPQVSAAAMASVVAAAAGAAIKLALF >KQL25866 pep chromosome:Setaria_italica_v2.0:II:41021582:41022529:1 gene:SETIT_033061mg transcript:KQL25866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTVTLEVESSDTVANVKAKIQDKEGIPPDQQRLIFAGKQLEDDRTLADYSIQKESTLHLVLRLRGGGRGGYPNLSVPWDLINLARKHNEAKIVCR >KQL23541 pep chromosome:Setaria_italica_v2.0:II:14709282:14710125:-1 gene:SETIT_032243mg transcript:KQL23541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDDRRILISLLVAFAVAVPSLRPSAATGVEGSAKAAAAAAPSPHGEVLQPAGFNIPDLPLPRILPCPPWFPKIPLIPCFNVTLPPPPPTDPRECRSSLRSLMPCAGFLTNASVPAPPSTCCDGFDPFFANESSPALLCLCHIASADIAQLLPAPMNHTRAASIMEVCGLGLPIDALSRFCKNNTGAHK >KQL22206 pep chromosome:Setaria_italica_v2.0:II:562000:563587:1 gene:SETIT_029651mg transcript:KQL22206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFLSAVLGELITRSINFFISKCPKQPALDVDDHLQRVLLRAQVIIEEAMVRQITNQSMLQQLDMLRDTMYRGCYLLDTFRFQSHKEDAKDQIVSHPSLLFKVNSVKDFYFPGGEGTQILEEMLAVLDSLRSMILDANELVVFLTSYTRMYREPYSMHLLLGNCMFGRQMEAQHVINFLLHTRPPGAAEGLEVLPIVGPFRVGKSTLVAHVCKDGRVHDYFSEIVFLSDHDFRDENITTLREGCVMKYQNCVSNKDGRVLVVVELAGDFSEGDWKRLYSASKRYLPSGSKIIITSRSDEIKKLGTTQAITLKFLSCEAYWYYFRTLAFGSVDPETYPKLAHLAMQIARALKRTFIGANITTCVLRDNFDIRFWCKVLVLLRGIIRKNVSRFGEHPLNRLDQNRSAHLGRMATPSEDLVLCGLYQCSSQEEVPKIKMQDVMYGSVKPHGNFEVLGWKSPILPYYSYVFTCEIQELKSTAAKRKRSDP >KQL27038 pep chromosome:Setaria_italica_v2.0:II:47536077:47536538:-1 gene:SETIT_033798mg transcript:KQL27038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALIPAGQQHRPHMPREAQAQEMRAVQSSRAQARKDPCSLFQLRRKRHVRTV >KQL22971 pep chromosome:Setaria_italica_v2.0:II:6956517:6959773:1 gene:SETIT_028784mg transcript:KQL22971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVRIRYLLLQQLLLLLSILATNCANASTSLTGHGDDEAALVAFKAKISGDSGKLSSWNESTSYCSWEGITCSKRYPWRVVALDLSSQGLTGTISPAVGNLTFLLSLNLSSNALQGEIPPSIGSLSRLRILDLSENMLNGVIPSNISRCTSLRVMMISRNKGVQGSIPAEIGNMPSLAIIELFKNSITGTIPSSFGNLSQLTVLFLSLNCLEGSIPAGIGNNPYLKSIQLSGNNLSGVLPPSLYNTSSLYVLFVAQNKLRGRLPSDLGKSIQRLGLGGNQFTGALPQSITNLSRLQILHIESNQFSGVVPSELGRFQNLEVLVLDENKFEANNEQEWEFIASLTNCSRLHMLSIGWNRFAGKLPSSLANLSTNLQWLRTPNNNISGVIPSDIGNLASLQQLDFRQNSLTGVIPESIGKLTRLVYLILHSNNFSGRIPFSIGNLTESIGIGAYANSLEGPIPPSIGNLSKLLGLDLSMNKLTGLIPNEIMKLSSISIGIDLSNNMLEGSLPLEVGNLVHLEQLILSRNKLSGEIPHTIGNCRALQILLMDDNLFQGSIPATLKNMQSLTRLNLTANKLNGSIPGSLGSITSLQELYLAHNNLSGPIPETLGNSTSLLHLDLSFNNLQGEVPKTRIFRNLTGLSIVGNNALCGGIPQLHRPKCPNLRERRNKKGASKSLRIVIPTTGALLLLLSGLLWAVFLYRKLKTALKKEMAPQFADMELPTIPYNNILKGTDGFSEANVLGKGRYGTVYRGTLENQAIVVAVKVFHLQQSGSYKSFQVECEALRRVRHRCLVKVITCCSSINHHGQDFRALVFEYMANGSLDRWIHSNSESQNGQGKLSLSQRLDIAVDIVDALDYLHNDCQPPVIHCDLKPSNILLNQDMRARLGDFGIARVLDEATSKHHMDYSNSIGIRGTIGYIAPEYGEGLAVSTNGDVFSFGITLIEMFTGRSPTDDMFRDGISLHYYAEAALPDKVMEIADSNIWLHDEANNSIGKRHITITKECLSAVIQLGVLCSKQLPLERLSMNDATAEMHAIRDAYINSQQLSDVESF >KQL22166 pep chromosome:Setaria_italica_v2.0:II:339609:342330:1 gene:SETIT_032310mg transcript:KQL22166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQGRRKKREKEERTEHGGTFLRRARNYSEEPQDLELPRRRARHDSEDPLDLSLPWRRRHDSEEPQDMPPPRRRMRHDSEEPKGTSPPRRPTRHDSEVPKDLSPPRRRKHQDSTKVDDPSPPRRRNLGRSPDDGDMSPPRKDRKFASDDLSPPRKERDLSSPRKAGLMTAEEVKEDIRKIKEDEMLKFAAQDPSLVGKGAKAGMYSEIRKVRRKRISEEEKRRKAKESEKPKEMHIEWGKGLVQKREAEARIKELEAEKSKPFARTRYSADGATCRKDPEFLIEDLGDDEKMKESGFIVPQNIPSHSWLKRPGRHWDGVDRSNGMYLTLIHQFSMIPSKKRVVLSLTQNPYDFQECACQRVITYGAYGH >KQL26258 pep chromosome:Setaria_italica_v2.0:II:43375597:43376496:1 gene:SETIT_032801mg transcript:KQL26258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQEARMASYQVGPRPAPRVTDRLHHHPFVRQRLGPNTVGRVTSAHAEKHLTGGDRGRGAALPSAAPLMAQGEADAPGGGAPGGGAPGTIGGTVKLKDLVPAATNTVNTTFIVLDKAAPTARPPYPHAQAQARGGEEVTCLALVADETAAAHFLLWGGECGAFEPGDIVRLTGGIFSYHRGNALVLRAGRRGRAEKVGEFTMLFVETPNMSEIQWGRDPDDPRRM >KQL25426 pep chromosome:Setaria_italica_v2.0:II:38041788:38042460:-1 gene:SETIT_031518mg transcript:KQL25426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPCASRFHTPLPRLLFHGSGDDPKAAAAAGTELSGMAMRLAAAAAFVRRLAPARHPVAVPAAATAAEAEAVTCGRGDKKTKRGKRFKGSYGNARPKREKKIERIKDRIEVPRSTPWPLPFKLI >KQL26681 pep chromosome:Setaria_italica_v2.0:II:45746970:45748240:1 gene:SETIT_032581mg transcript:KQL26681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYSLKSDVTRPRPEQSLVRWATPQLHDIDALDQMVDPALQGLYPSKSFSRFADAIALCVHVKIAFSSCSSLIALAPQIKRNLLYRRPKMWTNMTRTHESHSRHHGESGGEYEF >KQL23825 pep chromosome:Setaria_italica_v2.0:II:20607160:20610466:-1 gene:SETIT_032362mg transcript:KQL23825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEEIPYLMFMCSELYIAGLEGRTVEVTRLLAGSSHATTARANAVHPGRCCTTREVAAERSTLLHIAAGQGHCDLITELCLRDSALLSSVNSSLDTPLHYAAREGQADAVETIVRLARCSVEEDRLPELLGGKNDAGDTALHVAARHGHGETVEVLMKLAPELAAEVNGAAVSPLYLAVMSGSVHAVEAIIGYRDACAAGSMLQNALHAAVLQSSEMVPLLLRWRPSLATDLDINKSSPLHFASSDGDCSIIEEILTHAPPSTAYLQDNEGLSALHTAALMGNGPAVRLLLQFYPASAGIRDNHGRSFLHAAALQGHSSIISHVTKDRMLQNLLNQQDREGNTALHLAMEAGEYGVVSKLLSSGKVQVHIMNSAGHTPSDMIEKSTGFYSMVRLVMKLYVYGAQFRPQRQDLIKKWSGQDLVKWRVATSKNLAIVSTLVATVAFSAAFNVPGSYGSDGKANLNRNRMYNAFLVLDTIAVTTAVMATILLVYGRASGSNNSWLGFIISMHFLWLSLLCMMLGFFTAIAATSDRKSTSNALYRVIYAGLYILIMLLTSLAMPGSLRGVLRLLLGRQHHLKRRIKRQYPFVVVYAFNMLLFIIINNIALASVDTTANLR >KQL24315 pep chromosome:Setaria_italica_v2.0:II:28770754:28780572:-1 gene:SETIT_028763mg transcript:KQL24315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPEPALTPRRLTVADLLRLRRPTTGASSLHFPSAPTTPSPPRKKSKLAAATAGPTPTSTSGTAPFAPISHPVLLSGTLSLPSEDAPAGCRSNCFSFSDPASSASASPAASVCCCLLDFDPAALGREIRVLAWNYLPSVRLHGAAGVLEVVRWRLAEEEEPAPAPKRSFLAKIRLNWPAQDPDLASRGCVFGLVRSVSVVFSMPQAKAEKRSASSIGFLAEILCCGCRRCQVSPPETAQDHKFEVIKFLYFVGSACTWRPLLVWLVGRLVYISGLKKKLVSVAEKGSHTMLVSSTNTAMAWCRSYRGNLPLDGSPEKCGGVYAGVVTGIYLQGLAVELDDTVWLLIDDLLLLPPHCLRVGAFISVKNFHAMRLNFAWTGTVLLATCSKTCLTVKSFSLVDSKSHIKAESKSLLGKFVDSLEMPSRFWMLLLISCFIQKFTKLFSDKEIWGSQNTSPLSGRLQLVDSTGCIDVIIPDLPPNGSLYGIYEIRNYKLALEGPVAYLDHCDVADPLSCKAIFQKLSYKKRVHHLNMYVILCWRELNPIGPSLHIPLHINYRARSFHLVKLSHIFPPNNVQQQNMSGPILYAEAVILPYNLQFIGQGECIEHAEAFRMSHSHLLDGTVLNERIVCEREHTSRILLEFKEGGFIKYQLLRINGYYLLQCPSGSLTCTTEGCGCLEGGKVSLDSQDKIWSIAITFDGNISIKGTIGNQSVRVTSAEVDEPFPRNIIRDELKLVQSWNDFYCNSYFHLDFSCEAMSTKMEEYNTVCHVLNQLRASSTEVLSVSSCIDIMMPKEASGSANLKTEEAVRGDLISVQGKVENIHSHACKRGTCMPANEKYSLCIHVADNNHTVRLHGFLSKHSFIVGMGPGATVTFHRVLLTQHELLLTPVTYIEVATVLKLVLDKSINHGKIPNVKVRLAGFILDDGSSLCCCWADDARAELLLRLQEVAHLDASVNLKLSKGGDSTKLQHTVGYCLEKLLKRHTCVIVKNCGIPPDFSCRDLDASSVLHKVLSRFEDKLLKFIILNACWNGTLNVIASVINPDDLNGFNVELPDFPVRNMQMLWIKEVFPVDPLEEARRLFGILETS >KQL26625 pep chromosome:Setaria_italica_v2.0:II:45462127:45462921:-1 gene:SETIT_032843mg transcript:KQL26625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLKAFSSPLHLPTLRRIAPPSSALRTRIAAMSSSSSAVAAPVEHIVLLKARPEAVASGAAAAMALATQVPGLAYIHAGPVLRLRSPAAEALGPTHLLHSRYATKQDLASYAAHPAHVAAVQGHVLPNALDTTAVDWVNAAELPSPVSPGSAVRLTLAKVKEGVEVAQLVEKVAAATKAAGEAKGAKVSFGENFSPARAKGYQFGMVAVFDSVEELDAVEGDGKVEEAKAAVRPLLDEVVVLDFVVEAAGGAPSPASL >KQL27083 pep chromosome:Setaria_italica_v2.0:II:47730609:47732996:-1 gene:SETIT_029488mg transcript:KQL27083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNARRVHPPLRCCADIMADKEHQSRLAWHLSSCFQEDSGRPPLPGCDDRSAMLHCRKRLSDSEDKVFLETNTLCHQLQAEAFKQSTKRLVNDLLRTSKSAHDKLETIEERSDHLLQESQNIHSSLSSIAVQTDHLTAASIAAGAQINDVLDHSRAISEQSKEIAAAQAELRVGQAAMRGAMDAGMTRVEESYRTLGDGMERLREDAAGRAADEIGSVTGRSLESQMQLLDRQAKAMRGLNELHGFQAEALDESRETIQKLAHFGLRQQEELLARQEEIRNAHEHLVHNSHSILEAQEEFRAKQANIFAALDKLYVLHNAILVESRFIKAFFFYCCIAFLIYMLTSAKQTFDIRGKLYIGLCVTVMLEIGVIKLGADSFNNQFWILSKVLLVRSVFLAATVVQILRSIFTYKDYDVLNHHLLQTLVEKVRAIEGNAGSGEHLTQPDNAINDLAKAVSDGSLSSYSWIFDELQDEVDSRIDPDSVPRRQLGIHPKNGVLLEQIGENSITTSDSRRYNLRPRIRPR >KQL22970 pep chromosome:Setaria_italica_v2.0:II:6950416:6953639:1 gene:SETIT_032105mg transcript:KQL22970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRIRRRLQLLLLLSAMATTKATATSSSSGHAGYERALVAFKAKISSQSGVLASWNKSTSYCSWEGVTCGKRHRWRVVALDLSFQGLAGTISPAIGTLTFLHVGSLGRLQSIDLSVNMLTGGIPSNISRCSSLSVMIIASNKGLQGSIPATIGNMPSLVVFELPNNSFTGTIPSSFGNLSQLTDLSLALNYLEGSIPAGIGNNPYLWFIQLSFNNLSGLLPPLCMAGRLPSDLGKSLPSIQQLAIGTNLFTGALPRSLNNLSQLQVFDVDSNNFTGVVPTELGRLQNLEVFMLDSNKFHANNEREWGFIASLTNCSRFQKLTIGWNRFSGNLPSSLANVSTNLQWLRIPFNQISGATPLDVGNLAGLEVLDFSGNLLSGVIPESIGKLTQLKELFLYWNNFSGVIPSSIGNLTGLWRLGALGNSLERPIPLSIGNLSKLTVPSLSINKLTGFVPKEIMGLSSTLAILGLSYNFLEGPLPLEVGNLIPDNIGNCRVLETLFMDDNSLQGSIPAMLKNMAGLSLLNLTDNQLSGSIPGTLGSITNLQELYLARNNLSGSIPEPLGNSTSLRCLDLPFNNLQGEVPEEGVFRNLTGLSIAGNNALCGGIQQLHLPKCPTFSARKNKKGMPNYLRVAIPKTGALLLLLSGLIELPIAPYNDILNGTDRFSEANVLGKGRYGTVYRGILENEAIVVAVKVFNIQHPGLYKSFQAECEALRRVRHRCLVKIITCCSSINHQGQDFRALVFEFMVNRSLDRWIHSNFEGPNGQGALTLSQRLDIAVDIVDALDYLHNGCQPPVIHCDLKPSNILLNQDLRARIGDFGIAKVLDESTSKHPVKSNSFIGIRGSIGYIAPEYGDGLMVSTYGDVYSLGITLIEMFTGRSPTDDMFRDGMILHCFAAGALPDKVMEIADSNIWLHDGANNRNDATHITITKKCLSALSQLGVLCSKQLPIERLSMSDATAEMHAIRDTYFSTQQ >KQL23551 pep chromosome:Setaria_italica_v2.0:II:14900381:14902202:-1 gene:SETIT_030173mg transcript:KQL23551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTNRGSPPRVLLLLLLAAVFLHGCSSATAAHGRAGGGSTMMVERFQRWKAEYNRSYATAAEERRRFRVFARNLAYIEATNAEAEAAGLTYELGETAFTDLTNEEFTAMYTAAAPLPPADDEDVAAVITTRAGPVDAGGVRGAAAGQQLTAYVNLSAGAPASVDWRASGAVTPVKNQGRCGSCWAFSTVATVEGIYQIRTGKLVSLSEQELVDCDTLDHGCDGGISYRALRWIADNGGITTEADYPYTAATGVCDTAKLSHNAVSIAGLRRVATRSEASLANAVAAQPVAVSIEAGGVNFQHYRKGVYNGPCGTKLNHGVTVVGYGQEPGGGERYWIVKNSWGERWGDGGYIRMRKDVDGKPEGLCGIAIRPSYPLM >KQL24081 pep chromosome:Setaria_italica_v2.0:II:25770158:25773135:-1 gene:SETIT_028986mg transcript:KQL24081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLTSLVRVDLSYNDLSGSIPEEIGAIPRLKTLILAGNRLAGIIPLSLGTSASLRYVDLAANNLSGAIPYFQKTTSLQLLDLSANFLSGSIPASLGNVSSLNYIRLAQNNLKGSIPEALASLTNMSMLQVIGLSSNLLSGPIPSLGSLSNLSRLILGSNMLQGEDWAFLTSLTNCSQLLMLALDGNSLNGTIPSTIGNLRNLIILALSRNRFTGEIPSTLGNLSQLNQLSLDDNLLSGNIPASLGQYQRLTMLNLSVNNLDGSIPSEILNISSLSLGLDLSNNNLTGMIPPEIGKLINLGLLNVSSNKLYGEIPPELGLCVVLSSLQMEGNMLSGIIPQSLSALKAIQQMDLSENNLSGQIPEFFEDFRTIHHLNLSYNKLEGPIPTGGIFANSSNAVMLEGNMALCQRIPIFSLPICPTTSASKRKINARLLLIIAPPVIIALLSFLCVIATVMKGKTTQPSESYRETMKKVSYGDILKATNWFSLVNKISSSHTASVYIGRFEFDTDLVAIKVFHLDEQGSLHSFFTECEVLKHTRHRNLIQAITLCSTVDFENNEFKALVYEFMANGSLDMWIHSRQHQGSPRRVLSLGQRISILADVASALDYLHNQLVPPLIHCDLKPSNVLLDYDMTSRIGDFGSAKFLSSSLNSSPEGLVGASGTIGYIAPEYAMGCKISTGGDVYGFGVLLLEMLTAKRPTDKLFGNNLSLHKYVDLAFPDKINEILDPQMQNKEDEVLCNLHLQNYIIPLVEIGLMCSMESPKDRPGMRDVFAKIFAIQEAFIQTF >KQL26392 pep chromosome:Setaria_italica_v2.0:II:44084414:44087810:1 gene:SETIT_029408mg transcript:KQL26392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSRSCLSCVPMGTAALPIEKQFVPPAALPSWPASAAVSDGGFAKGSIDLGGLEVRQITTFAKVWSTTQGGEDGVGATFFEPSPVPAGFSVLGHYAQPNSRPLFGHVLVARDTSGTGALLAAPVDYTLVWSSPDGAGNFWLPTAPEGYKAVGAVVTATSDKPSRDEVRCVRADFTDACETEESLLSSDKDGFSAATLRPSVRGIDARGVHAGTFLAQSNAASASTVACLKNNSASYTSSMPDLAQVNSLLAAYAPHVYLHPNEPYFPSSVTWFFENGALLYQKGSQTATPVAADGSNLPQGGGNDGGYWLDLPVDNKQREKVKRGDLASAKVYVQAKPMLGGTVTDLAVWIFYPFNGPARAKVGLLTIPLGEIGEHVGDWEHVTLRVSNFSGELLRMYFSQHSAGTWVEASQLEYLDAGDGGSRPVAYASLHGHALYPKAGLVLQGDARLGVGIRNDAARGSRLDTGGAGRCEVVSAEYLGVAEPAWLGFEREWGPRVEYDIGRVINRVARILPRSARERLAKLVEKVLVGDGPTGPKMHGNWRNDEREA >KQL23552 pep chromosome:Setaria_italica_v2.0:II:14902364:14908086:-1 gene:SETIT_028983mg transcript:KQL23552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTSSTNEDTGRGTMWELERNLDQPMDAEAGRLRNMYREKTYPTLVLLQLAFQSLGVVFGDLGTSPLYVFYNIFPHEIEDTEQVIGALSLIIYSLTLIPLVKYVFIVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEDLTTYSRHTYDEKSLAASIKRWLEGHQLRKNVILIIVLFGTCMAVGDGILTPAISVLSATGGIQVEEPKMRNDVVVIVSVVILIGLFSMQHFGTDKVSWLFAPIVLVWFILIGILGAVNIYKYDRSVLKAFNPIYVYRYFKRGRTSWASLGGIMLSITGTEALFADLSYFPVQAIQIAFTVVVFPCLLLQYTGQAAYIAQNTHSVPHAFYYSLPASILWPSFIVATAAAIVASQATISMTYSIIKQALALGCFPRVRIIHTSKKYRGQIYSPDINWILLIFCIAVTAGFKNQSQIANAYGTAVIMVMLVTTFLMVPIMLLVWRSHWTLVILFTTLSLIIEIPYFTAVVRKIDQGGWVPLVFAAAFLVIMYVWHYGTLKRYEFEMHSKVSMAWILGLGPSLGLVRVPGVGLVYTELASGVPHIFSHFITNLPAIHSTLVFVCVKYLPVYTVPLDERFLVKRIGPKNFHMFRCVARYGYKDIHKKDDDFEQMLLNSLMLFVRLESMMEEYTDSDEYSTGHELNQAGNANPRINGINNSSNMELSYTSHDSIIQVQSPNHTGNSQLMSSGQTYQTVGDEIAFLNACRDAGVVHILGNTIIRARRDSGFLKKIAINYMYAFLRKICRENSAIFNVPHESLLNVGQVFYV >KQL24552 pep chromosome:Setaria_italica_v2.0:II:31230401:31237003:1 gene:SETIT_029446mg transcript:KQL24552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARRLRVLTRRGSLSPALSATAPRSSRGLCTLSTTLRSGAGEDEIERIRREFEDAKRNYLSIPAAIKDMPKMNPQGIYVNKNVKLDDLQVYGFDYDYTLSHYSEHLQCLIYDLAKKHLVNELKYPESCLRYEYDRSFPIRGLYYDRLKGCLLKLDFFGSIEPDGCFFGRRKLSLSEIKELYGTRHIGRDQARQLVGLMDVFCFSEACLIADIVQHFIDAKLEFDASYVYEDVNQSIQHVHRSGLIHRQILSEPQKFLIKKSQVFRFLKMLREKGKKLFLLTNSPFYFVDGGMRYLLEDQHFDGNSWRELFDVVIAQANKPTFYNSDHPFRVYDTQKDTLAFTAVDKFLPDQVYYHGCLKSFLQITKWRGPEVIYFGDHLLSDLRGPSKAGWRTAAVIRELEDEIEIQNSENYRFQQAKLSIIHDLLGKVHATVVSTEKGQVYRALLDELNAERRQCQSGMRDLFNSSFGATFLTDTGRESSFAYHIHQYADIYTSKLENFLSYAPESWLHPPHDIKIMPHNAKVPASLFSTS >KQL24387 pep chromosome:Setaria_italica_v2.0:II:29383834:29388439:1 gene:SETIT_030120mg transcript:KQL24387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEPGQDRQDPVHALAPSRRAKSSSSSSSNAKRGTKSYLSQIANLPPGADQIESNRIPARSERARTPHRSPAMASLTLPPAPPNPRQDAIDLHKAFKGFGCDSTAVINILTHRDSVQRGLIQQEYRAMYHEELSQRISSELSGNHKKAMLLWILDPAGRDATVLREALSGDTMDLRAATEIICSRTPSQLQIMKQTYFARFGTYLEHDIGHHTSGDHQKILLAYMGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHIASVSSAYHHMYDRKLEKVIKSETSGNFAFALLTILRCAESPAKYFAKLLRKAMKGLGTDDKTLIRVVVTRTEIDMQYIKAEYFKKYKKPLGEAINSETSGHYRTFLLSLVGHGH >KQL24388 pep chromosome:Setaria_italica_v2.0:II:29383834:29387744:1 gene:SETIT_030120mg transcript:KQL24388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEPGQDRQDPVHALAPSRRAKSSSSSSSNAKRGTKSYLSQIANLPPGADQIESNRIPARSERARTPHRSPAMASLTLPPAPPNPRQDAIDLHKAFKGFGCDSTAVINILTHRDSVQRGLIQQEYRAMYHEELSQRISSELSGNHKKAMLLWILDPAGRDATVLREALSGDTMDLRAATEIICSRTPSQLQIMKQTYFARFGTYLEHDIGHHTSGDHQKILLAYMGIPRYEGPEVDPTIVTHDAKDLYKAGEKRLGTDEKTFIRIFTERSWAHIASVSSAYHHMYDRKLEKVIKSETSGNFAFALLTILRCAESPAKYFAKRLG >KQL25655 pep chromosome:Setaria_italica_v2.0:II:39675481:39679075:1 gene:SETIT_029294mg transcript:KQL25655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHLLSSPAAAAKLAAGLRAAPLRRCHSFAPAPHHPDRVSLATSLSAAAAARSAGPAVVAAQTKLGVSGKKQVLISLSDKTDLAYLGNGLQGLGYSIISTGGTASSLEAAGVNVTKVEEITHFPEMLDGRVKTLHPSIHGGILARRDQEHHLKALKDHGIGTFDVVVVNLYPFYDKVTSGTISFEDGIENIDIGGPTMIRAAAKNHKDVLIVVDHNDYPALLEYLKGNQEDQQFRKMLAWKAFQHVASYDSAVSEWLWKQSNKGELFPPSFTVPLELKSTLRYGENPHQNAAFYTDKSLSLVGAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFDSPTCVVVKHTNPCGVASRQDILEAYRLAVKGDPVSAFGGIVAFNTTIDEDLAKEIREFRSPTDGQTRMFYEIVVAPGYTEKGLEILQGKSKTLRILEAKRSGKGMLSLRQVNGGWLAQESDDLTPEDITFTTGSERAPQENELADAKFAWLCAKHVKSNAIVIAKNNCMLGMGSGQPNRRESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACQNGIGIIAQPGGSIRDEDAVACCNKYGVSLVFTGVRHFKH >KQL24097 pep chromosome:Setaria_italica_v2.0:II:26011017:26015856:-1 gene:SETIT_0302782mg transcript:KQL24097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQKREGSSTDDDCASKRLKGSDTASETGCNVEASVSQEIDAEATRTCQRESEAPSNKCVSDGKSAVDSNVSGEQKMVLTAVEADAAEDKGCRHTMEDAWVVLPNAGAEYPGSLRCAHFAIFDGHGGRLAADYAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDESLLQESTKRNWQDGATAVCVWILGQMVVVANAGDAKAVLARSISTDGEGVVDETKSQLKAIVLTREHKAIFPQERSRIQKAGGSVGSNGRLQGRIEVSRAFGDRQFKKVGLISTPDVHSFELTKKDHFIILGCDGLWGVFGPSDAVEFVQNQLKETSSASLAVRRLVKEAVRERRCKDNCTAVLIVFKH >KQL24096 pep chromosome:Setaria_italica_v2.0:II:26011017:26015835:-1 gene:SETIT_0302782mg transcript:KQL24096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQKREGSSTDDDCASKRLKGSDTASETGCNVEASVSQEIDAEATRTCQRESEAPSNKCVSDGKSAVDSNVSGEQKMVLTAVEADAAEDKGCRHTMEDAWVVLPNAGAEYPGSLRCAHFAIFDGHGGRLAADYAQKHLHQNVIAAGLPRELMDVKAAKKAIIEGFRRTDESLLQESTKRNWQDGATAVCVWILGQMVVVANAGDAKAVLARSISTDGEGVVDETKSQLKAIVLTREHKAIFPQERSRIQKAGGSVGSNGRLQGRIEVSRAFGDRQFKKVGLISTPDVHSFELTKKDHFIILGCDGLWGVCLFPYLICLVPIIVSELLQSNV >KQL25161 pep chromosome:Setaria_italica_v2.0:II:36381942:36384803:-1 gene:SETIT_031239mg transcript:KQL25161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRLVSRLLGMFQSRTQVGVDKTGNRYFTRVEEVDGAMKERRWVEFKGADQDSTTVPVEWICWLNGQRKKAPTPEELAELEARRERVKQNIELLKKKEEEERKAGLRPVKKIGKSESPNLQSFVKQQFPGTLDQQKGPEEVSRPKDATDTEDSTTDNERTSEPTGTGATFKPGTWQPPT >KQL22342 pep chromosome:Setaria_italica_v2.0:II:1861079:1861720:1 gene:SETIT_033377mg transcript:KQL22342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPDEERIASAVAGSFGRHLVLAFYSSPSQTSMADTSPESWVDALTTRKGQRAIRRWVEVFTATAVGVFIDKTIHINTYDQLFAAATNPTYGARLQELFVALCSASVETLVKTSHGVLSSANGTGGNANASATSGSSGGFAVGEGWVETLSSALVVPSNRKFVLDLTGRATFEAVRSFLEFVLWKLHAGTRAGGDARVGAGLRALRHMSDRSM >KQL25415 pep chromosome:Setaria_italica_v2.0:II:37941340:37942674:-1 gene:SETIT_030330mg transcript:KQL25415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSLSHLLLAPKPRTHPKPRTHPNPSRLPSRSGASATPLPRRRRLAPAISAAASDLLSPAPSLKSRLAAGDTLYGLFLLSFSPTLAELAALAGYDYVVVDMEHGPGGIPEALACLRALDAARTPAVLRLPEASAVWAKKALDLGPAGLMLPAVESPAAAVEAVSHCRYPPRGVRGAAHPIVRASAYGLDDSYLSRCEDDTLIMCQVETAAGIAEIDAIAAVDGVDVVQMGPLDLSASMGYLWDPGNRKVRATLREAEKKVLEARKKKVAAALDGNAAYLGGFAMQNDPPEQLKLRGYHMVAGAVDIAMFRKAALDDVKRFREAVMEIGEEGDDEEGEKEEKENDGYWSE >KQL23765 pep chromosome:Setaria_italica_v2.0:II:17466031:17468893:1 gene:SETIT_031197mg transcript:KQL23765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRPKRGERRIDAAIDHLAEYGFPKPRIRKIINNLLQLYGRDGWVFLEEGSYKIVLDTLLEEQEQLEKQQAAAADEASLQKDMEVSPAVHSEALTESQSAVEPQAFPNSSPPREHVLPPAKGAARARPPCRGWISEESDTESELEDGEMISHAQRPFFSKKDIPNPAESLPYSKRKRPTRWDVRPNN >KQL26876 pep chromosome:Setaria_italica_v2.0:II:46722113:46723578:-1 gene:SETIT_030214mg transcript:KQL26876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKKLATAAVLVSLLALLVGPSAGLLDLCPVFVGWGALQSLLNSLICGLPGGNYTPPTVPSNPLGFGYYNNSNNNASYCPDAEAAVTAAVEKAIKQQGRGVGAGIIRLFFHDAFVRGCDASVLLNNTVAAGNNTEREGPPNKDSLRGFDVIDAAKEATKAACGGRNVVSCADILALAARDASHILSNGRIKFAMPTGRFDGRESFARETIQLPGPDSTLEDLVRMFKVQGLNETDVVTLSGAHSIGRARCLFLTKDRLSAMNSTYAGQLNETCKINDTVNQDPFSPDRDPNNLDNQYYKNIDKFVLFKSDAVLLSSETTKKQVEANAANATKFEADFAAAMVKMGNIGVKTTHVPGVTEIREVCWRVNNA >KQL23623 pep chromosome:Setaria_italica_v2.0:II:15525532:15533285:-1 gene:SETIT_029047mg transcript:KQL23623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVQVTPLSGAYGEGPLCYLLAVDGFRFLLDCGWTDLCDTSQLQALAKVAPTIDAVLLSHPDMMHLGALPYAMKHLGLSAPVYATEPVFRLGLLTMYDHFLSRWQVSDFDLFTLDDVDAAFQNIVRLKYSQNYLLNDKGEGIVIAPHVAGHLLGGTVWKITKDGEDVVYAVDFNHRKEMHLNGTVLGSFVRPAVLITDAYNALNNQGYRKKQDQDFIDSLVKVLGSGGSVLLPVDTAGRVLELLLILDKYWGDRRLEYPIYFLTNVSTSTVDYVKSFLEWMGDHIAKSFESSRANAFLLKKVTLIINKEDLEKLGDTPKVVLASMASLEVGFSHDIFVEMANEARNLVLFTEKGQFGTLARMLQVDPPPKAVKVTMSKRIPLVGDELKAYEEEQERIKKEEAIKASLVKEEELKASHGSNAKASDPMLIDSSSSRKSTKAGSHFGGSNDIFIDGFVPPSTSVAPMFPFFENTAEWDDFGEVINPDDYTMKQEEMDSTLMLGSGDGLDGKIDDGSARLLLDSTPSKVISNEMTVQVKCSLVYMDFEGRSDGRSVKSVIAHVAPLKLVLVHGSAEATEHLKMHCAKNLDLHVYAPQIEETIDVTSDLCAYKVQLSEKLMSNIICKKLGEHEIAWVDAEVGKEDEKLILLPPSSKPPPHKPVLVGDLKLSDFKQFLENKGWQVEFSGGALRCGEHITVRKIGDSQKGSTGSQQIVIEGPLCEDYYKIREHLYSQFYLL >KQL24069 pep chromosome:Setaria_italica_v2.0:II:25505927:25507268:1 gene:SETIT_030360mg transcript:KQL24069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPYTHPYQHHAASAVPPSNKQHGDDDDDQSMLLLSLWPPGHHHHSSYSACSSPSIVSTTTSMSMAAATAAASNPWLSSHHQSHYNGGGGSSSSHSSFLFHEQAEPDVSISLSIAPPCGSAGFATAPAAPSTVTTTVAPGGGQQVPSQYWIPSAAEILVGSTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGSESLRGAITVGTAPPASLMRLPCYCCAEGCRNNIEHPRARPLKDFRTLQTHYRRKHGARPYACRRCGKRFAVRGDWRTHEKNCGRLWFCVCGSDFKHKRSLKDHVRSFGGGHAPHIVESVAGVGGADDDEEDDDEHDDADLQMVDDNGGSDMVVV >KQL27017 pep chromosome:Setaria_italica_v2.0:II:47434650:47436138:-1 gene:SETIT_032427mg transcript:KQL27017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVAVPSPPPTASEDAESLRKALQGWRADKAALVGILCRRTAAQRAAIRRAYAFLYREPLLNCFRYKLSRHYCLLSVDFWKALILWTMDPAERDANLVHEAVKKKDENYILVLIEVSCASTPDHLLAVRKIYLKLFSCSIEEDVASSPALREPLKKMLVSLVSSYRYAGEHVDMDVAKLEAAQLSEAIREKQLHGNEVVRIISTRSKSQLRATFQQYKEDQGRDIAEDINSRCSSQFGRMLKSAVWCLTSPEKHFAQVIRYSILGVGTYEDLLTRVIVSRAEIDMKRIKEEYMARYKSAVTLDVAGDTSFGYRDMLLALVGSED >KQL26114 pep chromosome:Setaria_italica_v2.0:II:42436693:42440110:-1 gene:SETIT_033307mg transcript:KQL26114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVVGQVQEGLGEAGEAGGGVNVAAAEPHGPELLRDGADDRRGEEVRGRRGVPGHRGGSEVEVGLVRAVGLVEAAGAAHCERVRGVTRGAEREQRDGDDGGHGQQVVVIHGASAQHNGSPPPPGRYRHGGRRPARAPRHWLPVARVRGNKTFKANSKYQANLNHVAAMLLKKASASENLFARGRGTGSGPWGSARDSDAASCFACLTQGFQDLPNDCSYDKEATIYYDACILHYSDARVLSAADTGLSADTYAQPFLVNITSDQTRFNRIVADLMNATAEYAAYNSTRRFATGDADFDQEFPKVYSLAQCTPDQTPAQCRECLAGIIASTLADFFQNDLAPRALWVNCNYRYEVGGLGVGGPFYNGPAMVRLASSPPAVPAPASAPAPAVHPEIEPPPPTAGGGRKYSVSGLVLVVMLPTLAGLNLVACLCFRRLQRPAAQAKQQYPMHSGKAEDIEMVDSMLIDVSTLRAATGDFAESNKLGEGGFGTVYKGVLPNGDEIAVKRLSQNSTQGVEELKNELALVAKLKHKNLVRLVGVCLEQQERLLVYEFVPNRSLDLILFDAEQLTLLDWGRRHKIINGIARGLQYLHEDSELKVVHRDLKASNILLDAEMRPKISDFGLARIFERDQTQAVTNRVIGTHGYMAPEYAMRGNYSVKSDAFSFGVMVLEIVTGRKNNHSYDSQKNGDLVTTVWEHWEAGTVTELVDPCMGGSFPVGDVLRCIQVGLLCVQGDPVARPIMSSVVMMLGSDTVSLQAPSKPVFFTRNAAACTTTAMSVLG >KQL26689 pep chromosome:Setaria_italica_v2.0:II:45778599:45781317:1 gene:SETIT_031495mg transcript:KQL26689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVRCGSEIISFVYRALGFEMTEEQINQMIADVDKDGSGAIDYEEFEHMMTAKIGERDSKEELTKAFRIIDQDRNGKISNIDIQRIAKELGVNLTLDEIQDMVQEADRNGDGEIDFDEFTKMMRRTSYGY >KQL22352 pep chromosome:Setaria_italica_v2.0:II:1921233:1923697:1 gene:SETIT_030402mg transcript:KQL22352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPELMPELVGEILLRLPPDEPEHLLLAALVCKPWFRTLCNPAFRRRYHAFHRTPPLLGFLHRLQVIQGDPPQLLAPTTAAPLPPYPNCHRTRLLDCRHGRVLIHVGDDYWHFIVWGPVTGDEQRLPEPGFIPVSAYSSETGEWSVPVSLGDDCEAYVKHQQSATERSYYTPYVQPRWGAVIGDEIYFTLRRGNAIVKYDWSYNCLSMISPQSLVVYSSRISLMVTEDSSLGFACIGGSNLFLWSRKVNSQGAPEWVQCRVIDLEKIIPVADSSDERLVVGSAEGVGVIFISTGVGLFMIELKSGRVRKVDVPGVYFSILPYMSFYTPDHCRLSSVAKD >KQL25776 pep chromosome:Setaria_italica_v2.0:II:40470844:40472418:-1 gene:SETIT_031914mg transcript:KQL25776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQASRRRPEGGDGYAADGVDRLSDLPEELRLEILGRLGSAREAACTSVLSHRWRGLWTRLPDLAFRGVGIGSVEAALAQLAGSPALDLLNVCVEEHVNPERISSLLRAAAPLAPKNLTIASEYGPRGEGAVDLPCLDRTASLTISLVEMSLVPPQAGEFAALTSLSIVWSSIDVAALLPLCPWLRVLHLQKCFELGAHAVLHLPLLEELLLREDFLESIDIDAPVLKKVEVEVFVDEELSVSFSAPMVELFDWVLVYHQFDVAFGRLWRMNSLDILAEGHVFGPMVLHLLRIRPVIQMLRISMEENDECKEKFPCSRNCQCKQPNNWRSESVALTGLEEIEIVGLKGKDHEVDFLKLLLRCATKLERMTVRLYDGVSPSKSECTKIRHVFKEYPDVECVVYSKYGK >KQL27046 pep chromosome:Setaria_italica_v2.0:II:47568651:47570746:1 gene:SETIT_031036mg transcript:KQL27046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFSDLHTADGLKALEAHLAGKAYVSGDAISKDDVKVFAAVPSKPGAEFPNAARWYETVSTALASRFPGKAVGVNLPGAGLASAAAAPAAEAAKEEDDDDLDLFGDETEEDKKAADERAAAKASTKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVQMEGLTWGASKLVPVGYGIKKMTVMLTIVDDLVSVDSLIEDHLTVEPINEYVQSCDIVAFNKI >KQL24328 pep chromosome:Setaria_italica_v2.0:II:28908502:28909965:1 gene:SETIT_033010mg transcript:KQL24328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASAPSFSRGTRDAQAQLPHIVIFPFMAKSHTIPLTHLAHLLRRRHLATVTFLTTPGNAAFVRAALPSADDGVAVVELPFPDNDITVPGFPRGAECVQALDSLSSFPAFVEAASLLRPRFEEALAAARPPASAVVADAFLHWAQPAAAALGVRTLAFFGANVFAHVIRELCLRNNPAAALRGGATVFTMPEFPDVQFSLADIPLPFNEPDLTVMASIRKMDRKIGRAIAGSHALIVNTFDAMERRYIEHWNRHLGPRAWPVGPLCLARPATPVSGHGGGAPAWMRWLDEKAAAGRAVLYVALGTTAAVPDAQLREVAGGLEQSGLDFLWAVRPVDADLGTGFEDRVRGRGMVVREWVDQFAILRHGGVKGFLSHCGWNSVTESISAGVPLAVWPMGAEQPVNAKLVADELRIGIRVPAKHGMTSTLVESEEIARVAKELMIGEKGAEAARNMAALGSKAREAMDEGGSSWRAVEEMIAGLRQPA >KQL22791 pep chromosome:Setaria_italica_v2.0:II:5311379:5312950:-1 gene:SETIT_032495mg transcript:KQL22791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQATEQGSRPPASDADTDPARRPLGPGGMGGSKRAAVAGLPDDALVEILSRLPAKFLCRSKCVSKAWCDLIADRLRCRKLPLTLEGFFYGCVYESCNEDRDDLSSDSWDESGSEGNDDDGSEDDKEGGSANNGKGGSEDGDEGGECKGEKSSCHACGHFINLLGRSVPLVDPSFSVLRKQPGIKNFTLLDSCNGLLLFGQAWCSRFSATTSYIVYNPATEHWVPVPSSGFSSNPLEYGEDCDDDVEESCVLTCLIFDPAISSHFQLIEFCHNPGVALVLAYSSETERWGDRPRERRRWKKGGKWDLHKTMSSMRGSTFFNKMLHLIVSPYSIGPELIAAIDGKGKTRKVIQWVENRGFPVFVGQSKGLLHCLSVSGHPDGDSCHMTELSIWVLEDYDAEEWNLKHTVSFLELFGKKSCQFGSDYNVTTIHPDQNLVFFIQHWDYKLISYDMDRKEVCALRTVGCDYGIITPYAPYFSETPVLSKKH >KQL26009 pep chromosome:Setaria_italica_v2.0:II:41805460:41805804:1 gene:SETIT_032677mg transcript:KQL26009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPSAATPSAAAYAKVEKMDAEEARHLKAQYLIHKVLVEATASAARPPRRRHPALATVRARIGVRLKKLRLAIRGVRVRARRAVRRHLRSLRRLIARGGQGSSVKLAATGSPS >KQL26417 pep chromosome:Setaria_italica_v2.0:II:44215306:44218335:-1 gene:SETIT_032073mg transcript:KQL26417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLQFHGVLLLYLFALSSCLPFEPSHRGRRDGDGAHGYPRFVDVQRQCQSVLSSAVELRYAYSARFPDRLPYLRQQLERELSFEKGDWRQDAGDAHLLPFDGSDATEGAPAPPDPLRLATFVLTHVDVERIGRAAVNISGVLVLSIARESAEDEIRPGARVPAASPEFEILPGSTKVKILFEGVYTEKLEGGGGDDGERVLCMVGSALLPTRSTDTNLRPPVIADNNVVLVVRYPKELTLTTRAVHGEMWSTNAVSDGAYFDAVRLMSKVGVYNMPYRYPSDELVATACSPWPASDAVAVASHSGELHRGITICEVLNRFPAHANTLTVVPNWQCSSKDQPCRRLGPFEALAFDGDAIALQDFQCRPSSDVDGSEFSARVWGVFRALSPRENRITAFKRTKLDGTTLAAEGVWKASAGQACMVGCLAGGRNACRYRVCLYVPTTFSITRRSIMLGRITRIDAGDGEEGPRPPLLFEQRVPSMRLWGLSDVFPFRMAYNYTMVKQASEFLRRSGSAFSARDIVARSLCLSYPKKDTADNDEETSLFRLGDELMLRFTAVPDLFPSEWIENPLLLLEMLSVEQAVGPIAPPSFWHPSTVASHHGKDKEPAGTGRRPLLNVSAELRIVGKPFGWVTALSLEGVYNPEDGRMFLIGCRDGRRLPGRNVSTSRDLEERRMDCSVEVKVEYPPTTTNWLIGSTAKVHLASTRSAGDPLYFGAVRLEALPVMYQKQWWHVRSTGVIDGVLCIVVLSITIAASLGQLRHLRSHADVAPYVSHAMLAVQIVGYGVPLITGFEGLLEKVTFGSQAAANPPPFWATSYALADGAADPYRAAAIDQIARALILAALLLTLRISDKVRRSRARSPTDRNVLVCSYGAPLAAIALALALNGEAMSAEQLVALMRDLFLLPQVIGNAVWRVNCKPLAESYYLGVTAARVLPYAYDYVRPPAVDPHSDQLYSDEYLRMPKLVDLVVPVVAVVLALVVYVQQRWNYAIVSRMRNGEQKKLLHIF >KQL24288 pep chromosome:Setaria_italica_v2.0:II:28545990:28548411:1 gene:SETIT_032669mg transcript:KQL24288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMAPLLGQREELQEQEKRGGVRAEDDAPRDELAEKMARLGLHEPAEAAGEDSAAVDRFSPAFLRPHRAADQSALQPASIVRAAGPAAPSPMPDARRFAEGRLRRGGLARGPRNEPGAGTPAAARGVLLTGDHAYMTPPRHLHGMAANRQLQDPNLFSHVNEQAVLFALSQETPEKIVSYACDLLLSESTHGQQMFYLIFNHCHHQLREWVIAKITQDRSFYSLCVRRHVVFMINSCETRKSMQLFGDAMVPWMSPSQMRVLLSDSKRLQVIHAFIKSSPPDIAQFIFEAVAKECTRLARQSNGLSLLQNCLERVSWMEMDNILIKLSYQSLHLALNSCGNWILQDILKKGDSFHIAVIASCLRNHYVTLAKNKYGSNVVEWCLKVFNEGERSVIVNELIYYAHFRDLVTHEFANFTLSTALEVCKYPLRNILANAILSQNIMVRNQHCTKIFSILARYGFIQRTFLR >KQL25522 pep chromosome:Setaria_italica_v2.0:II:38859133:38859555:-1 gene:SETIT_032302mg transcript:KQL25522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCHTLFLKKGKGLVLILKEAAILRKCFFVEQCKRAGCHFIKKKEVQRVRLQQPFPNTSHTHPRSLGSHLHQSTTNNSDQKNLRPLKGQGQRPDKELMQRRGPCHTQQYE >KQL23902 pep chromosome:Setaria_italica_v2.0:II:22723272:22724489:-1 gene:SETIT_032685mg transcript:KQL23902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVRLKWVKNRGLDHLIERTTSIRASCLLLDHLARLPAASPVPARSLARLQKPLGLTVPVLRFLRRHPTLFAEQPHPRFPTLPSFSLTPASHTLLARLADASAHDAHLRLARLLLLTRSRSLPLASVLPLRFDLGLPFNFAAAFPATHPGVFAVANNRISLLSASGLPEDIAVSSLQRRHAAAIDTATYRALSRPPSSSSAPLAFPMRFPRGYGSMKKVKAWMDEFHRLPYISPYDDASGIDPESDIFEKRNIGLLHELLGLTVHKMVRRNAIRLLREELGLPHKFTRLFTRYPGVFYLSLKCKTTTVVLREGYERGKLVEQHPLAAVRDKVHYVMRTGVLYRGKGLSKLVLDEDVAEEDGSLDGEEFQGEGMDEDADVECFGMEIVDDDGPAVDEDDEGDSDG >KQL26287 pep chromosome:Setaria_italica_v2.0:II:43568896:43569559:1 gene:SETIT_031684mg transcript:KQL26287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYSQQQAPVTAYPPPGGYAATAPPLPAGQPYVQAPPMQAGYYAPPAGYPGGNFNGAMMNPPPPQVVSPQTQSRGDKAFWEGW >KQL27166 pep chromosome:Setaria_italica_v2.0:II:48189182:48190568:1 gene:SETIT_030562mg transcript:KQL27166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASCLSLLVLLALASSAAAQLSSTFYDTSCPNALSTIKSAVTSAVNNEPRMGASLLRMHFHDCFVDGCDASVLLNDTSTFTGEQGAIPNRNSLRGFTVIDNIKAQVEAVCNRTVSCADILAVAARDSVVALGGPSWTVLLGRRDSTTASKANAESDLPGPSFDLANLTQAFANKGLNLTDMVALSGGHTIGQAQCRFFRDHIYNDTNINSTFASSLQANCPRASGSGDSSLAPLDTASPTSFNNDYFSNLLSRKGLLHSDQELFNGGSADGTVRSFASSASAFSSAFAAAMVKMGNISPKTGSQGQIRLTCSKVNS >KQL22226 pep chromosome:Setaria_italica_v2.0:II:723141:727369:1 gene:SETIT_031113mg transcript:KQL22226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGPSASSSAVAGPSSSSPPQAGGGDAPRQCRPPRAGADPLLIVCGCFSVATAATALLCVAVNVLSAVQAFRAGSDIFGGIFRCYAVVFSLFVGVLETEWGFIMKFWKIFEYWPARGMLQIFVAVMTKAYPSIERNDLILLQEIASYMLLSCGAVYVISGILCIGVLKRSRQQKATSREQAVKDLHELEKRREELEALLIAERSELV >KQL24145 pep chromosome:Setaria_italica_v2.0:II:26711202:26711685:1 gene:SETIT_031547mg transcript:KQL24145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRHSSSHTLLYLPQATALRILTYTELPKNSRAASMATSGRPPTTLSPRSRTPEPNLHPPHPLLSLPIPLDSKTVAGSAVLVELLPLLMAALGRTGTTSLFPPVPVSIPLSVFSLF >KQL24517 pep chromosome:Setaria_italica_v2.0:II:30991947:30993813:1 gene:SETIT_030768mg transcript:KQL24517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIGGGRGRKGDALLTPPRGPGLPSSSPSPSGSGLLLPCPPSAAAVLALPASRDPAASEGAGFLAAPRLAGAMDGGGEALLVRRSKGKKRPPAAAHAERDSGAGGRFRSLMRDYNDLLEETEAKKKMLASANRTKLALAAEVKFLQRKHRSFAKSSNKTHYKLKKQARYVPSPLGRASVFADHDVTRTEGASCSKNPNFDLNQGSAMVCDNDEGNDYQGHRSHLELDNFDQVGVDEEMIAADVKLSVCRDSGNSPASDDKRTIPWQDRLALKA >KQL24518 pep chromosome:Setaria_italica_v2.0:II:30991947:30993813:1 gene:SETIT_030768mg transcript:KQL24518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIGGGRGRKGDALLTPPRGPGLPSSSPSPSGSGLLLPCPPSAAAVLALPASRDPAASEGAGFLAAPRLAGAMDGGGEALLVRRSKGKKRPPAAAHAERDSGAGGRFRSLMRDYNDLLEETEAKKKMLASANRTKLALAAEVKFLQRKHRSFAKSSNKTHYKLKKQARYVPSPLGRASVFADHDVTRTEGASCSKNPNFDLNQGSAMNDEGNDYQGHRSHLELDNFDQVGVDEEMIAADVKLSVCRDSGNSPASDDKRTIPWQDRLALKA >KQL24556 pep chromosome:Setaria_italica_v2.0:II:31257669:31259685:-1 gene:SETIT_030223mg transcript:KQL24556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGPWLERYGPSVGMVLVQLFYALVDVALKTASRVGMRPIVFVTYRQGIAAATLLLASFAARGCTLRPMAVGARAFGLIFAASLATATGQYFYLEGLLLASPSMARATTNLAPGITFAIAAVIGLEKVDIRNLRNVAKIVGTAICLAGAMFMAFFKGPKLLGAVLRSPTSDWVKGGVYLVGNAVCVAIWYIFQVPVCKKYLDPLSLATWMCFLATLQCAVMAFFLEPNYLQIWKLTSFWEFPCILYGGVFASGANFFIQSWCISVKGPLYSAIFTPLSAVITAMLSTLFLHEELHIGSVLGAITIIVGLYVVLWGKADDAKSESLAIHSSGSKGGVDSDCIGVSIEPRTNLSEPLLPEPDNGNSNNQTR >KQL24557 pep chromosome:Setaria_italica_v2.0:II:31257834:31259188:-1 gene:SETIT_030223mg transcript:KQL24557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATTNLAPGITFAIAAVIGLEKVDIRNLRNVAKIVGTAICLAGAMFMAFFKGPKLLGAVLRSPTSDWVKGGVYLVGNAVCVAIWYIFQVPVCKKYLDPLSLATWMCFLATLQCAVMAFFLEPNYLQIWKLTSFWEFPCILYGGVFASGANFFIQSWCISVKGPLYSAIFTPLSAVITAMLSTLFLHEELHIGSVLGAITIIVGLYVVLWGKADDAKSESLAIHSSGSKGGVDSDCIGVSIEPRTNLSEPLLPEPDNGNSNNQTR >KQL25623 pep chromosome:Setaria_italica_v2.0:II:39437608:39439945:-1 gene:SETIT_032710mg transcript:KQL25623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPEDIWNHIRSLVPLRGAARAACVSGAFLRSWRYHPNLTLTVQSLGYFDFTRKVDHILEKHSGVGVKKLDLEFIECYNANASNHLDSWLQIDYYDNYIFVLKYEFPCSVLSDGSGSSIRYLDLEGCAFRPAVQLGHLGCLARLHLHCVHITGDELECLLSNSLALERLELRDCHEIICLKIPYLLCHLSYLHVYGCNMLRAIENKAPNLRSICLRSLPAQLPPGQSLQLGESLQLKNIEMWCFNPVYYARAELPLVAPNLETLTVGSMLEMVNTPMAPSRFLHLKHLSIHLVGVNLSPEYDYFLLASFIDASPSLKTFILRAPLTLTKHESIIGGSSDLRQMPQHRHHNLQSFKITCFGSAKTLIELTCHVLENTSSLECVTLDTTTSEAFRCSDDNSTKCVPMPKDNNLEAQKALLAIEMYIKMKVPDAVKLDVVEPCRRCHAIEL >KQL26936 pep chromosome:Setaria_italica_v2.0:II:46994191:46995700:-1 gene:SETIT_031181mg transcript:KQL26936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRISLLCFLVLAFSLLHCARSDGNDAQLLKGINSYRSSLKVPALTENKNAACLAEQLAKQFKGQQCTNTTGANTVIGTEQQFPDYPKYLDHCHLNASVTEDGQVMPACVPGLVPGVVLTNYTKSQYNRFLNDSQFSGVGIANEGDWVVVVLSTSTGSGDYSPATPGSNWAAPVQPFSLMILLLVGSVVLLMK >KQL22863 pep chromosome:Setaria_italica_v2.0:II:5935183:5942010:-1 gene:SETIT_029015mg transcript:KQL22863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASPSPPAASPSDPNPNPSPGADPRSDPSPMPDAAGGASPASPEKREEEEEEAEAPPGKAGKRAAPPAEEEQQPTPRKTRLPRACNNKPKPPPPPPPERPRRRAAAAAAAGADDTPQCRVVTPLVLEPVAPAELPRWRLRCMWELGSVLNFLHVFRPLLNITAEFTAEDLEAALITPNETLDDVHMPLLKSIPPVTRMAMGRGTWVTVLCRKLKDWWHWVAEGDLPIVASHGTEIETYKTLEPATRLVILKAICDIRVEQDDIRNFIDSSLKQGHDLSTFRKERIGGDSLGISYWYEDDEILGHRLYREIRRVEQVKKEPGKRSRGKGGSSAISVVSYQWETVASNFDEFDDVAEKLFSSRNRTEVSLGKKLKIEYLPEIEKIHKKKERLLKKQQREALLLDSYLTSDGLTTGRSLRDRKPVTYTFDDFDRSINEAIKITKKREENSAEPVTTTTTTNRRVLPLRSEAPSNGKLNGPSPTANDSFDGNSSKSDDYRDSDGEQENEALDRSNRRRKRSQRYTQDFVEAVSDIDPNFDSDDDIMGEAVYDEEYLRSRKQQKASSASEEDEEFRLEEDAEDDDEEEEEYSLSTSEDIEPQQHKKLETRGRRGSKLRSVDEIQSGLRRSKRSSRPRINYRQYDFSDSDTEPGKARKSDASDPDAGSDAENDMELSTSSQEQEEEEEDDSPDEQNGNNVNDKMEEDHAVAENKVEPDEQQEQQQQQPVEKMDHAPSRESESVGRTFLDLNQLAPGGGFDDGPSLTVKDDMDNS >KQL26694 pep chromosome:Setaria_italica_v2.0:II:45793868:45794632:-1 gene:SETIT_033577mg transcript:KQL26694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYIALLIIVQYQLSLVFFCTLQRLQVHNQASPADSSTQTSPRGPLIHQAMEVGMCHTANCQQADIRWHDTKGTRKAAP >KQL22132 pep chromosome:Setaria_italica_v2.0:II:105551:106779:1 gene:SETIT_031164mg transcript:KQL22132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRLALALLVLAVAASQLPSAAEAANYTVGDEKGWNPDVDYTAWVKKHKPFFKGDWLIFEYQNGRSDVVQVDEVGYDNCDKANALSSYSKGHTFAFQLKEAKDYYFICSYGYCYNGMKVHVTARSTGSSPSSSSGGSSDDSSSSDDSSDDTPSPPSKKSKAKSSAASPSLLAGATPYASIIAATGAAALLLNRIML >KQL26063 pep chromosome:Setaria_italica_v2.0:II:42148875:42150200:-1 gene:SETIT_032600mg transcript:KQL26063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSDKECFDKSGPKHISEIDWGNEGHRRCITACLVRATYILESDRTKGRQDTPGARAPAWWRSFHLRLHRSPPLTGPSSIYGAIFEHVPPPAPPPEGARRRHQPPAPPPHFIVAFRGTKLRVIPDIKDDISIILNLQDTCIRFRKAREHVAELLLEATGISGSGGVVWLAGHSLGASVALDVGRDLVIRRALNLPTFLFNPPHLHMSEVAKLDWCRAKCVVKGAAVAHTAMRPHKKTMEALFRKLRPWVPELYVHKDDKICQGYIDHFEREAKMMAECPRNLTAASQGQSVEDDEEVPRVQPHLLPSVRLWKNSTKTTCPCHAHKLKHWRKPDGGLNLSTKRYRYQA >KQL23733 pep chromosome:Setaria_italica_v2.0:II:17095395:17098364:1 gene:SETIT_031129mg transcript:KQL23733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPRGRGRSRGRGDRRIDAAIDHFAAMGYAARDIRDAIADLLKVYGGPSAWPLLEEGSYQVVQDKLFEKEDEEKQKQEQEQEQDQTLLLEGQQVEEEEEEPPQHQEPAVDEAPPENNKLILLHDEVPVETESADEVEDPMFIEPSPLEAIVPLTAAVGIGCKRKPCYGWLTESDDEEQPTIQHHEVHIPTSGGGLLCKRNQVQ >KQL23474 pep chromosome:Setaria_italica_v2.0:II:13920757:13923772:1 gene:SETIT_030946mg transcript:KQL23474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLVLSGDESCIFHSYQGWPVKSIVRNSNKRVDRLHPKPRLMEIDGCPPLHHRGERRSAVAAARGGERGEASKMSGNAVVKKVADAAAKAGKAIDWDGLAKMLVSEEARKEFATLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPQYKPKFDALLVEMKEAEKASLKESERIEKEIAEMKEMKKKISTMTADEYFAKHPELKQKFDDEIRNDYWGY >KQL23475 pep chromosome:Setaria_italica_v2.0:II:13920757:13922667:1 gene:SETIT_030946mg transcript:KQL23475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLVLSGDESCIFHSYQGWPVKSIVRNSNKRVDRLHPKPRLMEIDGCPPLHHRGERRSAVAAARGGERGEASKMSGNAVVKKVADAAAKAGKAIDWDGLAKMLVSEEARKEFATLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESKHEFSSPSCLCFWELLRY >KQL26768 pep chromosome:Setaria_italica_v2.0:II:46236453:46242366:1 gene:SETIT_029329mg transcript:KQL26768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLARVLTLPLPAPSSTPHRRFLLPPRAARVLPVRAAACRAASAMAAVAALDPAAAAAVGVGEELPEGYDQMMPTVEPARRRRAGVLLHPTSLRGPHGIGDLGDEALAFLHWLRDAGCTLWQVLPLVPPGRSAGEDGSPYSGQDANCGNTLLISLEELVKDGLLMEDELPSPVDVEYVEFDTVANLKEPLIAKAAERLLLGQGELRTQYDCFKKDPNISAWLEDAALFAAIDRSIGAFSWYEWPEPVKNRHLGALEDIYLKQKDFIETFMAQQFLFQRQWQRIRKYAQKLGISIMGDMPIYVGYHSADVWANRKSFLLDKNGFPTFVSGVPPDAFSETGQLWNSPLYDWRAMEADGFSWWIKRIKRALDLYDEFRIDHFRGLAGFWAVPSDAKVALVGSWRAGPRNAFFDAIFKAVGSINIIAEDLGVITEDVVQLRKTIGAPGMAVLQFAFGSGSDNPHLPHNHEMDQVVYTGTHDNDTVLGWWKTLPEEERQIVIKYLPEAENTDISWTLITAALSSVARTSMVTMQDILGLDSSGRMNTPATQKGNWRWRIPSSVGFDSLSPEAAKLKELLALYNRL >KQL26576 pep chromosome:Setaria_italica_v2.0:II:45196850:45202312:-1 gene:SETIT_029390mg transcript:KQL26576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRFPVALRLSRCLLRGSSGRRVLSAMAGGDGEGQLGDFFEYMERLRNYERSGVPRGAGTDSDDGFDLGRMRRLLRRLGDPHTHFPAVHIAGTKGKGSTAAFLSNIMREQGYNVGCYSSPHLLTIRERISVGNDGGPVPVGLLSDLFDQAKEAIDESIELENGALTHFEVFTALSFLLFSQENVDIAIVEAGLGGARDATNVIQSTELAASVITTVGREHLAALGGSLQNIAIAKSGIIKQGRPVVIGGPFSTDIEQIIRDRAFLTQSPVISACDPGIKSISKCIYWDNGKPYQRCDISIKISNDTLFSIELHDVNLQLLGDHQRQNAVTASCTALCLRNLGWDISDASIQSGLEETQLPGRSQFLTREEALVLGLDGPSTILIDGAHTEASAKALSNVIETVRPEGPLALVVGMANDKEHLAFAEQLLAGSRPNVVLLTEASIAGGASRAMPAPSLKEIWMAAARDLGINCVDIGTISGAKAPEHIANLAASPSSLAGKPTVMIGCQDAAVPFSCNLIRAASQIILESRGGGASPGLVCVTGSLHVVASVLQHLERH >KQL26747 pep chromosome:Setaria_italica_v2.0:II:46092543:46095156:-1 gene:SETIT_030175mg transcript:KQL26747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRDLVWATSKHDVYMSQNYSVMHWSSLLQRGEEVLNVIDHVFPKQKVRGARPLFRMQICSMAVRDNLMVAGGFRGELVCKYIDQPGVAFCTNVTEDDDNITNTVDVYESPNGSTRVMAGNNDRVVRVFDTERFSVLSHFAFPWSVNDTLASPDGKLVAVLGDSSDCLIADLQSGKEIASLKGHLDYSFSSAWHPDGRVLATGNQDTTCRLWDVRNLSRSLAVLKGRIGAVRGLKFSSDGRFLAMAEAADFVHVYDSHAGYSEEQEIDIFGEIAGVSFSPDAEALFVGVADRTYGSLIEFGRRHRYDYLNSYL >KQL26748 pep chromosome:Setaria_italica_v2.0:II:46092543:46096478:-1 gene:SETIT_030175mg transcript:KQL26748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIPWERLYYGRDDYRGKRLNGYRNYESLSRSHELLDSECKQVDKKSTFYDFCFNTRLARTMVVHFQLRDLVWATSKHDVYMSQNYSVMHWSSLLQRGEEVLNVIDHVFPKQKVRGARPLFRMQICSMAVRDNLMVAGGFRGELVCKYIDQPGVAFCTNVTEDDDNITNTVDVYESPNGSTRVMAGNNDRVVRVFDTERFSVLSHFAFPWSVNDTLASPDGKLVAVLGDSSDCLIADLQSGKEIASLKGHLDYSFSSAWHPDGRVLATGNQDTTCRLWDVRNLSRSLAVLKGRIGAVRGLKFSSDGRFLAMAEAADFVHVYDSHAGYSEEQEIDIFGEIAGVSFSPDAEALFVGVADRTYGSLIEFGRRHRYDYLNSYL >KQL24216 pep chromosome:Setaria_italica_v2.0:II:27704561:27706148:1 gene:SETIT_032913mg transcript:KQL24216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQDRVKEWPERTNAFYFVKIRSFKDPDLRRKLMEAENEFQKKIEARNKIIEVARAKKEERSNIISELKSLYAENKQYHVVVEVLQNHLGKFRNGNNTMQAQGCSVVEELEQTIKMLSDRIVHECISILEEKRLYTEIKDIEKARSKVIYLSTNRPKLQDTVDGNEDTQDKVKVIDGIRKEQQAIGSKIKVLEDELNVVNADITSIQEDLDAATARKDKAYEPLQELRAKRDVKNATFVQNLTVLNKARDYASRGMVTELQGLHKTQVDEFMAQWRHSKAFREDYEARILSSLNDRQLGRDGRMMTPD >KQL24277 pep chromosome:Setaria_italica_v2.0:II:28464260:28468232:-1 gene:SETIT_030746mg transcript:KQL24277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSRVLNLSLLRRLRVAAELSPPWRPRVLPARGYQSRGYSSGGSSNRPMRQFSEQNESSPRPLIHYIAPSALLCFAGLVAFVHYNDEKRAVPLAKGGGLTGVPKRCTTNRPAIGGPFKLYDTENNVVTESKLRGSWTLMCFGYTSCPDVGPAEVQKMADVIKLLESKYGIKITPLFITIDPQRDSPSQLKAYLSEFDPRIVGLTGPISAVRQIAQEYRVFFKRVEEVGQDYLVESSHNMYLLDPCLETVRCFGVEYEASDLAEAITTEVKKASASSTN >KQL24425 pep chromosome:Setaria_italica_v2.0:II:29936790:29939733:-1 gene:SETIT_030072mg transcript:KQL24425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLAAIGKEQQHPQREKPGREESAYFGATAAGAPAMDWSFASKPGAAPSLMSFRSAAREEPTFPQFSAFDGAKNPAPRILTHQRSFGADSQQYAAVHRAQPPQHALNGARVIPVSSPFNQNNPMFRVQSSPSIPNGTAGPFKQPPFAMNNAVNNSTVGVYGTRDAVKPKTAQLTIFYAGSVNVFDNVSAEKAQELMFLASRGSLPSSAPVARKPEAPIFAPAKVTVPEASPAKQMLFQKPPHVSSPPSAISKPIPSVLQAATLPRSASSCNLDSPVPKCSVPLAVPPLSQAPATQPATLTTATAAAIMPRAVPQARKASLARFLEKRKERVTTTAPYPSAKSPLESSDTLGSGSANDKSSCTDIALSSNREELLSLGQPRNISFSQESPSTKLQI >KQL24424 pep chromosome:Setaria_italica_v2.0:II:29936790:29939084:-1 gene:SETIT_030072mg transcript:KQL24424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSFASKPGAAPSLMSFRSAAREEPTFPQFSAFDGAKNPAPRILTHQRSFGADSQQYAAVHRAQPPQHALNGARVIPVSSPFNQNNPMFRVQSSPSIPNGTAGPFKQPPFAMNNAVNNSTVGVYGTRDAVKPKTAQLTIFYAGSVNVFDNVSAEKAQELMFLASRGSLPSSAPVARKPEAPIFAPAKVTVPEASPAKQMLFQKPPHVSSPPSAISKPIPSVLQAATLPRSASSCNLDSPVPKCSVPLAVPPLSQAPATQPATLTTATAAAIMPRAVPQARKASLARFLEKRKERVTTTAPYPSAKSPLESSDTLGSGSANDKSSCTDIALSSNREELLSLGQPRNISFSQESPSTKLQI >KQL23300 pep chromosome:Setaria_italica_v2.0:II:10623311:10630250:-1 gene:SETIT_028980mg transcript:KQL23300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHRPSLLLRRRRSIPTPSSLLVLLRHRPFASSPSPPSPPTPASSKPPALSARLAFVFDQLDAIDRSRSSDISARDAALRRIQSWRRPAPPPEASPLEAEPGPGPGPEPDEPKKEAEASAAAAAADEVGRMSMEEVLRREVELVHPWPEWIELMERLAQQRYFDLGRAGDEASMAAAVPMDLSEVSEEAGFDFSRDWTTVKNACMNFGRDRFDILKSLPRKDLQILVGHGCPSMDAKAVFSAKLIRKLVHLDEGDVCSSCNLRNACSRGYILTRKEDEARTLDVMRILLIYGFDHIKETVENKPLLKLKSLKTVVRKLIHEIVKLSAVPIDPNLPPPVIKKPPPKVKQPPPPPKKRVGRDDVEMKKGDWLCPKCDFMNFAKNNICLQCDAKRPKRQLLPGEWECPRCNFLNYRRNMSCFHCEHDRPADEYSKSQMEAKQSALQKRLERPPRKSDVSSAWNFDFDDNESDGADVAAFEFADSSKARGSSSVDNISYRDSTRGSEDEEFRMAETMGKGRDDKFSERDSLPSSRVGFDDFDDEEDDIDSYELDLSKGSQTGGVSRMSYSDLENASDSEGLDELDNGRKSRYAAKDDTTVSADEDEFEDQPSLRSSHLADSWHKTRSRSGSNRYRRASLGSESDEGMNSDLDEDIDKGLGSRRSHSHGNPDRASVRHNALAYSDDEPFADDMDSGMVDRFQSRRTKSSSNISGNFRGRSHDMNDRQSRGDRYNRTKRNEQFNGFDMHRGGPVSDRARRVRGNQLDNGSRGSQRNVRGNWDRRSDFNGRHSGF >KQL27140 pep chromosome:Setaria_italica_v2.0:II:48036370:48038618:1 gene:SETIT_030197mg transcript:KQL27140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTELTSPSQPPELIRGSLIPALPDDLAVHCIALLPRAAHPSLALVSRAFHALLCRHPEPLLAARRALRLSDSHILLSLRPPSSASLLFFLLLQHPGWPPLPLPSPPVPVSSSSSVATDGSRLFLVGGSVAGVPSASVQILDPRARSWSIGPRLSSTREFAAAVVHSGVLFVAGGCVPSSPFWDEALDLSSPNAKWKTLASPVHLREKWMHGCASLAGKVLAVADRGGLAYDPAAPPAEAWAPVSPVLDMGWKGRAAVVEGILYSYDYLGQVKGYDPDSDSWSAVEGLERELPKFLCGATLANVGGLLYLVWEGKWKGKGKGKGEVRSMVVIEWAGIEVTRAEEGRLRGKVVSRDTVLFPDVPKGSTITHCIALEL >KQL27141 pep chromosome:Setaria_italica_v2.0:II:48036370:48038618:1 gene:SETIT_030197mg transcript:KQL27141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTELTSPSQPPELIRGSLIPALPDDLAVHCIALLPRAAHPSLALVSRAFHALLCRHPEPLLAARRALRLSDSHILLSLRPPSSASLLFFLLLQHPGWPPLPLPSPPVPVSSSSSVATDGSRLFLVGGSVAGVPSASVQILDPRARSWSIGPRLSSTREFAAAVVHSGVLFVAGGCVPSSPFWDEALDLSSPNAKWKTLASPVHLREKWMHGCASLAGKVLAVADRGGLAYDPAAPPAEAWAPVSPVLDMGWKGRAAVVEGILYSYDYLGQVKGYDPDSDSWSAVEGLERELPKFLCGATLANVGGLLYLVWEGKWKGKGKGKGEVRSMVVIEWAGIEVTRAEEGRLRGKVVSRDTVLFPDVPKGSTITHCIALEL >KQL25078 pep chromosome:Setaria_italica_v2.0:II:35746513:35748531:-1 gene:SETIT_0299732mg transcript:KQL25078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGAVFLWSIVYNIVRVTSNVTVGDGNAQTNQTEVLIPGSATETVAEENCSALNDHSDERTLPLISTDIPPTKNKVPLLESTWKFLSSISKKVDLKKLFAPSTIAVIVGFIIGGTPLIRNAIIGDSAPLRVLQESAELIGGGAIPSVTLIMGANLLNGVRGGARVQPSVIAGVIVVRYILLPLLGTGLVKGAVRLGLIQPDPLYQFILHLQYAVPPAMNIGTIMQLFGVGASECSVIFVWVYALASVAVTVWSAFFMWTLSS >KQL25079 pep chromosome:Setaria_italica_v2.0:II:35747018:35748531:-1 gene:SETIT_0299732mg transcript:KQL25079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGAVFLWSIVYNIVRVTSNVTVGDGNAQTNQTEVLIPGSATETVAEENCSALNDHSDERTLPLISTDIPPTKNKVPLLESTWKFLSSISKKVDLKKLFAPSTIAVIVGFIIGGTPLIRNAIIGDSAPLRVLQESAELIGGGAIPSVTLIMGANLLNGVRGGARVQPSVIAGVIVVRYILLPLLGTGLVKGAVRLGLIQPDPLYQFILHLQYAVPPAMNIGTIMQLFGVGASECSVIFVWVYALASVAVTVWSAFFMWTLSS >KQL24677 pep chromosome:Setaria_italica_v2.0:II:32174109:32179131:1 gene:SETIT_033308mg transcript:KQL24677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQVAVVLEPPRPKSPPRYPDLCGRRRLQLELQILNREVDFLKDELQSLEGVPPVSRSCKEVNEFVGTKQDPLLPIEKKRHRSCGLFWWIGSKLCICVPWICCSCQCLPKCKRPCCFDCSCCSCPDVSCCKPSCKSCNKPCCGPNSCSCCNVSCCKPDCPSCSPSCSSCCKPNCSSCCSPSCCKPNCSTCCKPNCGSCCKPKCSCFGLPSCCKFQCSPNCCTCTMPSCSGCNPCGSCKGCCSCPSDCCNRKPNCSCFSAQCCSCAECYSCTCPSCSSCFSCFGCFKSWKCSNLFGGCCSCKQCFKCQSSCCKGAPSCCKCQSSCCEGEDGSSCCWRSCCSVPKPSCP >KQL23301 pep chromosome:Setaria_italica_v2.0:II:10645760:10648377:-1 gene:SETIT_032368mg transcript:KQL23301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVILFATLLREIETLDDDVVPCGDDTVDAKDIVTRENLEITIRVDASDAIATNQEIKAKDDVLESEDGNNLLDRINRVDALENAVDLELEDPKVSRHNKPKKVHMKEVQPQEQHVVVRVDDIFINFKTFKCLLCEWRCEYFIHVINAYINLIRAEKHLLCRAESTIYLENTLIVGLLQRDGTNKEKIKPNIKENSIVERVMKYMAHDLVFLPINIEEMHWYLAMVNCKRREIQVLDSLGPMSCDDLGHVLDGLQLQIDAVLGVRDITDHKRPDLQVFSWPVVEQFYHRMQTDGVSCGLFLLNFMEYWTDEKLSDTFTSDPYPISLSLKNLQDILDVNRSMDVDVFNLAVRMLACDMATVLREPKSHFMDLTFSKIARTYDHAMDEIDPSWNDDIYDWKHIYPCLVPKTFYR >KQL25485 pep chromosome:Setaria_italica_v2.0:II:38514842:38517353:1 gene:SETIT_030858mg transcript:KQL25485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIALLCLLGLLVASPAIADSGIYYQLELMWPGAYCEQSSAGCCKPTTSAKPARDFFISGFTVYNATTDAPVNRCSNKAPFDPNEITDIPGLKQYWSNIKCPSNNGQGGWKNAWKKSGACSGLKEKDFFEKALEFRSRLNPLVRLQKNGIQPDFELYSLKKIKKVFQSGINATPLVQCSMGPFNKYMLYKLYFCATEQGTFIDCPVAPKYTCSAEILFHPFKRWMLQQLQGEDAEFAAAADGDDQDAFVLPGVAMDM >KQL25373 pep chromosome:Setaria_italica_v2.0:II:37666983:37668443:1 gene:SETIT_032965mg transcript:KQL25373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAHRDHLLAAARRAFGAAVLVLCLLAELLVFALRHPAALQLVPACAMLLLLLWRSSGRAAAASGVELVDFACLRPPRRLRIPIPALLEHLRLIGCFDEPSVEFMSRVIGASGMGDETYFPPSLHRIPPSATHADALAEARAMFVPTLDALFARTGVPPSAVGALVVNCSGFCPAPSLAALIAGHYRMRADVRALNLSGMGCAAGVVGVDVARAALGAHAVDYAVVLSAEIVTVGWYGGRDRRKLLLNCFFRTGCAAALLTGAGSAVSVPAKYRLVALARTNRTADDRSYTSAVREEDAEGITGFSIGRGLGGVARDLLRAHLLELGPAILPWHEKLRYAAALLLFRRQQKRPKKLTDDDGGGNNNGPRPSFLTAASHFCLPSSGMPMIRRLAEGLGLGELEAEAALMTFHRFGNQSASSLWYQLAYHEAKGRVRRGDRVWQLGMGSGPKANSVVWERVGGDTDAAAADDGPWADCIHRFPIRES >KQL26151 pep chromosome:Setaria_italica_v2.0:II:42635733:42636135:1 gene:SETIT_031778mg transcript:KQL26151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNSEFGTEQKSEKGTQNSDLEQYDRPLSSPQGSTITPQDHRSKTPLPTLHFSNSNFIIRSNMV >KQL24260 pep chromosome:Setaria_italica_v2.0:II:28201422:28205440:1 gene:SETIT_029379mg transcript:KQL24260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPLTPAPPRILLAGDAHGRLHQLFKRVKSVNQSTGPFHALLCVGQFFSPEGDAEGAPGDVADYLEGRATVPIPTYFTGDYGPAAPRLLSKAAAGARGFAPGGIEICPNLFWLRGSNRFTLHGLSVVYLSGKKGPGGPGCYSQDDVDALRALAEEPGIVDLYHIAGTKGVFYSREPYVNDSAAHVTRFIGLANVGNKEKQKFIHAISPTPASTMSSADIHAKPPNATLSPYAASAKSVPIEEAPKRPADNTDAQYWRYDVKRQRQGEGDGGRLCFKFTSSGSCPRGSKCNFRHDEEAVEHYQRNVCFDFLNKGKCERGLDCKFSHSLETSVGDARPRSERRRAESRCWFCLSSPDVESHLVISIGEAYYCALAKGPLVPNHVLMIPVEHCPSTLMMPAEAEVELGRYKNALAKYFEKQGKTAVYFEWVSQHTRHANLQAVPVPLSKADAVNKIFHIAAKRLGFEFSVVNPGDGAKVARESLISQSESKSSLFYVELPEGKILLHTIDSNEKFPAQFGREVLAGLLSMADRADWRNCKVSNEEEIQMVDDFKQGFREFDPAE >KQL25635 pep chromosome:Setaria_italica_v2.0:II:39512709:39512953:-1 gene:SETIT_033700mg transcript:KQL25635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASILNNLELQHPYRYSIVVRWCFTGAFRMLCISVRLMYEKRIHFS >KQL23627 pep chromosome:Setaria_italica_v2.0:II:15533529:15535373:1 gene:SETIT_031645mg transcript:KQL23627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAAAAAGGSGEPAANGSKSEGQQFDPSRMIGIIKRKALIKDLAAAYHAECIASCKELLQLQRKWEEEQYVEVKMPEEPRLMTMKTSKRRKK >KQL23624 pep chromosome:Setaria_italica_v2.0:II:15533529:15535373:1 gene:SETIT_031645mg transcript:KQL23624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAAAAAGGSGEPAANGSKSEGQQFDPSRMIGIIKRKALIKDLAAAYHAECIASCKELLQLQRKWEEEQYVEVKMPEEPRLMTMKTSKRRKK >KQL23626 pep chromosome:Setaria_italica_v2.0:II:15533529:15535373:1 gene:SETIT_031645mg transcript:KQL23626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAAAAAGGSGEPAANGSKSEGQQFDPSRMIGIIKRKALIKDLAAAYHAECIASCKELLQLQRKWEEEQYVEVKMPEEPRLMTMKTSKRRKK >KQL23625 pep chromosome:Setaria_italica_v2.0:II:15533529:15535373:1 gene:SETIT_031645mg transcript:KQL23625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAAAAAGGSGEPAANGSKSEGQQFDPSRMIGIIKRKALIKDLAAAYHAECIASCKELLQLQRKWEEEQYVEVKMPEEPRLMTMKTSKRRKK >KQL23350 pep chromosome:Setaria_italica_v2.0:II:11062022:11065030:1 gene:SETIT_030889mg transcript:KQL23350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNMVTGVSPVADEWDMPPLTSSVYASPLFRKGLDPINLPGYGDVKNSQEGTHTGLVMSDGFVFPPSEHENLPIDPEHEILPIEPEHDESNTNSDGKEGSCAGSNDDEWCHVSPEEVDGMSNENLSINSDLPTDNESPLPDSNPTDHMNCKADLPCEGWWKRKSTYLFHHIKGVTTVCSVVAAGAVVGFVVMSQRWQQDHLHLHQFQFSVNGESMSRVIGAFSRLKDGLPGSEQLRSLLPTRVLPQQPLSA >KQL23882 pep chromosome:Setaria_italica_v2.0:II:22221447:22239544:1 gene:SETIT_028635mg transcript:KQL23882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLAQHFAAVHLCCIPRLLTLVGKLCQSPALEMVEDVNINLRLSFTQRILKLVCGLTMEFPPDASDAMMLSSVARCADSLPALFRLKFKFSNHDRVFCVDGVGTILLQILEEFLQLMQIIVCNSDICCTVQVCVLSSMLEIFSPNIWRYERSGTCLVPPLAYSPRVVQFVLKLLKDTKRWTRRVDRDKPDKDAFYYSFNHELNDLSCHVRSEEVPLLKKYTCEEYLQFIFPSGEQWLNGLVHLTFFLHDEGVKSVTSEKPQLSCTKQPIVSELESVASHEEEALFGNLFAEVRPAGITESVEQPTSLGSDLSNSQQGPIQLAADLICFMKASIFSPEWSRAIYMDACRKFNTCHLDQFLSILKCQACFPDESSTGNTMSSENKLLHINAACFELLQMFLVCDECPASLREDLVEKVFNVDNGKYTYNHYALALVAHAMTYAANSGFSLGRKIFVQYVTYLLEKANDTSSSSLNVSDFCASLPCAFHLEILLATFHLTTESEKADLVKIVLSSLEKMKKPPSGVSVTGLTRWALLLSRLLLVLRHMLLYPLTHPSWLFMRMRSRLRDIQLNQGQSHSMNDCLPSLATVIVEEMLDDSVKKSAMASDLLPHLIDMTPTHADSYFDKAAVETLGLSLADLGATMSQILNSWNGRSAEVADDLIVERYIFFICWNTLSVTGCHGNGSLLNDDYLKPELGNVNVFLAFALSISNGASSHAGIDLPALIFELLELLHSDILGSSKLESWDFPRKGAWLSFILSLINADLQRQQVQVGAETEVDSHRKHEVQGEELFTHGNSLSIYLTKNIGRCLDTLSSLLEVYLHTFKKAYLSFLYSGKPSLDNSYPSLLLKHSVFDKSKHHLLFEKSGSYLEMLEPIYQLSSRIDGVTTKLGEGQENYFSLKCLLHGFPSAYPSSNSALLSCILVINEIMQTFNGYTKVALPGDRDRVDEGIISKLLSMVMAVRSDQTFKPIHGECDNIFMSLINNRDDLAKYSDLFVLKQLEGFLADINSNESMDSRMKEILVSTIVDLVEDLRSKREVFKFFLGDDAEGAPDEASRIFASEHADMSVFLDVLDCKSEQVNLKILHLLTDILRNGCCPGLKQKLQNKFIGMDVPCFSSWLEFVILGPSVKVESTNGTAGPATRELAVDFFTNLICPSPEAVTKELQHHLFNSMLLLLDRAFLSHDLQTAKAYFHFLVQLSSEESHFKHLFEKTLILMETMVEDKGKLHTLKFLFSFVEAVFGDTGLNRSALKRLSSKTSGSSFGSGSLIPKQLKNSENLVIRTNQVSNPAVDCDASSGEEDEDDATSDGELGSIDRDEEDDGDSDRALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKPRKFTGSSTVPPAASSFHPILAYHEDVEQVADSSSDFEDDISTDADSSMKLSVPNEFSSGLPLFLKNLDIEVKVLEICKKLLPAILDRRELNLSKDRKVLLGGDVLVSHSSDIFQLKKAFKSGSLDLKIKADYPNSRELKSHLANGSLAKLLLSISTRGKLAVGEGDKVAIFDVGQIIGQPTASPITADKTNVKPLSRNIVRFEIVHLVFNPLVDHYLAVSGYEDCQVLTLNSRGEVTDRLAIELALQGAYIRRVEWVPGSQVQLMVVTNLFVKIYDLSQDNISPMHYFTVADDIIVDATLVPSSMGKLVLLVLSEGGLLYRLNVTLEGDVGAKILAETVLVKDAVSMHKGLSLYFSSTYRLLFVSHQDGTTFMGCLNADSSSITELSYICEEDHDGKSKPAGLYRWRELLAGSGILTCLSKSKSNAPFAVSLGPHELVAQNMRHSIGTNSSVVGVAAYKPLSKDKTHCLILYDDGSLHIYSHTPNGGESSTILAAEQTKKLGSSILSSRAYTGIKPEFPLDFFEKTTCITSDVKYNSETTKSGDSESIKQRLTSDDGYLESLTSAGFKVTISNPNPDIVMVGCRIHVGNTSASNIPSEITIFHRVIKLDEGMRSWYDIPFTTAESLLADEEFTISVGRTFDGSSIPRIDSIEVYGRAKDEFGWKEKMDAALDMEALGGSSTGGRSGKRPQIVQAAPIQEQVLADALRILSRIYLLCPPSCFTDMADAGMELNNLKCRALLETIFQSDREPLLHSAACCVLQAVFPKKDMYYHVKDMMRLLGVIKSLPAITSRIGVGGAASSWVIKEFIAQIHTVSKVALHRKSNLASFLETHGTELVDGLMQVFWGILDLDRPDTQTINSLVVPCVEFIYSYAECLALHSNENSGVSVGPAVALLKKLLFAPYEAVQTSSSLAISSRFLQVPFPKQTMIANDDAPENHAKPSASAMGSSSGNAQVMIEEDPASSSVQYCCDGCSTVPILRRRWHCNICPDFDLCETCYEILDADRLPVPHSKDHPMSAIPIELDTFGGEGNEIHFSIDELTDSGVLHPPADRSVQTSPSSIHVFDASESADFPETIAGQTTVSISASKRAINSLLLSHLIEELRGWMGTTAGTRAIPLMQLFYRLSSAVGGPFMDSSKPENLDLEKFVKWLMDEININKPFPAKTRCTFGEVSILVFMFFTLMFRNWHQPGSDSSHSKSSGSSDLTEKGPVQVPASTTVALPSSRGDQDKNEFASQLVRACSALRQQSFLNYLMDILQQLVHTFKSSSINGEAGSSGSGCGSLLTVRRELPAGNFSPFFSDSYAKSHPTDLFTDYYKLLLENTFRLVYSMVRPEKEKSAEKDRSNKVPNTKDLKLDGYQDVLCSYISNPHTSFVRRYARRLFLHLCGSKTHYYSVRDSWQYSHEVKKLHKIVNKSGGFRNPVPYERSVKLIKCLSILCDVAAARPKNWQKFCLKHMDLLPFLVDNFYHFSEECIIQTLKLLNLAFYSGKDANHNAQKPECADLGGSTRTSSQSSDSKKKRKVDDGSEGSSEKSCMDMEQVVEMFNDKEGDLLKRFIDIFLLEWNSAGVRHEAKCVLFGVWYHAKNPLRETMLTILLQKVTHLPMYGQNIVEYTDLMISLLGKVNDSSAKQNDSELVNKCLAPEVISCIFDTLHSQNELLANHPNSRIYNTLSCLVEFDGYYLESEPCVTCSCPDVPYSRMKLETLKSETKFTDNRIIIKCTGSFTIQSVTMNVYDARKSKSVKVLNLYYNNRPVTDLSELKNNWTLWKRAKSCHLTFNQTELKVEFPIPITACNFMIELDSFYENLQASSLESLQCPRCSRSVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFHFMAKPSFSFDNMENDDDMRKGLAAIESESENAHRRYQQLMGFKKPLIKLVSSIGEQEIDSQQKDAVQQMMVSLPGPTCKVNRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMTYLHQKSSSDTNALPAFSIPRSPSSCYGCSTTFVTQCLELLQVLSKHANCRKQLVSSGILSELFENNIHQGPRTARTLARAVLSSFSESDADAVQELNSLIQKKVMYCLEHHRSMDIAQSTREELLLLSETCALVDEFWEARLRVAFQLLFSSIKVGAKHPAISEHIILPCLRIISQACTPPKSDGDKESGLGISSLALQSKNDDTTGNTTTNNPSAKIQPDISGKVHDGSQRGQDIPLLSYSEWEGGASYLDFVRRQYKVSQAVKGSIQKTRHDSHKPDYLVLKYGLRWKRRACRKSSKSDFSKFALGSWVSDLILSSCSQSIRSEICTLISLLCPSNSPRQFQLLNLLMSLLPRTLSAGESAAEYFELLGIMIDSEASRLFLTVRGCLTSLCSLITKEVSNVESQERSLSIDISQGFILHKLVELLNKFLEIPNIRARFMSDRLLSEVLEAFLVIRGLVVQKTKLINDCNHLLKDLLDSLLLESTENKRQFIRACISGLQKHVKEKKRRTSLFILEQLCNLICPVKPEPVYLLILNKAHTQEEFIRGSMTKNPYSSVDVGPLMRDVKNKICNQLDLIGLLEDDYGMELLVGGNIISLDLSISQVYEQVWRKHHGQTQHSLSNANSLTAASSIRDCPPMTVTYRLQGLDGEATEPMIKELEEEREESQDPEIEFAIAGAVRECGGLEIILSMIQSLRDDEFRSNQEELASVLNLLKYCCKIRENRCALLRLGALGLLLDTARRAFSADAMEPAEGILLIVESLTMEANESDISIAQSVFTTTNEETGAGEEARKIVLMFLERLCHPSGAKKSNKQQRNEEMVARILPYLTYGEPAAMEALIQHFEPYLRDWTEFDQLQKQHEENPKDDSISRNASTQRSAVDNFVRVSESLKTSSCGERLKEIILEKGITKAAVEHVKQSFASPGQTGFRTSAEWTSGLKLPSIPPILSMLKGLAKGHLPTQKCIDEEGILQLLHALEGVPGENEIGARAENLLDTLANKENNGDGFLGEKIQELRHATRDEMRRRALKKREMLLQGMGMRQEFSSDGGRRIVVSQPTIEGLDDVEEEEDGLACMVCREGYTLRPTDMLGVYAFSKRVNLGATSAGSGRGDCVYTTVSHFNIIHYQCHQEAKRADAALKTPKREWDGATLRNNETLCNCIFPLRGPSVPLGQYTRCVDQYWDQLNSLGRADGSRLRLLTYDIVLMLARFATGASFSTDCKGGGRESNSRFLPFMVQMASHLADGSANQQRHAMAKAVTTYLSSSPSTPESPIRLSASISGPRGSSGSSEETVQFMMVYSLLSESYESWLQHRPAFLQRGIYHAYMQHKHGRSTLKMSSDSSSSAVRSDEGSSSDMSENKKLFTIVQPMLVYTGLIDQLQQFFKKGKSSGMGKSGEREESGGSLEKWEIQMNEKLSNMKEMVGLSKDLLSWLEDMTSSDDLQEAFDVMGALTDVFSSGHATCEDFVRAAIHAGRS >KQL25080 pep chromosome:Setaria_italica_v2.0:II:35749147:35750987:-1 gene:SETIT_0299731mg transcript:KQL25080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLELFVTACVPVFNMLLVTGVGSFLATDFAGILSKEARKHLNNIVFYVFNPSLVAIYLAKTITMESLAKLWFMPVNILLAFTFGLFFGWIVVKVTRVPAKLRGLILGCCSAGNLGNIFLIIIPALCKEKGSPFGEHDVCQTYGLAYSSLSMA >KQL25081 pep chromosome:Setaria_italica_v2.0:II:35749147:35751706:-1 gene:SETIT_0299731mg transcript:KQL25081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLELFVTACVPVFNMLLVTGVGSFLATDFAGILSKEARKHLNNIVFYVFNPSLVAIYLAKTITMESLAKLWFMPVNILLAFTFGLFFGWIVVKVTRVPAKLRGLILGCCSAGNLGNIFLIIIPALCKEKGSPFGEHDVCQTYGLAYSSLSMA >KQL25868 pep chromosome:Setaria_italica_v2.0:II:41030035:41033077:-1 gene:SETIT_028955mg transcript:KQL25868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEYSTESSNEEDLQEDGEKEGNAAEIDVFKPVDIDPACLPKVGMIFDSEEDAFQFYVTYGCHAGFGITRRSNNTFDGFRYRSTFICSKGGQSRLRSGVTRPARKRGMKTGCKAKMIVKDAHFQNRWEVIVLELEHNHPLDPSLLKFKRHLKNSPFSLNPPRMSESEGPQSSSAVALSGRGGDTGVTSSTQAEFRTKIDRNRKLKLADGDLEELLNFLNKMQDQNPCFFYSLDMNEQGQLRNVFWADAKSRSSYNYFGDVVAINVTNFSDQYDMQFVSFVGTNHHAQPVLLGCGLLAGRSLGAYVWLFGTWLRCMNAKPPHSIITNYCHDVAIAIKKVFPNARHRFCLSHILNELPEKLEEMESKDEVISAFTTLAYDYVTMPDFDKEWQDTIQHFRLEGNEWLSKLYEVRMQWAPVYVKDSFWAGMSVTDRSDSVTDYFDGWLTSGTSLKMFVEQYEGAVKDKLEKESYEDLRSSQMRPPMMTGLPVEDQAAKVYTAEIFQKFLNEIGHSFHCNYSILDRNDSVVTYVVSEHINQATKVDYKVVYDNVEDDIWCLCRLFQSKGILCRHALTVLRQELVLMIPPKYIIHRWCKDCKQTCASISQPVSAGNQELGSYDDLYKLGHQYFAEVVEFGSMNSESKEYALSIMREIRDKVISYEKSLRDQRVDSHVSTANFAYNPVNEDFTDDALPISLSTKGWDLTQGQSKRSRKKKLATPTVLDTLKKKTKRAYNKRRNATANTINTAVTATDSVTDATNVQANPVNEGWPLTSTGAPETFPYGVETISFDLSQYNNAPSFHWPESSSRSQLQ >KQL26008 pep chromosome:Setaria_italica_v2.0:II:41801052:41804594:1 gene:SETIT_029582mg transcript:KQL26008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTTGDHHAVDGRLEALLSGGAGDGERGGSEPWLRRMAAAAALELRLLAPLAAPAVVVYMLIIVMSSTTQIVCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAEKYEMLGVYLQRSTVLLMATGVPLAVMYAFSEPILLLLGQSPEIAGAAAEFAYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYILAASFVLHVALSWLAVYVLGLGLLGASLTLSLTWWVLVLGQFAYIVWSPRCRDTWAGFTWAAFADLPGFAGLSIASAVMLALEVWYFQVLILLAGMLPDPQVALDSLTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSAWMVTALSAFVSAIAGLVTFLLRDKLSYIFTTGEVVSRAVADLCPLLVGTILLCGIQPVLSGVAVGCGWQAMVAYINIGCYYFIGLPLGVLLGFKFDFGIKGLWGGMIGGTLIQTLILIWITLRTDWNKEVEEARKRLDKWDDTRQPLLADKE >KQL26007 pep chromosome:Setaria_italica_v2.0:II:41801052:41804121:1 gene:SETIT_029582mg transcript:KQL26007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTTGDHHAVDGRLEALLSGGAGDGERGGSEPWLRRMAAAAALELRLLAPLAAPAVVVYMLIIVMSSTTQIVCGQLGNVQLAAASLGNNGIQVFAYGLMLGMGSAVETLCGQAYGAEKYEMLGVYLQRSTVLLMATGVPLAVMYAFSEPILLLLGQSPEIAGAAAEFAYGLIPQIFAYAANFPIQKFLQAQSIVAPSAYILAASFVLHVALSWLAVYVLGLGLLGASLTLSLTWWVLVLGQFAYIVWSPRCRDTWAGFTWAAFADLPGFAGLSIASAVMLALEVWYFQVLILLAGMLPDPQVALDSLTVCTSIQSWVFMISVGFNAAASVRVGNELGAGNPRSAAFSAWMVTALSAFVSAIAGLVTFLLRDKLSYIFTTGEVVSRAVADLCPLLVGTILLCGIQPVLSGVAVGCGWQAMVAYINIGCYYFIGLPLGVLLGFKFDFGIKGLWGGMIGGTLIQTLILIWITLRTDWNKEVSEPPLMIISPLGNHGRLADK >KQL26312 pep chromosome:Setaria_italica_v2.0:II:43686762:43687271:-1 gene:SETIT_031697mg transcript:KQL26312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGVGVVSSLEASSCRTSSLYLCWLLVVRQSVTCKCSWRLSPLQATVILGRVLCGGGEVVFFLVVVFVLFVVGRGSYNSAL >KQL26872 pep chromosome:Setaria_italica_v2.0:II:46704122:46705631:1 gene:SETIT_030179mg transcript:KQL26872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLAVLTVLALLGSVSCQSGYGGYGYGDGNPTPPPPPTYPPTPSPPTYPPTPSPPTYPPTPSPPTYPPTPSPPPYSSPPPTPSPPAAGLRFGYYDNKCPGAEGVVREAVRGANASIQAGLVQPGCDASVLLKPTDANRQPEMLGIPNLSLRGFEVIDAAKAKLEADCPGVVSCADIVAFAGRDASYFLSGSAISFAMPAGRYDGNVSLASKTLPNLPPPFADVPRLKAMFAAKGLDTVDMVALSGAHSIGSSHCSSFPDRLSNTSDMDRTLAAKLKANCTSPTGSDNLVAQDYKTPDQLDNQYYMNVINRRVLFASDAALLKSNETIRLVYEAAFSPKLWQYKFGQAMVKMGAVEVKTAANGEIRKVCGFINNPYSG >KQL23820 pep chromosome:Setaria_italica_v2.0:II:20465275:20466390:1 gene:SETIT_032834mg transcript:KQL23820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHRAAAAAAIAFRHISRRFLSTSSAASPSLLGYFHQPEPTKSLADAFQPLTASSPSLSLGFLPADAAASEFDLFDSRHGLVLLRGNGPFLPDFLVCDTVSRRHALFPSPPIRGREFVGAALLSRAGRRFEFDAVCLTVHGDRPRAWLASYRDGAWCWTALPPSRDVAIDFDPILLEERCVHAADGLYWHIRRDDRALVLDTVTMKFSLVRPPALIWELAHYRFGEMPDDGRLCVAALAEQKLRLCVRRTGSADGWVLERETCLSKVFDSVPELPEYPLSREFIIFLGGVDPGRAGRVFIRTFGYGCFSYHLDTGKLDIWIWLDRLATDDGLEYGRFIFPYFSSPDCRFD >KQL24954 pep chromosome:Setaria_italica_v2.0:II:34897825:34898248:1 gene:SETIT_033508mg transcript:KQL24954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSIWQHGAPTHRCSLCMSYYVYLVSLRHLCVALHWHVQLLV >KQL26345 pep chromosome:Setaria_italica_v2.0:II:43859541:43860565:1 gene:SETIT_030727mg transcript:KQL26345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFASCLSHGAVQVAHTSSSGGQNLVRCAYLARLRGKPCRVTVTWTKVAMGQALAIAIHDCSKRCLCKAEVKPWLFSKMKGSKVMELDGGGDVEIIWDLSSAKFAAGPEPLEGFHVALVHDLEVVLVLGDLHKQEEHRVLSDASHSDAVMIARKEHIYAKKVYSAKARFLDIGQLHHISIECDTAGVRDPSLEIRIDKKKVLQVKRLAWKFRGNQTIYVDGLPVEVLWDVHDWLFTSSSGCAVFLFRSGQSMEKFLRTCSQNEKEAQAHRFGFTLILNAWKTE >KQL22160 pep chromosome:Setaria_italica_v2.0:II:293799:294940:1 gene:SETIT_030497mg transcript:KQL22160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSSSVSPAAAALLVLAASATVCAAQLRRDHYAGVCPDVESIVRGAVAKKYRETFITVGATLHLFFHDCFVTGCDASVLVASTPNNTAEKDHPVNLALAGDGFDTVIRARAAVDAVPRCRGRVSCADVLAMATRDAIALAGGPSYAVELGRLDGLSSTASSVDGKLAPPSFNLDQLTALFATNGLSRTDMIALSAGHTVGFAHCSTFAGRIQGAAAPDPTLNRSLAARLREWCPAGVDPRIAVTMDVVTPRVFDNQYFKNLQDGMGLLASDQVLYTDPRSRPTVDAWAKSSVAFSQAFVTAITKMGRIGVKTGAQGNIRRNCAVLN >KQL22451 pep chromosome:Setaria_italica_v2.0:II:2716739:2717873:1 gene:SETIT_030840mg transcript:KQL22451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAACKARSYAAHPYPPHHNSTSTYARHLAPGTTTTAMDDTAGEVTCVDFWANGFGMRTRIALRELGVAFRYVEEDLRVRERSELVRRMNPVHRSVPILVHGGRPVCGSVNILEYIDETWGKDDGGPRLLPHDPLQRAHARFWADFVDQKVFSTQTRFLKSKGEEKEVAKQELLDQLKRLEEVLGDKTFFAGDEFGFLDAVLIPFSSMFHGYEQHGGFSLETECPNLMRWVRRCKERGSVKSVLPDEDEMYELHKKWYGIE >KQL23024 pep chromosome:Setaria_italica_v2.0:II:7448433:7449446:1 gene:SETIT_032950mg transcript:KQL23024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNENISDNIGINISIGSNVGRRNPRRATCAEPTTITDPRDSLDIRDQLSTFLQLLRQDTSVLLENAKPIQRLFRQIRTHLTDELMASLTPAAFIELHYFKVQRAKKRIADRQANRQASAQLDSVKLKAKELKQEIDSLDASLSSDAQIIAEAQGRLNDYPIAIQEKKKELVHSINQMRCQHRQVNDIPGSDEEDLKLIADVG >KQL23933 pep chromosome:Setaria_italica_v2.0:II:23190685:23191389:-1 gene:SETIT_031796mg transcript:KQL23933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAACVLHHNGDSFTAVPVLLAGSAADVDLRPRLLRRQLLSAPRTMDENLEFLTPVRCS >KQL22558 pep chromosome:Setaria_italica_v2.0:II:3500558:3507991:-1 gene:SETIT_029075mg transcript:KQL22558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSDVQMQHCAEDSETTIEIKIKTLDSQTYNLRVNKCVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSAPSGSAGTEANASNSGRRRGPTVARSVVLEAVNVDPGSSELPAFVAQILQSVLGSISAQSSGAPASSDTRPSEPTQSSIPNTVRVELDQQQTPLLFQSEPAHGSSQPNVIPDALTTMSQYIDFMRDSFRREGFNHNGQAEGNVENRTAGSTSVGGTQNQEIQPESTSTLGLHTASLLAETMHSTRQIIVEQAGALLSQLSAQLGDLQNVTDPATRRDLQSSAFRSGSLLQNLGSLLLELGRTTMLLRINPASSEAVVNSGPALYISPSGPNPLMVQPVPFFPGRSVQMGTLFSGLGSQGSVLHPRDVDIHVRTGGSVPVASTNPSETAGAQANRTGEASHANIGEASAGVAGGTPFSVESGVRLLPLRTVVAMPAGISRAPSGSSSGGVGIIYPLITRVRQRANTIGNDERNGQSPNEPARSSTHPNQQSIPQSSQAHEAGNLESVADVNVGNGSETSPGQQNGLVTLSQIMDLLGSMLPGENVRGNSSSQQAPTSSAEQVDGRNSATTQVSGASEEALHFASMVRQIMPFISQVETQNQSAPPDTSSTHAQAASGSANRARDDPRDSTSSHQHNRDQIDEPNSKRQRFSD >KQL22560 pep chromosome:Setaria_italica_v2.0:II:3500558:3507991:-1 gene:SETIT_029075mg transcript:KQL22560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSDVQMQHCAEDSETTIEIKIKTLDSQTYNLRVNKCVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSAPSGSAGTEANASNSGRRRGPTVARSVVLEAVNVDPGSSELPAFVAQILQSVLGSISAQSSGAPASSDTRPSEPTQSSIPNTVRVELDQQQTPLLFQSEPAHGSSQPNVIPDALTTMSQYIDFMRDSFRREGFNHNGLTSFFYELHPLFNLLKVYLHFSFSTGQAEGNVENRTAGSTSVGGTQNQEIQPESTSTLGLHTASLLAETMHSTRQIIVEQAGALLSQLSAQLGDLQNVTDPATRRDLQSSAFRSGSLLQNLGSLLLELGRTTMLLRINPASSEAVVNSGPALYISPSGPNPLMVQPVPFFPGRSVQMGTLFSGLGSQGSVLHPRDVDIHVRTGGSVPVASTNPSETAGAQANRTGEASHANIGEASAGVAGGTPFSVESGVRLLPLRTVVAMPAGISRAPSGSSSGGVGIIYPLITRVRQRANTIGNDERNGQSPNEPARSSTHPNQQSIPQSSQAHEAGNLESVADVNVGNGSETSPGQQNGLVTLSQIMDLLGSMLPGENVRGNSSSQQAPTSSAEQVDGRNSATTQVSGASEEALHFASMVRQIMPFISQVETQNQSAPPDTSSTHAQAASGSANRARDDPRDSTSSHQHNRDQIDEPNSKRQRFSD >KQL22557 pep chromosome:Setaria_italica_v2.0:II:3500097:3509188:-1 gene:SETIT_029075mg transcript:KQL22557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSDVQMQHCAEDSETTIEIKIKTLDSQTYNLRVNKCVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSAPSGSAGTEANASNSGRRRGPTVARSVVLEAVNVDPGSSELPAFVAQILQSVLGSISAQSSGAPASSDTRPSEPTQSSIPNTVRVELDQQQTPLLFQSEPAHGSSQPNVIPDALTTMSQYIDFMRDSFRREGFNHNGQAEGNVENRTAGSTSVGGTQNQEIQPESTSTLGLHTASLLAETMHSTRQIIVEQAGALLSQLSAQLGDLQNVTDPATRRDLQSSAFRSGSLLQNLGSLLLELGRTTMLLRINPASSEAVVNSGPALYISPSGPNPLMVQPVPFFPGRSVQMGTLFSGLGSQGSVLHPRDVDIHVRTGGSVPVASTNPSETAGAQANRTGEASHANIGEASAGVAGGTPFSVESGVRLLPLRTVVAMPAGISRAPSGSSSGGVGIIYPLITRVRQRANTIGNDERNGQSPNEPARSSTHPNQQSIPQSSQAHEAGNLESVADVNVGNGSETSPGQQNGLVTLSQIMDLLGSMLPGENVRGNSSSQQAPTSSAEQVDGRNSATTQVSGASEEALHFASMVRQIMPFISQVETQNQSAPPDTSSTHAQAASGSANRARDDPRDSTSSHQHNRDQIDEPNSKRQRFSD >KQL22559 pep chromosome:Setaria_italica_v2.0:II:3500097:3509188:-1 gene:SETIT_029075mg transcript:KQL22559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSDVQMQHCAEDSETTIEIKIKTLDSQTYNLRVNKCVPVPLLKEKIATVTGILSEQQRLICRGRVLKDDELLSAYHVEDGHTLHLVVRQPGQSAPSGSAGTEANASNSGRRRGPTVARSVVLEAVNVDPGSSELPAFVAQILQSVLGSISAQSSGAPASSDTRPSEPTQSSIPNTVRVELDQQQTPLLFQSEPAHGSSQPNVIPDALTTMSQYIDFMRDSFRREGFNHNGQAEGNVENRTAGSTSVGGTQNQEIQPESTSTLGLHTASLLAETMHSTRQIIVEQAGALLSQLSAQLGDLQNVTDPATRRDLQSSAFRSGSLLQNLGSLLLELGRTTMLLRINPASSEAVVNSGPALYISPSGPNPLMVQPVPFFPGRSVQMGTLFSGLGSQGSVLHPRDVDIHVRTGGSVPVASTNPSETAGAQANRTGEASHANIGEASAGVAGGTPFSVESGVRLLPLRTVVAMPAGISRAPSGSSSGGVGIIYPLITRVRQRANTIGNDERNGQSPNEPARSSTHPNQQSIPQSSQAHEAGNLESVADVNVGNGSETSPGQQNGLVTLSQIMDLLGSMLPGENVRGNSSSQQAPTSSAEQVDGRNSATTQVSGASEEALHFASMVRQIMPFISQVETQNQSAPPDTSSTHAQAASGSANRARDDPRDSTSSHQHNRDQIDEPNSKRQRFSD >KQL25567 pep chromosome:Setaria_italica_v2.0:II:39092446:39093334:1 gene:SETIT_031310mg transcript:KQL25567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCLFPGIHRSLSSFQKDRRKKVIMISTKRIAQMAKKWQRMAVLGRKRLSWRMVKESDGCCNSVAGKGHCVMYTSDGRRFEVPLAYLGTPVFAELLRMSQEEFGFMSDGRITLPCDAMVMEYAMCLLRRGSSAEVEKAFLSTMAVSCHYASCMAPSVGVSHQVIV >KQL25175 pep chromosome:Setaria_italica_v2.0:II:36443821:36445284:1 gene:SETIT_032046mg transcript:KQL25175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLTQKIVKKRVKHFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNRLARIRSQEDE >KQL25851 pep chromosome:Setaria_italica_v2.0:II:40893993:40899507:-1 gene:SETIT_029039mg transcript:KQL25851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVAAMPHETAQWRDPSRPTPSRGFFNILIPPPQPASSFSSSSPDAASAPSAASEPTPRRRHQILERWAAAAAAVTASAAPAPADQRRRAREAELSELASATRPVAARAAVFREPSPAPSDASSAAASAAPTELPPAGPRASSLIQRWREIEAVGPVTPRPGCADPAASDSDTGSPRGRVGCIVKKLSGASSLPEEELDDVAKSELSLSQSAPPSPAAARGASQHPSAAINVPRQPHLVVRTVRGRRAMEELVAAMAHRRRREVAALAERHAVSRFAHKGRIQSMLRLRLLRQRGTVEDELWTLLKPVRPHQPQYVSENNTLRYGSSRTDLREANNYKQQNNGNCRVDEQFCNDRVPLEENSNDVSVEGLVNSDGSENLHCNEQMKTKGNFCVHTQKYSEASSSARYSEHSTVDDNQFVEDISPSTTSTLHDLQTPSSRGDNLREEDNQSINGSWEERGLWISSLGWPAPIDTMSPDSWRQDAMGDIENHNQIQFNDRPWIDSPNSWRSLCVVTQSDYRSLSRNADICNLLESKKVSKSLESDFSNKMNQLLLKVLHKQRQQRMMDDFGGYYDERMYWRQNDEIPDADKETSAPCSLPPVTHLGAHQQESWQHSSFGNQHHDNQNLLEMEVRVRGEMSQIHHELYELRKLVESCIASQVKMQHSVKEEVCSALREAGLMPSHPDTTAAKRGSCCMCHRMQVDSLLYRCGHMCTCFNCADQLKSSGRSCPICQSPIDDVVRAQLNF >KQL26394 pep chromosome:Setaria_italica_v2.0:II:44090699:44094432:1 gene:SETIT_032850mg transcript:KQL26394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAAPPLPSRAPSFLAAPAIHSGRPPRLVGPVRSASPLLSSASAGCFPRAPRAPCSAARERRRDSVRTFSQADAAGPAPLSKTLSDLKDSCWRFLRPHTIRGTALGSIALVARALIENSHLINWWLLFKAFYGLVALICGNGYIVGINQIYDVAIDKVNKPYLPIAAGDLSVESAWLLVILFAAAGFSIVLSNFGPFITSLYCLGLFLGTIYSVPPFRLKRYPVAAFLIIATVRGFLLNFGVYYATRAALGLTFQWSSPVAFITCFVTLFALVIAITKDLPDVEGDRKYQISTLATKLGVRNIAFLGSGLLVANYIAAIVVAFLMPQ >KQL23548 pep chromosome:Setaria_italica_v2.0:II:14796234:14796767:-1 gene:SETIT_033218mg transcript:KQL23548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLQKVGNLVKRSTGASSSLNQAVRCMSSSKLFIGGISYGTDEHSLRDAFADYGEVIEARIIMDRETGRSRGFGFVTYTSTEEAAAAITGMDGK >KQL24421 pep chromosome:Setaria_italica_v2.0:II:29904679:29905171:1 gene:SETIT_033717mg transcript:KQL24421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVETIGPGKPIKIEEVTIPFIDLRQRPRARGKSAVSAVVRGLALAGDLLVAASPADVTFGETERKESSVAAISIKA >KQL22661 pep chromosome:Setaria_italica_v2.0:II:4257355:4258709:1 gene:SETIT_030801mg transcript:KQL22661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTAFRPTVVKVQIQCTQHVRGPTLRTYVRVYALMKGAPVRYSVKASATSPSMAKRPFQSSASALMTPPLLASALSPFRRGTSDATESTAAVAANQASPDPLPACERRPPPRDASTASADTKPTMASRPLMRSGAGPLNASASHSPGLAGFFAALGFGVGVAADGVEAEEEDAGLVSSVVGSASSAWALTTCRARRRGASADAGTRTGNPPARAPPAAKDREPMDAITVAAIGVGGARVRWIGDRIVSSRSSKMLAAVSVSLCCFRAV >KQL24059 pep chromosome:Setaria_italica_v2.0:II:25351506:25352030:-1 gene:SETIT_033079mg transcript:KQL24059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASMDGHLILSAARRTVLNHGALLLSTHQGLNLLATMEQGNKKQQRGKLQRVLREQKARLYIIRRCVVMLLCWSD >KQL23434 pep chromosome:Setaria_italica_v2.0:II:12388432:12390609:-1 gene:SETIT_031987mg transcript:KQL23434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STIDSELRIQTSARNRGARAPPIQKGSRPPRRKTAAEAMAGEMSWVGKKIHLYNVTMGLYMLDWWERCLFNILVLILLWFICFNGSRFATDVFESHLKARILQGANYGMGIGMPSS >KQL26624 pep chromosome:Setaria_italica_v2.0:II:45455316:45456747:1 gene:SETIT_030673mg transcript:KQL26624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMRDRVRLRLRLTPWRPASGSRPAVVPWGRADRSERQDPVCWSTSTSARGARGSVLSVATWSASDVSVYCAGLGASRSARRRRHGGCVGVQCRPSTRYCTSTLVRGSATAEGSGSPAGASCTEGARRTCTGSNAAVHEAPRGALLLAEVARPPDPGAAGGDAAQREAVPRVRKEGDGLLEVVSSPRTPRPQCPIFAHIHLKLLVHPHRTGVLGYRNQFVPNNNRFNHSSSACSDSLPSGLTSELPANSRASMAKLHCSLNRGTVECIFLHKRNIFPREEETRTSTSVNSSYTLES >KQL24520 pep chromosome:Setaria_italica_v2.0:II:30997642:30998364:1 gene:SETIT_031726mg transcript:KQL24520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGIFSGYQSCYFRLMLLPCKMLLISGTTATFEASTGEVIHGRLLGLPFYTLVHHSPHPRLRQAQLQQLLLRRGA >KQL23918 pep chromosome:Setaria_italica_v2.0:II:22832099:22833977:1 gene:SETIT_029528mg transcript:KQL23918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLVTSSSPITPARPSTQRRPCVSAAAVAVTVRCAASSSSTPSSSASEAAAAGQQVAKVHSYGTVDYERRAPLRWGTLYRRIAVGHGGRPVGRTLGAWDEGERRLDKWELCRIAKELRKFRRFNLALQVYDWMTERRDRFPLSSSDMAIQLDLIAKVRGVSHAEKYFEELPDPLKDKRTYGSLLNVYAQAMMKDKTEDTFEKMRKKGFASDTLPCNVLMNFYVDVGEPDEVSAIIDEMKERNVSFDVCTYNIWIKSCAAKQDADEMERVFSQMIADESVIANWTTYTTLASMYIKLGNSEKAEECLKGAEKRTTGRDKKCFHYLITLYSHLGKKEEVYRIWNWYKATFPTIHNLGYQEVLSALVRIGDIEGAELLYEEWASKSSSFDPKTMNILLAWYAREGLITKAEQIVNQFVEKGGNPKPNTWEILATAYLKDNKISEALSCMEKATAVKSASKWKPRPTNVESLLASFKEKNDTEGADRLVSVLTSRGCAEDEEYKSLINTYAFAGT >KQL24157 pep chromosome:Setaria_italica_v2.0:II:26830058:26840103:-1 gene:SETIT_028788mg transcript:KQL24157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPNPKSKRTKKKSKKPSAGEGDGKDMDALKSDVATFASSLGLVAGAGDPAGGFDDSDFRKSGPMKKPPKPPSEQPQQTPENTANPHQNPKPSKKPHPLELHGPLTTTKPGAVTTNYPLMKAAALSGQWYTDADELEGKVLGGRKQTPPAVGLQEMQRIVEQKRELAEKLMAQYAREYDTVRRGHGDLKLLEISAKSGTSADKVSAFTCLVEDNPIANMRALDSLLGYKVSLDIDKKGKSCGFLVAFYYFLYFFQAPLHKGNLHKLGRMLLPDRKLKSLIQRPLDILPETKDGYSLLLFWHWEDCLKQRYEKFVMSLEDAVKDMLPNLKDKAMKTVFILLKSKSEQERRLLTALVNKLGDPERRAASSAAYLLTCLLAAHPNMKIVVIDEVDSFLFRPHVGLRAKYQAVNFLSQILLTHKGDGPKIAKRLVDVYIALFKVLMSSSDTKGDTGSKYSKKNVEKGKKEGGINKVKDSKSHENNEVSSTAGSDLEMDSRILSALLTGVNRALPYVASSEVDDIVEVQTPILFRLAHAENFNVGVQALMLLFQISTKNQIASDRFYRALYAKLLSPAAVTSSKPELFLGLLVKAMRNDVMLKRVAAFSKRLLQVALQRPPQYACGCLFILSEVLKAKSPLWAIVLQNESVDDGDEHFEDIIESHDDSSIASAVLDKHNDKLAAHEKYNIDAGDGSDSGKQVKLIERDENGENNASADTSRLHALYDPRHREPSYCNADRVSWWELTVLASHVHPSVSTMARTLLSGNNIVYSGDPLTDLSLPAFLDKFMEKKPKGNRIAEGKWHGGSQIAPAKKLDMNHHLIGEELLELAENEVPPEDIIFHRFYMNKSGPIKAKRKDSALDEDTGELLADDASDESEDEMQEFGDGLAEDGEYDYDDLDSNAFDEEKELLEDGSDVELDDISDSASMDGGSGSDDDDIASLAGMDAEALEDAGDFDGNSSDEEMVNAGNGGAKKKVAPQKQKHGVKSGRSPFASLEDYEHLMAGDADETTLKRKRKATGRAGGDKKPKSRSQNKRSRESK >KQL22893 pep chromosome:Setaria_italica_v2.0:II:6185499:6186481:-1 gene:SETIT_031258mg transcript:KQL22893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMVSPYTLLSRPAAPSGRISGMPLQPRKQATATAVAFPWARSSKISSPLSVCHANTYNVPPFALVHPKFPTEPKNNWKITEEADHINLWFKVEEATSKDELEVATNGNVLLIRYKGKPGNPTSPSPASSLDVRLLLPSGYENPTTMEAELTFGALLVTVAKPKQAPSPIPIRTSD >KQL25136 pep chromosome:Setaria_italica_v2.0:II:36247752:36251080:1 gene:SETIT_030895mg transcript:KQL25136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMMRSPAASPSPDPKLVREGQVVIRARNLRTNAQFIPSKAFKARKNYYTNEENGLLHVPKEDAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENDDANGWWFWI >KQL25135 pep chromosome:Setaria_italica_v2.0:II:36247752:36251080:1 gene:SETIT_030895mg transcript:KQL25135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEELVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMMRSPAASPSPDPKLVREGQVVIRARNLRTNAQFIPSKAFKARKNYYTNEENGLLHVPKEDAQKAQAAMFSDPNMAMDMMKKNLSMIVPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRGMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDAQKMMQMGGGFGFNPTMSLGAEKDSLDIIQHDWALPKMERHAEDVLKKLLKK >KQL24444 pep chromosome:Setaria_italica_v2.0:II:30178263:30192576:1 gene:SETIT_028689mg transcript:KQL24444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKARKQKGDGGGAGGGATVLHQKLCVSIDMENQLIYGYTEIKVLLADNDTFALHADNMTIRSILVDGETVEFDYSPHWKNEGDQPNWSSISCLKTAADAACSTYTLALNRESVPNLIVSYERSVKSITEQQVEENSERHEENSRMLEEHGGKPVQTSDDQTVNGCNGSAVEEQKERENGNGNGSEKVKENGIETENEKVKNIKLVHIDYILEKAETGIHFVGNVLHSSSQIRRAHCWFPCIDSTTQRCPFDLEFTVSTDLIAVSNGDLLYQVLSKEDPSKKTYVYKLNTPVSAQWISLVVGPLEVLPDRNDISVSHICLSPALSKLQNTIAFFHDAYSCYEDYLAASFPLGLYKQIFLPPEMTVLPTSLGASTCIFSSDILHDEKVIDQIIGTRIKLAYALARQWFGIYTSAEEPNDEWLLDGLAGFLAELFIKRFLGSNEARYRRFKANCNVCESDVSGATALSSPAASSDLYGTQTIGSYGKVRLLKAVAVLQMLEKQMGPDSFRKILQVIVAPNRASRTLSTKEFRHLANKVGNLERPFLKEFFPRWVESCGCPVMRLGISYSKKRNMIELAVSRGCTAKATPDSDSHTNGDTREGDAGWPGMMSVRVHETDGAYDHPVLPMAGEALQVVEIQCHSKLAAKRVWKSKKSTKFDGSDDNIDVPTQENRTSVDSPLLWIRVDPEMEYLAEIHFHQPVQMWINQLEKDKDVISQSQAISVLEKLPQLSSAVINALNNFLNDTKAFWRVRIEAAYALAVTASEATGLAGLLHLVKFYKSRRFDADIGMPRPNDFHDIPEYFVLEAIPHAVALVRSSDKNSPKEAIEFIIQLLKYNDNNGNIYSDVYWLSAMVQAIGELELGQQGMGFLSSLLKRIDRLLQFDNFMPGYNGVLTVSCIRTLARIARRVSPSICLDRICELIAPFRNMDKPWKVRIEASRVLIDLELHHKGLDAALLLFLKYVDEEKSLRGATKLAVHVLRLCQASIVPHGNDQISLTTLIGLLHLLAGAKAYNNIFLRHHVFCILQVAAGRSPSLFGVPKVVTPSLVVQDICSDQHTKADSSIPQPSRPQEPSTSTPSVREVLPTSGPSKDADNISNCSERRNVVKIKVKLTASSSKASDADHRGHSHGGRNENEAGPCSSMSVDAPMVGAATEPLNVSNHNIEEQNSCHDRESRMSASVSNAKLMDRHEFSKELQCTADSRLDVLPKDQFSPAIIPLGVVDKPGSQLEVVSTSYDGNQAPESVNGLETKERKKKDKKDKKRKRDEKRDKKDDPEYLEKKRLKKEKKRMEKETARKLLEGEGGATPSEQRKTVKTSGSQEVLLARPPAAPVRSAEPAPSQSAEPAPMRSSEPQVSSKETTVDTARTAAKPRIKIRVKPLIRKPEGS >KQL27169 pep chromosome:Setaria_italica_v2.0:II:48197570:48199363:1 gene:SETIT_030595mg transcript:KQL27169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASCLSLLVLVALASSASAQLSSTFYDRSCPRALATIKSAVNAAVSQEARMGASLLRLHFHDCFVQGCDASVLLAGNEQNDPPNLTLRGFNVIANIKAQVEAICKQTVSCADILAVAARDSVVALGGPSWTVPLGRRDSLKAASTSMVLQNLIPPTSSLTQLIQGYGNLGLNPTDLVALSGAHTIGQAHCPSYQDHIYNDTNINQAFAASLKANCPATGGSNVMAPLDTTTPNAFDNAYFKNLQSQKGLLHSDQELFNGGSTDNTVRNFASNPSAFSSAFVTAMIKMGNISPLTGTQGQIRTTCSAANP >KQL27167 pep chromosome:Setaria_italica_v2.0:II:48194042:48199363:1 gene:SETIT_030595mg transcript:KQL27167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSCLSLLLVVAPASSASAQLSSTLYDTPCPRALATIRSAVNAAVAQEARMGASLLRLHFHDCFVQGCDASVLLAGNEQNDPPNLTLRGFNVIANIKAQVEAICKQTVSCADILAVAARDSVVALGGPSWTVPLGRRDSLKAASTSMVLQNLIPPTSSLTQLIQGYGNLGLNPTDLVALSGAHTIGQAHCPSYQDHIYNDTNINQAFAASLKANCPATGGSNVMAPLDTTTPNAFDNAYFKNLQSQKGLLHSDQELFNGGSTDNTVRNFASNPSAFSSAFVTAMIKMGNISPLTGTQGQIRTTCSAANP >KQL27168 pep chromosome:Setaria_italica_v2.0:II:48197570:48199363:1 gene:SETIT_030595mg transcript:KQL27168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASCLSLLVLVALASSASAQLSSTFYDRSCPRALATIKSAVNAAVSQEARMGASLLRLHFHDCFVQNDPPNLTLRGFNVIANIKAQVEAICKQTVSCADILAVAARDSVVALGGPSWTVPLGRRDSLKAASTSMVLQNLIPPTSSLTQLIQGYGNLGLNPTDLVALSGAHTIGQAHCPSYQDHIYNDTNINQAFAASLKANCPATGGSNVMAPLDTTTPNAFDNAYFKNLQSQKGLLHSDQELFNGGSTDNTVRNFASNPSAFSSAFVTAMIKMGNISPLTGTQGQIRTTCSAANP >KQL24154 pep chromosome:Setaria_italica_v2.0:II:26821674:26822349:1 gene:SETIT_031045mg transcript:KQL24154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGKSSMDLVLVPCALAVMVGYHLLLLYRIFRRPHTTVIGYENHNKLAWVQRMARTAEPEEVALALSVISDGISASITLASLCIALASLIGAWVSSGAGGASDAPAAKYAWLLACFLASFTCFVQSAGCYVHASFLISALGSDAPASHLPRAVLRGGGFWAAGLRTLYLATALLVWDVFGPAAMLACSALTVAVLYLLDGNSMPPHRHQFLTTAGPSCSPS >KQL26325 pep chromosome:Setaria_italica_v2.0:II:43756772:43762758:-1 gene:SETIT_028673mg transcript:KQL26325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIRDSRRGGVSVAGGPQPRRRLRSNGGGSGGGGAPRDSPRSERRRGERLMLNGGGGGAGRDDADDTSDESLGDDDDDAEEELAPRYQPSQRRSPSTAPPPPSPPQPGGGHHHSSSSSGGGGGGGYHNHHHHGQQQQMQRKGGGSNPKSPIVAKAVDEMIGVPVPRKARSASTKRSSHEWPVPGGGTSGGNVGAGDASQIQRPSSRPISPASASTTAPARKKLKPLGGERGSAGSGPAPKQRPSPSPAPSTTPPQPLPPKISKSPSFIQEEIEVAEVLFGLTRQFTCPPKQESNHKLEPRDAPEAKSGNSSPAPSSSGVRPSDSASLSTIAPKRKRPRLVKYDEDSRPASPAKPELAEPSSRSEAPPASRSEGKTSTSAAAESGISAAAPAAAQLETSREPEKMEDRGRSRDPELRPSESDRRDHRSESRTEPPAAPSCKQDGEATPVGSEARNGEATATTKIELASDGARQEKFCIDLMAPPPGKLSPDRDGSSDPDADKKGLDSEMDMLGRGKYEKKDGERTRRGLDIDLEDQKVQRIPADEFAPKKLTLQLDLEKPSLDEKSPSERRQPQPPQSQQQKPSKSEVKHEKSAMPAVTPPMPIPVGGWLGSFPPFGYLGPVPGLSAAGLHPMDVKPGSSSGLQHAALLPPPTRSKRCATHCYIAQFIQHQQRVAKMNSFWPPAAAAAAAAAANRSGPFFGPRPFNMGVVPPTDAASLLVNPMQGNYPVRAHTPMQEAKAPSMATSPFQGNLSKDKALGNAAGAESSQRKQPPAHETQQSTPMPNMLQGPAFIFPFNQQHAAAVAAANAANRAGDGKSSGASNAMPPSASAHASAANPGAAAMNLSFANLQPDAQFLAILQNGAYPFQVAAHAGGPPSYRGMAPPGPAVPFFNGHVYSSHMMHPSQQQGAQQQSHQKTPMPSLSGSSQKHQPQQSQGLLGYAPNANAAAAASNSQNYSGSNQRPVLLSGLTHRQEGDKTGQDGPSSDDKSHPQKGGYEHNFAVPVHLPNFALMPAAQTAGSQSEKKLSEHHHQQQQQPQVSRGQGVRIDLASSQPFGSPGGAPRVGRRDPFGLQEAARHSYPQLNFAAAQSVQATQNKPQHQITGEPKSVAGDSSSTPTAGDSERKKSASTKYPGDSQQHSLSFTRTESKSYVPAFLSGSTNENSSRTLSLIGAESPNTFGMGSKSTSSSTAASAPAAASSTISQQQQQQHQQHQHFLQMHQKHQQMIQQQHHLNRPRSAAPSTPNNAGGYPDRLNMASFQNMMYPASATQGGVQSPQLKASSGRGTPSSAATTPPAAPPSNLIVMKNSGLHQQQAKVHMQALSTPGHQSQSSLSMSSSKMGPSLTNLSTGGGDLSRSSNAPVASGSPSRSNSVSKSTGGSPPATGSAKGVQQPVQLPSPQQSATNPASTSSSKSTPANHFSMAMPSILGQQPNVSPGSNAGSKQQSHMPPSSLKQQPFPQGHFFISNAYAPQAPGAAGPAALGLYQKRPGDKAQQQAPHQQNAMPAAAGNNMKALHPPGGFMHLAAAAQSAGGVQHSHMSAAQLTFGPMPMPVKPSSDQKPAAGK >KQL26324 pep chromosome:Setaria_italica_v2.0:II:43756053:43763081:-1 gene:SETIT_028673mg transcript:KQL26324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIRDSRRGGVSVAGGPQPRRRLRSNGGGSGGGGAPRDSPRSERRRGERLMLNGGGGGAGRDDADDTSDESLGDDDDDAEEELAPRYQPSQRRSPSTAPPPPSPPQPGGGHHHSSSSSGGGGGGGYHNHHHHGQQQQMQRKGGGSNPKSPIVAKAVDEMIGVPVPRKARSASTKRSSHEWPVPGGGTSGGNVGAGDASQIQRPSSRPISPASASTTAPARKKLKPLGGERGSAGSGPAPKQRPSPSPAPSTTPPQPLPPKISKSPSFIQEEIEVAEVLFGLTRQFTCPPKQESNHKLEPRDAPEAKSGNSSPAPSSSGVRPSDSASLSTIAPKRKRPRLVKYDEDSRPASPAKPELAEPSSRSEAPPASRSEGKTSTSAAAESGISAAAPAAAQLETSREPEKMEDRGRSRDPELRPSESDRRDHRSESRTEPPAAPSCKQDGEATPVGSEARNGEATATTKIELASDGARQEKFCIDLMAPPPGKLSPDRDGSSDPDADKKGLDSEMDMLGRGKYEKKDGERTRRGLDIDLEDQKVQRIPADEFAPKKLTLQLDLEKPSLDEKSPSERRQPQPPQSQQQKPSKSEVKHEKSAMPAVTPPMPIPVGGWLGSFPPFGYLGPVPGLSAAGLHPMDVKPGSSSGLQHAALLPPPTRSKRCATHCYIAQFIQHQQRVAKMNSFWPPAAAAAAAAAANRSGPFFGPRPFNMGVVPPTDAASLLVNPMQGNYPVRAHTPMQEAKAPSMATSPFQGNLSKDKALGNAAGAESSQRKQPPAHETQQSTPMPNMLALHSSFRSINNMLQRWQLQMLPIELGMENLLEPAMRCHHLPVPTLRQQTLVAAHAGGPPSYRGMAPPGPAVPFFNGHVYSSHMMHPSQQQGAQQQSHQKTPMPSLSGSSQKHQPQQSQGLLGYAPNANAAAAASNSQNYSGSNQRPVLLSGLTHRQEGDKTGQDGPSSDDKSHPQKGGYEHNFAVPVHLPNFALMPAAQTAGSQSEKKLSEHHHQQQQQPQVSRGQGVRIDLASSQPFGSPGGAPRVGRRDPFGLQEAARHSYPQLNFAAAQSVQATQNKPQHQITGEPKSVAGDSSSTPTAGDSERKKSASTKYPGDSQQHSLSFTRTESKSYVPAFLSGSTNENSSRTLSLIGAESPNTFGMGSKSTSSSTAASAPAAASSTISQQQQQQHQQHQHFLQMHQKHQQMIQQQHHLNRPRSAAPSTPNNAGGYPDRLNMASFQNMMYPASATQGGVQSPQLKASSGRGTPSSAATTPPAAPPSNLIVMKNSGLHQQQAKVHMQALSTPGHQSQSSLSMSSSKMGPSLTNLSTGGGDLSRSSNAPVASGSPSRSNSVSKSTGGSPPATGSAKGVQQPVQLPSPQQSATNPASTSSSKSTPANHFSMAMPSILGQQPNVSPGSNAGSKQQSHMPPSSLKQQPFPQGHFFISNAYAPQAPGAAGPAALGLYQKRPGDKAQQQAPHQQNAMPAAAGNNMKALHPPGGFMHLAAAAQSAGGVQHSHMSAAQLTFGPMPMPVKPSSDQKPAAGK >KQL24743 pep chromosome:Setaria_italica_v2.0:II:32798691:32799816:1 gene:SETIT_031261mg transcript:KQL24743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCHPPRRASSCTPSGLGEKSFVPIKFRCAVRNKQAPRNRKMGKTWALITHLHALAGPTLTLIYPLYASICAMESTSKLDDEQWLAYWIIYSFITLFEMAAENVLYWIPLWYEAKLLFVAWLVLPQFRGASFIYDRFVREQLRKHGVRLHDHHGHGHGAEHEPHPHILKAEHGHGVH >KQL25083 pep chromosome:Setaria_italica_v2.0:II:35751988:35753642:1 gene:SETIT_030720mg transcript:KQL25083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSISPTLLLCNMYQRPDMITPGVDAQGNSIDPERIQEDFEDFYEDIFEELSKHGEIESLHVCDNLADHMIGNVYVQFREEEQAARALQALQGRYYSGRPIIAEFSPVTDFREATCRQFEEHSCNRGGYCNFMHVKQIRRDLRRKLFGHLHRFRRSQSRSSRSPSPYRYRRSSSRSRDRDDDYDYYYHYRSGSGSRRSSERHRSHDSDGSRRRRGRSRSRSPVREGSEERRARIEQWNREREAAQV >KQL25082 pep chromosome:Setaria_italica_v2.0:II:35751988:35753642:1 gene:SETIT_030720mg transcript:KQL25082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSISPTLLLCNMYQRPDMITPGVDAQGNSIDPERIQEDFEDFYEDIFEELSKHGEIESLHVCDNLADHMIGNVYVQFREEEQAARALQALQGRYYSGRPIIAEFSPVTDFREATCRQFEEHSCNRGGYCNFMHVKQIRRDLRRKLFGHLHRFRRSQSRSSRSPSPYRYRRSSSRSRDRDDDYDYYYHYRSGSGSRRSSERHRSHDSDGSRRRRGRSRSRSPVREGSEERRARIEQWNREREAAQV >KQL25084 pep chromosome:Setaria_italica_v2.0:II:35751988:35753642:1 gene:SETIT_030720mg transcript:KQL25084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNKPSISPTLLLCNMYQRPDMITPGVDAQGNSIDPERIQEDFEDFYEDIFEELSKHGEIESLHVCDNLADHMIGNVYVQFREEEQAARALQALQGRYYSGRPIIAEFSPVTDFREATCRQFEEHSCNRGGYCNFMHVKQIRRDLRRKLFGHLHRFRRSQSRSSRSPSPYRYRRSSSRSRDRDDDYDYYYHYRSGSGSRRSSERHRSHDSDGSRRRRGRSRSRSPVREGSEERRARIEQWNREREAAQV >KQL25316 pep chromosome:Setaria_italica_v2.0:II:37276035:37276525:-1 gene:SETIT_033631mg transcript:KQL25316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPANKASKPPAWQLPEVGYFINRIHGRVVLILNVLNISAAS >KQL24845 pep chromosome:Setaria_italica_v2.0:II:33722002:33722510:-1 gene:SETIT_033562mg transcript:KQL24845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLTSPSQDSIIYMYVYGKASKLCHSNAYGLEKKEKAHRL >KQL24824 pep chromosome:Setaria_italica_v2.0:II:33551310:33553066:-1 gene:SETIT_030333mg transcript:KQL24824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARILLPLLLFLLGSHCWTGAAAAARRQLLQARRAGDPNSQVKFDFSPFLIEYKSGRVKRLMGTDVVAASSDALTGVTSRDVDIDPSTGVTARLYLPSFRASARVPVLVYFHGGAFVVESAFTPIYHAYLNTLAARAGVVAVSVNYRLAPENPLPAAYDDSWAALKWVLASAAGSDPWLSQYGDLSRLFLAGDSAGGNIAHNLALRAGEEGLDGGARIKGVALLDPYFQGRSPVGADAMDPAYLQSAARTWSFICAGRYPINHPYADPLVLPASSWQHLGASRVLVTVSERDRLNPWQRAYYAALRGSGWPGEAELYETPGEGHVYFLTKLGSPQALAEMAKLVDFINRD >KQL26662 pep chromosome:Setaria_italica_v2.0:II:45641727:45643778:1 gene:SETIT_0301901mg transcript:KQL26662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HGKKTPPKAIVLTVDTPVLGRREADIRNKMIAPRLENLEGLMSFDDDLDTEGGSKLERFAHETLDPSLSWKDVEWLKSITSLPILLKGIVTAEDARKAVEVGAAGVIVSNHGARQLDYAPATISALEEVVKAVAGAVPVLVDGGIRRGTDVFKALALGAKAVMVGRPVFYGLAARGEAGARHVIEMLNRELELAMALCGCRSVGEVTRRHVLTEGDRIRALL >KQL25743 pep chromosome:Setaria_italica_v2.0:II:40175884:40180762:1 gene:SETIT_029522mg transcript:KQL25743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVAYGAAWPPPQAAAAPRWPPMAAQHQHHGRTTTSSGGGADDDLSASNATMTSFTNTSTTNHSGLSMDSSVPGAEAAAAAAVAAESHLWNQVLMGAGGEVGRSMQAVHDAHDDSENFLELLNSRTLAPELFAEPPACDYLKKMEYGSSHGGGGGGGGWPDHQFTAAALEKHLSYGAALAHHQHHHHAAAGAPERLTANLSDLVSNWSIAPPDPCLGDAHHRAGAAAACDNAAVASLGHGAKPGLFLDSGGLCKHEMSGHGGMLQEAAGGGGQEFLRPTGYSSMLGLSSSNRMYGAGPAMDVPWGNNAGAARSLSDLISFGGAPLGKPEQPAATATKAQAEYKKQGQEISSPAKTSSGGGSKGSSEGKKKRSEEQQGSDGNTKKSKNEASSPTSSLKASQVPKVKLGDKITALQQIVSPFGKTDTASVLYEAINYIKWLHEQVQLLSDPYMKTSSSKDYNAWGGLDRKEKSEAEMDLRSRGLCLVPVSCTPQVYRDNNGPDYWTPPYRSCLYR >KQL23428 pep chromosome:Setaria_italica_v2.0:II:12355775:12357086:1 gene:SETIT_032949mg transcript:KQL23428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKMKKGILKPFRYISNMMDGKEPEMQIGFPTDVKHVAHIGWDGPGATNNNNNAGGAPSWMKDYHSAPLDSSSFRSESGGTAAANPWASQEIVMDGGIGETSFRDTKSEAGDVGGGDSPPSPGTRRSRRHRSRGSATSSMDVTGAEGADEKKKDKGKKGPRKNRKKDKDKPEDGGAATCQDLPAVPKKSNRRKSKGSSEGTGGAAAVAKDGAAAAAPEEGAAAPLPPVADD >KQL23641 pep chromosome:Setaria_italica_v2.0:II:15612970:15613986:1 gene:SETIT_032321mg transcript:KQL23641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGSCMLSNELLRSTAANPPVAPSHPRTDPARPPRGRGVGRPGRAPLQRRSRGALTRPRTLSVKAVDEVWRDFVCEGPAPGAAGGGAEPYPNRQQTLGEMMLEEFLVRVGVVLDNPTTAAVPAQPVAPQPIQAVSNGASIFFGNFGAANDAGAGAMGFVLVGIGDQAMGNGLMLGVAGMASAAVTSPVDTSVAQLDSVGNGNGDCPRPWRRCHTLLRREEERRK >KQL22933 pep chromosome:Setaria_italica_v2.0:II:6467393:6478014:-1 gene:SETIT_028707mg transcript:KQL22933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSTTGGASASSAAASSSSGPSTSASASGSAAGATHYLAKRVLRGSAVLHVAEGCFRSPDSADVVLAKETSLELVAVGDDGVLQSICEQDMFGIVKDIGVLQWHSRHIGLIPQIEHKDLLVVLSDSGKLSLLYFCPEMHRFFAIANIELSKPGNLRHQLGRVLAIDRDVRGTIWNMRFISTSQDEEYYPVLAMIINRKGSDVNDLSLFGHDSSSGVINHISSYSEIGPLALDISEIPEMFGFALLFRVGDALLLDLRNPRNVCCVRRISLTTSLIGEPVTVEDSCSVLDVDDDVAACALLELRDSANNILKDDGYMDIDGVDSRGSVKSRIVCSWSWEPPDPIKQGWARLLFCLDDGEFHILEFTADVEGVKLCTFEYIDRSLPCKPLLWMKNRMIIGFVEMGDGIIFKLGHRRLFHKSTIQNVAPILDLAIADYHGEKQDQMFACCGMCPEGSLRVLRNGVNVEKLLRTEAIYQGVTGLWTLRMKITDAYHSFLVLSFVEETRILSVGISFNDISDAVGFQPDVCTLACGLVADGLLVQIHSKGVKLCLPTVYAHPEGAHFTSPICTNWYPDVTISVGAVGHNVVVVATSNPCCLYVLGVRSSSSYQYELYETQHVQLQFEVSCISIPQEDWRPDNVTLSGGERDDFCNNPLAKVNIRKFAVIGTHKPSVEIISVEPGEALRLLTIGTISVSNALGAPISGCIPENVRFVAAERFYILAGLRNGMLLRFESEASEHYFPGSFYKDSSIPSVDTFLQLISIRRIGITPVFLVPIHDSANADIIVLSDRPWLLHAARHSLAYSSISFLPASHVTPVSSADCPNGLLFVAESCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHNESRTLLVLRTGLSGASCSSDIVQVDPQNGVLLSRYKCEPGETAKCMQITKIGSDQVLIVGTSRSAGRPMMSNGEAESSTKGRLIILSLEAVESPRESSSFIPTSSFNPSSHSGSPFHEIIGYTTEEFSSNSLCSSPDEFCCNQIQAEQMAGHLRSLTHASLSGAVLAVYPYLDRYVLAAAGNTIYVFGFANENPHRMKKCAVGRTRFTITCLKTFASRIAVGDCRDGVLFYSYNESLRKLELIYSDPAQRLVGDIALLNCETAVVSDRRGSISVLSSARLEESPQKNLAVNCSFYMGETAMSIQKAAFRYRLPIDDDTDPVLETAYDCIVASTLLGSLFVMIPLTSEEHQLLQDVQERLAVHPLTAPVLGNDHAEFRQRSIPSGVPPILDGDMLVLFLELTGEQQQAILSHALPGKGPRAPVSVFQVLRTLERVHYALN >KQL22932 pep chromosome:Setaria_italica_v2.0:II:6467393:6477937:-1 gene:SETIT_028707mg transcript:KQL22932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSTTGGASASSAAASSSSGPSTSASASGSAAGATHYLAKRVLRGSAVLHVAEGCFRSPDSADVVLAKETSLELVAVGDDGVLQSICEQDMFGIVKDIGVLQWHSRHIGLIPQIEHKDLLVVLSDSGKLSLLYFCPEMHRFFAIANIELSKPGNLRHQLGRVLAIDRDVRGTIWNMRFISTSQDEEYYPVLAMIINRKGSDVNDLSLFGHDSSSGVINHISSYSEIGPLALDISEIPEMFGFALLFRVGDALLLDLRNPRNVCCVRRISLTTSLIGEPVTVEDSCSVLDVDDDVAACALLELRDSANNILKDDGYMDIDGVDSRGSVKSRIVCSWSWEPPDPIKQGWARLLFCLDDGEFHILEFTADVEGVKLCTFEYIDRSLPCKPLLWMKNRMIIGFVEMGDGIIFKLGHRRLFHKSTIQNVAPILDLAIADYHGEKQDQMFACCGMCPEGSLRVLRNGVNVEKLLRTEAIYQGVTGLWTLRMKITDAYHSFLVLSFVEETRILSVGISFNDISDAVGFQPDVCTLACGLVADGLLVQIHSKGVKLCLPTVYAHPEGAHFTSPICTNWYPDVTISVGAVGHNVVVVATSNPCCLYVLGVRSSSSYQYELYETQHVQLQFEVSCISIPQEDWRPDNVTLSGGERDDFCNNPLAKVNIRKFAVIGTHKPSVEIISVEPGEALRLLTIGTISVSNALGAPISGCIPENVRFVAAERFYILAGLRNGMLLRFESEASEHYFPGSFYKDSSIPSVDTFLQLISIRRIGITPVFLVPIHDSANADIIVLSDRPWLLHAARHSLAYSSISFLPASHVTPVSSADCPNGLLFVAESCLHLVEMVHGKRLNAQKFSIGGTPRKVLYHNESRTLLVLRTGLSGASCSSDIVQVDPQNGVLLSRYKCEPGETAKCMQITKIGSDQVLIVGTSRSAGRPMMSNGEAESSTKGRLIILSLEAVESPRESSSFIPTSSFNPSSHSGSPFHEIIGYTTEEFSSNSLCSSPDEFCCNQIQAEQMAGHLRSLTHASLSGAVLAVYPYLDRYVLAAAGNTIYVFGFANENPHRMKKCAVGRTRFTITCLKTFASRIAVGDCRDGVLFYSYNESLRKLELIYSDPAQRLVGDIALLNCETAVVSDRRGSISVLSSARLEVSESPQKNLAVNCSFYMGETAMSIQKAAFRYRLPIDDDTDPVLETAYDCIVASTLLGSLFVMIPLTSEEHQLLQDVQERLAVHPLTAPVLGNDHAEFRQRSIPSGVPPILDGDMLVLFLELTGEQQQAILSHALPGKGPRAPVSVFQVLRTLERVHYALN >KQL24674 pep chromosome:Setaria_italica_v2.0:II:32155004:32155153:1 gene:SETIT_032922mg transcript:KQL24674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSHKGDNESNFTSPRKPSNFDISCITRVTMTVVLLHRGSHPASSKQD >KQL25787 pep chromosome:Setaria_italica_v2.0:II:40556607:40559857:1 gene:SETIT_029848mg transcript:KQL25787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIACCLPVVECVYCLACARWTWQRCLHSGGYDSETWGIASAAEFEPVPRLCRLILSVYEDDLENPQWAPPGGYGMEPRWVVHRRTYEHTHGHAPTYLLYVDHRHSDVVLAVRGMNMAKESDYAVLLDNKLGQRRFDGGYVHNGLLKAAEWVFDAECDVLRDLLEKNPGYTLTFAGHSLGSGVVAMLALVAVHNRERLGGIERKMIRCFAMAPARCMSLNLAVRYADVINAVILQDDFLPRTDIPLEDIFKSLVCLPCLLCGRCLIDTCIPESVMLRDPRRLYAPGRLYHIVERQPFSCGRYPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQRALDLLLENEKTMEAPEVQRMGNEITISRDHDEEQQAALRRAVALGVADVNVPSTYGTFDENPTSEADESSPLLSDSGRRRAVWNEWIARIFEKDESGQMVPRR >KQL22710 pep chromosome:Setaria_italica_v2.0:II:4720180:4720969:-1 gene:SETIT_031004mg transcript:KQL22710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVAVAVVRGDHQSSPPRSPECWLQSLPWCGGAWKAEVKRGERALLCAARLHPRRRPWPWGLREATRARTRRPRPRTSRALASPLETPRAQRGPCTVHARAGRWRRSRRPGLAPPGREGQWTWVPLPHGHTAVTGGLARFLLGQNVSTTARRSLRHQRRRHGPARAHEHPPARTRRPRSSPPTEGCARLSRRHERSAGRLHLHLHRTHAHLLEELQHCTCDDDLAAISIS >KQL22202 pep chromosome:Setaria_italica_v2.0:II:543914:545074:1 gene:SETIT_032155mg transcript:KQL22202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPPCRPTPPSPRALPHLPDGIVEDILLRVPADEPAGLLRSALTCKRWARLMADRGFRRRYRERHRPAPMLGFLANLVRTDGVARFFPTAGFRTPRADRDGYRAHDARHGRVLLNRVPGTDLPTGHQDSASALIVWDPITDEQRHLPLLQLRRREVRNWNAAVLCAGTAGGGDDACDHLDCGAGPFRVVFIGMNAKEIFAHVYSSESGAWSQPASGELLIPGEPQEDDPIDEAVPGVLAGNALHFVFLQDTHILKFDLATESLSVIPLPHRLYSWCMVLMAMDDGGRLGFAEVDNLNTLTLWAMELGPDGNVGGWARRRVIELSTRLPPRALRNSPDVVAFADAVDVVFLETTDGLYSFDLKFRKGTKVMGHRFYDVVPYTSFYTP >KQL24228 pep chromosome:Setaria_italica_v2.0:II:27849448:27850207:-1 gene:SETIT_032056mg transcript:KQL24228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFFVLLLLLAPVVVVSQPGFLSIDCGLDDSYSGYTDKITGIVYVSDGPYIDSGENRRIAPNLESSWLYPQQTLRSFPSGVRNCYALPTVADTKYLVRANFAYGNYDGKNSSSLSFDLRLGPNYWDTVYPDATMNFVFEAIFVAWAGWAPVCLVNTGRGTPFMSSLELRQLGDALYPHYF >KQL26555 pep chromosome:Setaria_italica_v2.0:II:45029538:45030013:1 gene:SETIT_032158mg transcript:KQL26555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKFKHKPTGERTFSSPEEIAAGSSADRPRTFKKQAEKDVSDTRGQPEEKEESEGLIEIENPNLVKPKNIKAKDIDIGKTTNISRREREELEKQKSHDRYMKLQEQGKTEQARKDLDRLALIRQQKAEAAEEKAAKKERKAEARK >KQL26534 pep chromosome:Setaria_italica_v2.0:II:44938202:44941287:1 gene:SETIT_030926mg transcript:KQL26534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVKQHRCTHSASCVCTKGHLSEDALFLVFRHMNWNPRLIAILSCVCKWFDEVAKQVLWKEFCHARAPKMMLDLHSGGSHIVDGNWKALGKLLIYCNGCTKGGLFNNIHVPGHFVFRTRFSRTAGKSFLPLPCKSDVLYVSDPCEHLDQGEEGDLGFFRGIFKSFATSRVKKMLIEKRARFHPRELCPYCKAKLWNMFQENMIPRSASARLGAYDDSVEYFVCLNGHVIGISTLLPLSDSEEAADE >KQL23971 pep chromosome:Setaria_italica_v2.0:II:23806742:23816132:-1 gene:SETIT_028887mg transcript:KQL23971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMVRVQKSEQIKQTSREVSTKSFTEDLVFKPEKKKVKLLKEKIQPEPRVSSPKPVKEQELVVLPSSATRKIPLSLFPIVDSEVEKRVIAILGNEASKLTLKDVTRKCSVPTTHVYSGRQTDKIAQGKIERSVQAVGAALKKLENGGNVNDAKAVCEPDVLRQLAKWHSKFRVYLSPFIHGTRYSSFGRHFTKVEKLVEIADKLHWYVEPGDTIVDFCCGANDFSRLLKEKLDKVQKKCHFKNYDLIQPKNHFGFEKRDWMTVKLNELPRGSQLIMGLNPPFGVKASLANKFIDKALSFKPKLVVLIVPKETKRLDQKKTPYDLIWEDSECLAGKAFYLPGSVDLNDKTVEGWNASAPPLYLWSRPDWTKKHMKIAEEHSHTSMGKKKPRHVDSLSDDPVREEAEPSDKIKTRSGKGKENTGKASFHSKEDNILDDLPVRKQAEATNKWNSRSGKERGTDKTACNDREANLPDDHAAKKQARSEEERGTLGKIAVHVKEANMSDNLPVKKQSEPTSRVIPGKEKENGSYENRSDNRRKWTPDHVESLPPEKQVEVAYEETKVTIPRKESIHNEHRGACHENRRNSHGEEIKSRHNYQQTAAGMLNVKSMDGGDSDMSIPSPDSNNAGSKSRSYSPAIPTEHPSDRIAHPDSYCPSEELYDPMLNRANLKGSYLASNDEYFDELKYADIDNSSRMRGSSIDEVTKPYIGASTSLYSSQSRDDGSFYRRPSSEDLNTSTGRNLVADVAMQGHGIRYDGHGDSCQASKIPLATGSQTHLSMNGGTGADYPLARFSLGSSGARFSQPASTPSFGLSGSGLQRGSVMDKYGYGLLGPSGPQSSIIDRYAPSLDGRNNTRPESSLPQQYPFGRQGSYGGGWPQN >KQL27062 pep chromosome:Setaria_italica_v2.0:II:47642274:47644667:-1 gene:SETIT_031388mg transcript:KQL27062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLAGSDVKGTIFFSQEGDGPTTVTGSISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTAGEDGVANVNITDCQIPLTGPHSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KQL27061 pep chromosome:Setaria_italica_v2.0:II:47642274:47644804:-1 gene:SETIT_031388mg transcript:KQL27061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVAVLAGSDVKGTIFFSQEGDGPTTVTGSISGLKPGLHGFHVHALGDTTNGCMSTGPHFNPAGKEHGAPEDENRHAGDLGNVTAGEDGVANVNITDCQIPLTGPHSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRVACGIIGLQG >KQL24994 pep chromosome:Setaria_italica_v2.0:II:35179528:35183808:-1 gene:SETIT_032727mg transcript:KQL24994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLHETPGFCCRNGKIHLSTPETPPKLMRLRSSSDADARHFHANIRFFNGHFSFTSLYCHLDRMTTNMRNSVVYTFHAHGQIYHNIRSFGKEEGTELRHLELYFHDDDLSLEYRYRKCREECLQKDKEVIERLVSILRGNPYSKNLRPMGQIEHLEDYQIALNLDQQLDQRTYNVPTTSEVAAVWIEGSERPGQFDHSVLLQGKDRSIHGIISYQGCYDALSYPLFFPRGELEWHNNIPKVGVTMDQVKATVAIRAARAEGEGGDDLGNQFAVDTYIKIESSHLDYIRTHQDDLRADLYQGLVDSLHAGKGQGDAVGKRTVLCTSFIGGPLDEASRYGKLDIFLTMTCNPSWDEIKNKLYPDQTTQDHPDLVTRVFRAKLEELKTRLMENDILGKVKAYVYVVEFQRRGLRHAHWLLIMKRKYKLMCPEQHDLLVSTELPDKNKYSEMYKMVTKHMMHGLNPNCPCTKGRSSCNNRYPHPFCETTSQGKDSYPIYKRSDNGRKEWIQGHVLDSQWVVPYNTYLLRTFNCHINVEACGSIKYVKYLFKYIYNGYDRASVAMREGDKLDDKGNVDEIKQYRDARWHLRTVDGVIQPTFREATERRGLIEEDNMLDDCLTEAAEFQMPSSLRQLFATIWYSVSQAMCSDYGRNTWMQSNDQDIKSFPLPDIDETYDDTSHVPWEIFEEASIEQNIDEVALSKSLNKEQRVAYDEIMFFIGTNQGGMFFVDGLGGTGKILFRNKLAVATVTSSVAASIMPGGRTAHSRFKIPLTIEDGTCCSFTKQSATVKLLRQATLIIWDDASMTKRQAEEALDNSLRDIMDQPQLPFGRKTIVFGGDFRQVLPVVRKGLRAQIVDASLRRAILSTRNDWVDEINMKMIGRFRGGEVVYHSFDAAIDDPHNYYPSEFLNTLTPNGLPPHVLKLKIGCPVILLRNIDPANGLCNGTRLVVQGFQRNTIDVEIVLGQHAGKRVFLPRIPLCPSDDEMFPFQFKRKQFPIRLSFAMTVNKAQGQTILNVGMYLRYPVFSHGQLYVALFRATARSNVKNIVYKEVLTP >KQL25480 pep chromosome:Setaria_italica_v2.0:II:38464013:38465461:1 gene:SETIT_030469mg transcript:KQL25480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLLLSVSLLLSSLTGAVSTTFTLTNSCGYTVWPGLLSSAGSPPLSTTGFALAAGESRSVDAPPAWSGRIWGRTLCAADPGSGRFSCATGECGSGAVECAGGGAAPPTTLAEFTLNGAGGIDFYDVSLVDGSNLPMVVVPQGGSGATCGATGCLVDLNGPCPADLKVAGPDGAGIACKSACGAYGRPQDCCSGDYGTPATCQPSDSSQFFKNACPRAYSYAYDDATSTFTCTSGTVSYLITFCPSMSSLKSSVSSSGAGASTNPSSGGPGLPLINDTVSFAGRGDGYSSYPYASASASAPSLSAPGPLALVAAAALTWLCAAPRHRLRL >KQL22597 pep chromosome:Setaria_italica_v2.0:II:3762681:3764678:-1 gene:SETIT_031128mg transcript:KQL22597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGFKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGVTQLKFQRNKRSVAEERAGRKIGGLRVLNSYWVNEDSTYKYFEIILVDVAHAAIRNDPRINWLCKDVHKHRELRGLTSAGKKYRGLRGKGSSHHKNRPSRRATWKRNQTLSLRRYR >KQL22596 pep chromosome:Setaria_italica_v2.0:II:3762681:3764603:-1 gene:SETIT_031128mg transcript:KQL22596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGFKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGVTQLKFQRNKRSVAEERAGRKIGGLRVLNSYWVNEDSTYKYFEIILVDVAHAAIRNDPRINWLCKDVHKHRELRGLTSAGKKYRGLRGKGSSHHKNRPSRRATWKRNQTLSLRRYR >KQL26125 pep chromosome:Setaria_italica_v2.0:II:42480225:42483305:-1 gene:SETIT_030877mg transcript:KQL26125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEGTTWVFDCPLMDDLAVAADFAAAPAGGFFWSAAPPMQPLAVQAPMQAVAAAPAPNPCVEISGSVDCDQGKEQPTNKRPRSESTAQPSTKACREKIRRDKLNERFLELGAILEPGKTPKMDKSAILNDAIRAVGELRSEAQKLKDSNESLQEKIKELKAEKNELRDEKQRLKAEKESLEQQIKFLNSRPSLVPHPPVIPASAFTTPKGPPAAGHKLMMPVIGYPGFPMWQFMPPSDVDTSNDPKSCPPVA >KQL26124 pep chromosome:Setaria_italica_v2.0:II:42480435:42483123:-1 gene:SETIT_030877mg transcript:KQL26124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEGTTWVFDCPLMDDLAVAADFAAAPAGGFFWSAAPPMQPLAVQAPMQAVAAAPAPNPCSVEISGSVDCDQGKEQPTNKRPRSESTAQPSTKACREKIRRDKLNERFLELGAILEPGKTPKMDKSAILNDAIRAVGELRSEAQKLKDSNESLQEKIKELKAEKNELRDEKQRLKAEKESLEQQIKFLNSRPSLVPHPPVIPASAFTTPKGPPAAGHKLMMPVIGYPGFPMWQFMPPSDVDTSNDPKSCPPVA >KQL23662 pep chromosome:Setaria_italica_v2.0:II:15870482:15871020:-1 gene:SETIT_033693mg transcript:KQL23662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMSYPGSARRGFLLGSNSLRTFYFFWANFPLCSCMHNKFVLDACNSK >KQL25509 pep chromosome:Setaria_italica_v2.0:II:38781537:38784015:1 gene:SETIT_031174mg transcript:KQL25509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASSLLPAPVSRVRASTAPELAAFPTARKAVSLAAARRRGSRHGVRAEVNESGSTLAVDALSQVKHVLLPVTDRNPYLSEGTRQAAATTASLAKKYGANITVVVIDDKPKESFPEHDTQMSSIRWHLSEGGFTEFGLMERLGEGRKPTAIIGEVADELELDLVVLSMEAIHSKHVDGNLLAEFIPCPVLLLPL >KQL26501 pep chromosome:Setaria_italica_v2.0:II:44787323:44794148:-1 gene:SETIT_029204mg transcript:KQL26501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGRPRPGSLQALAAVLLMAAASVAAAGSPDRPAPAPPLFLPLTRSYPNASRLAASLRRGLGDGAHPNARMRLHDDLLTNGYYTTRLYIGTPPQEFALIVDSGSTVTYVPCASCEQCGNHQDPRFQPDLSSTYSPVKCNVDCTCDNDKNQCTYERQYAEMSSSSGVLGEDIVSFGRESELKPQRAVFGCENSETGDLFSQHADGIMGLGRGQLSIMDQLVEKGVISDSFSLCYGGMDVGGGAMVLGGLPSPSDMVFSRSDPLRSPYYNIELKEIHVAGKALRVDPKVFDSKHGTVLDSGTTYAYLPEQAFVAFKDAVTSKVHSLKKIRGPDPTYKDICFAGAGRNVSKLHEAFPNVDMVFGNGQKLSLTPENYLFRHSKVDGAYCLGVFQNGKDPTTLLGGIIVRNTLVTYDRHNQKIGFWKTNCSELWERLHIGGASSPGPSSDTGSQADMSPAPAPNGLPEFDVGLITVDMSINVTYPNLKPHLHELAELIAKELEIDSRQVRVMDVTSQGNSTLIRWGIFPAGPDNAMSNATAMVIISRLTQHHVQLPENLGSYQLLEWNVQPLSRRSWFQEHVVSILLGILLVVLVTLSAFLVVLVWRKKFGGQTAYRPVDSVAPEQELQPL >KQL26772 pep chromosome:Setaria_italica_v2.0:II:46258812:46259182:-1 gene:SETIT_033694mg transcript:KQL26772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCAVGFELAAAGIVSQHSQYPRLTLQSILYLNIK >KQL22903 pep chromosome:Setaria_italica_v2.0:II:6239135:6239563:1 gene:SETIT_031980mg transcript:KQL22903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIESHRSSAEVVTGDAICRKKSVELLEELGLPKGLLPMEDIQEFGYNRTTGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAYAEKGKLRKITGVKTKELMLWLSVVEVYVPEASPDKVTFKTGTGLSDSFDATAFAHGE >KQL22652 pep chromosome:Setaria_italica_v2.0:II:4200185:4200651:1 gene:SETIT_033695mg transcript:KQL22652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIVLLVCKYIHFLTIRLTRPLSCNHPSILQAS >KQL22604 pep chromosome:Setaria_italica_v2.0:II:3816946:3817604:1 gene:SETIT_032619mg transcript:KQL22604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSLIASRFARSSHALPAAAAAISQAPRAQHTASPLLSGLGAAARAFSSRALWKGAFVDAFLARIKKNRENMNGKKIWSRRSSILPEFVGSSVLIYNGKTHVRCKITEGKVGHKFGEFAFTRRRRPHRTITGKGKQGKGRK >KQL24655 pep chromosome:Setaria_italica_v2.0:II:32041166:32041514:-1 gene:SETIT_033675mg transcript:KQL24655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTTEQQWRRMFIWALGVDRQLRLALWL >KQL26517 pep chromosome:Setaria_italica_v2.0:II:44853467:44858045:-1 gene:SETIT_029229mg transcript:KQL26517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHGWQLPYHPLQVVAVSVFLALAFAFYVFFAPFVGRKVFQYVVMGLYTPLVLCVFFLYIWCAAANPADPGVFKSKKYLSLYGSGKHKHLKESRKGVSDTRLQLEGTGRKQEHEVAAPSEKSMTEHKDKNSSCCSSTFSAFLVIFYPLSFVFSCCQSHEWSSEHQASEEGMFFCSLCEVQVLNYSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYRRFFVLMSTALVLLILQSAIGVLVLVLCFVERKEFSVQIVSKLGSSFSLVPFVIVVASCSILAMVASLPIAQLLFFHILLIKKGISTYDYIIALREQDQEDASGQQSPQMSRVSSYTGLSSASSFGPLRRGSWCTPPRLFLEDQYDVIPSEAGSSHNSATKRKEDEVRRKKGSGAVKISPWALARLNAEEVSRVAAEARKKSKVLVPIRKDDYSRGHDTDSSYGGMSSGRIDLGPDSKRRTNRRGRQPSDLSLKPVAKISADVIHSTGSDMPPEALSSLAPLQLEARSAFHPSRAASSANVGGSSPDSSLDSPDLHLYRVSAVSSSGAEDLQLTALAAPGSTPQQGVQLSRSTSDGYEASGGEDSDRIPSRIVHRSSNWASIILNSDQSASSSGILVAKNRLS >KQL24331 pep chromosome:Setaria_italica_v2.0:II:28978317:28980784:-1 gene:SETIT_029037mg transcript:KQL24331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWTKKLGHTLSRLITSKPAFAFSRPRPTPPAPPPPPPVSSIPPQPPELLPFPTSAMPHHGSHRPPPHAPGGHVFPRATSTVLPDPSRFFAPPLLAAPLPTNSFFQNFVLKNGDQPEYFHPYSIRSPGGAALDVCYPTRNHSPSFVIQTFVPDLTISDAAGSAQRHRISAFDDLSVTLDVSPSLRAHLVRGCPYVTVVTTAGPVDVSVASVHAFIEVAPCDDDGTKWRLRMNSGQTFLLYASAPIRLEQAGTTQLSAPRFAGAIRVAYLPDASMEPVLDRHSGCFPTAGDAALNRAFCVDYAWRKEGPGELLMLAHPLHLRLLSDDCAVRVLDDFRYRSIDGDLVGVVGDAWSLRADPVSPTWHSTRGVSEDGVAEVVAALRADVAGLASTPITTTSSYFYGKAIARAARLALIAEEVGCPDVIPAVQSFLKATVTPWLDGSFQGNGFFYDAKWGGLVTLQGLRDSGADFGFGIFNDHHYHLGYFLYAIAVLAKTDPCWGRKYMPQAYSMVADFMTLSRNRPGGSFTRLRMFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALVGLSYGDAHLVSVGATLTAFEALAAQTWWHVRAGEGIYEEDFAGSNRVVGVLWANKRDSGLWFAPPEWKECRLGIQLLPLLPVSEALFPDAAFVRDLVAWTLPALARDGVGEGWKGFVYALEGVYDREGALAKTRALTGHDDGNSLTNLLWWLHSRGSVVAGDGDAGFGRCCWYRQYCH >KQL26956 pep chromosome:Setaria_italica_v2.0:II:47109477:47111691:-1 gene:SETIT_031270mg transcript:KQL26956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLEVEMSWNVLISPSQLDRKGLLLRKAIIVRLLEDVTNKRASKEHGYYVAVNQLKAISEGKVRELTGDVLFPVTFTCITQKPLKGEILVGYVDRILKHGVFLKSGPVESIFMAEKSMSDYKYIGGENPMFMNDHSKLEKDTAVRFKVMGFRWMEADRQFQLLATIAGDFLGPL >KQL26774 pep chromosome:Setaria_italica_v2.0:II:46262386:46262976:1 gene:SETIT_031646mg transcript:KQL26774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCGDSPETVREHRRPVVRSGRASCRGLDCKSCRAPMAARCEQRRPQPLTAIASMLRWILRFLGRRHGRSSLDGSETLDSGETLDGVGIGCL >KQL26847 pep chromosome:Setaria_italica_v2.0:II:46600449:46601858:1 gene:SETIT_030239mg transcript:KQL26847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTGDDPSAAAGISFPDADGGGGGDSEDGDFADAHLLDPTDPSLHNPTTSSATGLPHAVPAGGSGGGPVTSGNGGERRPLFQRLWTEEDEIVILRGFAEFTAARGTAFASHQYDTDPFYEDMRRRLQLDFSKSQLVEKLRRLKRKYRNCVSRLRESGATFSFRSPHEQAIFEIARNIWRPTNKHGRDPSADSDDEDAAANTSPNGEVKSPSGRQRRRRRATDFAAAAGTAPATSMVQPPQPVQLPVSVPVKMEDSLPALPQTQMPVTVTMDGSEPLRLPVMSPQSGVSDAEKSCLTPLLKEMIRAVINVGTNPFGTKLPEPPLGLPMEGEKWRKQRILELEVYLKRIELLQDQAKATLEELKSSTPGT >KQL25637 pep chromosome:Setaria_italica_v2.0:II:39524615:39527065:-1 gene:SETIT_032927mg transcript:KQL25637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WTSSEEEEFKALFTQLWYEKSCDGMEALAKRFPAKSIQQLGKKYAEAFEDMLCGETDDEQSRDDATTDWHDWYKLLQRDKNGLVLGPLVETPLFEPPEQLLFKPEDHVEIQESHCKSSRKRKQSWTCEEHRQFLNGVNCLGRGSWKFISKYFVPSRTPAQLASHAQKYFDRIKKNELDDTRQRHSVNDIRLVDHGTNITAHSYTEVGKGKGIASSIPPPILTENIDILHGLAQGMTKFGQASDSQSNLIGQMTHNNQIASSIPPPILTEDIDIMHGLPQGMPEFEQASNSPSKIAEQMTHNNHMLESVQWEVSSTPSPREHESVLLDQTSAQNRACPSWKRSISAATNKRRRNNKTIPPGVLTAQRNPPSYEIVPIKRHNLQQIVPPF >KQL27229 pep chromosome:Setaria_italica_v2.0:II:48713658:48715923:1 gene:SETIT_030470mg transcript:KQL27229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESTHGSGRHVFGDLTNVLRKRPAPSDPEKSMGGVKIRRIEKDTATWKEFDEYAKNSSGGKGIVYGHLFDGVSKENFERPSIFRNSKVQHMAAEAAGLLSKEDSDLRNHCASIDSFDLSAKGQDSSLESEGDYDNEDDDETGGELGHFSSSELANKTAANDGECLTQEEIVGSSGNQKPLSSLDFTTGGDMASSSVQHASVRTSGSEEAVATKSCACSFCLKAALMWTDLHCQDARSRLSVLKKSIKFARLLEAKSKGDEYAANVAGYNSKRAVEMEFELSQQRRSLFLYTENALVRESTQLHSAFVKLKELRENCKTDVETIGNSSVGK >KQL22107 pep chromosome:Setaria_italica_v2.0:II:7265:8344:1 gene:SETIT_032379mg transcript:KQL22107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRRRFVNLVAENIKTGVFSVHRLNVSEHLFYPSTAEAEAARPAQVVSRLGALPPPAASFRAAFVTEYNGQLFALASPRSSESRILWSSSVPCSSLLFDLESNSHHVVPNLSYKGRNPIAISIARPDAPEEDIYVLSAGTDYPGFEVLRFGRSAQWYLQHLPESWQLESLPEPPLPDGAVIRSHAVLHDGRTICVTAPDDPFAAGGPYGAYLFDTVKREWRRPPGGWNLPFFGGAEHVPNLKLWLGLCSRGRRLCASSDLSAALDEGKPPTLKHQWDIVVMPEEWQPGKVSLINLGEGRFCIFKVMYYVVDEDDWFDGFSARALLTGVEVISSPEEQGLRMVAHKSFSYILGKESIEWV >KQL25324 pep chromosome:Setaria_italica_v2.0:II:37363825:37367708:-1 gene:SETIT_030604mg transcript:KQL25324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGQPSLLPSVRKQWLGKPLLYGIGSLLVMPLRTLHGVGRVFGAGRFLCNMTSVSSSLQIELVPCLRDNYAYILHDVDTGTVGVVDPSEAMPIINALEKRNQNLTYILNTHHHYDHTGGNLELKAKYGAKVIGSEKDRDRIPGIDITLKEGDTWMFAGHQVLVLETPGHTSGHVCYYFAGSGAIFTGDTLFNLSCGKLFEGTPQQMYSSLQKIIALPDETKVYCGHEYTLSNSKFALSVEPGNKELQEYAANAAELRNKNIPTVPTTIGREKQCNPFLRTSNPEIKSTLSIPDHFDEDRVLEVVRRAKDNF >KQL26943 pep chromosome:Setaria_italica_v2.0:II:47052582:47055719:-1 gene:SETIT_029785mg transcript:KQL26943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAQGKCCLPRPPGRGSGGGRGPGGHGGATLGRAEVPGAGLVVEYATLAVAGLYPDSPGRESQDAHLVATRFAGDPDLHLFAVFDGHGAAGAACAGFAREALPRLLAAAAGAGSLAADPAAAFREAMLGANAEMHAAPGVDDSMSGTTAVAALVAGGALHVANVGDSRAVAGVWRAGRVVAEDLSWDQTPFRADERARVKACGARVMSVEQVEGMRDPESEGWVPDEGDPPRVWARDGLYPGTAFTRSLGDLAAEAVGVIAEPEVKSVEITPAHLFFVVASDGVFEFLSSQEVVDMVAMYQDPRDACSAIAAESYKLWLEHENRTDDITIIIVHIRDSENSGPAGSDKVDYGSIGTSITVHTIQSEMPVFVPSEASQLNRCVATEMQSSSSGSPTERSLSCVAPSPTHPLLIHRRISDASKPVQSEQAASEPVQSWHQVDSGTELERTMQRSVPPASC >KQL22671 pep chromosome:Setaria_italica_v2.0:II:4329362:4335036:1 gene:SETIT_029188mg transcript:KQL22671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKAKNPHVFMDISIGGGSTERITFELFANVVPKTVENFRALCTGERGLGASTQKPLYYKGTNIHRIVKGFVAQGGDFSKGDGRGGESIYGGKFPDENFRLTHDQPGMLSMANAGPDTNGSQFFITFKPLPHLDGKHVVFGKVASGIALLKKLEAVGGENGNPSRQVKIVDCGEVSNINTQDQLQGEKDKKLRKADGNSIAEGRAKSKKASSDDKHRKKRKHYSSDSYSSDTSDSRSYSSDSGSESESYSSSSLDTSSSSDHRRKRRKGSKKDKRKPTKRKSKHTKSKKKSRGSKRRSRRSYGSSSDDSIGSSSSDSESGGHRTKRSSRKDKESTKTTISEEGRTFEDVDKGKQMVTAVHQSHDGSKPSRKDENGADDRSGNYNSEDRNDPVASSRINPIQADTADGNGGADTAEAGVSRSGTERHPPSNEPVATNGKDLAVGSADNGQPQRIRKGRGFTEKYGYARRYRTPSPERPPVRPRYDGGRDDRWNSFNRYGRNGPYSRRSPVRRYHGSPRASSPSRYPRRDRSRSRSRSPARRRDRGGYRRPSPRRSHSPAEQTRRDASNRPPRSGHGGGPDYRGSSPLVNRGRSRSRSKSRDPSRSKSPEAAPAKRESSRYNRRRSSSSRSSSPDGNGNKGLVSY >KQL25022 pep chromosome:Setaria_italica_v2.0:II:35339626:35340520:1 gene:SETIT_033752mg transcript:KQL25022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAKVLLEHGHAVTVVFIDHPSKPPGLAAAVDHVVSSQPSVSFRKLPPVAEPPSACEDFIVTYSSSARTTGASAASSAPSLSGACTPSSSTCSPSTPSTSTASSACRPTPSTPPALPLSPSTSSSLTWPLTSQQALESLGTLPSSSSGSLPSRRLTSAGRCASAWRATSTTPL >KQL25590 pep chromosome:Setaria_italica_v2.0:II:39220508:39223207:-1 gene:SETIT_029507mg transcript:KQL25590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNRVGIVGAGVSGLAACKHALDKGFSPVVFEADGSIGGVWAHTLESTRLQAPTTAFRFSDMAWPESVTETYPSHYKVMEYIRSYACKFQLLKYIKFNSQVLGVEYLGATEEEIMSWKQWSGNGTAFGTGKDGGWRITVKDLKVGDTQVLQVDFLILCIGRHSGTPNIPEFPANGPELFKGKILHSLDYSYMDNVAHFVKGKHVTIVGSGKSAFDIAAEVAKVNGADQPCTIIYRTKHWLVHKSSIWGVDLSYFYLNRISQLLLHKPGEGFLRYMLATALSPLRWAISKVIETYFKWSIPLQKHGMVPDYSFSFAMSSCSIAMLPEGFYDRVDDGSIILKKSKAFNFSNDGIILQDKKESIKSDIVILATGFRGDQKLRDIFTANWCRKIVAGSPDTVAPLYRECIHPRIPQLAIVGYSESLTNIYASERMANWVAHFLAGGFKLPSIACMEKSVAEWAKYKNIYNGKYFRRSCISTVNIWLNDLLCQDIGCNPKRKKGFLAEWFQPYGPADYAGLY >KQL26198 pep chromosome:Setaria_italica_v2.0:II:42983351:42984490:-1 gene:SETIT_032263mg transcript:KQL26198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HKFAYILPTLADIGRACASCAAFRRVITGHAFLHRLHALHPPSLLGFHTISGGFEPAESPHASAPAARAIAGAADFSFSFLPSWGLWMVRDARDGRFLLHCDERMNQTFTTIAVCDPLFRRYVLLPPIPRDLAAAVHQPHRVKPERKCDIFLAPCGEGEDAESFSVIWMAQCSTKLVAFVFSAAKRQWRAISSPSWRDLNPEMPAMTEQRSLSCRNYAYGCFYWLLSNFPRRSKLLVLDVGRMEFSPVNPPSGDKVQEFAIVGLQESRLGMFASTSIEVSQLKLFCANRQNHGEEANEWALQKKVSLLPSYRYYMLGVVDGQLVLQRTPNACDAPDFGCISLDFKTLKIQWLRGILKSGYCPLVSLYTGYPPSLSLPTI >KQL25819 pep chromosome:Setaria_italica_v2.0:II:40717557:40719287:1 gene:SETIT_033248mg transcript:KQL25819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRAALHLRRFFSTDAAALSPPKLRNLPYRLRHAAVPAARAAVSEYLHSTRCLPSSHADSIVAHSPRSLLSFLAALPAVPSSLPTAELPAVLRRHLNFHPLNELPFFLESIGAPPAAAPRSDLMFLADHPSLLGAVAALAHFGFPWSRLGLLFPAVLLGVPPDLISARLTALEARLHRLPRAAIIAACLTFPSLLERDLSDCDPLVKDLGTTFRGLGPDLGASNDIDAFSGVCRRMRMFYDAGAEIGSIGGLVTGSCRVFLELGEKTIAERIWFLKELGMPGKELGRFLLSNAKLFDLDFSDVVISVPEYLRRIGLVECEVDAAIEKHPYVVGKNQLENLPRVLRAMKLEHRFLEKISVGGENLRYLSPYFVLEDNSYDAEVERAFLDGMAKVKADKKAQHVDSKLEFLKSIGYGENEMATKIIPVLHSTKDFLQERFDYLLERGVEYTMLCRILTVFPKVLNQGKDMLNEKLNYLTEELGYCIEYLDCFPAFLCFDLENRVKPRYTMLQWLREHGLLRKHLAPATVLANSEKRFITTLYLVHPAAPKLWLECFSSRMRMECYLKNIYNQHSDNK >KQL27133 pep chromosome:Setaria_italica_v2.0:II:47999666:48003154:-1 gene:SETIT_030208mg transcript:KQL27133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRTIVNRPESAPPRLPVCSHVPSVWPSAITVSPRPLPRPTLSAHSTSPSPLPPFPHPPPPAAPGPGMSDEGGAGEPLSDRQKREIAVWFLSNAPAGEIHYVAKDVRALLGDDAVYEAAAAEAFPEYNKAHLVSLELPDRSGDIIITTFGELDKNNYLDPRTAQVATVDHIKQTCTKLRPAADEELPSAYIEEFRSALDVELSKYVAEAYPKGVCAVYCTSGKDIEGPGADFGFAVVISAAKRSPQNFCNGSWRSIWTMEFNYELQFVDIKGKIQVDAHYFEEGNVQLDTNIDRKDSTIMQSPDDSAVSIASIIRHHESEYLSSLEESYLNLSDATFKDLRRKLPVTRTLFPWHNTLAFSLTRDLAKELALGK >KQL25221 pep chromosome:Setaria_italica_v2.0:II:36744781:36747636:-1 gene:SETIT_031523mg transcript:KQL25221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDSVAKAFVDHYYTTFDTNRAALVGLYQEGSMLTFEGTKFMGAAAITGKLTSLPFTACKHQVTTVDCQPSGPGGGMLVFVSGALQVAEGEHPLKFSQMFHLMPVGPGNFYVQNDMFRLNYG >KQL24318 pep chromosome:Setaria_italica_v2.0:II:28789172:28792197:1 gene:SETIT_031247mg transcript:KQL24318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMALSRFTQWLWPGGAAARVSTHELSSAGLTSSSFPDFPSGFREPDTVTFYTGGGGGAGGRRTRPRRVRNRRRSRGESRVDREYDMVIVPSDGGGCLSGSDSDDSDWSIGWLEPQAPELQTDGDPENCFAVLVPCYRHGRQEQPGRREGRFLGAGALADGGLSDEKNFVEQWLSSLQN >KQL24317 pep chromosome:Setaria_italica_v2.0:II:28789172:28792197:1 gene:SETIT_031247mg transcript:KQL24317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMALSRFTQWLWPGGAAARVSTHELSSAGLTSSSFPDFPSGFREPDTVTFYTGGGGGAGGRRTRPRRVRNRRRSRGESRVDREYDMVIVPSDGGGCLSGSDSDDSDWSIGWLEPQAPELQTDGDPENCFAVLVPCYRHGRQEQPGRREGRFLGAGALADGGLSDEKNFVEQWLSSLQN >KQL26015 pep chromosome:Setaria_italica_v2.0:II:41830445:41831340:1 gene:SETIT_031104mg transcript:KQL26015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTHPANLEQQLAPNYSDGRLSHHKRQRRFPLSLSFIAMDYYYPMEEEVVHQQQHPGLRAAQLPWQWQWRLFSLLSPPAAAAAQQPRRPANHVSWEETAAAHLFSASLPGVRKEEIRVEVEDARYLVIRTELDGATAAGAEGDGGAVGLGRRSFDRKFRLPGMVDVDGISAEYTHGVLTVTVPRMHTRARPVVGLLGAGPAADSAARAA >KQL24734 pep chromosome:Setaria_italica_v2.0:II:32694249:32697508:1 gene:SETIT_030143mg transcript:KQL24734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAPKSLPFLTTATTNSTRTTRPSAARSHTPRPSPTSCSCVGRRAPAPAVAASPRFGSACRAQFPLRSLLLHRAAASPSAAAAAEGMSDPELRLVLELATDEELLEFEEILYGTSYFSPLLKSIARRPNSDSVVVLDDIEERDLFISKLESRFLYLAADARSIIRGWRPSYRDVLLGVRKKLGVQCSSKLSTADLEAEIFLHLVNEYSSHQKDPASFPWDKQKSPNEISSLGVNKWKVLTDAAWKIGAKGLESTFLKGGSALTVKTIYESLASRLSGKLVMEAANYEIKKELVKQGGRLAAVNLESRAGLLAARQGLARAASRYAGLRSVMTFLGPIAEFRPCSIYLGAQTI >KQL24735 pep chromosome:Setaria_italica_v2.0:II:32694249:32698437:1 gene:SETIT_030143mg transcript:KQL24735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAPKSLPFLTTATTNSTRTTRPSAARSHTPRPSPTSCSCVGRRAPAPAVAASPRFGSACRAQFPLRSLLLHRAAASPSAAAAAEGMSDPELRLVLELATDEELLEFEEILYGTSYFSPLLKSIARRPNSDSVVVLDDIEERDLFISKLESRFLYLAADARSIIRGWRPSYRDVLLGVRKKLGVQCSSKLSTADLEAEIFLHLVNEYSSHQKDPASFPWDKQKSPNEISSLGVNKWKVLTDAAWKIGAKGLESTFLKGGSALTVKTIYESLASRLSGKLVMEAANYEIKKELVKQGGRLAAVNLESRAGLLAARQGLARAASRYAGLRSVMTFLGPIMWGTLLADIVIQMLGTDYARIVQAIYAIAQIRLTRTSYIEPHEE >KQL23620 pep chromosome:Setaria_italica_v2.0:II:15513103:15515024:1 gene:SETIT_030164mg transcript:KQL23620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDTVSASTSIIAPHLFDQRSRGPHRPLRRTFHVVACRPLPTAFAGRRLVARGTRQPSPRLADWSVKALAMGVTKEASPRREYRGIPGDGGDMGDVGVTNPAPSLPPRNRADDPKLHNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWLTLSQEEGKSPPPAFVLKRVEGMKNEQAISEVLCWSRDPSELRRLASRKEEIHSSLRGGSFYQMRNGSREFMSTLANYKIPIAVATTRPRKVIEEAIEAVGARSFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSTVEAAHDARMKCVAVASRHKIYELSAADLVVKQLDELSVVDLKNLTDIESPEFGMEPEPEMEEEEEVSRPSSSVGVDDIFW >KQL23619 pep chromosome:Setaria_italica_v2.0:II:15513278:15515024:1 gene:SETIT_030164mg transcript:KQL23619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDTVSASTSIIAPHLFDQRSRGPHRPLRRTFHVVACRPLPTAFAGRRLVARGTRQPSPRLADWSVKALAMGVTKEASPRREYRGIPGDGGDMGDVGVTNPAPSLPPRNRADDPKLHNPLLRLERMGCGWLGVIFEWEGVIVEDDAELERQAWLTLSQEEGKSPPPAFVLKRVEGMKNEQAISEVLCWSRDPSELRRLASRKEEIHSSLRGGSFYQMRNGSREFMSTLANYKIPIAVATTRPRKVIEEAIEAVGARSFFDAVVAAEDVYRGKPDPEMFLYAAQLLSFIPERCIVFGNSNSTVEAAHDARMKCVAVASRHKIYELSAADLVVKQLDELSVVDLKNLTDIESPEFGMEPEPEMEEEEEVSRPSSSVGVDDIFW >KQL27275 pep chromosome:Setaria_italica_v2.0:II:48959857:48960465:-1 gene:SETIT_031723mg transcript:KQL27275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASQFSTSRAGVLHISTRFVLQFQQKIHSTINPKSTIDSYSKKDPQTTLGRPIFPAQGETHYRVHQNYKLRIIFN >KQL23525 pep chromosome:Setaria_italica_v2.0:II:14564465:14572410:-1 gene:SETIT_033414mg transcript:KQL23525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSKQKRLMNSTNSEQCRPLKKTKFDSSNCLVSLKPHIGLKWDQYLKRVVPIKEQVGILWSDLAPFIESKKQRSGLADVTYVPSEIFSLENLRGVLSYKVWSTCLTEDERKFLIQFLPGKTGEEENVRLLLTRKNHHFGNPLLSWQSCLSWYNMMATCSTILFVITKRCCDGHWRDFQVSRRVSEGMGAVAGASAQGLRSCSDIPLSSSLCYGGIHPDAVLNKEKHMKKDEKAYHINLLNYHSKMVGTLKKWKKRWLSCADTENLFRDNPADQKQGVMQLTTTKSGIPLLQVAQSVDVSKVMSYIKVSRTQLMHIKRLKQSGDGIQIKHVSRVIGGLDKSHVKPYGALLEDEQRRLHEHWLNMSCNDVPAAVKVLTDRKVLIGKSRKLLNLELEEKNASFLRKADRLTQRTKKLGEPGAGEYDGSPNLENDHVYHSPQSMLQGGNDQSTPLQDRDDEKTTDMETSIHRIDSLNVEDHDLIVARGTDVTSQTEQNSDVQDQHCNGVSCVDNSISCCANNPDEQNEVLTDIKLYKDAMGVKDEDIKDISYEDTTCSNYNAESQQISSINYASPHINTIEKENLQVEDLDGVSYKGPPVHAHEQDQDLQSISHAIVKNSCGHNINISSEMSHPKANTVITDQEETGSIMMIPSNSSSLLPKSSGEQMHLGDFLGVNDQVAKGEKDRWQFVGPLQPHYNPPEENINNGSGDLQITQPYLASEHQSSSVCLDNGVFSQQQAQLAKSVFPVDNPASVIEPFSNPQGNGQLQTGEIGAVSDTLQHACTIKQLIDESDDGLCAQLHEDYYADVSSPIKVNPPVSEQHSYTASASIDHNRHNWFPEGCQLHNSNLCGLESGDCLAQALPVGSSTDGALISAISQYKQPSAHMEHEAKNQVEASPPFPDTCNCTQNMASSGNSDVAPDSLDNMQFTNFIQSNPGRAPDFTNRPSGGHGPDNSF >KQL25748 pep chromosome:Setaria_italica_v2.0:II:40196659:40200425:-1 gene:SETIT_029043mg transcript:KQL25748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPSLSGDEVEVEEEEEDEGVDGYRKGGYHAVRPGDQFAAGRYVAQRKLGWGNFSTVWLAFDVQSQRYVALKIQKSAPEFAQAALHEIEFLSEITKRDPSNRKCIIQLIDHFKHTGPNGQHICLVFEFLGDSLLKLVQYNRYKGIGFDRVKRICKSILVGLDYLHNELGIIHSDLKLENVLLVSTIDPSKDPIRSGLKPNLEKPEGNPNGEVGLNPIEKKLKMRARRVLAKLAEKRKSVVESSRSERSLDGIDLTCKIVDFGNACWADKQFTDFIQTRQYRAPEIILGAGYSFSVDMWSFACIAFELATGEMLFTPKEGHGYSEDEDHLALMMELLGKMPKKIATMGTRSKEYFDRHGDLKRIRRLKLSSVERILVDKYKISESDAREFANFLCPLLDFAPEKRPTAAECLKHPWLQYDEDKTCEPHNNNDAQSTGNTTSGACTNIDVTDKKGSLTGSCNKTADAKHNNSNITNNASMSTDVQPNIGSIANRLAKNADVNLNIGSITNRDAENSDTKPHIGSIANSDAKSSSLKLDTGNTTNKNSKTIDTKPNTGSISSRDEKSSNVDTTTSNVVNRDVRRSIRSVVNSYIKNFDAKFNTGSTANSDARNSDTKPSTRSVANADDAKCMDVKPISGSVKSNDAIKSNVKSNTGIVANSDAKCMDVKPISGSVKSNYAIKATVKSNTGIVANSDAKNTDVQTNTGSVVSEDNSVDTKPNIGRVAASIQRLESSMSKVQIGRYR >KQL24537 pep chromosome:Setaria_italica_v2.0:II:31098176:31099334:1 gene:SETIT_032838mg transcript:KQL24537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHGGLGPVGSVSANSTEYVQVEVGLDEEVYYEDEDVVCSQLVVPCVGMEFKKVLLPLHRHDREVRKHEFIVQEGLKDRIRSKLWGRYAFEKQAAGFYTGEAFGKFQELLRDSTRFKVRAVAGDDQGWSIQIVHPNSTRVRTVTIDKDATSYTCSCNMFDRDGLLCPHILKVFTSGDVEKIIEKYLLRRWSKELRYNALCRKMTSLAAEACLGPEKCTIASAEIDQSVETVRAVGGSLEMQQDEVHAGEASNVATGQQTQTPTVMVKNPPRTNRKGRPKEKVERLKSIVLKEATKIPPYSYCFEDGHSVQICVYMAKAEAITRELKL >KQL26791 pep chromosome:Setaria_italica_v2.0:II:46357488:46358916:1 gene:SETIT_030905mg transcript:KQL26791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTAIAFVLLFSLLAVSSTAEKFDFFYLVQQWPGSFCDTRQGCCFPDAGKPAADFGIHGLWPNYAKCRGSQLQGLARAVLGEDAAALFSAVGRRGRCWPEYCDDGNTLSPWEIRDLVASLDRNWPTLSCKSGRSFEFWSYEWKKHGTCSNLEQHEYFARALELKAAHNLTAILAGAGIVPSNTETYSLSSVGDAIAQGTGFTANLECNRDADGEAQLFQVYLCVDREVKQLIDCPLSMRSKCTDRVKLPVF >KQL25436 pep chromosome:Setaria_italica_v2.0:II:38153338:38154272:1 gene:SETIT_033638mg transcript:KQL25436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRRHNKFLTDATGQAQHLIYREPGLQHSTSDHTQIVLINSLTRPKHITQQGPFELRHYAERKTHAN >KQL25774 pep chromosome:Setaria_italica_v2.0:II:40463285:40465325:1 gene:SETIT_030594mg transcript:KQL25774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPSCGSSSMSRRAAAVVPALLLVLVVAISATSGADAQPSPGYYPSSRFRPIPFNRGYSNKWGPQHQTLSGDHSALTIWLDRTCGSGFKSKHAYRNGYFSTRIKLPAGYTAGTNTAFYLSNNEAHPGFHDEIDMEFLGTIPGEPYTLQTNVYVRGSGDGRIVGREMRFHLWFDPTAGYHTYAILWNPDAITFFVDDVPVRRYERRAELTFPDRPMWVYGSIWDASDWATDDGRHRADYRYQPFVAHLDRFVIAGCSAAAPPACRPVPASPRGAGLTQQQYAAMRWAQQGHMVYYYCNDFRRDHSLTPEC >KQL27139 pep chromosome:Setaria_italica_v2.0:II:48033802:48034970:-1 gene:SETIT_031565mg transcript:KQL27139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASLSAVAAPLSVAGLRKPTSSFQPLQLRARPAARMAVRASASMKEKAAAGLTAAAMAAALVLPDVAEAAQSGLTPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRA >KQL25336 pep chromosome:Setaria_italica_v2.0:II:37442594:37444873:1 gene:SETIT_029697mg transcript:KQL25336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSYASGENEPKAPSGGGGSHVLLLPYPSQGHVHPMLQFAKRLALHGLRPTLAVTRFILATCAPDAAALGAVRLAAVSDGFDRGGFGECGDVTAYLSRLEDAGSGTLAELLRDEAARGRPVRAVVYDAFLPWARGVARRHGAAAAAFFTQPCAVNVTYGHVWCRRVGVPVPVEGDGIVRLPGLPALEPEGLPWFLRVGPGPYPAYFELVMRQFQGLEEADDVLVNSFYELEPEEAEYMASAWRAKTIGPTVPASYLGDDRLPSDTKYGLHLFELTAAPCVAWLDAHPPRSVVYASFGSLSDLDPLEMREVASGLLDAGRPFLWAVRSSESHKLPAGFGDACGRHGGMVVSWCPQLEVLAHRAVGCFLTHCGWNSTVEALVAGVPMVAVPQWTDQPMNAWYVESAWRAGVRVQPAAADGLVRRGEVARGVEEVMGGERSGEYRRNAAAWMEKARAASREGGSSDRNIAEFVAKYSSNSK >KQL26241 pep chromosome:Setaria_italica_v2.0:II:43263163:43263600:1 gene:SETIT_031798mg transcript:KQL26241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSNSASYLLFLVLSCTERERMCWRFGVSSRVQAEQRASRRLNFSFLHIGYMLSCTIK >KQL25006 pep chromosome:Setaria_italica_v2.0:II:35246274:35246863:1 gene:SETIT_031278mg transcript:KQL25006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSRHTIYSQEREKMGSQSCGSCHVKAILGRMKPRCLEGKPQHCETRAARGKAEARKDTLEDILYSPQSIEAEAVGAPSPRRHSPKVCPLNMDCSYENNSPNVRESFSIDRMSLRSQNSIARRVSFRLPDESDIFIIPARKDPDEYSTDDESTENVSEETDQKKPHYVITRYY >KQL22218 pep chromosome:Setaria_italica_v2.0:II:616101:616408:1 gene:SETIT_032450mg transcript:KQL22218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELELKKLEETIKNIHEEMFYLREREEEMQNRRTNSRMAWLGFLLLGICLSVAGLQLWHLKTFFERKKLL >KQL23186 pep chromosome:Setaria_italica_v2.0:II:9027145:9028452:1 gene:SETIT_032280mg transcript:KQL23186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFGCEYKRRRWYVRYVGESNGAGPVPPAFPVPLCRCGVQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRCWVPPPPNPPRMTEEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMTMWPTEEEVREFESENAPWPCVSSPSDRCKCGILAIEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKSRKQQEIKEKIRKKYDVPIPDDDLLWGKIYQDMVHETGVEPKGLYARETIIKYWRQNRSKEKIGGSCRRRGSWRKKG >KQL22650 pep chromosome:Setaria_italica_v2.0:II:4191776:4193113:1 gene:SETIT_032735mg transcript:KQL22650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKDRLHNLLVLLLFIGCLFVDAAAKLNTTAPCLPDQASSLLRLKASFNGDNLPSWQAATDCCHWEGVTCDMAFGRVISLDLSEFDLMSMRLDPVLFNLTSLRNLSLAYVDFSGVSLPAFGFERLTDIIYLNLSDTGFTGKIPIGIACLKNLVTIDLSGSIHPSFSSLRTLDLSCNPMLSVRLTYFPAGNNLEVLNLAGTNFSYDTPSSFGNLGSLKKLMLSTMGIDNELASLISELPALDDLQLTGSDLEKPVLSWVSNLTQLTNLLLDGYDFSKSVPTWIGRLTRLESLSIWDCSFSVPIPYQIRNLTKLAALEFYICDFSEQTMPSWIGNLTKLTSLSIYYCSLSGPIPSTIGNLIQLEELVFWDTDISGETMSQLLCF >KQL24720 pep chromosome:Setaria_italica_v2.0:II:32547134:32547445:-1 gene:SETIT_033507mg transcript:KQL24720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLCLFVCQINSVSNYFMSAVSNQTLSYF >KQL26854 pep chromosome:Setaria_italica_v2.0:II:46627964:46628932:1 gene:SETIT_032200mg transcript:KQL26854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATARAKQGAFNVNELLQKLRLSDTEREGVVLAKKERGNLLEVKWMVVAKLLMVTFRPIVNNLLAVQASCLGDRKRIMEEGSWLFRGCVLMLEEYDGSTDTPMVLPSKVQAWIQIHGIAPLYHTERSVKQLAVKVEKVIGVEMRVKYEKVAHLCAHCGMMGHGHLECGKGEHKEEDLQYGEWMVAVEDTWCPRTPCVRGNLVPKKGRHARRGGMRATGVWKEKYKQVFEGSGSCKRMPEEADL >KQL23429 pep chromosome:Setaria_italica_v2.0:II:12359282:12359606:-1 gene:SETIT_033769mg transcript:KQL23429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMCYMFGCPGRRCLCPVRPHGFLHALLEGYKIQEWGVPYLLCHNQPDYPPLSCLRSRLGKLAIHIAFL >KQL22346 pep chromosome:Setaria_italica_v2.0:II:1891905:1895804:-1 gene:SETIT_030043mg transcript:KQL22346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPQTDLIDDVIAQILMRLPPDEPEHLFHSALVCKPWLRVLYDPAFRRSYRAFHRAPPLLGLLHRLMVVQGDPEPVSILIQTPPKPSHPPTMAPPRPPPALIDDVTTEILIRHPPDEPEHLFRAALVCKPWLRVLCHPAFLHRYGAFHGAPPLLGLLHRLQVLDGDPAPRFASTTSMPAFPHPGSDGRRTRPLDCRHGRVLIHMLEDRAVDLLVWDPVTGDRHGLREPRIDWMAYSAAVFCGADGCDHLDCHGGPFRVVFVGTDDAVDKIWTSVYSSETGAWCTPTSVRNGGAVYVQPRRGTIVNAEGAAEWLRCRIIDMEKIMPMAKPCDGDGAYVVGYAEGVGVIFVSTDVGLFTIELKFERVRKVDEPGVYYSVLPYMSFYTPDHGRLSSLARLTTV >KQL23683 pep chromosome:Setaria_italica_v2.0:II:16187382:16193362:1 gene:SETIT_029983mg transcript:KQL23683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPGGALAAAPRHHHLLLLLCFLAGGARASLATDALRRVPPRAAAGGLCQQLLLPQGYPCTEHTVETGDGFLLSLQHIPHGKNRVADNAGPPVFLQHGLFQGGDTWFINSNEQSLGYILADNGFDVWIGNVRGTRWSKGHSTLSVHDKLFWDWSWQDLAEYDLVAMLSYVYTVTQSKIFYVGHSQGTIMGLAAFTKPEIVKMISSAALLCPISYLDHVSASFVLRAVAMHLDQMLITMGIHQLNFRSEMGVQILDSLCDAESLDCNSMLSSITGENCCFNSSRIDYYLEYEPHPSSTKNLRHLFQMIRKGTFAQYDYGWVGNLGRYGQLHPPPFDLSSIPESLPIWMGYGGLDALADITDVEHTIRELRSTPELLYIGDYGHIDFIMSMKAKDDVYVDLMRFLRAQQGMHSSS >KQL23578 pep chromosome:Setaria_italica_v2.0:II:15239079:15239560:1 gene:SETIT_033650mg transcript:KQL23578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPIFHENLHYLSMKFGNREMNKSSKDLSLHSDLGKFAFWIL >KQL27099 pep chromosome:Setaria_italica_v2.0:II:47797212:47806908:-1 gene:SETIT_032042mg transcript:KQL27099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVEQAAVDVLDSSLDNLQGVARSTVDVAASSTVSNSGGRRKKSRPTRSFPTDEGTLWKTRPVSASGRSEAWGRLISQSSEYPSIPIYPTHFTVGHGGKNDLKLTESSPGSPVCKLKHVKRGAALEIYVSKVVHVNGKALDKTAKVTLVGGDEVVFSSLGRHAYIFQQLPEEKSSTSSLCSTSVAQQDRYAALALDHLSSKAAKISTPLNFGNGRPPLVPHDKEIVSSLCKTMEEQSYCTSEENVPFGRHQLLKDDLKKATINSSDISESFDNFPYYLSENTKNVLLSSAYVNLCCKESAKFTKGISSLCRRVLLSGPAGSEIYQELLVKALTKSFDAKLIIIDYSLLSGGQPSKSKDESYKKGDRVRYIGPQRSSGFLEGQRAPDYGSQGEVRLPFEENGSSKVGVRFDKQIPGGIDLGGNCELDHGLFCSVDSLCLDGPGWEDRAKHPFDVVFEFASEESQNEPLILFLKDVEKMCGHNYTYHGLKKKLESFPAGVFIIGSQIQTDTRKDKSNSGSPWLKFPYSQAAILDLAFQDSFGRVSEKNKEALKMSKHLTKLFPNKVTIETPQANVLKIQSFLTRHSMDCTDVESVVCVKDRILTSECVDKIVGYALSHHLKDRTIQTPGKDVRVVLSGESLKHGVDMLDSIHFPKKKSTKKSLKDVVTENEFEKRLLTDVIPPDEIGVTFEDIGALENVKETLKELVMLPLQRPELFCKGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSIASKWFGEGEKYVKAVFSLASKISPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDAPNRKKILGVILAKEDLGDDVDLDALANLTDGYSGSDLKNLCITAAHCPIREILEREKKERILAEAENRPAPPQCSSSDVRSLKYSDFKHAHEQVCASISSDSNNMNELVQWNDLYGEGGSRQKTSLSYFM >KQL23198 pep chromosome:Setaria_italica_v2.0:II:9339515:9340495:1 gene:SETIT_032644mg transcript:KQL23198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPTTRAMRARAAASSDRIPPDVLFDVLLCLPARDLCRLRAVCRSWCDLTAGDPLFVTAHAAHHPGPLFLAKFRDDKASIYVVDLSGSVVKRIPGAGGGPSHRLLCTRLNLACVATDWNRCSVLNPATGAVQVLPEILAEEHINRVNLSNPYTFFALGRVAATGEHKVLRMFNRLGFYNGGQQLFEVFTVSGGDGDARWRGRQGPGLFIDECSGTVIDGVVYFLTNKVYDGARCGIRPDYIVSFDLGKEEWRRDLRGPISSNVEHAKVVLYLRRDLSLADLKGSLVLADQRDQPFTMDIWFLSNFQNGLWVKEYHIRTESIISRLG >KQL22130 pep chromosome:Setaria_italica_v2.0:II:103293:103594:-1 gene:SETIT_033808mg transcript:KQL22130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMFQLHICNTAKKSSKVLWRKQRVHIFFARI >KQL26953 pep chromosome:Setaria_italica_v2.0:II:47100884:47104753:-1 gene:SETIT_030396mg transcript:KQL26953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSMKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVYVVGARAAAGLILTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLILNLGKWAKLYRLASMGFATFSVFLLAKRAIQHFLERKRRHELQKRVLNAAAQRQAREAEGGKGTSNTEPNSKKDQLVLDICVICLEQEYNAVFVPCGHMCCCMACSSHLTNCPLCRRRIDQAVRTFRH >KQL26954 pep chromosome:Setaria_italica_v2.0:II:47101611:47104753:-1 gene:SETIT_030396mg transcript:KQL26954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPWGGVGCCLSAAALYLLGRSSGRDAEVLRSVARAGSMKDLAAILDTASKVLPLVVAVSGRVGSDTPLICQQSGMRGVIVEETAEQHFLKHNDAGSWIQDSAVMLSVSKEVPWYLDDGTGRVYVVGARAAAGLILTVASEVFEESGRTLVRGTLDYLQGLKMLGVKRTERVLPTGTSLTVVGEAIKDDVGTIRIQRPHKGPFYVSPKSIDQLILNLGKWAKLYRLASMGFATFSVFLLAKRAIQHFLERKRRHELQKRVLNAAAQRQAREAEGGKGTSNTEPNSKKDQLVLDICVICLEQEYNAVFVP >KQL25995 pep chromosome:Setaria_italica_v2.0:II:41749189:41752407:-1 gene:SETIT_029238mg transcript:KQL25995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYISPERNLEGTCGDPGPLFGDQDGSLLDHLDYQGGGMPQHESPTLDDGLLVDPADAIPYLSGDSLPFMNDQITCNVMKSASTSPESSLKQVQEPLKAGSDVQNDASEQNVHNSNSKEQATSVDCDVHQNTEVIGAVLPPELPESSGNDASNFQPEIMYSDAYHGDSLLTENSNKDCQLNNSSADDDELPNSPALQMENEDMEKLQETSHNEKSGSEDDQMNGRKSSPIDGKDKENFNTSVEPPSWEQTEQENPGTRNGSSTPDNRFDSPPDRFARLERDTPSPDGRVSPDRFARLERDTPSPDGRVSPPVGSPHTHHSEKMESQRHAKDVGHSESPPARRRSGSSEKHDPSRKRPSSREMSPHAQNHSPVERKRRRESRHGDGSPRRRSASPRRRSTPPRRRSISPRRSSHKRRESPRRGDSPRRRHSPRRRESPRKRESPRRRDSPKRRRDSPRRRDRSRSRSPSRRHDRHRREHDRSRSRSPHRRDHHRRSPRRHSPRRRSPSSSHRHNSPRRHHWSPPANRKTGLGKPGKNLFIAGFSYATTERDLEKKFCKFGRVTSARVVRDKRTGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPAW >KQL25997 pep chromosome:Setaria_italica_v2.0:II:41749189:41752407:-1 gene:SETIT_029238mg transcript:KQL25997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYISPERNLEGTCGDPGPLFGDQDGSLLDHLDYQGGGMPQHESPTLDDGLLVDPADAIPYLSGDSLPFMNDQITCNVMKSASTSPESSLKQVQEPLKAGSDVQNDASEQNVHNSNSKEQATSVDCDVHQNTEVIGAVLPPELPESSGNDASNFQPEIMYSDAYHGDSLLTENSNKDCQLNNSSADDDELPNSPALQMENEDMEKLQETSHNEKSGSEDDQMNGRKSSPIDGKDKENFNTSVEPPSWEQTEQENPGTRNGSSTPDNRFDSPPDRFARLERDTPSPDGRVSPDRFARLERDTPSPDGRVSPPVGSPHTHHSEKMESQRHAKDVGHSESPPARRRSGSSEKHDPSRKRPSSREMSPHAQNHSPVERKRRRESRHGDGSPRRRSASPRRRSTPPRRRSISPRRSSHKRRESPRRGDSPRRRHSPRRRESPRKRESPRRRDSPKRRRDSPRRRDRSRSRSPSRRHDRHRREHDRSRSRSPHRRDHHRRSPRRHSPRRRSPSSSHRHNSPRRHHWSPPANRKTGLGKPGKNLFIAGFSYATTERDLEKKFCKFGRVTSARVVRDKRTGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPAW >KQL25996 pep chromosome:Setaria_italica_v2.0:II:41748527:41754023:-1 gene:SETIT_029238mg transcript:KQL25996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYISPERNLEGTCGDPGPLFGDQDGSLLDHLDYQGGGMPQHESPTLDDGLLVDPADAIPYLSGDSLPFMNDQITCNVMKSASTSPESSLKQVQEPLKAGSDVQNDASEQNVHNSNSKEQATSVDCDVHQNTEVIGAVLPPELPESSGNDASNFQPEIMYSDAYHGDSLLTENSNKDCQLNNSSADDDELPNSPALQMENEDMEKLQETSHNEKSGSEDDQMNGRKSSPIDGKDKENFNTSVEPPSWEQTEQENPGTRNGSSTPDNRFDSPPDRFARLERDTPSPDGRVSPDRFARLERDTPSPDGRVSPPVGSPHTHHSEKMESQRHAKDVGHSESPPARRRSGSSEKHDPSRKRPSSREMSPHAQNHSPVERKRRRESRHGDGSPRRRSASPRRRSTPPRRRSISPRRSSHKRRESPRRGDSPRRRHSPRRRESPRKRESPRRRDSPKRRRDSPRRRDRSRSRSPSRRHDRHRREHDRSRSRSPHRRDHHRRSPRHSPRRRSPSSSHRHNSPRRHHWSPPANRKTGLGKPGKNLFIAGFSYATTERDLEKKFCKFGRVTSARVVRDKRTGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPAW >KQL25998 pep chromosome:Setaria_italica_v2.0:II:41749189:41752407:-1 gene:SETIT_029238mg transcript:KQL25998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYISPERNLEGTCGDPGPLFGDQDGSLLDHLDYQGGGMPQHESPTLDDGLLVDPADAIPYLSGDSLPFMNDQITCNVMKSASTSPESSLKQVQEPLKAGSDVQNDASEQNVHNSNSKEQATSVDCDVHQNTEVIGAVLPPELPESSGNDASNFQPEIMYSDAYHGDSLLTENSNKDCQLNNSSADDDELPNSPALQMENEDMEKLQETSHNEKSGSEDDQMNGRKSSPIDGKDKENFNTSVEPPSWEQTEQENPGTRNGSSTPDNRFDSPPDRFARLERDTPSPDGRVSPDRFARLERDTPSPDGRVSPPVGSPHTHHSEKMESQRHAKDVGHSESPPARRRSGSSEKHDPSRKRPSSREMSPHAQNHSPVERKRRRESRHGDGSPRRRSASPRRRSTPPRRRSISPRRSSHKRRESPRRGDSPRRRHSPRRRESPRKRESPRRRDSPKRRRDSPRRRDRSRSRSPSRRHDRHRREHDRSRSRSPHRRDHHRRSPRRHSPRRRSPSSSHRHNSPRRHHWSPPANRKTGLGKPGKNLFIAGFSYATTERDLEKKFCKFGRVTSARVVRDKRTGDSRGFGFLSLEKDEDADAAIRACDETEWNGRIILVEKSKAPAW >KQL23487 pep chromosome:Setaria_italica_v2.0:II:14097458:14101005:1 gene:SETIT_030918mg transcript:KQL23487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRATPAAASRPRRNPKPKQDPSFLFPLASPAPASRKRAVRRGASSPASSSPGSSPADLSIGFLSSPGSSASPPKPKPKPRARAKPAARSPLVASPRVTVASPSPSPAASPQPSPAAAAAAAAGASSVGDLRSAVASQMEGLKRRLDALHSRAHADLDASFSRVSKRIKTQNQACQQLTDEVDKEYKKMSDNIKESSEIVKAKYKQIIAEAQSCTTRVCKVTIPEMTKSVEKAIDGLRSRYNISMPA >KQL25499 pep chromosome:Setaria_italica_v2.0:II:38660392:38663303:-1 gene:SETIT_032803mg transcript:KQL25499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLVSNTPKYKPRPATLPHPPVACNCNPFLPEPRRVLHPRRRRHSSSAPMASLAGSALSFARPVKAINIKSVSFSGLRKDNVAFRLQPVPQRFAVCCPAKKETVDQVCDIVKKQLALADDTEVSGSSKFQDLGADSLDTVEIVMGLEEAFGISVEESSAQSIATVEDAANLIDDLVATGAKSS >KQL25954 pep chromosome:Setaria_italica_v2.0:II:41510299:41515437:1 gene:SETIT_029448mg transcript:KQL25954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSRGGSGSGLLIPFLLLSLHCSPVLVSAAGSGEPYVGVTIGTAVTNLLSPSDLADFLRAQHITRVRLYDADPRLLSALASSGARAIVGVPNDELLALGSSPATATAWVARRVLPFAGVNSSTPNVISAIAVGDEVPTALPSALPVLLPAIRSLAAALAAANLSSIPVSTPLPFSVVLDPFPPSQAFFNQSLAKSFIAPLLGHLANTSAPLMLNLYPYYSLMQSGGVIPLDNALFRPLPPSQEMVDPNTLLHYTNVFDAMLDAVRVAVRNLNVTAGGGVPILVTETGWPSYGDRRAEPYATRDNADAYNSNLIKHVLEDKPGTPMAPGAAAQSSAYIYELFNEDLRPGPVSEANWGLFYGNGTPVYLLHVSGAGGFLGNDTTDRSFCVAADDADEKAVQAAMDWACGPGRADCTAIQPGQGCYLPNDVRSHASYAFDAYYQSQGRAAGSCYFQGAGMVTTTDPSHDNCLFPGSKLLSNDTKSGSANNTTTSTSDAEGSAIWRLRTGREKGFLLFLRLLLSIAVVIVTDSNFWT >KQL27109 pep chromosome:Setaria_italica_v2.0:II:47843981:47848093:1 gene:SETIT_029299mg transcript:KQL27109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHKLGCKGNDVLQELEILTMNAKAAQELILRKILEKNQATEYLNKFMNGSADISAFKSQVPVVTYDVVRSYIARIAGGEESSILCGEKIVELLRSSGTSRGEPRLMPSISEDLYRRTYLYSLIMPIMNKYIRGLGEGKAMYLLFVKAETLTNAGIPIRSVLTSYYKSPHFLQRKYDLYNKYTSPDEVILCPDNKQSMYCQLLCGLVERQHVLRLGAVFASAFLRSISFLEQHWCDLVNDIRIGQLSSNITNSTCQLAMQSFLALPNPELADEIEAICSSGSWKGILGRLWPNVKYIEAVLTGTMAQYIPMLEFYSDGRIPLVCTMYASSESYFGVNLRPLCSPKDVSYTILPNMAYFEFIPLEDGLKLTEDYEVVENDKLVSMVDVKIGCYYELVVTTFSGLYRYRVGDVLQVTGFYNRAPQFKFICRRNVILSVDTDKTNEEDLHNSVTRAKKILESRNYLLLEYTSCTDTSTIPGHYVLFWEIKSTYEDATPCVPIDAQFLESCCIAVEEALDYVYRRCRAHDKSVGPLEIRLVEAGAFDALMDLLVSQGSSINQYKTPRCIESGPALKLLNSRVTGSFFSPRDPEWAV >KQL23044 pep chromosome:Setaria_italica_v2.0:II:7992406:7993042:1 gene:SETIT_031964mg transcript:KQL23044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLHPRAVAGVAAEAAAPEDGHDEGRACYCVVAACVSLLLFVVLAAATASVAKACAVSGAADGVKAAARQQAPAAPVRLVVHHRCAACGLPDAAIGALPTSSVLLCAVCLEDVRAGEVVRQLLACRHLFHGDCVDAWLRAHRTCPLCRCDLSPPNVTSKAVAPAPAAAAAAAVTVGSSPDGLPPV >KQL26133 pep chromosome:Setaria_italica_v2.0:II:42516936:42519751:-1 gene:SETIT_031121mg transcript:KQL26133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRAQEMYKKVVRRVGAEGKLPAELMKSVKDMLPDSKVVMGRAKRGIFAGRHIQFGNKVSEDGGNKSRRTWKPNVQDKRLFSYIHDRHIRVKVTTHALRCIDKAGGIDEYLLKTPYNKMDTEMGIVWKAKIEKMYSEMAEMEVGFFPPEEEAKIEQGFDEVRAAKRDFRREARRALAKQRQLEVGKDQTTEVTDTKEEVSDAAAKV >KQL24103 pep chromosome:Setaria_italica_v2.0:II:26193786:26197562:-1 gene:SETIT_031377mg transcript:KQL24103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSNLPRRIIKETQRLLSEPAPGISASPTEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNVAKHWKSNETEAVETAKEWTRLYASGE >KQL24102 pep chromosome:Setaria_italica_v2.0:II:26193501:26197687:-1 gene:SETIT_031377mg transcript:KQL24102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSNLPRRIIKETQRLLSEPAPGISASPTEENMRYFNVMILGPSQSPYEGGVFKLELFLPEEYPMAPPKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLADNVAKHWKSNETEAVETAKEWTRLYASGE >KQL23030 pep chromosome:Setaria_italica_v2.0:II:7676171:7678557:1 gene:SETIT_029162mg transcript:KQL23030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTSTIVSVDDREGESKGPPFELLVQGAWTLYLGGSLIKQFPNQFLLKDLLFDSVFPFALLCAKLALKYYAIEKARRSFALRRNPGLVFVYMQQIGAQEANQNREPRVAGEASPPPLLLMGEDELQVEKHPCGYVFKDGLGTRLVNNTGLVTIDMVWHMDSMLPISTPQLKDICLSYALFKLLRCQFARYKLINSGSMGTVTFFRSLLLKVGEHDRVFAVIADELSFAHDYYYSSFSTSYSKFWVPILSIFLSLWSIGYWTVFIASIISIIVAGTDIWGTKYGNHQLLCKIWCIKQSFVSGPLDTYMGKSLLDMVPLLLVLVLAVTAEVRDVASYVCSNWTKVTLICRYLHRASPQHSLFVQKWVSLLLRCTCKLMKHWDERMSQCSVLVLPRRTRPLVPFRRLLRLPDNKWKVKVPAAVKVSIINALRSLKDGRLRHGAESLRQSEVGQRFLWACNGEGTSDTILTWHIATCILEVKCPYRPDKQQGSPNSNSDHKIAATHLSRYCAYLMTWCPDLLPDNSAWSKSLYEAVKKDAECALTEIPAAGSMTPEDEYLRVVQLLSANSKHEVLKKGVKLGKQLVVEMINAEETVWKLLAHFWSEMILYVAPSDNLKEHSEAIARGGELVTLIWALLFHAGIVNSPGEDEKNAATGGVV >KQL25634 pep chromosome:Setaria_italica_v2.0:II:39509676:39512408:1 gene:SETIT_032840mg transcript:KQL25634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGKSNDQILSELDALSHTLYQAHTKRRTASLVLPRSAGDSNADGVDAVRAAARPPSRRLSMSPFRSRPNLDKNANDDDDGDDAGAGVALPSKSQSFAAVATAAPAVAGEKKGIWGWKPIRALSRIGMQRMGCLFSVEVVAAQGLPPSMNGLRLAVAVRKKESRDGAVQTMPSRVQQGGADFEETLFVRCYLYCSGGGATGKPLKFEPRPFLVSAVAVEAPELDLGRNAVDLSLLVKESSEKSQQGERVRQWDMAFPLAGKAKGGELVVKLSFQIMDDGGVGLYNQPAVAARKHSKSSFSVTSPKVARPEAALIPSKGAPSPDLLGIDDFKLDEPSPAVEEVNEEQQKEPEREPEDAKAEDSEFPEFDIVDKGVDEPSPAVEEVQQKEPERKPEDAKADDSEFPEFDIVDKGVEGQEEKDNPPKEEAEDKKEANEEEDASAAADGDEVVKEVVHDSARTWRLNELEAITNQIKALENMMHSDVADAGDTSPERQEDEVAGLDAEEEEVTREFLMLMEQGEDEDGAAAKSSSAPQVSSLKSGKKPASGADATCYVSDLGKGLGPIVQTRDGGYLAAMNPFDIPVERKELPKLAMQLSKPFILRGQKLPGGGAEVFQRLCAGGGSEALCAKLGALIAMDDVVGKTAEQIAFEGMASAIISARSKELVAITSAAHSVSLLRTMSVAMSHGRQDRIATGIWNAQEAPVAVDEILAFALQKIETMAIEALKVQAGMVEEQAPFEVSPEKTQAKHLLDTAVPPEEWVSACDGADAVSLLVVVQLRDPMRRYEAVGAPSVVIIQAARAAGAGDDERRFKVANLHLGGLRLKSPDRQNMWDGEKQRLTAMHWLVAYGLGKAGKKSRAAAAGKAGNDVLWSMSSRVMADMWFKPLRNPDVKIPQK >KQL23633 pep chromosome:Setaria_italica_v2.0:II:15587695:15592262:1 gene:SETIT_029280mg transcript:KQL23633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEVHIAVGKNSRKEKANILWAAANFPRATIVLVHVHWPSKWMPFMGGKVLYKFADEKEKEMHRGRETKAMVNMLSQYKNLCGTRKVSAHYLTHDDTVAGVVNLVKQLKIKRIVIGSRNMSRKVTLRQCCQVWVVLNGKHISTSNDHLEHSGNIGYGGSSDILASIHELGEESDGYMTPPSDLAEEILDEESLIETDDSDQLATEDETLTEQGTEESIASEEIESIAEEDADQSDEIQSFRNITEKTAKIMEEIDRLQKKLKELQGEGHNHGVRSLSPRQMAASLKRKSLSEPKYPELQIPENIEEFSMSQIAKATDNFHSRNFIGEGGYGPVYKGNFGGTPVAIKMLKPHGRQGFPEYQQEVVVLSKLEHPHIVRLMGVCPESCGLVYEHLPNGTLLDRLSKGLLWKDRVRILAEQRSALAYLHSRRPHAIIHADLKLTNILLDAGNASRLGDFGTARAVHVKPLEEETIGRRTNPMGTTGYMDPVFFMTGELTTESDVYAFGVVILQLLTGLLDLNVAEQAREAVKMDAVHSVLDASAGAWPEVQAERLLKLALRCCSLERKRRPAITSDAEWRPLDILRAMARPASKSWKWNSHGS >KQL23598 pep chromosome:Setaria_italica_v2.0:II:15407588:15411245:1 gene:SETIT_029881mg transcript:KQL23598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRSRMRTCFCSASGQILQGYAAREFAKQGVKPGELAVISKEAVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERQLPEWYTEKGIELILSTEIVKADLSTKTLTSAAGATFTYEILLIATGSSVIKLTDFGTQGADSNNILYLREIDDADKLVAAIQAKKGGKAVVIGGGYIGLEISAALKINDFDVTMVFPEPWCMPRLFTADIAAFYEAYYTNKGVKILKGTLAVGFDANANGDVTAVKLKDGTVLEADIVVVGVGARPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAIGDVATFPLKMYNELRRVEHVDHSRKSAEQAVKAIKGKESGESVPEYDYLPYFYSRSFDLGWQFYGDNVGETILFGDSDPASSKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVASIEELKKEGLQFASKI >KQL23597 pep chromosome:Setaria_italica_v2.0:II:15407308:15411478:1 gene:SETIT_029881mg transcript:KQL23597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKHFKYVILGGGVAAGYAAREFAKQGVKPGELAVISKEAVAPYERPALSKGYLFPQNAARLPGFHVCVGSGGERQLPEWYTEKGIELILSTEIVKADLSTKTLTSAAGATFTYEILLIATGSSVIKLTDFGTQGADSNNILYLREIDDADKLVAAIQAKKGGKAVVIGGGYIGLEISAALKINDFDVTMVFPEPWCMPRLFTADIAAFYEAYYTNKGVKILKGTLAVGFDANANGDVTAVKLKDGTVLEADIVVVGVGARPLTTLFKGQVAEEKGGIKTDAFFETSVPGVYAIGDVATFPLKMYNELRRVEHVDHSRKSAEQAVKAIKGKESGESVPEYDYLPYFYSRSFDLGWQFYGDNVGETILFGDSDPASSKPKFGSYWIKDGKVLGAFLEGGSPDENKAIAKVAKTQPPVASIEELKKEGLQFASKI >KQL25249 pep chromosome:Setaria_italica_v2.0:II:36901570:36904971:1 gene:SETIT_029211mg transcript:KQL25249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRQFCVTECFRLQEMKRQCDEKRELYEFMLNAQKEKGRSKSAKGDTGASEQLRQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALEEEDYFVEDENDDDYNDSHDGELSFDYGENKEAEESGNATRSHTEDFFNRSKEEYSSVPRERQRIVSQSAPLFPEKKLETEEKIKDLRRSATRKLNTYVLPTPNDVRATSQIVSGNPTLGPLDSRGPLPSPPHTSADMGDLRDNKLPSPTRLSNAQSVLKESNTNTAETRKTLPVGDIALPGYYDLKASDNKKVKRGSFSGPIASRPRSTENIDVLSAAPRHSSAHQPIHIRVSPGNSPPPISSPKIKELHELPRPPVNTSKNTAFPSLVAHSAPLVPNSASLVPKVQDHFRARQTPPSTASPLPTPPTPPGPIARSFSIPSRRTSGISDGKETDERQDKGAARMSLSSLPSAQTFLEDREPLSAAAESVSKT >KQL25248 pep chromosome:Setaria_italica_v2.0:II:36898864:36904971:1 gene:SETIT_029211mg transcript:KQL25248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLRKLRGFALQRHEQRVDRDRGRGHSTAAAATAADELLVAAQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKRQCDEKRELYEFMLNAQKEKGRSKSAKGDTGASEQLRQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALEEEDYFVEDENDDDYNDSHDGELSFDYGENKEAEESGNATRSHTEDFFNRSKEEYSSVPRERQRIVSQSAPLFPEKKLETEEKIKDLRRSATRKLNTYVLPTPNDVRATSQIVSGNPTLGPLDSRGPLPSPPHTSADMGDLRDNKLPSPTRLSNAQSVLKESNTNTAETRKTLPVGDIALPGYYDLKASDNKKVKRGSFSGPIASRPRSTENIDVLSAAPRHSSAHQPIHIRVSPGNSPPPISSPKIKELHELPRPPVNTSKNTAFPSLVAHSAPLVPNSASLVPKVQDHFRARQTPPSTASPLPTPPTPPGPIARSFSIPSRRTSGISDGKETDERQDKGAARMSLSSLPSAQTFLEDREPLSAAAESVSKT >KQL25247 pep chromosome:Setaria_italica_v2.0:II:36898864:36904971:1 gene:SETIT_029211mg transcript:KQL25247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSLRKLRGFALQRHEQRVDRDRGRGHSTAAAATAADELLVAAQDMADMRSCYDNLLSVAAAIANSAYEFSEALQEMGTCLLKRVTPNKDGINDKVLLLLGKSQFELRKLVDSYRVHVLNTITTPSQSLLNELQTVEEMKRQCDEKRELYEFMLNAQKEKGRSKSAKGDTGASEQLRQAQEDYQEEATLFLFRLKSLKQGQFRSLFTQAARHHAAQLNLFRKGLKSLEAVEPHVRLAAEQQHIDHQFSALEEEDYFVEDENDDDYNDSHDGELSFDYGENKEAEESGNATRSHTEDFFNRSKEEYSSVPRERQRIVSQSAPLFPEKKLETEEKIKDLRRSATRKLNTYVLPTPNDVRATSQIVSGNPTLGPLDSRGPLPSPPHTSADMGDLRDNKLPSPTRLSNAQSVLKESNTNTAETRKTLPVGDIALPGYYDLKASDNKKVKRGSFSGPIASRPRSTENIDVLSAAPRHSSAHQPIHIRVSPGNSPPPISSPKIKELHELPRPPVNTSKNTAFPSLVAHSAPLVPNSASLVPKVQDHFRARQTPPSTASPLPTPPTPPGPIARSFSIPSRRTSGISDGKETDERQDKGAARMSLSSLPSAQTFLEDREPLSAAAESVSKT >KQL25941 pep chromosome:Setaria_italica_v2.0:II:41385418:41389562:1 gene:SETIT_030307mg transcript:KQL25941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRNPLPHSFPRGGGAGNHPHPPPLHHQHHPHLPPHHHIDDYREPPRLPPHHHPDDFRDPSRLPPGHPDSFLEQPPHLRHFAGHGHGVGGAGGPLPPQPHVVAALEERLGAEIDEAHALLAQNQRLAATHVALVQEVAAARHELGRTARSLASAQEEGDLRLREVYERSMKMEAELRAVHEMRAELAQVRLDIQNLGAARQELMGQVQGFTQDLARSAEDLQKVSALKAEIQEIKHETQHLRSGIELEKKGYAESYEQGQEMQKNLISVASEVEKLRAEVANAESRSRAVMSAGNQGYVGSYGNPKANFAPNPYNAGYSMNQASAADSASQYVPGATHAWGAYDMQRAPGRR >KQL25553 pep chromosome:Setaria_italica_v2.0:II:39026757:39027300:1 gene:SETIT_031411mg transcript:KQL25553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAKRLAQMARKWQRMAALARKRLMPTPAKETEGSSCSSSTSSVAGKGHCMVYSADGRRFEVPLAYLATAIFSELLSLSQEEFGFAGDDGRITLPCEAAVVEYVMCLLRRNASEEVEAAFLSSIARPCHYGSGLAQSMGVSQQLCCF >KQL26701 pep chromosome:Setaria_italica_v2.0:II:45855534:45856409:1 gene:SETIT_032752mg transcript:KQL26701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNDAGEPLSTTASVLRELSGTRDEMERAREAAVQAWLASMPLGEELERLRAEVAAAKSRLAATAAEIPPLKSRIESTNDAAAERQAAAAKKKAAADELRRRVDGSRAELRRMRAEIAAARDAKDALEQRVLIRRQAARALQLAERAVAAETHALAWAAGAAAEQAARARGGGGDGEVEDAHHDVVALPARKLEELRRRVEAEERKAAARIEEAEAMRRAAKVSRAAAVARLDAARAKRREAAAAELRRHDGDDNGDGKRGRSAPPPRRRRGKSCFAVKLRSCLCAISKA >KQL22247 pep chromosome:Setaria_italica_v2.0:II:927868:930382:1 gene:SETIT_029441mg transcript:KQL22247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALALLVLLAVAVSLAGADDPYRYYTWTVTYGPINPLGTTQQGILINGQFPGPRIDCVTNDNLIVNVVNNLDEPFLLTWNGIKQRKNSWQDGVAGTNCAIPPGANYTYKFQAKDQIGTFFYFPSLALHRAAGGFGALNVYQRPAIPVPYPPPAGDFTLLVGDWYAAGHKQLRQALDAGAAALPPPDGLLINGAQSATFVGDLGRTYLFRVSNVGLKASVNVRIQGHSLRLAEVEGTHPVQNAYDSLDIHPGQSLAFLVTLDKPPLDYAVVVSTRFAAANLTAVGTLHYAGATARAPGPLPPPPPGQFDWSLNQARSFRWNLTASAARPNPQGSFHYGTIPTSRTLVLASSSSAVLAGRRRCAVNGVSFVVPDTPLKLADNYNIANVMDWDGVPARPVGGAPPRAGTPVVRLNLHEFVEVVFQNTENEMQSWHLDGYDFWVVGYGNGQWTEMQRQTYNLVDAQARHTVQVYPNGWSAILVSLDNQGMWNLRSAIWDRQYLGQQLYLRVWTPEQSFANEYSIPTNAILCGRAAGLPH >KQL23981 pep chromosome:Setaria_italica_v2.0:II:24005774:24007541:-1 gene:SETIT_033020mg transcript:KQL23981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQCLGAKGQDVRLVQANIDRAQLDFVSLWHFKDQLGYDARDYYYYKKRIGNVDASVESIGYTKDVERMLQYMDSSEERKLRLILSKQQLVGAVNITPLKRPRMNESNDVTDADDDTSNDESLDEYKHWLQDQDLDCDMYAVCTTLCTCVYNCTMYSHNCVVAYNRGQAKPTEVRDESNGSSATLPSQWPTHARKPKQTKGGKKMGRGCLKGLAAVAKRSKTGPQKLKIKFFENVGGPCGDNRRTFVDEVVLFTKQKAPLIGRDPVTGVELPLLELWKTTHTREGR >KQL24066 pep chromosome:Setaria_italica_v2.0:II:25408922:25417171:1 gene:SETIT_028886mg transcript:KQL24066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAERQLVVAVEGTAALGPYWSTIVGDYVEKIVRSFCANEVPGQKLAGAPPELALVVFHTHGPYSAFGVQRSGWTKDIDSFLSWLSGIPFSGGGFSEASTCEGLAEALMILQGTPNTTQSHPNHEAQKHCVLVAASNPYPLPTPVYCLPTQSTDHKENTESSKEPSIADAETVAKSFAQCSVSLSVISPKQLPTLKAIYNAGKRNPRAADPSVDHAKNPHFLVLLSENFMEARTALSRPLHGNLAPNQTVTKMDTTPSVTMAGPTSNANPSVNGPMMGRQPVGVGGISTATVKVEPATVPPMVSAPAFSHVTPISNVSSQGISALQTSSPSLISQEANFANDNVQEHKPIIHPVQQPVRPGGHGSLLNNLSQVRLMNSTSLGGGATSMGLPNIGATPIQVHMSNMISSGMTSTPSVISSMSAPGQPISTQQMVQSTAIGSFGSNTSTVSGNSNIAVSSSLPSIQSSMGMGQSAQPVAQGGLMAGSQLGQGGIGANQNVSVLGPTAISSAPAMMPTPGMAQPTGVNSLGVTNNSAMNMPIGQHPNAQQLPPKYVRIWEGTLSGQRQGQPVFICKLEGYRSGTASETLAADWPETMQIVRLIAQEHMNNKQYVGKADLLVFRTLNQHGFLGQLQEKKLCAVIQLPSQTLLLSMSDKAGRLIGMLFPGDMVVFKPQVPTQQPPMQQQQLQQQQQLQQQQLQQQHMHMQPQGLPLQQQPSQMQPMQQQQQPQMQTMQHQQQPQMQTMQHQQQQQPQQMQPMQHQQQQMQHQQQQMQPMQHQQQQMQPMQHQQQQQQQQMPLQQQQQQMQQHQQQQMQQMQQQQHQQQQMQQMQPQQQQQQPQMVGTGMGQQFMQGHNRAVQMMQGKMAPQGPGSMPGGGFLP >KQL23849 pep chromosome:Setaria_italica_v2.0:II:21113801:21117281:-1 gene:SETIT_031894mg transcript:KQL23849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDPERTYLHVRHWDYYKPGEERVREKMSMRCGCKAFVKIKWNQKKDYWFFERIRLEHNHPLHPSPTVTQFLRIQKDKDPIVMGIVDQMHRCDVSHNTTVNVLVELYGGRQNFTFTEMDLRNRKAANARKERENDIPKLLEFFREMKAHNEYFYYELQQANTFEWLFGAFKNCMSGGRDPRCILTDQDSSMAAAIKKVFKQTQHRLCRWHMLKKYKAELKKLYKIHDGLKIKLVTLINHPLTPTEFEFAWNELVDEYGIREDDTIQGLWESRKLWVAANFKPLYCGRMTSTQRSESVNKMIKGSGFTGHMTCMSKFARRMLDFIQHTNHTAGGETHWSQAGNWWLTLQPFDGHLSRVYTRAVYKKYRETYIYSTAFHIDPHPNEVDVYLTRGARTMVPWDRHDIVTLVPGCESDQYKTKKLVKIAMAAVRACRKTSLGFEKGCEQLSALVEWGESIAKGTGASHAQGQRTCGYYGSLGHYSTGCDLNPDNINKKRGAGGSLRSKMGRKRGRPPTKRQLEDEFNGVA >KQL23813 pep chromosome:Setaria_italica_v2.0:II:20430056:20430631:1 gene:SETIT_033619mg transcript:KQL23813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVPKIRIPRLHDLLSRPLGCLTGHPLQAFNELFDQFDQTYLRTLVPSKLLYATLLELLIV >KQL24882 pep chromosome:Setaria_italica_v2.0:II:34304951:34308191:1 gene:SETIT_032778mg transcript:KQL24882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEEGRVFKDLPALKRWLQAFAVIRKRPYKVLHSYAERCYTVVCDKERCPWRVCARKQNITGKWKITKVVSPHNCADHELKVRHPQLTSTLIAKRMMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWKMIYGDWESGYEQLPVLFNAIKAMNPGMHYEYIPKPNAWKDGRQIFERAFWWFPQCVEAFRHCRPVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHVVGPGREVGVISNRHQGILHAVQEQMEGYPPLHHRWCTRHLAENLLRKDGVKDNFDLFQVAARHLQDYYFQRKLEQVRTAINAKGRQWLAGLMRDLDKWTRSHNAGGWRYEFQCSNMAESFNKLLLGICGMPVNAIVEFTFYRLVAWFNERHAKAKALQSAGERWAEKPKTHLSIANERAATHEVQCFDLATGTYQVKHRGRTTSDGKIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAARHRNFNIESMIPHEFSVNTLVRTWSPHFVPFRDPREWPPYDGPKYDADPAYRWNKHGTRKRTRHNMTMDQTHSFHLPFGEMTVTLQDYQKMLGLSIRGNAVTGPCISEGWRARVATFLGRELREHFGHCPQDADVETVGHYCRAWILHLFACVLFPDAIGDTASWMWIHCLIDWQQAGQYSWGSAVLCFLNQQLCEACGRTSGSTSVGGCVYLLQLWMWARLPVGHPEIMGRRPWFPGEPPRRQPTWAYLWDQVKVGHARLERAYLDYINELDALMAHSVNWQPYKGEDALPFAVSVMCAADDDLYRM >KQL26581 pep chromosome:Setaria_italica_v2.0:II:45221847:45224735:1 gene:SETIT_029866mg transcript:KQL26581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFRFFFKGDLLLIYAYDPLDPNGNITIKWDVMQWTPDGYVAVVSLYNYQQYRHIQAPGWKLGWVWAKKEIIWAMTGGQATEQGDCSKFKSNIPHCCRKDPEIVDLLPGTPYNMQIANCCKGGVLTAWAQDPENAVASFQISVGQAGTTNRTVKVPKNFTLKAPGPGYTCGPAKLVKPTKFISQDGRRSTQAHMTWNVTCTYSQFVAQRSPTCCVSLSSFYNDTIVNCPTCSCGCQNNSTEPGSCVEGNSPYLASVVNDPNNKNNLAPLVQCTSHMCPIRVHWHVKVNYKEYWRVKITVSNFNYRMNYSQWNLVAQHPNFDNLTTIFSFNYKSLNPYGVINDTAMLWGIKYYNDLLMTAGPDGNVQSELLFRKEPSTFTFQKGWAFPRRVYFNGDNCVMPPPDAYPWLPNASPRQSASLLLTFVAVWAALAVLLAHA >KQL24983 pep chromosome:Setaria_italica_v2.0:II:35160647:35161228:1 gene:SETIT_032632mg transcript:KQL24983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSFACAGEEPFEMEVGFFDTVQDIKEKLQSRRGWPAATMSLLHNGDVLVDDGGGGGGGIERHGIVEGSVIHVALVPDGPRQQRQVKRNRPASKRRGVGEEGAPPPLRVTVVSRCGAGRVEVAVAARAAVSALRAELERARGAGFPLPGGGAYFFIHRQSVMDEARSFQWHGVAAGDEVVVFDGSVTRAPAY >KQL27214 pep chromosome:Setaria_italica_v2.0:II:48601073:48604756:1 gene:SETIT_029734mg transcript:KQL27214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRYSALPNGRQDTLADRLHRYRGVLLVVLAPLALVSLVLLLMPRSPASSAAASRRSGPLDGAAGGKKYAVIFDAGSSGSRVHVFCFDANLDLVNIGSEIELFVQKKPGLSAYASDPREAAESLVSLLDEAKRVVPAELRDQTPVRVGATAGLRNLGAEKSEEILQAVRDLLREKSSFKNQADWVTVLDGSQEGAYEWVTINYLLGNLGKTYADTVGVVDLGGGSVQMAYAIAEKDAEKVPKPSDGEDTYVKKLYLKGTTYNLYVHSYLHYGLLAARAEVLKAGNGNGYSNCMLEGYQGQYKYGSETFEASASPSGASYSKCKDDAVKALKVDEACTHMKCSFGGIWNGGGGAGQKNLFVASFFFDRAAEVGFVNPKAAVAKVKPSDFEQAAKRACKLSVKDAEAAYPGVQKDNLPYICLDLVYQYTLLVDGFGVDPNHEMTLVKKVPYNGAYVEAAWPLGSAIEVASS >KQL27267 pep chromosome:Setaria_italica_v2.0:II:48927995:48929200:1 gene:SETIT_031666mg transcript:KQL27267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCNANSSAAIGQEQDVVVEKKYGGMAPKKPLISKDHERAYFDSADWVLGKEAANSSGARAAIESLKPKLKRTPHHQLPPRKPTCAST >KQL24156 pep chromosome:Setaria_italica_v2.0:II:26826210:26829263:-1 gene:SETIT_032252mg transcript:KQL24156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSRHLRAAARQRSPRPPAGAGDASFSAKPNAEVIQRNKAITAHMRAGRVPDAERLFAAMPRRSTSTYNAMLAGYAANGRLPLALSFFRSIPRPDTFSYNTLLHALAVSSSLADARSLFEEMPVKDSVSYNVMISSHANHGLVSLARHYFDLAPEKDAVSWNGMLAAYVRNGRIQEARGLFNSRTEWDAISWNALMAGYVQWGKMAEAQEMFNRMPQRDVVSWNIMVSGYARRGDMMEARRLFDAAPVRDVFTWTAVVSGYAQNGMLEEARRVFDAMPEKNAVSWNAMMAAFVQRKMMDEAKELFDAMPCRNVASWNTMLTGYAQAGMLEAARAIFDMMPQKDAVSWAAMLAAYSQGGFSEETLQLFKEMGRCAMYFKCGNMEEAHNAFEEMEERDVVSWNTMIAGYARHGFGKEALEFFDTMRKTSTKPDDITLVGVLAACSHSGLVEKGISYFYSMHHNFGVTAKPEHYTCMIDLLGRAGRLDEAVNLMKDMPFEPDSTMWGALLGASRIHRNSELGRSAAEKIFELEPENAGMYVLLSNIYASSGKWRDVDKMRLMMYERGVKKVPGFSWIEVQNKVHTFSVGDCVHSEKEDIYDFLEDLDMRMKKAGYVSATDMVLHDVEEEEKEHMLKYHSEKLAVAYGILKIPPGRPIRVWHWNIQEDGAIQ >KQL24014 pep chromosome:Setaria_italica_v2.0:II:24597963:24604769:1 gene:SETIT_029374mg transcript:KQL24014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRPWRVIPRPVLETVLHNHAIRPRVPQPLLFHGPRGVGKSTLLLDRLLPRWSENPHATAFVDFLHPTPTSPASLAAAPWSLLPADAAPPSLPDLRRRLESALEGLARAAVLRGAVGSKDVLAALSRFHGLSTALSRLAGVPAAHSSATSVPARRSSSTSVPALWSRAVLAAVRRYDTAFCIGEGEATNCSMEERAYMQEAMAALRVAKEVLGLQEGWRKEAIREMNRTGRFSRSLANSATDWPCLLLDVLSGVAEEDFFQPKLVLNNVDVLRKAICEDETMVPAAMYHDSFIWRVIALGANEQCLPVILSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKANRPEAFHDRNIEEIIDTYLAHLQVSVVNPAMETALQMLQKFASDVREGKVPENRLSHGAPWRHPPRDDNPGLSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFMRPITRGIQRCLARWLAQQRLQLNIQETIAFLWQRLVRGRSYRHLMKEVGYK >KQL24015 pep chromosome:Setaria_italica_v2.0:II:24597963:24604769:1 gene:SETIT_029374mg transcript:KQL24015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRPWRVIPRPVLETVLHNHAIRPRVPQPLLFHGPRGVGKSTLLLDRLLPRWSENPHATAFVDFLHPTPTSPASLAAAPWSLLPADAAPPSLPDLRRRLESALEGLARAAVLRGAVGSKDVLAALSRFHGLSTALSRLAGVPAAHSSATSVPARRSSSTSVPALWSRAVLAAVRRYDTAFCIGEGEATNCSMEERAYMQEAMAALRVAKEVLGLQEGWRKEAIREMNRTGRFSRSLANSATDWPCLLLDVLSGVAEEDFFQPKLVLNNVDVLRKAICEDETMVPAAMYHDSFIWRVIALGANEQCLPVILSTSDGYYSSQAFVDFGFPNIFISRETFGWTPQEAKLHMVSEFFSEQEWKVVDEVLGPNPRQLSEIYMLKQKANRPEAFHDRNIEEIIDTYLAHLQVSVVNPAMETALQMLQKFASDVREGKVPENRLSHGAPWRHPPRDDNPGLSYKWAKIQLMDFVQSFVNTEFGVNYLADDSLEILDDPAAVAMMEVGLLYQQRDPSFMRPITRGIQRCLARWLAQQRLQLNIQETIAFLWQRLVRGRSYRHLMKEVGYK >KQL22765 pep chromosome:Setaria_italica_v2.0:II:5159751:5163527:1 gene:SETIT_028865mg transcript:KQL22765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALVMVVLQKITSALGEEGLKIIGSKLQKQLPDIQEVTNRMRLLQSDFSMMQAFISQVDVHRSSDKVLEAWLEQVRQAAHEAEDIVDEYIYLVGQMEGTDSFLKRALNQAADVKKWRKLAMQAKFVEDCLQKITETKKRFDVSAIDCRKENASSYSSRLRHLSEHSYLNGDDGFVGNAEEVKCLTEWLSDVRKDRTVISVCGMGGLGKTTITSSIYKKEEIKRMFICRAWISVSQSYRVKDLLKRILLQLMTKNENIPDGIDTMDRVNLVQLLQTYLQDRRYLIVLDDVWSRDSWPLLDSAFVKNNSGSRIVITTRIQAVASLADQNREMKLSLLPKEESWTLFCQKAFARLDDRSCPLNLKACAERIVDKCQGLPLALVALGSLLSYKEMDEPEWELFYSQLRWQLSNNPELSWVASVLNLSYNDLPSYLKNCFLYCGMFPEDYQMERKRLIRLWIAEGFIEDRGPETTLSDVAACYLKELADRSLLQVVDRNEYGRAKRFQMHDLVRELSLTISKKEKFATTWDHPNSDCISDGSRRLSVQKDGNLMQTVTNSAQVRSVIVFVEEVSSSWFKDCYPSFRLLRVLSLRHCHIQKIPDNLSNLFNLHYLDLGYTKLKEISRSTGKLSNLQTLYLKGSVMELPSEVTMLTKLQHLIIDVGRFGSSASNKICRLEHLQTLKNIEANSCVVRNLGCLTRMRSLGIRKVLESYNTDLWTSVSKMTALTNLLVIAADRDRDVLDLSDLKPLPYLEKLMLSGKLDKGAIPPVFDHFPKLKSLRLCFSGFHEDPLALLSVMFQNLGHLNLYRCYDGTRLTFRAGWFPMLRHLYLSSMGELKEVEIEDGTMRSLHRLELWGLKSLMSVPEGLVHLKSLQQLCIGSMMPDEFRRRLEGRDRWIVEHIPYIGDP >KQL25669 pep chromosome:Setaria_italica_v2.0:II:39756168:39757241:-1 gene:SETIT_030291mg transcript:KQL25669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEISEPNQSPSLKQKAAEVIAASLETYRSKPFSFWLLLFLSSGAMLTAFPASSLLSRLYYTDGGQSKWILSWAAVAGWPLPALLLLPLYLLSKASPTPLSLALCSWYVLLGFLSAADNLMYAWAYAYLPASTASLVAASSLAFSALFGRAIAKNRLNLSSINAVVVITAGVVIVALDSGSDRPPGVTARQYALGFVLDVLGSALHGLIFALSELVFDRVLGRRSFHVVLEQQAAVSLCAFAFTSAGLAVAEGFPAMRREAARFAHGGEAAYANVMVWTAVTFQLGVLGGTGVLFLASTVLAGVLNAVRVPLTSVAAVIWFHDPMSGFKILALVITVWGFASYMVGHSSVKKTSTS >KQL22804 pep chromosome:Setaria_italica_v2.0:II:5421898:5423166:1 gene:SETIT_032459mg transcript:KQL22804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDRQLPNDALVEVAPAMKPLRGGSSFAVSTAQREVHGDPLHRTELPPTLKGFFFCGRNDVDGNGNYDGEETEEDDAPLPYLLPPKKYGQFADLLANSAAPLVDLDPSFSFITKALPGIDHIYLLDSCNGLLLFGHLEDAHNTPETCYVVCNPATEQWVAVPSCGRIDPTRRRSSSIMHTYLLFDPAMSSHFNVVLFWENPLMTTVHAYSSETGAWSGEGQEIGPLELWRYRNANDRFHIHGRCPGAMVDGMLYLIYDRYWILQVDAQGKTQRQITAPGVPQEVDYFSNGVVFIGQSQGRLHCIMEEGDDDVLVQFSLQLNGVAPYEVHSWKSNLGISIWVLQDLDTQEWVLKGRVSYLELFGKRNREGNVDYRVAAMHPDCNLLFFVQHWDCKMVSYDIDSQEVRALDTDFYHDYEITPYV >KQL23887 pep chromosome:Setaria_italica_v2.0:II:22443714:22449152:1 gene:SETIT_032733mg transcript:KQL23887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSHFTPELVFPPADKSPLRITDKMEIPLVNGRPVYIQVVADKSTGVVTPQMVPANHTIHRKPVTPGIRNSRLNQCNQMFEATIGRNTKRPAGEKINYTSQLTQTSCVMDNEPDWEADEDVDLETANEDPNEPDVSDPYDAVYANVPDMTHMLKPEASCEHCNAKKFESEPPGFCCHSGKIHLSTPETPPELVRLWSSSDADARHFQVAAVWIEGSERRGQFDNSIVLQGKDRSIHGIRSYHGCYDALSYLLFFPRGELGWHNCIPKVGVTEAEVNKARAIRKARADGGGDDDAGSAGNKCVSQFAVDTYVKIESSRLDYIRNNQDILRADLYQGLVDSWRMGVEDADEVGKDSYPIYWRRDDGRKEIIRGHILDNQWVVPYNPCLLRTFNCHINVEACSSIKSVKYLFKYIYKGHDRASVAIREAGKKDDKGNVDEITQYREARWVTPPKAMWRIYGFDLSKNHPPVQQLQLHLPDMHMVTYHKRDKIERVVKRPGADESMLTAYFDYNRLHEEGRGILYRDFPEHYTWESNGKFWKPRKNAVYQVGRVVSAHPAEGECYFLRVLLNHVAGATSYKDLRTVDDVLLPSFREAAERRGLIEEDNTLDECLTENSLFHMPSSLRRLFAAILVFCEPNDVFGLWTKHFDAMSEDYRRNNANPILVEQMVLIDIRNMLQSMGKEIRSFPLPGIDDAYDDASSIPREIFEEASIDQHQEDRAAYEEIMSKVDTEQGGLFFVDGPGGTGKTFLYRALLGTLHNQNKLAIATSTSGVAASIMPGGRTAHSRFKIPLTLEDGGCCCSFTKQSGTAKLLQQASLIIWDEASMAKRQAMEALDNSLRDIMGRQDLPFGSRAQIVDASLRRSYLWESMHHLKLVRNMRAQSDPWFAEYLLRIGGGTEEVNGDGDVCLPDDISILYSGDSEKDLDRLIECIFPNLNANMTNKDYITSRAILSTRNDWMDNINMKMIGMFQGGEMVYHSFDSAIDDPHNYYPSEFLNTLTPNGLPPHLLKLKIGCPVILLRNIDPANGLCNGTRLVVRGFQRNSIDAEIVLGQHAEKRVFLPRIPLCPSDDEMFPFQFKRKQFPIRLSFAMTVNKSQGQTIPNVGVYLPAPVFSHGQLYVAMSRATTRTNIKILALPPNAEADEEQTKKKEKKKVYKKVNGQGNQNNNEQNGASVKKKRVPTIDGTYTKNIVYKEVLTP >KQL23336 pep chromosome:Setaria_italica_v2.0:II:10973964:10980585:-1 gene:SETIT_029257mg transcript:KQL23336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMGSISAYGSYQTNRPSALKQGPHMQFQKCCGGVTFLSKRSQSTRSKTHLAKRRATNNGIHPKATRSRAPIVCSTGMTIVFVATEVHPWCKTGGLGDVVGGLPPALAAMGHRVMTIAPRYDQYKDAWDTNVLVEVNVGDTVETVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGAKLYGPTTGTDYRDNQLRFCLLCLAALEAPRVLNLNNSEYFSGPYGEDVVFVANDWHTAVLPCYLKNMYKANGIYANAKVAFCIHNIAYQGRFARADFDLLNLPDSFLPSFDFLDGHVKPVLGRKINWMKGGIMESDLVLTVSPHYVKELTSGPDKGVELDGVLRTKPLETGIVNGMDVYEWDPATDKYISVKYDATTVTEARALNKERLQAEVGLPVDSSIPVIVFVGRLEEQKGSDILISAIPEFVGENVQIIVLGTGKKKMEEELTLLEVKYPNNARGIAKFNVPLAHMMFAGADFIIVPSRFEPCGLIQLQGMRYGVIPICSSTGGLVDTVKEGITGFHMGSFNVECETVDPADVTAVGLTVTRALKQYNTPAFHVMVQNCMAQDLSWKGPAKKWEEVLLGLGVEGSQAGIEGEEIAPLAKENVATP >KQL24089 pep chromosome:Setaria_italica_v2.0:II:25972011:25975659:-1 gene:SETIT_031614mg transcript:KQL24089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAEAAACEVVLRVHRQAERHRQDVIGYLKRIGSSVGCEVRAPVRLSLPPPRNLTQIVRCISLCYLLMLSELAMRSDKYRRPFS >KQL26957 pep chromosome:Setaria_italica_v2.0:II:47114076:47117363:1 gene:SETIT_032096mg transcript:KQL26957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSRTTEHCVQMPAHGAGQGKTAAPTPEKQLNCFVRCIALIERLGNALGTLAFTWATVVLLGGYPTALSSKDFRYATAIVSLEAARMFSRNNRLDYQLLFRTRGAVRPLGWNGLTVIVCLSNAVLYLFMIRKTYGYGYQTLRHSTRDLEIFKAWALSMIIVPAALGQILSLGALKLLSKRLRRAISLCVPLVAIMLLAPAIPYYYEGVTVVGYTLRNTMAKWIVFLLLFLAVLVLTISRLRYPRITKLTEHALGSKQVFWRRLILNSCMFSVLVMLAFMHDDPVYRSVMIPYEVYTLVVVTFGNLQVPAAIIRIVLASLRLGSHSYYGDDGHLDKRNPGDKTNLVPSLNIFYGMVLGQGALYIAACMLDIFSFIPQRSLARQGGYAGKWGVECVKLYYAYAFEKYMEGGVVAPKKISLITFAMDSLNSDSSKNQFYGIRILHSLLQRDLTRTHLLSKLTTSTNTIARLINMLDWTSPRDITIRLFAAKVITELANSLRVVTVPGTMQVVSALLDYGNQQKRGNPLLDTDDKQEEIHDLILNANVIHGEIQDAVLDTSSLLEPQDRSLQQVHIDEQNNLILIWWQRISKFWSVPKEEPLTDQDLLPALGMSILDSLTSCDQDNCEEINKATGLISKIIGFTNYSRSDTMYTCAQWRVLVMSSLKLLHSLTSISGEIGITLRHKISKHPFLLRNLAYILVDNMSNTESRKLVAGILRNLAVHENARQAIRRIQVIISRLMHAFLTPEPSNADDDRLLRKISGQALTMLAMDSVNNCLTMLRETGYVFIKELTSIIRVDRYRCVAASLLRSMCLHAQQELEESDQRELSYALREVLERTLIADGAELEIFIGLSSQICKVIPEDLAKELEDSHIKQRFVMRLVDVLNSYTEPSPHCPGIRRVILEQAINMMEHDSRYTNCFIDRHMAEALSMVEETVSEAENYSLFLGDVGLMEAREPLSSLMARAKQLLAVR >KQL24692 pep chromosome:Setaria_italica_v2.0:II:32259451:32266032:1 gene:SETIT_029172mg transcript:KQL24692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQPMHGGSSGTAGAGTSGGGGGGGADHLHHHHHQRLHSPRVAGGGSMTRRANSFKRGGGGEIELQIGSPRSPRCDGLGSPPGDAAEPSGSGAGPGGGGGLLHHHQGQQHHNLRFRLFKRPGSGGGAVEAGLGLGLGIRERRKLGNMLFLAFCGVCLLLGVGKIWAGGWFVLPGDDKHADLQDLSVSFSRDKGHQVDHHFGFMGGKESDRTLMTVESSIGGREESVAEAFDVWSQPSSTNFRQCIVSNSHKKQDSHTNGYILINANGGLNQMRFGICDMVAVAKILKATLVLPSLDHTSYWADDSEFKDLFNWRHFIESLKEDIDIVETLPTEYSQIEPLAKAPISWSKVSYYRDEILPLLKKHKVIYFTHTDSRLANNGIPSYIQKLRCRVNYRSLKYSHTIEDLGTTLVSRMRQDGGPYLALHLRYEKDMLAFTGCSHSLTSLEDEELRKMRYEVSHWKEKEINATERRSLGGCPLTPRETSLLLKGLGFTRSTRIYLVAGEAFGNGSMKALLDDFPNIYSHSTLATEKELAPFKNHQNMLAGLDYIVALQSDVFIYTYDGNMAKAVQGHRRFENFRKTINPDRMSFVNLVDEFDEGRVPWDIFSSEVKRLHKDRIGAPYFREPGEFPKLEESFFANPLPGCICEKHSEE >KQL26299 pep chromosome:Setaria_italica_v2.0:II:43623166:43626820:-1 gene:SETIT_029431mg transcript:KQL26299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCMTPGGAAADGGGGKKPKEPKQKKGKKPNPFSIEYNRSAPPGGAPRLAVLREPTGREIAARYELGGELGRGEFGVTYLCTDRDSGEALACKSISKKKLRTPVDIEDVRREVEIMRHLPKHPNVVTLRDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAALVTRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAALKAIDFGLSVFFTPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSAIDFKRDPWPRVSDNAKDLVRGMLNPDPKRRLTAQQVLDHPWLQNIKKAPNVNLGETVKARLQQFSVMNKFKKHALRVIAEHLSVEEAADIKDMFEKMDLNKDQMLNFDELKLGLHKFGHQMPDADVQILMEAADADGNGSLDYGEFVTLSVHLRKIGNDEHLHKAFAYFDRNESGYIEIDELRESLADDLGQNHEEVINAIIRDVDTDKDGKISYDEFATMMKAGTDWRKASRQYSRERFTSLSLKLQKDGSLQMTSTR >KQL22238 pep chromosome:Setaria_italica_v2.0:II:844538:847241:1 gene:SETIT_033042mg transcript:KQL22238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDVGRPEELMINSYVRLRAANGNIASALGFLALLWSTVVVLGGFIDDLQLKEFWVLTALSFLMACSVYLFQLLERKKCLVISRGVAAASSSVPILCDTYNILNFNGHIIDKDNLSFSLTNPTYYAGWRKLLTTSSVKEFIGKRMTSLILSGNRNGGDIGNRSKLNAALDIFYGLILIQSLFAIYYIVIYFVDDRLISPTVKYCGLEERCRPIVRSYYSETTRKFRKDGELPDDWNLIAYGVEKLESAFGDDHLWGARVLDQLFSKDKSVRQELLSSRSCIQNLIGMIGRRGTADNVENRERAARILAHLASDLNIAHFPGTLQRICSLLESCNKQSATGDVPDKKDRNGADTVLQIKDQTEYELILERLTQDEENCTEITKHPRLLSKITSTVSSHNFLSNRRDNTMVEMLSRSLTVVNRLLTSPGDGATRLRQEMAGNKEAVSNLVAILETDSEGAQELHEQVLEVLAELAFDGSFTKPAFGESECMLDKLFKTLHRIFLEEKDGNAVVGEADREKDTRLRGKAGEALARLLPVRAARDANNVAGILSKQDEINLLTKVFDHITAMKGATIGANNERQSDERNLLAAMLSLVVVICNEHIISREDFVRAIHEDAALVKKLAEVLKLNKQCTAECLRVAKLTCQVVIAMVQAKPSFVQHFNEHNFKEELTEALEIMSEVDGCMLFASLVKEAHKLLNSAQEH >KQL27053 pep chromosome:Setaria_italica_v2.0:II:47603627:47604776:1 gene:SETIT_032958mg transcript:KQL27053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVAPARRRRGDRGASDAATLVRCAATCRDARRRIADDPNFRGLLRLQHTDRFLLPLLRGHLMRISNYKEEVGSHLYLVDTTAAGSDATRLTKVTFGPQKTSKGLEPMDSRRELRVCNPATGRSLTLPPEPPFFSALASKPQYVLLVGDGEKGGGVSAVGRPFQVLKATLVLSEHWRSRRLLIQTFSSEHGAWSRCTVIPTPNLHGSNYWTPLHRRPLVVDDVVHWLCLTDTGSYVRMLHVGAARVNETALPASFPRGEKHEYLMATTSAGGNPTVLVADGEKISAWVQSKHTKKWKQQPQVVMENKEVLRSLEDEVAELLARRPPWTKVHVEPVWFAERSGAVLIRVPSCGLLLLDLQSRKTVRRGSRILRSQ >KQL22173 pep chromosome:Setaria_italica_v2.0:II:374482:375987:1 gene:SETIT_033160mg transcript:KQL22173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVSAIIGDLAGRSISFLVDTYLNLTAPTTGDDDERLHRLQQLLRRAHVIAEEAERRRVTNHSMLLQLNTMREEMYRGHHALAHMLASRRARSRDDDGDQDDQRRHADDDGPVSHHHPFAMSQFNPAKRARLVIRSRDGHRQSEKRMQQALKRIETIITDVKDEFVVFLTACPPLGRQPYSSYMIIDKCMFGRQMEMERVVTFLLHDDEEEDDDGGGGASKLGILPIVGPTKAGKSTLVEHACNDERVRDHFSQIVSFTLGDLVDENTLALRRGRVIRHRRCPSDEGCRVLVIVELDGDRNSRGMDCTIMEDLFRRLRSICRTRVPCVSKIIVKSRSDKIASLGTTEPLRLDHVAKEAYWYFFKVCAFGSTDASEHPELVSIAMDMAVEMDRCFGLLDVFAGRLRSNFNARFWRLMLEVVREFKKKNLLMNDACHSDQNREVTEPASFNPKRTQDQFVCHGDYELTGFVDGEVPMISLHDLIFEGTRPRGNPAHHLTTAT >KQL25818 pep chromosome:Setaria_italica_v2.0:II:40710493:40716059:1 gene:SETIT_029520mg transcript:KQL25818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSQPQSRTETGGQVNQCTHVLPAAPSPFSPQIHLSHSHSRSPGPAHATPHTSRSAAAQPRMLLEPGIDPPRGPTWRRRSERRRAAQSNEAAEEAERPKQKTQLVFSSRNGRQSPSPLAFSPAIRLASIRFDSGAGAREGEVAMPLAESPQWRRKATDFFSTSSFKLKQAGQSAGDNIADVAGKVGSVVKTRWAVFQEARQQQQQRPPGETVQERFITAAASTGLLFRKGISETKEKVAVGKVKVEEAAKKTADKSKTILNNIERWQKGVASTDVFGVPIEATVQREQSGKAVPLMLVRCADYLVISGLSNEYLFKSEGDRKVLQQLVSLYNEDSGASLPEGVNPIDVGALVKCYLASIPEPLTTFALYDELRDARVSIPDLRNILKKLPNVNYMTLEFVTALLLRVSRKSSLNKMDSRSLAVEFAPLIMWQQGDAGTDLRNHLKFTLKPPPKIVDTTSNTAAWDLLDEDDEDASSQIPLDDASPPDYSSIEVIQCLIEHHNAIFTDANETVWR >KQL25203 pep chromosome:Setaria_italica_v2.0:II:36633372:36634311:1 gene:SETIT_031379mg transcript:KQL25203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASLLGGGGSLPRGSCGRPRRQGRARWGGRRQWCVRPAPAGPDRVAEATRALMAGAAGRRWELRRLGASTDGRSRGQAAQASAPGAAAGAGDDGKAVGRCGTAARGETRPRRGRGCGITGSYGQLSFLIPKIIFASGLSKYPPLRPAFFVNS >KQL25629 pep chromosome:Setaria_italica_v2.0:II:39476577:39482185:1 gene:SETIT_029679mg transcript:KQL25629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTDAAKITATVVSVDDKLAKLRGEVDKLDQISENEKTGFISLVSRYLTGEADQIEWSKIQTPTDEVVVPYDTLASPPEDLEETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGFTFLDLIVIQIESLNKKYGCNVPLLLMNSFNTHDDTQKIVEKYSNSDIEIHTFNQSQYPRIVTEDFSPLPSKGKAGKDGWYPPGHGDVFPSLNNSGKLDLLLAQGKEYVFVANSDNLGAIVDMKILNHLINNQNEYCMEVTPKTLADVKGGTLISYEGRVQLLEIAQVPDAHVDEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIRFFDKAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTNPANPSITLGPEFKKVANFLARFKTIPSIVELDSLKVSGDVWFGSGITLKGEVNITAKSGVKLEIPDGVVLDNKDVNDPKDL >KQL26404 pep chromosome:Setaria_italica_v2.0:II:44135585:44138012:-1 gene:SETIT_028997mg transcript:KQL26404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMTWLLHHLVMFLFLAQFTNSALVPEINSRPELKRQASNTYIVHANHLAKPPHFASLEHWYHSMVDTHSPRPVNASRILYTYDAVMHGFAVQLTDDEARRMSGAPGVAGVYKDRLLNLHTTRSPGFIGLDPRNGAWNETSFGDGVIIGFIDSGIWPESSSFNDSGLSPVRSSWKGKCVDAHDFDASLCNNKLVGAKAFDAAAKAMAGSTSCGTVPSPRDKNGHGTHVASTAAGTEVPDAGLYMFSRGTARGMAPKARIAMYKACDDDHEGDNCNNADIVAAVDAAVKDGVDIISMSIGDRPLPFHDDVIAIAMFGAERQGIFAAVSAGNAGPTASTVLNLAPWMTTVGAATVDRQFPANLTLGNGVVLAGQSLYTMQAKGTGMIQLVVSADCHRKWPSWTPDKVMGKIMVCMGGGTVAHAIHLQHAGGAGIVDVDPAEWSRDGSTAYPFTLPGLTLSYTAGEKLRAYMASEPNPVASFSFGCETVISKNTAPVVAGFSSRGPNPIVPELLKPDVVAPGVNILAAWSGDASVSGDDRVADGRTADYNIITGTSMSCPHVAGVAALIKKKHPSWTPAMIRSALMTTAWTLDDRGRDILDNGATVGRHDDVRVATPLVAGAGYVHPNLALDPGLVYDAGERDYVDFLCALNYTPEQLRVFVPDFVKCTRTLAGGPADLNYPSFVVVFDDRTAIRTLTRTLTKVFEEAETYNVTVMAPEHVKVIITPTTLEFKEPKETRSYTVEFVNEAGGNRKSGWDFGHISWENEKHRVRSPVAFQWKN >KQL24524 pep chromosome:Setaria_italica_v2.0:II:31016956:31019419:-1 gene:SETIT_032353mg transcript:KQL24524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DANASPVDAALVRSAALARRREELLLELNKARIRQDMIFRELVETELAMGITATSHNPVPALPSPQDYWLNRPLSSSQKPEQPRLGNGDEQKARVHAFNGYMELCLSPSKQAPVEEISVPVAAKADISPMQSALSFGEAAATGPQQAFGGEPKANLVDAHGMQGDIRNQSSGQRKAMESAMEGRTDKPAQPPDQHRPAGQEGYGEECKADAEDGQGVHSLYQSGNQSSGKRKTLETTLADQINGPMLLSDRLAGQESASFDQQKGREFSELKPEQPRLGNEDEQKARLHASNGYMELCLSPSKQAPVEETLVPVAAKANNSPMQSALSFGGGNRTPTGL >KQL23819 pep chromosome:Setaria_italica_v2.0:II:20459010:20464698:1 gene:SETIT_030359mg transcript:KQL23819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYFAKSSKWLALDLLRLMQSRSSRKRPAEKRAAAEKEEAAMVNGLPTPPCGLLTADAARSLVDSVDAFLFDCDGVIWKGDKLIEGVPETMELLRKMGKKLVFVTNNSRKSRRQYSKKFRSLGLEVTEEEIFTSSFAAAMFLKLNNFPPEKKVYVVGEDGILEELKLAGFECFGGPEDGKKNIKLEADFYFEHDKSVGAVVVGLDQYFNYYKMQYARICISENPGCLFIATNRDPTGHMTSAQEWPGAGTMVAAVSCSVQKEPIVVGKPSTFLMDFLLKSFSLETSRMCMVGDRLDTDILFGQSTGCKTLLVLSGCTSLPELQDASNNIYPDLYTNSVYDLVELLQK >KQL22887 pep chromosome:Setaria_italica_v2.0:II:6134204:6135358:-1 gene:SETIT_033327mg transcript:KQL22887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSALAPEHKPDKVDDAPLANDGVLPTDVLRDVLLRLPAKALCHRIRLVCRSWRLLTSDPVFVQAHKFLHPLIAGLHEDQREIHIIDLHGNTIIRRIPVPRACNDLTTQLDVVCASAIKEPSFVLEKGQREVSALPELQLVGDTTFFPSVLGYVPSTGEYKVLFFKLYCTNNHGHVQPCHVISLNGGNRRWRVAPRPAVPVEPAPGNRAVIGGVAYFLMNPYNVNCPDDLEPDSIASFDLEAEEWMPTPLRGPLSSLLSAGGDALSYKKHRHNFQLTELNGSLVIVHCKNRGRSMDIWFLMDIDKAKGIWCKRYSMQCASEWEYNRRFYPVIILDDGRIVMWNRIGQIMRAYDPRTSTWSTVMGTGGGMYVAVGTYVVGSLLL >KQL23978 pep chromosome:Setaria_italica_v2.0:II:23987763:23987995:1 gene:SETIT_033811mg transcript:KQL23978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYSKSKNLRCFFVVLQTIDVSHTSEMICIISNEII >KQL24573 pep chromosome:Setaria_italica_v2.0:II:31404170:31406058:1 gene:SETIT_033236mg transcript:KQL24573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGVAPDATACTVLVGVYACRLRRFDAAYEVVGWMAANGMAPDVVTYSTLISGLCSAGRVAEALGVLDLMLEEGCQPNAHTYTPIMHAYCVSGMIHEAKELLETMMAAGFVPSTATYNVLIAGLCKAGAFKEVDTLLEESSAKRWAPDTITYSTYMDGLCKAGRVDKSFALVDKMLSEGLCPNEITLNILLDGVCHRWLAVIKLFVDMVKKGMAPNSWTFSIVVHSLCKLGKLCGALCLLRSEDFVADVVAYNTLIRHLSFLGKAYEACLVLHEVIEKGITPNDITDSLVADCLCREGKLLVALKWFSYHLQF >KQL22193 pep chromosome:Setaria_italica_v2.0:II:456704:458209:-1 gene:SETIT_033302mg transcript:KQL22193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIISVVMGELVTRSISFLIDRYLKPAASSKGKNRERLQWMLMRVRVTVEEAEVRRITNEAMLQQLKMLKEVMYRGYYMLDTFIQQAQEEEKGSDHGASRSLSLSKFSPAKRVRFSCRSKSDIKKIEDMLECVEMARMSEFVIFLRNYPPMFRQPYSAYLFIENCMFGRQVEMERVINFLLHEEPPVDGKISVLPIVGPGKKRQCSLRDQGRTKYQNDDSNEEIFLVIVELVGIVDESALRRLHSAYQNSVSTRSKIIVTSRSKDFINFGTTQALSLNFLSQEAYWYFFKALLFGSADPEEQPKLASIAVTILDEYFDQGIYTSFAGTFINLNNAANLLKAIPGAHNWQKVLECIRENRRQNERLSRRSLSDFGMENDCIFLRRVTETIQYCVVRNQYRIGLDDEEAPNITMTDIASMKFPPRGKFEIPLWKSHLPPYHRHIYSCEIFEFEGNVIRDMEGRKRKNLS >KQL23735 pep chromosome:Setaria_italica_v2.0:II:17106967:17109322:-1 gene:SETIT_031052mg transcript:KQL23735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDEPETVEVTLRAVGPSRPTTIRLPPLLSVSELRRRVARDRRLEATEEGRLRLVLRGRPLPHQDDAHVNLRDGDTLIVAVAPKPPAKHLLDNDDDEEEEEELKFKIPQTTTLWKRKIFMFLRDKLRLPDIILMGLFSLSMKAWIIIAMWFLFAPIAQHYSLGPLYILGTGFLIILLNLGRRQQGDVSAYSIFNEDFRELPGTLNADRIDRDIRAGQF >KQL23736 pep chromosome:Setaria_italica_v2.0:II:17106665:17110363:-1 gene:SETIT_031052mg transcript:KQL23736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDEPETVEVTLRAVGPSRPTTIRLPPLLSVSELRRRVARDRRLEATEEGRLRLVLRGRPLPHQDDAHVNLRDGDTLIVAVAPKPPAKHLLDNDDDEEEEEELKFKIPQTTTLWKRKIFMFLRDKLRLPDIILMGLFSLSMKAWIIIAMWFLFAPIAQHYSLGPLYILGTGFLIILLNLGRRQQGDVSAYSIFNEDFRELPGTLNADRIDRDIRAGQF >KQL23649 pep chromosome:Setaria_italica_v2.0:II:15715146:15719068:1 gene:SETIT_029817mg transcript:KQL23649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAAAAAVPSSSSASTILPSRPQTSPFQFHEHAAARRSSPALQRRRLRSRSRRNQLVCCSLDATKQVVQEERPAAVKDAAGRTDCFGVFCTTYDLRADEETKTWKKVVNIAVSGAAGTISNHLLFKLASGEVFGQDQPIALKLLGSQKSFQALEGVAMELEDSLYPLLREVSIGIDPYEVFQDVEWALLIGAKPRGPGMERADLLNLNGQIFAEQGKALNAVASKNVKVLVVGNPCNTNALICLKNAPDIPPKNFHALTRLDENRAKCQLALKAGVFYDKISNMTIWGNHSTTQVPDFLNARIDGKPAKQVIGDTKWLEEEFTKIIQKRGGVLIQKWGRSSAASTAVSIVDAMRSLVTPTPEGDWFSSGVYTNGNPYGIAEDIVFSMPCRSKGDGDYELATDVMIDDFLWERIKKSEGELLAEKGCVGHLIGQDDELCEVTEDTMLPGDK >KQL23994 pep chromosome:Setaria_italica_v2.0:II:24192997:24195973:-1 gene:SETIT_0299871mg transcript:KQL23994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKTLFRALLFILLSTSVAAIRQPERSPVAVTRRAALENGLGRTPQMGWNSWNHFACNINEDIIRQIADAMVDSGLAKLGYDYINIDDCWAAYDRDSQGNLVANPSTFPSGIKVLADYVHGKGLKLGVYGDAGSFTCSNKMPGSLGYEEQDAKTFASWGVDYLKYDNCNDQGLSPQPRYINMSKALQNSGRNIFFSLCEWGVDDPATWASGVGNSWRTTGDIQDNWGSMTAIADANDKWASYAGPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDIRSMSNDTKEILRNQNVIAVNQDMSRYDTELHCFVNLVFQTQPIGLFYKMHI >KQL24384 pep chromosome:Setaria_italica_v2.0:II:29370110:29370469:1 gene:SETIT_033657mg transcript:KQL24384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHPALFLFQMQYKLTIRSLALLGVNLYYVKFSNLQFSRPFPSLPSPSSENWALAVY >KQL24241 pep chromosome:Setaria_italica_v2.0:II:28061845:28067866:1 gene:SETIT_028864mg transcript:KQL24241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPRGPASFATQANALLRKNLCVQKRNLKTNIGITFFPILICVLLIVLQNVINSELDKPKYKCGCSCLETSVDGRCVRKDCGIQYSTLDQVGSCPIPSPPRWPALIQVPRADFRAVRTSSQPFDDLPDPLCRVSWSCPATVLVTGNDKAVAGAISRGLFPALSPSLNSTDFLDILSKIVAGSDTQPWYIQLLEPAFFSGHTLYLIQPECLPFMSQTISYNTGGIPFQLSYDFLGTTQYGLGVNVWYNSTYNDNTAYSFIATLRVPRLVNAVSNAYLKFIKGTGVEMLLEYVKDMPKVGTSFQLDLSSLLSALFFTWIIELLFPVILTYLVYEKQEKLKIMMKMHGLKDGPYWLISYSYFLALSVVYMLFFVIFGSLIGLNFFRINEYSVQAVFFFTCVNLQIALAFFVASFFSSVKMATVVGYMYVFGSGLLGAFLFRFFAEDKTFPYGWILVMEIVPGFSLYRGLYELGQYAFSGSSMGVAGMTWRSMNDPLNGMRDVLIIMSVEWALLLMLAFYLDQASLLGDGVRKNPFFCFRCLQKKHAPSFHEPSSTQQDSRVILNMEKSDVALERKVVEQLLIDPNANQAIICNKLSKVYPGRDGNPDKQAVRGLSIALQKGQCFGMLGPNGAGKTSFINMMIGLIRPTSGTAYVHGMDINTDMGNIYTNMGVCPQHNLLWETLTGKEHLFFYGRLKNLKGATLVKAVEHSLKSVNLSHGNIGDKQVKKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWNVVKEAKRNRAIILTTHSMEEAEVLCDRLGIFVDGDFQCLGNPKELKARYGGTYILTVTTSLDQEQEVELLVHQLSPSANKIYHLSGTQKFELPKQEVKIAHVFAAVENAKRRLTIHAWGLVDTTLEDVFIKVARGAQAFNEFA >KQL25705 pep chromosome:Setaria_italica_v2.0:II:39955556:39956919:1 gene:SETIT_030989mg transcript:KQL25705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVSDSQGLLRSSPASQALSTAGRWGVPGFQLLLCSLPCGLVRLHICPPSANSGVAAAPSFLAVKQRHPHFTLVHSASFSSQHSQPTRGAAGPLMAERELIGKDKLVLRGLQFHGFHGVKQEEKTLGQKFVVDVDAWMDLSTAGETDSISDTVSYTDIYRIAKNVVEGPSQNLLESVAHQIASATLLKFPQISAVRVEVKKPHVAVQGIVDYLGVEIVRYRKDKTGSSPGAPLQ >KQL26741 pep chromosome:Setaria_italica_v2.0:II:46027147:46029412:-1 gene:SETIT_030707mg transcript:KQL26741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSGIFAAGGEVEEDESANASATPIRTAPKNYQAISTISHISFAEEESVSPKKPTSIAEVAKQRELSGTLLSEDDSKMKRQISDLKSKELSGHDIFAPPEDPRPRNSENGSTSQTPGKNAYVSNFKFGEADEDSVVKTAKKIPTKKFSDLTGNGIFKGDEAPGMAEKHLSASKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >KQL26742 pep chromosome:Setaria_italica_v2.0:II:46027147:46030307:-1 gene:SETIT_030707mg transcript:KQL26742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPAPVRKSHTSTADLLIWPEGAPQEPLAGATPPSNRRPHQPSEAVRKVVFGGQVTEEEAESLNKRKPCSAPKWKEMTGSGIFAAGGEVEEDESANASATPIRTAPKNYQAISTISHISFAEEESVSPKKPTSIAEVAKQRELSGTLLSEDDSKMKRQISDLKSKELSGHDIFAPPEDPRPRNSENGSTSQTPGKNAYVSNFKFGEADEDSVVKTAKKIPTKKFSDLTGNGIFKGDEAPGMAEKHLSASKLKEMTGSDIFADGKAPSRDYLGGIRKPPGGESSIALV >KQL23907 pep chromosome:Setaria_italica_v2.0:II:22742856:22743374:-1 gene:SETIT_031668mg transcript:KQL23907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVLDPRAMKGAPSMGSVVDTPASRCGGGCSDGAKKRTATPDAAFSASVCSWGLCGLFAGCAAPLGSAGSPLPSGTEREEDGGGGGRR >KQL22818 pep chromosome:Setaria_italica_v2.0:II:5530866:5538959:1 gene:SETIT_029327mg transcript:KQL22818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETTYEEHQSNKPLRLRLTQSERLRRHPAPIRKRRDRRRPPNMLRAALSRSASGLRRSPAMAAAAPLSTAAAASWLSNGPASSPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRGTPVTTRQRVMFKFQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNTAGMHIYSRASASGKRVQCNMGAKNHAIILPDADKDATLNALIAAGFGAAGQRCMALSTAVFVGGSESWEEELVKRASGLVVNSGMVNDADLGPVISREAKDRICKLVQNGVDSGARLLLDGRDIVVPKFEDGNFVGPTILADVKSDMECYKEEIFGPVLLLMKAESLDDAIQIINRNKYGNGASIFTTSGVSARKFQTDIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVQFFTQIKTITQQWKESPAQRVSLSMPTSQK >KQL22816 pep chromosome:Setaria_italica_v2.0:II:5530866:5535921:1 gene:SETIT_029327mg transcript:KQL22816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETTYEEHQSNKPLRLRLTQSERLRRHPAPIRKRRDRRRPPNMLRAALSRSASGLRRSPAMAAAAPLSTAAAASWLSNGPASSPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRGTPVTTRQRVMFKFQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNTAGMHIYSRASASGKRVQCNMGAKNHAIILPDADKDATLNALIAAGFGAAGQRCMALSTAVFVGGSESWEEELVKRASGLVVNSGMVNDADLGPVISREV >KQL22817 pep chromosome:Setaria_italica_v2.0:II:5530866:5537412:1 gene:SETIT_029327mg transcript:KQL22817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETTYEEHQSNKPLRLRLTQSERLRRHPAPIRKRRDRRRPPNMLRAALSRSASGLRRSPAMAAAAPLSTAAAASWLSNGPASSPPRVRLLIGGEFVESRADEHVDVTNPATQEVVSRIPLTTADEFRAAVDAARTAFPGWRGTPVTTRQRVMFKFQELIRANMDKLAENITTEQGKTLKDAWGDVFRGLEVVEHACGMGTLQMGEYVSNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGAAMMLAELAMEAGLPKGVLNIVHGTHDVVNNICDDEDIKAVSFVGSNTAGMHIYSRASASGKRVQCNMGAKNHAIILPDADKDATLNALIAAGFGAAGQRCMALSTAVFVGGSESWEEELVKRASGLVVNSGMVNDADLGPVISREAKDRICKLVQNGVDSGARLLLDGRDIVVPKFEDGNFVGPTILADVKSDMECYKVVFRKLLSNLTLHLNCARLHSWIHAQVELFIVSFCVNFISN >KQL22856 pep chromosome:Setaria_italica_v2.0:II:5872799:5874775:1 gene:SETIT_029574mg transcript:KQL22856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAEPKKVCVIGAGLSGLAAARELRREGLAVTVLEQCGDIGGQWLYDPRTDADDPLGAAVPVNVHSSMYASVRLISTREVMGFSDFQFVPRPGAAGRDARRFPGRREMYCYLKDFCAAFGLADAVRLNTRVVRVAMAAPAPREASGGDVKWLVRSVHVQPDGSEHGVAAEEVFDAVVVANGHCSQPRLPKIQGMGEWSRRQLHSHSYRVPDPYRDETVVIVGCGDSGLDIALDLSGVAKEVHLSAKTVGDATTPAVSNILANHSDMHLHPQVHRLCEDGRVVFADGPSVVADTVIYCTGYLYSFPFLDTGGVVTVDDNRVGPLFEHTFPPALAPSLSFVGIPMRVLVPWFQEAQARWIARVLSGTAALPPEQDMLQAVQEGYRAREMAGFPARYSHDIGNFKTSEILEFVNRYTDLPNMEDWKMELLLTTLGNMKDNRETFQDRDDYSENVNQGFQRWLSLAGAQYEAAIAAAGGGDDDAGGDAAQVQQKPLPAPYLKSEL >KQL23241 pep chromosome:Setaria_italica_v2.0:II:10078135:10085442:1 gene:SETIT_028698mg transcript:KQL23241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYNVRSILRSIAFETEAGGCGACVVLIAKYNPKTNEVTEFTASSCLTLLYSINFCSVITTEGLGNTQDGFHAVQKRMSGFHASQCGFCTPGMCMSIFTSLINADKSKRPEPSKGFSKLKVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWKRGDKNPDVSDLPSYTLGGGVCTFPDFLKSEIKSSLDDLNDACIAASREGWYHPRSIKEYYELINSCLFSDSVKVVVGNTSTGIPGYKDQDLYNKYIEIGGIPELSNIVRTESGFEIGAATTISRTIEILKQECESISSPNGSVVFRKLADHMSKVATPFVRNTASIGGNIILAQKYPFPSDIATILLGAGATVCLQVVAGRRQITLEEFLGQPPLDPTTLLLSIFIPHWISDYQTKTTLLFETYRAAPRPLGNAVSYVNCAFLGHASVDQQSNALVLNNLRLAFGAYGTKHAIRAKKVEEFLAGKSLTASVVLGAIQLLRDVIVPMEGTSHPEYRVSAAVGFLFSFLSPLAKGIPQPGKALTSGSADSADTDDVRNLPVSSRRETISNDDYKPVGEPIKKYGVELQASGEAVYVDDIPAPKNCLYGEFIYSTQPLAHVKSIKFKSSLASEKIIDVVSAKDIPSGGENIGSTFTFGDEPLFGDTVAEYAGQALGVVIAETQRYADMAAKQVIIEYDTKDLSPPILTVEQAVENSSYFKVPPELYPTEVGDVSKGMAHADHKIPSTEVKLASEYYFYMETQTALAIPDEDNTLVVYSSSQYPELAQSVIARCLGIPFSKVRVITRRAGGGFGGKAFRSFQVATAAALCAYKLRRPVRMYLNRNTDMVMIGGRHPVKARYSVGFKSDGKITALHLDLLINAGISPDASPLMPGTIISSVKKYNWGALSFDIKVCKTNNTSKSVMRAPGDTQGSLIADAIIEHVASVLSVDANSVREKNFHTYGSLQLFYPDSAGEASTYTLHSIFNRLISTSSYLDRAESIKQFNSSNKWRKRGISCVPLIFRVEPRAAPGRVSVLNDGSIVVEVGGIEIGQGLWTKVQQMTAFALGKLWPDGVEGLLERVRVLQADTLNLIQGGLTAGSTSSESSCAATLQACNMLVDRLKPVLDRLQQQSKDVSWDTLISQASKENVNLSASAYWVPGQESNKYLNYGAAISEVEIDLLTGAITLLRGDLVYDCGKSLNPAVDLGQIEGSFIQGIGFFVYEEYVTNSDGLMICNSTWDYKIPSVDIIPKQFNAEVLNTGYHKNRVLSSKASGEPALIAASSVHCALREAIRAARREFANSTGSGSSPLEFQMDVPAPMTLVKELCGFDIVDKYLESLSTQERAAGA >KQL23213 pep chromosome:Setaria_italica_v2.0:II:9719008:9721273:-1 gene:SETIT_030494mg transcript:KQL23213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGIFSATRSVHMIVRQLFIRLVFSLQFESSLSMEIISFWMWLEGIGHADFLASIDALDNHDLRSIALAAKMLIETLRRNSGHQPNHRSVQGGYFCIEALKGIVLYLNNVCCKVLEDILQVATAKERICHRAHQAQPQQNVMARAPMSTRDLLSRIKASYISARSHEAEGSSSRSIMPSPPKTHTLKDIENPIEQCLSSYPLATLFKSLTITEEEEEEPAADAIQIQQPPSVPRDERTLFVTFSNGYPFTADELYEFFTRNFGDDVEVISVQEPVAPKPPLYAHITFYNQETLFHILAGRPRVKFVIRGKHLWARKFVPKRKKAQNF >KQL24114 pep chromosome:Setaria_italica_v2.0:II:26308638:26309320:-1 gene:SETIT_033041mg transcript:KQL24114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSVTPSAPASSSSPSHHLAPTGSPSRIERPYGAYSSSSRSRRAAGCHFLVALRRYVDAARSSRYGGTSTLASHSDAADLNPSSPADADVFDSDSESQTEMDSSVDRHRCYRMPFPRSDALRVFCHTDNTFACPVYPAKRHRWTIMNEVKDHVLGMATSTPLRGENKKKWSCHRIVARNEGWME >KQL22197 pep chromosome:Setaria_italica_v2.0:II:473577:478262:-1 gene:SETIT_028970mg transcript:KQL22197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEFLRSELGVMNDLLYVMADIEELDALNKGWRDRVRELAYDVEDCIDRSVARFRHTGGDASKGGFFGTKQLARKFKKIRVSFQIGHQIQELKARVIEESDRQKRYKLDGLIGSSSDASRNKVDLRMCALWEETKNLVGLNGPMDEVIRLLMPAEGEMPSQQVRTLSIVGCAGLGKTTLANQVYQKIQGHFECKAFVPVSQNPNIKDILMKICSQVGATPSMADDEFLLVNKLRERLQYKRYIAVVDDIWHSDPWKIIGQALVSTSPGSIIIVTTRLKDVAESCCSSHGGRVYDMRPLDDNDSRRLFFKRIFDSEDKCPHELERTSEDILEKCDGIPLAIISISSFLAFDVPQSPDHWNKVKESITSPLPGNKSAETMKSVLSLSYLNLPHHLRTCLLYLSAFPEDCIIESDHLISRWIAEGFVNAESGESLYEAGLRYFNILINRSLIQPWNRLRGVVVSCKVHDVILNFLVSKSVEENFLTLSVPSRLPTSLHSKVRRLSLQNSYQENVVSWIQSIKPYVRSLACSVDCKELFPLTEFEVVRVLDLEDFEPLTNAHLANIEVLLQLRYLNINGTGVSELPAGIGQVHNLETLDIRYTQVEKLPSTIVRLEKLARLIVSPKVKFPAEGFSKMKGLEQLSRFSIHGQPLSFLKELGQLTNLRTLEAVCDGILDVNYEGSGWGIFTSSLQALCSHKLLDVDICMMGPISMDSSFSTLQSLRTFVIWPISSLPIWMGLLVNLELLELETKRFTSEDLRVLGGMPALETLILILVNQSFGSSVQSCLPLAEGLVV >KQL22670 pep chromosome:Setaria_italica_v2.0:II:4320527:4323233:1 gene:SETIT_031903mg transcript:KQL22670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGLAPVQELWDVWQIHGLILISLALQVFLFLTASMRRRSSSSILRTLLWLAYLSADSVAIFVLGHLAVHASRPHHQLISFWAPFVLVHLGGQDTITAFSKQDNELWARNLLSLVTQVGLAGYVVAKVSWPDRRLRNAMVLIFLSGCFKYTERILCLYLASPPVLRSKSLGNLSRMLNNLHRHAQDKDGVTVSIDNAQEDVTATFQFMLEGWSGWYRLSSQCPCVEPNFEDETNRIASIINDIMSADTALNKEESILLADYISDKLNRIFLSNAGRCRAYEFVGACLVDCYHSLYTKKPLRKPLCHLLCQLWLCCCGFRSVTAACLNTLLLPYTFFRWVSTPMALLLFRAAEKGGQLHTSSPADVTVSYILLVGALALDVCAATISLYGEMTHSHRWSEELAQYSMIKRHTAQDTSGMASIRHWIGRRLGGWGVGLLELTQTPVTQDHTPIKEFILDNLLRLGARKEWNIATSRGKLALQKWMETQELGSDSGWPGKEVLDHGLSANFPTSVLIWHIATDMCYYFGDNDDSTDSDQMKKHKQMSRELSNYIMYLVFKCGIMLTSQSQLVHDKAHSEINGILSDQQHRQGEKDAIRKLFEAKNEEPSGIHIVDATDTDGSQQLLRSIEEALYSPVLPRAREVAQVLIGIENETERWGLIASVWSEMLYYIAPRCGGAFHHEHLSNGGQFITHVLLLMYHLGPFLPTPDS >KQL23834 pep chromosome:Setaria_italica_v2.0:II:20758648:20758967:1 gene:SETIT_033552mg transcript:KQL23834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIKCMQTQYSYTFLKLFLKTNQVILFSKVHLNYLKRY >KQL25950 pep chromosome:Setaria_italica_v2.0:II:41482103:41483537:1 gene:SETIT_030280mg transcript:KQL25950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAVSALWARRAVRQASFYTTSSSPEAGAGAAASEAWDGRFRLHKPRGQHLLTNPRVLDAIARRAAISPGDAVLEVGPGTGNLTARLLASPASRVAAVEIDPRMVEAVTARAAALGLADKLTVIAGDAVEVEFPEFDVCVANIPYSISSPLIAKLLFGTYRFRTATLLLQKEFARRLAATPGDGEYNRLAANVRLVADVRLLMDVSKRDFVPMPRVDSSLVEIRPRAATPGVDLGEWLAFTRACFGQKNKTLGAIFKQKRMVMELFGRSRRAEERDGGAGGISLGALDDDTDEDGYGKEDDGGSRVAAGCSEEEVAAFKESIAGALESAELASKRPSKLSNDELLRLLLLFNERGVRFR >KQL26147 pep chromosome:Setaria_italica_v2.0:II:42610782:42614759:1 gene:SETIT_029389mg transcript:KQL26147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVVLLEVDVNGEELFVVDKDILARFCGRIRRLVVAGAASARRPPRVVLHGFPGGAEAFELVARFSYADGGGGVVTAANACVLRCAAEFLDMAADGPDAASTAAPSLMRMTEKALEEMPHWPWHAVVDTVKQCQRLLPLADTTGAFDAAVNALVSHMAVPPPAGDATPTTSSPESSAFRFSCDTKSSSLSLRGSCISRTWWFEDLVPLRPGTVERVAAALVARGTDHGVVARFLFYYLKCRIAGASAEDKRAMLEASVAVMSGLDRSAVSCKGLFGILRIAAPLKLTDACQERLVAMIGRKLDHATLDNLLVPAPAGTGSLYDVSLVLRFLEAFLRGDARDEPARLKKLGRLVDLYLAEVAPDPSLRPAKFLELVTALPAPARDCHDALYRAIDVYFQVHARLTDEEKMKICRGLSYEKLSPECCKHLARNGGFPTRAAVQALASQHTVLKSLVLRDPAQLKPVSPSPPPSTGKRRETCCHDDDVGSGGGENDGQVILYAGRLDLTLENQNLRSLLDGMHWRVMELEKVCSRMKTQMIKMKARRGGGRTARSLPRMCS >KQL26148 pep chromosome:Setaria_italica_v2.0:II:42612426:42614759:1 gene:SETIT_029389mg transcript:KQL26148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGPDAASTAAPSLMRMTEKALEEMPHWPWHAVVDTVKQCQRLLPLADTTGAFDAAVNALVSHMAVPPPAGDATPTTSSPESSAFRFSCDTKSSSLSLRGSCISRTWWFEDLVPLRPGTVERVAAALVARGTDHGVVARFLFYYLKCRIAGASAEDKRAMLEASVAVMSGLDRSAVSCKGLFGILRIAAPLKLTDACQERLVAMIGRKLDHATLDNLLVPAPAGTGSLYDVSLVLRFLEAFLRGDARDEPARLKKLGRLVDLYLAEVAPDPSLRPAKFLELVTALPAPARDCHDALYRAIDVYFQVHARLTDEEKMKICRGLSYEKLSPECCKHLARNGGFPTRAAVQALASQHTVLKSLVLRDPAQLKPVSPSPPPSTGKRRETCCHDDDVGSGGGENDGQVILYAGRLDLTLENQNLRSLLDGMHWRVMELEKVCSRMKTQMIKMKARRGGGRTARSLPRMCS >KQL24239 pep chromosome:Setaria_italica_v2.0:II:28050055:28054778:-1 gene:SETIT_030507mg transcript:KQL24239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCAAPRRAATLGLVTGAPPRTSLHAVVLPLPPLRRLESAGRMTMAGSCAAAPVAGLPSLRTPPPTNLRLGGFFHCSSASRSPGGAVLGWTQGAKKQTPTPPAVARLNRLLCGYMRRDGHEGDVRSQGRDDAIMFGPDDDGVKIPTQTETLVKGTAMVAEPEYKPIPDLDYLQELLAIQQQGPRAIGFFGTRNMGYMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKVQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >KQL23448 pep chromosome:Setaria_italica_v2.0:II:13247815:13248589:-1 gene:SETIT_031137mg transcript:KQL23448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTLHARICKSVVAVSIKKNVYTEGTVVLVTPNFAYIIADSYYFRKNKDVTEVTVVLPNTKKVVLQLGRVKLFQNVACIRCFNPTPGQDSCLEELVGMDFCEQIQENDKVFTFSDKNNKKLLTPGRIILIEDKTFDHNCASDLYSCCGAPVINEAGQFVGMCTKLTHGYLTAVKAKEVAQMIDNAEKRTHTVILKLKYKL >KQL23449 pep chromosome:Setaria_italica_v2.0:II:13247231:13248589:-1 gene:SETIT_031137mg transcript:KQL23449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTLHARICKSVVAVSIKKNVYTEGTVVLVTPNFAYIIADSYYFRKNKDVTEVTVVLPNTKKVVLQLGRVKLFQNVACIRCFNPTPGQDSCLEELVGMDFCEQIQENDKVFTFSDKNNKKLLTPGRIILIEDKTFDHNCASDLYSCCGAPVINEAGQFVGMCTKLTHGYLTAVKAKEVAQMIDNAEKRTHTTIADTLQNLRQ >KQL27134 pep chromosome:Setaria_italica_v2.0:II:48004297:48008355:1 gene:SETIT_029656mg transcript:KQL27134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAARHCRKRRRDDAHGGASPTRRRRLLAPTPFPAVRCFGLRIALASAPHLPCKHCHDESTSPARLCRCRSNRLPSTSPFPAVRPFNLRIALASSPRRRRKLRFDDARHHISTTRRSRRPFSRVRRFPGLRPFALRFLLATDEPAPWSRRNPASVNMGNLISQLLRKTTSDGGLDVHRERLEGSPEVVDLTLEPDLEPEKVDVVRRGIGDWSVSALESPTPPEKRAPFHNEALEWTRLRESGFEDLSELFTPLTDKDEREVNNLLYDSGHSNEIIVMHEPSNIAITKEKLECLRPRGWLNDEVINLYIELLKERAEREPQRFLKCHFFNTFFYKKLTCGIAGYDYQSVRRWTTFKKLGYGLTECEKIFIPVHRDIHWCLAVINMKDKTFQYLDSLGGSGHDVLRVLTRYIMDELKDKSIEIDTSSWVVEVSDRLPLQHNGWDCGMFMLKYIDFHSRGLEPSFSQEHIMYFRKRTAKEILRLRAD >KQL24327 pep chromosome:Setaria_italica_v2.0:II:28899235:28902651:1 gene:SETIT_032621mg transcript:KQL24327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKRGGDDLTRNFRKNRRACKNDSLVSGCFFGESNQDVWTGLGDELKSYFSKHVASITLCNGNTILFSCSGIAMQCQERHLTKFLTSASLVRVLNGTNKDHDDLNIRVRHEGNEVYKGVVAEFDLDHNFAVVDVHTFLDVQVGPFRCALESLPHGEVLVVGRGVSGEILAKSVVLNGDSRVSKDDEDLNCKISEAWEGGPFLSVDRNVVVMNLFLTMRRTVFLPWGTILKHLEFGARSVGEKSNSHPEVHGDFLDQEQLDLDFMGYPKLPSTMSRAGMNLAYTFEDTFGNKLGEGVWRKFSKRTFSTVDLNVVALASFNEEKRFFACTGFFIEWNGSMIILTSTSLVRTSSDENKIVENLRIEVLLNNQCKEGTLEHYDLHNNVPLVSVKNYPALCPLNTLVDWDMSFKRCFKSGVLMATSGDMVSWLGTLDCEFLVRSTFKISKAGIGGPPVNLDGDVISMNFYDKRIGTPFLLWEDICEILAYFETK >KQL25948 pep chromosome:Setaria_italica_v2.0:II:41465756:41472852:-1 gene:SETIT_028916mg transcript:KQL25948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSGGRRLRKTESAEMRWVVPGGACEEDEIESSDDGGGGADTPAAALGSRGGGCSDDDDDDGYEEDEMLRQRLVRTGPRADSFDVEALDVPGVYRHQEFTFGRSIVLTLQTLGVVFGDVGTSPLYTLDVMFNKYPITSKEDVLGALSLVIYTLILIPFLKYTLIVLWGNDDGEGGTFALYSLICRNAKASLLPNQLPSDTRISSFNLKVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSVMSAVNGLKVGISSVNEGEVVMITVAFLIVLFSLQRFGTSKVGLAVGPALFIWFCCLAGIGIYNIRIYGSEVLHAFNPVYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAFLMENLTKSQQVFFLSIPGQAFWPVVFVATLAALIASRAMTTAIFSTIKQATALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLVSCLAFVTVFGSINEIGNAYGMAELGVMMMTTVLVTIIMLLIWQVNIVVVLCFLTLFLGLELFFFSSVLGSAADGSWVLLVFAAVLYLVMYIWNYGTKLKYETEVKQKLSMDLLMQLGCNLGTVRAPGIGLLYNELVRGVPSIFSHFLTTLPAMHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENPQAFEQLLIESLEKFIRREAQERSLESDHNDDTDSEEEIASSSSRVLVGPNGSIYSLGVPLAESAGADNSALGSSASFDYGSLDDAMNGRRSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFLKKLVINYFYAFLRKNCRRGIATLSIPHTRLMQVAMQYMV >KQL25947 pep chromosome:Setaria_italica_v2.0:II:41465410:41472864:-1 gene:SETIT_028916mg transcript:KQL25947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSGGRRLRKTESAEMRWVVPGGACEEDEIESSDDGGGGADTPAAALGSRGGGCSDDDDDDGYEEDEMLRQRLVRTGPRADSFDVEALDVPGVYRHQEFTFGRSIVLTLQTLGVVFGDVGTSPLYTLDVMFNKYPITSKEDVLGALSLVIYTLILIPFLKYTLIVLWGNDDGEGGTFALYSLICRNAKASLLPNQLPSDTRISSFNLKVPSVELERSLKIKERLETSSMLKKLLLMLVLFGTSMVIADGVVTPAMSGEVVMITVAFLIVLFSLQRFGTSKVGLAVGPALFIWFCCLAGIGIYNIRIYGSEVLHAFNPVYIYYYFERNPTQAWMSLGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAFLMENLTKSQQVFFLSIPGQAFWPVVFVATLAALIASRAMTTAIFSTIKQATALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLVSCLAFVTVFGSINEIGNAYGMAELGVMMMTTVLVTIIMLLIWQVNIVVVLCFLTLFLGLELFFFSSVLGSAADGSWVLLVFAAVLYLVMYIWNYGTKLKYETEVKQKLSMDLLMQLGCNLGTVRAPGIGLLYNELVRGVPSIFSHFLTTLPAMHSMIIFVCIKWVPVPVVPQNERFLFRRVCPKNYHMFRCIARYGYKDVRKENPQAFEQLLIESLEKFIRREAQERSLESDHNDDTDSEEEIASSSSRVLVGPNGSIYSLGVPLAESAGADNSALGSSASFDYGSLDDAMNGRRSLDNELSFIHKAKESGVVYLLGHGDIRARKESFFLKKLVINYFYAFLRKNCRRGIATLSIPHTRLMQVAMQYMV >KQL24687 pep chromosome:Setaria_italica_v2.0:II:32233940:32237696:1 gene:SETIT_029080mg transcript:KQL24687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPAISLYTSPPAAVYSEFDTSSRGSSPCTTAAPPPPAASHRLPAGGGGLSCLFSSPAAAAAPPRAPAHDELGALWHDRSDDLSVGGGGGYSYSHSSSPLKWRDLHHHHHHSPVSVFQGPSSSSPSRSPPASWLAGRDRDRLFAGFVRNALGSCVDYAPATSPRPEVGAGELAFELDENLAEASPACEPYARELLASAQDRHRIFHEEVVVKAFLEAEKAHRGQTRASGDPYLQHCVETAVLLAKIGANAAVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMLLAMADARAVLIKLADRLHNMETLEALPLTKQQRFAKETKEIFVPLANRLGIASWKDQLENLCFKYLNPEEHKELSSKLTESFDEELITSAVDKLDKGLRDAAVSYHNLSGRHKSLYSIHSKMLKKNLTMEEIHDIHGLRLVVEKEEDCYRALSVVHKLWPQVTGRFKDYISRPKLNGYRSLHTVVMSDGVHPFEVQIRTKEMHLQAEYGFAAHWRYKEGTCRHSFVLQMVEWARWVLTWQCEAMNKERTASLGSSDTVRPPCPFPLHSEDCPYSYTSQCNHDGPIFVILLEHDKMSVQEFPANSTVMDLMDRIGANSPRWSPYSIPMKEDLRPRVNHEPISDPNRKLSMGDVVELTPALPHKSLSGYREEFQRMYDRGGFALATRGGGSRRC >KQL24688 pep chromosome:Setaria_italica_v2.0:II:32234366:32236496:1 gene:SETIT_029080mg transcript:KQL24688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPAISLYTSPPAAVYSEFDTSSRGSSPCTTAAPPPPAASHRLPAGGGGLSCLFSSPAAAAAPPRAPAHDELGALWHDRSDDLSVGGGGGYSYSHSSSPLKWRDLHHHHHHSPVSVFQGPSSSSPSRSPPASWLAGRDRDRLFAGFVRNALGSCVDYAPATSPRPEVGAGELAFELDENLAEASPACEPYARELLASAQDRHRIFHEEVVVKAFLEAEKAHRGQTRASGDPYLQHCVETAVLLAKIGANAAVVSAGLLHDTIDDSFIDYDHIFHMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMLLAMADARAVLIKLADRLHNMETLEALPLTKQQRFAKETKEIFVPLANRLGIASWKDQLENLCFKYLNPEEHKELSSKLTESFDEELITSAVDKLDKGLRDAAVSYHNLSGRHKSLYSIHSKMLKKNLTMEEIHDIHGLRLVVEKEEDCYRALSVVHKLWPQVTGRFKDYISRPKLNGYA >KQL22913 pep chromosome:Setaria_italica_v2.0:II:6313731:6315490:1 gene:SETIT_0307891mg transcript:KQL22913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RARTGGPARSHGHPPSLYTTPHRAHLLLLLCTPPSNAATNPIPLHHSSLALGAMDPRAAASVLALFVAAAMSPAVVVVGDFAADRAECSDKLVGLATCLTYVQDEASAPTPDCCAGLKTVLQSSRKCLCVLVKDKDDPNLGLKLNVTKALGLPAVCNAPANISDCPRLLNLAPNSKEAQVFEQYAKQAAAQGTTPSATGQFHHQSICFNLFPVHFLCLAACMI >KQL22914 pep chromosome:Setaria_italica_v2.0:II:6313731:6316578:1 gene:SETIT_0307891mg transcript:KQL22914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RARTGGPARSHGHPPSLYTTPHRAHLLLLLCTPPSNAATNPIPLHHSSLALGAMDPRAAASVLALFVAAAMSPAVVVVGDFAADRAECSDKLVGLATCLTYVQDEASAPTPDCCAGLKTVLQSSRKCLCVLVKDKDDPNLGLKLNVTKALGLPAVCNAPANISDCPRLLNLAPNSKEAQVFEQYAKQAAAQGTTPSATGGGSAATAAGAQKSGAAGHHAGRWLGVGTVGDGGARAVVLALLAAAAPLAAPFLILLLG >KQL26174 pep chromosome:Setaria_italica_v2.0:II:42777180:42778148:-1 gene:SETIT_032283mg transcript:KQL26174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLCRCLEAPASPRQLAALADDLLEEILLRVSCPADLARASAACASFRRIVTDAAFLRQYRSLHPPLLLGFIEKVSSGFHPAEAPHPNATAARSIAHPAAGFSFDYLPPTRCNRKPWDACDVRDGRVLLKSGPVGYTGFNFPDLAVCDPVFRRYRLLPPIPDDLLASVHIKQQIFPSFQAFLVPSGEEEDGTSFRVIGRAYCGIKSAVFVFSSGSGLWSVGTTWDDLNLRGSVLLCRSYAYGYIYWKVMQANKLVKLDINRMDFSTIDLPSHYDERMLSLWRQEMARLGKRRERLPMDVCWRL >KQL27320 pep chromosome:Setaria_italica_v2.0:II:49139827:49140795:1 gene:SETIT_030665mg transcript:KQL27320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASPAATSGSGSAAAGEKLMKEEVLPPTLASNSQPPNLLDGTTRLYISYICPYVQRVWIARNFKVPIDGGVPAGLIISSCFRYQNLENFLGQGLQDKIQLVAIDLQDKPAWFLEKVYPPGKVPVLEHNGNVIAESLDLLSYLDANFEGPKLLPQDDPAKQAFADELIGSSDPVIVALFRAGRAAAGAGAGGDDISELVAPALDKVEEALGRFSDGPFFLGQSMSAVSDRPIVS >KQL27323 pep chromosome:Setaria_italica_v2.0:II:49139629:49141558:1 gene:SETIT_030665mg transcript:KQL27323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASPAATSGSGSAAAGEKLMKEEVLPPTLASNSQPPNLLDGTTRLYISYICPYVQRVWIARNFKGLQDKIQLVAIDLQDKPAWFLEKVYPPGKVPVLEHNGNVIAESLDLLSYLDANFEGPKLLPQDDPAKQAFADELIGSSDPVIVALFRAGRAAAGAGAGGDDISELVAPALDKVEEALGRFSDGPFFLGQSMSAVDMVYAPFVERFKDFFAAAKQYDMTQGRPKLKEWIEELNKIDAYAATWGDRRLQIAAMMSKFGLEIPVA >KQL27321 pep chromosome:Setaria_italica_v2.0:II:49139629:49141558:1 gene:SETIT_030665mg transcript:KQL27321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASPAATSGSGSAAAGEKLMKEERVWIARNFKVPIDGGVPAGLIISSCFRYQNLENFLGQGLQDKIQLVAIDLQDKPAWFLEKVYPPGKVPVLEHNGNVIAESLDLLSYLDANFEGPKLLPQDDPAKQAFADELIGSSDPVIVALFRAGRAAAGAGAGGDDISELVAPALDKVEEALGRFSDGPFFLGQSMSAVDMVYAPFVERFKDFFAAAKQYDMTQGRPKLKEWIEELNKIDAYAATWGDRRLQIAAMMSKFGLEIPVA >KQL27322 pep chromosome:Setaria_italica_v2.0:II:49139629:49141558:1 gene:SETIT_030665mg transcript:KQL27322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASPAATSGSGSAAAGEKLMKEEVLPPTLASNSQPPNLLDGTTRLYISYICPYVQRVWIARNFKVPIDGGVPAGLIISSCFRYQNLENFLGQGLQDKIQLVAIDLQDKPAWFLEKVYPPGKVPVLEHNGNVIAESLDLLSYLDANFEGPKLLPQDDPAKQAFADELIGSSDPVIVALFRAGRAAAGAGAGGDDISELVAPALDKVEEALGRFSDGPFFLGQSMSAVDMVYAPFVERFKDFFAAAKQYDMTQGRPKLKEWIEELNKIDAYAATWGDRRLQIAAMMSKFGLEIPVA >KQL25010 pep chromosome:Setaria_italica_v2.0:II:35250696:35255003:-1 gene:SETIT_029629mg transcript:KQL25010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPLLPLLVVLQLFTAPSPAAASAHISAVISQSGLDFAKDLLVSHAAETLTPLSVPDIEKSASIPLVGTVRMTASGIVLHGLAVTNSTVAVGDTGVVVAATLARANLTMEWSYSYNAWVVTITDRGNASIQVEGMEVGVSMGMKNQNGSLKLSVMECGCYMKELDITLNGGASWFYQVFIDAFSNHIRTSVENAITKKIMEGALKLDSFLGNLPKKIDLDSVAAMNVTFVNDPIFKRSSVEFDIDGLFIPSDETAVPRGMLLGDIKFALPLGSSSKMLWISLDEDVFNSVSALYFKAGLLQRMVDKIPDQFLLNTASWRFLVPQLYRKYPDDSMLLNISATSPPSVRISVGRIDATVDLDVTVNVLDFGKIVPVACMSVSVAVSGAAAVSGNNLGGRVDLDYFSFTLKWSKVGKLHTVLVQASSCPSSRGSPSEMHISSLLTQK >KQL25009 pep chromosome:Setaria_italica_v2.0:II:35250696:35253747:-1 gene:SETIT_029629mg transcript:KQL25009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGVSMGMKNQNGSLKLSVMECGCYMKELDITLNGGASWFYQVFIDAFSNHIRTSVENAITKKIMEGALKLDSFLGNLPKKIDLDSVAAMNVTFVNDPIFKRSSVEFDIDGLFIPSDETAVPRGMLLGDIKFALPLGSSSKMLWISLDEDVFNSVSALYFKAGLLQRMVDKIPDQFLLNTASWRFLVPQLYRKYPDDSMLLNISATSPPSVRISVGRIDATVDLDVTVNVLDFGKIVPVACMSVSVAVSGAAAVSGNNLGGRVDLDYFSFTLKWSKVGKLHTVLVQTVLRILLKNLFVPYVNSYLEQGFQLPIIKGFSVRDAYILTSYSKMIVSCDVAFIEPEALFLVQTQGRFLV >KQL25011 pep chromosome:Setaria_italica_v2.0:II:35250982:35254788:-1 gene:SETIT_029629mg transcript:KQL25011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPLLPLLVVLQLFTAPSPAAASAHISAVISQSGLDFAKDLLVSHAAETLTPLSVPDIEKSASIPLVGTVRMTASGIVLHGLAVTNSTVAVGDTGVVVAATLARANLTMEWSYSYNAWVVTITDRGNASIQVEGMEVGVSMGMKNQNGSLKLSVMECGCYMKELDITLNGGASWFYQVFIDAFSNHIRTSVENAITKKIMEGALKLDSFLGNLPKKIDLDSVAAMNVTFVNDPIFKRSSVEFDIDGLFIPSDETAVPRGMLLGDIKFALPLGSSSKMLWISLDEDVFNSVSALYFKAGLLQRMVDKIPDQFLLNTASWRFLVPQLYRKYPDDSMLLNISATSPPSVRISVGRIDATVDLDVTVNVLDFGKIVPVACMSVSVAVSGAAAVSGNNLGGRVDLDYFSFTLKWSKVGKLHTVLVQTVLRILLKNLFVPYVNSYLEQGFQLPIIKGFSVRDAYILTSYSKMIVSCDVAFIEPEALFLVQTQGRFLV >KQL22838 pep chromosome:Setaria_italica_v2.0:II:5740192:5744112:-1 gene:SETIT_033025mg transcript:KQL22838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNTRSRSKRCRIASWCAAAAARRTHPKKSMSMVSSSANIYAGHRSCINIHRFIRSHELIRIKKLRCIASVYIVYLGHLPSADPSEPEGFSAALEASHHDLLDQVLDDDSAASDRILHSYRRSLNGFAARLTQQEAHKLSSMEGIVSIFPSRTRELLTTRSWDFLGFPQTPMEELPLEGDVIVGMLDSGVWPDSPSFSDEGFGPPPTRWKGACDNFTCNNKIIGARAYRDGAAVGLSPLDDHGHGSHTASTVAGRAVGGVSLDGLAAGTARGAVPGARLAVYRVCWLHGYCGDADILAAFDDAIADGVDVISMSIGSKSPSPYFEDAAAIGSFHAMRRGVITSAGAGNAGVDGGNVCNVSPWMLSVAASSTDRRLVDKIVLGNGKTIVGASINTFPPVHNATLAFPVNGSCDPDDLDGGSYKGKIVLCPAQRSYGIGPYLAGAAGAVLVSDQPDVAFPLPLPGLMVPQDKFDEILAYVNSTSNPVGTIGSTETATDPQAPKPASFSSPGPNLITPGILKPDLSAPGIEIIASWSPLSQPTYNPKDDRKVLYNIDSGTSMACPHASGAAAYVKSFHRDWSPAMIMSALITTATPMNTPGNTDSNEHKYGAGQLNPSKARDPGLVYDASESDYISMLCAQGYNATALALITGSNATACADSSTAAGSVVSDLNYPTMAAQVEPGKNFTLSFPRTVTNVGDAGAVYNAKIVFTIGPWAADNLGVAVWPSRLEFSEQNTKASFTVTVAGVAPGAGHVVSAAVVWSDGEHEFRSPVVVYTPDVEANHV >KQL26665 pep chromosome:Setaria_italica_v2.0:II:45648507:45651092:-1 gene:SETIT_030614mg transcript:KQL26665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAGGAARALSQKELDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWDIMVDLFFYRDPEEAKEQEEEEALVAPDYGAVAEYTAPGTDNWGGDWGAGDAAAPPAAIPAPTGADWTAAPAPPTDGVWDAAAAPPPAAAAPGWEQGAAPVATPTPNWG >KQL23160 pep chromosome:Setaria_italica_v2.0:II:8797129:8803723:-1 gene:SETIT_028769mg transcript:KQL23160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRYSSSSSSSGVLAWLLLVCSCFEKPLVQAQQAARTDPIEAAALNTILGRWGQKAMPSPAWNISGEPCSGAAVDGTGLDGNNDFNPGITCACSFGVNSSVCHITMLKVYALNVVGQIPSELQNLTYLTYLNLDLNYLTGPIPSFIGHFSAMQHLSLGFNPLSGPLPKELGNLTNRGGGKVIRAAPNQWRKGLNSLIILVAWEIWKHRNSCVFEGVLPNSQVLLQAITNESTLWCLAGASKPKELLARGISLDNFTGGLPEELGNLSKLEQLYIDSSGFSGPFPSTFSKLKKLRILRASDNDFTGKIPYYFGSLTNLEDLVLYGNSFEGPIPASLSNLTRLTNLILRNCKLSGNLGAVNISKLANLILLDLSFNNITGQVPRSILTLDKLEFLILGNNSLSGSLPDVKSASLKNLDFSYNLLTGKFPSWATERYLHLNLVANNFVLDSTDDSILPSGLNCLQQDTPCFLGSPQYYSFAVDCGGNRSMVGSDSTMYDLDPTDLGASSYYVSGQTRWGVSNVGKFNQAPNGSNIIYSSNQQFQNTADSELFQTARMSASSLRYYGLGLENGNYTVVLQFAETAYPDTQTWQSLGRRVFDIYVQGSLREKNFNIRKTAGGKSFAAVSKSYTATVSKNFLEIHLFWAGKGTCCIPKQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGAIAGIVIGASVLGLVALFGIFLFTKRRRRLARQQQELYDLIGQPDVFSYAELKLATDNFSPQNILGEGGYGPVYKGTLTDGRVIAVKQLSQSSHQGKRQFVAEVATISAVQHRNLVKLHGCCIDSNTHLLVYEYLENGSLDRALFGHSSLILDWTTRFEIILGIARGLTYLHEESSIRIVHRDIKASNVLLDTDLTPKVSDFGLAKLYEENKSHVSTSRIAGTFGYLAPEYAMRGQLTEKADVFAFGVVVLEVVSGRSNTDNSLEERNVYLLERAWGLYEGNQPLQILDPRLEEFYAEEVLRVIRVALICTQGSPHQRPAMSRVVAMLTGKAEVAEEVAKPSYVITESQLRDGDSGCTTSSYWASTSTPEFSRQKEVDPLTRSPTITGASHEIEGR >KQL23407 pep chromosome:Setaria_italica_v2.0:II:12078475:12078824:1 gene:SETIT_033584mg transcript:KQL23407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFVSFHRCLESLIATARHHLIVMLSLWILKISASMGCLSM >KQL26600 pep chromosome:Setaria_italica_v2.0:II:45322955:45325333:-1 gene:SETIT_031057mg transcript:KQL26600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQPLRTLRLSAPAGHAAVCRCSAAPLFGKRLPAIVAFPRAGSGGAVALCSAVQQESSTSTTVSKKKDAADGEKKEATAAAAAKPAAAAKPKKAPAKPLPEMMQEEIIPPLKDALEAEENVSQVQLSFQNNTLEGSFIKDDVPYYFWAFFPKGDLTGPKGFALSSYSNEVSTIEPFLIDEKRITSQYVVFWVYKRLAGQGILPVWKEEEGEEEAAGAK >KQL23519 pep chromosome:Setaria_italica_v2.0:II:14433706:14435067:1 gene:SETIT_032130mg transcript:KQL23519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRERHPCFLNLAKLNVASFSFSDACALGDAYVRFNRPLERESFMDKIFQLTPEYQLHFIKHDEVMNARLHDLDREAWVMLMGYPLDAKNNTAFAKAVAGFGLLRYWHDTNYRAIIVVRVFLHDDAKIPHDVTVSVGLPSCVRSWTCPIFALKKKNVTMLADEDPVPEYGPMHPLPAAALCWMGPNPANPSSIMQDLPANDPNPAAPHEDAMSCADGVADDVAADSITPGPSAVQMDINGVLPEVVVVPPKLVNKVINPPRLSFPIPHSLTWHIFRSISLLDIDLDTLIPSYIVDHDILFYLASLPVPQPTRVITGPALPPNGLPLVPYTNDEDDDEVREIDGPKSGTPSKRRARKLKEPMEDVVLRRIKRLNADVGGFRNEKSALEATDYPTPIEVVPPMYSGTADIGASPSPVPHLPIDTIQSMATGFLQMQPNAIGVVALLELDNDDDM >KQL22540 pep chromosome:Setaria_italica_v2.0:II:3407388:3419977:-1 gene:SETIT_028747mg transcript:KQL22540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSPPPPPPAEQQQQQQEEEEVLVPHQELPNGTQPMEVVPAEPAATVENQQIEDPPISRFTWTIENLSRVSTKKLYSEVFVVGGYKWRILIFPRGNNVEFLSMYLDVADSGVLPYGWTRYAQFSLSVVNQIHNKFTIRKETQHQFSARESDWGFTSFMLLGELYNPSRGYLVNDTCIVEAEVAVCKVVDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYNDSSVSTKELTKSFGWDMHDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCQDVYASFDKYVEVERLEGDNKYHAEQHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRDDGKYLSPDADRNVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDAKKALEEQYGGEEELPQTNPGLNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLEKDREEKERRKKEKAEAHLYTIIKVARDDDLKAQIGKDIYFDLVDHDKVPSFRIQKQMTFAQFKEEVAKEFGIPTQFQRFWLWAKRQNHTYRPNRPLSPQDEAHTVGQLKELVNKAHNAELKLFLEVELGLDLKPLPLPEKTRDDIFLFFKLYDPEKEELQYVGRLFVKASGRPQDILPKLRMLAGFSQDDDIELFEEIKFDPNVMCEYIDNRLLFRSCQLEDGDIICFQKSLKPDSADRYRFPDVPSFLVYIRNRQVVHFRSLEKPKEDDFCLEMSKIFTYDEVVEKVAQKLGVDDPSKIRLTSHNCYSQQPKPQPIKYRGVERLLDMLIHYNQTSDILYYEVLDIPLPELQALKTLKVTYHHATKDEVSVHSIRLPKNSTVGDVLNDIKSKVELSHPNAELRLLEVFYHKIYKVFAPNEKIENINDQYWTLRAEEVPEEEKNLGPFDRLIHVYHFTKDTQNQTQVQNFGEPFFMVIREDETLSSIKERIQKKLKVPDEDFSKWKFAYISLGRPDYFEDSDIVATKFQRNMYGAWEQYLGLEHPDTAPRKAHTVNQNRHSFERPVKIYN >KQL25871 pep chromosome:Setaria_italica_v2.0:II:41043526:41045000:-1 gene:SETIT_030872mg transcript:KQL25871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPMHCLSALLYLFALISKATADANFTVSKAVYYPNSDTRGTETGACEYGAFGATLNNGDVSASASLYRNGVGCGACYQVRCTNPHYCSPSGVTIVITDSGASNGTDFILSQHAFAGMGQNKDAGATLLNLGYVGIEYRRVPCIYPGKNIIFKITESSHFPYYLEFEIWYQQGNQDIIAVQLCETVNLTCQLLGRTHGAVWAAISPPNGPLNIRMLFSSGAPHRGDQKWLVSTSTIPQNWTAGATYDSGVQVQLQ >KQL23998 pep chromosome:Setaria_italica_v2.0:II:24236743:24237112:1 gene:SETIT_033488mg transcript:KQL23998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMVPGSSLLHAGVFSFEEQLNSYSHWFWRNSSGSADPDDKA >KQL25320 pep chromosome:Setaria_italica_v2.0:II:37344312:37348424:1 gene:SETIT_030182mg transcript:KQL25320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDKAPMPGDGGSGDGLPPQAVRRAGAPPSSSTPPPEYDISRMSDFPTRNAGHRRAHSEILSLPDDLDLSAPRGGDGPSLSDENDEELLSMFLDVDKLNSSCGASSEAEAESSSAAGGGGEGAELSPAPRPRHQHSQSMDESMSIKAEQLVGAPGMEGMSSAEAKKAVSAAKLAELALVDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSAQLALLQRDTTGLTTENSELKIRLQTMEQQVHLQDALNDTLKAEVQRLKVATGQVGNGGGGMMMNFGTMPRQFGGNQQMFHNNQAMQSMMATHQLQQLQLHSQPQQQTLHPQHQQPLHSLQTQQLQQAARDLKMKGHLGAQGQWGDGKSGSSGS >KQL23007 pep chromosome:Setaria_italica_v2.0:II:7307732:7311044:1 gene:SETIT_029918mg transcript:KQL23007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFHLRKQRLYSKALKFMDWIERRKLLDFEECDYASHLDLIARNHGFEAAQKYIERVPEPFRNEVLYETLLVNCVCQDDVQKAQQVFNEIRELSLPLTVSACNQMILLYKRVARGKVADILMLMEKENIKFSRFTYKLLIDLKGQSNDILGMESVLNTMKDNGLEPDFATQTMVAKFYISGGLTEKAEEVIRAMEVYVKDNREATRSLLDLYAILGRPDDVQRIWNSYTTPKLEDFLAAIEAWSKLGRIEQAEETFEALVKTSPKLTSKYFNAMLNVYAEHKLLSKGKEFIEWMFLEGCPSSPLTWDAIVKLYANSGELAKADSFLVNVTEENPDRHPLFRSYITLLSAYAKKGDIHNSEKIFDRLKQIRYPGRTPPYNLLLAAYASAKVTPYGFRERMKADNVRPTKTDIECLRRLDNLQITRLLE >KQL24713 pep chromosome:Setaria_italica_v2.0:II:32523751:32524839:1 gene:SETIT_030680mg transcript:KQL24713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQDAQHVGGSPPDTAIESFSQLPFVRPKPPQPAASSSSPSPIRLFGFDVPPDAATPSTATSDAAAATATAASQAAGSGAGAGDGGGGGGGRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAQYQSAMAMHHHAHYPGHPHAYPAFTSYHHRFGMARYEPPPGPPPHYPSWSSHLPQAAPPVVPRYYAGAGSLSQPINGSPVPAAALWRVPAVTVAAPLARQERPAPLSLPGREEEAMVAGARRGNVAAGQGGSRLSLSSSSSSSTSSQHERRRGDAAGNRENVSLDLTL >KQL25182 pep chromosome:Setaria_italica_v2.0:II:36493452:36495389:1 gene:SETIT_029746mg transcript:KQL25182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGDSGVAHPRRVDLGLVSASCRKAAAAAASRGPPARVSTTTTNQGAGSRQRTARAARSRATSPRRAAGARTVTPHFVSAPPLNASHQSSDRLSQGRQTHRSAARCHPPSPPHLPLAAAPCKLTPPAMAARSGTMRAVQYDRYGGGAQGLKHAEVPIPSPNKGEVLIRMEATSINQVDWKFQKGVARPVMPRKFPFISGFDLAGEVVEVGAGVSDFKPGDKVIAINFPNGGGLAEYAVASASLTVPRPPEVSAAQGACLPIAAVTALRSLQRAGVSLDPDGTAAGRKNVLVTAASGGVGHFAVQLARLGGHRVTATCGARNLGLVVDQLGADEALDYGTPEGAGLRSPSGRKYDAVVHCAAAAGVPWSAFRRVLADAGTVVDITPGFAAGATAILQMVTFSKKRLVPLLVTPRKDEMELLVGMVKEGRLTAVIDSRYPLSRAQEGWARSMSGHATGKVVVEMGGEE >KQL23383 pep chromosome:Setaria_italica_v2.0:II:11822586:11824168:-1 gene:SETIT_032766mg transcript:KQL23383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEGEWSYSKNSRRQEIVIRETKPIIENATKEVYTALLPKTMIIVDLGCSAGPNTLLFMSNVIGVIADQCKSSEGDPVELQFFLNDLPGNDFNELFRAIQKFETSGTMDQPGHVPPLHYISGLPGSYYNRLFPRQSVHLFHSSYCLHWRSQVPEGLDTSKEAYLNKDNVYITNTTTPFAVKQFQEQFHKDFSLFLELRHEELVYGGKMVLVFLGRKNEDVYSGELNQLYGLVARSLQSLVLKGLVEKEKLESFNLPVYGPSVAEVKEVVMQSKIFSMDEIKLFEANWDPFDDSEGVDVLDSACSSMNVAKCIRSVLKSLIICHFGETILDPLFVEFASLVAKQLEEQQTKLAVIAMSLKKI >KQL24796 pep chromosome:Setaria_italica_v2.0:II:33299579:33300323:1 gene:SETIT_033083mg transcript:KQL24796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSWASGQLMRWGNICSRLETPGPRTIRRAVGFNRTRRACYRRRPAVHEWRGNVEAPVIGNGDASLLAASPVHHNKLDPVNYHCVTHSSVCIQFYDHVFDWNLKQEISTLSTVRSRNGIHVGSKLDILAADGDLYVAKIDDKVIVKIGSGYDIGNLIPRTSTPLPMATTIGRRVV >KQL26217 pep chromosome:Setaria_italica_v2.0:II:43113860:43114513:-1 gene:SETIT_033635mg transcript:KQL26217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSGTSASLHSLDHPPCCPPARPRLVAGMARIGAASSRGSQAKGPRR >KQL25153 pep chromosome:Setaria_italica_v2.0:II:36328136:36338279:-1 gene:SETIT_029533mg transcript:KQL25153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKLKAPACDKDNGNGNDGSITVRNEYPRRPAPIIDTTKGGWSFHEKMARSVVNDIIIRNTTPKWVCNTFFDNYDQVEPILNKDSAQCFLQFFDKCEGRGMSWNLTITTLTLTYMISFNALRCAEVVLEGKAPELSGMRANPNFINKNGYFPLHEAAEKFSVEMIELLLRHGASANVRTVGNVVIENLLPLHVAVENTCLHKYLEDNLSSSQNHLDYIYKLIHLLYLPEMKIFLDTTRLLAEKTNNLLEEVWIYIEGGKLIQSAVLLLAAQEHIRGSCSSNINGSSKKDGFDIINKRLLVDVISHVVEPLSAYIQAHSESWYYINKIATHLLIRLMCSTYVTRFLWYNLDYGSVRMEVLEHVSSILKDYGFLPTEEVMDTINLQPYDCKMSDKKSCSKGANRGVMETAILHAAEEKAARTEVGGGWDPTYARRSYFPYWRSVLRTRCPVRVYPAYARAEARFPMVNGCTPITDHKLSSVGRITALTSNQPKRSFSTAAIGAFRLLKLLKHA >KQL25152 pep chromosome:Setaria_italica_v2.0:II:36328136:36337387:-1 gene:SETIT_029533mg transcript:KQL25152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKLKAPACDKDNGNGNDGSITVRNEYPRRPAPIIDTTKGGWSFHEKMARSVVNDIIIRNTTPKWVCNTFFDNYDQVEPILNKDSAQCFLQFFDKCEGRGMSWNLTITTLTLTYMISFNALRCAEVVLEGKAPELSGMRANPNFINKNGYFPLHEAAEKFSVEMIELLLRHGASANVRTVGNVVIENLLPLHVAVENTCLHKYLEDNLSSSQNHLDYIYKLIHLLYLPEMKIFLDTTRLLAEKTNNLLEEVWIYIEGGKLIQSAVLLLAAQEHIRGSCSSNINGSSKKDGFDIINKRLLVDVISHVVEPLSAYIQAHSESWYYINKIATHLLIRLMCSTYVTRFLWYNLDYGSVRMEVLEHVSSILKDYGFLPTEEVMDTINLQPYDCKMSDKKSCSKGANRGVMETAILHAAEEKAARTEVGGGWDPTYARRSYFPYWRSVLRTRCPVRVYPAYARAEARFPMVNGCTPITDHKLSSVGRITALTSNQPKRSFSTAAIGAFRLLKLLKHA >KQL26875 pep chromosome:Setaria_italica_v2.0:II:46715512:46716920:-1 gene:SETIT_032796mg transcript:KQL26875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKLAALTVALLAFAGPVASQQDSGGILCLGGWVRPIPVVGGMICPGNMIPSPKIDPKPSPAPTGAGLRVGYYNSCPDAEGIVRKVVSDAVAKEPGMGAGLIRLFFHDCFVRGCDASVLLVNSSGSSDPSEMFGPPNRDSLRGFGVIDAAKAALEAACPNAVSCADIMAFAARDASFVLSNGRINFAMPGGRHDGRVSLASETTDVLPGPFTDLQTIKNMFASKGLDTKDVVTLSGAHTVGHARCGFISSDRPDMNATLARDLRNKCRSGNNNTAVVQDYKTPHILDSQYYQNVNDNAVLFQSDAALSSGETQPLVDIYAADSSGNRWETEFAAAMVKMGNIEVKTSPGADAEIRKKCSIYN >KQL23325 pep chromosome:Setaria_italica_v2.0:II:10826955:10827302:1 gene:SETIT_031837mg transcript:KQL23325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALITTSTGSGQLPVRCSIAAGWTPVAWPVHVQVCLRPWEKGRKPQLMSASF >KQL23136 pep chromosome:Setaria_italica_v2.0:II:8628036:8628825:-1 gene:SETIT_032953mg transcript:KQL23136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRSSRPIFARALLLSFLAVAAAAAFLSAPASAAAAPDETTTCVPSLQRLLSCLDFIEHRAEEIPVPCCVQVRRTVAEQPCCLMHVMRGNAARLIGPEYDSTRAMVNVTAECLGDAHVLVSLTRNCSGKPLPPLTPEFTFTTAVVPPPPSSSGASRLQGSSSSIIALLQAVLASIVVYGAVAVR >KQL26415 pep chromosome:Setaria_italica_v2.0:II:44198990:44200148:-1 gene:SETIT_031698mg transcript:KQL26415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIGLLIWIRYLMAVYWPYYLWSFFTGERNWLEDGMNSPDYKGTVVRYLLYHKLNEVPLPKEFPQPLPKESNEPLNNMPVRPF >KQL23701 pep chromosome:Setaria_italica_v2.0:II:16651308:16653766:-1 gene:SETIT_033796mg transcript:KQL23701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPEHICRTAIDLGKALCACASRPPPVLARLFRQMLSTVSFFMSLWSQMQGQKCQRIRSH >KQL23700 pep chromosome:Setaria_italica_v2.0:II:16651550:16652014:-1 gene:SETIT_033796mg transcript:KQL23700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQRDLMLPCSSIYRGMYCISTFVVISSDAFNRIVLYVSLEPNAGAKMSKDKISLKYMVGWLAIVYFISKML >KQL23702 pep chromosome:Setaria_italica_v2.0:II:16651550:16652014:-1 gene:SETIT_033796mg transcript:KQL23702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQRDLMLPCSSIYRGMYCISTFVVISSDAFNRIVLYVSLEPNAGAKMSKDKISLKYMVGWLAIVYFISKML >KQL26099 pep chromosome:Setaria_italica_v2.0:II:42348548:42351440:1 gene:SETIT_032345mg transcript:KQL26099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVLPSRLLFLLLLLSSPSLFLAAAQYAEYSCNGTAGNFTSGSAFAASLDRLIAELPGNASSSPSLFASATVGGGSAPDMAYGLALCRGDVTDRRACSSCLADAFSSLRRLCGADRDATFYADLCTARYSGADFLSRGGAGEVDNSPVINGMDFNASTYPGWDARNATSRSFFLSLVGTLFGEMAMYGAYNSSRRLASAVMFINAQLPTVYGFAQCTPDLAPAQCWHCFQGVADLNRQWYDGREGGRISGVRCSFRYEGYKFYQGRPDVRIGGQHGDESSPNGSTNVDISFRCLYSGYMSPEYASEGIFSIKSDVYSFGVLLLEIVSGKRNSSHHQYGDFINLLGYAWQLWREGRAFELIDPTLGECGEVATIMRCVKVALLCVQDSAADRPTMADVTAMLAAGCDGGGSSSLPDPKRPPHFSLRVSSSEDGSEAGRTRSSSHATTSCSTNDLTVTTIQEGR >KQL25570 pep chromosome:Setaria_italica_v2.0:II:39098112:39098576:1 gene:SETIT_033739mg transcript:KQL25570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWVLRLFMHIAIVSDNLNDRIKHFQQSILLARQHHITSSFGSLDHWHLM >KQL22954 pep chromosome:Setaria_italica_v2.0:II:6749876:6756536:-1 gene:SETIT_029282mg transcript:KQL22954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAYLTGFTGSAGTAVVTKDKAALWTDGRYFLQAEKELSHEWTLMRSGNHGVPTTSEWLNDVLPSGCRVGIDPFLFSFDAAEELKDSISNKNHELVLVQGMNLIDEIWGDARPKPPKEPTRVHNIKYAGIDVPSKLSFIRSHLAENGCDAVVISMLDEVAWLLNMRGSDVPHSPVFYSYLIVEVSTATLFVDSNKVCEDVLVHLEKAGVKLKPYEAIVSEVERLAEKGAKLWLDSSSMNAAIVTAFISSCDRHMKKKGKSGKKVGEKEASSDKPTTRGPVVENGALNVVYNVSPVTLAKSVKNEAEIEGMKNSHLRDAAALAEFWCWLEEEVGKSVPLTEVEVAEKLLEFRQKQDGFIETSFDTISGYGANGAIIHYRPTPEDCSSVGTDNLFLLDSGAQYIDGTTDITRTVHFGEPSPWQKECFTRVLQGHIAIDQAVFPERTPGFVLDVLARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISYRYGNLTALQKGMVVSNEPGYYEDNSFGIRIENLLLIKELNLANSFGGISYLGFEKLTFVPIQSKLIDMSLLSPAEINWVNAYHEEVWEKVSPLLSGDSRDWLWKNTRPLLEM >KQL23950 pep chromosome:Setaria_italica_v2.0:II:23425435:23430862:1 gene:SETIT_029445mg transcript:KQL23950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELSLASASASPPAGWLAPPPPLAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPLVECLMFGALISATDPVTVLSIFQELGSDVNLYALVFGESVLNDAMAISLYRTMSSVRSHAAAGENFFMMILQFLETFVGSMSSGVGVGFISALLFKYAGLDVDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGMVMKHYTFSNLSDNSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWSHVGFIFFSIVSLKVLFFQKNNTNCGL >KQL23951 pep chromosome:Setaria_italica_v2.0:II:23425435:23434011:1 gene:SETIT_029445mg transcript:KQL23951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALELSLASASASPPAGWLAPPPPLAPPGKEQQVAGVGILLQISMLVLSFVLGHVLRRHRFYYLPEASASLLIGLVVGGLANISNTETNTRTWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFANFGAIVTFAILGTFIASVVTGVLVYLGGLTFLMYKLPLVECLMFGALISATDPVTVLSIFQELGSDVNLYALVFGESVLNDAMAISLYRTMSSVRSHAAAGENFFMMILQFLETFVGSMSSGVGVGFISALLFKYAGLDVDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGMVMKHYTFSNLSDNSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWSHVGFIFFSIIFIVVARAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSVHELPEGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVVGDENTSIENYEDNNGYMPPTYEEGTSSGGGLRMKLKQFHKSTTSFTALDKNYLTPFFTSQTDDDDDDFSEQPQNRRVRFYDQ >KQL23952 pep chromosome:Setaria_italica_v2.0:II:23427395:23434011:1 gene:SETIT_029445mg transcript:KQL23952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLPLVECLMFGALISATDPVTVLSIFQELGSDVNLYALVFGESVLNDAMAISLYRTMSSVRSHAAAGENFFMMILQFLETFVGSMSSGVGVGFISALLFKYAGLDVDNLQNLECCLFVLFPYFSYMLAEGLGLSGIVSILFTGMVMKHYTFSNLSDNSQRFVSAFFHLLSSLAETFVFIYMGFDIAMEEHSWSHVGFIFFSIIFIVVARAVNVFSCAYLVNMSRPEHRRIPLKHQKALWFSGLRGAMAFALALQSVHELPEGHGKTIFTTTTAIVVLTVLLIGGSTGTMLEALDVVGDENTSIENYEDNNGYMPPTYEEGTSSGGGLRMKLKQFHKSTTSFTALDKNYLTPFFTSQTDDDDDDFSEQPQNRRVRFYDQ >KQL23289 pep chromosome:Setaria_italica_v2.0:II:10523547:10525544:1 gene:SETIT_031582mg transcript:KQL23289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRPSKEISSAEAVFVGALSSGVNAPTWFVLKITFLLLAFCFTAMLALAFSSKNFVIVGHVLLLVTIGTVLFVLLNRFLAEVGLVPVEQQMQEMGIHKTEATDRDKRK >KQL23290 pep chromosome:Setaria_italica_v2.0:II:10522904:10525544:1 gene:SETIT_031582mg transcript:KQL23290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRPSKEISSAEAVFVGALSSGVNAPTWFVLKITFLLLAFCFTAMLALAFSSKNFVIVGHVLLLVTIGTVLFVLLNRFLAEVGLVPVEQQMQEMGIHKTEATDRDKRK >KQL23576 pep chromosome:Setaria_italica_v2.0:II:15197896:15200727:1 gene:SETIT_029743mg transcript:KQL23576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPPVEEVSGSRRTRSSARRRRRRQRQQQQRSECQEPGPTPSVRRRGVQERKRNRAGGSCDDEKACRLKIRTCEDAALPPDAATARAQEEEAKEELEAASSALCEPRIYQEVVYKEVIGYDSSAVEVYSETFRKEEDQANEEEDAVSSAPSSPLCEPRILQDGHDSNGVGICKPIDLETFRAYRQAKAKFEEKLARKMKLPTLDDSISSCPVDVELRHAQQSVAKAARNLAFALSSSIGGKPLTQCSGFFIGWDESDESHETGIILTSAHLICSQQLSLDSWLCKDEYAPDAEVTVHFPDRTTAKGKLCYYQKHYNIALFRTKVKPSLQLPFFNDNVNCGQEVFMLGRDENSILKINHGQVQFQNPCTFERHHFMYTYGAALECCIGGPIVDFNGGIVGMSTPDTEGSFIPTSIILRCFHLWRKHE >KQL23577 pep chromosome:Setaria_italica_v2.0:II:15197896:15205296:1 gene:SETIT_029743mg transcript:KQL23577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPPVEEVSGSRRTRSSARRRRRRQRQQQQRSECQEPGPTPSVRRRGVQERKRNRAGGSCDDEKACRLKIRTCEDAALPPDAATARAQEEEAKEELEAASSALCEPRIYQEVVYKEVIGYDSSAVEVYSETFRKEEDQANEEEDAVSSAPSSPLCEPRILQDGHDSNGVGICKPIDLETFRAYRQAKAKFEEKLARKMKLPTLDDSISSCPVDVELRHAQQSVAKAARNLAFALSSSIGGKPLTQCSGFFIGWDESDESHETGIILTSAHLICSQQLSLDSWLCKDEYAPDAEVTVHFPDRTTAKGKLCYYQKHYNIALFRTKVKPSLQLPFFNDNVNCGQEVFMLGRDENSILKINHGQVQFQNPCTFERHHFMYTYGAALECCIGGPIVDFNGGIVGMSTPDTEGSFIPTSIILRCFHLWRKHEVADSHVNYWLMDQWLKRMELELGMLLKVWMETVLLLWLSWK >KQL22951 pep chromosome:Setaria_italica_v2.0:II:6602627:6605163:1 gene:SETIT_031345mg transcript:KQL22951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCKLFSIMLLAAVLAAGPAVAAATSAHLHFYMHDVTGGPSPTAVRVVSGPRGYFGNTVVIDDKLTEGTSRSSATVGRAQGYYMVASVANLELLVSMNVVLTSGPYAGSSLTVVGRDDVGTPVRELSVVGGTGQFRMARGYVLWKTVTPEILDLEIFVNP >KQL22950 pep chromosome:Setaria_italica_v2.0:II:6602627:6605163:1 gene:SETIT_031345mg transcript:KQL22950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCKLFSIMLLAAVLAAGPAVAAATSAHLHFYMHDVTGGPSPTAVRVVSGPRGYFGNTVVIDDKLTEGTSRSSATVGRAQGYYMVASVANLELLVSMNVVLTSGPYAGSSLTVVGRDDVGTPVRELSVVGGTGQFRMARGYVLWKTVTPEILDLEIFVNP >KQL26282 pep chromosome:Setaria_italica_v2.0:II:43520315:43523773:1 gene:SETIT_029615mg transcript:KQL26282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWERGRPPRKPRPSPIIVPPPPPSPPPRLNLLLPRSLLALAARAMPSRRPSPVLLLLLALALALLFVILSPSSPSASRLSRSLASGSASASSSPATPAAPPPPVKIYMYDLPPKFTYGVVRSYMAARAPSGSADAAAVLPDEQLRYPGHQHSAEWWLFKDLLRRGPRDRPVARVDEPSNADLFYVPFFSSLSLVVNPIRPPAAANASGVAAAYSDEAMQEELLAWLELQPYWQQHRGRDHIFICQDPNALYKVIDRISNAVLLVSDFGRLRSDQASLVKDVILPYSHRINSFKGDVGVDGRPSLLFFMGNRYRKEGGKVRDALFQILEKEEDVTIKHGAQSRESRRAATRGMHSSKFCLHPAGDTPSACRLFDALVSLCIPVIVSDHIELPFEDVIDYSNIAIFVETSKAVQPGYLTSMLRRVSSERILEYQREIKKVKQFFEYEDPNGPVNEIWRQVSLKAPLIKLLTNRNKRLFERGTNGTDCSCMCSTTPY >KQL24166 pep chromosome:Setaria_italica_v2.0:II:26914628:26915125:1 gene:SETIT_032934mg transcript:KQL24166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGAIRRILRPRGALSQLILLGLLLAHALMAYTAAEAAAGAELTARVVVSGSMEPAFKRGDFLLFRRSDDDPIRAGDVVLFKQAHGDVAVVHRVIEVHERRDGGGVDVLTKGDNNGVDDYSGFLYSEPWLHRHQVMAKAVGYLPKAGWMNVAVNEKPAVRKVVVG >KQL24463 pep chromosome:Setaria_italica_v2.0:II:30377861:30378863:1 gene:SETIT_031649mg transcript:KQL24463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAPALCLLISLLAFYLLVPSSAVPLSRLQKMPMQKAGQMPSVKGSTPEPKMKTERFVPEDGKSVISERMAFETQDYGPPVPNNHHKPPGWR >KQL23838 pep chromosome:Setaria_italica_v2.0:II:20801053:20809877:1 gene:SETIT_030447mg transcript:KQL23838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDCLNWRIQNEIDSVLAKPIVPPDLYRAIRDTLLVGLTGYSKQGQPVYAFGVGLSTFDKASVNYYVQSHIQMNEYRDRVVLPAASKKFGRQINTCLKVMDMTGLKLSALSQIKMLTMITTVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIQVLYGSGRDELLKVMDYQSLPHFCKREGSGSSSDSLDGVDCYSYDHPFHQQMYNYVKQQSLKLDSVGPIKQGSLHVDVPSPGFEEVKIAETIESELHKLRGGNGLTHSFNRIKIEGP >KQL23837 pep chromosome:Setaria_italica_v2.0:II:20801053:20809877:1 gene:SETIT_030447mg transcript:KQL23837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASEEAIKQFSALMEQLEEPLKTTFQNVHQGNLRGTLVRYLKAREWSVPKAHKMLMDCLNWRIQNEIDSVLAKPIVPPDLYRAIRDTLLVGLTGYSKQGQPVYAFGVGLSTFDKASVNYYVQSHIQMNEYRDRVVLPAASKKFGRQINTCLKVMDMTGLKLSALSQIKMLTMITTVDDLNYPEKTETYYIVNAPYVFSACWKVVKPLLQERTKKKIQVLYGSGRDELLKVMDYQSLPHFCKREGSGSSSDSLDGVDCYSYDHPFHQQMYNYVKQQSLKLDSVGPIKQGSLHVDVPSPGFEEVKIAETIESELHKLRGGNGLTHSFNRIKIEGP >KQL22311 pep chromosome:Setaria_italica_v2.0:II:1566205:1567707:-1 gene:SETIT_032952mg transcript:KQL22311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALRLRRALAAAASTSSPLLCPAEPASGSRLLRPLAPFIPRPWMLPRLGFRSTAARRSGCEGLADDGEITLYPDGCGRDYKHWLITMRFPDPKPSREEMIETYLQTLAMVIGRRMYAFSTTTYTGFQAVMTQEMSEEFYGLPRVVLVLPDGYVYPDRKQYGGLFLSFLNLQFINFKKS >KQL26769 pep chromosome:Setaria_italica_v2.0:II:46245817:46246815:-1 gene:SETIT_031070mg transcript:KQL26769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGPPPASVALPLALVEAHQPAPGVAAPASAVRVGAGAVVVPAMDPASVGVVVAPAMGRAWEEAVVAPAAGRAWEEAEGCGGTGSGSGFGRGSGFGRGCGGTGSGSGFGRGCGGTGRGSGFGSSGLGRGGRSGFGSSCIRRAALLMPPHESSNARTSMKEDMVFVEAMASYRSTLCSGCRERKASYGIYRTNELTGGAHVGVFPLTRARAVGRWP >KQL26294 pep chromosome:Setaria_italica_v2.0:II:43603202:43605776:1 gene:SETIT_029419mg transcript:KQL26294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLADLACAGDAARSHLAGAGRRDRLAELLELAAADDAAAFREKLAGAGGDGDAAELADGVGLWYGRSKAYEPRTPLMVAATYGSAEVVSLLLGLGCVDVNRRPGVDGATPLHCAASGGSRNAVAIVKLLLAAGADPVTPDSAGRFPADVILAPPASPDALGDLEMLLGRRRGLAVTTSVPSGSSSPPLSSSPDEGNRSPSSRSSSLSPITVDRAKKEYPVDPTLPDIKSSVYASDEFRMFVFKHFVPPPLSPSAANNGGGAAAHWLQGSRLRSSFNARDAQADDLGALLEWESQYLGALSLPQSSRSQPRLSTGLSIHPTAMAPSNLEEMYASDVAMSPRFTNDQAHSVYSPAHKSALLNKFHHQQKGLLSPVNTNRMFSPRGLDPSIIHSPFGGMSPRSPRTMEPTSPLSARVGATVTQPQRDMFDHFSSMNKHQLPSVGSPRNLNASWGNIGTPKSKVDWGVDNEELVRLRHPAQPGAAGEEPDVSWVQSLVNNAELNGKRGEMAGMASRPMSRPDLSSQGDLVDQTMIASWLEQQMHLEPK >KQL22441 pep chromosome:Setaria_italica_v2.0:II:2622149:2626014:-1 gene:SETIT_029827mg transcript:KQL22441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVGRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKEKVLRHKMIAQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVMELVTGGELFDKIATRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDATGALKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPNTRITVADLINNEWFKKGYQPPRFETADVNLDDVNSIFNESGDPAQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQTGSVKRETRFTSRLPANEILSKIEAAAGPMGFNVQKRNYKLKLRGENPGRKGQLAIATEVFEVTPSLYMVELRKSNGDTLEFHKFYHNISNGLKDVMWKPEGSIMEGDEARHRKSP >KQL22443 pep chromosome:Setaria_italica_v2.0:II:2622149:2626014:-1 gene:SETIT_029827mg transcript:KQL22443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVGRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKEKVLRHKMIAQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVMELVTGGELFDKIATRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDATGALKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPNTRITVADLINNEWFKKGYQPPRFETADVNLDDVNSIFNESGDPAQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQTVCS >KQL22442 pep chromosome:Setaria_italica_v2.0:II:2622551:2625679:-1 gene:SETIT_029827mg transcript:KQL22442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVGRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKEKVLRHKMIAQIKREISTMKLIRHPNVIRMYEVMASKTKIYIVMELVTGGELFDKIATRGRLKEDDARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDATGALKVSDFGLSALSQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEDSNLMSLYKKIFKADFSCPSWFSTSAKKLIKKILDPNPNTRITVADLINNEWFKKGYQPPRFETADVNLDDVNSIFNESGDPAQLVVERREERPSVMNAFELISTSQGLNLGTLFEKQTGSVKRETRFTSRLPANEILSKIEAAAGPMGFNVQKRNYKLKLRGENPGRKGQLAIATEQNY >KQL27039 pep chromosome:Setaria_italica_v2.0:II:47539952:47550070:-1 gene:SETIT_028659mg transcript:KQL27039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVGRAVRKAFPGFGTYAGVVESYDADAGYFRVLYEDGDSEEVDADEMAEILVGPAMPEALQRTPQRDAAGRRPKKRRRGDDESPSPAKAAPPGDGVVLAVPAGGGSSDGETEPATPAEASGEAAAAEKKRRISPGPVSSSRPLRRSARQAKAAERAAEMEAAAAVAAAAEAAEAEAAAASTPQQSGRKRPRANGSGRYRSVSRDLEEAVVKKPSPKLKPALPPKPELPPSSQGLDLGGLPVLDVFQVYSCLRSFSRQLFLSPFPLDTFVAALHCTHANPLIDWVHFALLRALKSHLEDFANEGDPSAVHCIRNLNWELLDLATWPIYLAEYLLTRGTELRYGMKLTDLKLLSTEYYRQPAVVKLELLHSLSDDVLAIGAIRSRMSELDGNDEGFRSTGLRRKKRASSAKGAADSSQPPEGSDEMDDGNSDECYLCGMDGNLLCCDGCPAAFHSKCVGVVEDLLPEGDWYCPECLIQKNDGSRNMASPMRGAEVLGIDPHGRLYFGTCGYLLVIDSYEGSPPCHYYGQVDLHSLVTVLNTCHPSYGSIVNTISSFYGTAIESPNLNGRYQSSRECSTSDAETNCRLSSRLKQRSEHDQFKVEQDNSFEQLDSGKACTSNSDQLDQDLSLRSITFRSALMSRSENAAEGDSNQIPQNGSSSAKNDHCNSQEDVHSHGNGLSAENQKDSPPKKKQSYWHLHSDLARYINYYSFGQIAASAAEELKHKLSENKEGKKPVQDALSFHLRTICKKYANIFALTDQKLSVELLKEKCGWCNSCQISGGVDCIFRVTDVKCMEGTKPHALGVEAEKNMESHIILAMHNILSIEERLNGLLTGPWQNPQYRIYWRKEVLKAADVSSLKQPLLMLESSLRRVAISMEWQKPADSVEVVGSAAHILVRSSNKSLSHGTARKPGRKPSSNGELKVDSRNVGVYWWRGGKLSRQVFHWKRLPQSLVYKAARQAGRRKIPTILYTDGSQFARRFKYIAWRAAVEMAENVAQLILQIKELEWNIKWTEILSTLPSSLMTKEMQKIARLFKKVIIRRKRIEGTNVEYLLDFGKRENIPPVISKHGTKFEDPSSERNRYWLSEGHVPLNLLKAYEAKAFARLLKKKETDELPKKTKKVRDSKPKMPKKTGFAYLFERAEKQSTRLCGHCNKEVVAREAVNCQYCAALFHRKHFKVPRGAADTVYVCNKCLAEKVLKVKSPQKKAAPKKSSPKKKQKKQKKRSHKIVTRRNQIVLKYKKKIGKKGKRGRPRKYPLDPSKSELPKMRESESSNVPKNEPVKRISKRLYDKYMKGSSNVSEHAASCRKRKRTALQYSYWLNGLRWTQNPHDERAISFRKERVVFPSEDAEMSEVSPVCCLCDKCYSEEDIYIACEKCEDWFHGDIYSVTVENVNNLIGFKCHRCRLRSLPVCPYAQTESDKDHGIKFVEDEEHSIDKFVEDEDPSCSKDLDAHGSQKDHDHSNLKEVDIERRLNGHIAEKVLSDNSSLEELNDHSNLKEVDTHSIEKELDANKSLKELDAHNEVKELDSPGSEKEPGDDNCLKELNNHINLNDLDSCRTDKELKNHSYLNELDGQYNWKGLNDHNSQGELDGIASSKFAAEETRCHMELDGFNSLKLDNHNNLEELENNRIPKDSGDFLAEHFNNIRISGKEALVVTPETGPVKESLVLPSKDDSEKAVPAEHDIDFQVVVTL >KQL22993 pep chromosome:Setaria_italica_v2.0:II:7186531:7189177:-1 gene:SETIT_031295mg transcript:KQL22993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFIWLILLPCICRCIPFRYRDIKDEQKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVKLLGFYDFKSKQPEGMCRAAVFALHVKEELASWPEQSTRQRSWLTVPEAAERSRHPWMQEALVTGFSAWLENWSNGGGCVDRSER >KQL22994 pep chromosome:Setaria_italica_v2.0:II:7186531:7190833:-1 gene:SETIT_031295mg transcript:KQL22994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDLVARTGRHLQRYEDGRRLVAGCIPFRYRDIKDEQKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDLVKLLGFYDFKSKQPEGMCRAAVFALHVKEELASWPEQSTRQRSWLTVPEAAERSRHPWMQEALVTGFSAWLENWSNGGGCVDRSER >KQL22709 pep chromosome:Setaria_italica_v2.0:II:4717819:4719949:1 gene:SETIT_030119mg transcript:KQL22709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLFPVRSQQGGAMEGRPPAPARVSSMFRRLMVRVTPAERLAAEGKEREREKDERPPPAAGAEVEVGSVGLDRMVLSFMEDSAAVAERPPRGRCAGNCFNGNQDGSDDEDFDFLPSASAPTAAPAATGDALELLKGLVQCASTAERNLLADASRIAERCGKGGGGSGRKKADVRRAVADGLRALGYDAAVCVSRWDKAPSHPAGEHEYIDAVVAGSGAASVRLMVEVDFRSEFEVARPTKVYRAALQALPPLFVGTPDRLGRVVALVADAARQSLRKRGLHFPPWRKPEYMRAKWLSPHARAGVPDTPPLTTTLATPVSAASFSGEFELRFDEKPKAPDGTAREDGEEKKITVVVSPSPSPWHPVEAEASATSPPQAKGKVVTGLASVL >KQL24403 pep chromosome:Setaria_italica_v2.0:II:29587139:29591523:1 gene:SETIT_032414mg transcript:KQL24403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDYLVLGTRRPPLAPFGIDSSCVVATIGGRRVMFDCGVHLSYKGRRSYPEFDRVLAASGATDLTTAISCVVIAHSHLDHIGALPYFTEVCGYHGPIYMTYPTKALAPMILEDFRKVMVVQQWEEGQYSYEDILRCMKKVIPLDLKQTVQHYIRVCLFFFLKNHYVVALLISDHRNRFPDRSTYAETTRDSRRARESEFLQAVHKCVSGGGKVLIPLFSVGRAQELCMLLYDYWERMNWKIPIYFSAGLTVQSNMYYKMLIGWTSQKIKDSYRVHNPFDFKHVCHFERSFTDNPGPCVLFATPGMISTGGGFSHEVFKKWAPSEKNLVTLAGYCAPRSIGHKLMSGKPEKIDLEDTHIDVRCQVHQLAFSPHTDSKGIMDLIEFLSPKHVILVHGEKPLMAFLKERVESELGMPCYYPANNEAVSILTTQNHKISATERFITSCAGEQTEDSLRKRKLICGSNISDANGDRKLAEGILLMEKNKASKILCEDELVQVLGMEQHIVQYEPMVSSRIAAAGELELQQPAGRGQGMQPI >KQL26239 pep chromosome:Setaria_italica_v2.0:II:43247550:43248346:1 gene:SETIT_031558mg transcript:KQL26239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESQAVIGDTDMLQAMQQDALRLAGKALDDFEAVDSTEIARFIKKEFDRSYGPGWQCIVGTDFGSFVTHHSGCFIYFGIGNLAILLFNGGAGGAPQGGTAEQARLTALKAAVEA >KQL24747 pep chromosome:Setaria_italica_v2.0:II:32823058:32823731:1 gene:SETIT_033648mg transcript:KQL24747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGNVAGFGAVNVNSRGKFDFEKGKDAYPYLWETVEDLSKEHPHGVAFKKAFELIEGPKARGMEEKLRKFRLTEIRHQLRRMELMKETVKMVLDALEG >KQL23617 pep chromosome:Setaria_italica_v2.0:II:15502266:15504124:1 gene:SETIT_030252mg transcript:KQL23617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDSSTNAIMHRLEYYGNHVLFHHVLHGEELQRELLLVSTCRLQCQRERGMATSVEIMNPSTEVLETAATSVFQPGKLAIEVIPVDHDTNPTPPIPILIASPKDAGTYPVAMLLHGFCLQNHFYKQVLKHIASFGFIMVAPQFHISILAKGDTEDIAAAAEVTDWLAKGLPSILPKGVEPNLSKLALAGHSRGGHTAFSLVLGHGKTNLKFSALIGLDPVAGTGKSSQISPKILTYEPSSFDIAMPVLVIGTGLGEEKKNILFPPCAPKDVNHREFYHECRPPCYYFVTKDYGHLDMLDDDAPKFMTCMCKDGKNCKDLMRRTVAGIMVAFLKAVLNEEDGDLRVIMKDPKLTPTTVDPVEHRLA >KQL23827 pep chromosome:Setaria_italica_v2.0:II:20649067:20649641:-1 gene:SETIT_033403mg transcript:KQL23827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSPCHEFHTDLPATDVWEVYGSLVLGQLVPQLLPQVLSEVELVEGDGSVGTVLLVTFPSAGASGPVSYKERFTMIDDEKYIKEVAVIKGGVLDLGFQKYVVRFEIEGKEDGTTIIRSTIEYKVDAEQTSNASIVSTEALAAIAEAITKYIKEQMSL >KQL22840 pep chromosome:Setaria_italica_v2.0:II:5748952:5754764:-1 gene:SETIT_032972mg transcript:KQL22840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHRTLLLFLALFAASLSASQSISGGGDIDDGEKIYVVYLGHLASSGNPSEPETLSTATVEVAHHDLLSKVLDDGSYASDRILRSYKRSLNGFAARLTEQQANKLADMEGIVSVFPSKTYELQTTRSWDFLGLPETPQEELPLEGEVIVGVLDTGIWLDSPSFSDEGFGPPPSSKVIGARAYHDGLTAGLSPLDDQGHGSHTASTAAGRAVGNVSFGGLAAGTARGAVPGARLAVYKVCWDSACREIDILAAFDDAVADGVDVISFSIATRFPSLYFKSAEAIGSFHAMRRGVVTSAAAGNSGLSGGRVCNVAPWMLSVAASTIDRRFVDKIVLGNGKTIVGSSINTFPPVNNTTLAFPVNGSCDPDDLAGVSYKGKILVCPQEGGFRTQATGPALAGAAGAVVTGIAPDAAFTMPLPALVVTEGQFDEIMAYVNSTSNPVGTIERTHTTVNPRAPIAASFSSPGPNQVTPEILKPDLSAPGIDIIASWSMLAPPSDDPNDKRRVQYEINSGTSMACPHASGAAAYVKSHHRDWSPAMIMSALITTATPMNTPGNAGSNDLKYGAGQLNPARARDPGLVYDASERDYVAMLCAQGYNATQLALITGSKNATACAADNGSSSTAGDLNYPSMAALVEPGKKFTLSFPRTVTNIGDDAAGAVYDVKVLSATAGGAGDRLAVAVAPSRLEFDAANGRKASFTVTVSGVVAEAGQVVSAAVVWSDGEHEVRSPVVVYTLDSDDNGFKQ >KQL26113 pep chromosome:Setaria_italica_v2.0:II:42432344:42434137:1 gene:SETIT_029616mg transcript:KQL26113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRLFVVLLGTALPLLFFSPADAGEVGVSYGRLGNDLLDTASVVKLLNKSGITTVRLYDANPTVLGALANTGIKVMVMLPNDDLAAAAADPSSALRWVLRNVAAHYPATRIHGVAVGNEVFEEARSLTWQLVPAMANVHTALVKLRLDEAVKVSTPIAFTALEASWPPSAGRFRRDIARPVMKPMLDFLERTGSYLTINAYPFFAYLEQPDKISLDYALGSSMTGVRDPVTGLVYHSLLDAQLDATYFAMEKLGSSAGVRDEGNSSLGRGGRPRVRAYVSESGWASRGRRRPGRRLEADGDGAPAPAPAAAATIANAKAYNNYLINRVLSGDTGTPYRPDVDMDVYIFALFNENQKGWGADDIERHFGLFYPNQTKVYEFDFHGGALPSWCVANAGVRDARLQAALDYACGHGADCSDIQPGAPCFEPNTVVAHASHAFNSYYQRNHRAKAACNFGGAASVVCHQPKIGNCVLPSKAWIQETTAKSEGYAAI >KQL26335 pep chromosome:Setaria_italica_v2.0:II:43823771:43827900:-1 gene:SETIT_031664mg transcript:KQL26335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYNFMQKMLLPCGLPPERDED >KQL26336 pep chromosome:Setaria_italica_v2.0:II:43824765:43827033:-1 gene:SETIT_031664mg transcript:KQL26336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYNFMQVFRQQQMHFDMALLEDV >KQL24215 pep chromosome:Setaria_italica_v2.0:II:27690949:27693383:-1 gene:SETIT_030683mg transcript:KQL24215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRVLLFLKPFDVYPPRPYAGAAASSPTSSPPSQPRAANPKILSYLDDRCRVHKDTIDLCQSVLQRKSLDWASVQRNNLSQPIRDMDLVIAVGGDGTLLRASHFLDSSVPILGVNSDPTCPKEVDELIDEFDARRSTGYLCAATAGNFEQILDATLDGSRCPSELSRISVKLNGIQLPTYALNDILVSHPCPASVSRFSFRKRSNTGEGSHLINCRSSGLRVSTAAGSTAAMLSAGGFVMPLSSHELQYMIREPISPTDVDKPLLHGLVKQEQHMFVVWYIKRVLCILTAHM >KQL24577 pep chromosome:Setaria_italica_v2.0:II:31429446:31435678:-1 gene:SETIT_032907mg transcript:KQL24577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMISRRSNGAVVPVLVVLSSWLLYVLLYVMSHESKLGEAQSSGTPSRAVQVGALLDLGTAGGKATMATISLALEDFYASQPGSTTTVALHVADCEDDEITATSAAKHSKYFVRTALDDSSQVPAIASLVQLFNWHQVVPIYEDSDFGRGIIPHLVDALQDIDAHIPYRSVIPSVPTEDQIKAELNKLKTMQTRVFVVHMSSDIAARLFVLAHDAEMLTDGYAWIVTDSVGNMFSSFDQRTINSMQGVLGVRPYVPPSDKLINFPPWFVARYRQQNPGAPDPANPNVFHLWAYDTAWAIATALRKVGPLTLGFQMPSPQNSNSSNDLSMLGVSQDGPGLINAIRATRFQGISGDFVLADGQLQASVFEIFNVIGNSYQNAGFWTPKFGLSKNLIVSSGPSRTVGLNMVLWPGGSVQPPRGWEWPVAGKKLQIAVPVKPSVNPFVNVKKNVATGKFDVTGYCIDIFEAVMREMPYAVPYEYVPVVDPNIATNITLSYSEICYQVSLKKYNAMVGDTTIIINRSKLWLDKLVVYIFADPKLKKWLSKFALINFLLLVWLLEKLYSASLTSMMTVRQLQPTVADLNQLISNGEYIGYQSGSFVKDLLKSLNVDERKIRSYRTDQYAEALMKGSWNGGVAAVVDEIPYLKLFMSKHCRNHSIIGRVYKTGGFGFVFPKGSPLVADVSRAILKVTEGDEIVGIERKWLGLDKVTCNSMTNALEMGSVVTWSSLKGVFFITFGLWGIAGIIYIVIKFWPWRQTESTVQEAIAELVLEHSNGDEQDDTIWPIERNSHLVFHLRGHPPQAQVAGPQGDPAEPPPAQC >KQL25826 pep chromosome:Setaria_italica_v2.0:II:40746827:40748400:1 gene:SETIT_029672mg transcript:KQL25826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAHVLVFPVPVQGHINAMLPFAAALLDAGAHVTFLHTDHNLRRVGRAASVASSPRLRFLSIPDGLPDDSRRSVGDLGELDRSLREVGSVRYRALFASLLSAGSPNRAGADDEHRFPPLTCVVADGLMPWAIDIAEELGVPALAFRTSSACSFLAFMSAPGLFELGDLPFPAGGDLDEPVRGVPGMESFLRRRDLPSSFRRRGDTDDVDPSLHVVAKASAHCGKARALVLNTAASLERSALAHIAPRMRDLFSIGPLHAMSPALAASCSLWREDDGCLAWLDGQADRSVVYVSLGSFTVISREQFKEFLSGIAATGYPFLWVLRPDMVWASQDGVLHEAAMAAAGDGKARIVAWAPQRDVLRHRAVGCFLTHAGWNSTLEAVVEGVPMVCWPFFADQHVNSRFVGAVWRNGLDMKDVCERGVVERTVREAMESDEIRRAAQALAQLVKRDVAAGGSSATELERLVGFIRELSASKATRGLGQ >KQL22164 pep chromosome:Setaria_italica_v2.0:II:312203:314452:-1 gene:SETIT_032443mg transcript:KQL22164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLWKSPRGTVIRIEVLVVVVVFLQLFLIACGSRRRRSRNFFIQKGVLAAYTLSPSLVSYTLGSIQSSAIKSGMYSMWAISLYILFGWACRMASESWLLNKVIADYMYQEHTISREDYDPATMTGYHYLVECRLYRAYTGRAYIIGDGKYVTHFRSDPSDPDIIDLDKVWRNDDLSPDLKDACLSFSLFHLLRRRFFGFECGESSQPKTRDLVFKGLLAKKKEEENDDGGASAAIDYDWVFKVIEIELAFMYDFFFTMYAAIYYGSRSMARYVLQLVSAILTVVIAFLTAGGRLRPPPKGMEGSVIVDTTAADVYLTVAILVCISFLQVVQVMYYPTTIWGRVSFACQRIKRKGCCMGLKDFLVKIGLWVSSCRWHHHEQKLGQYSLLESLSNFSSQSKLTKFLVILQNAFLFWLSVMEGFVLQGRVIPNHVRSIIRKPGEPAELVAAVKKALVQSLERTHRHGNKLTNGALSLSSSGAYELLWACSLELRQGLELGSVSLQQKENQVCVILTWHISTCYCDREFSASGSAITNEHYEVATRLSKYCAYLVAFAPKLLPGHHYDTWIAFMLVAEEALKQQQSQEAGDEETIYRKGLRLGEQLKNMQADHCWEVLAGFWAEMLLYLAPSNNVKEHVECLAKGGEFITHLWALLTHAGILDRGQSDVADIENNGGNQPASRPT >KQL23996 pep chromosome:Setaria_italica_v2.0:II:24202930:24209166:1 gene:SETIT_029799mg transcript:KQL23996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRPSDSSGTDDDLPPSYPNSRSNRGSGRVSGNGRAIVPASSYARAPTDMEAQIQQLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDKAHRELLNRVNNDNIICSIREWRSTGGLQASLSNNPQPIHDPVPSPTTSGRKRQKTSQSVPALPAPPPAMHSQQLATPTQPSSSTARKAVPPGPKGKKLKPGHKVPGGSASKPMSSAAGPSGRGPHMNRNFPGAAEAQAQNVHPLIGRKVMIRWPEDNSFYEAVISDYNADTGLYALVYDMNTANETWEWVDLKEMGPEDIRWKEDEISGIDLKMYLQGRGPPTSGGRKPGRGGPMPGPGRGRGFQKNMSKKDFPPPQNGVGKRSSDDIDILHTESLIKEVEKVFSVNNPDPQEVEKAKKALKEQEQSLIDAIARLAEASDGESGKY >KQL23997 pep chromosome:Setaria_italica_v2.0:II:24202930:24209860:1 gene:SETIT_029799mg transcript:KQL23997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRPSDSSGTDDDLPPSYPNSRSNRGSGRVSGNGRAIVPASSYARAPTDMEAQIQQLEQEAYCSVLRAFKAQSDAITWEKEGLITELRKELRVSDKAHRELLNRVNNDNIICSIREWRSTGGLQASLSNNPQPIHDPVPSPTTSGRKRQKTSQSVPALPAPPPAMHSQQLATPTQPSSSTARKAVPPGPKGKKLKPGHKVPGGSASKPMSSAAGPSGRGPHMNRNFPGAAEAQAQNVHPLIGRKVMIRWPEDNSFYEAVISDYNADTGLYALVYDMNTANETWEWVDLKEMGPEDIRWKEDEISGIDLKMYLQGRGPPTSGGRKPGRGGPMPGPGRGRGFQKNMSKKDFPPPQNGVGKRSSDDIDILHTESLIKEVEKVFSVNNPDPQEVEKAKKALKEQEQSLIDAIARLAEASDGESDGHNHGRRNALYAGNQHQANYVDAMPVDGDQADAM >KQL26704 pep chromosome:Setaria_italica_v2.0:II:45860824:45865413:1 gene:SETIT_029820mg transcript:KQL26704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQFFVLSQRGDHIVFRDYRGEVPKGSAEIFFRKVKFWNDDEAEEAPPVFNVDGVNYIHVKVAGLFFVVTTMVNVSPSLLLELLQRIARVTKDYLGVLNEDSLRKNFILVYELLDEVIDFGYPQTTSTEVLKSYIFNEPIMVDAGRLPPLGPAAMFMQGTKRMPGTAVTKSVVANEPGGKKREEIFVDIIERISVTFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLSIGRTGSSSYDYRSSSGGAVILDDCNFHESVHLDSFDIDRTLTLIPPDGEFAVMNYRMTQEFKPPFRVTALIEEAGPSRAEVLLKIRADFSANVTANTITVQMPVPSYTMRASFELEAGAVGQTTDFKEGSRRLEWNLKKIVGGSEHTLRAKLTFSQESHGNITKEAGPVNMNFTIPMYNASKLQVRYLQIAKKSKTYNPYRWVRYVTQANSYVARL >KQL24746 pep chromosome:Setaria_italica_v2.0:II:32813148:32818648:1 gene:SETIT_029519mg transcript:KQL24746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTANALAETTVLQVHQLLNSAPMPSRQEAKPLRRGQASDVEGIYWPAHATTTGADRYGLSPPLPRFQKLRGSAMRPAVLAALFLLAAAAASPAAALYSAGSPVLQLNPNNFKSKVLEANGVVLVEFFAPWCGHCKQLAPAWEKAAGVLKGVARVAALDADAHQALAQEYGIRGFPTIKVFAPGKPPVDYQGARDVKPIVEFALSQVKALLRDRLNGKASGGSSGKASGGSSEKNEPSASVELNSRNFDELVIKSKDLWIVEFFAPWCGHCKKLAPEWKRAAKNLKGQVKLGHVDCDAEKSLMSKYKVEGFPTILVFGADKESPFPYQGARVASAIESFALEQLEVNSGPAEVSELTGPDVMEEKCASAAICFVSFLPDILDSKAEGRNKYLELLLSVAEKFKKSPYSFVWTAAGKQADLENQVGVGGYGYPAMVALNVKKGAYAPLRSAFQHDEIIEFVKEAGRGGKGNLPLNGSPTVVPSEPWDGKDGEEIVEDEFSLDELMGESSPVNDEL >KQL24712 pep chromosome:Setaria_italica_v2.0:II:32504484:32506101:-1 gene:SETIT_030563mg transcript:KQL24712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVGHVAPGAGFLLIGLWQLFSHTRLFLLRPSSYSAPVWFPVRGVRHLELILIIIGTVISILMELVIGPAKHQPFDDDGTIPSDHLHNFEHASISLALLTFAAVTIHLDRVKAPMRDAVSQLVAAAAFAQQLLIFHLHSADHMGVEGQFHWLLQTAIAVTLATTLLGIPYPRSFTVSLVRSASLVFQGVWFIVMGVMLWTPALIPKGCFLNREEGHDVVRCRTDEALDRAKSLVNLQFSWYLTGTVVFVVFFYLQLTKRYPEEPQYLPLVKGGGGGGDSDGRFSIGDDHDDEDDLEVAKRGFGHVVSGTKPIEIER >KQL26344 pep chromosome:Setaria_italica_v2.0:II:43856545:43858467:1 gene:SETIT_029899mg transcript:KQL26344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQFCCHCRWANWEFHVGFDMRAGTVISLASVYDADAGTRRRVLYRGFVSEVFVPYMDPVEEWYYRTFLDAGEYGLGLWAFPLQPGADCPANAAYLDGYYAGQDGKPVAGANRICVFERYAGDVAWRHTEAGFPGQLITEVRPDVTLVVRMVMSLGNYDYILDWEFKTSGSIKFVVSLTGLLEVKGTPYTHADEITADAHGTLVSENTLAIYHDHYVTYHLDLDIDGTNNSFVKNVITARRNTGNPATGGAATPRRSYWTVRREVAETEADGQVEVDAGPADLLFVNPGKKTRMGNEVGYRLIPAGATAASVLADDDYPQRRASYTKKQVWVTPYSKAEKWAPGMYADQSTGDDGLAAWSRRNRGIRDEDIVLWYTVGLHHIPYQEDFPVMPTLSGGFELRPSNFFERNPILRASPASTHGHSTNCSCDDAR >KQL25550 pep chromosome:Setaria_italica_v2.0:II:39016972:39019422:1 gene:SETIT_033419mg transcript:KQL25550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILQLSTALSTSSEGFLLSKRITYSITAASQGTVILSSLVNPNSSSDIRRSSPKTFVLRYTKGTSNRLPSAVFRNTTFLFVWPTFICLTASESYINLMSFSRHSFDAGGLTSFLFTSYLLLVFIFQYFCGLQSIELSYLFPCWTSSYASMSMWPNLCSVVGEVMQHVSLSLVVALTLCHFLTN >KQL26080 pep chromosome:Setaria_italica_v2.0:II:42221627:42224815:-1 gene:SETIT_031195mg transcript:KQL26080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAVANHPILAVGDVGQSSFGVNPSAAATGGGFVALDVGALSSSLAGDAGPPPGTPTAPPRTPTAPPRTPKVVRSLSRKGDRKPTDGDANGTAGGGGERPQLFVHVAAGDLGDAPSSARLVVHTPLASTPGSKSRRFGRRPAPWLDPRRVVFLFATLSSVGTLILLYFTLSMSRADSSGSGGGGASDAR >KQL26778 pep chromosome:Setaria_italica_v2.0:II:46288197:46288846:1 gene:SETIT_031440mg transcript:KQL26778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVAVVVLLVVASALLFLLSPPGAPGGGGGGEGKGAPREPVELAIGIAGHERWLDALRAWAKLACFKLRPAEPRYDVLRSPASVKMAAKESLEMGKETVRHSAESAARATEEALERTTEKVKRKVSLSPSPSARRRDGDL >KQL22787 pep chromosome:Setaria_italica_v2.0:II:5270945:5272133:-1 gene:SETIT_033184mg transcript:KQL22787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCPKTKGIEAAVACLPDDALEGILSRLRAKPLFRFNCVSKAWCGLIADRLRCRKFPQNLEGFFIGGRGENFGDFIDLSGRPVPLVDPSFSFLTNSQEIAKIVLLGSCNGLVLFGHRRVSDNYDTLGYIVCNPATEQWVTVPSSGWTPWEDSEDEENQDDVQAERLDTYLIFDPAVSPHFQLVQLLSLDLYDLEEVHTFSSVTGAWRQHEGIHGQAWKQWGSMAMAISVACAFNGMLHMSIHFHDSNLNMIVAVDGEGEPFKTMHWPDDSGRVVFFVGQSQGHLHCMSVHTGDLVQMTQLSIWVLEDYDAEKWLFGRMRCHYLDYDVVAIHPYRGLVFFVEKWNWRLISYDMDTKEVHALCTLGHDYHRKNPVCPLFRRGSGAR >KQL26631 pep chromosome:Setaria_italica_v2.0:II:45492784:45494233:1 gene:SETIT_031329mg transcript:KQL26631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEDMEREMIAEVEEEEEVACECCGFTEECTAPYIAGVRARYGGRWICGLCGDAVGEELGRATPPISPAEALDRHACVCRRGSAPPSPAGSPDDLIAALRLLLRRRLGSPPHPPAPRRARSTPSSPRRDPLPTIASSVAAVATAGGAGSSLARTGSCFAALVE >KQL26632 pep chromosome:Setaria_italica_v2.0:II:45492784:45493873:1 gene:SETIT_031329mg transcript:KQL26632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEDMEREMIAEVEEEEEVACECCGFTEECTAPYIAGVRARYGGRWICGLCGDAVGEELGRATPPISPAEALDRHACVCRRGSAPPSPAGSPDDLIAALRLLLRRRLGSPPHPPAPRRARSTPSSPRRDPLPTIASSVAAVATAGGAGSSLARTGSCFAALVE >KQL25481 pep chromosome:Setaria_italica_v2.0:II:38475106:38477200:1 gene:SETIT_030608mg transcript:KQL25481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVSSSASVIALSLVTLSFFQGAVAGVTFTFTNRCGGTVWPGVLANSGSSPLQTTGFALGAGETRSLTAPAGWSGRFWARTGCAFDASGKGSCATGDCGSGEVECHGEGAAPPATLAEFTLGGSGGKDYYDVSLVDGYNLPMVVEAAAPGCPVTGCLVDLNEQCPAELRAGQGQARACRSACEAFGSPEYCCSGQFGNPDTCRPSVYSQVFKAACPRSYSYAYDDATSTFTCTGTDYSITFCPPRAGTPSSQKATKDPSPRPNDVQLQGDSWLASLATGEMDGATSTAVASLRLPAALAAAAVVALLV >KQL26225 pep chromosome:Setaria_italica_v2.0:II:43139054:43140493:-1 gene:SETIT_033395mg transcript:KQL26225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTIVLYPGLAVSHFVPMMQLADVLLADGYAVAVALIDLTLDQDVALAATVRGVASAKPSVTFHTLPRIKNPPTLKRGVEFLLGYLEMVRRYNEHLREFLSSMPPRSVRAVIVDAVSMEALDVTKELGVPGYTFYAMNASALAVFVQLPSMREEGQPSFRELGDTPLDIHGVVPLPASHLCAELLEDPESEIYKVMMNFMCRNTEADGILVNTFASLEERAVGALRDLQILLPGGSERRMPPVYCVGPLVASGAGAEAEGKHECLAWLDTQPERSVVFLCFGSIGAATHSEEQLREIAAGLERSGHRFLWVVRAPLRAGPGRPSEPDVDALLPDGFSERTKGRGLVVKHWAPQVEVLHHNATGAFVTHCGWNSVLEGVKAGVPMLCWPLYSEQKMNKVFMVEEAGIGLEVVWCQQGLVEAGEVEAKVRLVMESEEGERLRSRVAALKDAAAMAWKDGGSSRAAFAQFLSVVNNLGRDT >KQL22428 pep chromosome:Setaria_italica_v2.0:II:2513135:2527332:1 gene:SETIT_028662mg transcript:KQL22428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSEASKVADGKPVKPRPVAPTGRFALGTASSIKKRADGAASAEVAVPRSSMMKPTSSVNASSVQRRSSTGTVGKQQGNGSSVVAKKASPTLLDGAKKSKPVSASTVSSKPAVEKKTSLTESAKADLVKRPAVKASPTSTLKKVQSKTESSNGSSGSTRRVASNASLQSPRSVTSTATKKSGSQTSSSVPSRRKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRSLKQLRLSPALEFVYLRDNLLSSLEGIEILKGVKVLDLSFNDFKLPGFEPLRNCIVLQQLYLAGNQITSLASLPELPNLEFLSVAQNRLKSLCMAHQPRLQVLAASRNKISTLKGFPHLPFLEHLRVEENPLLEMPHLEAASILLIGPTLKKFNDRDLNPNEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWKDKLPQDYMVNKAYVDHPFEEDPCHCHFGFTNLGREGELVLKYQWFLGGKTPTDFQPIPEALSEVYWPKHEDVGRCLKVECTPILNGAEFPPIFAVSLPVSPGTGCPKVINLTVSGELVEGNILSGVPEIAWCGGTPGKGVASWLRRRWNGNAEVIDGAEGMEYQLTVNDINSSLVFMYTPATDAGVKGEPQCTMTDFVKAATPSVSDVHVLGDIVEDNVIIGKGKYFGGREGLSKIRWFREKENGEFLLVLSDSMEYTLTNEDVGRHLKFVYTPVNLEGEEGESACALTDVVKKAPPKVFNLMIIGEAREGSKISASATVTGGTEGSSRVQWYKASSSEFKNEHELEALSTSKVSKTFRIPLSAVGYYIVAKFTPVAPDGEIGEPAYAISDGVVETLPPSLNFLTVTGEFSEGQILTASYGYIGGHEGNSLYSWHLHETEDDEGTPASEASGLLQYRVSKEAIGKFVSFKCTPIRDDGIVGEARVFIGKDRVTPGMPTLLSLEVTGEAIEGTTMVASKRYWGGEEGDTMFRWILASSDGTEKEIEGATSSSYTLKCDDIGFYISVLCKPVRNDGVQGSLVSTEVIGPIIPGPPTCRSLELAGSMVEGGRLTFHAEYTGGLRGSCIHEWFRLHDDGRKEKLTAGDCLDLDLADVDCRIELIHTPVREDGLQGSPRSVISDTILPGEPKGVNLILPECFEDNEISPIKTYFGGKEGTGKYKWFRSKERPDNLEFDLVAASSEVVGETLKYKLSLDDVGSYLVLYWVPTRCDGKTGDPVMAITDDPVMAAFPSVLDVHLEQKSSDVYCGLGIYYGGYEGSSLYRWYRESSDGTRTRIDGANSVTYEVTDADYSCHLLFGYTPVRSDGITGEEKLSEPSDVILPEPLKIETLVFKGNQVERETLTVVEQIPSNEIQQHIWNNYKKEMKYQWFVSSGSGEDQSFEPLATQCSRSYKVRFEDIGRCLKCECFATDVFGRSSELVSAVTTTIAPGRPKIEKLEIEGRGFHTNLYAVRGTYSGGKEGKSKIQWLRSMVGSPDLISIPGEIGRMYEANVDDVGYRLVAIYTPVREDGVEGQPISVSTEPIAVEPEIYKEVKQKLDDGSVKFEVLCDKDRTPKKAQVMGHLERRVLEVNRKRIKVVKPGSKTSFPSTEVRGTYAPPFHVELYRNDQHRFKIVVDSDNEVDLMVQTRHMRDVVILTIRGLAQKFNSTSLNTLLKIEA >KQL22427 pep chromosome:Setaria_italica_v2.0:II:2513135:2524301:1 gene:SETIT_028662mg transcript:KQL22427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPSEASKVADGKPVKPRPVAPTGRFALGTASSIKKRADGAASAEVAVPRSSMMKPTSSVNASSVQRRSSTGTVGKQQGNGSSVVAKKASPTLLDGAKKSKPVSASTVSSKPAVEKKTSLTESAKADLVKRPAVKASPTSTLKKVQSKTESSNGSSGSTRRVASNASLQSPRSVTSTATKKSGSQTSSSVPSRRKSSTADSRDSRFMMLPQVDLKASDEVRLDSRGHRVRSLKQLRLSPALEFVYLRDNLLSSLEGIEILKGVKVLDLSFNDFKLPGFEPLRNCIVLQQLYLAGNQITSLASLPELPNLEFLSVAQNRLKSLCMAHQPRLQVLAASRNKISTLKGFPHLPFLEHLRVEENPLLEMPHLEAASILLIGPTLKKFNDRDLNPNEAEVAKQYPAHTAICIRDGWEFCSPELAADSTFSFLLEQWKDKLPQDYMVNKAYVDHPFEEDPCHCHFGFTNLGREGELVLKYQWFLGGKTPTDFQPIPEALSEVYWPKHEDVGRCLKVECTPILNGAEFPPIFAVSLPVSPGTGCPKVINLTVSGELVEGNILSGVPEIAWCGGTPGKGVASWLRRRWNGNAEVIDGAEGMEYQLTVNDINSSLVFMYTPATDAGVKGEPQCTMTDFVKAATPSVSDVHVLGDIVEDNVIIGKGKYFGGREGLSKIRWFREKENGEFLLVLSDSMEYTLTNEDVGRHLKFVYTPVNLEGEEGESACALTDVVKKAPPKVFNLMIIGEAREGSKISASATVTGGTEGSSRVQWYKASSSEFKNEHELEALSTSKVSKTFRIPLSAVGYYIVAKFTPVAPDGEIGEPAYAISDGVVETLPPSLNFLTVTGEFSEGQILTASYGYIGGHEGNSLYSWHLHETEDDEGTPASEASGLLQYRVSKEAIGKFVSFKCTPIRDDGIVGEARVFIGKDRVTPGMPTLLSLEVTGEAIEGTTMVASKRYWGGEEGDTMFRWILASSDGTEKEIEGATSSSYTLKCDDIGFYISVLCKPVRNDGVQGSLVSTEVIGPIIPGPPTCRSLELAGSMVEGGRLTFHAEYTGGLRGSCIHEWFRLHDDGRKEKLTAGDCLDLDLADVDCRIELIHTPVREDGLQGSPRSVISDTILPGEPKGVNLILPECFEDNEISPIKTYFGGKEGTGKYKWFRSKERPDNLEFDLVAASSEVVGETLKYKLSLDDVGSYLVLYWVPTRCDGKTGDPVMAITDDPVMAAFPSVLDVHLEQKSSDVYCGLGIYYGGYEGSSLYRWYRESSDGTRTRIDGANSVTYEVTDADYSCHLLFGYTPVRSDGITGEEKLSEPSDVILPGKSLNLLDFALCHPHHA >KQL27040 pep chromosome:Setaria_italica_v2.0:II:47553654:47558486:-1 gene:SETIT_030961mg transcript:KQL27040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSFRDSLKVLEADIQHANSLASEFRREYDGACLQMRMAYCPAAHFFLFLVQWTDCSLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRKRDEDPKMVISEIDDNIEEECGICMETNTKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWIYTDDRDIVDMATVRRENLRRLFMYIDKLPTVIPESVLDIYDSHVK >KQL27042 pep chromosome:Setaria_italica_v2.0:II:47554794:47556972:-1 gene:SETIT_030961mg transcript:KQL27042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGQPPCLLMKEKQASGNFMVSLVLAAVIFPSLMQLHEGINEVEDKKQKAICIERYRKRDEDPKMVISEIDDNIEEECGICMETNTKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWIYTDDRDIVDMATVRRENLRRLFMYIDKLPTVIPESVLDIYDSHVK >KQL27041 pep chromosome:Setaria_italica_v2.0:II:47554439:47558486:-1 gene:SETIT_030961mg transcript:KQL27041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSFRDSLKVLEADIQHANSLASEFRREYDGACLQMRMAYCPAAHFFLFLVQWTDCSLAGALGLLRILIYKVYADGTTTMSTHERKASIREFYAVIFPSLMQLHEGINEVEDKKQKAICIERYRKRDEDPKMVISEIDDNIEEECGICMETNTKVVLPTCSHAMCIKCYRDWRSRSQSCPFCRDSLKRVNSADLWIYTDDRDIVDMATVRRENLRRLFMYIDKLPTVIPESVLDIYDSHVK >KQL26669 pep chromosome:Setaria_italica_v2.0:II:45658977:45662455:-1 gene:SETIT_030514mg transcript:KQL26669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILSDLYSPVRRTVTAGDLWAESGSRRSGKNQKRSSWEFDEADDDDFEADFEDFEDCSSVEEVDFGHEEKDFQINSSIFMKFNDHTAKVARRKRKTQYRGIRRRPWGKWAAEIRDPCKGVRVWLGTYNTAEEAARAYDVAARRIRGKKAKVNFPDTITASAKRLPGRVPRPAKKVMSQESLKFSSASEHAISAGSSTDATVVKIELSESDSPLPMSSAWLDAFELNQLDGSKYLEAGGKETTEETDHENGVTADMVFGNGEVWLADEFAYYEPYPNFMQLPYLEGNSYENIDALFNGEVAQDGVNIGGLWSFDDVPMDSGVY >KQL26670 pep chromosome:Setaria_italica_v2.0:II:45658994:45662455:-1 gene:SETIT_030514mg transcript:KQL26670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILSDLYSPVRRTVTAGDLWAESGSRRSGKNQKRSSWEFDEADDDDFEADFEDFEDCSSVEEVDFGHEEKDFQINSSIFMKFNDHTAKVARRKRKTQYRGIRRRPWGKWAAEIRDPCKGVRVWLGTYNTAEEAARAYDVAARRIRGKKAKVNFPDTITASAKRLPGRVPRPAKKVMSQESLKFSSASEHAISAGSSTDATVVKIELSESDSPLPMSSAWLDAFELNQLDGSKYLEAGGKETTEETDHENGVTADMVFGNGEVWLADEFAYYEPYPNFMQLPYLEGNSYENIDALFNGEVAQDGVNIGGLWSFDDVPMDSGVY >KQL26684 pep chromosome:Setaria_italica_v2.0:II:45755847:45759016:1 gene:SETIT_030401mg transcript:KQL26684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLTAVTLSLPVSQVPQSIGSPRHLRVRSAKRGEQEQLGTRSAAASGAEPMETPPPFQESANCDVCRCTFSTFRRRHHCRSCGKTLCHEHSSYHMALPQYGIYTDVRVCYNCFNKKSSSQGRAGNAGSAGSISGAADSFSGLSLGKEDASSPMKNLTVQSMAPVIECKCGMPLCICEAPKPEPAPVKNISTVPSSTTQSNPKPKKPASSQQKASATSSSNSSPFLNIGLMSNDSNDKGLSDYEVTGEGLREAIKSGDVKGVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDHGANVQSKNGQGETPLDCAPAMLQYKMRQRMEELAASQRPT >KQL26685 pep chromosome:Setaria_italica_v2.0:II:45755847:45759016:1 gene:SETIT_030401mg transcript:KQL26685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLTAVTLSLPVSQVPQSIGSPRHLRVRSAKRGEQEQLGTRSAAASGAEPMETPPPFQESANCDVCRCTFSTFRRRHHCRSCGKTLCHEHSSYHMALPQYGIYTDVRVCYNCFNKKSSSQGRAGNAGSAGSISGAADSFSGLSLGKEDASSPMKNLTVQSMAPVIECKCGMPLCICEAPKPEPAPVKQNISTVPSSTTQSNPKPKKPASSQQKASATSSSNSSPFLNIGLMSNDSNDKGLSDYEVTGEGLREAIKSGDVKGVKKLLSQGVDSNYCDKQGFTLLHLAALFNQTEIALILMDHGANVQSKNGQGETPLDCAPAMLQYKMRQRMEELAASQRPT >KQL23674 pep chromosome:Setaria_italica_v2.0:II:16118464:16122594:-1 gene:SETIT_032139mg transcript:KQL23674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWSSSPSLRHLLLLRPRLPLSPTCLAGSFSRRHIHSHSRRRFLSSASSSMLTHGDEASTHVDANGVVDVNPPRGTRDFPPEDMRLRTWLFDQFREVSRLMAFEEVDFPVLESEALFIRKAGEEITQQLYNFEDKGGRRVVLRPEITPSLARLVIKQGKSVSLPLKWFTIGQCWRYERMTRGRRREHYQWNMDIFGVPKVRAEAELLQAIVLLFQRLGITSSDVGIRVSSRKVLLAVLNMYSIPEHLFTQVCVIVDKFGKLTREEIEKELMSTGLSSEAVQGIIEVLSLKSLSKLEEVLGSGVEAVADLKKLFSFAEQYGYADWICFDASVVRGLAYYTGIVFEAFDREGKLRAICGGGRYDKLLSTFGSEDIPACGFGFGDAVIVELLKEKGLLPDMSRQIDDIVFPLDEVLEGPASSIASSLRKKGRAVDLVEDKRLKWVFKHAERINASRLILVGNSEWERGMVRVKILSTREEYEVKADELD >KQL25326 pep chromosome:Setaria_italica_v2.0:II:37387399:37389552:-1 gene:SETIT_031638mg transcript:KQL25326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSARRRHGIPRRSAAATTSPRGKMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPGLSVQEI >KQL25353 pep chromosome:Setaria_italica_v2.0:II:37531042:37535428:-1 gene:SETIT_029588mg transcript:KQL25353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDRPVRLVLDASLLLEPSATGDAAAVALRPGAEALLRRLRYSNLVVAICYAEGMSTNESGFLEMVTSSHSFGYIPLLAKSGDCSPNELMLEWGRASSCFYVTSRVDRGLISELQNHHWRVVSVGDECSIEVPGVLNVGRLQELLLTLATLIKREIGASSVLVIGYVMKQSREEDFARRGAFPIYPSKDGLIFVPLSFELPLSSQLQEVDMVLHKITDEIVKIDPNCSIDFPKGISFSAGMSEIIRFVEEHPDFCIIDPFRNIYPLLDRLQIQNILVQLQELGTEGKPKLRAPYSLKVDNFHDGELDKHLAEANSSFPFIVKPQVACGVADAHNMALVFQIEEFSNLSVPLPAVLQEYVDHGSKIFKFYVIGDKVFHAVRNSMPNASFLKPSSGGEPLTFNSLKTLPVATKEQQLQTRVQDSKSVDTDLVEEAAKFLKESLGLTIFGFDVVVQEGTGDHVIVDLNYLPSFKEVPDSEAVPAFWDAIRQTYKSKRGKAQT >KQL22511 pep chromosome:Setaria_italica_v2.0:II:3226753:3230090:-1 gene:SETIT_029343mg transcript:KQL22511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSAPSERHSFFNSVSLAVLWRPAAAAHAEPSPTPEPTPSTSSSTSSRAPDPVTIPDSEHSPHSSAAPNPNGKPKPKPKVKRVQSAGLLVGSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKQFACKSIAKRKLVTDEDVEDVRREIQIMHHLSGHPNVVSIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNQKEDAPLKAIDFGLSIFFKPDEIFSDVVGSPYYVAPEVLMKDYGCKVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGELDFSADPWPSISESAKDLVRKMLNRNPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKSGLKRVGANLKDSEITTLMEAADIDNSGSIDYGEFLAATLHLNKVEREDNLFAAFSYFDKDGSGYITYDELQTACEEFGIGDAHLEDIIRDIDQDNDGRIDYNEFVTMMQKGNNPLGKKGRAHMSFGLREALKLG >KQL22510 pep chromosome:Setaria_italica_v2.0:II:3226075:3230166:-1 gene:SETIT_029343mg transcript:KQL22510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSAPSERHSFFNSVSLAVLWRPAAAAHAEPSPTPEPTPSTSSSTSSRAPDPVTIPDSEHSPHSSAAPNPNGKPKPKPKVKRVQSAGLLVGSVLKRDSERLKDLYTLGKKLGQGQFGTTYQCVEKATGKQFACKSIAKRKLVTDEDVEDVRREIQIMHHLSGHPNVVSIVGAYEDAVAVHLVMELCAGGELFDRIIQRGHYSEKAAAQLARVIIGVVEACHSLGVMHRDLKPENFLFVNQKEDAPLKAIDFGLSIFFKPDEIFSDVVGSPYYVAPEVLMKDYGCKVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGELDFSADPWPSISESAKDLVRKMLNRNPRKRLTAHEALCHPWVCVDGVAPDKPLDSAVLTRLKQFSAMNKLKKMALRVIAENLSEDEIAGLREMFKMLDTDNSGQITLEELKSGLKRVGANLKDSEITTLMEAARSVRSVKCHSSLCANYSR >KQL24125 pep chromosome:Setaria_italica_v2.0:II:26535481:26536263:1 gene:SETIT_032520mg transcript:KQL24125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEIRRQTKITWNWKRRRNRLMARATSVHDLTNDLLELVFLSLDSRVCLACTAATCKHWYHVMAGDDGAFLIRFRSLHPPRAIGTYYSINLDTPNAYGRSHLWPEVDPVFVPSPASTFDGSSELQLSLNFVSPSNNGPRELVDGRGSLLLLLLLLLIEKECPHLCSCMCCYNHGDYMTPGLVAILPPDDGVICILNAFLLNSGAAVSMLTNFCVLLVLYEYHENGSMIEHGYLSANVFTSGSNGGGRWHQ >KQL22614 pep chromosome:Setaria_italica_v2.0:II:3866825:3869132:1 gene:SETIT_0286861mg transcript:KQL22614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CHESPRSLNGNSACLTSDQPPAAEENDVKRTLERTSPVSVGDDELHTLPGVNCGDNMQLKAEADNTQEEKCREINGKLITENTVAEQKCEDKPNSCHSNSREVGTMDPCSCLSNEVGKIENRPSSELFVGAAPIAGKTESNLTSDSPSIHCANQEHEKSGAAPVDTNTPLVIMDEKPDSHELKTVVADGSIQSSMEAKSLEDRTNIVNGTSKDSYIDKDTSEDHRASAVDSGVSVKGSIKQTEIIKDKVGSLLPGLKHPSCKDILEKLTESKSFTSDMVETGGVAMKVGMTVESCNEAGKSAPMLDISNAVCKVVVKPTQTCGEGQLCGEDAICSNRESDLASREPVNA >KQL23809 pep chromosome:Setaria_italica_v2.0:II:20359017:20362870:1 gene:SETIT_029319mg transcript:KQL23809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGTKPDTFYTEEAVRSVVSDVPADLIIHVNNTKYELHKFPLLLKCGLLQRLCSDTGDEEEPVPVALHDIPGGEDAFELCAKFCYGISISISAANLVPSMLAARFLRMTEAVAKGNLAAKLESFFDSCVLHGWKDSVAALQAAWRVSGWSESRVVQPCVDSIVEKILTPPSKVTWSFTYTRPGYTSRKSSVPKDWWTEDVSELDIEVFRSVLSTVRASRLLPPPLIGEALHVYACKHLVDPLRAVGGAGFANGGVALHHQAAQSSAAEETLATQRRVLESVVTMIPGEPGSVTGRFLLRLLRVANYVGASSSTRAQLVRQAGSQLHEATAADLLIPLPSDPQAYDVGAAEAVLEHFLAQFQRPAPPDERRRMGAAMDKVARTFDEYLRTIALDRDFPVGRFADLVECLPDIARSDHDGLYHAIDVYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTMGTAAGASHGGAAVAPADRASVDATSRLATRTREDEPASSADHKSDVHRPRRDHARVVDGAAAATMTRSLSASTKTPPPPARKERTPEERGSRMRNKQ >KQL23808 pep chromosome:Setaria_italica_v2.0:II:20359017:20362870:1 gene:SETIT_029319mg transcript:KQL23808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARFLRMTEAVAKGNLAAKLESFFDSCVLHGWKDSVAALQAAWRVSGWSESRVVQPCVDSIVEKILTPPSKVTWSFTYTRPGYTSRKSSVPKDWWTEDVSELDIEVFRSVLSTVRASRLLPPPLIGEALHVYACKHLVDPLRAVGGAGFANGGVALHHQAAQSSAAEETLATQRRVLESVVTMIPGEPGSVTGRFLLRLLRVANYVGASSSTRAQLVRQAGSQLHEATAADLLIPLPSDPQAYDVGAAEAVLEHFLAQFQRPAPPDERRRMGAAMDKVARTFDEYLRTIALDRDFPVGRFADLVECLPDIARSDHDGLYHAIDVYLKEHPELSKADKKRLCRLIDCRKLSPDVRAQAISNDRMPLRTIVQLLFVEQERTMGTAAGASHGGAAVAPADRASVDATSRLATRTREDEPASSADHKSDVHRPRRDHARVVDGAAAATMTRSLSASTKTPPPPARKERTPEERGSRMRNKQ >KQL25502 pep chromosome:Setaria_italica_v2.0:II:38670675:38675050:1 gene:SETIT_030048mg transcript:KQL25502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSSSPASPPPTAGPSAAEMEEYQNWKKNAAVLYDLVISHPLEWPSLTVQWLPSESSTRSHRLVVGTHTSDEAPNNLMVLDAVLPLPPRLAAAVAASGGALPAPSVSVSRVAPHRGEVNRARYMPQRPFTVATKTCMDEVHVYHLGDGDGSGKSGADAVLRGHDAEGYGLAWSPMKEGWLLSGSYDKKICLWDLASGNGAPVLDAQQVFEAHEDLVEDVAWHLKDENIFGSVGDDCKLMMWDLRTNKPEQYIAAHEKEVNSLSFNPFNEWILATASGDATVKLFDMRKLSRSLHTFDSHEGEVFQVEWNPNLATVLASSAADKRVMIWDVNRIGDEQSEEDADDGPPELLFVHGGHTAKISELSWNPSEKWVVASVAEDNVLQIWEMAESIYCDDYSLQDN >KQL26869 pep chromosome:Setaria_italica_v2.0:II:46677137:46680107:-1 gene:SETIT_033189mg transcript:KQL26869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKADEISTALSNVQRELDIKTDAMENMLRKQKALEEELVENGAVLTVLRSEENLQAEILTLRDKLEMAMALSEENEAAAIEARQTAEISKIYAEEKEEEVKILERSVEELEGTVTVLEEEVCNLKEEVRSYQLHKQSEDQLQAVGDMLSVEKASKCDAAGELCQGKCHLEKRLQAEILAHQDVRKRIEHLTLEVKHKDDEIRQYKEHIAELVLHSEAQSLLYQEKICLLTSRLAAVDTMTHDIIRELLGVKLDMTNYANLLDQEELQKLLIASQQQIEQSKAKLEKDSLQQKIMEMDETMELLVGSNQPDTNLRMGDHGSSEFSRRLAQSDMLLSHARQEHSRSHATRSSRAHHGRYR >KQL23566 pep chromosome:Setaria_italica_v2.0:II:15100061:15103795:-1 gene:SETIT_029195mg transcript:KQL23566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDDAAVLSDVDEDPLPPPSSASASAHKTLPQAQPQPDAQAQQRLLDLAAELEEERRLRRAAEGSLAEAENRFARLKAFAQDVLRKRDDLTTEAAASARSLAALQAESTASARSLAALQAESAASARSLAALQAEASTASSMLSSGFERISAKASPSSAPAPLPTSQKYSSGLPALAYGVLKRANDIVDDLLAQIDAANRDRDRAREQMEHRNYQIAIEVSELEASVASRSADCESLSKSLSQREAEISELRDKIASLEVKLDAQRPVLAEQIGCASKLYDEMREVVKLVDADAASTLSDSVFVWKETDVEESLKVSLEGTKLAYELAAMALEKVGACIDDKESKLRGLEDRVDELIKEKEHIGVLLRSALQATTSEVLKVAEDGLREAGIEIGLDERKEHRPGSVEKDEVYTLAGALENTMKESQVKIIELQHLIEALRAESGLLRTRLEGQEKEIVQLRKQIKHLEEKERVANESVEGLMMDVTAAEEEIKRWKMAAEEEAEAGRSIEQEFQIQISSLRKELDEAKQAMVELENKLKFKEETAAAAMAARDAAEKSLKLADLRSSRLRERLEELNRQLEESDNRTDSVNRNGHRYMCWPWQWLGLNYVRLPPAETEQTSNEMELSEPLII >KQL25875 pep chromosome:Setaria_italica_v2.0:II:41066312:41074583:1 gene:SETIT_0287121mg transcript:KQL25875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKSENVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLSWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDASLEDLEKPGVDDEPQSVVLKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYPGDSSHPTWQSVGHVIKLTAQEEVALELRASQGVPTELSVGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVEHQIIRNTLPRRFGAPGLPELNASQVLAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISSTGLKVVRLCAKSREAVSSPVEHLTLHYQVKHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKSLKRATEREILQSADVICCTCVGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGVKQVVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVILGVKPFRLQVQYRMHPCLSEFPSNCFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQLLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNERRLFLGGGQGVMQGANFGAGASPAGDKRSGRGKGQSFVPYGPPNGVHKPGVHPAGYAVPRMPFPPFPGAPHSQPYAIPTRGLHGPIGAVPPVPQPGSRNFGAPRSNTGGPIGGHLAHQQNSQQAMGGMGSNFNYAGLENPSSQPSGGAQMSQTGLMTQMPVQGLSQTFRDGFSIGGMSQDFFGDDFKSQGSHVPYNIADFSTQASQGGGYGVEFTQAPQSGYTGNYMNQNAHPGYSHIGTTNDIVSQDHMAHGSHGMFTQAGYNDPSQDESSQMHYGMASAGPLQSQSMMNPLYSQSYAHYNTQPQSLQPPPQ >KQL27179 pep chromosome:Setaria_italica_v2.0:II:48300320:48301099:1 gene:SETIT_032938mg transcript:KQL27179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWFYKLRHKRGGAAIAGGEPDDVAKPVAPAPSPCSPNRASYYVPSRERVLPPPRPAREDNPRLRDTHFPRSPQPSDIVFDVVARRDDHRFKPMPELKLRPILTRHGAASADSTSAAASPTARVRPRFHVRPPPSHRRKAAALPTAEVEEKEEACARRSRRRRRSASRLRPWMYESLVVVKDSADPEEDFLESMAEMIAANGVRSPRGLEELLACYLALNAPDHHCAIVAAFRRAWVHLHSVPPPPARGRCMHESRLI >KQL22878 pep chromosome:Setaria_italica_v2.0:II:6029825:6031717:-1 gene:SETIT_031470mg transcript:KQL22878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISPRKLVSLLQNLQTWTCPARHGRKLDDLVTSTIITVVATSTIDIGAAASRAFQEPRVVVQTTSEVDILNDGYRWRKYGQKVIKGNPNPRSYYKCTHPGCSVRKHDLKSVITTYEEKHNHEVPAARIHSPPLFT >KQL22879 pep chromosome:Setaria_italica_v2.0:II:6029825:6031654:-1 gene:SETIT_031470mg transcript:KQL22879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISPRKLVSLLQNLQTWTCPARHGRKLDDLVTSTIITVVATSTIDIGAAASRAFQEPRVVVQTTSEVDILNDGYRWRKYGQKVIKGNPNPRSYYKCTHPGCSVRKHDLKSVITTYEEKHNHEVPAARIHSPPLFT >KQL25453 pep chromosome:Setaria_italica_v2.0:II:38286707:38296842:1 gene:SETIT_028816mg transcript:KQL25453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGADGAAGSADADVGAGHGVDRAGVGPEAAGAGKEEEGRRRKEKKEEGATAGSAERAAAGGFWLVDCWWRTGGSTPIHGTGPIQMAGNNSDPIQEALGAGVKHEAEEEEGTTSSAAQATATTMLPRSSSRPQLDLSGAAIHGTLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAELSTEDKRKISTKRRLGMFNGGRRSYPILGGRLHFVKFETEKLNECLDFISSKQLHRGGVDSPSWRSGAQPDDIVIKATGGGAYKYADLFKERLGVSLEKEDEMDCLVAGANFLLKAIRHEAFTHMDGHKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISENKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYVGGKIHGPPLGDLNEKISWMEKFVQKGTQIIAPVPMGVPATTGMGGFERPTSKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKTYEPNTIDLDQDEFKYWFKILSDHLPDLVDKAVASEGGTDDAKKRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYISIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACVELYHKGTIIEIYRMSRKKMQRPWRIDDFDMFKKRMLTDRKNQPYKRALLFVDNSGADVVLGMLPLARELLRHGTEVVLVANSLPALNDVTANELPEIIAEASKHCGILRKAAEAGGLLVDAMAGIQDDLKDAPESVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLLILEGMGRALHTNLNARFKCDALKLAMVKNQRLAEKLFNGNIYDSICKFEPVC >KQL25452 pep chromosome:Setaria_italica_v2.0:II:38286707:38295432:1 gene:SETIT_028816mg transcript:KQL25452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGADGAAGSADADVGAGHGVDRAGVGPEAAGAGKEEEGRRRKEKKEEGATAGSAERAAAGGFWLVDCWWRTGGSTPIHGTGPIQMAGNNSDPIQEALGAGVKHEAEEEEGTTSSAAQATATTMLPRSSSRPQLDLSGAAIHGTLEDRNPTILLPNQSDDISHLALDIGGSLIKLVYFSRHAELSTEDKRKISTKRRLGMFNGGRRSYPILGGRLHFVKFETEKLNECLDFISSKQLHRGGVDSPSWRSGAQPDDIVIKATGGGAYKYADLFKERLGVSLEKEDEMDCLVAGANFLLKAIRHEAFTHMDGHKEYVQIDQNDLFPFLLVNVGSGVSIIKVDGHGKFQRVSGTNVGGGTYWGLGRLMTKCKSFDELLELSQRGDNSTIDMLVGDIYGGLDYSKIGLSASTIASSFGKTISENKELSDYRPEDISLSLLRMISYNIGQISYLNALRYGLKRIFFGGFFIRGHAYTMDTISFAVNFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLRIHHLVERFPMGAPYVGGKIHGPPLGDLNEKISWMEKFVQKGTQIIAPVPMGVPATTGMGGFERPTSKGDILRSDASAALNVGVLHLVPTLDVFPLLEDPKTYEPNTIDLDQDEFKYWFKILSDHLPDLVDKAVASEGGTDDAKKRGDAFAHAFSAHLARLMEEPAAYGKFGLANLLELREECLREFQFVDAYISIKQRENEASLAVLPDLLMELDSMNEEARLLALIEGVLAANIFDWGSRACVELYHKGTIIEIYRMSRKKMQRPWRIDDFDMFKKRMLTDRKNQPYKRALLFVDNSGADVVLGMLPLARELLRHGTEVVLVANSLPALNDVTANELPEIIAEASKHCGILRKAAEAGGLLVDAMAGIQDDLKDAPESVPLMVVENGCGSPCIDFRQVSSELAAAAKDADLVRSL >KQL25800 pep chromosome:Setaria_italica_v2.0:II:40616569:40623359:-1 gene:SETIT_029375mg transcript:KQL25800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNCLYVAAASTAASAAALQWWASSLLDDEAGAGGGGDWLGAVLRSRVTVALLANLAAHAFLVILLALKTLFFVQLTSLETRKVLEHIINYVIYKGTFLPLIVPPSSQQIILWSTWLVILCSLKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSADLLWMKFCVGFCSSCNSKLFWLLFFEPLSIAFDTLQSIMVHGFQLFDIWQRHLMESGADFLDFQKSYKQAAGSFSEWRGKLTRDFGFAIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIVSFLKRIKTYIKLRKALSSLDGELPDATYDEICAYDDECAICRGPMARAKKLSCNHLFHLACLRSWLDQGLMEGYSCPTCRRPLSVSSEGPTRPTSAEVANVQRIAEQLTMGLNHRVPGNENPVEQQNPSDAVWRGAGLDASWVPPWSSPMMDNPSSSSAVRVLSCSIHFLGT >KQL25798 pep chromosome:Setaria_italica_v2.0:II:40616569:40622060:-1 gene:SETIT_029375mg transcript:KQL25798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFSMQTLFFVQLTSLETRKVLEHIINYVIYKGTFLPLIVPPSSQQIILWSTWLVILCSLKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSADLLWMKFCVGFCSSCNSKLFWLLFFEPLSIAFDTLQSIMVHGFQLFDIWQRHLMESGADFLDFQKSYKQAAGSFSEWRGKLTRDFGFAIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIVSFLKRIKTYIKLRKALSSLDGELPDATYDEICAYDDECAICRGPMARAKKLSCNHLFHLACLRSWLDQGLMEGYSCPTCRRPLSVSSEGPTRPTSAEVANVQRIAEQLTMGLNHRVPGNENPVEQQNPSDAVWRGAGLDASWVPPWSSPMMDNPSSSSAVRSVGLTGVQMMMRQLASVTDNYGHADGTWNLWPEPMAGSSLVPSTSSVPDSAAAAGLRLRGTAGTTRNGSLSEVLTMVDRVREVLPHIPDELIIEDLMRTNNINATVNNLLLMQ >KQL25799 pep chromosome:Setaria_italica_v2.0:II:40616939:40623119:-1 gene:SETIT_029375mg transcript:KQL25799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNCLYVAAASTAASAAALQWWASSLLDDEAGAGGGGDWLGAVLRSRVTVALLANLAAHAFLVILLALKTLFFVQLTSLETRKVLEHIINYVIYKGTFLPLIVPPSSQQIILWSTWLVILCSLKMFQSLARERLEQLNASPSATPSKYFRVYSALLLVLSADLLWMKFCVGFCSSCNSKLFWLLFFEPLSIAFDTLQSIMVHGFQLFDIWQRHLMESGADFLDFQKSYKQAAGSFSEWRGKLTRDFGFAIDLISLLMSLGHYSMIFWLRGMAFHLVDAVLLLNLRALIVSFLKRIKTYIKLRKALSSLDGELPDATYDEICAYDDECAICRGPMARAKKLSCNHLFHLACLRSWLDQGLMEGYSCPTCRRPLSVSSEGPTRPTSAEVANVQRIAEQLTMGLNHRVPGNENPVEQQNPSDAVWRGAGLDASWVPPWSSPMMDNPSSSSAVRSVGLTGVQMMMRQLASVTDNYGHADGTWNLWPEPMAGSSLVPSTSSVPDSAAAAGLRLRGTAGTTRNGSLSEVLTMVDRVREVLPHIPDELIIEDLMRTNNINATVNNLLLMQ >KQL23253 pep chromosome:Setaria_italica_v2.0:II:10235009:10235842:-1 gene:SETIT_032658mg transcript:KQL23253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQSSTPSFFNFLKEGLLLPTHNRRLFAAVFAIIVASSSLLLLGNDLAVQPISDEIRIDAMALNGTDPSSPEFLHLIQEIQEDTRKLLITGAVYLLVAVVIGSLIRILLQFAAVATYSGELHTFASLLGKAKAQLKGPLLTLAFVYALEIAYTAFLTVMAGILLTFVLVIKQYLALVFVGALLAIVAVVFLVYFFFVCSLSIIVAVAEPDCHGAGAVGRAWRLMKGKLLRAVVFILVTVVLAAAIWPVYNLAKTCALSNMASGLLLGFLYTILMAA >KQL26001 pep chromosome:Setaria_italica_v2.0:II:41771786:41774906:1 gene:SETIT_030500mg transcript:KQL26001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPFLLEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWQKYGPICIEGEPLGVIQPVPYQTANGTIRMLLRSFETIGRVCMADSADEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFCRGTLKIAISLNDGDTWDEVMTLEDTKGMEFSYPAVIQTMDDLIHVTYTYNRTQIKRVVLQPSAMMKL >KQL26002 pep chromosome:Setaria_italica_v2.0:II:41771786:41774906:1 gene:SETIT_030500mg transcript:KQL26002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNVMSGWSIVKEELLFPAGGALFKSCHASTIVQIEEDNFLVAYFGGSSEGAPDVKIWLQRYSDGCWHPPVVADEQDGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWSQREQLPPGILGPIKNKPFLLEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWQKYGPICIEGEPLGVIQPVPYQTANGTIRMLLRSFETIGRVCMADSADEGVTWSYVHETELPNPNSGIDGVKMKDGRVLLAYNTFCRGTLKIAISLNDGDTWDEVMTLEDTKGMEFSYPAVIQTMDDLIHVTYTYNRTQIKRVVLQPSAMMKL >KQL22880 pep chromosome:Setaria_italica_v2.0:II:6034222:6037954:-1 gene:SETIT_031086mg transcript:KQL22880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSRSRGDYDHLIKLLLIGDSGVGKSCLLLRFSDDTFTTSFITTIGIDFKVRTVELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKVDMDAKRVVSTAQGQKLADEYGIKFFETSAKTNQNVEQVFLTIARDIKQRLTETVAAASEPPTIQISRPDPDQPNPASRWSSCCNT >KQL27329 pep chromosome:Setaria_italica_v2.0:II:49166926:49175649:1 gene:SETIT_028858mg transcript:KQL27329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTNPFGQSSTSPFGQNSFGTQQGFGQATPAANNPFAPKPFGSPTTAFGAQTGGSPFGTASTGAFGQQQSTPTFGTTSTGAFGQQQSTPTFGTPSSSPFGSTPAFGASPAPAFGATSSTFGSGSLFGQKQSFGGFGSSPSQSSPFGSTFQQTQPTFGSSTFGASTPPAFGTTTTTAFGASAPAFGTSTPAFGTATTPAFGSTSTSLFGASSTPAFGSSTPGFGTSGSTAFGVGGTAPGFGSSSTPSFGTSTNAFSFGSSPSFAQTAVSSGSSPFGTTSPFGVQTPAFGSQTAAPAFGQAQFGNQAGGTRIKPYAQTPDVDGATSATQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNPSGTPAAAPIFPSLSTQQNAFAPTNNVFNQSTPNTIPTNNPFAPKPVSTSASPFNSFNSTVASSSPFTSSTSTTMFGQTGVSPFQASSSPSLFANTTPFASSSLFGTSTTNNPNPFGTVSSLANTQSAPLFQSAPAFAQQPSSTPAFSSGNLFSTPPGSLFGSGPSLFSTPTFQTSAPVQTPNTFSFQPPTQPASTGGFPGFSNTANQALIGQQSPSQSNMVMQPASVSNPFGTLPAMPQMSIGNGGSSPSVQYGISSLPVAEKPLPTRTLSMAVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIEQWPSRSAINRQSVPKDPTDLDKYEDTSTESGRDKTVKSPSGSPLVENGQQHEPRHHGNGKGTSVERLLPKLPQEDYFTEPSLEELAAKERGEPGYCSRVKDFVVGRHGYGSIKFLGETDVMGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAEVTLLNIKCMNKKTGEQYREGPRVERYRDMLMMKAEEQGAEFVSFDAAKGEWKFRVKHFSAYGLW >KQL22491 pep chromosome:Setaria_italica_v2.0:II:3109107:3112231:1 gene:SETIT_031371mg transcript:KQL22491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQSLRLVLAAVAVAACLLLLPAASAATSVEYCNKGKKYPVKVSGVEIVPDPVQPGQPATFKISASTDKTIKTGKLQIDVKYFFFSVHSETRDICGETTCPATGNFVLSHGQTLPSFTPPGSYTITMKMLGDNNEELSCISFGFSIGWVASS >KQL26566 pep chromosome:Setaria_italica_v2.0:II:45110196:45113630:1 gene:SETIT_028776mg transcript:KQL26566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQTLLPTLLLLLLLLLGDATSVSGSGEREALMEFKAAMTADPGGLLRDWTPESADHCRWPGVSCGAGGEVVALNVSSAPGRALAGALSPAVAALRGLRVLALPSHALSGPLPPAIWSLRRLRVLDLSGNRLQGGIPAALACVGLQTLDLAYNQLNGSVPAALGALPGLRRLSLASNRFGGAIPDELGGAGCRSLQFLDLSGNLLVGGIPRSLGNCSQLEALLLSSNLLDDIIPPEIGRLKNLRALDVSRNSLSGPVPAELGGCVQLSVLVLSNPYAPLGGSNSSDYGEVDDFNYFQGGIPEAVVALPKLRVLWAPRATLEGELPGNWSSCQSLEMMNLGENLLSGGIPKDLVECENLRFFNLSSNKLTGSVDPSLPVPCMDVFDVSGNQLSGAIPGFISKNCLSSQSPLDDLVSEYSSFFTYQALAGFVSSSLPLGVHLTSYHSFARNNFTGSVTSLPLAAEKLGMQGSYAFLADGNRLGGQLQPSIFDKCNNSRGFVVEVSDNLIAGAIPAEIGSLCSSLVVLGIAGNQLSGAIPSSIGELSYLVSLDLSRNGLGGEIPTSVKKLPHLERLSLAHNLLNGTIPADINQLHALRVLDLSSNLLTGVIPDALADLRNLTALLLDNNKLTGKIPSGFANSASLAMFNVSFNNLSGPVPTNGNTVRCDSVIGNPLLQSCHVYTLAVPSAAQQGRGLNSNGSNDTTPTDAQNEGGNNAFNAIEIASITSATAIVSVLLALIVLFIYTRKCAPRMSARSSGRKEVTIFQDIGVPITYETVVRATGSFNASNCIGSGGFGATYKAEIAPGVLVAIKRLSVGRFQGAQQFHAEIKTLGRLRHQNLVTLVGYHLGESEMFLIYNYLSGGNLERFIQERSKRPVDWKMLHKIALDVAKALAYLHDTCVPRILHRDVKPSNILLDTNNNAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLMELISDKKALDPSFSPYGNGFNIVAWACMLLRQGRAREFFIDGLWDVGPHDDLVETLHLAVMCTVDSLSIRPTMKQVVQRLKQLQPPIREHR >KQL23369 pep chromosome:Setaria_italica_v2.0:II:11519425:11520501:1 gene:SETIT_031294mg transcript:KQL23369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGRNMVAPLMVLNLIMYLVVIGFASWNLNHFINGQTNYPGVAGNGATFYFLVFAILAGVVGAASKLAGVHHVRAWSHDSLATNAASSLIAWAITALAFGLACKEIHIGGHRGWRLRVLEAFVIILAFTQLLYVLMLHTGLFGGSGGYRDHDYGAGAATAGEPKNAPRV >KQL26317 pep chromosome:Setaria_italica_v2.0:II:43700417:43709278:-1 gene:SETIT_028813mg transcript:KQL26317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICCFLEILDNYFELIFRILIDLGNWDTLEVKAKEKGLDTRHELIKFYDAHYSANLMQLVVYGKDSLDNLQNLVENKFCDIRDVGRKPFSFPGHPCTSEHLQILVKAVPIKQGHTLRILWPITPNVRRYKEGPCKYISHLIGHEGEGSLFYILKKLGWAISLEAGEGDWSYDFSFFSVVIQLTDEGQEHMEDTVGLLFRYITLLQTSGTPKWIFDELQAICETGFHYRDKSPPINYVVNISSNMQIFPPEDWLIASSVPSKFSPDAIQNILNELTPENVRIFWESKKFEGQTNLTEPWYGTSYSVEAIPSSIIQRWIEKAPEEDLHLPKHNIFIPSDLSLKSVEEKISFPSMLRKTPFSRLWFKPDTMFFTPKAYIKMDFHCPLSQSLPESAVLTDVFTRLLMDYLNDYAYDAQVAGLYYVVKPNDTGFQVTMVGYNDKMKTLLETVIGKIAQFEVKVDRFSVIKEAMTKEYENFKFRQPYQQVLYYCSLILDDQAWPWDEEFSALSHLEASDLEKFLPHLLAKTFIECYFAGNIEPNEAKGVIQHVEDVLFNAPISVCKPLSPSQHLAKRIVKLEKGLRYYYPAMCSNNQDENSALLHYIQTHQDNVKQNVLLQLLALVGKQPAFHQLRSVEQLGYIALLRQRNDSGVRGLQFIIQSTAKDPANLDARVENFLKMFEDILYQMSDAEFKSNVSALIDMKLEKYKNLREESAFFWGEIAEGTLKFDRKEAEVAALRELKKEELIDFFNDHVKVNAPQKKVLSIQVYGGLHTAEYQTIVQNAPPPQSCEITDIYSFKRSRPLYGSFRGGVGQMKL >KQL26318 pep chromosome:Setaria_italica_v2.0:II:43700417:43711865:-1 gene:SETIT_028813mg transcript:KQL26318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRPRETDAPGDPANGAAPPPPTGDVEITKPRNDKRGYRRVVLPNALECLLISDPETDKAAASMNVSVGYFCDPDGLEGLAHFLEHMLFYASEKYPVEDSYSKYIAEHGGSTNAFTSSEHTNFYFDVNSDSLHDALDRFAQFFIKPLMSPDATLREIKAVDSENQKNLLSDPWRMSQLQKHLCADNHPYHKFSTGNWDTLEVKAKEKGLDTRHELIKFYDAHYSANLMQLVVYGKDSLDNLQNLVENKFCDIRDVGRKPFSFPGHPCTSEHLQILVKAVPIKQGHTLRILWPITPNVRRYKEGPCKYISHLIGHEGEGSLFYILKKLGWAISLEAGEGDWSYDFSFFSVVIQLTDEGQEHMEDTVGLLFRYITLLQTSGTPKWIFDELQAICETGFHYRDKSPPINYVVNISSNMQIFPPEDWLIASSVPSKFSPDAIQNILNELTPENVRIFWESKKFEGQTNLTEPWYGTSYSVEAIPSSIIQRWIEKAPEEDLHLPKHNIFIPSDLSLKSVEEKISFPSMLRKTPFSRLWFKPDTMFFTPKAYIKMDFHCPLSQSLPESAVLTDVFTRLLMDYLNDYAYDAQVAGLYYVVKPNDTGFQVTMVGYNDKMKTLLETVIGKIAQFEVKVDRFSVIKEAMTKEYENFKFRQPYQQVLYYCSLILDDQAWPWDEEFSALSHLEASDLEKFLPHLLAKTFIECYFAGNIEPNEAKGVIQHVEDVLFNAPISVCKPLSPSQHLAKRIVKLEKGLRYYYPAMCSNNQDENSALLHYIQTHQDNVKQNVLLQLLALVGKQPAFHQLRSVEQLGYIALLRQRNDSGVRGLQFIIQSTAKDPANLDARVENFLKMFEDILYQMSDAEFKSNVSALIDMKLEKYKNLREESAFFWGEIAEGTLKFDRKEAEVAALRELKKEELIDFFNDHVKVNAPQKKVLSIQVYGGLHTAEYQTIVQNAPPPQSCEITDIYSFKRSRPLYGSFRGGVGQMKL >KQL26472 pep chromosome:Setaria_italica_v2.0:II:44583048:44583603:-1 gene:SETIT_031615mg transcript:KQL26472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFVIDAIRRSNERSGYRSVSSDGGSSRGGGSRRHLIDYYELPDAAAAASVVEGPSAYGTLHRRARSEFVQGAGVRRVEEHGRAAAVVAGSAYRRK >KQL25023 pep chromosome:Setaria_italica_v2.0:II:35358533:35361520:1 gene:SETIT_032995mg transcript:KQL25023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEVERAVREMESKGRKRVRESGAATTSRQREDPATPAALERLARLVAEAIEAWEKRAAEAKGGPSNQRGKPEVDYYALNRLWSGSFGSFDDTRVSHDARIKPMRFTDELAPPRTASKCNTLQIFSVKARGITGSLQWPLQVFGMVALRDPVDHNRNIIFERTRDYCQTLTEMDPYLVLTGPIRAVLTVKGTTESDDKDLSALAVPLSRSASYGDVPVTDDGMIKLSRHVSVEVNGKLRPVKRWKDFTPKEANRSLGMLTFVLCRMKVTVVWSLVTVEPSE >KQL25640 pep chromosome:Setaria_italica_v2.0:II:39540265:39543739:1 gene:SETIT_029957mg transcript:KQL25640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSFFLVASMPIVQVLLIGVVGAYLASGFSNVLTTSARRDMNKVVFTVFTPSLMFASLAKTVTLEDVISWWFMPVNIGITFFIGGSLGWIACNILKPPQHFRGLIMAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSICRSRGLSYSSLSMALGGLFIWTYTYSLMQKSGKLYHKMQSKSIQCSADSDEEQAKQDGPAAYNDEEAPLPTSVKPEEQTDENPMEAPLLSCESEVADKGFWTNLKDTVHQFVKELMAPPTISALIGFVVGLVPWLKSLIIGDGAPLKVLQDSLHLMGDGTIPCITLILGGNLTQGLRKSGLKRTVIITIVCIRFVILPLIGIAVVHAAYGVGFLSHDPLYRYVLMVQFALPPAMNIGTMAQLFDVAQEECSVIFLWTYLVAAVALTTWSTIFMSILS >KQL25639 pep chromosome:Setaria_italica_v2.0:II:39540265:39543739:1 gene:SETIT_029957mg transcript:KQL25639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSFFLVASMPIVQVLLIGVVGAYLASGFSNVLTTSARRDMNKVVFTVFTPSLMFASLAKTVTLEDVISWWFMPVNIGITFFIGGSLGWIACNILKPPQHFRGLIMAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSICRSRGLSYSSLSMALGGLFIWTYTYSLMQKSGKLYHKMQSKSIQCSADSDEEQAKQDGPAAYNDEEAPLPTSVKPEEQTDENPMAPLLSCESEVADKGFWTNLKDTVHQFVKELMAPPTISALIGFVVGLVPWLKSLIIGDGAPLKVLQDSLHLMGDGTIPCITLILGGNLTQGLRKSGLKRTVIITIVCIRFVILPLIGIAVVHAAYGVGFLSHDPLYRYVLMVQFALPPAMNIGTMAQLFDVAQEECSVIFLWTYLVAAVALTTWSTIFMSILS >KQL25641 pep chromosome:Setaria_italica_v2.0:II:39540265:39543739:1 gene:SETIT_029957mg transcript:KQL25641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFSFFLVASMPIVQVLLIGVVGAYLASGFSNVLTTSARRDMNKVVFTVFTPSLMFASLAKTVTLEDVISWWFMPVNIGITFFIGGSLGWIACNILKPPQHFRGLIMAFCSAGNLGNLLLIIVPAVCDEDGNPFGKDRSICRSRGLSYSSLSMALGGLFIWTYTYSLMQKSGKLYHKMQSKSIQCSADSDEEQAKQDGPAAYNDEEAPLPTSVKPEEQTDENPMEAPLLSCESEVADKGFWTNLKDTVHQFVKELMAPPTISALIGFVVGLVPWLKSLIIGDGAPLKVLQDSLHLMGDGTIPCITLILGGNLTQGLRKSGLKRTVIITIVCIRFVILPLIGIAVVHAAYGVGFLSHDPLYRYVLMVQFALPPAMNIGTMAQLFDVAQEECSVIFLWTYLVAAVALTTWSTIFMSILS >KQL25381 pep chromosome:Setaria_italica_v2.0:II:37723110:37723592:-1 gene:SETIT_033567mg transcript:KQL25381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFGGMVDSGSYYESLAQGLLVDAPAAAGAGAWWEDVEHGTVDIALWSY >KQL25901 pep chromosome:Setaria_italica_v2.0:II:41170474:41172484:1 gene:SETIT_031316mg transcript:KQL25901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLLGLLKVKVVRGVNLAICDPLTHSSDPYVVLRLGQQELFDHDTFTKDDSMGDAEFCILDFVEIAKRDLSDVPDGTVMKTIHPEKANCFATESHITWKDGKISQDIVLKLRNTETGELVLHLHWVNIPGVAR >KQL25900 pep chromosome:Setaria_italica_v2.0:II:41170474:41172484:1 gene:SETIT_031316mg transcript:KQL25900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLLGLLKVKVVRGVNLAICDPLTHSSDPYVVLRLGQQKVKSSIKYHTINPEWNEELTLSITNMMHPVKIELFDHDTFTKDDSMGDAEFCILDFVEIAKRDLSDVPDGTVMKTIHPEKANCFATESHITWKDGKISQDIVLKLRNTETGELVLHLHWVNIPGVAR >KQL24160 pep chromosome:Setaria_italica_v2.0:II:26849791:26853304:-1 gene:SETIT_030566mg transcript:KQL24160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCASTLPSLSFVSNSYCNSPRRFRRSAVVAMASVGQKVYAPGVAVSEGNGGLQKIDLKSPHGSETEIYLFGACVTSFKVPNGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGPMQQHGFARNVNWSITDSEVTEGDPAVTLELKDDSYSRSMWDFSFQALYKVSLHSTSLSTTLKITNTDDKPFSFNSALHTYFRASITGVSVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCIYLGAPSELILDNGLGDKIAISNSNWSDAVLWNPHLQMEACYKDFVCVENAKIETVQLEPKQSWVAEQKLELL >KQL24159 pep chromosome:Setaria_italica_v2.0:II:26850204:26853265:-1 gene:SETIT_030566mg transcript:KQL24159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCASTLPSLSFVSNSYCNSPRRFRRSAVVAMASVGQKVYAPGVAVSEGNGGLQKIDLKSPHGSETEIYLFGACVTSFKVPNGKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGPMQQHGFARNVNWSITDSEVTEGDPAVTLELKDDSYSRSMWDFSFQALYKVSLHSTSLSTTLKITNTDDKPFSFNSALHTYFRASITGVSVKGLKGCKTLNKDPDPKNPLEGKEEREEVTFPGFVDCIYLGAPSELILDNGLGDKIAISNSNWSDAVLWNPHLQMEACYKDFVCVENAKSTVM >KQL22234 pep chromosome:Setaria_italica_v2.0:II:776124:777807:1 gene:SETIT_032244mg transcript:KQL22234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIAGDEWTRRLEDEEDEELVDRISGLPDAVLGDIVTLLPTRDGAHTQVLSSRWRHVWRSAPLNFDSNADSPRTIRGNVRDSEISSALSAHQGPGRRFRHEFPYVDTGTRSAAKLDCWLRYPALDNLQELVFHYGIRIPRGWSSLPPPPPLPESVRRFSPTLRVASFGGCAFPEVNAASAPLHLPVLKQLSLTHAKISEKSLLALLAGCPVLQSLLLSYNSGCSRVRIASRTLTSIGVNPGRGDSRLQHLILEDAPCLERLLVFSSGTRKIMDISVISAPKLDILGPLSDDFSRVEFGSTVFQGPLVSIVTVVPSVKILALVNGHPSMDVVIGFLQCFPCLEKLYIKTKLVGYENGWRDEYHNNLVSTLDIRVKKVVLLNYRGDKSHVKFAKLFVLNARVLESMVLELVEGIVPSTEWIERQHKRLHTKNKASTSAQFDFVGHDVRSRLFGNVNEAPAHVGYVNEAQAHDLSTADPFVRFRDWPC >KQL23866 pep chromosome:Setaria_italica_v2.0:II:21704166:21710008:1 gene:SETIT_029309mg transcript:KQL23866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFIPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVIINSSKDRVPNIKFNVAKVLQSLIPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQMMVSS >KQL23867 pep chromosome:Setaria_italica_v2.0:II:21704166:21710008:1 gene:SETIT_029309mg transcript:KQL23867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDEDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGAQMKESDIVDWFIPVVKRLAAGEWFTARVSSCGLFHIAYPSAPDQLKTELRTIYGQLCQDDMPMVRRAAASNLGKFAATVEQSHLKTEIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRADLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPQLAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLEKINNPHYLYRMTILQAISLLAPVMGAEITCQKLLPVIINSSKDRVPNIKFNVAKVLQSLIPILDQSTVKPCLVELSEDPDVDVRYYANQALQACDQMMVSS >KQL24873 pep chromosome:Setaria_italica_v2.0:II:34197050:34197647:-1 gene:SETIT_033381mg transcript:KQL24873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHASALFAVLLVAGYAALASATTYTVGGVHSWMTGVDYAAWASDKASFVVGDRLLFSYVRTDHTVTEVSRSGYDACSGGDARSEDNNSGLTTVTLATPGAHYFICIQHAVNVSAPPSGAAPTGAAGGALQIPVTVPVVVAAATGALIKLALL >KQL24322 pep chromosome:Setaria_italica_v2.0:II:28876211:28876497:1 gene:SETIT_033751mg transcript:KQL24322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGSPASAPDGALCRETQDLDILVYRQHPLQASGNK >KQL25584 pep chromosome:Setaria_italica_v2.0:II:39162114:39163293:1 gene:SETIT_032359mg transcript:KQL25584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATDGRWHGGRRPAGRPQPRAKRACCVSRAAGAAAPARHGQHTRAHYIHHGLARCQGVLRRGARPRQGSDCPRALTIKQTILQKCLELQRLRSRASPPSTSPPSAKDLPALLQDLGDLHEYVEEIVHLPRHRRLVGGPAGPLRRGEGRPRRRQGACPGPAVSLLQSSMDALLRQAVVVRPSTTSRWSLGHGGVLRSLEGCIEGLEDGLERLFRNLIRSRVCLLDCVSL >KQL25524 pep chromosome:Setaria_italica_v2.0:II:38864208:38865742:-1 gene:SETIT_030121mg transcript:KQL25524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSPMQAVLVAPGVKDRKVLAFERSGALKDKDAATGLVRAILAANPAQRSAFYVFDLAKVIDLFRAWRRALAGVRPCYAVKCNPDPALLGALAALGAGFDCASRAEIEAVLALGVHPRDIVYANPCKPEPHLEYAAEVGVNLTTYDSVEEVAKVKRCHPCCELLLRLKGPDGGKAKVDLGIKYGALADEVVPLLRAAQCAGLNVAGVSFHVGTGASCIDVYRGAIELSRAAFDAANALGMPPMRILDIGAFGDLPCVEVIGEPGRYFAETVFPLAVRVIGKRTRGEVREYWIDDGVYGSLNCILMDYYVPRPRPLATPRPGEKTHTSTVFGPTCDSLDKVVTGYQLPEMRVGDWLVFDDMGAYTTAAGSKFNGFDTSDIKVYVAYSS >KQL24299 pep chromosome:Setaria_italica_v2.0:II:28713102:28713426:-1 gene:SETIT_031772mg transcript:KQL24299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVVYRFHPRNAMCFDGLDKGRHVLWAPTIASNAFCHYMHQDKLCLLQLRIHWNPPRENGSCKAN >KQL24501 pep chromosome:Setaria_italica_v2.0:II:30758002:30759886:-1 gene:SETIT_029714mg transcript:KQL24501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGREREGGGEERRLVLGKYELGRMLGQGTFAKVYYARDLSAAAAGAGGGSSSSVAIKVIDKARLRRTEGMVEQLRREISIMRMVRHPNVVGIREVLASRSRVFVVMEYARGGELFAKVARGRLTEDHARRYFQQLVAAVGFCHRRGVAHRDLKPENLLLDEEGRLKVTDFGLAALPEQLRHDGLLHTQCGTPAYVAPEVLRKRGYDGARADLWSCGVVLYVLLCGFLPFQHDSYAKMYQKIFKADYQVPPWVSGDARRLIARLLVVDPTKRASIPEIMLTPWFRKGFVPPVLSPQVSPKKRLVDDEDAVGALLEGGGSDRDSSSTASLSPRSCNAFQLISSMSSGFDLSGLFESEQKAATVFTSRAPAAAVAEKLEAVGHALGFEVTRGKGCNLRMEAKVDGTNGRLALTAEVLEVAADVAVVEFAHDAGDALDFNKFCAEDVRPGLADIVWAWQGDSSPATPAAVAGCA >KQL24171 pep chromosome:Setaria_italica_v2.0:II:26979042:26980517:-1 gene:SETIT_033411mg transcript:KQL24171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASSSPETHELPHIAIFPFLAKGHTIPMIHLAHYLHRHGLAAVTFFTTPGNAAFVRDGLSGADAAVVELAFPADVPGIPPGVESAEGLTSMASFAVFADATSLLRPQLEASLAEMQPPASLLVTDLFLYWTKASAETLGIPKVSFFGISAFAHVMREVRVRHDPCATLKPDDVDEDGNPGTFTVPEFPHIKLTFEDFMAPFGDPASIAPMMELDGKLGKAIEESQGLIINTFHGLEAQYVDFWNQHMGPRAWPVGPLCLAQPASAPAEAQPSWMEWLDNKTTDGRAVLYVALGTLAAIPESQLKEVADGLERAEVDFIWAVRPKNIDLGSGFEERTKGRGLVVREWVDQLEILKHGSVRGFLSHSGWNSVLESVAAGVPLAVWPMHADQPFNAKFLVDELKIAVRVQTSDRTIRGLVTSEEVSKVVRVLMLGEEGVEVAKNVAELSASAKEAMAEGGPSCKALKDMISELCVKKVNGNLEESQDEKVDA >KQL24886 pep chromosome:Setaria_italica_v2.0:II:34384886:34387911:-1 gene:SETIT_032332mg transcript:KQL24886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQSDVPLITELPEKREGGRVPGVAKDVWDESKKLLTFYGMQVVSQAFAGHIGDLELGAFSIAATVISGLNFGFFVGMASAMETLCGQAYGTKQYHMMGIYLQRSWLILLGVAVLLTPTYSFSGQLLTALGQPAELSRQADLVSMYMLPLHFVYAIILPLNKFLESQRKSWVAAVATAAAFPVHVAATWLLAAAAMAINLSWGLATVGLISYAFGGGSPETWRGFSASAFVDLNDFVKLSAASVVMICLENWYYRILVFLTGYVKNAEIAVDALSIWVRVANELGAANGLGAKFATIVLMTTSFLISLFISSLVLIFHDKLAMVFSSSEAVIRAVDNISILLALTILLNGIQPVLSGVAVGSGWQALVAYVNIGSYYLIGVPFGFLLGWGFHHGVQGIWVGMIVGTMVQTLILAYIILRCDWNDEALKASNRMRRWSSHK >KQL25333 pep chromosome:Setaria_italica_v2.0:II:37431629:37434870:1 gene:SETIT_029010mg transcript:KQL25333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARVLLVHLLLLLPAASEADQHRHYYRSRRALHEPLFPLESTPALPPPPPAPFFPFLPGAAAPPAPAVTPEVGSASTPADAGAGDASSSTSSSSPHPTAPANISSLAALPVSHSAPLRSVLSSHRLLTVVVAVAAVAAAVLAAALVYILARRRRRPSPKEEPVVYTKPSSLPPANPVLYDGADQHARGSTATVSSTSSPELRPMPPLPRQFQQTRMNLPSCSRAVLDSATGVKRAPEGAPPAAPPPPPPPPPPMPPVKDNGGAQATAAPPAPPPPLPRAGNGSGWLPRRLTERPVTTVIRASAGAVHPEESPGRAPSEKDADSDVAALPKLKPLHWDKVRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRSSKSGVRGPNSSLCSQENKVLDPKKSQNIAIMLRALNATKEEVCKALLDAGQAESLGTELLEMLLKMAPTREEEIKLKEFREDALCKLGPAESFLKAVLAIPFAFKRVEAMLYITNFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNAHAFKLDALLKLVDVKGADGKTTLLHFVVEEIIKSEGANILATGQPTDQASALADDLQCKKVGLKIVASLGGELNSVKKAAAMDSDALASCVAKLSSGVRKISEVLHLNQHLGSEDGCKRFRASIGEFLRKAEAEIAGVQAQEGRALALVRETTEFFHGDSAKEEGHPLRLFMVVRDFLAALDHVCKDVGKMNERERAVSGGSSRRVENAPVPPRFNTVQSTSSEESSRSSP >KQL25332 pep chromosome:Setaria_italica_v2.0:II:37431629:37434659:1 gene:SETIT_029010mg transcript:KQL25332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARVLLVHLLLLLPAASEADQHRHYYRSRRALHEPLFPLESTPALPPPPPAPFFPFLPGAAAPPAPAVTPEVGSASTPADAGAGDASSSTSSSSPHPTAPANISSLAALPVSHSAPLRSVLSSHRLLTVVVAVAAVAAAVLAAALVYILARRRRRPSPKEEPVVYTKPSSLPPANPVLYDGADQHARGSTATVSSTSSPELRPMPPLPRQFQQTRMNLPSCSRAVLDSATGVKRAPEGAPPAAPPPPPPPPPPMPPVKDNGGAQATAAPPAPPPPLPRAGNGSGWLPRRLTERPVTTVIRASAGAVHPEESPGRAPSEKDADSDVAALPKLKPLHWDKVRASSGRPTVWDQLKASSFRVNEEMIETLFVSNSTRRSSKSGVRGPNSSLCSQENKVLDPKKSQNIAIMLRALNATKEEVCKALLDGQAESLGTELLEMLLKMAPTREEEIKLKEFREDALCKLGPAESFLKAVLAIPFAFKRVEAMLYITNFDSEVDYLKTSFKTLEAACEELRGSRLFHKILDAVLKTGNRMNTGTNRGNAHAFKLDALLKLVDVKGADGKTTLLHFVVEEIIKSEGANILATGQPTDQASALADDLQCKKVGLKIVASLGGELNSVKKAAAMDSDALASCVAKLSSGVRKISEVLHLNQHLGSEDGCKRFRASIGEFLRKAEAEIAGVQAQEGRALALVRETTEFFHGDSAKEEGHPLRLFMVVRDFLAALDHVCKDVGKMNERERAVSGGSSRRVENAPVPPRFNTVQSTSSEESSRSSP >KQL26942 pep chromosome:Setaria_italica_v2.0:II:47040894:47051744:1 gene:SETIT_028652mg transcript:KQL26942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGFGREAGPSSRGPGSAFPAFGVGAATPTATASPATPSFPSARPATPPFPSVRPANPSFPSPRPATPSFPSARPTTPLAAAAPRFPSPRPQLAAAATTSRPATTLPMSIPVPSARPAAAPGTAASARFPIPRSTLDPGAVAATGRHVARHLQPQLRPATPSVSRPVDPFISSRSRAPSAVSNLRADSPADYDNGMGQRRLVNYADPLFENGGLQSSKQLRMPPSEQMRLHTSARSPPSNITSKFRPPSDFQDHHPVHIADPRNNVFTDALQNRSLDHNISKRSRSPTLSYQDVDGAARIDTGGNARRLVDYTDTLIGDENIETSKRMRSPASEFTRAIKSPPSDIRDNIRSSPNSAQNLHAHADVQKSTASLPKFGNQIQSRIGGARSPPHQMSSLSDDSNEPNTSAVSPPKSSIRSATRRMGTSPLDASDDDHSTPSTELEREQQAKAKRLARFHVELSRPVENTNDFVKTLKGSADRPKQATSVGNFPMKKNDNTDESTSADMDSPVLAAIVGLCPDMCPEPERAERERKGDLDRYERLDGDRNLTTELLAVKKYNRTAERDADLIRPMPVLQKTMDYLLSLLDHTYDDSFLGLYNFLWDRMRAIRMDLRMQHFFNQEAISMLEQMIRLHIVAMHELCEYNKGEGFSEGFDAHLNIEQMNKTSVELFQMYDDHRRKGVFFSTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMSREIRGSPEILFAREVARACRIGNFIAFFRLSRKATYLQACLMHAHFAKLRRQALASLHSGLQSGQGIPISQVVEWLAMEDEDIESLLEYHGFGLRQYEELYLVKEGPFLNSESDFPSGCSQLVHSKKSQRVIVDVSSGPVCAPMSKKNTSVSYSSRLATGKRDLFPSLHAPVVPHDGRRDPSSLFSGSVSTTPGRQISSMFPNPFSPKAANKLFSPTRPSPLIPNAGREDSVSSFPTAASPQSSKREIFSKTPKVASPKPEGKPKLTDDLTAEDQDSGLAGSPKKVEMQTEILWSQANTENNNALAEPIVSHSLADSVSLDYSNMLGDEDEVRVDMDEGTPDHEVLVIEPGSPIGSPSSDRNEYEDHNISSSTVDDWLPIVTSPKKQISGEKLKAILRKWSQRAADKRFLREQKNALAVEALCSLSLGPPVHNTTMVPKLAVEELDIGHAFKERQARQQRSWSRLNVSELSGPILLETNPDARCLCWKLLVLVPPGAMESQTNNFASKWLLRKLMGSGNGDSGLVVSSAGLSIWTEWMSFPNTCCLSVVRASDQQVIGNDIANSTSCIAFVVSEGISWEMQKARLNSLLASIPAQSNLPLLILSGDKYHEGYDYASQYITDRLGLSGLHGGQIASSLVIFLVEHMEDYANGFFDDDKLREGLKWLIRSLPRQPDVTLVKTHELLLNYLSAQLELFNTRVAPGAGPGDCISAFNNAVDQVVEEVMAAAHTNTNRWPALEIDLLERTSNERIYAEVFLPSIGWSSPLRIQPLVAAINACKIPEFSYDLSWLNQGSHMGKQTQDQKKFLQECLARYLTESTRLLDETQVATEVNIMVQKYVGLELRDSYYYLVPRWVTIFRRIYNWRLAKLSTGEFSEAYVLSQHLYQTPAAANSNGATATQGRTARSNTCNEASILEDHSTMPAVSTGLSLDEIIEISCDLDAVDVQPVSQQPRPPTQIHEEPHAPADTDVEMNTVHGVSGELYIPRRVGLGELVPLGGDDKLARLLEQCTKLQDRIDDTLSIYF >KQL27237 pep chromosome:Setaria_italica_v2.0:II:48763700:48765139:1 gene:SETIT_029917mg transcript:KQL27237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRQEQRVASAEGEAQAQRAGEQIARAEAHAREAAREIAHERTERARVVGAGGLEETAETQRRQPEERHRAGILETVQQGARSLVSAVGRTLGVARDTTTDKASRAGEATSQKASETAGATRDKLGEYKDYAADKATGAKDAAAKKASEAAEATKGKLGEYKDYAADRATGMKDTAAQKAGETAEVTKDKAAAMKDAAAQKAGETAKVTKDKAVAVKDATAQKAGETAEATKDKAAAMKDAAAQKASDSAEAAKNRVGEYKESAAQAAMETKDSATEKASQTADAGKNKLGEYRDAAAEKAKNAKDTTMDKAREYGEGAVGKARETTEAASSKAKPSTEEFGEAAGDDQAMEEDTTKSPEEKLEEYKQSAASAAGKAMESLTLRTEEAKEQSGASEATEELARQELGATRQRMEEVAEGERRWKEGGK >KQL25741 pep chromosome:Setaria_italica_v2.0:II:40139248:40141234:1 gene:SETIT_032269mg transcript:KQL25741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRVVSPLLDRGISVTLSSPSMVVRSSTPRRSACTGCGRSWQAISACTSCGRSWQAALRTSQSRRRRAAGCSIASVRSPLVQNLGITFSYWHEVVKYNSVVRAQGHREEIVSGLEEIVTELLDAFGKVSNMKPQQLIFYSEGQFRQILEKEIPEIEKAWKSLYNNEKPQITCNALQKSHHRRLFPNSNKCTVIDREMCQPTEFDFFLCSHPATKGPSRPVQYLVLRDDNNFTADELQSLTNNLCYTYASSTQSVLIGMSFFSQFGSFFLLKHGFTHKLAQRARLYLAQGSNAAAAASSGGATAPAGGAKQLPEIKDELKRSMFYC >KQL24435 pep chromosome:Setaria_italica_v2.0:II:30085158:30089883:1 gene:SETIT_029493mg transcript:KQL24435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHEYNDISQKYPHCLRDRNRKLRRKKNSPSSRRPPLVRLQPSSSTRRRRRGEMKVSVKTLKGSSFQIEVEATDKVADVKKVIESTQGQNVYPADQQMLIHQGKVLNDETTLEENQVLENNFLVIMLRQNKASSSAPPAKASANQAPPTQTVPATPAPQTPVAPAAPAPTVPVSAPAPTATASPAPAVAVSTEADSYGQAASNLVAGGNLEGTIQSILEMGGGTWDRDTVLRALQAAYNNPERAVEYLYSGIPDQMDVPAPPPSSQAANPVQPSQPAQAAVPSSGPNVNPLDLFPQALPNASANAGAGNLDVLRNNAQFQTLLSLVQANPQILQPLLQELGKQNPQVMQLIQENQAEFMRLINEPLEGDEENEMFAPLPCAAPAFSCSLVLPASFNILLLPEPGTCWTRLRMQLRPLLSLQRRTKLYFVLKGWALTGRLSWKCSLPATRTSSWLRTTSWTTCTSSTMTMGSVEGHHYKEAEPLSSSASCEGCRAELGELFWICLAYSLPLILNSFLFGFAV >KQL24434 pep chromosome:Setaria_italica_v2.0:II:30085158:30089883:1 gene:SETIT_029493mg transcript:KQL24434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHEYNDISQKYPHCLRDRNRKLRRKKNSPSSRRPPLVRLQPSSSTRRRRRGEMKVSVKTLKGSSFQIEVEATDKVADVKKVIESTQGQNVYPADQQMLIHQGKVLNDETTLEENQVLENNFLVIMLRQNKASSSAPPAKASANQAPPTQTVPATPAPQTPVAPAAPAPTVPVSAPAPTATASPAPAVAVSTEADSYGQAASNLVAGGNLEGTIQSILEMGGGTWDRDTVLRALQAAYNNPERAVEYLYSGIPDQMDVPAPPPSSQAANPVQPSQPAQAAVPSSGPNVNPLDLFPQALPNASANAGAGNLDVLRNNAQFQTLLSLVQANPQILQPLLQELGKQNPQVMQLIQENQAEFMRLINEPLEGDEENEMNMLDQIADAAETIAVTPEENEAILRLEGMGFDRALVLEVFFACNKNEQLAANYLLDHMHEFDNDDGIGGGPPL >KQL24433 pep chromosome:Setaria_italica_v2.0:II:30085158:30089305:1 gene:SETIT_029493mg transcript:KQL24433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHEYNDISQKYPHCLRDRNRKLRRKKNSPSSRRPPLVRLQPSSSTRRRRRGEMKVSVKTLKGSSFQIEVEATDKVADVKKVIESTQGQNVYPADQQMLIHQGKVLNDETTLEENQVLENNFLVIMLRQNKASSSAPPAKASANQAPPTQTVPATPAPQTPVAPAAPAPTVPVSAPAPTATASPAPAVAVSTEADSYGQAASNLVAGGNLEGTIQSILEMGGGTWDRDTVLRALQAAYNNPERAVEYLYSGIPDQMDVPAPPPSSQAANPVQPSQPAQAAVPSSGPNVNPLDLFPQALPNASANAGAGNLDVLRNNAQFQTLLSLVQANPQILQPLLQELGKQNPQVMQLIQENQAEFMRLINEPLEGDEENEMFAPLPCAAPAFSCSLVLPASFNILLLPEPGTCWTRLRMQLRPLLSLQRRTKLYFV >KQL25098 pep chromosome:Setaria_italica_v2.0:II:36000816:36001851:-1 gene:SETIT_031550mg transcript:KQL25098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNKFWPFVHIHDIADALLLVYEKAGPSQRYICALEQMDIKDMVLLLKSMFPNYDYVDKTVDVDFRVAFTADKLKNLGWKPRSLEETLVDGLEFLEKAGLLREPCRLPYFYRMNAEE >KQL24642 pep chromosome:Setaria_italica_v2.0:II:31952860:31953127:-1 gene:SETIT_0309101mg transcript:KQL24642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHLTGPRVAVAAKPAALGGLRLPTPSIAVPTGRRARGLVVRAATVVSPK >KQL24643 pep chromosome:Setaria_italica_v2.0:II:31952860:31953015:-1 gene:SETIT_0309101mg transcript:KQL24643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHLTGPRVAVAAKPAALGGLRLPTPSIAVPTGRRARGLVVRAATVVSPK >KQL25114 pep chromosome:Setaria_italica_v2.0:II:36080474:36081516:1 gene:SETIT_033284mg transcript:KQL25114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TADVVVAARGWQRQPHQYTTVAAAIAAAPANSDKRHVIHIKRGVYKEFVVVGQEKRNVVLVGDGMDATVISGSRCCADGYDTPGTAVLSVQGNGFIARDLCIENTAGPRKENGQAVALLSQSDQSVLYRCALRGYQDTLWCASPSSKQLYRECTISGTVDFVFGDAAAVFQSCDIRARLPMQGQENTITAQGRDRADSDGGFCFQSCTVAADEDLAARGAVVQTYLGRPWKPFSRVVFMQCTVSDVVDPRGWLPWERQVPPDTLYYGEYGNEGPGAAACSFTVERFIKGNDWLPSTGVEYKPGL >KQL25235 pep chromosome:Setaria_italica_v2.0:II:36816454:36821093:-1 gene:SETIT_029843mg transcript:KQL25235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGPPSRGSGGAGASGEQPRVYQVWKGSNEFFLQGRFIFGPDVRSLFLTIFLILAPVVVFCIFVARHLINDFPDHWGISIMVIVIVFTIYDLTLLLLTSGRDPGIVPRNTHPPEPEAIDMNNDAGNGQTPQQLRLPRTKDVFVNGVLVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVYIVKIRDAEQSSIWKAMLKTPASIVLIIYCFICVWFVGGLSVFHFYLMSTNQTTYENFRYRYDRRANPYNRGIVNNFMEIFCTAVPPSKNNFRARVPVEQGLQQSRAPARGFMSPNMGKPVGDFELGRKPVSWDEPRSAADIRDLEVGLGGLLDEKEGRIAHASPDLSREALPEFTEGRAGMHSRRSSWGHRSGTSESMDSIAVQMGTAESHWGSISSVHGGTSSGAH >KQL24254 pep chromosome:Setaria_italica_v2.0:II:28164483:28170242:1 gene:SETIT_029044mg transcript:KQL24254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEPPQRWAATYTKHVKQKRKAYHDGALLLYPASGRLVLLDDAGDTIESRFLRSSEEVSPGTALSFQAHLVDVGEPEDGPARYTSSSASAAAGSRTARRGGGAARARPPSSGRVFPPRVSRTFVNPSKGLGCGCGGGADVEAAGSGGAEVADSRFQEWTALYTTQLTQKAKKYHDGFVRLVQAGPHVKQIVLLDEDGQVLGSKHLKSGESIESGKKCHFPNYLIEICEAKNQNKGGEHTSEESMVHTRQKNGGSTCNKMGLGASSKSQKFVTPQKFHEVTASSGKPETGKVEEETAGCPGSLTEADSGFKEWNALYTTQLTQKAKKYHDGIIRLVQIGSHARKIVLLDEYGEVLGNRYLKSVESVESGTKCQLPNYFFEVCELRNQTNEPKHSSKDALNQTGPGNTENTSDKASEKSKSPNFVSPFKFQDAQKNNWERTASSNRLQIQKRTCSSLDAPQNFHGSDTDFADIQRGSATNSLPEFGKSTSSRVDLQDGKSVCSNSFISREVGKSTFGNMDDSLRTASQILSIMKPPSGVRISQSSQSGQAHSFASSEARIAFDASCKKNLVAHDSNRNFDGSGTSRMSHFSTQLRTSVQSCLNLETLPRKNAVRAHHWNESSGNSHPTYGQQTIMRPAAFEGQDLAMLDTAASDMSDAQEQKLNSSSQHTGSSSGTESCSNISTDPILQEGKSGSANQLSTQYSIVDGKCDGLTSTSSYTLTCKDPKIQELIDDCPSFDLGF >KQL25345 pep chromosome:Setaria_italica_v2.0:II:37492476:37494186:1 gene:SETIT_029755mg transcript:KQL25345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRSDSEQSIHVLLLPYPSQGHINPILQFGKRLAARRGVRCTLAATRFVLSQSHPNPSPGDGAIRVAAISDGCDRGGIREAGGADAYLPRLEAAGSETVGALLRSESERGRPVRVVVYDAFLPWARRVARRHGAAAAALFTQPCAVDVAYAHTFAGRIRPPLAGGEPVELPGLPAGLMPGDMPSFLAEPSDYPAYLDLLTNQFNGLDAADHVLINSFHELQPQESDYMASTWRAKTVGPTVPSAYLDNSLPDDTSYGFHLYTPLTATTRAWLDGRPPRSVVYAAFGSISAPTAIQMAEVAEGLCNCGKPFLWVVRASETAKIPENFAEKAKERGLVVTWSPQLEVLAHPAVGCFVTHCGWNSTTEALSAGVPMVAMPQWSDQPTNAKYIEDVWRVGVRVRPDEEGVVRKEEVERCVREVMDGERSMEYQQNATGWKEKAKRAVSEGGSSDNNIVEFLGKLGWNRS >KQL25894 pep chromosome:Setaria_italica_v2.0:II:41134116:41135401:1 gene:SETIT_030083mg transcript:KQL25894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPATVVGEIRRAQRADGPAAVLGIGTANPPTSMAQDEYPDYYFRVTNSEHLTDLKAKLTRICKKSGIKQRFMHLNEDLLAANPDFTDRTLPSLDARVDIASAAVPELAAAAAAKAITEWGRPATEITHLIFSTYSGARAPSADRRLASLLGLSPTVSRTMLNLHGCYGGGRSLQLAKELAENNRGARVLVACSEITLIAFYGPEGGCPDNILGQSLFGDGAGAVIIGADPVGPVERPLFEMAFASQTTIPGTEDDISMEINKVGMEYHISNKVPRLLGCNVERCLIDAFGALGVSAKWNELFWAIHPGGRAILDHIEGVLGLDDGKLAASRHVLSEFGNMSGTTVIFVLDELRHRRVAKLDGEAPEWGVMMAFGPGITIETMVLHAPASLEGN >KQL24919 pep chromosome:Setaria_italica_v2.0:II:34607864:34608936:1 gene:SETIT_032951mg transcript:KQL24919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCAAVLLALLLSLSALSASTAEAHEERLDDDAVLLSGRRWLRGRRIIAATGHGDAGKKDEVVEGKGAKSTGANAVPVHEEEKTVEVVGLSGADQEADAPAEAVHDSGKRSKGSATHAMFQAPRQGDTAAVIPEVLGMDYNYKLDARHHRPINNDAPLEDLAKKP >KQL22141 pep chromosome:Setaria_italica_v2.0:II:150412:152604:-1 gene:SETIT_029619mg transcript:KQL22141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSNNLLSGQLPSGLKELKNLKFLSLSRNNFSGEIPSDLGQLKALKFLNLSSNSLLGAVPVELVGVRNRTVLLLDNRLSGEITAAANPHMPSASVVNVSSVTEVTSSVNPSRQPTELFTVSPRLSMRLLGDTSSGTPPDGSSSSGLGIKEIAAIASGSAIVVILLVGLILCICTRKCALKPSRRSLRRREVKVFDNVDIGAPLTYETVVRATGNFNASNCIGNGGFGPTYRAEIAPGVLVAIKRLAIGKQHGDKEFQAEIRTLGQCRHPNLVTLLGYHISDSEMFLIYNYLPGGNLEKFIKERTKRPISWRRLHKIALDVARALSYMHEECVPRILHRDVKPNNILLDNECNAYLSDFGLARLLRNSETHATTDVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVSWALKLVQRGRVREFFIEGLWDKAPHDDLVAFLNLAIQCTQESVASRPTMKHVVRCLRDIRPPY >KQL24326 pep chromosome:Setaria_italica_v2.0:II:28886550:28891269:-1 gene:SETIT_028913mg transcript:KQL24326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGGIQEEPPTERFLTPTRSGGSRWVDGSEVDSSESASWSLGDERSGATTSAEWGAAASAGAPASRVSSGTFRRRLGKRPRRVDSLDVEAMNVRGAHGHSSKELSMVSTIAMAFQTLGVVYGDMGTSPLYVFSDVFSKVPIKSEVEILGALSLVMYTIALIPFAKYVFIVLKANDNGEGGTFALYSLICRYAKVSLLPNQQRVDEDISSFRLKLPTPELERAMFVKDCLEKKPLFKNILLFLVLMGTSMVIGDGILTPSMSVMSAVSGLQGQVRGFDTDAVVIVSIIVLVLLFSVQRFGTGKVGFMFAPILGLWFLNLGSIGIYNIVKYDISVVRAFNPVYIYLFFATNGLKAWSALGGCVLCITGAEAMFADLGHFSVKSIQIAFTAIVFPCLLIAYMGQAAFLMKNPLAVQRVFYDSVPGVLFWPVFVIATLAAMIASQAMISATFSCIKQAMALGCFPRIKIIHTSKKVMGQIYIPVMNWFLMVMCIIIVAAFRSTNDIANAYGIAEVGVMMVSTVLVTLVMMLIWQTNLFLVLFFPILFGTVEFVYLTAVLSKIKEGGWLPLAFSSLFLCIMYTWNYGSVLKYQSEMRGKISLDCILDLGATLGTVRVPGIGLVYNELVQGIPSIFGQLLVTLPAMHSTIVFVCIKYVPIPYVPLEERFLFRRVGQKDYHMFRCVARYGYKDVRKEDHGFFEQLLVESLEKFLRREAQEIALEASTTDAERDDISVVSEVPQSPACEGDLQTPLLSDQRSGDDNRMGTRDGNAPVLPSSSMSAEEDPALEYELEALREAIASGFTYLLAHGDVRARKESFFTKKFIINYFYAFLRRNCRAGTATLKVPHSNIMRVGMTYMV >KQL26686 pep chromosome:Setaria_italica_v2.0:II:45761088:45764286:1 gene:SETIT_030322mg transcript:KQL26686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPWGRGRKEKKARGRRAEGIPGGARQVRDISHQASTGNAPSPRGKAAPRRTDKKRATLGWLFPTSPHSLLDRSTVPLLRPRRRPEEDAPRRRRRARVGESFSLSGGDFVFRWAGRSGRPEMMDEAPADLRELVRLPDVLVVCSSTGWTNEKHMLYLQLLEETFVSQLHDGERSFKGLFNLSPRYCRQVKSSKQIVEYAKPDQGCHGIVDADRVKSCMKVELMDSPSCCGNQQDGKIHSTDDNASTTEPVEEAISQARTTSSGQSSTCYVGKYRHSPSRSAEGSDQNFDEETKGTGESRRGCSQKRLKSYNVMRDDEVQKAGLIACDKHEDKYNGSLKVDAGSLDAETGSPT >KQL22706 pep chromosome:Setaria_italica_v2.0:II:4695419:4696191:-1 gene:SETIT_033534mg transcript:KQL22706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWELIEQLFTLTLDNASVNNKAVKDIHDALSEASYSPPFLEDVASNSRCFAR >KQL23776 pep chromosome:Setaria_italica_v2.0:II:18483316:18483894:1 gene:SETIT_033696mg transcript:KQL23776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVWLYKSVITVDVLLLFLNFYLLLSLVCGIKERVKKVTAFTYENWSIIW >KQL22336 pep chromosome:Setaria_italica_v2.0:II:1817513:1818688:1 gene:SETIT_033278mg transcript:KQL22336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELMAELGDEVLLRIPADDPATLVRASLACKRWRRLILDDPVFHRRRRELHRPPPPLLGFACNLGSVSDFVPASSFCCRPHARGWRALDARHGRVLLHGAPLQVGRNPLDASLVVWDPVADERRELPKLPHYARADNQSWNAAVLCAPAASGAAGDRNRRRPFTVVLRTDLRSGTSMRPPFGAKPARWERTPLPRCVLPDECHYKRIVLMSTEDGRLGFATVVGSNLFMCSSTVGSGGGAQQSRSIELKAVLPAAAYSSSVEVVGFADGARIIFLWTVDGIYSIDLMSGRVKKAYKETSVYGVFPCVSFCAQGDTIIMPPIHGSVRANRFLNQLMLFSKFSGICAAQEGQKLGASSGRQIEGAQEEI >KQL23742 pep chromosome:Setaria_italica_v2.0:II:17223688:17224355:1 gene:SETIT_033760mg transcript:KQL23742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRVDVYRLGRSLSRICSPLEVGSGARVRWRNDVIDFVRLHADGRGDVRSFAAYVPPDDRR >KQL25307 pep chromosome:Setaria_italica_v2.0:II:37214137:37220668:1 gene:SETIT_028732mg transcript:KQL25307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMEGKGDASVTPVRTSDRLRQRPKYYARGYMYYKPAMRKKVKSKKRTAASQIAKKLLRKPAARPPPADSIAANLRRSTRKRRIPVNLEGYDTDSSSMEDDDLMRPRYRTSKNKGGNDAAHDEVSARPKRQKLSNSIPRREGLRPRRSLRGRRLHPYQESEDEQESSEEQGAEDRRENGNDIEEDGDDDEVDGGDEAEADGDDEDGEEEQEGRRRYDLRDRSEVRRPSPRKEGKHRPQSPRRVLVHGIGPKNNKYLKKGGSRMHKRPRFSLPDDSDDSLLVDEPDEGPSMPWMRSGRGGMPWLMGGLDMHSPAAWGLNVGASGWGHQGDSSTSLMPGVQTAGPSSKGGADIQPLQVDESVSFKDIGGLSEYIDALKEMVFFPLLYPDFFANYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQKNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRIDAIDGALRRPGRFDREFYFPLPGYEARAEILDIHTRKWKDPPPKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVSVEKYHFLEAMSTITPAAHRGSIVHSRPLSSVIAPCLKRHLDKIMERISDIFPFLSSVDVSKFSALSYGSSIPLVYRPRLLICGGESVGLDHVGPAVLHELEKFSVHSLGLPSLLSDPSAKTPEEALVHIFGEAKRTTPSILYLPQFHLWWDTAHEQLRAVLLTLLNELPSNLPVLLLGTSSVAFTDLEEECASIFTSRNVYQVDQPSYDDRLRYFNILFESLLSFQTEESRNKSKKQKSAIDLPKAPKEVEGPKISELKAKAEAEQHAVRRMRMCLRDICNRILYNKRFNVFHFPVSEEEVPDYRSVIHKPMDMATVLQRVDSGQYLTRAAFMKDIDLIVLNAKTYNGDDYNGSRIVSRACELRDVVQGMLSQMDPSLVSFCDKIASQGGPLQVVDDEDSSILQAAPVAQLVSGTRISARLRNVQPEVNLSQSYEVLKRQKKSSENDQGMTKDAAARDERSPEDVDLSKPISPEEAPKEPDSNGTLKETDNSPAEAPEVPAPPEPMETDSSEVATTLTTGDDLLGQLEALKQRFMELTAGYGVPQLERLYSRIMKGAIELTSKESNEDHRGLVVRYLLTFVENSDNF >KQL22830 pep chromosome:Setaria_italica_v2.0:II:5663565:5664499:1 gene:SETIT_031019mg transcript:KQL22830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPPPPPPPLMLLPLLLAASSFAAANAKATPPSATAAAGARAGSPAPVPTPWPEQFHAVIFTNLTESGGRLQLIDIYYDWPKGRNLNLIHNQLSGDPTYNVEWANGTSYLFDAASCWTFHFAVGLLPPDWMKARGAAYLGRGRLDGFDCHVWSNFLFARYYEDAATGRPVGWNFNGMLRHVLSFEAGAVLSDSGKWQAPAYCFNGSNADAPAPSPVDQLIRRGSGSS >KQL22462 pep chromosome:Setaria_italica_v2.0:II:2794527:2798141:-1 gene:SETIT_030533mg transcript:KQL22462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALYLLASLAATLVTSAFQSLLLFLRLLFQRRHDSGGGSAAGATRLYEGRVRHSRRRPAAHAFEYPVRYALVDLDRLPLPDHLSADEARRIASTSGPVRLLTIPKSVGYEQNPLSIYYCYNSAGQGQDGELRMCIAEVTNTPWGERVMFTFQPDSDLVAKPLHVSPFMDMLGNWSIRADAPGDSLYVVISVQHPTLGNYFTAALHAKLVGHTSNSLRLATFFWLMPHKVAAWIYWEALRLWLKNVKFLDHPRYLNMSYRDEALKRDLELRSSCSFLQKQKANNQRSSSTDKTCEISNHPDNKGDENIMKRWCVWRDAQWPWS >KQL26309 pep chromosome:Setaria_italica_v2.0:II:43676153:43680717:1 gene:SETIT_029684mg transcript:KQL26309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKLLLLFLLASPLCLSAVIAAQQTCPADLDRKCEGGASDDWEGEFFPGIPKIKYEGPKSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSLAMAKRRMRAHFEFMEKLGVDKWCFHDRDIAPDGKTLKETNANLDEIVELAKQLQDETNIKPLWGTAQLFLHPRYMHGAATSPEVQVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLANFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATAFAFLQKYGLIGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFMTDIAEATLVMSTVVKNGGLAPGGFNFDAKLRRESTDVEDMFIAHISGMDTLARGLRNVAKLIEDGSLDELVRKRYQSFDSEIGALIEAGKGDFETLEKKVLEWGEPTVPSGKQELAEMLFQSAL >KQL27011 pep chromosome:Setaria_italica_v2.0:II:47399348:47400064:1 gene:SETIT_032883mg transcript:KQL27011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGLLRWLCVRRWRFRRGRRVARLVLWGGEARAAEPGRAVGEVMVEHAGRVVCRADGFRIGRPVPVLDIEDRLEADRTYLVVPVDRLPCGGVLTAASLAALSHGGGGGKGAGGGGKSSAAPAASLAGGSRSPFEYAKDEDGRTVIRVTEEFIVRAVTGGGNGKTRGGSGDNTDGTPELRKHYELLVGAERGRPWSPQLDTIKERKGRRLVDVVSPGRLSPVRLLGMDKGLR >KQL22547 pep chromosome:Setaria_italica_v2.0:II:3468034:3468411:-1 gene:SETIT_032286mg transcript:KQL22547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETHYGEGFRIGYATGLVSGKEEGRKLGLNMGFQVGEELGFYQSCLDVWIAADHINHGAFSDRMKKNIEQMAALVSSYPIEDPENVQIQEIMGKIRLKFRIITASLGVKLEHGGQSKSLKQGFEDL >KQL25318 pep chromosome:Setaria_italica_v2.0:II:37310254:37311306:-1 gene:SETIT_032875mg transcript:KQL25318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPILNKDFNELAADGSNYLTWAMDVKILLTTNGFIRILNEPDPQDRILETEKFRTLHFLRHHLHPDLKNEYLMEDDPKKLWDSLKECYNQQQSIEKTLSTFLPTNRILQQQYLYHNYTKYSDLIYDLLQAEKHDELLTKNHQLRPVGAAPLPEVHFNVQNNNKKLSGKKFKKNFKGKWKKCGCHNHIARKCNTPKHLVDLYQKYAAKQVHGDKIEAHFNTTDAGCSKGVPAEHNNEKIQPQLDDSFGTGDMQMDTDDMIVDFQSNNIFGDMN >KQL23885 pep chromosome:Setaria_italica_v2.0:II:22382529:22383194:1 gene:SETIT_032613mg transcript:KQL23885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSWMKKSRWEIAYEDGVEEFLAFAYWNLPHDSEILCACKKCNNRITQSRDEVRIHLRCDGILQGYTTWVHHGENYDRPSIAFVDEPNITTLPASGIVQGCQDGESDSMQELLHAAFGRAAGLPQGEADDFQSGFAGMEHNAPEDLVNPARGDDLGRDQNIYERFFKDAHIRLYPGCKYSRLSFLVHLYHLKCLNGWSQESFGALLGLLSSTLPPEANLPK >KQL22546 pep chromosome:Setaria_italica_v2.0:II:3456039:3463558:-1 gene:SETIT_028687mg transcript:KQL22546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAASPSAAPPSAAAAVFAVNGERVELRGGEVDPGATLLEFLRTRTRFTGPKLGCGEGGCGACVVLLSTYDAASGAVSHAAASSCLTLVHGLHHRAVTTTEGLGGRGRGLHAVHERLAGFHASQCGFCTPGVCMSLAAALAAADAKKGDGRPAPPEGSARLTAAEAERAVAGNLCRCTGYRPIADACKSFAADVDLEDLGLNSFWRKGGAHASKLPRYEEGSIGVFPEFLKAEIRASAGIEGCTPPALLGSGSTWHWPRSVEEYYKLVGSELFGKSGTKVVVGNTAAGVYREAEVYDRYIDLRCIPELNSVSKEANGVEIGAAVSISKAIEALREDGGCNDVIFCKIADHMEKVASPFVRNTASLGGNLIMAQRDQFASDIATILLAAGSSLRIQVSSERLTITLEEFLQMPPCDYKTLLLSIYIPRWTPIGDLSGDGTMDRTVSTRGNSVLFETYRAAPRPLGNAVAYLNAAFLAHVASDGTSGSIILRELCLAFGAYGTQHAIRASNVEKLLVGKPITASVLLEACTLLKKTIVPKEGTRHAAYRSSLAVAFLFSFLCPVTKETLKPVKAVHLNGSVPSGTNGNPNCGPDARVDASLKKINNVKPGSYGNDCILEYSKQKIEINKDYLPVGIPAKKVGAALQASGEAVFVDDIPSPKDCLYGAFIYSTKPLAHVRSIELNPSLKKLNTLGIVTVKDIPEGGSNVGANTIFGPEPLFGDPVTQCAGEPLGIVIAETQRFANIAAKQAVIDYNTENLGAPILSIEDAVRRCSYFETPPFLLPQKIGDFSQGMAEADQKIYSAEVKLNSQYYFYMETQTALAVPDEDDCMVVYSSSQCPETTQNVIAKCLGLPCHNVRIITRRVGGGFGGKAVRSLPVATACALAAFKLHRPVRMYLDRKTDMIVSGGRHPMKICYSVGFKSDGKITALHLDLFINAGMTTDVSLIIPHNFIEALKKYNWGAFSYEAKVCKTNTATKSAMRGPGEVQGSYVAEAIVEHVASALSTDANLVRQRNLHTIESLALFHSECTEDDMGYTLPSICGQLTASENFQHRLEVVKSFNKNNRWKKRGLSFVPIVHKVLSRPTPGKVSILNDGSIAVEVGGIELGQGLWTKVKQMAAFGLGQLWADRSQELLERIRVIQADTLSNVQGGWTTGSTTSESSCEAVRLACNILVDRLKLVKEQFQEKQSNLSWDELISKAKMAGVDLSAREYYIPGPSGSYLNYGAAASEVEIDLLTGATTIVRSDLIYDCGQSLNPAVDLGQVEGAFVQGIGYFMTEEYVTNSDGLVISDGTWTYKIPTVDTIPKQFNVKLLNSGFHKKRVLSSKASGEPPLLLAASVHCATREAIRAARREPHCSASGPSSPSHFDLEVPAIMPVVKELCGLDNVERYLESLLSSK >KQL23790 pep chromosome:Setaria_italica_v2.0:II:19505107:19506003:1 gene:SETIT_032027mg transcript:KQL23790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKTLPSQVLKGPEVDTGNVNLEIKTSLITMVQASPLCGKANEDATIHLQQFLELCSTFTIRGRVMHIVTEAGMLTAKMDLLMKKLNDYTKEKAAMSNTVQAMDSHMTCEVCGNIGHSGNNCPKTQEDVLHMNDNNSGYHPPGG >KQL23262 pep chromosome:Setaria_italica_v2.0:II:10328254:10328749:1 gene:SETIT_031598mg transcript:KQL23262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTQKLAALLLAIVVALATMEGAHAICGMANEDFKLCQPAAAVNDPTDNPSAECCAALGKADLGCICRYRGVAGIWMRIYHIDPSRAMALPGKCGLTMPNNCS >KQL25740 pep chromosome:Setaria_italica_v2.0:II:40130761:40133458:1 gene:SETIT_029819mg transcript:KQL25740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLSSLLVLLGLPNSLRVGRSSGTPPRTARTGKVVDGARVRNWVCINFCHDLHMNIVHKFCSDLVGWSCTTGLNMDNLRLPIFTARPDQVEADLRMRYQDARNKLGGQKIDLLLAILPEKNGSLYGNFKRICETEIGIMSQCCLGKFVTSARAPYFANVAIKINAKLGGRNTEFANPQQSLPVVSSEPTIIFGADVTHPAALDDTAPSIASVVASQDWPNVAKYNGVVRAQGHRQELIDGLEDIVKELLRAFEQGSRRRPKQLIFYRDGVSEGQFKQVLEQEIPEIEKAWKALYNEKPQITFIVVQKRHHTRLFPSDRRSADRTGNILPGTVIDRNICHPTEFDFFLCSHAGIKGTSRPTHYHVLRDDNKFTADGLQSLTYNLCYMYSNCTRSVSIAPPAYYAHKLAFRARFYVNQGSDVASSSNLSARAAGPNPLPQIKDELKRSMFYC >KQL24809 pep chromosome:Setaria_italica_v2.0:II:33415053:33426132:1 gene:SETIT_029196mg transcript:KQL24809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGMSALVTGGASGIGKALCIAFAQKGLFVTVVDFSEENGKEVATLVQKENNKFHRDLRVPSSIFIKCDVSNADNLAASFEKHVQTYGGLDICINCAGITNEPLVYNDTSDGARTWRHAVNVNLVAVIDGTRIASQIMRSQKKPGVIINIGSAAGLYPMFFDPIYSATKGGVVMFTRSLVSLKRHGIRVNVLCPEYVQTNMGEQMSGKIIDSVGGFLKMEDVVNGALELIQDESKAGACLWITKRRGMEYWPTPEEQRKYMVNPSKSKRMLTKNIYPSIQTPEFFEKIVVHTLSHNFRNATRLERVRLRLPVEPHSALVKIIYAGVNASDVNFSSGRYFSGNPKETASRLPFDAGFEGVGIVASVGDSVKHIKVGTPVALMTFGSYAEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIGLEKAGQMTSGKVVLVTAAAGGTGQFAVQLAKLAGNKVIATCGGESKAELLASLGVDRVINYRNESIKYVLKKEFPRGVDIIYESVGGEMFDLCLNALAVHGHLIVIGMISQYQGEDGWKPKNYTGLCEKILAKSQTVAGFFLVQYAHLWQDHLDKVFDLYASRKLKVSLDPKMFLGVASVPDAVEYLHSGKSVGKVVVCIDPSYSQVVSKL >KQL27144 pep chromosome:Setaria_italica_v2.0:II:48066241:48071539:1 gene:SETIT_029263mg transcript:KQL27144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGPYPRPSRAAAWRWRCQVGLRDQATGEAHPRGWRRSRQRWRGRSRVGGEDRGDRAGPPPPPPSSSLAGLLAHSSSGRGGRVRRPRGREAHRPPESLGLATWRREITAPRTKDTISMGTADSIRHSPHARAPARAMMMSSSSMAAGAGSSELIYRGGHDAPAADEAGARYSSSAKPPPPPHGNKPWLARPLRYMMGEQRLAFALLGMALASLVFLLAPSGSGSGSAAAASSGTTRTSSSVAHLAAVGLASRQYSSRVALFEEPRGGVRPGRVPLGLKRKGLRVVVTGGAGFVGSHLVDRLLARGDSVIVVDNLFTGRKENVLHHAGNPRFEMIRHDVVEPILLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRPNTADDPHKRKPDITRAKDLLGWEPKIPLTKGLPLMVQDFRNRIFGDQQQKDANANAGDN >KQL23319 pep chromosome:Setaria_italica_v2.0:II:10790223:10795875:1 gene:SETIT_029556mg transcript:KQL23319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDFRWGGNPSIILAVDAAVASLPIQLKDLQVYTVIRVVFQLSEDIPCISAVVVALLADPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLQWPHRLVVPLGVNVDTSDLELKPQGRLSVTVVKATSLKNKELIGKSDPYVRLYVRPMFKVKTQTRDDDLNPVWNETFELIVEDKETQSVIFEVYDEDKLQQDKRLGVAKLAVNDLEPEVAREISLKLLHSVDPLKNRDTKDRGTLYVKVMYHSFTKEEQLEALEAEKQAIEERKRLKEAGIIGSTMDAVGGAASLVGSGVGLVGTGIVGGVSLVGSGLGAGAGLVGSGIGAVGSGLGKAGKFMGRTVAGPFSMSRKNASSSTAPQPEQPSA >KQL23318 pep chromosome:Setaria_italica_v2.0:II:10787189:10795875:1 gene:SETIT_029556mg transcript:KQL23318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISGMVMGMIVGVAIMAGWSRVMLRRSRKRIAKAADIKVLGSLGRDDLKKLCGDNFPEWISFPQFEQVKWLNKHLSKLWPFIAEAATAVVKESVEPLLDDYRPPGIKSLKFSKFSLGTVSPKIEGIRIQNLQPGQIIMDIDFRWGGNPSIILAVDAAVASLPIQLKDLQVYTVIRVVFQLSEDIPCISAVVVALLADPEPKIQYTLKAIGGSLTAVPGLSDMIDDTVNSIVSDMLQWPHRLVVPLGVNVDTSDLELKPQGRLSVTVVKATSLKNKELIGKSDPYVRLYVRPMFKVKTQTRDDDLNPVWNETFELIVEDKETQSVIFEVYDEDKLQQDKRLGVAKLAVNDLEPEVAREISLKLLHSVDPLKNRDTKDRGTLYVKVMYHSFTKEEQLEALEAEKQAIEERKRLKEAGIIGSTMDAVGGAASLVGSGVGLVGTGIVGGVSLVGSGLGAGAGLVGSGIGAVGSGLGKAGKFMGRTVAGPFSMSRKNASSSTAPQPEQPSA >KQL26412 pep chromosome:Setaria_italica_v2.0:II:44188587:44189324:-1 gene:SETIT_032765mg transcript:KQL26412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAPSHSHSHRSAGRSPAASPPLCGSRVRVDPAHACVVAASREDPADKAATARRQRYRAPRDDEPRRTTTSPSAMVRRRRRDEDHREASPDRKRRRGSESASRAGYYRRDDDDAHRRGRDVAHHAAKRKAGGRKRSGRAREGTRRRSSSRSEERKAYPSAADVRKPSPVKEVGRAEDADEEAKRRREREELQRMREEFRRELDKVVRTMPRWTLRTSGEVEEGEVV >KQL24923 pep chromosome:Setaria_italica_v2.0:II:34649390:34655979:1 gene:SETIT_029604mg transcript:KQL24923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQGNKAATHEHDFLSLYTAAAAKDSPLQLHDSKSPPPSQGNFFLKTHDFLQPLEKPEAPPPEPSPTLPASGADSRHHHQPVAAKQHALPLPVGVGTFTICPAPVSAARPPAAVVKAEPPFVLWGQPAAFHPGARGHQQQQWALPFAGAGQGRPPPPQQAQPPDRKGRGGGGGAMESGSRSSGGAGFDDDDGLAARREVSSSLKVRMDRKGGSCSDGGTDQRPNTPRSKHSATEQRRRSKINDRFQILRELLPHSDQKRDKATFLLEVIEYIRFLQEKVTKYEATFPEWNQENAKMLPWSKGQIPGESLPDPSHFMRNGSSPGSNFTGKLDDNHNMVTSAAASGAQDQTETDPMASMCFRSAETPANITNNTLSQSQPQWTGPSPVDDCAVNSEMLNNQPLAINEGTISVSSQYSQELLNSLTHALQSSGVDLSQASISVQINLGKRAVKRPAAGVSCKEPTDPASSDEIGQQLAMLGGGAEDLSQAAKRHKPGNS >KQL25917 pep chromosome:Setaria_italica_v2.0:II:41255743:41257182:1 gene:SETIT_031935mg transcript:KQL25917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMTSPSPTVVLLPMWASGHFSSMLQAGKRLLLCGASGAAAFSLTVLVIPPPTVSPSDASSRGHCEMVSGGGIDFHHLPAVEHRADLPRHPSGVHPALRAPPYVYFPSNGATLALMLRLPGNPREGGPPRSGMRAGVSTAGMPLGAGGSMPSPELNDYAWSCTTAPLHGSQRYRRNTAAELEPGVLAAIAAGPSPHECVRWLDAQAPASIVFLCFGSRGWMDARQAREVAAGLERSGRSFLWVLRGPPAGSSSMYPTDADLGDLLPDGFLERTKGKGLVWPSWAPQTEILAHAAVGGFVTHCGWNSILESLWHGVPMAPWPLYAEQPLNAFELVACMGVAVELGVGTGKDGSFVEAAEVERAVKELVGGGEEGRKAREKAMETKAACRNAVEKGGTSYAATQRVVQDMIESYAPK >KQL24286 pep chromosome:Setaria_italica_v2.0:II:28518378:28520422:-1 gene:SETIT_033099mg transcript:KQL24286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRQERDRRRTLLLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSVVQAACYPLAAYAAARHNRARVIAVGAFLWAAATFLVGVSDTFLQVAISRGLNGIGLALVVPSIQSLVADSTDDGTRGSAFGWLQLASSLGLISGGFVGLLLAQTTVLGIAGWRIAFHLVAAISIAVGILNWFFAVDPHFPAGDDSTTANHQRDGKQPATAREVVAEMIEEAKFVVRIPTFQIFVAQGVSGSFPWSALSFASMWLELKGFSHGDTAVLMTIFWVASSLGGLLGGKMGDFLAVRYPDAGRIVLSQISPLSAVPMGAVLLLGLPDDPSKGVSYAVVLFVMGLLMSWNGPATNFPIFAEIVPEKSRTSIYALDRSFESVLSSFAPPIVGLLAQRVYGYKPNDKGESVQQDRENAASLAKALYTSIAIPFILCTAIYSFLYCSYPRDRERARMQSLIESELQQMEHESTCLEDGDARPKVFALANDGERATIGVTYDHKEAPEGEKDTVSLLANRES >KQL22509 pep chromosome:Setaria_italica_v2.0:II:3220738:3225110:-1 gene:SETIT_029401mg transcript:KQL22509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPEMLRLAQEQMRRMSPDDLARMQQQLMSNPDLLKMASESMKNMKAEDLRRAAQQMNQARPEDMRDMTEKLANTTPEEFAAMKAQADAQMSYAISGAKMLKKQGNELHNQGQYSDAASKYKLAKDNLKNIPSSAAHTLQLQCTLNLMACYLKTGQFDECISEGSEVLTYDSSNVKAYYRRGQAYKELGKLEAAVADLSKAHEISPEDETIADVLRDTEEKLAREGGGVNMRKGVVIEEVVEEDTSKPSSSQRSSSGYTVSQPPEVAGSSERSRDDPASIRPSQNYVSKSNPEGLSKLGMEGMSPELIKTATDMIGTMKPEELQKMFEVASSMNGTSSVGPNLGSNMPEMSPDMIKMASDMIGKMPPDELQNMMNFASQMGGPGATPRRSENNFQPSSRATTSNSPLGSSSQTIRESPVELSNDQRMGQSSSSLPPSTADMQETMRNSMKDPATRQMMANMMKNMSPEMMANMSEQFGMKLSKEDAAKAQQAMSSLSPEDLDRMMRWMERAQKGVEVAKKTKNWLLGRRGLILAIVMLILAFIFHQLGFIGR >KQL25653 pep chromosome:Setaria_italica_v2.0:II:39618695:39621671:-1 gene:SETIT_031312mg transcript:KQL25653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFGHRANAVATFAVTILAAMCFAASFSDNFNTPTPTASVKILNINWFQKEANGNDEVSMTLNISADLSSLFTWNTKQVFVFVAAEYETSQNALNQVSLWDGIIPAKEHAKFLIHTTNKYRFIDQGSNLKGKEFNLTMHWHIMPKTGKMFADKIVMTGYRLPEQYR >KQL22482 pep chromosome:Setaria_italica_v2.0:II:3024146:3025552:1 gene:SETIT_031496mg transcript:KQL22482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRTEEVYVAKSCHILPVPCNTSKPNLAHECLGSGDNDSFSVANKSLESHTCIYGRVGASVTGQLLCTYREKTHVKVKIDPLHSFGQLHCSSERARLQPSYLLLVFSDAVNGPNSKVLMKMSCVQGQLFG >KQL24442 pep chromosome:Setaria_italica_v2.0:II:30148345:30148825:-1 gene:SETIT_033636mg transcript:KQL24442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAALRTAAVLMLVLFAGQLLVATPAAAAARRSLLQVPNTTVPCGDNNICRVTCLEICVRRCNGFLDNKTLLLACQKRQDCVGECKVN >KQL25177 pep chromosome:Setaria_italica_v2.0:II:36456880:36459709:-1 gene:SETIT_031396mg transcript:KQL25177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPQLLLCLLVAAAALLLVAVAKKSGDVTELQIGVKFKPETCSIQAHKGDRVKVHYRGKLTDGTVFDSSYERGDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPSKLGYGPQGSPPTIPGGATLIFDTELVAVNGEPASKSDDSEL >KQL26182 pep chromosome:Setaria_italica_v2.0:II:42841074:42847493:-1 gene:SETIT_028902mg transcript:KQL26182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGVFRFSSMASSSPRLLVLLLAWLCCAAAQAQTTTDTLRQGASLSGSATLVSLPDRVFEVGFFPPDPKQPSRLYLGVWYRGITPRTVVWVANRAAPAVSGAPSLALTDAGELQVLDGAAAVNGTAAPRLLWSSNTSRAAPRGGYYATIQDSGSLQVRSDDGPLWDSFWHPTDTILSGMQIAVQAPGPPGRAGNERMLFTSWASETDPAPGRYALGLDPNGSGQAYIWRDGNDIYWRSGQWTGVNFIGIPWRPLYLSGWTLSNDRDLGLHYTYTATNTSLQRFVVLPNGTDICYMVKKSSQEWETVWLQPSNACEYYAACGPNAKCTVGQDGKAQCTCLKGFQPKLWDQWNAGNWTEGCTRNPLLGCQVNQSGDGFLPMGNIKWPDFSYWMSTVADEKGCKSSCLNNCSCGAYVYTTTTGCLVWGDKLIDIHELQTGSYTLNLKLPASELRVHHAVWHIAMIISAVVLVVLVACLVLWWWRGRNIKDAVHRSWRSRHSSTWSQQNSSMLDISQSIRFDDDVEDGKSHELKVYSLDRMKAATCNFSDSNKLGEGGFGPVYMGTLPGGEEVAVKRLCRNSGQGLEEFKNEVILIAKLQHRNLVRLLGCCIQREEKILVYEYMPNKSLDAFLFNPEKQELLDWSKRFDIIEGIARGLLYLHRDSRLRVVHRDLKASNILLDADMNPKISDFGMARIFGGDQNQFNTNRVVGTFGYMSPEYAMEGIFSVKSDVYSFGVLILEIITGKRAVSFHYHQDSLNIAGYAWRQWNEDKAAELIDPLIRASCSLRQVLRCVHIALLCVQDHADERPDIPTVIIMLSSDSSLPNPRPPTLMLRGREIESTKSSENEQSHSIGTVSMTQLHGR >KQL25489 pep chromosome:Setaria_italica_v2.0:II:38567823:38568597:-1 gene:SETIT_032216mg transcript:KQL25489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPEWSNLPTSKEQVHVNEVLVKIANLKSRGLTAGAISINFSKRLIQPIKYQVHPAYEYSGPEESTREVQRKVPKEEINNRVSKFFGGIIRNRNCPKAYSLKWPSSNPRRPKIRPTDEQNVPPIDLQWEIDSSIGLDMGVTEEWPQPSEKRRMMAGKKKEKAASITTSTAMSASDADEESNTLSSTA >KQL25759 pep chromosome:Setaria_italica_v2.0:II:40344863:40346026:-1 gene:SETIT_030131mg transcript:KQL25759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSGHGSSAHGGAPSGGAAAPSPDAAFHGARRLANLPAADLVGYLIRRNRRASDFVDVALVLTARERRLAEAEARARAAEEAAARLRGEMAARERRAAEAQDLTRRLAAAAEERLQAEIRASQRRATEAEARLQAYAGGRDHRDIAPPSRWSLKWTADSALTDDDEEETQAEEEEAPLQVASGKRKEAVASSPGKLLKKLKLWAWGGSSCSNAVPESEADTTDEGEAEDPWPAWEEDEDALAIIPGGYDLQDALEETETKQGVGEHDQPEDKMGGEPERFEHEPENPVALAVVTPGNDLPPNDDGVEASRGVVPEPEAREESKMTEIAGNGSLRKKGMIYKMVFKALKEKERQATLASASQPNLRCWLHAPLPEDRAGGRGGGS >KQL25934 pep chromosome:Setaria_italica_v2.0:II:41344071:41346587:-1 gene:SETIT_032764mg transcript:KQL25934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAEALEADKSCNGRKHGLLVSQTTKKIKEWKLNERQQLLVDVSGLGNLIHAAGLVIDRSLLLPFCEMWSKQTNTARFHDFEMAPSLRDTAYILGIPVLGHVVTTGTVVNKSVRDLCFEYLGRIPDLKDCRSGLLKLSWLFSEAYLLCLIGSTLFPEREKDYVSPKYLPLLSDFENIQEYAWGAAALAHLYKSLSLLVMPSSTKKLSGRATLLMGWIYEHIPVVRPVMEDAPAPIFPGVRRWIGSTTAKPTKDVSDVNWEPYKDMDPASIPKICTAPDTICFSRTWLISFNIREIYVPDRYARQFGQEQHLLNGVPWFRRHTWSKMVDWSLKYASDIKHFQQLTNTTHCDHTTIPATHSAAEVLAPAATAQPSLGLSLMTMVEGIKKEFPIVERFFMQQSLPDEVARALSRIHELVKYSHPKEVDAARREGSSEPHEQAALDPPAKLAHKEAATEVLHDPETEAAPVTAPDAATQNGDLTSNPMVECACMRRNKKVAVREGRVESMVEEQDADERGSKERKRQRRLVEGGGKLLRRSNRH >KQL26583 pep chromosome:Setaria_italica_v2.0:II:45227306:45229375:-1 gene:SETIT_029839mg transcript:KQL26583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRCSVLVLALAAALSVAVAYDPLDPNGNITIKWDIMSWTPDGYVAVVTINNFQMYRQIMAPGWTVGWTWAKKEVIWSMVGAQATEQGDCSKFKGNIPHCCKRTPAVVDLLPGVPYNQQIANCCRGGVISAYGQDPGAAVAAFQVSVGQAGTTNRTVKVPKNFTLLGPGPGYTCGPGKIVPSTVFLTPDRRRKTQALMTWNVTCTYSQHLASKYPSCCVSFSSFYNDTIVPCAKCACGCEHKTCVQGDTKRPLAVTGKHEHGHAAAARGHRDREAPLLQCTTHMCPVRVHWHVKLNYKEYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNITEVFSFDYKPVVAYGSINDTAMFYGLKYFNDHLMQAGPYGNVQSEVLMRKDASTFTFRQGWAFPRKVYFNGDECQMPPPDAYPYLPNAALPATAASLGTAVAAVVAAFVVLAVV >KQL27163 pep chromosome:Setaria_italica_v2.0:II:48178011:48179890:1 gene:SETIT_030339mg transcript:KQL27163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIAQHSTSISAAECLAPLLCVEAAIALLLLLVVRALLAMASSVSVLLLLCMAAVASAQLSPTFYDTSCPNALSTIKSAVTAAVKKENRMGASLLRLHFHDCFVQGCDASVLLDSGGEQGAIPNAGSLRGFNVIANIKAQVEAICKQTVSCADILAVAARDSVVALGGPSWTVPLGRRDSTSGSAALANSDLPPPFFNLSDLIGSFSNKGFSATEMVALSGAHTIGQAQCLNFRDHIYNDTNINAGFASSLKANCPRPTGNGDGNLAPLDTSTPYTFDNAYYSNLLSQKGLLHSDQELFNGGSTDNTVRNFASNKAAFSSAFAAAMVKMANLSPLTGSQGQIRLTCSKVN >KQL22663 pep chromosome:Setaria_italica_v2.0:II:4277809:4278360:-1 gene:SETIT_032777mg transcript:KQL22663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVMASAASSLAFAAAGERAGRFPARLPVASLAPRRRAPLVVRAAQNEDAETSSAAATPKPAEAKPASIWDTLAFSGPAPERINGRLAMVGFVSALAVEAARGDGLLAQVGNGAGLTWFAYTAVVLSAASLAPLLQGESVEGRSGGFMTADAELWNGRLAMLGLVALAATEYLTGTPFVHV >KQL27097 pep chromosome:Setaria_italica_v2.0:II:47792138:47792701:1 gene:SETIT_031548mg transcript:KQL27097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCCRLCRGTGGSCPIFKRLVTTLLGSLRRFMGRVFSINFPAIKALNEQIDTLPLYPLKIVNNVALLSKENTAVLNQRMHDLLLKVIPFQYPHKVKSATAAQLRVIHRKDRPCHFQW >KQL24248 pep chromosome:Setaria_italica_v2.0:II:28103468:28105408:-1 gene:SETIT_031610mg transcript:KQL24248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGRSKSSSSATPSAPSKAAAACSELRAAYHECFNRWYADKFAKGLFQKDDCADHWHKYRACLEEHLEDKHLRQILLDAETSAFYARPEADPPSGQGATK >KQL25263 pep chromosome:Setaria_italica_v2.0:II:36964500:36969310:1 gene:SETIT_029201mg transcript:KQL25263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLLLVAGAASTAAASGDGCRVGCPLALAAYYFTAESNLTFIASLFNFPDYTALLPYNPNITDPNYIVTGARISVPFTCSCLALPADPTSTYLAGSIPSNLSRGESYGDVAAEFANLTTAAWLKATNRYPADKLPASGTIDATINCSCGDKSVSPRYGLFLTYPLWDGETLASAAEQYGFSSPAQMDLLRRYNPGMGGVSGKGIVFIPVKDTNGSYRPLESGLCSAGTLLHFCELLCFYIEAIKHQQAKLDGLFRNTLSGGAVAGIVIACIVILIVGIWLVVIYYRRQKMRKAKSLPCPEDSVQLGSASQAEGIKVNRSIQFSYEELCDATHNFSMEHKIGQGGFGSVYYAELRGEKAAIKKMDAKATQEFLAELKVLTHVHHLNLVHLIGYCVENCLFLVYEFIENGNLSQHLHGTGYEPLSWTSRERIALDSARGLEYIHEHTVPVYVHRDIKSANILIDKDLRAKVADFGLTKLTEIGTASRSLPTRVVGTFGYMPPEYARYGEVSPKVDVYAFGIVLYELISAKEAIVRSTEFTDTTSLVCLFEETLSMPNPTEALEELIDPRLAGDYPVDSVLKMANLAKSCTHEEPSMRPTMRSVVVALMALSSKDNELTRGLELSPRELRSG >KQL24961 pep chromosome:Setaria_italica_v2.0:II:35005851:35009124:1 gene:SETIT_032061mg transcript:KQL24961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGVGVAVPEEGDSAAAELVGGAEGEAPLVAAGKEPPPPADGLPAVEVEGKGAEPPMEGAPTVTTVERAEEAHKAEDKNEAEAEDGVPAVQVEGKGAAAPLEGAPAVITVEGEQEAHKVEGKKEAEPEPEAKAEAEAEEEDEGEKWLGHYSSGQSILIVGDGDFSFSLALATAFGSGANLVATSLDTYEALRGKYSKAESNIMELKRLGATVLHGVDAKKMRFHTDLKNSRFDRIVFNFPHAGFKGKEDDMHMMNLHKELLWGFFFNARHLVRRYGEIHVTHKTGHPYDRWDLEHLASGSSLAMVEKVAFRKEDYPGYNQKRGDSARCDEPFDLGACSTFKFQIRDLKKLKKMNGQRAGSIPNLGCSNIHRQHFPLPDNTGNILMPLLPYIADQRPQPGFPPNSDGMVRAPYFPQHDSFHPMVTMPRPWLNALPNPDGINRQVSFHPMVSMPGPWPNALPDQGDIHQQDSFHPMVSIPGPWPNALPPPGGVPPPMSRIPCPDLHAPHEQHWYQQRTVPDQLGGDNYFSAREYEMQRQVMPGATGLNHSAFLGHHHMDGESVQKREWLRWMIARYGRP >KQL27104 pep chromosome:Setaria_italica_v2.0:II:47830293:47830679:-1 gene:SETIT_032355mg transcript:KQL27104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHAPLLFAVVAVLAVAAASASAYVRGADKELVIGGDDDVFGRGGMIGRRQLDDLNGTSADANATSADANSTSADDATTTTGYISYLALYRDSVPCSQRGASYYNCGPGAEANPYTRGCSAITQCRG >KQL22708 pep chromosome:Setaria_italica_v2.0:II:4702884:4707801:1 gene:SETIT_029361mg transcript:KQL22708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAHSLLHPPAARKAPTPSPSPSPSPSPFLRLPAPARPRLPLRLRSTSPAAASDLTDFPNPNGILAPIDVDAATEAELRENGFRSTRRTKLVCTVGPATSSPEQLEALAVGGMNVARLNMCHGDREWHRGVIRAVRRLNEEKGYAVAVMMDTEGSEIHMGDLGGASSAKAEDGEVWTFSVRSFELPLPERTINVNYDGFAEDVRVGDELLVDGGMARFEVIEKLGPDVKCRCTDPGLLLPRANLTFWRDGSIVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIKHLKSYIAARSRGSDIAVIAKIESIDSLKNLEEIIRASDGAMVARGDMGAQVPLEQVPSIQLKIVQLCRQLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEALELQGVSSSFSDKISEEICNSAAKMANGLGADAVFVFTKTGHMASLLSRCRPDCPVFAFTTSTSVRRRLNLQWGLIPFRLSFSDDMESNLNRTFSLLKARGMIQSGDLVIALSDMLQSIQVMNVP >KQL25073 pep chromosome:Setaria_italica_v2.0:II:35720723:35721805:-1 gene:SETIT_031979mg transcript:KQL25073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRDDDRPLLRAKVPVGVLGLPFLSGLAAGGDAKDLRFDLSTAFPSGPALRLSYRPNDPLQPFALSVRTGLGPLGSPARAPFALAAEFNLLSSNAPAFSLLFKPRIGDFGLASSVRSPPSPPPAPAQAPLAIKMADLTTNGDDHDRDAHAQANGFSFAGNGFAANVAAAAGRGGGGVGALLSGMQLTTRSVLPLWSKASLRFHWGLRVPPELKAALADDGYGRKAGSLAISKLPLLVMNKITIEHTPKASSQSETDKKRKKDAPPAGEGEEFSLMKRQLEALSTESTLLQRAVADLRAELGAGKGEGRRLPAALPAPQQPFVSKPDRHYHSSGKELVDSGLKPASDEASEELKKALEARRK >KQL23647 pep chromosome:Setaria_italica_v2.0:II:15703594:15706467:1 gene:SETIT_029323mg transcript:KQL23647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEEGAKLEGRAPRRRRGSPGQSGVSMTVVYYLCRSGRHLEHPHLMEMRLPSPNEALYLRDVICRLDALRGKGMAAMYSWSCKRRYKTGFVWHDVLEDDMLLPTQGSEYVLKGSLLLPHHSSPPALVAAPPPADHDHTNVGTTIIQKVQCVKPTPDEEAPTHSREGWTTNSSLSSPPTIEVDVEVEAPPPPLHQEPQPELSSISPSSSCTTGDRDEEAASARSSSSGSPASPTKPTRGSAGSAPSTSGSTSSPTPPPSLMLYNKQQVSIITAQGEATQTQGTSTGRDLHKKDTGCSTGSILIKATVPADDKQAGRTRSFSSSTSRSGTLESLIRAEALGRRGATAKRILEEDDDDDKEAVQSQGTKLNPANLLMRLMACGSTMSARQHLPACGLMRSTHKPQYLSQHVELLPSSPVLSPLGALIMHPVTAAGVGVISDSGDCSNCSGSMLQTAVKGCDVSEKEASVGNLDNLEGRSKIISQTIKMAPCQQPKSGTLVTITTDVRHNNGEQGCSNEASSKTLSRSASKRMTDPSPRSTRVVTFHDEKEKAVKIEERLASGARVIIQCTPLLKETYASAKAM >KQL23020 pep chromosome:Setaria_italica_v2.0:II:7419225:7420385:-1 gene:SETIT_032718mg transcript:KQL23020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGTTPATYLPDELVVEILARLPAKSLCRFKCVSHRWRRLISDPVHRARFVQTLSGFFFLSDEGPRFRFAALPSSVTPLEEDGDGPPLVDAALSFLPPRCGKIEMLDSCNGLLLLRCYNELSSSPPPPFYVVCNPATREWVALPQPRYNGYGQGARTWYAAVGFDPAISSHFYVFQVVEVDDGMTRCSIAAVEVYSSETGTWVLRECKPEWIDFYGRMTYFNGSLHLPIEHEEVVSVDPNGQSWKVTQVRHCEDHGHREGRGYVGHCRGRLIYANNDRKYDVLSIYVREDQASREWIMKHNVSKSDLLFEPWKHMLKPTYYIAGFHPDGDLVFFYDRTRNMLISYDMNHGDWHVVCTLEDFKHAHHPFFPYVPWYSRGLASPNIN >KQL22514 pep chromosome:Setaria_italica_v2.0:II:3241004:3242056:-1 gene:SETIT_032595mg transcript:KQL22514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPSSEPHVVEDIRGLVQLMSDGTVRRSADPASYPVVDADPDGGGGSGSDGDDDSGVEWKDVTWQREHDLNARLYRPRHLGAANDAQIPIVAYFHGGGAGGGFFCLGSGRWPGPHAWCLRLAAELPAVVLSFDYRLAPEHRLPTAQEDGAEAMAWLRAHAAHDPWFADDADFARVFVAGASAGGNIAHHVATEFGKTGLGPPVRIRGTVLLTPAMAGEARTRAEAAPPPAANSSLLTADMVDRYARLFLPPGATRDHPAINLAGPEAPPLEVAAMAPVLVVAAERDVLRDRHAQYARRMKEEWGKEVEYAELAGVGHGFSEADDPWTRPADELVRLVRRFVVAHMDAE >KQL25395 pep chromosome:Setaria_italica_v2.0:II:37862301:37865056:-1 gene:SETIT_030668mg transcript:KQL25395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVASSARGGRGGDGTVLGDLPESCVAEVLLRLDPPEICRMARLSRTFRGAASGDGVWESKLPRNYARLLAAAAAGVGGERQAAAATALEAETLPKKDVYARLCRRNRFDGGKKEFWLDKGGGGVCMSISSMALSITGIEDRRYWNFIPNDESRFHTVAYLSQIWWFEVRGEVEFCFPEGTYSLFYRVHLGRPFKRLGRRVYSSEHIHGWDIKPVRFQMSTSDGQQAQSKCYFTDPGVWINHHVGDFVVKDSNKPTNIRFAMIQIDCTHTKGGLCVDSVVVKPQYLTQQKAPRRFV >KQL22953 pep chromosome:Setaria_italica_v2.0:II:6692683:6696026:1 gene:SETIT_032452mg transcript:KQL22953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAVVSAATGAMSSVLAKLAELLHEKYKLAKGVRKDIEFLRSELGVMNDLLHVMADIEELDALNKGWRDTVRELAYDIEDCIDLSVARLHRAGGDASKGGFFGAQLARKLKKIRVSFQIAHQITELKARVIEESDRHKRYKLDGLIGSSSDASRNKVDLRICALWEETKNLVGLDGPRDEIIRLTVSIVGCAGLGKTTLANQVYQKIQGHFECKAFVSVSQNPNIKDILMKICSQVGATPSMADDELLLVNKLRERLQYKRYIAVVDDIWHSDPWKIIGQALVRTSPGSIIIVTTRLKDVAESCCSSHGGRVYDMRPLDDNDSRRLFFKRIFDFEDKCPHELERASEDILKKCDGIPLAIISISSFLAVDVPQSADHWNKVKESISLPLPGNKSVETMQLVLSLSYFNLPHHLRTCLLYLSAFPEDSQITRDPLIGSWIAEGFVNAEPGESLYEAGLRYFNDLINRSLIQPWCEVRGVVMSCKVHDVILNFLVSKSVEENFLTLSDPSGLPTSLHSKVRRLSIQNSYQENVVSWIKSIKPYIRSLACSVDCKELFPLTEFEVVRVLNLEDCGALTNFHLANVEVLLQLRYLNISGTGVSELPAGIGQVQNLETLDIRCTQVEKLPSTIVQLEKLARLFVSRKVKFPTEGFSKMKALEQLTCFSIHGQSLGFLKELGQLTNLRTLNIVDYEGSRWGIFTSSLQALCSHKLLDVSIYTTEWTPIPMDSSFPALQSLRTFVISTIISLPSWMGLLDLRVLGGMPALETLILYLAQTHAGPFTIQEHEFQHLKSFSVGELYQILFMPGAMPNVKHLQIELKIERDAFATNSYRDDLGIQHLASLTRVDVGIKCENRDCLVVEFLEAKTRSLLDTHPNRPTLIFNTHFFLGADFFFRPSVFTKRFEKID >KQL25923 pep chromosome:Setaria_italica_v2.0:II:41286126:41291380:-1 gene:SETIT_030645mg transcript:KQL25923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMSPFPGMDLSKMDAPTLTLLGAAGGVMLSMHFTVQLVSQHLFYWKNPKEQKAILIIVLMAPLYAISSFVGLLDIQGSKTFFTFLDAVKECYEALVIAKFMALMYSYLNISISKNIVPDEIKGRVLHHSFPVSLFLPRTVRLEHKTLKLLKYWTWQFVVIRPVCSILIITLQLLGLYPSWVSWTFTIILNFSVSMALYALVLFYHLFAKELAPHKPLAKFLCIKGIVFFSFWQGIALNVLTEVGVIQSHHFWLDVEHIQEAIQNVLVILEMVVFSVIQQYAYHVAPYSGADRAKFEKKNE >KQL26687 pep chromosome:Setaria_italica_v2.0:II:45766724:45769664:1 gene:SETIT_032025mg transcript:KQL26687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQEWSMSDFEIGRYIGEGKFGKVYLAREKKSGYVVALKVTYKAKLEKYRFHAHLRREIEIQRGLDHPNVLRLFAWFHDEERVVLVLEYAARGELYKVLRAAGRFTERTAATYVASLAGALAYCHKKQVIHRDIKPENLLLDIEGRLKIADFGWAARSNAKRHTLCGTIDYLAPEMIEKKAHDHAVDNWTLGILCYEFLYGSPPFEADEQDDTLRRIVRVDLTFPSTPSVSSEAKDLISKLLVKDSSKRLSLEDIMKHPWIKKNAEPSGSCIKQKDLGRVK >KQL25447 pep chromosome:Setaria_italica_v2.0:II:38260538:38261695:1 gene:SETIT_031917mg transcript:KQL25447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSPPAISPARLHKLVTLQPDPLLALELVTFTSPTTTPHPATLHSLLLRLARRRDHLPHALALLRRLPSPPSPRLLLPLLLAVLCLRRPPQLFLSTFNSLFVSGPSPLPLHPEVLIRLLGVLSSTASHFPSALHLLRLVSSRLPLPAPLVLASHNLLIEAATRSGHVAVSLSLFHRLRSLHVSPDADTYRILTQSLCRKAQVRTAATLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKVVGDMVDSGCTPNAATYATLVNGLCVSGLYEKAEAYLVDMVGKGLVPHFSLFHSVIKGYCGVGKVEEAAQIMTWMLDLGVTPHVESWSSVIRCVCNDEDCVEAVLLQL >KQL27235 pep chromosome:Setaria_italica_v2.0:II:48749117:48750531:1 gene:SETIT_0304781mg transcript:KQL27235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCAVRHDRAEVIPERLAVVTGGNKGVGLEVCRQLALQGVAVILTARDEKRGKDAAESLRCESELTNIIFHQLDVRDDNSVTSLAWYIESRYGKLDILVNNAAVLGIVADEEGLKALNIGAETWTSGRAANLLKEVFQNTYDEALNCLNTNYYGCKRVTEALLPLLKLSTSGARIVNASSLASELKRMPNEKLRNDLCDINIWDEDRIEALLNTFLEDLKNGRLEEAGWPMMLATYSVSKMVINLYTRIMARRYPEMRINCVRPGSVKTDICWNLGLLTPEQGARGPVMLALLPDDGPTGCYFDQTEMVKIW >KQL23299 pep chromosome:Setaria_italica_v2.0:II:10612879:10618000:-1 gene:SETIT_030444mg transcript:KQL23299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDARLSLLPCRLPAPLPPPPALRRSPARAAAASTSPRCRVGAAAAAAGPAQPQPRARRGRWRIRASSEGEAQVPVQQQEEDEVVDSNVLQYCSIDRKQKKTIGEMEQEFLQAMQAFYYERKAVMSNEEFDNLKEELMWEGSSVVMLSPDEQRLLEASMAYVSGNPIMTDAEFDELKLKLKKEGSNIVQEGPRCSLRSRKVYSDLTVDYFKMFLLNVPAAVVALTLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLIFWIAQAITNAIVKDFLILKGPCPNCGNENLSFFGTILSVPSGGAKNNVKCANCGTELEYDSASRLITLPELAEA >KQL22680 pep chromosome:Setaria_italica_v2.0:II:4561549:4564137:1 gene:SETIT_030040mg transcript:KQL22680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFSRPLVSVKALEGDMATDATGLPYPAVFSAPIRPDVVTFTHKLLSCNKRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRKWHRRVNVNLRRVAVASALAATAVPALVQARGHRIETVPELPLVISDSAESIEKTSQALKILKQVGAYADVEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLEEVYGTFDTPSQKKKGFVLPRPKMANADLGRIINSDEVQSVVKPLNKEVKRREKRKNPLKNMAAVLKLNPYLGTARKMATLAEAARVKARKEKLESKRTKLSPEEAAKVKAAGKAWHRTMISDSDYTEFENFSKWLGVTQ >KQL26773 pep chromosome:Setaria_italica_v2.0:II:46260998:46261403:1 gene:SETIT_033699mg transcript:KQL26773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIISTLPKTSLCPPEKSQLLNSSKTIYSCFKTNKHVYGSQASILQMTSQATSDWNI >KQL22789 pep chromosome:Setaria_italica_v2.0:II:5281997:5284702:1 gene:SETIT_032104mg transcript:KQL22789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSLHGAQLAAKSSEDDAGMDRLSALPNDVLLRILLRLEDAAVAGRTSVLSSRWRRLWALLPELRFHSSREPRLIASALLAHEAALSCLDVGAEDAAPESVAAWLPVAARRLSGSLVFTNRVPGKKNANEDGEERGAFELPCLANATGVSLDLGCLGVSVPRAGVFARLTELSLSRVQFRGGPCALGDAVSSRRCPCLEKLTVRDALGPSDLAIRSDSLRHMELADVRGLRRLTVVVPALEHLTVVACFYHHHPNRPVANITARQLKELRWGDMFDRRSVQLGKMKHLQCLCPDILLVYGSSPNRSCIELLRCFKVIQKLSLTLIYPPDIDDQPYLLGDMKILPGATFLHLSLTSNGHAFGASLFHVLRLCSGTRKLALKLFSDSLEEQNACPPGCICVEQQNWKTEELLLNQLRELEITEFRGSENEVTFVKQLFKWATVLKRMKLTFNSLVKESVAERFYKILQSFSRPEICMEFYMYKDMMEVLYAPED >KQL22637 pep chromosome:Setaria_italica_v2.0:II:4110795:4112101:-1 gene:SETIT_031966mg transcript:KQL22637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAGLPESDPDPPPRLHPPPPPPPQRRPSSASPQRLSCPTSSFAGMGLWTLLEGFLLLANALAILNEDRFLAPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNVLIIVVKLVSG >KQL26921 pep chromosome:Setaria_italica_v2.0:II:46943948:46945432:-1 gene:SETIT_029953mg transcript:KQL26921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWIQLYLFRKTHLNSPDRQFHLTYSASQRAQTPKLCLYIARPSRDRANRSSRTRARQTRSGEATRKMEAGNQGAEANRPTRPALPLWVRIQLAGLTAAIDAVERSDGTVNRCLYGAIDRLLSARANPRPDKSGVRSYDVTMDASRGIWARVFAPVSADRPLPVVVYYHGGGFALFSPAIGPFNGVCRRLCAALGAVIVSVNYRLAPEHRWPAAYDDGVDALRFIDARGGVPGLGAEVPVDLASCFLAGESAGGNIVHHVANRWAARWQASARSLRVAGIFPVQPYFGGVERTESELRLEGVAPVVNLKRSDFSWTVFLPVGATRDHPAAHVTDENADLAEEFPPAMVVIGGFDPLMDWQRRYADVLRRKGKAVEVAEYPGMFHGFYGFPEIPEATTVLHDMKAFVDRHRATPPKTDAA >KQL25712 pep chromosome:Setaria_italica_v2.0:II:39978603:39981241:1 gene:SETIT_030443mg transcript:KQL25712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVLPADREAQHETAPQPAKNLPLCRQGSPFQLPSLHCQPVQSTSTTCHRPAYRGGDKPMAMEKAASFLSSLIGGGGGEPAATVKSILIYPIKSCRGIAVPQAPIASTGFLWDRQWVVVNAKGRAYTQRVEPKLALVQVDMPPEAFAEGWHPTADDHMVITAPGMDPLKIPLAAECATIDDVSVWEWSGSAYDEGAEAAEWFSTYFEKPSQLVRFKEASETRSCFQDYSPGYKIMFSDCFPFLIASQGSLDALNEILKEPVPMNRFRPNILVDGCHPYSEDLWKTIKINNLTFEGVKLCNRCKVPNINLYNGIHGPEPTETLLTFRSDQVLRPSF >KQL22146 pep chromosome:Setaria_italica_v2.0:II:214813:216216:-1 gene:SETIT_032548mg transcript:KQL22146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERFTQIKAAFDLFDRNMDGFITVEDLGAVLESLGQNNTAFELQSMIAMVDSDGDGAINFEEFSMLMELKPNGVDSDEEMTRAFRMFDMDKDGFISEAELCHIMYNLGSNLSGDEVKEMMRVADTDGDGRLSYEEFKQIMHRI >KQL22123 pep chromosome:Setaria_italica_v2.0:II:73659:78639:1 gene:SETIT_030363mg transcript:KQL22123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGGVIFSNKATRSTFKQQCHYVQAAQPMVWKYQATASVADKALVQLNTTVQTPQGLRKSRMLVTGSMNESGGSVYVMDLSDTIETTIGSWTRVASLDHTVWTADCSSDSKHAAFGTDHGAGLVDLETRGFSRLCRSKSAILSLKFVHSGNVVLCGLRNGSIAPVDVRQKHLNHPTGVPSPSTARRTVPMLSTRRHGRWRNQADKDKCSRDISMSSAVCSLVTLSSDENYFLGSSMDGSIKLFDLRLIQKGGIQSYEGHVNSHTQLPLVVDPSETLLMSGGEDCTVRIWSIKTGELIFAQSVADTPFTAFCWPENSHDLCGSSLLDANHSWGAWLGSHDGLFYMHGT >KQL22927 pep chromosome:Setaria_italica_v2.0:II:6419971:6422820:-1 gene:SETIT_032296mg transcript:KQL22927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQAFLRAADGARETTGVLKAYLELIRDLAYDIEDCLEEFMVFIKHKNLVQQLLSLRARHRIAVQIRILKQRVQEVSQRNLRYNAIKLTPSTSSDFRVDMGLTQNLAALYVEETQIIGLDKQKEKLTKLITKPKVLEKLEASKSGPRVISIVGMGGLGKTTLTKKVYDSKELGDMFDTRAWIAVSQSFDPKELLKEMIKQLFGAYSLKEFLEEHQGQVLGVRHLTDHLRTRLKERRYLVVLDDVWTIEAWNCIYLSFPEHSKDDSCVVVTTRNHKLAEHCSPPSHIHQPEILEGKDARSLFLKKTNKRPDDLENDDRTKEIVGKILNKCGGLPLAIVTIGGLLANKDTKEWENLYNQLPSELATNPSLEALRQVVHVSYNHLPSHLKPCFLHLSLFPEDFEIERKHLVNRWVAEGFVTNATSRTLEEVAESYFYELISRSMIQPSKLDVLGNVKTCRIHDIVHDIAVSISSQENHVFLVEEHTSATSTSTESIRHISCFTKWKLNSAMDFSRVRSLTMFSKPLQPIASLCSYEFKMLRVLDLKNGEFITEQQDIRNISMLLHLKYLHFPCWYSHIYALPRSIGNLQSLLTLDIRKSAVSTLPTEITKLHNLHSLRCSRIQNDDRAGVYFRRSDYGDWFCDALSLTGLANHDTRNDANADLHGAVSSCWSYSSGIKLPKGVGRLKQLQILEKVDIKRTSRRTIEELGELTQLRKLVVRGRGASKKKCKAFGEAAPKLSSLRSLNVSTKDYVREAGVLDMLVSFTSPLPCLERLKLKGLLQQVPAWVGECVSLVKIDLKYCKFKELGALAQLPNLIQLRLFEDAYDAEKLVFCRDEFPKLRILRLQHFRRWPLTREVTFEQSTSPNMETIYIKNYPLTSGINGIENLPKLKEVYIEGGMLAKQDMLKEEAGRHMNHPVLQIQRCLPPITEESEATVEVTESISEQGESSQS >KQL26075 pep chromosome:Setaria_italica_v2.0:II:42209672:42210604:-1 gene:SETIT_032490mg transcript:KQL26075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARPAQNSRPAVQSERDARPVDERVQRLLEAYRPWEVLDGMALTIIDQTYAALVEILGLDAPPTGGGPVKASHLLEPPDDADSPGLLPVLVVVEASATHCSVRLIDGGGGGPDHARPHAALMKAASRQRQHGVNRRAIANASPGMIHLARAAAAVGGGDDDEGGEGADRWKKAVGDVQLDVSEKALLGVLDAMRAHMDTAIWLEDGVMTMARAGGCRSRIRATDIIKVRMTLGQMRRELDLSAVMRRFRRHRCMMQNFNRRRPAAEMDVDQVDEEDVLTKRLKGLHVMENTSSEATHGEQGNNAKYPL >KQL27202 pep chromosome:Setaria_italica_v2.0:II:48507882:48516056:1 gene:SETIT_028872mg transcript:KQL27202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALKGYFGYSSFRPYQREIIQKVLDGRDCLVVMATGSGKSICYQIPPLVTKRTAVVVSPLLSLMQDQVMSLKQKGVKSEYLGSTQTNSSASSDAEKGIFDVLYMTPEKAISLPSRFWNNLQAAGICLLAIDEAHCISEWGHDFRVEYKQLHLLRDLLVGVPFVALTATATERVRKDISTSLVLRNPHVVVGSFDRHNLFYGVKSCNRSISFISELVKDVSKRSAVGESTIIYCTTIRETEQVHEALVTAGIKSGIYHGKMGSRAREESHRSFIRDEVLVMVATIAFGMGIDKPDVRCVIHYGCPKSLESYYQESGRCGRDGLSSVCWLYYQRSDFTKADFYCAEAKNGTQRKAIMDSFMAAQKYCLLATCRRRFLLQYFGEELNSDCGNCDNCTAVKNVRDLSKETFLLLSCVKSCGGRWGLNLPIDVLRGSRAKKIVDNNYDKLQMHGRGKDYSPNWWKALGGLLIAHDYLKETVRDTFRFVSVSPKGVKFLSTADKMDGTPLVLQLTAEMIDLEEHGSSQHKEGGGLNLVPTLESEKFSEDESKLYQMLLNVRMKLAQDIGTAPYAICGDQTIRNFAKMRPSTGARLANIDGVNQHFISRFSGIFIQNITQLSKELNLPLDNSPLPPPTTTNPAVENIAGLPKPVQNNLPGILGDAKLTAWELWQKQEFSFLKIAYFRRAVPIKEQTVIAYILDAAREGCEVDWSRFCREVGLTPEIASGIQLAIAKAGSRDKLKPIKEELPENVTYDMIKTFLTIEGRGLSEQVFGSAPASSHATEAGGDDNPGDGVLTADTLDANPSAKRGCQTDGMIGSADQLAMKQQKIEEHGVESSGTTVATEESVLELVASRDGVLLDEVVKHFNGSKRESVVEILDSLESEFEIYKRNGKYKIM >KQL24742 pep chromosome:Setaria_italica_v2.0:II:32776703:32780038:-1 gene:SETIT_029292mg transcript:KQL24742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGAGLGLGMEGTSCGALLKELQQIWAEVGESESEKNKVLLDIERECLEVYRRKVDDANRTRVQLHQSVAAKESEVASLMATLGEHKLYLKKDKGVVSLKEQLAAVVPVLENLKCKKEERIKQLSDVRSQIEKIRFELSEFNDQGDNASSLAADEHDLSTRTLNSYQAQLRALQKDKSERLRKVLEYINEVHSLCGVLGIDFGSTVNEVHPSLHQNGVEQSRNISNSTLEGLASTISKLKAERKSRIHKMRETMESLCQLWKLMDSPEEEKRQFSKVMSSLILPEEGITSPGVLSQEKIEKMEAEVERLTKLKTSRLKEIVKKRRTELEEICQNAHIEPDVSTAPEQTDALIDSGLIDPSELLANIESQILKAKEESLSRKDIMDRINKWIAACDEEAWLEEYNQDPKRYSAGRGAHINLKRAEKARILVIKIPSMVDNLINRTFAWENARNKPFLYDGVSIKLDDQ >KQL24741 pep chromosome:Setaria_italica_v2.0:II:32775656:32780564:-1 gene:SETIT_029292mg transcript:KQL24741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGAGLGLGMEGTSCGALLKELQQIWAEVGESESEKNKVLLDIERECLEVYRRKVDDANRTRVQLHQSVAAKESEVASLMATLGEHKLYLKKDKGVVSLKEQLAAVVPVLENLKCKKEERIKQLSDVRSQIEKIRFELSEFNDQGDNASSLAADEHDLSTRTLNSYQAQLRALQKDKSERLRKVLEYINEVHSLCGVLGIDFGSTVNEVHPSLHQNGVEQSRNISNSTLEGLASTISKLKAERKSRIHKMRETMESLCQLWKLMDSPEEEKRQFSKVMSSLILPEEGITSPGVLSQEKIEKMEAEVERLTKLKTSRLKEIVKKRRTELEEICQNAHIEPDVSTAPEQTDALIDSGLIDPSELLANIESQILKAKEESLSRKDIMDRINKWIAACDEEAWLEEYNQDPKRYSAGRGAHINLKRAEKARILVIKIPSMVDNLINRTFAWENARNKPFLYDGGRLISVLEEYRLSRHQKEEEKRRYRDQKKLESILLAEKEAIFGSKLSPKRTNSLNRKTNGYRPNGNTNGLKTPTPRRSSLGSATSELLTPRSYSGHNRYFGDLRRLSTSHLNFGDDSLSTFTSISGSEPESPPLG >KQL24740 pep chromosome:Setaria_italica_v2.0:II:32776100:32780564:-1 gene:SETIT_029292mg transcript:KQL24740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGAGLGLGMEGTSCGALLKELQQIWAEVGESESEKNKVLLDIERECLEVYRRKVDDANRTRVQLHQSVAAKESEVASLMATLGEHKLYLKKDKGVVSLKEQLAAVVPVLENLKCKKEERIKQLSDVRSQIEKIRFELSEFNDQGDNASSLAADEHDLSTRTLNSYQAQLRALQKDKSERLRKVLEYINEVHSLCGVLGIDFGSTVNEVHPSLHQNGVEQSRNISNSTLEGLASTISKLKAERKSRIHKMRETMESLCQLWKLMDSPEEEKRQFSKVMSSLILPEEGITSPGVLSQEKIEKMEAEVERLTKLKTSRLKEIVKKRRTELEEICQNAHIEPDVSTAPEQTDALIDSGLIDPSELLANIESQILKAKEESLSRKDIMDRINKWIAACDEEAWLEEYNQDPKRYSAGRGAHINLKRAEKARILVIKIPSMVDNLINRTFAWENARNKPFLYDGVSIKLDDQ >KQL26332 pep chromosome:Setaria_italica_v2.0:II:43802311:43805986:-1 gene:SETIT_029666mg transcript:KQL26332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGEAATHYTTDDALTRVGFGRFQALLLAYSGVGWVAEAFEIMLLSFVGPAVEAEWGVSGAEQGLISSVVFAGMLIGSIAGGLIADRCGRRTGFLFTAVVTGIFGLLSAFSPNFASLLALRFVAGLGLGAGHVLSTWFIEFVPAAKRGTWMVVFHCCWTVGTILEALLAWAVMPGLGWRWLLALSSAPCFVLLIFFPLTPESPRYLCSRGRTMDATVILERIARMNRGTLPPGILIYTPEKHVDNNLGTSETALLIAEDNAGTEDDTSSKTSGIVAFQALWSYDLLQSTFLLWFLYLANYFAYYGVILLTSELSNGKRRCTSARTHLMQPNSINLYRDVLLTSLAEFPGLLLAALLVDRIGRKTSMGGMLFMCGAFLAPLSVQLGEGLVTTLLFCARTCIMGSFAVLYVYTPELYSASTRNTGVGITSSLGRIGSIVSPLVTLGLLESCHQKEAVFVMDLVLFLAGATCAFFPRETKGCQIQ >KQL24429 pep chromosome:Setaria_italica_v2.0:II:30029467:30029653:-1 gene:SETIT_031815mg transcript:KQL24429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLISKGLAHIRARVACCSSRPTSPSASWAQIEVPLALSHKTPLCICLTLGNWKGI >KQL24693 pep chromosome:Setaria_italica_v2.0:II:32284136:32289839:-1 gene:SETIT_029980mg transcript:KQL24693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPAPPPPPADALSSLPDAVVDGSILTRLDLRDVVRTSALSRAWRHRWESLPTLSLSLRDGIGTPPSIVDSVLSCYAGRIPDFSIRINSQSACRVDDWLVDLSLRDVQSMDLRSNDCLLSIRSSIYSFSHLVTLKLHRCDIPTSPVGFAGFPLLKDLELVDAHLSEIEDLEAIVRGSPLLDALMLSDAYILNNELAGCVIEAPNLRSLTIISVVVYGWQFGGLPRLDNATIDLDTYMYEGDLGEFLAGVAHARKLTLTTFYLPKMGDDTLLETLPYKFFNLRSLFLCTHFCGLYSILATFCLLRNAPSLEELEITINGDQEQETEANTEFQNTQWTNGMCGSLQVVTINDISCLSNEMCFIKLVLSKATSLRTMSIILDDEFSRSNENILSELNTNRRASPHAQVFLQRYHWTK >KQL22942 pep chromosome:Setaria_italica_v2.0:II:6543454:6545352:1 gene:SETIT_030974mg transcript:KQL22942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPAAGLSLTRSSSGHLSPAAAGSVLQPVSGSHVSQQRRRRRFAAGVVRAAPDAPPAVRAAVSAVTELLRVLSPSKKPRDAAQEDKALDPPPCDSVEDVLAVLQDDYRRAYFLTGDFTPGIYTEDCLFEDPTIKFRGLSRYSQNLDLLVPFFDSPSLELENIEKDIFEASMEALDCDPREHNL >KQL22941 pep chromosome:Setaria_italica_v2.0:II:6543454:6545352:1 gene:SETIT_030974mg transcript:KQL22941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPAAGLSLTRSSSGHLSPAAAGSVLQPVSGSHVSQQRRRRRFAAGVVRAAPDAPPAVRAAVSAVTELLRVLSPSKKPRDAAQEDKALDPPPCDSVEDVLAVLQDDYRRAYFLTGDFTPGIYTEDCLFEDPTIKFRGLSRYSQNLDLLVPFFDSPSLELENIEKGLRVETRFVKATWKLRTYLRLPWRPLIAIRGNTTYDLNEDYKVVRHSESWDVSALEAIGQIFVSAPEQRKDS >KQL22943 pep chromosome:Setaria_italica_v2.0:II:6543484:6544739:1 gene:SETIT_030974mg transcript:KQL22943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPAAGLSLTRSSSGHLSPAAAGSVLQPVSGSHVSQQRRRRRFAAGVVRAAPDAPPAVRAAVSAVTELLRVLSPSKKPRDAAQEDKALDPPPCDSVEDVLAVLQDDYRRAYFLTGDFTPGIYTEDCLFEDPTIKFRGLSRYSQNLDLLVPFFDSPSLELENIEKGLRVETRFVKATWKLRCFCKLKKNV >KQL24691 pep chromosome:Setaria_italica_v2.0:II:32249342:32252550:1 gene:SETIT_031031mg transcript:KQL24691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSPPSARFATGLRPAPAGVRSSPIGSSPYFAALRGLFSHPSASRVLSVSFRQRPVASPFAGRSRLPSAARSSGDPAEVQERMGRSQPKVSHLKSIHISLLLEKLKRYGAAGVLSYGLLNTVYYVSAFLLVWFYFAPAPGRMGYGAAVERFVKLMAMVWAGSQVTKIFRAGGALALAPFVDRGLRWFTVKFNFKSEGRAFATIVGLCFGIAALLFFGLTILWA >KQL26109 pep chromosome:Setaria_italica_v2.0:II:42393988:42395708:1 gene:SETIT_031252mg transcript:KQL26109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSILSLFVLVATAPLAAAAAVWSSVSCGSDGSYTANSTYEANLHHLAAVVPAEAAAAHRFDTYHIFGYWPNRLQADWSCQSSGSDCAACIADAFKQVELECPFHREAFFFGGNCTLRLTEYRILDDDVFGTTPLYLEINR >KQL26108 pep chromosome:Setaria_italica_v2.0:II:42393988:42395708:1 gene:SETIT_031252mg transcript:KQL26108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSSILSLFVLVATAPLAAAAAVWSSVSCGSDGSYTANSTYEANLHHLAAVVPAEAAAAHRFDTYHIFGYWPNRLQADWSCQSSGSDCAACIADAFKQVELECPFHREAFFFGGNCTLRLTEYRILDDDVFAMNPLAMGMIFQAFGLACLFLLFLRAWRHDIKKGTIMHHTPLSGDQ >KQL26806 pep chromosome:Setaria_italica_v2.0:II:46409784:46418662:1 gene:SETIT_029119mg transcript:KQL26806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEIPAEVRRYWLPILLFTAGFLFQLIVLPGHFPPSHYDALGIERFAPVERVVEAYERLSKEWLSETNHQPTVDIIKIRYAYELLTNPILKRDYDLFGLDEHMDVLERVKEQYQKEHFLKIDLPLLKDSVVSLTDDAFNVLTHESFNAAIAEEYPLIIMVYSKGSPRCAQFIEYWKQIGTRLDGIAKIAMVEVGDSQLAGHFTEKGFSKQPFFRNGVPAIVAYPANCRSPSCYMRYPGGLFVDSVVDWVATSVIGLPRILYYSKETLGPQFIGKSGNHKVKAIFFSSTGERAAPFLRQAAQEYSSYVSFAFVLWREDESQIWWNSLGVESAPALVFLKGPGTKPVVHHGTFSKSEFTEMMEEHKHHELRQLRSDTSLELGCDARGHSRAGNDATIWYCVIVAGRPGVELSKKRQILRMAQDQLIGAVDGSTSGNVDDSVEISSAATALKDERLTFVWLDGEVQKQICAFYLATDYSGACGPRGFGDDNEKPEVFIVRFQRNATYEALKAEKRNNLMEALQGQHTYASQLVARHKGPDEIQEINRWISQIIKDGDTREIPYFTSKVPDLVPEETSKEWLRSTKSIRSAGKSLKENFGFHFQDYLTDPRIGSTLLMCGCVSLGIIWLRNNKPAQSTRQEKAPKDRTKRPRPKLSTSLFGQPSESIADVEPKDARQWEMSDSDSD >KQL26233 pep chromosome:Setaria_italica_v2.0:II:43199003:43202865:-1 gene:SETIT_030725mg transcript:KQL26233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSLPFAALRGASEWRPSSAAAAVSGAVVLSARARRGSRSVVRCVATAGDVPPTVAETKLNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKRTYQYDPVFALGFVTVYDQLMDGYPSNEDRDSIFKAYITALNEDPDQYRADAQKMEEWARSQNGSSLVDFSSRDGEIEAILKDISERAKGKGNFSYSRFFAVGLFRLLELANATEPTILDKLCAALNVNKRSVDRDLDVYRNILSKLVQAKELLKEYVDREKKKREERSETPKPNEAVTKFDGDLYSMRH >KQL26232 pep chromosome:Setaria_italica_v2.0:II:43198582:43202997:-1 gene:SETIT_030725mg transcript:KQL26232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISSLPFAALRGASEWRPSSAAAAVSGAVVLSARARRGSRSVVRCVATAGDVPPTVAETKLNFLKSYKRPIPSIYSTVLQELLVQQHLMRYKRTYQYDPVFALGFVTVYDQLMDGYPSNEDRDSIFKAYITALNEDPDQYRADAQKMEEWARSQNGSSLVDFSSRDGEIEAILKDISERAKGKGNFSYSRFFAVGLFRLLELANATEPTILDKGPRCLPQHTLEVGSSQGTSQGICG >KQL24566 pep chromosome:Setaria_italica_v2.0:II:31330793:31333810:1 gene:SETIT_030118mg transcript:KQL24566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRSLAAAALVATAAAVVLSSLLYRRKCGRLAARVRELEAALAVAAEKAASERRGRVRAQQSLRRALSEQEPRPDEARPVKAAAAAAPASYPMAPIGTVQSCFSTRNGTPRQPLVVTLARATVALDPARVPAAALEGLASYSHCWILYVFHLNTDLDKMWKDPARSKVKAKVRVPRLKGGKMGVLATRAPHRPNPIGLSVAKVEVVDGHAVLLSGVDLVDGTPVIDIKPYLPYSDSVKGAAVPNWLEIDGALAVESIHFSEQFISALHICWVHAQKQSLYASADEFQDLIKQVLSWDIRSLSQRIRPHQVDMERETNSHRSEEADEDHGDGASSGVVYHLHLEGIDVSYRIDQGSNIVVEDAALLTVVRNQNRDGYLAWRDKLGSSGL >KQL25169 pep chromosome:Setaria_italica_v2.0:II:36405979:36411953:1 gene:SETIT_028735mg transcript:KQL25169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDDEVMSRAPPPQRRGISYSQPLSRDAASARRAALRNHSLDDDHILPVSHSLNYPLHHDPTAGAPHVGYHPPLPPHQHHPSASYSSGSRRSGGGGASEGSMTLERAMSEYGGGSGTLPEFVGAGGGKGIFRVPLRAAMHPGRPPPLEVRPHPLRETQAGSFLRTLAAEQQRRQLWAGAESGIRVWALDEVFAEWGAGARRGDEESAPFREGVPAPPALCVAVDRANRLLWTGHKDGRIRSWRMDLDAAATAPAPAAAGVGDGGGSVGGSSQGGGNNNAPVFREALTWQAYGRTPVLSMVVTSYGEIWSGSEGGVIKAWPYDAIAKSLSLSPEERHMAALLVERAYIDLRNHCTVGNVCSLPASDVKHMLADHSRAKVWTVTSMTFALWDARTRELLKVFGMDGQVESAKLETPVMPEQPMEEEVNPKAKPSKKDKSQGSLNFFQKSRNVLIGAADAVRRVATKGTFVEDNRRTGAVAQVMDGTIWSGCTNGAIIQWDGNGSRVQEFQHHTSSVQCIKALGERVWVGYASGTIQVMDADGNILAGWTGHSCPVIKMAIGGSYIYTLAHHGGIRGWPLNSPGPLDDIIRTELSNREQSYTRMEKINIMVGSWNVAQGKASAESLRSWLGSVSSDVGLVVVGLQEVEMGAGFLAISAAKETVGLEGSVNGQWWIDNIGKALDEGTSFHRVGSRQLAALLIAAWARKSLKPYVGDVDAAAVPCGLGRAIGNKGGVGLRIRVYDRKMCFVSNHFAAHLEAVSRRNADFDHIYRTMAFNKPHGSTASATSVQLHRTVNVNGNQVEEVRPDLAEADMVVFLGDFNYRLYGITYDEARDMVSQRSFDWLREKDQLRAEMKAGKVFQGMREGIIKFPPTYKFQKHQPGLGGYDSGEKKRIPAWCDRVLYRDSRSVSVAECSLECPVVASITSYVACMDVTESDHKPVRCTFSVDIARVDELIRRQEYGEIIESNEKVRSLLQEACFVPETTVSISEIKLENQENIVFQITNKCETSKAAFEILCDGQSIKKEDGTKSELLPRASFGFPLWLEVQPAVGLIKPGETVEITLHHEDFYTQEEFVDGIPQNWWCEDTRDKEAVLRINITGSSSTETKTHTINVQHCCPPSSAPPMMNPPAAAVPPSNVLASEGHSKRSSKKSQSKHRDQQQQQDYPQFGSSEVHDLCRMRCP >KQL25500 pep chromosome:Setaria_italica_v2.0:II:38664845:38666670:-1 gene:SETIT_030253mg transcript:KQL25500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAGTALSLLRLLVLLVVVVMSPWQVAAGNLTVAGGGGPRVPAVLVFGDSIVDTGNNNAVLTLTKSNFRPYGHDLNGGVPTGRFSNGRIPTDLLASRLGLKDLVPAYLGTDLTDYDLRTGVSFASGGTGYDPLTSTLVAVLPMQEELNMFAEYKEKLAGVVGDEAAEGIVTESLFLVCAGTDDIANNYYLAPVRPLQYDISAYVDFLVQQACDFIKQLYDRGARRIAILGLPPIGCLPSQRTLAGGLARDCDPARNRAARMFNSRLQGVVARLQGELRCQRIGYVDIYDVLHDMITDPCRYGFDVSTRGCCGTGDFEVSLLCNRLTAATCPDDRKYVFWDSFHPTERAYEIIVDYLFPRYVEKLL >KQL26969 pep chromosome:Setaria_italica_v2.0:II:47182997:47183275:1 gene:SETIT_033225mg transcript:KQL26969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRALYNEIRGMKVRENVKKSADQAVDHYIEKYIEASKPDPLFHVCFGGMAFSYLVALPWERAHLAHLEEMERTGGKHH >KQL22797 pep chromosome:Setaria_italica_v2.0:II:5363877:5365618:1 gene:SETIT_033121mg transcript:KQL22797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLVGMAMVALPECSPQGISNIAWALSKIGGDLLYQSEMDRIADVAITKVQEFNAQNVANVAGAFPSMRQSAPGLFSALAQRAAQILQTFKEQELAQFLWDNDARFQCHVSDVTSSMHQEMDRPLNFSRDQIGNIAWSYAVIGKMDRPFFLHIWRTLSQFEEQREVGRLLYSTGHEWVREYAIDGYTVDAVLVDEKLAFEIDGSTHFSRNLGTPLGHTAFKRCYITPSGWKLVSLSLQEWDELQGEFEQLEYLRRILDIEAE >KQL25557 pep chromosome:Setaria_italica_v2.0:II:39036686:39038365:1 gene:SETIT_033055mg transcript:KQL25557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSTIVTSQCIVILPSFANPYSWYILSSSLKIIVLRYGNGTPNLLPSAVYTVQCPLSATREVEQHVSSSLVAVLTL >KQL24249 pep chromosome:Setaria_italica_v2.0:II:28108136:28120428:1 gene:SETIT_028844mg transcript:KQL24249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLLALMAAAAAGLLLLVVASPVVVVVAGDSGGGRGLEEEAGKAANVEAAAAPPRTAPAAPPPPSAGSPGQFRGQARLPRFAAPRHYDLRLRPDLDACTFTGTAAVTVAVSAPTRFLVLNAADLSVDRASIRFRDLAPKDVVFFEDDEILVLGFSNELPLGEGVLSMKFNGTLNDQMRGFYRSKYQYKGKMKNMAVTQFESVDARRCFPCWDEPSFKAKFKLTLEVPPGLVALSNMPIANQTLTGPFKTISYEESPLMSTYLVAIVVGLLEYIEGVTPEGTKVRVYTQVGKSNQGKFALDVGVKSLHLYKDYFGTPYPLPKLDMVAIPDFSAGAMENYGLVTFREVALLFDEESSSESSKQNIAITVAHELAHQWFGNLVTMEWWTHLWLNEGFATWMSHLAVDSFFPQWNIWTQFLDDTTAGLKLDSLEESHPIEVEIHHASEVDEIFDAISYDKGASVIRMLQNYLGAERFQKALASYIKKFAYSNAKTEDLWAVLEEKSGEPIKNLMTTWTKQQGYPVINAKLKGNFLELEQAQFLLDGSSGPGMWIVPITAGCGSYGMQKKFLLKGKSDRLDIRNISSQCGNQEKSGNFWIKLNINQTGFYRVQYDDNLSAALQNALLSKKLSVMDKIGIVEDSHALSMACKQTLTSLLRLLYAYRDEADYSVLSHINTVSLSVAKISVDATPGLVGDIKQLLIKLLLPPAVKLGWDPKNSESHLDALLRPVLLVALVKLGHDKTINEGVRRFGIFVHDRNTSLLPPDTRKAAYLAAMQNVTSSYRSAYNDLLKVYRESDEAEEKSRVLSTLCFCKDKNIVLESLNLLFTNEVRSQDAYYVLQGLGVETRETAWLWLKSSWDRITKKYSDTQDGGFIRYIVTLFSSNEKAAEFSSFFASRKKPEFQRTLKQSLESVRVNARWIQGIRSETRLAQTVQELLRRP >KQL22674 pep chromosome:Setaria_italica_v2.0:II:4345280:4347442:-1 gene:SETIT_031206mg transcript:KQL22674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGGTPAVEAPPPPQTSEEEATPPYGSVVLGGTFDRLHDGHRCLLKASADLARERIVVGVCTGPMLAKKEYAELIEPVEKRIKAVEDYIKSIKPELIVQVEPIEDPYGPSITDDKLDAITVSKETLNGGLAVNRKREEKGLPLLKVEVVDLLSGGVEGEKLSSSALRKLEAEQAEQSEAKTAIHEAS >KQL22673 pep chromosome:Setaria_italica_v2.0:II:4345850:4347417:-1 gene:SETIT_031206mg transcript:KQL22673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGGTPAVEAPPPPQTSEEEATPPYGSVVLGGTFDRLHDGHRCLLKASADLARERIVVGVCTGPMLAKKEYAELIEPVEKRIKAVEDYIKSIKPELIVQVEPIEDPYGPSITDDKLDAITVSKETLNGGLAVNRKREEKGLPLLKVY >KQL25870 pep chromosome:Setaria_italica_v2.0:II:41040136:41042696:1 gene:SETIT_033400mg transcript:KQL25870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTLAQTLMSAAEDPSRLSGESSPSSPTSSGSSSPSSSGAATNLALTASTSAAGNDADADVPTSPYLGMYFETEDDAYEFYKAYAARLGFVVRKSNKSKNSRHTVTRRLFVCSKQGFRQEPKKPQDEAAGAGAASSSSPAPAPRCPESRTGCLASLTIKLIPSANAFRVTDFVAGHNHQLASAAPAVSLALLPPNSSHHSIAAAARLPDPRDGPHVDMHFETEEDAYVFYNRYAEHVGFSVRRSYKKRKRGMIVSRIFVCSREGVSDRAKQEGGAIVPANGVAGSASTPRPGPAPTRTGCQARMVIKITPCRTYRVAKFFPEHNHPLANPECVHKLRSHKMRARAHEFGAGDLHRRKQGKGVQLGDAGAALQYLEELQVGNPSVYYAVGVGPDGKSAVNFFWADAKSIIDFRSFGDVVCFDTTYGLNIYGRPFALFVGVDNHKQLLVFGAALLYDESIQSLKWTFEVFADAMRARQPQTIFIDERPECAVAAAEVWPGSNRCISVWHIYHNSKRHLKQVFESSKSFSNSLSHCLFDYEDEMEFLSTWEKLIEKYDISESEWLSRLFMEKEKWALPYQRTIFSADMLTTLQKDNMINELKRELSEQEDILQFFRRYEAILEEHRSKKLHADVDGNQVTLPIPSLRMLKQSSNAYTPEAFKMFQGEFEAYMNCMSFPCGVVGTISEYKIVLDEKPSESIVKFDALDGSASCSCKKFEAVGIQCCHVLKVLDLKNIKELPEQYILKRWRKDARSVQIGEEPTYGSGSVMRSPSEARFNNMCRLASLIASRAAKSEEAMSYIESQSSVLLKHLDDILQTGYPDMGNHAVASSSQAISFVGSQHPDHTTQAGAVAQTTN >KQL22279 pep chromosome:Setaria_italica_v2.0:II:1216166:1217683:1 gene:SETIT_029566mg transcript:KQL22279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSAIAGETVNRIISALVGGDDDKSTENMERLEMAHIKMESVLHVSDKWQITGGPLLRWQRKLKRAACECDDALQSCKQRVIEEREIRRLVSQSSFPKRIAYATKSFVSSIVGSSNDESRSSSTDVVRRFERFADGANEFLKFVEFSATPRQYMFFNHLIGNLLRGKFLRYQAFQGSRFYYLGIRPMSSPERGVEAMIGFVCQDFKDPTKGFRLGSILRLSESTDIFGVIIKCMQSFAPHFKFAAEGVKRELIQLPTQNFSWATQSPYGESAYWVNVYNTLTQWLQPNPLCCDQHEQNLYVSSRTNCTAASSSRLLSTIFPEEVISVWLQCHVSLSDDHKCIPNSAAEHEGSSSVNSDMLPLKLGVLFIPHDSPEDIETESESYALEVIDEEVQEMVHRNACLQVIDEKLLPKAIDYLYQNKESRMYQMCLKSRHGTANFCVEKTSARRSKTSRSRIWDKRVVQHRDDYGVEGWKEVSKDLLKLWVVRSSDEMEGSIRSFVDPT >KQL26572 pep chromosome:Setaria_italica_v2.0:II:45155826:45156480:-1 gene:SETIT_032849mg transcript:KQL26572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PANAQLDDEKPDPKLGSDEQKYDSSITNHEIHHYSKITGKTIFFGYLTVNLCLQLYYLDNLKSDKFKSDASVTPRICYYNKSMLAKLVEETKQDDCKRFDRLMVRI >KQL22727 pep chromosome:Setaria_italica_v2.0:II:4838738:4839274:-1 gene:SETIT_033448mg transcript:KQL22727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSAVDSAGHQLEEYGLRPTEEERTVAAETAEYVLACCLSRDPSFGLEIVLEGVAADEVDKLRGRARETALRLAQIMVRNDSPPQAGDDSDGDGVDA >KQL22518 pep chromosome:Setaria_italica_v2.0:II:3253134:3254670:1 gene:SETIT_030771mg transcript:KQL22518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLWPSPPFLYAPSPVVASLTAACAATLAFLAISEFRGANLRYSKFGGRAKKGAGGGGGGGGALLLPSRVGMLVIYAPALAAALASFFVPGAVEGARARILAAAVAVHFLKRVLEVLFVHRYSGSMPLGTALLISSCYLFNGMAMIYVQHLSHGLPEPAVDLLYPGVLAFAVGIAGNFYHHHLLSRLRADGGDKGHYKIPRGGLFELVTCPHYLFEIIGFFGFAMISQTAYALVVAVGTAAYLAGRSYTTRKWYAAKFEEFPARVRALVPYVW >KQL22232 pep chromosome:Setaria_italica_v2.0:II:766772:767590:1 gene:SETIT_031981mg transcript:KQL22232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVARSKQRRSLEDEEEEELTDRISRLPDAVLGDIVTLLPTRDGARTQELSSRWRHIWRSAPLNLDLVRLTSFKYSRCVRVSASEITWMLSAHRGPGRRFCTELQHLELHDRCPAAVLDGWLRSPALDNLEELEFHYGFSSLPPRPQLPASVQRFSSTLRVAKFAGCSFPVGNAGALHLPVLKQLSLMDATISESSLQALLGGCPALQSLLLSDNSGYSRVRIMSRTLLSIGVFPGPSDDWLHHLSTGGRLQHLILEDAPCLERLLIFPSAF >KQL26020 pep chromosome:Setaria_italica_v2.0:II:41866179:41867734:1 gene:SETIT_032481mg transcript:KQL26020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSSSSSAAAAAAAALPSYAKVVDAYRKAVGTAATATAYVVLARGMARELLPHDLRAAASWAASLLRARLETRPAERRTLVIKRALGSSRHDGDGGLFDEVRQYLATRIDPHSMRRLCLSGGVCGATKILSMEHGDSMTDVFEGVEFTWASVAGDGRHAGLSESLELSFDAEHTDMALGSYVPYITASVEEARRQDRALRIFMNDVSHWQGINHHHPATFDTLAMNPELKQSVVADLDRFLKRRDYYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVRGNTMLQRLLNTMTNRSILVIEDIDCCFTAASREVGKDHAGEAVADDDSEEDSTPEPWDTPQPQQKHNITLSGLLNFIDGLWSTSGEERIIVFTTNYKERLDPALLRPGRMDMHVYMGYCGWEAFKTLAHNYFLIDDHPTFPAIQELLSAVEVTPAEVSERLLRSEDADAALQGVAKFLGEKKQEIGEGN >KQL24493 pep chromosome:Setaria_italica_v2.0:II:30681190:30681673:1 gene:SETIT_0295552mg transcript:KQL24493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNPHLGIDRSQIPGRERHTRGCAAARAGPKGSTGLQRERARAWDSRDGSPACEGRPRKTPPWPPLPPPPPPPPLRRRPTGSSRRVDRDPVGARRRRLVWISRARGRAGGRAATERIGGRDGLGRGRAEGVVGACPSTMRRRCVGEGEASGRVAWSTNRS >KQL25566 pep chromosome:Setaria_italica_v2.0:II:39083715:39084151:-1 gene:SETIT_033043mg transcript:KQL25566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVVIGKGHCVVYSADGRHFEVPLAFLGTALFGELLRMSQEEFGFTSDDGRITLPWDAAVIEYVMCLLRKDASKEVVRALLSSMVRACCNVSGVQPCSQQLAVCA >KQL22505 pep chromosome:Setaria_italica_v2.0:II:3200595:3202601:-1 gene:SETIT_029518mg transcript:KQL22505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTATVSMAMAMAAILAVFVLRSIFPPRGRRKVLNLPPGPRGWPVIGSLGVLAGALPPHRVLAALATRYGPLMHLRLGSYHVVVASSAETARLVLKTHDHALADRPLTTAGEISSYGYQGIVHTPYGAYWRMARKLCATELFSARRVGSFERVRAEEMRALVRGLFRCAGSAVAVREHVSGATLRNILCMAVGEKWSGCYGSAEGEALRRTLDEAFAVTGAVSNVGEWVPWLGWLDLQGCSRRMKRLIELHDRFYEKIVDEHEERRRRAGTGDGEFVASDLVDVLLQLTEEDSHRPESETKLTRVSVKAFIQDIIAGGTESSAVTTEWAMSELLRHPDAMAAATAELDCRWVTERDLPDLPYIDAVVKETLRLHPVGPLLVPHYAREHTVIAGYDVPAGARVLVNVWAIARDPASWPDAPDAFRPERRFDVRGAHFELLPFGAGRRICPAYDLAMKLVAAGEANMVQGFAWRLPDGVKQEDVSMEEHVGLSTKRKVPFVAVAEPRLPVHLYDASD >KQL23888 pep chromosome:Setaria_italica_v2.0:II:22446343:22446495:-1 gene:SETIT_032518mg transcript:KQL23888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGNGSCMKYVPLCMDIMDLASCMGHASYASSPSCRGQGTSSCLVAQQR >KQL25108 pep chromosome:Setaria_italica_v2.0:II:36063207:36067255:1 gene:SETIT_029385mg transcript:KQL25108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARESRSGVLSETGSCAGTPRSVQSSCSLQHRYSSRSILKTHEGALDMSPRFSYCKPTTHREKMFDRRHSLNLPEQLPGHYSRKVTERTQRATSKSVADLVGEIAALEQEVIRKELHLLALYRRAFDQYVSESCSFTSEQVDQETLKTIDEGALRLRDIKHSAAFNLPTVSKSEVIKSGARHSSLVNFLSASISEYVPKISCKLSEDILSCIAAVYCKLSSTQPQDAESKTSPSPSVSSSSTFSPRRRNDSWSPRYNFDSPRQYGLQKERNEQNIGMIVIPRIRIDADKFDYASKMLETIRSLIQRLEKVDPLKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQTIQNSILGCQSHRPSLWVRALFTPAKRSTAGTARHPYALHQPEPIAHFALSTGAFSDPPVRLYTAKKIHEQLEAARTEFIQGSVAVRKQALLLPKVLHYYARDAGLELRHLVELVCESMSDAQREAAQQLQQCLRRRADRCVEWMPYKSSFRYVVHRDLADD >KQL25109 pep chromosome:Setaria_italica_v2.0:II:36063553:36067255:1 gene:SETIT_029385mg transcript:KQL25109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFSATATVMIIQPNKITGFSEVRGEKAWSAMARESRSGVLSETGSCAGTPRSVQSSCSLQHRYSSRSILKTHEGALDMSPRFSYCKPTTHREKMFDRRHSLNLPEQLPGHYSRKVTERTQRATSKSVADLVGEIAALEQEVIRKELHLLALYRRAFDQYVSESCSFTSEQVDQETLKTIDEGALRLRDIKHSAAFNLPTVSKSEVIKSGARHSSLVNFLSASISEYVPKISCKLSEDILSCIAAVYCKLSSTQPQDAESKTSPSPSVSSSSTFSPRRRNDSWSPRYNFDSPRQYGLQKERNEQNIGMIVIPRIRIDADKFDYASKMLETIRSLIQRLEKVDPLKMTHEEQLCFWINIHNALVMHAFLAYGLHDKRMKSTDMILKAAYNVGGQSVNAQTIQNSILGCQSHRPSLWVRALFTPAKRSTAGTARHPYALHQPEPIAHFALSTGAFSDPPVRLYTAKKIHEQLEAARTEFIQGSVAVRKQALLLPKVLHYYARDAGLELRHLVELVCESMSDAQREAAQQLQQCLRRRADRCVEWMPYKSSFRYVVHRDLADD >KQL25101 pep chromosome:Setaria_italica_v2.0:II:36023744:36028013:1 gene:SETIT_029207mg transcript:KQL25101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDPSPQIKIPKTQPKPRAATAPAPPRRGVERRRAPQHRRPMAMEVRRRLPPPHGGAPAGHPRRRPSAAPGAEGERVRVQAGDALPLPIRHTNLIFSALFAASLVYLMRRWREKIRASTPLHVVSLAEIFAICGLVASLIYLLSFFGIAFVQSVVSNSDDEEDYIIDSRRAAAPAPPPQQPAPTPCALLGNPAAAPEKMPEEDEEIVAAVVAGKIPSYVLETRLGDCRRAAGIRREALRRITGREMEGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLLLDGRRLYVPMATTEGCLVASTNRGFKAIAESGGAFSVVLKDGMTRAPAVRFPSARRAAELKGFLENPANFDTLATVFNRSSRFGRLQGVKCAMAGRNLYMRFTCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNVQALVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCMTMLEAINDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLASVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSRDMSKAEEEADKPKKC >KQL25102 pep chromosome:Setaria_italica_v2.0:II:36023744:36028009:1 gene:SETIT_029207mg transcript:KQL25102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDPSPQIKIPKTQPKPRAATAPAPPRRGVERRRAPQHRRPMAMEVRRRLPPPHGGAPAGHPRRRPSAAPGAEGERVRVQAGDALPLPIRHTNLIFSALFAASLVYLMRRWREKIRASTPLHVVSLAEIFAICGLVASLIYLLSFFGIAFVQSVVSNSDDEEDYIIDSRRAAAPAPPPQQPAPTPCALLGNPAAAPEKMPEEDEEIVAAVVAGKIPSYVLETRLGDCRRAAGIRREALRRITGREMEGLPLDGFDYASILGQCCELPVGYVQLPVGVAGPLLLDGRRLYVPMATTEGCLVASTNRGFKAIAESGGAFSVVLKDGMTRAPAVRFPSARRAAELKGFLENPANFDTLATVFNRSSRFGRLQGVKCAMAGRNLYMRFTCSTGDAMGMNMVSKGVQNVLDYLQDDFPDMDVISISGNFCSDKKSAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTNVQALVELNVIKNLAGSAVAGALGGFNAHASNIVTAIFIATGQDPAQNVESSQCMTMLEAINDGKDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRESPGSNARLLASVVAGAVLAGELSLISAQAAGHLVQSHMKYNRSSRDMSKAEEEADKPKKC >KQL23297 pep chromosome:Setaria_italica_v2.0:II:10597673:10606217:1 gene:SETIT_029524mg transcript:KQL23297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKLSADQAEAELPRSSKKEKKSKKDKKRKLAAEAEAEAEAAAAAAVEEVAKSGKKKKRAEDGPGGGGGEAENGAEKAVAVTGKGSEDTKYAPLRSFSAAELPSQVLDCCKEFARPSPIQAHAWPFLLDGRDFIGIAATGSGKTIAFGVPALMHIRQKVGGKTGKKAVPRCLVLSPTRELAQQIADVLSEAGTPCGINSVCLYGGTSKGPQIAALKSGVDIVIGTPGRMKDLIEMGVCKLNEVSFVVLDEADRMLDLGFEPEVRAILSQTSSVRQMVMFSATWPLAVHKLAQEFMDPNPIKVVIGSEDLAANHDVMQIVEVLDDRSRDSRLLALLDKYHQAQSNRVLVFVLYKKEAARVETMLQRRGWKAVSVHGDKAQHDRTKALSLFKEGKCPLMIATDVASRGLDIPDVEVVINYSYPLTTEDYVHRIGRTGRAGKKGVAHTFFTQENKGLAGELVNVLREAGQVVPQALMKFGTHVKKKESKLYGSHFKEITADAPKATKITFGDSDED >KQL23435 pep chromosome:Setaria_italica_v2.0:II:12392154:12393447:-1 gene:SETIT_031680mg transcript:KQL23435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKRQRGGEEMSWISRKLFLYNVTVGLYVMDWWERYLFNSIVLILLWLFCYNTTKSMWQAFDNHLKSSVELGARNHSMVALS >KQL23880 pep chromosome:Setaria_italica_v2.0:II:22106318:22108434:-1 gene:SETIT_030052mg transcript:KQL23880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRPAILLSLAVLAVAAMALAPAPAAATKYNITKLLEPYKQYSRFNEMLSRTRLAYDINRRQTITVLAVDNAAMSALDHYSLQTIRHILSLHVLVDYYGDKKLKKLARGSTTSSSMYQATGAASGMSGYVNITRKDGKVSFTTDDADDTAKPTRYVKSIKEYPYDIAVLEVSSIISSADAEAPVPPPAPVDLVELLSKKYCKSFASLLSANADVFRAFNETKDNGLTLFCPVDSAVAAFAATYKNLTAKAKTAILLYHGVPDYFSLQLLKSNNGMVTTLATASENKKDYSYDVQNKGETVTLQTRVVTSIVTATVGDMEPLAVYAVNKFLKPKELFKVVEAPAPAPELSKKKRSKAADGGDDDSSDDSGDETADKGDAAQAMLARWVTAATTAVAAFALMG >KQL25478 pep chromosome:Setaria_italica_v2.0:II:38456422:38458346:1 gene:SETIT_033376mg transcript:KQL25478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLKQILARPIQLAEQECMELKAKVERLAGLLRQAARADLYERPARRIFDDTEKALDKALALVDKCRAHGLVRRVFTIIPAGSFKKMTNQLDNSIGDLSWLLRVSSSANDDDDFDAHIGLPPIAQNEPILFLIWEQIAVLYTGNLDARADAAANLVSLARDNDRYSKLIIEEDGVPPLLKLVKEGRLEGQENAAHAVGLLGRDPECVEQMVQAGACLAFAKVLKEGPMKVQATVAWAVSELAANHPKCQDAFAQHNVIRLLVGHLAFETVQEHSKYAITSKMSIHAVVMDKKNSTGSGSADLLDAADHSTMRYPTGHASQSKNEMHSLVQSTMAAKSNGGSGKHVATTTNGGVVATKQHNASLSGTSTRGREFEDPETKALMKANAAKALWQLAKGNAAICKSITESRALLCFAVLLEKGEGDVQYNSSMALMEICCVAEQNSDLRRSAFKPTSPAARAVVDQLLRVVEKAEYDDLLIPCIMSLGCLSRTFRATETRIIGPLVKLLDEREADVSREAAIALTKFACTDNYLHVDHSKAIINAGGAKHLVQLVYFSEQVVQIASLTLVCYIAHNVPDSEELAQAEILTVLEWAAKQAYMMQDPIIENLLPEAKIRLELYQSRGAKGYH >KQL24733 pep chromosome:Setaria_italica_v2.0:II:32669621:32671871:1 gene:SETIT_030244mg transcript:KQL24733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAQEREVQLLQGRQAWPFHMMGMGMAAAATNTGCFDGYGGGGYGYGSSGGDCFVLGWEQPPAPAPFGCFGLLAADVHDLFPLLAGMETPLLAAPPPPAPAPHDAVAAIPGELDDLLLNFWDASSHDGDVGEQQDAFNSSCVTHEQTTTTTTCAAAATSTNSFFHCDYDDGDDPLSSIFCTGPTPLPAEKAVVFQQQAPAAEPLLSSSSSSDCRGGAQTQVQGAAATPSASRARTPPLPRSSTSSTPSLKRATREGSSSDQAAVAECSGSESSKRRRTEAPASSSARVLCPFALLKPDGLDGGATLADINARILMRPSRPVRHPVGEFACAPRVSADQPGISGKAVSSFTRLHTAGRGTITIIRTRG >KQL22304 pep chromosome:Setaria_italica_v2.0:II:1530901:1531971:1 gene:SETIT_0293832mg transcript:KQL22304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESPPSDSKDPYQGEFPEIIEEYLQHGTMKCIAFNRTGTLLA >KQL23135 pep chromosome:Setaria_italica_v2.0:II:8627154:8627672:1 gene:SETIT_032657mg transcript:KQL23135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQALFCAATLLLLVIPHACTAAAAAASTTVQEQCDSYAAGDRSSYDYCVYKLGRDSGSATADARGLAAIAARAARATAKATGERIAGLRANETVPARRGCLAACAAEYAAAVRRLGRAARAAARREGGDLRRAQALLEEVTGTTARCDGAFAAAGLESPLDGAARGLDDE >KQL25490 pep chromosome:Setaria_italica_v2.0:II:38579449:38583234:1 gene:SETIT_029145mg transcript:KQL25490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCQSRLERLEAVSRCKARRRYTKQLVQARRDMAAAHALYLRALRATGASLLHFSSAEAEHPHPHSSAAHHHHHHHQPPPSPPPPPTPPPPPPPPPPLSPTPTARSWTTNSSSISASAILPPPPPPPMPSSWDFWDPFAPSSSRSATEDADWDDAATTVTDAPIAPAPPIVTAAAAVAAPPSIVTATTTSTTPSELTVVAVPRVGAGNKDLSEIATELDEYFLKAAEAGARVAALLEAPICEPPEPTANNSSLPGKPFLYLYKSVLSYSKSLKPMGWTWGGGGGGYGKGSNGFTRFGRGDGGMSMGSGGGGGMLSHSSTVEKLYAWEKKLFLEVKSYEGYKQEHDKKVSLLRKQEVKGVDYLKMEKNKMEIESLESKMLVANQSIETTTSEIIRLRESELFPQLLELVAGLMSMWRGMYECHQVQTHIVQQLEYLKARNTNPTSNVHRQAALQLEIEVDRWYSAFCSLVTSQRDYVYSLTGWLRLSLFCHHDPLTKAQNSDIYSLCEEWQLAIDRIPDKVASEGIKTLLTVIHAVVIQQAEEQKLKKKSESAFKEFEKKAEELRSLESKYGPYIGAEGYREMSRKSPVADKRAKVEALRSRADEEKSKYEKSIGVTRAMTLNNLQTGLPNVFQAMTGFASVCMEAFQMVYNFKRSSDRILDAKRLLT >KQL24922 pep chromosome:Setaria_italica_v2.0:II:34653677:34653910:1 gene:SETIT_033654mg transcript:KQL24922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFLLLLPEGAFVTVRQIWSYLIIFSLGILA >KQL25683 pep chromosome:Setaria_italica_v2.0:II:39805940:39806536:-1 gene:SETIT_032580mg transcript:KQL25683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAERVFASGRRSMENELAASGAHGVSLDDLSPRGRRSGPAVAAVPAVDGGRRPGESFACNYCHRKFYSSQALGGHQNAHKLERTLAKRSRDILAAAAPPSSSPPPTPAPPAVVFHAAPPERNNNNRDDDFLLPATYGATWSTGAAEDATAAQQAAPPTPSVVMDMAGWGADTAAAADGGCGRLGHGRNGEEIDLSLKL >KQL24091 pep chromosome:Setaria_italica_v2.0:II:25984088:25984431:1 gene:SETIT_032774mg transcript:KQL24091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIKFAVLVQAVEATVVSAKVVDGSWLDRYRGRVVCRTASASKEDTVLLESRDGRMPVTCDGEIELSRSVVSVDIVGDEMDVVAEGAAAFTPIMNGKSRGTCL >KQL26307 pep chromosome:Setaria_italica_v2.0:II:43670699:43673519:1 gene:SETIT_030697mg transcript:KQL26307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPEFPNLGKHCSVGDCHQIDFLPFTCDRCDNVFCLQHRSYTTHQCPNANLKDVTVLICPLCAKGVRLNPSEDPNITWDTHVNTDCDPSNYQKVTKKKKCPVPGCREALTFSNTIRCKDCTKEHCLKHRFGPDHKCPGPRKVDSGFPFVNMLRRSQKVETRPNSSKNNSSSWWSSSLVNAATNFKSSAEAGMQKLSIATSQAFQKAKDGISADSSSSSSELVEECVHCPARFSTVGALIEHVEKSHQTNQQPSRGRVTIDVCPKCSKGFRDPVLLVEHVEREHGGTSRA >KQL26733 pep chromosome:Setaria_italica_v2.0:II:46015780:46018000:1 gene:SETIT_031369mg transcript:KQL26733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTALIAQLPLLISRSRSKPISPSSVTRARSLTSISFSSGSRRVALFSRRGREYAGVGSSVSAAAATGEAADGCSETILLSVQGMMCDGCAASVKRILESQPEVTSATVDFKEASAVVWTTAEARASDDWHKLCGEKLAKHLGTCGFESRLQGN >KQL23946 pep chromosome:Setaria_italica_v2.0:II:23303964:23307603:-1 gene:SETIT_030062mg transcript:KQL23946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSGHVCGDGRAIVTTSSHARAPTDMEAQIHQLEQQAYYLVLRAFKARSDSITWEKEDLITELREELRVSDEAHQQLLNMINNDDLTHSIRERRTTGGIEERLPNNPSHDSVSNHTTSARKRQKTSKSITASLATPTQPSSSTAIKAVSLGTKGKRTKPCQKVSGGSAVKPTSSSEGPSARGPLMNRYFPGGPSAEFSEAQNVNPLIGRKVMNRWPDDNSFYEVVISDYNPETGLYALVYDINTSNETWEWVDLEKMGPEDIRWLDNDSGIDPVMYLQSQGAPSSGARKSTNCGGLMRGHGRGRSFQKNVSKKDFSPPQKNARKRGSGDIDILHTESLIERVEKVFNVSNPDHLEVEKAKKALKEQEHSLVDAIARLAEASADETDGHNRCHRKKNVRRS >KQL23158 pep chromosome:Setaria_italica_v2.0:II:8785764:8787835:-1 gene:SETIT_030342mg transcript:KQL23158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAGAAVELREAHRLAGHADRVWALAWNPSPGAGPVLASCGGDKAVRIWKRAPDGAWHCSDVLEDTHNRTVRSCAWSPDGKLLATASFDATTAVWEYNGGDFECVATLEGHENEVKSVSWSPSGSLLATCSRDKTAWIWEVQPGNEYECVSVMQGHTQDVKMVQWHPLLDILVSVSYDNTIKVWADDGDDEWHCVQTLTEANNCGHSSTVWAVSFNHKGDRMVTCSDDRTLKIWDTSADLSQPKTGEGHESW >KQL23156 pep chromosome:Setaria_italica_v2.0:II:8783177:8787835:-1 gene:SETIT_030342mg transcript:KQL23156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAGAAVELREAHRLAGHADRVWALAWNPSPGAGPVLASCGGDKAVRIWKRAPDGAWHCSDVLEDTHNRTVRSCAWSPDGKLLATASFDATTAVWEYNGGDFECVATLEGHENEVKSVSWSPSGSLLATCSRDKTAWIWEVQPGNEYECVSVMQGHTQDVKMVQWHPLLDILVSVSYDNTIKVWADDGDDEWHCVQTLTEANNCGHSSTVWAVSFNHKGDRMVTCSDDRTLKIWDTSADLSQPKTGEGHESWRHLSTLTGYHDRTIFSAHWSSEDIIASGAGDDAICLFAEERSSMAEGPLYKLILKKEKAHDMDINCVRWCPQDPRLLASASDDGTVKLWELRGSVLD >KQL23157 pep chromosome:Setaria_italica_v2.0:II:8784712:8787835:-1 gene:SETIT_030342mg transcript:KQL23157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAGAAVELREAHRLAGHADRVWALAWNPSPGAGPVLASCGGDKAVRIWKRAPDGAWHCSDVLEDTHNRTVRSCAWSPDGKLLATASFDATTAVWEYNGGDFECVATLEGHENEVKSVSWSPSGSLLATCSRDKTAWIWEVQPGNEYECVSVMQGHTQDVKMVQWHPLLDILVSVSYDNTIKVWADDGDDEWHCVQTLTEANNCGHSSTVWAVSFNHKGDRMVTCSDDRTLKIWDTSADLSQPKTGEGHESWRHLSTLTGYHDRTIFSAHWSR >KQL24365 pep chromosome:Setaria_italica_v2.0:II:29243984:29250392:-1 gene:SETIT_028985mg transcript:KQL24365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSVSGGSGPGGVSPDAIIEWLQDEMGYPSAPPAPEQLRKICRGNMIPVWSFLLRRVRSERTVATARRNILVHGVAARRAREGGAMGAGAGDAAAREAEARERDLAAEEAERLRGVVRRQRKELRARIAEVAREEAERKRVLGERSNARHKQVMLEAYEQQCDEACKIFAEYQRRLHQFVNQARDVRRSSIGVSGAAGAVEDMQLQSDREDLYSSTIKSNRLPEDLVETAGERSIRKACETLAADMIETIRSSFPAFEGSGINSSCQLDAAKLGIDLDGEIPTDVKAVALDSLKNPTLLLQSIITYTSRMKTLIHRETDKIDIRADAELLRYKYENEQVIDAASTDASSPLPYQVYGNGKNGSQLSTRGTYDQLLERQKEHVQQFLATEDALNKAAEAKALSQKLLQRLHGTIDMAGSKKLPTGNNSQNVTNSRHLELDVWAKEREVAGLKASLSTLTSEVQRLYKLCAEWKEAEDSLKKKWKKIEEFDARRSELECIYSALQRANMDASAFWEQQPLSARGYVSTTIIPACNAVVGMSTNSRDLIERELAAFGQSLDNSLCRLPATPQALLEAVGSSGVTGLEALAAAEKHAALLTARAGARDPSAVPSICRISAALQYNSVSPGTEGTDSGLASVLNSLEFCLKPCGSEASILEDLSKAINLVHTRRNLVENDRVLLNRAHRAQQEYERVANYCLKLAGEQEKVVSERWLPELRNAVQEARRCFEDCQRVRGLVDEWYEQPAATIVDWVTIDGQSVGAWINLVKQLHMEISRRTLAMSSVGDD >KQL23741 pep chromosome:Setaria_italica_v2.0:II:17217670:17220086:-1 gene:SETIT_029847mg transcript:KQL23741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSALSLVRDRAKVGALKRLTLSSSKTAGRNSSGRITSFHRGGGAKRLQRKVDVKRSTSSLGIVERIEYDPNRSSSIALVRWVQGVHFRRPKIPQEPSTESQILESTTADISGRFSLAPLSGRVHKEKEASSALYSSASSALYSSLGNGDVPSVNTGASMSLPRIALAGAKPTFFAQVRGNEEGKQTFSLSGIQKWATDDVLWAQRMKRQAALSWQNDLKKKPSPQAQANRFSTLAAKSIGTSKGPKAKVDCVPVSYILASHHCVPGSTVMNYDSSKPSKSSASSSSANQFDIIDLNSKVGNCIPLANARIGTWVHDIECRPGQGGKMVRAAGTFAKVVQEPGAQCVLRLPSGAEKIVDSKCRATIGIVSNPSHGTRKLRKAGNSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRSPSVASRKA >KQL24394 pep chromosome:Setaria_italica_v2.0:II:29545578:29547985:1 gene:SETIT_030913mg transcript:KQL24394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNGGKTYVQDEEKQRLLLEEHTEKHFTAGEVVRDIIIGVSDGLTVPFALAAGLSGANASSALVLTAGLAEVAAGAISMGLGGYLAAKSEADHYNRELQREQDEIDTVPDVEAAEIADILSQYGLGPEEYGPVVTSLRNNPKAWLEFMMKFELGLEKPEPRRALVSAATIALSYVAGGLVPLLPYVFVPRAERAMAVSVAVTLAALLFFGFVKGRFTGDRPFLSAVQTTVVGALASAAAYAMARAVQSI >KQL22721 pep chromosome:Setaria_italica_v2.0:II:4763029:4764411:1 gene:SETIT_031956mg transcript:KQL22721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAFEDQSRLHDRHEALQKWRRLVRWAQSRVIDIETLLPHDVFSASLDVAGFADGVAVIFVLTDEGLFTIDLESYRITKVCKDGSCSGNFHTPDFAYLWFFSNILVGNMKSRIVACVLKHIEFISQLHY >KQL27192 pep chromosome:Setaria_italica_v2.0:II:48436365:48441434:-1 gene:SETIT_029484mg transcript:KQL27192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVAAKVAAGLCCVGAITLALYLYHALWLAPERVRAALREQGIAGPRPSFPYGNRAEMRQAAADAKQAAAAASQRGSIVHDYRPALFPHYERWRKEYGPVFTYSIGNMVFLHASRADVVRDLGLCVSLDLGKSSYMKVTHRPLFGDGILKSSGEAWAYQRRLIAPEFFPDKVRGMVDLMVGSATALVESWEDRIISRDNNGGGGGLELKIDDDIRAYSADVISRTCFGSSYVKGKEIFAMIRELQKTVSKPNLLAEMTGLSSLPTRANRAAWRLNRQVSRLVLDVVRESGNDDRNLLSAMLRSAASSGGSVAAEDFIVDNCKNIYFAGYETTAVTAAWCLMLLALHPEWQCRVRDEVRHACAGAGAAPDFTSLQRMKKLTMVIQETLRLYPAGSVLSRQALRGVTLGGVRVPAGVNIYVPVSTVHLDPALWGADAREFHPDRFAGARPPPPPHAYLPFGAGARTCLGQSFAMAELKVLLALVLSKFELSLSPAYVHSPALRLIVEPEHGVRLVLKSVVEPRSS >KQL24911 pep chromosome:Setaria_italica_v2.0:II:34556932:34557938:1 gene:SETIT_031486mg transcript:KQL24911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIRSRRHAGMARNVPRGCGRRLGRRGGFGVVEERGGAENGGDDGEEAERDRQRHPGHRQIQRQLRAVEHHAQCHPSSPLLPAPLPASRRPALAYAVGVLRCALLSLEPACVPSRGARGYKGGWAVGWRRSGE >KQL27330 pep chromosome:Setaria_italica_v2.0:II:49176806:49188925:1 gene:SETIT_028638mg transcript:KQL27330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAVEPKREQNFLVRVGMDAWTQPFAVSHKVRLVHILKNLHTLEVKIYSDASKEFIELLDGESGEEVLREYVQQSPQLGELVEAWRLHREKPGMAYILSLFATVLGHPDGRLKRHGSVKKSLDGVARMILEDKENMGDVYMELNSGEFRRQNAALDLLAAIVRRGGGLASEVAERFDFKMAILPQLAGTMKKKGSRDGGNRRKGAEFGSTRRSFVGFAMSFLEVGNPRLLRWILQQKEVYSGVLRGIGNDDAETVMYVLSTLRDNVLVEESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLVMVCTDPKNGLMPGANLRGNEKRLLDLMKKLKAAEVAHHKSLLLAIVSKRVSLCSAYMNEFPYNIEPRSSPSWFAAISLAADVIASAKCDSIVHTLSSNSHGLVSVDDEDVQVVLKCIVPNVCSRAVINRGLLHSDDLVKHGSLRLVFESVNLLCYIIEAINVMVSRGRANLEFIGSTKVTIKIDDFPVLSCSDAADASLVDEVHQGDETQIKRWASLREYIQDEVHGAMPDPQVLLKLLSSASQKHQNSSQSIQKKNAQFSEPPQKKRRCNASSEVDDIIIGGIDAEQGKDTSEDQDLESKQDHTTTLCEIWGLDKQDPKMKDAKVVEDVFHSKLLDVLRFYLRVMPSSFDGSYDFFRIVPPNPLDLSKDEQQSLLSLLLEYSGQSGGCWDLERVPESMYRYLQPLFYIMLDSQIKNIRDQAYILVKAAMASSGAFDQNFTEIDAWLVFLPGYEAKWCIRENQLVGAPNKLSYIVIPFLCDAISVVGNNLYKYQEHTRKLISKSGQFEGTPAFSPLIICVLQKCLRLLESGSMKLHEKSTISLYVCNTIHLIMQSQADVHLLSDLIGAVLNERFDKFSSEEMNSSIYLAEWRPLITMLHLLRRISNQHTHSLFTTLVHSSEFGGNSLCSVSRNVEEMLNQEQTSSPDDVATAFLFSIICAPPKDIISDFPDLLDVVKTHFPCHLAFLSSVLFLQHDYLAKVASCWPDIFFSGIRLFKDDMNADHVNTVEDKWKNLSVSTESAPLSTFLIVSPFCALLPSVLSLAFSVSDEIREAHKDALLRLLQVKLSECTFSEVTLYLRVILFWSHHLLSSYTIKSSNVLEQLCNLCFALVDRVFEHIQVLAADTQSKSADLPYPVQHIQDIVDFVIHHPIIALSLSRSLSNCRNLSDGSLEHLEEALVVFSKENLHLLDRFVLKLLGKSYDLLLMVGSFEANYSRDDGPSHESLFAAPNLLLENILLLFKEKFELCMGKVNFGLLLPNFYMVRALSKFVSPVKLLDLANWMFTKLADCSSSCSPAFVPAALMCLYITDVAMEMLCRCLQKTGQRSESYLLWNLEIHVTTIQQAYHIVLHFATKWNLEFADHCLLKMLGRIHHTERYAGWSTDYIAFHMILSTLVINTPIDVLHHCIFPASKVKAKALLLLLEASPMHMNLFGKILLETFKKDNYLLQVKDSDSNASWPQEDGAILLLPAALSCLKCHSDDNGRCAEFLEPVSIFYSELLLCDKGFSSWKSFVTRSIFEEDFSDFIPTPVKDIMIYFSGTLLGKSVMMLHHYFSSKEMSRKERLDIVGSIFPESSELLDSDVNDINPTSYKRIVKVTNELFAKISLIRLLLSPPRKSLSSEVASERESKRLHKAKLNFISILVRTMDKIFMNLPSSDNILSHSAKEQKVIRFLEYVILKNIIELSSEIQSHLNQLKSIPFLSQFIRSSLLHRFNDPVAIKAIRSILVVLSQAKFSADEIIELILGHSNFMSTITCNEVSEYPSACNPSGGMLQPAPSILKLVDSSFMEENKPQLCTKEKGRVEIIRLLRVLYDIKSRQQNNSQLRESRELVFLLLSIYDATLSETDLEILHLMNEIESTEYRTITEVDHLWGSAALKFREELKLDFSKSDTQNIENAEITERRRALFRENIPVDSKLCAKTALLYCYKRSSRASAFSLEQLQRENFTDSFEETSQRMDAVQIYDPIFILRFSIHTLLMGYIEPAEFARLGLLAITLVSIASPDQELRMLGYECLGAFKKSLETSQRSKEMWQLQLLLTYLQNGISEQWQRIPSIITVFAAEASLTLLDSSHAQFTAISNFLMHSTSASLQSIPLFPTLLQSSSVHFKAERLWMLRLLSAGSNLADDAKIYKRGRVLELALAFCSSPVSDSESKVLVLKMLKKCVKLPVLAHHLAKESGLLLWLSSVISIEGSDGAESSCSRVTELTLEVVNDLISSRLITDWLQESALEQLSVISADLCVLLINNAKLLKGNVPLLTSVLSVITSTMRLSMKRKIYQPHFTLSLHGVFNLCQATVGSSRSAEHKLTMELGIDAILMNGPMPILSEMDKSRISMVVSWATSNIFWLYSNQRSMLEISSKESPINESPPSKLLRLLVASVILGRISSISHGKSGDLARSTSSLGTLHSFLNDAYERVETVESCSANDTLAVIILYLQDHVQKNSDSLPSVVMALCLLLLDRSSKQVNKHLADNHGKIEMLCSKIRCPAESNPSWRWHYYQPWKDPAAPRTEMERLEEEQACRSLLILFSNAFSASLPEFLVLSLDDVEKSGLFQWERESMVKQKHCD >KQL24973 pep chromosome:Setaria_italica_v2.0:II:35126374:35126706:1 gene:SETIT_032513mg transcript:KQL24973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILASSAAASAALGSSWLFGLCMVVFSVWLVSFAVFICGRTSGDDRPRKKPAPAPATNAKPPASRGTARSGTIGGAVAAACGCSAGHGGGGCGGGGG >KQL25117 pep chromosome:Setaria_italica_v2.0:II:36085155:36085808:1 gene:SETIT_033093mg transcript:KQL25117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCSFPPVGLLLLTMFLAFAVSTCATANGEPPAPSPAPPPADSRFLWACCANTTNASICYDSLLPFAGSFHGNRVKVARAAAVIAFGRLRGFYDELRRLQLQPGGTGAGRVADSALGSCATSADVSQGREVDLLAILRRLETAAGRRRGEQAEWDLHDANLYAGSVQSCTMWCVDGFASAGDAALASPVVKKVVAWATNLHLYGDIALDLVASIKL >KQL24070 pep chromosome:Setaria_italica_v2.0:II:25532388:25541393:-1 gene:SETIT_030310mg transcript:KQL24070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVGSPSSARSVNQPKQAENVFFEQLISKIEAADFDPRPLLKQWNVLGRYEPIKRNVYHIKRRTEDYGIFCSCKPSSGSSVVCGKDCHCGMLFSCCSSNCECDNTCANKPFQHRPLKQTKLITTEKCGFGLVAEDEIKKGEFVVEYVGEVIDDRACEKRLWQMKRLSDTNFYLCEVSSNMVIDATDKGNMSRFINHSCEPNTEMQKWTVDGETRVGIFALRDIKKGEELTYDYKFVQFGADQDCHCGSSNCRKMLGATKSLNSIVFRSGHFSVRSQNQYVKKKKRKTKSENCIGQFLRLWHRRQKMYVGCWIIDFDQETKIHTLQFTDEHVEKFNLKEEEWHFLPVDSSDDEK >KQL22865 pep chromosome:Setaria_italica_v2.0:II:5943729:5947015:-1 gene:SETIT_030790mg transcript:KQL22865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAASSVVAAELWRPPHHHHLAPAASGPHHEASSVVTTADRSNGGRSGGGSSRRRPRRDAPAAEEEPSKLASTSGTAAASGGGGGGRDSADPEMAKRLKKMTSSDDKIRTEVETNSGNASKSVDKKPAPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKVLQDLVPGCNKVIGKASVLDEIINYIQSLQRQVEFLSMKLEAVNAHVNSATGSFPSKDFDAPAPPYSTAPGLTFDPHTPREYPQALTSDWLHMQIGNTYERVT >KQL23205 pep chromosome:Setaria_italica_v2.0:II:9521512:9522068:-1 gene:SETIT_032145mg transcript:KQL23205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQQPQIGVPFLSYPKVANQPDEPQNFISIVSPTNWGFGIRMDGQQLINLSPFLTSHDQHRLQVNQVLQDHFNSNNLYKIKIILNLVESMERNELMQKSEQIASFNFGTTQHPGEVLETALQDRDEWMYIATGTYDINQLHITLLP >KQL23165 pep chromosome:Setaria_italica_v2.0:II:8895233:8896021:-1 gene:SETIT_031040mg transcript:KQL23165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGCCDDCGDRFCCCIPYGVREDIKGCLILLAVVIGLAVVAGVVLIIIIFGGPLRHIKITVEDASLTRFALVTSPPATTALAYNLTVALTVRNPNWAIGIKHDKPLEAAYTFDGQPFERVQVADKGGKLGARKTVVYRLASGSEGRAVALGNAGEAEFRKENATGVFEVEVAVTGKFKYTLRKTKCKIEATCPLKLQLVTPGATAVVFQKVDCKLAKSDDKYC >KQL23629 pep chromosome:Setaria_italica_v2.0:II:15544930:15545288:-1 gene:SETIT_032418mg transcript:KQL23629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNAGLRAYREVLRLVRRLPAETRPYYAKYARENFVNYRDLSADDDLAALLRRAYTHSSWVLSKYSIDGEKAAARLKDLGGGHPAGH >KQL25165 pep chromosome:Setaria_italica_v2.0:II:36388377:36388933:1 gene:SETIT_031331mg transcript:KQL25165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTRVCLYANTIEQVYSIHMPSSPYRTEAGPHRTQVGRLVSSPTGSHAPPPDPNLPSTRRGDRDGAGGGGAFAGEVPAGGGDHDGRRGAAAGVHDLPQQALAVLLLLRRRRRALLLLQADVGEQGAHLICFFPDGGVMGGVD >KQL25163 pep chromosome:Setaria_italica_v2.0:II:36388375:36389162:1 gene:SETIT_031331mg transcript:KQL25163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTRVCLYANTIEQVYSIHMPSSPYRTEAGPHRTQVGRLVSSPTGSHAPPPDPNLPSTRRGDRDGAGGGGAFAGEVPAGGGDHDGRRGAAAGVHDLPQQALAVLLLLRRRRRALLLLQADEQGAHLICFFPDGGVMGGVD >KQL25166 pep chromosome:Setaria_italica_v2.0:II:36388375:36390430:1 gene:SETIT_031331mg transcript:KQL25166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTRVCLYANTIEQVYSIHMPSSPYRTEAGPHRTQVGRLVSSPTGSHAPPPDPNLPSTRRGDRDGAGGGGAFAGEVPAGGGDHDGRRGAAAGVHDLPQQALAVLLLLRRRRRALLLLQADNSWRCHPNLNSRKETLELKANRYRDDKMARDFTRKADQILIMQ >KQL25164 pep chromosome:Setaria_italica_v2.0:II:36388375:36389162:1 gene:SETIT_031331mg transcript:KQL25164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTRVCLYANTIEQVYSIHMPSSPYRTEAGPHRTQVGRLVSSPTGSHAPPPDPNLPSTRRGDRDGAGGGGAFAGEVPAGGGDHDGRRGAAAGVHDLPQQALAVLLLLRRRRRALLLLQADVGTRGSSDLLLPRWRCDGRCGLVSEGWIDSVP >KQL23257 pep chromosome:Setaria_italica_v2.0:II:10271582:10275853:1 gene:SETIT_033397mg transcript:KQL23257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALQGFEATLSKSPNDPTALEGAAVSLVELGEYEKASTFLEKLVKVIPDKAEAYRLLGEVKFELKDYEGSSSSYRSALSSSDNIDFEVLRGLTNALLAAKKPDQAVDVILSCRQKLSEKSQTRLADLEAANDNGGQKPQDIDPIQVDLLLGKAYSDWGHISDAVSVYDKLITEHPEDFRGYLAKGIILKENGKEGDAERMFIQAKFFAPEAAKALVDRYAQR >KQL24404 pep chromosome:Setaria_italica_v2.0:II:29593849:29598787:1 gene:SETIT_029386mg transcript:KQL24404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIECLVLGAGQEVGKSCVVATIAGKRVMFDCGMHMGYHDRRHYPDFARALAAWGALDFTSALSCVVITHFHLDHIGALPYFTEVCGYHGPIYMTYPTKALAPFMLEDYRKVTMDQRGEAEQYSYEDILRCMKKVIPLDLKQTVQVDKDLVIRAYYAGHVLGAAMIYAKAGDAAMVYTGDYNMTPDRHLGAAQIDRLKLDLLITESTYAKTIRDSKHAREREFLKAVHKCVSGGGKVLIPTFALGRAQELCMLLDDYWERMNLKIPIYFSAGLTIQANMYYKMLIGWTSQKIKDSHAVHNSFDFKHVCHFERSFINNPGPCVLFATPGMISGGFSLEAFKKWAPSEKNLITLPGYCVAGTVGHKLMSGKTTKIVLDKDTHIDVRCQIHQLAFSPHTDSKGIMDLTEFLSPKHVILVHGEKPQMAFLKERIESELGMPCFYPANNESVSIPTTHTLKMSTTERFITSCAAEQGKQSLRKRNLIHGTGASEVNGSDEAAAEGVLVMEKHKAPKILCEDELLQVLGMERHLVQFEAMGSSRIEAAVEWELKRAEAADLGSEENE >KQL26021 pep chromosome:Setaria_italica_v2.0:II:41878645:41880254:1 gene:SETIT_033280mg transcript:KQL26021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDPSAAEAAKALALPSYAKAVDAYRKAVGTAASVTAYVVLARGMARELLPHDLRRAARWAASLIRARFETPPAERRTLVIKSAAALLYDDGGYHGVGGGDLGLYNEVREYLATRIDPHAMRRLCLGGKSKRALSLEHGDSMTDVFEGVEFTWASVAGQSQREHGNEYDYRYGSVGTESLELSFDAEHMDMALSRYVPFITATVADARRRERALQIFINEGSSWHGIKHHHPSTFDTLAMDPELKRSIVADLDRFLKRKEYYQRIGKAWKRGYLLYGPPGTGKSSLVAAMANYLRFNLYDLDLSEVYNNTTLQRLLNSMPNKSILVIEDIDCCFSAASRKEKNDSDHIHYRSGRGNSEPSITLSGLLNFIDGLWSTSGEERIIIFTTNYKDRLDPALLRPGRMDMHVYMGYCCWEAFRTLARNYFVLDDHARFPEIQELLAEVEVTPAEVSEMLLRSEEVDVVLGLLAEFLGEKKRAMREGESVQAHEELTGKEAEE >KQL26168 pep chromosome:Setaria_italica_v2.0:II:42736090:42741228:1 gene:SETIT_029790mg transcript:KQL26168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAELTTEQVLKRDIPWEHYMSTKLISGTCLQLLRRYDHKPESQRAPLLEEDGPAYVRVFLNILGSISKEETVEYVLALIDEMLATNPKRAALFYDQSLSGEDIYEPFLIRNLLISLLWKGNWFVQEKSCKILTDIISARPKLQNGMLPNGDSSNSKSKLTSTHDVLRGLVDWFCSQLRNPTHPSCSIPTTIHCLSSLLREPYVRTLFVQADGIKLLIPLISPASTQQSIQLLYESCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVVLSFRNLLAKGAFAAQMIDLGLPQIVQNLKAQAWSDEDLLDALNQLEVGLKDNLKKLSSFDKYKQQVLLGHLDWSPMHKDPGFWRENINNFEENDFQFFFCVFASNHGISLQAIFCSLKRGKMRT >KQL26169 pep chromosome:Setaria_italica_v2.0:II:42735808:42741948:1 gene:SETIT_029790mg transcript:KQL26169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAELTTEQVLKRDIPWEHYMSTKLISGTCLQLLRRYDHKPESQRAPLLEEDGPAYVRVFLNILGSISKEETVEYVLALIDEMLATNPKRAALFYDQSLSGEDIYEPFLIRNLLISLLWKGNWFVQEKSCKILTDIISARPKLQNGMLPNGDSSNSKSKLTSTHDVLRGLVDWFCSQLRNPTHPSCSIPTTIHCLSSLLREPYVRTLFVQADGIKLLIPLISPASTQQSIQLLYESCLCIWLLSFYDAAVDYLSTTRVMPRLVEVVKGSTKEKVVRVVVLSFRNLLAKGAFAAQMIDLGLPQIVQNLKAQAWSDEDLLDALNQLEVGLKDNLKKLSSFDKYKQQVLLGHLDWSPMHKDPGFWRENINNFEENDFQILRVLMTIIDTSSDTTALAVACYDLSQFLQYHPSGRIVVADLKAKDRVMKLMNHENTEVRKNALLCIQRLFLGAKYASFLQA >KQL26766 pep chromosome:Setaria_italica_v2.0:II:46221179:46222564:-1 gene:SETIT_032031mg transcript:KQL26766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHAPHLLSAPSKPHCHAAALRFTVAASAATPSAAARKAVIVGGGLAGLAAATHLTSLSVPFTLVEASGRLGGRVATDVVDGYRLDRGFQIFLTAYPECRRLLDFPALRLRPFYPGALVFTGAGEPFYLLSDPFRLPLRSLSAVFSPVGTLADKVLVGLTRLRAAATPDDAILSAPETTTATHLQQLGFSPSIVERFLRPFLAGIFFDPALDTSSRLFQLVFKRLALGDNALPEDGIGAIASQLADRLPPGSVRLNSRAAAISRSGVTLDTGETIPGDLGVIVAVEQPEAEKLLPQLSVPEKPKKSERSTVCLYFSTDRALVEDPILLLNGSGKGIVNNMFFATNVAPSYAPAGKVLVSVSLVGSFADREDADLADEVVRELGGWFGSGEVASWKHLRTYRIGFTQPDQTPPTTPAGRDPRIGDGLYVCGDHWCSATFDGALVSGRRAAEALAKDRGLSS >KQL23008 pep chromosome:Setaria_italica_v2.0:II:7311724:7313547:1 gene:SETIT_031214mg transcript:KQL23008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQDGGSRQSSQALRSGYSEAVAPSIRRFCSAPVGSLTNSKADGDPLYQAAADQPHDNSGCGSEPSHGINAVWKQVMNHGPPKRGRRWKKLQGRDGPTEEECNHEKQLPGRYGPSQKGHHHEKKLPDTPFQPLLFQTILDTPMSTLMTVLDTWVKVGNRLERNEALMVLFHLRKQRLYSKALKI >KQL24914 pep chromosome:Setaria_italica_v2.0:II:34573960:34574626:-1 gene:SETIT_031238mg transcript:KQL24914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQHHYHGAVAMANLHCLSSPNPAFHAHCHSNMIAMPPTPFHFSPSTFEPIHEAPAVVSNSPAGSGSAEDAYGGRMVMAEDERRRRRMVSNRESARRSRMRKQRQLTELWAQVVHLRGANRRLLDDLNQAMRGCSDMCCENAQLEKEKAELSTKLERLMQAQSTTTPSSSSEPQDDTSTE >KQL22926 pep chromosome:Setaria_italica_v2.0:II:6413910:6414522:1 gene:SETIT_032248mg transcript:KQL22926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARSATGSYYVCFPAANRWIALPLPPIATDHNTANGFHYDIGAEPGIILFMAVLLNLAGVDVAMFPSKTGNWETKALVVQEDAARDHGRGQPSPGIHAGNYFYWLSASGHGGVLCLDVARGLDLIGARRADAGRGSGRRLWLCAFDIRDNEPNNIQPHDVEGVHSAWLLLDDASAWQRVHEAVVRDDVSYPL >KQL25465 pep chromosome:Setaria_italica_v2.0:II:38406929:38410126:1 gene:SETIT_030598mg transcript:KQL25465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSFCPDCKKQTEVAFDHSAGDTVCTECGLVLEAHSVDETSEWRTFANESNDNDPVRVGGPTNPLLTDGGLSTVIAKPNGAQGEFLSSSLGRWQNRGSNPDRSLILAFRTIANMADRLGLVATIKDRANEIYKKVEDLKSIRGRNQDAILAACLYIACRQEDRPRTVKEICSVANGATKKEIGRAKEFIVKQLEVEMGQSMEMGTIHAGDFLRRFCSTLGMNNQAVKAAQEAVQRSEELDIRRSPISIAAAVIYMITQLSEDKKPLKDISLATGVAEGTIRNSYKDLYPYASRLIPNTYAKEEDLKNLCTP >KQL26843 pep chromosome:Setaria_italica_v2.0:II:46590600:46591626:1 gene:SETIT_031814mg transcript:KQL26843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGTATCIDIILAIILPPLGVFFKFGCGVEFWICLVLTFFGYLPGIIYAVWAITK >KQL26844 pep chromosome:Setaria_italica_v2.0:II:46590600:46591626:1 gene:SETIT_031814mg transcript:KQL26844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGTATCIDIILAIILPPLGVFFKFGCGVEFWICLVLTFFGYLPGIIYAVWAITK >KQL24350 pep chromosome:Setaria_italica_v2.0:II:29143582:29143937:-1 gene:SETIT_033318mg transcript:KQL24350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLIPKCSGISRSLPCKVDAWSNVPPRQQIAAGSLAAACYARLGKIIGKLGPYISFLAYPICNFYSNSDMLLIFRRPGHGKRRPSIPIIPISTTVINPKFQIHHC >KQL26340 pep chromosome:Setaria_italica_v2.0:II:43835381:43838038:1 gene:SETIT_030498mg transcript:KQL26340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQPAPPPPYRPYRQVRAATPHSRAVSCVRFSPCGRLLATASLDGTVALLSPSSLAVIAVLRGHTDGVSDLSWSTESYYLCTASDDRTLRVWDIRSVLAGGAQGAADPGADRCVRVLKGHTNFVFSANFNPQTSSQIASGGFDCTVRIWDVKSGRCNRAIDAHSEPVTSVHFIRDGSIIVSGSHDGSCKIWDAKTGACLKTVIDDKKPAVSFSMFSPNGKFILVATLDDSLKLCNFATGKFLKVYSGHVNRVYCIQSAFSVTNGKYIVSGSEDNSVYIWDLQGRNILQKLEGHNDTVISVSCHPTENKIASGGLDNDRTVRLWVQDS >KQL22361 pep chromosome:Setaria_italica_v2.0:II:1986224:1988453:-1 gene:SETIT_031950mg transcript:KQL22361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLMNSLLLISAVLAGVIVGIGIYGQRYSHHRCTRFIFLGATTLFLPVISTVVSMGAGNSALFIPKRDMLLVQGVWTFYLGISYVIPVTGGFDLIIIALEATPFALTCAKMVLKYYAYEKARQSFALGRNPHLIFGYMKQQSLQETSHDGEPMVAEDAPPPLLVMGGEKRHVEKQPLGYVFKDDSWTTSHSNGPVTIDRVWRMDNVLPTSTLKPQKDLCLSFALFKLLRCRFARYKVRTAASKGTFSFFWSLLLKDGEHDRVFLVISDELSFLHDYYYSSLPISYSKYWLPVAGILISLLSIAYCCALMITVTLAGVLLYDPSERDQIGCTVFCIRDQLMTNTMYKEYGNWYLELVRDIATYIYSNWTKVAVTCHLVNHASSQHSLLKKKWIGLLLRCRCKLMKHWDEKIGQCTMLEIRPRTTLPVLLRRLLHLPDHERKVKVPAAVKVCIMEVVRSTRNGDLSNGTASLRCRGQVGERLLWACNNKSASYTILTWHIATIILEVRYPHRLDQEQGSCPIPNTDYKIIATHLSRYSAYLVTWCPELLPDDDAWSKSLYEDVKKDVEHVLAGCTAGDSLTPEANCQQLIEVLNADAKHEVLKEGARLGKQLLALVVEGEDTAWKLLAEFWSEMIVYVAPSDNLKGHSEAIARGGELITLVWVLLFNAGIVSRPGEDDGVAPTSAGVV >KQL25424 pep chromosome:Setaria_italica_v2.0:II:38022664:38025615:1 gene:SETIT_031022mg transcript:KQL25424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWSLAARPVLFDGTPLVVLPEPRPTPPSDPVLPLSSDYAMDNAAPNPSAAAAAAGNGVQASGTAGGERPEDASKQNLAQVTSSIQKTLGLLHQLNLTVSSFNSASQLPLLQRLNALVAELDTMQKLAEGCNIQVPMEVVNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKSLRKHLLEELEQAFPEDVEQYREIRATSAAEAKRLAQSQSSLPNGDVKVKAEH >KQL22669 pep chromosome:Setaria_italica_v2.0:II:4311593:4312215:-1 gene:SETIT_031789mg transcript:KQL22669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTETANDQIMLRSFICSWMHGRYILRRFARALYMRSLIGAEPVLGVGWIVYPESLMQGRILN >KQL24155 pep chromosome:Setaria_italica_v2.0:II:26823027:26825042:-1 gene:SETIT_031081mg transcript:KQL24155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWLKELRDHTDANIVIMLVGNKADLRHLRAVSVEDAKGFAERESTFFMETSALESMNVESAFTEVLTQIYRVVSKKALDIGDDPAAPPRGQTINVGGKDDVSAVKKSGCCSS >KQL22819 pep chromosome:Setaria_italica_v2.0:II:5544948:5546045:1 gene:SETIT_032461mg transcript:KQL22819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNCQYLMEGTTLLPNIENLHLCLVTCGHAIGACVFYMLKICTSIRRLNLEIDEGIEEEAACSLGCVCHQPHDWETKELFFNSLEVLNICGLSVADCDCDFAFVKRLLGWMPVLKTITVNFDPSAIVDEELCEELLCLSGPETCMKIYLYRNGAKVMYTPVG >KQL26808 pep chromosome:Setaria_italica_v2.0:II:46421957:46426344:-1 gene:SETIT_029825mg transcript:KQL26808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAEDAAAAAAGGGGSGGGSSGAGSSGGGSGGGGGARRGRFDDKGLVARTSLILWHTHQNDVGAVRKLLEEDATLVNAHDYDSRTPLHVAALHGWHDVAECLIANGADVNAQDRWQNTPLADAEGAKRQAMIELLKEHGGLTYGKTGSHFEPKTIPPPLTNKADWEINPLELDFTKAVVIGKGSFGEILKANWRGTPIAVKRILPSLSDDRLVIQDFKHEVNLLIKLRHPNIVQFLGAVTETKPLMLVTEFLRGGDLHQYLKEKGALGPLVAVNFALDIARGMAYLHNEPNVVIHRDLKPRNILLVNSAANHLKVGDFGLSKIIKAQHANDVYKMTGETGSYRYMAPEVFKHRKYDKKVDIFSFAMILYEMLEGDPPFSNYEPYEAAKYVAEGHRPAFRKGHTNELKDLVELCWSGDINLRPSFLEILKRLEKLKEQFSHETHWHLFQ >KQL24533 pep chromosome:Setaria_italica_v2.0:II:31073322:31078261:1 gene:SETIT_028761mg transcript:KQL24533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKPSLGRAPLTLALPWPSRAPQRRDRRPRARASGLCSPGAAATMEASAGLVAGSHNRNELVLIRGHEDPKPLRALSGQVCEICGDEVGLTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVAGDDDEEDIDDLEHEFNIDDEKQKQLQGNMQNSQITEAMLHGKMSYGRGPDDGEGNNTPQIPPIITGSRSVPVSGEFPITNGYGHSELSSSLHKRIHPYPVSEPGSAKWDEKKEVSWKERMDDWKSKQGILGGADPDDMDADVPLNDEARQPLSRKVSIASSKVNPYRMVIVVRLVVLAFFLRYRILHPVPDAIGLWLVSIICEIWFAISWILDQFPKWFPIDRETYLDRLSLRYEREGEPSLLSAVDLFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGASMLTFEALSETAEFARKWVPFCKKFSIEPRAPEFYFSLKVDYLKDKVQTAFVQERRAMKREYEEFKVRINALVAKAMKVPAEGWIMKDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAIREAMCFLMDPQVGRKVCYVQFPQRFDGIDVHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFRRQALYGYNPPKGPKRPKMVTCDCCPCFGRKKRKHAKDGLPETTADVGMDGDKEMLMSQMNFEKRFGQSAAFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTDWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLLYGYKNGNLKWLERFAYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPSISTFASLFFIALFMSIFATGILEMRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATGDEDDEFAELYAFKWTTLLIPPTTLLIINIIGVVAGISDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFIVRTKGPDVKQCGINC >KQL25749 pep chromosome:Setaria_italica_v2.0:II:40202963:40214069:1 gene:SETIT_028979mg transcript:KQL25749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEESAAPAPAPNQGEEAAEAAEPAPAEEERAPAEQEVPAGEDSPASGGEAAARAHHEKEKEELAREVMELGLQNEYLKSQIAGARPAGGADEGSELVRGLKEQVEKLTREVQEQRLTREATEKALEHVNVAYAEADGKVQGLTAKLAQAEQKMEKELKERDDKYVELDTKFQRLHKRAKQRIQDIQKEKDDLEARFNEVNQKAEQAASLQLAAQQELERARQQASEALRSMDAERQQLRAVNSKLRANLDETRLTLEARNNSLEKLQQSVLEKEQLLEKVQGSLQSAEDKRMTIISELTAKHQKQLESLQAQLAEVSAERTKASETIKSLQAVLTEKDSEIAEIEAASTGEAARLRATLEEVKGELAHLKDEHEKERQSWEATCESLRSKLEASENARLKSEIESTKLKSQLELELSTQNQLLQTKDSDLMAAKHEISRLESEFSAYKVRAHALLQKKDAEINAAKSSDLVKEHEEAIREAEKEVAAALAERDKAIHDLQEAQSRHDEEIEARDMALADAEKKLKNVMKKLDSVTSNFIVEKESWEKNLANVEENWRLKCESLKAQTNGHVDDELQKNLGELTLRYEKLKEEHQSFRDIADRMIEDKEQEIAKLLEENRDLHLSLEAKPEVSNTDHQSQGPVKDTMSVELAEQQILLLARQQAQREEELAQSQRHILALQQEIEELERENRLHDQQEAMLKTELRNMERSQKREGIDMTYLKNVILKLLETGEVGALLPVVGTLLQFSPDELKKCQQGVLSSVASSQAAAVSDGATTPNSFFSRFSF >KQL24798 pep chromosome:Setaria_italica_v2.0:II:33315336:33316383:1 gene:SETIT_030856mg transcript:KQL24798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKLTSAMAGKQDFIRKAQFDDQRGGGESAAAGFGGRLVSHEQEDAIIVAALRHVVSGYSTPPPEVVTVAGGEACGVCSIDGCLGCDFFAAPELAQGASRGTAGQMAAEAGGERQMRPRRRRKKNMYRGVRQRPWGKWAAEIRDPRRAARVWLGTFDTAEEAARAYDCAALEFRGPRARLNFPGHGDAATAAAGTATRTPSPCSADAAETTAATPGEWRMGGADGAGDELVWDGLQDLMNMDEADLWFAPFAGTAASSF >KQL27059 pep chromosome:Setaria_italica_v2.0:II:47634464:47635342:1 gene:SETIT_032014mg transcript:KQL27059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HGKSKALPALPGVANRFSTSADSQRLAGKVAVITGGASGIGEATAREFVKNGARVVLADVQDDLGRALATDLGADAASYTRCDVTDEAQVAAAVDLAVARHGKLDIIFNNAGVVGSLARPPLGALDLDDFDRVMAVNTRGVMAGVKHAARVMVPRRSGSIICTASIAGVLGMITPHPYSVSKSAVVGLVRAVAGEVARSGVRVNAISPNYIPTPLVMRILEEWYPERSADEHRLIVERDINEMEGVVLEPEDIARAAVYLASDESKYVNGHNLVVDGGYTVGKAPNMPAPAQ >KQL26106 pep chromosome:Setaria_italica_v2.0:II:42388057:42388647:1 gene:SETIT_031770mg transcript:KQL26106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSDAWFCCWISYGWSLLNPDVLLILLFQAAGLGCVVFKFLQESRTRSRDPAIDSNDYLPVIGDQ >KQL26630 pep chromosome:Setaria_italica_v2.0:II:45486768:45488715:-1 gene:SETIT_030227mg transcript:KQL26630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLFAAAALAAVASLAAHVALNCPIEPVPSTPPPPTPPPNNLLQRLEKLGEGVLDAPEDVYVDAAAGGALYTATRDGWLHRMHPGNGSWERWRFVGGAGLLGITPSADGTMLVCDADKGLLRVGEDGVTLLASEVDGTPIRFADAAIEASDGTVYFSDASARFGFDRWFHDFFESGATGRLLRYDPRTGHTSVVLDHLGFANGVALPRDEASVVVCESSRFRCMKVWLKGEKAGQAETFIDKLPGCPDNIRLGSDGYFWIALIQMRSPWLDLITRWTLAKRVVATFPALVEWSKSTMKGAMVAQVSEGGDIVRVLDDSQGKVINFVTSVNEFNGDIFLGSLATNFIGKLSLAQVTQEQGAASERRFIPE >KQL25897 pep chromosome:Setaria_italica_v2.0:II:41148183:41149850:-1 gene:SETIT_029992mg transcript:KQL25897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPAPANVHEICRARRADGPAAVLAIGTANPGNCVLQEDFPDFYFRATKSEHLTGLKEKFKRVCQKLGVQRRYLHHTEELLSAHPEFLDHIFSPSLDERLDIVKTAVPELAAEASRKAIAEWGRPAADITHLVVTTNSGAHIPGVDFQLVPLLGLRPTVRRTMLYLNGCFAGAAALRVAKDLAENNRGARVLVVCAELTVLMFTRPAEGPFQFQTLINQGLFGDGAGAVIVGADPVATPAERPLFEIVSAAQNIIPESEDAVSMHLTKGGFGGNISARQVPTLIGDNIERCLLDAFEPLGIGAGWNDLFWDVHPGSSAILDQIDAVLQLKPEKMAASRRVLSDYGNMFGVTVIFVLDELRRRMEKGEEEGAPEWGVMVAFGPGLTVETMVLHRCVAQATGATAEEKLTVA >KQL22563 pep chromosome:Setaria_italica_v2.0:II:3536610:3537197:1 gene:SETIT_031895mg transcript:KQL22563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRQALLLAVVVAAACLASLASASDWMVGDKDGWRAKFNTTGWADGKTFTVGDTLMFMYPQGKHTVVQLSNKDDFVACNLNANPIATWKSGNDVVTLDKPGKVWFFCSVPGHCDNGMKLVINVEDGAPIPAPPGPSSSAPVTGYTVGAAVAVAAGAVVASVLAF >KQL25626 pep chromosome:Setaria_italica_v2.0:II:39455358:39455750:-1 gene:SETIT_032292mg transcript:KQL25626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVSSSHGSHNLHHQPTTSEARRRAAAAMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KQL23481 pep chromosome:Setaria_italica_v2.0:II:14032522:14034703:-1 gene:SETIT_033357mg transcript:KQL23481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADASAGSGALPNWVMLERFIFRRDDPQSFREDKRTSATGETSVGAHFRISFILAEPPTPSRLYLSWPGGPKHEMMCHLAAAHRNLVLLRLDSFVDPSYPSPFGEMAHDYFIYYVAADPRSQAQSTPALRRLPGCTVHNAYFGRPIPRPFEPWGVGLLCCGEEFAVAYMSVSRRDPEAEALEVELWVLRSTVRDDSTDGGEKWEAKYLPLQGQDVEHINLLDFTTSEVVPFKNSLCWVDYRMGILYCEDVCGDSPKAVFVGFPPGYSSYHPSVRPELYRPELYRGLCVTEGGRTLAFLDVVRRDGIDIGPMVPAGFTIISMALTETQSANSFVVKADDLWATHSTKDLPHEVMMLPLLSMDDINVAHFVLYDWADLSGKFKISLVTIDLSTKRVVGSVVPYINEEDLSTDDADLVKAKPNFFMPFLPAEFPKFLNLQRTRKNPA >KQL23602 pep chromosome:Setaria_italica_v2.0:II:15426879:15432889:1 gene:SETIT_029585mg transcript:KQL23602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTMEEATGIPGYFKNKGILITGSTGFLGKILVEKILRVQPDVKRIYLPVRAPDAASAKKRVETEVIGKELFGLLRETHGKGFQAFIEEKVVALAGDIIHENFGVEGAQLSEMTREINVIVNGAATTNFYERYDVALDVNVMGVKHMCQLARQCPNLEVILHVSTAYVAGERQGLIQERPFKYGETLRDDDGAQPRLDVDAELKLARDYQRQLAADDAEQKNERKAMKELGLARAREFGWPNTYVFTKALGEMTLGRLMGGGDVPVVIVRPSIITSIQRDPLPGWIEGTRTIDAIIIGYAKQNLSCFLADLDLTMDVVSRRLAMMAAAAAHASSPPPPPQTPPAVYHATSSLRNPAPYAVLYRTGMRYFNAHPRTGPDGRPVRTRRIHFFATVAAFTAYMVVRYRLPLELLRLLNLLCCGLLGRLCAELGRKYAFVMRLVDLYGPFSLFRGVFDDANVERLRLGMADGDRVVFNFDPKTLDWDDYFYRIHIPGVMKYVLK >KQL23447 pep chromosome:Setaria_italica_v2.0:II:13131890:13132739:1 gene:SETIT_032528mg transcript:KQL23447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDTLHARICKSVVAVSIKKNVYTEGTVVLVTPNFAYIIADSYYFRKNKDVTEVTIVLPNTKKVVLQLGRVKLFQNVACIRCFDPTPGQDSWLEELVGMDLCEQIQENDKVFTFSDNNNKKLLTPGRIILIEDKTFDHNCASDLYSCCGAPVINEAGQFVGMCTKLTDGYLTAVKAKEVAQMIDNAEKRTHTTIADTLQNLRQ >KQL25116 pep chromosome:Setaria_italica_v2.0:II:36083801:36084426:1 gene:SETIT_032936mg transcript:KQL25116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYSFPPLGLLLAIFLAFAVSARATVKDPPPLPTPAPADSRFLQACCAACANTTEASVCYDSALLPGAGSFHGNRVKVARAAAVIAFARLRGFYDELRCLQLQPRSTGAGRVADMALGDCATFADDSLGREGDSLARLRRLETAAGREVYGFASIGDAALASPVVKKVVAWATDVHLYGGIALDLVASIKLGM >KQL23393 pep chromosome:Setaria_italica_v2.0:II:11976908:11977519:-1 gene:SETIT_033788mg transcript:KQL23393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSQVAPAGPSLPPPPPPPPAAAARTEAHDDDERAAAGSAADSARTSALPLLSFFRLYIAVLWPGLEIHPPAASIALSGCRRRKCLISQSAAAIVAVAVAGSETRLPLSLPVWVGELAVHYTVHLLKGLFG >KQL25887 pep chromosome:Setaria_italica_v2.0:II:41093644:41096012:-1 gene:SETIT_029770mg transcript:KQL25887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAGTRGRDPTPDPSSSYFVDAAHPYAAAAATALTSHRAKSKWSHLSSIPVPSPLPPSATAAVLLLLRRRPHTALRFHAFALRRLLPSHSPPPLVLSASAAHVAAASRLRGAALAVLASASRHYSPAEIFNALAATYRRFASAPFVFDLLLLAYLRSRRDALAAASVARRILAAGARPLPSTAAALLRSLPSAAAALDMYHQIYTHSTPQSSHLLQPTVHTFNSLLLAFYREGKCDEFKIVLQEMGKYSCRNNVCTYSIRMAEYCDCRDVEKARGLWGEMVQEGIQPDVIVCNTMIGGYCRAGEVGMAEEMFKNMEMDGIDPSATTFEWLVRGHCMAGDAEAALLVRADMRRRGFGLASEVVEELLDALCQNGRVQDGLHVLREEMRREEFAPTRRSYEILIKGFCDEGEVEVAIRLQAEMAGKGFNAGSEVYHAFICAYEKSQDYEMVEKLRKEMTVMGT >KQL26226 pep chromosome:Setaria_italica_v2.0:II:43145930:43147405:-1 gene:SETIT_032267mg transcript:KQL26226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTIVLYPGVFVSHFVPMMQLADVLLEEGYAVTAALIDLTLDHDDALAAAVDRVAAAKPSLAIHRLPRIQNPPAVADYGEPLLWYFDIIRRYNERLREFISSLPPRSVHAVVIDSPSADALDVARELGVPAYTFYGVNASTVAVFLQLPWFRAEGQPSFKELGDAPLEFHGVPPMPASYLLRETLLDPETEIYKQMMNLMRRNTEPDGILVNTFASLEPRATGALRDPRILLPGGECRVPPVYCVGPLVASGAAGAEAEDKHECLAWLDAQPERSVVFLCFGSTGAATHSEEQLREIAAGLRNSGHRFLWVVRAPVSGDTPRLFESGADADLEALLPDGFLESTKGRGLVVKHWAPQVEVLRHRATGAFLTHCGWNSVLEGITAGVPMLCWPMYAEQRMNKVFMVEEGRVGVEMAGWEQGMVTAGEVEAKVRLVMESEEGERLRARVAAHRDAAAVACKAGGSSRAAFGQFLSDGRRRASRIADSIVMHN >KQL25266 pep chromosome:Setaria_italica_v2.0:II:36984087:36984329:1 gene:SETIT_032422mg transcript:KQL25266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCTWKLFYARLRRQQPKERRKGLDTLFMLVIWSLWKEHNAGVFNQCSSSTQELLQRIKQESEHWVLAGARQLGRLFIE >KQL23572 pep chromosome:Setaria_italica_v2.0:II:15129355:15132507:1 gene:SETIT_030561mg transcript:KQL23572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGLLLGDAAGLGTHLAIHRRSGTRLYLRGWAPRPRLGAAACVSRNRGRRGHVARFAASAAGGGDEPGELSEDEAQREWEAELNRRLKEAEEMEELERTAEQLQSQAAAEATEESEEEKRERVRRELQKVAQEQAERRATAKQMFELGQKAYGRGMYGRSIEFLEAALTIIRPSSLLGGEIQIWLAMAYEANRRHKDCIALYKELESSHPMINIRRQAAELRYILEAPKLKISNDEVVTIPQIGSSWDWYAGTWSDKIKEQEDKKRMMSAASNQVQPSPNIFGDFSFLRRPSEWKKSAWVVITLWILLIGTAIYLQT >KQL25777 pep chromosome:Setaria_italica_v2.0:II:40487343:40488692:1 gene:SETIT_029828mg transcript:KQL25777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAANGRGGDGLRIHLWRRDGDGGDHGVDAADVAAAAEAVVPFLPPLLPPRVLDDPVGAVAQTQRREPSRAVADEEHAVVDPGPRADERARHPAHVRLHERRVQPHRQRAVADERRPDLTLVAGGNGGEVGHTDGGPGRVEAAVPRRARVRVRALLHQPAGLHQVRVRVRHQPAAAAVVALVPRHRAALVVTVDELLLAEVEELPGGEEVGALHGARRAERPARPARALRLDAGDGAPVAPVEAGGKPCHLLLCRRRRGGHAPVHEPLGIAAVADAREARLELLPREVGEGRDPVPRRGVQRLVRRSAGEVRGEHAQPPRVLLGPGVASPVPPHERRELRLREQPRPAGSGAATVGHLPDEAVVVGRAGEWQEQDEGGDKLGSGSHGGEILGRGSSVARVVHKERRTRRKLSEVAAMQAGEVDACLVVERRRAARAAERHVRVRCSLSL >KQL24046 pep chromosome:Setaria_italica_v2.0:II:25159378:25163765:1 gene:SETIT_030441mg transcript:KQL24046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQGGREESLPVESGRSSREARTSKKGERESRGTFPWIGSFAFAAEEGGEEGPVSPLSAAAESSRSQEGAEEEEMFPPGLIHHRPDGPAPGDGAPRSGPGGGPGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEGSEQSKDASYLLDAQSGMSVSPRVAAQDVKESQEVKEALRAQMEVQRRLHEQVEVILTACSIVLLSVTA >KQL24047 pep chromosome:Setaria_italica_v2.0:II:25159378:25164956:1 gene:SETIT_030441mg transcript:KQL24047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQGGREESLPVESGRSSREARTSKKGERESRGTFPWIGSFAFAAEEGGEEGPVSPLSAAAESSRSQEGAEEEEMFPPGLIHHRPDGPAPGDGAPRSGPGGGPGGPSLVLTADPKPRLRWTADLHERFVDAVAQLGGPEKATPKTILRTMGVKGLTLFHLKSHLQKYRLGKQSGKEGSEQSKDASYLLDAQSGMSVSPRVAAQDVKESQEVKEALRAQMEVQRRLHEQVEVQKRVQIRMEALQKYIDSILESACKMVTEQFASSGFSISDPDLPEISPGGVMCGPTDTLSSSVFNQLSVSSIDSHSPGGKPSPSGIEGPPMLLQKSPELKRRSS >KQL25418 pep chromosome:Setaria_italica_v2.0:II:37947671:37948781:1 gene:SETIT_030249mg transcript:KQL25418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKRGRRHSPPPATPGASPTSSSNPEPTRSPSPPPDVVPDIASRLTSLEDFFALRASCRAYRALLPPSRGVLASQSPLLLVALFPSFTEALFHLSLRRLHRFRLPWGHHLSLSRRTLLYAHGYLVTVTYQYPARLLLLHLFSGEQIRLPKVPAPFSRFILSDDLAAVLFMPGSSTVQHCHLGDTLWSVACTDAPIVIDDMLFVHGTLYALVNGLRLAIVELLDNSLELSFLGGELDDDSIPAVGKIRLGECGGEVLLISEDPKEMMVYHVYRWVSEEGNWASVTSLGRRTLFLDYDGFASCLGPDYPGIRGDCLYAAGRRLGEWHEYSLADGTCDVRYADYPGAPPLNNRSPARPPIWVFPSLC >KQL26358 pep chromosome:Setaria_italica_v2.0:II:43948846:43952334:-1 gene:SETIT_030438mg transcript:KQL26358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPRAARLAARPPGPPPVSSLLHRTAARGVVVVPSRSACQFQRWWPGGCTFPSPGRCSRLAAAHRNQSPVANLGLPPPRPRLPRSQAAGTGTRVTGDGGFDRRPLVECTGDRSIQEHAGVEEKASKMSSTVPKSSNIFWHDCAVGKADRQKLLKQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASLISPYRRDREACRALLSDGSFVEVFLNMSLELCEARDSKGLYKLARAGKIKGVYMSICKFRRSSLVEKWKKKENE >KQL26360 pep chromosome:Setaria_italica_v2.0:II:43947710:43952334:-1 gene:SETIT_030438mg transcript:KQL26360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPRAARLAARPPGPPPVSSLLHRTAARGVVVVPSRSACQFQRWWPGGCTFPSPGRCSRLAAAHRNQSPVANLGLPPPRPRLPRSQAAGTGTRVTGDGGFDRRPLVECTGDRSIQEHAGVVEEKASKMSSTVPKSSNIFWHDCAVGKADRQKLLKQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASLISPYRRDREACRALLSDGSFVEVFLNMSLELCEARDSKGLYKLARAGKIKGFTGIDDPYEAPLNCEIEIKEVDGVCPPLCDMAGQVVTYLEEKGFLHE >KQL26359 pep chromosome:Setaria_italica_v2.0:II:43947710:43952334:-1 gene:SETIT_030438mg transcript:KQL26359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPRAARLAARPPGPPPVSSLLHRTAARGVVVVPSRSACQFQRWWPGGCTFPSPGRCSRLAAAHRNQSPVANLGLPPPRPRLPRSQAAGTGTRVTGDGGFDRRPLVECTGDRSIQEHAGVEEKASKMSSTVPKSSNIFWHDCAVGKADRQKLLKQKGCVVWITGLSGSGKSTLACTLGRELHTRGKLAYVLDGDNLRHGLNKDLGFKAEDRAENIRRVGEVAKLFADAGLVCIASLISPYRRDREACRALLSDGSFVEVFLNMSLELCEARDSKGLYKLARAGKIKGFTGIDDPYEAPLNCEIEIKEVDGVCPPLCDMAGQVVTYLEEKGFLHE >KQL25617 pep chromosome:Setaria_italica_v2.0:II:39403937:39412107:-1 gene:SETIT_028742mg transcript:KQL25617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFDADADDFASPSSSAPPAPRARADGGVYQVGGVPVEFPYKPYGTQLAFMGRVIATLDRARRQGQSHALLESPTGTGKSLSLLCSALAWQRHYPLRSPPAAPTAAPDPFLHGGGFVADDTQPQATPVIPEKPAKKKNTPTIYYATRTHAQITQVVREYRKTSYRVRMAILASRKHYCVNKLACMSENIDEQWNAQKLSRHPSLQIGGCYEVHDIEDLVRVGRKVKGCPYFAAQHMAEAAQLVFCPYNYLISPIVRRAMDIDIGGSIIILDEAHNIEDTARDAGSVDVDEESLYLLQGELQSLVTDEAVAMIYQPLHDVIQGLMGWISEREDNLQNHEFGHPASYWAGEKAMKELQLAGITPMNFPVLQECATKAVKAASDTESDGSHLSGGRAMTLESLFSSLSYFFANNGRNSCDYQLALQRFVKKEGKDEISSKCTLSLWCLNPAVVFREIADLTLSVILTSGTLSPMGSFASELGVQFEACLEAPHVINVDSQVFAAVLSSGPTRHKLNASYKTADCSSFQDELGTSLEEICRIVPGGALVFFPSYKLLDKLQVRWSQTGQWARLNAQKHVFVEMQCVFLCLDTNIDSTWIRNDVQVKLKKRYNDSYKSSKYLLSGSEWYCHQAFRALNQAAGRCIRHKSDYGGIILIDERYQEDRNLVYISKWLRNAIKQYNSFQDTMDGLQKFFQNAEEKIKIKDRDMFPKIKLESEALSSLSDKRKLPWPELSSSNHSAPQKNQKAKTECLSQKVTNIDGVAIDQKKVGVADTGANYEVKTEIISFEKDDFEPRYKITILNPLEGRSQQPTPVEETSLETPVASPSNYSEINISAGINNGTLSCLSTLAATPERTTNRDCHESLINRSVNSHCEKKRRLSSPMSFCAYSERSNSPGKSQLGTDNAVTIEHGDLNRNAELFCKNMSMSRCENVKLERNYSAQEVSEKMPSGKKLLVSCIRCKTALGLEQDGLLVTCSRSSSSKFYLAYLLRHGLSTVGLPEDGFSASTPAEIQVVECDASSLNQNIFGKFSRQGSCHHSGVWSAKDGCVYKAVICPFCSSENACATILGVQVLATDKPNQQLVGKVLLFGERLDVKPEPSKGQASRTRRDGSNSISSPPVIDLESLAYKPLKKDPVPLNSRRSKFCL >KQL24101 pep chromosome:Setaria_italica_v2.0:II:26132079:26132761:-1 gene:SETIT_031639mg transcript:KQL24101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGNAAGLKTATVACILLVLAASMVPTPAKAGCASACVDACTKYAETFCSGFNVASCSTPFPLGKTCQDVVAFQPCVASCYQGCTTGQLAGCIV >KQL27298 pep chromosome:Setaria_italica_v2.0:II:49071613:49080091:1 gene:SETIT_028760mg transcript:KQL27298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQIALHYGVPYTASLLAFDPVQRLLAVGTLDGRIKIFGGDSIEGLLISPKSVPYKFLQFIINQGLLVAISNENEIQVWNLEFRQLFCSSQWDVNITAFAVIEGSFLMYLGDENGLLSVLKYEVDDGKLQRMPYNVPIQSLAGTPLHLPRQILTATRTFLPMDPQPIVGILIQPETFGTRVLIAYEKGLLVLWDVSDNNAVSVRGYGDLHMKGQITGSQRDAGEDQLNDAIDETEEEREICSLCWASRGGSIVAVGYITGDILLWDMTTRFPRQDKQIDVSSNVVKLQLASGSRRLPVIVLHWSAGSAIHSNKGGHLFVYGGDDMGSEEVLTVLSLESSAGLESVRCMSRMDLKLDGSFADMILIPDTGVPDKSKTSALFILTNPGQLNFYDAGSLFSVQNTKEGNALPEAQKFPVAVPTIDPNITVTNLCSLTERELSNISLKKFCARQNAGPFISGNMKWPLTGGVPSEMSLNEDHAVGRLYIAGYQDGSVRIWDATFPVLMPMFVLDGKVADINLDGANASVSSLAFCSLNMTLAVGTTSGLVRIYKLREHTGGSSFHFVSDSKQEVHVVHQGKGFHCHVAFLASHSPVRSLLFTASGEVLAAGYQNGQVAMLDLSQLSILFTVDCASGTNSPVVSLGIYSVAPSAAKADESKKESPQNAMLLRDILLSLTKDGRVTVLDCTTGVMINSHILDLKQSSAISMYVIDEASDEKQTQLSEDTIGSQGQTGKEGNDLDKKQAPGVGKHLKNASHLSQNGGSDSLLLVCCEDVLLLLSLAPLVQGNNKHLHKTKLAKPCCWSAVFKNMDGKICGLILAYQTGTIELRSVPDLAIVAESSLMSLLRWSYKAGMDKSMSSSNGQITLVNGSEFGIISLMALENDFRIPESLPCLHDKVLAAAAEAAISFSTDQRRKQNPAAGILGGIIKGMKGKAEENAKMRESFTVQTPSEHLESIFLKDSFVEPSIPNLDDPIEELSIDDIEIDDEVPVAQAPASSSTFHGNKRTAEEEREKLFEGSSDIGKPRMRTPQEILTKYKFGGDAAAAAAHAKDKLMQRQEKLERISQQTAELQNGAENFASLAQELAKTMENKKWWKL >KQL23242 pep chromosome:Setaria_italica_v2.0:II:10103616:10103756:1 gene:SETIT_033304mg transcript:KQL23242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVVERVVFALNGRRYEVAGADPSTRLLEFIRTRTPFKGTKLGCGE >KQL22629 pep chromosome:Setaria_italica_v2.0:II:4044890:4050669:1 gene:SETIT_028838mg transcript:KQL22629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAQSLVGNVGQLLSEEYRLLSSVGGEVTELRDDLATMNALLRMQSEADEAAVDHFVREWMKQLRELAYDAEDSVSLYKLRVKCRHGDNMWFKLMHLVRTLIQRRRLAGEISAIRTRAITISERHARFGVNRDALRRSASFAPAVGVRTTPALPASNGQDHSRQFVDIGDQARTLAERLKEDEDSFKVFSVVGFGGVGKTTLTVEVCRQLQAEFPYQAMVPVSQAFEATRDLEKLLNSILQQVVKPQTDRVKEVLEEATVKIDDLGLYLSDKRYLIVIDDVWTIHAWEAIQFKLVEVPNNCGGRIIVTTRIETVADACSSASVTGHYTYHMKALEFEDAKKLFLVKTFGKMDADYPKELKVVMGNILKKCGGMPLAIVSVANILAGYRSTGSKEKWETVCKLIGSSQMESNPTLEGMRHIVALSYNHLPHELKECMMYLSIFPEDYEVNKKRLLSRWIAEGLIPEKRGWTLMEVAESCLNELLRRNMVVPRIGRGGDVKSCQVHDVLLEVMVSKSLESNFVSLLGGQYTGMSYDRIRRLSIQGDDRKPGEQQGPTDSEPKKKKMGRRNSRHALQGMDVVHVRSLSMFQVGAGNSNKLLDHLDKFTLLRVLDLEDCEGLTNDHMKYICRLYLLKFLSLKGTGISQVPPQVDKIEHLQTFDVQDTRLKGLPKSVTNLEKLERLQFSSKHGWDVMWRLPQGLSKMKGLRELDHAILENDIEVAKEIGKLEQLQYLTIYIDSYSIDNAEVLECFAKSLSDLHSLRSLHIGALGWTSIMQFLHELPTPPRLLRYLGMQGEIGGRLPHWIGSLTYLIEICICWARLDGDEPFGVLCKLPNLKSISLNAKYYTGEKLIASTGHNFPALVDLCMSPYWSDDPFPKVFKIEAGAMPKLETLKFDFETYEKSIVGIDHLTNLREVEVTTDKSNSSASRALEQLKAERASRPESKQFQIAVKYH >KQL25477 pep chromosome:Setaria_italica_v2.0:II:38447245:38450968:-1 gene:SETIT_033171mg transcript:KQL25477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIVTYNVNGLRPRVAQHGSLRRLLDALDADIICFQETKLSRQDLSADVIMAEGYEAFVSCNRSAKGRGAYSGVATFCRVTSAFSSQEVALPVAAEEGFTGLHDYARNSEILGDFVIATPVAEEGLGEITREDLLRVDNEGRCIITDHGHFVLFNIYGPAVEEDDKERVRFKLLFYKILQKRWEYLLALGKRVFVVGDLNIAPASIDRCDALPGFEKQMFREWLRSMLREHGGPFLDAFRSKHPERTGAYTCFNQKIGAEEYNYGSRIDHILISGACLHHCNAVEDHSIFCCHVEECEIMNHFKRGNSESLSKWKGGRSSKLEGSDHIPVYILLKEIPELPVHNIPPSAARYLPEVRGRQQSIVSFFNKGKTYELQDDGNLVLSENTVNGSYYSDGLEKKAIVKEGLAAGITDLTKGGNLPSLMCKGTNPDQWRNEGLSGVSYNSQKTSPSGTKSVPNKKLKRNLSSQPTIKSFFQQPGPKTVNVSISTLVTPVETVHHTNQTCVPNDDSLPENMQCTTSAAEDQDNTNIPSCSISTDKSNAAALEWQRIQQKMKMTLPRCKGHREPCIPRSVKKGPNIGRLFYVCPRAQ >KQL25614 pep chromosome:Setaria_italica_v2.0:II:39391283:39391613:1 gene:SETIT_0320992mg transcript:KQL25614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLPSAEESLPWLLLELKAYVAKYGNATTAFSSTWDGKRIQVTFCPRRPLRVSYMCVHSPDAAEIHVEPTILAMEDDLTLLGITVGPRDDVNDNIDYYVYATRKCAIRI >KQL26150 pep chromosome:Setaria_italica_v2.0:II:42632464:42635395:-1 gene:SETIT_029830mg transcript:KQL26150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDCPRLLLPAARVAAAVHYPRCTARSSFNPGRSPFSVCFSRRRRNPIPVQSASAGTGSQSSVADEPEAAEWAMQDFYALRKEVELAIERVSEVRQSAGLEQLKEEIASLEKKSADSSLWDDPSKAQEILVSLTELKDRVKLLNDFKSQVEEAETIVKLTEELDSIDTGLLEEASNIIKSFNKALDNFETTQLLSGPYDKEGAVINISAGAGGTDAQDWADMLLRMYVRWGEKQRYKTRVVEKSPGEEAGIKSATVELEGRYAYGYLSGEKGTHRIVRQSPFNAKGLRQTSFAGVEVMPLLPEESMAVEIPEEDLEISFTRAGGKGGQNVNKVETAVRMVHIPTGIAVRCSEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDMVKAEWGQQIRNYVFHPYKLVKDVRTGCETSDITGVMDGELDPFIRAYLKYKLTAAASS >KQL26507 pep chromosome:Setaria_italica_v2.0:II:44825225:44828933:1 gene:SETIT_031460mg transcript:KQL26507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDRKKIGLGLTGFGVLFSFLGIIMLFDKGFLAMGNILFVSGVLLTIGLKPTVQFFTKPKNHKGSISFGFGFFLVLIGWPALGMMVESYGFIMLFSGFWPTAAVYLQKSPSFGWIFHHPFLTSLITRFRGRRVPV >KQL23966 pep chromosome:Setaria_italica_v2.0:II:23622901:23623399:-1 gene:SETIT_033556mg transcript:KQL23966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSARSAWLGRGGERRPLARADVWGVRTGGKQQATKRQIGRAAAAQANRGRR >KQL23666 pep chromosome:Setaria_italica_v2.0:II:16037259:16037660:1 gene:SETIT_0291792mg transcript:KQL23666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKLLYIVVVDDNGSSFRYTRSLIHSTLQLMGCKPRHAFEISRRVFDVIRGDGNDEMAASASASTRVQ >KQL25834 pep chromosome:Setaria_italica_v2.0:II:40812986:40814557:1 gene:SETIT_031642mg transcript:KQL25834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSWILRGCRDECSASDQLKQARDVFVAKEAVLQKKISQEMERAKEFTKSGNKQAAMQCLKRKKYYESQMSQVGSVQLRINTKEKMIADHMGNK >KQL23990 pep chromosome:Setaria_italica_v2.0:II:24140198:24142937:-1 gene:SETIT_033351mg transcript:KQL23990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIFLRRKPSLASPSGGLEERGKPRRGRTRAPQAKRGRQGRLPGSASPPTRRARHPPGSSRPVRPTGRHSPLGSMEDSNLRGLVGEEIVMETGKAAGIGLAAGSVWGALFSMLHDGPQVGSNIKYPQLIRTGKVCGHYAANFAVIGATYVGVEQALEKYRMKKDIFNGVAAGFATGAAMGFRVGSSRTAVLSGSALALTSVLLDVTGMRTTNEEKKGHH >KQL25747 pep chromosome:Setaria_italica_v2.0:II:40192058:40194317:-1 gene:SETIT_029175mg transcript:KQL25747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLRTAGRFFRRHPSVLCLVLLLLILYKYFFGWFTLLVTTSPIFLIAGVFLGVILAFGEPNNSEKDHVYKKIEKARCLNTHDSNKSVRGVPLPRIPSEERVAKHINRDKKIRKRSHAVASSEQGSSESGGSDTDNIPMLHAFHHLRSGSNSSQSSQDGDSNDSSIEDGMDNQQGNGGNVREGKRHVKVMAWTADDQKNILNIGCLEIERNQRLETLIARRRARKYTDRNLIDFGSSDSLPTIEELSKFNVQIPSIFAPRKNPFDLPYNEDNFPDSAPSAPLEIGNPFDLPSEQANESSSSGGTNSIYAEPIPVTSHLQRSALLRRHESFTEGAPFLSDFLQDARPSRFRPYFVTENMANEEITDPVLEGETSEKSNSKASSVQDSDSASSVADQESQKDVLEDFSNQGQQSSFSQTDEHAHIARHVREVSLALDMEPPVLISDSSDDDISLSGEHTNDWEEAQQSDFSFPHNTLLEDPSFMQHHQEIDMASNGLHHMSPHSNDLELTSSSSDSTDDPFEVNDIELSAKEVVVIDDTHIPDPVYDSSPSGSEKPAPIGLVIGEAVLQDGHARNLEEGSPSRMETSSSEAAVPNLSPVEQRELREKEAYEIREQSMVGHNEAHENSVSHADPPISEISSQSTTGGSTNGKSY >KQL24290 pep chromosome:Setaria_italica_v2.0:II:28597501:28598342:1 gene:SETIT_032902mg transcript:KQL24290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALDLGRAPLLLFDNDGKTPGDGTQSRDDATFLFYNIPSKQLLRKRVDEMEGHRYWTTPQGWLVMAARGSPATFLWDPFTGARISLPPDREGFLRGDGPKRCLLSCKPVAAAEPSCLVLVVDLALAETVFWYCRLGVGGDDRRWLRHENEIAAAGSASLLVVLWAMGRLTSVGVKFFTNLVDKVVTLDFLPEPLFTCQSTVPVKPTTRARQLGSVGVRRDSRHLLRPSPFRKPSRSGGRLILAPVNGSQRN >KQL25546 pep chromosome:Setaria_italica_v2.0:II:39006325:39006667:1 gene:SETIT_033444mg transcript:KQL25546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGNLASYPNVTCTMEVERLNNAVVNSGGARRQMAG >KQL27124 pep chromosome:Setaria_italica_v2.0:II:47943891:47944775:1 gene:SETIT_032771mg transcript:KQL27124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRGSGSSSGGSNQTLMAFSEQKPASGQPQPSPPSSPSERPPAGRGRRRAQEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGAQARTNFVYTHAAYNYPPFLAPFHAQAPSSLQYGQHGGAPHIGSYHHHHYQASAGGAGSSSSSGECSAPAAVDRADGTLLMDRNGHDFLFASADDSSGYLSSVVPESCLRPRSSAAAVEDLRRYSDADAYGMGMGLREDVDDLAQMVAGFWGSAGGDADQLCGFPSGGGDMVASSQGSDGYSPFSFLSH >KQL22869 pep chromosome:Setaria_italica_v2.0:II:5982140:5982851:-1 gene:SETIT_033745mg transcript:KQL22869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKWWFIASLLLCLAVAAAAARGMPRDCDTATFAAEAVAGADDDNDSANAGAVDEEAKTADVFGGRTRGGGLFGGVHGPLGGGVAGFGPFGGAVAGAGPFGGFGGGGGLGGGGGGGAGGVP >KQL23382 pep chromosome:Setaria_italica_v2.0:II:11802986:11804388:-1 gene:SETIT_032666mg transcript:KQL23382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CFSLFITIIHLVASNEGPNLSAQTLLQLAIEAPAACACATCGADGCAIGGCELLTAAETRLSSDSDDGGEKSASASASRTTVAGGMGKLRRQRRGRWAVEILDPHCAMRKWLGTFDTTVDAARAYDFVVVMFRGRRAKLNFPDNATPAPAPSWVPISTYLRHHHLPQPLPESLHETCGSNVSSPVRVVPAAVVPAGQHGARPVLKEQDIWDRLNEIMMMDDGSFWSSMP >KQL24829 pep chromosome:Setaria_italica_v2.0:II:33585331:33585773:-1 gene:SETIT_033499mg transcript:KQL24829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLVATRQVCGGELLTAMASSSSTALRSAAAVAVAPAPAVSRPS >KQL25912 pep chromosome:Setaria_italica_v2.0:II:41220843:41222502:1 gene:SETIT_029729mg transcript:KQL25912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTVVLVPVWGAGHLMSLLDSGKRLLARAGRALSLTVLVMSAPTEHLAAEVAAHIRREEASGLDVRFVHLPAVEPPTDWVGIEEFVSRIVQVNAPHVRAVISSLPSPVAGVVLDFFCTTLLDVSRDLAVPTYVYFTSNAAMLAFMLRLPALHEEVPVEFEEMEGAVDVPGLPPLPPSSVPTPVTDKKNPNYTWRFAETDGIIVNTAAELEQSALAAIANGRCTRGARAPKVYPIGPVISFAPPPEQPHECVRWLDAQSPASVVLLCFGSGGFFAAPQAREIAHGLERSGHRFLWVLRGPPAPGGGRHPTDANLAELLPDGFLDRTKDRGLVWPTWAPQKEILAHAAVGGFVTHGGWNSSLESLWHGVPMAPWPLYAEQHTNAFTLVAVMGVAVAMKVERKRDNFVEAVELERAVKELMGGGEEGRKAREKAKEMKAACRNAVEEGGSSYAALQSLSEALYKGAVVVGTN >KQL25111 pep chromosome:Setaria_italica_v2.0:II:36074993:36075907:-1 gene:SETIT_030654mg transcript:KQL25111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPPPETLPLAGRRVAFTTPQTGGASYGGRLGALLRQRGARPVPVPTIAVQPHDPDRLRPFLLPGALDPFAALAFTSRSGISAFARALPPSSSHHRPLSDASALPFTVAALGSDADLLDRAFLSRLCGDAGTRVAVLVPAVPTPAGLVEALGPGSGRRVLCPVPDVVGLREPPVVPDFLAGLEAAGWVAVRAPAYTTSWAGPGCAEALVGADAAAPDAVVFTSTAEVEGLLKGLDAAGWTWARLRARWPGMVVAAHGPVTAAGARSLGVEVDVVSARFSSFHGVVDALATTFSPKKTV >KQL26142 pep chromosome:Setaria_italica_v2.0:II:42561464:42563308:1 gene:SETIT_033005mg transcript:KQL26142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVGDDAGMDAVQRRLMFEDECMLVDEQDNVVGHASKYNCHLLEKNLLHRAFSVFLFNSNCELLPQQRSATKVAFPLVWSNTCCSHPLYRESELIQEKDLGVRNAAQRKVLDKLGIPAEDAPVDQFTPVGRMLYMAPSDGKWSEHELTHMLFIVRDVKLHPNPDEVAEVKYVNREQLKELIRKADAGEDGVKLSPWFRLIADNFLMGWWDHVEKGTLSEAADMETIHNLKE >KQL26584 pep chromosome:Setaria_italica_v2.0:II:45230786:45233980:-1 gene:SETIT_031066mg transcript:KQL26584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPETSREPCPDRILDDVGGAFGMGAVGGSVFHFLKGTYNSPNGMRLSGGAQAVRMNAPRVGGSFAVWGGLFSTFDCAMVYARQKEDPWNSIIAGAATGGFLSMRQGAGAAGRSALMGGILLALIEGAGLMLNRVLANPPLPAEDPNLMGGGAFPGLPAPPVVPPPEAASSSGAGGWLGGLFGKKEEEKKAGAGGGKSEILESFDTPSTPIPSFEYK >KQL23705 pep chromosome:Setaria_italica_v2.0:II:16775539:16775817:-1 gene:SETIT_032747mg transcript:KQL23705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVATKVDGMKWEAKETAFLAEAERARQAAAWSKILVTQTSNWWEVDVEALGADELPVFVRTLEVLRTDIQQNLDAMESSQKEKMQP >KQL25751 pep chromosome:Setaria_italica_v2.0:II:40217631:40218782:-1 gene:SETIT_030952mg transcript:KQL25751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRCPPPPLLLLLLLFAAAATVAEDASGLPLPSPTPWPERFHAVLFTNLTNYSSASTGPPLRITDLYYDWPRRRNLNLVRHQLSADPLYDVEWNNGTAFYFDSSTCRVVRFPVGVLPPWWLSGGGAEYAGRAVSGGIDCHVWGKAGLIVYYEEAATGRPVRWDFLDDDGIKQYVIRFEPGVALEDAQWQAPAHCFPPDDDDDAEQGKGNDDVASSSKEEVGVAMLEAARLLRKLAGAAATF >KQL23955 pep chromosome:Setaria_italica_v2.0:II:23500593:23502003:1 gene:SETIT_032870mg transcript:KQL23955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREAHWSPHLDAKLIRTILDIQIQNNNPYVHTFRHLDQVPNLHEYKIELNASISVDQRRFNAPAMDQVATICQDGSDEQRKFQRSIMGILDTLAFGEVDALKAGKQIVLTKNFPGSDRDVCARFMDAMALVARFGRSDYFVTMTCNP >KQL22910 pep chromosome:Setaria_italica_v2.0:II:6305405:6306604:-1 gene:SETIT_033285mg transcript:KQL22910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSPRPRAASGSHAAPALAAGALPEDAIYEILLRLPAKDLCRLRAVCRPWRSLLSDPAFAAAHAARHPEPLIVAGYTSHSVMNDDGILFDIMDQSGRVVRRIRQANNKEGHNERMVLVPTQGDLVCLVQHWCRRSCRLLNPATGAVHVLPEGLAEEHAMHEGKLAYCALAFGQVAATGEYKVLRVFRGYLPHGRELCEVFTLNGGSHGRWRGIRTAPPRNVILNLLNIVTICNGIVYFLSIEHVPGDFILDDTIAPFNLESEEWMPTIRGPLSSLERAKNNDRSVLSLAVLNGCLVLSQHVVPSSMDMWFLMDVDKGLWVKQHCIQFEFSYWQIMHPVHPLLVLSDGRIVLIHMADRGLLKMYNPRIGTCTDVAEIGPCDEIGLYTGNLLSLANGPSQ >KQL27105 pep chromosome:Setaria_italica_v2.0:II:47833800:47834299:1 gene:SETIT_031824mg transcript:KQL27105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDCWSIGSSCCHSNLANVLNYLVRTENLSTPSNVLNLSCVNSKALVRNYLEMLF >KQL26234 pep chromosome:Setaria_italica_v2.0:II:43208940:43214179:1 gene:SETIT_030755mg transcript:KQL26234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGEERHAPAGGDSGGGKLWNLCRMPFRQAGGAPAAPPPQSSSSSSGIHHSAGRYGHEAPVAGDGGAQGASAGSISSVAKSLLPARRRLRLDPANKLYFPYEPGKQVKSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAVLAPGETIIATVFKFVEHPENNENVLQKCKVKFKILSLKVKGPMEYAPELFDEQKDQAVVEKILKVVFLDINGQSPQLEKLNNQLAEAEAALEARKKPPEENGPKIVGEGLVIDEWKERRERYLAQQQVEVVDSV >KQL24237 pep chromosome:Setaria_italica_v2.0:II:27986656:27990680:1 gene:SETIT_031178mg transcript:KQL24237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKRKAEAARLEETDRALYGAFRGAANSLSQLYTLAMGAQKGSFHAGERHAMEKLYEWILRQHENGLRLTVADIASHIQHEIQYGGDNASASPRSQYPSQITAPTVHIPNTSNQQPSPSSFVPGNPGLAQSKNSMVFSNALSSPIRRSLQPYHLEQGGEAGYFANGASRDANPTASNDSSMDMHSDSPAHDSY >KQL22484 pep chromosome:Setaria_italica_v2.0:II:3067802:3071342:1 gene:SETIT_030524mg transcript:KQL22484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAVKLIDIAVNFTDGMFKGIYHGKQCHAADIPAVLARAWSTGVDRIIVTGGSLKESREALEIAETDGRLFCTVGVHPTRCGEFEESGDPEGHFQALLALAKEGIEKGKVVAVGECGLDYDRLHFCPADVQKKYFEKQFELAEAVKLPMFLHMRAAGEDFCEIMSRNLYRFPGGVTHSFTDSAADRDRLLSFEKMFIGVNGCSLKTNENLEVLRGIPVERLMIETDSPYCDIRNTHAGSQYVKSVWPSKKKEKYEPDSMVKGRNEPCLVRQVLEVVAGCKGIADIEGLSKTLYQNTCRLFFPQDLDASADAQLESGTTVQDN >KQL23326 pep chromosome:Setaria_italica_v2.0:II:10839752:10846935:-1 gene:SETIT_029530mg transcript:KQL23326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCFSSTAAADSPPRPAAAGGAAGKRERSRGIVACGKRTDFGYDKDFEARYSLGKLLGHGQFGYTYAAVDRASGDRVAVKRIDKNKMVLPVAVEDVKREVKILKALQGHENVVHFYNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYSEKDAAVIVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKQFRDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPNITPAAKDFVQKLLVKDPRARLTAAQALSHDWVREGGKASEIPLDISVLHNMRQFVKYSRFKQFALRALASTLNSEELSDLRDQFNAIDVDKNGTISLEELKQALAKDVPWRLKGPRVLEIIEAIDSNTDGLVDFEEFVAATLHVHQLVEHDTEKWKLLSQAAFDKFDVDGDGYITSDELRMHTGMKGSIDPLLEEADIDKDGKISLDEFRRLLKTASMSARIVQTPRGIRMS >KQL26661 pep chromosome:Setaria_italica_v2.0:II:45640541:45641337:1 gene:SETIT_0301902mg transcript:KQL26661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNLPVNVREYQELAKKALPKMHYDYINGGAEDEYTLRENIAAYGRILLRPRVLIDVSKIDMSTTLLGYNMPSPIMVAPTGSHKLANPEGEVATSRAAAACNTIMVLSFSSSCKIEEVASSCDAIRFYQLYVYKRRDVSATLVRRAESLGFKG >KQL23389 pep chromosome:Setaria_italica_v2.0:II:11870000:11876628:-1 gene:SETIT_029128mg transcript:KQL23389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNEREHEHEGDDLSADDSSWSDGVWSEDDGEDSVSFEDSGEGSGSDAESDEAVAEESDSSEDEVAPRNTVGDVPLEWYKDEEHIGYDIDGRKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGRTPHANVDPYPDYVDWFEYEDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEETNLYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPKRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPKTCYLEFKGHEGPVKSVSVEMTGQWLASGSSDGTIRVWEVETGRCLKVWNVGGDVCHIAWNPAPDRPILAAIVEHDLLLINAGVGSEEVQIRAKELLQIGEMVPQDDTDGKKPAVRWMKHEKLDGITLIHHKAVSNVDWHFKGDYFTTVVPSGNTKAVLLHQLSKKHSHHPFRKLPGLPVAATFHPSEKMFFVATKKFIQVYDLQKAQLVKKLESGLREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKYDSSLFNPLSLKEKDVIFQDLYMQPRLPI >KQL23388 pep chromosome:Setaria_italica_v2.0:II:11867693:11876628:-1 gene:SETIT_029128mg transcript:KQL23388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNEREHEHEGDDLSADDSSWSDGVWSEDDGEDSVSFEDSGEGSGSDAESDEAVAEESDSSEDEVAPRNTVGDVPLEWYKDEEHIGYDIDGRKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGRTPHANVDPYPDYVDWFEYEDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEETNLYLLWGDETDTADNKRQGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPKRFESLRSVPAYEKALREGFDRCLDLYLCPRTRKKRINIDPESLKPKLPSKKDLRPYPKTCYLEFKGHEGPVKSVSVEMTGQWLASGSSDGTIRVWEVETGRCLKVWNVGGDVCHIAWNPAPDRPILAAIVEHDLLLINAGVGSEEVQIRAKELLQIGEMVPQDDTDGKKPAVRWMKHEKLDGITLIHHKAVSNVDWHFKGDYFTTVVPSGNTKAVLLHQLSKKHSHHPFRKLPGLPVAATFHPSEKMFFVATKKFIQVYDLQKAQLVKKLESGLREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTLKTHSKDITSVTFHRKYPLFASSSEDCTAYVCHGMVYSDLNQNPLIVPLEILRGHSSSDGRGVLDCKFHPKQPWLFTAGADSVIRLYCD >KQL25792 pep chromosome:Setaria_italica_v2.0:II:40592955:40595566:1 gene:SETIT_031094mg transcript:KQL25792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQYTSLTERQDAFLLPSPLPFHDTETQPEEMEPAAAAVESPDPPPSPPLEGPSPAETSSSPAAAPGTQSPAPAPPGTREVAAAMEAVERDAAAIAESYASLFASLRVALNNVTSTSAENIECLGDVVGRLQESALEASSKGNKYINSCLRLNEEMRGLESLAMQLLYEKMLIH >KQL25793 pep chromosome:Setaria_italica_v2.0:II:40592955:40595566:1 gene:SETIT_031094mg transcript:KQL25793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQYTSLTERQDAFLLPSPLPFHDTETQPEEMEPAAAAVESPDPPPSPPLEGPSPAETSSSPAAAPGTQSPAPAPPGTREVAAAMEAVERDAAAIAESYASLFASLRVALNNVTSTSAENIECLGDVVGRLQESALEASSKGNKYINSCLRLNEEMRGLESLAMQLYPFVLQVVRKMIHHIYVMCFSSKATSWWKYEVAYANKGGLSPSMA >KQL25791 pep chromosome:Setaria_italica_v2.0:II:40592955:40594648:1 gene:SETIT_031094mg transcript:KQL25791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQYTSLTERQDAFLLPSPLPFHDTETQPEEMEPAAAAVESPDPPPSPPLEGPSPAETSSSPAAAPGTQSPAPAPPGTREVAAAMEAVERDAAAIAESYASLFASLRVALNNVTSTSAENIECLGDVVGRLQESALEASSKGNKYINSCLRLNEEMRGLESLAMQLYPFGSC >KQL25794 pep chromosome:Setaria_italica_v2.0:II:40592955:40595566:1 gene:SETIT_031094mg transcript:KQL25794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCQYTSLTERQDAFLLPSPLPFHDTETQPEEMEPAAAAVESPDPPPSPPLEGPSPAETSSSPAAAPGTQSPAPAPPGTREVAAAMEAVERDAAAIAESYASLFASLRVALNNVTSTSAENIECLGDVVGRLQESALEASSKGNKYINSCLRLNEEMRGLESLAMQLKTLRKNVDSLDLAVNQLLRLP >KQL27188 pep chromosome:Setaria_italica_v2.0:II:48387188:48389009:-1 gene:SETIT_030395mg transcript:KQL27188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSGGGGGGGGRLSSPPAAAPRAVVDNLIDVRARAEILRNMLQGSPTPPGTPAETAPAGTSELIDGIMSSLSSALSALDTPGGGGGGQGQGRRRRRAGAVAGSGPQRRNMSTRRRSHSPFLKTVTTSTLDDGNSWRKYGQKHIQDSPNARSYYRCTHKPDQGCRATRQVQTSDDNPSEFVISYFGHHTCRDPSTMPLVIHDDTAPPDSANLISFGSTAAMGASTSTHNITVPPPHAFDPTTMLVSRFVYRYSSSLPAQDHRCGSEEVHSSSSPAGDLTAVVGSAGMASSATVGSAPAEYMACGAGGTGSFPSSPSSLGFMTGSFGSFGNAGDDDLFGFDP >KQL22868 pep chromosome:Setaria_italica_v2.0:II:5955896:5958206:1 gene:SETIT_031049mg transcript:KQL22868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINIVRFSTAYCVVAVESVGRQVPIAFLDRVKEDFTKKYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFNCGKK >KQL22867 pep chromosome:Setaria_italica_v2.0:II:5954512:5958206:1 gene:SETIT_031049mg transcript:KQL22867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQLIYAFVARGTVVLAEYTEFTGNFTTIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQVPIAFLDRVKEDFTKKYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFNCGKK >KQL26548 pep chromosome:Setaria_italica_v2.0:II:45007096:45007917:1 gene:SETIT_031351mg transcript:KQL26548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSLALGSGSRVSAAAHALVPPARPGGAAPALVALAPWGEEAAQASAAPARRGAPAPLASAALERRGEEGARASATPALRGAQAPQASAAQARWDEVAAQSSAARVRRGAPAAPAPWGAAAARALAAPARQGVGTPPWVPPPPAPAPRRSCRCTRAAAP >KQL25657 pep chromosome:Setaria_italica_v2.0:II:39692589:39693122:1 gene:SETIT_031648mg transcript:KQL25657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPTPVAGEREGSGNDEMQVGLTRWKPQFSPNADLTVSSVIGARVNLVYHRLSILAAQSEQPVAGAMRAVRVRGKKKEHGNRARRIREERAS >KQL23377 pep chromosome:Setaria_italica_v2.0:II:11686023:11688101:-1 gene:SETIT_033102mg transcript:KQL23377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKPYVVAIIIQVIYTGMFVILKAAFNQGFNTFVFTFYCQLAATVLLLPIAIFRERNTCAINLLNLALRFTSATVQTAISNSKPVTIFCLALLLRMEVVKLKSAYGIAKLTGVALCLAGIFLIAFFAGPSLSPVNHHHAFHSGQTSSVPAGQVTWIKGTFLKLLGDMIWSLWIILQTALLKEYPNKMLVTVTQSVFSTVQLFVVAVVAERDISQWKLGLDISLLAVLYTGFVVAGVCNYLQVWCVEMKGPVFLAMWFPLCFVLTIFCSSFFLGEIVHLGSILGGILLIGGLYSVLWAKSKEAMIEPCSEVNPMKNAKDEKEQKKPEVDQEDGREKHDEETSAYKVEQV >KQL25425 pep chromosome:Setaria_italica_v2.0:II:38028711:38030268:-1 gene:SETIT_030983mg transcript:KQL25425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEDDGPEWMMEVGGGGGKGGKGGGGLDKNKKRFSEEQIKSLESMFATQTKLEPRQKLQLARELGLQPRQVAIWFQNKRARWKSKQLEREYSALRDDYDALLCSYESLKKEKHALLKQLEKLAEMMQEPRGKYGGNAGAVAGEDVRSGVGGMKEEFADAGAGLYSSDGADGGKFAHFTDDDAGALFRPSPQQPAAGFTSSGPPEHQPFQFHSSCWPSSTEQTCSSSQWWEFESLSE >KQL25534 pep chromosome:Setaria_italica_v2.0:II:38954246:38954521:-1 gene:SETIT_033546mg transcript:KQL25534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDLLGKSPSGSALCNGSERGCTWDDGSLLDRGEATF >KQL26281 pep chromosome:Setaria_italica_v2.0:II:43517074:43517917:-1 gene:SETIT_032342mg transcript:KQL26281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCGLQQRPVASRLNASAEAAAGNAAAAARWAGLVDDEQKTKPGRRSRGAMSPAYDVFINHRGVDTKHNVARLLYDRLEHLSGGRVRSFLDNKSMRPGDRLGESIDEGIRQCKVAVAIFSKRYFDSEFCLHELASIVESRKVLIPIFYGIKPSELILPQEVADSKAHAPRDIERFRLALQEAKYTVGLTYDPATGDLAELVYTAADAVMERIQEMGQSMPQRQMIASRL >KQL25133 pep chromosome:Setaria_italica_v2.0:II:36241667:36242072:1 gene:SETIT_033812mg transcript:KQL25133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQVRKTFLFVRDLCYRSGQNVSIIALKKKCFLSQME >KQL22116 pep chromosome:Setaria_italica_v2.0:II:32074:32830:-1 gene:SETIT_031142mg transcript:KQL22116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAAVLAADGGTTTHLHLFMHDILTGSNPTAVQVIKGPSAVPGLAFGDTTVIDDALTEDPSSSSAAVGRVQGFYMMTSQSGGAVLTVCANLLLTAGGYNGSTVAVMGRDDIAADVRELAVVGGTGRFRMATGYVLWKTNSMNGPDATVELDVYVTTGGGATIDSSTPVGGSSSAAAVRVGGWVSAVFVAVVVAVVGSYV >KQL25001 pep chromosome:Setaria_italica_v2.0:II:35226190:35227730:1 gene:SETIT_032703mg transcript:KQL25001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVRRCMMPYGCCLAALLALAALASPGRLGAAAAAEGSGVKWHVVSVSSLLPSAACTATAAAPNSSALRVVHRHGPCSPLRSRGGPPSHAEIMGRDQERLHAMHRRVPKGANVTLQARWGKPLGTSNYYISVGLGTPARDLSVEFDTGSSQSWVQCKPCADCYEQHDPLFDPSKSATYSTVSCAAKECREFGSQNCSSGNNCRYEVSYADKSRTTGTLARDTLRLTPATTVRGFMFGCGHNDAGVFGEVDGLFGLGRGKASLSSQAAVVYGDAGFSYCLPSSSSTVGYLTFGGAAAAPANAQFTAMVSGQDDGSWYYLNLTGIKVGGKAIKAPSAAFATASGTIIDSGTAFSRLPPRAYAALRSAFRRAMAKHGYRRAPASPPFDTCYDLSGHEVVRVPSVVLVFADRTAVALDPSGVLYAWDEASQAACLAFAPNTDETYLGVLGNVQQRTLAVVYDVGNRRVGFGAKGCA >KQL23900 pep chromosome:Setaria_italica_v2.0:II:22711876:22715590:-1 gene:SETIT_029122mg transcript:KQL23900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVDKIAEPKDPLVLTARKVQSLEPPIPIKASWKGKNSQQQEEKDLPADGEESFRSLDSSDEGGRSSFSGASHPPEPIDMDIMKTVYVAIDEERSEPPVSLVRGVSMKGPFMDDLSIRVAGTKANLVVGAEGLAEERNVSGSAVASVATARSSQATSLPQDSEEKECVWDASLPPSGNVSPHSSIDSMGVVTAMSTLNSCASTYKSEAVASEGMLTVERNCESVKGVRGDLLESAKTSMSRASDSSGVSDDSSWSHITGGASKPHKGNDPRWKAIHAVRTRDSVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDTDPRRAGGSFCVQPACMEPTSACIQPACFLPKLFGQKSKKKIRKTRSELGQNVGTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLFGKTPFKGSGNRATLFNVVGQQLKFPESPSTSYSSRDLIRGLLVKEPQNRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPVKYGVAEAIGSNSKRIVGADVKSGGKYLDFEFF >KQL23901 pep chromosome:Setaria_italica_v2.0:II:22711876:22715180:-1 gene:SETIT_029122mg transcript:KQL23901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVDKIAEPKDPLVLTARKVQSLEPPIPIKASWKGKNSQQQEEKDLPADGEESFRSLDSSDEGGRSSFSGASHPPEPIDMDIMKTVYVAIDEERSEPPVSLVRGVSMKGPFMDDLSIRVAGTKANLVVGAEGLAEERNVSGSAVASVATARSSQATSLPQDSEEKECVWDASLPPSGNVSPHSSIDSMGVVTAMSTLNSCASTYKSEAVASEGMLTVERNCESVKGVRGDLLESAKTSMSRASDSSGVSDDSSWSHITGGASKPHKGNDPRWKAIHAVRTRDSVLGMSHFRLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLNRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEFCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSAFDTDPRRAGGSFCVQPACMEPTSACIQPACFLPKLFGQKSKKKIRKTRSELGQNVGTLPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLFGKTPFKGSGNRATLFNVVGQQLKFPESPSTSYSSRDLIRGLLVKEPQNRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEAELPVKYGVAEAIGSNSKRIVGADVKSGGKYLDFEFF >KQL24165 pep chromosome:Setaria_italica_v2.0:II:26912886:26913799:1 gene:SETIT_031123mg transcript:KQL24165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTGTVGYIDPEYFQTSELTAKSDVYSFGILLLEILSSHGPQDWNVLMNHQQSSVVQWALEKFYDDLMNEILDYRMEDRVAGEVLRDWLSLALSCVASRGNDRPSIEVVGERLWKIWKDHRRNIGEQHEYEGSWDEFVKQEGILRHEKSVLKKNWAPSATEEEWFGYIKQIELVQEGCSVTQDKEHLLRSRSASLGDSNTTVSPR >KQL22320 pep chromosome:Setaria_italica_v2.0:II:1714735:1716078:-1 gene:SETIT_032135mg transcript:KQL22320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHANKNCVNNFVGDVDVDVDLHPFLLRYKDGRVERLLRSPFVPASENPTANRGVATRDVVIDPGTGVSARLFLPSRAAMAAGGGRRRLPLVVYVHGGSFCTESAFCRTYHRYATSLAAAAGALVVSVEYRLAPEHPIPTAYDDAWSALRWAASHADSWLADHADPGRTFLAGDSAGGNIVYHTAVRASRDGVGIEGVVIVQPYLWGAERLPSEAACGDGAAVLPAYGVDRLWPFVTAGQAGNEDPRLNPPDEEIASLTCRRFLVAVAEKDTLSERGRRLFGRIRDYYAVAGGEATLVESEGEDHGFHLYSPLRATSRRLMQSIVRFINQPPAPAPDMNGGLHWHAWAEEGKKINRASTMTTTPEHVILGVPSRPFRDLFGYGMDMKQHYGSTTTCMAYGGTSRIGGHGKAGASKANYGLFTARPNKAYKGPSAAAAALPGTYVIKNF >KQL25483 pep chromosome:Setaria_italica_v2.0:II:38494312:38497358:1 gene:SETIT_031074mg transcript:KQL25483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKVVVAFLACAAIYFSFSAYFRRQSIAEVQLPAVTHRVYLDVEIDGQHIGRIVIGLYGEVVPKTVENFRALCTGEKGVGSNGKPLHYKGTPFHRIIPGFMIQGGDIVRGDGKGSESIYGGIFPDENFTVKHTHPGVVAMANSGLDSNGSQFYITTIKTSWLDGEHVVFGRVIQGMDTVYAIEGGAGTYNGKPRKKVVITDSGEIPKEKWGDQEA >KQL24146 pep chromosome:Setaria_italica_v2.0:II:26728782:26730310:-1 gene:SETIT_029730mg transcript:KQL24146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKRRNKSTAKQRRAALPAAQTTVHDLPDELVCQVLLGLGSPLDLIRAAATCVRWRRAIAGEGFLGRFYDHHGAPCVAGHYYVTDTQPPDVTGVLRWPPRKPSAAFVPSSSPDVVDGGLFSLDFLYVPPVTDRPRRMYYGRRPRRTRIRHNQCREIVDSRGSLLLLTNGPWRELVHPRHWSSDFIVCEPVSRRYQGIARPADLSHLPLLGAFLLDGGGAGGGDTMSSFRVLSVLYEPDRSRYQFGMPRACVFAPGSDGGWHICWHTMDDEDVEVPLMEMIHLAGRTAGRVYWGIEDGTVLVLEESTVKFSLLTFPVQMRGPYRRTSFRVIGSSVDGEDRVRVVRVHGEDLEVFGQLLDSGEWVVEKSVALRDATAGLSGWDYRFFWLPARIVTAGNTFVVLTPAEKIWLFSVELETMEVENEHVRNRNIGPSYPCALPWPPLLRACVRRGDDDAVVSKRRQRHSKGTTV >KQL22615 pep chromosome:Setaria_italica_v2.0:II:3869771:3872089:1 gene:SETIT_031386mg transcript:KQL22615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIVCKKADIDMNKRAGELTPDELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KQL22620 pep chromosome:Setaria_italica_v2.0:II:3916917:3920473:1 gene:SETIT_033392mg transcript:KQL22620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLICGPRGGRAGACGGSSSSGLADGTSGRHGAAARVLLPSLGARSGRRPRLRRCIVSPYDRRYRLWCHSLVALVLYSAWVSPFEFGFVPEPRGALAAADNAVNAAFAADIALTFFVAYVDRRTFLLQDDPAMIAWRYASTWLALDVASTVPTELSRRILPPRARTYNFFGMLRLWRLHRVGTLFTQLEKDRRFSYFWVRCTRLICVTLFAVHCAGCFYYLLADRYPDPGHTWLSSSMPDFHEASIWRRYAAAMYWSITTLTTVGYGDMHAVNTGEMVFTTLYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDAIKAATSFAVRHELPARLQEQMVSHLSLKFRTDSEGLQQQETLDALPKAIRSGISHHLFFALVQSVYLFQGVSNDLIFQLVSEMNAEYFAPREDFILQNQAPSDFYILVTGSVLAGMARPGDVVGEIGVLCYRPQLFTARTRSLSQLLRMERAAFLRIVQANVGDGTIIINNLIQYLKDKRDTGAIAGIAEEIEYMLSRGQLELPVTLCYAASKGDDFLMRQLLKRGVDPNESDNYWHTALHVAASGGHEQCVRLLLEHGADPNARDAQGRVPLWEALSRRHHAAAQLLADAGADLSSGDAALYARAAVEAGDAALVEDVARHGVDVAAAACWDDGATALHRAVLQGNAGMVRALLERGADPDREDGAGRTPRDVADELGHRDVQELLGGSRQESAPESPRQQQGPAADHSVRLAAAALPAVARFKSAPAARILPPAPRDSAGSSPLSSRTSTPRRMVSFRNSLFGVLSSSHVSRHDGGGGGGGVHSCRERHAHGSARVRVTISCPEKGGSARKLVFMPETVAQLVELGGSTFRFVPTRAVTTDGAEVDDPRLVRDGDHLLLVTDQWVPDIGIVGRDQ >KQL25053 pep chromosome:Setaria_italica_v2.0:II:35604671:35605407:-1 gene:SETIT_031303mg transcript:KQL25053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESPHAPVAAEAGAGAGAEAAGDSYIIRPLDLADLSKGFFDLLAQLSPSPPLSEEAFRARFAELAALGADHLVLVAEEAATGRLAAAGAMLVERKFIRRCGLVGHLEDVVVDAAARGRGLGERLVRRLVEHARGRGCYKVILNCTTELKGFYAKCGFEEKNVQMGLYF >KQL24526 pep chromosome:Setaria_italica_v2.0:II:31040736:31042255:-1 gene:SETIT_030651mg transcript:KQL24526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNPVAGDVKKSVQITFLKCGGVTVGTGMHHVTMDGAGAFQFIRTWTALARGESPPTVPPFHDRTLLRSRSPPHVPFEHPVYSPCYLNGAPRPFVTRVYAVPPKLLADIRSQCAPGASTYCAVTAHLWRAMCVARGLPADGDTRLRVPANIRQRLRPQLPAAYFGNAIVRDLVTVKVGEVLSQPLGFVAERIKRAVSRVDDAYVRSVIDYLEVESEKGSQAARGQFMPESDLWVVSWLGMPIYDADFGWGRPGFVAPAQMFGSGTAYVTQGPDKDDPIKVLFALEPEYLQTFEKAFYGE >KQL26857 pep chromosome:Setaria_italica_v2.0:II:46643899:46645743:-1 gene:SETIT_032642mg transcript:KQL26857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRGGGGGAVAAPRPEHLAAHARLVKSACPDAFLVTTAMRGYLRACLPLQALLLLRSLLPRAPRLLGNSFSLSLALQATAAVSGSVPATLRISLRAASLHACALKSGFAAADLFVRTALVEAYAKSGRADLARAAFDESPRRDVFLCNVMLAAYVARGEVVEARKVFDGMRDRDMVSWNTMIHGYAVKGDVSMARVIFDGMDDRDAFSWSSMMSAYTKGRRSKEALELWREMCAARVTPDCITMVSVLSACGDMGALAVGVEVHQFVERNGIEVDVKLGTALIDMYAKCGDIENSLRVFHSMPAKDVLTWSSMIIGLANHGLGHDALGLFSRMVSEGLPPNEITFIGVLIACTHLGLVSDGKKYFSSMSAVHGVAPKVEHYGCMVDLLGRSGHIEEARQLIRDMPFEPDAVIWRALLGACRIYKNVEVAEEAMAKLRVLDPHADGHYVLLSNIYAQANSWEGVAEMRTMLRRENIQRIPGRSSIEWQNTIHEFVSGDRSHPMSKEIYKMLEEMMDRLRQAGYRPMTGLVLQDIDEQSKERALAEHSEKLAIAFGLLTSPAGSTLRVTKNLRACEDCHSAIKLISLVYNRKLIIRDRNRFHHFSEGQCSCKDYW >KQL24770 pep chromosome:Setaria_italica_v2.0:II:32992412:32993986:1 gene:SETIT_029942mg transcript:KQL24770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGETGMNSVPDGVVQHILSMLSNVRDVAACACVCRRWRDCVPYLPALFFPRNAFDAAAVGRGAADDTIGRMVASVARLRELVIYCPFSMERLPAWLAARSATLRVLELRMDAAAEKAAEGGQLDCIGLVANLEELRLWGVSLTAAPAWGRMERLRVLEVVGAPLRDSAVRDAIAACPNLTDLSMLGCDCSGTVALDLHLLVRCRLDILGAGNCSLLITAPRLESLEVHGFTWITLRGHSLRRLSIAKSTGRVHMVDTGKLPDLEHLSLRGVQWNWAAVSSVLQCASEVKHLVMKIEFCGDLDALQPFPEVDLVDFFNSHPKLRKFEIHGAMFAAMCQKNSLKNLDSRFLIPCLEEVLITVRSPLNAEQKLNTLESLVKYSLKLRTMVIRISQMKNCHEAADEFFEEICKFKYMNYKKVRIE >KQL23788 pep chromosome:Setaria_italica_v2.0:II:19295406:19298905:1 gene:SETIT_030473mg transcript:KQL23788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPYSANASSFRNIDNGVHELTAIDAGRRGPAALIAPRPEKVATPVCALAAIVISAVTGLVDYEEAIFLWGIDKKDFFLWAITFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVIRIDAPIYFANISYIKDRLREYELNLPNSNRGLDVGRVYFVILEMSRFGHAAVTYVDSSAVQALKDLHQEYKARHIQIAIANPNRQVHLLLSRSGIIDLIGDGWCFVRVHDAMQVCLQHVHNSSSSALKLASQASGDSADSISTPKSEQQRLKQDGFFINLWKAQDGNAADSGGEVQPLLRQNLV >KQL23787 pep chromosome:Setaria_italica_v2.0:II:19295406:19298905:1 gene:SETIT_030473mg transcript:KQL23787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPYSANASSFRNIDNGVHELTAIDAGRRGPAALIAPRPEKVATPVCALAAIVISAVTGLVDYEEAIFLWGIDKKDFFLWAITFITTLIFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNTLQYPEAYTYNGIVVIRIDAPIYFANISYIKDRLREYELNLPNSNRGLDVGRVYFVILEMSPVTYVDSSAVQALKDLHQEYKARHIQIAIANPNRQVHLLLSRSGIIDLIGDGWCFVRVHDAMQVCLQHVHNSSSSALKLASQASGDSADSISTPKSEQQRLKQDGFFINLWKAQDGNAADSGGEVQPLLRQNLV >KQL26322 pep chromosome:Setaria_italica_v2.0:II:43730749:43731187:-1 gene:SETIT_031843mg transcript:KQL26322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVQFQWRGDAGESHLMSSHQSRPTHSHFSKFNLTKSLNSSNMPNGLRGDC >KQL25578 pep chromosome:Setaria_italica_v2.0:II:39142691:39144533:1 gene:SETIT_031014mg transcript:KQL25578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVESGGGGDKGSSSRFRRVCVFCGSSSGKRSSYRDAAVELGRELVARKVDLVYGGGSVGLMGEVSEAVHKGGGHVIGVIPTTLMGKEITGETVGEVRAVSGMHQRKAEMARNSDAFIALPGGYGTLEELLEVIAWAQLGIHSKPVGLLNVDGYYDFLLAFIDKAVDDGFIKPAQRHIFVSAPDARALVHKLEEYEAVAEEDPATPKLQWEIEQVGYNATLQAEIAR >KQL22270 pep chromosome:Setaria_italica_v2.0:II:1161955:1164606:1 gene:SETIT_030192mg transcript:KQL22270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALTDDLVEEILVRLAPDDPVSLLRAAAVCRRWCRVVSAPVFRRRFALRHRAPPMLGFLANLRDGDDDDGYDYVTRFVPATPFRPRHAERRDRRALDARHGRVLLATMPCGPDLEVWDPVTGGLRKLPALPVRSPFSWNAAVVCAAHGSCTHLDCRRGPFLVVFLESDLPDKMRVYVYSSEAGAWSGPIYGPPSPSYGIELVPTSLVGNGLHFLIDATNSILKYDLTMRSVSESEVPLAMRNPSEIPLPPGFHSDFAVLTTLEDGVLGFARVENYRLWLWSMETSPEGDAIWVQKGSIELETLLGVDASSIQNDYVGFAHGVGVFFVGTEDAWFSIDLKSGQVREEDCGDGDTFGVVPYTSFYTPDLHQYGLAPL >KQL23539 pep chromosome:Setaria_italica_v2.0:II:14702631:14706640:1 gene:SETIT_033678mg transcript:KQL23539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRTSLVIAHRLSTVQNAHRIAVCSAGKITELGTHAELVAKGGSYASLVGTQRLAFE >KQL23538 pep chromosome:Setaria_italica_v2.0:II:14702656:14705074:1 gene:SETIT_033678mg transcript:KQL23538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIECGKVTALVGPSGAGKSTVVQLLARYYEPTQGCITVAGEDIRIFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDDIIKAAKAANAHEFIISLPQVAYH >KQL23537 pep chromosome:Setaria_italica_v2.0:II:14702631:14706640:1 gene:SETIT_033678mg transcript:KQL23537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIECGKVTALVGPSGAGKSTVVQLLARYYEPTQGCITVAGEDIRIFDKREWSRVVSLVNQDPVLFSVSVGENIAYGLPDDVVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGSLLSGGQRQRIAIARALLKNAPILILDEATSALDATSERLVQEALNLLMKGRTSLVIAHRLSTVQNAHRIAVCSAGKITELGTHAELVAKGGSYASLVGTQRLAFE >KQL25155 pep chromosome:Setaria_italica_v2.0:II:36360036:36360435:1 gene:SETIT_031786mg transcript:KQL25155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNQQGRQVATPMRRPGAAIAYENNVAQPSGKMLIHHPFTSPTTFPGKRNGFTFTPFFFFFFL >KQL22204 pep chromosome:Setaria_italica_v2.0:II:557656:559951:1 gene:SETIT_030146mg transcript:KQL22204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADPPPASTLMEELEEEVLLRFPPADPARLVAAALVCRRWCALLAGARFRRRFRELHRAPPLLGFLCNAGPGARFVPASAFRPPGLPRAGGGLLLGWRALDARHGRVLLRWDPGRDAASGGVGCPPLVVWDPVTDQRSDLPPLQWAPYPYSWNAAVLCAAGAACNHLDCCGGHFLVVVVGTNSNEMFAHVYSSEADAWSEPASARHPNDSVDFAPSALVGNVLYFAFQMGTAVLEYDLGTKEMAVIRLPPLLHFNWQRIALTTRTDGRLGFATADRSAIYLWSREACPGGDARWAQTRVIELDTLLPAGALSTFSDVVGFVDAIGLIFVRTGDGLFTIDLKSSQVTKVSKDTGFSGIFPYMNFHTPALGVPSAGEGPSSGA >KQL26846 pep chromosome:Setaria_italica_v2.0:II:46597468:46599358:-1 gene:SETIT_029320mg transcript:KQL26846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPPAVASPASEQAKSKKKKHKSKDAAAAIDPPSLAEAEEKTDGYLIKPQSLVPSLDASTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEYLRYGVINLDKPSNPSSHEVVAWIKRLLRAEKTGHSGTLDPKVTGNLIVCVDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDAMWSLDNHKDESYLRRIVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIETGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKLIAEGLLDKHGKPNEKTPAEWLRNVVLPTGGDVSIASIAAAPEPEKAKVEQDAVGGEEVKEKKRKKDEENDDGDASIPVKKIKVEEAAEVVEGEKSEKKKKKKKKDKAESGSAELKEEKVDVADEKDGSEKKKKKKKSKEGSDVTDPEIAQTRDSAESEKSEKKKEKKKKKSRDAEEAQ >KQL27231 pep chromosome:Setaria_italica_v2.0:II:48734642:48737144:1 gene:SETIT_030481mg transcript:KQL27231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAAQAATGGTQGSPQHRLCAVRHDRAEVIPERLAVVTGGNKGVGLEVCRQLALQGVAVILTARDEKRGKDAAESLRCESELTNIIFHQLDVRDDNSVTSLAWYIESRYGKLDILVNNAGVSGIVADEEGLKALNIDAETWTSGRAANLLKEVFQNTYDEALNCLNTNYYGCKRVTEALLPLLKLSTSGARIVNASSLASELKRMPNEKLRNDLCDINIWDEDRIEAVLNTFLEDLKNGRLEEAGWPMMLPTYSVSKMVINLYTRIMARRYPEMRINCVRPGFVKTDICWNLGLLTPEQGARGPVMLALLPDDGPTGCYFDQTEMVKIW >KQL27232 pep chromosome:Setaria_italica_v2.0:II:48734636:48737144:1 gene:SETIT_030481mg transcript:KQL27232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAAQAATGGTQGSPQHRLCAVRHDRAEVIPERLAVVTGGNKGVGLEVCRQLALQGVAVILTARDEKRGKDAAESLRCESELTNIIFHQLDVRDDNSVTSLAWYIESRYGKLDILVNNAGVSGIVADEEGLKALNIDAETWTSGRAANLLKEVFQNTYDEALNCLNTNYYGCKRVTEALLPLLKLSTSGARIVNASSLASELKRMPNEKLRNDLCDINIWDEDRIEAVLNTFLEDLKNGRLEEAGWPMMLPTYSVSKMVINLYTRIMARRYPEMRINCVRPGFVKTDICWNLGLLTPEQGARGPVMLALLPDDGPTGCYFDQTEMVKIW >KQL27233 pep chromosome:Setaria_italica_v2.0:II:48734642:48737144:1 gene:SETIT_030481mg transcript:KQL27233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAAQAATGGTQGSPQHRLCAVRHDRAEVIPERLAVVTGGNKGVGLEVCRQLALQGVAVILTARDEKRGKDAAESLRCESELTNIIFHQLDVRDDNSVTSLAWYIESRYGKLDILVNNAGVSGIVADEEGLKALNIDAETWTSGRAANLLKEVFQNTYDEALNCLNTNYYGCKRVTEALLPLLKLSTSGARIVNASSLASELKRMPNEKLRNDLCDINIWDEDRIEAVLNTFLEDLKNGRLEEAGWPMMLPTYSVSKMVINLYTRIMARRYPEMRINCVRPGFVKTDICWNLGLLTPEQGARGPVMLALLPDDGPTGCYFDQTEMVKIW >KQL25294 pep chromosome:Setaria_italica_v2.0:II:37144185:37144859:1 gene:SETIT_033437mg transcript:KQL25294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQSLTASQGTRPSSCIMPPIACWYLLQSALAMMVFDKMPTRDVISWNIS >KQL23494 pep chromosome:Setaria_italica_v2.0:II:14188663:14195764:-1 gene:SETIT_029483mg transcript:KQL23494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTRRPGFLREAELRLLRCTLPPPPSNPPPAASPPPAHPLGATAASALAAVEAGDYEAALAAVAPHLLPASASSGPPGSAAEFYADLAAAAGAFLRGDGDGGAKGEGFECRCAVVLSTAVAALLAFTQLNLTGPPREFPTFPFWTSSLDEGWYSNLGEIWDSWASDKLASFGSHVHGKFSHVQFIVFAELLLTSLWSFSDCWSVSWWLFRISMFQQNILDELSSSLYDQVQVYKNKMLSHFGELEKVSTYWDPLLCDGEGSYFVSASFLEAGIAEYKYGRVDASRLHLDSAQEACGLHLSLTGILGFRTIHQVDAKSQMVLVAKTNKSGSDEGQATEVTLAQNDNAALKNARGSVPVESDEFCDILRTPRLVHDGSNSASANTTGPSANISLSAIQQAAVLAQCLHVSRRSRSDEMSGWEMAPYIESIDSQGESYFVVRSLCDILRIRWESTRSRTKQRALLMMENLVEDVGKEFPVTAQRVKMVFGVHMPTLPALRKLLGKVADAVSLINARLSVTPNDPRLW >KQL27242 pep chromosome:Setaria_italica_v2.0:II:48796324:48797844:1 gene:SETIT_030450mg transcript:KQL27242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNMSAFSSKQQASAKRRAYVTFLAGDGDYWKGVVGLAKGLRKARSAYPLVVAVLPDVPEEHRRKLREQGCVVREIQPVYPPESQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVYENIDHLFDLEKGRFYAVMDCFCEKTWSHTPQYKIGYCQQCPDKVTWPEHELGPPPPRYFNAGMFVHEPSLGTAKDLLDALVVTPPTPFAEQDFLNMFFRDVYEPIPPVYNLVLAMLWRHPENVKPLDKVKVVHYCAAGSKPWRYTGEEANMDREDIKMLVSKWWDIFNDESLDYKGPAVDDDGAEVVDQAREPLRQALAEAGAAKFFPAPSAA >KQL22507 pep chromosome:Setaria_italica_v2.0:II:3215879:3218630:-1 gene:SETIT_030264mg transcript:KQL22507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGTEAKAARVEELSSAAAFVEGGVQDACDDACSICLEAFSDSDPSAVTSCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPMSQELLEAVVEERNVQENHVPATTIFRHPLLGDFEVPVDADDAEIEERIIQHLAAAAAIRRSHRHTRREGRRSRSAAHGHPQILVFSTAESTSGGSMSSNSREEGDHEHAPAVISARPLPIVDSTDETAADTSVHDTVLANNGSVMSNNRVSRNQSSPVSQDEAGPSDVQSFSDSLKSRLQSVSTKYKDSITNSTRGWKERWFTQKNTISNLGSEVRREVNAGIAAVSRMMERLETRDGTGPSSTSTTDIHSASDTNNQGASPPKVVAVVNDASSSAT >KQL22508 pep chromosome:Setaria_italica_v2.0:II:3215218:3218630:-1 gene:SETIT_030264mg transcript:KQL22508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGTEAKAARVEELSSAAAFVEGGVQDACDDACSICLEAFSDSDPSAVTSCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPMSQELLEAVVEERNVQENHVPATTIFRHPLLGDFEVPVDADDAEIEERIIQHLAAAAAIRRSHRHTRREGRRSRSAAHGHPQILVFSTAESTSGGSMSSNSREEGDHEHAPAVISARPLPIVDSTDETAADTSVHDTVLANNGSVMSNNRVSRNQSSPVSQDEAGPSDVQSFSDSLKSRLQSVSTKYKDSITNSTRGWKERWFTQKNTISNLGSEVRREVNAGIAAVSRMMERLETRDGTGPSSTSTTDIHSASDTNNQGASPPKVVAVVNDASSSAT >KQL22437 pep chromosome:Setaria_italica_v2.0:II:2592574:2594936:1 gene:SETIT_031617mg transcript:KQL22437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLIGKLRGAPAAEAASRAFSQKCEHCGKTSSRIAVPLGQKDGAIKNDSIVFSFETPMWKHYVIRVTSVVASCTLSWLIVKTTAARIEDDLSILKK >KQL26724 pep chromosome:Setaria_italica_v2.0:II:45961435:45963983:1 gene:SETIT_030902mg transcript:KQL26724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKKQSEAAEYQKLLAQRLKEQRDRRSESLAKRRSKLSSAAKASAATSA >KQL23740 pep chromosome:Setaria_italica_v2.0:II:17191802:17192805:1 gene:SETIT_033273mg transcript:KQL23740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAAPTPRGEETQVPLLVYEFIPNGTLFQHIHNRNATRPLTWEDCLRIAAETAEALAYLHSTSSIPIIHIDIKSSNILLDENFVAKIADFGASRSVPFDQTHITTLIQGTIGYLDPEYFQSSQLTEKSDVYSFGVVLAELLTRQKPISAARPEESCNLAMHLVVLFNEGRLLQEIEPHILAEAGEDQCYAVAELSVRCLNVKGEERPAMMVVASVLHGLIRSFTIDQTARRKDESVQKNSEQEEKHLRESRPIPSLQSSEVSTQRSMDDEMLSSSHMPR >KQL25147 pep chromosome:Setaria_italica_v2.0:II:36286679:36289304:-1 gene:SETIT_030009mg transcript:KQL25147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNGEAVHGRFDVIVVGAGIMGSCAAYAASSRGARALLLERFDLLHHRGSSHGESRTIRATYPQPHYPPMARLSRRLWEEAQADAGYRVLTPTPHLDLGPRDDPALRASIRNGGAAEVAASWPWAGVFRVPEGWTAATSELGGVMKATKAVAMFQALAVRKGAVVRDRTEVVDIAKPADGSILVRTASGEEFHGAKCIVTVGAWTSKLVKSVIGMDLPVQPVQTLICYWKVKPGHESELTTEAGFPTFASYGDPYIYSTPSMEFPGLIKIAKHGGPPCDPDSRDWSTGAADLAEPVARWIDAFMPGHVDTAGGPVIRQSCMYSMTPDEDYVIDFLGGEFGKDVVVGAGFSGHGFKMGPAIGRILAEMAMDGEARTAAEAGVDLQPLRIDRFVDNPKGNLKNPGDQDK >KQL25858 pep chromosome:Setaria_italica_v2.0:II:40993068:41006831:1 gene:SETIT_028639mg transcript:KQL25858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSSLCDSAADGNWGLKYKRKRSKLTVSPSNDNEATSPTSDSPRSYGSTKKKLKHDANISPSAKKIRGHDGYFYECVVCDLGGNLLCCDSCPRVYHLECLNPPLKRPPPGKWQCPRCRPKKGSLKLIGNAEADTSKHERTTRMFTSTTSDSPPSHTKVSFKTRSSTQEKIGSNEQGKQSSGGILKGGDPSLKKNEGEKKKTLILHLKKRSTKELSENAKPSTSEFVGEPSEEKIVKHGSVLKLKKHPPRMDSSPNKSKSRRQSSQRDSKRSGTKKLKYSTSDDDSVSSTEPSTSLDNSESPPKRKPSDGKTPSSSTKKGKKKVKFVEKKHPEEQSVVGDKITTPQEDQQVDRILGCRLQMDDMTPCASGGPETSHDMPNRDHDGTQSSSNGTAEEVCADESANHGDENHLPTLETQKETNSKSCKNKGPIKEEQVKKIVSGRSGDQTSIISDDRVVRENVAASINGEDETTSDIPAEKDGAKLPVSEADTMVQTKQEHTAESKLHGKIEELTGKDYNDVGYEFLIKWVGKSNIHNSWVSESEVKILAKRKLENYKAKYGTSLINICKEQWCQPQRVIALRTSLDDVEEALIKWCGLPYDECTWERLDEPTVMKYSHLVTQFKNFESQALGKDVADDYANTRNRLELNVLVDQPKELQGGMLFPHQLEALNWLRKCWYKSKNVILADEMGLGKTVSACAFLSSLCCEFKINLPCLVLVPLSTMPNWMAEFASWAPHLNVVEYHGSARSRSIIRQYEWHAGDASQIGKTKRPYKFNVLLTTYEMVLVDAAYLRSVSWEVLIVDEGHRLKNSSSKLFSLLNSLSFQHRVLLTGTPLQNNIGEMYNLLNFLQPTSFPSLSSFEEKFNDLTTAEKVDELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELTSIQAEYYRAMLTKNYQVLRNIGKGGAHQSLLNIVMQLRKVCNHPYLIPGTEPESGTPEFLHEMRIKASAKLTLLHSMLKILHKDGHRVLIFSQMTKLLDILEDYLTLEFGPKTFERVDGSVSVAERQAAIARFNQDKTRFVFLLSTRSCGLGINLATADTVVIYDSDFNPHADIQAMNRAHRIGQSNRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSESQKEVEDIIRWGTEELFRNSENADGKDSNEASGAVADVEFKHKRRTGGLGDVYEDKCIDGSAKLVWDENAIMKLLDRSNLPSTVAESTDGDLDSDMLGTVKSIDWNDELNDEPGTNEDIPNIDNDGCEQASESKQGAGTRAEENEWDKLLRVRWEQYQIEEEASLGRGKRLRKAVSYRETFAALPNEALSEDSDEGDEPKREYTAAGLALKEKYGRLRARQKERIAQRHIIKNYADDKFEEFMTPYDSIANDHAENPLVIVEDPNSSQLSGAKRFSESTAEMRQSSKKSKRYAEVPQDLYARIPGNAASSKHHSKGTDVFNPGTPNHLLPVLGLCAPNADQVNSYKNSLSGPSIKEHKKASGDVANKQLSTAADHSSEHRNEPQSASDKAIFPGASEEALRRLNNIIPDSYFPFSHIPPISGKGVDPVENSGPSIASFQGKLGLPNFGLDDNIPLKHMKSIPDMLPNLSLGAQKDYIRNSVPELPDSSLLPNFMADIAGTSKQKSFMSGLVPGLGLSPVQPIHSAMPDNHKKVLDNIMMRAQYASNKFLKKRSKLDYWSEDELDALWIGVRRHGRGNWDAMLRDPKFKFLNHRTSEELASRWILEEQKIIEEPMSTATRRPSSTPFPGISDAMMSRALNESNFSKLRMEQPKLQSHLTDIQLGSSDIMSRLPHVEAANYMNSGEGGPSQIPWQDFKHRSGYGGDFPGSTFDKLEKPDIGLIPPFMPNPFISDSIGSLPINRKTNSAVLPNEIRPSSCENILLHGVSDGQINLLHEMQRRVRLGKQPMEMNLNHTDHSNPQLDNTGGLGGLKSNKLPHWLEEAVRAPSSKTPERELPATVSAIAQSACLLLGEQEPAIPPFLIPGTPLSRPKDPRINLKKRKLRKVQQSTSHVEHSKTGSGQGDHDAITTPPSIEASPAPPSVDGNDGAPSLNLNSLSSSSACSQGKDEAPPTFEESHPTVEGSEAIEATCTSKSEAPDKSSESHGSSIKDAPETEARLPESDNSAPVAPELPVVGDVPGTSSSRAADMPVPSDDNDLKQKNPLGNARITVNLEEPMEKLAPLEETKDSDASHPVSAQTVDEDGVDEITSDEH >KQL23292 pep chromosome:Setaria_italica_v2.0:II:10527551:10531014:-1 gene:SETIT_029143mg transcript:KQL23292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASVMPPHADPPPLATARDRTAPTSDPQRRRDAPTPSLRALFLRAVDPSRPSSWSAAVADLLSSGDPAAALAAFAAALRANPAALRPALPPALRAAAAATSLAAGRQLHLLALRSGLFPSDAYAASALLHMYHHCSCPLDARRAFDEIPAPNPVIVTAMASGCVRNNLVYPALAIFRSMVSSESAGVVDEAAALVALSASARVPDRGITGGIHALVVKIGLDGHAGVANTMLDAYAKGGGHDLGAARKLFDMMERDAVSWNTMIALYAQNGLSTEALGFYGKMLNVGGGIRCNAVTLSAVLLACAHAGAIQTGKRIHNQVVRMGLEENVYVGTSVVDMYSKCGRVEMARKAFCRIKEKNILSWSAMIAGYGMHGHGQEALEVFSEMRRSGLKPNYITFISVLAACSHAGLLNEGRHWYNAMSKEFGIEPGVEHYGCMVDLLGRAGCLDEAYGLIKEMKVKPDAAMWGALLSACRIHKNVELAETAANRLFELDATNSGYYVLLSNIYAEAGMWKDVERMRVLFKTRGMEKPPGYSSVELKGKTHLFYVGDKRHPQHKEIYAYLDKLLERMQEAGYAPNTGSVHHDLDEEEKESTLRIHSEKLAVAFALMNSVQGSVIHVIKNLRVCTDCHTAIKIITKLTGREIIVRDIKRFHHFKDGLCSCGDYW >KQL23465 pep chromosome:Setaria_italica_v2.0:II:13838742:13840524:1 gene:SETIT_033332mg transcript:KQL23465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKIEKKATKLMKKTIKEESEKHTFFGYHQVPPNYPPPSSQYPSSQFQSVHLGKLPYFDEMDYPMSAYDMKMHLYELHPCIWEVVVVDVTPPKNGIPTAEQAHDYFCNAQVVRVIIGSLCAQEFNKIRSVEIAKVIWDTLKEAHEGTNQLEHFIMLEEETVTQMFDRLMLLVSDIRTLGSTDWDDHKVTKKMLRAFTPRNPTLATMIRRDPSFETKTPNQLLGEILPQELVDRDVEKSLSMRMNKSLALNASSSTMIESSLKALKSKKEDSSEEGSTDEETAFAIRNYKKFLKKTFKKNGDDRKKMSQRRCYECKEVGHFIDDCPHKKKKEMEEKRFNDEESNKECMTTLTILKPTAPTKLFNNTSNNEDDTPFCLMAKGSKVLLNTTSSSSISSSISMLFGRAPKPIPRQILTPMPPLVKVAQDATKLM >KQL24726 pep chromosome:Setaria_italica_v2.0:II:32555169:32556058:1 gene:SETIT_031657mg transcript:KQL24726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPELQAALVKVGVFVLVQALVYLILSQSSTVFSRTKSLGLRPARSLSARRMVAMLSDLPLAGEPSPVAAFARTRSSSLSSPLLAADHLED >KQL25993 pep chromosome:Setaria_italica_v2.0:II:41739712:41744074:-1 gene:SETIT_029460mg transcript:KQL25993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCCSRATAPDSGRGVTNGYGYSNQAKPAHTPPSYNAPPPPTEVRYTPPAMNPPVVPPVVVPPKPTGDTILGKQYEDVRTVYSLGKELGRGQFGVTYLCTEIATGRQYACKSISKRKLTSKADREDIRREIQIMQHLSGQPNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYTERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRSYGKEIDVWSAGVMLYILLSGVPPFWAETEKGIFDAILHEEIDFESQPWPSISESAKDLVRKMLTRDPKKRLTSGQVLQHPWLREGGDASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFMNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDTSTIKEIISEVDTDNDGRINYEEFCAMMRGGMQQPMRLK >KQL25992 pep chromosome:Setaria_italica_v2.0:II:41739712:41744067:-1 gene:SETIT_029460mg transcript:KQL25992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCCSRATAPDSGRGVTNGYGYSNQAKPAHTPPSYNAPPPPTEVRYTPPAMNPPVVPPVVVPPKPTGDTILGKQYEDVRTVYSLGKELGRGQFGVTYLCTEIATGRQYACKSISKRKLTSKADREDIRREIQIMQHLSGQPNIVEFRGAYEDKSNVHVVMELCAGGELFDRIIAKGHYTERAAATICRAVVNVVNICHFMGVMHRDLKPENFLLATKEENAMLKATDFGLSVFIEEGKMYRDIVGSAYYVAPEVLRRSYGKEIDVWSAGVMLYILLSGVPPFWAETEKGIFDAILHEEIDFESQPWPSISESAKDLVRKMLTRDPKKRLTSGQVLQHPWLREGGDASDKPIDSAVLSRMKQFRAMNKLKKMALKVIASNLNEEEIKGLKQMFMNMDTDNSGTITYEELKAGLAKLGSKLSEAEVKQLMEAADVDGNGSIDYVEFITATMHRHKLERDEHLFKAFQYFDKDNSGFITRDELESALIEHEMGDTSTIKEIISEVDTDNDGRINYEEFCAMMRGGMQQPMRLK >KQL25195 pep chromosome:Setaria_italica_v2.0:II:36564329:36569933:-1 gene:SETIT_028780mg transcript:KQL25195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKVKAKPKNLRKAQERGPSASSSDVGPSDAASQDTSHAAEEATASASGREHCGHYSRDTAHLDRVLLEILSSKHAASCEHCREDAPRKKGGAGNKEKGGKKKKGGASKGAAAKAQAKAEKSDMWVCLDCGRHFCGGAVEDTKPYGHARRHAKQDRHWWAARYDDPTVAYCLSCEKELPIEMPKLETVVAAAVDEKVVSVEDTDALYSVNPHVNVIKGLPNLGNTCFFNAVLQNLLALDSLRRKMLGPDVPTGALAMSLKKLFAETSASNHAGGALSPKNLFSSICSKYPQFRGYQMQDSHELLRCFLDGLRTEETEARKLAEEASDAGVPTIVDSIFGGLLSSTVSSTECSHSSVKHDQFLDLSLPVPSRRPPTKSVSSPPAKRTKQSIRDRNKSRRYGKIPARASPSVESNKEKIQTIAERNNSQIPGSELEQVVSEKELEPSVCSESCASVSNQEPKVTSNVEDSVCWLDYLDNADETKSEVLDSADSTEVGQIWESQDVAQGPLHPQDDALPKEQILGSEHSGENTVDDTASLQPVILLPYKGFSTSAKEMNETIENSQNSECAIPPPVVSLVTENNAQPAFGGDVEQDDYVGFGDMFNEPEVTSEVKKETSKAEDIDVMAWSSNSADDEVDDSNAPVSVEGCLALYTEPELLSEPWLCEHCTNAARLKADKAKNDMEMGDGANEIKDGEETMEGGDGRQDGEKLIMSCNKEDIDQIMTTGGCKKDIDQIMASDDCSDNVHSDVNCKEGGCANPSLADPEQNCNGNFRDSENTTMQRTGAVFTTDNTEPSNSNTDHKEQCVDLKRLELESSSLNKQQHVSDIQYNDGHNVDITAEATGAPVSCDSDSVSCNATNNVEAERVGGAEEVVSSSLPSDAQKTLQSAKDNEEVNTRNQGRRKRMKMVGKAQQVQDNQNKKKEDATKVFRAAMRRILISKAPPVLTINLNRFSQDSHGRYKKLKGHVRFKEMLDIQPFMDPRCKETNNTIYHLVGVVEHLGTMTGGHYIAYVRAAKIGGRQQQSSGLKSWFYASDGQVREASLEEVLNCEAYILFYERVGD >KQL25245 pep chromosome:Setaria_italica_v2.0:II:36888551:36889598:1 gene:SETIT_032082mg transcript:KQL25245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTKDAVFTAHQVWGDYDCYGEKELVKDIRKDTIKFEGAYIDPSDLCCHAVEQSDMACICRVITHEEEKHINVGKIIRLARECNKPVPSGAKCGSKIYCSTTTTATTTDGVRVKPHRIPRWTL >KQL26268 pep chromosome:Setaria_italica_v2.0:II:43423318:43427629:-1 gene:SETIT_029233mg transcript:KQL26268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVAVGGGGGGGWGTWEELVLGGAVLRHGGAAWAAVADELRTRSPCAFSPEECEAKFAEIQSRYSPCNAWFEELRKQRVAELKRELEKSENSIGSLQSVIESLSNSKHGDGSSECRTSHTESCSHSENIADTSSGKEASRDRSSAASFTEEASNSQKSQQVQRCDTDSIQANNPSPDEPYPQAQVEKVCPKDSLLWGSRKQRGRRARRTLIKGGHSSRDGDPTSTACIEEREGSSEGCMTDLKSPKVESIVVKKGLKSPKVESSVMNKDLKTPNVGSGVMKKGLKTPNGESDVMKKGLKSPKAESDVLKGLKTPKAESDVTKKGLKTPKAESDVTKKGLKTPKAESDFMKKGLKTPKAESDVMKKGLKTPKAECGQAVVEKIKQKLTEILSSISTQGDCLMLQRQLDTQRKRVRYKKMIRRHMDFRTLHSKIKSGAISSTKELLRDILIFVNNVIAFYPKATLEHMAAVELRGFACKTVMQSTSLLLKSHGETGTAGASVVKQNARALQPGRPGPGDARGSKVASKEATAKEGEGKSSRSDTSLTANQKTTQRNEPDKKRGVGRPPKSGQKTAGAQEDNPSKGRKRGAGAQVDSPSKGRKRSAEDSISKGGKKSRR >KQL23138 pep chromosome:Setaria_italica_v2.0:II:8670476:8671128:1 gene:SETIT_031716mg transcript:KQL23138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSPKFFALLVVIVLLLGSNEMQGPVRVAVARQCQSQSHRYKGPCVHDTNCASVCQTEGFTRGKCVGFRGRCFCLKAC >KQL26987 pep chromosome:Setaria_italica_v2.0:II:47272758:47275193:1 gene:SETIT_032318mg transcript:KQL26987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSACCRHLAAAAAQVRSYAALACGSSLSSRVPLLSSAPLRPPDWLGSAPPASAGQTWISAALDSSALSRAMAAADSDPTVTASTCANCQREIPSSNIALHSVHCARNLQKCEHCGDMVPRKLMDEHYNENHAPMNCSLCKCTVERGLWDLHTGIQCPQRMLACQYCEFELPVIDLLEHQDVCGNRTEYCETCRKYIRLREWIGHELQFHTGSNSSDGASAAAEPKPARPAAHGSHRKQLLLTIAIAGFAVLIGSILYQRKD >KQL22736 pep chromosome:Setaria_italica_v2.0:II:4989518:4991633:-1 gene:SETIT_031380mg transcript:KQL22736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDVEPEVAAGAPKKRTFRKYSYRGVDLDSLLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KQL27182 pep chromosome:Setaria_italica_v2.0:II:48319780:48326645:-1 gene:SETIT_028956mg transcript:KQL27182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYCGAHGRGGGRWFVPGADGGRGLPWWRGVGAGSSRTGTGGERETLAAVMARRAPAPSTIRWDAMRAAEAAAGEVVLRVHPTKEAERRRQDVIGYLKRLIGSSVGCEVFAFGSVPLRTYLPDGDVDITVLGNTWLNSTFIDDVRRVLESEQDNCDAEFKLTGLHFINAEVKLMKCVIENIVVDVSFNQIGGVSTFCFLELVDRQVGKNHLFKRSIMLIKAWCYHESRILGAHHGLISTYALETLVLYIFNMFHKSLHGPLEVNFGSLSFILASYEHIYAICELNILHISALFNRPFIVEPTAGQDELLFDKEFLQGFFDRLVVIPNESDGCDTQFRQKFLNIIDPLKGNNNLGRSVSKGNFYRIRSAFSFGAQKLGQILMLSPEFIRNEIYGFFENTLKRHGKGERPDIGSSSFQSLLGPENALSEDGSRLKTSCMNEGENRSSLPDKDLSVTDVHKNSGRCQPCVVQDLPWNKIWFMEYASDFCANSTYLSHPSFSCENGNGNSKECFENYATEADLQLVSGLHMSQQIHANQHILTNSTRTNILDFSSSCPVNESDWNAALHADKKPLPPFLLSNMLDVSGDLDLHLGCLRKVQYHLESLFDELLQAVEEACLAGVLDEDSFKIPTMIFKSKSNTGTSLSLASSIDGERRKLSPVYCSHSTGDDSQQPHAEEAQVDVGWQQNLPLCSNGSALSSSPLANLDNYPASWFCVSTKSRGTGTQTRPPSSSGMVLPHNGQGNPSVSNTCQPSSPASAEGSLEFGSFGPFPLELLSVQFEEAFTAPPTGKRAEEVYRLRDEADFPPLQAGCR >KQL22553 pep chromosome:Setaria_italica_v2.0:II:3493972:3497876:-1 gene:SETIT_030510mg transcript:KQL22553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPILMKGHERPLTFLRYNRDGDLLFSCAKDHTPTVWYADNGDRLGTYRGHNGAVWSCDVSRDSARLITGSADQTAKLWDVSTGRELFSFRFDAPARSVEFAIGDALAVITTDNFMDHVPTVQVKHIAEDLDDQTDESALVITGIKGRINRAVWGPLNRTIITAGEDATIRIWDTETGKLLKESDKESGHQKTISSLSKSADWSHFITGSLDKSAKLWDSRTLTLIKTYVTERPVNAVDISPTHDTVVLGGGQDAMNVTMTDRRAGKFEAKFYHKILQEEIGGVKGHFGPINALAFNPDGRSFSSGGEDGYVRLHHFDSDYFNIKM >KQL25528 pep chromosome:Setaria_italica_v2.0:II:38927170:38927334:1 gene:SETIT_033550mg transcript:KQL25528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLEVNSDHLVRRRWHLLCRTPRWRCRFG >KQL24645 pep chromosome:Setaria_italica_v2.0:II:31968070:31970033:-1 gene:SETIT_031037mg transcript:KQL24645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKQEEQGADTGMAGRATPAREKSSFAVTCSLLSQYLKDKKGGLQGLGGLGMAPPPPDAAGAFRPPTTMNLLSALDAPAAEEPNDAAKATTEEAKEHDQQTGENPREEEAQQLTIFYGGKVVVFDKFPSTKVKDLLQIVNAGGDRAGDTAAPQPSQNSLSDMPIARRNSLHRFLEKRKGRITAKAPYQVNSPVGVDASKQATGEKKSWLGLGQEVAVKQEI >KQL24646 pep chromosome:Setaria_italica_v2.0:II:31969021:31969927:-1 gene:SETIT_031037mg transcript:KQL24646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEKQEEQGADTGMAGRATPAREKSSFAVTCSLLSQYLKDKKGGLQGLGGLGMAPPPPDAAGAFRPPTTMNLLSALDAPAAEEPNDAAKATTEEAKEHDQQTGENPREEEAQQLTIFYGGKVVVFDKFPSTKVKDLLQIVNAGGDRAGDTAAPQPSQNSLSGNSTSPSCSSATAG >KQL27280 pep chromosome:Setaria_italica_v2.0:II:48978080:48986227:1 gene:SETIT_028842mg transcript:KQL27280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPRPQALLLPRFASPTPRLIRVLAPPRRALVSSPPLGFASAARGLPAPLRAAVSAEQREPGIEAGEQGRPLRVGLVCGGPSAERGVSLNSARSVLDHIQGDDLVVSCYYIDSGMNAFAISPAQLYSNTPSDFDFKLESLAQGFHSLSDFAEHLSTNVDIAFPVIHGKFGEDGGIQELLENANVPFVGTSSKECQRAFDKHSASLELDVQGFLTVPNFLVEKDKLAKRELEAWFQTTNLSKENGKVIVKPTRAGSSIGVVVAYGVNDAAQKAEEIISEGIDDRVIVEVFLEGGTEFTAIVVDVGAANNSEPVVLLPTEVELQHSSSSDSKEDTIFNYRRKYLPTQQVVYHTPPRFPAEVIDCIRQGLSVLFRRLGLHDFARIDGWFLPSPVTSLPSAENSGKFGNTKYGTILFTDINLVSGMEQTSFLFQQASAVGFSHSQILRTVVQHACSRFPSLVPFNNARTALSRKLQPSKQAESIQKGTSRQKVFVIFGGDTSERQVSLMSGTNVWLNLQGFDDLDVTPCFLAPANGYFSSHDQDFSDISREVWMLPYSLVLRHTTEEVHAACVEATEPERVEITSRLREQVMNELGPALSKHDWFAGFDIAYEQPIKYSLQQWINHAKEVGAVVFIAVHGGIGEDGTIQTLLESAGVPYTGPGPLASRTCMNKVATSLAVEHLTSYGVHTIPKDVRATEEVLKSSLVDIWNELKAKLQTETVCVKPARDGCSTGVARLCCPKDLEVYTNALRKKFQRLPANCLSRAHGVIEMPVTPPESLIFEPFIETDEIIISNKLENGSARHLVWKGENDWLEITVGVVGKRGEMHSLNPSITVKESGDILSLEEKFQGGTGINLTPPPATIMSEDALQRCKRSIEMMANSLGLEGFSRIDAFVNVRSGEVLLIEVNTVPGMTPSTVLIHQALAEEPPVYPHKFFRTLLDLAFERAK >KQL26037 pep chromosome:Setaria_italica_v2.0:II:41960993:41965267:-1 gene:SETIT_028737mg transcript:KQL26037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENGWSLPAAISRMVGKLRFYLGNSSDSSKFKGTMKMLDLLEEKLMILQDKNLQRVSTDREEEMGAWLLQVKEAADDAEELVKDMESGESAIPDVMTWFRSDSSNLLRMKYTIGRLVSVFTEGESLLGMPNLDEDVLESMRNDSASSTPDHVYVVGRDKEIAMILDMVLEDARFKVVTSLESWASADTLQISQKGWIIETLQNINPSQQRHEDAEVSPYPKEMGGTIEYTQVCNNTVSELMNPMIIPMVGISGVGKTTLAQHIFNDKRIQKHFQGQSAWIYCTDNIRKEELMTKILVSLQPQHKILDVGFDLNSLHNQLQSFIEGKRFFIVLDDVSDDIRAVWGDVRSVLSRGAPGSVILVTTRLYGVASIMGTTAPIFLNPLQYDDLWKIFKHHAFFGNQSTEALESIGRKIAKKLHGLPLAAIMVAVSLRNCLDEVHWNILLKSWWWSVSNLPQSIRHLSINMHNMNVSWGDYNIKNLRSLMLFGGLCDSSSSECYTTVDSLLERSYGTVDRLSEISYDNVDSISEGCSDAIDNEHADISFKRSCVNIGSILRRSTSLRLLNLSNMMPNAATTCFDNHLLVEDHVAAFARFIARHHMLPHLTHLRYLDFSYSGITELPDTLCTLCNLQVLGLRSCRFTQLPGSMNSLISLRHLHADADTIALIHGIGGLTKLQDLQEFRVKAEDGHRITELRDMRYIEGSLCISDLQRVANQTEASQANLSRKEGIICLHLKWDINQCLRGKYNHFGRELSQYAKGQKELLHASSLQKNYMASDISGSVVNPSEVSTPDQAIDILQCLTPPRNLQKLKIFGYPGCSFPDWVQCLRYIQVIEISHCIELQVLPPIGQLEHLKKLKSYELPSIKDVNSDVYGSSNVVFQSLEELTFGSMVKWENWADAENRELFPNLQKLQINRCYNLRRLPFMALSIAIKELSLSGCGSYSGTVSSYLHRLTCLTHLKSLNLDNILCKLSTLRTLCLYKVHNISVLQEQWLEQIKSLQELEFHSCYLLRKLPSNLAALSSLKKLSLQSCSQIHSLPSKGLPWNLKELQMLGCSPMLEARCQKEDGEIWVKKKIQEQQKRTVLANEFWQGWQEYEEQLVQCAGEQQKNKGEWLMNEEEDWLKQHSAVEPVSNVDVWLKATGEDWPKIAHIPYIRVNGDIIQNLYL >KQL23485 pep chromosome:Setaria_italica_v2.0:II:14068632:14071107:-1 gene:SETIT_031336mg transcript:KQL23485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGEPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSGCSPGAAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYSRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYKQPMFFLNTVAVFVLVVAKLPNMHKVRIFGINADI >KQL23484 pep chromosome:Setaria_italica_v2.0:II:14068222:14071329:-1 gene:SETIT_031336mg transcript:KQL23484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGEPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSGCSPGAAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYSRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYKQPMFFLNTVAVFVLVVAKLPNMHKVRIFGINADI >KQL23486 pep chromosome:Setaria_italica_v2.0:II:14068632:14071107:-1 gene:SETIT_031336mg transcript:KQL23486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGEPYYVEAAPPVDVNKNTEWFMYPGVWTTYILLLFFAWLLVLSVSGCSPGAAWTVVNLAHFAITYHFFHWKKGTPFAADDQGIYSRLTWWEQIDNGQQLTRNRKFLTVVPVVLYLIASHLTDYKQPMFFLNTVAVFVLVVAKLPNMHKVRIFGINADI >KQL23234 pep chromosome:Setaria_italica_v2.0:II:9987698:9989326:-1 gene:SETIT_031974mg transcript:KQL23234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVPEPENLAVAEPWPPAREKESRRRQVLVAVGLMALLAFFVLGRESASAVWEISRAKLTAMNNGVGVAADELLGGLLAPGMDRGSCLSRYQLLRYFKHFPYAPSPYLLEKLRAYEARHRRCAPGTLLYAEAVERLRSGHSAEGMECRYIVWLPFDGLGNRMLSMASGFLYALLTGRVLLAAIPPDSADLFCEPFPGATWLLPLEDFPVADLLHLGARPEQSYTSLLAKKKIVVDVDGNATAPPVPAYVYLSLGWQMVDRPFFCGEHQLALAKVNWLMLYSDLYFAPSLYTITEFQDELRRLFPAKESMSHLLLRYLLHPGNPVWGLVTRYYHSYLAPATRRIGVQIRMAGGDTVPADDKYNQILACSRQEHILPETNDGGNKTDGGGSDGGSTTAILIASLYAEYYDMLRSRYYEHAAEGGAWVGVFQPTHEERQATGKLVHNRKALAEMYLLSFSEELLTSGLSTFGYVSSSLAGVRPTILLPAHGHKVPATPCRRAVSMEPCNLTPPWGVKCTAKAVDGEDLARHLKVCEDWGKGLKLFE >KQL23659 pep chromosome:Setaria_italica_v2.0:II:15827402:15830299:-1 gene:SETIT_029457mg transcript:KQL23659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLLSRTRLITLHHPVLPPALSRLLSHGPPAPTTSEPEDKGKKAAAAAAVVVEAAATSRREDPEVSVRDGSEDDDEDAGLPWRSWRPDVAWLSKALEPALHLYKQYNWKPFTSTGGGENIPASTRTFSEILSDLQRSKISIKDWSLSDLTVGLYLIYLSQASSKNAEAFKGVQISSNKMVQELIYHLELARGCYKGNASGLARYSMLRKRNVVKFVKDSSILRPGYYIGIDPRAKLVILGIRGTHTVYDLVTDLIALSDKKVSPKGFSTHFGTYEAARWYLRHELGIIRKCLEKHKDYKLRLVGHSLGGASAALLAIMLRKKSKEELGFSPDIISAVGYGTPPCISKEAAESCASYVSTVVLQDDIIPRLSAASLARLRNEILKTDWVSVLEKEDLKHIVDIVTNAKLVVSSIQDVARKLGDYAKIVSASTNSDVAKDPADSTKVLSSNSTNDVFVPEDLFLPGTLYYLQRDIENINGIEDESYTLWKGDPGDNFQRILLSGNLISDHRCESIYYAMREVLKTLPPLPQDE >KQL25340 pep chromosome:Setaria_italica_v2.0:II:37468292:37470480:-1 gene:SETIT_031926mg transcript:KQL25340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDQRSIHVLLVPFPVHQGHINPLLQFGKRLASHSGVRCTLAATRFVVNSTKPTPSSVHVAVFSDGCDGGGRDELGGVGAPYFEQLESAGSKTLDELLRSESELGRPRGAAGAAFLTQTCAVDILYVHAWAGRLPPPPLLQPEVIRGLDRLSCQLEMSDMPTFLADTSYHPSFRKLLVNQFLGLDTADHVLVNSFYDLEPQEADYLASTWRDKMVGPTIPSAFLDNRLPDDVSYGIHLHTPMIMESKAWLDAQKVQSVLYVSFGSMASLDPDQMSEIAEGLYNSGKQAILLPKDFADKAKVRGFIVPWCPQLEVLAHPSVGCFMTHCGWNSTVEALSAGVPMVAMPNWSDQTTNAKYIQDVWRVAVRVWPDAKGVVRSEEVEQRACGTRSSGRGSREIIERGSSSAGAMGRDGRTRSTG >KQL23822 pep chromosome:Setaria_italica_v2.0:II:20533194:20536170:1 gene:SETIT_033141mg transcript:KQL23822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAPSLEWPVETVRQTFFSYFGENQHARLSSTPVIPVDDPKLPLIRMCLNWFKGTLNGRGRHRTCFSLRSDTTYHRFTEILGSWSFGDYFKEEAIGLSFSLLSKKYKLPQSRIYATYFSGDTSYCLSSDNESKNTLQKYIGKERIVPSMSKADFWMTGSPCGPCIGFFFDCSDSKDGVGFVMNITDDKFVEICRLVFVEFDRQADDVLGLLQAKHVLTGINLECLAVIFQNKESHYDLDVYADVLSNIYYCAGPGIEDYSNKIGAADTDGVDMAYRLLADHIRMIAVTNAPGSQLGNEGREYFLKCANKQAVQYGHEVLKTNQEDYDV >KQL26607 pep chromosome:Setaria_italica_v2.0:II:45354255:45357017:1 gene:SETIT_030624mg transcript:KQL26607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKISTIVLKVDLECERCYKKMRRVLCKIQDKMNIKTISFDEKSNAVTISGPFDAEKVCNKLCCEAGRVIKEMDIKGKEKAKDGGGDKPKAAAKGAENKPEKVKEAKGEKEGAKAEKKEDKKEGKGDKEAKPDKAEKGGKDAKAEAKKVQFNLDEAPPAGNAKPGKADLGPLLEKMMAAKAGPEAPRGEPIAPPPAMVPGAAQGVAVPSIWPAPAGSVSGYGYNPSYEASSYYGGGGGYGGYGCGCGGGNGYCRCGKPAAPGGYYGVPVYDSQGWYYGGAGARQPYYGQQQQPCYEDPNAGCSVM >KQL22619 pep chromosome:Setaria_italica_v2.0:II:3908544:3910573:-1 gene:SETIT_030838mg transcript:KQL22619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKTASGGAAAAMGCGDPQSPSPPPTKVTDGGRAASLLLSTRSLPSLFSTDAPMSPTSMPEQAKNPTCSGARSGGIGRSGSSHCGGIGSPAAGLAGVLVAGEADDGGYRSSGRVLLGMRLRVQLPPPPGKGPGGGGDLPGSPIEFGVKNRDAQLALLSPVQRSPLSSAAARLARSRRSEVDELAEEDYTCVIARGANPRMTHIFEDRVVESRAGAGDGDACCHLSSCSGCKEDALLLHSQCHYELFGKGVDDSPDASVKLKP >KQL22793 pep chromosome:Setaria_italica_v2.0:II:5326705:5327314:-1 gene:SETIT_032655mg transcript:KQL22793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRVIVGRDNGPSGKQPNVMEGEIEEIQDDGSFKTKTSLEEIYVLEIPRVAAGYEGRE >KQL26229 pep chromosome:Setaria_italica_v2.0:II:43178814:43180348:-1 gene:SETIT_030273mg transcript:KQL26229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKSGLKKGPWTPEEDEKLVAYIKKHGQGNWRTLPKNAGLARCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHAPRLDLLDLSALLKPAAYYPTQADLDALRAFEPLASYPDLLRLASTLLSSGSAAAPAAIGDQQQLLPWLLQAQMAQAAAMALPQQADHQFMSQQQQQAGAACQMPDLVHANPMVQQQQLAAAAQQQQHQDMAAAACNSMQAPPSGYVDGLDVPALMQMVQPDASNLQQWSSTVTSSNNNNVGSGVSTPSSSPVAAGLNHSAASTATTYGGGASASSDAAALFSMQLSELLDVSDYM >KQL24136 pep chromosome:Setaria_italica_v2.0:II:26633083:26635495:-1 gene:SETIT_032985mg transcript:KQL24136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLMQLVKPILMPVYNLIFKHAAYPFKISKNVKDFREATNDLHAKSKDVQDHIEIVERQGMSASNEVQWWLGRVKTIRQQEEQIGCKYDQRYKIFGCCAPNCFQRAPSSVQEMPCSSTAQQPSRVAMLQEALRCITNDPVGVIEIWGLGGVGKTHLLTEINNSSSGMHPLILFVTASKDCSVRKIQDEIVDKLHLCKGNDVRSQAKIIFKFFSKRTFLILLDDIWDRIDLHEVGIPLVSINQMRRKVVLTTRSKNVCGLMEVRKYINVACLPNDEAWHLFKDKVGLETLSSPLIEALAKELSCCDKDDPLCMESVFRQLKFSYDRLRNDTLRQCFLTCSLWPEDKEIQMEALAECWLGLGLVDERDIHKSNIKVHSIIGELKATCLLENCEGINPSKPYTDGVKRHDMVRGMALWIACGCDKSNDKWVVHAGVGVNSSTNTIDWSKAECISLMWNKMTDLPPIDPNPCFRRLRILDLRWNMLDQRIFVAIQSFTALTYLNLSCNRFTNIAKELCTLVNLEYLSFSSNPLIYEMPECLRKLTKLKFLNLGGTNIGLIPKGAISSLKALEVLDLRTLEKFSTTSIFVELCTLSNLKAVHIQCMMTLCEGPYISWIFVVAMVQIEVRDNFGKPFENLSMLKLGSLMNLREIPWKEISALAIFPRLTQLHIVNCPEIQHISWATYCPCLEYVDVSFCHNMKQEA >KQL22984 pep chromosome:Setaria_italica_v2.0:II:7101307:7107044:1 gene:SETIT_029313mg transcript:KQL22984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLALVAAAIAALALLALVVFAFRRWWQRRRQRQRQRRPLPASAAVPTPVAVQDEDIDRPLLSENWEGHSSQSNSFLGSSVGEPLKIQTNRSNTSPSSHAIADTGRIYPSECCATQGETHVINVENDTSEEFQLGSTLKRTPPANWPTPDQKHRKRVSGEDNHIGSISMKDNTYHSSLDLEVIAGPSHGISCSRQSSRPSMLPITLGRVPPSDIVLKDSEVSGKHARINWNAKTFKWELVDMGSLNGTFLNSQAVHHPDVGSRHWGEPAVLAHGDIITLGTSSKLSVQISLLNQRVPAGVGMASDPMVARRSGKKLPMEDISFCQCPLQGAEQFGLFGIFDGHGGDGAAKAVSKILPESLSNILSHPDTKERVLSCSDASDVLRYAFTLTEAAIDHQYEGCTATALLIWFDQNKDCFAQCANLGDSACVMSVNGMMIDMTEDHRVASTTERARIARTGQPLKDGEVRLSGLNLARMFGDKFLKEQDSRFSSEPYVSQAVRITKACTAFAVIASDGLWDVISTKKAVQLVAEGKERNTDDGTSVDKVANRILSEARNLRTKDNTSVVFVDFDILRTDPCISK >KQL23261 pep chromosome:Setaria_italica_v2.0:II:10325376:10325997:1 gene:SETIT_031605mg transcript:KQL23261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAQAMGALLTVLVVLATSAEMAHGICNLSSTGIRACQPAAAIRNPTDQPSSECCAALAGADLPCLCRYKNAAGVWVRFYRIDINRAMALPGKCGLAMPANC >KQL26430 pep chromosome:Setaria_italica_v2.0:II:44342016:44346228:-1 gene:SETIT_032800mg transcript:KQL26430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLLYLSSLTTSRRVADRKIARFEKNITKRGAVPETIKKANDYPVGPILLGFFVFVVVGSCNRTGVLPTRHPPNKYGAATAMLSSVTPLFLGYDLAVVYCTAVTAQGDLRLLACVIALSSILGAIAAAGAQRLIGDRRAVLLSGALLCAGALARSLAAGFAAFAAGVFVDGVGMGLALMVVPAYAAELSLTSARGVLASHPDGFVYLGCILGSVCYSLGLSKVPADVAWRVAVASGTAIPALLSAAALLMPESPRWLVALDRESEARRVLSRTSATLEEAELRLLEIKSELGKHHDDGSFDDVPAAMPATPGRWREEFGMLRELVARPTESLRRAVLTALVAKVFQQASGIGSILQYVQRASRDVGASSGAWTPRAVAVFGSAVVMSFPMSLVLVELCWLLVRALAAGFRRRRAPSHPSHRSCSPGHVGMTRRQEQRKWARGLSATMLLSLMALVWIALGPAPWAEADASSRGCPRWLRAAAASANKAVSSAILSSFAGFYQVAAVYGNLIMCHSVIVVVILFGFRFMVVFG >KQL26380 pep chromosome:Setaria_italica_v2.0:II:44031715:44032749:-1 gene:SETIT_030686mg transcript:KQL26380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHFQPQSSGFQLEDYSSYYYYYYQQEAAAQAKPSKPRGRKKGSNNHSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEAAAKAYDEAARLLRGNEARTNFAPRISPDCPLAVRIRGLLHHKKVKKAKAAAASSSSAAAAAVSKKKAAISPAPTTSNSNSNSNSNNNISCDDAVLNGGGGALDASEVYRPEFAAVGAEEFDSWMFDSAFGQFPALDSFAAVDTAVPAAPVEEEPVATAAPGEMAEFERIKVERRISASLYAMNGLQEYFDRVLDASACDPLWDLSPLCH >KQL26993 pep chromosome:Setaria_italica_v2.0:II:47296418:47297653:1 gene:SETIT_030717mg transcript:KQL26993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASSSSASPSAPPPPASSKPALRLNPAAVLLRRLPTPTPTTATPVTASASPERPGGAANPLVAFLSSLIPWREQRPPKKQPDHPAATASASASAAAARRAAKAAAEEEAEARQLVGCAVPLFRPYVAQLPWHGGARAWLSKLFPRYGHYCGPNWSSGKETGSVLWDRRPVDHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLESSRQTPARDGIAAAAIYRAMCIFDWGGDACAGLKTILIPYRTNLVRLQTGPNYADFFADFLKRVASSSGRPTGGEKQRL >KQL26992 pep chromosome:Setaria_italica_v2.0:II:47296418:47297653:1 gene:SETIT_030717mg transcript:KQL26992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASSSSASPSAPPPPASSKPALRLNPAAVLLRRLPTPTPTTATPVTASASPERPGGAANPLVAFLSSLIPWREQRPPKKQPDHPAATASASASAAAARRAAKAAAEEEAEARQLVGCAVPLFRPYVAQLPWHGGARAWLSKLFPRYGHYCGPNWSSGKETGSVLWDRRPVDHLDFCCYCHDMAYDTHDQAQLLRADLAFLRCLESSRQTPARDGIAAAAIYRAMCIFGLKTILIPYRTNLVRLQTGPNYADFFADFLKRVASSSGRPTGGEKQRL >KQL26780 pep chromosome:Setaria_italica_v2.0:II:46292935:46297597:-1 gene:SETIT_029124mg transcript:KQL26780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPDLSSSSGAAAAAVPDAAPGAAAKKDRHIVSWSAEEDSVLRAQIALHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKLLGNRWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDEPFQENGTPCSNTNAKRVLTQTGCVTPGAASSSAPMKQMRSCSSDLKENIVPNMRLFGQEKGTHQDARQPLATLSSNNQQNVNTVKSHNLVTTTTKPLLGPEQHCVKQEGNFMNKDDPKVAILLQQADLLCSLATKIKTDDTSQSMDEAWQQLQHHLVKKDDNGMSENSESGIASLLEELDDLIVDPYESKEEDEQKIREQNGQRQTDVENEHCTGPSQASMEVTSNMVPDEMMEDHTVDNCKEVSNLCRNMLSRSMEACPDAKIPASENLSEVAEDSRLQRVESTSPGLTDFDDFIIDPYENTEEDEQNIREQNGQIDAHNNQSYGHSQATMELTPGMAPDELMEACPADSEEKAASTNSSEVAEESRLQCIESTSPVLANFQTKECGETPAPEKPNEVVEDSRLQCVEFTSPSHTVLRAKAGAETFASPRIPVVAKDSKLPSMEFTSPAHTVLRTKAGAETFASPRSTVVAKDSKLPSMEFTSPAHTVATFQPYADYADDMPTPKFTASERNFLLSVIELTSPGSRPETSQQPSCKRALLNSL >KQL26781 pep chromosome:Setaria_italica_v2.0:II:46292935:46297597:-1 gene:SETIT_029124mg transcript:KQL26781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPDLSSSSGAAAAAVPDAAPGAAAKKDRHIVSWSAEEDSVLRAQIALHGTDNWTIIAAQFKDKTARQCRRRWYNYLNSECKKGGWSREEDMLLCEAQKLLGNRWTEIAKVVSGRTDNAVKNRFSTLCKRRAKDDEPFQENGTPCSNTNAKRVLTQTGCVTPGAASSSAPMKQMRSCSSDLKENIVPNMRLFGQEKGTHQDARQPLATLSSNNQQNVNTVKSHNLVTTTTKPLLGPEQHCVKQEGNFMNKDDPKVAILLQQADLLCSLATKIKTDDTSQSMDEAWQQLQHHLVKKDDNGMSENSESGIASLLEELDDLIVDPYESKEEDEQKIREQNGQRQTDVENEHCTGPSQASMEVTSNMVPDEMMEDHTVDNCKEVSNLCRNMLSRSMEACPDAKIPASENLSEVAEDSRLQRVESTSPGLTDFDDFIIDPYENTEEDEQNIREQNGQIDAHNNQSYGHSQATMELTPGMAPDELMEACPADSCKEDNSLCRNVLSGSMEPCPGEEKAASTNSSEVAEESRLQCIESTSPVLANFQTKECGETPAPEKPNEVVEDSRLQCVEFTSPSHTVLRAKAAGAETFASPRSTVVAKDSKLPSMEFTSPAHTVATFQPYADYADDMPTPKFTASERNFLLSVIELTSPGSRPETSQQPSCKRALLNSL >KQL23400 pep chromosome:Setaria_italica_v2.0:II:12055032:12056481:1 gene:SETIT_0306601mg transcript:KQL23400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDVFSFGIVMWEILTGEEPYANMHYGAIIGGIVNNTLRSPVTATCDPEWRRLMEQCWAPDPAQRPAFTEIAGRLRAMSVAASQAKAAK >KQL25352 pep chromosome:Setaria_italica_v2.0:II:37527445:37529029:-1 gene:SETIT_032616mg transcript:KQL25352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGASAIPVRRDEDESLVLFGDLYKHEKETDMNLLEPMFSVEFEAVQGDSRMFKLPSGKRDYLLPDSEKHDYDWLKTPPATPLFPSLEMEANSSQMVFQKELPTLQPVRTSRFSSKPDATSASTTSGSPTSSSTKSVTPTARPSSSSSKKSLNRGAAAPSKEQDSAYRIDKRSSYTPLTNRQHNSIPSAPTTTTTATKASKKASGNKPQPSNAVKNVARPDKASKDVTAPATKSRSNNSSVGAKDKKVNDGTTRRLSCPPAATTDNVQATAAPKGRSRAATGAVPATRKDAGATDAVLKGRRRAGEKEQRPKLGSLAKK >KQL25552 pep chromosome:Setaria_italica_v2.0:II:39024512:39024892:-1 gene:SETIT_032961mg transcript:KQL25552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINAKRIAQLAKKWQRMATLGRKRLSWGVAKEADECCTSVASKGHCVVYTADKRRFEVPLAYLGTPVFAELLRISQEEFGFVSNGRITLPCDAAVMEYTMCLLRRGSSVELEKAFLSTMAASYHYAS >KQL22388 pep chromosome:Setaria_italica_v2.0:II:2204066:2207513:1 gene:SETIT_033490mg transcript:KQL22388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLPLCKILFQVQFLQDIGVRSDAIGNVLVKFPPVLTYSLYKKIRPVVIFLLTKGGVKQDDIGKVIALDPQLLGCSIAHKLEVSVKYFRSLGIYHFVLGQMVANFPALLRYNVDILRPKYQYLRRVMVRPLKDLIEFPRFFSYSLEDRIEPRHQTLVANRINMKLRYMLTGSDEEFAERVQEAVERRARFEAGKGVAETIPDAPETSEEEETTEAAAC >KQL22387 pep chromosome:Setaria_italica_v2.0:II:2203169:2207513:1 gene:SETIT_033490mg transcript:KQL22387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELETRVRFYTDMGMDEKDFGTMVYDYPRDLGFLSLEEMNSKVQYLKEFGLSTEELGRLLAFKPQLMACSIEERWKPLVKYLYHLNVSRDGMKRMLLVQPTIFCLDLETVIAPKVQFLQDIGVRSDAIGNVLVKFPPVLTYSLYKKIRPVVIFLLTKGGVKQDDIGKVIALDPQLLGCSIAHKLEVSVKYFRSLGIYHFVLGQMVANFPALLRYNVDILRPKYQYLRRVMVRPLKDLIEFPRFFSYSLEDRIEPRHQTLVANRINMKLRYMLTGSDEEFAERVQEAVERRARFEAGKGVAETIPDAPETSEEEETTEAAAC >KQL26202 pep chromosome:Setaria_italica_v2.0:II:42993109:42998759:1 gene:SETIT_030213mg transcript:KQL26202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAPPISDHRTPPPLPALADEILEEIFVRLPTLDALAGASTACPSFHRITTDRSFLRRFRALHPSPLLGFVTDGFHAAEAPHPSTPVARALALAADFSYSFVPAGRWLTPWHPRDVRQGRVLLECSQKGQGRSTETITTPSVSLGNLDLADLIHFELFLAPTREDEEEISFRVICTASSSTGLAAFVFSSVTGQWHMAASPSWSSLGIVTPLDANDFSWFQYAGGCFHWMIGKPLIVLGRDRTPEVFFLADCFGDGPTDIIRITKQNGSGSSDAWQFENMISLPTQYNYFTLGTADGFLFLRGILQDENSGYSSEDSSDNSVHLQAESPDVEYFSLDVKTRECKKVCVMKQYYTVHSYFGYPPPLAKPTI >KQL26561 pep chromosome:Setaria_italica_v2.0:II:45072270:45076811:-1 gene:SETIT_032589mg transcript:KQL26561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLTSSSLPALPPPLAASSRARVRVAASAAASAPDGAAASGTSAGGFPSFLPRAVERIRDGAAIRLAKRIERVPVQTGFSVSPIQSSCVRPLKQQQDADPIVLLHGFDSSCLEWRYTYPLLEEAGHEAWAVDILGWGFSDLATRPPCDVASKREHLYQFWKSYIKRPMVLVGPSLGAAVAIDFSINYPEAVSKMIFIGASVYSEGPKDMTRMPKFVSYAGVFILKSLPLRFLATRLAFNNTPNEFFDWVQIGRLHCLLPWWEDSTVDFMIRGGYNVIKQIKQVKHKCLIMWGEDDGIISSKLAYRLHQELPDAILRQVRQCGHIPHVEKPREAAKLVLEFLERDKAENTDRASSVTPVLTCFRSFAVRVLWEDSRLSCFVLCCLPFYIGGSPFAFAGAALATRPATGDTTHIAYRVPWRSPCVRLCRDHPRNPQRSPSLSPMADRFGGNPPSDLSARTRRQGGWMVRGSDKCIR >KQL23913 pep chromosome:Setaria_italica_v2.0:II:22772258:22775937:1 gene:SETIT_030816mg transcript:KQL23913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNAGSFLAVRRLSGAGIVHHHHSHSSPAEVVGVSTAWIGRGLSCVCAQRRDSDARLSFDLSPIQEECLQRLQNRIEVQYDSSNLEHQKALKALWRASFPGTELLGLVSDQWKEMGWQGKDPSTDFRGGGYISLENLLYFSRTYPKSFQELLCKKNGDRALWEYPFAVAGVNITFMLIQMLDLQAAKPTSLIGAVFLNLLLENDRAFDILYCITFKLMDQKWLDMHATYMDFNSVIKSTRRQLERELLLEDIQRIEDMPSFRFLAH >KQL26946 pep chromosome:Setaria_italica_v2.0:II:47067433:47070265:-1 gene:SETIT_032824mg transcript:KQL26946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAMSSLAKATRSFLANPRSEGAITNEAIDIGIFKDLNLAILDEKEIEDRTEYQMLEQGSWPSVFHCLTRLSLYNLCFDEWDINHHLFECCKQLQHLYLSNCDMERCLVWKIDAPDSNLRVLELNELCLICPASNRHRGFVLSEVLNDTTTIHTIKLDFQGEKVKRKQLVTAFNKLRKLSLHGIFVEFNLLWTLVFLDAAPFLEMFDIEIWEHPCQINDSMEIFGERPNPSWKVPKFASPNNSYLKELQIIGFKPLEQQIEFIRSVMQRAPMLDTILLKYDDPCEYCEKMGIFPPRPSMKCAFPKDKDEQDMVVSLLKDGISSPARIIFDNC >KQL26978 pep chromosome:Setaria_italica_v2.0:II:47214532:47217091:-1 gene:SETIT_030211mg transcript:KQL26978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGPFRVKSDGRTLYRNPYAWNEAANVLFLESPAGVGFSYSNTTADYGRSGDNKTADDALLFLLNWMERFPEYKGREFYLAGERYADHYVPQLAHAILRHAATAGKASSPINLKGIMIGNAVINDGTDTMGMYDFFWTHALISDEAAEGIRRYCNFSAGGTDSDKCDAATTEADEALQDIDIYNIYAPNCESAGLVTPPITPSIESFDPCTDYYVEAYLNDPDVQRALHANVTRLDHPWSACSDVLRRWTDSATTVLPIIQELLKNDIRVWVYSGDTDGRVPVTSSRYSVNQLQLPVAAKWRPWFSSTQVSCEIAFVVIFSIF >KQL26979 pep chromosome:Setaria_italica_v2.0:II:47214103:47217603:-1 gene:SETIT_030211mg transcript:KQL26979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELGPFRVKSDGRTLYRNPYAWNEAANVLFLESPAGVGFSYSNTTADYGRSGDNKTADDALLFLLNWMERFPEYKGREFYLAGERYADHYVPQLAHAILRHAATAGKASSPINLKGIMIGNAVINDGTDTMGMYDFFWTHALISDEAAEGIRRYCNFSAGGTDSDKCDAATTEADEALQDIDIYNIYAPNCESAGLVTPPITPSIESFDPCTDYYVEAYLNDPDVQRALHANVTRLDHPWSACSDVLRRWTDSATTVLPIIQELLKNDIRVWVYSGDTDGRVPVTSSRYSVNQLQLPVAAKWRPWFSSTQGSGEVGGYVVQYKGKEKGSLSLATVRGAGHEVPSYQPKRALVLVQSFLAGKSLPDCKKCEQS >KQL23549 pep chromosome:Setaria_italica_v2.0:II:14855918:14860328:1 gene:SETIT_028867mg transcript:KQL23549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLNAISKIGTVLGDEVINFIIEEASKKITNLRELPKNIKHVARELSMMNNVIQDLDTTNLSSNVVKGWTAELRKLAFHVEDVMDKYAYHAFQVQEEGSLKRFFKGAHNAKVFSEIADEVVYIKGEIEQVKKLQKDYFPAVQAVPAGPAVIVRRGSRGCLPELIQDDDLVGITFNQQKLIGWIHRDDPNRRLITVSGMGGLGKTTLVMNVFEREKTKFPVHAWVTVSQTYTIDGLLRDLLRKIGYKDSMEKMDNHELRDKLKSHLGTRKCLVVLDDVWNKEVYHQMEDTFNSLQASRIIITTRRYDVASLASSEHHLQIQPLESSDAFNLFCRRAFNNTAERKCPPELEDVAASIVDRCKGLPLAIISMGSLMSSKKPTKHAWNQVYNQFRSELAKPDNVQAILKLSYNDLPGNLRNCFLYCSLFPEDYIMSRESLVRQWVAEGFAVTNENNTPEDVAELNLVDLITRNMLQVKDYDELGRVDTCVMHDIVRDLALSIAKDERFGSANDQRSVIQMNQEVRRLSLCGWNDSDVRAVRFPHLRTLFSLDGVTSTPHMLDSVFSKSSYLTVLELQDSEITEVPASIGNLFNLRYIGLRRTKVKSLPECIEKLSNLQTLDIKQTNIEKLPRGIVKMKNLRHLLADRVVDEKQKDFQYFAGVQAPKDLSNLVELQTLETLEATNELADQLENLKKLQSVWIGKVSAMHSAKLFATLSKLPLLSSLLLNASDENQTLQLEALNPQSKQLHRLIIRGHWADGTLQCPIFHGHGKNLKYLALSWSGLQEDPLQLLAPHVPNLSYLGLNRVSSADTLVIPEGRFPRLKTLVLKNLSNVNLLTIGKDAIKNIEGLYIVALPKLDKVPKDIESLCSLKKLWLLYLHKDFKAQWDRDEMHQKMPYVLELRI >KQL24621 pep chromosome:Setaria_italica_v2.0:II:31792600:31794859:1 gene:SETIT_0300971mg transcript:KQL24621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGPLFSQLMPMMEASPVYSVARNASGDAWKALFDLAGGFIREYDQEAMVSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYSVPNTLLVKFSVDAIDDTDIVEDVLRPRVDSFGGQIKKIVLLGTHLTPCIQDVKWQVGSEYTPADALAQGLKSLALNETRVLSRTIADWFRSL >KQL24622 pep chromosome:Setaria_italica_v2.0:II:31792600:31794687:1 gene:SETIT_0300971mg transcript:KQL24622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGPLFSQLMPMMEASPVYSVARNASGDAWKALFDLAGGFIREYDQEAMVSLSKFVDQLPSVMNQVTEGVSEFKPTPPENREFCKNSYSVPNTLLVKFSVDAIDDTDIVEDVLRPRVDSFGGQIKKIVLLGTHLTPCIQDVKWQVGSEYTPADALAQGLKSLALNETRVLSRTIADWFRSL >KQL24623 pep chromosome:Setaria_italica_v2.0:II:31793323:31794859:1 gene:SETIT_0300971mg transcript:KQL24623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTEGVSEFKPTPPENREFCKNSYSVPNTLLVKFSVDAIDDTDIVEDVLRPRVDSFGGQIKKIVLLGTHLTPCIQDVKWQVGSEYTPADALAQGLKSLALNETRVLSRTIADWFRSL >KQL24620 pep chromosome:Setaria_italica_v2.0:II:31792600:31793000:1 gene:SETIT_0300971mg transcript:KQL24620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGPLFSQLMPMMEASPVYSVARNASGDCWFTTYLLTLSVIYPFGQNFFWLKAP >KQL24926 pep chromosome:Setaria_italica_v2.0:II:34664699:34665407:-1 gene:SETIT_033557mg transcript:KQL24926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKADVLMVLDRSYWAKDKWLSVDVDFDFLLCAPG >KQL24072 pep chromosome:Setaria_italica_v2.0:II:25554517:25556297:-1 gene:SETIT_033765mg transcript:KQL24072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSHVRVEPPLPIFARRRRRALPRRAAGLRPSPRFSPPFSLPPPLLALIQPSHPIRAPSLPRPSGGRRPVPPPPPPMLLRAPLPSLVALPVERSGGRVTKPP >KQL24804 pep chromosome:Setaria_italica_v2.0:II:33341722:33342479:1 gene:SETIT_031635mg transcript:KQL24804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRNMTVATRSLDADMTVDEFKEWLRRFDTDRDGRISREELRRAMRAIRARFTGWRSRQGISYADADGDGYIDDSEVDGLIEFAQKNLGLKIVAY >KQL25186 pep chromosome:Setaria_italica_v2.0:II:36543545:36544690:1 gene:SETIT_0301422mg transcript:KQL25186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPQAHSAQRRPQFRSDERDGSTMIADASGGPASLDGSFERRRSLSPRSIQIISERWTALDKARSSSPGRGHVSMRRRCCAEWSHAWNGTARRRVTPPPAFSRSSFGRRDARVRRLAGIAVPRMAANFWASSHCKQLLDNPEDADLVPAADRERGITPEEFRLVKIHMSF >KQL25187 pep chromosome:Setaria_italica_v2.0:II:36543545:36544690:1 gene:SETIT_0301422mg transcript:KQL25187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPQAHSAQRRPQFRSDERDGSTMIADASGGPASLDGSFERRRSLSPRSIQIISERWTALDKARSSSPGRGHVSMRRRCCAEWSHAWNGTARRRVTPPPAFSRSSFGRRDARVRRLAGIAVPRMAANFWASSHCKQLLDNPEDADLVPAADRERGITPEEFRLVKIHMSF >KQL26537 pep chromosome:Setaria_italica_v2.0:II:44960950:44963413:-1 gene:SETIT_030842mg transcript:KQL26537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKSKKGGKKKTVDPFAKKDWYDIKAPSVFSVRNVGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDVQGKNVLTNFWGMDFTTDKLRSLVKKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMTNQASTCDLKELVSKFIPEVIGKEVEKATSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYKEDVGTKVERPAEADEAMGGQEVAAAE >KQL26725 pep chromosome:Setaria_italica_v2.0:II:45968999:45973000:-1 gene:SETIT_029161mg transcript:KQL26725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKPSTIVSGQRLGRLIAEPNAENLPSTHTPTVPPPQAICDRALLVIDANSLPNPVAPTLSKSPNCPSPFVPPPGGNYHLHPPPSSLTATNPLLLRDALHRTTPPPNPTYPPLARLAMALATNSAATAAAAAAVSGGAASQPRRAAAFVPLKRRTISAIHAADPSKNNGSAVPAAAASSKASSFAVATPEKKPAAQGKWTVDSWKSKKALQLPEYPSQEELDSVLKTIETFPPVVFAGEARHLEERLAEAAMGRAFVLQGGDCAESFKEFHANNIRDTFRILLQMGAVLMFGGQVPVVKVGRMAGQFAKPRSEAFEERDGVKLPSYRGDNVNGDDFTEKSRVPDPQRMIRAYAQSVATLNLLRAFATGGYAAMQRVTQWNLDFMDHSEQGDRYRELAHRVDEALGFMTAAGLTVDHPIMTTTDFWTSHECLLLPYEQALTREDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRNAGLIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHDQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELAFIIAERLRKRRMRSGLNNSLPLPLAF >KQL24138 pep chromosome:Setaria_italica_v2.0:II:26671166:26672464:-1 gene:SETIT_032378mg transcript:KQL24138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLVHEFARYVTSHDLFIMDGERKNNDIMEICTSRYAILTRCHDESKIRKVFLTSVRAICLKDCMGAKLIENILSSLKHLQVLDLSRCSFLEIPSSIYQLTHLRYIDVSNSAIQALPDQMSFLQNLEALDLSETCVQVLPEFVGTFQKLKYLNLEGCRKLHHLPSKLDIKSLQHLNLSCCPAALQLLESISGFQELRFLDISSCTELQTLPESLSRLTNLEELILSKCTRLKKLPESFGELCFLQFLNVSNCCDLEELPTSLGRLASLEILILSGCSRIQNLPQSFTDIVFLRILDLAGCVDLHMNLGMIPNNNLENLNLDGCRKIYAEPGWTVNFPKLHPKSLQTCDEQIQRLITESQVCPSHNEVEISEELNLPVHDKSEEAMASQSWEHTGNFECTTKVILPKSVSYFLFLKYYKPFSDSLEIKRKHL >KQL22985 pep chromosome:Setaria_italica_v2.0:II:7108498:7113569:1 gene:SETIT_029106mg transcript:KQL22985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAEGGEAVAVQKALQAVAEGTGWTYAALWRLCTRQGALVWAEGHYNGAIKTRKTTVAPGGGGEEEEEEEGTAARRRRSRQLRELYDSLAAGAEADGGGGGGGGGSGSGREDGAVVARRSSAALAPEDLSETEWFYLMCGSYCFPPGLGLPGEAFARRGHVWLSGANKVNSKVFSRAILARTVACIPVNEDGVLEIGTTEKVDEDIGLIEYARSIFMDQHGIPIMPTLSGHSTSTPSTHINHQPSQIKIEKYIGGRNVRPNNLNPEEEHIETEDDNHMIDSETNTENDSCRHLPLGNVGNGQAGPNARSSDEPMQIETSESLRDGCTNHVDEEIPMLMACQNGDHPEQDELGSWHFLYEDLMNNKCLQSSAAQDPAVLAENAHYIEAVLTILRHNACRQAQAAASNTRTYDLAISKNSPFSRWNPNKGTSDLQRMLISEGTPQRMLKSILFTSAPTRCSSHQRHRGEATQSPEEPAGRDDGDGTGRSRRGQGQAQAELSASHVLKERQRREKLNERFIILRSLVPFVTKMDRASILGDTIEYVKQLRRRIQDLESLRASKEKRAQSTSSATMAEAWSKVRAVEASSSCSTSGAGRPASVASTEVQVSIIESDALVELRCPRRDGLLLRVMQALHRELGLEVTSVQASSAGDVLLVELRAKVKEVHGGRSTINEVKRTIHLIISSSG >KQL24065 pep chromosome:Setaria_italica_v2.0:II:25398432:25399090:-1 gene:SETIT_031152mg transcript:KQL24065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLGLAGATAAAAARAPRLAVLASASASAAGEPARRIIRRRAPPGQQGSAPAPPAQPSVAEVRRAIGVEDAAASAASSREEKNSAFMELIASTPIGQPESEPERRLREAAEWVVDTTETRACQGQKSFLVLCMMTFPAWFLLMFIALGVIKLPFDVPGLDNLLM >KQL24064 pep chromosome:Setaria_italica_v2.0:II:25398277:25399122:-1 gene:SETIT_031152mg transcript:KQL24064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLGLAGATAAAAARAPRLAVLASASASAAGEPARRIIRRRAPPGQQGSAPAPPAQPSVAEVRRAIGVEDAAASAASSREEKNSAFMELIASTPIGQPESEPERRLREAAEWVVDTTETRACQEVFLSAMHDDISCVVLAHVYCPWSYKVTI >KQL24063 pep chromosome:Setaria_italica_v2.0:II:25396839:25399122:-1 gene:SETIT_031152mg transcript:KQL24063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLGLAGATAAAAARAPRLAVLASASASAAGEPARRIIRRRAPPGQQGSAPAPPAQPSVAEVRRAIGVEDAAASAASSREEKNSAFMELIASTPIGQPESEPERRLREAAEWVVDTTETRACQGQKSFLVLCMMTFPAWFLLMFIALGVIKLPFDVPGLDNLLIAQWRTQGPATQGTCPGSNKQKCTASYLVKGTKQ >KQL23763 pep chromosome:Setaria_italica_v2.0:II:17426292:17431948:1 gene:SETIT_029150mg transcript:KQL23763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGQAAAAAVSAAAAAGSASESGGGSPAASAAAAAAFPATSLYVGDLHESVQDAQLFDVFSQVGGVVSVRVCRDINSRKSLGYAYVNYNNPADAARALELLNFTPINGKPIRIMYSNRDPSSRKSGAGNIFIKNLDKSIDNKALYDTFCAFGNILSCKIATDPSGESRGYGFVQFERDESAQSAIDKLNGMLINDKKVYVGPFVRKQDRENVSSNVKFSNVYVKNLSETVTDDELKEMFGKYGTITSAVVMRDSDGKSRCFGFVNFENADDAAQAVQELNGKVFNDKELYVGRAQKKSEREMELKEKFEKNIQEVAEKFQNTNLYLKNLEDNVDDEKLRELFAEYGTITSCKVMRDANGVSRGSGFVAFKSAEDANRALTEMNGKMVGTKPLYVALAQRKEDRKAKLQAQFSQMRPVAMAPSVGPRMPMFPPGVPGVGQQLFYGQPPPAFINPQAGFAFQQPLMPGMRPGGPMPNFMMPMVQQGQQPQRPAGRRAGAGGMQQPMPMGGQQQMFPRGGRGYRYPTGRGMPDPGMHGVGAVMPSPYEMGGMPIRDAGVSQPVPIGALATALANAPPDQQRLMLGENLYPLVDQLEHEQAAKVTGMLLEMDQTEVLHLLESPDALKAKVAEAMEVLRSAQHLQQTNASPEQQLANLSLNDGVVSS >KQL25225 pep chromosome:Setaria_italica_v2.0:II:36770148:36770342:1 gene:SETIT_032885mg transcript:KQL25225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAVVGAGVSGLAAAHELARSGAGARVTVYEKEDCLGGHARTVAVEDAAAGTVHLDLGFMVFNR >KQL26985 pep chromosome:Setaria_italica_v2.0:II:47265676:47267289:1 gene:SETIT_033309mg transcript:KQL26985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQQQQQQQQQQHRIYILQFRKGEPEQEVACKVSQAKGAGRRVMYYYQDYGGGGKSGKTVQSRALSLRRIFAMLLFSCLCVGTLLVAPVSFLSFVHSDDGGAEAAAATATRGGVSGPCSAVGNDSLCCDRTSERADICFSRGDLRMHSASASFQLVSGNSTAAGEEERIRPYTRKWEANVMATIDEVRLRRVPPGGAARCDVRHDVPAVLFSTGGFTGNVYHEFNDGILPLFVTANHFRRRVVFVILEYHDWWVTKYGDVVSQLSAFPPIDFTADRRVHCFPEVIAGLRIHGELTVDPARTPEGKSIGDFRRLLDDAYRGRVEFLERLERRAARKRHHRGTFPRAQLAPPRHATSHHDRPRLVIVSRTGSRVIENEADVAALAADVGFDVRVIRPDRTTELCKIYRELNASDAMVGVHGAAMTHFLFMRPGKVFIQVVPLGTDWAAGAYYGEPAARLGLRYVGYKILPEESSLSREYPTGDPVLTDPAAVAQRGWDVTKKVYLDRQNVRLDLARFREELVRAHQYLAAGRRRRPRAA >KQL23195 pep chromosome:Setaria_italica_v2.0:II:9302126:9305742:-1 gene:SETIT_029369mg transcript:KQL23195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAARGPRAPRRRGGGASGGGAARVRPRAWCCSFAGAPDSPDLRPLPPSSAAAAVASPAAGRKLPPKSPSAPSFHGSPTSSRLAGLGGLIDPRRILSPGRVSPIDPDGVVPPPLPLPPPPPTAAAPAPTEDAAVVVVPAERPALASASASVAPLVAVREEAAASGAALDLRLLLRGRDGRCVHMELDSRVLCGCSAFFAAMAPSEDAVAGGGGKRIEVDGVENLDAFRAAVELMYEPDPMRWLAAAGVSLAIDVLEVCSSIMFDRGIKSCLTYIEAVPWSENEEDKLKNLFARFTFDEAISQDILARLRPHSWKSSDDLTVQLIQSVTSSTNSGARKDMQSLVNGLLSKSSVYQKDTSGLNKESLYQICYSCLDSLVDLFEEAAESTDHTGQAVVVRGSKPLIERVSSQTENLNWLLDILLNNDMAEEFVELWAKQDRLIRMHEQASPMIRYELSRISACVFIALGKGKVQCRGDVRSLLFHGWFSTMLLDFGWLQRCSKGLDIRSLEENLGRGLLTLPLRQQQSLFEEWFQFYATKGAECPNLIRSFQVWWRRSFIRSSVEPRS >KQL26866 pep chromosome:Setaria_italica_v2.0:II:46653459:46655452:1 gene:SETIT_031562mg transcript:KQL26866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRQKAKTRKLKSTRVTPNRLRQSGKLLAGFGLLLSWGCRSSSQSALHGCWHCAFDLLQQARGSPGMRAATVRHFCIFSSSAAATLQISHGGEQKVLFRASTCTSRAWGPTAQ >KQL25808 pep chromosome:Setaria_italica_v2.0:II:40662019:40663621:-1 gene:SETIT_032947mg transcript:KQL25808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTPAATDSATVPMLLPLDDDAAAAAGRGCWEDGAISLGLVGVQLAGAAYMVVVTPVLALGLDPLFLVAFGSLCTAVLTIPFAVKLERSELLIMHAQMAVGADKPVAFPVHRAGSGRGDRIPGPAAAGHEDDVPGHRLRHAQPHPRLHLHHLRLPRFLRAGTYRFERVDLKCPYTRAKILGTVVCLGGAIAMSVLQSPDAPPGHVMPRPAERAAAASWVAGCLFLLGAVLVLSGTIVMQAATMLHFPAPFTLCSVTSLIGAALTAAFQVATAGQFTPGTPQISLQIVLSLVFVTWALEKKGPVMVSMFSPTQTVGTAIFSVLFLGRAMHLGSILGMVFLFSGLYAVLWAKKKEGQVLAAERKERDRTVNSDMEKPLLF >KQL26562 pep chromosome:Setaria_italica_v2.0:II:45082277:45083564:1 gene:SETIT_033599mg transcript:KQL26562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMILVYWSFLFTDLILETSILMRRMLLIVSLGKRLVKGITLMYPGSRGSAVMQIILLHGTTYCFQLPKLLVLI >KQL24119 pep chromosome:Setaria_italica_v2.0:II:26392218:26396291:1 gene:SETIT_030034mg transcript:KQL24119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALCDFCGAQRSMVYCRSDAASLCLSCDRNVHSANALSRRHTRTLLCDRCASQPAMVRCLVENASLCQNCDWNGHSAGSSTAGHKRQTINCYSGCPSSAELSRIWSFVSDIPNVAPEPNCEQGISMMSISDSGVSNQDNAAGDNNLLDMASATLMSDLDTCDKPLVGSSSGAGVNLLPLATDQAAGSVDSTTPKVPYTPDKDMFSKDSIYEDFCVDDVDLAFENYEELFGTSHIQTEQLFDDAGIDSYFEVNEVPAGNSTEQPKLVQPANSNAVSADSGMSNPGVKGDSSVCIPARQARSSLSLSFSGLTGESSAGDHQDCVVSSLLLMGEPPWQAPGPESSTPGGSRDSAITRYKEKKKRRKFDKKIRYASRKARADVRKRVKGRFVKAGEAYDYDPLSQTRSY >KQL24416 pep chromosome:Setaria_italica_v2.0:II:29859847:29861828:-1 gene:SETIT_029170mg transcript:KQL24416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASGAGAARGAFHVHLAVALCCAALAPRAAPDLAADQAALLAFRAAVGPRLPWDASAASPCRWRGVGCDQSGSRVVALQLPGASLAGAVPPGTVGNLTALRTLSLRLNALSGGIPADIGSCAELRYLYLHGNRLDGEIPEGFFGLKLLLRLDLSNNRLSGGVSPEFNKLARLATLYLDNNSLNGTLPAGLDLKNLQLFNVSNNPNLTGPVPASLAGRPASAFSDTGLCDSPLRPCPTPPSPPPPPPPPPSPPPPAAAHGSKSGKLSTGAIAGIAAGAFVAFLVLIAVFFFLCSRCRRTKADRSAGMAADADADADADGSPVSVTVASMGKSATKRSSHATAGNAKKLVFLGAAPDTLYDLESLLHSSAEVIGKGWLGTTYRATLEGGAATVAVKRLRSAPIPEREFRDRVTALGALRHENLVPLRAYFYSREEKLLVYDFVGAGSLCSLLHGSRDGGASPARLDFTSRARIALAAARGVAFVHGAGARSCHGNIKSSNVLVTGAGQRRYVTDHGSSNSSRVTGYRAPEAADPRRASREADVYSFGVLLLEVLTGKPPVNSVPGSSDGVDLPRWVSTVVQEEWTAEVFDASIAVEERVEEEMVRLLQLATECTDDRANRRPPMAEVAARIEHIVDSALRKSDTDDDFHSISP >KQL25846 pep chromosome:Setaria_italica_v2.0:II:40877564:40877856:-1 gene:SETIT_033698mg transcript:KQL25846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTFIMIKPDGVQRGLVIWFLFPVSGEDSSVFN >KQL24600 pep chromosome:Setaria_italica_v2.0:II:31678819:31680575:-1 gene:SETIT_032591mg transcript:KQL24600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDDAVGEILRRLPPDEPACLVRASLVCKSWRLLISEPDFLLRYHELHPTPLWLGFNCHHDRVLLHTMDPTCLVVWDPITNDKHFLPEVLDYPCNHLIAAVLCAANGCNHSGCHGAPFLVWSTLAWIHLGLISKSRDVIEPGLLIGNTLYFLLEDGRRVLKYDLGGHHLSVMNTLPLSGGCRMGTGMIIELDMMLSMDTGDPSTKLLVVGFSEGVNTIFITANAGIFAVDLKSDQVKKICGSGASDTIIPYASFYTPDFAGGRLQPPVRMQ >KQL26629 pep chromosome:Setaria_italica_v2.0:II:45476791:45483907:-1 gene:SETIT_029031mg transcript:KQL26629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFARAVEDGLKLSKRLVLPGGVPPPRPPAGMDRTVSAASAAGPDPRLLPTAPTAYAVVTDPGAVDTPDVPSYQPYVYGRLEPPALIPLQMKEVDLAVDCALDAAHVTLRARWWLHCITRSRECDVRIVVPMGEQGSILGAEVTIGRRSYNTQVIDVEDHTVHHGQIQSGGLLKPQLFFLTIPQVEGGADIYATFRWSQKLLYDNGCFSVDIPFRFPYFVNPLPKVFMKREKIQLTVNSGFSKEVLLQGTSHPLKEKSRQGDKLSFLHEGVVENWSSKDFNFSYSVYSADLSGGVLVQPATLRDYDDRDSFCIFLLPGSGSRKVFRKAVVFIVDTSGSMQGKPLENVKNAVSTALSELVQGDYFNIITFNDELHSFSSCLEQVNEKTIASATDWMNSNFVAEGGTDIMHPLSEAMALLSSVHDALPQIYLMTDGSVEDEHNICQTMKTEIINRGSKSPRISTFGLGSHCNHYFLRMLASIGKGHYDAALETASIESRILKWFAGASNTIVANISIDAITHLDEFEVDSEYIPDLSVKYPLCVSGKYHGKFPDTVIAKGYLADMKEISIELKVQHLKEIPLDKVLATQQISLLTSKAWLSADKQLERKVIKLSIQNSIPSEYTEMVLLQTNLDKVDATQKVKQKLKGQKGPDEIRIPLDGLKLGFGDKGATRENLITGYGDVRPPEKSVIMQKASGCCSRLADCICCMCCIKACNRMNDQCAIVMAQICAALSCLGCYECCAEVCCGGSES >KQL24841 pep chromosome:Setaria_italica_v2.0:II:33675524:33677675:-1 gene:SETIT_032078mg transcript:KQL24841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTTIFFLLSLAFLFGTSLATTDISQEAQLSKFLSSRALKRLRNRPTGNEPEEESDPWADPGTFAHLPERCKGPPSGSKEADRVLGLPGQPPRVNFRQYSGYVTVNEEHGRELFYYFVESPYDAESKPLILWLNGGPGCSSLGFGAMEELGPFRVNPDGTLRRNKHSWNNLANVIFLESPAGVGFSFSRNATDYDTVGDRRTAEDTYVFLVKWLQRFPEYKGRDFYISGESYGGHYVPQLATVIMSMNRFPGLLPRINLQGIFFGNPLLDDYLNGKGNLEFLWSHGVISDEVWRRILGNCTFTASDDWQCFVAAHSFQKGNIDRYNIYAPVCLQARNGTYYPSSHSLPGYDPCSNYYIEPYLNNHAVKQALHARLDTNWTGCNEDLAWNDAPVSMVPIIKRLVHNGLKVWIYSGDFDSICSLTATRFSVNDLNLTITQKWRPWYTPDSEVGGYVQQYQGGFTLASVRAAGHLVPTFQPKRSLVLLYAFLKNMLPPADIPN >KQL26277 pep chromosome:Setaria_italica_v2.0:II:43482873:43483992:1 gene:SETIT_033132mg transcript:KQL26277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKPGVKGDGGLPLVDSELCFLPASSGGEVMRLDSCNGLLLLHCSSPTGGAGADTNAPPLQTIYVVCNPATGDTKVCSATLSFDPSVSSHFHVFHLEEKEQSYDHFIKAVEIYSSETETWVRKETRWSTRPHQCLFLTCHMTYLNGFLHLTTWDDVIAMVDARGEAWRTINVPCNRSRGSGFISHSQRRLVYVHVRTRRASAGELVIYVLEDHSSERWTRKHKVSNAFLFGPGKLPSSRLDEVFLHDRSGKRLVCYDMKQRRVNAIRTLEDVA >KQL22958 pep chromosome:Setaria_italica_v2.0:II:6872431:6878226:-1 gene:SETIT_030398mg transcript:KQL22958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSGTLDLASGLGGKIDKEEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQITRGKELNRLAGVSGTCDFVKADFMKMPFVDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQCFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTRQCLQAVKDAGFEVVWDKDLAEDSPLPWYLPLDPSRFSLSSFRLTSVGRMVTRTMVKALEYVGLAPQGSQRVSNFLEKAAEGLVEGGKKEIFTPMYFFLARKPE >KQL22957 pep chromosome:Setaria_italica_v2.0:II:6872862:6877708:-1 gene:SETIT_030398mg transcript:KQL22957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSGTLDLASGLGGKIDKEEVKSAVDEYEKYHGYYGGKEEARKSNYTDMVNKYYDLATSFYEYGWGESFHFAHRWNGESLRESIKRHEHFLALQLGLKPGMKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQITRGKELNRLAGVSGTCDFVKADFMKMPFVDNTFDAVYAIEATCHAPDPVGCYKEIYRVLKPGQCFAVYEWCITDHYDPNNATHKRIKDEIELGNGLPDIRSTRQCLQAVKDAGFEVVWDKDLAEDSPLPWYLPLDPSRFSLSSFRLTSVGRMVTRTMVKALEYVGLAPQGSQRVSNFLEKAAEGLVEGGKKEIFTPMYFFLARKPE >KQL25257 pep chromosome:Setaria_italica_v2.0:II:36937137:36938717:-1 gene:SETIT_030841mg transcript:KQL25257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFSHLSHQHMEHGLANASHGTPSFLFCHGTAPADSSSLETSSGVLDTPPRGTASEDKKIRKTREDCASLSSAQSKFVDLVWLNKQDSNSKESTKKRGGKRERSSKEVDEEEEPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEKMEGMLHHEALAMPTSVMNRAPSQAIMDTNTSNSSPSYELHGDGSANITFPQDSGSYMVQEPRQELFNQVAFSNHMCSFQ >KQL25258 pep chromosome:Setaria_italica_v2.0:II:36936645:36938790:-1 gene:SETIT_030841mg transcript:KQL25258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFSHLSHQHMEHGLANASHGTPSFLFCHGTAPADSSSLETSSGVLDTPPRGTASEDKKIRKTREDCASLSSAQSKFVDLVWLNKQDSNSKESTKKRGGKRERSSKEVDEEEEPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEKMEGMLHHEALAMPTSVMNRAPSQAIMDTNTSNSSPSYELHGDGSANITFPQWQLHGARAKARAVQSSGFQ >KQL26425 pep chromosome:Setaria_italica_v2.0:II:44277387:44278647:1 gene:SETIT_031009mg transcript:KQL26425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYDELFPSAYVDSSSPIMVPNGGAALGERPRARRRRRRAARCGGDGGELDGGGDPKKRRLSDEQVEALELSFREERKLETGRKVHLAAELGLDPKQVAVWFQNRRARHKSKLLEEEFGKLKQAHDAAILHKCHLENEVMRLKERLEITEEELARLRSAAGSHAVSGDGGDAMGRVVCSGSPSSSFSTGTCQQPGVRDHLGDDDLLYVPDYACYPDNSVVEWFSLYGLM >KQL22246 pep chromosome:Setaria_italica_v2.0:II:920360:922357:1 gene:SETIT_030286mg transcript:KQL22246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDVLEIGMGSDLSLDLRYFASKAVRQARDAPASDVDACIRRLEEERGKIEMFRRELPLCARLLAEVIDVMKAEAGKKARSDRKAAAEEDGAAGDKSKWMSTAQLWTGDSGREDDSESEKQDKGRSSPEAKSGGGGAFVPFKAVGSGAPAFAPLCLRVDDKAADAGMPDLSLLSPPAIKSAPAPAGAAEESRRQVVGFAQAAARAVAMAPSAPSLTLQPQPQQTAQQQQQQARKARRTWSPDLHRQFVAALNQLGGPQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHNRRAPGSAVVSQPIVLVGGLWIPQEQSSSQSGSPQGPLHFSTSGLAVSSAATVSCEEEDGRSESYGWK >KQL24242 pep chromosome:Setaria_italica_v2.0:II:28079362:28084683:1 gene:SETIT_029596mg transcript:KQL24242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAPPELGQFDGWESSGEEERERWGWCRRSRRGSSRRRASPKGAADDDTTVATGCCIRLWPVGSCPPPPPTRSKVDTSTSSASTHGAEKSTENGSKNQPAALVVSGSTTTSNAESSSSASKVGEEIKVASQLRKFAFNDLKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLHHPNLVRLIGYCVEDDQRLLVYEFMPRGSLDNHLFRRSLPLPWAVRMKVALGAAKGLAFLHEEAERPVIYRDFKTSNILLDAEYNAKLSDFGLAKDGPVGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMSGRRSMDKNRPNGEHNLVEWARPLLGERQRFYKLIDPRLEGNFSVKGAQKAAQLARACLSRDPKARPLMSQVVEALKPLLNLKDMASSSYFYQTMQAERMAHSSSMNGRTLKSQGPFAARNGQQPVRSLSDGPRASPFRYSPKPNMK >KQL24686 pep chromosome:Setaria_italica_v2.0:II:32228713:32231045:1 gene:SETIT_029224mg transcript:KQL24686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNAWSVLLILICLWVCPLRTSGFSWNIFSSSSPGSSAKAANQRAPMMELDGAVADFTMDGANNPRGLKLLENAQSKLAGPRNCWQEAYRKLFASCGDIMADKERQSRLAWHLSSCFQEDSGRPPFPSCAEGSRMVHCRSRLSDSEGKVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEERSDQIIKESEKVQDKISSIEEQTDRLAETSKNVGEQINDVLDHSKAIFEQSKEIAKAQAALKEGQTEMREKIDAGMARVEESYASLGKGMDNLKEETGYVKREIKSVGDSMSSKMQDLQSKADDIGSVAGKSLENQMQLLDRQSRTMEGLNNLHSFQAKALEESRETVQKLAQFGQRQQEELLARQEQIRQAHDHLIQNSHSILEAQEEFRAKQANIFAALDKLHILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGQLYFGLCITLVLEIGLIKIGADDFDKQFWIMSKVFLVRMLFLGVATVQILHSIFTYRDYEALNHRLLQTLVEKVRALEKNAGGRALPYDTEESEGSLMDYSWVFDELADEVDSKVDPSYVLPPVRPPRTCHEVVLPEEVGENSITTLVGRRYNLRSRK >KQL26470 pep chromosome:Setaria_italica_v2.0:II:44569726:44572800:-1 gene:SETIT_029932mg transcript:KQL26470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRESPLMRGGGGGGGGQPLSRGSRIAAAVVVGVALGCLCAFFYPDGFFSRAPDSAIHWPRRADSVACDTSREVANLKSQLLSLERKNAEFRKQINELSMKLQLAGQGENEVLYKAGPFGTVKALRTNPTVSPDLSINPRLAKILEQVAVKKELIVALANSNVKEMLEMWFTNIKRVGISNYLVVALDDSIENFCKSNDVPVYRRDPDDGIDNIGKTGGNHAVSGLKFRILREFLQLGYSVLLSDIDIIFFQNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFFIRSTIPSIELLDRVAGRLSREPKSWDQAVFNEELFFPSHPGYEGLHASKRTMDIYLFMNSKVLFKTVRKDAHLRKLKPVIVHLNYHPDKSDRMKAVIEFYVNGKQNALEHFPDGSE >KQL26463 pep chromosome:Setaria_italica_v2.0:II:44502046:44508770:1 gene:SETIT_029042mg transcript:KQL26463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKNRVAKGMKKHYRSKGSRRVGSPPPPRIQRDRAGGRGTDDQRGSHPAVPLSFHDASLPFRSPPPPPRLPRPKPPLPLPPNSHPPPNPTSLKTLAPAAAPLPRAPMAAAASSEEAVKAAKVLMVGAGGIGCELLKTLALSGFSDVHIIDLDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLKFRPNINITPYHANVKDSTFNVEFFKQFSVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPVPKSYPVCTITSTPSKFVHCIVWAKDLLFAKLFGDRNQDNDLNVHSKDDSSSKTDVFERNVDEDLSHYAQRIYDHVFGYNIETALANEETWKNRRRPNPIYVRDALPEDAVQQNGCSQDHKNEEQEPSAMVSLGLRNPQEIWSLADNSRVFLEAFKLFFEKREKEIGNLIFDKDDQLAVEFVTAAANIRASSFGIPLHSLFEAKGVAGNIVHAVATTNAIIAGLIVIEAIKVLKGDYQNYRMSYCLEHPATARKMLLMPVEPFEPNKSCYVCSETPLVLEVNTKTTKLREVIDKVIKSKLGMNLPLVMVGATLVFEDGEGLEPDEAENYALNLDKVLAELPAPVVNDTKLTVEDFQQELKCSINIKQRDEFDKEKEPDGMVLAGWTGPVDKQITSNGDKRLVPSSSSADDVDSAAEDASVKPGMKRKLNEILESQENFDVQNKSDVGSSSAQIVEDDDDDIVMFNEDPMQGKKKRLQ >KQL23039 pep chromosome:Setaria_italica_v2.0:II:7899192:7899401:-1 gene:SETIT_032790mg transcript:KQL23039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TMEEDVGRPEVLLINRYVRLHSATANIASALGFLALMWSTVVLLGGFIDDLLLKEFWVLTALSFLMAFR >KQL24084 pep chromosome:Setaria_italica_v2.0:II:25832658:25833728:-1 gene:SETIT_030741mg transcript:KQL24084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPCMQKVRIFCSDPDATDSSDDEDGQNIKVKKMVREVLVPVKNSKTSKCLETLVPCGTKDLEVSAKKGKSSRFRGVRKRPWGRWAAEIRDPVRKTRKWIGSYDSEEAAAAAYQAYANQIHAEVLAKKAQESVSERAALSSSSSVSCVSSSAPCEQTARVQKGVFMEIDPEPVDEILLNFSTPKEISVDVLLGRIDETPVGDSVSLADELPLDDFTRLEDAFPISDFIGAVHKPLDDDYIGLADISHLPLPMKDPAFNLDAELDWSGFDFTAIEHELQVL >KQL24306 pep chromosome:Setaria_italica_v2.0:II:28727671:28731867:-1 gene:SETIT_029422mg transcript:KQL24306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAARLLALASPRRLPPRSPRAHLLPRGLCSAPPGQPDPRHDPGPGPDPDPQLVGALCRVLSDFRGPRHDLRAALQGLAPRLTPAAAAAVLRRCRNLPVPSLRFFLFAAAAPGFVHLPDSLLVLAGSLAGARLFPLLRSLLSDLPRSALSRDLFPLLFRAYARAGLPDDATRAFSSMEGFGFPPTAADLHSLLFALSHNGLVEHAEAFFRDSATQFGLSAKAYTILISGWAVVTKPENARKLFDEMIERGIKPDVPAYNALIDALCRGGDAALAHEQLKDMQRSHGLVPDAATYGPFLRSACAAKDARAALRVLDRMHMHNLTPNVFTYNAVIRLLCELGEVDEAYNILNEMANNGEMPDIWSYNTLLNAHCKLKEVNKALRLISRMDKESCLPDRHSYNMILKMLVSVGRVDRAIEVWDGMEKRGFHPGAATYAVMIHGLSCKKDRAEEACSYFLRMVDEGIPPYQATCQVLRDRLLRIGLRDDLEMLTDRMRRSTSCTIQDMASIMCSRKAVETRNLNSDHAFSAIDLHECQWREQWKMVD >KQL23000 pep chromosome:Setaria_italica_v2.0:II:7240430:7240873:-1 gene:SETIT_032232mg transcript:KQL23000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISSSSYVILLSAAVLLSALAAASASTNWCEPGLVIPLNPLQSCRTYLVRRTCGPGRGPFVPLPAIRARCCRELKDIVPYCRCGALRIMMDGMPAGGGVVASPSCSWAAQLDLAATLVSEAECNLVTIHGRPFCYALGAEGTTSG >KQL26673 pep chromosome:Setaria_italica_v2.0:II:45685837:45687420:-1 gene:SETIT_029925mg transcript:KQL26673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVETSGGGCGRKRKRAASGLADLHDDMLERVLARLPPASYFRLRGVSRRWRAAAESTTFRAACARVPPRDPWFLMLEDSGIQGQEEHQQQLPPRPAVVFDSAERAWARWRGAPGPAVPVAAAGGLVLYRDAATAELTVVNPLTGASRALPQPPPAASAAGALQAVAMYGSPYRVVLILGELPDLSMALYDSTKNAWEGAVALTRKAEGDDEASSRERVAEEGDGGGDDTVYFLSKSGDVVATTTQRSASRQYSSAVACRGGGGGGGGGEDVVAYFLSRSGSVVACDLARRAFAELPRILPVYHEYSIDVVACGGAAYAVVLSEFLDTASLRVWEHAGGSWRQVAAMPPAMSHAFRGTKADVNCVGHGDRVIVCVSSGDAGASGCFMCDVRSNRWEELPPRAGGGEEASTGFVAALSFEPRMEAAV >KQL26554 pep chromosome:Setaria_italica_v2.0:II:45027106:45027693:1 gene:SETIT_031568mg transcript:KQL26554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTSVPSLALLLALLLSCAVMMSSAARKLEEEAAPKEEEPELPPHLPVPELPVPEHELPPLPKVELPPFPEVHLPPKPELPPFPKVELPPKPEMPSIPEFHFPQPEAAKP >KQL27090 pep chromosome:Setaria_italica_v2.0:II:47757895:47758276:-1 gene:SETIT_031656mg transcript:KQL27090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFALPDETTRIRGSEADVLETTSGAWSSSGKLSCIEGGNVFPGTTEQEQGKKGEAPYLLTYKWGPHASRSTSASCQLSAPAWQNPPAVPP >KQL26996 pep chromosome:Setaria_italica_v2.0:II:47308727:47309626:1 gene:SETIT_031301mg transcript:KQL26996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKMSFVIALLVALAAATPSAAFSFPPILPCIPGLPRIPLFPCVEPPPLKPVPECLTPLMNMVPACTAFLTDDADVTAPPAACCEGFREVSHMGAAICYCYVANGDIGRLLPAPMNFTRMYSLSIVCGSNFELKALAEHLPCTQDNGVPPLPLPPSPPAAGKASPPAP >KQL26893 pep chromosome:Setaria_italica_v2.0:II:46791241:46792146:-1 gene:SETIT_031061mg transcript:KQL26893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFMEKRGLPKNLVLGSCTVLETAGQGALGTLHKVLESAIADRENGSSTQGQIIWIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQVALLANILIILIYTYI >KQL26891 pep chromosome:Setaria_italica_v2.0:II:46790038:46793588:-1 gene:SETIT_031061mg transcript:KQL26891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFMEKRGLPKNLVLGSCTVLETAGQGALGTLHKVLESAIADRENGSSTQGQIIWIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPADGSISRTRETTLPVNELTKSLRKIGYDVVPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLELLACSN >KQL26890 pep chromosome:Setaria_italica_v2.0:II:46790422:46792146:-1 gene:SETIT_031061mg transcript:KQL26890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFMEKRGLPKNLVLGSCTVLETAGQGALGTLHKVLESAIADRENGSSTQGQIIWIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPADGSISRTRETTLPVNELTKSLRKIGYDVVPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLELLACSN >KQL26895 pep chromosome:Setaria_italica_v2.0:II:46791003:46793588:-1 gene:SETIT_031061mg transcript:KQL26895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFMEKRGLPKNLVLGSCTVLETAGQGALGTLHKVLESAIADRENGSSTQGQIIWIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPADGSISRTREVNI >KQL26892 pep chromosome:Setaria_italica_v2.0:II:46790038:46793588:-1 gene:SETIT_031061mg transcript:KQL26892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFMEKRGLPKNLVLGSCTVLETAGQGALGTLHKVLESAIADRENGSSTQGQIIWIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPADGSISRTRESNTGSNRCLCMCPSS >KQL26894 pep chromosome:Setaria_italica_v2.0:II:46790038:46793380:-1 gene:SETIT_031061mg transcript:KQL26894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSVVTVHVTGFKKFHGVAENPTEKIVTNLKSFMEKRGLPKNLVLGSCTVLETAGQGALGTLHKVLESAIADRENGSSTQGQIIWIHFGVNSGATRFALENQAVNEATFRCPDELGWKPQRVPIVPADGSISRTRETTLPVNELTKSLRKIGYDVVPSDDAGRFVCNYVYYHSLRFAEQHGIKSLFVHVPLFLTIDEEVQMHFVASLLELLACSN >KQL23339 pep chromosome:Setaria_italica_v2.0:II:10997770:10998009:-1 gene:SETIT_031758mg transcript:KQL23339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALEEKHLVIKQYTNQHKAYNSSEQHFFSEAALPLADHIGLTGQLAHQDGLRLLKQYKSIHRGYARSQ >KQL24105 pep chromosome:Setaria_italica_v2.0:II:26218701:26223624:1 gene:SETIT_029933mg transcript:KQL24105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDTGGGDGTPGTPSPTHGGRLRHRKRSSEVLSDVNKTNGANLLLNDQNKYKSMLVRTYSSLWMMAGFVFLIYMGHLYIWAMVVVIQIFMAKELFNLLRKANEDRQLPGFRMLNWHFFFTAMLFTYGRFLSRQLVNTVTSDKLLYKLVSGLIKYQMFICYFLYIAGFVWFIVTLKKKAYKYQFSQYAWTHMILLTVFAQSSFTVANIYDGIFWFLLPASLIAINDVAAYFFGFFFGKTPLIKLSPKKTWEGFIGASVTTMLSAFVLANFMGHFQWLTCPRKDLSTGWLHCDPGPMFTPEIYNLRGWMPQCFPWREVAIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKFKDFGDSIPGHGGFTDRMDCQMVMAVFAYIYYQSFVMVQDLSVETILEQILRNLTVEEQHDLYEQLGKLLARAN >KQL26753 pep chromosome:Setaria_italica_v2.0:II:46142112:46145695:-1 gene:SETIT_029689mg transcript:KQL26753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRGSSSCALCEGSNLPSCCTACVNARLVEYHARLRMMRSLRDSLQARIAARLEAKSKVDEQRIWRVSKTQDIMELRDRLTELKGKTAIEKTKVQQSSSDLKAQTASLNLAFVTLKKRRADAVTMHTNAMKVAQMNLMATTSERLKMQSKSVKQLCRLFPMRRVIKEGEKKEDYTGPYDSICGARLPRGLDPHSVPSEELSAALGYMLHFINIAVRILSAPALHVSGFKGSCSQIWQRSSYWSTRQSQSKVYPLFIPRKNVCTGGEESSLTESGSANFGVDSIDSVKKHSFDSKRSNSFNFSGGSSHSMERHQYLQRGISLLKTSVTAITTYYYNSLGLDVPSNLSTFEAFAKLLHMLSSSKALRAALESNIASRSEKQAQQLNKSIWKASSAISSDSSFMDSIHTAIMPSTLDNLLLNSNESFLYTGKLVKHGGVPDNILDSWDLVEREVLPPPPSDVENVAQWERAMYADGGTKKK >KQL24441 pep chromosome:Setaria_italica_v2.0:II:30120528:30122279:1 gene:SETIT_032660mg transcript:KQL24441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRETSSNSHRSRRAELTWARRHEVVVGVARGLLYLHEDAHTPIIHRDIKASNILLDDRWVPKIADFGMARLFPEAGDGRSRVHTRVAGTNGYMAPEYLMHGDLSTKADVFSFGVVVLEIVSGRKNSSFVPPPDSDSDSLLEYAWRLYKKGRSLELLDPAVKSAAVPEQVELCVRIGLLCVQADPRLRPDMKRVVIILSKKQSTLEEPTRPGVPGSRYRRRPHGLRGSHYSAGSSSGTSSPSTSATSHASASASNAMTTSSTHTLRSHGGLPSHREEQE >KQL23490 pep chromosome:Setaria_italica_v2.0:II:14130393:14135163:1 gene:SETIT_029164mg transcript:KQL23490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPRAPRRFLFDLNVAQEEPEEEEPEEAFQEVVPEEGVEGVPVEQPEEAAVEEEVLEEVIEREEEPADEVIMEEEKAAAQPPQPSDEVMGEDEEVGEEEPGARRKRMDYEVFVGGLPHDAAEEDVARALADAGDVEEVRLVRDPADQRLNKGFAFVRFAAAWQARWAANDLREATIKGKACGICKNSENETLHVRNICFDWSRDDLAEKLKPFELENLDRINLIEHPEKRGKNRGYAFLDFRTHVDAVAAFLKLQERDLYLGTDFRAHISFSNTLSQDDEIMEKVKSVFLDGLPPHWDEDKVREMFGKFGEIDNIQLARNMFTAKRKDFGFIGFTTRKSALDCIKMVNKEGVGEGSGKVLIKACLQRPRQNSKKHSWQGSNSMLGVRRGFVDKSSSSRHHSDRYRHFERRDYSDNNAHRHRSMDVDERPISVRGYRDYYRRDYAAYAPSPKYGRTHSGTRFREAYAESRYSSKYPRYRQEMHEEHMERDAYHRSKYGHSYHDRVHGASCPECNLSGQNCDYPNGEEFSATSGCEQAYYKTDRDRTPSTSQVASHCEDSCCKGNQLMPKSSSVMCDCDDCFVEQESTPSPSDHARTRSNLHRRSVKSSREHRRLAPDEQSAFEVEYTVRESRSRYSSSRDASSTHSRKHHRPAR >KQL23489 pep chromosome:Setaria_italica_v2.0:II:14130393:14135163:1 gene:SETIT_029164mg transcript:KQL23489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPRAPRRFLFDLNVAQEEPEEEEPEEAFQEVVPEEGVEGVPVEQPEEAAVEEEVLEEVIEREEEPADEVIMEEEKAAAQPPQPSDEVMGEDEEVGEEEPGARRKRMDYEVFVGGLPHDAAEEDVARALADAGDVEEVRLVRDPADQRLNKGFAFVRFAAAWQARWAANDLREATIKGKACGICKNSENETLHVRNICFDWSRDDNLDRINLIEHPEKRGKNRGYAFLDFRTHVDAVAAFLKLQERDLYLGTDFRAHISFSNTLSQDDEIMEKVKSVFLDGLPPHWDEDKVREMFGKFGEIDNIQLARNMFTAKRKDFGFIGFTTRKSALDCIKMVNKEGVGEGSGKVLIKACLQRPRQNSKKHSWQGSNSMLGVRRGFVDKSSSSRHHSDRYRHFERRDYSDNNAHRHRSMDVDERPISVRGYRDYYRRDYAAYAPSPKYGRTHSGTRFREAYAESRYSSKYPRYRQEMHEEHMERDAYHRSKYGHSYHDRVHGASCPECNLSGQNCDYPNGEEFSATSGCEQAYYKTDRDRTPSTSQVASHCEDSCCKGNQLMPKSSSVMCDCDDCFVEQESTPSPSDHARTRSNLHRRSVKSSREHRRLAPDEQSAFEVEYTVRESRSRYSSSRDASSTHSRKHHRPAR >KQL26220 pep chromosome:Setaria_italica_v2.0:II:43124157:43125986:-1 gene:SETIT_031253mg transcript:KQL26220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNCGIHIPRCKDKHQGSHLSGVLTVLVALAWTDNRRGGAATFAGVCTVAAVFCIAEGHAEGAMARDLSLMCPEFIQLPIVKFYHYKTASEGSMMVNADLAAGGIEGSSNPLDEEGPSFPECLSSKQFLLQNLDYSMDMFLIYTLSLSIFPGFLAKTLDHTTWVLGNTRFCAPDTYLN >KQL22805 pep chromosome:Setaria_italica_v2.0:II:5433993:5439390:1 gene:SETIT_033002mg transcript:KQL22805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQELEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIRKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLARRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRNGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFQSPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVSEGRMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEPWHRDDSFPTFYHVWKHVRPIRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSEIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRYGTQPERAPLHDYMGQQLARLSNEAGVIMEHAVGEGDSLLRAFAERVRKSCRRMAQRMNCMTSSDAHDGANVQGTSSGSRRTALVTTPRAATPSTAAGPSRRSRGKEPASPQESEDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSQ >KQL26760 pep chromosome:Setaria_italica_v2.0:II:46180201:46182515:1 gene:SETIT_030740mg transcript:KQL26760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAPPPSPLLPPAGEPFRVFVGYDPREHEAYEVCRRSLLRHATMPLDVRPVRQPDLRAAGLYWRARGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADVADLLAAAAPPGADADRLAVACVKHVYEPAEATKMDGAIQTTYPRKNWSSMVLYNCAHPKNVAALTPDAVSTQTGAFLHRFAWLNDDEIGEVPFVWNFLVGHNRVDPADPATHPRAIHYTCGGPWFERYRDCEFADLWIKEAEELKAEKDKLEDGEKLKLIEDKDAKEQDDNKEEN >KQL24589 pep chromosome:Setaria_italica_v2.0:II:31546127:31546619:-1 gene:SETIT_031489mg transcript:KQL24589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSEGGGGEGDLDLNLSLQPSLAPEQPEPPGYFSCTYCDKKFYSSQALGGHQNAHKFERSVAKRARELAAARRPAGHGGERGSAAEEEARRGKELGIVAQGSAASSSTQQIGAPPEASRDLTDDIDLSLKL >KQL24606 pep chromosome:Setaria_italica_v2.0:II:31723883:31724549:-1 gene:SETIT_033455mg transcript:KQL24606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSGSSAQARSQPYATPQATPNRSAPKRHQQKYSHADPKAASDIPIPAKTILPERKRLFSRNPILLPKFLIF >KQL25176 pep chromosome:Setaria_italica_v2.0:II:36449658:36451879:-1 gene:SETIT_030324mg transcript:KQL25176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDYVASLLMGHSAAPGLDFTAALDGGFLDALCGGGGGAGLFGLPGVAAGAGGGGSPEGSSVSDPAWARARDGGNARKRKTPPAGATSGKEACLGKAGEAKGPDGKKCRVGAGGSPVKPKVEEATASDGSVEDKGQKKGKGKSSKPPVEPPKDYVHVRARRGQATDSHSLAERVRREKISQRMKFLQDLVPGCNKVVGKALMLDEIINYVQSLQQQVEFLSMKLATVNPQLDFSNLSTLLHKDMYQSCGPSVNSVFPLESAGAAFPFCEQADLFQSFGSGAMEDQCSLSLLDTALPHTTNQTFAFQKQQRDFWEDGLPNTLPIVSEQSQENGVSAPDFDGQLQADQTKIEF >KQL25911 pep chromosome:Setaria_italica_v2.0:II:41220131:41220600:-1 gene:SETIT_033608mg transcript:KQL25911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVDQLFDLLTDGRSPELTCGAVAVKILHEQEGTVEARSTNLYNQSTVPHFSSKDVHVCGRDLPTSDPVSF >KQL25327 pep chromosome:Setaria_italica_v2.0:II:37390534:37399293:-1 gene:SETIT_029286mg transcript:KQL25327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQAAGSPPSVAALGFLLPTCWEIEVTCAAAMILVALYAAYELLNPRPSQAGAGGEAADGPLLGEFDGADKFKGGSTGPSAYVVKLELLAAKNLMAANLNGTSDPYALITCGAEKRFSSMVPGSRNPMWGEEFNFFVDSLPVKINVTIYDWDIVWKSTILGSVTVPVESEGPSGPVWYTLDSTSGQVCLHIKAIKVHESSSRALNNSAEAGARRRISLDKQGPTVVHQKPGPLQTIFELPPDEVVDHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQIKVVIPLRDIDEIRRSQHAVINPAITIFLRMGAGGFGVPPLGCPDGRVRYKFASFWNRNHTFRALQRAVKNFQMMIEAEKQERAQSALRAHSSSRKNSKKEINVPEDCAALTGQLQPFVKEEVLVPVFNGTFPCTAEQFFSILLSDDSSYITEYRTDRKDKDINLGQWHVADEYDGQVRELNCKSICHSPMCPPYSAMTEWQHMVLSADKMDLVFETVQQVHDVPFGSFFEVHCRWSVKTISSDSCSLNISAGAHFKKWCIMQSKIKSGAVDELKKEVKEMLDFAQAYMLKVRSPNQENSDLTKEDNMEPDAVPGDQ >KQL26058 pep chromosome:Setaria_italica_v2.0:II:42096635:42097034:-1 gene:SETIT_032030mg transcript:KQL26058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGDAFAESGPKLMMARAGVGSSPTMIETDWCSSEHRRCVATCVVKGTYGLESDREKGRLRNTRENKQAPGGSAGVVDELPLPPASNAR >KQL23612 pep chromosome:Setaria_italica_v2.0:II:15465481:15467174:-1 gene:SETIT_031288mg transcript:KQL23612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRTKEAEPQPAAAAQEEPAPAPAAPAAAMTEAEVEELPKAIVRRLVKDKLAHIAGGGEGAEVIVNKDAMAAFAESARIFIHYLSATANDMCKESKRQTINADDVLNALDEMDFPEFVEPLRTSLQEFRNKNADRRSEANKKQKEKRRKLNEEPHPQNENDPVDDAKEDDD >KQL24297 pep chromosome:Setaria_italica_v2.0:II:28706963:28711506:1 gene:SETIT_030275mg transcript:KQL24297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAKCRSPATLLLLLLLAHLLPSMATSHVEMESVYVGQRVLPVRLGRPAFGPESLAFDHRGNGPYTGVSNGRVLRWRGPLRGWTEFAHNHKHETVAACAAKKRLVVPESACGRPLGLQFHRQSGDLYYADAYLGLMRVGRRGGQAEAVATEAGGAPLNFVNGVDVDQDTGHVYFTDSSATFQRSDYLTIVLTGDATGRLLRYDPATGVAAVLASGLSFPNGVAVSADGTHLVLAETARCRLLRHWLSGPRAGTTEHFADLPGYPDNVRLSDAGGDNGYYWVGLNRDKSWMAEGTTPRSVAAVRVRAADGGVVEALRGLGNATVSEVVERPGGALWIGSVDTPYVGLFKAGGLSSSGRRSL >KQL25994 pep chromosome:Setaria_italica_v2.0:II:41753465:41753906:1 gene:SETIT_031692mg transcript:KQL25994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTQPLACHQKQKTQWKSNARSTRDSSKWNSITSSAKPVNPDPIPHPDPHSRCSHRSNTRNNETRLQQFTTSAPTQRTSHGI >KQL26807 pep chromosome:Setaria_italica_v2.0:II:46419178:46421591:1 gene:SETIT_031013mg transcript:KQL26807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRPGSPASMWPRPSALLLLVAFALLSAPRPARALRFDLESGHTKCISDEIKVNSMAVGKYHVVGPDPNFPDAQLPESHRISLRVTSPYGNSMHYAENVQSGHFAFTATEAGDYLACFWAPDHKPPVTIGFEFDWRSGVSAKDWPSVAKKGKVDMMELELKKLEETIKNIHEEMFYLREREEEMQDLNRRTNSRMAWLGFLSLGICLSVAGLQLWHLKTFFERKKLL >KQL25915 pep chromosome:Setaria_italica_v2.0:II:41239127:41240723:1 gene:SETIT_029690mg transcript:KQL25915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATIVFIPCWESGHFMSMIAAGKRMLDAGGGALSLTVLVMRAPTAAKASEVDDHVRREAASGLDISFRNLPTVEQPTGCVAPEEFNFRYTQLHAPHVEEAIAGLASPVAALVVDLFCTPLLDVAAEAELAVPRYVYFASTGAFLALMLRLPAFREDLTARLRGTEGAVHVPGLPPVPLPYMPACLSRNKIGNYEWFEDYGCRFMGASGIVINSSVELERGVLAAIADGRCVPGRPAPAVYAIGPVLWFSALEQPHACVRWLDAQPPASVVFLCFGSKGFIDKEQVGEVAAGLERSGHRFLWVLRGPPAAGSSHPTDADLDELLPEGFLTRTQGRGLVWPAWAPQKEVLAHPAVGGFVTHCGWNSTLESLWFGVPMVPWPLYAEQHLNAFELVRVMGVAVQLKNMEVSEVEPFVEAAELEQAVRGLMGETEEGRKAREKAADMKAACRKAVTEGGSSYIALRKLMSEISSGGGGTASVTG >KQL24055 pep chromosome:Setaria_italica_v2.0:II:25296856:25298971:1 gene:SETIT_031353mg transcript:KQL24055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGTSSGSSLGTRSSRSEDDLDLQAQMERRRKRRKESNRESARRSRLRKQQHLDDLTSQVKQLKDQNKQLSMALSITSQNLVAVQAQNSVLQTQKMELDSRLGALTEILCYMNTITSTSASAPTNPAMVNNITSSNSYDLLGANCTWNQQPIDLYQCF >KQL22243 pep chromosome:Setaria_italica_v2.0:II:895440:898185:1 gene:SETIT_032047mg transcript:KQL22243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDVGRPEVLMINRYVRLLAANGNIASALGFLALLWSTVVLLGGFIDDLLLKEFWVLTALSFLMACRMAEITNNELRKGIYMEEDEIIDTLRQQKWFEAHKVVIVLRVVQVLKWIFIVCFILLYLVSPFVNLGIAMWRLVRRHYGDAGGDIGNRSKLNAALDIFYVLILFQSLFAFYCIAIDLVENILKSRTEKHCGLEERRKGIVWRYASETARKFRKDGELPGNWNLIAYGVEKLESASGDDHLWGARVLDQLFSKDKSVRQELLSSRSCIQNLIGMIGRRGTADNVENRERAARIVAHLASDLNIAHFPGTLQCICSLLESCNTQSCEPQATDPSEEPEDQNGADTVLQIKDQTEYESGGPKELVSQGLLILEGLTQDEENCAEISKHPRLLSKITSPLLISQGLQILERLTQDEENCTEITKHPRLLSKITSTVSSHNFLSNTRDNTMVEMLSRSLTVVNRLLTSPGDGATRLRQEMAGNKEAVSNLVAILETDSEGAQELHEQVLEVLAELAFDGSFTKPAFGESECMLDKLFKTLQRIFLEEKDGNAVVGEADREKDTRLRGKAGEALARLLPVRAARDANNVAGILSKQEEINLLTKVFDHITATGATIGANNERQSDERNLLAAMLSLVVVICNEHIISREDFVRAIHEDAALVKKLAQVLKVNKQCTAECLRVAKLTCQVVIAMVQAKPSFVQDFNEHNFKEELTEALEIMSEVDGCMLFASLVKEAHKLLNSAQEHGK >KQL24107 pep chromosome:Setaria_italica_v2.0:II:26227387:26232973:-1 gene:SETIT_029759mg transcript:KQL24107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGHHLTEVALLASASADLAAAGAGEREGWLDDPAVLPSLGPRARALAVASAARSVLVIVPVTEGGGGGVTVEPALGPEEGRISAVEWVPLTAEEDGDGEEGVAVAIGTDTGWLLFYSLAGDLLHKQSIYPAKILKLNFRERKENAWEDSGSNELSVVFPGVIARFDGADLQSILQKSFQDVKSRLWKDKFEEEDASDEGSFGRIPFRIWNVSKFGSCADAAIVGLMPPPLLELQSSQRHYCAITVGEDAVVSAYRLSEDRGRSIVGAILSRGVAATFSTISSLSKILWRSEPSPPKKSRPKPQSFAKTSPLTCLKDSPRKGERLTLSPSGTLAAITDSLGRILLLDTHALVAVRLWKGYRDASCLFVEMLLNKDKASSSSMHIEYTKSDYCLCLAIHAPRKGIIEVWKMRTGSRLLTIPCPKGSRILQPSARLSSTYSYTPLEVYLFNGDSGQLSVLNSHVG >KQL22701 pep chromosome:Setaria_italica_v2.0:II:4678957:4679235:-1 gene:SETIT_033665mg transcript:KQL22701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIPVFSPVLDAILKGRFATGGKKPFEAWA >KQL22940 pep chromosome:Setaria_italica_v2.0:II:6536917:6543200:1 gene:SETIT_029336mg transcript:KQL22940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAATSTLAPALAARRRRARPGASPLPLPPRRAAVVRCSLDSNVSDMGVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDLYESRPFIGGKVGSFVDRQGNHIEMGLHVFFGCYSNLFRLMKKVGADNNLLVKEHTHTFVNKGGTIGELDFRFPVGAPLHGIQAFLRTNQLKVYDKARNAVALALSPVVRALVDPDGALQQVRDLDDVSFSDWFMSKGGTRESITRMWDPVAYALGFIDCDNISARCMLTIFTLFATKTEASLLRMLKGSPDVYLSGPIKKYITDRGGRFHLRWGCREVLYEKSPDGETYVKGLLLTKATSREIIKADAYVAACDVPGIKRLLPPEWREWEMFDNIYKLDGVPVVTVQLRYNGWVTELQDLEKSRQLEKAVGLDNLLYTADADFSCFSDLALSSPADYYIEGQGSLIQAVLTPGDPYMPLPNEEIISKVQKQVVELFPSARGLEVTWSSVVKIGQSLYREAPGNDPFRPDQKTPVKNFFLSGSYTKQDYIDSMEGATLSGRRTAAYICGAGEQLLALRKKLVIDDSEKALGNVQVLQTS >KQL26947 pep chromosome:Setaria_italica_v2.0:II:47074424:47079009:1 gene:SETIT_030446mg transcript:KQL26947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFFRGGSRQRPTPQEIVRSIKDSLVALDTKTGAKALEDAEKNILTLRHALSGDGEVEPNQEQVLQIALEICKEGVLSLFVQNLPSLGWEGRKDLVHCWCILLRQKVDESYCCVQYIENHVDLLDFLVVCYKNLEIALNCGNMLRECIKYPTLAKYILESSSFELFFQYVELPNFDIASDALNTFKDLLTKHEDAVSEFLSSHYEQFFGLYTRLLSSTNYVTRRQSVKFLSEFLLEAPNAQIMKRYILDVHYLNIMIGLLKDSSKNIRICAFHIFKVFVANPNKPREIVRVLVDNHRELLKLLHNLPTSKGEDEQLDEERDLIIKQIEKLVRLSV >KQL22400 pep chromosome:Setaria_italica_v2.0:II:2358180:2361238:-1 gene:SETIT_031240mg transcript:KQL22400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWAGEDHWDGHHAVTNPTPRATTASTLLASADGRSGGCVLESCSVPEAATTKAPTPSRCTPTPCRLLHEDDLCLGAFEPIWRSLYRAAATARARAAAAIASLSSSGPHSPSERVSARGVAPASELPRRRDEGQVEEEADEAAQEEAPKDEAEIQVDRFASSVLGILQFGCTLLINSSFP >KQL25739 pep chromosome:Setaria_italica_v2.0:II:40098461:40101855:1 gene:SETIT_032678mg transcript:KQL25739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLRWAAELGVSDSPPPPFPSSASAAAASYSAPSSCIGRSLVVADFPDAGGRGLAAARDLRRGELVLRVPRAALLTSDRVTADDPRVAACISAHRPHLSSVQILIVCLLAEVGKGRNSVWYIYLSQLPSYYTILATFNDFEVEALQVDDAIWVAQKANSAIKSDWEDATPLMKELEFKPKLLMFKSWLWAFATVSSRTLHIAWDEAGCLCPVGDLFNYAAPDDASFEEEDIAEVERLTDGGYEDSNAYCLYARKNYKKGEQVLLGYGTYTNLELLEHYGFLLSENPNEKTFIQLDVDIYSIGTWPKDSLYIHTNGHPSFALLCALRLWATPTNRRKAVSHQIYSGSILSTENEVEIMKWLISKCEGTLQQLPTTVEFDESLLVFLRKIQNSTNCRTDVKQSGFEQEFAVFLHFHHRLKLDCSDNSQLPVQFLRSLERWELAVQWRCNYKKTLKKCISYCKSLVHGLPLQLNQQ >KQL26904 pep chromosome:Setaria_italica_v2.0:II:46847726:46849081:1 gene:SETIT_030106mg transcript:KQL26904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDLLWPLLAAAFMAAAAAAAESMPVPAATALGTRANGSGSSYARFFSFGDSQIDTGNFIRYSADPGPVSRLPYGETFFHRPTGRWSDGRLVVDFIAERLGFPYWPPYLAGKTAEDFRYGANFAVTSATALSQTFFKEKGLDVDGITPYSLGAQIEWLKKVLDTLGSTNHERREIMASSLFLVGEMGANDYNHPFTQNRTLDFVLPLVPLVIRSIGHSLEALIQLGAKTLYVPGIFPLGCIPRYLFQFRDSGAGAHDPATGCLRWLDDLAVHHNALLKAELAALRRAHRGVSIVYVDYYGEILGIVTSPAEYGFGVRTVLDVCCGGGGPHNANLTVVGCTLPGFVLCPDPSRYVCWDGLHNTEAVNEIMARGMLDGPFATPPIMPAGRWTE >KQL22578 pep chromosome:Setaria_italica_v2.0:II:3647619:3649449:1 gene:SETIT_029661mg transcript:KQL22578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERSRRRRVSPTCGPRCHVVRRPAGMDRSRRVERSRRVSADCLTAAARSRTARWLPSLFSIGGYVNMWAGGAASASGAHLQGRPIQSVPRFWAGSLRNVLGRTAQRGKGCQRTTDRLSCSLVARAVYYLAPLPIRPRIASAPAERASDGEPAALVGRVPRGAPGQLRVPARPAPAGVRRRRRAPRHRPLPPPRRGPPGRPRSRQGARLLPGGQPRRAGAGDAGHGVQLRGVLPPPRGGQGGLLHRGHRQAQPPLLQHRLRRRRRAVLARLPPPRLPLPPRFRRHPELLARQARAPPAGGRGVRGGGAGAGDGAPPAAVRGAGLRPDYFEGELSGGDVVVNVNHYPPCPDPERALGLPPHCDRNLITLLLPGTVPGLQVACRGDWIRVQHVPGAFVVNFGHQLEIATNGLLKSVEHRAVPNAAAARTSVATFILPTEDCLVAPAAELVAGEDNPARYRAVTFREFMRVYKTVGARRESVEKAFKI >KQL26086 pep chromosome:Setaria_italica_v2.0:II:42254836:42256174:1 gene:SETIT_032925mg transcript:KQL26086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMEQTVAFGPHFMTLDQELLRVLTAGDAARLEELLRRQDQTHSHVAVNVQAAAAPPGAVAAPPWQGASCLLLGVTSNGALHLAASRGHAELAALRCERAPSLVATRNRGLDTPLHCAAKAGHRGVVARLLSAMRAGGEALCARNCLSATALFEAVRHGHAAVVDLLMVLAPELASVATNSGVSPLYLAATTDSLQMEANFQPGMAQEMLAWEPEGPSLLSRVDSSGRTPLHFAALYGRLDVVQLFLAVPTSVELAHIADSHGQFPLHAAAMKGNTRVLDELMKKCPDHHELVDDKGRNLLHCAVEHNQRAVVRHVCQDGNLYMLLNAMDYEGNSPLHLAAKYRFIRIVSILLLTMTVETSITNKDGLTAGDRLPCVD >KQL26369 pep chromosome:Setaria_italica_v2.0:II:44001893:44002180:1 gene:SETIT_033169mg transcript:KQL26369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPEQDRRLGVVKPEPEPMIKLKVLDNEGRRVFHTMRMSDKLQAVMDAYYAKAPDVTYGSGTFMFDGSIRLRGSETPAEHGLQDDDVIDSYEDL >KQL22935 pep chromosome:Setaria_italica_v2.0:II:6499706:6500568:-1 gene:SETIT_032836mg transcript:KQL22935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRTIKTWVKQVRDVAYIVEDCLQDLAVRLGKPSWWWTLVDRHRVATRMKELRAKVEDVSQRNVRYRLIKGSGPKPATGIFGATMFGIEEARRQKDKSKVDLSQLIVEGNEDLRVIATIVIKGAYDNLKRSKKFKLYAWIRIAILSKKKFKKRGRPKKETNIGAQVLKKMGVMKQDDLVDAFSEHVNEKSYLIVLNDLSTIEDRIIISTEHGEVASLCTGQESVVSELKQSSADQSTFASYHRVISI >KQL26073 pep chromosome:Setaria_italica_v2.0:II:42206435:42208026:1 gene:SETIT_031431mg transcript:KQL26073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQPQSDADFLEPSVLLDETHYQEGYKNGYHDGLSSGKEEGRQVGLKMGFQVGEELGFYQGCLDVWASAARIDQNVFSARVRKNIEQLAALVSSYPLYDPENEQVQDVMEKIRLKFRVITATLGAKLEYEGRPASSKQDVEDL >KQL26018 pep chromosome:Setaria_italica_v2.0:II:41847740:41857575:-1 gene:SETIT_028765mg transcript:KQL26018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRREPAASPFRDLSNLLTSTPNPKPVPASPHFFTATKTPLQASTPTPLRRRRPGNGTPAPTRFGRHLRALEVDQSRSARRAEFGRERALRAFADSASSWLSLLLRDPAACGCSPAATGSTAAARPCAVGKRDALDGERGRGGRSPKRRRGGGDRRGERRKEMTPTMVAALRDSLREVCSLEDVTERMEKYMSKDACEEVLVMMFQICKNIDDGRLKMKAHCPLATDLRLKEKATRIFMCYNPDWLRIGLYIVLGGDSLLQSGLGKRDKEFHFLKLILEKQMFSQIMTAKSSSHKKVVEGHHVQGYSESLGNIILKRIFLFVAALDRAKIESALPLEAGIDGLDGGSPLLFYHQGQVKSSRQIIQDSLGEAMHGEGDLLMHLTTMGYKLNYQQTALSEYDFTIRSLFEDLQDGIILCRVVQLLLSDSSIILKVIAPSDTSKKRLSNCTTAIQYIKQAQVPLSDSDGVTISAEDIAAGDKELSLSLLWNVFIHMQLPLLASTSLAHELTRLSVPVMSMEQRMSENKSHMGLIYDWVHVICSKSGITVGSSSQFDRRTLNCLMNYYLNIDIFPHKERQAGCREDLFTCHELGTSTDITSCPSSKMGQDIPASGILADGVLFDEKSAIILLAFLSSHLTNDEKLDQLKDLINMRLDHESPVTKISARHRSRGKNGVKYQPPKTDNKDGSYAIQGSTTSPLSMFESNCKSTASSQLCEVETSNVISVSKLVSEDEMDCGSNISSQAFFEHGSLVSTRIDLPVRKESVAAQRIQSAYKESVAAQRIQSAYRRFLYNRNLRITAAIKIQSHWRCYSVRNSFTKQVRNISVRTSVISIQSFVRGWLARKQLNRIFCCVNIIQRWWRKVLFLQLRKRAVIVIQAHFRGWAARQTASRTRKSIIIIQSYVKGYLVRKASKQEVAHIRSRLQKSSALVDDSTATEYSKKCCETLVTDGAVDILLKQIHLLNRGIPDQEVLKQVFLTLRNIARYPHLRQVLVNIPESAEIIFQELLRNKAAVFFIASDILKKLCESKEGHETARALHHHIKRLRSLVQDLEKKVDLDKRNGRTGAVKENNLRQLREAATLYHLLTCDT >KQL25024 pep chromosome:Setaria_italica_v2.0:II:35364600:35365831:1 gene:SETIT_030384mg transcript:KQL25024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFWWHRGWSPLGGPCGEQQPTFKVFCKAYEGRCLAVRDGALALLPADPADEQQHWFKDTRFSLGVKDKEGKPVFSLVNKATGLAVQHSLGPYRPVRLVKFNPEDFDESVLWTESGHLGRDFGCIRMMHDVCFRLDAFTIDDEGEYHDGTTLVLSDLAGGDTRSWKILYWNDEANTTLAGLEAEPTCRIYCKADESFSVTICDGAVCLAPTDPNDVYQHWIQDKRPGNMIKDQDGYPVFALVNRVTGDAIRGSERDGRSTKLVPYNPFYFDVSVLWTTSWDMGQGFRCIQMVDNIFLKFDTFHGDDTSVVLSHWREGDNLQWKIVPWCKSPYMYSELVATAFA >KQL25455 pep chromosome:Setaria_italica_v2.0:II:38301902:38304898:1 gene:SETIT_030069mg transcript:KQL25455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGLRRRGADRPVPLALLLVLVAAAGKGKQGAHAGSSSSGRLQFRRDSGTFKVVQVADMHYADGRTTGCEDVPPSQVAGCSDLNTTAFLYRVFRAEDPDLVIFTGDNIYGADSTDAAKSMDAAIAPAIDMKLPWAAVIGNHDQEGTLSREGVMRHLVGMKNSLSGLNPEGVEIDGYGNYNLEVSGVEGTSLAEKSVLNLYFLDSGDYSTVPSIKGYGWIKASQQVWFQQTSSSLQRKYMNENPKQKEPAPGLVFFHIPLPEFSSFTAVNFTGVKQEGISSASINSGFFASMVEAGDVRAAFIGHDHINDFCGKLSGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTYNGEWRGVKSIKTWKRLDDPHLSTIDSEVLWNRGSNGRRKKNPDGSNS >KQL25000 pep chromosome:Setaria_italica_v2.0:II:35220926:35221323:1 gene:SETIT_033003mg transcript:KQL25000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELKWCELQSCSTRVQQSEISFIINEAKDHGQLLESWEVVQVRRECNLVAHELAQLARRNIHTACWLGQAPTCVLDLVTNECNHLPV >KQL23298 pep chromosome:Setaria_italica_v2.0:II:10606261:10609771:-1 gene:SETIT_028992mg transcript:KQL23298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWLLLCCLIASSLAAAAAGDGGNQVYIVYMGAVPQRASPNLLQESHLRLVSTVLKRGRRAGSVVVRQYKHGFSGFAARLSEVEAAALRRKPGVVSVFADPVYHLHTTRSWDFLQQAAVKIDAAARRRGAHKPAAAAADPSSSSPDTIIGLLDSGIWPESPSFNDAGFGPVPGRWKGVCMAGDDFNSSNCNRKLIGARYYNESDVRGPSQSGGGSPRDDVGHGTHTSSTAAGNAVAGASYYGLAAGTAKGGSASSRVAMYRVCSEEGCSGSAILAGFDDAVADGVDVLSVSLGASPYFRPDFTSDPIAIGSFHAVAKGVTVVCSAGNSGPAAATVVNAAPWILTVAATTIDRDFESDVVLGGNNSAVRGGAINFSNLDKSPKYPLIDGAAAKESSVSDAESASHCEPGTLDSGKVQGKIVLCNHSEGDTSKVVKADELQSAGAVGAIFVNDAERSVATTYLDFPVTEVTSAAAAAIHKYIASASQPVATITPTATVTEYKPAPVVAYFSSRGPSAQTGNVLKPDVAAPGVNILASWIPTSSSSLPAGQKAASQFKLVSGTSMACPHVAGAAATVKAWNPTWSPAAIRSAIMTTATQLNNDRAPMTTDSGSPATPYDYGAGQVHPTAALDPGLVYEAGEDDYLHFLCNYGYDAPKIKLIAASLPDGFACAANASAALISDLNYPSIAVSGLGGKGGSRTVTRAVTNVGAQEAATYTVAVSAPAGLNVKVTPTKLEFTKSAKKLAFQVTFSGGHDAAAKKGAMSGSITWSDGKHLVRSPFVVTS >KQL25167 pep chromosome:Setaria_italica_v2.0:II:36397879:36399694:1 gene:SETIT_033257mg transcript:KQL25167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIMYHIHSLLPLRDAARPAFVSHVFLNSWRCRPNLCLSMKALGFKASSYGNDKASRDFTKIVDHILKKHSGFGLKTFRLAMYGCHNVNACHLDSWLHLALILGVEQLILEFPSMIRYNFPFFCTFRPTVRLGCFRSLKKLHLYYVSITGDELGCLLPNSVALEQLELRYCKDIICLKIPRVLLRLSCLKVFACDNLREIMSEAPNLSSLCFEWFDRHVTISLGEALHVKKLEIPCFRSFNYAQLPTIVPNLEIRDSITVSIDHKPPQLNTSEVPIPGETHGPAYDYLSVSSFLGASPCLETFILRIHVSISHLAKIYSVYLVMDSSIFAWFSIFRYRKPAWSTACLPEIPRFCVGCQNTVMRSSSVCRSPASTLQRAFWNLELACYVLETATSLERLELGTTYCPYWFTKSKHASCYLVAIRTYIEGKVPSTVRLDVQGPWTRTGGSANS >KQL26759 pep chromosome:Setaria_italica_v2.0:II:46168003:46169585:-1 gene:SETIT_030309mg transcript:KQL26759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSIHTAITPSTLDNLLLNSNESFLFTGKLVKHGGVPDNILDGRDLVEREVLPPPPSQVENVRVVADLDPLSKGIEVDWEVMGVIWKVFNSSQSRSTPFNTFRTEQALNVNGNTKSHEDVKDVESGMTRESTACHNSGDDGVNTNGDTGCQDHVIDIAPQDDADPKNYCVVCTHPSEWVAIGRCGHRVVCRKCMVRIRFFHRNKRCCICRTRCPKVIVAKRDASTDILSTLPLFALREGRVGKLWYHRLTAAYYEDEKEYNAARVACDGILSPFFRPWYWFVWFFGYSLTMGVVFGIASAAGTKHMSRQVRAYALSICVAMSIATTIWSAIKCNQDPLEQERFRRIEKEERNFF >KQL22850 pep chromosome:Setaria_italica_v2.0:II:5811765:5813799:1 gene:SETIT_029456mg transcript:KQL22850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLWSGLNSGIVLSLLAVVWTMLWQGLQGLQLQHLFGRHSRRISRRLAAALDPYLTVTVAEYDGGRMRRSDAYKEAQAYLQRATREAGGGVRHLKAEPDKDPDRLVLSMDDNEEVADEFKGATVWWLAYTAPPREDGGGSPYFWGRGAARAERRFYRLFFLERDRDLVLGEYLPHVRREGRAVMVENRQRKLFTNISGDTWDSDGSWSHVVFEHPKTFATLAMDPARKKEIMDDLDAFRKGKDYYARVGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDVYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKKKKKKADGDGQSKDGGEKKDGAEAGKEEEEKADDKGASKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCCFESFRFLARVYLDVDAHPLFDAVAALLREVDMTPADVAENLTPKGPGEDADSCLAALVEALEKAKEKALAKKAKEDAALAKKKAGGKAKEVAADETDDDDGDDDDDDDK >KQL22849 pep chromosome:Setaria_italica_v2.0:II:5811765:5813799:1 gene:SETIT_029456mg transcript:KQL22849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLWSGLNSGIVLSLLAVVWTMLWQGLQGLQLQHLFGRHSRRISRRLAAALDPYLTVTVAEYDGGRMRRSDAYKEAQAYLQRATREAGGGVRHLKAEPDKDPDRLVLSMDDNEEVADEFKGATVWWLAYTAPPREDGGGSPYFWGRGAARAERRFYRLFFLERDRDLVLGEYLPHVRREGRAVMVENRQRKLFTNISGDTWDSDGFWSGSSWSHVVFEHPKTFATLAMDPARKKEIMDDLDAFRKGKDYYARVGKAWKRGYLLYGPPGTGKSTMIAAMANYLDYDVYDIELTSVRTNTDLRKLFIETTSKSIIVIEDIDCSLDLTGKRKKKKKKADGDGQSKDGGEKKDGAEAGKEEEEKADDKGASKVTLSGVLNFIDGLWSACGGERIIVFTTNHVEKLDPALIRRGRMDKHIEMSYCCFESFRFLARVYLDVDAHPLFDAVAALLREVDMTPADVAENLTPKGPGEDADSCLAALVEALEKAKEKALAKKAKEDAALAKKKAGGKAKEVAADETDDDDGDDDDDDDK >KQL27331 pep chromosome:Setaria_italica_v2.0:II:49197551:49197999:1 gene:SETIT_032773mg transcript:KQL27331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEAKRMCDWMKRENKLITLSLSQPRELYRSCLIRMKALDVMTRMLHGCFFSSSKQNTVRTAATSGHPNALPEEGASSQASVEDSPKRRPKPNKPDAVPEEGAGSQASVEDSPNPKRRPKPNKT >KQL22332 pep chromosome:Setaria_italica_v2.0:II:1801433:1801903:-1 gene:SETIT_032293mg transcript:KQL22332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGGGKHLHHHVSWLWRAPRRALCAARDLYVRSLTGCAGYLPGDAAFGYPSFAAAPPGFRVDSFASSRRSSSGGADADEDLRELIRAASQRRAAEAAAERHPAAVPRSHSVAMARIDEDRPCDFASAAAVVFPRSRSYAAGAAGRRGRVAALAA >KQL23638 pep chromosome:Setaria_italica_v2.0:II:15603864:15604022:1 gene:SETIT_0306121mg transcript:KQL23638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAATTCYVALHPQVKGVSGKYFCDSNLYEPSEKAKDMALAKRLWDFSIELIT >KQL23637 pep chromosome:Setaria_italica_v2.0:II:15603864:15604022:1 gene:SETIT_0306121mg transcript:KQL23637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAATTCYVALHPQVKGVSGKYFCDSNLYEPSEKAKDMALAKRLWDFSIELIT >KQL26413 pep chromosome:Setaria_italica_v2.0:II:44191998:44193546:-1 gene:SETIT_030508mg transcript:KQL26413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAASMTSGAAAAGGLGRTPTWKERENNKRRERRRRAIAAKIFTGLRALGNYKLPKHCDNNEVLKALCREAGWVVEDDGTTYRKGCKPPPGMMSPCSSSQLLSAPSSSYPSPVPSYHASPASSSFPSPTRLDHSSGSNTNNPGAAAAASSLLPFLRGLPNLPPLRVSSSAPVTPPLSSPTAASRPPTKVRKPDWDAVVVDPFRHPFFAVSAPASPTRARRREHPDTIPECDESDVCSTVDSGRWISFQMSAATTAPASPTYNLVNPSGGASASNSMELDGMAAADIGRGGPAEFEFDKGRVTPWEGERIHEVAAEELDLTLGVGTK >KQL23364 pep chromosome:Setaria_italica_v2.0:II:11325263:11329330:1 gene:SETIT_033334mg transcript:KQL23364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAGPPPGCPSMCGDIDIPYPFGIGDGCSKNKDFAISCNNSYNPARAFIGRGFEVKNITLETGEMRVFTGVAHICYNSSNTISSIDSWKYDFTGSSFLISSARNEFTGVGCNTVALLTGEDMEDDGRYLSGCITTCASLGDAADDVNCTGNGCCQTATPEGLDTVTVGWNTLATNTAWNYSSCSTAFLAEKGWYNFSLNHLDGTGETSFHKQLGIRTVPLVLDWAMKKDGACLSANSTRVHVRNGLWYRCKCSDGYAGNPYVVGGCENIDECKLLKSDPETYGKQYHLPSGSKCVDTDGSYEVKCNFGRIGSECRLVFSATVAAVYMEILILHVFIEHKRRMRSGFFDKNGGQIMKSMNMNTFTELELENITNHYDTPIGKGAFGKVYRGTTHENLRVAVKRSIVEGMKPSHDHDLVNEIAIQFQVSHANLVRLIGCCLETDVPMLVFEYVSNGSLYNVLHCGSTPRALPLSARLDIAIGSAKALAYMHSHGGRSLVHGDVKTGNILLGDNLTPKVSDFGSSKLESIARHANWCVMGDMSYIDPVYIKTGRFTQKSDVYSFGVVLLELITRKTARYGNNNSLPVDFVKSCKEDGNGRMMYDRDIISSDGDAHSPRYVECLDQIGMLAVRCLREDKDERPSMAEVVEELIQL >KQL22227 pep chromosome:Setaria_italica_v2.0:II:727817:731644:1 gene:SETIT_033044mg transcript:KQL22227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAAAAAAESPPRAGSYISSTGAGALEDRLSALPDDVLILLRLDTTAEATRTSVLSHRWRGVWTLLPELHFHLATNGHRIREVLLTPDAPPPLLCISVIVADSAPDSLGAWIPEAARRLSGDLGYYNMFPGMEEEEEEAGERGAVQLPCFENATGINLNLGFLGLALPFSGTFARLIKLTLHRVRLHGPDELGDVVSSQRCPRLQKLSVRHAFGVDKLIIHSESLLQVDLWRLEGLRQLTVNKNQPVANISAPQLVLLEWRDSYDPSSVQLGSLGQLQRLKTNFFRVYCEHDSGDNRGVLKLLQQFRFILILNITLLYLQVSFSSLITRHCNVPYSMEDITMLPHTMFLTMEIMNKGHSFGPSTFHMLRLCTDIRRLSLVLHTSRGLESSCQSGCNCDQPTNWKT >KQL24619 pep chromosome:Setaria_italica_v2.0:II:31789959:31791020:1 gene:SETIT_0300972mg transcript:KQL24619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAHLLCPAPAASPAKSRQFPTRGRRAKPSRCFSCRASLGPDGSLAMLGVPTPRPAPPMMRPYLREHSCLIFPPPRGRRPLAVVKFLGGAFIGAVPEVTYGYLLELLAREGFLVVCVPYNVTFDHEAAAREVFGRFHACYDALLASGLPEAGLTAPDIAELPLYSVGHSNGALLQLLVGSYFSERIPKANAIVSFNNRPASEAVPYFEQ >KQL24617 pep chromosome:Setaria_italica_v2.0:II:31789959:31791020:1 gene:SETIT_0300972mg transcript:KQL24617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAHLLCPAPAASPAKSRQFPTRGRRAKPSRCFSCRASLGPDGSLAMLGVPTPRPAPPMMRPYLREHSCLIFPPPRGRRPLAVVKFLGGAFIGAVPEVTYGYLLELLAREGFLVVCVPYNVTFDHEAAAREVFGRFHACYDALLASGLPEAGLTAPDIAELPLYSVGHSNGALLQLLVGSYFSERIPKANAIVSFNNRPASEAVPYFEQ >KQL24616 pep chromosome:Setaria_italica_v2.0:II:31789959:31791020:1 gene:SETIT_0300972mg transcript:KQL24616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAHLLCPAPAASPAKSRQFPTRGRRAKPSRCFSCRASLGPDGSLAMLGVPTPRPAPPMMRPYLREHSCLIFPPPRGRRPLAVVKFLGGAFIGAVPEVTYGYLLELLAREGFLVVCVPYNVTFDHEAAAREVFGRFHACYDALLASGLPEAGLTAPDIAELPLYSVGHSNGALLQLLVGSYFSERIPKANAIVSFNNRPASEAVPYFEQ >KQL24618 pep chromosome:Setaria_italica_v2.0:II:31789959:31791020:1 gene:SETIT_0300972mg transcript:KQL24618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAHLLCPAPAASPAKSRQFPTRGRRAKPSRCFSCRASLGPDGSLAMLGVPTPRPAPPMMRPYLREHSCLIFPPPRGRRPLAVVKFLGGAFIGAVPEVTYGYLLELLAREGFLVVCVPYNVTFDHEAAAREVFGRFHACYDALLASGLPEAGLTAPDIAELPLYSVGHSNGALLQLLVGSYFSERIPKANAIVSFNNRPASEAVPYFEQ >KQL24401 pep chromosome:Setaria_italica_v2.0:II:29577764:29578537:-1 gene:SETIT_031463mg transcript:KQL24401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAVVVHSGGCHCRRVRWQVEAPASVVAWICNCSDCSMRGNTHIIVPTAKFNLQAGSEEFITTYTFGTHTAKHTFCKVCGITSFYSPRSNPDGVAVTVTCVDPGTLKHVEYRKFDGRNWEDFFKHSDISQFSKERAE >KQL26698 pep chromosome:Setaria_italica_v2.0:II:45830736:45835545:-1 gene:SETIT_029268mg transcript:KQL26698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCHAKPLTHEADSSPPRAAPATPPPGCATPATPGKKHWAVSPFFPFSTPSPSPAHRLFGGSAASPRKSSPAHPGAGAGSAPTTPARRLLRLPFPPPSPAKHIRAALARRHGPPRPSIPEEGGGEGEGGGGGRGLDKGFGFNKGFAAKYDMGDEVGRGHFGYTCAATVKKGARKGESVAVKVIPKAKMTTSIAIEDVRREVKILKALAGNKNLVQFYDAYEDTENVYIVMELCEGGELLDRILSRGGKYSEDDAKAVLVQILNVVAFCHIQGVVHRDLKPENFLFTSKDENSHLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRCYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSYNEAPWPSLTPEAMDFVKRLLCKDPRRRMTAAQALSHPWIRNYNDIKLPLDILIFRLIKAYIRSSSLRKAALRSLSKTLTVDELFYLKAQFSLLEPDRNGCITLDNIRMALTREATDAMKESRVQEILVSLSALQYRRMDFQEFCAAAVSVHQLEALDRWEQHARSAYEHFEKDGNRAIVIDELASELGLSPSVPLHVVLQDWIRHTDGKLSFLGFVKLLHGMSSRSLSKMR >KQL24968 pep chromosome:Setaria_italica_v2.0:II:35092339:35092671:-1 gene:SETIT_031976mg transcript:KQL24968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTPDLTRPRPERYPARSKSASPFRNACAPALSPSGTGRRRRRAEMCCCPSKACCICTLIILVLVAVGLVFGFGIYTRGFHKLTSNIHLDDHHSAGGSFRAYGHFAPPPPY >KQL25638 pep chromosome:Setaria_italica_v2.0:II:39533519:39534458:-1 gene:SETIT_031108mg transcript:KQL25638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLASAEHLAAVAAATPPPPGASAGVHTDTFLILAAVLCFLLCVVGLAFVARCSRLCNPSAYSVDAEHGDGAAMPHAAAKRKGIEEEALRKLPTVPFEAGKEGDGGEGERPECAICLAEFASGDEIRVLPPCGHAFHAACVDTWLLCTSTCPSCRSALVVAPAPALVAASSDPLQCCASAQASTEPEYSVTVAVAVVERGPCRASVS >KQL25440 pep chromosome:Setaria_italica_v2.0:II:38213399:38217588:-1 gene:SETIT_029123mg transcript:KQL25440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPKQRLPYSTAGAGGGGRRAASGSGVLPPVVVLVFLFVVAPSLFFVVRNGGRGHVHVASDPKGRNGDQEAVTMKNLKSILPKEVIDAITASQQEAGTLSLDFFRNHESPSWKTDDLVTDMSMYVDGKANDENSVPEHDLPTNKSPKDSDEHQVDTAAKIARRKLREKRREKRAMELVRKDDEARVKLENAAIERSKAVDSAVLGKYSIWRKENENENSDSTVRLMRDQLIMARVYSALAKSKNKSGLFQELQTRIKESQRAVGEAAADADLHHSAPEKVRAMGQVLSKARGELYDCRAITQRLRAMLQSADEQVRSLKKQSTFLSQLAAKTIPNSIHCLSMRLTIDYYLLPLEQRKFPRSENLENPSLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKADRPTTLSAGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTGLWDVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIAQNFDPNACGWAYGMNIFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPSIDRSEIDGAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYIRGCNLSE >KQL23005 pep chromosome:Setaria_italica_v2.0:II:7299208:7302425:1 gene:SETIT_029875mg transcript:KQL23005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHDLTARLAPHLDRHLVFPLLESLQERGLYPEEEILAAKLDLLGGTNMVDYAMAIHRSLHGTDEVPGGMVARRAEVVDRLVALQQTGPPALPLYAFLRDPQLVQLLRPDKEYNVHMLQERFQIGPDQIEALYDDAKFLFECGSYSDAAAYLYQYRVLSTNSERSVRALWGMLASEILNRNWDAALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWSLFIFFNHENGRNGITDLFFQDRYLNAIQTNAHHLLRYLAIAVVVNKRRKNMLNELIKVIQQEKRSYKDPITEFLECLYVNYDFDGAQQKLMECEQVILNDPFLGKRVEIGNPNTVPMRDEFFENARLFIFETYCRIHRCIDISILAEKLNMRYNEAELWIMNLVKSLKLDAKIDSASGTLIMTVNHADVHEQIIERLKNLNTRTYMLAQSTVEPAQAA >KQL25127 pep chromosome:Setaria_italica_v2.0:II:36196933:36197381:1 gene:SETIT_033724mg transcript:KQL25127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWITYSITFVVKKLECLEFFRRKLLRCHLKMGVI >KQL25770 pep chromosome:Setaria_italica_v2.0:II:40401710:40404672:1 gene:SETIT_029578mg transcript:KQL25770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRLRVLAAVVALAAAGCGAFEFQEATVEAIQLGFRNGSLTSAALVRFYLGRIARLNPLLRAVIEVNPDALAQAARADAERRASGGRLCAGGLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVPRDAGVVARLRRAGAVILGKANPSEWSNFRAVKEGWSARGGQTMNPYDLSATPCGSSSGPGVAAAANMAAVTLGSETDGSILCPSSFNSVVGIKPTLGLTSRSGVIPITPRQDTVGPMCRTVSDAVHVLDAIVGYDKLDAEATGAASKYIPRGGYTQFLRADGLRGKRIGVCNVFFVGKDKEHLDVYSKHLDTMSQHGAILIGDLDIATNFSDLSDKEMLLMKAEFKLSLNAYLSDLLHSPVRSLSDVIAFNNAHPVEERLKDFGQNDLLDAEKTNGIGARERAAIRRLKEISANGLEKLMKEHQLDAIVALKWAASSVLAVGGYPGIAVPAGYDKEGVPFAISFGGLRGYEPRLIEMAYAFEQATKVRRPPTFKH >KQL27258 pep chromosome:Setaria_italica_v2.0:II:48856584:48857138:1 gene:SETIT_032497mg transcript:KQL27258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVRTVLFLLPPVSVLLVAAVRCLCRVAARKLSALARAQFPSGGSSSSPSFRYYLPVGVEKQGGDAAMRELPVALYYRRRRSCCGEEQDEEQQQAAECVFCLSVIEEGSEVREVKCRHLFHRCCLDRWLLARPLATCPLCRCRLLAAARAPWEEDYGEGEDSDSDMMLFMACVHSRSSWLWPS >KQL26968 pep chromosome:Setaria_italica_v2.0:II:47176217:47179562:-1 gene:SETIT_029449mg transcript:KQL26968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAENDAPPRQEGARGRGAAPAPVPESVEKVVVVDQAPPPPVARLQAQRPLAPLQVTTQAPPPPMSVASGAVEPPPQVAAYQPIPQAPQQGPLPSLNSHKYTNGITLCVFLLHLAAAGFTIGFFVFKIVQENTHHPQSRNARRERSLLREWLLPVEGAVALSIVLAFAWQKAVRTWPRAMVRVILWSSFGVTLGVGALLMIFSTLATVGLGVAMVVFSIGTGLYACWVTRRVGFTARVFERAVQPVDKFRGLNGPAYLMVAAGFLWISVWCVAVIGAVNFRFPGLTILALVLSLAWTAEVMRNVANLTASRVIALYYLRGMQSSVQFSFQRALSYNLGSACLGSLFVPTIEALRILARGLNLLEGEDEFMFSCAHCCLHVMNGVFSFGNSWAFVHIAAYGRGFVQASRSTWGQFEALPGMAALVDSDITSSVCFLTGVTSGALCVALAGSWAFATHRHYTATVSLLAFFVGYLMTRIGMALPQACVGCYYVCYAENPTSQLFDNTIPAQMRKMQEGCDPVVVPTPRFPQQRASA >KQL24814 pep chromosome:Setaria_italica_v2.0:II:33473289:33474510:1 gene:SETIT_030859mg transcript:KQL24814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLAQEFQPKPPAASHYCKSLSSLIRETYAHCHVPCVRVPAGAAGWSSGEDSDDDSALDEALDTKQVVLTEMRNRQMKKRSRCSLDSPTLPLSSAAFAWSYTPLDPRTVLEKVSSPKTCVVVEGPEEKAKEKEKEEVAEEEEADDDGDYCDADDESEAFFSVKSFFTRSTSRAATVASSSAGVMVMMDPPPPMLRSPEAWERFRDCEGWPFGLCRRPAVLPLPPLPSTPADSWKWRKSVSSLAASPARAYHAHKLTSK >KQL25983 pep chromosome:Setaria_italica_v2.0:II:41695604:41696071:-1 gene:SETIT_032210mg transcript:KQL25983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSHLSTAWSSSALASSASSTRRRSSAPRSGGSGLVVRCSLRELRSRIDSVRNTQKITEAMKLVAAAKVRRAQEAVVSSRPFSEALVEVLYNMNQEIQTEDIDLPLTRTRPVKKVALVVLTGERGLCGSFNNNVLKKADTRIDELKQLGLEYTSS >KQL24883 pep chromosome:Setaria_italica_v2.0:II:34330244:34331712:1 gene:SETIT_030093mg transcript:KQL24883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTLLRSNGRLLPLFLLLLAVADFTAAQGPQQTNGQTPYTNFSPSMAIVIVVLIAAFFFLGFFSIYVRHCYGDGSSGYSASPAPNGAAARSRRQRGLDAAVLETFPTMAYADVKAHKAGKGALECAVCLSEFDDDETLRLLPKCSHVFHPDCIDTWLASHVTCPVCRANLVPDPNEPADDDAPAELPPAPAQELPSPASAPAAPAAVVIDVEETEEQRIIREEADELMRIGSVKRALRSKSGRAPARFPRSHSTGHSLAAAASATGSAAAERFTLRLPDHVLRDLAAAGKLQRTTSLVAFRASRGGSTRRGASVRTIGGEGGSSRGGRSIRLGQSGRWPSFLARTFSARLPAWGSRSTRRGVEADGSAKGGRAAGAGAKSVEYDDQACAAGQRV >KQL25028 pep chromosome:Setaria_italica_v2.0:II:35429381:35433308:1 gene:SETIT_028925mg transcript:KQL25028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAADLRRVSEDELRKCDLFRCFCCWRRRCSLGIPRIGPRSEYLPANADKGRMLRRGLEGSGAPYQLYLPWGEEVKEKEDEGRRRGRTGRRRTALGGGGQGRRRERPLPTGLASATAEGSPLWSVLVGCSSSDHPSHGLRIHYFRVTGSGRVIGHNNDLLELFCGVSPIDDRHTMFPVARAAIAPADRHLYIICKHRPAAGSSSTSGQQAHEKVFPPKAFSLNTADKSLSTLPPLPFTRGSWEAISACGKLWVPVVLVKRGSYGEAWSLIVYKLIGDCWSEVNSVEFPYKHSLERGYSGTLLQGYVVLHTNILLSFSNSSFFLFKCTSGDLSRVDTNGTSQYIPITGKAVYVKLHDMIYFIRGTKLFAYKYTPKWDKLLAAPIEIGNIWPYDKEGYGFVEHLCGCATRHALITTFRVTGVTDEFGCFVPNGVDILHSTCRQIDMLKSNASGDTCYDTFCFLQKCQDRGAKFHPSDLSETRQFYCPQVEKFSEMLTCCREFLNGKPEPAPGVVALDFCKMATRSDFYFICQADQCSLLYQISTSGGKLTCGDEALEAVLRLDTVRFGDIEIDDLSAWYFVHRGSTLYVIPSSPECNHYVVDVERKSYRLCKSKRSKLFFSAVFRAGQYVVALCDTLQYVYILRDNLQWRRQKTASRSVDVSQKVKISGFVDLINDAFMISDFDTDEFFLFDLKRWEWFSVRSWFCFLSGRCIFAEGFIYTCSDEGLAAFELFYDDTSYWLGLPIMLDFSWKYICGNRRFLCFDSICKCEIGDTIVFCVVQGYEEAPPSTSSHTLAATTVQVKLVETARGTKVPVRVDHVDIFLSSIVEEGWILTNYAFAL >KQL22778 pep chromosome:Setaria_italica_v2.0:II:5220008:5221417:-1 gene:SETIT_032919mg transcript:KQL22778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHNRTNSPAGGGAVLVAIPIVSGGRFAVGGMDVGDVLTAERGAAADGLPDDALVEILSRVPVRSLHRFKCVSRTWRDLIADPLNRKRLAQTLEGFFCSAGTGADFHGRFISLPGRSAPLVDPSFSFLTKLPGIENFKLLGSCNGLFLFEHGWNSGIGGPDYAVCNPATEQWVTVASSGCPLDPPSEAADTYLIFDPAVSPHFHLVHMCQKDCMGEIEVRAYSSETRVWSDRTSQQSRCQDEGGLEQLVNGGAILNPTWGSAFVNGMLHLVIYHVAGEYVIAAEYVIAAMDMEGKTCRMITWPDQSVFLPFVGQSQGHLHCVGTLREWEGDCLKWAGLSIWVLEDYDTEEWVLKHRVSFLELFGQMNSLDSFNSIVLSIHPDRNLIFILQHSNQKLISYDMDSKELHAFHTLGHNFESFTPYVPNFLEPLVLTNEH >KQL26690 pep chromosome:Setaria_italica_v2.0:II:45777468:45778999:-1 gene:SETIT_029860mg transcript:KQL26690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMGSVPGMTAASPWPCVVSSEVNLGRSLVPSLRRSGGGGYAESRRNWGGDGASRLTEELRDREEDGELGTHPTILAVRAGSNASLLWLPFTAFSIIKIITHGPTIVSLSHLQLPPFRRRRRHHRRNAMVVFRLRAALSRILRSPSRLPTSSHAPPPLVFPHRLLASSAAAASSPGSFAVEEYLVSRLGLTQAQALKAAAKLSHLRSSAKPEAVLAYLESTLGIPAADVGRAVVIRPRFLCTNVEETLARALPTCATSVLRWCSDFFTVDLDKTTRPNVAFLRQCGLNISEIAGGSLYYARLFTMNPESLKEAVQRVQELGIARGAGIFTLALAVVALTSKDVQGNLDFLMKDVGLEVSYIVRRPALPNYSVERQLLPRHCLIKVLKEKGLLKGKLDYYLTAAMAEKIFVGKFVRPFKNHVPGLTDDYASKCLGEATVE >KQL25368 pep chromosome:Setaria_italica_v2.0:II:37629742:37630108:1 gene:SETIT_033671mg transcript:KQL25368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSFRRLTSLHTLTEAHPSLLQLSPLTPPPA >KQL25408 pep chromosome:Setaria_italica_v2.0:II:37918500:37921014:-1 gene:SETIT_031983mg transcript:KQL25408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAPRAAAVAGRPKSTAPGSHLSPASLHAWDGMRDGDADRYAGGVTVRVGSEKPAEPGEDFALPRERHARPDPLCRRHPPAPAEYPRDAKPKTSRPPSAPAASASAGAATPNLTPRAGQRRRRTYIPRAQIDRSIGPMAIGGAEAGNGGGGGAGGGAVGDKRSVLVTGGAGFIGTHTVLRLLERGYGVTVVDNFHNSVPEALDRVRLIAGPALSARLDFVLGDLRNIDDLEKVFAARRYDAVIHFAGLKAVGESVAHPDMYYDNNLVGTINLYKTMKKHGCMKMVFSSSATVYGWPEVIPCVEDANLQAANPYGRTKLILEDMARDYHRADPGWSIVLLRYFNPIGAHSSGEIGEDPKGVPNNLLPYIQQVAVGRLPELSVYGHDYPTRDGTAIRDYIHVVDLADGHIAALNKLFDTPDIGCVAYNLGTGRGTSVLEMVAAFKKASGKEISTKFCPRRPGDATEVYASTGEG >KQL24805 pep chromosome:Setaria_italica_v2.0:II:33381086:33382321:1 gene:SETIT_031397mg transcript:KQL24805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACVNMYNPEHHQHQPAPFMAPRMSFSSDFAVEPPPPAAARGGAAPGDTDFEFSVGSHPMMAADQLFSKGRLLPLREAPHGGAGGGRPVTLRDELRADERHGRVPRAPNIRWKELLGLKKAPKKQAAADAGTSTDAQTDLGGQQGGTRD >KQL24607 pep chromosome:Setaria_italica_v2.0:II:31726258:31730149:-1 gene:SETIT_030521mg transcript:KQL24607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTATDEAALEARLQALRQRLGKKQHFEEAVANLAAVVRDRYAGASPALRKSMYSTVCRVATVLQTRYTAPGFWRAGLNLFVGAEKLVTNPAEKEQLKSCISRAREHLDEKENEDSMPSNREADTRFLFEGHLTVGQEPPPPAWLVADNLARELSILTESSGDQDGNNNRRESRAEDIAPAIMNFLETISGDRDLETALEESLQGIIEHPPRAPPASKEVVANLPVLTVTEEVIARLGSETECAVCRENLVVGDKMQELPCKHLFHPPCLKPWLDENNSCPICRHELRTDDHVYESRKEREKEEEEDRRGAANAVRGGEFMYI >KQL25387 pep chromosome:Setaria_italica_v2.0:II:37747256:37747796:-1 gene:SETIT_033773mg transcript:KQL25387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRIKEEMVGESASPCSSPSTSSDQQTVWTSPPKRPAGRTKFRETGTPCSAASGAAATPGGGCARYVCRGGAAAGSGSAPSTPPSRPRARTTPPCSPPPARAQRMLMEPPSAVTAFCDDSVAEVPLWNY >KQL27290 pep chromosome:Setaria_italica_v2.0:II:49030076:49034648:1 gene:SETIT_028779mg transcript:KQL27290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLRLLRRGFLSMLPSKRSAGADGGQPKRSKVGESPPAATASNGSRNGAPPEIDEDLHSRQLAVYGRETMRRLFASDVLVSGLNGLGAEIAKNLALAGVRSVTIHDAKNVDMWDLSANFFLSEQDIGKNRAVACVSKLQELNNAVLVSALTEELTKEHLSKFQAVVFTDISLDKAYEFDDYCHSHQPPISFIKAEVCGLFGSAFCDFGPEFTVHDVDGEDPHTGIIASISNDSPAMVSCVDDERLEFQDGDLVVFSEVQGMVELNDGKPRKVKNARPFSFCIEDDTSTYGVYTKGGIVTQVKEPKVLRFKALRDAMRDPGDFLLSDFSKFERSPVLHLAFQALDNFKKAHGRYPTAGCEQDAQSFLKFAADINEASVDSKLEKIDEKLLRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPKYQLDPQDLKPSNSRYDAQISVFGSKLQKKLQDANIFVVGSGALGCEFLKNLALMGVSCSSKGKLTITDDDAIEKSNLSRQFLFRDWNIGQAKSIVAAAAASTINPSLQIDALQNRACPDTENVFHDTFWDGLNVVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLGKERCITFEDCITWARLRFEDYFSNRVKQLTFTFPEDASTSTGTPFWSAPKRFPRPLQFSATDSSQIHFIMSASILRAESFGIAIPDWAKNTSNLAEAVNKVAVPEFKPKKGVNIVTDEKATNLSSTSVDDVAVIDELLSKLEECAKNLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPAKAMKHQDLSWTVWDRWSIKGDLTVAELVQWFSNKGLSAYSMSCGTSLLYNSMFARHKERLQKKVVDVAREVAKVEVPEYRRHIDLVVACEDDDGNDIDIPLVSVYFR >KQL27289 pep chromosome:Setaria_italica_v2.0:II:49029959:49034648:1 gene:SETIT_028779mg transcript:KQL27289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRSAGADGGQPKRSKVGESPPAATASNGSRNGAPPEIDEDLHSRQLAVYGRETMRRLFASDVLVSGLNGLGAEIAKNLALAGVRSVTIHDAKNVDMWDLSANFFLSEQDIGKNRAVACVSKLQELNNAVLVSALTEELTKEHLSKFQAVVFTDISLDKAYEFDDYCHSHQPPISFIKAEVCGLFGSAFCDFGPEFTVHDVDGEDPHTGIIASISNDSPAMVSCVDDERLEFQDGDLVVFSEVQGMVELNDGKPRKVKNARPFSFCIEDDTSTYGVYTKGGIVTQVKEPKVLRFKALRDAMRDPGDFLLSDFSKFERSPVLHLAFQALDNFKKAHGRYPTAGCEQDAQSFLKFAADINEASVDSKLEKIDEKLLRHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPKYQLDPQDLKPSNSRYDAQISVFGSKLQKKLQDANIFVVGSGALGCEFLKNLALMGVSCSSKGKLTITDDDAIEKSNLSRQFLFRDWNIGQAKSIVAAAAASTINPSLQIDALQNRACPDTENVFHDTFWDGLNVVINALDNVNARMYMDMRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPNEVNSFLSNPAQYAAAMRKAGDAQARELLERVSECLGKERCITFEDCITWARLRFEDYFSNRVKQLTFTFPEDASTSTGTPFWSAPKRFPRPLQFSATDSSQIHFIMSASILRAESFGIAIPDWAKNTSNLAEAVNKVAVPEFKPKKGVNIVTDEKATNLSSTSVDDVAVIDELLSKLEECAKNLPPGFQMKPIQFEKDDDTNFHMDLISGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVIAGEHPIEDYRNTFANLALPLFSMAEPVPAKAMKHQDLSWTVWDRWSIKGDLTVAELVQWFSNKGLSAYSMSCGTSLLYNSMFARHKERLQKKVVDVAREVAKVEVPEYRRHIDLVVACEDDDGNDIDIPLVSVYFR >KQL24938 pep chromosome:Setaria_italica_v2.0:II:34729174:34740288:1 gene:SETIT_029366mg transcript:KQL24938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVAPAVSLFRPRASARLPVLLAADSGAARMSPGAGGRSRVSRRSPEMSPPGAGRSAVRKHGSTEAAPRRPGITRVPKLGPAVSTKRAGRGKDPLVNLEVSHHRAVAAVRLLRIEKGKAFVDLLNDKANDSGDNEMGYVERTLGFSTRYLEDRDIRLVTVIVAGTVRWKRYLDYLIMSLCSEEKVFREMEPLLLQILRIGFFEILKLDVPAYAAVDENVSLAKVALRPGAGNMVNAILRKLVVLKETNSLPLPKIEGDDRAQARALSIIYSHPVWMVRRWIRFHGKDEALRLMNWNNSDPRFSLRVNTSKGYTRADLVKQLESLQVHYEESIMDEFVRIREGMQAVLQAGLLKNGMCAVQDESLVVSVVDPQPGETIIDCCAAPGGKTLFMASRLSGQGKIWALDVNKGRLRILMEAAKLHSLDDMITDIHADLRLHTKETTAKYDKVLLDAPCSGLGVLSKRADLRWNRQFEDLEELMCLQDELLDSASTLVKPGGILIYSTCSIDCEENEKRITAFVQRHPEFAIHSVCGYVPTEFVTDEGFYSSNPTKHSMDGAFAARLVRSMD >KQL25931 pep chromosome:Setaria_italica_v2.0:II:41327402:41332297:-1 gene:SETIT_029028mg transcript:KQL25931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDTGTVAAAAAIDEAYEFSAPRFFDFINEETEEAVRAAESWFEAAQSHAPSPFNPRIKESRAEAKVAILCDLAEAEEPALKEEPVEGVAGSAANHGADAIEGAPHSEAMLESPPADEMSVSPASQEEKEESPKSFEFLPPRDPAAKSDGASASTPKMQRPPPPPTIKVAPAVSTCARSTLKTEARTPKTQALCKAGPVAGSMSIKRSMVKGDLGTGKAAAAAADIVQENQAVKRQKLDDGKARQILNVKTRVLPHKGRADLAGTYEMRRAYEDVHSMKEVTPYVSTAELVKKFESGTRKLSISSSRSVSHEDASSQGRPKLVLTRPKEPELQTSHRVRAVRVKSFAELEEEMLAKIPKFRARPFNKKIAEAPSFPPLPRKTPHFPEFNEFHLKTMERATRYADTCSEISSADTIQSQSKPLKLTQPNPPRLHTAMRARPPSVKSSQELELEELEKAPKFKAKPLNKKILESKGDIGVFAHPKPQVTAPKEFHFSTDVRLGPPSVADLFDKLSLHSDCSSNNNRQDMPRLTRPNPFNLHTEERGHLKERQLEAQLLQKKMEEEKARVHKANPYPYTTDYPVVPPKPEPKPCTRPEGFQLESLVRHELEQQRLMEERERMEREEAQRRIIKAQPILKEDPIPLPEKERKPLTEVQQFALHVDERAVQRSEFDNMIKEKEKTYKRLREENEFAQKIEEEKALKQLRRSMVPHARPLPKFDRPFRPQKSMKQVTRPKSPQLQVDERGARRHAFIR >KQL23013 pep chromosome:Setaria_italica_v2.0:II:7329749:7333008:1 gene:SETIT_031236mg transcript:KQL23013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >KQL23011 pep chromosome:Setaria_italica_v2.0:II:7329749:7333008:1 gene:SETIT_031236mg transcript:KQL23011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >KQL23010 pep chromosome:Setaria_italica_v2.0:II:7330238:7332241:1 gene:SETIT_031236mg transcript:KQL23010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHW >KQL23012 pep chromosome:Setaria_italica_v2.0:II:7329749:7333008:1 gene:SETIT_031236mg transcript:KQL23012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKA >KQL27328 pep chromosome:Setaria_italica_v2.0:II:49160899:49165452:-1 gene:SETIT_028803mg transcript:KQL27328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWFRAASGAARLALRRSLATRAPTAAAATRCARGFHSTALRPRSAAPAPRAVPLSRLSDSFLDGTSSVYLEELQRAWEVDPSSVDESWDNFFRNFVAQASPSAGVSGQTIQESMQLLLLVRAYQVNGHMMAKLDPLGLDDRAVPEDLHLGLYGFTDADLDREFFLGVWRMSGFLSENRPVLTLREILSKLQQAYCGPIGYEYMHIPDRDKCNWLREKIETAKPRDYDKERRLVMLDRLIWSTQFENFLATKWATAKRFGLEGGETLIPGMKEMFDRAADLGVENIVIGMPHRGRLNVLGNVVRKPLSQIFSEFTGGTRPVEGEDGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQFYSNDADRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDLEAVVRVCELAAEWRQTFHSDVVVDLICYRRFGHNEIDEPSFTQPKMYQVIKNHPSSLKLYEQKLLGTGEVSKEDVQRIHDKVNRILNEEFTKSKDYVPNKRDWLSAYWTGFKSPEQISRVRNTGVKPEILKRVGQAITTLPENFRPHRAVKKIFELRAAMIESGEGIDWAVAEALAFATLIVEGNHVRLSGQDVERGTFSHRHAVLHDQDTGAKYCPLDHVAMNQSEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFANGAQVMFDQFLSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSSRLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHREFRKPLIVTAPKNLLRHKDCKSNLSEFDDVEGHLGFDKQGTRFKRLIKDRNDHKQVEEGINRLILCSGKVYYELDEERKKSERSDIAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYISPRLYTAMKALGRGSFEDIKYVGRAPSAATATGFLSVHVQEQSELVKKALQPEPIKFP >KQL25451 pep chromosome:Setaria_italica_v2.0:II:38281660:38285508:-1 gene:SETIT_031711mg transcript:KQL25451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRHPFSPRHHSPDLTRRVTVVCWRVVPARWRASDGGEAAREGMYAAAGLLPTSTALHGQARVPGSNAPAAGCRIKPP >KQL23076 pep chromosome:Setaria_italica_v2.0:II:8263237:8267371:-1 gene:SETIT_031089mg transcript:KQL23076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDSSDFTFCKVTSEENDGQLGSPKAIPVASMSLEDIHVAKIAKKDGLKANDSDKGRSGNSASVSTQDSNMKEPTIQTSGGAESNVSSQAKPSSKKPAVRKKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLISLEEVKQHKTGDCIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDCTALFNKYHAWVNAEFLLEKCLVGFLDPNE >KQL23077 pep chromosome:Setaria_italica_v2.0:II:8263719:8266762:-1 gene:SETIT_031089mg transcript:KQL23077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDSSDFTFCKVTSEENDGQLGSPKAIPVASMSLEDIHVAKIAKKDGLKANDSDKGRSGNSASVSTQDSNMKEPTIQTSGGAESNVSSQAKPSSKKPAVRKKVPFEKGYSQMDWLKLTQTHPDLAGLKGQSNRRLISLEEVKQHKTGDCIWTVLKGRVYNIAPYMKFHPGGVDMLMKAAGKDCTALFNKYHAWVNAEFLLEKCLVGFLDPNE >KQL24294 pep chromosome:Setaria_italica_v2.0:II:28637241:28638541:-1 gene:SETIT_030228mg transcript:KQL24294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKKARPAEEPARLMCDDALTEVFRRLPARALAACRLVCKSWMSVLTDPHFIHEHLRRGQQKLLLFANDRVIDRSLAMVLSDDNKSMYQLSRPAASQSVFVHNSCNGLLCLGDSTGAVEVLNPTTGESLVLPMPMYTAGSSQFSSCNWHCLGFCPKTREHKVVHFYPGSHIDSFEVRCEIYTIGAGVWRQVGSFRGAPTDRGVHVNGTVYYLTKFRYIASSRINCLNLESEKFDVMTLPPRKSYGGHCSLTEIEGRLCLLVVDGVLEGPPRTMDILMLNSDDKQSWTPRYHFSLPWLMPSCYFTPKHTLFHDRKIWVQLLARNLYCFDPSSGSEELTVAWPELDFPFSTHTFIESIVPLRKDYFIKQIQ >KQL26273 pep chromosome:Setaria_italica_v2.0:II:43453946:43455045:-1 gene:SETIT_030452mg transcript:KQL26273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADGDAPAPAVHFWGDHPATESDYYAAHGAEGEPSYFTTPDEGARRLFTRAWRPRAPARPKALVFMVHGYGNDISWTFQSTAVFLARSGFACFAADLPGHGRSHGLRAFVPDLDAAVADLLAFFRAVRAREEHAGLPCFLFGESMGGAICLLIHLRTPPEEWAGAVLVAPMCRISDRIRPPWPLPEILTFVARFAPTAAIVPTADLIEKSVKVPAKRVIAARNPVRYNGRPRLGTVVELLRATDELAKRLGEVTIPFLVVHGSADEVTDPEVSRALYEAAASKDKTIKIYDGMLHSLLFGELDENIERVRGDILAWLNEKCTLSTSLQRDITVE >KQL22702 pep chromosome:Setaria_italica_v2.0:II:4684160:4686287:1 gene:SETIT_031809mg transcript:KQL22702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKRYKIEGPREAGYSKNEEMAKRNPALAAISQKFRMIHVLSSLASPMSFGSLAIHS >KQL22703 pep chromosome:Setaria_italica_v2.0:II:4684595:4686287:1 gene:SETIT_031809mg transcript:KQL22703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKRYKIEGPREAGYSKNEEMAKRNPALAAISQKFRMIHVLSSLASPMSFGSLAIHS >KQL26246 pep chromosome:Setaria_italica_v2.0:II:43295359:43296092:1 gene:SETIT_031569mg transcript:KQL26246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRNKKNKAKKGAGGVAAMDTSEGGPVASTTASAPQPMDTSEGNQPSSTSMALGSINKLCGNHINNICHCCWGNVRSLFCAS >KQL26247 pep chromosome:Setaria_italica_v2.0:II:43295359:43297469:1 gene:SETIT_031569mg transcript:KQL26247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNRNKKNKAKKGAGGVAAMDTSEGGPVASTTASAPQPMDTSEGNQPSSTSMALGSINKKIKKGVQMKRSQNARKMKAIARAVSNNEQSEEKIQKAKSKKTRIQSAKSLYD >KQL23041 pep chromosome:Setaria_italica_v2.0:II:7920139:7921520:-1 gene:SETIT_033143mg transcript:KQL23041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIAGDKWKSRLEEEEDEELVDRISGLPDAVLGDIVTLLPTRDGARTQVLSSRCVRVSASEITWILSAHRGPGHRSCTELQHLDLHDDSRPATVLDGWLRSPALHNLEQLEFHYGYRFPLGFSPLPPPPQLPASVQRFSSTLRIAKLAGCSFPEGNAGTLHLPSLLLSYNSGYSRVRIVSRTLLSIGVNPGRGDSRLQHLILEDAPCLERLLIFPYAYGYAKIMNISVISAPKLNILGPLCDDLSTVEFGTTVFQGPRLVSFMTVAPSVKILALLNMQISLDVVINFMKCFPCLEKLYIKTVLARCKNRRSREYQNFVRTRDIRLKKVVLLNY >KQL25119 pep chromosome:Setaria_italica_v2.0:II:36089989:36096456:-1 gene:SETIT_030100mg transcript:KQL25119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRETGYYDVLGVTPAATEAEIKKAYYIKARQVHPDKNPNDPLAAARFQELGEAYQVLSDPTQRQSYDSYGKSGISTEAIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDGFSEDEQINERRLQEQMRVVQKEREEKLAEALKNRLHLYVQGNKEEFIQHAEAEVTRLSNAAYGVDMLSTIGYVYSRQAAKELGKKALYLGVPFIAEWFRNKGHFIKSQVTAATGAIALMQLQEDLKKHLSAECHYTEEELEAYMQTHKSVMVDSLWKLNVADIEATLSHVCQMVLQDSSIRKEELRARAKGLKTLGKIFQRVKLNATDGEPAAVKNTINNSDDNDGSSPDSSPKSPRDQIFDANPPYAQSPYVEAPQFGGYCSYNFPMPAAPPGAQRDPIP >KQL25473 pep chromosome:Setaria_italica_v2.0:II:38433213:38436902:1 gene:SETIT_030462mg transcript:KQL25473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFGDGYVGTAQDAVKIRRLEKQREAERRKIEELKNKSADGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIRTKIEEEEKEKLQKLQQEEEELQMQKRKKRRVKGDPRLSFYDDIENGSDEDDFENQETQKKNGPIKLGKDPTVETSFLPDREREAEEQAERERLKKQWLCEQELIKNEPLSITYSYWDGTGHRRVIQVRKGDTIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHQHSFYELIINKARGKSGPLFHFDVHEDVRTIADATKEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >KQL25212 pep chromosome:Setaria_italica_v2.0:II:36690653:36695582:1 gene:SETIT_029544mg transcript:KQL25212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCICEKEEGPMIEEYAFSFSYPNTSTEEVAMNMSRTGSKKGSTTFTSNSSEVTPDQMRSSACKMIRTLVSLMRTLDPMPEERTILMKLLYYDDVTPEDYEPPFFKGCADNEAINIWNKNPLKMEVGNVNSKHLVLALKVKSVLDPCDDNNINSGDDGMSVDNGTYQDDDFSDTEVRPSEADRYVVAPNDGKCKGQSTGTISEDDTQDAAHEEELTAQVKEWICSREIGTINVSDVLSNFPDISLICHDIMERLLKDGVLSRASKDGYTVNQTVDPKTPHIKKEVIMQNVSPTEGTKQNNGDLIYMKALYHALPMDYVTIAKLQGKLDGEASQNTVRKLIDKMAIDTNAEHVESEHKDLLKVPEIRDGSTMGCLHSIGSDLTRTRELPALQQNVSMQSGQEASAMDKDPSRTPTSARELAAPVCSLESGVLGQKIKRSLTGGSEMQSTQDKRSKKASMVKEPILQHVKRQKPQAQ >KQL26006 pep chromosome:Setaria_italica_v2.0:II:41795860:41796228:1 gene:SETIT_031876mg transcript:KQL26006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLETSRRGRSGGGGGSVHYSKTNPVWVEEEVGRKEEEEEEARKGESAPAAGAAATEVKIRITRKQLEELLRRVEDGKESGDGVPVRDVISELLYVASTSSNFRHRDEGQWRPSLQSIPE >KQL25839 pep chromosome:Setaria_italica_v2.0:II:40839752:40844306:1 gene:SETIT_029225mg transcript:KQL25839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTVASPSRGSPADTPTTAPSPASPPRRLASAPPAVDASGGSSPASAHSGEQLSAPDASSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFPNAIEIVAGSKRHFFGSFLTRDEAYRIIVDGWEQHVSDARLLLERQETKSASSSEENGYVLLEGTKESKQDEDSSQQDRSVNGTAVSSSSADGSDSNINISRKFSKVEEYGAEDNIIALNPFNFEPVDEAAPSVPESYTLITESKFQVPVEVLFNFLLSDGSFDFLDDFHKKCGDKDFSCSKWRSDEQGGLVRDVSFLHPIKIYLGAKFGTCQEVQKLRVYKNRHLVIQTSQSIGDAPYGDHFTVEVRNL >KQL25840 pep chromosome:Setaria_italica_v2.0:II:40839752:40846726:1 gene:SETIT_029225mg transcript:KQL25840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTVASPSRGSPADTPTTAPSPASPPRRLASAPPAVDASGGSSPASAHSGEQLSAPDASSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFPNAIEIVAGSKRHFFGSFLTRDEAYRIIVDGWEQHVSDARLLLERQETKSASSSEENGYVLLEGTKESKQDEDSSQQDRSVNGTAVSSSSADGSDSNINISRKFSKVEEYGAEDNIIALNPFNFEPVDEAAPSVPESYTLITESKFQVPVEVLFNFLLSDGSFDFLDDFHKKCGDKDFSCSKWRSDEQGGLVRDVSFLHPIKIYLGAKFGTCQEVQKLRVYKNRHLVIQTSQSIGDAPYGDHFTVEGIWDIEQDSLDGNCCFLRIYLNVAFSKKTIFRGKIEQSTKDECREVFGLWIKLGHDLLKQENNRPKDASGSTNAALQLGATENGENAVENAVPMASSAQDESGVRCLITPIQDHQHRAGRDSSVASTSQELWGSLTSYMRSRQLGPVLAVALVAFIILMQVTIIVLLTRSPQVQMSPHGISTGSKESIEWVQKRLSLLSEEMQLAEAHMERMRHEFAWLRSHLERLERLRGNL >KQL25841 pep chromosome:Setaria_italica_v2.0:II:40839752:40845451:1 gene:SETIT_029225mg transcript:KQL25841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTVASPSRGSPADTPTTAPSPASPPRRLASAPPAVDASGGSSPASAHSGEQLSAPDASSPLLASRSEEYRLLFRLPPDEVLVQDFNCALQENILLQGHMYLFLHHICFYSNIFGYETKKTIPLQEVTDVRKAKTAAIFPNAIEIVAGSKRHFFGSFLTRDEAYRIIVDGWEQHVSDARLLLERQETKSASSSEENGYVLLEGTKESKQDEDSSQQDRSVNGTAVSSSSADGSDSNINISRKFSKVEEYGAEDNIIALNPFNFEPVDEAAPSVPESYTLITESKFQVPVEVLFNFLLSDGSFDFLDDFHKKCGDKDFSCSKWRSDEQGGLVRDVSFLHPIKIYLGAKFGTCQEVQKLRVYKNRHLVIQTSQSIGDAPYGDHFTVEGIWDIEQDSLDGNCCFLRIYLNVAFSKKTIFRGKIEQSTKDECREVFGLWIKLVCLFSHHDNIAECYFPFRAVYNLFSHFIFFVGS >KQL22790 pep chromosome:Setaria_italica_v2.0:II:5303755:5305348:-1 gene:SETIT_030058mg transcript:KQL22790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQATEQGSHPPAAAAAAADPDHPPPRQLAAPPSPPAGGDGCPEGSTAAVLPDDILLEILSRLPVKALFRFKCISRAWFGFITERLRKIPPTLQGFLYGPTNKNYGHFFNLLGRSVPPVDPSFAFLTKLPEIERIILMGSCNGLVLFLHSRRSEAHEREDYIVCNPATKEWVLVPGTGTQCDNELNYSVTAGYLIFDPAVSSGFKLVQFMFGTNMTLLQVHTYSSENVSIQTWVFSAIVNGMLHLVARLGSDYQVVIVAVDEEGGKHRIISWPEEERGLLVQLGQSQGLLHCTSGHRDDLGYMTELSISALEDYGTEQWILKDSVSCLQLFGEVNCCIADSTVAFHPDHNLVFFFHLWNRKLVSYDMDSKEVTTLCRLGGWIPITPYFPYFVESSALVKSH >KQL23730 pep chromosome:Setaria_italica_v2.0:II:17069347:17069789:-1 gene:SETIT_032529mg transcript:KQL23730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAALTRAATLLLRGGGGVPPAASAAAVPGISLRIHRQLCGLSTVDEPAAAGEADPWEEAEAEILRDVEPVVELVKDILHSRRVSVFVHVTCSY >KQL26771 pep chromosome:Setaria_italica_v2.0:II:46251889:46252683:-1 gene:SETIT_031323mg transcript:KQL26771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSLALGSGSRVSAAAHALVPPARPGGAAPALVALAPWGEEAAQASAAPARRGALAPLASAALERRGEEAARASATPALRGAQAPQASAAQARWDEVAAQASAARVRRGAPAARASAAPAPWGAAAARALAAPARQGAGTPPWVPPPPAPAPRRSCRCTRAAAP >KQL22145 pep chromosome:Setaria_italica_v2.0:II:189686:191781:-1 gene:SETIT_030377mg transcript:KQL22145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEAAGVVGAGEWPFSADAYADSSAIFAELGCWAAGLDDGAGGELLLPLPPPLDPPEDKDDILLQPPSLSEPAGSMVSVDGGASSSSTDDGKPAAAATEAASKPAPGKTMTTTSNSNNNKSSTGQNKKRARQPRFAFMTKSDVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCAVKKRVERSSDDPSVVITTYEGQHCHHTVTFPRAALAAGHMAAFEFSTAAAAHHHLYDHRLPPLQLPTAAVLNNNNDHPLACRPAASAAMSPSSLLLQHCNQDLLATPCYDPTTTLSSAMTMPALASVVVSTPKSSSPTTAESPASAPVAVDNGLLDDMVPPAMRHG >KQL23839 pep chromosome:Setaria_italica_v2.0:II:20868211:20869827:-1 gene:SETIT_033131mg transcript:KQL23839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WEIQFGFDQYQSMPMNFPADMDDGEIAMAGNHHHLIDIAPLDASTGAATGTTDAATVPVAVGGGGNEKKWLRKLTSATVNTAVLRDLISRTPMLWYLGQQSGTIFRPCARREPVEALHAVRAVAIGPFHRGDRGLPFPEDVKLPFMRYLQDQYGLDVDDYVAVLCLERDHLRGEFAGGDGGDAAAAGILDDEDRFLEMLLLDSCFLLVVSMMLSRTGTGDDADSVARAASINRDYFILHMAVAQHADDIKLDMLVLENQVPLAAVKLLAASCPGLKLRHSLEELVLGCFDDICPKRARRGGESPAAGGEFHHVLHLFHWSRVPRDKYCVLSTPRKLLKIKKESERLFPCAMELRRSAVWFRQVSPSTGCGDLDMRFWRHPASPVAVMSVPCFHVHEYTAAVLHNLVAFEKHFYWAHGACVTAHVARVEGLVRCSQDAAMLRKRGVLASTRRTDAELVALFRELGEETVGARLPNEYGEMLDAVARHRARRVSCWCGGFVLHFFPSPWVAVSLAAAVALIFVPAMLQTVYTMLGYFKSS >KQL24542 pep chromosome:Setaria_italica_v2.0:II:31160815:31165777:-1 gene:SETIT_029462mg transcript:KQL24542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPTAEPALPAAFLCVPSPLLSAPLPGAAFSASPAPSSHHASFLPRPPRGGARALSAAISAPGPASTAASRLHRMWGEFARFVRLHGNQISPLGFASLGLGLGGGEGGGGSAGGGGGGGGDVDAVGEVEEAAARAEAPKKVLILMSDTGGGHRASAEAIKAAFTQEFGDDYQVFVTDLWTDHTPWPFNQLPRSYSFLVKHGPLWKMTYYGTAPRVIHQPHFAATSTFIAREVAKGLMKYQPDVIISVHPLMQHVPLRILRSKGLLDKIPFTTVITDLSTCHPTWFHKLVTRCYCPSTEVEKRALKAGLKPSQIKVYGLPVRPSFVKPVRPKDELRRELGMDEDLPAVLLMGGGEGMGPIEATAKALGDTLYDENLGEPTGQILVICGRNKKLVNRLQSISWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEAGNVPYVVENGCGKFSKSPKQIANIVADWFGPKSDEFRIMSQNCLKLARPDAVFKIVHDLHELVRQKCFVPQYACAT >KQL22287 pep chromosome:Setaria_italica_v2.0:II:1374209:1375138:-1 gene:SETIT_033124mg transcript:KQL22287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIVGSAVVQETVSRITSYLFSKCDHDERTASTGHHIERLEMAHTELELGLERSARMPITDVSLLQHRKLLERAFKDCGDLLRRCIKQQTMDIVELDQPVKHSISEWIAHVTQSSVSSYFTGFRKDNIISCSDVRRNEWFAECAKRFLRDVESGCSPLRCVFSNPLVRQLLEGKTLEYKMVQGSILRCLHIQSMCVKGRGVEATLEFRYEDRKTLMRSFSLMLMLRLSESTDIVATAIRCLQSFMCSMKDVAEAVMRELTQLPQQDISHSHAASCFTIYKRLVFEWRPDPLCCKPDGCATSCIPLELS >KQL25300 pep chromosome:Setaria_italica_v2.0:II:37170853:37173781:1 gene:SETIT_029451mg transcript:KQL25300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRTAPIHQAPHRTADLAPARLSAHGPPTLSAFPPPAPGRSRLAFHFPAIPVPSRRNGGHPLPTKSQPAAQNPRSGGAAHVSGSAMEVEGGGAGGGEEVVELEDAVKLLVEHLVLPALLRREEALKPENQETVARQMHATVLLYNYYHRKQFPQLEFADPERFCMTASLTAGDALLVYLNQVHDQRGNGAGAGLSVTDKAVVDACDIAEALDATKDSPEMTMWPISKVAVLLLDRAKKMCLLEHGSETKGVFSLLEKDIKSALGGSRSSDLSVQESTNKSVALPSEPYVLQQIAYSEVELKTGIKRTSLRFLEEHRVYSLSKKGTATMLFVLQYEQNVNSKLKERPLEVLVDRMSGPIFRRDPYLATTYVVECYHLLPYKEVLLNVRNREWPLDCSLSVPKERLFQNRNPSSHSEIDESLKEQEANSRSKMKKIATNISTPKKNKQVVKAVGDSGNSKNKKNSNTNSKRKPETFRSTLATYTEHGDGESPTKETDSLAAPDVENLKFVSAKPTKSTNGGSVDLQASKSFSLTVL >KQL25271 pep chromosome:Setaria_italica_v2.0:II:37018779:37020859:1 gene:SETIT_033383mg transcript:KQL25271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTCLSLCLLDCIGSAFNARGLMQCPNCRNIEDGNWLNPNVLQPSTDSPWARIALADFPFYHCTAGLEGDQTAELSNVQVFDGTEPRNSEIEQHNIGILPMVDPANRLTTPFGFDVPRYDGNNQRRSTQSTTRSRSSSVAPLGSSPAVTSVGHGHGLRGQVVQETAPPATRSSANVQNSNIPNQDARPVDVLISHSMPLGPGGDQVQGWALSVLYTIKHHIAASLAENDYQPLPSDRIHSLL >KQL22475 pep chromosome:Setaria_italica_v2.0:II:2908824:2910769:-1 gene:SETIT_030688mg transcript:KQL22475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARAQALRALLARCSTKCPRGASFSSLPRGAAPSPSAPASRTHHLFPSQSRALASEAARGGVRGREAGSEDDEEWAVEWEDSEDDGYEPEIGDGGDGGGVALRDVKWGERALAAAQEVLAGHFGDDVAMFAFKVSPKGYVYVRLDKLTNIYGCPDIEEIESFNRLYKQKLDEIIERGEIPLDLALEVSSPGAERLLKVPGDLDRFKDMAMRVQYLAEGDNDLVSKQNLLKDGIFLLESVDTQAEHCIWKLADVKENRAEAGKGRPLNRKQKDWRLQTSFTSVKKVTLYLDSN >KQL26254 pep chromosome:Setaria_italica_v2.0:II:43346677:43351917:-1 gene:SETIT_029737mg transcript:KQL26254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKASVSKELNAKHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFICMTCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEDKRWVPRNGTSRPSSGARDEKNQESPASANRSGYGHRSSFEQNRASPAPSKIAPVASRMPSQASPPKVEPPVPKVVSPPQLQKSPVNVDATPPKVEKPSVAPPPKVDYATDLFNMLSMDGTTEKESESSSNDDNAWDGFQSAQPVPSSEKKDSAKPAEIKPQPTSGIEDLFKDPPAVSLSSAPAVSQVNAKNDIMSLFEKSNMVSPFAAHQQQLAFMSQQQALLLAALKAGNAGNAPQMIPGNANQLNANGSMGTLPFQNWTNLGYQNPGLTPAAQNGATKGVSNNQDLSAGNFGFGTPGLYNISSAVPANGAAAAGASNNGTASTASSTLPSQSGKDYDFSSLTQGFFSKR >KQL26255 pep chromosome:Setaria_italica_v2.0:II:43347449:43351917:-1 gene:SETIT_029737mg transcript:KQL26255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKASVSKELNAKHKKILEGLLRLPENRECADCKSKGPRWASVNLGIFICMTCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEDKRWVPRNGTSRPSSGARDEKNQESPASANRSGYGHRSSFEQNRASPAPSKIAPVASRMPSQASPPKVEPPVPKVVSPPQLQKSPVNVDATPPKVEKPSVAPPPKVDYATDLFNMLSMDGTTEKESESSSNDDNAWDGFQSAQPVPSSEKKDSAKPAEIKPQPTSGIEDLFKDPPAVSLSSAPAVSQVNAKNDIMSLFEKSNMVSPFAAHQQQLAFMSQQQALLLAALKAGNAGNAPQMIPGNANQLNANGSMGTLPFQNWTNLGYQNPGLTPAAQNGATKGVSNNQDLSAGNFGFGTPG >KQL26253 pep chromosome:Setaria_italica_v2.0:II:43346677:43350078:-1 gene:SETIT_029737mg transcript:KQL26253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRSATLDTWLPEQVAFIQSMGNEKANSYWEAELPPNYDRVGIENFIRAKYEDKRWVPRNGTSRPSSGARDEKNQESPASANRSGYGHRSSFEQNRASPAPSKIAPVASRMPSQASPPKVEPPVPKVVSPPQLQKSPVNVDATPPKVEKPSVAPPPKVDYATDLFNMLSMDGTTEKESESSSNDDNAWDGFQSAQPVPSSEKKDSAKPAEIKPQPTSGIEDLFKDPPAVSLSSAPAVSQVNAKNDIMSLFEKSNMVSPFAAHQQQLAFMSQQQALLLAALKAGNAGNAPQMIPGNANQLNANGSMGTLPFQNWTNLGYQNPGLTPAAQNGATKGVSNNQDLSAGNFGFGTPGLYNISSAVPANGAAAAGASNNGTASTASSTLPSQSGKDYDFSSLTQGFFSKR >KQL25907 pep chromosome:Setaria_italica_v2.0:II:41190263:41195529:-1 gene:SETIT_029332mg transcript:KQL25907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTESHFHTAPRGRLRQRGGAAASHRDRARAHHINATAPRFLTTHRPASAATAAAGRRRRAGHPSSLLFAARASSTPQPAGPPSDDDGSSSSSMSSAPLLGGAKGEGGGEPDAARPASSWLGRVVDTAEASAQLRFAVPMVLTSMAFYGIPLVSVMFSGHLGDVQLAGATLGNSWATVTGYAFVTGLSGALETLCGQAYGARLYRMLGLYLQSSLIMSGVVSVLVSSLWWFTEPLLLLLRQDPEVSRAAASFVRCQIPGLFAFSFLQCLLRYLQAQSVVAPLVVCSVAPFAIHVALVHLLVNVLGLGLVGASAAVSATFWVSCLMLLAYVTRSRAFSETWKGFSADAFKYVLPTVKLATPSAVMVCLEYWAFELLVLIAGLLPNSTVSTSLIAMCSSTEAIAYMITFGFSAAVSTRVSNEIGAGNVDRAKNAVSVTLKLSVFLALSFVLLLASGHTLWAGLFSGSATIASEFAAITPLMMVSIVLDSAQGVLSGVARGCGWQHLAAVTNLVAFYFVGMPLALLFAFKLDLRARGLWAGLICGLTCQACALLVITVRTRWSELADAMREEKANYVA >KQL24783 pep chromosome:Setaria_italica_v2.0:II:33145903:33147852:-1 gene:SETIT_031834mg transcript:KQL24783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGKYAMYIITVLQDMPAGCQELVNLMDVTRLFQSQSERQPEDCGEVQIMDV >KQL23570 pep chromosome:Setaria_italica_v2.0:II:15112215:15113336:-1 gene:SETIT_030556mg transcript:KQL23570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSVANGVAAASAKEPMFSFGVIADVQYADIPDGRSFLGVPRYYRHSISVLQRAVNRWNKHGNIKFSINFGDIIDGYCPKDKSLSAVQKVLDEFNVFDGPTYHMFGNHCLYNLPRSKLVSLLKMPTHSDRAYYDFSPCPEFRIVVLDAYDFSCLGWPHDHPVTAAATKLLDEKNPNTDKNSPDGLVGVDRRFVKFNGAVGEEQLSWLNDVLQDASTLHQNVIICSHLPMDPGASSPAALMWNYDEVMAIVHRYNCVKACFAGHDHKGGHSVDSHGVHHRTLEAALECPPGTSAFGHVEVYPDRLLLVGSDRMANTEIHF >KQL23929 pep chromosome:Setaria_italica_v2.0:II:23093449:23100824:1 gene:SETIT_028715mg transcript:KQL23929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRNADRAARNSDRRNNVVSWISEIKDTSSVAVRKKRGRRAPPSAKRLRDKKVDTEIDSDDAVDNEIGDTDEGQVGKSQSVVHNEENLDGSDGLQKIGQSGNSSGGPSSASEEQDHSTNSKDILQKGSSGTTKKVSACSPRSKQVASHLDQEGADEDDSHAQAAVAKKGVDDKRGSHEIKDDQVSDAQVNTTSSDDKSSEEVEDVKVCDICGDVGEEEKLAVCSRCNDGAEHTYCMRVMMEDVPESEWLCEDCQTAVESEKVKKLEKSQVKVGTSKGQSFEREMNKPAIAAKSRSSSDNELEAENAGNKEPEKKGNDMVKKRMEDDAAITSTIRDTISETGGAYMGPDSRKRMLSSRESSFGYDADKGKQPSQVGTSLASNAPKNQAPQPRGQLTKSTSFNNSKVPKVKQLLNEVPQKPKSFKESWSSTIKKEGPISMTTKSATFKKPKPCEPANKAKSSILSPAEEPRSVNQLVSQNATNDQCSSILGTPSTTAQMIAPVISKTDTTAQPFATGNNTADSNNLGTAHLQGGKSCIGNSELKKPLLAKVPGSTMPPNPERSLGGILGPGAQRKVIQNSDPSHRDAKIKDSTGLRQGASSSNRTIRCQRCNEAGHSTQFCAVDKLRVSAVKPLSERNMKDASAKRNRTSETSTSEAAEKAASRSGNQSEQIIKCGTYQNPTYGPKDVLPASFGHVKKPSPLSARSNEQDMRYILSNPGSTASVDYNKLKFKDDHPTLSATTGIPVDNSCTMPSDRRDESAQAFSAGDEPMASTVPELDWIWQGGFELRRTGRSPELCDGFQAHLSCSASQLVLEVAKKFPSKVQLEEVPRQNSWPTQFQENGPTYENVGLFFFARDIQSYENHYSKLVENMLKNDLVLRGSVDAVELLIFPSNTLSKNFQRWNMFYFLWGVFRVSRKDCSNLSSDVPTSRLEPNFIEDPRGVDPSTSVLSSSLSFSKDRNSFAEQDSGLVKSANFLPSLESNHEVCLNGENSIHQPVNGRALDDHLDSTNSKGAMGPSAMATELKHKKSDVKTLDTFGGNVSERDFDVNTEAVACSVSSTHQEESGKESTIINLNDAEDLMDIEPVNTGEISTEALDPHASGGARKRNFEMANGAAEVDGVLEHKKIKLDTVVSTNSELSENTNNGRLSSKVHPLAAFSVDDGTSNKSMAGPSSSDRKCVFPLDLNAVDNAVSENIVNIPSSDDEESLEPVPSKVGEKQARGDNPSTDIAGALSLTLAFPSRKGQTSKPQSEPQRQFPERSNGNNTSSI >KQL23928 pep chromosome:Setaria_italica_v2.0:II:23093449:23100824:1 gene:SETIT_028715mg transcript:KQL23928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRNADRAARNSDRRNNVVSWISEIKDTSSVAVRKKRGRRAPPSAKRLRDKKVDTEIDSDDAVDNEIGDTDEGQVGKSQSVVHNEENLDGSDGLQKIGQSGNSSGGPSSASEEQDHSTNSKDILQKGSSGTTKKVSACSPRSKQVASHLDQEGADEDDSHAQAAVAKKGVDDKRGSHEIKDDVSSLVLYCMRVMMEDVPESEWLCEDCQTAVESEKVKKLEKSQVKVGTSKGQSFEREMNKPAIAAKSRSSSDNELEAENAGNKEPEKKGNDMVKKRMEDDAAITSTIRDTISETGGAYMGPDSRKRMLSSRESSFGYDADKGKQPSQVGTSLASNAPKNQAPQPRGQLTKSTSFNNSKVPKVKQLLNEVPQKPKSFKESWSSTIKKEGPISMTTKSATFKKPKPCEPANKAKSSILSPAEEPRSVNQLVSQNATNDQCSSILGTPSTTAQMIAPVISKTDTTAQPFATGNNTADSNNLGTAHLQGGKSCIGNSELKKPLLAKVPGSTMPPNPERSLGGILGPGAQRKVIQNSDPSHRDAKIKDSTGLRQGASSSNRTIRCQRCNEAGHSTQFCAVDKLRVSAVKPLSERNMKDASAKRNRTSETSTSEAAEKAASRSGNQSEQIIKCGTYQNPTYGPKDVLPASFGHVKKPSPLSARSNEQDMRYILSNPGSTASVDYNKLKFKDDHPTLSATTGIPVDNSCTMPSDRRDESAQAFSAGDEPMASTVPELDWIWQGGFELRRTGRSPELCDGFQAHLSCSASQLVLEVAKKFPSKVQLEEVPRQNSWPTQFQENGPTYENVGLFFFARDIQSYENHYSKLVENMLKNDLVLRGSVDAVELLIFPSNTLSKNFQSKNSSTT >KQL22293 pep chromosome:Setaria_italica_v2.0:II:1438756:1439393:1 gene:SETIT_031540mg transcript:KQL22293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVLGGGLSPAAVIMRGREAILQALGSVSPGSSSSPAAAQGERGDDVRAGAAPPRRHAPEEEDGASPQDAASGSKQQGMKDTGTGDGDAGAVAAGSSPPGRLSAETAVAILVDCFGHC >KQL25330 pep chromosome:Setaria_italica_v2.0:II:37412280:37412838:1 gene:SETIT_032076mg transcript:KQL25330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAISISSLGCICIAAANGHSSQHTPQLLYSSRAAMAHTMTPLSTAQNTTSILKIAPKSPTLKNSSDLSLGAKCDTRRALVCGLIAAGAGAMLGPDIASAASKRRPPPPSPTEEKKDPNVSGVQAKVLASKRRKEAMKEAVAKLREKGKPVDK >KQL25801 pep chromosome:Setaria_italica_v2.0:II:40627779:40632095:1 gene:SETIT_030760mg transcript:KQL25801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDGSPAASPLDAVAAAFKSRVMELQDLVLARSMFPATALPDLASVNASVTAMESQVQDIRRRLQEELDAIPKAKKLIERSLKQEEKLQHMLANLPSGMHGEVLATHLEQSSSRMLPECFNFSSSVSQYNECELKIKEEPVAAPKKGRAPAPRWYISTEELASLSSYMRGRLTLEKVNIAINEVASYADANAHLVTCPKKKLSEDMWDKALELRDIAATEAVKGKPFFLEVDIKGPGLKLDNTGKAILTVLRHLGRIHETRIGHHRVFILSKQA >KQL25107 pep chromosome:Setaria_italica_v2.0:II:36052252:36052799:1 gene:SETIT_033401mg transcript:KQL25107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSPPRFLCCSDELDFDAPARALGARDALRPGQLYFALPASMLQRPLSAQDMAALAVKASAALGTAPVVATADAGAPGVPPSLERSKPGAATASNKRQQQRRQTSGRRVAPLVVVSAHADEGDAEWKSDHVHGHGGYGDARKAESGDRTVGKARQGVVGNRGVARRPAAVQRLSAIEEAASE >KQL26298 pep chromosome:Setaria_italica_v2.0:II:43618341:43621600:-1 gene:SETIT_030879mg transcript:KQL26298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCQGLSAGNTQLLAFPGRSSSLKNAQFRVKRNHFSARALRVGMDSIHHGMPTSFPMGNSVKGIPSLSIRHSQHPRSVSCQAFSLASFSYPELTSKPRWWWRTLACVPYLLPLHNMWSYADVIYQLHTYLQGFSLLYTFIDTMTLCPGWLFLVIFMTVYFFVVRRKWSPHFLRFHVILAILLDTGSQAVATMCTWMPSIVYQGKPMQYFWMSIAFMQIFTVLECMRCALCGMYPDVPFISHTAFIHSDLNLFR >KQL27127 pep chromosome:Setaria_italica_v2.0:II:47974540:47975545:-1 gene:SETIT_031103mg transcript:KQL27127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRVHSSPSSSPPATRAGEQRKVFTVWMKSLVLNGHGCTVYDSGGGIVYRVDNYGSRCSGSVCLMDLDGSVVLNVVKKKLAFGRWEGYKWRGQKQEPRPWFTVTRPVIRPFQWSHGRPASSSSCEFRCDTTGRVMRYTIADECRAGSGKQGCRIVDEASGVVVAEVKRKVTASGVALGEDVLSLVVEPGTDLSLVVGLVLVYGLMNRTM >KQL24006 pep chromosome:Setaria_italica_v2.0:II:24436372:24436885:1 gene:SETIT_031654mg transcript:KQL24006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARPCSFGGKMTMMAVRQPSVPSRRRSSVGCEVRGSGASKRSADAAGKRGAQVVSGVSISMNSPRSILYYDDSVASIDDMSFCFNVYFNLF >KQL26603 pep chromosome:Setaria_italica_v2.0:II:45338524:45341418:1 gene:SETIT_030204mg transcript:KQL26603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGCERRRCACAAVVVVLMFAASVSAAGGAADGFITWDDLSIPAAAVAAPGGVSEGAMGGGGAVKARARHDLETIVVSQDGTGHSRTVQGAVDMVPAGNRRRVKILVRPGVYREKVTVPITKPFVSLIGMGTGRTVITWNARASDIDRSGHQVGTFYSASVAVEADYFCASHITFENSAPAAPPGAVGQQAVALRLSGDKTMLYRCRILGTQDTLFDNIGRHYLYNCDIQGSIDFIFGNARSLYQGCTLHAVATSYGAIAASQRSSPTEDSGFSFVGCRLTGSGMLYLGRAWGRYARVVYSYCDLSGIVVPQGWSDWGDRTRTKTVLFGEYSCKGPGASTRQRVPWSRALTYEEARPFLGRSFINGEEWLRL >KQL22682 pep chromosome:Setaria_italica_v2.0:II:4582031:4587199:1 gene:SETIT_033399mg transcript:KQL22682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGPLVSMVKEKASSYLLDQYQVMEGMEEQHKLLKRKLPAILDVIADAEQQAAKQREGAKAWLEEVRTVAYKANDVLDEFKYEALRRKAKAEGHYKALGMDVIKLFPSHNRFVFRYKMAKRLCMILQEIDVLVAEMNAFRFKFKPQPQMPMQWRQTDACIATESVGIAIESRAQETKHLVDRLLAEASSMNLMVLPIVGMGGLGKTTLAQLVYNDPKIQKHFELRLWVCVSNDFDVDSLANRIVKENGCQASGSSSALDNLQKAVSGKRYLLVLDDVWNRDEPSKWERLKSYLQHGGSGSSVLTTTRDEAVAKLMMGTTEGAYKLGSLDEESLGKIIKARAFGSKQEKEWPGELVNMVGEVAKRCAGSPLAATALGSFLGTKTRKQEWEDVLNGSTICDEENGILPVLKLSYNCLPSYMRQCFAFCAMFPKDYEIDVQMLIHLWMANGFIPEQSKVCPETFGERIFIELKSRSFFQDLKNVPFDKNKYSIYGEGDKHRYSSRITCKIHDLMHDVAQSAMGEECAAIATHPSEREDVLRSARHLYLSIRRPETLLNASQEKGSPAFQTLISDGYVEGDLKILSKYNSIRALKIMFWKRRASKIDCGSILRPKYLHHLRYLDLSESDIKALPEDISILYHLQTLNLSYCKWLERLPKELKYLTGLRHLYTHGCRDLKSMPTELGRLTSLQTLTYFVAGADDSGCSNLGELQNLDLGGTLELRKLENVTGADAQAAGLGNKKKLTELELCWTNSDQEAQNNNHKEVVEGLKPHDGLKALRITHCGSRTFPWMNTLKGMVELKLSLCKKLEELPALWELPPLQILHLQGLESLDCLCSGGTTPVTFPKLKVIALLEMAKFEAWWETYEVQGEDPVFPEVEELVIKKCKSLTALPKAASVITELSGRVDTKCRSAFPVLRKMTLGELTMFERWEAGEGISGEEVTFPQLEELSIEYCGSLSALPKGPLLAKQPFGGAETQQISLETASRCIPSLYRLNLDVSPDETETTLLHVKNKLNGTLALAAMGLGRCDLFFSSRSSALALWTCFAQLVYLRIWDCDALVYWPENVFEVLVCLRNLDISVCRNLTGRTQASDEQSAPAPERGGLLPCLESLQIVECKSLVEVPILPASLKELHIHSCSDEIESIVFCQQEDTRLVSGEGIVRPKTSSLIPGCNSSSNHRFLPFPCLEFLAIMHCSGLSEVANLPPSIKALNISLCGELQSLSGDLRLLEELLFYGCERLVSLPDGPQAYSSLRVLRIMNCEGIKLLPSSLQSRLGYLEEKYLDARYE >KQL25530 pep chromosome:Setaria_italica_v2.0:II:38936326:38941653:1 gene:SETIT_029284mg transcript:KQL25530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEAAESCGSHAAATAAAAASGGGAAGPATSSSSAAVAAQARKQQQQQRHKLEVYTEVLRRLHESGLPEARAPGFDDELWNHFNRLPARYAMDVNVERAEDVLTHRRLLEQARDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEVSKSQGQDHDSTSDNGRSLYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETEDLVEAVQKEIGRIEETQAWSSSHSWSTPVENMQIAENPAADRVEIPTDGSSEWEIDVKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINADMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTEYMSGGSVYDYLHKHKGVFKLPALVGVAMDVSKGMSYLHQNNIIHRDLKTANLLMDENGTVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILLWELLTGKIPYEYLTPLQAAVGVVQKVKIVGFIIFLAV >KQL25529 pep chromosome:Setaria_italica_v2.0:II:38936266:38940259:1 gene:SETIT_029284mg transcript:KQL25529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEAAESCGSHAAATAAAAASGGGAAGPATSSSSAAVAAQARKQQQQQRHKLEVYTEVLRRLHESGLPEARAPGFDDELWNHFNRLPARYAMDVNVERAEDVLTHRRLLEQARDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEVSKSQGQDHDSTSDNGRSLYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETEDLVEAVQKEIGRIEETQAWSSSHSWSTPVENMQIAENPAADRVEIPTDGSSEWEIDVKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINADMQREFAQEVYIMRWVLLYTQLCFTLYHRMGSYCDIFILAC >KQL25532 pep chromosome:Setaria_italica_v2.0:II:38936266:38942825:1 gene:SETIT_029284mg transcript:KQL25532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETEDLVEAVQKEIGRIEETQAWSSSHSWSTPVENMQIAENPAADRVEIPTDGSSEWEIDVKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINADMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTEYMSGGSVYDYLHKHKGVFKLPALVGVAMDVSKGMSYLHQNNIIHRDLKTANLLMDENGTVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILLWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKHTHAKLCELLQKCWQQDPAQRPDFSEILETLQRISEEVGDEHEGKHKDKLLGGFFSALRGRGH >KQL25531 pep chromosome:Setaria_italica_v2.0:II:38936266:38942825:1 gene:SETIT_029284mg transcript:KQL25531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEAAESCGSHAAATAAAAASGGGAAGPATSSSSAAVAAQARKQQQQQRHKLEVYTEVLRRLHESGLPEARAPGFDDELWNHFNRLPARYAMDVNVERAEDVLTHRRLLEQARDPAQRPAFAVRAVQVSPILDGNQTDADSNTAGEEVASRLLNRQQSIHPPPAFGSSTNLEALALEVSKSQGQDHDSTSDNGRSLYRPMHEITFSTIDKPKLLSELTSLLGELGLNIQEAHAFSTNDGYSLDVFVVVGWHDEETEDLVEAVQKEIGRIEETQAWSSSHSWSTPVENMQIAENPAADRVEIPTDGSSEWEIDVKLLKFGNKVASGSYGDLYRGTYCSQDVAIKVLKPERINADMQREFAQEVYIMRKVRHKNVVQFIGACTKPPNLCIVTEYMSGGSVYDYLHKHKGVFKLPALVGVAMDVSKGMSYLHQNNIIHRDLKTANLLMDENGTVKVADFGVARVKAQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGILLWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKHTHAKLCELLQKCWQQDPAQRPDFSEILETLQRISEEVGDEHEGKHKDKLLGGFFSALRGRGH >KQL25548 pep chromosome:Setaria_italica_v2.0:II:39008248:39009244:1 gene:SETIT_030656mg transcript:KQL25548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYPFGGGEAHVDHVDVAFDVEHYAEAKGVGGRDLEEPGGGHGAGALADQAEQREEDRGDQDGARHGAEVEPHPHGAEVEGQRQGVQRVRERVHDAPRHGPFPRERQPQQKAHRHPVEPHLLREAADVVDGDEQQHQLPVPDAVPPRVAPQHRDAHLDEREDAQPQPLRGAVPGGPAGGELHAREDPLADDADDVGGDRRADEEPAAGRGGEPHLAGHPRDVDGGGVGDGQHEHRGQQLRRPHRGVHHAAVHAAGDEGGQEEAGGDARVREDGGPAHVVPQRRDVRLQADVEHVEDARL >KQL25704 pep chromosome:Setaria_italica_v2.0:II:39951145:39954356:1 gene:SETIT_029904mg transcript:KQL25704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRLLPRRRRFSTSSASNATPTLYSSGTTPFSLLSWGRGASGQLGGGKEERRLYPSPVAHLLLPDSDPRLAPTPGRLPSAGETSGVEVGISCGLFHSALLVEGGAWVWGKGDGGRLGLGDESSAFVPRHNPNLRELRLLALGGIHSAALTASGDVFTWGYGGFGALGHYVYHRELLPRQVKGPWDGNITHIATSGAHTAAITDSGELYTWGRDEGDGRLGLGSGGGPGEAGSLSVPSKVNALPVPVAAVACGGFFTMALTSDGQLWSWGANSNFELGRGSNSSDWKPQLVPSLKNIRVIQVACGGYHSLALTDEGEVLSWGHGGHGQLGHPTLQNHRVPLSIKALSEERIVYIACGGSTSAAISEKGDLYMWGNARDCQLGVPGLPEVQPLPVKVNFLRDGDEDLGPPHVISVAIGASHAMCLVSTQQIEK >KQL26964 pep chromosome:Setaria_italica_v2.0:II:47152411:47156140:-1 gene:SETIT_030582mg transcript:KQL26964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGSAPPPPSLPAGSGSFFRGYTKLCKGLAVILLLVHLVVQLFPSAVAYLALIPARTIPFAWNLITAGYVEQTIPGVIVSIIGLLLFGKLLEPLWGSKELSKFIFIVNFSTSACVFITAIVLYYITQQEIYLYTPLSGFYGVLSGLLVGIKQLLPDQELNLFVLKIKAKWIPSLVALISIAVSFFVKDLMSYLPVLLFGIYMSWIYLRYFQKRLETGMKGDPSEEFSFSSFFPEFLRPILDPIASIFHRLLCGRSERSDARGQTLDTSPLPGSDSIEANRRRERGQRALEQRLAEKLAAVRSSEGTSLDAADKV >KQL23874 pep chromosome:Setaria_italica_v2.0:II:21895442:21896947:-1 gene:SETIT_031587mg transcript:KQL23874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVVGSPGTWSGLALRVSRCMFAAASYAALISAPDHDDFTAFRALQISMEMQFLWSFVLLCVGIHCLRTKRHLNEPGVLMVVTFDWLTAILSMATASASAAVTIYL >KQL22118 pep chromosome:Setaria_italica_v2.0:II:43622:44024:-1 gene:SETIT_031850mg transcript:KQL22118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVYLMLQYHGMTLQASQNISYFLNFTSGVHFCSKTWLQSLKNVLELPK >KQL23314 pep chromosome:Setaria_italica_v2.0:II:10737577:10738940:1 gene:SETIT_032317mg transcript:KQL23314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADEEEERGWRDASMREINLQSHPLEMSDSLADGFLVLTIASLQVVGFGGNAVTLCGLRLFPEERLRGGDGLHHDHETPTQPPLSAGPHTLRWCCSEGYCSIDVYP >KQL27009 pep chromosome:Setaria_italica_v2.0:II:47378058:47382669:-1 gene:SETIT_029003mg transcript:KQL27009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEHTRVKAWEGAVRKVQHPQPVGRRRVSPMSAADDSETASSSASSSSAGGDDVEHAHGYVERGLPNSDFYTGQWRGGAPHGAGKYLWTDGCMYEGEWRHGKATGRGKFSWPSGATYEGEFKDGFMDGSGTYTGAAGDTYRGSWSMNLKHGNGTKSYANGDQYDGEWRAGLQDGTGRYTWRNGTEYTGQWRAGLIHGRGALVWSNGNRYDGGWEDGCPRGQGTFRWADGSVYVGYWTRDSPTGIVQQKGVYYPSPAASSPTARDPRDVFARDLPAFMGTSSNSASPRKSRTSSGNRMTNGRASSVSGLSNSSGGDRKYDKICIWESDGDITCDIVDGPALGDEVVAARRSVRTDDGGDDRGLPPSSPAPHITQWVPPREAKRQGETIAKGHKHYELMLNLQLGIRHAVGKQGPIVLDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFRWKDYCPQVFRTLRKLFKVDAADYMLSLCGNEALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEVKMLLKMLPAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSEYPIHRRFDLKGSSLGRTTDKPQTEIDQYTTLKDLDLNFIFRLKKQWFQEFQRQVDRDCEFLEQEKIMDYSLLVGVHFRDNREKLLSEGSIDYDINNILTPCLSRGNTGQLLADPNRCPKIKLGANMPSRAELTARKSDCELQLIGEPTGEYYDVILYFGIIDILQDYDISKKLEHAYKSFQYDATSISAVDPRQYSRRFRDFIYKAFQEDG >KQL26466 pep chromosome:Setaria_italica_v2.0:II:44518382:44519604:-1 gene:SETIT_030784mg transcript:KQL26466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAQQASLSCSTATWRELTNTSWRDDDYRRMVMAYLIEAVYLLELERQERRDAAAVAQQWWKPFQYRLAHELVDDRDGSVFGAIFERDHHADADGRPSPSGAPSAVVAFRGTLLRAPTIRRDVEDELRLLARNSLRGSARLARALQALKATIDRFGSENVCVCGHSLGAGFARQVGRMLTASSPRHPRQHQHQQAAAAAAAASLEFHLFNAPYLSLPMGVRSVVKTADCLLKALRSGAATVGRWHGKALRNVAYANCILGYTRLESSRKL >KQL22681 pep chromosome:Setaria_italica_v2.0:II:4568239:4569401:1 gene:SETIT_030676mg transcript:KQL22681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDGPKLMQDAHALTCLIALPKGPLLVKQPFGGVETVCCRSAFPSLRKLDLSDLSALERWGQPKELQEKSALALWTCFAQLVDLKILDCDALVYWPQNVFQVLVCLRSLVIYRCSQLIGRTQAFDEQSALAPQRNGILPCLDCSSNVGSIVFGHPEDTRLVSGEGVVRPNTSSLIPGCSSSEATTSTAVLKLISGCDNLQSLSGQQLDAVQELRIDSCSRLESLEPCLGDLRLLEELKLYGCRRLVSLPDGPQAYSSLRFLQIKNCDGIKLLPPRLHEPFGLPRRELHCCCTLGR >KQL24608 pep chromosome:Setaria_italica_v2.0:II:31739996:31743497:1 gene:SETIT_030088mg transcript:KQL24608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILSGFIRPSGAAAAAAKKQQQQQPRRVTADLLWPGLGSRKGALGEQDFEADFREFVRGLGEDGGDADAAGDDDDEVQEVPPPEPAMFTFAAAAKAAPTAADDVMTPKPVQHDEPTATSAKRNRKNQYRGIRQRPWGKWAAEIRDPSKGVRVWLGTYNTAEEAARAYDAEARKIRGKKAKVNFPDEEQDAQKSILKPTTANPTKLAPPTETCADEAFNNLNNGDNDLFAMFAFSDSKAPVKPAEIASFLPAVRPHVPTKRSASNMLSDQSSNSYGSSDFGWDDETMTSDYTSVFAPNNAVPAYADPACLQGEVSKRMRNTYGLAVPQGNGAPNLAQDMSGFNPEMNYLPLSYVESSPDTSMDSLLQNDAPQDGASTGDLWSLDEMLMAAGAF >KQL23875 pep chromosome:Setaria_italica_v2.0:II:21897012:21898436:-1 gene:SETIT_031701mg transcript:KQL23875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEVGEQGSDAGGGRGHGVDAAGHGQGRGAAPVAADAEEKEQPASALGEGEAPSIACLAIGKKKMVTKRNKEEINAMLTLA >KQL25008 pep chromosome:Setaria_italica_v2.0:II:35251349:35251522:1 gene:SETIT_033049mg transcript:KQL25008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHATKATAPVQLCSVSTIPCCSMEHLNSERLQPMMRSSFPKTSIRIFIGLKLWYLN >KQL23974 pep chromosome:Setaria_italica_v2.0:II:23882202:23882624:1 gene:SETIT_031880mg transcript:KQL23974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVQMHMWGHGSQYRRGPDSLRSAQPAAMLRLPCFCCAPGCRNHVDHPRARPLKDFRTLQTHYRRRHCARPFLCRRCGKALAVRGDWRTHEKNCGRRWRCACGSDFKHKRSLKDHVRAFGRGHVEQASAAAVSPITAIV >KQL26515 pep chromosome:Setaria_italica_v2.0:II:44848148:44848726:1 gene:SETIT_032692mg transcript:KQL26515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDGSQAYGLLFLGVLAFLPGYYETRVAYYSWRGAPGYTFASVPDY >KQL24052 pep chromosome:Setaria_italica_v2.0:II:25213462:25215791:-1 gene:SETIT_032802mg transcript:KQL24052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSCLLQKSLAGLAGQPATAFACIGIAITVAAAGPPASAACYPASSSPSPEPASPISIRLELDSLQGRQCFVPILILTPCVRFCPCDHGAAPVPERRQGGSKRPTDQVASPLPLLDNHALAAIRLVVAYFGTSSALERSRKHGRGKIHNALRILDLIPTKHHDDEEDIPSHHRLINDCMYDILGVQSKRNVMQKRKEDFVNSSSNQARPKSNDMLSSNISVCPSMTRFTKDDLLMHIVELHRRGGISSDASILASALSSCADMKTLTGGAHLQALLVKVGYDSSVLVGSALISFYSRCGQLQKAHRVFQGMTAKNTVSWTALISGYAQDNQVEPCLHLFALMRQSTCKPNDITFATIFSMCTNHAFLALGRSVQGLQMKMGFDSYVHVSNALISMYAKCGSIGDAQAIFENIACKDLVSWNSMIFGYSQHGLPEHCINLLKQMEEHIIPDAISFLGVLSSCRHACLVTEGRRCFRAMIEHGIEPELDHYSCMVDLLGRAGLLDEAWDLIQTMSMPPNGVIWGSLLASCRVHGSVSIGIQAAEHRLKLEPSCAATHVQLANLYASIGCWSDVARVRRVMKERGLKTNIGCSWIEVGYKVYTFTAENRSKSQVNDVLAILDCLQSHMDHKYDILIDGLDWDDPEHIK >KQL22699 pep chromosome:Setaria_italica_v2.0:II:4666540:4666720:-1 gene:SETIT_031866mg transcript:KQL22699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRIYVPSYYHIVYLCTINFEFSNLHSLSQLGG >KQL24832 pep chromosome:Setaria_italica_v2.0:II:33616742:33617722:-1 gene:SETIT_032884mg transcript:KQL24832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGAIDDEVVFEIAQCIRVYKSGRVERYFGSDPVPASTDAATGVTSKDRAISPDVAVRLYLPPAANPTEDDGGSIKKLPILVYFHGGGFCLHTAFNFVFHAYLTSLAARTRAIVVSVEYRLAPEHPLPAAYDDSWRALRWVASHATGSGEEPWLTDHGDFSRLCVGGESAGANIAHHMAMRAGAEPLPDGARINGAVVVHPYFLGAVRVASEETDPAMARSVVTMWRVVCPGSAGVDDPWINPLAAAAPGLRGLACGRVLDVVRDRGRAYAEGLGASGWAGKVEVLEVAGQGHCFHLVDFACADAVKQDDAIARFVNL >KQL24908 pep chromosome:Setaria_italica_v2.0:II:34547717:34550180:1 gene:SETIT_030001mg transcript:KQL24908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLFCATRALLLPVPAPAPAPAPAPVSSATVAEAAASLLPLLPCKRRKKLLKKLKSPRVAPIEPEAARRVPALDAVLDRDTAFRFLHRARSFLASLPPPHRIPLSEAGKLHHELGFPRGRKVARSAARHPLLFHLPVVDSVPHLALTPLMCSLLEEERRIHDELLPSRVRAVRKLLMLTAHRRVPLAKLHHCRAVLGLPDDFRDRVRDFPDDFRVVVDPSDGRHVLELARWDPALAVSALESDFVVDERRVRRTFRFAVPHRRLMPLDAEDADRLDAATTFPLVSPYTNGALLKPWTPEAEKYRVGVVHEFLSLTVEKRAMIHHIVEFKVEFGLTRHMYESLQKQNRAFYLAGTEMNWALFLRDAYDENGVLKEKDPLVLFNEKLQRYACMTKMDSKEIMADAAGLTE >KQL25788 pep chromosome:Setaria_italica_v2.0:II:40560803:40565482:1 gene:SETIT_028748mg transcript:KQL25788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIATAALPAASRSVAALLASEGGVPTDELRRLERRLTKARGLAADAEAKEGRDAGARAWLRELRDALYELGDAVDDFRRVAARRQLEGRRSLRHWFALPSSIDRNQYKTLKTSISNLNEKMTDILQKGSDLELEAINHEGQNGMSGFSWEMVRDDITLGDIENEKDELIDVLMDRKSTNKVVTIIGGSGVGKTTLARKIHEDHHTRNAFSIVVWVSVFKGFDDTGLLSAIVRAAGGNPKAQENRVQLEAMLAAILKGKRFLLVLDDVHSHQINENSLEAHWHICGHGSRILITTRDESVVAKVKDAHIHWIKKLSFQDCWSLLCRNAYLDESVHGNTLRNIGISIIQKCNQLPMSVKIIGAVLRTKERTQEAWQRVQESEGWFFKDVQDYVHGLTEAIFLGYHDLPLHLKQCFIYLCLFPEGFLIRQQFVSQLWISEGLIEKRDNCSLEKTAEEYYRELLSRNLLQPETGSDDTTRCTMHDQIRSYLQFFAKDKIFSGDLKTTINGNSSEALRHVWIRNNTPTTAVEEMGTVASLKTIILYKIPLGNRSLDKLFKGLKYLQLLDLGGTEITYVPRTLESLYHLRLLNLSLTRITDLPESIESLTNLMFLGLRYCNWLHNLPNGIGKLQNLRNLDLRGTNLHQVLPSLVNLKQLSTLHGFVVNRKPKREDDPTGWPLEDLTSLDALRSLQILRLERVSDSSRVQEAMLETKSHLKELELCCSNDDRQSEVQEEDARTLKDIFDRLSPPHCLKSLKIMSYYGKLFPDWLPNLSNLQSLVLTDCKFCEHIPNLGQLMELKFLTITSCTKLVTIEQDRTSTGQAFPKLEQLHLRDMANLQSWIGFTPHDMPSLVKFRLENCPKLHYLPSGIKNSKVLTTMQLRHVDSLQIVENLPVLKELVLQACNGLERISNLPLLEVLIVIGCSRLKDITELHLLSHVRIVDRELRELPDWFATNAFMLQTFTIVGTAELLQRLLPNREDWEIIRHIRKVYANLPDESPFFTYTKSSADFHVDQRIGEQGNPPVLSGAGIPNEALSISLDNSVVRTSRIGALGVQVRRISMLKRAIRHYLVLYLIMTIIVMQVLFYLLQNRTNREIWLVQTLVIFFTTVLLLLLVFLE >KQL27246 pep chromosome:Setaria_italica_v2.0:II:48806599:48810067:-1 gene:SETIT_029935mg transcript:KQL27246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGECGLGGLPGGDPPPRTYPTEEARRTWLPARKPSSMSASAVSSALPMALPISAATGRNRGNACCPVAFLLCRTRAHPRGPPKGPPARPSSNKAQPGSSEQRHPTNKSPSPLPHGSERPAAMLGLRARAAAQLPCPSPSPSSTPTTSLARFARLSPLAALAPLASAPPPARARAPPPASLSTNWGVPMRPGGTGSNSRPTTRVFCTAASSSQREGKELLVQHLLVGEKDVRLLVDLEKSIIAGGADLSDLAVEHSLCPSKENGGMLGWVRRGQMVPEFEEAAFSAPLNKVVRCKTKFGWHLLQVLAERDQCVLQDIDPQDLHTKMQDPSFLEGAQLIDVREPDEVAKASLPGFKVLPLRQFGTWGPVMTDEFNPQKDTYVLCHHGMRSMQVAKWLQSQGFKKVYNVTGGIHAYAVKADSSVPTY >KQL27247 pep chromosome:Setaria_italica_v2.0:II:48806316:48810067:-1 gene:SETIT_029935mg transcript:KQL27247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGECGLGGLPGGDPPPRTYPTEEARRTWLPARKPSSMSASAVSSALPMALPISAATGRNRGNACCPVAFLLCRTRAHPRGPPKGPPARPSSNKAQPGSSEQRHPTNKSPSPLPHGSERPAAMLGLRARAAAQLPCPSPSPSSTPTTSLARFARLSPLAALAPLASAPPPARARAPPPASLSTNWGVPMRPGGTGSNSRPTTRVFCTAASSSQREGKELLVQHLLVGEKDVRLLVDLEKSIIAGGADLSDLAVEHSLCPSKENGGMLGWVRRGQMVPEFEEAAFSAPLNKVVRCKTKFGWHLLQVLAERDQCVLQDIDPQDLHTKMQDPSFLEGAQLIDVREPDEVAKASLPGFKVLPLRQFGTWGPVMTDEFNPQKDTYVLGFKKVYNVTGGIHAYAVKADSSVPTY >KQL24111 pep chromosome:Setaria_italica_v2.0:II:26268712:26270164:-1 gene:SETIT_032725mg transcript:KQL24111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVAPIRWLQVFAVIRKRPYKVLHSYAEHRYTVVCDKERCPWRVCARKQNITGKWKIIKVVGPHNCADHELTVRHRQLTSTLIAKRMMGIFKKQSNMKVRTIIITVEKIYGGYVITYGKAWRAKQRAWRMIYGDLESGYKKQLSVLFNAIKAVNPGMHYEYISKPNAWKDGRQIFGRAFWCFPQCVEAFRHCHPVFSIDGTFLIGKYRGTLLIGLRLSSWLRRAATRCDCRTATTRDVHVPSPREGGVGSSRQGPSGSKAIVSEDEDDDDNDDDDDQRPEELGPSQLHDAPLTQPTQVVGTRLRHPRSPYTPGTDALGHKGKGKTRR >KQL22184 pep chromosome:Setaria_italica_v2.0:II:425648:427264:1 gene:SETIT_029622mg transcript:KQL22184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLSAVLGELASRSINFFISRSSKPKVLEVEDRLKRALLRAQVIVNEATGRNITNQAMLQQLDMLRNAMHQGCYMLDTFRYQYHGVEDAKDQVLSHSLSLSKVSSLKGIGSSNRKTLLLEQLQEALDNLSSMILDVKELVVILPSYPRLNCQPYSMHLQLSNCMFGRQMESELVINFLLHTQRHGSEELDVLPIVGPGKVGKSTLVAHVCKNERVRDHFSEILFLRDHDFTDDNLSVFRGCATRQQNRTSNSNKDRGWLVVVDLVGDLNEDAWNVLYSSCKQRIPSTSKIIIASRSAKITKFATTHALNLKYLSSEAFWYFFKTVTFGSIDPKMHPRFVHVAMEIANKLERGIIGANMLSRLLRDNFDIHFWCKVLAFLRGVVEKHVSKFGVHPFHLINQNKPVHLGRLATPFEDLIFYCEHQISSQEDFPKIRLEDVMYGSVTALGKLEFLGWISPIPPYYSYVVTCEVRELKRSAAKRKRSIENGTTLC >KQL25680 pep chromosome:Setaria_italica_v2.0:II:39796543:39797907:1 gene:SETIT_029878mg transcript:KQL25680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGRPKIGDQATSDVVVRLRTPEGRDEWLYCHSAVLAAGSTYFADRLSDAWPTCQILGSRYCVEVYCQELDLSSHVTALRLLYAAEPCSRFGVRGALGVLQAAVNLGCAQIAAACAGYIESAPWDEADEEEILRTVPGLGAQYEYILARLRPIDPAPVTSIFLSAFRHATRSSAAGPARELKSAAQEQLEYMLTEDDDTPLVALDNIDVKSQVKECVTGLLNRFSDFLSSVLTKQKETPLVGDNGELQQELHTFVCDVSWVCQVLSKLEMVKCIVLYWVGVSSDVVEAVDAVCPGHDCLNTRLKVVEVSAKVLEAVAFGNIVLPTEKRRHMVNVWIAFARTTKALIVQADRDDDDDGDVETPKANLDNEVWQGLESAFVSIVLTLPSNSQAEILSEWLQSKHVRYPDLTEAFEAWCYRSKVAKRRFSFLSDIDRVS >KQL23276 pep chromosome:Setaria_italica_v2.0:II:10426614:10429778:1 gene:SETIT_029892mg transcript:KQL23276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMRPQGAGLLVRSSLGAGGSARPATAAKMKTATSLLLGAALATASFLLYTSLCRDLGAANGSTPQRWDHQRGREAEAGAAAGDREVLHLNPTQQEGVAKEVVVTRSDGDGASREEKGRDTGKKQRPQIVMPANSTPTQQQKQQQEETPSQDLADLLRRAATADKTVLMTAINEAWASPGSFLDLFLESFRHGEGTSDLPRHLLIVAMDGKAYERCLAVHPFCYWFRVAGMDFAGEQKYMKGDYLEMMWRRNRLQQRVLELGYSFLFTDVDILWFRSPFPRLLPAAAGEAQVVMSSDFFVGNPDSPGNYPNGGLLYVRSSPAAVAFYEHWQASRARFPGKHEQFVFDRIVKEGVPPRIGARVRFLDTAVFGGFCQHGKDLGRVATMHANCCVGLDNKLFDLRNVLQDWKEYRARVAGGGARGFSWRVPGRCIH >KQL23896 pep chromosome:Setaria_italica_v2.0:II:22698299:22700082:1 gene:SETIT_030692mg transcript:KQL23896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNTIREHLLEEPSEALVLDTIRQHLLEEPPACRRSESFGSLVADQWSGSLPFRTDDADDMVVFEALRDAFATGWLPDGSFAQVKPEPLPSPDSSYSYDGFGLLEPPEPMTPSASSDAATPRREGEAAVGVARGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYDTAEDAALAYDRAAYRMRGSRALLNFPLRIGSEIAAATAAAAAGDKRQSPEPATSSDSSFSTSSSSSCSTSGSPKRRKRGEAAAATMAMALVPPPSQLNRPAQPWFPTAAAEPAAMAPRVHQLVI >KQL25506 pep chromosome:Setaria_italica_v2.0:II:38771380:38771778:1 gene:SETIT_033031mg transcript:KQL25506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLQQQEQPADAGMPPGPEGTADASPLPPASASDDFTPFELDAAEVLTRLSGSSTSTGGGGASAASGSSSPSSVNDAPPAPAPAQELALPLGAGAGGDGEDDEDEQEVPGSQRRTKRYRTIAEIYRATARFA >KQL23616 pep chromosome:Setaria_italica_v2.0:II:15488048:15492364:1 gene:SETIT_031053mg transcript:KQL23616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAAARGRRGERRRGGVRRRRESRRVGMSSGYMSRRLGFSAGEACSPPSDAIGGLGLRLGAHLRPQTTHFFLRERAKARGAKRRARERVTSSSSRVSRSAAMAARTAARFVQRRLLSSGGKVLGEEEKAAENVYIKKMEQDKLEKAARKGPSSGEQASSTTSSAASDVKAAGGPTESASTGASTDKNRNYAVFAGTVAALSGLGWYLLSKPKKSEEVVD >KQL25786 pep chromosome:Setaria_italica_v2.0:II:40550390:40552845:-1 gene:SETIT_029765mg transcript:KQL25786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGSGFPGFHSHSYDRNYSRPLFRVASFSDSGDEQERHAASPRGHSQSMSRTASYKGAAPSRLSPSMSKMSMKKLQQVVDEKSMEDEEMELMKEKYTKLLLGEDMSGSGKGVCTAVAITNAITNLYATVFGTCHRLEPLPPEKRSMWNREMDCLLSICEYIVEFSPTVQSMPDGSTHDVMATSPRSDILMNLPALEKLETMLLGILDSFDKPEFWYADQRNQSFNESKKSFQRSEDKWWLPEPCVPDSGLSDHMHRELQQKRDQASQIHKMAMEINSGILSEMQVPLSYLETLPKSGRVGVGDAIYRYMSSGDQFSPEHLLNFLNLSSEHEALEIADRVEAAMYVWRRKASTTHVVSKWENVTELNADGDKNLVLASRARSLLLCLKQRFPGLSQTTLDTSRIQYNKDIGQAILESYSRVLESLAHNIVSWIDDILIADENAKKGHKIRMQKQVFTQLSPQR >KQL24273 pep chromosome:Setaria_italica_v2.0:II:28387625:28388753:1 gene:SETIT_030171mg transcript:KQL24273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSVGVSEVGVSAAPAQSACPCPGTLFPYPPPRGAGIAAAVRRKCLQVELGAGTGLLGGAWGVESMRASSPTHAKAAAALAAGVDDERAAWMVRHPSALGKFEQIVAASEGKRIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASSRHAKAKAKGVLFQPASEFLPMIEEVHERLAETTRCIPGAKVENNKFCVSVHFRCVDEKVRCVRGRKWPSLSHPILPFSAFSWRPHPHCIIISGKWQLRSKIHA >KQL24274 pep chromosome:Setaria_italica_v2.0:II:28387546:28389793:1 gene:SETIT_030171mg transcript:KQL24274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSVGVSEVGVSAAPAQSACPCPGTLFPYPPPRGAGIAAAVRRKCLQVELGAGTGLLGGAWGVESMRASSPTHAKAAAALAAGVDDERAAWMVRHPSALGKFEQIVAASEGKRIVMFLDYDGTLSPIVDDPDAAFMSETMRMAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPAKASSRHAKAKAKGVLFQPASEFLPMIEEVHERLAETTRCIPGAKVENNKFCVSVHFRCVDEKMWGEVSEAVKGVLREYPKLRLTLGRMVLEVRPTIKWDKGKALEFLLESLGFADCTNVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKETSANYSLQEPAEVMEFLLRLVEWKRLSRSRARLMSLQ >KQL22738 pep chromosome:Setaria_italica_v2.0:II:5013304:5019781:1 gene:SETIT_030177mg transcript:KQL22738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKWQVLVVHKHMHVLRRGTGCSTTPTVPHSIPPFPVVRPTPDREASPDRRRRSPPQAHPGACRSLIGRRGSATGFFEPINGACEKGCACRFLVHKQWKLEEELDGWLGAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATVYSTPIGDLPVDQEVIEELSATGKFEFMDLRVDEAEHSMEMHLPYLSKVFQGHTVKVVPILVGALSSQSEAMFGQLLSKYVDDPNNFFSVSSDFCHWGSRFNYTYYEKKHGAIHKSIEALDRMGMEIIETGDPVAFKQYLQEYENTICGRHPISVFLHMLKHCSTKIKIGFVRYEQSSQCKNMRDSSVSYASAAAKVDASGEEENKD >KQL22335 pep chromosome:Setaria_italica_v2.0:II:1812229:1813833:1 gene:SETIT_032867mg transcript:KQL22335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAREIVSLVEQSLPPADHVVTMAAALSVDEASDGEDRISDLPDDLRRKIVSRLPIKDAVRTSALSTHWRHFWRSVPLVLCDAHIPRTKHFSPYTAITSVLTQHPGPFRAVHLSRWSMRMYPNLQQVEWARLLAEKGVEDLTFVNFPNWQRLAADASLRLPIDILRCTKISRLRLGFWTFPGTTVADLPRGPNVFPNLLELGLHHIAIDTECIDYMMECSPELKVFTIVANTNGRASIKIRSQNVVQVVFWASISKDLDVRGAPSLDRLILWNGSSVGMFCSLINLSLASTLRVVGYLEPRIHKLKVADIVIKAGTRPSPLTVIPSVKILALKLRFAVRKEAEMFLSFMRCFPNVETLHIVSDEADRCKGKQTLQFWRNADPVECTRSQVKEIVFNNFRGYNSELSFLQFVLESAHVLKKMRIVLAGGEPNHNTELVNKLKPLDSARHAIEDVLLEIVEGDGGDVWCFRQASDPFVIDPFSY >KQL23014 pep chromosome:Setaria_italica_v2.0:II:7352883:7353800:1 gene:SETIT_033190mg transcript:KQL23014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVNGAALHWSTAATLGAAGQAVAALPLSAIAGVNGPAAAPLVVGPMLIGPPAPAVGLPLAVALDRIKNNALGAMLSALMLSCDPPVGQRQGWTGAPPPWWPTAAEDWWVPEVVAHLNTMPAHTPVPFAPAYKLKKVQKVGVLVAVVKHLSPDFDGISEKVEVSQNAKAKLTAEEKNLWKSALENEAARHKSGSVPMVPVFNFVLQQQQQQAPPPPPPHGDGHRLAPIGGATTENVVRGGVAVAAADGREQQVVNVNLAGASVTAPAGDGGQAVAAAETEQHGDGALHGGAMAADGGSEKPVDIP >KQL24469 pep chromosome:Setaria_italica_v2.0:II:30407629:30408595:-1 gene:SETIT_033214mg transcript:KQL24469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKRPAVAMEEEGRNWASLLPDLLNLVAARLLAVDVVDYISFRVVRARWRASAPSPRDPTLRDPCLHPRGWVALCDGDGVRPANAFEVAFFHAATGGRLRVRLPELRGHRIVGFTDGLLILINKETTTVRVLHPFIRAAVDLPPIAAIFNHMASADSIAVVAWFPTVPGVVVAEHSSPCWYIVYHSVELASAISFQGRVLNARNLTHKFFLVESAVRLILVLRHFHFDNFTEGGYRPCQFALFEVDTVGHRELTPVSSLGDQAVFLSRDRCFLRLLWQLRPALPRQHNSHT >KQL23268 pep chromosome:Setaria_italica_v2.0:II:10386946:10387394:1 gene:SETIT_033472mg transcript:KQL23268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQFVFQNLIRNDIALPRQDDASIRFPPQHATSMSKVTWAHLWTNIA >KQL23381 pep chromosome:Setaria_italica_v2.0:II:11740393:11741220:1 gene:SETIT_032860mg transcript:KQL23381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACLRRAYFMGDKMVATQYNCDLEVVNDPYLNGVRKFHWHQRVSYVENIADAAFAEALVLKEGLLLAQTLGCNRIMIELDCLEVVETMKNDGISATVSAPIFDDCYSMWQEFDSICIDHCNREANGVAHELARIAMQSKLSCNWDDVV >KQL24396 pep chromosome:Setaria_italica_v2.0:II:29566568:29568409:1 gene:SETIT_029154mg transcript:KQL24396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPTFPAQAVQPGSSSASSCTARSRRQRSTRPSPVREELLRSLLNADLIGFHTFDYARHFLSCCSRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLQSVLNLPETGVKVAELLKQFYHRNRLLLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGKGKDVKEVQEESDAMVRRINDAFGQPDYQPVILIDKPLQFYERMAYYVVAEFCLVTAVRDGMNLIPYEYVIARQGNEMIDRILGLGPSSRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDSVADAMDSALEMPEGEKVLRHEKHHRYVSTHDVGYWANSFLQDLERTCLDHNRRRCWGIGFGLKFRVVALDPNFKKLAVEHLVSAYRRTTKRVILLDYDGTLMPQTSFGKSPTSKTIDMLNSLCRDKNNMIFLVSTKSRMTLNEWFSPCENLGLAAEHGYFLRLRRDAEWETCVPVIDCSWKQIAELVMKTYTETTDGSTIEDKETAIVWSYEDADPDFGSCQAKELHDHLESVLSNEPVSVKAGLNHVEVKPQVSLLPHKTATATNIQLPTCHLNSC >KQL24397 pep chromosome:Setaria_italica_v2.0:II:29565122:29568987:1 gene:SETIT_029154mg transcript:KQL24397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPTFPAQAVQPGSSSASSCTARSRRQRSTRPSPVREELLRSLLNADLIGFHTFDYARHFLSCCSRMLGLKYESQRGYIALEYYGRTVTIKILPVGVHLEQLQSVLNLPETGVKVAELLKQFYHRNRLLLLGVDDMDIFKGISLKLLAFEQLLMQHPEWRGRVVLVQIANPARGKGKDVKEVQEESDAMVRRINDAFGQPDYQPVILIDKPLQFYERMAYYVVAEFCLVTAVRDGMNLIPYEYVIARQGNEMIDRILGLGPSSRKKSMLVVSEFIGCSPSLSGAIRVNPWNIDSVADAMDSALEMPEGEKVLRHEKHHRYVSTHDVGYWANSFLQDLERTCLDHNRRRCWGIGFGLKFRVVALDPNFKKLAVEHLVSAYRRTTKRVILLDYDGTLMPQTSFGKSPTSKTIDMLNSLCRDKNNMIFLVSTKSRMTLNEWFSPCENLGLAAEHGYFLRLRRDAEWETCVPVIDCSWKQIAELVMKTYTETTDGSTIEDKETAIVWSYEDADPDFGSCQAKELHDHLESVLSNEPVSVKAGLNHVEVKPQGVSKGLVAKRILSTMQERGDLPDFILCVGDDRSDEDMFEVITAAAARGPSPLHPEAEVFACTVGRKPSKAKYYLDDSADIVRLIQGLASVSDDQALHGGAPLPNAAVATDTIPR >KQL25297 pep chromosome:Setaria_italica_v2.0:II:37160574:37163651:1 gene:SETIT_030344mg transcript:KQL25297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPSLLSQCLAGLLSHDRTAAHCVNIVPEREPHLPSLAVEIVPSKNVHPYKYAGENIELHGMNIFKGKISVVDIIGLSKSDIVTSKGEGPLKCWESSIDLVNVLKDEIRDGLLTFRSKQVLELGCGYGLPGIFACLKGATTVHFQDPSAEVVRCKTIPNVLANLEQAQDKQNHHQGSPLTPSRQQLPQDIHFYAGEWEELHTVLSIIQEDDVDASSGIGLGFCEDDLLDGYNSQDGNNICHETSSRRSRKLSSSRAWERGNETSTGDGGYDIVLVNEIPYSASSLQNLYLLIKKCLRPPYGVLYLAARKNYIGSSSAVRQLRALVDEEGIFGVHLVSEPPEREIWKFFFK >KQL25298 pep chromosome:Setaria_italica_v2.0:II:37161913:37163651:1 gene:SETIT_030344mg transcript:KQL25298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTCFFQGCGIALNIFQEFFFLMQLGCGYGLPGIFACLKGATTVHFQDPSAEVVRCKTIPNVLANLEQAQDKQNHHQGSPLTPSRQQLPQDIHFYAGEWEELHTVLSIIQEDDVDASSGIGLGFCEDDLLDGYNSQDGNNICHETSSRRSRKLSSSRAWERGNETSTGDGGYDIVLVNEIPYSASSLQNLYLLIKKCLRPPYGVLYLAARKNYIGSSSAVRQLRALVDEEGIFGVHLVSEPPEREIWKFFFK >KQL26757 pep chromosome:Setaria_italica_v2.0:II:46158187:46159515:1 gene:SETIT_031355mg transcript:KQL26757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLEYLSGLLGGSGGHGHGKMKRRKQLQTVELKVRMDCEGCELKVRSALSSMKGVESVEINRKQQKVTVVGFVEASKVLKKAQSTGKKAEIWPYVPYSLVSQPYVAGTYDKRAPPGYVRSAEPGYVAAGGQQQHVGRPHDHLTDMFNDENPNSCSVM >KQL25040 pep chromosome:Setaria_italica_v2.0:II:35552023:35554357:-1 gene:SETIT_029777mg transcript:KQL25040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPLQLSAAPLLLLLLVVVIRAARSSRVFSVVDYGAAGDGSRYDTAAIQAAVDACAAAGGGRVLLPAPGNYLTATVHLRSRVVLEVAPGARLLGGTRQADYPPESRRWYVVLAENTTGAGVTGGGEINGQGGAFVVTPSEVKNVMVSWNTTGDCQLGDECRPRLVGFIDSKNVRIHDITLNQPAYWCLHLVRCDNTVIHNVSIFGDFNTPNNDGIDIEGSNNTVITNCHIDTGDDAICSKSSAGPVYNLTAANCWIRTKSCAIKFGSQSSFSFKRLLFDNIMIVDSHRGLGMQIRDGGNVSDVVFSNIKMSTRYYHPLWWGRAEPIYITTCPRHPDSKEGTISDIRFINISSVSENGVFLAGSKHGLLRNLKFKNVDLTYKRWTNYTGGLYDYRPGCQEMVKHRTGGMMLEHISGLEIDNVRMRWARGNLKGWDVNPLLFRPSTIDKLSFHDWQSVDVL >KQL25280 pep chromosome:Setaria_italica_v2.0:II:37100711:37101361:-1 gene:SETIT_031527mg transcript:KQL25280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYLDRFLQDGIQPSTRSTTKVGTGTGYRLLCIYIHIQVEARIVACAPESGAKGASMEVVLVMGVILVVQVQAKTEPCTGFAECCFLCGCNGVFPAALMDLRCARSISVCLNQQRYKYTSR >KQL22688 pep chromosome:Setaria_italica_v2.0:II:4622909:4623310:-1 gene:SETIT_031738mg transcript:KQL22688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVQMFLSKKSEPTTQQMVRFKENVLMILLLNSDLEFMYFSSAYVSTRARLMAHHFIIVKYYHIIAPTRVQ >KQL23461 pep chromosome:Setaria_italica_v2.0:II:13700651:13700920:1 gene:SETIT_033311mg transcript:KQL23461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRAPTRTAYAKVDAEAARQLRAQYLIQKVLEEKSPAARSRPPALVRVKARIGVRLKKLRLAIRSVRVRACRTLQRHLRNLRKLIALG >KQL25149 pep chromosome:Setaria_italica_v2.0:II:36291566:36295929:1 gene:SETIT_029218mg transcript:KQL25149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVPTPAGAGGAASSSSSSSTPSPSTKRPTTTLRLLCPSSRAAALRPSRDLHVDHPPVGDEAVLVISGPDAPAAAVRAWERVVGHRVGGDEAMGGEEEEREVTGAVGCRMLAAGAQVGCVLGKGGKTVERMRQESGAQIRVFRNREQLPPCAGPGDELIHISGSFSQVRKGLLAVSTCLQDNPRPDTSNIPMSRPFGPPVSGTGCPPGMDPHSQRNYLPPHIPDYHTRNYPSNAGAPGPRFLFEQEIVFRMIILNEMVGSIIGKGGSTIRALQSETGASIKILEPVADSEERIVSISARENSDMMHSPAQDAVVRVYSRISESSIDRNSPTPARLLVPSQHIGCLLGKGGSIITEMRKLTGASIRIFGNEQIPRCAQRNDEMVQVTGSFQSIHDALLHITGRIRDVIIPKPHPSGGMPPYPPAGNISLHQPRQEPPPPHPHHSGGMPPYPMHSFRPDAPMGPFETGGHRPPPAHSMEHMGADRMPYSYGCEQGGPRPFLEQPSPRSWASEAPNTNSEAPRNMPDAVRSKDFRKGPVAGENQVATPTSTTTEVAIPCKYIGFVCGTNGSDIAEIKKMSGASITVHDPKPGDANSTIVIVGDPEQTKKAQSLIHAFIFCGLCQT >KQL27195 pep chromosome:Setaria_italica_v2.0:II:48459867:48464018:1 gene:SETIT_030814mg transcript:KQL27195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEHAEKREEVSELAPFDPTKKKKKKKVSIQDPSDEVDKLADKTESLAVSEPAELNFSGMKKKKKKQVDLDSSLADLGDGEDTQDDQAVDDEQGEGIMLGDGPRYPWDGTDRDYKYEELLDRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKA >KQL27196 pep chromosome:Setaria_italica_v2.0:II:48459867:48464018:1 gene:SETIT_030814mg transcript:KQL27196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEEHAEKREEVSELAPFDPTKKKKKKKVSIQDPSDEVDKLADKTESLAVSEPAELNFSGMKKKKKKQVDLDSSLADLGDGEDTQDDQAVDDEQGEGIMLGDGPRYPWDGTDRDYKYEELLDRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQCGSSRSVAPIKAGFVAQVGRRKA >KQL26269 pep chromosome:Setaria_italica_v2.0:II:43428960:43433820:-1 gene:SETIT_0289932mg transcript:KQL26269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPARVLRVSPPPLVASAAILLLLSALPCCQPYTYEQDVFAINGLYTALGAPQLPNWTTNGGDPCNEGWQGVSCVASNITSIILSGASLGGQLGNTLGNFTSLITLDLSNNNIGGTIPDGLPVTMQKFFLSANQLSGSLPSTLSSLTLLTSMSLNNNQLSGDIPDVFLALTGLANLDFSSNNLTGPLPPSMGNLTALTSLHIQNNQLTGTLDVLQDLPFQDLNIENNLFSGPVPVKLLNLPNFKKDGNPFNTSIAPSAQPPAAPTPLPSVSPPARHVPTKEPSTSSSVPGGSTPGSGKHTVSTIKLVGYILTGVVSAVVIVLMAMYCLSKCKERKSRDDIYTKSKIGRVPQKLGEPKIKEVAEIKESPVKHENNVGKGSHVISDAKEEHRLKMPTSAASNVVYDARDGQKSDSSMAAAPGVVTVKPKEHVIDMKKADNLVEEQLHPMQPVALRTEKVIVNPSVRTRKGRVPSVGKVDLTSTVKSFSIASLQQYTNSFSEENFIRDSRFGKVYLAELPDGELLEVLAIDAFNSKVPVDAFLELVVSISELRHPNILGLVGYCAEFEQRLLVYEHCSKMTLHDELHYLDDSSKPLSWNARLQVAVGAAKALQHLHDGCQPPIVHQNFEPSVVLLNSTLVVHISESGLASLASKSVSQLSGRTLFHYEAPEVHESGSVSDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWATSQLYDIDAIAKMVDPSIQGQCSEKALSRFADIISRCIQHEPEFRPPMSEVVQDLTRMVSNASKASM >KQL26270 pep chromosome:Setaria_italica_v2.0:II:43428501:43433962:-1 gene:SETIT_0289932mg transcript:KQL26270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPARVLRVSPPPLVASAAILLLLSALPCCQPYTYEQDVFAINGLYTALGAPQLPNWTTNGGDPCNEGWQGVSCVASNITSIILSGASLGGQLGNTLGNFTSLITLDLSNNNIGGTIPDGLPVTMQKFFLSANQLSGSLPSTLSSLTLLTSMSLNNNQLSGDIPDVFLALTGLANLDFSSNNLTGPLPPSMGNLTALTSLHIQNNQLTGTLDVLQDLPFQDLNIENNLFSGPVPVKLLNLPNFKKDGNPFNTSIAPSAQPPAAPTPLPSVSPPARHVPTKEPSTSSSVPGGSTPGSGKHTVSTIKLVGYILTGVVSAVVIVLMAMYCLSKCKERKSRDDIYTKSKIGRVPQKLGEPKIKEVAEIKESPVKHENNVGKGSHVISDAKEEHRLKMPTSASNVVYDARDGQKSDSSMAAAPGVVTVKPKEHVIDMKKADNLVEEQLHPMQPVALRTEKVIVNPSVRTRKGRVPSVGKVDLTSTVKSFSIASLQQYTNSFSEENFIRDSRFGKVYLAELPDGELLEVLAIDAFNSKVPVDAFLELVVSISELRHPNILGLVGYCAEFEQRLLVYEHCSKMTLHDELHYLDDSSKPLSWNARLQVAVGAAKALQHLHDGCQPPIVHQNFEPSVVLLNSTLVVHISESGLASLASKSVSQLSGRTLFHYEAPEVHESGSVSDRSDVYSFGVVMLELLTGRKPYDSSRPRAEQHLVRWATSQLYDIDAIAKMVDPSIQGQCSEKALSRFADIISRCIQHEPEFRPPMSEVVQDLTRMVSNASKASM >KQL24452 pep chromosome:Setaria_italica_v2.0:II:30276043:30277662:-1 gene:SETIT_031905mg transcript:KQL24452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDPPRLAAFLPPNLPPHIPYSRALQQRLYLIAQHLSRRGRAGSCSPSVPTPAARRGLDQLHAQLLLNGFTHKRFLLAKLLSLATAAADLPRAESLFLSAPASPHHPASPTLANLLLRAAARSGAAPPALLALFSRLVGRHGLRPNAFSFSTLLAALASAGARALPHGRALHARALAGGVLAPSGGSGHVTTSLVDVYAAACQLGDARKVFDEMPGKSVVAWNCMLAAYVRCGELDAALRFFRHDMPGRDAVAWTTVIGGCANSGRAAEAVELFMGMRKARVKDDVVTMVALLTACAELGDLELGRWVHARVDWEGQQQRTVLLDNALIHMYVKCGAVEDALHLFLMMPKRSTISWTTMISGLAIHGRAQEALDLFHRMQEHPDGATLLAVLRACSHAGRIDDGRRYFESMERVYAITPEIQHYGCMVDMLCRWRHLHEALELVENMPFQPNEGAWGALLSGCRREGNLELAAKVTDRLVELQPERAAGHLVLLSNMYAGVGQWEQAGMVRARVAALNAEKPAGRSWVNQNESSVVVA >KQL25746 pep chromosome:Setaria_italica_v2.0:II:40184375:40185275:1 gene:SETIT_031757mg transcript:KQL25746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTINNVWIQHYSILSIEFHYDCNSKKQIRQTGDHGIIHYGSSYYKKLTIKAESNIRYQAGTVLLSLM >KQL26818 pep chromosome:Setaria_italica_v2.0:II:46464931:46467560:-1 gene:SETIT_030603mg transcript:KQL26818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGSDDEALRAKYSQFRRFWMALWSNVFGSFDGINDPSPPRHACCCHTLQFFSAKIAEIRGGLQFPLDVFGIIAVRDSIEPRRNIVFSRPRNNCQTLTQQDPYLELIGPTRAIVVNDPATIEVMLKVKGSAESDDRILCIQAEQLRVSPTIQCQRLLERAFISKRSTVFFTLGQIRSSVEATIFVRVMGGSWRCGFHGQFGARVTRDPRGRAPDTSIDYEKVTLLVCEDLVEQSRVTDSGDILLSRRVLSAVAGGKLIVFVRAWQDDGNIVRNEAVFTPKKAGRSTSDKLEFGFCTMEVTVAWSLISFRE >KQL26641 pep chromosome:Setaria_italica_v2.0:II:45532287:45532786:-1 gene:SETIT_033662mg transcript:KQL26641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIKMVLSGQHEMAVSINQEGPPERFLEALRLIGYKDICRLLECR >KQL26528 pep chromosome:Setaria_italica_v2.0:II:44913458:44914120:1 gene:SETIT_032272mg transcript:KQL26528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLIEGHNPLGMWAMKLPSADTVVVKRTLSTITEHTEGLPGAEETPEYTELRKNFWSSVKPAHFGVKIASRSLMILVRFLITGLFIGLLANFSFGRSLLLKYPEFFSAGLFSRAGPSEEEVKSASFKMWFVGHGYSDAARALERGNKPDKEVITKVSGPEVGYITTPIILVQCALVLLSQRGNLPKGGVHTPGAVFGPTDLQRRLQENGLSFDVHVTRAMR >KQL26779 pep chromosome:Setaria_italica_v2.0:II:46289089:46291728:-1 gene:SETIT_030995mg transcript:KQL26779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDYVPATPGSRWAGESAARRRQRRLSSPSLRTYLTPAFDAVAAGDGGVSGYSSSSSGGLDLGFDASLLRYRRACFAASADLDSRVLLYSPQSAPPPPPPQMRTAYPAADDGVWAAGGGRYGSKREAGRLAAAPGFQEFDDGIPFISPHQATADHPTAAARGPSNSIKLPADLRSPEDGVIPATNAEFSTPKPEAQASAEPAEPTEEEVAEALYSHHRGHRRLPIFRDICPE >KQL26494 pep chromosome:Setaria_italica_v2.0:II:44748295:44749069:-1 gene:SETIT_033510mg transcript:KQL26494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTWLTDQCLVGGESAGCWKDRGRRHHVGRAGPRRPPRLETRTPTHARASWGTAGGLHSTATRVRGVRPPGRRATTRHHDAASRRAPGL >KQL25663 pep chromosome:Setaria_italica_v2.0:II:39721666:39732224:1 gene:SETIT_028661mg transcript:KQL25663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAKFCEHAGGTPEKAGEIVHVENGMTIAQWFKFCIGVAGSIANTRWDWPDWACMKSSPEEYMLKSLASRNSGTGKVRLLGGYGKINGLINNPAYSSDLYIEGGGCTNVDKQMNGSVGTNYKKSVDVHEGLTKDSALLQNSTTMNVGLARNHTVHALNLNPLSTPSGSLHSEASMGRHYKGNHLAHNYGICLEKNLDASFRNPEPRSSRVVNHDSRACRPDFPHKILQDTLCNTSNTELKLGQSSYHQSMTTLFPLVQSAVIEFQKPQSHRPLINQNPCPKQATKVNNNLAEPEHNGPSISTGNKQPLLVTNGTKHSEVGELTDDTSKNSFISLFLSHLERNSTPEPIDDILNSNEHYHPKALDVACGSDHPKNASRHIETRVNDNHPKLAPTIIHMKRRSEGISLSASYSGYNPQDASHGNSQEHLIHGDCPSHLLPNQPNAGISNCARVSCPANCMCCTHMGNKSHQVAHGEIGVPCFYDKMARGHGTFERVDDLCTHRSLRAFAKISCENGKSCCSSQEFLPSFCQNDPSTLGKSICGCCCKIQEGVSKLGFIPGHLCRTRFSSDLGPVVSSKPTLEGQDELCACSSFMQRSSLCSREHILQSCYACPIDGLHYRNSMGHATDSLTKHSLFDGRSTKEQGPCCNGRCCYSVVPKCLSSCAFTKHCDVRVDQIGHTAPISKHQMQMPTRCCTLEENEKLTCQCLSNRIAGRSLSQASRCKDVSDKVMNQPSIAITERLKNISEASVADDSSSKAVTERKGPSKDSVVSKGQPKFGFSSGSSSIVVTKFQMSPEVHKVSSTAKHRKHKNVCDEGSRIEKCSASSYVPASSTGCEEALNSFTRSQLAPSRVKRKGNQISVGSRLEEKDNEELCFGLPKRMRTLRCSSKNSESEDCTRTSSQSSQKGGCQPQNEVNSFSCRVLRTKRKHPTMQLNKPVKQLHSQNKVFKGDGKLPDTKGNFFGGLDSFDRKKQVEDTTPGRTKHHQEGSRAFVRKLPKYVSLNCIVNEPNSEDACSGSAGIDSSLIATGMANDNRKSPKIVPLSLVLKKAKRCHSVKLCKTESTHLYEKKGSDCSVNSSSDCSVDKCPIDDEGCSPQAEYEMQGSKRSRYSSNGLRSHFMAHCKRPSGVLGEDDPLGLKDMETNRLSITSSRSNGTKNRRASVSLTRIKRHKKFANKSACYSSSGKENAVLTHEENVRRDSGRLSLDAPCCVCGISDPEPCNQFIECCKCYIKVHQACYGVLKVPRGQWFCRPCKTNTLNTACVLCGYGGGAMTRALKTKNILKSLLKSLMTTARSEKNVDSLRNGRSECTSLRNPVDSARGDSIANGENITSNSWTSINHNSSLLGPRTMQWVHMVCGLWTPGTKCPNATTMSAFDVSGASPAKRNTACSICGRTGGSFIKCRDVNCLVLFHPWCAHQRGLLQSEPEGERNENVGFYGRCIDHANDFSSRINPKECLRSSSWTCARTEGFKGRKGEGFHGSSHKKSEEYSGEFSVSQEQINAWLRINGSKPSGRGQKEYIHYKQLKGWKHLVVYKSGIHGLGLYTSVFIPRGSMVVEYVGEIVGQRVADKREIEYQSGKRLQYKSACYFFKIDREHIIDATRKGGIARFVNHSCQVMTCA >KQL25664 pep chromosome:Setaria_italica_v2.0:II:39721666:39732224:1 gene:SETIT_028661mg transcript:KQL25664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAKFCEHAGGTPEKAGEIVHVENGMTIAQWFKFCIGVAGSIANTRWDWPDWACMKSSPEEYMLKSLASRNSGTGKVRLLGGYGKINGLINNPAYSSDLYIEGGGCTNVDKQMNGSVGTNYKKSVDVHEGLTKDSALLQNSTTMNVGLARNHTVHALNLNPLSTPSGSLHSEASMGRHYKGNHLAHNYGICLEKNLDASFRNPEPRSSRVVNHDSRACRPDFPHKILQDTLCNTSNTELKLGQSSYHQSMTTLFPLVQSAVIEFQKPQSHRPLINQNPCPKQATKVNNNLAEPEHNGPSISTGNKQPLLVTNGTKHSEVGELTDDTSKNSFISLFLSHLERNSTPEPIDDILNSNEHYHPKALDVACGSDHPKNASRHIETRVNDNHPKLAPTIIHMKRRSEGISLSASYSGYNPQDASHGNSQEHLIHGDCPSHLLPNQPNAGISNCARVSCPANCMCCTHMGNKSHQVAHGEIGVPCFYDKMARGHGTFERVDDLCTHRSLRAFAKISCENGKSCCSSQEFLPSFCQNDPSTLGKSICGCCCKIQEGVSKLGFIPGHLCRTRFSSDLGPVVSSKPTLEGQDELCACSSFMQRSSLCSREHILQSCYACPIDGLHYRNSMGHATDSLTKHSLFDGRSTKEQGPCCNGRCCYSVVPKCLSSCAFTKHCDVRVDQIGHTAPISKHQMQMPTRCCTLEENEKLTCQCLSNRIAGRSLSQASRCKDVSDKVMNQPSIAITERLKNISEASVADDSSSKAVTERKGPSKDSVVSKGQPKFGFSSGSSSIVVTKFQMSPEVHKVSSTAKHRKHKNVCDEGSRIEKCSASSYVPASSTGCEEALNSFTRSQLAPSRVKRKGNQISVGSRLEEKDNEELCFGLPKRMRTLRCSSKNSESEDCTRTSSQSSQKGGCQPQNEVNSFSCRVLRTKRKHPTMQLNKPVKQLHSQNKVFKGDGKLPDTKGNFFGGLDSFDRKKQVEDTTPGRTKHHQEGSRAFVRKLPKYVSLNCIVNEPNSEDACSGSAGIDSSLIATGMANDNRKSPKIVPLSLVLKKAKRCHSVKLCKTESTHLYEKKGSDCSVNSSSDCSVDKCPIDDEGCSPQAEYEMQGSKRSRYSSNGLRSHFMAHCKRPSGVLGEDDPLGLKDMETNRLSITSSRSNGTKNRRASVSLTRIKRHKKFANKSACYSSSGKENAVLTHEENVRRDSGRLSLDAPCCVCGISDPEPCNQFIECCKCYIKVHQACYGVLKVPRGQWFCRPCKTNTLNTACVLCGYGGGAMTRALKTKNILKSLLKSLMTTARSEKNVDSLRNGRSECTSLRNPVDSARGDSIANGENITSNSWTSINHNSSLLGPRTMQWVHMVCGLWTPGTKCPNATTMSAFDVSGASPAKRNTACSICGRTGGSFIKCRDVNCLVLFHPWCAHQRGLLQSEPEGERNENVGFYGRCIDHANDFSSRINPKECLRSSSWTCARTEGFKGRKGEGFHGSSHKKSEEYSGEFSVSQEQINAWLRINGSKPSGRGQKEYIHYKQLKGWKHLVVYKSGIHGLGLYTSVFIPRGSMVVEYVGEIVGQRVADKREIEYQSGKRLQYKSACYFFKIDREHIIDATRKGGIARFVNHSCQPNCVAKIISVRNEKKVMFFAERHINPGEEITYDYHFNREDEGQRIPCFCRSRYCRRYLN >KQL25660 pep chromosome:Setaria_italica_v2.0:II:39721666:39727635:1 gene:SETIT_028661mg transcript:KQL25660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAKFCEHAGGTPEKAGEIVHVENGMTIAQWFKFCIGVAGSIANTRWDWPDWACMKSSPEEYMLKSLASRNSGTGKVRLLGGYGKINGLINNPAYSSDLYIEGGGCTNVDKQMNGSVGTNYKKSVDVHEGLTKDSALLQNSTTMNVGLARNHTVHALNLNPLSTPSGSLHSEASMGRHYKGNHLAHNYGICLEKNLDASFRNPEPRSSRVVNHDSRACRPDFPHKILQDTLCNTSNTELKLGQSSYHQSMTTLFPLVQSAVIEFQKPQSHRPLINQNPCPKQATKVNNNLAEPEHNGPSISTGNKQPLLVTNGTKHSEVGELTDDTSKNSFISLFLSHLERNSTPEPIDDILNSNEHYHPKALDVACGSDHPKNASRHIETRVNDNHPKLAPTIIHMKRRSEGISLSASYSGYNPQDASHGNSQEHLIHGDCPSHLLPNQPNAGISNCARVSCPANCMCCTHMGNKSHQVAHGEIGVPCFYDKMARGHGTFERVDDLCTHRSLRAFAKISCENGKSCCSSQEFLPSFCQNDPSTLGKSICGCCCKIQEGVSKLGFIPGHLCRTRFSSDLGPVVSSKPTLEGQDELCACSSFMQRSSLCSREHILQSCYACPIDGLHYRNSMGHATDSLTKHSLFDGRSTKEQGPCCNGRCCYSVVPKCLSSCAFTKHCDVRVDQIGHTAPISKHQMQMPTRCCTLEENEKLTCQCLSNRIAGRSLSQASRCKDVSDKVMNQPSIAITERLKNISEASVADDSSSKAVTERKGPSKDSVVSKGQPKFGFSSGSSSIVVTKFQMSPEVHKVSSTAKHRKHKNVCDEGSRIEKCSASSYVPASSTGCEEALNSFTRSQLAPSRVKRKGNQISVGSRLEEKDNEELCFGLPKRMRTLRCSSKNSESEDCTRTSSQSSQKGGCQPQNEVNSFSCRVLRTKRKHPTMQLNKPVKQLHSQNKVFKGDGKLPDTKGNFFGGLDSFDRKKQVEDTTPGRTKHHQEGSRAFVRKLPKYVSLNCIVNEPNSEDACSGSAGIDSSLIATGMANDNRKSPKIVPLSLVLKKAKRCHSVKLCKTESTHLYEKKGSDCSVNSSSDCSVDKCPIDDEGCSPQAEYEMQGSKRSRYSSNGLRSHFMAHCKRPSGVLGEDDPLGLKDMETNRLSITSSRSNGTKNRRASVSLTRIKRHKKFANKSACYSSSGKENAVLTHEENVRRDSGRLSLDAPCCVCGISDPEPCNQFIECCKCYIKVSHKKSAISNYLYYFLPLP >KQL25662 pep chromosome:Setaria_italica_v2.0:II:39721666:39732224:1 gene:SETIT_028661mg transcript:KQL25662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAKFCEHAGGTPEKAGEIVHVENGMTIAQWFKFCIGVAGSIANTRWDWPDWACMKSSPEEYMLKSLASRNSGTGKVRLLGGYGKINGLINNPAYSSDLYIEGGGCTNVDKQMNGSVGTNYKKSVDVHEGLTKDSALLQNSTTMNVGLARNHTVHALNLNPLSTPSGSLHSEASMGRHYKGNHLAHNYGICLEKNLDASFRNPEPRSSRVVNHDSRACRPDFPHKILQDTLCNTSNTELKLGQSSYHQSMTTLFPLVQSAVIEFQKPQSHRPLINQNPCPKQATKVNNNLAEPEHNGPSISTGNKQPLLVTNGTKHSEVGELTDDTSKNSFISLFLSHLERNSTPEPIDDILNSNEHYHPKALDVACGSDHPKNASRHIETRVNDNHPKLAPTIIHMKRRSEGISLSASYSGYNPQDASHGNSQEHLIHGDCPSHLLPNQPNAGISNCARVSCPANCMCCTHMGNKSHQVAHGEIGVPCFYDKMARGHGTFERVDDLCTHRSLRAFAKISCENGKSCCSSQEFLPSFCQNDPSTLGKSICGCCCKIQEGVSKLGFIPGHLCRTRFSSDLGPVVSSKPTLEGQDELCACSSFMQRSSLCSREHILQSCYACPIDGLHYRNSMGHATDSLTKHSLFDGRSTKEQGPCCNGRCCYSVVPKCLSSCAFTKHCDVRVDQIGHTAPISKHQMQMPTRCCTLEENEKLTCQCLSNRIAGRSLSQASRCKDVSDKVMNQPSIAITERLKNISEASVADDSSSKAVTERKGPSKDSVVSKGQPKFGFSSGSSSIVVTKFQMSPEVHKVSSTAKHRKHKNVCDEGSRIEKCSASSYVPASSTGCEEALNSFTRSQLAPSRVKRKGNQISVGSRLEEKDNEELCFGLPKRMRTLRCSSKNSESEDCTRTSSQSSQKGGCQPQNEVNSFSCRVLRTKRKHPTMQLNKPVKQLHSQNKVFKGDGKLPDTKGNFFGGLDSFDRKKQVEDTTPGRTKHHQEGSRAFVRKLPKYVSLNCIVNEPNSEDACSGSAGIDSSLIATGMANDNRKSPKIVPLSLVLKKAKRCHSVKLCKTESTHLYEKKGSDCSVNSSSDCSVDKCPIDDEGCSPQAEYEMQGSKRSRYSSNGLRSHFMAHCKRPSGVLGEDDPLGLKDMETNRLSITSSRSNGTKNRRASVSLTRIKRHKKFANKSACYSSSGKENAVLTHEENVRRDSGRLSLDAPCCVCGISDPEPCNQFIECCKCYIKVHQACYGVLKVPRGQWFCRPCKTNTLNTACVLCGYGGGAMTRALKTKNILKSLLKSLMTTARSEKNVDSLRNGRSECTSLRNPVDSARGDSIANGENITSNSWTSINHNSSLLGPRTMQWVHMVCGLWTPGTKCPNATTMSAFDVSGASPAKRNTACSICGRTGGSFIKCRDVNCLVLFHPWCAHQRGLLQSEPEGERNENVGFYGRCIDHANDFSSRINPKECLRSSSWTCARTEGFKGRKGEGFHGSSHKKSEEYSGEFSVSQEQINAWLRINGSKPSGRGQKEYIHYKQLKGWKHLVVYKSGIHGLGLYTSVFIPRGSMVMFFAERHINPGEEITYDYHFNREDEGQRIPCFCRSRYCRRYLN >KQL25661 pep chromosome:Setaria_italica_v2.0:II:39721689:39731180:1 gene:SETIT_028661mg transcript:KQL25661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAKFCEHAGGTPEKAGEIVHVENGMTIAQWFKFCIGVAGSIANTRWDWPDWACMKSSPEEYMLKSLASRNSGTGKVRLLGGYGKINGLINNPAYSSDLYIEGGGCTNVDKQMNGSVGTNYKKSVDVHEGLTKDSALLQNSTTMNVGLARNHTVHALNLNPLSTPSGSLHSEASMGRHYKGNHLAHNYGICLEKNLDASFRNPEPRSSRVVNHDSRACRPDFPHKILQDTLCNTSNTELKLGQSSYHQSMTTLFPLVQSAVIEFQKPQSHRPLINQNPCPKQATKVNNNLAEPEHNGPSISTGNKQPLLVTNGTKHSEVGELTDDTSKNSFISLFLSHLERNSTPEPIDDILNSNEHYHPKALDVACGSDHPKNASRHIETRVNDNHPKLAPTIIHMKRRSEGISLSASYSGYNPQDASHGNSQEHLIHGDCPSHLLPNQPNAGISNCARVSCPANCMCCTHMGNKSHQVAHGEIGVPCFYDKMARGHGTFERVDDLCTHRSLRAFAKISCENGKSCCSSQEFLPSFCQNDPSTLGKSICGCCCKIQEGVSKLGFIPGHLCRTRFSSDLGPVVSSKPTLEGQDELCACSSFMQRSSLCSREHILQSCYACPIDGLHYRNSMGHATDSLTKHSLFDGRSTKEQGPCCNGRCCYSVVPKCLSSCAFTKHCDVRVDQIGHTAPISKHQMQMPTRCCTLEENEKLTCQCLSNRIAGRSLSQASRCKDVSDKVMNQPSIAITERLKNISEASVADDSSSKAVTERKGPSKDSVVSKGQPKFGFSSGSSSIVVTKFQMSPEVHKVSSTAKHRKHKNVCDEGSRIEKCSASSYVPASSTGCEEALNSFTRSQLAPSRVKRKGNQISVGSRLEEKDNEELCFGLPKRMRTLRCSSKNSESEDCTRTSSQSSQKGGCQPQNEVNSFSCRVLRTKRKHPTMQLNKPVKQLHSQNKVFKGDGKLPDTKGNFFGGLDSFDRKKQVEDTTPGRTKHHQEGSRAFVRKLPKYVSLNCIVNEPNSEDACSGSAGIDSSLIATGMANDNRKSPKIVPLSLVLKKAKRCHSVKLCKTESTHLYEKKGSDCSVNSSSDCSVDKCPIDDEGCSPQAEYEMQGSKRSRYSSNGLRSHFMAHCKRPSGVLGEDDPLGLKDMETNRLSITSSRSNGTKNRRASVSLTRIKRHKKFANKSACYSSSGKENAVLTHEENVRRDSGRLSLDAPCCVCGISDPEPCNQFIECCKCYIKVHQACYGVLKVPRGQWFCRPCKTNTLNTACVLCGYGGGAMTRALKTKNILKSLLKSLMTTARSEKNVDSLRNGRSECTSLRNPVDSARGDSIANGENITSNSWTSINHNSSLLGPRTMQWVHMVCGLWTPGTKCPNATTMSAFDVSGASPAKRNTACSICGRTGGSFIKCRDVNCLVLFHPWCAHQRGLLQSEPEGERNENVGFYGRCIDHANDFSSRINPKECLRSSSWTCARTEGFKGRKGEGFHGSSHKKSEEYSGEFSVSQEQINAWLRINGSKPSGRGQKEYIHYKQLKGWKHLVVYKSGIHGLGLYTSVFIPRGSMFRL >KQL24825 pep chromosome:Setaria_italica_v2.0:II:33569694:33572030:-1 gene:SETIT_032994mg transcript:KQL24825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPMAPSGAWSGLTDRLDFTIGEVFEQEVRRRFGTQVNHESPSPRGSFFLLATFRRSLVRISEESVGFILESCLGGHAPFFHVVEVSHNHFRFSVSCKAVGLFVYNLRRVIGSVFDVYFHLWSSGAPYWEREKRLWEAEEELRWTKVLSKSQKRADKKKAIKRVSFAKQIVCHSPLNKSAPPMKPSFIRFGAFDVDISSANGVHDDDVSVQALEHLIDQRFALSTPNQVLTQNVSVSATPSSGILKKSSNNDSETEVATEDQAHCNMSPNYFHSASHPETGTGRTRAELKSVFSSGDRSLMAVQVVKHARCLGGCTRCFRLDHSCFECREDIRCAFCFNYGHRFKFCLTKSRPRVYWRPKRPLHGEQPTQCETVENKEAPLNSFASRNPSPGPPITLELPLPVSSDGIVTDRQLQCSSRPTLSFECDYQIREMANQFHLHKGFGPPLSVEMLLKELALLAGETQKLLPLKDALPSTSWNFFPPLFPMKQWFLDVDNPSRGGNAEASTSTGFTIPRLVPINNNSVEEWIPPSNMENTPIEMLNRDIVVYDQQFAIKQIMENLHPLSSIPGHSPEPLNMESSTVSEVGMEIAMEIDDEYTEEHETGTDLMEIPSLEISTPVTSLKKRKGRPKTPIVDDEVRRSSRFGKNVNQTHVQLDREPRRKPGAARKTVYLSTVEDLKSAIISQSLEYDPDVEYVEPIQADTLFLLGTSFCGIPPEELTDAGLHYAPED >KQL24258 pep chromosome:Setaria_italica_v2.0:II:28183497:28187400:1 gene:SETIT_030210mg transcript:KQL24258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAATGAIAAALLRAQLPLMAPPASRVARAFPGLAAVAPTRFARYTSSSPSLRLLPSLRSARSFCGVSRASPGGAATGSSAEEEGQRLQSELIFLGTGTSEGIPRVSCLTHPTKTCPVCTKAAEPGNPNRRRNTSILLRHATPSGTANILVDAGKFFYHSALQWFPAFGLRTVDAVIITHSHADAIGGLDCLRDWTNNVQPSIPIYVAERDYEVMKMTHYYLIDTSVVIPGAAVSALQFNIIKEEPFTVHNLEVIPLPVWHGQGYRSLGFRFADICYISDVSDIPEETYKLLENCELLIMDALRPDRSSSTHFGLPRALEEVRKIKPKKTLFTGMMHLMDHEKVNDDLARLMETEGLDIQLSYDGLRIPVRL >KQL25933 pep chromosome:Setaria_italica_v2.0:II:41334887:41341606:-1 gene:SETIT_0291151mg transcript:KQL25933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSASMAAAIEARFSSRDLIGRGSFGDVYKGFDKELNKEVAIKVIDLEEAEDDIEDIQKEISVLSQCRCPYITDYYGSYLHQTKLWIVMEYMAGGSVADLLQAGPPLDEMSIACILRDLLHAIEYLHSEGKIHRDIKAANILLTESGDVKVADFGVSAQLTKTMSRRKTFVGTPFWMAPEVIQNSEGYNEKADIWSLGITAIEMAKGEPPLADIHPMRVLFMIPRENPPQLDEHFSKPMKEFVSLCLRKNPAERPSAKELLKHRFIKNARKTPKLLERIRERPKFAAKHMDATQNGQTHVEEDDVGTGTIKVDRAKDAAPPLSQGTVRKATGWDLPDRSEGTGTVRVVSRPPQAASTKDSRFDTPQSPITPRRTADRENQWRTSYTGSEESLSQRDTQNERGRLQSSTDDNDQSVSGSGTVVLRSPRASEARNHSSKPPSRFSSYEDMSSSGTVVRIQSEDPETPRSSRSRLGIQEKASSSSLEDSAINLAEAKAALQAGLRKGNARERPVVNRYEKESHEHRSSGVNSQELQSEGVDMQKGHKSRQLPDGQSASRASSSVASPAISSLIIPSLKE >KQL26531 pep chromosome:Setaria_italica_v2.0:II:44925361:44929166:-1 gene:SETIT_028758mg transcript:KQL26531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLGFLGLLLVAGEVVVIAGAQGGAGSAGDDREVLVELKRFLQENNRVNRGAYDTWSESDASPCGWQGVGCDAAGRVASLDLSRASISGPAFGNFSRLPALASLDLSDNTISGAGDIGQCRGLVHLNISHNLIYGSLDISGLTMLQTLDVSGNRLVGGIAANFTAICADLAVFNVSTNRLTGNITGMFDGCARLEYVDLSSNNFTGELWPGITRFRQFNAAENNLTGSVPPATFPVGCKLESLDLSANKLTGSFPDSIAKCGNLTYLSLWGNGFNGVIPAGLGNLTVIETIILGKNSFDRRIPPELTNCTKLQFLDISKNMFGGNVQDTFGRFASLRYLVLHHNNYTGGIVTSGVLRLPLLARLDLSFNEFSGELPPELADMKSLKYLMLAYNQFSGSIPPAYGRLAELQALDLSYNQLSSGIPETVGNLTSLLWLMLAGNRLSGQIPPEIGKCTSLLWLNLADNELTGKIPPEMAAIGTNPGPTFAKNRKDTAVLAGSGECQAMKRWIPASYPPFSFVYSIMTRENCRSIWDRILKGYGIVPICTNSSSPVRSNTISGYVQLSGNRLSGEIPPEIGAMRNLSLLHLDNNRLTGRLPTEISRLPLVVLNVSRNIISGAIPSEIGRIRCLEMMDLSYNNFSGELPGSLSQLTELNRFNVSYNPLLYGNVPTTGQFGTFDEQSFLGDPLISFPRGAGKQPPPEAADAPAVRGRGMSPKTIAVWFLFSLLVAFVAGALVFFMANLRARFPVDQEPDPESFSCENPKCGSGKCSLQLSTSSPPSGSSSSSSTGCSSAEGVKVFQLCKTAFTYRDIVSATSNFSDDLVIGRGGYGVVYRGVLPDGRTVAVKRLARPRDGDCEREFRAEMEVLADRMGSAWPHPNLVTLYGWCLSGSAKILVYEYLDGGNLEALIPDTAAFGWARRLDTAIGVARALVFLHHECRPAVVHRDVKASNVLLDRDGRAKVTDFGLARVVRPGDTHVSTVVAGTVGYVAPEYGQTWRATTKGDVYSYGVLLMELATGRRAVDGGEEECLVEWARRMDKEGWRSRKEAAAAGGTVSWELLTLGMRCTADAPPERPDMLEVLAALVDVAKAAGVRLEFT >KQL26408 pep chromosome:Setaria_italica_v2.0:II:44155496:44157811:1 gene:SETIT_032063mg transcript:KQL26408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIITKLLRHLALFLFLVQLTDPALASKIKNHASLKPQPSSTYIVHANHLAKPPHFATLEHWYHSMVADNSPHPSNTSRILYTYDTVMQGFAVQLTGDEARRMSGAPGVDGVYEDGLFYPQTTRSPGFVGLDPKNGAWNETNFGDGVIIGFIDTGIWPESVSFNDSGLGPVRASWKGKCVDTEDFNASLCNNKLVGAKAFSAGADARAIRKSGGGVSSPRDKVGHGTHTSSTAAGAEVSDAGLNMFSLGTARGMAPKARIAMYKACDPDGCSGADIVAAIDAAVKDGVDIISISLGGPPRSFHSDAVAIATFGAERQGIFVVLSGGNAGPESSTVINFAPWMATVGAATVDRLFPANLTLGNGVVLEGQSLYIMKAKGTAMIPLVSSGSPDDWTPDTVMGKIVVCMDGATDAYGILLQNAGGAGIVDVDPREWSRDGSTAYPFTLPGLTLSYTSGEKLRAYMASEPNPVASFSFGCETVISKNRAPVVAGFSSRGPNPIVPELLKPDVVAPGVNILAAWSGDASVSGDDRVRDGRTADYNIISGTSMACPHVAGIAALIKKEYPSWTPAMVRSALMTTAWTLDNRGRRIRDDGATAGRHDEVRAATPLVAGAGYVHPDLALDPGLVYDAGERDYVDFLCALNYTPEQLRVFVPNFVGCTRTLAGGPAGLNYPSFVVVFDSRTAVRTLTRTLTKVSDEAETYNVTVKAPKHVKVIVTPRTLEFKEPKETKSYTVEFRNEARGNQKTEWGFGYISWENENHRVRSPVAFHWN >KQL26628 pep chromosome:Setaria_italica_v2.0:II:45473671:45474856:-1 gene:SETIT_032726mg transcript:KQL26628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIINWMQNRFNGKQEKRRSEAGVVNSARDAPVRESCRQEHAREEKSPNGDWPQGLLSIGTLGDEPPPDGGPPRASQAAADVPDFTIEEVKKLQDALNKLLRRAKSKSSSRGSGATDEDRASSQLPLDRFLNCPSSLEVDRRISLRHAAGDGGEFSPDTQIILSKARDLLVNSNGAAIKQKSFKFLLKKMFACRGGFGPAPSLKDPVESRMEKLFKTMLQKKMSARPSNANAGSSRKYYLEDKPSGRRMIGDRPYEEEDDDKGSDSFKWDKTDTD >KQL26025 pep chromosome:Setaria_italica_v2.0:II:41903668:41904626:-1 gene:SETIT_031546mg transcript:KQL26025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPANAKPWKQQALILMAALLLGAASGDTAPGNPDPLGACSAGLEQLRSLDRSCFGTVLFGATSEEDVRKCCGRLGDVISVSRGKCACGLVKAFARRNIDVARVCELGMTKEECRAK >KQL22236 pep chromosome:Setaria_italica_v2.0:II:819139:820726:1 gene:SETIT_033296mg transcript:KQL22236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMATRAKKRRLEEEEKQERVDDFISGLPDGILCDIVTLLPTRDGARTQVLSSRWRHIWRSAPLNLDLPEPLRRSPASDISRVLSAHPGPGRRFYVSYRRGLNHYSLDIDTMTLDGWLRSPAIDGLRELEILLDHYRCMAKLVSLPPSVLRFSTLAVASFESCVLPDCGATHWPHLKKLTLFSVTVSESSLHALLAGCSSIESLLLRDNRGFSRVKIVSPSLRSIGVSSIRGLDDDPRLRQLVIEDAPCLERLLFFDGLGIGISVISAPRLDILGKLKGVGHMLQFGTTALQGSPVASLTTVVPSVRVLALSHMKPCLDVVINLMKCFPHLENLHMKITHDGEENAWFDKYREPIDIRLRKIVLAYFHDRKLHIEFAKFFVMNASVLESMTLELKHGSVGNDAWIRRQRRLLQVKKRASKGARFDFVSRNGSFSLPRANQVHEMSILDPFQRVRQ >KQL22839 pep chromosome:Setaria_italica_v2.0:II:5745088:5746972:1 gene:SETIT_032257mg transcript:KQL22839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKMIHTSKTETKTIRLESSDLIVNIKAKIQEIFRRVLRRPANWIGDIYVKMLAGSDITLDVEPSDKIDNVREKIHGYQRLVFAGKYLEDGGTLADYDIQNESTLHLDFGMQILVKTSTGKTITMQVEPSDTIRRVKAKIQDQQRLLFDGKHLNGWGKLADYNVKKESTLQLDLCPQGGMQVFVKALPRKTIRLKLVRSDTIGRLKAMIQDQQRLLFDGKQLEDGRRLADYNIQKGSTQHLDLGLHDGSANL >KQL22923 pep chromosome:Setaria_italica_v2.0:II:6386542:6388701:-1 gene:SETIT_031392mg transcript:KQL22923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVCLLVLLLSVFCNDRFNCDYSCLLSLLNCLDGVVVVLMSVNHLCRVSYERDTKIVNAASFTIEREDHTIGNIVRMQLHRDPNVLFAGYKLPHPLQYKIIVRIHTTSQSSPTQAYTQAVNDLDKELEYLKQAFEVEKNRYEERAKQGF >KQL24732 pep chromosome:Setaria_italica_v2.0:II:32661561:32666209:1 gene:SETIT_029077mg transcript:KQL24732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGAHVDLEAGHLSSRRGGASAASAAAAADRDRAETEGGNFRKDLFLAYKTLGVVFGGLVTSPLYVYPSMNLASPTKDDYMGLYSIMFWTLTLIGVVKYVGIALNANDHGEGGTFAMYSLLCRHADIGILPSKKVYSEDEPLLHNQSAIDRRPSWLGKFFERSITARRVLLFMAILGMCMLIGDGILTPAISVLSAINGLRAPFPSVSKSVVEALSAAILIGLFLLQKYGTSKVSFLFSPIMAVWTFTTPIIGVYSIFNYYPGIFKAISPHYIVRFFLRNKKEGWRMLGGTVLCITGAEAMFADLGHFSKKGIQIAFLSSIYPSLVLTYAGQTAYLINNVNDYSDGFYKFVPRPVYWPMFVITTLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSQHKEGEVYSPETNYILMVLCVGVVLGFGAGNAIGNAFGVVVIMVMLITTIMLALVMIIIWRTPPALVALFFVPFFIMEGSYVSAVFTKIPEGGWLPFAVSMILALVMFGWYYGRQRKIEYEMSNKVTVERLGELLARPEVQRVPGLCFFYSNIQDGLTPILGHYIKNMSSLHAATVFVTLRYLLVAKVEQRERILVRRLGPSGVYGCTIQYGYADSLRDDDLAAQVMSHLRRHVQTEAGADEAARLEAAWKASVVHVRGKMRLYVGEDAGWFDRVMLGFYEFLHGICRSALPALGTPLQQRVEIGMLYKV >KQL23779 pep chromosome:Setaria_italica_v2.0:II:18647728:18648114:1 gene:SETIT_033779mg transcript:KQL23779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAVLFIFLLNACSWDAAVKAFQWSTRYNLVILLVQSSCHFGGLFYIFTYNSISLWYLD >KQL22666 pep chromosome:Setaria_italica_v2.0:II:4298679:4299903:1 gene:SETIT_032931mg transcript:KQL22666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDMAVMFPNRIRTLIVDDDAKFLKSASRLLSILDFDVVVCSNVAYALKSLTNGNLEGFDVILVHAAKAAACGFNFRAIVEANLLIPVIYFLPQDHEATGDEADELLRALQAGTYVIKRPVDTNEVRSLLWKVIAYRKCELETQARRGGTGGEAGLDVAGEDEDRVHFKVIRGTSRKRKGSSSNPGGSSAGTTAAAGGHPAAKGEEKDNAASLQQ >KQL22224 pep chromosome:Setaria_italica_v2.0:II:676133:678678:1 gene:SETIT_031930mg transcript:KQL22224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWCGVTKLPKSFKRLRNLLHLDLSHCYIEKGLGAALHGLTALQYLDMSNLMYPYASEVSEEEKRRMAVTMRSFTNLKVLKLGWFRHDLNLGSCNNLNFIGILTNLEHLDLSSNNFEYLPKSIGNIKRLHTLNLKDCWKLESLPESIGCATGLKSVLLDGCPNELMDRATSLLHYLLTLPLFKVRGDDVSAHSNLHVLEGENVVDELHIVSLENVRLLEEAQRLNLLTKQNLLNLKLVWTWKSNADRHLEDEDLLGQLVPPMSLKVLSLEGYSSPSFPGWLMAISRHLPNLTSIELKYLGTCSNLPALGQLPYLQSLHLCGIDKVSNIDGGICGGKGANQVISSLEELQTSSHRCNSTPTTTSLAISTTSQHQMNSIPSAGVHR >KQL22877 pep chromosome:Setaria_italica_v2.0:II:6030770:6031254:1 gene:SETIT_033806mg transcript:KQL22877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISYQVQINFNLYNQKVQKAFTLLLQSPELFTRHHQAFKHLWVGRLQHIICSE >KQL25449 pep chromosome:Setaria_italica_v2.0:II:38274052:38278815:-1 gene:SETIT_030750mg transcript:KQL25449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKLGVKRGPWTAEEDRKLINFILSNGHCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLTDAEEQVVIDLHAKLGNRWSKIAAKLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLDRKTSSPATTSHSVVTADSTSKSDEATKQQSPASDVVRDVLAADGFSPTESSTNTVSTGGSSSSSGGSHDQDPLVKWLLEEDPPTGDEPWLDFTAGSVDVDEFSSIAAGPELPWDGATDWLLDYQDFGLEDSSLVVDGYMINGSNGAKF >KQL22824 pep chromosome:Setaria_italica_v2.0:II:5621451:5622597:-1 gene:SETIT_032575mg transcript:KQL22824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIARGLESLGRVLGGSMKPGRLKLPVLSHITDNFSDNRKIGEGGCGHVYKGILPSGKMVAVKKLFKSQTMDERMFQQEVETMMSVKHQNIVRFIGYCSHTEQEAVQMTRKHVFADDLERLLCFEYISKGSLEDHVTDELRGLEWHIRFQIIKGICQGLHHLHKEKSIIHMDLKPANILLNDDLVPKITDFGISRSGETSETMSEVRMYSPQYCAPEYKFDRRMSFMSDIYSLGVIIKEMVTGSREEPNVDRVT >KQL26126 pep chromosome:Setaria_italica_v2.0:II:42481921:42482428:1 gene:SETIT_031678mg transcript:KQL26126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTKETELSKVTHKIIILSTNQLTFPLYTYMRDSMEKLTADSMFASLFCRLPDEHREPYVFNPKKFDQTKFYLLFPVNRGSSST >KQL27031 pep chromosome:Setaria_italica_v2.0:II:47489232:47492388:1 gene:SETIT_030174mg transcript:KQL27031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEMGRKVAKEKEMREWCVALPKVELHAHLNGSVRNSTLLELAKQLADKGIIVFEDVKDVIMKNGRSLPECFKLFDLFHILTTEHDTVTRIAKEVVEDFAAENVVYLEIRTTPKNNEAKGMTKRSYMDAVIKGLKAVEAVDVVLFDSNSRTNDTLACTPTIEFDGDTMKKRIYVRLLLSIDRRETTSAALDTVNLALELKDQGVIGIDLSGNPVVGEWETYLPALQHAKELGIPITIHCGEVANRKEIQAVLDFCPQRLGHVCCLNDAEWKKLKSLMIPVEICLTSNVMTGGAPSLELHHFADLYNAKHPLSLCTDDCGLFSTSLSNEYYLVAATFGLTKPELFHLAQEAVHFIFADENVKKSLKEVFKHAEKRFDDVV >KQL22366 pep chromosome:Setaria_italica_v2.0:II:2044185:2044550:1 gene:SETIT_033480mg transcript:KQL22366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYGGPRAWARRLRPSGRVLAGGRRSSRPSFLSVSGGQRLTTFASSVPSGGVQLHYARQSSRFVHKNTVDFLLGVMMWSCRSYGRKLYPIGVGVTNDNVDWVSFPSVEAFAVMLSAISMVF >KQL22365 pep chromosome:Setaria_italica_v2.0:II:2043586:2044986:1 gene:SETIT_033480mg transcript:KQL22365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTVDNERDEGGGGALLSTRDAPHPMAAAAAGDGAVDPALPRLPPASPRADLSACGHWCSGGRRWAIGVGGGGVGRIWAPFSERFYDLWWTSCLGSSSPP >KQL22990 pep chromosome:Setaria_italica_v2.0:II:7182490:7182954:1 gene:SETIT_031579mg transcript:KQL22990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRWRAERTRKGHRASGYGLGLRTHVGSRSSPGCGVATRLTGVPAGQPVVHGVAAERRRGVALAKELIARWPDRAWTMAARRRMRGQRSGTGSRRSPGGLKATAVVRW >KQL27003 pep chromosome:Setaria_italica_v2.0:II:47329541:47330423:1 gene:SETIT_0300421mg transcript:KQL27003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFAPTGLTTEVKSVEMHHESMQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAREAAGFTAQVIIMNHPGQIAGGYAPVLDCHTSHVAVRFSELLTKIDRRSGKELESGPKFLKNGDAGLVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQL23954 pep chromosome:Setaria_italica_v2.0:II:23464916:23465804:1 gene:SETIT_032607mg transcript:KQL23954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPEPHDCLKERAKWDAWKAVEGKSKDEAMTDYITKVKQLLEEAAASTS >KQL27256 pep chromosome:Setaria_italica_v2.0:II:48849739:48854373:1 gene:SETIT_028934mg transcript:KQL27256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSPAKNTCLDERCFSFSGDVPCTLYDEFDAPQHVKDVTPNIWSPEGNPVLREYAIKIPKEHSSIENDSPRSRCCSCSAGHSPVSSPIALRCRSTRLSNLLNKNEVLDRYIDRGHEDAMVNEKQRQYSSTASMVSNLGRPPRPQSTVPPIPKSMKENTESYPDVDIKDDCLWQVAQEGTRDNCKITAMCNAGRNHISMPDAFERDSATSVEDIYEDLQDVRPPNVICPSACPISGEQETDDMLLQRAKEVESRFIVPCGDEYEFNMLRDKGMSSNDMFQLIQQLIEDRKQLADELSSQIRARIAERSAAKEQYKQSKKELDTRTRRLEKEKSEIQTTLEREMDRRSHDWSVKLSRFQSEEERLHERVRELAEQNVSFQREVTFLEANKAEASTKAASLEMQNNKLNDDIEKLRNEHEKLHNSSVDLRARFTEVVEERDHIREYLKDKEGENKALHKVIARLQTTCNEQERAITGLRQGCKAELDNKFVECDSDKTRKLQMELIRLTGVEQKLRGEIQSCHLEVESLRQENIALLNRLQGVGNGATFSSIRLDQELQARVDSLQMQGLSLLDKISQLCTKLLDLMKHKKLENESFSGNDVLTVSDYTFEYQSIKGGIESLKRSLKTINSVLNEKQSVKEKSGETAARGSSSREQTDDFGLKLKEEAMLSRVLKEALLSKELDIEQLESDLASSLRIQVVMRNEIQRVQDELSCITHKAKKLELQVSKKDEAINELQQDFQESAKELASLRGTLKTVTEERDLSWQESKQLRRNINIMQNEVVSLKKKIEALDEDILLKEGQITILQDSIDKPFDIICSPRSMREFDME >KQL23467 pep chromosome:Setaria_italica_v2.0:II:13867940:13868990:-1 gene:SETIT_0305652mg transcript:KQL23467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GACGYQTAVGKQPFDSMIAAGSTPLYMDGEGCGAATRYLGCVKCTTNAACSGQPATIVITDRSPGDLFPGEVAHFDMSGTAMGAMARPGMADKLRAGGVLRILYRRVPCKYPGVNIAFKVDQGANPFYFDVLIEFEDDDGDLKAVELMEAGSTVWTSMAHNWGATWRLNNGRKLNAPFGLRLTSDSGRVLVANHAIPAKWKPGTTYRSLVNYP >KQL23402 pep chromosome:Setaria_italica_v2.0:II:12066445:12066827:-1 gene:SETIT_033441mg transcript:KQL23402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSLFNMSATKTENYGAQVNLKSLVAILQMHMPNIIQPTCGPKPI >KQL26194 pep chromosome:Setaria_italica_v2.0:II:42957663:42958497:1 gene:SETIT_031048mg transcript:KQL26194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFMCFGGAAAVADDEAAAEAAARHRDQRGRSMSFRARFLSGKKGSGKKKPSAASPPPAKSKKRGMDADDDVYGLFGTASSVASSAPLSSAASLDSGYSSSSSSSSARSSTASSSSPSVSGVLFPPAAARRRAAKKGSSSSPVAGAAAVVLCLLMVVFCGRVGATLLTSTALYLFPRRWPARTARGDDGVGSPERDAEEETTTARRKVVTDQVFLLRNRRK >KQL26434 pep chromosome:Setaria_italica_v2.0:II:44359790:44360530:-1 gene:SETIT_032225mg transcript:KQL26434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKEISELLLSLRPPKAPIESLADIYIKRQGRCYIVPVEEAPKYLDDGFKLVMSPARKDSDQIYITFEPKSTFTKEDVWKYFSQYGPVSDVQIPLRKKRMFGYVSFMYPGTAKRILAERSPTNPHFICGDQVFVKAWKEKHELE >KQL23176 pep chromosome:Setaria_italica_v2.0:II:8942535:8945715:1 gene:SETIT_029896mg transcript:KQL23176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAACSLIVLLLPLLLCFHNSVASPATPLAGELLAEARAPGFAAWLRGVRRRIHQRPELAFQEYRTSELVRAELDAIGVPYRWPVAQTGVVATIVGGAGDNGPIVALRADMDALPVQELVDWEYKSQEKGKMHACGHDAHTAMLLGAAKILQDHKSDLKGTVKFVFQPAEEGQGGAYYILQEGLLDDVSAIFGLHVDPVLPVGVVASRPGPFAATAARFQATVTGKGGHAALPHQAFDPIVAASSAILSLQQIVSREIDPLQGAVVSVTFVKGGDAYNVIPESVTFGGTLRSMTNEGLLYLMKRIKEIVEGQSAIHHCTGTVDFMEGKMRTYPAVINDEGMYDHAKAVAQSLLGEENVKVAPQLMGAEDFGFYAQKMAGAFFTIGVGNKSTMVTVHSTHSPHFVIDEDVLPIGAALHAAVATEYLKKHASA >KQL24357 pep chromosome:Setaria_italica_v2.0:II:29161906:29163015:1 gene:SETIT_032019mg transcript:KQL24357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTTSTATQEQSWSSLPADLLKTIVNLLPWSSHPSFAATCKHWRSAVSPFYPAWITPILLNATDVGSTNIRYYSPYYHKNFEVDKTLETPNANFSCANRHRLTLCQDDGTELIVVHTNLVTGKTYSLCPLERTGFDVYDGAQRMFGINRLEIYRAIESDGGGWYDWQFSEYNHDRPRLNVSPMTNPVFHRGLLYLLDVDGRLAVYDDSRLDEGFKILDVPKGFGFECDGYYLFESDEGELMAVLMGYRGPLVHVVKLNEQNMEWEEVKSLEGRALFTGTLTTTMVKTGMKWMQNKIFVPRLYDWPETIRVDLVDREGELAFVPLSAGAAQHGGAEGRNIWACGLGPEEAPEFWETIKVDYSIWVNFRN >KQL25673 pep chromosome:Setaria_italica_v2.0:II:39771386:39772241:1 gene:SETIT_031319mg transcript:KQL25673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLAVAVLAGFAVDLSAATDHIVGANHGWNPNINYSLWSGNQTFYVGDLISFRYQKGTHNVFEVNETGYDNCTMDGVAGNWTSGKDFIPLPEARRYYFICGNGFCLQGMKVAITVHPLARNASSSNGSSGHGSSGAQGEASAAALGTRSAAWLPALAAAVAAIC >KQL26655 pep chromosome:Setaria_italica_v2.0:II:45595541:45603514:1 gene:SETIT_028693mg transcript:KQL26655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADAPLDFALFQLSPRRQRCELVVSGNGRTEKIASGSVKPFVAHLRAAEEQASAQPPPPAIRLQLERRAPWFSKGTLERFVRFVSTPEVLELANTYDLEMSQLEGARKIYAQGGTGDATSGAAAENVTTSAAAAAAADNTKKELLRAIDVRLSALKQDLAAACSRASSAGFNPNSVSELLLFANHFGANRLSEACTKFMSLCQRHPDISPQNAPPAVSSHWKGFDDGNVRGSSSSDMSIDEPQVDLGESNNKSTVGGSDSQIHRLSNSQGSVHVSSEPVAEQQTKSTIQQAADKQETETDASPATAIGVSRRLSVKDRISMFESQKKEQTPSSGNSTSAGTGRVVPGKGEHRRVPSGASMEKLVRRWSSVSDMSIDLSNNDSGNLNDRKENGTPVATPTSADLEANSKAGVDEGSNELKDSVTSQSCPCQKDNVSMDSTTKNLCPAPNLSNTPAPHNESTYSAEDDMVINSSIESESSFGKEPGFIQGHTRMSNKADSNVSTRSRLKTSAKPVEETLMKDKDILTSPSSEEHFRMIDKEIEGVAHEVPASSEQIPQNDIRGPRLRTKDIRTEAEVIGRKDQPSRTFEKISGGVKSKASSNSRANVRGSSGRDEVTSTETEVHDVRVQRNRPARKAEDVGRKVTAGSDSDCSGRQGTNLSRQSSITDQELNMQARIRPGKGNQDRHGELQMKANELEKLYAAHKLTSSRRVKPTDVQVDSTPMVSEVKPIAALPDTIYTKQVVKESITTNDCDANELLKMVNNPGYNISTPQKLGILSLEESRGKFYEQYMQKRDAKLKEDWKLQREEKEAMLKAMHESLERSKAEMIAKFSRSADVPDSTYVSHYSQKIPPLQSARKNKDQGVDSFLVEEELNSDYLSGDGSSRSADSRKHFSNKVASTQNQKTSVAPIHKRSSRTVSSSYANRRNPPENPLAQSVPNFSDLRKENTRPSPGLRRATTRVQQKSFARSKSIIEESKSILKEDQSRRSQSMRKSQIPDELKDSPSVNEDVYNWAPSRISNNESEGAFAYSTRRTGPPKAFLRKGNGTHPVVGIAGFQAAAAMMANALQHNESSGDFEDQQEDSPDDAKEEEEYESIEENLRESDFPADSDSENPRVSHEFGNSDDPGSENGDVHFPSEVTGLGGTKFTAFTGNVHNPTGDLPAPWSSRLPQLFPYANDNSDGDAFADSPSGSPSPWNSHSLDEITDADVSRMRKKWGSAQMPFAGVNASQQPRKDVSKGLKKLWKFGRKNRGGDGLVNDWVSASTASECDDDMEDGRDLVVGSSDDFRKSRMGYLASYDGFVENEVFAEQEQSLRSSIPNPPANFRLREDQLSGSSLKAPRSFFSLSTFRSKGGDARLR >KQL24959 pep chromosome:Setaria_italica_v2.0:II:34988443:34990287:-1 gene:SETIT_032852mg transcript:KQL24959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGGAIAGGSREGVSLPAGIVADGRRQGDRAEGVPVIDLTAEEEKEWVGSPCAKEVQVIGLTAAGEEEKEGQGVDGPRAEGVPVIDLTGESSDEEEGEQEVKWVGHYSSTQSILLVGDGDFSFSLALATGFGSGANLVATSLDCYDTLKKYSGAESNLAELKKLGAVTLHGVNAKTMILHTDLKMRRFDRVVFNFPHAGFKGKENQPHMINCIL >KQL23831 pep chromosome:Setaria_italica_v2.0:II:20689246:20693746:1 gene:SETIT_029340mg transcript:KQL23831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAPEVIAEKKCGKTVAGNAAPLTSQGHSKESAENASKLGPASLSEITDGDAPTSIGVRESSKDISDSADGSVKLDDSEDAEKSSLRGSVKDSSVSAKCSDRGSSLSKASGSAKVSDQAADMIESGKSSLYRASGGSDVSDESTCSSICSSVSKPHKSNDSKWEAIQAVRTKEGSVGLGHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKIMDKASLASRKKLLRAQTEREILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQKQPGKYFPEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPALLRYSNPSADNQKGNPAYCVQPVCIEPACIQPSCVTTTTCFSPRFFSSKSKKEKKEKKAKADLANQVRPLPELVAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLIKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPDIPKPVEIPRSVASSSQKATSAAEKGSDYLELEFF >KQL26649 pep chromosome:Setaria_italica_v2.0:II:45559704:45562003:1 gene:SETIT_031182mg transcript:KQL26649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCRNLYPGRLSSIALLIGLVLMVLVDWFKNGAASIWIQTRGCRPIRHSGCRAGPHATAPGCKRRSRDSRLPSRGPAIAARTPSRLHLRLACAAASGKPADSSGRGSRQILRAEETSGQALQEAGGRVLQEASGDTSSPRLPAVQVEGKKFRMLSNWQREYTMEHTLTQLKEMAFPIASAIQQFDLFCITWCNL >KQL22600 pep chromosome:Setaria_italica_v2.0:II:3785882:3786678:-1 gene:SETIT_031259mg transcript:KQL22600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKAFGMCWGLLSIGFHMSFHGGWDRRQRAYVRNIAHFSLTIFCSFVIYYLYLLQPSSLANLQWLISMEAILAIGHIMAWGWVVLAITRVASKEENRDSRVLPKNLSMWSKTRQGCTRLRQGWGSMWSKARQGCTRLRQGWGSRMSQEWTRLRQGLWSRMGQGRWPRLRRWCSRRKE >KQL25771 pep chromosome:Setaria_italica_v2.0:II:40428181:40430883:1 gene:SETIT_029584mg transcript:KQL25771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRLRVLAAVVALAAAGCGAFEFQEATVDAIQLGFRNGSLTSTALVRFYLDQIARLNPLLRAVIEVNPDALAQAARADAERQASGGRCAAGLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVPRDAGVVARLRRAGAVILGKANPSEWSNFRPVESGWSARGGQTLNPYVLSASPCGSSAGPGVAAAANMAAVTLGSETDGSILCPSSSNSVVGIKPTVGLSSRSGVIPITPRQDTIGPMCRTVSDAVHVLDAIVGYDKLDAEATGAASKFIPRGGYTQFLKMDGLRGKRIGAPAVFFQGYNDFQTAVYEKHLNTMREHGATVIKDLDIATNFTDLNAQETLLMIAEFKISLNAYLSDLLRSPVRSLSDVIAFNNAHPVEERLKDFGQPDLIAAEETNGIGARERAAIRRLKEISANGLEKLMKEHQLDAIVAPNSDASSVLAVGGYPGIAVPAGYDKEGVPFAISFGGLRGYEPRLIEMAYAFEQATKVRRPPTFKR >KQL26497 pep chromosome:Setaria_italica_v2.0:II:44760802:44761951:1 gene:SETIT_032395mg transcript:KQL26497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILDGSIKEPIGLRTCRYGERVMDAPLMREKIRRRHGTAAPGAEAERTARSDRKLCSILVSPSLQPCSSLHPTPIFHPNLIIRPARDTMKSKEMRIFRMGEGDFGHSTAINDRQHMLANEWWQTYGCSAPNLQKLALRVLSQTCSASGCERNWSLFEHVHNKKRNKLEHQRLNDIVYVYCNLRLHQSLDEIRKGNEAWTVEDNPPRLNSEELNEFRGEFASLSIQCSDGNVKYLELNLDEVEADAMEENEVMAADDENMFDDLDMALDEDLEASSSAAAEAQDWMPFY >KQL24201 pep chromosome:Setaria_italica_v2.0:II:27486740:27487240:-1 gene:SETIT_032610mg transcript:KQL24201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFAARQLKLVTLHRHVSRSCLVKQELVSTRDAATKTVLKAAKVVMGLSSYVATGFLIDWNDESKVGTLLTSAHLIRPKLSPSEDEWIGADEYIPEAEVSSLPSVLHLISMC >KQL24897 pep chromosome:Setaria_italica_v2.0:II:34461311:34466173:-1 gene:SETIT_030049mg transcript:KQL24897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSTPPPAAASTARVATPAKTLLRAPSSPHPSRLPHISMSTSSPRAPPLAAAAGGRGAAAVAPSLLAADPGHREAVLLAARAAMGNCLGETRLDLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNRTRHITPNAVVSSPDKNVTIAKRCTVFPVEFVVRGFVTGTTDTSLWTVYNKGVRNYCGNALPNGMVKNQKLSANILTPTTKAADHDVPVTPDEIIKSGLMSKDDFDEAGSKALSLFAYGQQVALENGLILVDTKYEFGKTADGTIVLIDEVHTPDSSRYWIANSYEDRFKSGLEPENVDKEFLRLWFKDNCNPYEDKVLPEAPEELVCELAWRYIFLFETITNAKFEIPETQEPIHERISRNVAQALRDL >KQL24894 pep chromosome:Setaria_italica_v2.0:II:34461980:34466173:-1 gene:SETIT_030049mg transcript:KQL24894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSTPPPAAASTARVATPAKTLLRAPSSPHPSRLPHISMSTSSPRAPPLAAAAGGRGAAAVAPSLLAADPGHREAVLLAARAAMGNCLGETRLDLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNRTRHITPNAVVSSPDKNVTIAKRCTVFPVEFVVRGFVTGTTDTSLWTVYNKGVRNYCGNALPNGMVKNQKLSANILTPTTKAADHDVPVTPDEIIKSGLMSKDDFDEAGSKALSLFAYGQQVALENGLILVDTKYEFGKTADGTIVLIDEVHTPDSSRYWIANSYEDRFKSGLEPENVDKEFLRLWFKDNCNPYEDKVLPEAPEELVCELAWRYIFLFETITNAKFEIPETQEPIHERISRNVAQALRDL >KQL24896 pep chromosome:Setaria_italica_v2.0:II:34461980:34466173:-1 gene:SETIT_030049mg transcript:KQL24896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSTPPPAAASTARVATPAKTLLRAPSSPHPSRLPHISMSTSSPRAPPLAAAAGGRGAAAVAPSLLAADPGHREAVLLAARAAMGNCLGETRLDLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNRTRHITPNAVVSSPDKNVTIAKRCTVFPVEFVVRGFVTGTTDTSLWTVYNKGVRNYCGNALPNGMVKNQKLSANILTPTTKAADHDVPVTPDEIIKSGLMSKDDFDEAGSKALSLFAYGQQVALENGLILVDTKYEFGKTADGTIVLIDEVHTPDSSRYWIANSYEDRFKSGLEPENVDKEFLRLWFKDNCNPYEDKVHFPVRDNHKCKIRDP >KQL24895 pep chromosome:Setaria_italica_v2.0:II:34462825:34466119:-1 gene:SETIT_030049mg transcript:KQL24895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSTPPPAAASTARVATPAKTLLRAPSSPHPSRLPHISMSTSSPRAPPLAAAAGGRGAAAVAPSLLAADPGHREAVLLAARAAMGNCLGETRLDLAVPGLRLAAKGKVRDVYESGEHLVLVTTDRQSAFDRVLASIPFKGQVLNETSLWWFNRTRHITPNAVVSSPDKNVTIAKRCTVFPVEFVVRGFVTGTTDTSLWTVYNKGVRNYCGNALPNGMVKNQKLSANILTPTTKAADHDVPVTPDEIIKSGLMSKDDFDEAGSKALSLFAYGQQVALENGLILVDTKYEFGKTADGTIVLIDEVHTPDSSRYWIANSYEDRFKSGLEPENVDKEFLRLWFKDNCNPYEDKVYFLCTQFLKPLTEFSSIMNQLNSVECNALKKIRFCQKLRRSWSVS >KQL24562 pep chromosome:Setaria_italica_v2.0:II:31286258:31291582:1 gene:SETIT_028977mg transcript:KQL24562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHATTGAPADPDRPAGRPAGEWLASHRRPAAPSLPQALGRTCHVLSDLVYPPSVIRRRLGCPHAVTARAPARARTNRGRCTDGPATRTRDCGARLRRMGVACAAACGCWRTAATATAQPAAGSCARRVRTPDSETKGHGQACFACPYYLPERRRQTEDKPSQQGTEHSDMGAAFLASWPWDNLGFYKYVLYGPLVGKAAASRAWEAASPDRWILLLLVLFGLRALTYQLWSSFSNMLFATRRRRIVRDGVDFEQIDKEWDWDNFLMLQVLMTATAFYAFPSLRHLPLWDARGFAVALLIHAAATEPLFYAAHRAFHASSRLYARYHSLHHSSRVPQPFTAGSATPLEGIVLAAAMALPLAGACAAGCGSVALAFAYVLAFDSLRAMGHCNVEVFPSSLFQAIPVLRYLIYTPTYHTIHHTKREANFCLFMPLFDLLGGTLDDQSWEMQKQTSAGVDEVPDFVFLAHVVDVMQSLHVPFVLRTFASTPFCVQLFLLPMWPFAFLVMLAMWVWSKTFVISCYNLRGRLHQIWAVPRYGFQYFLPFAKDGINKQIELAILRADKMGVKVLSLAALNKNEALNSGCTLFVNKHPDLRVRVVHGNTLTAAVILNEIPKGTSEVFMTGATSKLGRAIALYLCRKRVRVMMMTLSSERFQRIQKEAPPEFQQYLVQVTKYRSAQHCRTWIVGKWLSPREQRWAPPGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVQGLGTCEYSLERGVVHACHAGGVVHFLEGYTHHEVGAIDVDRIDVVWEAALRHGLRPA >KQL22185 pep chromosome:Setaria_italica_v2.0:II:428173:428861:1 gene:SETIT_033161mg transcript:KQL22185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRSLSAVPINIHAHDEPVAKILELCILHIAMAEMTSKGTPHKVVISEAVDLAKRFCDGVKDHVDMDITGTNQAAEPKA >KQL26127 pep chromosome:Setaria_italica_v2.0:II:42484655:42486998:1 gene:SETIT_029378mg transcript:KQL26127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSSPSSQVKPQQWTACRSCVAASSPALPPPPVVAVARRTVASAPLRAITAESAETSPVQQLEVVTTENELEDAAGLRAQLRRLRAAGVDGVMVDVWWGIVEGAGPARYEWRAYRELFGIVQAEGLKLQAIMSFHACGGNVGDAVSIPIPRWVREVGEEDPDVFYTSPIGERNREYLTIGVDDQPLFHGRTAIQLYADFMKSFRENMVDFLDSGLIVDIEVGLGPAGELRYPSYPEIQGWVFPGIGQFQCYDKYLAADFKAAATAAGHPEWELPVNAGEVNDTPEDTGFFAAEVGTYLTEQGRFFLTWYSSRLLEHGDRVLDEANRAFLGCEVKLAAKVSGIHWWYRHPSHAAELAAGYYNLPGRDGYGPIARVLARHDGAILNFTCAEMRDSEQPKAAMSAPEELVRQALSAGWREGIEVACENALTRYDRSGYNQMLRNARPNGVRRPGGGAGEPPTRRVAAVTYLRLSDELLAGNNFRVFRTFVRKMHADLDYCPDPARYGRPMRPLERSSPEIPMERLLEATAPAPALPFDPETDMSVGGGLAEAIDWVLGKIEWIFG >KQL24490 pep chromosome:Setaria_italica_v2.0:II:30655365:30659825:1 gene:SETIT_031224mg transcript:KQL24490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPMKHKPSAVPINTSLEKLELEDKSAVLVKRRRIEFLGSIVQPQQDIEVDMEHKVADDLPVEISTGDDPMDVLTGCAMQSSGDANGCGKGNIRNISFTGNEPARLRLPKICAAIGWKEPSFDFEEQGPPHNKLFICKVTVHLEGLVNTVMECFSDPKPKKKAAQDHAAQAALWCLERFGHAK >KQL24489 pep chromosome:Setaria_italica_v2.0:II:30655365:30659825:1 gene:SETIT_031224mg transcript:KQL24489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPMKHKPSAVPINTSLEKLELEDKSAVLVKRRRIEFLGSIVQPQQDIEVDMEHKVADDLPVEISTGDDPMDVLTGCAMQSSGDANGCGNEPARLRLPKICAAIGWKEPSFDFEEQGPPHNKLFICKVTVHLEGLVNTVMECFSDPKPKKKAAQDHAAQAALWCLERFGHAK >KQL25224 pep chromosome:Setaria_italica_v2.0:II:36762992:36765096:-1 gene:SETIT_030428mg transcript:KQL25224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWRKRGSLLAVAAVALVAALLPSGEAKLSPDYYRSTCPDVEAIVREAVAKKVNETFVTVPATLRLFFHDCFVEGCDASVIIASRDNDAEKDAPGNVSLAGDGFDTVVRAKAEVEKACPGVVSCADILAIAARDVVTMSSGPHWPVELGRLDGLVSKAGSVAGRLPGPDMRVDDLAALFAKHNLTTLDMVALSGAHTVGFAHCTRFTGRLYRHGVAGGGGGGGASVDPSYDPAYARQLMEACPPDVGATIAVDMDPVTPTAFDNAYYGNLAGGMGLFTSDQALYSDGASRPAVGDFAKNQTRFFEAFKDAMVKLGRVGVKTGRHGEIRRDCTAFNK >KQL25236 pep chromosome:Setaria_italica_v2.0:II:36824304:36827725:-1 gene:SETIT_032628mg transcript:KQL25236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASRALRLSRLSAPLRGGGVSSRPLRPLAAGAGSLLPSAQRPSPSAPATRLFATQAATSSLRDSSPNWNNRPPKETILLDGCDFEHWLVVMDPPSGDPANPDATRDEIIGKYIETLAKVVGSEEEARQKIYSVSTRHYFAFGALVSEELSYKIKELSNVRWVLPDSYLDVKNKDYGGEPFINGQAVPYDPKYHEEWVRNNERAKVRHRGRPRNSDRSRDSSDRRRENTQNFRNRNVPPGQGFNSPPPGQNPMPPRDALPMHHAQGNMTPPRPPNAGAPPSYQPHAPNCQQGGAPGYQGGLPGHQGSHGGPGPSYHSNNPGYQGGGPGYQGSNPPPPFQGGDQPPYQGSNPPRHYQGGGHPHYQGGSPSNGGL >KQL22401 pep chromosome:Setaria_italica_v2.0:II:2365588:2366102:1 gene:SETIT_031722mg transcript:KQL22401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEVPNLMAARNSVLDGLQLECLQGISVLGGCRSEFYFELMVLFGNVWWLLFCICCLMILFDAMCVSETMASFLMFF >KQL23761 pep chromosome:Setaria_italica_v2.0:II:17381576:17382545:-1 gene:SETIT_031601mg transcript:KQL23761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAGQFLLREKSSTQASEIFFNVQFSPLSNTFQFLSSAGAPNRLAAAWCNGRRCKGEHAEQERRPAAGGAAVPDGGGGRSSGGTVKPAEQERRVRACRCAAV >KQL24695 pep chromosome:Setaria_italica_v2.0:II:32302011:32304079:-1 gene:SETIT_033295mg transcript:KQL24695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPHRPRKKRRIPDATAPAVASDALLSLPPEVLDEILSRLDLRDAVRTSALCRAWRRRWESLPSLDINIPYDQQALWTVDCVLPRCSGRVRRFHTFLDELSARRLDDWLLVFSRRGGVEDLNIHRNDNKFFPLHSSVFSWRRLRLPRPLHLLILSEVFIGGDCFIEWEIRAPNLWHLTICSPYDCGWNLVDLPCLHSAVIELWEYGDRDFAKFLSGLVHVRKLMLSTFYAPVNGVSILETLPCTFGNLEILKLLMHFSELPPILFMFCLLRNTPNLEKLKILICWGEEQKIEANEEFLNAQWTDRMCAKLQVVQLTVSHKDPLNELLKCRRALAQAQVLFQGKET >KQL23168 pep chromosome:Setaria_italica_v2.0:II:8904105:8904752:1 gene:SETIT_033346mg transcript:KQL23168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLDDCWAECCYKWDEWKYCLACLGIVAGVVLFAVLLAAFGFVRRVSVSVDEASLTRFELSTTASPATSLAYNLTLTLVIRNPNWAMNLKNTKPLEAFYKFDDQVFDRFELAGKGEKHPAGKTRVYHLAAGATRFVATLGNAGEAEFRKENATGVFQVEVAVAGEVRYTARYTKCKVEASCPLKLQLAPPGTAASAAVVFQRVKCKLAPPEKNC >KQL23223 pep chromosome:Setaria_italica_v2.0:II:9858292:9859463:1 gene:SETIT_032172mg transcript:KQL23223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKFNGEWSASDIKMVKSLIASHNPNNNYADGMNKKHNDIVNDIQVWFPWKERHQVIELYVELVVEMISLTQSGNQSVVAIDNLVSDNSGILVGNPSIDNMDMSFANMKGKTPEATRMVDEVPQRKAIVPQQGGWHNRSFWTKEEHRQFLYGLRKYGRGKWKDISREFVTTRTPVQVSSHAQKYFRRQNNSEKQRYSINDVSLYDAEPWAQNNSSNWEAPAFAGGAYNPNYYGSGSQVATMNNLAQVWPPFMYSADQASSSQATTWTGQQMGPSSSAALALEGAGSQMAWTGDQEGDILPEQWMNIDNV >KQL23097 pep chromosome:Setaria_italica_v2.0:II:8365913:8371263:1 gene:SETIT_029297mg transcript:KQL23097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIYPKLDNSYERPHLSLAPLHNGQKLGPDCPCHGPCALRPPPKASSLLPNHHSSARTARTLLRLPAAPPPHQSPPPTPPSSNPAISHPNPSNSPPESLRSTSASIPSPGALPLPSSRRAGFFPRVFSRPQSDHFSLSPSARAGRRRSAARRRAGPSMSMAYSCAGARLHGRGCIGVAKCRPAAALLGMRGARLRPTVRGWAAARGIRADLPPPPRASADGAFTSGPAVAVPEAGDATEQVAAAAQPAALPDMHDKAGGADVDDGAGGNGEFPPGGGGGDGDNGGGGGGGGGGGDGEEGEDEFGPILSFEQVVQEAEKRGVSLPSLPADMVEAAKSVGIQKLLLLRYLDMQASVWPLGPAIRSCALLRNRMLVDPTFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGRSASEGLLGRVRHAYDALPSSVFEAARPGCQFSVQQRIGTYFFKGILYGCVGFFCGIVGQGIANLIMTAKRSVKKSEDDVPVPPLLKTSALWGVFLGVSSNTRYQIINGLERLVEASPVAKRVPAASLAFTVGVRFANNVYGGMQFVDWARMTGCQ >KQL23098 pep chromosome:Setaria_italica_v2.0:II:8365913:8371263:1 gene:SETIT_029297mg transcript:KQL23098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIYPKLDNSYERPHLSLAPLHNGQKLGPDCPCHGPCALRPPPKASSLLPNHHSSARTARTLLRLPAAPPPHQSPPPTPPSSNPAISHPNPSNSPPESLRSTSASIPSPGALPLPSSRRAGFFPRVFSRPQSDHFSLSPSARAGRRRSAARRRAGPSMSMAYSCAGARLHGRGCIGVAKCRPAAALLGMRGARLRPTVRGWAAARGIRADLPPPPRASADGAFTSGPAVAVPEAGDATEQVAAAAQPAALPDMHDKAGGADVDDGAGGNGEFPPGGGGGDGDNGGGGGGGGGGGDGEEGEDEFGPILSFEQVVQEAEKRGVSLPSLPADMVEAAKSVGIQKLLLLRYLDMQASVWPLGPAIRSCALLRNRMLVDPTFLFKIGTEIVIDTCCATFAEVQKRGEEFWSEFELYAADMLVGVVVNVALVGMLAPYARFGGRSASEGLLGRVRHAYDALPSSVFEAARPGCQFSVQQRIGTYFFKGILYGCVGFFCGIVGQGIANLIMTAKRSVKKSEDDVPVPPLLKTSALWGVFLGVSSNTRYQIINGLERLVEASPVAKRVPAASLAFTVGVRFANNVYGGMQFVDWARMTGCQ >KQL25754 pep chromosome:Setaria_italica_v2.0:II:40261330:40262193:-1 gene:SETIT_033349mg transcript:KQL25754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSSEEALAHDEVVMDDVDSDVEESDSEDDSGEEAQATPSDKAIYNKEAILEKLEDIAWPKNVDWRHKLTIEHDQGEKVDVNDDLARELAFYTQALDGTRQAFEKLQSMKVRFLRPTDYYAEMVKTDVHMHKIKGRLLSEKRRIEEAEERKKAREAKKIAKEVQAEKNKQRAKDKKEQIESVKKWRKQRQQGGFAKGNDDVPDLNFEGEEGFKQSKKKRPGVSPGDRSGGLAKRGKEGKNRRSRDAKFGHGGRKGLKKQNTADTTNDFRSFNKGGESQNKKRKRS >KQL26399 pep chromosome:Setaria_italica_v2.0:II:44118450:44119928:1 gene:SETIT_030543mg transcript:KQL26399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELDVSKSRRFDMAMSRRTRRSTSLVACFQDQYVPSLAQQRHQELKEFLQCQDAELKALQQCEDAEQLALGLDEDEESKIPQAPLQYEDDEQKTPKQYQDEQEKKYQQYSGAEQKKPEHYQCEKEKKPEQHKDEEEKTPEQYQDEDEKASQYQEEVLMIPNQSENDEEMTAERYQLRQEADEKASEQSEEDEEQQKAEQEWCKAEQKEPEQFQSVKKLPLPPRAVDNVPRFSLQELIQQKQLQTGETKPTNKLGGHGESALPDHKVSGSGGGAAGGTTLAMVIKRPEGGKKSMGMIRRCVKALNQMIKTKHGSKKNLHL >KQL26448 pep chromosome:Setaria_italica_v2.0:II:44431023:44433876:1 gene:SETIT_032162mg transcript:KQL26448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTRTRTYHHAKAALVPVPVRGAVGTRRSAASRTRPAARDDGGRTPRKRSRPATRDDDPRFEKTLRERASARGTPQPGGRTDRDSDAGHGTRPTHPHQPARGRRLQPGSPRSPPLRPSPFGFRLLALLRSSSSLGSSTGGRFGQVLVDKLWPELRSVLGGISAPVAWLSENRVGGISPVHHQSVQEACGAVVQFWLVWLGALEVGDLGGVECGLAMEQRKGDAVAAPAAAANGTGELIGYVDVHVRSARDIQNICIYHKQDVYAKVSLPGDGAPVASTQVVNGGGRNPVFDQSLRLGVRAGDVDAALRCEVWMLSRVKNYLQDQLLGFALVPLPEVVAADGGTLAREFPLTTSDLFQTPSGFLQLELSYIGVVPEVVPISPTPKPALADPEEEPENNAGGGAGNGKEYEKIEFPDLNLVEENQIMVSEYTGLPCAAVEAQSSESLLTSEHEDGATTMSHEAGVRLVESFSTDHSTADSVGAFRSDTPVSSVSTTESPGAAAVPATPQSNSSSEPSGNAHSSAEHKEKAAPEAADAEVDSSRTVQESPAANSPGAASEAAVDKPVISVNIEQEVKVDGNQIMDMYMKSMQQFTDSLAKMKLPALDLDNGSSEKSSPAAAASEADSSGADSSAAKKPAAGGQQEKPSPKVFYGSRAFF >KQL26578 pep chromosome:Setaria_italica_v2.0:II:45208725:45214504:1 gene:SETIT_030733mg transcript:KQL26578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESATTYEPKRNSEIRVFESFDDISTDLAEYISQISEISVKERGYFAIALSGAPLVSFLWKLCEAPYNKTLDWSKWYIFWTDERAVAKNHAESNYKLTKEGFLAKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKVRTIGVSESNDCPKFDLILLDMGSDGHVASLFPNHPALELKDDWVTYITDSPQPPPERITFTLPVINSASNIAIVATGDDKVKAVHLAVSDGTEGPDAPALLPARMIHPTDGKLVWFLDKAAAASLEANNGDASYDEHAEYRL >KQL26579 pep chromosome:Setaria_italica_v2.0:II:45208955:45214504:1 gene:SETIT_030733mg transcript:KQL26579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESATTYEPKRNSEIRVFESFDDISTDLAEYISQISEISVKERGYFAIALSGAPLVSFLWKLCEAPYNKTLDWSKWYIFWTDERAVAKNHAESNYKLTKEGFLAKVPILNGHVYSINDNATVEDAATDYEFVIRQLVKVRTIGVSESNDCPKFDLILLDMGSDGHVASLFPNHPALELKDDWVTYITDSPQPPPERITFTLPVINSASNIAIVATGDDKVKAVHLAVSDGTEGPDAPALLPARMIHPTDGKLVWFLDKAAAASLEANNGDASYDEHAEYRL >KQL25964 pep chromosome:Setaria_italica_v2.0:II:41616390:41620659:-1 gene:SETIT_028969mg transcript:KQL25964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSLIDVSAEDDFLLDLATPPPAPAPPRHPDPTHAGSLVGAEAAPYLAPAAGSPAAAGRAADPNGMMEEQAAPERTESPKQRKVKTGVNLRKSLAWDSAFFTSEGVLDTEELAIVNSTFCQTQGSRLPGIVEEMRKSGDSTTSTVENETWAMESIETEVFDNIRASIQRSLGKPNKVPGGLAGSSKPPKATANVPRIAARKGVDRMPQTKIRAPVSTSQGVGGVKQRSQVNSKESAAARVNLPGATEAKTSSKPPRALPRVAMMRSSTNTAIASATSDKRSSTGGAVNRQAAGKTANTSASVRPSGGTKSSSILKSGAFTSTTASSHGVSTDTGPEAKTRTTLSNKNRTAQRVPVRSSSKSDISKTVPSRSSGNRIAARGHTDRASPIISPSSSVDSMSSVISGASTASTVGKMSHTSESLNTLSPSLRKSNDCPPTPKLRPPIVTEGDSACGDNSKSAADLMNQGKGFKPTGLRRPTPKIGYFDAEKSIDQNIGVQVQLQPMKIQCLLPATPKSQPPIQNMNAASSTFGQQESKLNAAPRKENDSSKSEAMKTLPLNVAQMEVEPFKVAEPEACTLQTDPVVAEPEAEKSMKMQCLLPATPNSTFVEQEPEPHYETSASKSKAMPLKAAQIEVEPSKVAVPEACMHRISPVVIKPEHDKSIDQKVGAPVQLPLTEIQCSHPATPTSQASSTLCQQESKPVAAPHKEISACKSKATKAVPLKTVQMEVDPLKVAEPEACLHKTNPVVAADTPKENVPAVHQNIKANVDASSLVDLLTQKLSSISLGEATPDLAS >KQL26565 pep chromosome:Setaria_italica_v2.0:II:45103458:45105902:-1 gene:SETIT_032662mg transcript:KQL26565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASRIGNALRRTSVPSSSPLLQAVRCMSSSKLFVGGLSYATDETTLRNEFSKYGDVLEARVIMDRESGRSRGFGFVTYTSSEEASAAITAMDGKVGHHIYCFIELQGRPVKVNHANDRAGGIRGGGGFGSGGAYGGGGGYATGGYGGGGGYNSGGYGGNSGGYSSGGYGGNSGGYGGDGGGSGGYGSNSNNASGGGYAGKSGYNDGATSGGYASNFNSASGGGYGNVGSYGTTGNPGGNADGYSSPNTYGAGNYNDASGGGYAGNSGYNDGATSGGYASNFNSASGGGYGSGGNYGTTGNPGGNTGGYSSPNTYGAGNNNSGAPSGGSFGGFGGSFSNGGFTAAAAAAGPNSGSNFAGNATSVGFSGHNSAGGFSGGGATGFGANKPQYNGQDDLLGDSYFADKEAAENR >KQL24787 pep chromosome:Setaria_italica_v2.0:II:33169494:33171523:1 gene:SETIT_030114mg transcript:KQL24787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKNGGSSSQRRPAVEEGAPLARQGSVYSLTFDEFQSALGGAAGGGGGGIGRDFGSMNMDELLRNIWNAEETQAMASASGAGAQPAPLQRQGSSLTLPRTLSSKTVDEVWRNLVRDEPPQGVDGGGQQQAHRQSTLGEMTLEEFLVRAGVVRENPAPAAAPAPPPMLPPRPVPVAPKSTAFLGNFPGADDAAAAVALGFAPIGMGDPALGNGLMPPRAAGMGGSAMAVQTAVNQLDSGGKGYSDLLSPTEPLPYSFEGMIRGRRNGGGVEKVVERRHRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNQELERKQAEIMEMQKNEVIAFVICKCQTFLCSTYILSWCSFCLSMLLLLLVCQKEKQRRKNPVKKNYIVTFSHLVSG >KQL24788 pep chromosome:Setaria_italica_v2.0:II:33169494:33172531:1 gene:SETIT_030114mg transcript:KQL24788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKNGGSSSQRRPAVEEGAPLARQGSVYSLTFDEFQSALGGAAGGGGGGIGRDFGSMNMDELLRNIWNAEETQAMASASGAGAQPAPLQRQGSSLTLPRTLSSKTVDEVWRNLVRDEPPQGVDGGGQQQAHRQSTLGEMTLEEFLVRAGVVRENPAPAAAPAPPPMLPPRPVPVAPKSTAFLGNFPGADDAAAAVALGFAPIGMGDPALGNGLMPPRAAGMGGSAMAVQTAVNQLDSGGKGYSDLLSPTEPLPYSFEGMIRGRRNGGGVEKVVERRHRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNQELERKQAEIMEMQKNELPEMLKDPFRRKKRVCLRRTLTGPW >KQL23824 pep chromosome:Setaria_italica_v2.0:II:20591409:20592477:1 gene:SETIT_032516mg transcript:KQL23824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TETDAVWERLTQGMRVNLNIRPIDFFGRSTHVNHQINDGPCALIAVCNVLLLKEDIFFEPHETVVSMEYLLNLVVSFLKEKDLVENVPCILYWNNH >KQL25738 pep chromosome:Setaria_italica_v2.0:II:40091667:40096764:1 gene:SETIT_028846mg transcript:KQL25738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRRVLVPLVLLVGLAFRVDDGGAAHLPPHHPPPPPALPGPPSRLALPGVAGPEDDGAAAASRSTEIAAAGARSTEVVAPAAPKKQSLRELFVVPKPPRHEPARAVSGEAEAEPRSVLQFYDNGTIQLVDRSSQSPLWEISTGPPLSDHITTAESGLNYLIYPLNGNENMNGNGTELWEVYNGNNVMLPWKLEEFVARSPYIRDSVVTVGSKASTVFVVDADNGEIIYKRSIPAPLNELEGPGVEGAPPKLNARTSDDSDNIIVVVRTDYSLSASDLGKHLFNWTRTSFTANYYMKYSHPDMLDQSSCLRGDIPCIRTEGLPLALPDSDSANAIILRDETPIISRDGTDTLKSLQTSRKLPKVSGKSNFVLDDAQNQTHDDARSHFISPDPKATNMPTRNTYRWFFPLFPIFLVIGYLFSLMSANKTCRQFVIQLMRPFMREKKPVDIRGRSEGTPNKRRKTRKKDGLVNGHETLSASDKESNETGGSMEAPVRENSAVTDKGITGGLDGRQIGKLFVSNKEIGRGSNGTVVFEGSYDGRQVAVKRLLHSHNDIAEKETQNLIISDRDPNIVRLYGCEHDNDFVYISLERCQCSLADLIQKQSSLSSGESIANIEVSISIKSKFSNVKGVDVELWMQDGLPSAQLLKLMRDVVAGLAHLHNLGIIHRDLKPQNVLISADGPIRAKLSDMGISKRLQDDMTSVSHHGTGIGSSGWQAPEQLCHGRQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDRNIVNNCFDLFVVDYIPEAVHLISQLLHPNPEMRPTAVYVMHHPLFWSPELRLSFLRDTSDRIEKTSETDLINALEGVGPVAFGGKWGDKFDAALVTDMGRYRKYNFESIRDLLRYIRNKSGHYRELSDDVKAILGSLPEGFDRYFASRFPKLLIEVYKVMWVHCKEEEAFSKYFNGSSV >KQL25737 pep chromosome:Setaria_italica_v2.0:II:40091667:40095758:1 gene:SETIT_028846mg transcript:KQL25737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRRVLVPLVLLVGLAFRVDDGGAAHLPPHHPPPPPALPGPPSRLALPGVAGPEDDGAAAASRSTEIAAAGARSTEVVAPAAPKKQSLRELFVVPKPPRHEPARAVSGEAEAEPRSVLQFYDNGTIQLVDRSSQSPLWEISTGPPLSDHITTAESGLNYLIYPLNGNENMNGNGTELWEVYNGNNVMLPWKLEEFVARSPYIRDSVVTVGSKASTVFVVDADNGEIIYKRSIPAPLNELEGPGVEGAPPKLNARTSDDSDNIIVVVRTDYSLSASDLGKHLFNWTRTSFTANYYMKYSHPDMLDQSSCLRGDIPCIRTEGLPLALPDSDSANAIILRDETPIISRDGTDTLKSLQTSRKLPKVSGKSNFVLDDAQNQTHDDARSHFISPDPKATNMPTRNTYRWFFPLFPIFLVIGYLFSLMSANKTCRQFVIQLMRPFMREKKPVDIRGRSEGTPNKRRKTRKKDGLVNGHETLSASDKESNETGGSMEAPVRENSAVTDKGITGGLDGRQIGKLFVSNKEIGRGSNGTVVFEGSYDGRQVAVKRLLHSHNDIAEKETQNLIISDRDPNIVRLYGCEHDNDFVYISLERCQCSLADLIQKQSSLSSGESIANIEVSISIKSKFSNVKGVDVELWMQDGLPSAQLLKLMRDVVAGLAHLHNLGIIHRDLKPQNVLISADGPIRAKLSDMGISKRLQDDMTSVSHHGTGIGSSGWQAPEQLCHGRQTRAMDLFSLGCLIFYCITKGKHPFGEYYERDRNIVNNCFDLFVVDYIPEAVHLISQLLHPNPEMRPTAVYVMHHPLFWSPELRLSFLRDTSDRIEKTSETDLINALEGVGPVAFGGKWGDKFDAALVTDMGRYRKYNFESIRDLLRYIRNKSGHYRELSDDVKISTISTAGQRTCKRQKVVNE >KQL22931 pep chromosome:Setaria_italica_v2.0:II:6450674:6466108:1 gene:SETIT_028645mg transcript:KQL22931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRGDRKKGKGGGGGKTDLRPDRKQFKKHRKEEAASEQGDCDGEHQQPGSAALLAAASDEADFPRGGRSFLSKDEVAEARAEAEEDFEREGKKGKRKRKAGESSGFGADDDLGTLFGGATTGKLPRFANRITLKNVSPNMKLWGVVIEVNQKDIVLSLPGGMRGFVRSEDVCDIALHENRKDSENSICAEVVHVGQLVPCIVLRVDDDRKEGKVLAAQVKSVEDHGYILHFGVPSFSGFMQKADKENVKIEPRQLIQCVVKAIDKTRAIVHLSSDEDLVSKSIIKDLKGLSIDHLIPGMMVNARVHSVLENGVMLSFLTYFSGTVDIFNLSNPFPSGNWKDGYSKNKKVNARILFVDPSTRAVGLTLNKHLLHLEVPPINLKAGDIYDKSKVLRIDKKAGLFLEIPSSTPSPGFISIHDVSDKDVKNLEKKFKEGSSLRVRILGVRNLEGVAIGTVKDSAFEGSVFTHDDVKPGMLVRAKVVTVEPFGAIVQFSSGVKALCPLPHMSELEHVVKPPKKFKAGAELLFRVLGCKSKRVTVTCKKSLVKSKLDVLASYADAKVGLVTHGWIAKIEKHGCFVKFYNGVQGFVSRSELGLEAGTEAENVYHVGQVIKCRIISVLPASRRINVSFVISHNRIIPADIAKLGSIVSGVVERLTPAAVVVSVNGFSKGTILNEHLADHHDIEGQNLVLSAKHSLINSSNDIPSEILQMHPGALVHGYICNIIEAGCFVRFLGHLTGFSPKDKAVDRRVEKLSDAFYVGQSVRSHILSVNAETARVKLSLQQSMCSSTDSSFIQGYFLLDQKISALKYSSHDWAHAFGIGSLVEGEVGAIEEYGIVLNFNDHPDVVGLIEHHQLSDSTLEVGSSVKGLVLDLSDGVVNLSLKPELISSVRIGGTKKKRQRPTVADLELHEEVNAVVEIVKESYVVLSIPEYNYAIGFASLMDYNSQLLATHRYDNGQRITVVVGNIPSSDPSGRLILLPKASAQDSGLSGSKRAKKKSEYKVGSLVEAEIIDIKPLELILKFGANLHGRIHITEVLEEDSAERPFSKLRIGQKLTARIVAEAEPSGKNGKNFKWELSIRPSMLKGEFEESTAHKEEFNHTTNVVVCGYVVRVDKEWVWLTVSRNVMAHLFILDSSSDPSELKQFQQRFSVGQAVKGCVISVNREKRLLRVKALDNQCAQHNIDKIQQSESSLVEQTKQGDVIGGRVQKILPGVGGLVVQIGPHLHGRVHYTEIVDSWVADPLSGFHEGQFVKCKVLSVSRSSEGSLRVDLSLRSSNIRTDSSNSRLFDEGATCIPRIEKIEDLLPGTEIKGYVKNVNPKGCFIMLSRMVEARITLSNLSDEYVENPQKDFPVGMLVHGRVLSTDPSSGRVEASLRKNTGSKLEKPDDINYSDLHVGDIIDGQVKRVESYGLFVTIRSSELVGLCHVSELSDEPVLDINSRYKAGDMVKAKILKIDEKRHRVSLGMKKSYFDCGLTAGTNDDDEIAPMDISIASQVAGYHNKVHSAAEPRASVLPLQVSLDESEGSDLEDNSNEGHEIANGSEANAKKSDKRLKKEARKQRELEISALEERALQGDIPRTPDDFEKLVRSSPNSSFVWIKYMACLLDLADVEKARAIAERALKTIIPREEEERLNVWVAYFNLENEYGSPREDAVKKVFQRALQYCDHKKLHLALLAVYERTEQYELADELLDRMTKRFKTSCKIWLCRIQFALKQGKDVEYIKAVVNRALLSLPQRKRIKFLSKTAILEFKCGAPEEGRSRFELILREYPKRTDLWSVYLDQEIRLGDIEIIRGLFDKATCLTLPPKKMQFLFKKYLKFEKSLGEDNERIQHVQQIAMKYVQSSLPSESHP >KQL23324 pep chromosome:Setaria_italica_v2.0:II:10822882:10823724:1 gene:SETIT_030738mg transcript:KQL23324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREFDYFVVVDFEATCEKDSRIYPQEIIEFPAVLVDAATGGLVSSFRTYVKPRHHPVLTAFCSELTGIQQEQVDGGVDLATALALHDKWLAAAGVSKNRLAIVTWGDWDCRTMLKFECNFKNISKPSYFNQWVNLRIPFETAFGAGRRNLQEAVREAGLQWDGRLHCGLDDARNTARLLVELMRRGVRISITGSLVPPPLPEPEPELEPEPELQPQAQLSPVNRNLSWCDDGAATTDCFYCYCSVPIRGGVVTMPGPMQGRFFFTCGNWMPTCRFFHWAA >KQL26488 pep chromosome:Setaria_italica_v2.0:II:44710999:44712771:1 gene:SETIT_029796mg transcript:KQL26488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQFTHTAPPPPPLHPNGHGGLGLGLFLDVGAPRARPWPGSFPTPASKISLGNLNSTSCMEQLLVHCANAIEANDATLTQQILWVLNNIAPPDGDSNQRLTAAFLCALVARASRTGACKAVTAAVAAAVESAALHVHRFTAVELASFVDLTPWHRFGYTAANAAILEAVEGFPVVHVVELGTTHCMQIPTLIDMLASRAEGPPILRLTVADVASTTSAPPPALDMSYDELGAKLVNFARSRNMSMDFRVVPTSPGDAFTSLIDQLRVQQLVSDGTEALVVNCHMLLHAVPDETAGSVMSLAQPVSLRTMLLKSLRTLDPNLVVVVEEDADFTADDVVGRLRAAFNFLWIPYDAVDTFLPKGSEQRRWYEAEIGWKVENVLAQEGVERVERQEDRARWGQRMRSAGFRAVAFGEEAAGEVKAMLNEHAAGWGMKREDDDLVLTWKGHNVVFASAWAPS >KQL23281 pep chromosome:Setaria_italica_v2.0:II:10446951:10450199:1 gene:SETIT_031000mg transcript:KQL23281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRTTHTYSSEDALPEGPESDLFVYYCKHCASHVLITDTQLQKMPKRKTDRAHVLDKTKHLSRLNVKESGKVMLKRGEGKLEKQFRMSCLGCDLFVCYRSEEDLEHAPFIYVVDGALSSVAAETNPHDAPVPPCITQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANSELLEFMGKVLGLRLTQMTLQRGWNNKSKLLIVEDLSARQVYEKLLEAVQP >KQL26477 pep chromosome:Setaria_italica_v2.0:II:44609701:44610195:1 gene:SETIT_033417mg transcript:KQL26477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SELEHSSDSCDEYDSANAEFIRKKQVPVGVDCWYEEDEEPPDAEITIRYLVDANGKLIMVRRQLQFHVPGPKHTGKVEVFEADTGAGAWVPVDGGGLGGGGQALFISQRFKCYGQGNLDEDAIDFVDTGEAFGMRSGAIRPALWCLEFCSPTWVFTPDL >KQL25630 pep chromosome:Setaria_italica_v2.0:II:39485216:39486968:-1 gene:SETIT_031375mg transcript:KQL25630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAICSSCGRVHYENPKMVVGCLVEHDNKVLLCRRKIEPAYGLWTLPAGYLEVGESAADGASRETLEEACADVEIVSPFAQLDIPLIGQSYIIFRARLKTPNFSPGSESLECALFALDDIPFDSLAFSSIIVTLRMVFTPPCSPCLPHICSCHL >KQL25347 pep chromosome:Setaria_italica_v2.0:II:37500499:37501767:1 gene:SETIT_030415mg transcript:KQL25347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPDKSSIHVLLLSFPSQGHINPLLQLGKRLAAHRGVRCTLAATRFVLGQSREPQTGTVHVAAYSDGCDTGGYDEAGDPQEYLARLESAGSASLDELLRAESARGQPVRAVVYDSFLLWAPRVARLHGAACAAFFTQACAVNVAYAHALAGRMDLPVAPGGKAVPELPGLSTGLEPADFPTFLTEPDGGCRAYLDLVLQQCQGYEVADHVLVNSFYDLEIEEAEYMASRWCAKTVGPTVPSAYLDNRLPDDVSHGFHLYTPMTEESKAWLDARPDHSVVYVSFGSLAAPGAGQMAEVAEGLYNSGKDFLWVVRASETSKIPQGFSDKVKGRGLCWDTR >KQL26177 pep chromosome:Setaria_italica_v2.0:II:42797151:42800908:1 gene:SETIT_029639mg transcript:KQL26177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEGDAGLRRLAPGEDSISALPDELIQAILACLPSTAAAARTSAVSRRLRRVWTGVPALSFQVEEQRPLRAYSSTADAVDAALGAYSASATLNRLTIDVVGASPPASRIDPWLRFASLRLAGELRLSMAGVPFKQTTLLMFMQPMIPMVSHMQQLELPACERATRIDLAGINFALNLPAAGAFTALRVLRINVAQLVRGDVGHLVSTQCPRLRELEMCDVATVTAGLSISSASLERLVLRRASLGMKGRIDVAAPRLYYLALDNCGNRSAAATITTTMLAELIWNHAYKPSHHSLEGADRQIYRLVATCGSNSAALFKRFDAVDELCLRLSMPSKEDEYKKFLQDLDKLPKTRVLEVKGVSTKRHLEPTMMHLLRKHSRLTNIKVDLFQANSKEIECPPVCSCIPPESWTTDDVVLDSLEEVEISSFTGAPEDIELLKLLFRCKIMIRRLTIHTMSGISLSYEMQKHIWGLARPHCINLEFETRQFWS >KQL26908 pep chromosome:Setaria_italica_v2.0:II:46871137:46874216:1 gene:SETIT_031208mg transcript:KQL26908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLTAPKSGDALFASVDRVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGTRLVDEFLAKSNVSRCVDFKETADVIAKLGFKMFLGVTATVTNWDAEGTSCSFVLEDNPLVDFVELPDTCQGLQYCNVLSGVIRGALEMVSMKTEVTWVRDMLRGDDAYEMRVKLIKQVPEEYPYKDDD >KQL23243 pep chromosome:Setaria_italica_v2.0:II:10105126:10105278:1 gene:SETIT_032173mg transcript:KQL23243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLEVAAVERVVFALNGRRYEVAGADPSMTLLEFIRTRTPFKGTKLGCGE >KQL22389 pep chromosome:Setaria_italica_v2.0:II:2282850:2284976:-1 gene:SETIT_032893mg transcript:KQL22389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNRAPSPATTSSPPSTSASPSPTMLHFPSPSHAARRLSTQSAHGGSHKSPASGHGAAGGGLAVTPQILAGAVGAVLLLFGLVAACCCCCCRGRKRRNAAARPAPHKGMMFYADSSGFKGNSTSGYYCPPPGGARPSPWTQNQVGPAPPAGTSSTAGLMLPPMPPPPPPMPAGLDTAAFSYEELAAATGGFSEANLLGQGGFGYVHRGVLPGSGKEVAVKQLKAGSGQGEREFQAEVDTISRVHHRHLVALVGYCIDGARRLLVYEFVPNYTLEHHLHGKGRPVMEWTVRLRIALGAAKGLAYLHEECDPKIIHRDIKSANILLDNNFEAMVADFGLAKLTSVNHTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRPGDRSSYGQDGLVDWARQALPRALGDGNYNDLVDPRLDGDFDKTEAARLVACAAAAVRHAARRRPKMSQIVMALQGEMPLEELNDGVRPGHGATFSSSSGSGSEYGSGHGSYTAQMERIRRAALPSPEYSADYPGSIPEFGHPSPASSASSVERDERRRHGAGRR >KQL23826 pep chromosome:Setaria_italica_v2.0:II:20638612:20640563:1 gene:SETIT_032309mg transcript:KQL23826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMETSHGGPGGPGETGFLSQDLYNFFSRYKKGKVEESDAEFVLDHMHQMQEKDPEFFFTFRVDAQGRLNNQFWSDAQSADRLWFNHHRSTVVFGCGVLSDETILSYVWLLEASPEAMHQKHPKSLITDGDVEMVRAIEIVMPDADHRLCSWHIEQNMLKQFRGLKLKDFRKFIYHAIYHAMEEGEFDRFWREFRGIHNIKKDNLWVNRMYELRRKWAVMFTRERYFLGMQSNQRSESLNSRLHNHLYRKMSLVDLMEHYKFCLSRIRWNEIELDAKALCSILFTKISIDVLEKSVARIFTPNIFRKNGCLRYEVSLQGNNKCCFHVTCTFGSSLVDARCHCRKLEREGIPCAHTFCVMKYAHIESIPPCCVYVRWTMNAKSAFPTEMCTNTHVWTEQMDRYHSLRSKGNRETERVMKLLDDILKEDAQEHGIEEETPFRPLSAYFSASNQPCSTKVLDPVKIVLKVPISRMLRQKSAVSLLKYATANTRICLDCSNGKEKVKHCYCGASDCCGRLY >KQL24075 pep chromosome:Setaria_italica_v2.0:II:25697704:25704117:-1 gene:SETIT_030421mg transcript:KQL24075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLGCTMRSHGMILARLHMYDWIILLLLAVIDGLLNIIEPFHRFVGKDMMTDLRYPLKGNTVPFWAVPIFGIILPWAIFFGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGNDVYDNITTGVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKITAFDRKGHVAKLCIVFLPLLTASLVAVSRVDDYWHHWQDVFAGAIIGLTVASFCYLQFFPYPFDADAFWPHAYMVQLAEERNSRNANSYSARPTEIETVNIPGQGGITLRDTLNDVESGRSS >KQL24077 pep chromosome:Setaria_italica_v2.0:II:25698109:25700606:-1 gene:SETIT_030421mg transcript:KQL24077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLGCTMRSHGMILARLHMYDWIILLLLAVIDGLLNIIEPFHRFVGKDMMTDLRYPLKGNTVPFWAVPVCLGVLIFKYVLILLHLFKSSCLFLNCFSDLQIFGIILPWAIFFGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGNDVYDNITTGVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKITAFDRKGHVAKLCIVFLPLLTASLVAVSRVDDYWHHWQDVFAGAIIGLTVASFCYLQFFPYPFDADAFWPHAYMVQLAEERNSRNANSYSARPTEIETVNIPGQGGITLRDTLNDVESGRSS >KQL24076 pep chromosome:Setaria_italica_v2.0:II:25695541:25704117:-1 gene:SETIT_030421mg transcript:KQL24076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLGCTMRSHGMILARLHMYDWIILLLLAVIDGLLNIIEPFHRFVGKDMMTDLRYPLKGNTVPFWAVPIFGIILPWAIFFGIYFKKKNFYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGNDVYDNITTGVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKITAFDRKGHVAKLCIVFLPLLTASLVAVSRVDDYWHHWQDVFAGAIIGLTVASFCYLQFFPYPFDADAFWPHAYMVQLAEERNSRNANSYSARPTEIETVNIPGQGGITLRDTLNDVESGRSS >KQL26121 pep chromosome:Setaria_italica_v2.0:II:42469778:42474212:-1 gene:SETIT_029138mg transcript:KQL26121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRGAVRVPALLAALLLAPAVALLVLPFAVVAQQWVGPWSACDATSGNYSAGSAYANSTLQLILGLQINARNSPALFATGSTTANAAAAVYGLMLCRGDLSASDCFDCGTRAWQDVQRTCNRTRDAALVYNQCYVRVAGTDFLASADNTGQKLLISGNNISRGVDVSAYDAAVTRLLNATARYAAGQSPKLFATGQLVGLDPKVPNIWSMAQCASDLSPELCRRCLDDLVARWWKVFPLNGDGARIAGSRCNLRSELGNVFYTGSPMVKLQMNGKAAAPAPSTDVVPATAGGKNNSAGKLLGIILPIVFVAVVAAITLYIWNVRKKRRTLGTKLPHRTHTVEDLESIKSTLLSLSSLQVATNYFDESSKLGEGGFGAVYKGDLSGQEVAVKRLSKGSNQGLEELRNELVLVAKLHHKNLVRLEGFCLEEGERLLVYEYMANKSLDTILFDPEEKRRLDWRKRFNIIEGIARGLQYLHEDSQKKIVHRDMKASNVLLDADMNPKIGDFGLARLFEQDQTRDVTNRIVGTFGYMSPEYVMRGQYSTKSDVFSFGILVIEIITGQRNTGHYFHEQNEDIISIVWRHWSEGTIAEMIDDSLGRNYSETEVLKCVNIGLLCLQQNPVDRPTMSDVMVMLNGDATSSLPPAARPTFFLDPSSGTSGYSYASGTVSYPSAS >KQL25031 pep chromosome:Setaria_italica_v2.0:II:35454056:35457508:1 gene:SETIT_029455mg transcript:KQL25031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRACWESSSEDVTRALLPVHDDRPTRGRSCAGLRAMLANKYLAVASGPAACALICALGDLGGHPAARNMLGVLAWVFLWWITDAVPLAVASMAPLFLFPAFGISSSDAVAKAYMDDVISLVLGSFILALAIEHYSIHRRLALNITALFCGDPVKPHLLLLGICGTTMFISMWIHNTACTVMMMPVATGILQRLPRDELEGGSDAREVQRFSKAVVLGVVYASAIGGIATLTGTGVNIILVGMWSTYFPEQRPITFSSWMSFGLPISLVLFVALWATLCLMYCSKNTGRALSAYLDRSHLRRELGLLGPMAFAEKMVLAVFGGLIVLWMTRSLTDDIPGWAVLFDGKVGDGTVTIMMATLLFIIPSGKNDVEKLMDWGKCRKLQWHIILLLGAGFAIADGFKSSGLTDILSEGLGFLRGAPALAIAPVACVFSGVITEFTSDDATTTLVLPLLAELGKSIGVHPLLLMVPGAIGAQLSYLLPTGSPGNVVGFGTGYITIKDMVVTGMPLKIVGIAALTILLPTLGSLVFGMA >KQL22906 pep chromosome:Setaria_italica_v2.0:II:6273970:6274398:1 gene:SETIT_032856mg transcript:KQL22906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIETHRSGAEVVSGDAICRKKSVDLLEELGLPKGLLPMEDIQEFGYNHTTGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVPEASPEKVTFKTGTGLSDSFDATAFALGE >KQL25222 pep chromosome:Setaria_italica_v2.0:II:36752646:36753211:-1 gene:SETIT_032767mg transcript:KQL25222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVCHCLGAACPGILVGTCFYWLSRQRDRAMVLHDQPIVEGSVERMVRSLGSTGGQLRLCSFNIRDEQPNYSLPLDGLEGVHGVWVMDAAGKWWRVLEAVVEDITAWYFKSLHGHKTPLDFTGMPGGYIIAQREGGAHEPLQRRWQSSSRSTTTSMRSPSTGPVWSINNRVLCYKF >KQL25516 pep chromosome:Setaria_italica_v2.0:II:38826050:38830825:1 gene:SETIT_029065mg transcript:KQL25516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCAASRLAGGGGGGGGGDPVAVCRDRKRLIKAAAERRFALAGAHANYAAALRSVADALDVFVARHTAPAPILITLPTPSNSPPGSPKPSQVQVQELPSPATPSPPPPPLEEEAAPASPPPAEDGGGGAQTPEMGIPYYYPPSATPPPPPPAASVVGGWDFFNPFYGTEEVAAAISDEEMRAVREREGIPELEEAEEEEEEEGSKAAEAKAPKTEASLGVSTPQEEAKDVCEMEGNSTGLEVAVAPQGRELLAALKEVEELFARAAEAGKEVSGMLEAATRVPELKENSSKIIHAITWHRSPSSVSSSYRSELGASSNSLSWTEKSETKSDIFEDYGGMKSGSHSQTLGRLYAWEKKLYEEVKAIDQIRQTYEKKCVQLRNQDAKGSELRSAEKTRTTVRDLYTRIWVSLRAAESISDRIQKLRDEELQPQLVELLQGFTKSWKLMVDSHETQRQIMFEVNSFTCPAYGKFCNDAQRHATLKLEVQLRNWRSCFVSYVNAQKAYIEALDGWLSKFILTDTIRYSRGISSIAPDRAGAPILVVICHDWYTTLSKIPNKRVSFTMRNFLRSVRVLWLKQGEEQQQKRKVDSLSKELDKKLTAYKRAENRIIGTKILEHKPEVDAKQRMEQLSEKKEMLNVLRKRIEMEKAKHQACMRDTHDVTLNGFKIGLASIFESLTEFSKDSVKLYEDLLAQADAKGSEKITAEKRPCVEGPYSHIAVDAT >KQL25227 pep chromosome:Setaria_italica_v2.0:II:36780143:36782326:1 gene:SETIT_029999mg transcript:KQL25227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGHMTMMALAWASSSPSSVKLRFGSGGGGKTTTTSAMPLVTKRSSSSAHLLGRGRALAAAAATATAACDEQRLQKEELLIRLDKERWVEEHMLSLLTPVAEAWQPADLLPTFAAAADEQRSQVAELQARAAGVPDELLVCLVGNMVTEEALPTYMTMGNRTGGAASDATGCDGHGWARWLRGWTAEENRHGDILNRYLYLCGRVDMSQVERTVHHLLRGGMRILEPSCPYHAFIYGAFQERATFVSHARTARRAALHGDACLARLCGVVAADERRHEAAYTRAVARCFEADPDALVRALAAVMRAKVTMPGQFMTDGRDDDLFQHFSAVAQRAGVYTAADYGDMVEHFVRRWGVAELAGLSGEGRRAQDYVCGLPRKIRRMEELAHDRAAQKETQSVSFSWLFDRPVRLH >KQL25701 pep chromosome:Setaria_italica_v2.0:II:39945084:39947213:-1 gene:SETIT_032639mg transcript:KQL25701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PFPIEPSARVTALHGQAHTRTSTPRSDCIRAGAAPAATMGGDHGGGHGGGDFRQKVWSMTGGPYCRPVHWRRNTAIAMFGVFLVCIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGKKEY >KQL26638 pep chromosome:Setaria_italica_v2.0:II:45512544:45518645:-1 gene:SETIT_028822mg transcript:KQL26638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEQAILLASDSPAAAAASPSVRAEALAFCARARDESPPSSLLRLCLSGLASSPHAQVHFWCLQSLHDALLRRRLVLPDDLALLRSSLLSLAVSSHAASPPFLRNKLAQLVALLVRLDYPHVYPSYFLDLLPPAPPQPGQTDMFARVLISLDDDLLSQDYPRSADEASDAMRVKDSMRAQCVPQIARHWHAAAATLRTADPAAAAVALDAARRCISWIDVGLVANDVFVPLLFDIAMSPGSVAPLAAAAVGCLAAVAAKRMDARAKVGLLRSLLVAQQGLGSPDSGLKMAPLVTTYAVEALECYRKLGPSDADGAAALEMLEGVLPAVFAAAESSDDEVDSGSVLEFLSGYVSMMKAPSEKQLGHLGRILEVVRVQMSYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLIALFRSICRVAPAAAQLFIRGLLVTALSSAEVSVEDVEVTLTLFYRLGEAVGEEEIRTGAGLLGELVPMLLAARFSCHTHRLVALVYLETVTRYMKFMQEHVQYVPHLLSVFLDNRGIHHQNALVSRRAGYLFMRAVKLLKAKLVPYLDTILQNLQDVLSQFTSMDWANKDVKFPSSEDGSQIFEAVGLLIGIEEVSPEKQAQCLTALLNPLCHQIESLVMDAKVQGLEESSPRAISLQQIIVALNMVSKGFNERLVMANRPAIGVMFKKTLDVVLQVLVSFPNVKPLRSKVISFLHRMIEILGIPVLPYIPIALRQLLLDNEAKDMVEFLVLVNQIICKFKSSASAVLEEVFPTIASHLSVILSQDAFSAGPASNTEEMRELQELQRTLYTFLHAMATHDLSTILLTPSCMQYLDTIMHLLLFTSCKHKDILLRKGCVQIFVNLVKDWCTNSEDKVTGFREFMIEKFATDCCLYSVLDKSFDLGDANSLVLFGEIAVAQKIMYERFGDVFIQKFIETGLTKVCCPPDLAKQYREKLQGNDIKAFRSFYQSLIEKLRPQGNGSLVFR >KQL26637 pep chromosome:Setaria_italica_v2.0:II:45511551:45518774:-1 gene:SETIT_028822mg transcript:KQL26637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEQAILLASDSPAAAAASPSVRAEALAFCARARDESPPSSLLRLCLSGLASSPHAQVHFWCLQSLHDALLRRRLVLPDDLALLRSSLLSLAVSSHAASPPFLRNKLAQLVALLVRLDYPHVYPSYFLDLLPPAPPQPGQTDMFARVLISLDDDLLSQDYPRSADEASDAMRVKDSMRAQCVPQIARHWHAAAATLRTADPAAAAVALDAARRCISWIDVGLVANDVFVPLLFDIAMSPGSVAPLAAAAVGCLAAVAAKRMDARAKVGLLRSLLVAQQGLGSPDSGLKMAPLVTTYAVEALECYRKLGPSDADGAAALEMLEGVLPAVFAAAESSDDEVDSGSVLEFLSGYVSMMKAPSEKQLGHLGRILEVVRVQMSYDPVYRGHLDVLDKIGKEEEDLMAEQRKDLIALFRSICRVAPAAAQLFIRGLLVTALSSAEVSVEDVEVTLTLFYRLGEAVGEEEIRTGAGLLGELVPMLLAARFSCHTHRLVALVYLETVTRYMKFMQEHVQYVPHLLSVFLDNRGIHHQNALVSRRAGYLFMRAVKLLKAKLVPYLDTILQNLQDVLSQFTSMDWANKDVKFPSSEDGSQIFEAVGLLIGIEEVSPEKQAQCLTALLNPLCHQIESLVMDAKVQGLEESSPRAISLQQIIVALNMVSKGFNERLVMANRPAIGVMFKKTLDVVLQVLVSFPNVKPLRSKVISFLHRMIEILGIPVLPYIPIALRQLLLDNEAKDMVEFLVLVNQIICKFKSSASAVLEEVFPTIASHLSVILSQDAFSAGPASNTEEMRELQELQRTLYTFLHAMATHDLSTILLTPSCMQYLDTIMHLLLFTSCKHKDILLRKGCVQIFVNLVKDWCTNSEDKVTGFREFMIEKFATDCCLYSVLDKSFDLGDANSLVLFGEIAVAQKIMYERFGDVFIQKFIETGLTKVCCPPDLAKQYREKLQGNDIKAFRSFYQSLIEKLRPQGNGSLVFR >KQL24810 pep chromosome:Setaria_italica_v2.0:II:33426697:33427616:-1 gene:SETIT_033230mg transcript:KQL24810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEAPIVDADPKDVPPVTCADRYCEIEGGSHTPDQTTERGRRKLESEETAQRILIRISLLLAAATAVVLWRSRGQPPLVAWRLSFALCLGSCTWAAFFLTETAGRALTFAARVLTFAARVSHGLALAWCADAALGPAIGVLSAHLATHLAAGLLGYALAERRQREGTELSAATVLVAAADDEEEASRQRLLGIVAWTILSVPAAGVPAGVAWIVWHSAGYRVEELVLHMLILLPIASIYGILAVDMMRLGGNLLLAFYFTVVFILRLFLSEALGDVAAMVITALFGYCLCVYATFKRIM >KQL27228 pep chromosome:Setaria_italica_v2.0:II:48702831:48707318:-1 gene:SETIT_033088mg transcript:KQL27228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWEERILVSVRLRPVNAREAERGDGSDWECAGPTTLMFRGNIPERAMFPATYTYDRVFNPECNTRQVYEEGAKQTSSGKTYTMVGITEHSMSDIYDYIDKHKEREFVLKFSAMEIYNEGVRDLLSPDATQLRLLDDPEKGTVVEKLTEETLRDKGHLLELLATIESSAKQFMGRGNSSTLIACVNFVDLAGSERASQTQSAGMRLKEGSHINRSLLTLGKVIRQLSKGGNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAQCHIEQSRNTLLFANCAKNVVTNAQVNAVMSDKVLVKHLQRELARLENELKSPGSASCSTHAEVLREKDELIKQLEEQLKELMEQRDTVQSQLDNFRRVASDGNIDDRTTRRWDEHNRSSDSLPRNVSEDLLSSSDTYDAAYEDQDDVGSKALDASHDCNGHHHDPKIPKGPTEPHQQTMYEQSLSSMHQPRNHISDSSIEIYQASSMPSSELSEEHCKEVSYIETNELRRSQAFFPSDHDGTDIDEEKHGESMINTADSAIKLYTCDSDPSSDTEKPNNDESLALKRCVIISRDSVLTRSKSCRASFMVIPNSWFDDSMDMKMTEPGDIFKYAPIRPEKVRRSLYPENGDCQNDLSLDCSVASGGVAPDTVVDKNTCNDEEESAINDIRCIREVKEKVEECRTSQLEGNQYIITEDFSDIKSAKDVGTDISMATVDSPSRWAINFEKKQKEIIELWHECNVSIVHRTYFFLLFKGDKADNIYLEVEHRRLSFIKGSFSTGFETSSLRNLRHERDMLYRQMLKKLHHLERESLYTKWGIDLNSKQRRLQLSRRIWTQTDMDHVRESAALVAKLVEHLEKGQAIKEMFGLRFTLNPRADRRSFSWQ >KQL26284 pep chromosome:Setaria_italica_v2.0:II:43550472:43552307:-1 gene:SETIT_031212mg transcript:KQL26284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLPSAARPQLPPQFACFASSSVPRVRAAPAYCCASLKQARPSAVAAAVTGRAAAPLLAAALLLAAAPYGLPATIPPAFAQPVSEGAALFRKACIGCHDMGGNILQPGATLFLKDLERNGVVTEEELYNITYYGKGRMPGFGEKCTPRGQCTFGPRLSEDDIKLLASFVKSQAENGWPKIEGDGD >KQL26810 pep chromosome:Setaria_italica_v2.0:II:46428850:46434533:-1 gene:SETIT_029076mg transcript:KQL26810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRFLLNPLPLLLTPKPPSRPLAAHFRAGAGAGAAAHPPAPSPTPRRGPVDEPDVGISRFASSVLGFRGALKQRYSDFIVHEVARDGALVRLTSFDLPDVDERGDNAAEGDADADHTRALESFRLLCGEADYDALRGFLERVLEGDGDLSPIILSADADKAHRLEVHEFIKRNFKFLITDTVEHGDGIQKCIRVRLGSGPHGGRGRNMRGMDGSGWRDDRPFDSRGSTSWPYHLGKFLRFHLYKENRDTQEALGVIGKMLGVQPRSFGFAGTKDKRAVTTQQVTLFKVHASRLVALNSKLAGIRVGDFSYVNEGLALGQLRGNRFAITLRNVVAESDDVIKAAVDGLSKNGFINYYGLQRFGSGSVPGHFVGVALLRGEWRHAVSLILGTRVHYKGHGDIDAALSGIPRYLTMERAMLQRLKKYPGNYLQALMAIPKTLRLMYVHSYQSYLWNHAASMRVEKYGISQVVEGDLVYRKGCSLGEAATVDTFDNDDSNTNSPEMEISCETLPEEVIQSVKIVDSEDLLNTAYTFEDVVLPLPGSETLFPGNEVAEIYHEIAKKDGISLVESVHGIKDFSITSMKGGYRRLLQRPIDFEWDLMTYTDDNVPLLETDLVVLSKTNPSEANKLLSDGISSFASCDSGLDASLDTSGPTTEASSVKTKSNGISEPLPEKLAVKLEFTLPASSYATMAIRELTKTSTSVSYQKTLNS >KQL26809 pep chromosome:Setaria_italica_v2.0:II:46428113:46434533:-1 gene:SETIT_029076mg transcript:KQL26809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRFLLNPLPLLLTPKPPSRPLAAHFRAGAGAGAAAHPPAPSPTPRRGPVDEPDVGISRFASSVLGFRGALKQRYSDFIVHEVARDGALVRLTSFDLPDVDERGDNAAEGDADADHTRALESFRLLCGEADYDALRGFLERVLEGDGDLSPIILSADADKAHRLEVHEFIKRNFKFLITDTVEHGDGIQKCIRVRLGSGPHGGRGRNMRGMDGSGWRDDRPFDSRGSTSWPYHLGKFLRFHLYKENRDTQEALGVIGKMLGVQPRSFGFAGTKDKRAVTTQQVTLFKVHASRLVALNSKLAGIRVGDFSYVNEGLALGQLRGNRFAITLRNVVAESDDVIKAAVDGLSKNGFINYYGLQRFGSGSVPGHFVGVALLRGEWRHAVSLILGTRVHYKGHGDIDAALSGIPRYLTMERAMLQRLKKYPGNYLQALMAIPKTLRLMYVHSYQSYLWNHAASMRVEKYGISQVVEGDLVYRKGCSLGEAATVDTFDNDDSNTNSPEMEISCETLPEEVIQSVKIVDSEDLLNTAYTFEDVVLPLPGSETLFPGNEVAEIYHEIAKKDGISLVESVHGIKDFSITSMKGGYRRLLQRPIDFEWFLTRKR >KQL22678 pep chromosome:Setaria_italica_v2.0:II:4482182:4483771:-1 gene:SETIT_029626mg transcript:KQL22678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLSAVLGELASRSINFIISKISKPKVLEVEDRLERTLLRAQVIVDEATGRSITNQAMLQQLDMLRDAIYRGCYMLDTFRYQSHGVEDDKDQVLSHSLSLSKVNSLIAIRSSNRKTPVTEQLQVALNNLNSIILDVKELVVFLASYPRLYCQPYSMHLQLSNCMFGLQMELELVINFLLNTQPHGSEELEVLPIVGPCKVGKSTLVAHVCKNERVRDHFSEILFLHSHDFTDDNLSLFRGCAMRHQNHISNKNKDRGCLVVIDLVGDLNEDVWNVLYSSCKQRMPRTSKIIITSRSAKITKVGTTQALNLKYLSHEAFWYFLKIVIFGSMDPEMHPRFVQVAIEISNILDRELIAASVVGRLLRDNFDIHFWCKVLAFLRRIIYTHISKFGVRPIDFISQNKHVHLGRMAAPFQDLVLYCEHQSSSQEDVPKIRLVDVMYGSVTALGKLEFLVWISPIPPYYSYVVTCEVRELKRRAAKRKRSIENGTTLC >KQL24672 pep chromosome:Setaria_italica_v2.0:II:32142347:32143970:-1 gene:SETIT_033224mg transcript:KQL24672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPAWASVLAVVLATVLFLRAVSGRRRRPCSSLPGPKPWPVIGNFNLLGALPHRSLDALAKRHGPLMRVQFGSFPVVIASSVDMAKFFLKTHDSAFIDRPKMAAGKYTTYNYSNIAWSPYGAYWRQARKICADELFSARRLESSEHVRREEVRALLRDLHGAAGQVVPLKERLSTMSLNMIARMVLGRKAVDREVVASGGGSVTTWKEFRWMLDELFLLNGVLNIGDWIPWLSWLDLQGYVRRMKRVGKMFDRFMENVVEEHNERRRREGDAFVAKDMVDRLLQLADDPNLEVKFTRDSVKAFTQDLVAGGTESAAVIVEWAISELLKNPEVFAKATEELDSVIGRGRWVTEKDMAHLPYVDAIVKETMRLHMVVPLLSPRLSREDTSVGGHDIPAGTRVLVNAWIISRDPALWEAPEEFRPERFVGSKIDVKGQDFEMLPFGSGRRMCPGYSLGLKVIQGTLANLLHGFAWRLPDGMTKEELSMEEVFGLSTPRKFPLQVVVEPKLPAHLYTAA >KQL25803 pep chromosome:Setaria_italica_v2.0:II:40639377:40641298:-1 gene:SETIT_029993mg transcript:KQL25803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIRHIVDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQELAQKYNMVIVSPILERDVNHGETIWNTAVVIGNNGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLIISDMDLNLCRQIKDKWAFRMTARYEMYASLLSEYLKPDFKPQVIVDPLINKRA >KQL25804 pep chromosome:Setaria_italica_v2.0:II:40639377:40642158:-1 gene:SETIT_029993mg transcript:KQL25804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNGKPAQGEEGRAAAEGSIGGYESLHRLLEANLSPELFQEASRLLLGLNCARPLEAISLPEATTTLAETHNFDVQAFRFSADKEFLRQPRVVRVGLIQNSIAVPTTYHFADQKKAIMDKIRHIVDAAGASGVNILCLQEAWTMPFAFCTREKRWCEFAEPVDGESTQFLQELAQKYNMVIVSPILERDVNHGETIWNTAVVIGNNGNIIGIHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIGVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYRDGLIISDMDLNLCRQIKDKWAFRMTARYEMYASLLSEYLKPDFKPQVIVDPLINKRA >KQL27285 pep chromosome:Setaria_italica_v2.0:II:49006444:49008900:-1 gene:SETIT_029836mg transcript:KQL27285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGMGKQGGAGDRKPGDGADKKDKKFEPPAAPSRVGRKQRKQKGAEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPQEDKAEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVRVSIYP >KQL27284 pep chromosome:Setaria_italica_v2.0:II:49005233:49008900:-1 gene:SETIT_029836mg transcript:KQL27284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGMGKQGGAGDRKPGDGADKKDKKFEPPAAPSRVGRKQRKQKGAEAAARLPNVAPLSKCRLRLLKLERVKDYLLMEEEFVAAQERLRPQEDKAEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGILSFVDKDQLEPGCSILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADELSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTSKMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKEKVMYKKKEGVPDGLYM >KQL25494 pep chromosome:Setaria_italica_v2.0:II:38593150:38593846:1 gene:SETIT_031361mg transcript:KQL25494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNPCYNTNGYASHQTSVPRPPPQPQPPLLPLPAAQAPPQCWSRPVSRAKKPRCYDAAPGPNKLAAPATSAPITVAAPSKKRTAPAATGLEVEWTGTGSLYSVSPPPSSVPMPTSLLLTVTAARKAPTACAVEVAGAGCGGVDVGATDELRRLLRL >KQL26838 pep chromosome:Setaria_italica_v2.0:II:46561319:46563373:1 gene:SETIT_029539mg transcript:KQL26838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAWMAAAAVLATWAFNALVHLVWRPRAVARRLRAQGVRGPGYRFFNGNFGEIRRLQAGIAGATLGVGDHDFIPIVHPHHRNWISLYGRTFLYWSGATPNVFLGDVNVVRHVLFDRTGLYPKNLMNPHLCRLLGKGLVLTDGDDWKRHRKVVHPAFSMDKLKMMTETMSTCARSMMSEWEAQLAKAGGVEIELSRQFEELTADVISHTAFGSSYKEGRQVFLAQRELQILAFSTVFSVQIPVLRYLPTEKNRRTWKLDKQVRGMLMDIIKSRLATKDTAGYGNDLLGLMLEACAPEHGETPALSMDEIIDECKTFFFAGHDTTSHLLTWASFLLSTHPEWQDKLREEVRRECGDEEPNGETLNKLKLVNMFLLETLRLYAPVSIIQRKASSDLDIGGIRVPEGAILTISIATIHRDKEVWGEDADEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQRFSLELSPKYVHAPMDVITLRPRHGLPMLLKRLEA >KQL27201 pep chromosome:Setaria_italica_v2.0:II:48499265:48507566:1 gene:SETIT_028706mg transcript:KQL27201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKASEARGDPPLLRAVELARVVAGEGAGIPSADLAGILVSNLCFAHNSPSLWKLVGQAMASRLLCPLHVLALLTPRVLPQRRAQPEAYRLYLELVKCNITSSSLSMEAGPNRDKITKSISDALQLSKIYGFSGTEFGHVVIMFVLAVTTKLIDSILEDCGFPSGMEEGQESVYAIEGPQPMDLDVKRVSTENQNEHREQLRRKNTAMALDVLHMMVADRKIQSFLRLIFLNMPEKFSSLSQRLSSIEAHKVALERLLPSGHKINDLLLDIRRVCNANYQPNNKRIVDVLGNMRSGGSLLGQLTGAGRAACWIIFDIYVENAIDGKHLSGISAIEVLKEMTKTLQVLNEASWQETFKALWISALRLVQRAREPLEGPIPHLDARLCMLLALIPLSVDAILKEESDIFGAEGSKILPRRQGLISSLQDLIQYSGLLVPPSSVVNAANAAASKAAIFKANYTAGVVNSSMVAQTDSSTKVSMKAVGNMLHLIIEACISRKLIDTSAYLWPGYVVSSAPLKDTTLPQESPWLNFIKGAPLSDPLIDALVATPASSVGELEKLYNIAANGSEEEKTAAAKILCGASLVRGWNIQEHVVGMVVKLLSASLPSDSSISTTGSMNHYLAQMSTLNEILLGVSYGDAIHILSLYGMVPDVAAALMPLCEAFGSIAPPPNHKSTILGETSVYSVFSCAFLCLLRLWKFYKPPQEYCLAGRGGSVRLELTLDYLLLMRNNRIDLSNSSAPSRDSYNNMSSVNEVPAQPVYIDSFPKLRAWYFQNQACIASTLSGLCNKNPVHQVANKILSMICRKMNKGGVPSGNLSSTSSSSVSGSSVNASDDSFQRLAVPAWEFLEAVPFVLEAVLTACAHGRLSSRDLTTSLRDLVDFLPASLAAIVSYFSAEITRGIWKPVPMNGIEWPSPGASLHSIEAEIKEILASAGVQIHSCYPRGVPPMLPLPMAALVSLTITFKLDRSLDCIQGVIGQALENCAGGSSWPSMPIIGALWTQKVRRWHDFIVLSCLRSPFGRDKDAVAQLIQSCFSSFLLSSSGGSDITANRGVGALLGDSITNQGLRLPMAPGFIYLRTCRTFHDTYFVSEVILKQVIEWSHKLANGWSFNGPPQLKSGRTPLSCAASMAHQVAMLGGGLLCIAGGPLVVQVLYEETLPTLLLSAREQSLKDPGPVSSTLQGYAMANMLFFCGSLLWGADRISPVMKLSFLSRRPRVVGTHMDFIAGVLDGHILLGCNPGTWKAYVSRFVFLVVKFVPSWLRDIKLDTLKKIAAGLRSWHEHDLALSLLERGGPQAISAVVETLL >KQL25609 pep chromosome:Setaria_italica_v2.0:II:39350351:39353479:-1 gene:SETIT_033310mg transcript:KQL25609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSAIRYAATVLLLLLLLVQPCASDDRLVPGKPLFPSATIVSDGGSIALGFFSLTNSTPAKLHLGIWYNDIPRLTVVWVANRETPITNSSSSPPSLSLTNTSNLVLSDASGRVLWTTNITGSVSPSPPATGVAAVLLDTGNLVVRTPNGTTLWQSFDHPTDTFLPGMKIWIKSSNHRERHAERMVVSWKGPDDPSPGSFTYGADPNTSLQYAPWTGFTVDGEYQVNSSAYIYVAIVNTEEDTYISYSLSDGATHTRYVLTYAGEYQLQSWNSSSSAWPVLGQWPTWECNHYGQCGPYGYCDSTMAAPTCRCLDGFEPTNPEEWSGGRFSRGYRRKEALRCGDGDGFLALPGMKSPDKFVLVRNRTSEECAAECAKNCSCVAYAYADLSSSGTKGGMTRCLIWAGELIDTEKMGDMAGGETLYLRSAGLDDPGNRRKAKTKLMKILLSAVLVSSIVILAGIIFACFKFQARRRNREDHKKLGLILGDTGTSEGLGEGNTAQDFGFPFVRFEDIVAATLDKEEFRNEVVLIARLQHRNLVRLLSCSVKGEEKMLIYEYLPNKSLDDSRLTIIHRDLKAANVLLDGEMRPKIADFGMARLFNDNQTKANTHRVVGTYGYMAPEYAMEGIFSIKSDVYSFGVLLVEIVTGRRRSSMDDIIGFSNLIIYAWNMWKEGKTGCLADSSIMDTLALLYVQENPDDRPHMSSVVFSLKNRCVTLPRPNQPAYFAQRSTEMDQIRDNIYNLLNTLTLTNIEPRYFFLKIL >KQL26709 pep chromosome:Setaria_italica_v2.0:II:45893390:45893825:1 gene:SETIT_031713mg transcript:KQL26709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNTAAPAASGHRRRHGSLPDCVLNSTPQSPKSSSPPKISSSSASPSVAGERPFWRTATTSSTGPAAAGPTRHARRLP >KQL25688 pep chromosome:Setaria_italica_v2.0:II:39854560:39858624:-1 gene:SETIT_032968mg transcript:KQL25688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRRRPLPPLPPFVGLAVLLSVAAAAVAKTDQPDVAALNVMFNSMNKPSQLSGWKSSGGDPCGGDEEWKGIECSGSSVTEIDASNNNLNGDIPYQLPPNMVQLNVGKNHLNGQLTDMFSQLPKLSTLDLSFNRFSGSLPQSFQHLRNLKTLNVESNQFSGHIDVLAKLPLEDLNLQNNKFTGWIPSKLKDINNLQIGGNQWSSGSAPPGMEKGSALGSSSGGGSGSGVNGLLIGAIVIGVLLAALILLSVLKRNHSSPASSHYIMDESGHNRSFAPLVDDGRAVNMKPLERSTSISSRTPSAMPRKSISDNEFENKLNHSRRSTDPINLVTYSSLDLQAATGNFHSTRLLGQGIIGGVYKAKYADGRVLVVKKFDPLSFSGSSDFMDLVNSISKLRHPNISELVGYCSEPGHYMLVYDYHMNGSIYDFLHLSDDYSKPLTWDTRVRIAIGTASALEYLHEACSPSVIHKNIKASNVLLDADLNPRLTDCGLAYFYEDTSESLGPGYNPPECTRSSGYVMKSDVYCFGVVMLQLLTGRKPYDSSKPRTEQSLVNFVTPQLHDIDALGALADPALRGLYPPKALSRFADVLGRCVQSDPEFRPSISEVVQSLLQCVQRTTSNRRMGGLRSISQRTDDSDW >KQL24432 pep chromosome:Setaria_italica_v2.0:II:30073590:30076089:-1 gene:SETIT_032720mg transcript:KQL24432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVMLMVIASPVLVLLLRAAWVALSCYVLTPLRIRRIMARQGVHGPPPRLLIGNLRDVSAMVAQSTADDMPALSHDIVGRLMPHYVLWSKTYGKFFVYWYGSEPRLCLTDAAMIKEFLSSKYAASATGKSWLQRQGTRHFIGRGLLMANGAHWSHQRHVVAPAFMADKLKGRVGHMVECTKQTIRALREAAAATPSPGGGRRCEVDIAAYMTRLTGDIISRTEFDTSYDTGKRIFHLLEDLQRLTARSSRHLWIPGSQYFPSKYRREIRRLNGELEAVLTESIGRSREIADEGRATSAYGRGLLAMLLTEMERKKQDDGAAAGGRKQQQQFSYDLQLVIDECKTFFFAGHDTSALLLTWALMLLATHHEWQDRARAEVARVCGDAPPSYDDLSKLNVLQMIIHETLRLYPPATLLPRMVFEDIRLGGGIGGGESLHLPAGLSVWIPVLAIHHDESIWGPDAHEFRPERFASGRRPAFLPFAAGPRNCVGQAYALVEAKVVLAMLLQQFRLAISDDYRHAPVNVLTLRPKHGVPVHLRPLRP >KQL26850 pep chromosome:Setaria_italica_v2.0:II:46606042:46616504:1 gene:SETIT_029084mg transcript:KQL26850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGGGGWGGYYDDDDWDLSAEQLDQIERDAIRQLAERKASSSAASTAPVPAPALGAVSPLPSRATAPAAAASSPLGGNHPAARASLEARFGKVEALSPMRNAVNNSQGSAPKVSVHLFLHSSGVIAAKFPYHQLLVDACRKIPKASWNAKERIWMFPPSSLSIAEEVLDSVHGLAVEVRKLDPLVQRALVAALASKDLRGFYDRIPPEVESKLMPFQREGIRFVLQHGGRALIADEMGLGKTLQAIAVASCLHDAWPVLVIAPSSLRLQWASMIQSWLNIPMEDILVVLPQTGGSHKAGFRLVYSNTKGDFRLDGVFNVISYDVVPKIQSTLLDLDFKIVIADESHFLKNGQAKRTIASLPVLQKAQYVILLSGTPALSRPIELFTQLQALYPTVYKNVSEYGNRYCKGGFFGVYQGASNHEELHNLMKATVMIRRLKKDVLSQLPVKRRQQIFLDLSEKDVKYVRALFHELETLKIKIESADSKEMIDSLKFTQKNLITKLYTDSATAKIPAVLDFLGTVIEADCKFLIFAHHQNMVEAIEQYLLKKKVKCIKIDGQTPVTTRQTLVTDFQNKDDIRAAVLSIRAGGYGLTLTAASTVIFAELSWTPGDIIQAEDRAHRIGQVSSVNIYYLLSNDTIDDLMWDAVQGKLENLGQMLDGQENTLDVSQIDSRPSPSKQQKTLDGFLKRCSTSTEGQPSTKHPRF >KQL23571 pep chromosome:Setaria_italica_v2.0:II:15131249:15131742:1 gene:SETIT_033571mg transcript:KQL23571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQIPFSLNYAYSAVYFQVYSACYLLAMVFIPI >KQL26201 pep chromosome:Setaria_italica_v2.0:II:42998174:42998490:-1 gene:SETIT_033793mg transcript:KQL26201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVRTNCTCMRHKLLPQFHNKYNSCLEKTRFKKVH >KQL26593 pep chromosome:Setaria_italica_v2.0:II:45280342:45281967:1 gene:SETIT_032661mg transcript:KQL26593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPRCRRPSTTPAARGADCNSIHENGGRYNPNTVAAHCSWAANSNYQNNKAKGATCDFTGTATLTTSDPSSSGCSYPTSASAVGTMTPTTGGTMGGTPGTFTLGAGTTGTGMRTGTTTGTTGAGLGLGPAGTGMDTTAATLLPSAGVAAILTVLLSAIAFA >KQL24391 pep chromosome:Setaria_italica_v2.0:II:29408450:29408921:1 gene:SETIT_031742mg transcript:KQL24391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKKRELYSHWPLILSRTVSRKCPTTTGRQSKQEVQIIINKKNIAYPFLKKAAMLAITAMTLLSPDTLPKM >KQL25144 pep chromosome:Setaria_italica_v2.0:II:36269973:36275951:1 gene:SETIT_031700mg transcript:KQL25144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRWEIEDSSVLVYSFLEANAGKAWLGTNRVKRALEWLTRVCSKPYARSSLQCPRQALLCVYEPSCREQRSIMVMLVLPEA >KQL26361 pep chromosome:Setaria_italica_v2.0:II:43964482:43967253:1 gene:SETIT_029227mg transcript:KQL26361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWRPAHSKAIPNSYYSTWVVHESRLGGRPPRMRAAQPVSTKPIRAIRHDARSVVGVACNDENHRTAADLRPCGCRQHARSRHESRPAQLRAHRIAAQRRAPSQRERGRERERERERAAGAAAEAKTTPNGRIFKCSSHRTRPLPASLPSRARPPAFPRFSFTRRPKGSASGQRAVLAVAQRAAAGTACSVSMAAARACLVALAVAAALFLEEGAAAVGAGDAGAASMRQRRRQLLRQRQVRSHLKRLNKAPLATIESPDGDIIDCVPISNQPAFDHPFLKNHTIQMRPAYHPEGLYDESKVASQQQTQTITQMWHQNGKCPEDTIPIRRTKKEDVLRASSVRRYGKKRHRSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPKIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTVR >KQL26362 pep chromosome:Setaria_italica_v2.0:II:43964482:43968797:1 gene:SETIT_029227mg transcript:KQL26362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWRPAHSKAIPNSYYSTWVVHESRLGGRPPRMRAAQPVSTKPIRAIRHDARSVVGVACNDENHRTAADLRPCGCRQHARSRHESRPAQLRAHRIAAQRRAPSQRERGRERERERERAAGAAAEAKTTPNGRIFKCSSHRTRPLPASLPSRARPPAFPRFSFTRRPKGSASGQRAVLAVAQRAAAGTACSVSMAAARACLVALAVAAALFLEEGAAAVGAGDAGAASMRQRRRQLLRQRQVRSHLKRLNKAPLATIESPDGDIIDCVPISNQPAFDHPFLKNHTIQMRPAYHPEGLYDESKVASQQQTQTITQMWHQNGKCPEDTIPIRRTKKEDVLRASSVRRYGKKRHRSTPNPMSVDPDMLNESGHQHAIAYVEGDKYYGAKATINVWQPKIEQANEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINNQIAMGASISPISNYGGSQYDINILVWKDPKEGNWWLQFGNNYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGSHTSTQMGSGHFPEEGFGKASYFRNIQLVDSSNNLKAPRGVGSFTEQSNCYDVQNGNNGDWGAYFYYGGPGKNSNCP >KQL23187 pep chromosome:Setaria_italica_v2.0:II:9029453:9034818:-1 gene:SETIT_031909mg transcript:KQL23187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFDGPPRFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVAVVNHNGTQESEMLHHVLDETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCNTGQWRISNVVQPHTCWSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYDPGVKWCIDSCGMILPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTSKYKGTLMMAVAVDPEQQLVPLAFALVESENNESWSWFMKLVQVHVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFCEKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADDYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNYESPMYMSPLMAAPGYPLLEAAYDLHHRAHLADLNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQIVGGLPPMDGPLLTAMVNRWRPETHTFHMPFGEMTITMQDAAMILGLPLDGQPVTGIIQNENWRDMVEMHIGIRPREPEGGDSSKKTPGPWHRDDALPTFYHVWKHVRPIRGNPDRRYRAYTNEFDVLTQHQVEWKPYDREQLSHIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKWYKENDWRLKHGQYLLLWQNKQGCDPEGRPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQPERAPLHDYMGQQLARLSNEAGVIMEHAVGEGDSLLRAFAERVRKSCRRMAMRMNCMTSSDAHDGGNVQGTSSGSRRTALATTPRAATPSTAAGPSRRSRGKEPASPQESEDSEGDQSEDDDPTYGEELEISHDAPPVTQTQGESSKVYSQVSPNSIIHFNVRSRSVIQIL >KQL24378 pep chromosome:Setaria_italica_v2.0:II:29313402:29313888:-1 gene:SETIT_033634mg transcript:KQL24378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFTNISCARNIRSRESSSKFAKCQAQQSNARTYP >KQL26836 pep chromosome:Setaria_italica_v2.0:II:46549415:46551793:1 gene:SETIT_029872mg transcript:KQL26836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTQSGWISRAVCAQSPTGPCMHSHPSVKGENNSAGESAGGVVASGRQPCPTNNKDTVRWTVLRWQQLPQRPPQLSFACGSSSVGGGIMARRTSGPKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIHLHSMLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKKLRQRGIDPDTHKPLAEASSSRGGGAAAASRTAVFSDAELILSSAAGKHMPPPPVTAESYVYSRSISADGGVSDGSLQSLSGYNGDFAAGYLQEPDALQQGGPSDGPPAVVLPSVSSSSTLNSMAGLSPPATTATDEQCNNNSSSGGGNGSFELSTQQSCSASQLPWLELGTSSGAAAVLDQYGAALDELKWSDYVFDGYGGGGQYQQGQCIYGDSKDAVQFVDASGLSSSWCLN >KQL25709 pep chromosome:Setaria_italica_v2.0:II:39961393:39964552:1 gene:SETIT_030516mg transcript:KQL25709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEKAASFLPSLLGGGGSPEPAATVRSITVYPVKSCRGVSVPQAAITATGFRWDRQWMVVNTKGRAVTQRVEPSLALVEADMPPEAFAAEDWQPASHSHMVIRAPGMDPLKIPLAAEHATIDGVAVWEWSGSAYDEGAEAAEWFSSYLGKPSRLVRFKEVSETRPTDPDYAQGYKVMFSDAFPILIASQGSLDALNEILKEPVRMNRFRANLLVDGCHSYSEDLWKTVKINKLTFMGVRLRDCCKVPTVDQETGTPGIEPNETLRTFRSGEVLRPRHTKKRQVYFGQNLVCKESLSANGKGRIIKVGDPVYVLQSFSSTDEAPA >KQL23305 pep chromosome:Setaria_italica_v2.0:II:10682093:10682670:-1 gene:SETIT_033735mg transcript:KQL23305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPMLCCCYPGPDSNILPASGQLFEASRIMRFPCPRCQMFQVRKRLLKDDMPHQKFALYHRWLHVVSVKHAADVQMYNVQVDPESSLVIAE >KQL23359 pep chromosome:Setaria_italica_v2.0:II:11243874:11244937:-1 gene:SETIT_029937mg transcript:KQL23359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVRRSRSPRPGRHVDNEASHRSNANNGQNADGISRSEPEIVNYQEYENPALSIGEGSVVIGGCHDAMQSSAEVKCPLCRGSVSGWIPAGEVRQYLDRKLRTCSHDSCKFVGTYEQLREHARNAHFLTKPAHVDLSRKRTWDRIEREQEVGDVISAIRSQIPGAIIVGDYVIETRDDMSPDIDSGDESSEEWWSDRGDAESPGNRLDSPSVWANDTLGSPSIWPDERRNLPRLLPQNNRVSPRLSFSNRRSLHSDWQGIRQPRTQSLLRPGFSNRHSGHRSNYRGYRRTFLDRSYTRDPGGSIDPSLVPSRRQRLRYTHRSHY >KQL23360 pep chromosome:Setaria_italica_v2.0:II:11243705:11246758:-1 gene:SETIT_029937mg transcript:KQL23360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVRRSRSPRPGRHVDNEASHRSNANNGQNADGISRSEPEIVNYQEYENPALSIGEGSVVIGGCHDAMQSSAEVKCPLCRGSVSGWIPAGEVRQYLDRKLRTCSHDSCKFVGTYEQLREHARNAHFLTKPAHVDLSRKRTWDRIEREQEVGDVISAIRSQIPGAIIVGDYVIETRDDMSPDIDSGDESSEEWWSDRGDAESPGNRLDSPSVWANDTLGSPSIWPDERRNLPRLLPQNNRVSPRLSFSNRRSLHSDWQGIRQPRTQSLLRPGFSNRHSGHRSNYRGYRRTFLDRSYTRDPGGSIDPSLVPSRRQRLRYTHRSHY >KQL23361 pep chromosome:Setaria_italica_v2.0:II:11243874:11245145:-1 gene:SETIT_029937mg transcript:KQL23361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKKSNRSTVTSRTALHMEWDRISCPICMEQPHNAVLLICSSYKNGCRCYVCNTSHRHSNCLDRFRKMNGDSKVLASHSTYSVLSNSNIRTVQPRAHYNIISRRSRSPRPGRHVDNEASHRSNANNGQNADGISRSEPEIVNYQEYENPALSIGEGSVVIGGCHDAMQSSAEVKCPLCRGSVSGWIPAGEVRQYLDRKLRTCSHDSCKFVGTYEQLREHARNAHFLTKPAHVDLSRKRTWDRIEREQEVGDVISAIRSQIPGAIIVGDYVIETRDDMSPDIDSGDESSEEWWSDRGDAESPGNRLDSPSVWANDTLGSPSIWPDERRNLPRLLPQNNRVSPRLSFSNRRSLHSDWQGIRQPRTQSLLRPGFSNRHSGHRSNYRGYRRTFLDRSYTRDPGGSIDPSLVPSRRQRLRYTHRSHY >KQL23074 pep chromosome:Setaria_italica_v2.0:II:8244649:8248753:1 gene:SETIT_033111mg transcript:KQL23074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPAVEAAAARGGDHDGDGGGRRTAGEWEKTYLDVLGICCTAEVALVERLLSPIDGVRAVTVVVPSRTVIVEHDAAAVSQFHIVKVLNKAGLEASVRAYGSGSGAAGRWPSPFIVACGVLLLASFLAPLLPPLRWLALAAACVGSQPMLLRAVAAAGELTLDINILMLIAVAGSVALGSYTEAGAIVFLFTVAEWLETLACARASAGMLSGWFPVDGVVVGGQSEVDESSLTGESFPVPKQPQSEVWAGTMNLDGYISVRTTALAENSTVAKMERLVEEAQNSRSKTQRLIDSCAKYYTPAVVVLAAGVVLVPLLLGSHDLRQWFQLSLVLLVSACPCALVLSTPVATFCALLRAARMGLLIKGGNILESLGEIRIAAFDKTGTITRGEFSINEFHVVEDKVEMSQLLYWVSSIESKSSHPMAAALVQYSRSKSIRPEPENVMEFHIYHGEGIYGAISGKHIYIGNKKIMARSSCQAAVPEMDDLKGVSTGHVICDGDLVGLFSLSDDCRTGAAKAIKELRSMGIKSVMLTGDSAAAAKHAQEQLGGVLEELHSELLPEDKVRLITKLKTSAGPTLMVGDGWNDAPALATADVGVSMGLSGSAAAIETSHATLMSSDILRVPKAVRLGRRTRQTIAVNVIFSIGTKAAVLVLAVAWQPVLWVAVLADVGTCLLVVLHSMLLLRDTAARRSCCRTSKACCGKKAKSPATRSQLTGAPNTETPSVKGRGEKAKDSHCCHKHTKPPEHAVVISIPARACEHRKEATAHATAEGGNSLTGGCCGASKACGSSTAPAPICTPHGNGEDE >KQL23466 pep chromosome:Setaria_italica_v2.0:II:13853748:13855284:-1 gene:SETIT_030574mg transcript:KQL23466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLCTVLLAAVVLLSLVVSPIDCTRKLSKAKPKTKAVSHRPAPVAKVTHKPAPAVKVSGHKPAPAAKPHRNYTAIPSSPSTVYGSGGWLSGAGATYYGAPNGDGGEGGACGYQTAVGKQPFSSMIAAGSTPLYRDGEGCGACYEVKCTTNAACSGQPVTIVITDRSPGDLFPGEVAHFDMSGTAMGAMARPGMADKLRAGGVLRIQYRRVQCKYPGVNIAFKVDQGANPFYFNVLVEFEDDDGDLNAVDLMEAGSGAWTSMAHNWGAMWRLNNGKRLNAPFGLRLTSDSGRVLVVNNAIPAGWKPGVTYRSLVNYP >KQL22980 pep chromosome:Setaria_italica_v2.0:II:7026034:7028007:-1 gene:SETIT_029912mg transcript:KQL22980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERADENLLPAVYKEVSAAFNAGPTDLGYLTFLMNFLKSIASPLAGILALHYDRPTVLAIGTVFWALSTGAVGVSQHFGQVAFWRAVNGLGLAIVIPALQSFIADSYKDGTRGAGFGLLSLIGAVGGIGGSILATIMAGKDFWGFPGWRVAFMMVALVSLIIGILVYLYATDPRRIPGNHLLDEDDYERFHLSSKDVLPPPSIWKDSWVATRSVMKVKTFQIIVLQGIIGSLPWTAIVFFTMWFELIGFDNNSSAALNSLFAIGCASGAFIGGVIADRLAFMGIPFSWILLTVIPQSVDYWYAFAVTLFFMGITISWCATSANNPMFAEVVPPKHRTMIYAFDRAFEGSFASLAAPAVGLVTEKIYGYDAKTVNLANGSAEGAFALSRGLLTMMIVPFGVCVLFYSPLYLVFKHDRENAKLASFKEQELV >KQL24998 pep chromosome:Setaria_italica_v2.0:II:35198290:35200494:1 gene:SETIT_029652mg transcript:KQL24998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGARCSARHGHGRRGIAAVLALAALASPCRHGAAAAAAAEGSEPKKWHVVSISSLLPSTACTAAKAASKSSALRVVHRHGPCSPLHARGDAPSHAEILERDQDRVDSIRRRIAGASAIISDADRVADSKTVSLPARWGIPLDTTNYITTVGLGTPPRNLSVEIDTGSDLSWVQCKPCARCYEQQDPLYDPAQSSTHSAVPCGSRECQKVEAQRCAADDKCRYDITYADKSHTNGTVVRDALTLAVSHALPGFVFGCGHDNDMGPYDKIDGIFGLNRGKVSLPSQVAARYGGAGFSYCLPSSPSAEGYLAFGGAAPPPNAQFTEMVNGAEPSSYYLDLVGIKVAGRELEIPPAVFAAGGTIIDTGTVISRLPPRAYAALRSAFVRSMAAYRRAPALSLLDTCYNFTGHTRVRIPSAALVFAGGTTVSLNARGLLFVSTVSQTCLGFASTGDETTVNILGNTQQKTFTVIYDVDKKRIGFGAKGCN >KQL26062 pep chromosome:Setaria_italica_v2.0:II:42139889:42141481:-1 gene:SETIT_030246mg transcript:KQL26062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSDDKNKFDVYGPKHMMAQTGIEFDWDDKDHCRCIIACLVKGTYVLECDRTKKVEDKPDALAPAWWESFHFQRHNVLEFNCECVFCTNSRRIFEGRGYVYGAVFEYAPPEGARRHPSAPSYVVAFRGTMPRDPTIAPDMLQNLCILINKQHVCHRFLHAREKVGQLLSSISIPNNGGSSVVWLAGHSLGASIALDVGRHMMTNRDLNLPAFLFNPPHVSLAPAIGEAAKKDVYTVGYLGRYALGKVLTPHKDRMDELFQKLKPWKPNLYAHERDIISKGFIDYFEQREVMKDRLPGMARTAATLSFRDMAYQLFGKHEERPHLLPSALLWKNQSKDGNAHELRQWWQSPEGPKKLVLIHNLYTWP >KQL25482 pep chromosome:Setaria_italica_v2.0:II:38484155:38487979:-1 gene:SETIT_029393mg transcript:KQL25482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAALDAMAGTRWGRWLGLVTAVWVQCISGNNYTFSNYSHALKTLMGLTQLQLNGLSVAKDVGKAFGLLAGLASDRVPTWLLLAIGSLEGLLGYGAQWMVVSGAVAPLPYWQMCVFLCLGGNSTTWMNTAVLVTCIRNFRRSRGPVSGLLKGYVGLSTAIFTDVCSALFADDPASFLVMLAVVPAAVCALAMVFLREGPNGGAAGGATADEAEDGRCFAAINSLAVAIALYLLAADLTGIGARGGAVSAVFVAVLLVLLASPGAVPALLAWKSWVKARKAANADLEEADSLAAAAAPLLVAAKTAAGRSEEEEARAPGERPRLGEEHTIAQALTSLDFWLMFASFLMGVGTGLAVMNNLGQMGVAMGYADVSIFVSMTSIWGFFGRIASGTISEHFIKTRAIPRPLWNAASQVLMAVGYIVMALAIPGSLFIGSVVVGACYGVRLAVTVPTASELFGLKYYGLIYNILILNLPLGSFLFSGMLAGLLYDAEATPVPGGGNTCVGAHCYRLVFLIMAVACAVGFGIDVLLSVRTKRVYAKIHESKRASRSAVAQRVS >KQL22826 pep chromosome:Setaria_italica_v2.0:II:5645811:5646200:1 gene:SETIT_033234mg transcript:KQL22826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYFGESQGHLHYMTQEIVEAHERKYKLSIWVLQDYDTKEWVLKHTVDTHKVFGEDSCVGSTSEFEVVDIHQDCNVVFLTPPLRGKLVAYGMSNKEVSVIATFDDQKLLSGTARYVPCFWKSPVLTNKH >KQL24503 pep chromosome:Setaria_italica_v2.0:II:30830785:30831270:-1 gene:SETIT_033622mg transcript:KQL24503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLIIHHRKPQRRQREPPRENRRARREDPWRACAQRLPEI >KQL26143 pep chromosome:Setaria_italica_v2.0:II:42576693:42579805:1 gene:SETIT_030981mg transcript:KQL26143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAGDDAGMDAVQRRLMFEDECILVDEQDNVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIQENYLGTRNAAQRKLLDELGIPAQDAPVDQFTPVGRMLYKAPSDGKWGEHELDSILIMVRDVKLQPNPDEVADVKYVNREQLKELIQKADAGEDGMKLSPWFRLIVDNFLMRWWDHVEKGTLSEVVDMETIHKLKD >KQL25106 pep chromosome:Setaria_italica_v2.0:II:36046978:36047691:1 gene:SETIT_032417mg transcript:KQL25106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSFSRSAAAASTAEERSTQRAPVATAKVVNLDGSMAQFAGPVTAREAMLALGDGGGRQRAPSPPRFLCGSDELGFDAPARALAADEALQPGQLYFVLPAPMLRRPLSGNDMAALAVRAATALAAEAGLAAGGGRRRTKQGGAAGKGRRRRQSTARVAPLLVVSGNGKDGPSDGSWNNDTRGGLATREAVVHDGGSRTVGKARRGADYRSGARRRPGVQRLSAIAEDNE >KQL24527 pep chromosome:Setaria_italica_v2.0:II:31042716:31043155:1 gene:SETIT_031839mg transcript:KQL24527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILMIGSGQTRWFCVRGHAARKWILVDGETRNWTPDKNGCTVARMGMIGSV >KQL24739 pep chromosome:Setaria_italica_v2.0:II:32772224:32773810:1 gene:SETIT_033245mg transcript:KQL24739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAVGAPAPLAGCNRASLVTKPQPGGHRFAPAKLRKCAAVSDHGRQRGSEYQIDDDEPLWLAVLRDLAVGLKVLVAFLAEQPRQLKHLEWPGLQNTLKTATLTLVLVAVFIVALSTVDAALCYVLAWLLRKSA >KQL26900 pep chromosome:Setaria_italica_v2.0:II:46832691:46836469:-1 gene:SETIT_030883mg transcript:KQL26900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGSPRKREEEEEEDDIVCLDPSFFVDRSYEMTTFTFGAHELHLLCLRAASTDYDLTGQLVWPGAVLMNNYLSEHPETVKECSVIELGSGIGITGILCSRFCKKVVLTDHNDEVLEIIKKNVELQCSENTQAVLTAEKLEWGNSDHISSIIEKHPGGFDVVLGADIYILEFFRTCLFQQSSISSLFDTVERIIRTQAGNCRFILAYVSRAKVMDGLVFKEAEKHGMCVREVDGTRTTISNLEGVIFDITLK >KQL26899 pep chromosome:Setaria_italica_v2.0:II:46832825:46836469:-1 gene:SETIT_030883mg transcript:KQL26899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGSPRKREEEEEEDDIVCLDPSFFVDRSYEMTTFTFGAHELHLLCLRAASTDYDLTGQLVWPGAVLMNNYLSEHPETVKECSVIELGSGIGITGILCSRFCKKVVLTDHNDEVLEIIKKNVELQCSENTQAVLTAEKLEWGNSDHISSIIEKHPGGFDVVLGADIYILEFFRTCLFQQSSISSLFDTVERIIRTQAGNCRFILAYVSRAKVMDGLVFKEAEKHGMCVREVDGTRTTISNLEGVIFDITLK >KQL24678 pep chromosome:Setaria_italica_v2.0:II:32186214:32186942:-1 gene:SETIT_033707mg transcript:KQL24678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHHLKHDLFSHILLKLDCILSNDLCAWNNCSPCTTTL >KQL25727 pep chromosome:Setaria_italica_v2.0:II:40034206:40037458:-1 gene:SETIT_032983mg transcript:KQL25727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATKVAGCFMDRTILVTGSTGFLGKLLVEKILRVEPGVKKLYLLVVGKELFVTLQQIHGDEFSSFIQEKISPVAGDIIHEDLGLHRSKVKQLSEEIDIIVNGAAITNFYERYDVALACNALGTKNVCQFAKQCAHLRMLLHVSTAYVLTGEQEGLLPEKLIRMGETPKPDCHLDIEAELELVHEVKATLTAHSVTEDSSQQLETKAMMELGLKRAKYFGWPNVYVFTKAMGEMFLGSMTEDLPVVIVRPSIVTSTFEEPFPGWIEGTRTFDVLIVGYDKQTVPCFIGDRNGTIDAIPGDMVVNSTMVAMAAHYGDKTQVIYHVTSANQNPLPCYILEESTYAYFFINPRVEDETRTVQHKRLLLFNRYPYFHAYMVLGYKIPLQMLYLVNLLLGGLFSELHNKLNRDYNFFMLLAKLYAPFAFFKACFDDTNLRKLWRTTGAGQGGDGYMFNFDPNCINWRLYLFNTHIPAVLKISRRKKAGRA >KQL25721 pep chromosome:Setaria_italica_v2.0:II:39992265:39995935:-1 gene:SETIT_030431mg transcript:KQL25721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLLFPSQAWVDPGRLLLRPAAPSSVAKPSAHCHHGGRVFIARAAERASVTTPAGARRLGVLPAVRRRPLPSLCWKEGASLGISPTRGVILASEIEEAFCLSSDKDNRSSRNLLLQFGALPCCTMVWLSTAQSAQSSVGTKLNMVYEVGELFELGIQLSYLLILLGLLGAGTFFVIRQVLVRRELDLSAKELQEQVRSGDASATEYFELGAVMLRRKFYPAAIKYLQQAIDKWDRDEQDLAQVYNALGVSYKRDNKLDMAIKQFEKAVELQPGYVTAWNNLGDAYELKKDLKSALKAFEEVLLFDPNNKVARPRVDDLRQRANMYKGVPIKSEKR >KQL26285 pep chromosome:Setaria_italica_v2.0:II:43553767:43554093:-1 gene:SETIT_032361mg transcript:KQL26285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSGGRRDREPDPDASAALPVPWPCASTQRALAECHRRAARGPLQPEVLCRHLNRALAECVVTACCPDETEAVRTLCGSAGTALKRTQCQRARIDLSLCLEAHQEP >KQL23247 pep chromosome:Setaria_italica_v2.0:II:10156847:10160384:1 gene:SETIT_030096mg transcript:KQL23247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDAASKRRRLGQEVPSGGGVGEDGGDPDLIGRLPDEVLGSIITLLPTTDGARTQILSRRWRPLWRAAPLNLEADFGSLTLLESERVASQLCKLLSAHEAPVRRFSLKCNGRYGLSQPIAPLLQSHRLQDIQEFELYVRGDLPPSLLSLSPAALRVLHICSRPESPLWTTSTLSFPSLRELTLVDVDVSESALHGVLSRCPVLETLLLDNIRARRVRINSLTLRSVGVSDCGYPWEARLEELMIVDAPLLERLIPRVPSHHLVIRSYTQMIFKNDVKCYAPLECLDRHLKKVQIINYEEKRADVNFIKFFVLNARVLESMKFVVCRDKCGAKWIARQHKKLQVNGRASQRAKFDFEADCSRGPSSMVDMKHIHDLAMDPFDRSSCRCHGDALH >KQL22189 pep chromosome:Setaria_italica_v2.0:II:438008:439578:-1 gene:SETIT_029648mg transcript:KQL22189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLSAVLGELTNRSINFFIKKSFKPKALDVEDRLHMILLRAQVIIDEAMGRQITNQSVLQQLDMLRDAMYRGCYTLDTFRYQVHREEEAKGQVLSHSLSLSKVNSLQGLCSSSRNPQILLQLNKSLDDLSSMILGMEELVMFLASYPRLYRQPYSMHLVLGNCMFGRQMETEFVINFLLHKRHHDSKELDILPIIGPGRVGKTTLVAHVCEDERIRDHFSEILFLRDHDFTAVDLATVRERCAMEYKNRVSNSNKDGRLLVVVELVGDLNEDAWNRLYSAYTQDVPSGSKIIVTSRSDNIIKFGTTRALSLNYLSHEAYWYFFKTLTFGSTNPKMHPRLGCLAMETARMLKGCFIGANVYACLLRDNFDIKMWCKVLAFLRGQINKNISNFGGHPVDLVNQKRPAYLGRMVTPFQYVVLHCENEYSRQEELPKIKVHDVVYGSLKVHGKFEMLEWRSRIPPYHSFVGTCEIQEVKTTSAKRKRSV >KQL26633 pep chromosome:Setaria_italica_v2.0:II:45498585:45499295:-1 gene:SETIT_031596mg transcript:KQL26633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGATSGGSSSCSRGGREMALQQLQLLPWLEGGVKAVAADGGHDDDLAGSSAAGAAKTGKRRSAAHAGIARGMKVFLSGVVEMIGKRFECSVPAAKFGHVAYIR >KQL26129 pep chromosome:Setaria_italica_v2.0:II:42509869:42510429:-1 gene:SETIT_033495mg transcript:KQL26129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMPRHIGTYLHDIAHVEHMNMPRTCMVFGVVKVFEPTAVSGPEDLGRMPRYVPTWYISFHAFYFIYFW >KQL25627 pep chromosome:Setaria_italica_v2.0:II:39460732:39465345:1 gene:SETIT_032890mg transcript:KQL25627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLMKPTILMILLSDEQNDFNIHFYQEVIADCKKFKMLKNKIKSILTNQLNMQKFVKKLKYVITRFCVTDFAKVIKKLTPNQRQVIEKYGFGSLLHFDKSRLVDHKSSDIVIDGRIISLTKESVHNILGIPLSWRPFPTDISHGKSVILKNFKKQSMPKKVLSDEDTFIRFILIALNSFLCSNASNASVTPSQKHLGMFDDITNCKVFDWSGYVLSWLLRHIKTFKKGKTKAGKEPGTLGAVMYLAHVDFGVYGFRPILDFVDTYYAKRPHLLNSTSSLSDDSEFMEMIDLISICRAIEIHSFSCVSRVNLNTTSISSLPDNIFHTFKKPLQHASNTDSRLKNMFWKFANSLLNILMNLLLLLPKFLIVLYTFSLIKNKLIELSKSCKSILHLLQSRLTNHFFAPSGYPKEHEMYNIKYCASDSSFRTPIQRVDPDFPQLESSFEKPSRYQPYLSRDSSTSGNNFKTAKAKISVSNSELKNYKALFSLASSQYNNEDVVHLEKVRSTFYLYMKPTSNPDISKSHFFFCKYWFNEAVLARAFKRSSMHRPLHHSNNVSLFSDTLSQPLVRVFVNIKDCNFVFLDPLHHEDYDFLEIVRSRMFASFVQYWDRYVKVNMNFDEYDIVFPDVPDQPLDNTHDFFYHV >KQL22771 pep chromosome:Setaria_italica_v2.0:II:5179681:5183861:1 gene:SETIT_033071mg transcript:KQL22771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLYPRAGHSDTSELQMYLLPFESGALLIQAAAIAEQHEPLPPITLPGCPDKCGDISIPFPFGMKPGCFREGFEVTCNHSFQPPRAFIGKGDGRSAKTSTVYTNFSISKTGNYSIEYPRNKSKLDPHLSPVELVDISFAKSEARAYGAVASACNKNSTAGFSMMMFTTLLAKGMGGAEGPFLVSLARNVLIGVGLQFVATAYRFNTSSGTGEASYLVSCSSFIMENLQLASNGSCSGHGCCQASLPEGLPLTGVSVYMGIPMNNTMWMTNPCTFAMVVEHSWYNFSTTDLYGNTTDKFPRGVPYVIDFAIRNAICPEKGQQTPLDYACLSGNSSCADVTNGYICKCLEHYEGNPYIPNGCQDIDECKHPDLYPCSSDGICKNRLLGYDCPCKPGMKGDGKNGTCQPIFSLVAKVVGGVIGGLFFMAAFLFLILLHKEKKKMREFYQKNGGPILEKAKIIKLFKKEELKGILKSKNLIGKGCFGEVYKGILDNDLVAVKKPINGTVLENEQFANEVIIQSQVIHKNIVRLIGCCLEVDIPMLVYEFLPNGSLEDILHSNKVVPLNINMRLSIAAQSADGLSYMHSKTNNKILHGDIKPANILLDDNFMPKISDFGTSRLIARDKDHADDVIGDMSYMDPVCMQTGVLTEKSDVYSFGVVILELISRKKATYSDNNSLVRNFLEAHKEKRVAELFDNEITLTSNDLKLLHSLARIIVECLNLDVDQRPSMIEVAESLLLLNRSHNP >KQL25231 pep chromosome:Setaria_italica_v2.0:II:36787388:36789885:-1 gene:SETIT_030867mg transcript:KQL25231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGGKAPVPAKKKTVVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >KQL27152 pep chromosome:Setaria_italica_v2.0:II:48130811:48132002:-1 gene:SETIT_031079mg transcript:KQL27152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIISEFIPQRDARGRASGGKRGLCAEDLWPQAAAGFDDVPAAVDGYEFTGAASFPHDQEPARKRERKTMYRGIRRRPWGKWAAEIRDPAKGARVWLGTFATAEAAARAYDRAARRIRGSKAKVNFPNEDPPPDDDDHLRQGMLPVSSCITDYDAAVMVGFFQQHHQQQHPYVPDAVPVMAAPPPEDVAYVQHQDAGMDVWTFDGINTATVPM >KQL25927 pep chromosome:Setaria_italica_v2.0:II:41310217:41315470:1 gene:SETIT_028953mg transcript:KQL25927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWLWRRKSTDKSPADTETSASASSASERLTDEQDTAKSSPKSTQSPEISSKELEDDSNVKVKVLSERLSSVVQDIRAKDDLVKQHSKVAEEAVLGWEKAEKEIASLKTQLSAATAKNSALEDRLVHLDGALKECVRQLRRAKEEQDQTVQDALAQQARQWESHKADLELRIIELTARLEAKSERSAAADGDTGSRLAAMEKENSALKAQLLAKAEELELRTIEKELNRRAAETASKQQLEGIKKVAKLQAECRRLQATAARRPPMNAELRRSPSSACAESVTDCQSDCSDSWASALITELDQFKNNDKSGSASTRAGSLAAADIAVMDDFLEMERLASANESSKGDAAAVEDASGQVAKLEEKVKRLAAEKVEREKALHEAQRELRNSRHRVMVAEEKSAELQRQLNLANGEKHAMETEVEAAEAKRSELQGKLELARAEITSLLGKERILEERLESEKALTLELAAKYQDMEALGAEKSELSAQLEASRSEAKKLSNKITLFERKLEVEKALSIRLATKCHGIDALEAKKKGVELELESAREEIASLHKKVSSLELEVQEEKASSAELATRCEELEALGKHRDELRTQLESANSDIVKLNGKVNMLEDAMEKQRPVAVELESQLQSRQAEIESLKENVGLLEKKLESQKNLSSAYISALGASETEKKELATRFELKEKEAEELLRKMSLLEEHIYKEKARSSEFAAKCLKMEEQVPSRSLGHQPVKSTSVQDLQIRKEKELAKAAGKLADCQKTIASLSSQLKSLADFDEFLPGMESGGATSADSWDGGDPKLLQPASYPSQFGCLAVT >KQL26939 pep chromosome:Setaria_italica_v2.0:II:47023850:47027593:1 gene:SETIT_029406mg transcript:KQL26939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRNIRRSPLPLSPPSLFPSPISPNPTRPAGTLDSSGAGEAEAEAEMALRRALLRSAEISPDRKAAIEYFHSLSRAQPARSLNGAGLCPASRSFSTQAATTSSTPQPPPPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWHRTKDLVLKGADWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKVSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERLNLEKARQEAYAAGLLGKNACGSGYDFDVHIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCISGHVNKPCTVEEEMSIPLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVMMDYDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTPWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIRERAERELLAASA >KQL25421 pep chromosome:Setaria_italica_v2.0:II:37971926:37974455:1 gene:SETIT_030554mg transcript:KQL25421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSVSAAAAAALLPTLTGRRSPPASRVPAIFCRRIGPRPRLFSSCSLPFPIRPAAAMATDGAAPAKQKLLIFDTKEDLAVSLAKYTADLSKKFAAERGAFTVVLSGGSLIDALSKLTEPPYLESVDWSKWHVFWVDERVVPKDHEDSNYKLAFDGFLSKVPIPPGQVYAINDALSAEGAADDYEACLKQLVKNGVIAMSAATGFPRFDLQLLGMGPDGHIASLFPGHPLVNEKERWVTYIKDSPKPPPERITFTFPVINSSAYIAMVVTGAGKAGPVQKALSDKQTSSDLLPVEMAVLQDGEFTWFTDKPAVSMLQNK >KQL25908 pep chromosome:Setaria_italica_v2.0:II:41198907:41199485:-1 gene:SETIT_0325662mg transcript:KQL25908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DESLILIGPKRGLALLDDNYVETDLKIKDHLGQGRELSKGVVTIRGIAGRSLEECVVETKSLATRLSTVDVMYAVVKHAVEATIAVEVLQGDFYGKTTAYTSSIKDRLVLYDSEVADAMTGYDCGVIQLMRPIISGYVKDMLIIAAQTGDRKSEVVFTPRVNTRDEDKITVGATRMRVKVVWSVMNPWISAC >KQL25052 pep chromosome:Setaria_italica_v2.0:II:35599563:35601921:-1 gene:SETIT_031163mg transcript:KQL25052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSCASTLPLTSAFPSRSSSRPLAGRLLTASRRAPSLVIVAQGKVKKYRQVILTDDIEEVGRKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRVKEEAQQLAQVFETIGAFKIPRKGGKGKQIFGSVTAQDIVDIIKSQLNRDVDKRLVTVPEIREIGEYVAEIKLHPDVTARVRLNVYAK >KQL25049 pep chromosome:Setaria_italica_v2.0:II:35599094:35601997:-1 gene:SETIT_031163mg transcript:KQL25049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSCASTLPLTSAFPSRSSSRPLAGRLLTASRRAPSLVIVAQGKVKKYRQVILTDDIEEVGRKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRVKEEAQQLAQVFETIGAFKIPRKGGKGKQIFGSVTAQDIVDIIKSQLNRDVDKRLVTVPEIREIGEYVAEIKLHPDVTARVRLNVYAK >KQL25050 pep chromosome:Setaria_italica_v2.0:II:35599092:35601997:-1 gene:SETIT_031163mg transcript:KQL25050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSCASTLPLTSAFPSRSSSRPLAGRLLTASRRAPSLVIVAQGKVKKYRQVILTDDIEEVGRKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRVKEEAQQLAQVFETIGAFKIPRKGGKGKQIFGSVTAQDIVDIIKSQLNRDVDKRLVTVPEIREIGEYVAEIKLHPDVTARVRLNVYAK >KQL25051 pep chromosome:Setaria_italica_v2.0:II:35599563:35601921:-1 gene:SETIT_031163mg transcript:KQL25051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSCASTLPLTSAFPSRSSSRPLAGRLLTASRRAPSLVIVAQGKVKKYRQVILTDDIEEVGRKGDTLKVRAGFYRNFLLPKGKATLLTPEVLKEMQLEQERIEAEKKRVKEEAQQLAQVFETIGAFKIPRKGGKGKQIFGSVTAQDIVDIIKSQLNRDVDKRLVTVPEIREIGEYVAEIKLHPDVTARVRLNVYAK >KQL23580 pep chromosome:Setaria_italica_v2.0:II:15286590:15288263:1 gene:SETIT_031916mg transcript:KQL23580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLTRPASRLLGSSARNAPNALSADAPRVLDEMPPPPPTSPARPRRSPFAHARQVLGGTRARGFRTTSRRPADDHAGTRPPQFTVVPGDAALADGLGAVAEVGVLEAAERVCRVVSTQPEPRVASALDALGVAVSPELVAEVLKNLSNAGVLALAFFRWAERQEGFRYTADSFHNLIEALGKIKQFRLVWSLVETMRCRCLLSKDTFKLIVRRYARARKVKEAVETFEKMGSFGLKTELSDYNWLIDALSKSKQVKKGHAIYKEMKRKAKFVPDLKTYTVLMEGWGHEKDLLMVRTVYQEMLDAGIRPDVVAYGMLISAFCKSGKCDEAIKVFHEMEVSACMPSPHVYCMLINGLGSEERLDEALKYFQLYKESGFPMEVPTCNAVVGAYCRASKFQHAFKMVDEMRKCKIGPNSRTYDIILHHLIKSQKFEEAYDVFQKMGMDGCEPQLNTYTMMVGMFCSNERVDMALKVWKQMKEKGVLPSLINGLCFENRLEEACAYFQEMLDKGIRPPGQLFSNLKEALVEGGRISLAQEMALKLDALRKTPLRG >KQL25535 pep chromosome:Setaria_italica_v2.0:II:38956452:38963993:1 gene:SETIT_028883mg transcript:KQL25535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPGATVSPTSDAQVASSEVQEVSPDDQQAVESTTPLFSSGKQGSHVESAFRPGEVGAGEKKFAPSELRKEEANAAAGSAPTQDDSFRKFDPPRQASTDQVMEPVTSSAAPSDAPQDKGSARAAQPVSPVEQKKADSNVSSADYSNAPQMVFRQQARPSAPSTIGIPASDTQGVIGKIQEVTPDNRRTGDSGKPFVPSKEQVSHASQAIPGQEEMASLPGAIVSPTSDAQVASSEVQEVSPDDQQAAFGPGEVGAAEKKFAPSDQELPHSAEQPISGKPMKEQMGIPTAEQIKEQPTMIDQQNTPYTREPRTSEDVLDTTPSHGDVHPTSIEPDRRPLSVQGEEPKSATEAHPPRVAKDSLDTEAISTNASVQSLKPSTTLDARGATHGELAAAEQKSSPSGHYPAPAPVQDSVHSVQPSLSTAPTKEDSVAAATDQTSTLEKMIDQNDTTPAPDKAKTPFLGTPDASRVTQKSTDDDHIDEKLPGQGQVSPSRHVSESPEGHSDDVDKGTTPGSSRVETSNTEPDSTEIGGDARLSSGDVPASTSFPETQDLQGSAYAKNVPADSLGKFESSGHLSTDEALEPRMSPSAPSVAPLGTNSVQPSEEASFDFSSDEKPTKSQGDQAKTLPNGDLPTSQVVGQSESADSKGMDSLKNVEGASTDENPKMLQQIEQFNARSYKDNNKEADGTGSMGSSDELGNLQQTDQAIVQSLEGNGNQAEQTKAHDTETGGPEDMKAPENTNQKNNRMSQVETSNHSGKEASGVQRLGESTRDTPNSTEGAPGDVQAIGKSKESSRSSEESKVQLQSDDKTGETEEPSSETGQPREGDRPANSYQNNSSQSQAEASDKSAEQSYPGTQKKDRDSSILDDSADPSRPGDMED >KQL25650 pep chromosome:Setaria_italica_v2.0:II:39576763:39581214:-1 gene:SETIT_028922mg transcript:KQL25650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIATSPPPSVAQEKAASRNKRKYRAEPPSAELGPFGLEYPLTADCVGFEFMSPEKAAMAAAADLDLIPSTCETCKDIHPTAEELLECQRYVNWSDPNETQLEEILLKSLDTTFDNAVSLITTMGYSEAAARAAVVRAAAQYNWRESLAGFGEAAVEVLKTEGDMLPREGASIEDMRKIEQAVLGSMVAVVNEAQPFYTTGDVMFCLLMSDMNVANACAMDYSTASLPAVGAQVIAQPVMGNYEPGSGPDLSVSITNPQTGVTFRGKLTPVPPSSYGSVKADSSTVPTSSKPSVSGKMQCVIPNIEPKEHPIPTRDHSEDQPFVAAATQSVKNDKPSPSKRGSSKRDSLHRQKLTSFDKSSRALGSKGSLRSGKYSSSGVVLDRKCRSFSDSTASNLKGSSKVGKGFAASITGSDVSVDLSFTGTLSSPFDAKVVSNSNPAPAASTDLSLSLPSSSDGLAPSSNHDSNTEGVDSSGKINFSYDEEQKVWIPQDKKDETVLILVQRQKELQAHMRDWTDWAQQKVMQVAHRLAKEKEELQSLRKEKEDADRQQEERHHSEESNRKKLLEMESAISRANAQLERADASARRREAENAQLMLQMEAAKRHAAESATNISELLKKDENSRKRSQRWESERALLQEDLAAQKSRLSRVQEQLQHAKEQKDQVQARWKQEEAAKMEAIALVTSERKERDQIETSVRSEENLLHLKAANDTQRYKSEIRALEQQIVQLKVSMDSSKVAAPKWGADNKTYALHLSEGRKNSNAQILSNIAVPQDLDFDDIQRDRECVMCLSEEMSVVFLPCAHQVVCAKCSDLHEKQGMKECPSCRTPIQRRVCARPAGC >KQL24585 pep chromosome:Setaria_italica_v2.0:II:31465152:31465439:1 gene:SETIT_033682mg transcript:KQL24585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSAIKMYLLLEGEFLCIDDIIAKPFQHILMWYQSVNYSLSNL >KQL24681 pep chromosome:Setaria_italica_v2.0:II:32206685:32207129:-1 gene:SETIT_033684mg transcript:KQL24681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLPFPLHSSANKVFCTSIYFINHICKRHNSKNVN >KQL22457 pep chromosome:Setaria_italica_v2.0:II:2779358:2779992:-1 gene:SETIT_031735mg transcript:KQL22457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDDDLATAIKDEVARIKAEGAREAAIDKRSAGGILQRLIKGAASGIGYVMIHSACGCAGIILFLFILDRQP >KQL24988 pep chromosome:Setaria_italica_v2.0:II:35173733:35174170:-1 gene:SETIT_031373mg transcript:KQL24988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGVLRAGVLAVPPPLPASSPPPFFATSAYARVPRPTAAARAVRYRRRGRPSRAAAAITASLDLTEDNVRLALEEAKSELGQLFDTSVGITGEGYPGRVLNLRRSANCIKI >KQL24990 pep chromosome:Setaria_italica_v2.0:II:35173733:35174170:-1 gene:SETIT_031373mg transcript:KQL24990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGVLRAGVLAVPPPLPASSPPPFFATSAYARVPRPTAAARAVRYRRRGRPSRAAAAITASLDLTEDNVRLALEEAKSELGQLFDTSVGITGEGYPGRVLNLRRSANCIKI >KQL24987 pep chromosome:Setaria_italica_v2.0:II:35172000:35174175:-1 gene:SETIT_031373mg transcript:KQL24987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGVLRAGVLAVPPPLPASSPPPFFATSAYARVPRPTAAARAVRYRRRGRPSRAAAAITASLDLTEDNVRLALEEAKSELGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPEILEVEIEDEKQLDDSPAAF >KQL24989 pep chromosome:Setaria_italica_v2.0:II:35173000:35174175:-1 gene:SETIT_031373mg transcript:KQL24989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGVLRAGVLAVPPPLPASSPPPFFATSAYARVPRPTAAARAVRYRRRGRPSRAAAAITASLDLTEDNVRLALEEAKSELGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPEILEVEIEDEKQLDDSPAAF >KQL24991 pep chromosome:Setaria_italica_v2.0:II:35172894:35174175:-1 gene:SETIT_031373mg transcript:KQL24991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAGVLRAGVLAVPPPLPASSPPPFFATSAYARVPRPTAAARAVRYRRRGRPSRAAAAITASLDLTEDNVRLALEEAKSELGQLFDTSVGITGQVDLAELDGPFVKLRLKGKFWHTRATVVARIGNYLKNRIPEILEVEIEDEKQLDDSPAAF >KQL23454 pep chromosome:Setaria_italica_v2.0:II:13565198:13574318:-1 gene:SETIT_033370mg transcript:KQL23454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVREPEKDAAAAAAEVDEKAEAEAEAKGSGSVWELLYCGRTSFNTKGREHGTQGNLVSPTRLRPLVGVDIRFVASGCTACHCAALDGNGRCYTWGHNEKGQLGHGDTLLRALPTVVSELSKLKIIKASVGRNHTVVVTDDNKSFSFGHNGHGQLGLGLIEKEIESSPLPCAVVGATDSVCGADFTVWLSSVKDSSILTAGLNQYGQLGQDADIQLTHYPKPRAIDASFKNTVVKVACGTNHTVAIDNKGFVYTWGFGGSGRLGHGAQNDEWKPRQLLFFEKHMVLRPNAIVSAGSASSACTSGGELYTWGKSMDTDDYSIHPKSVPDLSGWNIRCMASGDMHHIVGTDDTCISWGIGLNGQLGYGPNGPKSSSNPKKVDSLQGMPIRCVGCGNGLSLIVVDKAKFGRRLDQLETYNGDTLTEVQEQETEMASEEDHRGKAGGDLSIPELKPSRRGRGRPKKTESPASGAGSSGGGKRGKRGRP >KQL26797 pep chromosome:Setaria_italica_v2.0:II:46380278:46382801:-1 gene:SETIT_030664mg transcript:KQL26797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHTVIMDGIFADIPKLMPFIDLEDMGLFSCFYDFVFFICREKGQKSITIQRAVAAWKIVLNGRFRLLDRWCNFVEKYQRHNISEDTWQQLLAFSRCVNEDLEGYDPRGAWPVLIDDFVEQMHRIYHSTDCSSAMESQCTISSTFKGLDLLPGSKRKCPPHFRSNEEDVELSDSFTRSVHLTPIKRLKESHGTNYGVGESNKGTDFSNSCSDYLEDTNLHNPRGCLQNSPCNVEDALSKGFEGCISMKCSF >KQL26798 pep chromosome:Setaria_italica_v2.0:II:46380278:46384328:-1 gene:SETIT_030664mg transcript:KQL26798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGFVAAAAACPAAAEAFAKYYGTVSGGTNVKARQGLVELSHAIDGIEGMRDGIFADIPKLMPFIDLEDMGLFSCFYDFVFFICREKGQKSITIQRAVAAWKIVLNGRFRLLDRWCNFVEKYQRHNISEDTWQQLLAFSRCVNEDLEGYDPRGAWPVLIDDFVEQMHRIYHSTDCSSAMESQCTISSTFKGLDLLPGSKRKCPPHFRSNEEDVELSDSFTRSVHLTPIKRLKESHGTNYGVGESNKGTDFSNSCSDYLEDTNLHNPRGCLQNSPCNVEDALSKGFEGCISMKCSF >KQL26796 pep chromosome:Setaria_italica_v2.0:II:46380278:46382746:-1 gene:SETIT_030664mg transcript:KQL26796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFIDLEDMGLFSCFYDFVFFICREKGQKSITIQRAVAAWKIVLNGRFRLLDRWCNFVEKYQRHNISEDTWQQLLAFSRCVNEDLEGYDPRGAWPVLIDDFVEQMHRIYHSTDCSSAMESQCTISSTFKGLDLLPGSKRKCPPHFRSNEEDVELSDSFTRSVHLTPIKRLKESHGTNYGVGESNKGTDFSNSCSDYLEDTNLHNPRGCLQNSPCNVEDALSKGFEGCISMKCSF >KQL22665 pep chromosome:Setaria_italica_v2.0:II:4287915:4292681:1 gene:SETIT_032999mg transcript:KQL22665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLCPNSWVFSNTTSLALLALAVVRCSSVAYALKSFTSGDLEGFDVILVHAANAAACGFDFRAIVETDLLIPVIYFLEEDYEATGDEADELLRTLQAAGSYIIKKPLDIDEVRTRLWTVIAFRKCDLETKASRGGVAGLGVGGKDEDRVHFKVVMKGRGRKRKGSSSSSKHGGSSGTAAAAGGHPSAKGKEKENVGSQQQQNDGRANKAQKNGGGEAYNLPQPNTTMTNGQQQHVQHQRKPSDDLFMQSVLRMLAAPPNNPKFFTNATVPSYNPMFFSNAAGPSSNAAAFGNTITTTSGAPAAASRTLYSAAAPALHPVARQQQHPSAHNNVIFGNIAPSSAAAPTMAAAAYEPPQFSQGISNKQQEEDVHPLLMFGPFLYQGPTPPPPPVAQQDMLAPPAAAGDRFTGGMAGGGMTGGAPAAAAAEAVAYGNEVNLPFLQPPNLGVEQDGAKEPDMYASMAPPMAPQHVVNNALDDVAMLELMLSDSFNNYSAGSSFVVPEDHQVLGMVSNLNELTTMAGGALGSNNVVSLTAPHQGLSEAPNGGSSNTATFMAPQDPGAARDGDNGDQQQQDVLPQLMFGSFPYLGSPQQDMAAPAAVGDLFAGGMAGGDTAGGSSSAAATEAGAYGNDVSLSFLQPPNIIAEQDGDDELAGLMAMDLSYAIMADPHPPMLPQHIRDDASGKAKMLDLLFSDDFNDDCSAGSSLVAPDDQAPGMASNLNELTALAGGAFGSSGNDTAFMAPHQLVLDNGINGSLMGSQVQDTGAAMEGDDDAGLTVAMLPSDQYEDDDTSFPLHALLGDLHGPMLEFNDADLDAIPDGGAGTSLVAGEEGGRENGLDNLAGIDIPHDVMQLHDFPFPQPKNKNNVRE >KQL23053 pep chromosome:Setaria_italica_v2.0:II:8060936:8063320:-1 gene:SETIT_029281mg transcript:KQL23053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSVSGSHSSGVTATRRPRPANSVLRPRKDHPGAASSPTHAAGGAGEPLPCVVPVAGGSQRCPFLILLSSYRALDPPSVSSAHIGQSNPSVSSVAFENERETVKPGPITLPGLIDELSLLPTQEIWAHQSPHSRLRRNTCPHSPPRNVSFSPSFRRHSNEKSADGITRAGGAERRERENPESMARRGGWRPRRRKASTSAADGDGDAGGAAEGGRPPPKGQGAATAAGGGFFCCYLLRSLCPRSKIRTYIGFTVNPRRRIRQHNGEIASGAWRTRRGRPWEMVLCIYGFPSNVAALQFEWAWQHPAESLAVRKAAAEFKSLGGIGNKVKLAYTMLNLPSWESLNLTVNFFSSKNTKFTAGCPSLPSQMKTVVCAMEDLQCSAEGPSSEDDDLSQDPQDQQEQSDSPLQDDEHSQHCWQQPSDSPLRYEHSELCWQQPSSDEDEQSGHCWQQPSSDEDEQSGHCWQQPSSDQAQPMVGQTGIAGPDVEEDPIDGFGPRKWSEILDIRTEVDEPRTSPRCSLSLSGDDCGTATEDEPGHLSPLLMFGAAGSDDGGGHILDGSADVVDLVTPTPVGRLRRRGCVASVCPKIIDLTSSPVVIQL >KQL22472 pep chromosome:Setaria_italica_v2.0:II:2887448:2898305:-1 gene:SETIT_028681mg transcript:KQL22472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLQRSRPVNPRKRPPPPPDADPPGPAGAGHSSAVDAAAALLADAGCTLLVPAHQAPPLPSPHAFAARLGRALSADPSAAPRLLAGVAAFASASPARLRQLLLPSAPHVPSLARALLSVPALQPGLLALLLEKLPEHFDDGGGALDGLPLQDDVGRLIVSQFRWLDFLADADTFVEKLVEVLSVAPPRLKKEIIGSLPEIVGDQSHAAVVAALEKLLQEDSEVVIAVLDVLSDLNLNEELQEQAVTVAISCIRTISPDQMPHLLRFLLLSATPANAGRIILQIREQLRLVGVVDPRAARSKKMKGKALANSTDGAILDTLRSGLRFKNMLCEAFLKELKSVDHPRDHKVIDVWLIMLIYANGGALQKSAEKILKSKILQGCIRETLFDQCIHGNTELVKEHFMLFLSMSDYLLACKEEKAREFASYLFTALFEEFSDTYSRQELVGSLVAHIGSGVSYEVSSSLDIMISLASNHSEELIPISSHITGNILSFVFSAFHLLNRHINFYIIGILDYLESFHEDNLRKVYNIFCHLALAAGFNTGSGGSSVANELLMVVRKQVSNPDMKYRRMGIIGALRIVSTIADVDVNAAVNCSSSQQPNCEEALELLKMSVNSCKFVTLPLIFLYDELASLLESKVLHSAIVEWLGDYLAEFDTSFLADLNNGELSEKYLNDGIEGELWMNLDGDLSPICILPSQFSLLTTVERLINEGSLGGLNALLGCPLHLPSTKHLDGAKWGNLTGLQKKAVCHSLYYAINWIRELLNAFSTQVASRIDNFSQKARDETAVKLLKRLRNLIFLEGLLNAFLKKYPLSLPELRYLGDCSGSTSTSKFNLPKKMGEESMDGTSSNKRQKGRKDKAASERMNPDDKLKQPTVLDAFKRAGVTVSQATNKASSQPSSSGMMSKNIEQEANDPGELGFVDLMAAPVQLDMQRFKFRTLHTRCLSLLNYSECQDSSCSYLETELPIYLYLLRDLHNKLDNLNPTTKPFLSTYQAKCSQVYCHKSTQEFLDKIQPLFSVLRKHLDGAVSMIKDESESCTDNWSSHSSSAGNPDIPYVVISKSSTATAVCKEILGCYRKLLAFPDLLNQPNMSGLKQLLQNLQPTENFDDILSEFQPSLAPSNVDYLYCGACKMFEDIMDPVRSFSYLLSSDVLITMQSIVNSVVVLLDEPGESNRKNLQIGCSKAIIPFLRKRLGQSAHKLLTANLPSEDAENGCQSKGDLIQKILQIYLRNSDSTSDLLHELSGALSQHEENTGSLNKMIKQALKTRGLPERGSIDTILEEIQKSVKVFVSLIEKCKTHEKVSMHAMAVKHGGKYIDTFLKAFNFLETQFKEHNAIILQMLKELQKATRIIQTICAEAKGYKRTMITSKIPPAKRSMERFLFQVKALLHNCSTEKKFWMGNLKHKDLHGHVVSSQVYGSVDEEDEEQTESDSDTPAAENDNTMDEDDAAAEGSNEAPMEKE >KQL22483 pep chromosome:Setaria_italica_v2.0:II:3059652:3060107:1 gene:SETIT_031682mg transcript:KQL22483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLPTRSAHSCHLRDNNICKDQSIFEIPQHMYCALHFHFQMIYNTKLDQPLSLHTTFSSDRLHAKPPSPMVQLPQYASPAKSS >KQL22570 pep chromosome:Setaria_italica_v2.0:II:3583365:3583729:1 gene:SETIT_031858mg transcript:KQL22570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIDTQPDCKTSVIVWVWCESNPSFELFVQSNCIVWLYIYSTLKS >KQL24953 pep chromosome:Setaria_italica_v2.0:II:34890580:34892062:-1 gene:SETIT_032779mg transcript:KQL24953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSGGSPCRAPLVAAAIASEWTFVWGDGDASNQVGAARWRHPHGHRCRLRTCARRVCGVAVTLGRRAAAINGPSPSLSVSIPNPLPLPPPLASTASKESEITARSPDRIPPNPPRPRPHRRAFLPPAMGISSMPEPRDSLLGFLVYNAVISIAALAGLVRAALVFLDLGDWEADGAVGSGDRLVPAASGPAERLQRSLLRPARLGPIPGVTTTTCGAAAAAAGDDCSVCLAGFEAGAVVNRLPCGHVFHRGCLETWLRYERATCPLCRARVPIPADEAPGLRYPECE >KQL22410 pep chromosome:Setaria_italica_v2.0:II:2395798:2398949:1 gene:SETIT_030202mg transcript:KQL22410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQVAGGVLPPLLARRGRRRAFRPPRAVASDAAAAAAAAAKEEDGKVALGGSGVTVTKLGIGAWSWGDTTYWNEFQWDDRKLKAAKGAFDASIDCGITFFDTAEVYGAGVSGAINSESLLGRFIKERQQKEPVEVAIATKFAALPWRFGRGSVISALKASLDRLGVSSVELYQLHWPGIWGNEGYLDGLGDAVEQGLVKAVGVSNYSEKRLRDAYERLKKRGIPLASNQVNYSLIYRNPEENGVKAACDELGITLIAYSPIAQGALTGKYTPDNPPKGPRGRIYTPEFLTKLQPLINRIKEIGGNYGRTPTQVVLNWLVCQGNVVPIPGAKNAEQAREFAGALGWSLTGEEVEELRSMARQVKPVIGFPVEKL >KQL24902 pep chromosome:Setaria_italica_v2.0:II:34486586:34489995:-1 gene:SETIT_029054mg transcript:KQL24902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYPRLLLSVRLLLLCLPAVSVLAAAAVPPAHRPGCKPSCGGVDVPYPFGIGDQCAIHRGFTISCNLVNGTERPLSGPFEVTKISIDDAKAWMKMDISWQCYDDRVRKMNEERWRVNFTYTPFAFSSVDNKILVIGCKTLAYMVSESYAVGCLSQCSGEQRNGSCSVGAGCCQADVPKDLKYFEPYFNPNYNYTTACGYIVVMEEKAFNYSTTYAYSSNFFDEYKGQVPVVMDWTITGESCEVAKTNHSSYACIADKSECVDTTNRGYRCKCLDGYRGNPYVEDGCTDIDECLENTMNPCTRSGGTCLNTQGNFTCLCPSGKQMISDMCMANQKSSFWVMPVVGASVGLVVLIVTITCAYLTQQRRKLQHIKQRYFQQHGGMLLFEEIKSQQGIAFKIFSEAELQEATDKFNEKRVLGHGGHGTVYKGLLKGNLEVAVKRCMSIDEQHKKEFGKEMLILSQVNHKNIVKLFGCCLEVEVPMLVYEFIPNGTLFQLIHGNHGKQISLATRVQIAHQSAEALSYLHSWASPPIIHGDVKSSNILIDCDYTAKVSDFGASILAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRRKPFKLGGPEDEKSLALRFISVTKEDKLKEILDDQIKNDENMEVLEEVAELAKQCLEMSGANRPSMKEVSERLDRLRKVMQHPWAQQNPEEMESLLGESSMASSEVVYTGNLSIEKKAARSLESGR >KQL26437 pep chromosome:Setaria_italica_v2.0:II:44375536:44376039:-1 gene:SETIT_033446mg transcript:KQL26437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLHKESIKLLGQGKSEFYRLRLWMTVSLLWFLDIGSSAVSRFHSSF >KQL23653 pep chromosome:Setaria_italica_v2.0:II:15724520:15724967:-1 gene:SETIT_033701mg transcript:KQL23653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSDWLHRPYSYQPYNHNDYNIYTWMLGTVISTPK >KQL24213 pep chromosome:Setaria_italica_v2.0:II:27658950:27669289:1 gene:SETIT_028810mg transcript:KQL24213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRPPCPGAWSCLMFFLSLMLAEAVHGVGAPTAAPPAPRLAPAEVRVLGRIAATLGVSHWDFAAGPCDHGGGSRVHCDCSFSNGTVCHVTEIFLKEQNFSAKLPPDFADLPNLLQLDLSRNLFHGGVPDQWARMKKLQGLSLMANRLSGQFPMVLTKITSLTNLILSVNEFTGPLPTALSLFSNLTDLRISSTNFSGRLPDFGGKLKRLEKLQIGGSLLEGPIPSSLSELTNLSDLRISDLRGSGSAFPDLSVMKSMRTLVLRKCSISGSIPSYIGSWTTLKHLDLSFNKLSGEIPPSFANLRGVDYIYLTGNSLSGNIPGWLLRRNKITDISFNNFTMGSSGPNQCLQGSVNLVESYSPEMDSLNSVQPCLKRNFPCVASNGQYQYSLHINCGDKEAIINGTKYEADTTPKGASLLYVSPGSNWAFSSTGNFMDDNITDDNFIATSISKLVMPNSELYTKARLSPLSLTYYGLCMFSGSYTVNLHFAEIVFTNDSTYCSLGKRRFNVFIQGRMVLENFNIEQPSGADGKPVIKTFQTYVTNHTLEIQFYWAGRGTTGIPYRGSYGPLISAITVTPNFQIPLAVEPPKTRDSKRSSRVSIALVIGIPVIAIFTALIVGIYCIKKRRKSLMHKELRALDLQIGSFTLRQIKAATRNFDAANKIGEGGFGSVYKGLLSDGTIIAVKQLSSRSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGNQLSLVYEYMENNCLARALFVEQYRLRLDWPTRHKICLGIARGLAYLHEESAIRIVHRDIKASNILLDKDLNAKISDFGLAKLNEDDHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEVVSGKSNTNYRPKEDFVYLLDWACVLHERGALLELVDSDLGSNYSTEEALLMLNVALLCTTAAPTLRPKMSKVVSLLEGHTPLQPLLSDLSLAASSLSSSGVRRNFWQNLSESQSLTAEASCNDTNESSAIDTDGSLRPLVSQM >KQL24048 pep chromosome:Setaria_italica_v2.0:II:25173315:25178294:1 gene:SETIT_030592mg transcript:KQL24048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGNNMGPSNGANNNNNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDASTDGNKADNKDPGDLLAGLEGSSGLPISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRLTGVKSETPAAGASVTVSSDQFPDSERTEPSTPAPTSESPTQVGASNRDTGERAEATKSTCHGDSLSRHEPLTPDSNCQNGSPPASPNHERAAKRQRGSGNEFVDVETDFSHPRHIFESSLGPEFEQYSMSYSGH >KQL24049 pep chromosome:Setaria_italica_v2.0:II:25173315:25178294:1 gene:SETIT_030592mg transcript:KQL24049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPKPEASFGSTHTNSVANQQQMELAGNNMGPSNGANNNNNLAARQRLRWTNELHERFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDASTDGNKADNKDPGDLLAGLEGSSGLPISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRLTGVKSETPAAGASVTVSSDQFPDSERTEPSTPAPTSESPTQVGASNRDTGERAEATKSTCHGDSLSRHEPLTPDSNCQNGSPPASPNHERAAKRQRGSGNEFVDVETDFSHPRHIFESSLGPEFEQYSMSYSGH >KQL26905 pep chromosome:Setaria_italica_v2.0:II:46851509:46852026:1 gene:SETIT_031760mg transcript:KQL26905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCLRREMKGLFSNPCLAYLNHDTEEGGRVGGRRARGNTSAPLGASVGRRRSASLSPAGGGNARLEI >KQL22646 pep chromosome:Setaria_italica_v2.0:II:4160041:4165051:1 gene:SETIT_028875mg transcript:KQL22646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSFLSESPCDEQHIHGYGFNPQSWLQVERGKLPKSSYSPSSIESLIKIAEPPVVPLYKPLDYVEVLSRIHEELEQCMPSERPGLYLVQSQVFRGLGEAKLRQRSLHSAWRCATTVHEKVIFGAWLRYEKRGEEIISDVLASCRKCCREFGPLDIASEMPVGNFEIYGSCEMGSSSRVSSTVTFQIRDGRVTCDRCKIASLSIPFFSMLNGPFTESQLELVDLSENGISLEGMRAVSEFSSTYSLGDLPLEILLEILVFASTFCCDRLKDACDRKLASFVSSRQDAVELMALAFEENAPVLAASCLQMLLQELPDCLTDDLVISLFLGATAQQQLIMVGQASFLLYCLLSEAAMNIDPRTDTTVCLSEKLVQLAVTPTQKQIAFHQLGCIRLLRKEYNEAERRFEVAFSAGHVYSVAGLARIAGMQGQKALAYEKLSSVIASNLPLGWMYLERSLYSEGDRKLADLDKATELDPTLTYPYMYRAASLMRKKDAKLALEEINRLLGFKLALECLELRICLYLALEDYKSAICDIHAILTLSPEYRMLEGRVAASKIGTLLGAHVEQWNTAECWLQLYERWSSVDDIGSLSVIYRMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARHHAATEHERLVYEGWLLYDTGHCEEALQKAEESISIQRSFEAFFLKAYVLADSGVDPSYSATVISLLEDALKCPSDRLRKGQALNNLGGVYVDCGKLDSAADCYTSALKIRHTRAHQGLARVHFLRNNRDAAYEEMTKLIAKAKNNASAYEKRSEYCEREQTMTDLQTVTQLDPLRVYPYRYRAAVLMDSHKENDAIAELSRAITFKADLHLLHLRAAFHEHIGDVPSALRDCRAALSLDPNHQEMLELQKRVNSQEP >KQL26242 pep chromosome:Setaria_italica_v2.0:II:43266550:43268436:1 gene:SETIT_029430mg transcript:KQL26242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADTDTTAPLLTSHKPPKAPTIDDTIENYMGTTGVMQLFKAILLAFAWAFDAQQVFISVFTDAEPQWHCTGASPSCSPAAPPASPCWLPPGAWAWDRPADSTMVSEWALKCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRRKMLLTSLVSMSVAGVLTAFAPNVWAYAALRFVSGFGRSMVGTCTLVLSTELVGKRWRDTVSVAGFFFFTVGFLSLPALGFAFREASWRNMYLWTSVPSLCYSVLLYFLAQESPRWLLVRGRKQDAMDTLQQIATLNGNSITSSFSMLHACTMRADGGDAGGGAGGAFGTMRAFWERPWALRRLAAIMTTSFGVGMVYYGMPLNVGSLGTNLYLSVTYNAMAELPSAVLSLAFIGKVNRRTTVIALTAAAGAFSLMCVAIPEGSAARMASELLSFFATITAFNLILIYSIELFPTSVRNSAVGLVRQALVLGGVAAPVLVALGRERSFYSFGVFGLCIGCFGLFAACLPETKGRSMSDTMEEEEHKQEAAAAAAAVTSCTGGATDIATKDNSDVV >KQL26122 pep chromosome:Setaria_italica_v2.0:II:42476802:42477656:-1 gene:SETIT_032889mg transcript:KQL26122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASSRSVQILLLICTCFLLPLSLAAQGADDKNSVELVDSQFSFSFDFSNESSYQVGDLSFEGNASRQSNRIDLTCNSRGSIEPCEGRMSYSHPVAFYDDTGALACFSTSFTFLIFADNDSAGDGLAFFLSDYPFKKVPDSIGHLGLISETSTSPSQHFVAVEFDTYQNYWDPSANHIGVDINSIRSSKYKNLRTNGLPGLNGTMTAKVQFDNTTNMLLASLWFDDYPHIDPVVVTYVLTDPKSLLPGQVEVGFSSSTGAATELHQILAWSFNSTLAAPPLHK >KQL23164 pep chromosome:Setaria_italica_v2.0:II:8884877:8885377:1 gene:SETIT_033172mg transcript:KQL23164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTVDDASLTRLALVGGGTTATALAYNLSLTLTIRNPNWAMAMTNTKPLDAAYSFDGQQFDRVRLAGDGDKHPAGKTRVYRLTSGSDAAPVSLGNAGVAEFGKENATGVFEVVVAVKGEVKYTARVTKCAIEATCPLKLQLAPLGQAPAAIVFQKVNCKLAKAEKNC >KQL22512 pep chromosome:Setaria_italica_v2.0:II:3233035:3233550:1 gene:SETIT_033098mg transcript:KQL22512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAKAKRSGVTSLQGPRPQPLSLPAAASPPRPSKRPRMNGGDDDDDAGRPAAAGPVIVYEHTPKVIHARPDEFKALVQRLTGREQPVVQPAAETTTSSQGEEAATTTTATDSLVLTLGQQAPPPLDVYTPPSLALPSPGGGLAAAGFLLSPGSFLFSPATMQALQELIS >KQL23086 pep chromosome:Setaria_italica_v2.0:II:8305692:8306492:-1 gene:SETIT_033734mg transcript:KQL23086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGSWTWRRQKYLQGRELLVAPLEIARGRWAGEPPN >KQL26416 pep chromosome:Setaria_italica_v2.0:II:44202092:44205142:-1 gene:SETIT_032451mg transcript:KQL26416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTAKSSPKSTQSPEISSKELEDDSNVKVKVLSERLSSVVQDIRAKDGLVKQHSKVAEEAVLGWEKAEKEIASLKTQLSAATAKNSALEDRLVHLDGALKECVRQLRRAKEEQDQTVQDALAQQARQWESHKADLELRIIELTARLEAKSERSAAAGDTGSRLENSALKAQLLAKAEELELRRSRKELNRRAAETASKQQLEGTKKVSKLQAECRRLQATAARRPHMNAELRCSPSSACAESVTDCQSDCSDSWASALITELDQFKNNDKSGSASTRAASLAAADIAVMDDFLEMERLASANDSSKGDAAAVEDASGQVAKLEEKVKRLAAEKAEREKALHEAQREMRNSRHRVMVAEEKSTELQRQLNLANGEKHAMETEVEAAEAKRSELQGKLELARAEIAGLLDKERILEELTARRKLEVEKALSIRLATKCHGIDALEAKKKGVELELESAREEIASLHKKVSSLELEVQEEKASSAELAMRCEELEALGKHRDELRTQLESANSDVVKLNGKVNMLEDAMEKQRPVAVELESQLQSRQAEIESLKENAGLLEKKLESQKNLSSAYISALGASETEKKELATRFELKEKEAEELLRKMSLLVEQIYKEKARSSEFAAKCQKTEEQVPSRSLGHQPVKSTQLKSLADFDEFLPGIESGGATSADSWDGGDPKLLQPFKPWKETVYGKKGVEQPEINVQKGVEQREETKVQAN >KQL26213 pep chromosome:Setaria_italica_v2.0:II:43101405:43102053:-1 gene:SETIT_033593mg transcript:KQL26213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALLAWPNLARRLNCSSGQCQGISFYVPVLRSSAAKASRVLGNRPAHFTLSAPRTISTLTPTSPARRVYGGRQARLIGSAAPVVIARPRLPAGLGSSRRRFLLTACAATGQSSARLRSGNFLRFWATPRSLV >KQL24226 pep chromosome:Setaria_italica_v2.0:II:27837142:27840440:1 gene:SETIT_029906mg transcript:KQL24226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVFLARNSDEVGSEDCTSIQPFDLNHFFGEDGKIYGYKNLKINVWISAISFHGYADISFDETSDGGKGITDLNTVLQSIFGESLVEKEEFMQTFSKECEYIRDVVTNGSAIKHNGTNESDPAVEIVRVELQGVAAFLYSRLVPLVLLLVEGSTPIDIGEHGWEMLLVVKRTTQESVSKFQLLGFAAVHNFYHYPESNRLRISQILVLPPHQGEGHGLRLLEAINSIAQSENIYDVTIEDPSDYLQYVRSSIDCLRLLTLDPIKPALSAMVSSLKETNLSKRTCSLKMVPPADLTETVRQKLKINKKQFLRCWEILIYLSLDSEDRKSMDNFRACIYDRTKGEILGGATGTNGKRLVQMSSSVNEEVSFAVYWTQEGGDADDQTVEQQPEDLKTQEQQLNELVDNQMEEIVGVAKNVSSRGKDKLADLAAL >KQL22139 pep chromosome:Setaria_italica_v2.0:II:145491:148090:1 gene:SETIT_031147mg transcript:KQL22139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGCVVVDARGHMMGRLASAVAKELLKGQRVAVLRCEEMTLSGGLVRQKSRFLRFLRKRMNTKPSHGPVHHRSPARIFWRAVRGMVPHKTARGEAALARLQAFDGVPPPYDRTKRMVIPDALKVLRLQKGHKYCLLGDLSKEVGWNYQDTIRELEEKRKEKAKVAYDRKKQLAKLRVKAEKVAEEKLGSQLDVLAPVTY >KQL22291 pep chromosome:Setaria_italica_v2.0:II:1397449:1399325:1 gene:SETIT_029501mg transcript:KQL22291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVSSAVVQETVSQVLSGLVKKYEEIDESDANRNLERLEMAHIRLEAALETSGKWQITDASLLRWRRKLKHAAQECDDTLHKCKQRILEDEQMEQRVRNSSFPSRIAHATKSFVSSIISSDNNDKLMRSAVQRFEWFADGATEFLGFIDLGGTPRRHISYYSLVNNLFAGKELHHKIVGGNQNPSSQLWLEPFGSAEHGTEAILMFIHTDDSLSVGNIYFSIVLQISESTDIVGTAIQCLQLFAPHFKCTVENIIKGLAQLPTQCLTWMPSVRSNQKDLLRLQIQNHLSQWVRPKPLCCKKHDRHELRHICNPDKVGLIDDFLEPVTEVNLQCLVSLSPYNKQRTLPFEDRISLQDSSYLKAGIYFTPHRSSEDVLPANRFSEIVAIVGEDQHCLQADVTLEQLEMTLPKSIDYFRQNTEATFYKMIWKSKHSSALIQVERESMSTQKTFGGARKRRKLLQGHDEELMSRKCMISHLFDLWRAHVPIHIISAFKDWLQKEWESYSITATPETLNHVT >KQL24725 pep chromosome:Setaria_italica_v2.0:II:32552514:32553129:-1 gene:SETIT_031782mg transcript:KQL24725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIHGIYTKYIRKKKKSRPRQTYINFVHYSCMECIAPASWHQQISIRRTATKSTQILALEYPQ >KQL25322 pep chromosome:Setaria_italica_v2.0:II:37353039:37356926:-1 gene:SETIT_028870mg transcript:KQL25322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPPPAGSPEARASASPPKDAVASGAGAAAGFLETNTLWVGNLPSYVSEGDLMALFAPHGALDCALARAGSRSYAFVLFRTPAEARAAVEATRGEKVKGAAMRTEFARPARAVRNLWVGGISPSVSKEELEEEFQKFGKVEGVAFSQDQTSAYVDFEKLEDAISAHRSLNGRTLGGKELCVDFQRSKGRAEWSEASGFNGRVSGPPADKRGTGPPKGSAGSRMRDAQPTNVLWVAFPASYKVIDEEMLRQAMSAFGVVTKIKIFQTRQYAFVEFSSVVEAYNAKTNLDGHLFNDPRIQILFSNSELAPNKLDNPTSLSGFPRSEMYSSDGRHGLGSGTLQGYDPPRGGRSRHFDYGGLPTPGGILPPPESFDPREAKRMRLDAGADPYEVRAGSTSLYSAGIRHRDSSVHAEGSSSPAIRVRGTVHRTSYLEHFWRGSISKGGSPVCHARCLPITKGSDIPLPDVINCSARTGLDMLAKHYADATGFDVVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGTTLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMSAAAALRPQLTGPELQPYYDEREALPTSQRKYSIISPSDNGYSDADYRGSLREESMHHLGQLSGRPRVDEGQAVQPALAGFPTNQTAAAQVQPSVKPDIMATLAKLMPSVQSSPLVSGQIPVNSTDRPSQMHGLSMLSKVWNPENQSTTSNLSFGQIANLQQPGQQFSRQVSAAHLTNYGSMVGAQEHSTQHTAYNPEVALNLPPPPPIPTPTHSSTTTLPSQGGHSLPTQTNQQLPEQYYVPQSNYVPLAMGSHSNIQASNANNPAPPLPQVYPGPPANNQMGNLPQLQPSSHGQQQHFAPGTAQAPDEADKSKKYQATLQLAQNLLLQIQQRQSGNQP >KQL25321 pep chromosome:Setaria_italica_v2.0:II:37351017:37357031:-1 gene:SETIT_028870mg transcript:KQL25321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPPPAGSPEARASASPPKDAVASGAGAAAGFLETNTLWVGNLPSYVSEGDLMALFAPHGALDCALARAGSRSYAFVLFRTPAEARAAVEATRGEKVKGAAMRTEFARPARAVRNLWVGGISPSVSKEELEEEFQKFGKVEGVAFSQDQTSAYVDFEKLEDAISAHRSLNGRTLGGKELCVDFQRSKGRAEWSEASGFNGRVSGPPADKRGTGPPKGSAGSRMRDAQPTNVLWVAFPASYKVIDEEMLRQAMSAFGVVTKIKIFQTRQYAFVEFSSVVEAYNAKTNLDGHLFNDPRIQILFSNSELAPNKLDNPTSLSGFPRSEMYSSDGRHGLGSGTLQGYDPPRGGRSRHFDYGGLPTPGGILPPPESFDPREAKRMRLDAGADPYEVRAGSTSLYSAGIRHRDSSVHAEGSSSPAIRVRGTVHRTSYLEHFWRGSISKGGSPVCHARCLPITKGSDIPLPDVINCSARTGLDMLAKHYADATGFDVVFFLPDSEDDFVSYTEFLRYLGSKSRAGVVKVDAGTTLFLVPPSDFLTNVLQVDGPERLYGVVLHIPQMSAAAALRPQLTGPELQPYYDEREALPTSQRKYSIISPSDNGYSDADYRGSLREESMHHLGQLSGRPRVDEGQAVQPALAGFPTNQTAAAQVQPSVKPDIMATLAKLMPSVQSSPLIANLQQPGQQFSRQVSAAHLTNYGSMVGAQEHSTQHTAYNPEVALNLPPPPPIPTPTHSSTTTLPSQGGHSLPTQTNQQLPEQYYVPQSNYVPLAMGSHSNIQASNANNPAPPLPQVYPGPPANNQMGNLPQLQPSSHGQQQHFAPGTAQAPDEADKSKKYQATLQLAQNLLLQIQQRQSGNQP >KQL25656 pep chromosome:Setaria_italica_v2.0:II:39679855:39680285:1 gene:SETIT_033324mg transcript:KQL25656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVVTKFAVTSMLMWMAPVAIMYGFYYQVFPGVSQMSSSAQTLASGFLAVISVNLVIGYYICMAMKETPHQEPQPDHTFLANAKASINQPVSSQMSDDSKGKGKVE >KQL26729 pep chromosome:Setaria_italica_v2.0:II:45993785:45997384:-1 gene:SETIT_031177mg transcript:KQL26729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRQSPRPKRDHQQQSEPDGQRGRKSRQAAAAAAAAAAAQGARASRFVSPGRGRDGGREPKLREGLFGRGGTGRLAAEGPGGMGRDELLRRSLVALAAVVVITGLATASLRKAAATYGFGILAIAGVLLPDWEFFDRDFSQWLTPMPASRRTAAAAAAEREHDVWKFKPYPLRMAMLTTIYGFGLYKWWMYVSS >KQL26728 pep chromosome:Setaria_italica_v2.0:II:45996539:45997384:-1 gene:SETIT_031177mg transcript:KQL26728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRQSPRPKRDHQQQSEPDGQRGRKSRQAAAAAAAAAAAQGARASRFVSPGRGRDGGREPKLREGLFGRGGTGRLAAEGPGGMGRDELLRRSLVALAAVVVITGLATASLRKAAATYGFGILAIAGVLLPDWEFFDRDFSQWLTPMPASRRTAAAAAAEREHDVWNGSRIAWLGLWHLRTINF >KQL26439 pep chromosome:Setaria_italica_v2.0:II:44371891:44374842:-1 gene:SETIT_029245mg transcript:KQL26439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNYTLNHATSSSNLMTESKSLCSRESTHTALVSSDPKPLAIVGPSDNMPAEVGTSEMNQMNSSENAAQETQSENVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLANGAVPSSQGEERYDGVAPVEDKPSNIYSNLCNQVHSAGMLDPVPGPASDDDVDAGGGRPYPGDDTNEDDDLDSKRRKMESAGIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHMGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSTAPMKPAVHPINSNMPGLGGMMRACDARAFTNQYSQAAESDTISLDLGVGISPNHSDATNQMQPSVPEPMQYQMQHMAPVYSSMGLPGMAVATVPGNAASSMYGSREEKGNEGFTFKAAPLDRSANLCYSSAGNLVMGP >KQL26438 pep chromosome:Setaria_italica_v2.0:II:44371510:44376657:-1 gene:SETIT_029245mg transcript:KQL26438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPNPSSGDLPAGSGGSPEKPVLADRRVAALAGAGAGARYKAMSPARLPISREPCLTIPAGFSPGALLESPVLLNNFKVEPSPTTGTLSMAAILNKSTHLDILPSPRDKSAGSGHEDGGSRDFEFKPHLNSQSVAPAVNDPNHRDTSMQNYTLNHATSSSNLMTESKSLCSRESTHTALVSSDPKPLAIVGPSDNMPAEVGTSEMNQMNSSENAAQETQSENVAEKSAEDGYNWRKYGQKHVKGSENPRSYYKCTHPNCEVKKLLERSLDGQITEVVYKGRHNHPKPQPNRRLANGAVPSSQGEERYDGVAPVEDKPSNIYSNLCNQVHSAGMLDPVPGPASDDDVDAGGGRPYPGDDTNEDDDLDSKRRKMESAGIDAALMGKPNREPRVVVQTVSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHMGCPVRKHVERASHDPKSVITTYEGKHNHEVPASRNASHEMSTAPMKPAVHPINSNMPGLGGMMRACDARAFTNQYSQAAESDTISLDLGVGISPNHSDATNQMQPSVPEPMQYQMQHMAPVYSSMGLPGMAVATVPGNAASSMYGSREEKGNEGFTFKAAPLDRSANLCYSSAGNLVMGP >KQL26720 pep chromosome:Setaria_italica_v2.0:II:45958337:45958825:-1 gene:SETIT_031811mg transcript:KQL26720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSKGTYDNHNRTYSLPDNEISHSRLHMEIPFALNSICPIKIGEWITYKQYFVSGW >KQL22964 pep chromosome:Setaria_italica_v2.0:II:6921043:6921772:1 gene:SETIT_031533mg transcript:KQL22964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKSIIQLLVFALVFTLSIMPRGWGEQDCYREKAKFIEICDLSIRRGSAYMEPHYACCLIVRTYDMVCVCRTMTDEDEKTVDVQHAYFVSRDCHKPVPAGNKCGSWTIPEPPSPPPSMH >KQL24060 pep chromosome:Setaria_italica_v2.0:II:25354486:25354877:1 gene:SETIT_033434mg transcript:KQL24060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVSQSKQKGKVTRALKEHRARVYIIRRCIVMLLCWHD >KQL24457 pep chromosome:Setaria_italica_v2.0:II:30320408:30323842:-1 gene:SETIT_029532mg transcript:KQL24457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASPSPSPARGTAIFAAAAVVLLPALFPRMFSPLGHAFPSLFSEWNAPKPMHPSLLNEALRWAIPGEQKRELWSPLSYQGWKPCLKSSISHALPLEPSGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNPVWKDSSSFEEIFDVDHFINSLKDDVSIIKVLPKEFSWSTREYYGTGIRATRIKTAPLHASAKWYLENVSPILQSYGIAAIAPFSHRLAFDDLPADLQRLRCKVNFQALVFRPHIISLGETLVKRLRSPVQGHSDESIHQVVEESTNQAGKYAVLHLRFDKDMAAHSSCDFGGGRAEKLALAKYRKVIWQGRVLNSQLTDEELRNTGRCPLTPEEIGLVLVALGFDSRTRLYLASHKVYGGEARISSLRKLFPLMEDKRSLASEEELADVEGKASVLAALDYYISMHSDIFISASPGNMHNALLAHRTYENLKTIRPNMALLGRIFVNKSMEWLDFQQAVQAGHKGRYGQIRLRKPKQSIYTYPAPDCMCHG >KQL24473 pep chromosome:Setaria_italica_v2.0:II:30430448:30432498:1 gene:SETIT_032144mg transcript:KQL24473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERADMDKSDEVLLPGFRFHPTDEELVGFYLKRKIQQKPLSIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSARPNRVTVAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYKGRAAKGIKTDWMMHEYRMPSLSDPSIPKTPKDKSIPANDAWSICRIFKKPSSVAQRVSSHSWGPQSIATTTPELLSALQSIQASHFALESSSCSANQFNSQQCFQGRQQQKLNSSQDGSSCKVINFNRSLSLPQLSEKDTHSSPIILPFETQSLEKSSAVTSVLLSMAPEIVSSMNEALPNTEMEQLEPSYGYTDDWGIDANGAIGDKDDDPYTRKPVHVHSSGTECGIPRKIKFPFDLGVDSPDDWTSSIP >KQL25728 pep chromosome:Setaria_italica_v2.0:II:40040280:40040819:1 gene:SETIT_031840mg transcript:KQL25728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLLDPPLGISFGGLIICEQKLGHLRIGSPVAASWFGFIPIHTVAYLLGFI >KQL25864 pep chromosome:Setaria_italica_v2.0:II:41019717:41020149:-1 gene:SETIT_031792mg transcript:KQL25864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAKNTLRIKQSLFRTCFEVSTLKDHIIVLVFYIFRLNYYVQPTVYPKPPVFIVPRKNL >KQL26692 pep chromosome:Setaria_italica_v2.0:II:45786543:45789486:1 gene:SETIT_028972mg transcript:KQL26692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTHATEESSSKDSSANASSSPTGSSETSTAKEVPSDIAHEGPSQHEVLQMRLPDPTEDHVGSIVPSDKGPEILSNAGPTEAFISSTNDKTDHPSSADAIEMNSMPVNVLNGTGTALRDEMKPKEDEIHYQTDMATKLKRKEDSETTPASPYKGLIDTAAPFESVREAVTKFGGIVDWKAHKAQMIERRKLIQLELENIRTEIPLCKEELEAAEMAKSQVVDELEHTKRLIEELKHHLEKVQVEEAQAKQDSELAELRAQEIEHGVADEASAIARTQMEVAKERHEKAVAELKSVKEELTSVHKQYATLIDERDTAIKRAEEVISAGKEIERRVEELTLELIASKGSLELAHAAHHEAEERRISAALTKEQDCLAWERELRQAQEELQQLDSKLASNNDMQHLIDANLLKLLSLNSELSAYVEKKLTEEAEGASKEHESEDAKQISNSIKEALASKQKELQEVKENIEKAKAEANVLRFAASTLKSELDNEKASLVVLQQGEAMACVAVSSLEDELNRTKQEIESVRCKEAEAQEKMVELPMVLQQATQEAEDAKVAAHLAQEELRKAKGDFKQTKAAAATAETRLSAVAKEAEASIASERLALAAVQALQESKEARDVKDSPRQVTLPLSEYYELSKRAHEAEEQANEKVAEALSQVVSTKESESRSLERLKEACEEMDEKKEALEIALERAGRANEGKLAAEQELRKWRADHEQRRRALEAAKRAVNPLNGPSRVFVEQKDPYHNEQESKLQMSGSSYESIVPNQKLQRKKSLFPMMGSVLSRKTRAQT >KQL27327 pep chromosome:Setaria_italica_v2.0:II:49158611:49160649:-1 gene:SETIT_030461mg transcript:KQL27327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLAAADAFLVLEFIAGNRRIPHAVFTAILGSLPSSSPRTSPRLRKALVLRALHAALHPEDASCSFTLLRKARRVLADPDAAACFPYQLSLAENEQEDGARAAVADLKRLLDHEWANLPPSTLELAADRLAGHRSLHAWAAADHANRTKLRLLGESTEREILAKLMQDDNANNANEADVAQRNHEADPSKKSSEAGCAQEGTAEHQNALIGGAQGVQLPEKSVPASKKRSLMERHPNASTYEWDGLDDSNDDRPLGKRELPPFERKPHPAPTCAHKVRKKWIEIEEKTLLEGVEKYGKGNWKDIKMAYPVIFEERSTIDLKDKFRNMERLSA >KQL23726 pep chromosome:Setaria_italica_v2.0:II:17017256:17017544:-1 gene:SETIT_031737mg transcript:KQL23726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYRTHACGKIQEYSSGAAYVKLTCTKHQYKNAQANHQLERRPMNHTKLRLAMVVLAIHYSTASILFNLLSR >KQL24795 pep chromosome:Setaria_italica_v2.0:II:33291210:33292883:1 gene:SETIT_029873mg transcript:KQL24795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHSAAMCSLLMLVLLGLGSQLAQSQVLFQGFNWESWKKQGGWYNFLRGRVDDIAATGATHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHAELKSLIAAFHAKGIQCVADIVINHRCADYKDSRGIYCVFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNPRVQQELADWLNWLKTDLGFDGWRLDFAKGYSAAVAKVYVDNTAPTFVVAEIWSSLQYDGNGEPSSIQDKDRQELVNWAQAVGGPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHVFDWNLKQEISTLSTVRSRNGIHAGSKLDILAADGDLYVAKIDDKVIVKIGSRYDVGNLIPSDFHLIAHGNNYCVWEKTGLRVPTGRHH >KQL25559 pep chromosome:Setaria_italica_v2.0:II:39042479:39043146:-1 gene:SETIT_031416mg transcript:KQL25559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAKRIAQLAKKWQRMAALGRKRLAWGTAASKEAGECSTSVSVASKGHCAVYSADGARFEVPLACLGTAVFAELLRMSREEFGFAGGDGGRITLPCDAAVVEYAMCLLRRGASAELEQAFLSTMATSCRYASRVAPCVGAGQQVAV >KQL23437 pep chromosome:Setaria_italica_v2.0:II:12508082:12510432:-1 gene:SETIT_030947mg transcript:KQL23437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTEFRRQLCGDVSSSVVMVEKPTGPSSQFCVGCVIHKTTIDTYILTRTEFIPRKCKLVVHFSDGTKQEAKRLVGDKQFTVIHSSNAHASSTAIWFRHEPIDYSELLCIVPKPPASFQRFWDRIARPSCASTRDDGTLVPDRYFLYICHHDNTKLMTTAPIFHKDGGVCGFVLDDCMTTDKTGEKVDAHVKFCVKATTVENKLRTMLKNDDWKRALEDKAKWSCTHNNFLLYLLLVISWATEW >KQL26064 pep chromosome:Setaria_italica_v2.0:II:42151974:42154595:1 gene:SETIT_030644mg transcript:KQL26064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLLTDSFERDEKPERERDVEMGNRNPKDKTDYGLKDFYEEVKEIEMLLDKMSKIVHKLQEANEESKSVTKASAMKAIKGRMEKDIDEVGKIARSVKVKLEQMDRNNLENRKKPGCGKGTSVDRSRMSMTITLKKKMKERMNDFQNLRQTIQEEYREVVERRIFTVTGTKPSEEVIDRLIETGSSEQIFERAIQGTGRGQILATIEEIQERHDAVMEIEKRLLELQQIFADMAALVDAQGEILDNIENQVQNAVNHVVTGTEALRTAKSLQKKSRKCMLIAIILLLVIAVIVVLSILKPWAK >KQL22344 pep chromosome:Setaria_italica_v2.0:II:1871788:1876801:-1 gene:SETIT_028960mg transcript:KQL22344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSLSNADSIPTKNWGASPATGPIKQGEERADGFVDASLSLPVESEASDTLHVVKEGEEQLLEPVKEEKADDDFFDASSSIPIDLEAKNGDASLITEVMKKEEEQLEEARLKAEEEEEARKREEAARLAFDPETRYNKLDELLTKTQLFSQFLLEKMDQIADEGVETQAEEPEVEEKKKGRGRKRKAKPQYNDKKAKTAVAAMLTRSREDRLAENCTLSEEERWEKEQANLVPLLTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGMHGPYLIIAPLSTLSNWVNEISRFTPSLASIIYHGDKVARAEIRRKFMPKTVGPDFPIVVTSYEMAMSDAKFLAHHTWKYVVVDEGHRLKNSKCKLLREMKRITMDNKLLLTGTPLQNNLAELWSLLNFILPDIFSSHQEFESWFDFSGKGNEEHQEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTEHQKQIQDHLVEKTFDDYLHEESDIVLKRPGIRSKLHNLLIQLRKNCNHPDLLESPFDSTTLYPPVEKILEQCGKFQLFVRLLNFLLSQKHKVLIFSQWTKVLDIIEYYLDSKGLDVCRIDGSVKLEERRRQIAEFNDLNSSMDIFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSHSVEGRIIKRAFGKLKLEHVVIGKGQFEQDRSKPNALDEAELLALLRDEQADEDKLIQTDITDEDLLKLMDRSDLCGPPGAADAAPLIPLKGPGWEVVVPTKSGGGMLSSLTS >KQL24966 pep chromosome:Setaria_italica_v2.0:II:35068846:35076173:1 gene:SETIT_028958mg transcript:KQL24966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASSSLRNHHTLLALALLLIASALQQQPASAEKKSYVVYLGGHSHGRDGAALASNRARARRSHYELLGSVLRSEARARDAIFYSYTRYINGFAATLEEDEAAEVSRHPRVVSVFPNRGHRLHTTRSWEFLGMEEEGGRVRPGSIWAKARFGEGVVIGNLDTGVWPEAGSFSDDGMGPAPARWRGICQDQQASDDAQVRCNRKLIGARFFDKGYLATVGQDQVNPASTRDTDGHGTHTLSTAAGRFVPGASIFGYGNGTAKGGAPRAHAAAYKVCWRPVNGSECFDADIVAAFDAAIHDGVHVLSVSLGGSPAEYFRDGVAIGSFHAARHGVTVVCSAGNSGPAAGTVSNTAPWLLTVGASTVDREFPAYLVLDNNKRIKGQSLSPTRLPGSKYYQLISSEEAKGVNATATQAKLCIEGSLDKAKVKGKIVVCIRGKNARVEKGEAVRRAGGVGLVLANDEASGNEVIADAHVLPATHITYTDGVALLAYLNSTRSASGYITVPYTALDTKPAPFMAAFSSQGPNTVTPQILKPDITAPGVSILAAFTGLAGPTGLPFDERRVLFNAESGTSMSCPHVAGVAGLLKALHPDWSPAAIKSAIMTTARVQDNMRKPMSNSSFLRATPFGYGAGHVQPNRAADPGLVYDADATDYLGFLCALGYNSSAIAAFTAGDGDGHTHYSCPARAPRPEDLNYPSVAVPHLSPTGAAHTVTRRVRNVGAGAAAYDARVHEPRGVEVDVRPRRLEFAAAGEEKQFTVTFRAREGSFWPGEYVFGRLVWSDGAGGHRVRSPLVVRVVDSKKKKKPLSIA >KQL25157 pep chromosome:Setaria_italica_v2.0:II:36369643:36370893:1 gene:SETIT_031161mg transcript:KQL25157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHNKGLGVSQEAPTKCLRATAPNLILSLSLPTSCSCNCTPGPSSRDEENSIPIYRERSSVIGERGEGAAMASPADEALPALPPIKTAPLPPPPCASVSGSASASASPSVPAPAPAKDGAEAAAEEEDHEPSTPTSEESRLRPPAVCPPAPRKPPATRLPVKRKPPLPSPARVFVAVPRDLSTVFRALPPKKRIRVS >KQL25604 pep chromosome:Setaria_italica_v2.0:II:39321418:39324844:1 gene:SETIT_032274mg transcript:KQL25604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMSSISVCTMALLLAALCASDDRLVPGKPLLPGTTIVSDGGDFAFGFFSPSNSTPEKLYLGIWYNKIPRLTVVWVANRATPAISSSAPSLVLTNTSHLVLSDVKGRVLWTTNTTAAASSSPLPRSNATGGSVAVLINTGNLILRSPSGMVLWQSFDHPTDTVLPGMNIRYHKTHEENRLYSWNGPDDPSPGAFSVGAKTDTFIQGFIWNGSLPEWRGAVWTGATVTSQQFFQVNTTNVVYIAYVDSIDEMSTVFTVSDGAPPLRSVVSYSGRVEVSVWNRDSSEWAMLSVWPDYECSKYGYCGLSGYCDHTDATPTCKCLDGFEPVDKEEWSSARFSRGCKRKEVLRCSDGFLALPGMNVPDNFVRIGRKTIKECAAECSGNCSCVAYAYANLNGSTLDGDATRCLLWIGDHQLVDTQKMQGVRPYNAAGADSQGETLYLRVAGLSGERTKANAVKIILSALASVIVLTSIILIWICKFREEILEENTTHDFELPFLKFQDILCSTNNFSNTFMIGQGGFGKVYKVTLEGGQEVAIKRLSRDSDQGIQEFRNEVVLIANLQHRNLVRLLGCCVEGNERLLIYEYLPNKSLDAVIFNCERNAKLDWPIRFKIIKGVARGLLYLHHDSRLTIVHRDLKSSNILLDAEMRPKIADFGMARMFGDNQENANTRRVVGTYGYMAPEYAMEGIFSVKSDVYSFGVLLLRLAWNLWKEGKARDLVDKCIVENCLLDEASLCIHMGLLCVQEKPEDRPFMSSVVFNLENGCPTLPAPNHPAYFAQRNCDMVQMREDIMNSMNTVTLTAIEGR >KQL22151 pep chromosome:Setaria_italica_v2.0:II:238440:240635:-1 gene:SETIT_029709mg transcript:KQL22151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQWVSDGIRSAGFLLWAPLLASYAPRGLPNMYFNLHLRRYARRLVPLLDPFVTIDIVSKKPSSSSFAKPSEYDKCSDAYQEVKAYLTKRCSRDALAFRADATGQRCSFLLSLRQGQEVTDHFQGVTMWWLLVPRKRGVSEEKSRLRLMFPQRHRALIVDEYLPHVRRQGREDMFGNRRQSLYTNKNKREYYGGDDKVWSKMDFEHPSTFDTLAMHPNKKRRIMEDLDSFRGNKDYYCRIGKPWKRGYLLYGPPGTGKSTMIAAMANYLNYDIYDIELTSLSNNSELRDLVTNMTSKSIIVIEDIDCCFDDFNSQRTENKSQSISSGYEKKERVTMSGLLNFIDGVWSTLSGERIIVLTTNFPEKLDPALIRRGRMDMHIEMSYCCFEAFKMLARNYLGIGAHPLFQRVEELLQVVEITPADVAECLLKDEVPGSDRGVEAFLGRLIEELEKKAQEQKGKVGNIAAAKPKRQRR >KQL22345 pep chromosome:Setaria_italica_v2.0:II:1879467:1880494:1 gene:SETIT_032429mg transcript:KQL22345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PASAISRVLFTKLSRSIPSTWRPAKHPCPVPWLHRATGTRRGLLLFEQCIELSQVSSAGRKRRRSLHSVWSASPVDVAIAFGDRGESEVESSFLATASSTRPRTTMSLDEAMLLDLDFAGAPPGMDVAYGFGFDFDFDFDTAAASGASGGFDFDTTECGAAAGTGSGTTSPPVDASTKAGSSGVDDDDEEERLRRLKRKISNRESARRSRARRRQQAEDLERAAEELRAQRRALAARRDAAAARALAVRLDNARLGAEAGALRRRLREAQRQAVLLLALARAQMAPSAVGIGGGRALQVVPPQPAGGSATGMMTS >KQL23501 pep chromosome:Setaria_italica_v2.0:II:14259888:14266120:1 gene:SETIT_028759mg transcript:KQL23501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRCAWLSSLCRPRRGGRALPQPDQRRPDSEPEVVDKPGASDEVVMENILSNNDFSEGLHLWQPNSCHAFVAVEGSGYHYGVRPHSGSSYAVLTHRTQSWQGLEQDITEKVTLGTAYFVAAYVRVCGEVHEPVPVQATLKFEDESSSTNYVSIARILASQERWEKMEGSFNLTTLPRRLVFYLEGTPPGVDLLIDSITVSYKKTERSVSSSIGGTENVISNYDFSKGLHPWNPICCHAYVASQWSGFLDGIRGNSGENYAVVSKRTEHWQGLEQDITNRVSTGTAYVVSAVVRVDGNVQGQVEVKGTLRLQNTDGSTHYNPVGSVLASKEKWNKLEGSFSLTSMPKHVVFYLEGPPAGVDLIIDSVTITCSGHKQSKEVKVPSGVETIIKNPHFEDGLRSWSGRGCNICRHELTAYGNVRPLNGSYFASATGRVHNWNGIQQEITGRVQRKVLYEISSAVRIFGSANDTEVRATLWVQEYGRDRYVGLAKNQASDKQWTHLKGRFLLHAPFTKAVIFIEGPPAGIDILVDGLVLSPARKLEAAPCPKVENVVYGANLLHNSAFTRGLAGWSPMGSCRLSIQTEAPHMLSSILKDRASQKHISGRYILATNRTEVWMGPSQVITDKLRLHVTYRVSAWVRAGSGGHGRHHVNVCLAVDNQWVNGGQVEADGDQWYEIKGAFKLEKQPSKVTAYVQGPPPGVDLRVMDLQIYPVDRKARFEYLKEKTDKVRKRDVVLKFQGSNAVNLLGSIVRIQQTENSFPFGSCIARHNIENEDLAEFFVKNFNWAVFENELKWYHTEAEQGRLNYKDSDELLEFCEKHKIQVRGHCLFWEVEDAVQPWVRSLQGHHLMAAIQNRLQSLLSRYKGRFRHHDVNNEMLHGSFYEDRLGRDIRAYMFREAHKLDPSAVLFVNDYNVEDGCDTKSTPEKFVEQVVDLQERGAPVGGIGVQGHISHPVGEVICDNLDKLAILGLPIWITELDVTAENEHLRADDLEVFLREAFAHPAVGGIILWGFWEMFMFREHAHLVDADGTINEAGRRYLALKQEWLTRVNGNVSHQGEFKFRGYHGSYTVEVDTPSGKVARSFVVDKDSPVQVVNLNI >KQL22127 pep chromosome:Setaria_italica_v2.0:II:88152:88808:-1 gene:SETIT_032215mg transcript:KQL22127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVGLLKVRVVRGINLAYRDARGSDPYVVLRLGKKKLKTSVKKRSVNPIWHEELTLTVTHPSQTLKLEVFDKDTFSRDDPMGDAEIDVAPLMEVVTMNPDDIKNGAIIKSVRPSTRNCLADESHVCWRNGRFVQDMILRLKNVESGEIQLQLQWVTIPGAK >KQL24189 pep chromosome:Setaria_italica_v2.0:II:27254581:27255831:-1 gene:SETIT_029968mg transcript:KQL24189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSPSPSRACAFRAARSTWTCRRRPPWTRACSTPCSPSTSPATGTRTPAPTSTAGSPTPPSRRPAPASPPSSAPTRVRSSSPPAPPSATTSPSRALCASTATAAATSSPRRPSTSASSTPAATCSRRGSRSPTSPSAATGLVDLAQLEDAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNRMGIGLMSLSGHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAQEMDYDHRWVNALQQRLLDGIRAQVDEVVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVRQVKKLRDMSPLYELAKAGIDLKSIEWSQH >KQL24188 pep chromosome:Setaria_italica_v2.0:II:27251708:27256095:-1 gene:SETIT_029968mg transcript:KQL24188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSPSPSRACAFRAARSTWTCRRRPPWTRACSTPCSPSTSPATGTRTPAPTSTAGSPTPPSRRPAPASPPSSAPTRVRSSSPPAPPSATTSPSRALCASTATAAATSSPRRPSTSASSTPAATCSRRGSRSPTSPSAATGLVDLAQLEDAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNRMGIGLMSLSGHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAQEMDYDHRWVNALQQRLLDGIRAQVDEVVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVRQVKKLRDMSPLYELAKAGIDLKSIEWSQH >KQL22297 pep chromosome:Setaria_italica_v2.0:II:1471332:1471535:-1 gene:SETIT_032041mg transcript:KQL22297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLCSRSPQSLKARSNSFLRRRRDT >KQL26341 pep chromosome:Setaria_italica_v2.0:II:43844516:43845011:-1 gene:SETIT_032770mg transcript:KQL26341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKLRKKPYRKFMRHVMKMMKKRIQEMKKRRTKQAEDEAKQLARENEAREKESRKKEARDKEAAKGDEFSIKRCISVINTMEVTKQEKTKAYAIFTKSKENRETFICASEQDQESALIWIRNEMA >KQL26366 pep chromosome:Setaria_italica_v2.0:II:43984994:43989961:-1 gene:SETIT_030372mg transcript:KQL26366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPFLLTPGAARIASAPCPSPSTLSRLLLPLHLQINGRHNHHHRHHVHASSTPSPLVYPRLRNRRGRFFASSQMAAPADAPGGSADAFEVIRAHQAKAARLPPVEEIRTILDQSVRGVLATHSQEHDGYPSGSMVDFACDQDGSPILAVSSLAVHSKNLSGNPKCSLLVAKDPEDRTDTVITVYGDAVPVSDEQKDSVRSAYLRRHPNAFWVDFGDFSFLRIKPKAVRYVSGVATALLGSGEFSAAEYREAKVDPISQFSTPITSHMNKDHADDTKLIVQHSTNVKVDFAFMLDVDSLGFNVKAGYDGSVLKLRIPFPRQAQDRKDVKTLIVEMLQAAKAASSHAD >KQL24765 pep chromosome:Setaria_italica_v2.0:II:32940407:32949739:1 gene:SETIT_028640mg transcript:KQL24765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHNHEQQQAHQSGAPHGMMGPGGSNFPQSSSPMPPVQSQMNLPPSSGPQGMVGGQVHNQVAMQQQYLKLAMLQQQQQQKVAHGMLLQQQAKLNMLGSSSRDQDMVNNPAKMQELMALQAQMFKRQAEHLQQAEKQKEQGQPSSDEQRSRDMRPTMPPLGVPGQQLPSAGMMRPMQPMQGQVGISSAGGGPLTPLQFQAVQAWAKENNFDLSNPANMSAVSQLLPIWQSSRMAAMQKQNEASMAAQQQATPSQMNSDTPGCGNVPNQGAPSKPGQPLPPSSVSGGEEAKVVNLSNLQLQQQLSAHNRDGSNERAVRSPVTAGDGAQMMMHTPQSSGHVNKVPEQSTPKNALANSEAMQVQHVRQMQQLNQAAAPTASPGETGGSQAPIPSARLQPGQTGFTKNQLHVLKAQILAFRRLKRGDRTLPPEVLELIVSGRSPPDSQGQQISGPQAIHNCERPGVSNADEHGRHIESGDKVPEKPALLKGPCLSKVEASASEDKASPASVPGPMQVMKASPKESLKIGPVSVPEHSNTTMIKSEHEIEQCVQRTPRSDYSSERGKSLPAESGSADAEQAKRAGSTSSAPPPRDVPRKYHGPLFDSPSFTRRHDSLGSANYNSNLSLGYDVKDLLAQEGLIILDKKREDNLKKISSLLAINLERKRIRPDLVLRLQIEEKKLKLLEHQARLRDEVEHEQQEIMAMPDRIYRKFVRQCERQRVELARHVQQMQKASREKQLKSIFQWRKKLLEAHWAIRDARITRNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYRQILLEQQTSVPGDAAQRYNVLSSFLTQTEEYLYKLGGKITATKSQQQIEEAANAAAAAARAQGLSEEEVKAAAQCAGQEVMIRNTFSEMNAPRDNTSVNKYYTLAHAVSERVTKQPSLLRAGTLREYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELLNWLPSASCIFYVGAKDQRQKLFSQEVLAMKFNVLVTTYEFVMFDRSKLSKIDWKYIIIDEAQRMKDRDSVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDSSKAFQDWFSKPFQRDGPTHSEEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPRKDSIVLRCRMSAVQGAIYDWIKSTGTIRVDPEDEKMRAQRNPMYQFKTYKNLNNKCMELRKVCNHPLLSYPFLNHGKDFMIRSCGKLWNLDRILIKLHKAGHRVLLFSTMTKLLDIMEDYLQWRRLVYRRIDGTTSLEDRESAIVDFNRPGSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPQNEEQAVARAHRIGQTREVKVIYMEAVVDNISSYQKEDELRNGGSGDLEDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDDERYQETVHDVPSLQEVNRMIARTEREVELFDQMDEDFDWTGDMMKHHQVPKWLRASSTEVDAVVASLSKKPLRNMSSGGIALDTNDTPEKRRGRPKGTGKYSIYREIDDEDLEESDEGSEERNTTPLPEDGEIEEFEDEEDNDYSVPDNKDESEEEEPINDDGYNFTNGLRSRKAIRMEEAGSTGSSSGSRRLPPPAPSSSSKKLRSLSALDARPGSLSRRTLDDLEEGEIAMSGDSHMDLQQSGSWNHERDDGEDEHVLQPKIKRKRSIRIRPRLNAEKQEDRSGGEAVFPQRAARQQDAVHPMVKQKRNMPSRKVSPAPRTGKSTYLCGSGEGSVERSKENWSSKAMDSSTPEFRGTKMSDSMQRKCKNVISKLWRRIDKEGHQIIPNISSWWRRNENSSFRGPSCSTLDLQKIEQRVDGFEYSSVTEFIGDMQQMLKSVVQHFSYRHEVQIEAETLHNLFFNIMNIAFPDSDFSEAKNAMSFSNPGRAASGTAGPSTKHAALGHKRRASTSEAEQHGSGHIRHNQSSEVPSRPHSSRSEIDSRHSGSGSRDQLPDGAGLLHPSDMFIVKKKRQERARSSIGSPSSSGRAGRLSPTNPVRLGSVPSPRGARTPFQRDAHPSQHSVHSTGWIVHSDHGGSSSAPGIGDIQWAKPSKRQRTDAGKRRPSHL >KQL22885 pep chromosome:Setaria_italica_v2.0:II:6110723:6111634:-1 gene:SETIT_033089mg transcript:KQL22885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHFSSSYYYGHGVTTAAAYAHCDSQYSLQHYGGGVMDASAGFQQPSSAYDPHAYNGDYYNSSSSNSWSSSSGAQTSHHHEIQQLHFGGGGGGMDEYYGYQADGMAMDQFSAIMGAASISTTTSSNSSSASTASAPASSAAGYFQQPEAADDAPLIGVRKRPWGKYAAEIRDSTRNGERVWIGTFDTPEAAALSYDQAAYSMRGDAAVLNFPVEHVQESLRALGLSGAAGDDSPVLALKRRHCIRKRLPKNKKVAAAGKEQTAATSSHGHARQNQVAAASCVLELEDLGVDYLEELLALSDR >KQL26962 pep chromosome:Setaria_italica_v2.0:II:47134416:47142594:-1 gene:SETIT_028694mg transcript:KQL26962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVENHVNNNSSLDTGDPEDGTDLANDNKMEDCPTSSMDHNMDNTPRSNINTMVGEHCVVNSAHGNPIEKSPILHDSRHSPLHASSCSKKRQEDSQQQDSCMQDNLALSWRSGSSLGAELITSQDSDGLMNVTNLLSVDLVPGDKQEDSARHKFVVDEKPSPCSPSVTKDAVLLHSSQATCSQLAPSGASNDDKPSNAEDNVQCNCSNEVSQTGVRDKEVKLNGMVDLPMSTTRTFKRKRRQNNSRANNPVSSEVTNMNRELQSKSNGDIVYSPNSRNEINKSDGDEHLPLVKRARVRMERRTPKDATADEHDHSYDKTEPAKHEDPCYKHATPAISGNDQSADDIPPSVDASPKIKLPMPSGVVPDYCNNNKEYQPKVLTLDVEAALPPSKRLHRALEAMSANTSDTLSNLPEATKSKDVTLKGCTASMERSPPNNSADALVKSPKSALAESPKVSLSAHSLDAPTGQKHITQAVLLNKDAFSPVPLDLRNDDVSDSIQRDRVSEEACMDRENVPNLVHTGTDSDDCGKTPTCSMKLEEPAFVSKFDQSPSHEARGNVPMESIEGSKNAFGITIDVSAEPVSQANAVVSYTNDTCDPVDDTVLAESTANICDGTSTTSLVSKVSCIHSDTSTRTFEEHSSSAIAPRDLDHGLNPKDKSISPDSMPTKELIADEHARGFSRSNSFMHNSLDSKFISEPLVNIPSLKEGSSDWCSPPNHTIGSGSDRVHSEEDSGPFDNLQFKGLNKLAGRNEANSSRRAFEAFVGTLTRTKESISRATRLALDCAKHGIAGEVMDIIIEHLEKETNLYKRVDLFFLVDSIIRYCRNQKGGPGDAYPSLIQAVLPRIIYASAPPGNSAWENRRQCLKVLRLWLERKTLSEYIIRHHIKELEALNEASFGSSHRPSGTERALNDPLRDNEAFLVDEYGSNAGFHLPNLICTKLIEDEDGRSSEDRSFEGLTPEHEVTGANEQEASQFPVTKHQLILEEVDGELEMEDAAPSTGAEASSTCQEDLTNDASCTRTAQQRSSIPPLPDDKAPSPPPLPSSPPPQPRPPCPVSQGSQVQGALLVATDCVEHHHPGANYTVEGPHHYSVANNRGNMDACVTSSQPPVHYSSGYAGSTNQIFQPPPPPPPPPIAAFHPSGPHGSLCGPSLPHHGNNYHQPPSAPLPSSAYHIQPPPHPPGPNQFPYPPEPEQRTQPWNYGPPHPESCQYGGHDRGQHGYNRRPHFNDRGHHFDDRGHCFDGGGHYFDDGMHHFDDRWHHFHDRGQMHHEVMDGGRFPPFFPPGPPFPDHFEAPPNQFHCGPPLEPPPGPCSGWSMPHRRSKYPPDSRQTMEPPVSNGGGWRRHGRRDYDRYH >KQL26961 pep chromosome:Setaria_italica_v2.0:II:47135714:47142404:-1 gene:SETIT_028694mg transcript:KQL26961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVENHVNNNSSLDTGDPEDGTDLANDNKMEDCPTSSMDHNMDNTPRSNINTMVGEHCVVNSAHGNPIEKSPILHDSRHSPLHASSCSKKRQEDSQQQDSCMQDNLALSWRSGSSLGAELITSQDSDGLMNVTNLLSVDLVPGDKQEDSARHKFVVDEKPSPCSPSVTKDAVLLHSSQATCSQLAPSGASNDDKPSNAEDNVQCNCSNEVSQTGVRDKEVKLNGMVDLPMSTTRTFKRKRRQNNSRANNPVSSEVTNMNRELQSKSNGDIVYSPNSRNEINKSDGDEHLPLVKRARVRMERRTPKDATADEHDHSYDKTEPAKHEDPCYKHATPAISGNDQSADDIPPSVDASPKIKLPMPSGVVPDYCNNNKEYQPKVLTLDVEAALPPSKRLHRALEAMSANTSDTLSNLPEATKSKDVTLKGCTASMERSPPNNSADALVKSPKSALAESPKVSLSAHSLDAPTGQKHITQAVLLNKDAFSPVPLDLRNDDVSDSIQRDRVSEEACMDRENVPNLVHTGTDSDDCGKTPTCSMKLEEPAFVSKFDQSPSHEARGNVPMESIEGSKNAFGITIDVSAEPVSQANAVVSYTNDTCDPVDDTVLAESTANICDGTSTTSLVSKVSCIHSDTSTRTFEEHSSSAIAPRDLDHGLNPKDKSISPDSMPTKELIADEHARGFSRSNSFMHNSLDSKFISEPLVNIPSLKEGSSDWCSPPNHTIGSGSDRVHSEEDSGPFDNLQFKGLNKLAGRNEANSSRRAFEAFVGTLTRTKESISRATRLALDCAKHGIAGEVMDIIIEHLEKETNLYKRVDLFFLVDSIIRYCRNQKGGPGDAYPSLIQAVLPRIIYASAPPGNSAWENRRQCLKVLRLWLERKTLSEYIIRHHIKELEALNEASFGSSHRPSGTERALNDPLRDNEAFLVDEYGSNAGFHLPNLICTKLIEDEDGRSSEDRSFEGLTPEHEVTGANEQEASQFPVTKHQLILEEVDGELEMEDAAPSTGAEASSTCQEDLTNDASCTRTAQQRSSIPPLPDDKAPSPPPLPSSPPPQPRPPCPVSQGSQVQGALLVATDCVEHHHPGANYTVEGPHHYSVANNRGNMDACVTSSQPPVHYSSGYAGSTNQIFQPPPPPPPPPIAAFHPSGPHGSLCGPSLPHHGNNYHQPPSAPLPSSAYHIQPPPHPPGPNQFPYPPEPEQRTQPWNYGPPHPESCQYGGHDRGQHGYNRRPHFNDRGHHFDDRGHCFDGGGHYFDDGMHHFDDRWHHFHDRGQMHHEVMDGGRFPPFFPPGPPFPDHFEAPPNQFHCGPPLEPPPGPCSGWSMPHRRSKYPPDSRQTMEPPVSNGGGWRRHGRRDYDRYH >KQL26999 pep chromosome:Setaria_italica_v2.0:II:47316668:47320067:-1 gene:SETIT_030036mg transcript:KQL26999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRLLHSSRHFRHGLQTVAPATSASSSPLPFRRLPDLLLPARVLSPRLLSTSGRDDDGNKPWSFAGVSGDPDPFPHEEAAADAGEALPVGPAAVADEPWAKGFGVEDGDSGDVFEGIYKEAASAAPASGEAAPAGDEEQWTLSGDEKDPFADAVLGEGIDGIQGEGGGLDELDAGEDPEAELKRQKNMEREKELMEILKGPNRAFGDAIASSGITEGMIDSLILLKDVRDVPGMPPLTEIEDEAIEKLSATSSRAEVERQKQEEIAKARVRQVDEKGRAYGTGKRKCSIARVWIEPGDGKFIVNEKEFDAYFPILDHRADLLRPFTVTKTLGLWDVTCTVKGGGVSGQVGAIRLGISRALQNWEPGLRPYLKAAGYLTRDSRVVERKKPGKAKARKSFQWVKR >KQL26884 pep chromosome:Setaria_italica_v2.0:II:46754672:46756779:-1 gene:SETIT_033243mg transcript:KQL26884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVERCGEMVVSMETSPTHAKPVPAPFLTKTYQLVDDPCTDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFRKGAKHLLAEIHRRKSSQPLPTPLPPHQPYHHHHHHLHHHHLNPFSPPPPPPTQPVYHFQEEPAAAHGVHGGSNGGDGSGGGDFLAALSEDNRQLRRRNSLLLSELAHMKKLYNDIIYFLQNHVAPVTSPSSAAHHASLPSAGAASSCRLMELDPGSPSPPPRPEAAEDAGDGTVKLFGVALQGKKKKRAHREDGDDDHEQGSSEV >KQL22276 pep chromosome:Setaria_italica_v2.0:II:1195746:1198073:-1 gene:SETIT_029256mg transcript:KQL22276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMVSSAIVGETVNRIMSSITGKDEEKSKEKENIERLEMAHIKMEAVLQVSDRWQITEVPLLRWRSKLKRAAQECDDTLVKCKQRALEDEEARLRVSQSSFPKRIAHATKSLISSFIGFNDESSRSSASVRRFERYANGANEFLKTVECGGTPMRYTFFINPIIRHLFTGKSLRYQAFQEDKLFYLSIRPVSSAERGVEAMVGFACQDFKEPTKGFYLACMLRLSESTDIFDVIIKCVQPVAPHFKFAAEGIRRGLIQLPIQDFTWDSPYGESEFWANVHNTLTKWHRPNPLCCNEHGHHRISSSSSSNTTASSSWLLSNIFPEEVINVLLKWHVPLSDQGTNNTSSTSVHGGSRSISHNSDIPTLKIGVLVMPHESSDDIDPAADSYALEVIDGEEQGIIHANAHLQDLGEKLLPKAADYLHQNSESELYQLSLKSRHGTAHICLEKASIRIQSAAMVKSEAARRAQQDKSATLQQDMERWKQISVSHRYRRRRLPAVEDTPSPRPGEHAAGRRLRLHCGGRSPVLHLPCGSCSQTMPDGGGDSTVEVDVKAHLATAAGGRERGNPSLADVIMSPCGWLSSFHSHTVQLLLSPCQVDSLPKPEIFS >KQL26130 pep chromosome:Setaria_italica_v2.0:II:42510443:42513573:1 gene:SETIT_033152mg transcript:KQL26130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSQLGVVAKIISSQFTHPSSVTTKQRASQWVFRLEHSQPATMAGNLLANYVQVYVMLPLDIITVDNTFEKADETRAQLKKLTEAGVDGVMIDVWWGLVERKAPGVYDWSAYKQVFKLVQEAGLKLQAIMSCHQCGGNVGDVVNIPIPQWVRDIGEANPDIFYTNRRGTRNIEYLTLGVDDQPIFQGRTAIQLYADYMKSFRENMAEFLDAGVIVDIEVGLGPAGEMRYPSYPQSQGWVFPGIGEFICYDKYLEADFKAAAAEAGHPEWELPDDAGEYNDTPENTQFFAENGTYQTEKGKFFLTWYSNKLIKHGDNILDEANKVFLGCTVQLAIKISGIHWWYRVPNHAAELTAGYYNLDDRDGYRTIAHMLTRHYACMNFTCAEMRDNEQSSEAKSAPEELVQQVLSAGWREGRNVACENALGRYDATAYNTILRNARPQGINKNGPPEHKLYGFTYLRVSDELLQGQNYTTFKTFVSRMHANLDYNPQVDPVPPLKRSKPEIPIEEILEVAQPRLEPFPFNKNTDLPV >KQL26699 pep chromosome:Setaria_italica_v2.0:II:45840762:45844221:-1 gene:SETIT_029991mg transcript:KQL26699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTSPTLPVPPPAVGRRVTVLTIDGGGIRGLIPGTILAFLEKKLQEHDGPDARLADYFDYIAGTSTGGLITAMLAAPGKDKRPLFSAKKINEFYTENGPHIFPQRPWPEVVNTLIEIKGPKYDGKFLHSKIQSLLGATRMHDTLTNIVIPTFDVKNLQPTIFSTFDAQTMPLKDALLSDVCISTSAAPTYLPAHFFQTRDEATGKTRDFNLIDGGVSANNPTLLTINQITRKMIVDKQDLFPGGPKDYDKFLVISIGTGSAKNAAVYTAKDAAGWGILSWLHSKDGYTPIVDMFSYSSAALVDYNVSILFQALRSEKNYLRIQEDSLMGAAATVDVATRENMEELVRIGERMLAKTVSRVDMETGKHVPVLEEGTNADALARFAEMLSQERKARTSSSSSQGKARQRVVN >KQL26870 pep chromosome:Setaria_italica_v2.0:II:46692120:46695316:1 gene:SETIT_029059mg transcript:KQL26870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGARMTSAETCKLGASVDGAKLRVQILKWFHQGRISRGSRAPSVVSITQTNKEHPPVASQAPMEPTGTIVFASFGVSRFGFDVFSVAVPPAAPDDVPDAKMLDERRHTDGVSVNFNAQFADDASDAVAFVSERTGAASLFLSRPGSERPEPLPAAEGSLFHDRPTVRGGRVYFVSAHEKPDRPFRSWAAVHAAGLGGEGGAVERVTPRGVVDMSPAVSASGELVAVASYGDRPWAFDFRVLETEIAVFRAADPARRVVVAGRGGWPTWHGERTLFFHRVADDGWWSVFRVDLSPDTLEPTGDGERRVTPPGLHCFTPAAAGRGGGRWIAVATRRKDRAQRHIELFDLETERFSPLTELLNPDLHHYNPFFSPSGGRLGYHRFRGAGATGDSVVPYLQPVRSPVRSIRMLRVNGTFPSFSPDASHIAVNGDFFATPGVMVLRSDGTRRWTISKEPSLFFTTWSPTERGVVFTSAGPIFETPKATVRIARVEFDPSELTDDRKEVSAATVRALTRPEAGNDAFPAVSPCGRWLVFRSGRTGHKNLYVIDTARGEEEEGGGAAVRRLTEGEWIDTMPSWSPDGSLIAFSSNRHDPANPAVFSIYLVRPDGSRLRRVYVAGPEGSAEADKERINHVCFSPDSRWLLFTANLGGVMAEPVSGPNQFQPYGDLYVCRLDGSGLQRLTCNAYENGTPAWGPSSAGLGVEAMSLGAPAGEDPMGQFDEPLWLTCDV >KQL25692 pep chromosome:Setaria_italica_v2.0:II:39871528:39875035:-1 gene:SETIT_029577mg transcript:KQL25692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATKVAGCFKDRTILVTGSTGFLGKLLVEKILRVEPGVKKLYLLVRAPDDVAAEQRMLHEIIGKELFSVLREEHGADFQSFIKEKISPLAGDMIHENLGLESTQAKQLFEEIDIIVNGAATTNFYERYDVALASNTLGTVNICKFAKQCSHLKLLLHVSTAYVASCKQKGRILEKPLQMGQTLKKGRCIDVEAELDLANDVKAKLVKTRSGNDTSHQKLQKVAMKELGLKRAKYFGWPNVYAFTKAMGEMLLGTMRGDLPVVIMRPSIVISTFQDPFPGWIEGIRTMDVMIAASYEQKLPCFIGGHVLDSIPGDMVVNATMVAMATHYDCSGTQVVYHVTSALQNPLSCNLLEESVYGYCLINPRVRDDKRTMQHERPMLFSRYAYFHAYMVLAYKTRLQVLYLANRLLLCGRFTEYHNKLNRSLNCLMFMAKLYAPYVFFKGCFDDTNLRMLRGTTGKEHGDGSVFNFDPNCINWRMYMFNTHIPAVLKVAAHMKKEGTA >KQL22259 pep chromosome:Setaria_italica_v2.0:II:1062169:1063287:1 gene:SETIT_033029mg transcript:KQL22259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLPRSPPALMDELVEEILLRVPPDDPARLVHAALTCKRWCRLVSDPGFRRRFRLFHRAGPMLGFVYRGTCTTGFTPTSSFRPPGAAVCRDWWPLDARHGRILFRDAVLIQAGKDIGLIVLDPIAGEVRRLPLPWFTRSYRSWNAALLCAAAGCDHLDCAPGGPFIVIFVGTDAATGFTSVLVYSSEAAKWRLTALIEGTSYDMIHGGSTLVGNALYYQEQIRGILEYDLGKKKLSFIKLPPKYCRRPSMIVLMAAKGGVGLGFATLEDFDLYMWSRDESVPSGWTQQRVIKLRSLLNAPALSFSRYMMIALADGVGVVFILARDLGVFAVGLESGQVRKVSDDGDRIIDVFPYVSFCTPGSCLMCLIHL >KQL26932 pep chromosome:Setaria_italica_v2.0:II:46972643:46977694:1 gene:SETIT_029068mg transcript:KQL26932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNKERARRAIDAMTALGFSKKESTPVLRSLLKLFDNSWEPIEDECYRALADAILDSRDRPKEPEHGSHHARMVAPEEDHHQPSTSLIVYGHPRDLDSEIEAPRIKRPRTNSNNFSADHCIDPQLSPPSSVTAQERARQMMDEDFQHAVFLREPKPEPDIDVAQSLHDAQVGIVSHPFNTSSSGNKGRPVQHCRTRTSSTSFVERTGSMGKQPQNRESLSDHTAVMHNTGTGSADERTQEAPYLHTVVASSTMGDVKMSIECNIDPSKFCMPSLEEVFRMVEDKCLHSYKSLPPNFSVSSLINEICQCVAQLANGSTAEYNVQSDSFDNGRNSQKESMMSGAALMKPIASMNSGGMKYKSVEDSLVLETSENGQEYSTVPQHLALSQVRCTHDVSDISKGEEKVRISVVNEFGSEKCPPSFYYIQRNLVSPKAYDNISAAGIGDEDSCADCFGNCLSAPIPCACARETGGEFAYTPGGLVKTTFLDECFSMNLFPEKHHKFFCKPLERPRNEALPEPSRRHLVRKVIRECWSKCGCNMQCGNRVVQRGIACNLQVFFTQEGKGWGLRTLDELPKGAFVCEYVGELLTNTELREKTSQKACKAGYTYPVDLDADCDSEGVLKDKEALCLDATFYGNVGRFINHRCYDANLVEIPVEVETPHHHYYHLALFTNKKVEAFEELTWDYGIDFDGDKHRGGTFQCLCGSRYCRGRKGNRGKAAAK >KQL26115 pep chromosome:Setaria_italica_v2.0:II:42442196:42442573:-1 gene:SETIT_033601mg transcript:KQL26115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSMAEKKNYSGILNPAVDKAVVAGRVPGQKCRFGWGLEGDRIASEHRHD >KQL26777 pep chromosome:Setaria_italica_v2.0:II:46281621:46284426:1 gene:SETIT_030865mg transcript:KQL26777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWEGYASDETMGTFAPIVLYWVYAGGYQLILHRRPLERYRLHTRAEEEEKNLVSLPAVVRGVLLQQLVQAIVAMILFMVTSDSSVTVVQPPIVVQIFQFLIAMLVMDSWQYFVHRYMHQNKFLYRHIHSQHHRLIVPYAIGALYNHPLEGLLLDTLGGAISFLVSGMTPRTAVFFFCFAVLKTVDDHCGLWLPYNIFQNLFQNNTAYHDIHHQLQGTKYNYSQPFFSIWDRILGTHMPYNLVSRKEGGFEARPSRD >KQL24449 pep chromosome:Setaria_italica_v2.0:II:30261002:30263528:-1 gene:SETIT_030496mg transcript:KQL24449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDGGVEHGSQQEAAPHQLLPWLGAAPFSSEQAVAGLGAMGAYACDGVGAVGGLGHGGVFGFGFDAVQQQQQQRAAEGGGGGKAVVSGLLGSLQAELGRMTAREMMDAKALAASRSHSEAERRRRQRINGHLARLRSLLPNTTKTDKASLLAEVLDHVKELKRQTSAMMVAAAAASVAGDDDEGAGPAQLLPTEADELAVDAAADRAGRLVVRASLCCEDRPDLIPDIVRALAALRMRARRAEITTLGGRVRSVLLITAVEGADDKGDDDGRAASHRRHECIASVQEALRGVMDRRTADSDTSSTGGGGGGSIKRQRMNYAAQEQCSV >KQL22504 pep chromosome:Setaria_italica_v2.0:II:3193566:3195279:-1 gene:SETIT_029492mg transcript:KQL22504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAATVSVAMALAAIVAVFFLSSVLPPRRRRKALNLPPGPRGWPVIGSLGVLAGALPPHRVLAALATRYGPLMHLRLGSYHAVVATSAETARLVLKTHDLALADRPPTAAGEILAYGYRGIVHTPYGAYWRMTRKLCATELFSARRVMSFERVRSEEMRALVRGLFRCAGGVVAVREHVTCATLRNILRMAVGEKWSGCYGSAEGQAFRRTLDEAFSVAGAVSNVGEWVPWLGWLDLQGCVRRMKRLSEMYDRFNEQILDEHEERRRRAGAGEFVASDLVDVLLQLTEEGRSESSEAKLTRDGVKAFIQDIIAGGTETSAVTIEWAMSELLLHPDAMAAATAELDRVVGRERWVTERDLPDLPYIDAVVKETLRLHPVAPLLIPHHVREDTVIAGYDVPASARMLVNVWAIARDPASWPDAPGAFRPERFLGAGVDVRGAHFELLPFGAGRRICPAYELGMKLVAGGVANLVQGFAWRLPDGVKPEDVSMEEHFGLSTKRKVPFVAVAEPRLPAHLYDATD >KQL22795 pep chromosome:Setaria_italica_v2.0:II:5336364:5344246:1 gene:SETIT_032916mg transcript:KQL22795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGGPWLRSTNNFLGRAVWEFDPDGGTPEERAEVERLRREFTEHRFQRRYSADLLMRMQAARAAHRPPRRSLPLPHIKLGEDEQVTEEVAANSLRRALDQFSSLQSSDGHWPGDFAGISIVVPTMIIALYVTRSLNAVISTEHRREMCRYIYNHQNEDSGWGTDIRGSSNMFGTCMNYITLRLLGEEPNDKNNALAKGRAWILSHGGAASLPQWGKIWCSILGVYDWSGCNPFFPELWLMPRFLPFYPGKLWCLCRMFFLSVAYIYGKRFVGPITPTILALREEIYNTPYDKIDWTEARCACAKEDLLTPRTRLQNVIWASLYKFVEPVMSSWPVNKLREKALKYLMEHIHYEDESSQYICLTTGSKPLNMICCWVEDPNSDAFKRHLARIPDLLWLSEDGMKGLGVDGCQSWETAFAIQAICATDLVNEYSPTIKRAYEFMKHSQVTRNHPGDQTYWHRHRSKGSWTFSSADNGWAVSDTTAEALENKDGSFSTYEPQRTSSWVEILGPCENFPNSVVDYPYPECTGSVLQALVLFKKLHPDYRTKEIENCIGNAARFIENRQNEDGSWLGTWGVCFTYGIYFSIKGLIAAGRTYENNPSIRNACQFLLSKQLSTGGWGESHVSMITQVYQNIQGDCANAVNTAWAMLALIYAGQFERDPTPLHRAAKELINMQLETGEFPQQEHVGCFNCACIFNYPNYRNVFPIWALGEYRRCIAKRDKSM >KQL23124 pep chromosome:Setaria_italica_v2.0:II:8517672:8521786:-1 gene:SETIT_032164mg transcript:KQL23124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMETPRSSETRWSRVASSLPVRNVQDLATCCEGLTEETLKRYIRLDTQDNEVLSEQSGEVPVIDLGKLFNPDFAEEESARLRFACEDWGFFQIVNHGIPDEVIASIRNDIEKFFQLPLEVKSGYAQLPGDLQGYGQSFVVSESQTLDWSDMFVIIAHPPQARDMRYWPVQPHTFRQSIEEYSSELMKTAHSIVTVIAKTLNIDLGLMNDKYACQYLRMNYYPPCMTMAEKVLGFSPHSDGSFLTLLLEVNSVEGLQIKRYNAWIPVKPNPNALLVNVGDFLEIMSNGKYKSIEHRVTINTNKERLTLSAFHVPSLDGVVSPVTSRAEEKALYKTVGVEEYSKLYMSNKLDGKTALDHAKLL >KQL26465 pep chromosome:Setaria_italica_v2.0:II:44510925:44514349:-1 gene:SETIT_029353mg transcript:KQL26465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAVTARLKREDCPRTKHDSLFSPWKVLVGPSDWEDHSAGKEGVQRYRIRNLPDNFPGIYELGVAGASDEGVRSRRRDSRGVVVVYLGQADSVRARLQQYGRSGSHLDTGNSLGSAGKAEVNALAAGPGLFREVFSRGYSVVFRCAQMDNKQEAEKTEAQLLRIFDYAWNKLQNGACRCEEILLKLEQGATSRRSSLLSRVCHSKQDIFGVKAGIKIKGSGSVNTPPGIMKSMLPRVRTFVGFRPQPVNSEDSGGEAIDIPWKKISGFPCGNRQAHRRRSGGHRIKKIDVAKRRTVPIQDSNSFCGVVLEDGSSCLEHPVEGRKRCSLHKGRRVKGSPKSSSTSYPCQAEIPIPHLTEDLDNSDQTQEIIESIPHLTEDLDSSDRTQESKILPQNISTTVEESPRQSNSIKEEEVKTREAPTEDGKHDASRDACICDCEEKASHAEPESQVPQPSGRMWFELLKARKKSTSADSSRGSGSQTRDYAAPICGAMADNGSCKVVPNAGRQICEKDRGIEVAGASFSRSSGWPCICGACTADGSPCMNKPVEGRKRCALHKGQRGSCTPTPSV >KQL26643 pep chromosome:Setaria_italica_v2.0:II:45531491:45534910:1 gene:SETIT_030615mg transcript:KQL26643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLGIAPLLDAYFRRRFAAAGLVEASVPLDGGATTVHCWRFQPGAIDARPVLVLLHGFGPPATWQWRRQVGPLSRRFRLIVPDLLFFGGSSTSAGARVSEAQQAEAVAKLVAAVAPAARVSVAGTSYGGFVAYHLARLLGPGVVERVVIASSDLLKADADDRALLRRGGAERVEDVMLPRSPERMRRLLQLAYHRPRRFTPGFVLRDLVQYLYNDKVEEKKELIKGITLGNKDKFQLTPLPQEVLVLWGEHDQIFPIEKAFEVARSVHADLTNDVTTV >KQL26642 pep chromosome:Setaria_italica_v2.0:II:45531477:45535244:1 gene:SETIT_030615mg transcript:KQL26642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLGIAPLLDAYFRRRFAAAGLVEASVPLDGGATTVHCWRFQPGAIDARPVLVLLHGFGPPATWQWRRQVGPLSRRFRLIVPDLLFFGGSSTSAGARVSEAQQAEAVAKLVAAVAPAARVSVAGTSYGGFVAYHLARLLGPGVVERVVIASSDLLKADADDRALLRRGGAERVEDVMLPRSPERMRRLLQLAYHRPRRFTPGFVLRDLVQYLYNDKVEEKKELIKGITLGNKDKFQLTPLPQEVLVLWGEHDQIFPIEKAFEVARKLGASARLEVLKDTGHMPQEEDPKRFNEAILKFLLPAPKSSL >KQL25850 pep chromosome:Setaria_italica_v2.0:II:40892390:40893493:1 gene:SETIT_032990mg transcript:KQL25850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSIYGASWEEALRWQRPPRPDEPEVRDVAVDPFSLRQFSRLDIDRPLPIPSVSVDDRRASMSPARFDDGATVLGTAASSSPRASIAGGIKAPSAAPTGLGVDDVHSARSGEPPPRSKLSAGWETELADAGFDVALTSSPERKATEPQRWGSDVPLIAAAVAEEYSFGYAKDGRGKPAKHAGRAPFTCCMYVPGLTRRVKPPTPTAAEAARSYSSGAFGKNAAAVDPGDPGACSARPSTVSLAVSLERFDCGSLSAASSSRGLGLGLDDGEAASSSSAYFDLPLELIKGCDDEEEESDLPVCAAFLFDSDGIRKSVLKRRLEAGAGMEPRRPSLGKVSTDASGRISAHHVRVSLKSKSPAASTAP >KQL22170 pep chromosome:Setaria_italica_v2.0:II:362554:364127:1 gene:SETIT_029900mg transcript:KQL22170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFLSAVLGELASRSINFFISKSSKPRVLDVEDSLQRALLQAQVIIDEVTGRHITNQAMLQQLDMLRDAMYQGCYKLDTFRYQSHDEKYAEDQVTQILEQLEDALDNLSSMILDVKELVVFLTGYPRLYHQPYNMHLLLSNCMFGRQMEAELVLNFLLHTQPNGVEELEVLPVVGPGKVGKSTLVAHVCSDERVRDHFSEIVFVSDHDSKDEELTHLWERCVKKCQNSRPSKYRRTLVVAEVAGDINESEWKRLYAASRRCMTIGSKIIITSRSDKIAKLGTTRAVTLKYLSEEAYWYFFKTHAFGSTDPTMHPRMAYVAMEIARMSNRSLLSATITACLLRDNFDIHFWCKLLAFLRRFIKWHASSFGEHPGDALNQNKPAHLWSMVRTSKEIVVHHQYDCSSQEEVPKISLASVMYGGVKPPSGKFEAIV >KQL26718 pep chromosome:Setaria_italica_v2.0:II:45940893:45943776:-1 gene:SETIT_030124mg transcript:KQL26718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRQSTAKPIRCRAAVSKAPGQPLEMEEVEVAPPRAHEVRVKIICTSLCHTDITFWRMKDFPAMYPSILGHEAVGVVESVGEHVEEVAVGDTVVPVFLPQCGECADCLSARSNICSALTYRPGLMPRDGTTRFSLAATGEPVHSFLSVSSFAEYTVVDVANVVKLGAALPPEMACLLSCGVSTGVGAAWKVAAVEPGSTVAVFGLGTVGLAVAQGSKMHGATRIIGVDLNPDKFEIGQRLGVTDFVNPNDTGEKTVSEVIKEMTGGGADHCFECIGIPSVMAEAFQSSRTGWGKTIILGSDAAAAPVSISSSGIKRGRSVTGALLGGIKPKDDIPVLAQKYMDKELELDEFVTHRMGFDDINIAFDLLARGKCLRCIIWMDGANKTTA >KQL22968 pep chromosome:Setaria_italica_v2.0:II:6940868:6942393:1 gene:SETIT_032233mg transcript:KQL22968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNIVVFLSATLLVFFLWSVGADKDINGITLRGRSLESKKVFNVRRYGAHGDGRHDDTRSIAKTWAAACSSSQSAVVLIPRGKRHLINHVTLSGPCKSSIMLMIEGTLMAPPKGSHWSKKTNRHWIMFNDVDGLTIAGGGTIDGNGKIWWQNSCKTNSRPPCKQAPTALTFYSCKNLKLENLKLVNSQQIHMSVEDCSHVKIARLSITAPGTSPNTDGIHITRSKHVQVRDCTIKTGDDCMSIEDGTENLHASNIVCGPGHGISIGSLGDRGSRAHVVNVTVDTAWLYGTANGARIKTWQGGRGYAKNIVFENMVMGDVRNPIVIDQNYCDSATPCRKQKSAVEVSNVLFKNIRGTSASKEAIKLRCSTSVPCHGITLENVKLTLKGGDGVAKSTCENAKWRKSGTVTPQPCTIGN >KQL22919 pep chromosome:Setaria_italica_v2.0:II:6351780:6352756:-1 gene:SETIT_033415mg transcript:KQL22919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGLTAQLTEHFGLLVLTYYDFPATAPVVPGVVMPGGIRGRMLTEVTEESELVRLPDIDGTTKTMWYSDIGILTQSERPDQPPDEYAVAVLSNGQDRSFVMRRFLSQTGKWDKIVGLPSPLPLARPMDMYIAHEAMAFAGRLWWVDVARGALSVDPFSNRTELRFVELRRGSVTDTWTRRSDGICAEVSQEEPFLLSSFTLDDDGSCWTLEQWHYADSGRMKTCWLQSSQIPSS >KQL27205 pep chromosome:Setaria_italica_v2.0:II:48532560:48536812:-1 gene:SETIT_029113mg transcript:KQL27205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKDLGLLPASGPGTPTSVAAAGHSPFLLSRQNSGRCGGGAGTAPSPLSVSSPSSWAPPPVFSRTNSATNGAAEEMAGVGEDLMSPANGNGPPSPFFGATAGDQLLDELQLQEQLAFLNDAGAGAGHQLPLFDASECRSPGAGDGGFFPYGSLGWANGGPGHRRSSSVSELCLGGAADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDATALAGGKMDPATMEQQCQDILLRSKSQRLAAAAFPYSPTGSLPGSPSAASKCLSLLLQQQQNENQRAAAAAAAAALMLGGDEAHKFMGRPRLDRADLASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQLQGERVDFSNGLDARDSFDLHQLGARMLQHSNSANEMLLRRKLEEQQQAAELQQAMELQSRRLMGLQLLDLKSRSSPSPIGMPFSPTRAVASPTVESPPDSGEQGKGSSFLLPQRRAAVNGGDKEESSGEASPNADSDQSAEHNLPDSPFASPTKSAAAAFAHDPFALTESEVAAASPGRNTSFAGINNGGLTTHLRPSALDIPSPKPYFFPMSRLSSDHGAGAIGM >KQL27206 pep chromosome:Setaria_italica_v2.0:II:48534004:48536812:-1 gene:SETIT_029113mg transcript:KQL27206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKDLGLLPASGPGTPTSVAAAGHSPFLLSRQNSGRCGGGAGTAPSPLSVSSPSSWAPPPVFSRTNSATNGAAEEMAGVGEDLMSPANGNGPPSPFFGATAGDQLLDELQLQEQLAFLNDAGAGAGHQLPLFDASECRSPGAGDGGFFPYGSLGWANGGPGHRRSSSVSELCLGGAADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDATALAGGKMDPATMEQQCQDILLRSKSQRLAAAAFPYSPTGSLPGSPSAASKCLSLLLQQQQNENQRAAAAAAAAALMLGGDEAHKFMGRPRLDRADLASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQLQGERVDFSNGLDARDSFDLHQLGARMLQHSNSANEMLLRRKLEEQQQAAELQQAMELQSRRLMGLQLLDLKSRSSPSPIGMPFSPTRAVASPTVESPPDSGEQGKGSSFLLPQRRAAVNGGDKEESSGEASPNADSDQRWN >KQL27204 pep chromosome:Setaria_italica_v2.0:II:48533627:48536399:-1 gene:SETIT_029113mg transcript:KQL27204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYEATKVVFSRIQALDPDHAAKIMGFLLIQDHGEKEMIRLAFGPEALLHTVMAKARKDLGLLPASGPGTPTSVAAAGHSPFLLSRQNSGRCGGGAGTAPSPLSVSSPSSWAPPPVFSRTNSATNGAAEEMAGVGEDLMSPANGNGPPSPFFGATAGDQLLDELQLQEQLAFLNDAGAGAGHQLPLFDASECRSPGAGDGGFFPYGSLGWANGGPGHRRSSSVSELCLGGAADGLGWKPCLYYARGYCKNGSACRFVHGGLPDDATALAGGKMDPATMEQQCQDILLRSKSQRLAAAAFPYSPTGSLPGSPSAASKCLSLLLQQQQNENQRAAAAAAAAALMLGGDEAHKFMGRPRLDRADLASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQLQGERVDFSNGLDARDSFDLHQLGARMLQHSNSANEMLLRRKLEEQQQAAELQQAMELQSRRLMGLQLLDLKSRSSPSPIGMPFSPTRAVASPTVESPPDSGEQGKGSSFLLPQRRAAVNGGDKEESSGEASPNADSDQSAEHNLPDSPFASPTKSAAAAFAHDPFALTESEVAAASPGRNTSFAGINNGGLTTHLRPSALDIPSPKPYFFPMSRLSSDHGAGAIGM >KQL25410 pep chromosome:Setaria_italica_v2.0:II:37927655:37928506:1 gene:SETIT_032905mg transcript:KQL25410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNSLQLAAAPGADPAAQQQAAVTAPQSPRGAYGFAAAAAPKQGATMLAPPSPQGAPGFAVVPQGGAHAVAAPGSPQGPPGFWLAPQQGAPAPPQGAPVMAPPQGVTMVAPQQQHFAPVLVPGSQQGMQMAIQAASMGMMMASMSQQAQAQAMAAHQQAQGMVQSQSPALPMMQMQQAQAGDMMVTPPLPLGPPPLMQQLSQADPAMMAQPPLPLGPPPAMLQQQPAQGGSVMMAQAPLPFSPPSVLLQQHSQGQGNQMMMGQPQLSALPCKRQRVDQCGS >KQL23749 pep chromosome:Setaria_italica_v2.0:II:17279209:17282789:-1 gene:SETIT_029247mg transcript:KQL23749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTTASLPLARLLGRAAETRPQRFQPVRAQRRVCAKVVSGGVDMALEPKNTREVENRIRQELLDPKQLPSSYDTAWLGNKFVSSALDINSQLCLVDTLENMGISSHFSCEINSTLDMAYRSLLQNDGNITMDMETCAMAFRLLRMHGYDISSDVLSHFAEESRFHDSVEAHLNDSKALLELYRASLVRILEDEGTLEKIGAWSGKLLKQQLCSNRLSRSISPKEVEYALKTPFYSATLEPLQHKMNIERFNTKGIQMQKSSYLACYATEDILALATEDFHAAQSIYQQQLHHIETWAKEFGLDKLKYARVMSWDVFVFMASTVFPPEQYDASIAWIQNSILTVIADDFFDDGGSIEELKNFIDLIERWDADAGIEFCSEDVEILFRAVYDTNNQIAAKGAVVQNRRVVDHIAQVSLPALAIHLFVQWLALVRAYMVEADWARTRHVPTMEEYMAVAEVSIALGPVVAPSIYLVGPEISEDMVRGPEYKDLLRHMSITIRLLNDIRTHKKEMSEGCINSIRMCALRDGPEVSPASIEAAEREIRGVIADSRRELLRLVVSEAGVLPRPCRDIFWNSHKIAHHFYAERDGFSRPKNLIAAVNAVVHEPLRGTPS >KQL23748 pep chromosome:Setaria_italica_v2.0:II:17278779:17282809:-1 gene:SETIT_029247mg transcript:KQL23748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTTASLPLARLLGRAAETRPQRFQPVRAQRRVCAKVVSGGVDMALEPKNTREVENRIRQELLDPKQLPSSYDTAWLGNKFVSSALDINSQLCLVDTLENMGISSHFSCEINSTLDMAYRSLLQNDGNITMDMETCAMAFRLLRMHGYDISSDVLSHFAEESRFHDSVEAHLNDSKALLELYRASLVRILEDEGTLEKIGAWSGKLLKQQLCSNRLSRSISPKEVEYALKTPFYSATLEPLQHKMNIERFNTKGIQMQKSSYLACYATEDILALATEDFHAAQSIYQQQLHHIETWAKEFGLDKLKYARVMSWDVFVFMASTVFPPEQYDASIAWIQNSILTVIADDFFDDGGSIEELKNFIDLIERWDADAGIEFCSEDVEILFRAVYDTNNQIAAKGAVVQNRRVVDHIAQVWLALVRAYMVEADWARTRHVPTMEEYMAVAEVSIALGPVVAPSIYLVGPEISEDMVRGPEYKDLLRHMSITIRLLNDIRTHKKEMSEGCINSIRMCALRDGPEVSPASIEAAEREIRGVIADSRRELLRLVVSEAGVLPRPCRDIFWNSHKIAHHFYAERDGFSRPKNLIAAVNAVVHEPLRGTPS >KQL25095 pep chromosome:Setaria_italica_v2.0:II:35960594:35962080:1 gene:SETIT_032159mg transcript:KQL25095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPSLISFPVRRGERQLVAPARPTPYGFKMLSDIDDQDVLRFYRSGIFFYRGNAPRAGLDPVKVIRSALAEALVHFHPLAGRLRELQPTRKLVVECTGEGVVFVEADADVRMDDLGDSLAPPVPCYDKLLCEPESPTAVVVDRPLIYFQVTRLRCGGFIFGFQICHCMADGTGIVQFLTALTEFARGVPGAPTVRPVWERELFMAGWPPEITYDHQEYAPLPDRGKDMAIPGDDVFAHHAFFFGPSEIAAIRSQAPPALRSATSRFDLVGAFMWRCRTAALRFDPDDLVRLSLFVERARSGTGAAGRCRRLCRRPFGYALQLLLEAKARASQEGYVQSVASFNAARRRPPFPKARTYLISDVTQAGLLAVDFGWGRPLYGGPATIMLATFHLEGRNEAGDAGILVPMRLPAPAMEGLKQQVRKELTAYGAIENDGDKINSNLVPGPVLAKL >KQL26405 pep chromosome:Setaria_italica_v2.0:II:44139093:44141828:-1 gene:SETIT_031529mg transcript:KQL26405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPMYGSGPLRSRNAASSDEIQLRIDPVHGDLDEEIDGLHSRVRMLKGVAQEINSEAKFQNDFLNQLQMTLAKAQAGVKNNMRRLNKSIIQQGSNHVLHVVLFALFCFLVVYLLSKFSRR >KQL26406 pep chromosome:Setaria_italica_v2.0:II:44139093:44141952:-1 gene:SETIT_031529mg transcript:KQL26406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPMYGSGPLRSRNAASSDEIQLRIDPVHGDLDEEIDGLHSRVRMLKGVAQEINSEAKFQNDFLNQLQMTLAKAQAGVKNNMRRLNKSIIQQGSNHVLHVVLFALFCFLVVYLLSKFSRR >KQL26620 pep chromosome:Setaria_italica_v2.0:II:45439943:45444390:1 gene:SETIT_029938mg transcript:KQL26620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESIYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRNIVVQETASFSELKLQPETEQRPHKVSFFVDKKSAQEVIKSLAEKLEKRGLDVKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKPPNNTLVCGDSGNDAELFSIPDVHGVMVNNAQEELLQWYTENAKDNPKIIHASERCAAGIIQAIGHFKLGPNVSPRDVDFPYVKEASFKPTDAVVKFYVLYEKWRRAEVPKSDSVIQYFKNITHANGVIIHPAGLECSLHASIDAFGSCYGDKQGKKYRAWVDRLFITQTGSDSWMVRFDLWESEGDVRVCSLSSLALSLKTESPEGLVVTHIHKTWLNGYSTGVEQASKL >KQL24714 pep chromosome:Setaria_italica_v2.0:II:32529051:32529458:1 gene:SETIT_032510mg transcript:KQL24714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSYSGGGSSSSSVAALEVPLHLCFFLLVLLLFLGFSWYTSYESAAESFANQARILLMASPFALLLAVRLLSSVAPGEGGAARGVGDLLAVPMPERDSIHRAGGSPWGVGLLLLLLLVMVSYQSNFREKWFPLAS >KQL23574 pep chromosome:Setaria_italica_v2.0:II:15201413:15201936:1 gene:SETIT_033775mg transcript:KQL23574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINKVHIFLLGSTEVKQCREEDSDINIQINLSCGVIEI >KQL23284 pep chromosome:Setaria_italica_v2.0:II:10465833:10480845:-1 gene:SETIT_032797mg transcript:KQL23284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAPPRRRPAPAAAGAGVAGSGDSVRVLLALAALYGALSLLAYRVIHMRHVAPLGADAPPGDFSEGRVLRHLHRLAVDIPGRQEGSPGLEAAAQYIKGELEGLAARAGPEYRIEVDEMLVSGSFSMMFLRHRVTLGYRNHKNIVMRISSNVSEDDDPSFLVNGHFDSPLGSPGAADCGSCVASMLELSRLIIDSGWVPPRPVIFLFNGAEELFLLGSHGFIKTHKWNSTIGAFINIEASGSGGTDLVCQSGPGSWPSRVYAQTAKYPMANSVAQDMFGIIPGDTDYRIFAEDITNIPGLDIIFVLGGYFYHTSYDTLENLLPGSIQARGENLFLLVKAFTNSMLLKENEISSKAAKDGIEDSRAVFFDYLTWFLVFYSRDVSVILHSLPIAIFFLVPLFLKFPNISLMSWSVTLLDLIRGMLLHAFGVILAIFIPAGAAALRLLFTKNAMNWFAHPYLAFFMFVPTSLVGLLLPRITWGLSEQTHFWGAFGLYSAITMAYMLAGLSGGFLTFFISMSMLLGRFISSIIKRQWNQQSTKSLVAYVVPMTPCLLYCIYYGGFLIQFLIEKMGMMGSLPKPYGYFVPDVIVGAVVGLVVGWCFGPLAPVAGRWLSKISIIQGLLQITVVALAISSQLFPYSTGAPKRVILQHSYITDGNDVVDSNYGFSVVDANSLEFLFNNAPEAAKWLKDNSELSFEEKYRSDRSSWVALYPVPFLFSGSLKFQARTDEIRKHYQLFPQLLVQKTLSSNGQRTVHLKLSLGSLSEIWTAVLNVTGPLSKWSFADDMLPAPQSVSGGPPSYICRLSGKSDVDWSFWLEANSSESLRIDVAVLDQYIVDSTKKLKSLFPSWADLTAFTTFFSTYYV >KQL25191 pep chromosome:Setaria_italica_v2.0:II:36550049:36552543:-1 gene:SETIT_031175mg transcript:KQL25191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSSTRRAPRRLSQDGSADKVVVNLEASSPVVGSSPSFPVAGSRQGVSATVAGAQNSPIDVEAIEDEVQAISPSRVPPPRRNRRTRRQPVTVVDLEVEGSQQGNKRQRVVHCLSQNRGEGSSFQANKEVPKEPTFTCPICWNKMEEPATTPCGHIFCNTCIKQAIKVQKRCPTCRKGLRATSVHRIYLPSTAS >KQL25190 pep chromosome:Setaria_italica_v2.0:II:36549633:36553167:-1 gene:SETIT_031175mg transcript:KQL25190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSSTRRAPRRLSQDGSADKVVVNLEASSPVVGSSPSFPVAGSRQGVSATVAGAQNSPIDVEAIEDEVQRRNRRTRRQPVTVVDLEVEGSQQGNKRQRVVHCLSQNRGEGSSFQANKEVPKEPTFTCPICWNKMEEPATTPCGHIFCNTCIKQAIKVQKRCPTCRKGLRATSVHRIYLPSTAS >KQL26101 pep chromosome:Setaria_italica_v2.0:II:42353589:42357053:1 gene:SETIT_029096mg transcript:KQL26101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPPSLLLSSALLLAGAAAYSEYSCNGTTGNFTSGSAFSANLERLVAALPANASSSPSLFASATVGAAPDTAYGLALCRGDVTDPRVCSACLADAFSRLRRLCRADRDATFYADLCTARYSGGDFLARPGDNSPVINALDVNASTYYGWDARNATSRTLFLSLVGTLFGEMAMYAAYNSSAARMFASAAMYVNPQLPTVYGFVQCTPDLSRGQCWDCFQDLQNLNRRWYDGREGGRILGVRCSFRYEAYHFFAGMPEVRIGLEGDPSTSSTPRSNGSNHKKVVIVALIVSITAFCAILAAGLFIIRTHRQRAEKRKQQLQAQSRNSSATEEALKLWRVEESSTEFTLYDFAELAAATGDFSDENLLGRGGFGPGKLADGTEIAVKRLAAHSGQGLEEFKNEIQLIAKLQHTNLVRLVGCCVQDEEKLLVYEYMRNRSLDCFIFDQQRGTLLDWEKRRRIIEGIAQGLLYLHKHSRVRIIHRDLKASNILLDKDLNPKISDFGMARIFGSNMTEANTKRVVGTYGYMAPEYASEGIFSVKSDVYSFGVLLLEIVSGKRNSGHHRHYGDFVNLLGYAWQLWKDGRVYELIDPTLGERGDAAAIARCVRVALLCVQDSAADRPTMADVTAMLAAAGSSDGGASGPLPDPRQPPHFSLRVVAAGSDEDGGGSGMRTQTHGGTTSCFSTNDLTISSCIQEGR >KQL23795 pep chromosome:Setaria_italica_v2.0:II:20051626:20052502:1 gene:SETIT_033565mg transcript:KQL23795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWQHKKIGSEMAEKAENVNMWMGSLIGRSCRRYFRG >KQL23328 pep chromosome:Setaria_italica_v2.0:II:10855928:10868230:-1 gene:SETIT_028775mg transcript:KQL23328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKLRDLSRPIDVPLLDATVAAFYGTGSSEERHAADQILRELQNNPDMWLQVVHILQNSQNLNTKFFALQVLENVIKYKWNILPVEQRDGIKNYISDVIVQLSGNEVSFRQERLYVNKLNIILVQVLKREWPARWTTFIPDLIAAAATSPTICENCMAILKLLSEEIFDFSRGEMTQQKIKELKGSLNSEFRLIHELCLQVLSGSQRPELICATLATLHAFLSWIPIGFIFESQLLETLLKFFPIAAYRNLTLQCLTEVAALHFGDFYDTQYVQMYTVFMLQLKVILPSGTISDGYGNGSNEEQAFIQNLALFLTSFFKNHIRILESTLDALLLGLDYLIEISFVDDTEMFKVCLDYWNLFVLELFETYNQVERLLGLQHMHKLQAQRIPGTDDGTLIAVQRRRQLYAGPLSKLRTLMICRMAKPEEVLIVEDENGNIVRETLKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVLVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEIHPGVQDMACDTFLKIVQKCKRKFVTLQVGESEPFVSVLLSNLPTTIADLEPHQIHTFYESVGQMIQAESDNTKREEYLKRLMSLPNQKWAEIIGEAGRSADILKNQDVIRSVLNILQTNTSVACSLGPYFFPQISVIFLDMLTVYRMYSELVSNTICEGGPFASKTSFVKLLRSVKRETLKLIETFLDKAEDLPHLGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEEVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQAFIQLSSQQLKLVMDSISWAFRHTERNIAETGLSLLLEILKNFEASGFQNQFYKTYFLNIEQEIFAVLTDTFHKPGFKLHVSVLQHLFYVVDGLTEPLWDATMVPSQYTNNAMFVRDYTIKLLSSSFPNMTPVEVAKFVDGLFNSKTDAPNFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQREKERQRMLSIPGLIAPNELQDEMSDL >KQL26499 pep chromosome:Setaria_italica_v2.0:II:44772262:44772643:1 gene:SETIT_033199mg transcript:KQL26499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIPMALLLLTLVAVASFQDIAAVAAGSAPVPNEVCDAKCRSPCSLKKAGRCMGLCMMRCTDCQGCVPSGPYASKDECPCYKDKKSPNRL >KQL26391 pep chromosome:Setaria_italica_v2.0:II:44085022:44085418:1 gene:SETIT_033673mg transcript:KQL26391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSSHRIQHDIDPLLSQSSFDVMLVSTYSE >KQL24308 pep chromosome:Setaria_italica_v2.0:II:28739689:28741086:1 gene:SETIT_031990mg transcript:KQL24308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASAPCPSHHFTSSSLLTKRTIAFALYALIPLALLHYLLTLPPPLPPPPTTDTPTSPSPSHQANASAAASPEPSAARCDYSDGEWVRTAAGPQYKGSSCGETIKAGQNCEAHGRPDTGYIYWRWRPRGCALPPFDPAAFLRAVRGRHVAFVGDSLARNQCESLVCLLSSAFPAQLVRGAGGGDGDGDGDELRKFRRWAFPSHNATVSVFWSPFLVNGTERPKTPPAAGGLYHNRIYFDQPDERWAAEVPGLDVVVLSAGQWYLNPALFYDRGAVIGCHRCPATEPNRNEMGFFGVFRLAVRNALHEVIARVASAPSSPARHRLAVVTTFSPAHFEGEWDSPTSCARTEPYARGEREPLYMDEEMLRAGVEEAAAAGADTTARGAGLAVEALQVTRLAAMRPDGHPGLYTRAFPLAGGARERMPNDCVHWCLPGPIDTWNEVLLQVVKRWADSVDAGAASAAPLN >KQL23492 pep chromosome:Setaria_italica_v2.0:II:14175566:14176643:-1 gene:SETIT_0306412mg transcript:KQL23492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSGLWHDEKKFTKYAQASLQLCKVYMEISSSSGSRRELLTAEMHLKSTLKQAVDFSDTEEYKALDNCLEEIKNLIAATA >KQL23575 pep chromosome:Setaria_italica_v2.0:II:15202768:15203194:1 gene:SETIT_033429mg transcript:KQL23575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCKLAHIWHLFVSFHLIHSLSSFIFSLLLFLSWVAATRKTQVYLRA >KQL27162 pep chromosome:Setaria_italica_v2.0:II:48174144:48176047:1 gene:SETIT_030426mg transcript:KQL27162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDIQAIDRKHLKRIVVVLLVMASSLSVVVLILCLAASATAQRLSPTFYSRSCPRALATIKAAVTAAVAQEPRMGASLLRLHFHDCFVQGCDASVLLNDTATFTGEQTAFPNVGSIRGFTVVDNIKAQVEAVCPRTVSCADILAVAARDSVVALGGPSWRVLLGRRDSTTASLSLANSDLPAPSLDLANLTAAFARKGLSRTDLVALSGAHTIGLAQCTNFRARIYNETNINAAFATLRRAGCPAAAGNGDGNLAPLDTATATAFDNAYYTNLRAQSGLLHSDQQLFNGGATDGLVRTYASTPTRFNRDFAASMVRMGNISPLTGSQGQIRLACSRVN >KQL24042 pep chromosome:Setaria_italica_v2.0:II:25129100:25134478:-1 gene:SETIT_032072mg transcript:KQL24042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPPSPSGRRLLFPPRLPDLPPGLRIRRSTTLSVADTAALLLPAAYDGDVPKFKMLVKRLRKAGKGVEEALAEIKSSSYFKYRGHGPLHLAALSAKPVMCKYLLKDLNLDVNAGGEDAITRLLLDHHADPNKAAYDGATPLLVAICEDTYEIAELLLSRRAYADPVSEYGTPLYIAARDGNVRMLKLLLQHQADPNVVLHTPLKATTSACSLGMELLTKTDADVNPGTITPLIAAAYAGSTDCIKCLLKAGADANIPDHNGRIPLEVAAIQGCQESVDLLSFVTTPSVQVTDWSTGEIIQHAKPTSSKPDGENDGPDFEAQGDYAFFQSDYAQALNQYTMAVEINPDDSALYAKRSLCLLHMGDKGKALDDAYTYRDMKPNLSISCYAQGAALILVKEYGRAIEELMYVLNLDFEREPAEKALSVGHP >KQL22593 pep chromosome:Setaria_italica_v2.0:II:3729845:3730450:1 gene:SETIT_031795mg transcript:KQL22593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFELGVPPTRAVRVNTRKHCGIKDRGLILQRHQIQNQFLILSTSGAANDWLNGTHQAHI >KQL26083 pep chromosome:Setaria_italica_v2.0:II:42243126:42249179:-1 gene:SETIT_030220mg transcript:KQL26083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSVAADMELDRPNLEDYLPPDSLPQEAPRNLHLRDLLDISPVLTEAAGAIVDDSFTRCFKSNSPEPWNWNIYLFPLWCLGVVIRYGILFPLRSLTLAIGWLAFFAAFFPVHFLLKGQDKLRSKIERKLVEMMCSVFVASWTGVIKYHGPRPSTRPHQVFVANHTSMIDFIILEQMTAFAVIMQKHPGWVGFIQKTILESVGCIWFNRNDLRDREVTARKLRDHVQQPDKNPLLIFPEGTCVNNQYTVMFKKGAFELGCAVCPIAIKYNKIFVDAFWNSKKQSFTMHLVRLMTSWAVVCDVWYLPPQYLREGETAIAFAERVRDMIAARAGLKKVPWDGYLKHNRPSPKHTEEKQRIFAESVLMRLEEK >KQL26422 pep chromosome:Setaria_italica_v2.0:II:44243647:44253069:1 gene:SETIT_029159mg transcript:KQL26422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGDSNSTAGGKTQNASAPPVEGVAGGGTSYGWVDGGLRGTSLGAGVIDPTKVHSEDLLHVWSMPSTANVSQQEAPRPLEKVNLLAARNERESFQIALRPKVSWATSGIAGSVQIQCTDLCSSSGDRLVVGQSITLRRVVPILGVPDALVPIDPLSPQISLHPGETAAVWVSLNVPCGQPPGLYEGEIFITAVKTELDSRTESLPKSERYRLHRELRSCLDITEPRDYSSSEEMVQRLTSASTTLKRMLDNPALQDCQENNGFGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKASEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEPLNVDQYDMICNISNELKSYAPDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAWPFSRRRACILAPIGIRSIMDR >KQL26421 pep chromosome:Setaria_italica_v2.0:II:44243647:44253069:1 gene:SETIT_029159mg transcript:KQL26421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGDSNSTAGGKTQNASAPPVEGVAGGGTSYGWVDGGLRGTSLGAGVIDPTKVHSEDLLHVWSMPSTANVSQQEAPRPLEKVNLLAARNERESFQIALRPKVSWATSGIAGSVQIQCTDLCSSSGDRLVVGQSITLRRVVPILGVPDALVPIDPLSPQISLHPGETAAVWVSLNVPCGQPPGLYEGEIFITAVKTELDSRTESLPKSERYRLHRELRSCLDITEPRDYSSSEEMVQRLTSASTTLKRMLDNPALQDCQENNGFGDMMDEDVMNNVSVRLKLSLTVWDFTLPVTPSLPAVFGISETVIEDRFCLEHGTEGWYDALDHHFRWLLQYRISPFFCRWGDSMRILAYTCPWPADHPKASEYYSDPRLAAYAVPYAPILSCTDAAKNSLRREVEILKSKPHWSKAYFYLWDEPLNVDQYDMICNISNELKSYAPDVRILTTYYCGPSGSELAPSTFEAFVKVPNVLRPHTQIFCTSEWVLGTREDLVKDIVAELRPDLGEEWWTYVCMGPSDPQPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGTNCYEKAMIPSAEICFRRGLPPGDGVLFYPGEVFSSSHEPVASTRLERILSGMQDIEYLKLYSSRYGREEGLALLEKTGMYLGPDRYTLDHGPVDVMRGEVYRTCRS >KQL25299 pep chromosome:Setaria_italica_v2.0:II:37164058:37167186:1 gene:SETIT_030503mg transcript:KQL25299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAKSGPSSWPELADVVPVPQDDGPSPVVPIAYRDDFREVMDYFRALYFAGERSPRALRLTAEAIELNPGNYTVWHFRRLILEALDSDLLEEMNFVEKIALCNPKNYQIWHHKRWLAEKLGPGVANKEHEFTMKILAIDAKNYHAWSHRQWVLQALGGWESELQYCNQLLEEDVFNNSAWNQRYLVITRSPLLGGLKAMRDSEVDYTIEAIVANPQNESPWRYLKGLYKGENNLLVCDERISDVCLKVLKNDWSCVFALSLLLDLLRSGLQPSDELKATLEPIRNSDPEVADADLATTVCCILQKCDPLRVNYWSWYKTTLSSQIS >KQL23588 pep chromosome:Setaria_italica_v2.0:II:15330680:15336553:-1 gene:SETIT_028783mg transcript:KQL23588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASASAAPMEASAASRRSAPGPDPGVAKKPRLAQPPPRDPRSSSYAAASNGAAASAAEQALVDELLGQYRTALGELTFNSKPIITNLTIIAGENLQAAKPIAALICANILEVPSEQKLPSLYLLDSIVKNIGKDYVKHFSSRLPEVFCKAYKQVDPSIHHSMRHLFGTWKGVFPPHPLQMIEKDLGFQSSANGSSSATPSRPESQSPRPSNSIHVNPKYLEARQQLNQPTKGILGSGAKTAVIADAGNDIERANRLGTDRSAGRRLDAPNARPNIQRTQRDPFSNPVNEKQAGRDVRGLGFSNISQQAVVGTGQVRSKSKGQDGIGGPYYATGVGSSEEQFDRRSNFYASKDVRPSGPVRLDSALLPTPSIDPDRIGRPSSNKSWKHSEEEEYVWDDVHSQAADYGSNSTVRKGEWMVDDGNAKFASLQRAKWAEGGAVEHLDPRFGLATGQDRRLAAYMDHEEYIHGKHEVGPRIDREIRPDGQQLPAPRSSSLWASQENTHPDIGLDPRISRFSNQPGERSTIYTGTMSASITSSVPVGLSGPYAGRSSLDSANSVPIRSTETFGQQKHRYWSSSPPPAHSPSSTAPFVRQSSPNPAEPDFYPSRSFSQLGQNPQEEYSLRAAALAKDSHFMSHNAGLPQGQPSLLATQQGQKYATLQPKSHIKPTDQVQASFSRENSPSLSRPSIQLGEVSLPSDPTPITSDLTSASNLLAGLIKSGFKPNNPSDLASLRAQPLVPSGPLPRTLPSPPVASSSLQNAAGENTTLQTQAPNTARPPLPPGLPPPPTQSAGIAAPLSSLLSSLVAKGLISSPATDSSAAGPSQPNKASSANATDVAASAMPMPAQKPSVGKETSNSDSSAPTNTLLPKVIKIKTGDLIGLEFKQEILRECHEHVISSLFGDQNYQCKTCGERFSLEEELRSHAPCPVSRESKSYAGIAPKKWYPSKNSYIDGSHEIEDSAEASDADLGSAEEVCEFMVPADESQIICALCGEPFDDIYSVEKGDWMYKDAVFLDYPKREGSCGSNVEGEEHVPIVHVRCMPRGSNNGMEVD >KQL22444 pep chromosome:Setaria_italica_v2.0:II:2654724:2654906:-1 gene:SETIT_032978mg transcript:KQL22444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTPPRRCPRPRPRRRGPAWCLPSSDRRRVAVAQVSAPFGVEHRDLTVFWPEQDGWIV >KQL22902 pep chromosome:Setaria_italica_v2.0:II:6235327:6235758:1 gene:SETIT_032184mg transcript:KQL22902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQAIESNRPGAEVFNGDAICMKKSVNLLEELGLPKGLLPMEDIQEFGYNRTTGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAFAEKGKLRKITGVKTKELMLWLSVVEVCVPEASPEKVTFKTGTGLSDSFDATAFALGE >KQL22742 pep chromosome:Setaria_italica_v2.0:II:5048654:5051663:-1 gene:SETIT_030815mg transcript:KQL22742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNAVLFDRLSGQILCTLTGHSKKTVRIWQGSEDGNYSCIHTLKDHAAEVEAVRVHATQKYFVTASKDNWRFYDASTGSRLTQVGVEASGQEGYTSASFHPDGLILGTGTTDGSVKIWDVKTQSKVAKFEGHVGPVTDMSFSENGYFLATAALDGVKLWDIRKLTRNFSTFSPYDSDTPTNAVEFDFSGCYLAVGGLDTRVCQVANAMIEWNVIRVLPDLSGIGKVTSVKFGTDAKYIAVGSMDRNLRIFGLQSCQPATQDKTVFC >KQL23433 pep chromosome:Setaria_italica_v2.0:II:12385368:12386808:-1 gene:SETIT_031993mg transcript:KQL23433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAAAVTPDAGGSGSSSSSRDRACPLPNWIMLDRYVFTRLDLNSCKEDETTSPLCHTSQGDPFRVSFRFAAPPAISRFYLHVQGGNLSEPCHSSCRILASHKNAVLFCIYVALPVPVEYLSNPESEPFPRFFKQDLFVYIAGDRPSLKVIPRCPNPEEGVEDPQLYDPLADIVQFWDAEAIWSEVTPGSGAEEVEAQLCLYYSYDSSWELLALPIFCKREDTDALFSWSTTTALAFGTYLCWIDYQCGVLFCDMSQERLKVTYLPLPADHHLATNVCMKTYRSISIVQDEGASMFTFEINFWTLVVEDRMEWKHERVLRDNELCIDKLVPTPHGPLMLPIVSMEDPQVTYFLICELGYDAKKAWVVPVDLISRSMMYVLPYDKDADKARTSWQSFAPFLPSEITKFFQQKNGNY >KQL26726 pep chromosome:Setaria_italica_v2.0:II:45979133:45981818:1 gene:SETIT_029646mg transcript:KQL26726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEPQPKPAKPHVLLIPYPAQGHVNPFLKLAKALHARGFHVTFVHTEYNHGRLLRARGAAAFDAGDEGFRFETIPDGLPLSDLDATQDIWALCEATRRTGPAAVRGLVERLNRAGDAPPVSCVVADGAMGYVVHVAKEMGLPAYLFFTPSGCGFLAYLNFDQLVKRGYVPLKDESCFTNGYLDTPVDWIAGMLPGARLRDLPTFIRTTDPDDTMLTINIKQCELDSPAADGILLNTFDDLERRALGAIRARLPNTFTVGPLGPEVAPPSYLPSLTSSLWRGDDRCVAWLDGHAEAAGSVVYVNFGSITVVTGEQMDEFAWGLAAAGSPFLWVVRPDMVRDGGGWALPEGFAEAVAGRGLTVGWCDQEAVLEHPATGGFLSHCGWNSTLESLRAGVPLLCWPFFSEQVTNCRYACEEWGVGLEMPREAGREEVEAAVRELMGAEGRGAAARRKAAEWKEKARAAVAPGGSSCANLERFVQEMALAKC >KQL23175 pep chromosome:Setaria_italica_v2.0:II:8936598:8938615:-1 gene:SETIT_033581mg transcript:KQL23175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRWTGGASGRLGSTDAGGGSGPTCAESSTRLGDMEERMRASLFADSWPSCCSPEERRRRCGRRGGCGSAPSRGSWSATSRSSRAEEGWLQATSRTGSPTRRTTSRMLCSPCSIYNCADKLAVDNNGYSDGDNRSHALIACSNGNSMHVRCHSKSW >KQL22153 pep chromosome:Setaria_italica_v2.0:II:260784:262292:-1 gene:SETIT_031908mg transcript:KQL22153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAAVSIRLRRSTPSHDAYTVRVYDRSFAALATARPADARRWVATTRWLHWSLHHRGRLVVGLGVQWTPTRLPLHGVPPVPSTLQICVGHRCLLFHLAHAGGAVPEILRRFLADPRVTFVGSGSDNDRRMLWAHYGLHVARGCELRAVAGMGNASLEDMADRFLGYPGIHKPRDVAMSAWHAPRLSSDQVQYACVDAYLAFHLGLVLCPAAAEPPRRPVLPRAPPPAYQRPPVLVRQAPPAQQRAPAAFRQAPPVQRRAPAHVRAAPSFQQRAPVVAPAAPRFEWSPRALSGRSAPAVVGVGAAITSSKLAGLTESDMYSENDYYDDDVGITATHGLPIRVHASDSDGIVDSSDGFEHVRLGAFTDDEEGEDDGYMSYSGTGSLGHENEEGCNGDDQEGEEGYDGDDLEDEEGYQEYTGTGILTDASNMDGYAEFVVPDNVEDALAQDDRYDQGVDYGCDQDDEDEFEEFYLL >KQL23908 pep chromosome:Setaria_italica_v2.0:II:22747561:22748475:1 gene:SETIT_031245mg transcript:KQL23908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHTLRGLLLAILLVVAGVPASAKDYTVGDSSGWKPGVDYTAWAKGKPFSVGDTLSFQYGAAHSVLEVSEADHSACSASNPLRTHGDQSTTIPLTKPGTRYFICGTAGHCASGMKLAVTVSDGGATSSGPTMRSTNAKPAAGTTAAATEPDSSAAAAASGARLATGLMLGAVGFAALMG >KQL24028 pep chromosome:Setaria_italica_v2.0:II:24894050:24897520:-1 gene:SETIT_031415mg transcript:KQL24028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGSSAAGSGVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGAVDPKKFSVLANWQREYTMEYILTQLKKEMASPQNRKLVQPPEGTFF >KQL24436 pep chromosome:Setaria_italica_v2.0:II:30090339:30094615:-1 gene:SETIT_029458mg transcript:KQL24436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHAIIYVKHHRKDRSHGPLFRAWMDAINSCSIKDHQKPDGGYNITTRHDFSPEEPHSLLKGTLWKCGSCGDTHFRATNQGPPSDACCIENVKMSGSNGKPQGSSSVKKAGKRRWPEVVPETSVLLAEFPRKSKGKQDLVAAEDDLFSLVSCGAPKSSRSSKKVVKADMQRKPEDVQKPSGLPASPQGKPNQKKVGKQHKPDDQKPSVLPSTLLGTPKLKHALVTTEKDKPFSAEGCNDTKSLGSTSKKAEEQHEPQIAQKACSQTAYPQKILKPDLKAGEWHEPQIAQKACSQPAYPQKILKQELAVLEKKEPSPTMGCSNEKLLDRSSSKKAHRQHEPEDIRKTTVLPAAPGSKPKASGFVASGNQRKGKCKRKPVREKEYAVMSAYLDYYESDRSSGSTESLVNKRTERRKRERERARILTYSRSKKLNPAAPLVYSRTNASVSSHRIEMLPHRDGLIQQSLPPPPCSDNAILTTANQVVVTEATGDHSEPSAPCLDIVPLQPAEPPGLTPPDQSTAPDIIDISDDDLP >KQL25228 pep chromosome:Setaria_italica_v2.0:II:36780953:36783667:-1 gene:SETIT_031320mg transcript:KQL25228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAWLPPTAARRSSLSSLRSPFVAPISIHVPRRAPPPCPSPNPIPRRSRLVVASAQFDFARAVQTAWRVGTDAVEAGSNFVPGSVPRPIARIGVTFAAVSVALFLLKSVVSTALFVLAMMGLIYLGFLAMNPKEASGSRMDETGGNPSEDPVEEARRIMEKYK >KQL22881 pep chromosome:Setaria_italica_v2.0:II:6039726:6044875:-1 gene:SETIT_029173mg transcript:KQL22881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLAAVLLLYALVVLALESPLVSAPLPGGAAAVARKLHLSAAGAVARSAPARPAKEPRPAVVVAGPSARARGRDRLSRFATGLDLRLLDTARSGPLRGQIADAVAAGARVFAELEGLDGANATALAAPSGGGGEGGGEPPPARCPQSIALSAEELRARGRVVELPCGLALGSHVTVAATPRAPHEERDPAIAVIRDGEAPAIVSQFMVELQGLRAVDGEDPPRVLHFNPRLRGDWSGRPVIEQNTCYRMNWGTAHRCEGWRSRPDEETVDGLVKCEKWIRDDDEHSIKSKTAWWLNRLIGQKKEVNLDWPFPFVEGQLFVLTLSAGLEGYHVSVDGRHVTSFPYRTGFVLEDATGLFLNGDLDVHSVVAGSLPTTHPSFAPQNYLEFSTVWQALPLPDEPVEIFIGILSSANHFAERMGVRKTWMSAVRKSPNMVARFFVALHGRKEVNVELKKEADFFGDIVFVPFLDNYDLVVMKTLAICEYGVNVVSAKYVMKCDDDTFVRLDSVITEIKKVPSGRSLYMGSINIQHRPLRHGKWAVTYEEWPEEVYPAYANGPGYILSSDIADFIMSEFTKQGLTLFKMEDVSMGLWVEQFNRTRPVEYIHSSKFCPYGCTDDYYTAHYQSPRLMLCMWQKLLEGRPGCCNSCSSRS >KQL23529 pep chromosome:Setaria_italica_v2.0:II:14599813:14603916:1 gene:SETIT_031304mg transcript:KQL23529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLPVNPQRLSPAESRERTLRFFQGLGVDVPLPASAERPDAYADLVRAVLSSAAVSSSRVSCTLTMSPALANQFNTLHGGAVAAVAEAVGMACARAAAGDKELFLGELSTAYLAAARLNSEVDVEAKILRKGRSVVVTTIDFTLKDTKKLCYTSRATFYILPAASL >KQL22411 pep chromosome:Setaria_italica_v2.0:II:2403171:2405889:1 gene:SETIT_030028mg transcript:KQL22411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRYLGEPGSKKWILAMDGGERPAASQKRPFPPDGELVELLWQDGAVVAHSQAQRAFAGGDTGASGVTGEPLPVWLPCGGGEGGGDVYSQLWQSIVRADGPRPRPPARSGNSGAGSSRTAGGEVGSSFCGSNLVAAALHLDDDIDDVAALPPPPPPDEPGAGAGASTSSGWNSNALLKRSRDEFDSRDEDADFDTVDETPPSRRPASKRRTRAAEVHNMSERRRRDRINEKMRALQELVPHCSKTDKASILDEAIEYLKSLQMQVQIMWMSTGMAPMMLPGAHQLMPPISMGLSSACMPPAAQFLSQMQRVPPFMSNPLPNQMPQISSAATNAPNVTNQVPSNRMAQPRNPFLHPNDALTSTPQVPSLFGYGPQMAQQNEIQELLACTAPPALGVEPPSSSDATGT >KQL24996 pep chromosome:Setaria_italica_v2.0:II:35195014:35196655:1 gene:SETIT_0326472mg transcript:KQL24996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVTRCALSHGSCLAVVLAAAAALASLFGRLCAEESGGRGDWHVASVSSLRPSTVCATAEGPSNTSALKVVHRHGPCSPPKSRGAAQSPVEILVRDRDRAKSIHRRITGASTVDANRGSKGVHMPAHWATASLGTNNYVVTVGLGTPARYFTLEFDTGSDLSWVQCTPCKDCYEQQDPLFDPAKSPTYSAVPGGARECRELGYLRRRSSSGNRCRYEVAYADGSVTDGDLARDTLTLAAPSDTIPGFVFGCGRDNTGQFGTEDGLIGLGRRGVSLSSQAAGRYGPGFSYCLPSLSSGTGYLALGGAAPENAQFTAMVPSRDLPS >KQL25342 pep chromosome:Setaria_italica_v2.0:II:37473344:37475065:-1 gene:SETIT_029756mg transcript:KQL25342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSDNERSIHVLLVPFPVQGHINPLLQFGKRLASHSGVRCTLAATRFVVNSTKPTPSSVHVAVFSDGCDGVGPGELGGVGAPYFERLESAGSETLDALLRSESEQGRPVHVVVYDAFLPWALGVARRRGAASAAFLTQTCAVDILYAHAWAGRLPPPPLLRPEEIRGLDGLSCELEMSDMPTFLTDTSYHPSFRELLVNQFMGLDTADHVLVNSFYDLEPQEADYLASTWRAKMVGPTIPSAFLDNRLPDDVSYGIHLHTPMTMESKAWLDAQKVQSVLYVSFGSMASLDPDQMSEIAEGLYNSGRPFLWVVRATETAKLPKDFADKTKVRGFIVPWCLQLEVLAHPSVGCFMTHCGWNSTVEALSAGVPMVAMPNWSDQTTNAKYIQDVWRVGVRVRPDAKGVVRSEEVERCVREVMEGELCKEFRMKALDWSDKVKKSMSEGGTSDTNISDFLSSVAHVTHAD >KQL26835 pep chromosome:Setaria_italica_v2.0:II:46536711:46540574:1 gene:SETIT_029986mg transcript:KQL26835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLFAVILAFLVGAGASAAHGSEPWLNETQVYGTTASSGGSNGVFVGLTLIQSAAAKGAVCLDGSLPGYHLHRGFGSGANSWLVNLEGGGWCNDVSSCVFRKGSRRGSSNHMERQLQFTGIMSNRPEENPDFYNWNRVKVRYCDGGSFTGDGSNAAAGLYFRGQRIWQAAMDDLMAQGMRYANQALLAGCSAGGVSTILHCDEFRGLFPSNTRVKCLADAGMFLDTIDVSGHREMRSFFNGIVRLQGSGRSLPRSCTSRMDKTSCFFPQNVVPNIQTPTFILNTAYDVWQLQQSVAPKAADPQGLWSKCRTNHAFCNSNQLQFLQGFRNEMLDSVRGFSASRQNGVFINSCFAHCQSERQDTWYASNSPRLGNKRIAEAVGDWYFQRGDAKYTDCAYPCDNTCHHLVFRGDH >KQL25724 pep chromosome:Setaria_italica_v2.0:II:40014176:40018131:1 gene:SETIT_030694mg transcript:KQL25724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPGSANGRHGEYVRIPEEVEVAVKGEADAATAVKAAAAAECPTVLRWRAIRWWAKVAVLGIFLAGAGAAAVVFLGPLVIKKVVAPILYWESTTFSRPAIALICFGAIALFPSVLLPSSPFMWLAGMTFGYLYGFLIITAGMSIGMSLPYFIGSAFHCRIHRWLEKWPKKAAFVRLAGEGDWHHQFKAVALLRISPFPYIVFNYASVATNVKYCPYIAGSMAGTIHETFLAIYSGKLLQSLALATSQGSFLSVDQIIYNGIGFTIAAASTAAITIYAKKALQKLQAEDEIF >KQL24206 pep chromosome:Setaria_italica_v2.0:II:27556382:27560570:1 gene:SETIT_029749mg transcript:KQL24206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKWHAVAALAVAFAAAAAAVAADRGLSLVGAAVAPEEEEIGLLRKIANLMWNSGNTYQHVWPPMKFGWRIVLGTLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPMIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLLIVLFLGTSTKAFLKGIETWKKETIIQRAAKRLETAGGEAEYAPLPTGPDAAAGTKALTSDEAASMMDNIQWKEFGLLSFVWVAFLVLQVTKNYTSTCSTWYWVLNLLQVPVSVGVTMYEGLGLMSGKRVLSSKGSEQSTLKLYQIFIYGFFGIAAGLVGGLLGLGGGFVMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYAVFFVVVAFFAAIIGQHIVRKLVNWLGRASLIIFILAFMIFVSALSLGGVGISNMVNKIAHHEYMGFENLCKYEA >KQL24207 pep chromosome:Setaria_italica_v2.0:II:27556382:27560570:1 gene:SETIT_029749mg transcript:KQL24207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKWHAVAALAVAFAAAAAAVAADRGLSLVGAAVAPEEEEIGLLRKIANLMWNSGNTYQHVWPPMKFGWRIVLGTLIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAVSTVYYNLKLKHPTLDMPMIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLLIVLFLGTSTKAFLKGIETWKKETIIQREAAKRLETAGGEAEYAPLPTGPDAAAGTKALTSDEAASMMDNIQWKEFGLLSFVWVAFLVLQVTKNYTSTCSTWYWVLNLLQVPVSVGVTMYEGLGLMSGKRVLSSKGSEQSTLKLYQIFIYGFFGIAAGLVGGLLGLGGGFVMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYAVFFVVVAFFAAIIGQHIVRKLVNWLGRASLIIFILAFMIFVSALSLGGVGISNMVNKIAHHEYMGFENLCKYEA >KQL24205 pep chromosome:Setaria_italica_v2.0:II:27558242:27560188:1 gene:SETIT_029749mg transcript:KQL24205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMGAAVSTVYYNLKLKHPTLDMPMIDYDLALLIQPMLMLGISIGVIFNVIFPDWLVTVLLIVLFLGTSTKAFLKGIETWKKETIIQREAAKRLETAGGEAEYAPLPTGPDAAAGTKALTSDEAASMMDNIQWKEFGLLSFVWVAFLVLQVTKNYTSTCSTWYWVLNLLQVPVSVGVTMYEGLGLMSGKRVLSSKGSEQSTLKLYQIFIYGFFGIAAGLVGGLLGLGGGFVMGPLFLELGIPPQVSSATATFAMMFSSSMSVVEYYLLNRFPVPYAVFFVVVAFFAAIIGQHIVRKLVNWLGRASLIIFILAFMIFVSALSLGGVGISNMVNKIAHHEYMGFENLCKYEA >KQL23159 pep chromosome:Setaria_italica_v2.0:II:8794149:8796432:1 gene:SETIT_031391mg transcript:KQL23159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASTLSTSCTLRGNFGIQVSVAAVKSPLSASFLSHPSVTSSLKTSRKLGLAAMAVYKVKLVSPEGDVHEFEAQDDTYILDSAESAGVELPYSCRAGACSTCAGKIEAGIVDQSDGSFLDDSQQAEGYLLTCVSYPRSDCVIHTHKEGELY >KQL26061 pep chromosome:Setaria_italica_v2.0:II:42121614:42121935:-1 gene:SETIT_032971mg transcript:KQL26061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGKGFAITGPMHTMAKTGAEINTIDIDWYAPHGKGGEEGSVHLELQPEAGLGKVLLKPHKKNMEEQFEQLSPWVPKLYVHQRDIICKGFIDYFEQRER >KQL27072 pep chromosome:Setaria_italica_v2.0:II:47689745:47691405:-1 gene:SETIT_032033mg transcript:KQL27072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAPMLLQQHAAAPASGRCLLGGRASPNRVAFRRSRQGAGGCRDLRAGGLGQFFGAGDHSSKSHEVDDLAPARLFVGLPIDSVTDGATVNSAAAVAAGIRAVRLLGADGVELPVFWSVAQPESPDRFSWAGYLAVADMVRAEGLSLRVSLRAHGTPGAGVPTLPSWVSGVAADDPDIFFTDRSGGRHEGCLSFAIDELPVLHGRSPLQLYEAFFRGFAAAFDDFFDSTITDVTVGLGVHGALRYPSYPPGSDASKFIGVGEFQCYDKYMLAQLRQHAEEAGNAMWGLSGPHDAPRYHESPDSCGFFRERGGSWETTYGDFFLSWYAGQLVGHGDRVLGMAAAVFGGKPVALSAKIPFMHWWHGALSRPAEAAAGFYKSKKKNGYSPVAKMFARHGCTMIVPGMDVCMNKQHHSAGSSPDQLLKQIKNACRRHGARIAGENASLAMSHTSSFSRIRSNILTTELMRPCHFTYQRMGADFFSPDHFPQFMEFVRSVVCGEWDEDDAPGDEDRAMAASGSAKAKAA >KQL25497 pep chromosome:Setaria_italica_v2.0:II:38622253:38625222:1 gene:SETIT_029967mg transcript:KQL25497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAADDLDLLLSLGEAVPETPPSSPRAADGPGSGGAFTPPRTARPGGTDMSVFRDAVKDYLEAAPESTSRLPERPKRPKATETLVDKYSGLRIKHLTLSPLEISNRFADIRFVRITAIKNSVGSDRFSGCWATAGVLLDKGVPRVSAKGTSYSIWKMGALDETEVSLFLFGDAHVHYSGAAVGSVFALLNGNVRMDNGGKGFSVSVASVGQMFKMGVAADFSLCKGKRKDGVACTMAINKSKGAYCKFHSSKTSQKYTTGRVELKGGNFQFASKLRSEGIYMVNRSSEQPNPRKPFQPVKVMSIDGLKRALSNADRVTTKNQSQGIRFLSHVTANMDNRRSTVQSNGSTNQQQQKSKFSLNKSSSSSGAKGPPMQGLGKPEQDFKRRKVNNPPENIVELDAVSSDDDEINIVLRR >KQL22319 pep chromosome:Setaria_italica_v2.0:II:1692018:1692527:1 gene:SETIT_033498mg transcript:KQL22319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVCVKNLISNMHLLDLTMEGVLDLVRQRCCQRGVNYVFLCFCCGAKFN >KQL22379 pep chromosome:Setaria_italica_v2.0:II:2151218:2151642:1 gene:SETIT_033644mg transcript:KQL22379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWHQACMRNWTQFNILGPGPFWIGFNVFQTRRLPS >KQL23535 pep chromosome:Setaria_italica_v2.0:II:14673174:14673365:-1 gene:SETIT_032891mg transcript:KQL23535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QVRNGTGFSRAELLQASVELRHHALGYVKSKALQCAVRLGVADAIHRRGGAASLEDLLAEFSLD >KQL27279 pep chromosome:Setaria_italica_v2.0:II:48974947:48976109:-1 gene:SETIT_032734mg transcript:KQL27279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEPEYAPSRQTPTCAQLGLEHYNSINQGDEHELVKAVESNAFIFNGVWIHANFLAKPIGATSFDDLAPNYFFSELKSDYEGFSCISCVKMDPGVPKKLGGCGVCPRQIIHPADGGYRNAQPFKAIRTADRQ >KQL26599 pep chromosome:Setaria_italica_v2.0:II:45323406:45323606:-1 gene:SETIT_033618mg transcript:KQL26599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVPRLEPPVLCPSLQYSTNLHRNAAKVRT >KQL24519 pep chromosome:Setaria_italica_v2.0:II:30995801:30996982:1 gene:SETIT_031434mg transcript:KQL24519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSTRGGAGDEPVTALASGAGTPTAASRERWATSAAKAREGQTGSLPAGQHRYPNGGGTPAASSSRSTRRTCRSSQWELRSGAQAGGRMGMEGGRAWVSVGRGRGNRGGRRRRCRRRRRQRPLGGGGGRGWLGGACGRRPSQK >KQL23366 pep chromosome:Setaria_italica_v2.0:II:11419370:11430719:1 gene:SETIT_028815mg transcript:KQL23366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAGEMVWMRVLEEGVFRFDASEAARAAAGPSLSFADPRWREAAREGADAPAVVPACEAAPGGAQKVVLKLPSGTSLYGTGEASGPLERTGKRVFTWNTDAWGFGSGTTSLYQSHPWVLAVLPDGKALGVLADTTRRCEIDLREESTIKFSASSAYPVITFGPFKTPADVMTSLSHAIGTVSMPPKWSLGYHQCRWSYDSSEKVLKVIRTFREKGIPCDVVWMDIDYMDGFRCFTFDSKRFPDPKAMVDDLHSIGCQAIWMLDPGIKKEEDYFVYDSGTKNDVWIQKADGSPFVGEVWPGDCVFPDYTSEKTRAWWAGLVKDFISNGVDGIWNDMNEPAVFNTTTKTMPESNIHRGDADIGGVKNHSYYHNVYGMLMARSTYEGMAMGNAAKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHLHMSLPMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGALFPFSRGHTETGSIDHEPWSFGEECEEVCRLALLRRYRLLPHIYTLFYHSHTKGIPVATPVFFADPQDPELRKVETSFLLGPLLVCASTLPNKGAHECAHTLPKGIWLPFDFADSHPDLPVLYLRGGAILPVGPPIKHVGEASLEDDLSLIIALDENGKAEGVLFEDAGDGYKFTQGDYLLTYYTAELHSSVVTVKVFKSEGSWKRPKRNLKINILLGGGAMISADGVDGGEIHLTMPPESEVSSLVATSELECKKRLEMIQPIPDTDEPSRQEGAELSKIPVDLKSGDWLLKVVPGIGGRIISMTHLPSDSQWLHSRIEINGYEEYSGTEYRSAGCTEEYNVIRRYLEQSGEEESICMEGDIGGGLVLQRQISILKDNPKIVQIESSIQARSVGAGSGGFSRLVCLRVHPTFTLLHPTEVVVAFTAVNGSKQEISPESGEITFEGDLRPNGEWMLVDKCVGLSLVNRFDPSEVSKCMVHWGTGDVNMELWSEERPVSKDTPLRICHQYEVRQTN >KQL25074 pep chromosome:Setaria_italica_v2.0:II:35727596:35727895:-1 gene:SETIT_033247mg transcript:KQL25074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTYYQRLSSEPEGGARAGAARAWAALRRAAAGVARLYAARRRWSARRPWGGRRVALLQRPKAAARYEYDSASYARNFDDGAWMAEEGVSWHARSFGA >KQL24579 pep chromosome:Setaria_italica_v2.0:II:31445516:31447203:-1 gene:SETIT_030282mg transcript:KQL24579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKATVKKGPWAPEEDAVLKAYIDEHGAGGNWIQLPHKIGLNRCGKSCRLRWLNYLRPNIRHGGFTEDEDRLICSLYISIGSRWATIAAQLPGRTDNDVKNHWNTKLKRRLLGGGRRPRAETRLQLLMSPTWQQSSFAASSSALERMQASMRLHRRHHQQARLDIPAAFTLYNYGSNLGAPLWPSPSPSPSPSPSPTASEGSEMRPRQLPGATSTAGYSGLWSHMPNSFSYYTGAGVQEHMDGACTPPLSTSTGGETMTAVGVESSSSTPTTASSASATFGDFDDEINMLLRQIQCLPENGQIGDEAGDGVDGCCFRAMNHEAVDGSVVGSWSCCSTPGVDSVFDDYVQGYNQ >KQL22201 pep chromosome:Setaria_italica_v2.0:II:528385:531754:-1 gene:SETIT_032502mg transcript:KQL22201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAMVSAATGAMSSVLAKLAELLQEKNKLAKGVREDIELLRVELIIMNDQLYVMAHIEELDALDKGWRDRVRELAYDLEDCIDLSVARFHRAGGDASKDRFFGVKKLARKLKKIILSLQTAHEVQELKACVFEKCYRQKGYKLDGLIGSRFDASRNKVDLRMCALWEETKNLVGLNGPMDEQIRTLSIVGSAGLGKTTLANQVYQKIQGHFECKAFVSVSQNPNIKDILMKICSQVGATTSMADDELLLVNKLRERLQYKRYIVVVDDIWHSDPWKIIGQALVRTSPGSIIIMTTRLKDVAESCCSSHGGRVYDMRPLKDSDSRRLFLKRIFDSEDGCPHELERASEDILKKCDGIPLAIILISSFLAVDVPQSLDYWNKVKESISSPLPGNKSVETIQSVLSLSYFNLPQHLRTCLLYLSAFPEDYIIESDCLIGKWIAEGFVNAEPGESLYEAGLRVHDVILNFLVSKSVGENFLTLSDPSGLPTSLHSKVRRLSLQNNYQENVVSWIKSIKPYVRSVACFVDCKELFPLTEFQVVRVLDLQRCVPLTNEYLANIEVLLQLRYLNIKGTSVNELPAGIGQVQNLETLDIRFTQVEELPSTIVLLEKLARLIVSPNVKFPAEGFSKMKGLEQLKCFSIHRQPLSFLKELGQLTNLRTLEADCDDVDYEGSGWGIFTSSLQALCSHKLLDVNISMADSPPIPMDSSFPGLQSLRTFVISHISNLPIWMGLLEDLRVLGGMPALETLTLYLVGTDAGPFTIRGHEFQCLKSFKVGQLYQILFMPGAMPNLKRLEVGLAFTTNSYSDLGIQHLASLTRVDVDIHAWCRHRGAVEDLEAKTRSLLGAHPNHPTLIFNTDFLLEK >KQL26803 pep chromosome:Setaria_italica_v2.0:II:46404814:46405211:-1 gene:SETIT_033585mg transcript:KQL26803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKELATKKVSIGTIKLEMGCNLLLDTWTVNYFLRGKRSCTMVYGNKLAL >KQL26333 pep chromosome:Setaria_italica_v2.0:II:43814754:43815959:1 gene:SETIT_032730mg transcript:KQL26333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCTLSTQRGARPAEETAAKHGWSSLPDDLVIQVGNRLLADSDIYSYMDFRAVCTSWSSATKDNAKPGRFQPSKWALIDRHDDVLTFVSVETGRFVVKNIPLLRRYGFVGATGGGMIILEEPVPPYQVRVLNPFTGLFLRFKASLPIIGWVREATLTTSPVMLFVSSEVGKIMWADLDSEHFQQFGVDSRNTPLSMTPFDGKLYLSDQEGSILSSTVPSGSAQTLSMATTIPSAVGGHPAWYCYLVKSGEELLLVSRPWYEIHGKPVVRKVDTENNKLEVVTSIGNRALYLSDVRCLSVDASKFQGIEGGCIYFVDPVSTAGNGQASLMTTFRVAEQVQDDIIFDVATMAGGSRQPFTLAQVFANYCSDEEFSYDDSESDGVSSKI >KQL23792 pep chromosome:Setaria_italica_v2.0:II:19748228:19750471:-1 gene:SETIT_030338mg transcript:KQL23792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQRYLPWLIFFLLHGGRGAAAGKVPAIIVFGDSSVDTGNNNFIPTIARSNFWPYGRDYANGLPTGRFSNGRLATDFISEAFGLPPSIPAYLDTNCSIDQLATGVSFASAATGLDNATAGVLSVITLDEQLAYFKEYTDRLKVAKGDAAAKEIISEALYIWSIGTNDFIENYYNLPERRMQYTVGEYEAYLLGLAEAAIRRVHAVGGRKMDFTGLTPMGCLPAERIGNRGDPGECNEQYNAVARTFNAKLQDLVAKLNKDLRGLRLVFADTYQLLATVVSKPADYGFDNAVQGCCGTGLFEAGYFCSFSTSMLCGNANKYVFFDAIHPTEKMYKLLADTVINTTLHVFL >KQL25686 pep chromosome:Setaria_italica_v2.0:II:39840047:39844577:-1 gene:SETIT_029442mg transcript:KQL25686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATATLPLLHLLLSKPATPPLRALPLPTQFHPKTHPHPLPLLFLLPRRRRCGPIAAFPATTSSSTSASASPAYDAREAEAAVAELLRESGASPADATAIAARAPAYATMLADGVRELDELGLWASWSSGAGARVGRSGAVEMEMGRLGFRRKVYLMGRSKHDHGVVPLLESFGVRLSSAKLIAPYVAAAGLPVLIDRVKFLKEMLFSSSDYATIIGRNSKRMMTHLSIPADDALQSTLSFFEKMEARYGGVSMLGHGDVSFPYLIESFPMLLLCSEDNHLKPLIDFLEYIGIPNPRIASVLLSFPPIILSDIENDIKPRIHEWEKAGIEQEHIGRMLLKYPWILSTSVIENYKRILLFLKRKKISSTVLGIAVKSWPHILGCSTQRMNLILEQFDDLGITKKMVAPVITSSPQLLLRKPNEFLQIVFFFREMGFDKETVGKILCRSPEIFASNVESTLKKKIDFLIDFGVSKHHLPRIIRKYPEILLLDINDTLLPSFTLPQRRLFFRWESTFQVLSIKKVQAGLQANKEIYKIM >KQL25780 pep chromosome:Setaria_italica_v2.0:II:40519502:40520000:1 gene:SETIT_033783mg transcript:KQL25780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQGPQAQADPALERQWREPRRRPGLAGARHLRRREPGHPGSGQQVRRHLVHPRQHHDQQHRRRAPQRRQPRPPELLELFRDLLAELRLPDGHPLRRCQDRLEQGHRPEPPPCFEEEFG >KQL26393 pep chromosome:Setaria_italica_v2.0:II:44087915:44088933:-1 gene:SETIT_031002mg transcript:KQL26393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSVIGFGAAVATLALLAAVGEAAVFTVVNQCPFTVWAASVPVGGGRQMNRGDTWRISVPAGTTAARIWARTGCRFDASGRGSCRTGDCGGVLACTGYGRAPNTLAEFALNQFNNLDFFDISLIDGFNVPMNFLPDGGSGCSRGPRCAVDVNARCPAELRQDGVCNNACPVFKKDVYCCVGSAANSCGPTNYSRYFKGQCPDAYSYPKDDATSTFTCPAGTNYKVVFCP >KQL24724 pep chromosome:Setaria_italica_v2.0:II:32549968:32553699:1 gene:SETIT_028917mg transcript:KQL24724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHQTQDLVKELVHRLLSAAESGGGGGGGGGGGGGGGRDAAGALRFAHRLLSSRLAPAVLPDEHALAESIKRRLAASGRPDDALAFADLHSKLSARSRPASLWPLLYLLDSLSSHRRAAAAASCLPNLPTAAPPRNAASGAAAGAGGRPGSRAHGAPPGGVVLVSKDPDNIREIALREYTELVLDETEVSEAALVRDVLYACQGIDGRYVRYDKAGDAYDLPDGVRVPRSTRTLVRKLCELGWLFRKVRGFISDNISRSPSDAATEVGTVAQAFCSALQEELSDYYKLLAVLESYSVNPIPTPGSDSGVSGNYLSLRRLAVWLAEPAVRMRLMAVLVDGCRGLRGGAMAGAIHGHAQHGDPMFQEFMGRLLRRVCSPLFEMVRSWVLEGELEDVFAEFFIVGQPVKAESLWREGYLIQSDMLPTFISPVLAQRILRTGKSINFLRVCCDDSGWADAAAEAAAYVGTTTSRGGLGYGETDALEALVVEAAKRIDRHLMDVIHKRYRFKDHCLAIKRYLLLGQGDFVQYLMDVVGPELSEPANRISSFQLAGLLETAIRASNAQYDDRDILDRIKVKMMDHGDGDRGWDVFSLEYDARVPLDTVFTASVMKMYLKVFNFLWKLKRVDHSLTGVWKTMKPNCIVSSPFYKEGTSIRAQFVSVLRKCQVLFNEMNHFVTNFQYYIMFEVLEVSWARFSDEMDAAKDLDDLLLAHDKYLNSILEKALLGERSQGLLRNLFELFDIILQFRSHADRWFERIYELQLRGKGKPKSKSKETGSWLDGGRKAMIQLAGELFRKMGEDLDSIAKDYTASLDAFITQLPMQQHVDLKFLLFRLDFTEYYSRVSSSK >KQL23285 pep chromosome:Setaria_italica_v2.0:II:10486784:10487909:-1 gene:SETIT_032969mg transcript:KQL23285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAPDECPDPKRIPSSVFARSKSTTPTDWSVTSNESLFSINVGNASFSKDHFFLYGKSGEMGNPNDPLAPLPPLPRPSTSSSPIRSEGAKTTVQASAKLKPATKEGDQDGDDKTDYNHSLSHRGDVKNSGFMKDDSSELARQSTSQLSQQAEPVVEQEAPKVEAEAPEAEQAPEPAPPSTSAAEPTPQPSAPAKWFPCCSCCPFCC >KQL22610 pep chromosome:Setaria_italica_v2.0:II:3848283:3848770:-1 gene:SETIT_033603mg transcript:KQL22610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFVAIGRQRCFSTSSPPPNTHMLPGIANRCAPVASCSPALLC >KQL22264 pep chromosome:Setaria_italica_v2.0:II:1104337:1105818:-1 gene:SETIT_032612mg transcript:KQL22264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFLSAVLGELSTRSINFFISKISKTTPLDMEDHLSRVLVRAQIIIDEAMGGHISNQAMAIICMLDTFRCQYHEEDTKGHVIINSSALSRLSSLKSLCFYNRDTPISKQLQEGIDELTSMILDAKELVVFLTSYPHLYRQPYCMHLVLGNCLFGRQMESEIVINFLLQTQPYGAEELEVLPIFGPIFVGKSTLVAHACKDERVRDHFSEILFFQNYGFTDDELATLRDGCARIHLNHVSISNKDRRLLVVIELVGDLNEDEWNRLYFASKRFVPCGGKIIVTSRSEKIKKFGTTRAVTLKYLPREAYWYFFKTLAFGSMDPKVQPRLTHLTMEIASTLDGSFIARNFTARSLQKPISRFGERPIDLLKQNRPANLGRMATPSEVFVLSNQYGSAQDKVPKVRLQDVMYGTFKTSGKFELLSWRSSIPPYFSYVGTCEILELKAAGVKRKRSMKDGGKLM >KQL25174 pep chromosome:Setaria_italica_v2.0:II:36441374:36442932:1 gene:SETIT_032237mg transcript:KQL25174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRKGTSSNLMAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDIVVTNKLARLRSQEDE >KQL22529 pep chromosome:Setaria_italica_v2.0:II:3347629:3348931:-1 gene:SETIT_030409mg transcript:KQL22529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVPASSAAAPHVVEDCLGLVQLLSDGTVRRSTDYSVFPLVGGVPPPDLPVEWKDVVYDGAHGLRLRMYRLSTAGAGGGVEEKKLPVLVYFHGGGFCVASFEVINFHAGALRLAAELPAVVLSADYRLAPEHRLPAALDDAESVFSWLRSQAAAGGGADPWLVESADFRRVFVTCDSAGGNIAHHISVRHGSGELPLTPLRLAGCVMLWPYFGGEELTPSEAASPPGEPMGMALFDQLWRLALPAGATKDHPIANPLARGSVPFGDLGGDFPPVLVLDPDQDVLHDRVGEYVARLRAAGKEVELVVFEGQGHAFFVTEPCGEASDELIRVIRRFVHSG >KQL25047 pep chromosome:Setaria_italica_v2.0:II:35579085:35583623:1 gene:SETIT_028849mg transcript:KQL25047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSRFWGQGDSESEEEEEEVESEQGSDSEDDGGRGTGGRGTQNRYLKTQEDDSDESDSGHRVIRSLRDKRNEEMRSIVDQMRNAMKINDWVSLQESFEKLNKHLEKVVRVNESTEIPKMYIKALVLLEDFLAEALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEEQIQKCREHPESFEDEAADDKDDDETDDEDGDSDAEIEDPEKIVMSESEGENSEDDPDKGDGWVRKQTKKDKMMDKQFLKNPSEITWDIVDKKLKEIVASRGKKGTGRIERVEQLTFLTRVAKTPAQKLEILFHVISAQFDVNPSLLGHMPVNVWKKCVNNMLLVLDILQQYPNIVVDTSVEPDEKETQKGADYNGTIHVTGDLVAFLERLDTEFFKTLQCTDPYTKDYVQRLREEPLFLVVAQNVQDYLERVGNLKAAAKVALRRVELVYYKPQEVYDAMRKLAEQPEDSVEEGDAEAGDEHQALDDNRGPPPFVVVPEIVPRKSTFPESGRALMDGLMSLIYKYGDERTKARAMLCDIYHHAISDEFSVARDLLLMSHLQDGVQLMDISSQILFNRVMAQLGLCAFRAGLINEAHGCLTELYSTGRVKELLAQGVQQSRYHEKTPEQERLERRRQMPYHMHINLELLEATHLICAMLIEVPNMAASTYDKRRPMSKTFRRLLDVSERQTFVGPPENVRDHVMAATRALNKGDHQRAFSVISSLETWKLLRNREHVLEMLELKIKEEALRTYLFSYSSCYESLSLNQLITMFDLSEQHAHSIVSKMMMHEELHASWDQPTKCIVFHSVDQTRLQGLLFQMADKLSVLVESNERAYEARTGGTLEGIPPRRRGDGQDSSNLGRWQDNFVSSQGRQGGGNRSGYAGRGGGQGGGYQRDRGNQGSRGGYGGGSRYQDGRGRNQSGSSARGGDGGARMVSLNRAGRV >KQL25769 pep chromosome:Setaria_italica_v2.0:II:40391178:40393690:-1 gene:SETIT_029552mg transcript:KQL25769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLRVQVVAAVMGMALATAAAAGTSSSGRFEFQEATVDAIQLGFRNGSLTSTALVRFYLDQIARLNPLLRAVIEVNPDALAQAARADAERQASGGRCAAGLHGVPVLLKDNIATRDRLNTTAGSLALLGSVVPRDAGVVARLRRAGAVILGKANPSEWSNFRPVESGWSARGGQTLNPYVLSASPCGSSAGPGVAAAANMAAVTLGSETDGSILCPSSSNSVVGIKPTVGLSSRSGVIPITPRQDTIGPMCRTVSDAVHVLDAIVGYDKLDAEATGAASKFIPRGGYTQFLKMDGLRGKRIGAPAVFFQGYNDFQTAVYEKHLNTMREHGATVIKDLDIATNFTDLNAQETLLMIAEFKISLNAYLSDLLRSPVRSLSDVIAFNNAHPVEERLKDFGQPDLIAAEETNGIGVRERAAIRRLEEISANGLEKLMKEHQLDAIVAPNSDASSVLAVGGYPGIAVPAGYDKEGVPFAISFGGLRGYEPRLIEMAYAFEQATKVRRPPTFKR >KQL22424 pep chromosome:Setaria_italica_v2.0:II:2483621:2486762:-1 gene:SETIT_030172mg transcript:KQL22424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALGTQVAATALAPVGSDGFLNGSSLKGNNNLNFRSKPWIRRTLAWEKTQQSRHLNKVFCMSVQLASKSKVAVKPVELENAKEPPLNLYKPKEPYTATIVSVERLVGPKAPGEICHIVIDHGGNVPYWEGQSYGVIPPGENPKKPGSPNTVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKKGICSNFLCDSKPGDKVQITGPSGKILLLPEDDPNATHIMIATGTGVAPYRGYLRRMFMEDVPAYKFGGLAWLFLGVANSDSLLYDEEFTTYLQQYPDNFRYDKALSREQKNKSGGKMYVQDKIEEYSDEIFKLLDGGAHIYFCGLKGMMPGIQDTLKRVAEQRGESWDQKLSQLKKNKQWHVEVY >KQL22889 pep chromosome:Setaria_italica_v2.0:II:6147674:6150619:1 gene:SETIT_030442mg transcript:KQL22889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSADEEQPLLRDHHPPPVSSLVLPPDILTRSATATDTNTDGSPKHVARAGGWKAPVAMVLVQLFQTGDKWREMMNWRVSRWIIFNGFIGYAVPINLYYNGLQDTTSSYAIIFLNIIPLITFILSLMFKMERLKFATVDGSLKIVGVLASVGGTMVITFYKGNELHLWNSILQYHKNEQTEVARNHLRGTILLVASSFAYACWYLIQTKVHKVYPYKYWSSLATCLVGGLMTAFVGVVVRRDGDAWKLGWDLKLLTVVYSGALATAGKYSLNSWVVAKRGPAYPPMFTPLSLVFTVVLGSILLGDNITLGSLLGTTMVIAGLYIFLWAKSKDLPGK >KQL27128 pep chromosome:Setaria_italica_v2.0:II:47978687:47980102:-1 gene:SETIT_032166mg transcript:KQL27128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGEREQLSVVMFPWLAHGHINPYLELARRLTSTDRDGVDVVVYLVSTPVNLAAIAHRQTDRIRLVELHLPSLPDLPPALHTTKHLPARLMPALKRACDLAAPRFGALLDDLRPDALLYDFLQPWAPLEAAARGVPAAHFSTCSAAATAFFVHCLGSADRAPRAFPFQSVGLGTADEEAKYTELFALREDPAALVSERDRLLLSLARSSGFVAVKTCADIERKYMDYLSELLGGKEIIPCGPLLVDSGGGGGAAESDRVMRWLDGQEPGSVVLASFGSEYFMSEHQIAQMARGLEMSGERFLWVVRFPESAGGGEEDHGGAARALPRGFAPSRGLVVEGWAPQRRILSHGACGAFLTHCGWSSLLESLAAGVPVVALPLHIDQPLGANLAAELGAAARVRQERFGEFRGEDVACAVRGVLRGEEGKALRRRAGELREVVARNDADDAQVGELVRRMARLCGKGQRVAVPN >KQL22842 pep chromosome:Setaria_italica_v2.0:II:5761857:5766035:-1 gene:SETIT_032117mg transcript:KQL22842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLRDRLLLVALFAASLSCAPQTGDGDGAQVIEATPHVRMLETIMLVMTDEQVYVVFLGHLPESGSLEYGGPSAVEAAHHDLLSQVLDDGRKHHKAKPMVLPLAALILHSYKRSLNGFAARLTEQEAQKLSSMEGIVSVFPSRTHELLTTRSWDFLGLPQTPPEAMPLEGEVIVGMLDCSVKIIGARMYGIGPNNSTGLSLLDKGGHRSHTTSIVAGRVVGEVCHGRGCRDVDVLAAFDNTITDGVEVISFSVGNAVPLQYFEDAGAIGSFHAMRRGVLTSATDSNSGLDGGHVCNVAPWMLSFAASGIDPRFVDKIILGNGKTIVNGLNTFPMLQNAPLVFPINGTCEPDGLAGGSYEGKIVLCPADNSGDPNDGAGPFMAGADGAVIVGHYPNLSQAVVLPALVVTQDSGTYRDDVRSQAPISASFSSPGPNLITPGILKEPNSLQRSQSSPLHPGLDIIASWTPLSSPTGEPVDNRKVLYNIESGTSMAWTHASGAAAYVKSHRRDWSPAMIISALITTATPMNTPGNAGSNELKYGAGQLNPSKAPDPGLVYDASERDYVAMLCAQGYNATQLALNFSVGFARTVTNVGASPGAVYVAKVVLHGARSNLAVGVSPDRLEFSKQKRTASFGVSISGEALAADEVVSAAVVWCDGEHEVRSPVVVYTVSAGVHHF >KQL27094 pep chromosome:Setaria_italica_v2.0:II:47785722:47786173:1 gene:SETIT_033791mg transcript:KQL27094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPEDSLLVKLCSVPSSNKLDLLLYLDGWVLGC >KQL23532 pep chromosome:Setaria_italica_v2.0:II:14619271:14619399:-1 gene:SETIT_033626mg transcript:KQL23532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLHMLAVRSELDSEKGHIKDTDTALSLHTQSSK >KQL23679 pep chromosome:Setaria_italica_v2.0:II:16152078:16152503:1 gene:SETIT_032102mg transcript:KQL23679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDFFVEGSVYCDTCRAGFETNATTPIAGARVRLECRHYMSRSGAVERSAEGATDAAGRYRVELVDNRGAEEVCVVALVSSPLPGCAEKEAGRDRAPVEPLADDGLATTVRRANPLGFLKDQPLPNCGQILSSYALRSAPSY >KQL22726 pep chromosome:Setaria_italica_v2.0:II:4828463:4830187:-1 gene:SETIT_030419mg transcript:KQL22726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWWWWPAGGSSWWPAGGSSWWSAGCGGGGGGGGVDGGGGRGWWPAVVVVAAAAAWMVAGCGGGGGVGGGGGVDGGRLWNELTEVDPWIVAVERSKTRMTTEEWSKEGWTTAMTASARRKTKLQEAMEIFRAMNASIDIICLTTWEALGRQVAAERANATAAVKEEDQVGRLHRELEAARAGASEADARRHVADQKMEAERAAELILEEYGLRPMDPPDRSVAGYAVGFGRVADCLDRLRDAIDDRYAEDARVRAGLLPEPRPFLPHGDGAGGRRGGRRGGGTRAAGSRAGDGVEVCPGDGPSRRSATSWRRRRGRQRRECMMDDGQAVGWPRDAYVA >KQL24536 pep chromosome:Setaria_italica_v2.0:II:31084348:31084898:1 gene:SETIT_033728mg transcript:KQL24536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKILNTRAAKPTQSAARSPSGASEPFGSGEEPEEEPTGFTPSILRTNQRRAHLKFQNHLRP >KQL26249 pep chromosome:Setaria_italica_v2.0:II:43316631:43325002:1 gene:SETIT_028829mg transcript:KQL26249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAPQQAPPAQPPPPPPPPAAPAGVTATPISVQPPPIQPKPPPPPQQQPQPAGPVSGPPTPTPAQLLNLGPQPPLYRGPICWNSYCKDPDPNSFGRRGWKVRSGPPFSIYADLCGRCYSQFEQGIYCETFHSDEGGWRNCESCGRRVHCGCIVSIHKYQLRDAGGVDCAKCARNTRTAMAPPSPVWASPMHNSQNVADRKDIPVKSWRPPAGQISSQWRQTNLWSVSSIQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAQERKFDDMHDRPTTPAGMNHIIRERDANGHGQPTNMDPAYPYNLYHRDGPHPNNLHDPSHHGGENDSLSSRKVALPDASTGVDGFKHDSHHPSILKDDPPSLSVGLASNFASQNGPKDHIRIAPAQQQAQMASSSLQKQFYSHPVTGYSEFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSNEYPTSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQAEGLPLKVQDASGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLIMGFRKATNMSSEQEQTTKPANGAPATSEANGKVSAPDSSPNAAISRQNKVNTETKSSSPVEQAATSKIDKDVSAPKEGPGTASSSPGPVKRKATNLTPKNKRLRMENEESMELKITWEEAQELLRPPPKAPSIVIVDGHEFEEYEEPPILGRKTYFATDQSGSNHQWAQCENCSKWRKLPADALMPSKWTCNDNKWDSERSSCEAAQEISMEELAELIPIKSGPGGAKKPKSKIEGEAIDASDGLDTLANLAILGEGEALPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKRATTDSPRRKETGQSSQTVTQAGSGLQATSANATNSSKKAVGNADGPEDMAVDHKVTSSPVKNHIDLNSQPERDDEQSPKSGAAGALSRDNPT >KQL26250 pep chromosome:Setaria_italica_v2.0:II:43316631:43325002:1 gene:SETIT_028829mg transcript:KQL26250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAPQQAPPAQPPPPPPPPAAPAGVTATPISVQPPPIQPKPPPPPQQQPQPAGPVSGPPTPTPAQLLNLGPQPPLYRGPICWNSYCKDPDPNSFGRRGWKVRSGPPFSIYADLCGRCYSQFEQGIYCETFHSDEGGWRNCESCGRRVHCGCIVSIHKYQLRDAGGVDCAKCARNTRTAMAPPSPVWASPMHNSQNVADRKDIPVKSWRPPAGQISSQWRQTNLWSVSSIQSDLQQRLAFEFDRPSGSEKLLPGRTFIHAQERKFDDMHDRPTTPAGMNHIIRERDANGHGQPTNMDPAYPYNLYHRDGPHPNNLHDPSHHGGENDSLSSRKVALPDASTGVDGFKHDSHHPSILKDDPPSLSVGLASNFASQNGPKDHIRIAPAQQQAQMASSSLQKQFYSHPVTGYSEFQAQLRNGRPRMDAKARSQLLPRYWPRITDQELQHLSNDSNSVITPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQAEGLPLKVQDASGKEWIFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIDPEGKLIMGFRKATNMSSEQEQTTKPANGAPATSEANGKVSAPDSSPNAAISRQNKVNTETKSSSPVEQAATSKIDKDVSAPKEGPGTASSSPGPVKRKATNLTPKNKRLRMENEESMELKITWEEAQELLRPPPKAPSIVIVDGHEFEEYEEPPILGRKTYFATDQSGSNHQWAQCENCSKWRKLPADALMPSKWTCNDNKWDSERSSCEAAQEISMEELAELIPIKSGPGGAKKPKSKIEGEAIDASDGLDTLANLAILGEGEALPSQPTTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCMTVRRRFRTLMLRREKRATTDSPRRKETGQSSQTVTQAGSGLQATSANATNSSKKAVGNADGPEDMAVDHKVTSSPVKNHIDLNSQPERDDEQSPKSGAAGALSRDNPT >KQL25160 pep chromosome:Setaria_italica_v2.0:II:36380565:36380991:-1 gene:SETIT_033134mg transcript:KQL25160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGFSADSSQLPAGFLLSDLADQICRLGELGTKEETVDLDRLMPGESLT >KQL22340 pep chromosome:Setaria_italica_v2.0:II:1839105:1840853:1 gene:SETIT_031962mg transcript:KQL22340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSLLRRRFVRPRPRPRSFFFGSAKRRGKLNAGGSVLPGRDDRPRRRLAATGSEDYLPVVGEQEGKADRELLCNNTVGSYHCTEYPDKTRYDTTTMQCIKVKIQRGLLLGIVMGLSAGIAILLLTLSAIFLVCKWRRDIQKRLRKKHFQDNQGLLLEQLISSYENAKDVTKISLEEIEKSTNNFDPTCILGCGGHGMINHRNIVKLFGCCLETEVSLLVYDFIPNDSNFTLSWDDCMRIACEAAGALCYLHSAAAVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHIDTKVQGTFGYMDPEYYQTTQLNEKSDVYSFGMVLLELLLRKEPIFTDELGSKQNLFNYFLSELKSRPITEIVDAHIREEATEQEIISVASLAEMCLKLRGEERPTMKQVEITLHNLRMERLNSLCQVAPGNNQEIQLLVYSRANGGGTPSVRSRTCYSLEQEFIASAEIPR >KQL23685 pep chromosome:Setaria_italica_v2.0:II:16254309:16257753:-1 gene:SETIT_030585mg transcript:KQL23685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIQPDKDIVVEFIKNEDYKYVRVLGAFYMRLTGTVADVYQYLEPLYNDYRKLRHKLSDGQFALTHVDEFIDELLTKDYSCDTALPRIQKRWVLEASGTLEPRRSALEDDFEEEEEDKEEQPMEIDQPNGHEKDNHRGRSPARDRDRDRDRDRKHERHHRDRDHDRDRDYDRDYGRGRDRDRDRDRERDRNRDRDRDRHRLRDDDYSRDRDRDRERDGREREHRDRDRGRHRSRSRSRDRRDRDREDGEYRRRRDRGSVSPRGRGEDGATREEPKRKKEKKEKKGEQNAPDPNDPEIIEMNKLRASLGLKPLK >KQL22115 pep chromosome:Setaria_italica_v2.0:II:29172:30344:-1 gene:SETIT_031012mg transcript:KQL22115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAVLLLLLLSVLLAAEAADDGTTTHLSFFMHDIVSGSNPTAVKVIKGPGSTTAPALGMAFGDTTVVDDALTEASSPSSAAVGRMQGIYMLSSQSGAALMVCANLLLTSGDHNGSTIAVLGRDDTDADVRELAVVGGTGKFRMASGYVLWKTSSMSGADATVKLDVYLTTGGGNGTIDADAPASPVGGGGGSPSGSSGTKASSGGARTTGGGWVVAAVVVAVVGSWVW >KQL27001 pep chromosome:Setaria_italica_v2.0:II:47322978:47325676:1 gene:SETIT_030555mg transcript:KQL27001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRTKAEKKQAYDRKLCSLLDEYTKVLIALADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKAYADKTGNHTFDPLMDLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLQVINVYEDGSVFSPEVLDLTEEDLIEKFATGVSMVASLSLALSYPTLAAAPHMFINGYKNVLAVAVETDYSYPHADKIKEYLKDPSKFAAAAPVASADSGAAAAPKEEEKKAEEPAEESDDDMGFSLFD >KQL23016 pep chromosome:Setaria_italica_v2.0:II:7391672:7392097:1 gene:SETIT_033590mg transcript:KQL23016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNNTLGGSFVNYGGYLGCTRNDQNFACFSSVKLTATVKQISA >KQL24113 pep chromosome:Setaria_italica_v2.0:II:26301737:26302918:1 gene:SETIT_032375mg transcript:KQL24113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNSEQVWSVSEIMTMKSLIARHNTTTNNNTDIVEELQARFPWKEKRQVTDLYVDLVVDMIESGNQDAAARSSHMIKDIATSVEDPGIDNLDMLYGYLMEETRSMGQVEEAQRRQTATQNERPSTTGFWTIEEHRLFLHGLRVYGRGNWKSISKYFVTTRTPMQVSSHAQKYFLKLENTARMQQRYSINDVSLYDTEPWVLNNASGRQHGLTGGTFIPNDHSSGDELTDMNNLSEVQSPLLYHANQATTGSIEVDAFAGSQQQMIGDTSTSEVPVMQGDGSQMPWTGDQHADFFLADEWIWNMDMDMK >KQL24764 pep chromosome:Setaria_italica_v2.0:II:32909436:32911627:-1 gene:SETIT_030004mg transcript:KQL24764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGGGAGPGGAGKLTRTPSSLLRSPTVRNCSSFQAVVVEDPEPDDKKAQAHPKASPHQFHPGAGPAHPLLVLALPLAFLLLLLLLRGGDGHHLALLAASAAAALGAAAGAARLLRGRIRLRRSPGSGSVQWFIGDDDDKPQKRADKAAAAHGRVVREGVEFYSNGDCYEGEFHKGRCNGSGVYNFFGKGKYEGDWVDGKYDGYGIESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYLGEFKCGVKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYSFANGHCYEGSWHEGKKQGFGMYTFRNGDKRSGDWDSGTLKTPLPPSDPAVQRAVQAAQRAAEDAFRLPRVDEQVHKAVMAANRAATAARVAAIKAVQNRMDGKFCDTYV >KQL24910 pep chromosome:Setaria_italica_v2.0:II:34556341:34556863:-1 gene:SETIT_033621mg transcript:KQL24910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMMCRYWPPSFILAYMNIRWLFPVPFTAPLSSLHCCCSFSLHLQHYCLLHFVSTSSNKCFIRLPLPSLRLYCSNSGMIVLLLI >KQL26266 pep chromosome:Setaria_italica_v2.0:II:43417118:43422712:-1 gene:SETIT_030107mg transcript:KQL26266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLHLLVLCVIIVFLVYNMANFQHKQTSLEAKSRPFDTVTVFDRAAVKVSQKAVARIGYLPHGIVESNSDMELKPLWLTTSAESQKSKQNDQFLIAIAAGINQKKTVDAIIKKFLPENFTAMLFHYDGNVNGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYQYIFLWDEDLEVDNFNPRRYLNIVKSEGLEISQPGLDSKLSEIHHRITVRKRTGSFHRRVSRANKECSREGPPCSGWVEGMAPVFSKSAWQCVWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEFIVHRGVQTLGGSTTTKDGTRGKKAQQLRQKDAQVQKTRGRAAGLDMRTKIRRKSRSELRDFQKRWDRAAREDRTWVDPFARSRRKRRNRNPQ >KQL26265 pep chromosome:Setaria_italica_v2.0:II:43417118:43421766:-1 gene:SETIT_030107mg transcript:KQL26265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLHLLVLCVIIVFLVYNMANFQHKQTSLEAKSRPFDTVTVFDRAAVKVSQKAVARIGYLPHGIVESNSDMELKPLWLTTSAESQKSKQNDQFLIAIAAGINQKKTVDAIIKKFLPENFTAMLFHYDGNVNGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYQYIFLWDEDLEVDNFNPRRYLNIVKSEGLEISQPGLDSKLSEIHHRITVRKRTGSFHRRVSRANKECSREGPPCSGWVEGMAPVFSKSAWQCVWHLIQNDLIHGWGIDYKFGYCAQGDRTKNIGVVDSEFIVHRGVQTLGGSTTTKDGTRGKKAQQLRQKDAQVQKTRVCSLCMTS >KQL26267 pep chromosome:Setaria_italica_v2.0:II:43419587:43421766:-1 gene:SETIT_030107mg transcript:KQL26267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLHLLVLCVIIVFLVYNMANFQHKQTSLEAKSRPFDTVTVFDRAAVKVSQKAVARIGYLPHGIVESNSDMELKPLWLTTSAESQKSKQNDQFLIAIAAGINQKKTVDAIIKKFLPENFTAMLFHYDGNVNGWNDLPWSKSVIHIAASNQTKWWFAKRFLHPSVVSMYQYIFLWDEDLEVDNFNPRRYLNIVKSEGLEISQPGLDSKLSEIHHRITVRKRTGSFHRRVSRANKECSREGPPCSGWVEGMAPVFSKSAWQCVWHLIQVDFVFI >KQL22870 pep chromosome:Setaria_italica_v2.0:II:5984220:5985837:-1 gene:SETIT_031595mg transcript:KQL22870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPAGSARWTSVAAMAILLGSLVLVSFFMERSGKASLPLSFAAVGGRRMVIGTNGGLADQRRTLDDLRDGDDPLSNSKRRVPNGPDPIHNRGAGESGRSPGRA >KQL22432 pep chromosome:Setaria_italica_v2.0:II:2541148:2542932:-1 gene:SETIT_032871mg transcript:KQL22432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAAGGRTPSTHPALRHCVALLRLHLATPSLAAAKQLHARALRAGVPPSHPLLAKHLLFHLAALRAPPLRYAVAVLTRILPDPDPFSLNTVLRIAASSPRPRLALALHARRLAPPDTHTYPPLLQACARILSLRDGERIHAEAAKNGLDTLVFVKNSLVHFYGACGLFESAHRVFDEIPVRERNLVSWNSMLNGFAANGRPNEVLTVFREMMDVDFAPDGFTMVSVLTACAEIGALALGRRVHVYLAKVGLVGNSHVGNALIDLYAKCGGVDDARRVFEEMGAGRTVVSWTSLIVGLAVNGFGKEALELFSVMEREKLVPTEITMVGVLYACSHCGLVDDGFMYFDRMKEEYGITPRIEHLGCMVDLLGRAGKVEEAYDYIVTMPLEPNAVVWRTLLGACAMHKKLELGEAAWARLIELDPGHSGDYVLLSNLYAAVGRWADVHVLRKTMVKDGVRKNPGRSLVELRNSVYEFVMGDRSHPESEQIYKMLSQIAERLRREGHVPHTSNVLADIEDEEKETALNYHSERLAIAFALLKSLPGTPIRIVKNLRVCGDCHMAIKLISKIYDREIIVRDRSRFHHFKGGSCSCKDYW >KQL24464 pep chromosome:Setaria_italica_v2.0:II:30389579:30392377:1 gene:SETIT_032136mg transcript:KQL24464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSVPLPPLGAPPPPSTSLTLSPTLGTMATQIPSSPAPEEHRPCLAPRATPCATAETCSSPALSSLLSRLRRPRVPPRLAPRIILYPETRRSHVAVGTLDLDGWVKAESRRARQARRQHEAPPRRAVPADLRGRCFNCFSLKHRAADCRSRPRCFGRKRRRCARRPRARRSTETPDGGSEEGNEGFSQAIPSEDDPNLIAEDRPLKPRHILDCSASIARREDDLAHGLVVTMIGGHEVGAVELVRTTIANRFEIEEERLILRPWGLVSFLLILPTDAMLERVYNGRRPIITPSARLHLKAWCSNSDCFPTEMDLEIVEPPLVAEHQPGMRTLKYPISIVVSQTGSSNSEDDPPSPPPADDNGRRHRRRRRHRSPSIPPEQAAPGGVSSSTQGRRAPVQDRLGPHTQLRLAYPHQEESASEPPIDAVLAGPTVREAHEVPSSVTEAAAVEAEAGMIADINRCLMPQASEACMPVLSHEEREGVAPPLYEALGTHLSLAADGPLISTDGPAPLLDESVPGPLASPIEALAPELTPCLQRRWPRLPLSHCCRRPCLKKNGGERDLNGSGLATEPVSPATEFINSLSQTSGALLPIPHINKRRKKLLQPPTEAPHRSRRLVKRVMQALDLEVDDEKEQFDQRILDECAKCFQQPQATPHTRALAALFGWSPPEDDTAFGVVKCMV >KQL26033 pep chromosome:Setaria_italica_v2.0:II:41936295:41936771:1 gene:SETIT_033062mg transcript:KQL26033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCATELVHGEGGAIKVGTTGTIGSLMTRELDSIKVAPQAAATPRLRRQGLSCGTPRKIVLRKSSSDVSSSSNGNRTDRVGAEETCKPRRVSRRNTFDSPMLRSDCALVDRSPNTSKGKKGSGYGVEVVDVKCGNPMSSRLRKLGFSKLSETFA >KQL22728 pep chromosome:Setaria_italica_v2.0:II:4883024:4883765:1 gene:SETIT_031769mg transcript:KQL22728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDFIGDASNKKDRFSNIKGCIYGSNKAVDENVSWVIYFNHLVDKIVRIKLLYIRAWILPFATKLIT >KQL23906 pep chromosome:Setaria_italica_v2.0:II:22740154:22741384:1 gene:SETIT_032146mg transcript:KQL23906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPMLVHVESIQTAVPAGAAGSGRSLPIAVSGPPLAAAELQRRFRAVLYYRGAGAAGELEAAARERGAWVKESLSAALVDHPEMAGRLRRRGDDGRLWEVRLMDTGVRLVQTSVEATMAAFLRASGADRERKEAALALWTDVDVQEPDICAPFFMQLTRFQDGGYAVGASCSLLLADPLSLAGFLKSWARKHAELQAQGKIVANPVIQYTRYLQSAGGAARRVKSVPVDTDIATGTTTTTVLFRAAGGGTSDHRALAAACVAMAIERLGAKALPRFTVMARDGSEGLNVKTCTADGDEKPCNGHEHAPRVSHWRDEAGLEDLTLEGNKPVHVSYCVSPCADEGLVVVMPAGGAELLISATVPNYIYM >KQL26102 pep chromosome:Setaria_italica_v2.0:II:42381773:42382686:1 gene:SETIT_033561mg transcript:KQL26102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRCWTKNDFSPVTGLIIAVRSDCRCSCFRIHIYCSSALTWCLSSISESFCIFQSSLKISVSNVTRSVYNVLQYVISQAFPV >KQL25913 pep chromosome:Setaria_italica_v2.0:II:41224478:41226182:1 gene:SETIT_029787mg transcript:KQL25913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAGKRLLDLSGRSLTVNVVLVPSPTGKWEDAIFERIRREEASGQGIRFHHPAAVEPPTDHTGIEEFVSRYVQLYVADLKAIVSGLACPVAAVVVDIFCTTLLDAPLELGVPAYVFLTCGAAMAAVLLRSPSLDEEVAAEVEFRELEGGVDVPGLPPVPATNLPSGLDNRKIRTYKWFLYNGRRYLETNGILINTIAELEESVLAAITDGRCTRGIPTPPVYTIGPVVAIAPPSEEKDECVRWLDSQPAASVLFLCFGGGGGYFSVPQVHEIAQGLERSGHHFLWVLRGQPEPGMKVPTDANLAELLPDGFLERTKGRGLVWPTRAPQKEILAHAAVGGFVTHCGWNSSVESLWFGVPTLPWPQAAEQHLNAFVLVAEMGVAVGMKVDKERRNFVEAAELERAVKALMGDGEVARKIREKSAEMKAVCRKAVEEGGSSVVSLQRLYDALIQGAVEPKV >KQL23397 pep chromosome:Setaria_italica_v2.0:II:12044051:12047028:1 gene:SETIT_028852mg transcript:KQL23397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRKDDVRSAAQGSVRGSSSSACTNYPVPEYPVAGTNKPVLNYSIQTGEEFSLEFMRAIPKKHPVPGMPHNQNVIPGAGHKDSRVSLGAHRTGAETRFDASIFLTSDTHQTDEIERKPFSENENRRRHMSGTSVPRVPSAGGSSQGLSRGYASSEASETSRTIKILCSFGGKILPRPSDGKLRYVGGETHIIRISRNISWQELKQKTTAIFNQPHVIKYQLPGEDLDALISVSNDEDLRNMMEECGFLDNADGSQKLRIFLVSSIEYDDMSFSLGSMDSDSGIQYVAAINGMDGIATNSSGGQGVNASINEFDQFINLNSDSRPVNSSRDGSNLHAVSASTFVPQEMLSRPTPSAVSGDNTANLHSYYGHGMQNLQGNYANPATNDGFYDIEGQTSIPLSTPSDYRYMSQYAPFSGTTSQRSLDQQAYQDAEKETSTKEEKRVSGNMPHQNNELDYFQSLENLSGHTAHHESSASGVPPTASLQEGVTSSLQPSDSVKSLETCTAPRARSTTQGSDISEDDRHSGGAFASGCSDFQVDMIDHSYKNPPPHPGRVFHSERIPREQAGFLNRLSKSEDSLNPEFLIRQSQSGVASENIAENTDSTFEGTEKTNLSSHAINLNDPAVDDSLIQFEKEFTNTVQQTSPFSEQLLAEKRSSDNTSSRNVEKLTHAAEQVLAKGKHNEETQQDVEAPNHLGSHSAMPHHISWDAPKPTLPTDVKCDPAVPSTSSVDVSHKEPIISSTQNRDIAGGTERTSPDILSDFFANADSAAQSSSPFIDPVHSLNMPNYEPQRWSFFRNLAQNEFPQKDETQDLAKIEEGAYPFDRLEHDTTNVKNLAPQNDIHMEVPPVAPHTNVDSSISPPGLISSQIDNPTTMKNVEGFQVDNPFTNMREMMPSHPDFEEQKTEEARAVGPVMDASFKDTDFEYLQV >KQL24224 pep chromosome:Setaria_italica_v2.0:II:27824587:27835383:1 gene:SETIT_028878mg transcript:KQL24224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNSHWANLSTRMTIQPDPSFAEPLSILQTAVSATGNDTVLTIFILGNHLHKTTFSFMGFMHFADFQNAQLRQFDIYLNGEKQGPSYTSSFLVASCIYTPTAYKAVDRKYNITLVATAKSVLPPMINAVEIYNIIPHSNPITFSDDFDAIMAIKFEYGVKKNWMGDPCFPTIYAWDGVKCSNTSGNTTRITSLDLSNSNLRGALSTNFTLLTALENLDLSYNNLSGPIPDSLPSLPSLRVLNLSGNHLNGNSLCKNYGGSLTFRYDSDISTCNKSTSPSREKALLVISVVAPVLVVAALVFSFFIWRAKRKPNVSIDDHNGNLQLESATRGAKGQGDHLQDTENRKFTYKELEKFTDNFERFIGQGGFGPVYFGCLEEGTMVAIKIRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKNHLALVYEYMSQGNLYDHLRGKKAAVQTLNWGARVQIVLEAAQGLDYLHKGCSPPIIHRDVKSGNILLGRNLQAKIADFGLSKTYLSDAQTHISATAAGTAGYMDPEYYLTGRLTESSDVYSFGVVLLEAATGEPPLLPGHGHITQRVKQRIAAGDIGSIADSRLGGAYDVSSMWMVVDTAMACTAEADAGRPTMADVVAHLKDSLALEDARDNDCSVPPRRALQRDDDDDTVMSSFGPSVR >KQL24225 pep chromosome:Setaria_italica_v2.0:II:27824611:27835635:1 gene:SETIT_028878mg transcript:KQL24225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVLLAATLFMLTAQAVVRVVGEPGFLSIDCGLDGSGYKDATTGIDYVPDGPYVDAGENHVVAAEYRDGPKRYDTLRSFPPGSGKRNCYTLPTVAGAKYLVRMESTYGNYDGRNSSSSSSMEEYMFDLYLGADYWETVEVGRNVASEAVFVAWAAWTPACLVDTDQGTPFVSVVELRPLPAGLYPTVTPGLSMNLQRRMGASMPLTRFPYDEYDRFWWPMYNNSHWANLSTRMTIQPDPSFAEPLSILQTAVSATGNDTVLTIFILGNHLHKTTFSFMGFMHFADFQNAQLRQFDIYLNGEKQGPSYTSSFLVASCIYTPTAYKAVDRKYNITLVATAKSVLPPMINAVEIYNIIPHSNPITFSDDFDAIMAIKFEYGVKKNWMGDPCFPTIYAWDGVKCSNTSGNTTRITSLDLSNSNLRGALSTNFTLLTALENLDLSYNNLSGPIPDSLPSLPSLRVLNLSGNHLNGNSLCKNYGGSLTFRYDSDISTCNKSTSPSREKALLVISVVAPVLVVAALVFSFFIWRAKRKPNVSIDDHNGNLQLESATRGAKGQGDHLQDTENRKFTYKELEKFTDNFERFIGQGGFGPVYFGCLEEGTMVAIKIRSESSSHGLDEFLAEVQSLTKVHHRNIVSLVGYCWEKNHLALVYEYMSQGNLYDHLRGKKAAVQTLNWGARVQIVLEAAQGLDYLHKGCSPPIIHRDVKSGNILLGRNLQAKIADFGLSKTYLSDAQTHISATAAGTAGYMDPEYYLTGRLTESSDVYSFGVVLLEAATGEPPLLPGHGHITQRVKQRIAAGDIGSIADSRLGGAYDVSSMWMVVDTAMACTAEADAGRPTMADVVAHLKDSLALEDARDNDCSVPPRRALQRDDDDDTVMSSFGPSVR >KQL25422 pep chromosome:Setaria_italica_v2.0:II:37979251:37984439:1 gene:SETIT_029356mg transcript:KQL25422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRRSSTSSSPSSSSSPTAASSPPSSSWIHIRSLLVAAASSSSSSSSSSAAAAGSAVAVVSAAAAVSSSSSPASSSPHSDRGGIKSPWSRRKRKRALSREQWDSLFSANGKLRDGGKKFLKKVRSGGIEPGLRAEVWPFLLGVYDLNSSEEERNTVKIKKRKEYEKLRRQCQQILNGCKGNALKAITEVSNEECSSLEGTAEGSESPCFEDALAVCPASLEELTPEHNEAEQPENIVECMEEDTDELNYAYPCIAESESSDSESSDDDDPGRMSVSGEENCDPDPKFTRSTSFKADFFRSSRTSEDFATWQRIIRLDAIRSNSEWIMFSRNQAEVSKERAMQSAASVGLKDYDHLEPSMIYHAARLVGLLEAYAVYDPEIGYCQGMSDLLSPIIAVMEEDEEAFWCFVGFMRKARHNFRLDEVGIRRQLKIVSEIIKRKDSHLYRHLQKLQAEDCFFVYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLRAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNNMAGQLDVWRLLDDAHDLVVNLHDKI >KQL23642 pep chromosome:Setaria_italica_v2.0:II:15621318:15626239:1 gene:SETIT_029071mg transcript:KQL23642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVPVPAQSPAPFLLPDSDMTSRRRREPSEPRSDSDWDAGSSREGSPDFLRRAPAAQISRASTSSSSSSWLREIERDRVRLVREWVHMAARDRDDDTGPPPSPVPDHARRDAPRIRGRQARLELVMRMAADRQAELHRLSQHRAVSDFPHRNRIHNSRLTQALLRGRFLRNGGLPEERRPPSVAARELGQLRQRHPVSGLREEFRFRLENLVRGQAVSQADASSTHDVELSTNDHSESRPPSETTQERHEQTSENISLQQIEGTATTSGFESGSPSVAEVFCGSHSQVESQEDLEQERRDWQRFSHAVIGEESERSWRENADISSREGTAVEDDHDDRLPVANEESTSVDRLPEAHEESISDDSLPEAHEEQHDSDHLPEVLEELHDNNHLQESHGEWSRDDHPIEVYDEWQSDDHLPEVNEEWQDDDESNDTADNWHDNTSDQPIDHGAALIRRGNTFIPGDDENVYSTELRELLSRRSVSNLLHSAFRENLDRLIRSYVERQGRGPLPWDLEGSQGTTPAPNSPDQNQEHQRDDDDQELQHPVDRPPLVIPPPPMPPRQPLWHSELHRNNWIRQNIHRSSSDIEWEAINDLRADMARLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRFIGEQGESKEIIDDGSKWINVRKGTCCICCETPIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIIEVIRAYFIM >KQL24139 pep chromosome:Setaria_italica_v2.0:II:26676132:26676564:1 gene:SETIT_033478mg transcript:KQL24139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLKSSILLTCDQRSEAPANFRPLLLLSSSSSRRSSTS >KQL22331 pep chromosome:Setaria_italica_v2.0:II:1791906:1800637:-1 gene:SETIT_029023mg transcript:KQL22331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYRLGVQLHGHADDVRGICVCGDAGIATSSRDRTVKFWTRNPEKESEYVLSKTLAGHSSFVGPLAWIPPSDRFPEGGIVSGGMDTFIFLWDLHKGEVVETMKGHNSQVTGLAVDTNGDIISSSMDCTVRRWRNGNAIEVWEAHKVAVQTVLKLPTGELFTGSSDSTIKLWKGRTCIQTFSGHADTVRCLAEMPGLGILSASHDSTVKLWALTGQPLLEMIGHSSLVYSVDAHSSGLIASGSEDRSLKIWKDGVCVQSIEHPGCIWDVKFLENGDIVTACSDGTARIWTTHANRFCSDEELAAYTDLISQYTLSRKTVGGLKLMDLPGVEALQVPGNSDGQTLIVREGDNGVAYSWNSAELKWDKIGEVVDGPGDAAQGQVHDGVRYDFVFNVDIGDGEPIRKLPYNRSDDPYAVADKWLLKENLPLTYRQQVVEFILQNSGQNNFVPDPSFRDPYTGANAYVPGGQPSSSNGNAPKQIFKHIPKSGMLSFETAQFDGILKKVTEFNTTLSSDSEQKQLSLSEAEMSRLPAIVKVLKETSFYHTSKLADADMALLVKILNSWPSKMMFPVIDFLRMFVLHPDGAALLLKTIETGNDVLMETLRKAVAPPVQPANLLTILKALTNLFDNSCLHQWLRTHSAEIIDSMSNCKSSFSKNAHLAYATLLLNYAVLSIESKDEQSQAQILSAALEIAEDDTQDFDSKYRALVAIGSLMQKGNVKSLALDLDVKSVASSAKASMDSKIAEVGADIELLTR >KQL26966 pep chromosome:Setaria_italica_v2.0:II:47156458:47157282:1 gene:SETIT_031831mg transcript:KQL26966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSCKATVLHSNTIGVHESVGYILAIARSTVEAIGPGRGMEVTTHTNTHAKHS >KQL26044 pep chromosome:Setaria_italica_v2.0:II:42020854:42022011:1 gene:SETIT_031100mg transcript:KQL26044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLVSSPSFCRSVLSCSEGQAQSGESSWTDYFVDFMLSEEERKRQDANSYSATEGGVEGEGEDDGDGSSREEEEEDSMISDAASRAPADALLPIRYRGLKKLKKAFKALDHDDSLEDTASSPVNSPKVSAVSQLELSPKRRCNIRDLTKEAAGIGDDHGREGMDCTDAAMDGVRFGDQSQTSIAPCAELKDKGICLFPLSVLLHYHGRTN >KQL22734 pep chromosome:Setaria_italica_v2.0:II:4982819:4983123:1 gene:SETIT_0307352mg transcript:KQL22734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVAHSLWSLPSRIPVADPETGGELADGDSSAASGSTTTCPSPPRADQEQDSATGALALLGSADGATEIFVVTRPARPAVIPGPEALLRLASPSTSSIVS >KQL25463 pep chromosome:Setaria_italica_v2.0:II:38395680:38398609:-1 gene:SETIT_029823mg transcript:KQL25463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDPSAATASSSSPASDDTFFDAVDSLPSPSFSPSPPHTPSSSTLRRRPRSAKILKQPDPVLSPSPSASAAASTVTAVEDEPVKPDASEATSAAPRTDPPPDEEEEEDEAHEKANGADVEAEARAPSPAPSILEYLAVLVIKAVVFQVSALISCLAFPVRLLQWWFLFVTDPLGLARRARAWALGVAGDAAGALTARFGGGEGVGKVAQRLVWGSLWAAYVCVVLCALLVMAFLGGGLLVGRIVEKPVQVTETLNFDYTKPSPVAFVPVPRLVPPNQRMQLEVSLTLPESDYNRRLGVFQVKAEFLSADGKVISTSSQPCMLKFKSAHMHFIETFLRSVSLLSGYSSESQVIRLKMRGITEGLEPTTGVRIILGQRAEFGPGAGIPEIYAASLKLEAELPLLKRLLWNWRWTLFVWSSMGLFVFELLFTLVCCRPCIFPRSGHNVAAP >KQL27268 pep chromosome:Setaria_italica_v2.0:II:48931730:48934390:1 gene:SETIT_029283mg transcript:KQL27268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSPSSSPAPAKKQMKWLRGNKKVIDRYLGEARAALAAAAQDTEDGDDAAAAALDLVSLALEMSQPAEPALELRARALLALRRYRDVADMLRDYIPSCVKSCSGDDATTSSSSCSSGSGDLAAASRVELLSPDRDRSHAGAGRTRFLCCFDVSELKRRLVAGFSKKSNTEAQWRYLVLGQACFHLGLMEDAVVLLQTGRRLASAAFRRESVCWSEDSFSPSNLTANAAKRRTSKSGTGSVAPASEAESVSQLLAHVKLLLRRRAAAAAALDAGLPAEAVRHFNKVLDTRRSVLPHPFATACLVGRAAAFRAAGRPADAIADCNRALALDPAFIPALRARADLLESVGALPDCLRDLDHLKLLYDAALRDGKLPGPSWRPQGGIRFGEIAGAHRTLTARIQQLRGRVAAGEGCSVDYYALLGVPRGCTRSELERAHLLLTLKLKPDRSVSFAERLELVDEHRDLEAVRDQARMSALFLYRMLQKGYSFIMSAVLDEEAAERQRAKDAAAALAAAAAAKQEDEATVVEKPVAEVVVAKAAPVTVIPIPVPRNLVTAAATPMSPRFQGVFCRDMAVVGSLVSRGGFDRAIPVKCEAMSC >KQL27283 pep chromosome:Setaria_italica_v2.0:II:49003599:49005591:1 gene:SETIT_029712mg transcript:KQL27283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPLGPSNEQEPPEGEAVLEGLAMAGLVAVLRQLGDLAELAAEVLDGLQDQVMAVSARGRRLAMRAKRLQADLTPSIHNKPNHRLDWHPRRNLNHHHQHGVGEAPPRSIVDHIKRCRGPPNLSALDRFDAHGEGACLKRYTDPSFFRTCHSDDCRSQTDASEKQSLGFLSMLRQLTHRQTPGSLINLPKYYESSAEKDYSETGSSPEPHMEMEKAKENRPGQSNDSPTSNCCSDYVGNCSDELERTSSFEAWLSPGARFSTHEYETADGTVHHAKQDSEAAETSCNKGVSIEDTNADTPPLVPIPPMQWLSVKVHTGPITYRNSFGRNRVNRAGGKILKTTELSEPAIHSYEAWSEAEGTNQQQVFNHKGPEISSCRGTTVSDSEANKPSQADSASGQGDEDSLHHENVLFSAVEQLAGMSPPWVPRPKHPLPEVASQDRITLRNGPSPIHRSRSILDSRTAMLHQIKDKDK >KQL25755 pep chromosome:Setaria_italica_v2.0:II:40264168:40273428:-1 gene:SETIT_029452mg transcript:KQL25755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESCGSRGASPPPPPSSAGGGGGGAAGRRRKAEAYAEVLRRIRSGGYGGGRPGLEDELWAHFQGLPARYALDVNVERVEDVLLHNKLLEQAREPMNGLVFDVRPSQVVTPEDSTGFESSTSFKQEEQDPQSSAFTSRDQRPLYEIIFACDDKPKLLSQLTLLLGELGLNIQEAHAYSTSDGYSLDIFVVNGWEYEVDILRSALRKGIDKIKYRAWPLVQSMAARMDHRPMEVSPSSDFVQIPADAADVWEVDPRLLKFERKLASGSFGDLYHGTYCSQDVAIKVLKPERVSVDMLREFAQEVYIMKKVRHKNVVQFIGACTRPPISCIVTEFMHGGSIFDFLYNRRGKFQLPDVIRIASDISKGMNYLHQINIVHRDLKTANLLMDDQVVKVADFGVARVKDQSGVMTAETGTYRWMAPEVIEHLPYDHSADVFSFGIVLWELLTGKLPYEDMTPLQAAVAVVQKDLRPTIAADTHPVLADLIQRCWQKDPALRPTFAEIVDILNSIKEVVRSSGHHKRHPGRSDSGRRRGC >KQL24092 pep chromosome:Setaria_italica_v2.0:II:25987596:25992560:1 gene:SETIT_029877mg transcript:KQL24092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHDWAPKPSPLPHPPPLHRAIPPPAPKHTDRSNPGRRCCRIRPPTNPHKLAPARAAMLASARGFWGRHRRKILVSLGVAGAGYAAYRLYDAHRAQLVRVEQLRAREEQAADDLVKNQLQEHFEKVQSICDSTTLPLAMHQLCEKIMSELDISKLIDKLRQGKVESSALTPKEKYDTWEEIKIKSFTKTVSSIWAMTLLSLYTRVQVTILGRHLYLDFARGSHRAQIQEESDTFSENGHKSFLTTADYLPTGKINAYIMQMQHAATEVLKEKQLKDLMSTDQVLQTVLQILDMFMGLCEDNSWINYLVPDDASVHAQLMAVSSTGFDDSSLLNDFRKLEQLMAETRIVLASDDFKNIMERSLRKIADMVIEDLAAQTGIPSPPSGLPLATLLPRVAHLSSPLLVEPNKNKHIQMIRSMPEVELFYTFLYANMPPET >KQL25861 pep chromosome:Setaria_italica_v2.0:II:41007202:41010539:-1 gene:SETIT_029753mg transcript:KQL25861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAGSILASLGRVRLVDLAACEGLPTENYKICVSTLMQSLAQYSAAVIQLPPSESALLRSGLDSARLFFHQRGYNPSEAVHSDDAREWCKTSGYYVDPQMWLETYDYRPGVTVTDRSGAMELPPSGLPDIFAVLGKVSRDILDAISFSLNLRSCAFTDILDNIPLRSQEVSSSVLSACCHSRPSFEVQQHSIASQDDGQLLIFSDQDQQADKTLLTLVKSDRSGLYVKDMHGHWILLDGDLGPNDVVVYPGLALYQETAGYVNPAVYKTEVGNLQGCMFGRCSLIFKLMPRSVARLSGSEMRAAGHGVDAQFQVPISVNDFMQTGHSVDQLFPKDDEPSPHAEQDSATFNSAMKKKNGSTRTKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNIKECESHIRSLDSPCENIRTEIGWPQGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQETESQPQ >KQL25859 pep chromosome:Setaria_italica_v2.0:II:41008301:41010539:-1 gene:SETIT_029753mg transcript:KQL25859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAGSILASLGRVRLVDLAACEGLPTENYKICVSTLMQSLAQYSAAVIQLPPSESALLRSGLDSARLFFHQRGYNPSEAVHSDDAREWCKTSGYYVDPQMWLETYDYRPGVTVTDRSGAMELPPSGLPDIFAVLGKVSRDILDAISFSLNLRSCAFTDILDNIPLRSQEVSSSVLSACCHSRPSFEVQQHSIASQDDGQLLIFSDQDQQADKTLLTLVKSDRSGLYVKDMHGHWILLDGDLGPNDVVVYPGLALYQETAGYVNPAVYKTEVGNLQGCMFGRCSLIFKLMPRSVARLSGSEMRAAGHGVDAQFQVPISVNDFMQTGHSVDQLFPKDDEPSPHAEQDSATFNSAMKKKNGSTRTKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNIKECESHIRSLDSPCENIRTEIGWPQGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQETELSFTEP >KQL25860 pep chromosome:Setaria_italica_v2.0:II:41008301:41010539:-1 gene:SETIT_029753mg transcript:KQL25860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAGSILASLGRVRLVDLAACEGLPTENYKICVSTLMQSLAQYSAAVIQLPPSESALLRSGLDSARLFFHQRGYNPSEAVHSDDAREWCKTSGYYVDPQMWLETYDYRPGVTVTDRSGAMELPPSGLPDIFAVLGKVSRDILDAISFSLNLRSCAFTDILDNIPLRSQEVSSSVLSACCHSRPSFEVQQHSIASQDDGQLLIFSDQDQQADKTLLTLVKSDRSGLYVKDMHGHWILLDGDLGPNDVVVYPGLALYQETAGYVNPAVYKTEVGNLQGCMFGRCSLIFKLMPRSVARLSGSEMRAAGHGVDAQFQVPISVNDFMQTGHSVDQLFPKDDEPSPHAEQDSATFNSAMKKKNGSTRTKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNIKECESHIRSLDSPCENIRTEIGWPQGVPFVHPHDLPNKAKLGFLEAYEPGWTASQQETELSFTEP >KQL25526 pep chromosome:Setaria_italica_v2.0:II:38902243:38903613:-1 gene:SETIT_029879mg transcript:KQL25526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACCNPLLLHGVAALLERLEVVLEDGDEGVDAAVADVGRHEEQHPLRGEVGRHEVGRAPRPEVQLVERERQPAVHLHVRAQHVLAHGARRQAFPLDGAGAREVDERPEVGAVHAGHLVVDHLRRVLQRPAQEVRHRRRRERPQDQVAEVLGGEAQPVAPIHGQPDLRGLVGVQAAGARHGAPQVGEDGLEPAERAAAALRRPAPERRDEVLGEVRLVDDDVLGALAVAVVRLAAGGAVLPAAVVVLDARRGAGLEEPRLVEVAGGVAVGGDVVGGEADGEGAAAEPGELEEHHLLELLALQVRVQAQELRGGLGGRHVHEVGVHGRLHEARAAVVEEHRGGAVGGRAQLPREGAVLGQHRGEALLDRRRRRCRRREGVDDGHLHLVRHPVEDGQWDVLAPRRRGRAGIVGGPHELGAQHLHLHCCRRSSCLPASSG >KQL22956 pep chromosome:Setaria_italica_v2.0:II:6866287:6868107:-1 gene:SETIT_029562mg transcript:KQL22956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFAVSKPGGADRREFKGKITWYVWICGIIAATSGLMFGYDIGISGGVTAMDDFLVRFFPSVYARKHRARENNYCKFDDQRLQLFTSSLYLAALAASFVASRACTRLGRKRTMQAASVFFLGGSALCACAANLAMLIVGRVCLGVGVGFGNQAAPLFLSEIAPAHIRGALNILFQLNVTVGILAANVVNYFTSNVHPLGWRYALGGPPRPRRCCSSTPTSLVERGHPDAGRRTLEKIRGTADVDAEFDEIRSACDVAAALNAEEKPYRRLLRRESRPPLVIAIAMQVFQQFTGINALMFYAPVLFQTMGFATDGSLLSAVVTGGVNVVATVVSIVLVDKVGRRKLLLEACAQMLVAQTAVGGIMLAKVKADTSPSSGWAVAIVVLICIYVSSFAWSWGPLGWLIPSETFPLETRTAGFSFAVSSNMLFTFLIAQAFLSMMCTMRAYIFFFFAAWIVVMGTFVLVLLPETEGVPIDEMVERVWRRHWFWKRCFGDSANEARVNNC >KQL22783 pep chromosome:Setaria_italica_v2.0:II:5256498:5256740:1 gene:SETIT_033792mg transcript:KQL22783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAPYLEDQVLSEHSEELPQASTSVLGSKNR >KQL26493 pep chromosome:Setaria_italica_v2.0:II:44741716:44744115:1 gene:SETIT_033371mg transcript:KQL26493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMLTLPLPLRAPAPPPRPTIPNPIITHRQPHTSSSPPRLFSPTSLLSTSRPTPTSSSRKPRLGRPLDPSRGGTGGDDQPWHLPPSLSLPARRALLALLADPSEHFSPRDILSALPENDLAAVVNALASRAGHPGVALAAVRAARDLHGDRALHQPRVLPAAVRVLARAGRLSEAAALLEAAPAPDASAYTALVSAFSRAGRFRDAVCVFRRMVDSGVRPALVTYNVVLHVYSKMAVPWKEVVALVDSMKEDGVAMDRYTYNTLISCCRRRGLYREASQVFDEMRAAGFEPDKVTFNSLVDVYGKARRHEDAIGVLKEMKNAGCPPSVVTYNSLISAYVKDGLLEGAVELKQEMELKGIKPDVVTYTTLISGLDRVGKIDAAVGTYTEMVRNGCSPNLCTYNALIKMHGVRGKFTEMMAVFDELRAAGYVPDVVTWNTLLAVFGQNGLDSEVSGVFKEMKKAGYIPERDTYVSLISSYSRCGLFDQAMEIYKRMIEAGIYPDVSTYNAVLSALARGGRWEQAEKMFAEMEDRDCKPDELSYSSLLHAYANAKKLDKMKALSEDIYSERIEPHNWLVKTLVLVNNKVNNLSETEKAFQELRRRRCSLDINVLNAMISVYGKNRMVKKVEEILSLMKENCINHSAATYNSLMHMYSRLGDSEKCETILTEIKSSGMRPDRYSYNTVIYAYGRKGQVKEASRLFSEMKCSGVKPDIVTYNIFIKSYVANTMFEEAIDLVRYMVAQGCKPNERTYNSILQGYCRHGRMVEAKSFLINLPKLYPGISKQEKQRLLELWAKHTS >KQL22125 pep chromosome:Setaria_italica_v2.0:II:82335:82518:-1 gene:SETIT_033708mg transcript:KQL22125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDELMMVWSRGHCIYSSVRQSAGRKLVLISDF >KQL23386 pep chromosome:Setaria_italica_v2.0:II:11867185:11868823:1 gene:SETIT_031265mg transcript:KQL23386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFEAITPHPLITLSPSDAEVATHLSRERVPVPPPMASTNMASATSRFMLAAGVPATGISGNGSGRVSFAPVPNRLGRRLVVRAEEEAAAPAEPAAEGEGAVATKTKAEKPPPIGPKRGAKILRRESYWYNGIGNVVTVDQDPNTRYPVVVRFNKVNYAGVSTNNYALDEIQEVK >KQL23384 pep chromosome:Setaria_italica_v2.0:II:11867273:11867680:1 gene:SETIT_031265mg transcript:KQL23384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFEAITPHPLITLSPSDAEVATHLSRERVPVPPPMASTNMASATSRFMLAAGVPATGISGNGSGRVSFAPVPNRLGRRLVVRAEEEAAAPAEPAAEGEGAVATKTKAEKPPPIGPKRGAKVNHISTIIRVLNCW >KQL23385 pep chromosome:Setaria_italica_v2.0:II:11867273:11867841:1 gene:SETIT_031265mg transcript:KQL23385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFEAITPHPLITLSPSDAEVATHLSRERVPVPPPMASTNMASATSRFMLAAGVPATGISGNGSGRVSFAPVPNRLGRRLVVRAEEEAAAPAEPAAEGEGAVATKTKAEKPPPIGPKRGAKVKILRRESYWYNGIGNVVTVDQVTILTPPESKAPQ >KQL23387 pep chromosome:Setaria_italica_v2.0:II:11867185:11868823:1 gene:SETIT_031265mg transcript:KQL23387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFEAITPHPLITLSPSDAEVATHLSRERVPVPPPMASTNMASATSRFMLAAGVPATGISGNGSGRVSFAPVPNRLGRRLVVRAEEEAAAPAEPAAEGEGAVATKTKAEKPPPIGPKRGAKVKILRRESYWYNGIGNVVTVDQDPNTRYPVVVRFNKVNYAGVSTNNYALDEIQEVK >KQL25021 pep chromosome:Setaria_italica_v2.0:II:35302198:35307356:-1 gene:SETIT_028891mg transcript:KQL25021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAALACLRRNPKRRRDAPDHALPPSSKQPLMAHAGADAAESSSSASASASGADGASAAAAAAAASPPPAWPREAHFFVRATDSRTIAMHAGWDDTVGAVLDHLGACGYGRDLRLLYAGRQLAPEATLAELGLPQDSTLHLAARLRSTQHPHAWQLAAHIAATAGGAESGAACVPAAAFSLDELVKEFILRAHRANLGLSRHDRGSPGEDRATADHAAEYLDIFLQAGAALSLVRLYLSKSPFRSYAERAIKCFIATDPSSLPMDVLVLTAPVLLEFCRLLSLAAGRRESLYRLCRRSLASVLSNRPRLPPSMNSSTRLIEQILPFAREAVDLVLEGLASEPMVVSPIDLDEFSNFFKVMCQQARLWISGEGPMPRNLYSRESEHGNTWIWRFHNMSMDMLKRLDECLKKLEMDLSLSSESTGVMESQTVWAARSFILVVLTELDFISAIYEDVGHTLQLVLMAHRAPLNALVRCSKRNEHLHWLAKHKNLLCFEARRNLVFMMLPEGKDDFGELHEMLIDRSHLLDESFNYIAQAKHNELRGGLFMEFKNEEATGPGVLREWFCLVCQALFSPKQVLFSPCPEDKRRFYLNETSAVDPLHLKYFTFAGRIIGLALMHKVQVGIVLDRTLFLHLAGRSITLEDISVADPVKYASCKRILEMNAAEIDDLYLTFSRGAHELGTREIIDLCPGGQDISVNIRNREQYIDLLIKNTFVDSISAQLTHFTQGFTDILVNPERRKDFFECLDLEDLDRLLGGSNDTINLQDWKSHTQYNGYKEKDRLITWFWKAVERMPIEQQRQLLFFWTSVKYLPSEGFGGLSSKLYIYKTSDSPDRLPSSQTCFYRLCLPPYTSSKMVENQLQKITQEHVSCSFGTW >KQL25206 pep chromosome:Setaria_italica_v2.0:II:36641701:36646464:-1 gene:SETIT_029595mg transcript:KQL25206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKAVDDVMEAAVGAHFSGLRLEALRLSSPSAPSSPSSARASAGAAAAAHSNGTVYANGTAAAAAVELASPPAARQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESAHAQDYNFDHPDAFDTEQLLECMGQLKRAQPVNVPIYDFKKHRRCSETFRKVNASDVIILEGILVFHDQRVRNLMDMKIFVDTDADIRLARRIRRDTVERGRDISSVLDQYGRFVKPAFDDFVLPSKKYADVIIPRGGDNHVAVDLIVQHICTKLGQHDLCKIYPNVHVVQSTFQIRGMHTLIRDRDITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVITPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLVYHKLPMDIAERHVLLLDPVLGTGNSANQAIDLLIRKGVPEDRIIFLNLISAPEGIQCVCKRFPLLKIVTSEIDYGLNEEFRVIPGLGEYGDRYFGTDN >KQL25753 pep chromosome:Setaria_italica_v2.0:II:40256396:40256725:1 gene:SETIT_033195mg transcript:KQL25753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSLKLTEIVSKKLGGGKVTSPSAAPCPRGHFAAYTRDGRRFFIPIAYLGSETFRELLNMAEEEFGAPGGRPIVLPCSADRLEQILDAFRSGGGAKKKCAGGRISKIW >KQL26819 pep chromosome:Setaria_italica_v2.0:II:46475229:46475725:-1 gene:SETIT_033592mg transcript:KQL26819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSHPAAAAAASNRESNSRILGKISNFQQTNCRSSTI >KQL26820 pep chromosome:Setaria_italica_v2.0:II:46475933:46478165:1 gene:SETIT_031122mg transcript:KQL26820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGYSYSPSPPRGYRRRARSPTPRDYNGDRGRDLPTSLLVRNLRRDCRPEDLRRPFAQFGRVKDIYLPRDYYTGEPRGFGFVQFYDPEDAADAKYYMDGQIVLGRQITVVFAEENRKKPQEMRARDRVRGRSYDRRYSRSRSPPYSRGRSPSRSYSRSPSPPNPKHRLRERSYSRSPVDSRSRSGSPYEERYRRSSPRERSLPVSG >KQL22617 pep chromosome:Setaria_italica_v2.0:II:3898382:3899349:1 gene:SETIT_031155mg transcript:KQL22617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSSALGLQGVAMAVAALLVLVAAPPRCAAQAATSGCTVSILSLAPCLSFTAGNTSSPGASCCSALAGVVRAAPRCLCAVLGGGAAASFGVTVNATRALELPGKCKVQTPPVSQCNAVGAPAASPPTAATPDAGSASPSAPAATAEAPTAPPPVYSTTGAGSKATPATGAVLSHAGVAKSAAISVSFVVAIASMMAF >KQL24439 pep chromosome:Setaria_italica_v2.0:II:30107951:30110568:-1 gene:SETIT_029802mg transcript:KQL24439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLASPSLSLHAIPGRARLAAAGAPGRRRRMAVVRAKVREIFMPALSSTMTEGKIVSWTAAEGDRVAKGDPVVVVESDKADMDVETFHDGIVAAVLVPAGGTAPVGAPIALLAESEEEVAIAQARAQALSQGQSHEPPPPHAAAAPPPPPPAPVVAPATKGVASPYAKKIAKQHRVDIAGVVGTGPHGRVTAADVEAATGIKPKPKVAPPPPPRPAAVRPAPPGAVLPPVPGGKVVPFTTMQAAVSRNMVDSMSVPTFRVGYSMITNKLDALYEKVKSKGVTKTVLLVKAAAMALTQHPVVNASCRDGKSFSYNSNINIGVAVAIEGGLLTPVLEDVDKLDIYLLAQKWRVLLKKARTKQLQPNEYNSGTFTLSNLGMFGVDRFDAILPAGQGAIMAVGASKPTVVVDKDGFFSIKNEMLVNVTADHRIIYGADLASFLQTFAKIVEDPESLTL >KQL24508 pep chromosome:Setaria_italica_v2.0:II:30913703:30914065:1 gene:SETIT_033170mg transcript:KQL24508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCYALRLAATCMLLLCVGSSDLLARPALASSPPPPSRDDQEQAAVAGELLLRELVEHDVAEELGLLEGQHGDGNVGDICPSSCQTCLVVCAATCVLSKVPIACFANCTVSSSCFGKTVM >KQL24193 pep chromosome:Setaria_italica_v2.0:II:27313680:27323722:-1 gene:SETIT_030687mg transcript:KQL24193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAVARLIRSSSASASTSRLSSSPVLLKTGNVFFSSATPSDPKHVEEPFKVEEAETVKVTPPSPDKLLVLGGSGFVGSHVCKEALDRGFVVSSLNRSGKPSLSESWADKVIWNQGNLLEPASFKDAMDNVSAVISCVGGFGSNSFMYKINGTANINAIKAAAEKGVKRFVYVSAADFGLVNYLLQGYYEGKRAAEAELLSKFTYGGVILRPGFIHGTRRVGSVKIPLGLVGAPMQMVLQNAKPLARLPLVGPLLTPPVSVTSVAKVAVRAATDPVFPPGIVDVHGIMRYSEQK >KQL24597 pep chromosome:Setaria_italica_v2.0:II:31635174:31637243:-1 gene:SETIT_032329mg transcript:KQL24597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAFGDFGPLTERRKAEKARQQRRRIMIAVGTVSIVVVLIVAGSFAVMYSGKSSSEGHSKSSSGSKGSSSPAKGKSSGGGSHSASPSSSDSDSGSDSGSSDSQPDLKAVSKSIKAMCSQTDYTDACEKSLGKAATANATSPKDIVRAAVEVIGDAIGQAFERADLIMSNDPRVKAAVADCKEIFGDAKDDLNRTVKGVDAKDGIAKDGYQLRIWLSAVIAHMETCIDGFPDDDFKVKVKDSFTNGKELTSNALALIEKGSSILSAIKGSSKRRLLAEDEGAPASRAEPALDEDGIPEWVPDGERRVLKGGGFKSTLTPNVVVAKDGSGKFKTINEALAAMPKSNNGRYVIQVKEGVYEEYVTITKAMVNVTLLGDGSKKSIVTGKKNFVDGITTFKTATFTAQGDGFMAIGMGFQNTAGPEKHQAVALLVQSDKSIFLNCRMDGFQDTLYAHSKAQFYRNCVISGTVDFIFGDAAAVFQNCILVLRRPMDNQQNIATAQGRADAREATGFVLQKCEFQAETALRDAARPPIKNYLGRPWRECSRTLVMESELPDFIDKAGYMPWQGDFALKTLWYAEYGNTGPGADTAGRVNWPGYKKVISKADAAKFTVENFLRAQPWIDPTGTPVKYDLFT >KQL24543 pep chromosome:Setaria_italica_v2.0:II:31169900:31171943:1 gene:SETIT_030988mg transcript:KQL24543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQNIFITQCFLSLIQNLATYSKSLEPSVPPISASPTQICWATTHPKTVPRAWSTGQEARNPNLSPSFLLPRPSSTAPEAEPLRLMQTPATTCGAHAPAFAPFPRHAAPPGSFRKPCPGSSRRAPGLRLVAPMASTVNSPGSSSDFAKRIERAWLISQLCRVWCCIQQINPHSKAYLIC >KQL24544 pep chromosome:Setaria_italica_v2.0:II:31169900:31173070:1 gene:SETIT_030988mg transcript:KQL24544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQNIFITQCFLSLIQNLATYSKSLEPSVPPISASPTQICWATTHPKTVPRAWSTGQEARNPNLSPSFLLPRPSSTAPEAEPLRLMQTPATTCGAHAPAFAPFPRHAAPPGSFRKPCPGSSRRAPGLRLVAPMASTVNSPGSSSDFAKRIERAWLISQQPRPISCSSCQSAGHVECKWCAGTGFFILGNNMLCEVPSRNTTCVICSGKGIASCADCQGTGFRAKWLEEPPVDK >KQL27148 pep chromosome:Setaria_italica_v2.0:II:48090120:48090656:1 gene:SETIT_032013mg transcript:KQL27148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRGLLLVIGVAVAIVLASGAPPVQPPRIQADVVVMGFVPCNNGTSMRTGSAPGFAGPRAVVQLQCTDGADLAANATTDGKGRFRMAVNTTVAPSSVASYCDLVVDTPLASCNPALPATGMLQSDLRLLVSMVFFPRGFSYVSAPSTD >KQL23732 pep chromosome:Setaria_italica_v2.0:II:17081024:17082544:1 gene:SETIT_032499mg transcript:KQL23732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPSPPPPQLLASIAEAAGEDRTADLPEDLLALIFGLLGSRERKRCSLVCRRWLAAEAASRLRLALDARAPLLADSALPRLLARFPAVSKLALKCDRRAESVGDPALALVADRLGPGLRRLKLRSLRAVTDDGVAALAAAAANLRKLSVGSCAFGAKGIEAVLRCCLQLEELSIKRLRGLADSEPIAVSGPRLQSLSLKDLYNGQCFSCLITQSPNLKTLKIIRCSGDWDLVLQDVPKDSILSELHLEKLQVSDRGVAALYGLEVLYLAKAPEVTDVGLAALAAKSPRLRKLHVDGWKANRIGDRGLAAVAQKCASLQELVLIGVNLTYSSLELIAANCPTLERLALCGSDTFGDAEISCVAAKCAALRKLCIKACPVSDVGMDKLAEGCPRLVKVKVKKCRRVTSECAERLRASRNGALAVNFDTPGGAGELQDARSIDESGVLDNAGSDVPPEDLDDRIGPDISSGSSGRPSRWKARMGALMPRSLSVSIFRRQSHGASYTSHES >KQL23464 pep chromosome:Setaria_italica_v2.0:II:13776022:13777485:1 gene:SETIT_032171mg transcript:KQL23464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHFSGGMILVNTCEDPFGDLYPKGVWGEFKKRVSSEISRNVVALACFKGETRFFACTGVFIDYDDEYPKILTSASLIRDRNVPNKIVEDLRIDVWLPSKKCRVIGTLKHYSLHYNVAVVNVDNHHALCPMNLEKCPVNHHDSMLYKSTVVAVGRIFQSGTLMAASGKLTLGSSRLDCKVLWYSTCKISKVGIGGPLVDVDGNFIGMNFHRMCGNCQKIGTPYMYREDLCRILEFLKTKKTTEFSFGDTVRGDEKPINEWPVPDPYWCDPSDVEDDMNDKQEVVAGGCPVRYILGSKCVLK >KQL26054 pep chromosome:Setaria_italica_v2.0:II:42080666:42085515:1 gene:SETIT_029815mg transcript:KQL26054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKWGLSSGTPADSYYEVRSDCTDDVPKSKFKIKAGKTLSARKWQAAFSADGCLDIASVLRRIQTGGVHPTVRGEVWEFLLGCFDPRSTFDEREEIRQIRRLQYARWKEDCREMDSHVGSGKIITAPLITEDGRAIKDPLVLLEATSDKNTSEGTPATRRNEIEVDESAERITDKQIIEWKLTLHQIGLDVLRTDRTMVFYENKENLSKLWDILAVYAWIDKDVGYCQGMSDLCSPMIVLLKDEADAFWCFEKLMRRLRGNFKCTDQSVGVANQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRREVSFGDSLYLWEMMWALEYDPDIFFAACEEAGAVHKNKVSKSKLRGLRHFGKWDKDKDKENTKNGSDDGEDGPVPISVFMVASVLKEKREKLLQEARGLDDLIRILNDVNGNLDAKKACAGALTLHKKYLKKVQAKKP >KQL24591 pep chromosome:Setaria_italica_v2.0:II:31590305:31591217:-1 gene:SETIT_033730mg transcript:KQL24591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVNYSTTLIRIKRWLRWNMEYCVLLKAQWLCF >KQL24974 pep chromosome:Setaria_italica_v2.0:II:35128988:35130080:1 gene:SETIT_031675mg transcript:KQL24974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADQFLSISEGGLGGEAVYCAVILWLSVVSWIIFSWVGGDEDGMRRGRRRRGSRGSPVFVGAAGICDGTGPGCSGGYGICGTCID >KQL26162 pep chromosome:Setaria_italica_v2.0:II:42706088:42706897:1 gene:SETIT_033822mg transcript:KQL26162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIVSRFSDQWASVTSWRVGGSFLSGFGEGGGEMERLKFDGVLELEACGRLILAVGVGEID >KQL22713 pep chromosome:Setaria_italica_v2.0:II:4736392:4741551:1 gene:SETIT_033110mg transcript:KQL22713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWWARAPAPAVLAAAAVLWLLAAAAAGDADAGDLERAFPIVKPDYSHTKLRLAKEGLEAIQRIKTPIAAISVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWVWGTPIELDIDGSIVSVIYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVEIAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVDEALQRVPNNNGDKYIDEINQIRDSLAVMGDNSTAFSLPQPHLQRTQLCDLEDQELDPLYLKRRDQLKQIVSSMIKPKIVQGRTLNGTEFVSFLGQILEALNKGEIPSTGSLVEVFNKAILERCLKVYNERMGRAGLPVSVDKLQQFHDLAKDEARRLFDKQHFGKHHAAQSIFKLDEEIKKIFRNFGQANEYQSSKLCEARFSECEDKMEHLQVLKLPSMAKFDAGFLLCNQSFEMDCVGPAKESYQRRMSKMLARSRALFIKEYNNKLFNWLVIFSLVMVVIGRFVVKFFLLEIAAWVMFAFLETYTRMFWSSESLYYNPVWHIVVSTWETIVYSPILDLDRWAIPIVVVLWFLAIYWRCLGGRKGIARSLLPLYNGSYRSSNRPRTD >KQL22318 pep chromosome:Setaria_italica_v2.0:II:1643605:1645156:-1 gene:SETIT_029867mg transcript:KQL22318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRRWSRSVLISKVRDPVRAGDVNSYTPHSVPIGPYHASCSSPRIEKEKLCCVGFFQSLSEDQTKGGLTGLAEKLEPLARACYPDGVGHMTPEELSTMLLRDGCFLLACMVDYADNKLAHSSTSKDRDPAQTVGGDVQDGGSFSGGDNNTVVRDTVFLVENQIPFFVLQKIHERVTGDTTSSALESIADYVQEVLQVQLYISKHRRPAPPLTPPTLHLLHLLHFYLQPTSPPPAMEENAARPRTGRWRRATEYCKYGNVRFKRRVFQDNEKWTFLDVRLQGGTLWVPRLRVDNMTWTVLRNLMALEEQISRRPVTAYCLFMSQVAGTVEDVKLLVHSGIVEHFLASDEQVAQDFADLCKGVVMDVDNIDRNYLMPMWHEMQERCENRVHRFMGWFCQFKNLVIIIVLLVALIIIACQVTQTFYAVSSSRGGQPPKH >KQL22181 pep chromosome:Setaria_italica_v2.0:II:406492:407952:-1 gene:SETIT_032261mg transcript:KQL22181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLSAVLGELTTRSIDFFIKKSFKPKALDVEDRLHMILLRAQVIVDEAMGHQITNQSVLQQLDMLRDAMYRGCYTLDTFRYQVHSEEEAKGQVVSHSLSLSKVNSLQGLCSSSRNPQISKQLNKSLDDLSSMILGVEELVVFLASYPRLYRQPYSMHLVLGNCMFGRQMETQFVINFLLHARPHGSKELDILPIVGPGRVGKTTLVAHVCEDERIRDHFSEILFLRDHDFTGVDLARVREGYAMEYKSCVSNSNDGRLLVVVELVGDLNEDAWNRLYSAYIQDVPSSTKIIVTSRSDKIIKFGTTRALSMNYLSHEAYWYFFKTLTFGSTDPMMHPRLACLAMEIARMVKGCFISANIYACLLRANFDIQQWCKVLAFVRGQINKNISNFGEHPFDLINQKRPAYMGRMVTPSQYVVLHCENECSKQEELPMIKLEDVFYGSIKVHGKFEMLGWRSRIPPYHSYVDICEFQEVKTTSAKRKRSV >KQL25873 pep chromosome:Setaria_italica_v2.0:II:41058319:41062402:1 gene:SETIT_031115mg transcript:KQL25873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVDKRQVSIEEGEGKAKDLGVMFIETSAKAGFNIKALFRKIAAALPGMETLSSAKQEDMVDVNLRSGNANSSQSQAQAGGCSC >KQL23043 pep chromosome:Setaria_italica_v2.0:II:7976711:7976836:1 gene:SETIT_032808mg transcript:KQL23043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALQEATEAYLVGLFEDTNLRAIHAKRITIMPKDLPLLKN >KQL24116 pep chromosome:Setaria_italica_v2.0:II:26328407:26332955:1 gene:SETIT_029260mg transcript:KQL24116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRMVKTSASFHPCLCVSSRAHGGRSSMSVSARTSSATSRSSGPKKPLFGELLGHLSWKMDEASKVLKDVPQRFLDALVDSIFKFTDEPLNPSESNFAPVDEISEAVEIYQIEGAIPEDFPEGVYIRNGSNPLFGALHSTASIFGKSWEIWVEGEGMLHALYFTKNTSGSWSVRYANRYVQSKTLRLERARQKPCFLPAIEGDSAAIIAAYIFNYMRFGKVNKDISNTNVFEHAGRVFAVAENHLPQEICTGNLDTGDTWDIGGEWNRPLTAHPKVAPGSGELVIFGTDAKKPFLVIGVVSADGTRLKHRVDLKLDRCTLCHDIGVTLKYNIIMDVPLTIDIIRLIKGGPLIQFEKESYARIGVMPRYGDADSIIWFNVEPFCMFHLINCFEEGDEGLRSPDSLIPGPRLAHNEYDSKISEPAEDNKSMKQGTSNEFSFRLYQWRLNLKTKSVSGEYLTGTEDSLELPMINNMYTGLQHSYAYAQVVDSLTSSSGNCEKVNPKYRGFAKFFLKKRNSTEIAGSSLIKMHYHWLGKDQFCSGAAFVPRVGGSHEDDGWIISFVHNEKTNTSQVHIIDAQRFEDAPVAKITLPRRVPYGFHGTFIHR >KQL24758 pep chromosome:Setaria_italica_v2.0:II:32878770:32881290:-1 gene:SETIT_030023mg transcript:KQL24758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRITVSYSGYVVQNLAASLGHRFCSASASATGGRLLHDGAWRPFCIFTSTRQPEQHRSGGGGNDRHDGGDHNHPKPQAIAAGAHSLLLTRACLSSKSPPPSLAVGLLSVLTQATGPTAGITGSAAPLSGSSSISLGFNPTSFLPFLQTAKWLPCSDLATSSSSAPSSPPRSLAPSPSSKKALIGGASSGAGASGPAGIATSSGASAAMSRSNWLSRWMNSCSDEAKTAFAAVTVPLLYGSSLAEPRSIPSKSMYPTFDIGDRILAEKVSYIFREPEILDIVIFRAPPALQAYGYSSGDVFIKRVVAKGGDYVEVRDGKLLVNGVVQDEEFVLEPHNYELGPLLVPEGYVFVLGDNRNNSFDSHIWGPLPARNIVGRSLLRYWPPSKITDTIHDYEPDAARHMVPS >KQL24124 pep chromosome:Setaria_italica_v2.0:II:26514703:26515821:1 gene:SETIT_032005mg transcript:KQL24124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIPDDLLELILLFISSSVVLVRAASTCKQWRRIIAKSNFLRRFRCLHRPPVAGYYYDSKRFSPSSPSVVDGCHFSLDFLPDSSLYPSAWSWRIVDSRGSLLLLDHYNHKDGSRDMVICEPVTKRFQEIPLSTVSARRDNTVFLLDGSDVRPDGISMSSFRVLCLADYGNRIHAEVFTSGDSWKETSTWSRSMNIIGVAMGSIYWYTGGRKVVTLEQSSAEFSSFKLPEIEDWDRHYHRLAVTAGHDGMVRIVVGVTGGDMKVFARQPGGSCEWVLKKRIPLLMAIRSLPWREPWYFNQLPISYHRTGAVVIITTGVSLSPRLTTPLMFRLDIETMALERMPDRNMGIAYPCELPWPPIFRGCTDDGDSAT >KQL23116 pep chromosome:Setaria_italica_v2.0:II:8462638:8464367:1 gene:SETIT_032122mg transcript:KQL23116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYSSLSAACFRLFRSYDMLYDKGYLPAQESNLLLPVKELLPLQVRDLFDPSKLPNKEIGLKLLNLAATETTARSYGAIINTFEALEAHDFCHSTCIQWLDTQDSGTVLYVSFGSVVHVTQDEFTRRFLWVVRRGLELGVEKPELPEGFESAVKGWRKVIEWAQQQEVLAHSAIGGFWTHSGWDSTLESIYEGVPMLSRPHFGDQPATGRYVEDRWEIGILVEVFFLKMDKYRASATAPSKILQYSILSTSTDHTNNEIVWKRHKEIKSEVYYCFSIHSW >KQL24986 pep chromosome:Setaria_italica_v2.0:II:35170775:35171247:1 gene:SETIT_033436mg transcript:KQL24986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFASHGSYLRDNQLRIEYYKTCKTLLRAVRIFIHFLKKFKKQRGKDNDDLGAIKRRFLLWTHH >KQL25273 pep chromosome:Setaria_italica_v2.0:II:37041033:37041185:1 gene:SETIT_032935mg transcript:KQL25273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNACKYSMELYRAGLDSCFLFVCFRYYLGITSVLKLVDLVLGVDTVCCKA >KQL22730 pep chromosome:Setaria_italica_v2.0:II:4923547:4929488:1 gene:SETIT_028691mg transcript:KQL22730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEESIENSKLENGSQDAAKEVHHGVENGDGHVTRKRPRRAAACSDFKEKSIRLSEKTSVVKVKKNRMEEEEIDAVNLTKLGPENPPPCRKLIDFILHDAEGNPQPFEMSEIDDFFITALIMPMDDDLEKERERGVRCEGFGRIEDWNISGYDEGTAIPEDDDAKLARLLQQEEEWKMMKKQRGRRGTPSQKNVYIKISEAEIANDYPLPAYYKPANQEMDEYIFDGDDSIFSDDVPVRILNNWALYNADSRLISLELIPMKSGAENDVVIFGSGFMRDDDGSCCSTAESVKSSSSSSKADQSDAGVPIYLSPIKEWLIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFADVIKKVAEFDKGNPAFISSNVALVERYIVVHGQIILQQFADFPDETIRRSAFVSGLLLKMEQRQHTKLVMKKKTQVMRGENLNPSAAMGPASRRKVMRATTTRLINRIWSDYYAHHFPEDSKEGDGNETKEIDDEQEENEDEDAEDEGQVEEENISKTPPSTRSRKLLSQTCKEIRWEGETSGKTSSGETLYKCAYVRELKIAVGGTVALEDDSGETVMCFVEYMFQKIGGAKMVHGRMLQKGSQTILGNAANEREVFLTNDCLEFKLDDIKELVNVDIQSRPWGHKYRKENSEAEKVEQAKAEERKRKGLPMQYFCKSLYWPEKGAFFALPCDKMGLGSGVCSSCDHIEADSDELKVLSKTSFIYRKITYNVNDFLYVRPDFFSQDEDRATFKAGRNVGLKPYAVCHLLAIPEGAGSKKFNPASTKISARRFYRPDDISSAKAYASDIREVYYSEDIFDVPLDMIEGKCEVRKKNDLPSSDLPVLFEHVFFCELIYDRATGALKQLPPNVRFMSMVQKTTGALKKNKGKQICETDHVDSGKWVDVPKENRLATLDIFAGCGGLSEGLQQAGVSFTKWSIEYEEPAGEAFSKNHPEAVVFVDNCNVILKAIMDKCGDTDDCISTSEAAEQAAKLPEENISNLPVPGEVEFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFVSFNKGQTFRLAVASLLEMGYQVRFGILEAGAFGVAQSRKRAFIWAAAPGETLPDWPEPMHVFASPELKITLPDGQYYAAARSTAGGAPFRAITVRDTIGDLPKVENGASKLTLEYGGEPVSWFQKKIRGNMMALNDHIAKEMNELNLIRCQHIPKRPGCDWHDLPDEKVKLSNGQMADLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYEFAGNIQSKHRQIGNAVPPPLAYALGRKLKEAVDAKRQEAGVAAPAP >KQL25275 pep chromosome:Setaria_italica_v2.0:II:37082622:37083118:-1 gene:SETIT_033459mg transcript:KQL25275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAVGCGVVLLLLAAVLVQAALAEAVTRADFPPGFVFGVGSSAYQVRCVAHTLPIASHDRSCTLLIRLPWRMCAGVYY >KQL26196 pep chromosome:Setaria_italica_v2.0:II:42970569:42972903:1 gene:SETIT_032435mg transcript:KQL26196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLPSRRVAARHRTVALVRCEGGGMRRRTGQGVEPTLLRCSSPVRCRGSRPARRSSLPRVRGDRRPDRMRPGGQAALSPPRRRILPRLEAPPPPPVLSEDLLEDILLRVASPADLARATAVCRSFRRLITNPSFLRRHRSLYPPLLLGIFCDGIQPAAAPHPNAPAARSLANHAGFSFDYLPGESWQLCDVRDGRVLLERLLEHDEDSVVFSPELAVCDPVHRCFRLLPPIPQDLIAHVQVQGNKARYFEAFLVPSGHQEETSFKVIGRTHCEEKLAVFIFSSDSNLWRVGTSTSWADLGLTTPPDDFVLGWSQYEHGCFYCKIIWRDKLLKLDMNSMEFSTVDLPPGDNDEREVVIVEEGEEGRLGLFVLPRDGASVYYFTGMESKGEEENEWLVENTILLPRNCNIVGAFEGYIFLQGVQEDQGRVAAVCFSLEIKTLKIERINSIDILFVHNHPYFGYPPFMSPRRTEVVGLLS >KQL22407 pep chromosome:Setaria_italica_v2.0:II:2384943:2385834:1 gene:SETIT_031328mg transcript:KQL22407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASALFATALVMALMLAGSSTSHAARHLADTTPAAAPAAVPGIPAIPKPPVPTVPAAALPPMPAVPTMPAAAGMPPIPAVPTVPAVTVPPMPTVPAVPAATLPPMPAVPTVPNAALPPMPPMPAVPKVTLPPIPAVPKVTLPPMPSIPSAVPMPFLAPPPSA >KQL24141 pep chromosome:Setaria_italica_v2.0:II:26679793:26681025:1 gene:SETIT_032723mg transcript:KQL24141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFDSSSTTISGRGKNKRKWTVAEDDELVKSLYEISLEPRWKGEGGFKNGYCLVLETHLAEKLPNCGISDVPHIESRVRHFRTKFRAVEVMLNKSGFNWDENRKMLYEGGNHQEEEEDRMSRETPRRSTDSPSSSLKRRKTDRNGKDHEVLHEVQGDLKGVATNMRKMAAVMECEVTVQEKNSKEDHQQKLREKATVELRKIGFTGSEQVKAASVFVRIPDQMSMLLTVDETLRREFFLNMLS >KQL23155 pep chromosome:Setaria_italica_v2.0:II:8780053:8782581:1 gene:SETIT_030911mg transcript:KQL23155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAPGGKGAGEGGDKAEWLIYAFVARGTAVLAEYTEFTGNFPSIAAQCLQRLPAGSSSASPGGSGAPARFSYSCDRHTFSFLLHRGYAYCVVAKESVPKNVSVAFLERLKDDFMKRYGGGKADTALAKSLNKEYGPVIKQHIQYVLEHSEELDKTLKVQAQVSEVKNIMLDNIEKTLGRGETLSELQDKTSDLRSQAQEFKKQGVKIRRKTWLQNMKIKLVILGILLLLVVIVWVSVCQGFDCTKHET >KQL24751 pep chromosome:Setaria_italica_v2.0:II:32856491:32857834:1 gene:SETIT_032807mg transcript:KQL24751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLAAILVVLPLLLLSLSAVVGTAASLPRGGFGFEATLRHVDADAGYTNAQLLARAVARSRARAATLQSLATLAPGDAITAARILVRASDGEYLMDMGIGTPPRYYSAILDTGSDLIWTQCAPCLLCVDQPTPYFDPAQSATYRALGCASPICNALYYPLCFQNVCVYQYFYGDSASTAGVLANETFTFGTNTTRVAVPSISFGCGNLNAGSLANGSGMVGFGRGSLSLVSQLGSPRFSYCLTSFLSPVPSRLYFGVYATLNSTNASTSGPVRSTPFVVNPALPTMYFLNMTGISVGGYRLPIDPAVFAINDEDGTGGTIIDSGTTITYLAEPAYDAVRAAFVSQIRLPLLNVTEESVLDTCFKWPPPPRQSLTLPEVVLHFDGADMELPLQNYMLVEPVSGGLCLAMATSDDGTIIGSFQHQNFHVLYDLENSLLSFVPKPCNLI >KQL25267 pep chromosome:Setaria_italica_v2.0:II:36996637:36997197:1 gene:SETIT_032724mg transcript:KQL25267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISKSAPNLLKKAATSVKSTADALRTKLIFVASLRRRLAMVCAMSRQIRALITSNGREKQARVDHGSKALMMHKAMATSKEPAGDHGGKIHLGLFEVAMFEEGYHGYPDSPNSLFDDDSCYNDEEDFQDDDHDDLDVDAFDETSVIEIIRGDREGQGLEFNMEDDIDEACEMFIRRCRGRMNLSF >KQL23152 pep chromosome:Setaria_italica_v2.0:II:8766971:8771080:1 gene:SETIT_032792mg transcript:KQL23152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAKAAGGPEITLEHTPTWIVAAVCSVIVVISLVFERLLHGLGKRLKKGSKKPLYDALLKIKEELMLLGFISLLLTVFQGTAQKICVRESIMHHMLPCPLPSAGAKYGGAVFAGVLGGARRLLAGGAADDYCQRKGKVPILSIEAMHQLHIFIFVLAVTHVVLSAITAILGIAQTRNWRRWEEKIQFNNDSDPQMIKHVQEFKFIRDHVKGHGKRWKIFGWLRSFFKQFYGSVTEEDYTAMRLGFITKHCKGHPKFNFYKYMIRAFEADFKKVVGISWYLWALLVVFLLLNVHGWYVYIWLTLAPFILLLVVGSKMEHIITELAVQVAQKHTAIEGDVVVTPSDDFFWFHQPKLVLHLIHIVLFQNAFEIAFFFWLLVTFGFKSCIMGKPGYAISRLVISVISQLLCGYSTLPLYAIVSHMGNSFKKAIFDDNVSEGLVTWAQNARRRKGKNTTNADSSASSVDGRYGGAIQMTNA >KQL24838 pep chromosome:Setaria_italica_v2.0:II:33643695:33647031:1 gene:SETIT_030296mg transcript:KQL24838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICELELETWQCPVPAGQPQLVRLAAEVLPPSVLLCENIRFHVQGCIGHGWVAVVAIKVIRNKQTGQSEGYGFVEFYSHGAAEKVLEGFSGHIMPNTDQPFRLNWASFSMGDRRSDVASDHSIFVGDLASDVNDATLLETFSSRYSSVKGAKVVIDANTGRSKGYGFVRFGDDSEKTHAMTEMNGVYCSSRPMRIGPATPRKSSGTTGSNGSSARSDGGDLTNTTVFVGGLDPNVSEEDLRQTFSQYGEISSVKIPVGKQCGFVQFAQRKNAEDALQGLNGSTIGKQTVRLSWGRNPANKQFRGDNGNQWNNGMYYAASPFYNGYGYPAAPFPDPGMYAAPAYGAYPFYGSQPQVS >KQL26172 pep chromosome:Setaria_italica_v2.0:II:42760094:42761605:-1 gene:SETIT_033182mg transcript:KQL26172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDTGDDASIALLHRLRSGATVHFVHHVDVCSAAPEDLVADMEPAPGTGVWYFYCVKKYKSTHGRPGGHRQRAIAASDTCWHSEAGAKDVKGSQGGGTVCNLSYGRKDGRSFTRLGWCMMEYDDATGGGDHVLCKIYRSPRAQGKPSSATSKTSSGSKRKAGGEHTEARPAKLLHEQDTFFTNDYAMPSTVAQVNVGGGEEQHLSTQDGEFVETSYGLLPSDVAQVNVEEWIRGMEMFGGEEEQQISKPEQDDGFVKVPPLMTEDITVEDYLLAPETTSGEASGMPATRHTRPSRIPWPSSPSYSGCSSLLIHSDRRMD >KQL26871 pep chromosome:Setaria_italica_v2.0:II:46699955:46701247:1 gene:SETIT_031051mg transcript:KQL26871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTIGDTVPNLELDSTHGRIRIHDFIGDGYVIIFSHPADFTPVCTTEMAAMAGYAQEFKKRGVKLLGISCDDVASHKEWIKDIEAYKPGTNLTYPILADPDRSAIKQLNMVDPDEKDAQGVNLPSRTLHIVGPDRTVKLSFLYPACTGRNMDEVLRAVDSLLTAARHKGKVATPANWKPGDRVVIGPSVSDEEARKLFPDGFETADLPSKKGYLRFTKV >KQL23613 pep chromosome:Setaria_italica_v2.0:II:15469567:15471801:1 gene:SETIT_031505mg transcript:KQL23613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRAKKKIKN >KQL24423 pep chromosome:Setaria_italica_v2.0:II:29921746:29922742:1 gene:SETIT_030711mg transcript:KQL24423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLNNGQCCWRAVPKLAGRHELNRACAHRTGLLRCGKSCRLRWTNYLRPDLKRGLLSPEEEKTVIDLHAELGNRWSKIASQLPGRTDNEIKNHWNTHIKKKLKKMGIDPVTHKPLQPVPDTGSSPEDEAKAVAAAITPPPCAGNEAFCVDEVRMARLLDDIVIPDGDVVDAPPAANDSGTSTAYSPESSSSSSSSSGGSSIVDGEWLEWPQMVEWPESMWLDVVTGPTPWEFEDPLLTDQRIALFEHQETWNNSRIELF >KQL22672 pep chromosome:Setaria_italica_v2.0:II:4340901:4343658:1 gene:SETIT_029278mg transcript:KQL22672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFDSQAEAFEFYNLYSWVGKAELSNSASVRTDCKARIQLHKFNDNGWYILQFRGDHNHPLSGTCSENLIWPSHKHLEPYTKDMVCRLRDNNVDLNRMRYLIYSFFGAMKKGPSITRELPEEEDACKTIELFDQFSSLRRDDPSFQFRVELDDTKRQFKSVLWTNGRSRMQYAQTFDTTYRSKLYDMPFGLFVGVNNHYQSVILGGVLMQHETGGGGGGKAPSTILSGQCHAIEVAIQEVLPDTTHKWCKVQVLSKENEFLGPIFSKKTGVKDDFQKITDSKLTVREFESAWQHLLDKYTLHGNPYFKEKFCAKQTSMQRNECADYLFKGYVPLNRSINMLVRHYNKLQSDLDSKESFEENRSRKRSRVMSKGVPVEEHAAKIYTRAMFEKFDEIIFQSGKAYLARHIRSDCRESWSQVEFEVTIRAEDGAVVCECGLGDHMGMPCCHAVKVMIHLGMLEIPAGNIVKHWTMDARDILPAQMIELESDKLTENSQSYRQSDLFILAFEFAKSCSRSDQTFEGGLAGLVQLEQDLLELKQVRDGSVLSEKCSRSAAQGSDAQGMSAAATYDATLAAQERRTEVEAPDPKCKIVQHTQAKGPQ >KQL24852 pep chromosome:Setaria_italica_v2.0:II:33801767:33806195:-1 gene:SETIT_030616mg transcript:KQL24852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDHSGLTRQLLDFQHDTVDAVGAEHDPFMELKARFMDFKQRNYVENFSNYQSLAEQQTPKFMVVACADSRVCPTGILGFQPGEAFTVRNIANLVPPYEHGGSETSAALEFAVNTLQVENVLVVGHSRCGGIQALMSMKDDSTSGSFIKNWVSISKSARLSTKAAAGNLSFEMQCKHCEKESINSSLLNLLTYPWIKKRVNEGTLNLHGGYYNFIDCTFEKWTLVYREGLEGGSKYAIKNRSTWS >KQL24850 pep chromosome:Setaria_italica_v2.0:II:33801767:33804057:-1 gene:SETIT_030616mg transcript:KQL24850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGSKILNKVFFYRRDFSFITMASRDHSGLTRQLLDFQHDTVDAVGAEHDPFMELKARFMDFKQRNYVENFSNYQSLAEQQTPKFMVVACADSRVCPTGILGFQPGEAFTVRNIANLVPPYEHGGSETSAALEFAVNTLQVENVLVVGHSRCGGIQALMSMKDDSTSGSFIKNWVSISKSARLSTKAAAGNLSFEMQCKHCEKESINSSLLNLLTYPWIKKRVNEGTLNLHGGYYNFIDCTFEKWTLVYREGLEGGSKYAIKNRSTWS >KQL24851 pep chromosome:Setaria_italica_v2.0:II:33801767:33805346:-1 gene:SETIT_030616mg transcript:KQL24851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGLLLRGASPCLRPAAPATAGSSADPVRGHGAVAIGDSGPRGVALRVRGSSRRDFSFITMASRDHSGLTRQLLDFQHDTVDAVGAEHDPFMELKARFMDFKQRNYVENFSNYQSLAEQQTPKFMVVACADSRVCPTGILGFQPGEAFTVRNIANLVPPYEHGGSETSAALEFAVNTLQVENVLVVGHSRCGGIQALMSMKDDSTSGSFIKNWVSISKSARLSTKAAAGNLSFEMQCKHCEKESINSSLLNLLTYPWIKKRVNEGTLNLHGGYYNFIDCTFEKWTLVYREGLEGGSKYAIKNRSTWS >KQL27160 pep chromosome:Setaria_italica_v2.0:II:48154161:48155057:1 gene:SETIT_030934mg transcript:KQL27160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARALRLVASRPSATHRSLLAVHRRLLSAASTESGGAGDAAVYSGDAPSDDYTERAPRSSGAEAATKGGHGKHAPSAPGGKERVPPFAPSGGKLGSQELADPTGASSFTQKRRVSSGPGAAARDAREEATPGGEESAARKVREEDREYYRTHKPSPLAEVEFADTRKPITRATDGGASDRDADVPARTVEDTVDDSLARAEAMFREAASRGNPEWPHSRALAEMLARRQQEQGDGDGSSRASWGS >KQL24088 pep chromosome:Setaria_italica_v2.0:II:25973362:25973821:1 gene:SETIT_033685mg transcript:KQL24088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKCWQPSINVELFKGNDGVSRKMLVYVK >KQL26485 pep chromosome:Setaria_italica_v2.0:II:44688585:44692954:1 gene:SETIT_030699mg transcript:KQL26485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVDLQEIEKVVDKIQGNVPKVEWDFEGIHYFDNGPLTVQYLFVLDALNFCFWPDKDLSYDHLASGLKLALEKDKTALDADRLKNYNGPQLRQLLNWPRPLPIEEERVRLLHEVGLELERNFGGQAANLVKSAGNSAATLIELITRHFPGFRDHSLYKGHQVFLYKRAQIFVADLWGAFKGQNYGEFHDINSITIFADYIVPAVLRELGILKYGSNLSCSIDSNHEIVPGSEEEVEIRACSIYAVEKMRDLIGKKFGKQLLSIDIDLWLWSCGVQNMALSHHRTLSIYY >KQL25731 pep chromosome:Setaria_italica_v2.0:II:40057193:40057621:1 gene:SETIT_033063mg transcript:KQL25731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLTLISFLLAFTLVAAATPQPSAADDQPSRLPPFPCVPGVQRPPWLPPCSPPPPQPAECYTSVSGLMPCADFLTNAGVLAPAAACCDGFRNLVTGAPICICHVVNGDFGKLLPAPMLRLRMMQLPRMCRVPFPRATLVQCS >KQL26970 pep chromosome:Setaria_italica_v2.0:II:47184026:47184281:-1 gene:SETIT_033435mg transcript:KQL26970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNLLSTFKIMFSNLLSTFKIMFSNGSETFQVHNGAVFSATKEYWL >KQL22908 pep chromosome:Setaria_italica_v2.0:II:6292144:6292575:1 gene:SETIT_032873mg transcript:KQL22908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQAIESNRPGAEVFDGDAICRKKSVDLLEELGLPKGLLPMEDIQEFGYNRTTGFMWLVQRKKKVEHTFKKIKQTVSYAAEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVPEASPEKVTFKTGTGLSDSFDATAFALGE >KQL23271 pep chromosome:Setaria_italica_v2.0:II:10409916:10410758:1 gene:SETIT_033140mg transcript:KQL23271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFSRPLVSVKALEGDMATDATGLPYPAVFSAPIRPDVVTFTHKLLSCNKRQPYAVSRHAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFRKWHRRVNVNLRRVAVASALTATAVPALVQARGHRIETVPELPLVISDSAESIEKTSQALKILKQVGAYADVEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLPRPAAHRRAPAAACRARHPPPRAPSACPPVLRGLPAPSK >KQL23099 pep chromosome:Setaria_italica_v2.0:II:8377554:8378240:-1 gene:SETIT_032975mg transcript:KQL23099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIPPPAEAQPRTQSNPEQAEAMDGDIAAGGGGGGGSGGGGGTSIHVTALDGIVNVNSLFTLAAFLGLAWRPSSDGPGLAGGADRTGNPCAAGDRAESDLVSFHVLAFACFLFSSLVALCLKQLVRTYPPHYRRGTPGATSSAGGAVLGRTARINRAALRVGILASAVGSVAGCGFLMMALVNVVQVKLGRLGCGAGGSAAWAAVVPLVTLVPAAMLIYIGIVFYAFTR >KQL22925 pep chromosome:Setaria_italica_v2.0:II:6396788:6402436:-1 gene:SETIT_029744mg transcript:KQL22925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPLLRRAAAAATSGGARATALPDPPAALASLLLASRSYAKAKGGGKPASSTSNRGKVRAKDPRGVASADDADGDESTAGGGGDDIDTEFELPTDPLPPTYDPALDVGPGGRPLFAFTDTFGSFAHRNANVYVDFTLDEWNAMLPEGLPAGMMKEFQETRRCAVMVRKSFLDLRDNFRRIVDPAITTNLKDIKKQIVLDGPRSCGKSIALAMLVHWARTQGWLVFYVPQGKDWTHGGFFYRNTYSDFFDTPIQAAKILQDFTKYNETRLQQLPCQIFEPIPLGEGAGVGMMKGADTVEMPEGSTLYDLIQTGITHSHASVGVVVRLRKELSLVKDVPVLFAIDQYNSWFTFSDFQEPVTVRSCRSIHAKELTTVNAYRSMLHNDMMVGAFSHSTAVGKLRQELPDVPPDARLMFPRYTLEEAETVCHYYMRQKIIRRESFSEEKWKKIYYLSNGNGSEMRWLAAFV >KQL25038 pep chromosome:Setaria_italica_v2.0:II:35534106:35537519:-1 gene:SETIT_028937mg transcript:KQL25038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEKGEERDGHSTDVERDGKQGKGAESDYEPSRDSLSSQGEAASNEDTKAKRVSRVPKKLAKKESKENSPRSARSISSRQIHTKLQYISSNNPQNKSPKTNKVANGARTVEVKKTEAVKVPSCSSSDVSEETEEKVIEDRPTDDKAVDGSAKDDKAVEGRATDEVVEGRNKDDKAIDGTEDNKAIEDRTKDDKAIEDGTQDDKAVEDGTKNDMDIEDGKDAKVIEDKVTDDNAIEGRETDGKAVGEAKEIDILDEAPKCDQSTSTDDEIAETEENIVHNGHSVSYERNEELDSKIEKLEQELREVAALEVSLYSVVPEHGCSSHKLHTPARRLSRLYIHASKFWSSDKKASVARNSVSGLVLVAKSCGNDVSRLTFWLSNIVVLREIIAQTFGTSRQSGPVMKSFSTNGNAKKLDGNFAPMRWKSSSNAKHARPNIMQMPDDWRESGTLLSALEKIESWIFSRIVESVWWQAMTPHMQTPVEDLSTPKISRLLGPSLGDQQHGNFSIDLWKTAFRDAFSRICPLRASGHECGCLPVLAKLVMEHCVARLDVAMFNAILRESANEIPSDPISDPIVDSRVLPIPAGDLSFGSGAQLKNSVGNWSRWLTDTFGMDGAESEKDGQDAEHNGDERRDAAESNCFKLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLPLVTRILCNFTPDEFCPDPVPGMVLEELSSEGMMERFMEKEVISTFPVTAAPVVYCPPSLEDVAEKVADTGCGNPELDRRSSMAQRRGYTSDDDLDDLDSPLASLYDRSAPPSPCSNGAAHFSARQGAGAGAASMANARYELLREVWSERR >KQL23058 pep chromosome:Setaria_italica_v2.0:II:8140406:8141684:-1 gene:SETIT_031091mg transcript:KQL23058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSGNEPLLAAAPPVPAPVVVVSPQFCAKEVVPLTVTKKVKSLTGGDFTVTDPSGAVVLQFKGSVWSVRNRRVLVDAAGQPILSMHEKVLSMHNRWEVFRGDSSNASDLLFTVKRSTLLQLRTELEVFLAGNNTAQQACDFKLKGSYFDRDCAFYLGDSNTMIAQISRKYTASNVLLGKDTFNVTVFPDVDHVFVAVLVVVLDEVHSRDRNY >KQL25380 pep chromosome:Setaria_italica_v2.0:II:37710088:37714048:1 gene:SETIT_029798mg transcript:KQL25380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGSFWDLLKPYARHEGAGYLRGRRVAVDLSFWVVSHSTAIRARSPRARKPHLRTTFFRTLSLFAKMGAFPVFVVDGEPSPLKSQARAARFFRGSGMDLAALPSTEAESSAAAAPVKGRNAAFTRCVEECVELLEYLGMPVLWAKGEAEALCAQLNNEGHVDACITADSDAFLFGAKTVIKVLKSNCKEPFECYNIADIEAGLGLKRKQMVAMALLIGSDHDLHGVPGFGLETALRFVQLFDEDEILDKLHEIGRGVYPFLEGLGNQHIDDLPSSSTKSSIVKLPHCSHCGHPGSKKNHSKVGCNYCLVDALENCMEKPAGFKCECPGCEKARDLKEQRKHENWQIKVCKRIAAETNFPNEEIIKLYLSDNNLDKEKGVPLLSWNKPDVEALVDFLTYNQNWEPSYIRQRMLPMLSTIYLREVASSPSTPLLLYDQYEFDSIQRIKISNRGGDK >KQL27292 pep chromosome:Setaria_italica_v2.0:II:49038904:49041034:1 gene:SETIT_032793mg transcript:KQL27292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTIGSVDGHRAAASGAVACPAASAPGCPYLTSAPVSTPGDATLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLADFSQELRCFQPVTCHQAVVNNLDDAHEQIDRAISTALRESKPVYISVSCNLPGMPHPTFTRDPVPYFLAPRLSNQMGLEAAVEATVAFLDKAVKPVMVAGPKLRVAKAGEAFVELAEASGYAVAAMPSAKGLVPETLPRFLGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAVVVQPDRVTVGNGPTFGCVMMRDFLSELGRRVRRNTTAYDNYRRIFVPEGQPAESEAGEPLRVNVLFKHIQRMLTPDSAVLAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGALLGYAQGAAGKRVIACIGDGSFQVTAQDVSTMLRCEQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVACEEELTAAIDTATGEKEDCLCFIEVVAHKDDTSKELLEWGSRVSAANSRPPNPQ >KQL27055 pep chromosome:Setaria_italica_v2.0:II:47610625:47611117:-1 gene:SETIT_031829mg transcript:KQL27055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPCMFSKENSFLFQKPQPPRINRSGNCLLKYVRTSKIHHPREFWTSREVWKNF >KQL22963 pep chromosome:Setaria_italica_v2.0:II:6915459:6917959:1 gene:SETIT_030743mg transcript:KQL22963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRGGERGGERGGDRGGFGRGFGRGGRGDRGGRRGGRRGGRQQEEEKWVPVTKLGRLVKEGKFHKIEQIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWSETTFVKTPFQEFTDLLAKPTKGLVIEAPVETVEA >KQL25736 pep chromosome:Setaria_italica_v2.0:II:40086524:40089857:-1 gene:SETIT_030824mg transcript:KQL25736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCIKSALLSGHRPHPLTASAVAAAAPQHQQVGGAASFHSTPVLQRKRKTQWHNRFNYYAKRRRNRENKRSMIRNMSEYAEYLFQSWRDEDEKTDASSGPSWFRGHRWVRNSNNNGFRTHDFYYGNFRSRGGFEFCTSDEDEDEPEILFRDAFRDQHTYYWSFSSDNFQWRNSRRARSEKSRKWSSETDDEDEVSTPSEVSLARQALGLSTSGPLKLEDVKSAYRACALRWHPDRHNGSSKATAEEKFKHCSAAYQTLCDSLAAA >KQL25137 pep chromosome:Setaria_italica_v2.0:II:36251284:36252717:-1 gene:SETIT_030287mg transcript:KQL25137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSLLLPALLVVVVGGVCAAPASACADDPMLERFQQWMGRHGRLYGSTGEKRRRLEVYRRNVELVEQFNSMGNGGYKLADTKFADLTNEEFRAKVLGFGPHGRTGHTTAPSTTTSLVDSGMAEDYSDLPKSVDWREKGAVAPVKNQGDCGSCWAFSAVAAMEGINQIKNGKLVSLSEQELVDCDTEAVGCAGGYMSWAFEFVMKNRGLTTERNYPYQGMNGNCQTPKLNQSAVSISGYQNVTASSEPDLLRAVAAQPVSVAVDAGSFVWQLYGGGVFTGPCTAELNHGVTVVGYGETQGDADGDGGGTPGQKYWIVKNSWGPEWGEAGYIRMQREAAGGVADGLCGIALLPSYPLM >KQL23757 pep chromosome:Setaria_italica_v2.0:II:17363457:17364470:-1 gene:SETIT_032377mg transcript:KQL23757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSPLGGGDADDFYFGYDAGYHRSGGAGKSAKKDKEKEKEKGFLSCLPCFVPCSPGAVDPMAHRRLLSSDSSDSDSAAAMDITADLARLRARYSRLAAGPPVRPRDVPGLVARPDDPPLAVSALSWLGGDLRPSCMLLALLPALFPSLPSRTSHALSAAARRLSAREAALDGEVAEYQSTYAMKLACEKTKDGVAETAAEEMCKMARAARRADKLRWRAVEVAVKEVLEPAQAREFLKAVEDVSGKVARHGTRWHARAGTLTVPVEAFERVRANARVATDDAW >KQL23200 pep chromosome:Setaria_italica_v2.0:II:9375122:9376072:1 gene:SETIT_032363mg transcript:KQL23200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTTRAMRARADASADRLPRDALSDALLRLPAREICRLRAVCRPWRALTSDPAFVRAHAAAHPGPLFVAKFRDDPAHVYVIDAGGTVLKRVAGADGGVHVLRTRLDLACLATDWNRCRVLNPATGALQVLPQSSAPQHKNRVNLSNPYTFFALGLVASTGEYKVFRMFNRLGFLLGGEQLFEVLTINDSSGAADSCWRAMPMPSLFIEASTGGVVGSVVYFFVNRGYNPVTLYSDAGARSDYILSFDLEREEWRSGLAGPIGEEIDSGEDFQSKRYRFALAELKGSLVLVYKRRQKSIFVMDLWFLMDFENGLWEK >KQL27146 pep chromosome:Setaria_italica_v2.0:II:48076486:48078750:-1 gene:SETIT_029027mg transcript:KQL27146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTANPPLPSLQPQHQQPDLLRLVDDLCASGRAAEAHHRVSLLLLSAASRLDARAADALLRRLLRARTPLLTLRLVQAAALVPSLPNYNRLLAHLCRADPPAIPVLLAHRLHLRMRVPPNASSYAALLGGYARVPDPRAAQKLLDEMPQRGLVPTSLARSFLVKAFLRARDVYAAMDLVDNNLWPTMADSDGHQLSEDQEVTNAAFANLVQCLCDEGFFHVVFRIAEEMPQRRCHVADEFAYAQMIDSLCRSGQHHGASRIVYIMRKRGLCPSAVSYNCIVHGLCTSPKPGACLRAHQLVMEGTLFGYRPREVTYKVLVDKLCRENELAKAKDVLELMLQHTIQCGQDEGGDAGDETRTRIYNVFLGALRAMDNPSEQLGVLVSMLQAGCKPDVITMNTVIHGFCKSGRAQEARRILNDMLNGKFCAPDVVTFTTLISGYLDAGDHAEALNVLHTLMPRRRCSPTVVTYNCVLKGLFGHGQVDTAMQVLEDMNANNVAADSVTHTVVIKGLCDVGQLEKAKELWDNVVWPSGIHDEYVYSAIFRGLCKHRKLEQACDFLYELVDCGVAPGVVCYNILIDAACKQGLKKQAYLLVKEMKRNGLTPDAVTWRILGKLHHYEEEEQEGHQPPTADVGQSYADDTVEPLIMTKEMPLLPPLPSSKNVYEINENNSPAEINKEVGYLTHMANNNKAKAEEVEYSTKMTVEEPPDNTNPTRGTKVGKGDITWGDGLKKPDNQPLIREPLSRVAKKVFGIL >KQL26087 pep chromosome:Setaria_italica_v2.0:II:42258614:42261733:1 gene:SETIT_032982mg transcript:KQL26087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGTELLRVLTTGDAARLKELLRSEGRPRADGHVAIEVNGASTGAAPSPVRTGCLLGVTSNGNTALYLAASRGHAELAALVGERAPSLVATRNGGLDTPLHCAAKAGSRDVAACLLSLMRAAAAGGAEEAAVAALRARNRLGATALHEAVRLGRAAAVELFMAEAPEMTSVTTDVGVSPLYLAAETRSEQMVRQLLRPSADGTPSPASAAGRHGRTALHAAATVSKGTTESWPVGSTLLTRVDSSGRTPLHLAILHGRLDVVELFLDVHTSAVQARISDDHGLFPLHTAAMAGSIRILDELIKRCPDYYELVDEQGRNFLHCAVEHNQDSVVRHICQNDAFAMLLNATDYEGNTPLHLAVKCGFPRIVSMLLQMTTVEIDKDGLSDPHFIVLSCLCWLGIGITLDRRRPDPLHHADRTPTTEEAAASDEEHDMLRNGAIGSVLIATVAFAAAFIVPGAFVASDTMAFLCSIVATSFLIYGGARENPRSHRLWYKILASRLMPMAARFMIAAFAFGFHLVLVDGANRGLIVFVYTVSMAPMLFCFPDVWIPLQLLGMAKAVWRRAGWRGLVNVHKRPMSPLHLVQLFVGSFLFQYLVGTLLVVLIATTFAVAIALSIRLPNY >KQL22205 pep chromosome:Setaria_italica_v2.0:II:560908:561513:1 gene:SETIT_033677mg transcript:KQL22205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCTEVIYTLDTQPQYAEGGKDETVSLFMLLSKVNSPYFSTASAEILGPLREALN >KQL26400 pep chromosome:Setaria_italica_v2.0:II:44125160:44126645:1 gene:SETIT_030861mg transcript:KQL26400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSASSSSTTAVAALPRNGQRASSFLGGRTLLRQAEAARPSFAVRAAADPDRPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPFWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGSVNTDPIFPSNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQAFTPK >KQL26401 pep chromosome:Setaria_italica_v2.0:II:44125219:44126239:1 gene:SETIT_030861mg transcript:KQL26401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSASSSSTTAVAALPRNGQRASSFLGGRTLLRQAEAARPSFAVRAAADPDRPIWFPGSTPPPWLDGSLPGDFGFDPWGLGSDPESLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPFWYTAGEQQYFTDTTTLFIIELILIGWAEGRRWADIIKPGSVNTDPIFPSNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQAEYTGTGPIDNLFAHLADPGHATIFQVS >KQL23181 pep chromosome:Setaria_italica_v2.0:II:8977199:8978830:-1 gene:SETIT_033201mg transcript:KQL23181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIPLHLLFLPLLMIITIIPLAYLLRSPHRRHAAGLRLPPGPWALPVIGHLHHLLLDALPHRKLRDLSRRHGPLMLIRLGELPVVVASSADAAREITKTNDLAFATRPIGPATRLALPDGAEGLIFAPYGDVWRQLRRICTVELLSARRVRSFRAVREQDAGRLLREVAAAAEQAVNLSSCISSYVADSAVRAIMGSRFRDRAEFFRLMEKGIELFSRPSLPDLYPSSRLAMLVSGVPDRMRRVRVEMMAFMDSIIQEHQLSKADDTDNKEEDLLDVLLRIQRDGDLEFPITMDNIKVVIADLFLAGSETSATTLQWAMSELMRNPRVMRQAQDEIRQVLKGQERVSEASLGELDYFHLVIKETLRLHPPSPLLLPRECRSPCRVLGFDVPVGATVLVNAWAIGRDPVHWDAPEEFAPERFGHGRSSVDLKGTNFEFIPFGAGRRMCPGMMFGLASVEIALASLLYHFDWKLPHGMAAEEAVDMKEVMGVTARRRSDLLLVPVVHAPVPAYDPLTID >KQL26487 pep chromosome:Setaria_italica_v2.0:II:44698027:44698200:-1 gene:SETIT_031821mg transcript:KQL26487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNAFAPVLIPSGLPRDPCHRPPAQHGTVQEDDPPPATVSGSMQVQEQMSPGPVF >KQL23988 pep chromosome:Setaria_italica_v2.0:II:24136148:24137331:-1 gene:SETIT_0309672mg transcript:KQL23988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFESVGKTELQNAGKAGLVTVQGKISVVLGTVLISAYLFITFFPDQAEKLFDLAGISL >KQL22862 pep chromosome:Setaria_italica_v2.0:II:5931683:5934634:1 gene:SETIT_029102mg transcript:KQL22862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSTVTGAVLATAVLLLVAVRDGHCAQLCMDSSFPRTINGSLSFCGYNGTSCCNATDDAAVQKQFAAMNISGTPCGDIVKNILCARCNPYAGELFTVTTTSRTVPLLCSTTGVSSRLSTKPAAATTDYCSQVWDTCKDVRIPGSPFQAPKGGAAAPKLTDLWQSAGEFCSALGGGGAAKSPCLDGGGAAFNATRPALPLNGMCLERIGNGSYLNMAAHPDGSNRVFLSNQAGKVFLATVPAQGSGKTLGIDVASPFLDITDEVHFDNEFGLMGLAFHPGFATNGRFFVSYNCDKTQSASCAGRCACNSDFGCDPAKLGADNGAQPCQYQSVIAEYTANATSGTPAKATSANPVEVRRIMTLGLPFTTHHGGQILFSPADGYMYFAMGDGGSVGDPWNFAQNKKTLLGKILRIDVNTMPSGNTTAGWGSYSIPKDNPSSTDSSFAPEVFAIGFKNPWRCSFDSGKPSYMYCADVGQAAYEEVDLITKGGNYGWRVFEGPLPYTPLSTPGGNTSAASINAISPVMGYHHNAVNNNVGSASIIGGYVYRSTVDPCLNGRYLYADLYAKSMWAGAESPEGSGVYNVTDLKFSCSKSSPIPCDVAAGSALPSLGYIFSFGEDNARDVYLLTSKGVYRVVDPAQCGYACPVKSSTPGASSSPSPGAAPSSAVRARAPAALAALMAGALLALLSLMSV >KQL23303 pep chromosome:Setaria_italica_v2.0:II:10659382:10670386:-1 gene:SETIT_029058mg transcript:KQL23303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLRVATCNLNQWAMDFDTNLRNVKESIARAKAAGAAVRVGPELELTGYGCEDHFLEQDTTAHAWECLKDILTGDYTDNILCSIGMPVIFNSVRYNCQVFCLNRKIIMIRPKMSLANDGNYREFRWFSAWTFKDEIVEFQLPIEVSEAISQDTVPFGYGYMQFLDVSLAAETCEELFTANAPRIDLALNGVEVFMNASGSHHQLRKLNLRIDSIRNATQTCGGVYMYANQQGCDGGRLYYDGCCCIAVNGDLVAQGSQFSLKDVEVMDALVDLDAVSSYRASVSSFREQASHRTNVPYVKVPYKLCQSFRNGMVPTSPVQIMYHCPEEEIAFGPSCWLWDYLRRSQASGFLLPLSGGADSSSVAAIVGCMCQLVIKDIEKGDEQVKADALRIGQYKDGEIPTDSRELAKRLFYTVYMGTENSSEDTRSRAKRLAEEIGSFHFDVPIDSIVSAFLSLFERLTGKRPRYKVDGGSNTENLGLQNIQARIRMVLAFMMASLMPWVHNKSGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSVSKQDLRAFLRWAAIHLKYSSLAEVESAPPTAELEPIRANYNQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFQNLCHSWCGRLSPSEVADKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNSRWPYQFRKINELAQEMDKDGKWETRAEGQATEQGVQGSGMGVVAAGAANPSVGF >KQL25301 pep chromosome:Setaria_italica_v2.0:II:37174799:37175931:1 gene:SETIT_033814mg transcript:KQL25301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKVASILKKYENSWNMMEAANPTCSGEGCQTMNIKRKKLKEAILLRSKCQELDDICRDSNWILPRYKVLPSVTGDMYQASVYLTGPDFNLSADGDNKVTPHEARDSAASNMLYQLQQKAMES >KQL23715 pep chromosome:Setaria_italica_v2.0:II:16924961:16926697:1 gene:SETIT_031403mg transcript:KQL23715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNGEAQQRDPLKKHWQNYVKTWFNQPARKQRRRIGELVKRTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAGDSTPEELATATQVQGGYMPITRGEKLSVEVVKVTDEMKSFASYCKLRLERMNKKHLGARQKRAAEEEKEEKK >KQL25605 pep chromosome:Setaria_italica_v2.0:II:39324965:39325439:1 gene:SETIT_033780mg transcript:KQL25605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWQGIGLLLAIEPRSSSFTSRSNRITRYRLPARRDKRPVV >KQL22911 pep chromosome:Setaria_italica_v2.0:II:6313029:6313068:1 gene:SETIT_0307892mg transcript:KQL22911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTPPIYPFVHA >KQL22912 pep chromosome:Setaria_italica_v2.0:II:6313029:6313068:1 gene:SETIT_0307892mg transcript:KQL22912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTPPIYPFVHA >KQL23844 pep chromosome:Setaria_italica_v2.0:II:21035869:21042117:1 gene:SETIT_032331mg transcript:KQL23844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAGEIQRVVSMRRDSGGSVWRSRNDAFSRSSREADRVDDEEALRWAALEKLPTRDRVRRAILVPPGDDEGQGVMDVDVLSLGPGERRALLERLVRVADEDHERFLVKLRERLERVGIDMPTIEVRFEHLDVEAEVRVGSSGLPTVVNSITNTIEEAATALRLLRSRKRKMPVLHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLRVSGRVTYNGHGMEEFVPERTAAYISQHDLHIAEMTVRETLAFSARCQGVGSRFDMLMELSRREKAANIKPDADIDAFMKASAVGGHEANVVTDYILKILGLELCADTMVGDELLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHVLGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREDVVGFFESMGFRCHERKGVADFLQEVTSRKDQKQYWAEPDKPYRFVPAKEFATAFKSFHTGMALAKELSVTFDKSKSHPAALTTTRYGVSAKALLKANIDREILLMKRNSFIYMFRTFQLTLMSLIAMTVFFRTKMKRDSVTSGGIFMGAMFFGILMIMYNGFSELALTVLRLPVFFKQRDLLFYPAWSYTVPSWILKFPVTLMEVSGYVFVTYYVIGYDPNVGRFFKHYLIMLAINQVAASLFRLIGGAARNMIIANVFAMLIMMTFMVVNGFILVRDDVKKWWIWGYWISPLMYVQNAITVNEFLGHSWDKILNSTVSNETLGVQVLKSHGVFPEARWYWIGFGALLGFTALFNVLFTLALTCLRPYGNPRPSVSEEVLKQKQSNVKNGIPDATPWASVQPIGDNTETNLEMSEDDCGPTQKGMVLPFLPLSLSFDDIRYSVDMPQEMKAQGVADDRLALLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNISISGYLKNQETFARVTGYCEQNDIHSPQLTVRESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRDAFIGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFFMKPGGEEIYVGPLGHNSSELIKYFQGIQGVSKIKDGYNPATWMLEVTTVSQEQILGVDFSDIHRKSELYQRNKALIKELSQPAPGSSDLYFPTKYSQPSFTQCMACLWKQNLSYWRNPPYNAVRIIFSTVTALLFGTVFWDLGGKVKRQQDLINALGSMYAAVLFLGVSNSISVQPVVAVERTVFYRERAAGMYSFFPYAFGQVVIELPYALVQATVYGVIVYAMIGFEWTAAKFFWYLFFMYFTLLYFTFYGMMCVGLTPNYNIASIVSTAFYNIWNLFSGFFIPRPRTPIWWRWYCWVCPIAWTLYGLVVSQYGDITTPMEDGRPVKVFLEDYFDFKHSWLGWAAAVVVAFSVLFAALFAFAIMKLNFQKR >KQL24398 pep chromosome:Setaria_italica_v2.0:II:29569574:29570100:1 gene:SETIT_033537mg transcript:KQL24398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGIVWHRRDVVLGSWAMTCAVASARTRGRARRCGGDDGVVAPTSARQDNRRDKDPPPRRGWGRGRGPATSRRGLSGDREDQSVGGE >KQL26901 pep chromosome:Setaria_italica_v2.0:II:46836595:46838748:1 gene:SETIT_031250mg transcript:KQL26901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEPEQMAVLEMGQYRSNKLSVMGRPFLIVSGPACEEPSGHKTLNPRAEGDRLVVRRRSASWKLRAFWLAAQEEEEKAAMAKRLLPSLNRVLVEKLVQPKKTAGGILLPETSKQLNAAKVVAVGPGERDREGKLIPVALKEGDTVLLPEYGGTEVKLAADKEYLLFREPDILGTLVD >KQL22732 pep chromosome:Setaria_italica_v2.0:II:4951926:4952884:-1 gene:SETIT_032137mg transcript:KQL22732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPLAWYCSRMSSSSSWIFFMRAAHSAKSRRSRSLSSGLALNTPSRTSSLRDGKGRRGSTLRFTRIQSMYSCFTLTSFVLFLPSPVSGADIIFTSSVFAAAMSRQQPWAATSTPSADPPLELVLLGLHSPLWLLWAASTCKRWRHVLSSAGFTGRFRTLHNRSPVVAGSYYNQEVFVHPRFEPSTAAAAVDGRHFSLDFVPATTTLRSLRGCKAAAVARSGCWRTPYLRRRQP >KQL24271 pep chromosome:Setaria_italica_v2.0:II:28355765:28356223:1 gene:SETIT_032023mg transcript:KQL24271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCTARANNTARILGWRSHCKVKAVAETEWGSVSSGNKSDYYKVLSLEHSAAVGTEEIKRAFRRLALRYHPDLCPPSRRAESTEVFIQLRRAYETLSDPARRVVYDAELRTGEEATTAAAGFARDVWEAQLCMLRVRSERRQRARSGCRCD >KQL22258 pep chromosome:Setaria_italica_v2.0:II:1060279:1060717:1 gene:SETIT_032404mg transcript:KQL22258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIESHRAGAHAISRKKSVDLLEELGLPKTKGLLPMEDIQEFGYNRATGFMWLVQGKKKVEHTFKKIKQTVSYAGEVTAFAEKGKLRKITGVKTKELMLWLNVVEVYVPEASPEKVTFKTGTGLSDSFDVTAFALGE >KQL22859 pep chromosome:Setaria_italica_v2.0:II:5908431:5909967:1 gene:SETIT_032220mg transcript:KQL22859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRPRATTRGPTAAPAASPPGMLDRFAGLWSALAGAMLAWSMLRRYLPRQLLPDRLAGRFPFLRHHARRLAWLLDPYLAVTAAAYLGARCSRGARSLRLAERRRDGDGSRRFALTVGDGEEVADEFQGATVWWQAVPAPRHHHNSQGCDAQAEDAGRAYRLVFHERHRDLVVDSYLPHVCREGRAIMAANRRRKLFTNTGERYFKSSWKHVVFKHPSTFETLAMDPAKKKDIMDDLDAFRNGKEYYARIGKAWKHGYLLHGPPGTGKSSMIAAMANYLDYDIYDIELTSVSSNTELRRMFTDTKGRSIIAEPQLEPTSTSKVTLSGLLNFIDGLWSACGGERVIVFTTNHADRLDLALIRCGRMDHIEMSYCCFESFRFLARNYLAVDAHPLFDDVAALLREVDITPADVAELLTPKRAGDDDAGSCLAGLVQALREAREATTAAAKNATSSDKVVLPEDEEVVEDE >KQL26864 pep chromosome:Setaria_italica_v2.0:II:46653459:46658318:-1 gene:SETIT_030206mg transcript:KQL26864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGGVVRGAMASEPLARAVAEEVVRWGSMKQTGVSLRYMMEFGSRPTPRNLLLSAQFLQRELPIRIARRALELESLPFGLSNKPAILKVRDWYLDSFRDIRYFPEVRNRNDELAFTEMIKMVKVRHNNVVPTMALGVQQLKHHLCRARNIPFGFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPEPEPGVIGLINTKLSPVQVAQAASEDARAICLREYGSAPDINIYGDPNFTFPYVALHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGEEDVTIKVSDEGGGIARSGLPKIFTYLYTTAKNPPDLDGPNEGATMAGYGFGLPISRLYAQYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >KQL26865 pep chromosome:Setaria_italica_v2.0:II:46655672:46658318:-1 gene:SETIT_030206mg transcript:KQL26865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGGVVRGAMASEPLARAVAEEVVRWGSMKQTGVSLRYMMEFGSRPTPRNLLLSAQFLQRELPIRIARRALELESLPFGLSNKPAILKVRDWYLDSFRDIRYFPEVRNRNDELAFTEMIKMVKVRHNNVVPTMALGVQQLKHHLCRARNIPFGFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPEPEPGVIGLINTKLSPVQVAQAASEDARAICLREYGSAPDINIYGDPNFTFPYVALHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGEEDVTIKVVV >KQL26863 pep chromosome:Setaria_italica_v2.0:II:46655196:46658197:-1 gene:SETIT_030206mg transcript:KQL26863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGGVVRGAMASEPLARAVAEEVVRWGSMKQTGVSLRYMMEFGSRPTPRNLLLSAQFLQRELPIRIARRALELESLPFGLSNKPAILKVRDWYLDSFRDIRYFPEVRNRNDELAFTEMIKMVKVRHNNVVPTMALGVQQLKHHLCRARNIPFGFDEIHEFLDRFYMSRIGIRMLIGQHVALHDPEPEPGVIGLINTKLSPVQVAQAASEDARAICLREYGSAPDINIYGDPNFTFPYVALHLHLMLFELVKNSLRAVQERYMNSDKDVPPVRIIVADGEEDVTIKVSDEGGGIARSGLPKIFTYLYTTAKNPPDLDGPNEGATMAGYGFGLPISRLYAQYFGGDLQIISMEGYGMVILHLVDSYSVSTN >KQL26917 pep chromosome:Setaria_italica_v2.0:II:46926426:46930459:-1 gene:SETIT_030776mg transcript:KQL26917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLGRAIFTVGKWIRGTGQAMDRLGSTLQGGLRVEEQVSRHRTIMNIFEKEPRIHRDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNSIHIGSGTNIQDNSLVHVSRSNISRKVLPTIIGSNVTVGHSAVLHACTIEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFIAQSATNYINLAQVHAAENSKSFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPELILPDNILPHNAQKAVAR >KQL26916 pep chromosome:Setaria_italica_v2.0:II:46926764:46929290:-1 gene:SETIT_030776mg transcript:KQL26916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSVSRHRTIMNIFEKEPRIHRDVFVAPSAAVIGDVEIGHGSSIWYGSILRGDVNSIHIGSGTNIQDNSLVHVSRSNISRKVLPTIIGSNVTVGHSAVLHACTIEDEAFVGMGATLLDGVVVEKHSMVGAGSLVKQNTRIPSGEVWVGNPAKFLRKLTEEEIAFIAQSATNYINLAQVHAAENSKSFDEIELEKMLRKKYAHKDEEYDSMLGVVREIPPELILPDNILPHNAQKAVAR >KQL22280 pep chromosome:Setaria_italica_v2.0:II:1224464:1225136:-1 gene:SETIT_031775mg transcript:KQL22280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDESHDALTYAGRHEAVKQSIQELRTAYTWHGIDSFYYLPPSYHIDCAGELPIDDMYTLVYPAHR >KQL25041 pep chromosome:Setaria_italica_v2.0:II:35555204:35556131:-1 gene:SETIT_033609mg transcript:KQL25041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCAAKVPARSAGEARHPEAARTLASEEARRSETAQSPASDAPRARLGWYGEDWARSGEGKSSTEMVRAAGGMAVARFGGWMRWSKQRGNGDAASPLDCSYHLPSFQSEHHDHVTGLSPATST >KQL27178 pep chromosome:Setaria_italica_v2.0:II:48298742:48299587:1 gene:SETIT_033205mg transcript:KQL27178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGTTTTLRASSGTSMCAIESHRPTGGGSKQNPFRFEYRQRAVRVENRLTKSCSRNWPCSPTCVLCDQAPETALHLCLLCPFAKEVWFLVANWTGTAAIQQGADEEDLEQWWNKALEQCNDRRRRSIAAILMYIAWHIWKERKRRVFDNKIRRPDQVLGLIQEDICLHRQACGKPLIEAELNLS >KQL25876 pep chromosome:Setaria_italica_v2.0:II:41077081:41077490:-1 gene:SETIT_031844mg transcript:KQL25876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHDANKQCKMILTQKFPCGFSLNKPLDYTWITLLDNKFTNNHKKQFEETVS >KQL25205 pep chromosome:Setaria_italica_v2.0:II:36639776:36641169:1 gene:SETIT_032565mg transcript:KQL25205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAAVAARRCDTSGLTALSHRLMKQLSATNRASNVVVSPLCIHSALSLLAYGARGSTLSEILGVVGASCRECLARDARAMALRQPQLTHAFGLWHDATRTLKPACRDAAWGCLDTEIGALDFLNRPEEAWWHINSRMKVSIKACTLPGSFMTRGSVSEGTRLVHTSLIHFGGDWRTPFSSSMNEFMRSSQDQYVAEHDGFKVLRMPYTVPDPYHGILSSVRAQIVHTEAESWDVTPLPYPQFSLCIFLPDARDGLWSLEDKVLSEMGSIHEHLLLPRNRVRVGRFQVPKLKMCMSDGTSIKPVLQGLGIRALFSEEADMTNMLEHGGTGEPFFVNDVVHKAIFRVDEGDQSSEVTTTDSAARGGCLRLERQPVDFVADHPFAFFVVEEVTGAILLAGHVLDPTQVI >KQL22294 pep chromosome:Setaria_italica_v2.0:II:1451945:1453458:1 gene:SETIT_032501mg transcript:KQL22294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSVVAQETFSQILSGLVDRYKEKEDSSANRNLERLEMAHIRLEAALETSNKWQITDASLLRWRRKLKRAAQECDDTLHKCKQRILEHEQMAQEVNNSSLPNRIVHATKSFALSIFKPNNNDLRRSIAQRFEWYADGASEFLRFIELGGTPRHHMLFESFVKNLFAGKELHHKIVRGNECPLFQLWLIPIRNPVHGIHITLTFIQYDGTPEGNIIFSLAVQLSESIDIVGIAVKCLQLFAPHFKCKLENIRNELTQLPNEDFSWEPSFYLDHKEHWDKFYSLLSQLNRPNPLYGLLDPVIQVTLHWYVSLPMYRKKKTSLSEDLISLQDYPYLKAGIAFSPHGSLEDMLPANRSSEIAVIVRKEQHFLHSDIALEQLEEIMLPKAIDYFHQNAEAMVYQLLWKSKHGFARIQVDKPCMSAWRSRMRRRSTSGGARKRKLFQEDDEELIRLRIRVCHWFDSWLTHEPVQLQRSLMNWIQKEKE >KQL22565 pep chromosome:Setaria_italica_v2.0:II:3553353:3554648:1 gene:SETIT_033037mg transcript:KQL22565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGISRCCRQGRTTVGSSWCRRQQRAGTLQFVLSSRMAHGCWSDEVFKYTKGSHSVVEATAAGFAACSEANSLGAWASGDDRVALNTSGRWWFFSGVGKDCVQGMKFNLTVIPAVELSPPSLSPPPLRADGGVAAVLAAAAVVAAALLL >KQL25309 pep chromosome:Setaria_italica_v2.0:II:37224203:37225073:-1 gene:SETIT_033819mg transcript:KQL25309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSLGIHEDLRHIRSVATLKHEPKGPCRFVGLDQLTCFSSWVHTARNEAYMQVMDVEAGVHPPLSK >KQL25310 pep chromosome:Setaria_italica_v2.0:II:37224618:37225046:-1 gene:SETIT_033819mg transcript:KQL25310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSVPCGLSMRREEEPWAKSFIARMSEKLSRCNQVWASMRLEVEVFQSQSQVIVL >KQL23782 pep chromosome:Setaria_italica_v2.0:II:18658150:18663111:1 gene:SETIT_029459mg transcript:KQL23782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSALLPALAVFLLCCWPLALASVAETALSRVACGDDQVAVLDASDGLLNLSVNGVLVQDRVLACQKLRLYFGSGCLRCGEVSDGWRGAVKQYCGEGSESSQATSHQNVPRKLLRRPTENGSRNNSGPCGSLGLHENNQDNGDSSENDDHFLAMPGVILLCCGLMLPCFHAERKEASRHDTATIQRNAIESVSSYEVSMSSEKVPPTPHRIPPSPSRFAPSPQVARVGSVNLSIQQILRATQNFSPSFKLGEGGFGMVYRAVLPDGTVVAVKRAKKDQFAGPRDEFSNEVDLLAKIDHRNLVRLLGFTDKGNERIIITEYVPNGTLREHLDGQHGRVLDFNQRLEIAIDVAHALTYLHLYAEKTIIHRDVKSSNILLTDSYRAKVSDFGFARSGPSDTEKTHISTKVKGTAGYLDPEYLRTYQLTPKSDVFSFGILLVEILSARRPVELKRTPEERITIRWTFKKFNEGNMREILDPLLEDHVDDEVLEKLLSLAFQCAAPTRDDRPTMKEVGEQLWEIRKEYGKSIRKV >KQL24068 pep chromosome:Setaria_italica_v2.0:II:25492687:25496834:1 gene:SETIT_031150mg transcript:KQL24068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREMEKARKATSSPKSSTINTGPKSPVRNGGGSPPHKKNVTEPRGRKNEQQNIRKVGQDLVSHDEGKRRSPTSQTSPKRSPRHEQPLSYCRLHTEERAIRRAGYNYQVASKINTQEIIRRFEEKLEQLMEEREIKLMRKEMVPKAQLMPAFDKPFHPQRSRRPLTVPKEPSFLKLKCCIGGEFHRHFCYNGAAAKAIKS >KQL25644 pep chromosome:Setaria_italica_v2.0:II:39553329:39555260:-1 gene:SETIT_032568mg transcript:KQL25644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPAAAVASRHPPSLQELLRSCRSIQRLNQIHAHLLVHGSSSLAAVASQLLASYCALSADAGYGALCHARRLFDGIPDPDRFTYNTIIRACCNGSHPQEALRLHRGMLRRGVLPNEFTLPFVLKACTRARAWGHARAVHAMAVKLGFAGQVFVGNALLHSYASAGSLADSRRFLDEMVERNVVSWNSMIGGYAQAGDTREVRKLFGEMRREGVLEDKFTLVSLLLACSQEGNLEFGRLVHCHMLVSGSRVDLILGNALVDMYGKCGDLWMARRCFEMMPLKNVVSWTSMLCAQAKHGSADAARDWFDQMPERNIVSWNAMISCYVQCGQCHEALDLYNHMQSQGLAPDEVTLVAILSACGQIGDLTVGKIIHLYIRDNISNPDISLVNSLVDMYAKCGQVDTAISLFSEMCHRNVVSWNVIIGGLAMHGRALDSIMLFRSMVSDSFSPDEITFVALLSACSHGGLLEAGQHYFEAMRHVYNVKHEVEHYACMVDLLGRRGYLEKAICLIKEMPMKPDVVVWGALLGACRMHGSVEIGRQVIKQLLELEGISGGLLVLISNLLYETHQWEDMKRLRKLMKGWGTRKDMGISSIEVNNRIHEFGVEESRHESSSEIYEAVDQLSYHLISLHFLTVHPEELCVVE >KQL26383 pep chromosome:Setaria_italica_v2.0:II:44051138:44053253:-1 gene:SETIT_029132mg transcript:KQL26383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKIVPFVVPILLIHVASSSADVGQFVYQGFASANLTLDGLAAVTPSGLLALTNATFQEKAHAFHPAPVHFLNASSSSTAAANATAAGARSFSTCFVFAIVSAYDGLSDHGLAFVVAPTTNFSAAKAGQYLGLLGAINGTASDRVLAVELDTIMNPEIRDINSNHVGVDVNSLISEQPQPAGYYDDAAGGAWRDLQLNSRKPMQVWIDYDGQAGQLNVTLAPVQVQKPKKPLISVPVDLSTFMADTMYVGFSSATGVVITRHYVLGWSFSFDGPAPPLDFSKLPVLPRVGPKPRSKVLDVMLPLATALLVAAVLAAVFLIVWRRRRYAEVREDWEDEFGPHRFSYKDLFHATNGFKDKNLLGVGGFGRVYKGVLPGSSLDIAVKRVSHDSRQGVREFVAEVVSIGRLRHRNLVQLLGYCRRQGELLLVYDYMPNGSLDKYLHDQHMPTLSWHERYKIIKGVAASLLYLHEDWEQVVIHRDIKASNVLLDHEMSGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELVRTGKATPLTDVFSFGVFLLEVACGRRPIDRDDRNNRVVLVDRVIEHHRNGSILDAVDPRLVGKYEVEEVTLVLKLGLMCAHPLPNVRPGMRRVVQYLDANQPVPELSPSYTSYSMMSLMQNEGFDSYVMSSNQSETSIGVSSMSVLSEGR >KQL25764 pep chromosome:Setaria_italica_v2.0:II:40381966:40382773:1 gene:SETIT_031624mg transcript:KQL25764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYAKGGRSKHCQLVAVGVVSEHVSTWHSEEYTNHYSVFFCAINLESQIKSATTVGKSLSTSHCLLYNNTLPGQVRGEQPSSLINPISIQRFGCHLS >KQL24965 pep chromosome:Setaria_italica_v2.0:II:35052734:35055484:-1 gene:SETIT_033294mg transcript:KQL24965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAILLVMKKITIALAEETLRSARPLLAKKSQSITELPCDMKLIKNELELIRAFLNEIDRKGEVIETWIGQVRRLAFDMEDIVDQFFYVVGNNNQKRSWCDHVKKIVKKPQSLFSLDEIAIETKRINQELKQLSESRNRWAKPLDCGTTTPVTSYETEPYLPGHDYSINDDDLVGTDKNKQTLIGALHFEDHLLRIIAVWGMGGIGKSTLVNDVYKNELSSFDCHTWVSISHKYKLEDIWRNMLSDLLRKDKKEFDAESMNSTYLIDELKQIMSNKRYLVILDDVWTTEVILKLRNILVDNGLGSRVIITTRMEEVASMAEDGCKIKLEPLNDHDAWVLFCRKAFPKIQNHICPPDLHQCGKDIVEKCDGLPLALVAIGSILSLKRKSVKEWRLFFNQLIWELHINENLNRVEKILNLSYKYLPHYLKNCFLYCAVFPEDYLIGRRNLTWMWIAEGFIEPNGASSLEDVADGYVDQLVNRSMLQVASRNSFARIKCLRMHDLVRELAIFQSTKESFSTNYDENHGVMVDFDSRRLSVLQCNKGIPLSIYSSRLRAFITFDTSMALSSWYSSILSKSKYLVVLDLSDSPIETVPDSVGELFNLRFLCLNNTNVKELPEFITKLQNLQTLSLECTQLLKFPQGMSKLKQLRHLLFFKLIDATYKSFNNWESMEPFEGLWTLKELQSLNEIRATNVFVANLGNLSQLRVLSISDVKNSHCAQLCDALSKMRHLSRLEIRTWNENELLHLDNLELPNPLQVLDLYGRFSEGTFESPFFLNHGSELYLISLKYCQLTENQLSQLSRLSKLTYLDLTRAYTGQQLHFNADSFQNLKKILLKDLPHVNQICIYDGALVNLEYLYMDNLPELQDAPIGVDFLASLKEAYCINMHGQHGAFASNFWKAKLDHIPNVYSTTEGK >KQL27095 pep chromosome:Setaria_italica_v2.0:II:47782982:47787282:-1 gene:SETIT_029691mg transcript:KQL27095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKLLLLLLLASCLCLSAVIAAQQTCPADLDSKCEDGASGDWEGEFFPGIPKINYEGPTSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSLAMAKRRMRAHFEFMEKLGVDKWCFHDRDIAPDGKTLEETNANLDEIVELAKQLQGETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLATFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATAFAFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFMTDIAEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDIFIAHISGMDTLARGLRNVAKLIEDGSLDELVRKRYQSFDSEIGALIEAGKGDFETLEKKVLEWGEPTVPSGKQELAEMLFQSAL >KQL27096 pep chromosome:Setaria_italica_v2.0:II:47782982:47787480:-1 gene:SETIT_029691mg transcript:KQL27096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKLLLLLLLASCLCLSAVIAAQQTCPADLDSKCEDGASGDWEGEFFPGIPKINYEGPTSKNPLAYKWYNAEEVILGKKMKDWMRFSVAFWHTFRGTGADPFGAPTKAWPWEDGTNSLAMAKRRMRAHFEFMEKLGVDKWCFHDRDIAPDGKTLEETNANLDEIVELAKQLQGETNIKPLWGTAQLFMHPRYMHGAATSPEVKVYAYAAAQVKKALEVTHYLGGENYVFWGGREGYQTLLNTDMKRELDHLATFLQAAVDYKKKIGFNGTLLIEPKPQEPTKHQYDWDVATAFAFLQKYGLTGEFKINVECNHATLSGHSCHHELETARINGLLGNIDANTGDPQVGWDTDQFMTDIAEATLVMSSVVKNGGLAPGGFNFDAKLRRESTDVEDIFIAHISGMDTLARGLRNVAKLIEDGSLDELVRKRYQSFDSEIGALIEAGKGDFETLEKKVLEWGEPTVPSGKQELAEMLFQSAL >KQL23646 pep chromosome:Setaria_italica_v2.0:II:15698009:15700699:-1 gene:SETIT_029941mg transcript:KQL23646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSDEVVQIRHADAAGDPAVVTVSCPDKTGLGCDLCRVVLLFGLSVVKGDLSTDGRWCYIVLWVLPRRGRGRRPVPWDLLKDRLLQLCPVAAPFGFDAACLAAAGIQDAANPAPPQLFLLKLYCFDRMGLLHDVTRVLCELEFTIRRVKVSTTPDGNVLDLFFITDTRELLHTKSRREEAYDKLDSVLGDSLTSREIDLATEDMLSCLQACASLMPAVVEQMFNMDLIEEQSISTRGGDTISVTMDNSLSSVHTLIQIQCGDRKGLLYDIMRTVKDCNIQISYGRFYASQNRRCDVDLFVVQSDGKKILDLQRQGSLCCRLRMELLRPLRVALVDRGPDTELLVANPVEVSGKGRPLVFYDITLALKNLQKRIFLAEIGRHVVEDREWEVYRVHFGEEHDLSSSLRSKIVDGVTNMLMGRD >KQL22882 pep chromosome:Setaria_italica_v2.0:II:6077262:6078314:-1 gene:SETIT_032987mg transcript:KQL22882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAHLAAAAHAAGRSKGDSLLVTAVYNAADTSRVDVKLVDVTSGAIVTHLDKQRSTGHIATTGGLIFLAPTGSTAASIGVLNPATGAMTDIPTGTPANGGPTSRPAYVFGQVPATGGYKVLSIDTAGGYGHQPNQSCEILSLGGRWRSAPSPPVLVNMTVSRHRAVTQGFAHFLTTSRTAAAGDFDGIASFDLAKEEWRPSLLQGPLPSKSRNCCHSNLSLVELNGCLVFVHHDYLSCCIDLWALTDLEKGTWLRIQSLHLGSILHGWEEPKKDEPAPLIPTTHHRKEIFAQPLMVLDDGRIAFWVGVPNGAVRVYDPKTRKCKEVVAMGKSCSMVGLYKGSQLGLAK >KQL23546 pep chromosome:Setaria_italica_v2.0:II:14791115:14792006:-1 gene:SETIT_033596mg transcript:KQL23546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRYNTGGSYGVSQGGRGGFGVDAGYTGGYNATPGSYSGDSFNQGGGTPAYGGGNYGAGNNSYADNAPNNASVGKLDDLLSDLKVDGAGEAEGEGEPEDLGLADEDMKGKGQDEFAQDDFKDQDEPNEANKSS >KQL24385 pep chromosome:Setaria_italica_v2.0:II:29372336:29374073:-1 gene:SETIT_033538mg transcript:KQL24385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSDPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPRASQRLYHASMSTNKSIKLYDGYLHDLLFEPERDDIANDIINWLSARLDVLQRR >KQL24386 pep chromosome:Setaria_italica_v2.0:II:29372336:29375038:-1 gene:SETIT_033538mg transcript:KQL24386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWIGHGGSDGVHGYVSSLDHAVGDLKEFLEDIVLEENHGLPCFLFGHSTGGAIVLKVVAPIFSVLAPKYRVSALHKRGPPVSRDPEALKMKYSDPLVYTGPIRVRTGNEILRISSYLQRNLSRVTVPFLVLHGTADTITDPRASQRLYHASMSTNKSIKLYDGYLHDLLFEPERDDIANDIINWLSARLDVLQRR >KQL25932 pep chromosome:Setaria_italica_v2.0:II:41333323:41334067:-1 gene:SETIT_0291152mg transcript:KQL25932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATGDKFERPAVHAFLDSLMDLELEIPGSCEVLIGRLLHRLGSSKESSLQGLQETAASVFAKKSEPPSEQASNKKLTNTPPLSAPTVSPLARFLLTRWQNQVSQDLNSG >KQL26068 pep chromosome:Setaria_italica_v2.0:II:42166920:42168686:-1 gene:SETIT_029341mg transcript:KQL26068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFSVPSLIMEEEGRFEAEVAEVESWWGTERFRLTKRPYAARDVVLLRGTLRQSYASGEMAKKLWRTLRAHQAAGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSLPRAERARAPYVDFLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDVMGVETVLVARSDAVAATLIQTNVDARDHQFILGATNPGLRGRSLAAVLSDGMAAGKTGRELQAIEDEWLAAAQLKTFSDCVRDAIAGLNATEQEKQRKLQEWDAATSYDRCVSNEQARDVAARLGVASVFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPNVAECTAFAEGVKAACPEVMLAYNLSPSFNWDASGMTDGEMSAFIPRVARLGYVWQFITLAGFHADALITDTFARDFARRGMLAYVERIQREERINGVETLEHQKWSGANFYDRVLKTVQGGISSTAAMGKGKLLVQSCQ >KQL26067 pep chromosome:Setaria_italica_v2.0:II:42166381:42168772:-1 gene:SETIT_029341mg transcript:KQL26067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPFSVPSLIMEEEGRFEAEVAEVESWWGTERFRLTKRPYAARDVVLLRGTLRQSYASGEMAKKLWRTLRAHQAAGTASRTFGALDPVQVTMMAKHLDTIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQLYHDRKQREARMSLPRAERARAPYVDFLKPIIADGDTGFGGATATVKLCKLFVERGAAGVHLEDQSSVTKKCGHMAGKVLVAVSEHVNRLVAARLQFDVMGVETVLVARSDAVAATLIQTNVDARDHQFILGATNPGLRGRSLAAVLSDGMAAGKTGRELQAIEDEWLAAAQLKTFSDCVRDAIAGLNATEQEKQRKLQEWDAATSYDRCVSNEQARDVAARLGVASVFWDWDLPRTREGFYRFRGSVAAAVVRGRAFAPHADVLWMETSSPNVAECTAFAEGVKAACPEVMLAYNLSPSFNWDASGMTDGEMSAFIPRVARLGYVWQFITLAGFHADALITDTFARDFARRGMLAYVERIQREERINGVETLEHQKWSGANFYDRVLKTVQGGISSTAAMGKGVTEDQFKDSYPASGNGGSSLQVVAKSRI >KQL24516 pep chromosome:Setaria_italica_v2.0:II:30978073:30981298:-1 gene:SETIT_028941mg transcript:KQL24516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQEEGGGAAAEVIYLHGILEVTVFEAEHLHNAIHGRIMEAAEKLQETMGVHCLQHSRLYVDVDVGAARVARTREVEFHPTSPAWNQSFRLHCAYPAAAVTFTVKNQHLIGAGVLGAASVPAARVASGQHVECWLDLRGGEHAHETHTPSLRVRLHFSDVEHDPSWGAGIRLPGFAGLKPAFFPERTGCRVTLYQNAHLSDAFDPGVRLAGGRAYRPARLWEDLYAAIRDARRFVYVAGWSVNTGITLVRDPGRMVPGAEGVTLGELLKRKADEGVAVLVMPWQDNTSVSFLGNAGLMKTHDEETRRFFEGTGVRCFLCPRNADASLTVVQHVETSAEFTHHQKTVTLDAAAPGADGGRHVVSFIGGIDLCDGRYDDENHTLFRALDTTYLHDFLQNNYKYACLQRGGPREPWHDVHCRLEGPAAWDVLTNFEQRWRKQAPEDMRGCLLDLSPAALPYPAGLDDDDGAGSWNVQVFRSIDDASVEGFPSDPAEAAAIGLTCGKDVTVDRSIQAGYVEAIRRARRFIYIENQYFLGGCASWAEDRDAGCLNLVPVEIALKVAAMIRRGERFAAYVVTPMWPEGVPAGEAVQAILLWNRRTVEMMYGIVMEAIDDAGLRGQAHPCDYLNFFCLGNREAPLPGEYSPPETPEEDTDYWRAQVNRRGPIYVHAKLMIVDDEYVIVGSANLNERSLAGNRDSEIAQGSYQPAHLNGPCGRARGQVHGFRMSLWHEHFMGRHAGNDGGAVFLEPESVECVRAVRRAAERLWDVYTQDRVEDLPGYLLPFPITVSEFGEVGDLPVDGCFPDTRAPVRGRKAVKLPDILTT >KQL24234 pep chromosome:Setaria_italica_v2.0:II:27953941:27957943:-1 gene:SETIT_030136mg transcript:KQL24234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRKGGDRDANGGLAEATASRLRFDSDEEAEGVEMEVEESPEAAEGEEQQAEEEVIGSDKTSADYYFDSYSHFGIHEEMLKDIVRTKTYQNVITQSSFLIKDKIVLDVGAGTGILSLFCAKAGAKHVYAIECSQMADMAKEIVKTNGYSDVITVIKGKVEEIELPVPKVDVIISEWMGYFLLFENMLNTVLYARDKWLADDGVVLPDKASLHLTAIEDAEYKEDKIEFWNNVYGFDMSCIKKQAMMEPLVDTVDANQIVTNCQLLKTMDISKMTPGDASFTVPFKLTAERNDYIHALVAYFNVSFTKCHKLMGFSTGPRSKATHWKQTVLYLEDVITICEGETLTGSMTVTPNKKNPRDIDIKLKYMLNGHRCQVSRTQFYKMR >KQL24948 pep chromosome:Setaria_italica_v2.0:II:34798121:34799319:-1 gene:SETIT_032582mg transcript:KQL24948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSESSSTTICGKGKNKRKWTVAEDDELVKAMYEISLDPRWKGEGGFKNGYCSVLETHLAEKLPNCGISVVPHIESRVRHFRTKFGALEDENRKMLQCEKIQYEAHCMYHHEAKGLYGVTFSYYDSLAAIYGSDIAIGEGAEGLSEAEEEDRMSRETPRRSTDSASSSLKRRKTDRKGKDHVSATSSDPVLDMLHEVQGDLKGVATNMGKMAAMMEREEKLREKAITEMRKLGFTGSEQVKAANVFVRIPDQMSMLLTLDETLRREFILNMLGGM >KQL27324 pep chromosome:Setaria_italica_v2.0:II:49141237:49145225:-1 gene:SETIT_028740mg transcript:KQL27324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRVKIMLKLLGQEADSFGKRAEMYYRTRPEVISHVEQVYRAYRALVERYDHISKELHKANHTIATACPEEVQYAMLEDDEDGDLPRAITPINSHKIHKSTVEEILNRKRHGRPSGSNKPASAPRMTTEEAQDEISRLQKAILVLQTEKEYVKNSYESGIARYWEIEKQIADTQEEICLVQDKFDAHAAIHDDEARALMTIAALRSCQGTISRLVRHFEDLIRIADMESEKTKSLRSQLYAMNGNTDTSSRDASSTEMSVNRRAYPVTQRILELQPIYEKIDNFFANGSESSAEEIADNVDELVDKVVNLELKFPKQSAQINQLKQENENLKNKLDDLQDEMELRDDQSDLNAQLKLLEDEFNRVRILDKSIIEEEVSVNKGFSEVFSCIINISKALGSFDPEDLYNLSTDVGDGATVSTDMSLEYFTEESKGGEFRDTEALTLSDRLGQDREDVLEVVNDNGDDGIRGSKNGDGEKFSTENCILVRNKTSIHSDNHIDPFVRSENENGVDNSGEGNADSSSEEKCQRGSGNFAQGKILKGEYPLGIISQTHLLHSGSIDTLDKKYDYNDQGSSTEASKLLVEVAEGNTGDGNAFTGSSVVQEERIGDSKLQNIYGQISPVASSDLNTLKEKDPLEESLLAEATRFSGPDKTLNSQHTNEAKSVEELPNQGGHLNGPQKFESLNKCSQVVAPKEDGCISLGHVDNIQDMKNRINADAYSSDVRDGTSLCVPAGDSEETEVLYCQVSKVLTDSENVVSDIRYSQLEKKSSNGEELASKTTTSNNHGGRSQDEKAAIMGEECVPSWQEFLLDGLEGREAILLADYTSVLRNYKETKRRLTELEKRNQEHLEETKAVIRELRNANSIKYVEIRSLRNLLDSSEMPPSKAGSNSTASSSMRSFREIDRPNCILDGEISTVEESSFSNIEAPENTSSFEARFRNDIDTLVEENLQFLVRYSMACHHMQEFDRRYQEVQEEMEDTEDKKTGGSDTAAEPEPAEKKLRELRTELDVWFEQNALLDQEVQLKTASLCRLQEEIAEALRGSSEMAGARFTPYEAAKFQGEVLNMQQSNSKIESELQVASEHMRGLQAKVNDALRELRDSFEVSSQRLLRPETESSYEKQFKHFPSRTRVPLRNFLFGTKRKKKSIFACINPTLQKQFSDL >KQL24266 pep chromosome:Setaria_italica_v2.0:II:28236286:28241282:-1 gene:SETIT_029363mg transcript:KQL24266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPRLLCCLFLTLSLAAAARAATRHHEWDISYQFTHSDCVRKLAVTINGQTPGPTIRATQGDTVVVRVKNSLLTENVAIHWHGIRQIGTPWADGTEGVTQCPILPGDVFTYTFVVDRPGTYMYHAHYGMQRSAGLNGLIVVAAAPGGPDAEPFRYDGEHSVLLNDWWHRSTYEQSTGLASVPIVWVGEPQSLLINGRGRFVNCSAMAAGACNATHPECAAPVFAVVPGKTYRFRIASVTSLSALNFEIEGHPMTVVEADGHYVKPFVVKNLNIYSGETYSVLIKADQDPNRNYWLASNVVSRKPSTATGTAILSYYGGRSSTRRPPPTTPPTGPAWNDTTYRFRQSVATVAHPAHVEPPPPRADRTILLLNTQNKIDGRIKWALNNVSFTLPHTPYLVAMKSGLLGAFDQRPPPETYAHQGYDVYAVQKNPNTTTSDGLYRLRFGSVVDVVLQNANMLAANNSETHPWHLHGHDFWVLGYGIGRFDPAVHPATYNLKDPILKNTVAVHPYGWTALRFKADNPGVWAFHCHIEAHFFMGMGIVFEEGVERVGQLPQEIMGCGKTKGGH >KQL26580 pep chromosome:Setaria_italica_v2.0:II:45211831:45212061:1 gene:SETIT_033528mg transcript:KQL26580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSILMVLLVASTNIIFPSAYLNNEKFIH >KQL23188 pep chromosome:Setaria_italica_v2.0:II:9040872:9045849:-1 gene:SETIT_029632mg transcript:KQL23188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIERETPGNERARSWRANAAQEDSKKLEDSDHLIKLLWVILIGLIFALIIQSLAANLGVVTGRHLAEICKSEYPKFVKICLWILAEVAVIAADIPEVIGTAFAFNLLFHIPVWIGVLITGSSTLLLLGLQRYGVRKLEFLISMLVFVMAACFFGEMSFVKPPAVEVIKGLFIPRLKGPGATGDAIALLGALIMPHNLFLHSALVLSRKTPSSVRGIKDACRFFLYESGFALFVALLINIAVISVSGTVCFADNLSPEDAEKCSDLSLDSSSFLLKNVLGRSSAIVYGVALLASGQSSTITGTYSGQYIMQGFLDIRMKKWLRNLMTRCIAIAPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSSSKMGPHKNSIYIIVFSWLLGLMIIGINMYFLSTSFVGWLIHNSLPKYANVLVGLVVFPLMLIYILAVIYLTFRKDTVVTFVADSAQADAEKAKAAGEEEDQPVPFRQDLADIPLPE >KQL22857 pep chromosome:Setaria_italica_v2.0:II:5890420:5892508:-1 gene:SETIT_029454mg transcript:KQL22857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPGKVVRRWQRWAFANLGSAFTNFGSLWFILAPLVSLVATYAPRRLFQTYFNLFLRRRTRRVLNVVDPYVTIDISEPGSDVRYSRYGPVSDNDGTYEEVKAYLSGACSHEDARELRAEGAKDGDGLVISMRDGQDVADEFRGVPLWWSSVVDKDVQGQRQGKRRFQRLTFHLRHRRLVVDEYLPHVRRRGREILFSNRRRRLYTNSKSRDVYSYESKSWSYIDFDHPTTFNTLAMDRAKKKDIMDDLDAFRNNRDFYRRTGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDIYDVELTVVNTNTDLRRLLIETTSKSIIVIEDIDCSLDLTGDRARQRRRPGGPPKDDDRYYADDRPRNTVTLSGLLNFIDGLWSASGGERIVVFTTNHVDKLDPALIRRGRMDMHIEMSYCGFEAFQTLAKNYLDIDDHELFGSVEEILREVKLTPADVAECLMTAKRAGAGEPSPCLEILIDELKKRAEEKEKAEAEAKAKAEAEAKAWAEAEAKAAAEAEAKAAAEANASEMARGNTR >KQL27269 pep chromosome:Setaria_italica_v2.0:II:48935818:48939694:-1 gene:SETIT_028726mg transcript:KQL27269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQAKDSEDEREDVGRGSSSGRHIIRSTPVAEIRTKSHVDSAVGAPIRGPTISTSNADGATGRHLGTPEPIMKAEDASTKSFGIRADSGGAPDTKYATISVDGDAHKTCPSRVYESENCESPADQVSRDKAKGDHKREPDTRASTLCTPSVHKSIATAIPADNTKNIDRNSTHSSNQFNVNNDLQSNSSEENCSKKTVHSTEMSRKVDQKDDGHIPDPQHTISESFAEEKLRKRESNLGPEDKSASRNNQILGYSRRRSSKSVSPEANLRSVHQTTSSQCFEGNTSRTEFNVTPRKNNQDFSWHADTQGLQENEVIKHVVNRSGGGHAQRRKSILASFSSKAPNEAPDSETGISSSPLSSKESASEAAVSNLGRSPAESTKVDGHLNSGPTVNFSEKQMPGSFKSNLLSNRRTSLKLASPAEVEKLPENSANEKNMGALGEVNAPALHETTIEKHCAIFPSVNSEVRKESSGVSLQNQDTEMIDPEQVNKIEAAAPCSKPEKVVCHQELEADPKDIPVNEITDKDGTFPPKVSTSRVRNFGAKRSRNAGSKAAPQLINSKSEVAPSKPMHDKVASHENVETQQAEACCSPNAAKSTPLFPAEVLSNKSRNEVRSSSLGPNRKMNESLMASRAESVNMPLQRNTKGSHRKLSSTASVDENQGSSSQRVPNSKSSKSVAEGCRTADADMADSPTIEKTETIPSKSSFSEAVPPQNGEENHQKILTSASEADHGVCTANKVTDNRVRKVVAKRKLSAVQKKKSGSVSVSEDKSVSSARAAQSSRNANKVMVDQELQNTKEDRTNDTFGSLCKDAIKGRSKDMQSSKSRSNKRQKTADLVDDSTDYDKENIPVNSNFTSKTRAGNNSMSSKSTTKALQNSKIVLDDCGMIEGNNRGTLNMLEPTWFMLSGHRLLRKEYKAILRRLKGRVCRDSHHWCFQATHLVTTELRRTEKFFAAAAAGRWILRPDYLTACNEAGKFLEEEPFEWHGDGLNSGDTISLDAPRKWRQLKLRTGHGAFYGMRVIIYGECIAPTLDTLKRTIKSGDGTILATSPPYTRFLKSSIDFAVVSTGMPSVDAWVQEFMRHNIPCICADYLVEYVCKPGYPLSKHVLFNMHDLAEKSLQKLLRNQEDVMDADTEEEGEADVGCSTCGSNEQEGLMLTCGGSGGKQAGCGVRVHVDCCNPPVEAAPDGEWLCSKCDQGKSAKKAKKSAGKSRVLKRR >KQL27142 pep chromosome:Setaria_italica_v2.0:II:48039306:48040055:-1 gene:SETIT_031500mg transcript:KQL27142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGGGDEYQQYYGPRGAPHGLLMAVVLGLVVAGPLFMGDGGEAITAAVAELLGPTGLLLLPVGLILVIRILSSERGAAALADVLSFGGSPDSVHRVGGSPVGVALALTLIVVLLYYRTSLFGGDGGDDE >KQL24167 pep chromosome:Setaria_italica_v2.0:II:26916546:26917109:1 gene:SETIT_031421mg transcript:KQL24167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINPWAKRFKLQRHLYISHYTNQKGEIVSLIKEQRISPLQLTKAAPDGSSRNILAFMSVTQSREQMRWPTRQQLRLKTCSASSSPSRTPPKPPFHSTAARAGVGRQQLDFARRACWRRAASRGRAGVRGMGEASSATAMQSTPEMSP >KQL27044 pep chromosome:Setaria_italica_v2.0:II:47562802:47566466:1 gene:SETIT_029271mg transcript:KQL27044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGDGKPGHARTRNPTVNSRARPTLSPFSPFGLPLLNPSISISSAAAGEARREEMALYLLFESASAYALFHAYGIDEIGQSVDAVRASVLDLQRFGKTVKLAGFSPFSSAVDALNQCNAISEGIMTDELRNFLELNLPKVKVGKKAKYSLGVMEPKVGSHISEATGIPCQSNEFVQELLRGVRLHFDQFIDQLKKSDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINTFSMRVREWYSWHFPELVKIVNDNYLYAKIAKFLVNKSDLAEKDIPALADLTGDEDKAKEIVEAAKASMGQDISPVDLINVQQFAQKVMNLSEYRKKLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCYSELNTSIFGQKLREQVEERLDFYDNGVAPRKNLDVMKAAIEGITNAASEDGDCNEKSDVSAKKSKKKKSKADSAGSEAMDVDKPVAGEDEPQTEKKKKKKHKLEEPEDEGMATDDVKQDETPKKKKKKNREASEDIGPKTTTEGKKKKKKKKSKTDDDDV >KQL26609 pep chromosome:Setaria_italica_v2.0:II:45371094:45372261:1 gene:SETIT_031069mg transcript:KQL26609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSDNESGGPSNAEFSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYIEPLKLYLHKFRELEGEKLATGAAGSSGSGSALQPQRETTPSAHNGAGGAVGYGMYGAGAGGGSGMIMMMGQPMYGSPPGASGYPQPPHHQMVMGAKGGSYGHGGGGSSSPSGLGRQDRL >KQL26342 pep chromosome:Setaria_italica_v2.0:II:43850146:43851285:-1 gene:SETIT_032107mg transcript:KQL26342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDCDPPQPREWRDWASLGSGPAAVIAERALSNDYVDFLRFRAACRAWRECSAGVAPLPHGALDRRFLPRRWIMLPRRITSSDSQRWFLDVASGGCIRLSLPDLRHCYVLGRTAEGLLVLCRKDTYAVQLLNPLTGQVADLPDASTLLGLRDWSLYMALRNNFKLHGAGLVDGSTVMLHFGYSSLAIAKPGDDRWTRLEFDDRVFAALPFAGRIYCVTTRSISVVETVAGLPPELVVAVDDELDPGVCVLDRTCLLNDDGELVLAYRAWSSDEPNAQGPKYRMYRVKLGARKLVPLARLDGKAFFSGNSRSLLVSSGVSQSVAADTMYVCYKGNERTGQAKALAIGLHGGCCIELEHSFDKEDAAGYLSTYVCTSQD >KQL25814 pep chromosome:Setaria_italica_v2.0:II:40696140:40698211:-1 gene:SETIT_033112mg transcript:KQL25814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTACRDCLRYQSETPRRRGGPHLQPEHLLPDDYGGEEDRLGALPDDLLLDVLARLRCARAAARAGLLARRWRGLWTRLPDLVFHDTALERPLLSALARVAGPVASLVVIREHDGHIWPAQARISSSLRAAASKVFPQGLLVGVRVRRRARATAIELPCFDRTTAVELRVSRGRFKLPAAGGFPVLANLSLDCGHIDLGELLRRCSRLRKLLISDRRDGWIAVHSQSLEELDVHVHDFVQLRRIDIVAPALKKLRFNAGAGIDTSDFGLSFSAPVVEEVSWQCSCHSTTDRTQKQHASSSESACEHQLQHRSRVLSLDICTYDWHGAAQKFGKEMSRFLFTNFSILELDIRITGHVCGDMLLRLLGLSTSIQRLKSVSEACTVSCHCDEPNNWRSQSISLAELKEVEIQGFEGKDNEIDLLEVLLRCGTMLERVTIRLSSKISQSDRGT >KQL25089 pep chromosome:Setaria_italica_v2.0:II:35804609:35808035:-1 gene:SETIT_029041mg transcript:KQL25089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLATVSFPSRKPVPRTARGFKRSARLTPPPPTRVFRTSPPLPPRRPLKPPPPPPPPEPRQSRAPAMAFGALVASRLARSSRTLASAVAQAPVAQRTAPPLLSRLGAVARALSTKPAAADVIGIDLGTTNSCVSVMEGKTPRVIENAEGARTTPSIVAKNQNGDLLIGITASRQAVTNAQNTIRGSKRLIGRTFDDPQTQKEMKMVTYKIVRAPNGDAWVEMGGQKYSPSQIGAFVLTKMKETAESYLGKTVSKAVITVPAYFNDAQRQATKDAGRIAGLEVMRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDSALLEYLVAEFKKSDGIDLSMDKLALQRLREAAEKAKVELSSTMQTEINLPFITADTSGAKHFNITLTRSKFESLVSNLIERTRIPCVNCLKDAGISAKEIDEVLLVGGMTRVPKVQEVVSQIFNKPPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMATDNKLLGEFQLEGIPPAPRGMPQIEVTFDIDANGIVKVSAKDKSTGKEQEITIKSSGGLSEGDIEKMVREAELHAQKDQEKKALIDLKNSADTTIYSIEKSVSEYKDKVPAEVTKEIESAVSDLRAAMAEDDLDKIKQKLEAANKAVSKIGEHMQQGGGGSAGSGGTSSGDQTPEAEYQDAKEAKM >KQL25419 pep chromosome:Setaria_italica_v2.0:II:37951062:37952872:1 gene:SETIT_030633mg transcript:KQL25419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAVLRPLVGSPLLPARPLAARRRCRFRGGVRVRSATGEGGSGPGGGVGEGDGAAASWLSSAVGEKVDELLRREENRALLEGVQDAERRVERARAALADIERQEAAARLAREEVRRLEKRRDEIAESQRELLQAREMIDEAERSLSSSLEEGSFGDVSSGDIDEDSERLESVKAAAVSSIVGVLASLPVSFYEVQDLPQLFLRSSVIFISCALFGVTFRYAVRRDLDNIQLKTGAPAAFAFVRGLALLESGRTLELSTDTLISVVIDGAVSVVENIFIFLPAAVALDYCFKMRFLSPFPRRKQ >KQL27311 pep chromosome:Setaria_italica_v2.0:II:49103697:49106106:-1 gene:SETIT_030270mg transcript:KQL27311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATASSPPIPPTGWEGGARRQPPRTLSMPLRASRRSISASATGDLPVPAARDPISLPRPLTTADLMEPTGDGLKVAYQGCPGAYSEAAARKAYPSCHTVPCEYFETAFQAVEGCVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVHHCLLANPGVKIQNLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKLQDTGAVASSLAAELYGLNILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKRPLRVADDNCSAPLKHFDYLFYVDIEASMADPNAQNALGNLKEFATFLRVLGSYPTDVSEA >KQL27310 pep chromosome:Setaria_italica_v2.0:II:49104245:49106088:-1 gene:SETIT_030270mg transcript:KQL27310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATASSPPIPPTGWEGGARRQPPRTLSMPLRASRRSISASATGDLPVPAARDPISLPRPLTTADLMEPTGDGLKVAYQGCPGAYSEAAARKAYPSCHTVPCEYFETAFQAVEGCVADRAVLPLENSLGGSIHRNYDLLLRHRLHIVGEVRLAVHHCLLANPGVKIQNLRSAMSHPQALAQCEQTLTKLGIEHREAVDDTAGAAKLIAEQKLQDTGAVASSLAAELYGLNILAENIQDDTDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALRKINLTKMESRPHKKRPLRVADDNCSAPLKHFDYLFYVDIEASMADPNAQNALGNLKVLLYQFLFMALW >KQL26634 pep chromosome:Setaria_italica_v2.0:II:45499716:45503667:-1 gene:SETIT_029861mg transcript:KQL26634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTRKEKASAWRSLLGGCLGGGGGAKGDSSRQQRKVRPGGGRLSFTDLSGAADQDLSVSLVGSNLHVFSVAELKDATRGFVSGNFLGEGGFGPVYKGFVADGVKKGLKAQAIAVKLWDPEGAQGHKEWLAEVIFLGQLRHPNLVKLVGYCCEDEHRLLVYEYMEHGSLENHLFKQIPAVLPWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKASNILLDSNYKAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAKSDVYSFGVVLLEILTGRRSVDKTRPSREQNLVDYARPCLKDPLKLARIMDPAMEGQYSAQAAQSAALVAYRCLSGSPKNRPDMSAVVEALEPLLSLKDDVPLGPVGPVGPVVLFVAATETPAAAEEKKERTPRKDVRRRRPMSPKASPRKRGGAGQKEEFWVWHLPAEQKA >KQL23768 pep chromosome:Setaria_italica_v2.0:II:17992978:17994561:-1 gene:SETIT_029579mg transcript:KQL23768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSAATLIFLSLLSLPIVVTLLSRKLTPSSKKRRPPGPWNLPFIGSLHYFIKSHPQVALRNLAKRYGPVMFLRMGQIDTVVISSPVAVQEVLREKDIIFASRPTIVASEIFCYGNLDVGFAPYGAYWRTLRKLCTVELLSAKMVRQLAPVRDKETLSLIRNIQAAGQGGEPVNLGRLLLSCSSMITAKAAFGHACSSELREQFLSGIEVSMRFSGGFTFGDLFPSLRFIDVITGQRLRMWRAHRQLDAVFDKIIAECEGLQGDSLVSVLLRIRDEGELEFPIGTTNIKAILLDMFTGGTETTSSAAEWVMSELMRNPAVMAKAQIEVRRVFDNKNPQDHEGKMDELHYTKMVIKESMRLNPVLPLMIPHLCRETCDIGGFEVKEGTRVMVNTWAMARNPEYWQDAEKFKPERFEDGTIDYKGSRIEYLPFGMGRRRCPGDIFGLAALELIIARLLYYVDWSLPSGMQPDEIDMDMFVGATTRRKNQLHLVASPYREVSVQS >KQL22981 pep chromosome:Setaria_italica_v2.0:II:7037626:7041762:1 gene:SETIT_030123mg transcript:KQL22981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDEQPKPPEPPAAAATAAGVVVSAAPPQSHAEWAASIQAYYAAAGHPYAWPAQHLMAAAAAGAPFGTPVPFPVYHPGAAAAYYAHASMAAGVPYPTAEAAAAAAAAAAAAATAAEAKGKGKGGGASPEKGSSGAPSGEDASRSGDSGSEESSGTRDDDTDHKDSSAPKKRKSGNTSAEGEPSQTALVRYAAVESPYPAKGRSASKLPVSAPGRAALPNATPNLNIGMDLWSASPALAVPAVQVEANPGLALARRDSVTQLDERELKRERRKQSNRESARRSRLRKQQECEELARKVADLTTENSALRAELDSLKKACQDMEVENSRLLGGVAHSQGPSVTTTLGMSIEPPKVQHHDDEGQLHKNTSNNSNGNYAGGSHKAEANTR >KQL25341 pep chromosome:Setaria_italica_v2.0:II:37471368:37471592:1 gene:SETIT_033211mg transcript:KQL25341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPLRIPCPAAAFRRLLGALAETSRAAEEAGVAQVTSDNGNEWQRVEGGREGGTHRHQGWCRRGSGVETAAPATR >KQL25092 pep chromosome:Setaria_italica_v2.0:II:35846605:35849220:-1 gene:SETIT_030374mg transcript:KQL25092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRTEDGAAGSGETVCVTGAGGYIASWLVKLLLARGYTVHGTVRDLGEKKTAHLRQLEKASENLKLFKADLLAYDAMAAAIVGCQGVFHVATPVPSGKITDPEREMLGPAVNGTTNVLKAASAANVRRVVVVSSMVAVEIDPKDWPKDKIKDEGCWSDKEACRNNEDWYSVAKITSEQAALEYGKQTGLDVVTVNPAVVFGPLLQSTLNTSCQFLVYFLKGGPDQMRNKLWHIVDVRDTADALLLVYEAPEASGRHICAPHFISARDLLDLLKSMYTEYPFMSKESICDMDHPAPMTSDKLKKLGWKVRSLKETIADTIEFCKKAGFLEDVAGNPCRFPDIYNKI >KQL27319 pep chromosome:Setaria_italica_v2.0:II:49138265:49139192:1 gene:SETIT_033809mg transcript:KQL27319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPALEGQYPSRGLYQALAVAAMCVQEQPSMRPLIGDVVTALTYLASQTYDPEAHGNSRLVAPGTPPRTKNSSRSHGSVDQRGSS >KQL23264 pep chromosome:Setaria_italica_v2.0:II:10346268:10346825:1 gene:SETIT_031681mg transcript:KQL23264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAHAICGMANEDFKLCQPAAAVNDPTDSPSAECCAALGKADLGCICRYRGVAGIWMRIYHIDPSRAMALPGKCGLTMPGNCS >KQL24931 pep chromosome:Setaria_italica_v2.0:II:34701829:34702753:1 gene:SETIT_033607mg transcript:KQL24931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRALTHRIAKQIASRATTNRGVRAPPLLLLPRVRIALCFLPCLLCHSPSFKLPKPTSTMSRALTLAVLLLAAAAVAPLASAHGVGAESVTGAKEFAGAGSKGASAKEFARAVGADPDPSPASGLPADPAPDARP >KQL23015 pep chromosome:Setaria_italica_v2.0:II:7374710:7377641:-1 gene:SETIT_032065mg transcript:KQL23015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAEEVAEVEIRGWRWHCKQRGGDTTMYGHGGRLTESEARNEETEARSSGGAWMRSRIGVAWGAARGSGVRTFEVVRIYSGKMRGTDGPTLWRALPNGNEIPLYMWNGKHWTIPSDKAMRQANLRQQSASGKCQSSPVDATSGDDEHSSDPESSTAKKSRKEPQQQELILDEGSQRASVSETDASLRALGKSLASDHWQTAPPVASNHPLVSCPPLPEKNGLSNLAHKIVSSMSKNRILCSSSGNSASPEVSVPDVIGEAEFSRDAQSVPSNGQLNTLVCGRNVDEACDMLSIPEVRKQNIGIASSLRNQQIQERPFIVKALSVKKGISKNRRGETHPKAHPGKNDASDHESRNSSGKEIICASSASLECQKTSTWTRQRYHDHISYLTEKDQLKARLLEKTTSLSQIDERLDKKEQTIAKLEEELGRARGEAHKIAEEKEREDEELSRLNAANSSVEEACAAELQFQSIDRNNLD >KQL25445 pep chromosome:Setaria_italica_v2.0:II:38247309:38252010:-1 gene:SETIT_029202mg transcript:KQL25445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGADEVVKVVDLEDGEGEEEFAAAAEGSSRDTRMLPRMPVRVLLAEGDDSTRHVISALLRKCGYRVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDASKNIPVIMMSSHDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLANGGPDVQNIQQEENLTERIEQKIVATKVDNLNKDGTRKNRECSEQESDAQSSCTRSELEAESKQTNNVLEYKQPTERHFSIPSHKNAELNGQTKIRTKDNNLIPTREDDLSPKKRKCLNDNNSEKASRDIELVHIIDNQQKNNMQREVDTMRTTSTGNDEKGSIPAHQLELSLRRTDYGKLENQDKNDRRTLNHSTSSAFSLYNCRTASTLGNAGDGQLCSTSETQADVENRNGDSATPSHDTTETNRPPIRVVPFPVPVQGLTFDGQPFWNGTPVASLFYSQSAPPIWNSKTSMWQGSTPQATSLQQKSQQNDPNEMIPKPVENTEEQSAISPPNSSGKQLHVEIPKDGAWHVSPMTGESGTSTVLDSTRNTLSGSGCDSNSNRFTAPTESSNTFKDVPEAPNAEASRHLSQREAALNKFRLKRKDRCFEKKVGN >KQL25446 pep chromosome:Setaria_italica_v2.0:II:38247922:38251904:-1 gene:SETIT_029202mg transcript:KQL25446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGADEVVKVVDLEDGEGEEEFAAAAEGSSRDTRMLPRMPVRVLLAEGDDSTRHVISALLRKCGYRVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDASKNIPVIMMSSHDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLANGGPDVQNIQQEENLTERIEQKIVATKVDNLNKDGTRKNRECSEQESDAQSSCTRSELEAESKQTNNVLEYKQPTERHFSIPSHKNAELNGQTKIRTAKDNNLIPTREDDLSPKKRKCLNDNNSEKASRDIELVHIIDNQQKNNMQREVDTMRTTSTGNDEKGSIPAHQLELSLRRTDYGKLENQDKNDRRTLNHSTSSAFSLYNCRTASTLGNAGDGQLCSTSETQADVENRNGDSATPSHDTTETNRPPIRVVPFPVPVQGLTFDGQPFWNGTPVASLFYSQSAPPIWNSKTSMWQGSTPQATSLQQKSQQNDPNEMIPKPVENTEEQSAISPPNSSGKQLHVEIPKDGAWHVSPMTGESGTSTVLDSTRNTLSGSGCDSNSNRFTAPTESSNTFKDVPEAPNAEASRHLSQREAALNKFRLKRKDRCFEKKVGN >KQL25444 pep chromosome:Setaria_italica_v2.0:II:38247309:38252010:-1 gene:SETIT_029202mg transcript:KQL25444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGADEVVKVVDLEDGEGEEEFAAAAEGSSRDTRMLPRMPVRVLLAEGDDSTRHVISALLRKCGYRVAAASDGVKAWDILKEKSFNIDLVLTEVELPLMSGFLLLSTIMEHDASKNIPVIMMSSHDSVSMVFKCMLKGAADFLVKPIRKNELRNLWQHVWRKQLANGGPDVQNIQQEENLTERIEQKIVATKVDNLNKDGTRKNRECSEQESDAQSSCTRSELEAESKQTNNVLEYKQPTERHFSIPSHKNAELNGQTKIRTAKDNNLIPTREDDLSPKKRKCLNDNNSEKASRDIELVHIIDNQQKNNMQREVDTMRTTSTGNDEKGSIPAHQLELSLRRTDYGKLENQDKNDRRTLNHSTSSAFSLYNCRTASTLGNAGDGQLCSTSETQADVENRNGDSATPSHDTTETNRPPIRVVPFPVPVQGLTFDGQPFWNGTPVASLFYSQSAPPIWNSKTSMWQGSTPQATSLQQKSQQNDPNEMIPKPVENTEEQSAISPPNSSGKQLHVEIPKDGAWHVSPMTGESGTSTVLDSTRNTLSGSGCDSNSNRFTAPTESSNTFKDVPEAPNAEASRHLSQREAALNKFRLKRKDRCFEKKVRYQSRKLLAEQRPRVKGQFVRQDHSIQGS >KQL22161 pep chromosome:Setaria_italica_v2.0:II:297531:298506:1 gene:SETIT_031606mg transcript:KQL22161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLGRAAVPVKRVWRGLSARLRLRRATGLGRLRKEVRTCEYSDVHVMWEMLSSSSSSKSAGGGGGAMDSATAAAGKGSRRPRVGRKAAVAWRSLASYCCAL >KQL24812 pep chromosome:Setaria_italica_v2.0:II:33443876:33445919:-1 gene:SETIT_032421mg transcript:KQL24812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPFTAGVPQPCGGEKEGGRASPTRAPRHRSTPTPRFRPRPTARARFGSLAHSRPSTAVTDSATAAPVPARHPHRPQARGRRGSREVNNRSEEPRTTKKKSMAAAAATVMAVSSCSKRDGDIAATCMPKKAKRARPPPQEEVEAFLAAAESSMARRFAAKYNYDVVKDAPMDGRYEWVRVGP >KQL24058 pep chromosome:Setaria_italica_v2.0:II:25341939:25342463:1 gene:SETIT_031467mg transcript:KQL24058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKGRLQAAASRRLPAASNRLRSAEQSQLVPLGSAPASAGSLLREAPSGIRSSEGRSGAVLNGLRGGGATGDTTAPVSFSASTSSCPISLPSSSSASLVGTWQSADGTATSYCYYLDPFVGLYNTYYVPFLFLPFIN >KQL22313 pep chromosome:Setaria_italica_v2.0:II:1574912:1576735:1 gene:SETIT_030168mg transcript:KQL22313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPEARRRRRSREPRREEPRSPQQLVEDTVGEIVLRLPPDDPALLVRASAVNKTWRRAVAEPSFPASYRAFHKKPPVLGIFRTDAILIPTTSFCPAAAGYRDCQVLDCRHGRVLLENLDCGDIDVWNPITGDQYTLPEAPEDISLVCNGAVLCAAADDDCDHLACHTGPFLVALSGEWSGLTSIDLDYIAPDHFTTTCITVDVVPAALMENALYFIGDFGNEILKYEFDPEEPSLTAIDPPPGVETCCDGVVVMPEADGRLGFAYVEAHRLHMWSMQAGPDGNPQWEKRRVIPLELLLPPIRRASPYLTGFVHAINCIVVTTEDEVYTIELKSYKTRKICNKDMSYSGFLFTAFCIPDFAFVPPPPPGPPPPPPPAANED >KQL23092 pep chromosome:Setaria_italica_v2.0:II:8331567:8331953:-1 gene:SETIT_031740mg transcript:KQL23092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKKLDATAVLGLSLVALLLLSAAAAEADISYHAMGADGVPGKNHALFRPGAIANTYSRGCEAETECRGA >KQL23890 pep chromosome:Setaria_italica_v2.0:II:22489191:22489893:-1 gene:SETIT_032713mg transcript:KQL23890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRKCLLLTDLPMEALIIIADDIVASSFKPMEDLGNLGAVCRVMERACGNPSNGQRVVMLRIYMEGLEWLDPDRYYNLLALLVDVANPQACTLKGIADFFIGTDPSLNELSRAAVGGHNVGGPSMYIRCLECEDDSVAVGSAGPNKLPNDGCRVCREKAAYIVNSVTWRMHGEMLPPAPVRGDFPCARGDCGKVKGWEQATLFCNEDCMICH >KQL24002 pep chromosome:Setaria_italica_v2.0:II:24318529:24318842:-1 gene:SETIT_0309841mg transcript:KQL24002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPAPLPAALLSRSCSPAPRFLLSSFRAPAACRPPRAVARSVSVSVEAPAAAAEPAVAGAPSTTPRRRLILLRHGESAAGGRFTR >KQL23049 pep chromosome:Setaria_italica_v2.0:II:8038955:8045762:-1 gene:SETIT_029403mg transcript:KQL23049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARCASAARSPLLIHQHRRVPKVPSGGGGSLRVGGAGIGAGRGREGRRRAQVGVRVFARYSQAQDLSTRLQDRVGELPKLVEDLLQTSISTGPRGAFRMAQGIQAVLGVGGEWLNDLSKTANASAGIPAQMQLGLLSPLYLRRLFERMGATYIKLGQFIASAPTLFPAEYVEEFQNCFDRAPAVPYDVIESILREELQRPLDSVYEYIDHVPIASASIAQVHGARLKSSQKDVVIKVLKPGIEDTLVADLNFIYVVARILEFLNPELQRTSLVGIVKDIKESMLEEVDFRKEAANIQAFQGYIDAMGFDRQAKAPFVYQHCSTKRVLTMERLYGVPLTDLDSIRSLVPDPELTLVTALNVWFGSLISCESFHADVHAGNLWLLRDGRIGFIDFGIVGRISPRTWAAMEVFLASFAAEDYDAMASALSEMGATGNDINIDEFAKDLRKIFSSIQDLDTEIIVAAARGPDATAVSANVVLDERQMNALFLDLVRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPSMNMLRDERINISTDRQPRRTDRFQ >KQL23470 pep chromosome:Setaria_italica_v2.0:II:13883042:13883547:1 gene:SETIT_033732mg transcript:KQL23470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCCLLPNRSCRCSPSPWKRRMDLRERPPSATPTTPLLRLNSATPRISSGGAPPSPCPGKGGGRKRGSSWSTPDAASARPRTR >KQL24011 pep chromosome:Setaria_italica_v2.0:II:24521664:24525660:-1 gene:SETIT_028727mg transcript:KQL24011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTTAAAWLLLVVLLPLQVTVPAIAAGEDEAAALLAFRRASVADDPRGALAGWAGANSTAAPCSWAGVSCAPPPDGRVVALNLSGMALAGELRLDALLALPALQRLDLRGNAFHGNLSHAAASPSSSPCALLDVDLSSNAFNGTLPPAFLAPCGALRSLNLSRNALSGGGGFFPFAPSLRSLDLSRNALSDAGLLNYSVAGCHGLHHLNLSANQFAGRLPELPPCSGLSVLDVSWNHMSGELPAGLVAAAPANLTHLSIAGNNFTGDVSAYDFGGCANLTVLDWSNNGLSGARLPPGLASCHRLETLDMSGNKLLAGPIPAFLTGFSSLRRLALAGNELSGQIPDELSQLCGRIVELDLSNNRLVGGLPASFAKCRSLEVLDLGGNQLSGDFVDTVVSTISSLRVLRLSFNNITGPNPLPVLAAGCPLLEVIDLGSNELDGEIMEDLCSSLPSLRKLFLPNNYLNGTVPKSLGNCANLESIDLSFNFLEGNIPTEIMALPKLIDLVMWANALSGEIPDMLCSNGTTLETLVISYNNFTGGIPPSISRCVNLIWVSLSGNRLTGTMPRGFGKLQKLAILQLNKNQLSGRVPAELGSCNNLIWLDLNSNGFTGTIPPELAAQAGLVPGGIVSGKQFAFLRNEAGNICPGAGVLFEFFGIRPERLAAFPNVHLCPSTRIYTGTTVYTFGSNGSMIFLDLSYNGLTGAIPASLGSMMYLQVLNLGHNELDGTIPYEFSGLKSIGALDLSNNRLSGGIPSGLGGLTFLADFDVSNNNLSGPIPSSGQLTTFPQTRYANNSGLCGIPLPPCGHDPGRGGAPSASSDGRRKTIGGSVLVGVALTILILLLLLVTLFKLRKNQKTEEMRTGYIESLPTSGTSSWKLSGVHEPLSINVATFEKPLRKLTFAHLLEATNGFSAETLVGSGGFGEVYKAKLKDGTVVAIKKLIHFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKHGSLDVVLHDKAKASVKFDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDSNLEARVSDFGMARLMNALDTHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLSGKKPIDPNEFGDNNLVGWVKQMVKENRSSEIFDPTLTNTKSGEAELYQYLKIACECLDDRPNRRPTMIQVMAMFKELQLDSDSDFLDGFSINSSTIDESAEKST >KQL22892 pep chromosome:Setaria_italica_v2.0:II:6173462:6175186:1 gene:SETIT_032587mg transcript:KQL22892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGEDGAACGARRGLLGLESQFESDAEGNGRADGMEMGRARMSATGGGSVCRAVPEKRIVPTASGIGVLPLDAVYEILLRLPADELCRLRLVCRSWQSLTSDPGFTRAHASRHPLLAGVHIACRTGDEIRIVDLFSGDIVRRIVPTVQPRYGMNAQLDLVCVSAMSTHQPNSVLNLATGEVVATFLPNASGRDNDIISPLLLGHIPSTGEFKVFYYSHLLGEDGAHGMVQTCCVATLVGGRGGRRWRATPSPPAVLGSCLRDSVVVGGVAYILFSPLYNQRNNHANLEPDAMALFDMAVEETGAASGATEQPSRR >KQL22928 pep chromosome:Setaria_italica_v2.0:II:6423308:6423757:-1 gene:SETIT_032045mg transcript:KQL22928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTALGMATTLVGSALSVASSAAREEMGLLLGVQDDICD >KQL22907 pep chromosome:Setaria_italica_v2.0:II:6290173:6290601:1 gene:SETIT_032380mg transcript:KQL22907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIESHRSSAEVVSGDAICRKKSVELLEELGLPKGLLPMEDIQEFGYNRTTGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAYAEKGKLRKITGVKTKELMLWLSVVEVYVPEASPDKVTFKTGTGLSDSFDATAFAHGE >KQL23821 pep chromosome:Setaria_italica_v2.0:II:20493726:20498067:1 gene:SETIT_030110mg transcript:KQL23821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVADLTEEALDAILRCLTPADLIRAALACHRWRRAASRALPRAPPLLGYFFHPQGPAKPPPEPTSDKTHHPAVFVPLDAASPRLSLELSPTAARGLSIQDVHLGLILLLHHPRPQSLLPRIVAVDPASRRRVLLPPPPRGTLPDDRWRGDRSVIGVAVLSRAHPSRLSFDAVCVTIDGSLPRAWVASVRDGDCAWRALPRADRVVVDFDPWWFETRCVHAAGNIYWHICNSSRLLQLDPRTLEFSFMPVPAALGDRIKKYRIGEMPEDGRLCMAAIVDEEDLQIWVRGKARWSDRGWLLEREMSMTKVLDTVPGLPKESMGRMICTWLSDIDYARTGKVFIKTWGYGRYSFHMESGKLEHLVMKDGKEYGDPMYAYTLAWPPEFLAAKD >KQL23075 pep chromosome:Setaria_italica_v2.0:II:8250181:8253091:-1 gene:SETIT_030104mg transcript:KQL23075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRAVPALAVASAALLLLLLAPAARAAEDGCGAGGAAAEGDRARARALKIAAFFSILVCGALGCCLPVLGRRVPALRADGDVFFLVKAFAAGVILATGFIHILPDAFEKLTSECLPDAPWKDFPFAGFGAMVGAIGTLVVDTVATGYFTRLHFKDAGTAGAAAAAVSAAAVGDEEMQQQEAAAAAHAAGGGGDDHEGHVHMHTHATHGHAHGSTALVAAVGGGGGDGDKEHALRHRVIAQVLELGIVVHSVIIGISLGASEDPSTIKPLVVALSFHQMFEGMGLGGCIVQAKFKLRSIVTMILFFCLTTPVGILIGLGISSVYNEDSPTALIVEGILNSVAAGILVYMALVDLLAEDFMNPKVQSRGKLQLGINVSMLVGAGLMSMLAKWA >KQL23897 pep chromosome:Setaria_italica_v2.0:II:22703147:22703653:1 gene:SETIT_031370mg transcript:KQL23897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQHRGAMGGGGGGYGDLHRGGERGETQQRQSAMMTALKAATAATAGGSMLVLSGLILAGTVIALTVATPVLVIFSPVLVPAAITLALMAAGFVTSGGLGVAALSVFSWMYKYLTGKHPPGADQLDHAKARLASKARDIKDAAQHRIDQAQGS >KQL24929 pep chromosome:Setaria_italica_v2.0:II:34687257:34689945:1 gene:SETIT_030854mg transcript:KQL24929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKPAGPTPRTRTRGGLAASAPSSRRLSSISYTATPNQTKKVPDPPKAVRPTRATPAKKRPQVDQAQKRREEIAALQEQVSGLQRQLLEKEEALRSAENLISRTSSANEAVDGLRSQLSEKESLIQYTGSELHGTKIMLAEKQAAIEKLEWQAKVSNEKVEELQVDVASMDAEVSALMKLFRKITENDRAPSPRDRTDDLSLECDPVQLDDVDGDIDVEKMEQEMSAYVSALAAAKENPTDEFLEAVTKARLRLQAFVL >KQL22562 pep chromosome:Setaria_italica_v2.0:II:3521085:3529923:-1 gene:SETIT_028724mg transcript:KQL22562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAQTLQGKVMASYAPSSFSIQQQMSPSDFEMLQPDNMDQSTSPIRGIIIQKIASCLKKREQFAKTSPDYLLKFSRKIDECLYKRAPKVHYMDLSTLEVRVNALLNSVSHRNHRDSWISSAAPTTKNLQQLPGIQMIDSSVYHERVDPAFTNLPARARDMPTHTMFTSQRYLPYNHNVAAANFHLTERPESFRSTLVAPCVSALPKCSSGLGEIASAGFPNGHVKDNFPAVCDPTTSSNAMIRSSVDSISKASGQKLSAGSDSTGEGQSFQQYREYEKKLDGAWSQPVEQSVHSNSTTERHEMYQGQCQLDRCVEMKEKFWRMSDCEDFCRETYSSLSSPSAQYQCCLMADCDPCDPEGERIERSEQTSNSTVSKPSSPVSDESYGKRPVKRLKADVAGLVDVNQVESPKEQKPVVNGGNHACGETLHSEITELPTKSHCSSLGVINADTDDMLRQGSEGVHNMEIVTEKELHCVKDDIEMKDSKSVDQTASGVKLSLRRKGGASILYALTAEEIRDHLSSLINQHTCLGKLTSQETQNNEGLPDQNTCSLCGMEKLLFEPPPRFCALCFKIINSTGCYYAEVENGKDKSSICSKCHHLSSSRAKYVKRFDYAETDAETEWWVQCDKCKAWQHQICALFNKKCEGAKAEYTCANCFLKEKDSGDIYALESSTVLGAQELPRTKLSDHIEQRLSERLEQDRQQRASTSGKGAEEVPRVEGLTVRVVSSADRVLQVQPRFHEFFKQEKYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSDCPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILIGYLDYCKKRGFVSCSIWACPSTKRDDYVLYCHPTVQKMPKSDKLRSWYQNLIKKAVKEGVVVERNTLYDFFLQPANECKANISAACLPYCENDFWPGEAERLLEKKDDKTSQKQETQVGRLLRVAKRDDRKGNLEDILLVHKLGERMRTMKEDFMMLCLQQFCKHCHQPIVSGRSWVCTSCKNFHLCDKCHAEEQNTAQKDRHPATTKQKHAFERIEVESLPETDDGDPIMESKYFDSRIDFLKHCQDNQFQFDTLRRAKHSTMMILYYLHNSSCSACHHAVDRCLVWRCLRCIGCTFCDPCYKQNGESLHIHELTQIDTSKKNAQDYVECLVHASRCFDPRNCTLQVCLTLKKLFFHGVRCDIRARNWGGCQKCVFMWKILLHHSKGCNDTDCTVPRCRDIKVYMAEKMKLPGPV >KQL22283 pep chromosome:Setaria_italica_v2.0:II:1290355:1292602:1 gene:SETIT_032586mg transcript:KQL22283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIVSSAVVQETVNQVLSGLVKKYEEIDESDANRNLERLEMAHTRLEVALEISGKWQITDASLLRWRRKLKHAAQECDDMLHKCKQRILEDEQMEQRVRNSSFPSRIAHATKSFVSSIISCDNNKLMRSAVQRFEWFADGATEFLRFVDLGGTPRRHISFYSLVNNLFAGKELQHKIVGGNQHPSSQLWLEPFGSAEHGTEAHLIFIQTDDSLSVGNIYFSILLQISESTDIVGTAIQCLQLFAPPFKCTVENIMKELSQLPTQCLTWMPSVHSNQKDRFRLQNHASQWIRPKPLCCKKHNRHELRRICNPDMVGPIDDFLEPVTEVNLQCQVSLSLYNKQRNLPIEGRISLQDSSYLKAGIYFTPHRSSEGMLPGNRFSEIVAIVGEDQHCLQADVTLEQLEMTLPTSIDYFRQNTEATIYKMIWKSKHSSALIQVERESMSTQKTFGGTRKKRKGEQQVTPNLKFRRLTFAALPASRLTASAISAWNVTASHGHNS >KQL23940 pep chromosome:Setaria_italica_v2.0:II:23213955:23219393:1 gene:SETIT_030207mg transcript:KQL23940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASVTAGMQMLAVRSCIPACKQVLGSTSALSAFGRTLSTRTGFASCSKPTSAGPLISSNCKRVAVRAMSQGDAQGLPIDLTGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMEITKVYPLDAVYDTPEDVPEDVKANKRYAGASNWTVKEVAETVKNDFGSIDILVHSLANGPEVTKPLLETSRKGYLAAISASSYSFVSLLQHFLPIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAYEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAVTGSTIYVDNGLNTMGLALDSPTLST >KQL25914 pep chromosome:Setaria_italica_v2.0:II:41234288:41235959:1 gene:SETIT_029670mg transcript:KQL25914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTVVLVPVWGIGHFVPMLEAGKRLLARSARPLTITVLVMPEPMSKRASEIAEHIRQEEASGLGIIRFHHLPAVDPPTDHSGIEEYISRYAQLYAPQVKAAVAALTCPVAGVVVDIFCTTLFDAAHELRVPAYVYLITSAAMCALLLRSPALDEELEAEVEFEESEEGGVDVPGLPPVPASCLPTGLENRKIPTYKWFVYNGRRYMEASGIVVNTVAELEPRVLGAIADGQCTRGTRAPSVYTIGPVIPFTPSTGEKAAAHECVRWLDSQPPASVVFLCFGGTGSFTAPQAHEIAHGLERSGHRFLWVLRGQPEPGTKLPTDGNLVELLPAGFLEETKGRGLVWPAKAPQKEILAHAAVGGFVTHCGWNSILESLWHGVPMVPWPLGAEQHYNAFTLVADMGVAVPMEVDRKRNNFVGAGELERALKALMDDGGEAARKVRERAMEMKAACRKAVEEGGSSSVELQRLCEALVVGAVLPTK >KQL23635 pep chromosome:Setaria_italica_v2.0:II:15599661:15602611:1 gene:SETIT_0306122mg transcript:KQL23635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTSWLLSRRGPSGFCWSSTADQVTEGISAAGLTAVVTGASSGIGAETARTLALRGAHVVMAVRSVPAAQAVRDRVLAEAPGARLDVMELDLSSTASVRAFASEFVSRGLPLNILVNNAGVMAIPFALSKDGIEMQFATNHVGHFLLTHLLLDTMKKTSHESNVEGRIVNVSSEGHRFTYGEGIRFDKINDESVYSSIGAYGQSKLANILHANELARRFKEEDVNITANSLHPGSIVTNLLRYHSILDVLHRTLGKL >KQL23636 pep chromosome:Setaria_italica_v2.0:II:15599661:15602611:1 gene:SETIT_0306122mg transcript:KQL23636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRSVPAAQAVRDRVLAEAPGARLDVMELDLSSTASVRAFASEFVSRGLPLNILVNNAGVMAIPFALSKDGIEMQFATNHVGHFLLTHLLLDTMKKTSHESNVEGRIVNVSSEGHRFTYGEGIRFDKINDESVYSSIGAYGQSKLANILHANELARRFKEEDVNITANSLHPGSIVTNLLRYHSILDVLHRTLGKL >KQL26688 pep chromosome:Setaria_italica_v2.0:II:45770216:45770634:-1 gene:SETIT_033647mg transcript:KQL26688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIKSQSTAEYRLLQPVGTQPLHRTTRTTNIHSALIFESSPPEATSSAHQIS >KQL23178 pep chromosome:Setaria_italica_v2.0:II:8958206:8960122:1 gene:SETIT_032315mg transcript:KQL23178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIKKLWNEWEIQFLVLLSFTLQTFLFFSGSLRRRSKNMLLNFIIWTAYLGADLVAVYALGFLSRHEDTTTGSGTLRGVHQLAFFWAPFLLIHLGGQDTITAFAIEDNNLWLRHLLNLIVQVTLAIYVFWKSIDQYSYQLTAPGIFVSITGIIKYGERTMALMYGNLTNMSGILQKMKNTSDSNTTENVDEVRRLEQADDVGYLGIVSFALISAPGVRELFAGHTLHQMEVDQRDVLTSTIHEAHMPKLLEVELDLMYDDIYTKALVIRTKSGIILRCLSQISMVVAFVLFLVSNHHGYSRADVAITYVLFAGAFVLEACAAFMLLMSPWTWSWLKTRRFCGLAHLCTALLSSSIARSEGRPLWSNSMGQYNFLSYVGCEKSRLSKLVKRVARMTASLVGANEGKLLLWLSKLLDTEHVEVDKTTMESVIQTVYKSHGESTISLGDAQHWPNIGPFLKGLLPDFGASLGYGIVCFHLFTEVHLRNYQLTNNVSHLITACRNLSNYMLYLLVARPEMLPVSGTTRPTLKLFLDDIARENWDRQSLGVGDQLVLHRARFLVLKKLGLSSEPEACRETLEEILSVWTRLLVYSAGKSRAAVHASGLSTGGELITFAWLLMAHHKLGDVGQRNAFLLPGAD >KQL23315 pep chromosome:Setaria_italica_v2.0:II:10739392:10740537:1 gene:SETIT_032134mg transcript:KQL23315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDHVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL25430 pep chromosome:Setaria_italica_v2.0:II:38069827:38071607:1 gene:SETIT_029590mg transcript:KQL25430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYMLAAAAGVLIVLLYVVKNRRRGKLPPSPPSLPLIGHLHLIGRLAHRSLHELQLRYGGGLLFLQLGRRRTLVVSTAAAAADLFRNHDLAFASRPRSVGGERLMYGCKNVSFAPYGESWRRGKKIAVVHLLSPRRVESFAPVRAAEVAALVARARRAAEAGEAVQLRELLYGYTNAVVTRAATGAAGATAEKLKQLMGNSAALMAGFQPEDVLPDAPARFVRWATGLDRKIDDMAEAWDKFLSEIVAAHKEKGAGVAGEEDEDFLDVLLRLREEGADGLELTDDRIKSTVEDMIAAATETSSQTLEWTMAELVANPRVMAKLQDEIARAAAADEPAIAESDLNKMGYLKAVFKEVLRLHAPAPLLVPHESTAPAVVQGYEIPAKTSLFVNVWAIGRDPAAWDAPDEFRPERFVGGSAPLDFRGTDYQLIPFGAGRRICPGINFALPVLELALASLLRHFDWELPAGMRPADLDMGEAPGLTTPRRVPLVLVPKCKTLA >KQL26722 pep chromosome:Setaria_italica_v2.0:II:45955996:45960947:1 gene:SETIT_030417mg transcript:KQL26722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEVIKDIGSGNFGVAKLVRDVRTKDLFAVKFIERGMKIDENVQREIMNHRSLRHPNIVKFKEVVLTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTLTRILSVQYAIPDFVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMSVLTADMNFPSQSLEEIMAIIQEARKPGDGMKLTGQLPGLGSMELDDIDVDDIDVDDSGDFVCAL >KQL26723 pep chromosome:Setaria_italica_v2.0:II:45957318:45960947:1 gene:SETIT_030417mg transcript:KQL26723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTLTRILSVQYAIPDFVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMSVLTADMNFPSQSLEEIMAIIQEARKPGDGMKLTGQLPGLGSMELDDIDVDDIDVDDSGDFVCAL >KQL26721 pep chromosome:Setaria_italica_v2.0:II:45955996:45960947:1 gene:SETIT_030417mg transcript:KQL26721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSIAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLARKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTLTRILSVQYAIPDFVRVSMECRHLLSRIFVANPEQRITIPEIKNHPWFLKNLPIEMTDEYQMSVLTADMNFPSQSLEEIMAIIQEARKPGDGMKLTGQLPGLGSMELDDIDVDDIDVDDSGDFVCAL >KQL22325 pep chromosome:Setaria_italica_v2.0:II:1758731:1759264:-1 gene:SETIT_033471mg transcript:KQL22325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRRGGLPWIYRPVGVVPGSDRRSVVDSVSSFQSNRIGLPARLQIRIRHSESLPCLRVGLRHNGGKGKFPSFRTAMGCSWRRASRGTWGRWRSPGATARRSTRGSRGRTPDRAAARRRAQMAHGPSSPS >KQL26180 pep chromosome:Setaria_italica_v2.0:II:42817540:42821805:-1 gene:SETIT_028924mg transcript:KQL26180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGVRPPLAQLLLLPLLVTFFSSPASAADTIAANSSLADGETLVSAGGVFELGFFTPASSTARFLGIWYMGIAPQTIVWVANRDAPITGATASLAINATGSLVIADSSGRVFWSSAPSNTSGTGAPVAQLLDSGNFVLRDGGGAVLWQSFDHPSDTLLPGMKLGWDLTTGLDRHLTTWRSPGDPSQGDYTFGIDIRGVPEGFIRYNGTAPVYRNGPWNGLQFSGEPEMEPNNSNFRFEFVANASDVYYTFVVDGAAGVVSRFVLNQSSVQRYVWPPGGHAWSLYWSLPRDQCDHYAQCGAFGVCDTASGSPACGCVHGFTPASPRDWQLRDSSAGCRRVTPLNCTGDGFLQLRGVKLPDTTNAMEDKSITVDQCRQMCLANCSCLAYAASSIKGGDSGCIIWSSLLIDIRHFPSGGEDLYIRLAASDLPSNGGGPSKTNIVVAVFVSLAAFLLFGLGGFFFWNKFFRNKGTSQSTQRFNSFDSSIPLTPVQDRKLEDESGQNKDLNVTLFDMATIAFSTDNFATWTKLGEGGFGAVYKGELEGGQTVAVKRLSKFSTQGLGEFKNEVMLIAKLQHVNLVRLLGCCIHGEERILVYEYMENRSLDNFIFDKGRSAQLDWSKRFDIILGIARGLLYLHQDSRYKVIHRDLKAGNILLDRDMNPKISDFGVARIFGDDTDSHTRKVVGTYGYMSPEYAMDGVFSVKSDVFSFGVLVLEIVSGRKNRGIYSSGEQTSLLSHAWKLWREGNAVALLDETVAGAGEHRVSEVRRCVQVALLCVQERADDRPHMAAVFMALGNPGAVLPQPRHPGFCSDRGSTSTDGEWSSTCTVNDVTVTIVEGR >KQL22692 pep chromosome:Setaria_italica_v2.0:II:4649605:4655305:1 gene:SETIT_028702mg transcript:KQL22692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYQVMEGMEEQHKLLKRKLPAILDVIADAEQQAAKHREGAKAWLEEVRTVAYKANDVLDEFKYEALRRKAKVEGHYKALGMDVIKLFPSHNRVVFRYKMAKRLCMILQEIDVLIAEMNAFRFKFKPQPQMPMQWRQTDACIPTESVEIASKSRAQETKVLVDRLLAGASSKDLMVLPIVGMGGLGKTTLAQLVYNDPEIQKHFELRLWVCVSEDFDVDSLANRIVKENGCKASESSSALDNLQKAVSGKRYLLVLDDVWNRDEPSKWERLKSYLQHGGSGSSVLTTTRDEAVAKLMMGTTERAYKLGSLDEESIGKIIKARAFGSKQEKEWPGELVHMVGEVAKRCAGSPLAATALGSLLSTKTSKQEWKDVLNGSTICDEENGILPVLKLSYNCLPSYMRQCFAFCAMFPKDYEIDVQMLIHLWMTNGFIPEQSKVCPETFGKGIFIELKSRSFFQDLKNVPFYENGYSIHGEGDEHRYCSRITCKIHDLMHDVAQSAMGEECAAIATHPSEREDVLRSARHLYLSIRRSETLLSASQEKGSPAFQTLICDGYVGDLKILSKYNSIRALKIRCGSILRPKYLHHLRYLDLSRSDIEALPEDISILYHLQTLNLSYCDWLERLPKQLKYLTGLRHLYTHGCEKLKSMPAELGRLTSLQALTYFVAGTDDSGCSNLGELQNLDLGGTLELGNLKNVTGADAQAAGLGNKKKLTQLELCWTDSDQEAQNNNHKEVVEGLKPHDGLKALRINHYGSTTFPTWMNTLKGMVELTLFRCKKLEELPALWELSALQILHLKGLESLHCLCSGGTTPITFPKLNVLILLEMAKFEAWREAHEVQGEEPIFPKVEELVIKECTSLTALPKAASVITELSGRVDTKCRSAFPALRKMKLYKLTVFERWEAGEGISGEEVTFPLLENLSIHDCPRLTDLPEAPKLSELSISKGHGQQQISLEAASRCIPSLSRLTLTVSPDDTETTLLHVKNKLNGTLALAAMRLGRCDIFFSSSSSALALWTCFAQLVDLDIWDCDALVYWPENVFQVLVCLRKLAISRCSKLTGRTQASDEQSAPAPERGGLLPCLESLGIVDCESLVEVPIPASLKKLRIWGCSSNVGSIVFGHPEDTRLVSGEGVVRPNTSSLIPGSSSSEATASTAVLKLSSAANHRFLPFQCLEFLGIACCSGLSEVANLPPSIKTLRICGCGKFQSLSGDLRLLEELKLYHCERLVSLPDGPQAYSSLRVLRIENCDGIKLLPPSLQSRLGYLEEKDLDARYEELPEPTWKRSIRKLVCSK >KQL22693 pep chromosome:Setaria_italica_v2.0:II:4649605:4655305:1 gene:SETIT_028702mg transcript:KQL22693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLDQYQVMEGMEEQHKLLKRKLPAILDVIADAEQQAAKHREGAKAWLEEVRTVAYKANDVLDEFKYEALRRKAKVEGHYKALGMDVIKLFPSHNRVVFRYKMAKRLCMILQEIDVLIAEMNAFRFKFKPQPQMPMQWRQTDACIPTESVEIASKSRAQETKVLVDRLLAGASSKDLMVLPIVGMGGLGKTTLAQLVYNDPEIQKHFELRLWVCVSEDFDVDSLANRIVKENGCKASESSSALDNLQKAVSGKRYLLVLDDVWNRDEPSKWERLKSYLQHGGSGSSVLTTTRDEAVAKLMMGTTERAYKLGSLDEESIGKIIKARAFGSKQEKEWPGELVHMVGEVAKRCAGSPLAATALGSLLSTKTSKQEWKDVLNGSTICDEENGILPVLKLSYNCLPSYMRQCFAFCAMFPKDYEIDVQMLIHLWMTNGFIPEQSKVCPETFGKGIFIELKSRSFFQDLKNVPFYENGYSIHGEGDEHRYCSRITCKIHDLMHDVAQSAMGEECAAIATHPSEREDVLRSARHLYLSIRRSETLLSASQEKGSPAFQTLICDGYVGDLKILSKYNSIRALKIRCGSILRPKYLHHLRYLDLSRSDIEALPEDISILYHLQTLNLSYCDWLERLPKQLKYLTGLRHLYTHGCEKLKSMPAELGRLTSLQALTYFVAGTDDSGCSNLGELQNLDLGGTLELGNLKNVTGADAQAAGLGNKKKLTQLELCWTDSDQEAQNNNHKEVVEGLKPHDGLKALRINHYGSTTFPTWMNTLKGMVELTLFRCKKLEELPALWELSALQILHLKGLESLHCLCSGGTTPITFPKLNVLILLEMAKFEAWREAHEVQGEEPIFPKVEELVIKECTSLTALPKAASVITELSGRVDTKCRSAFPALRKMKLYKLTVFERWEAGEGISGEEVTFPLLENLSIHDCPRLTDLPEAPKLSELSISKGHGQQQISLEAASRCIPSLSRLTLTVSPDDTETTLLHVKNKLNGTLALAAMRLGRCDIFFSSSSSALALWTCFAQLVDLDIWDCDALVYWPENVFQVLVCLRKLAISRCSKLTGRTQASDEQSAPAPERGGLLPCLESLGIVDCESLVEVPIPASLKKLRIWGCSSNVGSIVFGHPEDTRLVSGEGVVRPNTSSLIPGSSSSEATASTAVLKLSSAANHRFLPFQCLEFLGIACCSGLSEVANLPPSIKTLRICGCGKFQSLSGDLRLLEELKLYHCERLVSLPDGPQAYSSLRVLRIENCDGIKLLPPSLQSRLGYLEEKDLDARYEELPEPTWKRSIRKLVCSK >KQL26326 pep chromosome:Setaria_italica_v2.0:II:43765952:43766602:-1 gene:SETIT_032653mg transcript:KQL26326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGRAASCVVPASMARPSATAGRDELFIPVARRYVEAGSFDSCFSVPAGGRSRCVFAGGGTTARRAVPPPVGNGSKLQLRWILSLGGGRTYWFVMAALGPAPWISVPHLCDSDGYTLACRRAPAMCIHEDLDGASARNRPGGTGCSSRMGLPCQKRSSRNGNTQFEAACRQPHPPPSGSCLHGASAARCSAHLDAPPLCLVWPFPPL >KQL22548 pep chromosome:Setaria_italica_v2.0:II:3469602:3474171:1 gene:SETIT_029339mg transcript:KQL22548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLRLPSLLSPSKPLLRRRLPAARLAASAASRGQASAAAGAAAPAAAETRGGDREGQVTPRSADFNAWYTDVIAAAELADYGPVRGTMVIRPYGYAIWEAIHPEGRMITCAAVSVVMIKSLCNSTPVGVKFVHFCTKWDYLNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTKWIQSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATLEEAEKEAMQMIDVYTKFAYEQAAIPVIPGRKSRVETFAGANRTYTIEAMMGDKKALQAGTSHNLGQNFSRAFGTQFMDENGQIEHVWQTSWAISTRFVGGIIMTHGDDAGLMLPPRIAPIQVIIVPIWKKGDEKAAVLEAVDSVQKILKEAGIRVKVDDSELRTPGWKFNHYEMKGIPVRIEIGPRDVTNKSVVVSRRDVPGKQGKEFGVSMEPSILVNHIKGRLDDIQASLLQKAITFRDSNIVDVSSYGELKEAIAEGKWARGPWSASDADELKVKEETSATIRCYPFEQPEGTKKCFMTGNPAEEVAIFAKSY >KQL24835 pep chromosome:Setaria_italica_v2.0:II:33622263:33624983:1 gene:SETIT_030055mg transcript:KQL24835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESKTIQPPTYGNLVTILSIDGGGIRGIIPAVVLSFLESELQKLDGEEARLADYFDVIAGTSTGGLVTSMLAAPNKKNRPLFAAKDIQEFYMNHAPKIFPQKRGPFGGIMRIFRSLSGPSYDGKYLHEVVRKKLGITRLHETLTDVVIPTFDIKRLQPTIFSSYEVKNDKCNTMDALLSDICISTSAAPTYLPAHYFKTEDCHGNTKEFHLIDGGVAANNPALVAIGEVTKQIFKENPDFFPIKPMDYGRFLVISLGTGTAKYEVNYNAQTAKSWGVLGWLLGSGSTPLVDIFTQASADMVDIHISAVFKALHSEQNYLRIQDDTLQGTLSSVDIATKDNMEKLASIGDALLKKPVSRVNLETGHMVPAYHSPEMTNKEALKRFAKLLSDERRIRKARSPK >KQL22473 pep chromosome:Setaria_italica_v2.0:II:2898805:2902521:-1 gene:SETIT_029020mg transcript:KQL22473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEWKRKVSLFDVSDDYEASLSALFTRLSRVSINGAAAAANPPPINPWSGRPYSARYLKLLEKRRELPVWRHKDEFLRALRDNRTLILVGETGCGKTTQIPQFVLDAEDLGNHSAVACTQPWRLAAVSVARRVAQEMDVAIGEEVGYSVRFKHLCSYKTVLKYLTDAMLLREAMADPLLEKYKVIILDEVHERTLATDVLFAHLKAVLKKRPDLKLVVMSAALEVEKFQGYFSGAPLMKVHGRLHPVDIFYTQEPERDYMEAAIRTAVQIHMCEPAGDILVFLTGEGEIEDACWKINMEINNFGDHVGPVEVVPLYSTLPLAMQLKVFEPGPPSLRGGPPRRKIVVSTNIAETSLTIDGIVYVIDPGFTKHKVYDPRIRVESLLNSPISKASAHQRAGRAGRTRPGKCFRLYTGKSFNEDLQQQTYPEIFRLNLAYTVLTLKKLGIDDLVHFDFMDHPAPETLMRALEVLNYLGTLDDEGNLTHLGEMMSEFPLDPQMSKMLVMSPKYNCSNEITTIAAMLSDLNHLVYVWHLYYFDCNITMCKQSARFLVSPNCFLRPREARKAAGEARSRFGHIDGDHLTLLNVCHWYKQNSKSSTYDYFINARALKYADKVRRQLVGIMTRLGLKVCSTDFNSRVYYVNIRKALVAGYFMQVAHREQNGHYLTVKDNQIVHLHPSNSMDHKPEWVFFDEFVLTTSNFIRTVTDVRGEWLIDIAPHYYDLSNFPLCEAKRALEKLYGKREREASVTRVRRLYLTPPAAP >KQL22487 pep chromosome:Setaria_italica_v2.0:II:3082111:3083153:-1 gene:SETIT_032469mg transcript:KQL22487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSDPWQDLKYHEPSTAAIAAYPELHKYHAPFDLALGQSMVWSNNADAGQGQSFGLYSPYGAQPMHGRVLLPPAIAADEPVYVNAKQFNGILRRRLARAKAARDLRVSRNRKPYLHESRHLHALRRARGTGGRFLSTSSLAAGDQAPPLASTSLGGPEPTKGSASTPARLQPGQVRQDVFLSPLINMAGNGDGQARWASAAPRACCDLLKV >KQL22739 pep chromosome:Setaria_italica_v2.0:II:5020600:5029771:1 gene:SETIT_032906mg transcript:KQL22739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDPEGIFRDDCDEDDDNVQGGNKEMVVYLVYASLKMFTPATTQEDEKQETHFHTIVYCITQSLKTQIIGGSYDEVAICFFNTKEKKNLQDLAGVYVYNVGDRDPLDRPTAKLIKDFSCIEDSFMSNIGSRYGITAGSRENTLYNALWVAQTLLRKGYTVSKRIPIFTNEDDPFGDMTGAVKTDMIRTTIQRAKVLLAFLWDAQDLGRSIELLPLSRPDEEFNMSLFYADLIGLDGGEITEYLPSAGEKLEDMTDQLRKRMMKKRRVKPLSFAITNDVCIEVNTYALTHPTTTGTITWLDSVSNIPLKTERSFICNDTGALLQDPQMCFQMYNVTVVKFSIRELSEVKRVSSHHLHLIGFKPLDCLKDYHNLRPSTFVYPCDEHIFGSTHVFVALHSSMLRLGRFALAFYGNPTRPQLVALVQINKPKMSGVPQNITIQIYFYKMTRIVCYAGQVEPPGMHMIYLPYSADIRYPEEVHMTSDEAPRATDEQIKKASNLLKHIDLKNFSVCQFANPALQRHYGILEALALGEDEMPDIKDSLPDEEGLARPGVVKAIDEFKGVASVYGENYDREEAEAAAAKASRGGDASRKRKAIADAASLKSAAYDWAELADNGKDMTVVELKSYLTAHDLPVSGKKEAL >KQL23471 pep chromosome:Setaria_italica_v2.0:II:13903832:13904319:-1 gene:SETIT_031763mg transcript:KQL23471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPPAACTLKHWRGKERHGHGHGPAWGMGTSSGSPLTVLRLGRSWKDCSPTSPPLLLGSSRHAPAR >KQL26091 pep chromosome:Setaria_italica_v2.0:II:42289205:42291649:-1 gene:SETIT_030051mg transcript:KQL26091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRWLLLCLLFPLPFFAVPSKPGRGIRLELTHVDARGLLAGADRVRRAAERSHRRVNGLLAAVTAPSSSPTLRSDGSGAGAGAAAASVHASTATYLVDLAIGTPQLPLTAVLDTGSDLIWTQCDAPCRRCFPQPTPLYAPARSATYANVSCGSKLCEALPSPRSSRCSASAPEPGCAYYFSYGDGSSTDGVLATDAFTFGSGATVNGVTFGCGTDNLGGTDNSSGLSSPFVPNPAGPRRGSYYYLSLEAITVGDTLLPIDPAVFRLTASGRGGIIIDSGTTFTALEERAFVVLARAVAARVALPLASGAHLGLGLCFAAPEGRGPEAVDVPRLVLHFDGADMQLPRASVVVEDRVTGVACLGMVSARGMSVLGSMQQQNMHILYDIESGVLSFEPANCGQL >KQL24762 pep chromosome:Setaria_italica_v2.0:II:32900437:32904710:1 gene:SETIT_029781mg transcript:KQL24762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAAFCSLATPKPAALPGHEMPLNPSSAFCSSLLCRSLRASLRRISPMASAAAPTSAPAIAAENGAAKATEQRPVQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQAINAGKNQYARGFGVPELNSAIAERFLKDSGLQVDPEKEVTVTSGCTEAIAATILGLINPGDEVILFAPFYDSYEATLSMAGANVKALTLRAPDFAVPLEELKAAVSKNTKAIMINTPHNPTGKMFTREELEFIATLCKENDVLLFSDEVYDKLAFEADHISMASIPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGLRQAHSFLTFATCTPMQAAAAAALRAPDSYYEELKRDYGAKKAILLEGLKAAGFIVYPSSGTYFIMVDHTPFGFHNDIEFCEYLIREVGVAAIPPSVFYLNPEEGKNLVRFTFCKDEDTLRAAVERMKTKLRKK >KQL25076 pep chromosome:Setaria_italica_v2.0:II:35731187:35735048:-1 gene:SETIT_030165mg transcript:KQL25076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVRPSSEQGVMPGREPFGLPKSPPTPPSSGGPPQSLRMAFTTDGTPVFAPVSSAPPATATYQPFGGAAATSLAGAGGNGGAPVHPPGGAGEPVAKKKRGRPRKYGPDGSMSLALVHTSMAAAPGSAAPGASGPFSPEGAKTPSTAPSASPDGAKKRGRPKGSTNKKHVPALGSAGAGFTPHVIFVKAGEDVSAKIMSFSQHGTRAVCILSANGAISNVTLRQSATSGGTVTYEGRFEILSLSGSFLLSENGGHRSRTGGLSVSLAGPDGRVLGGSVAGLLTAASPVQIVAGSFNTDGKKEPPKQQQQQQQQQQLAPSPSDPSPAPLKVTPAAPSSPPSRGTMSLSESSGGPPSPPHGGASGGGSHGQQQSGGFSGLSWK >KQL23440 pep chromosome:Setaria_italica_v2.0:II:12698139:12700747:-1 gene:SETIT_030787mg transcript:KQL23440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVGHDPPPDHIGHGPPPPDPMKIATDAQLDRHSEAIVMIVEQKIKGSGRREKKHTVFVASGFIIGKKQDKRTLMVITCAHVISSIVGVSTNPEILKVRLFGSTTESEATILHIDNKRDLALLSVVVPNVNYFPVVRLSSAIDKPPETIVVLVGYYHPANALAKELGERNLLPTMPSAVAGTILGPTVNQGKMLLVNHGCHGMRGTSGSPLICHDTGGAIGVFLGTVSQYHQAVATETVIEFLKEWLVANHAIVNNDDGINDTVENCVKLL >KQL24970 pep chromosome:Setaria_italica_v2.0:II:35108068:35109259:1 gene:SETIT_032167mg transcript:KQL24970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAGELPLADILIRLPTLADFGRACASCPAFRRVITGHSFLRRLHALHPTSILGTRTFFGFHPAEAPNPSAPAGRALAEAADFDFSFLPKPGFWMVRDERGGLFTATTGHLHHFAVCEPCRAGAPESFTVIWMALCPTKLVAFVFSSTSRQWRAVAPASWSDLNPFMPSVTKRKSLRYRNHAYGCFYWSLSQFPYHPNLIVLDMDKMKFFPAKNPPTHNLERFAIVELGEGRRGMFMLASNNIEGCVLRVFSASREIHGGCASEWLLEKEIPLSPSYKYDMLGAADGKLLMVLTHNASSATEVHCCLLDFETLDRQAIQGVIHHDFSPLVPLPLPALHIGYPPSLSLPTI >KQL23985 pep chromosome:Setaria_italica_v2.0:II:24097626:24102108:-1 gene:SETIT_030255mg transcript:KQL23985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGDGRAGLPRIAVVGAGIFARTQYIPRLREIAHLVVLKAIWSRTQESAKAAAELARDFAPEIECKWGDAGLEEIMGDSSIMGVAVVLAGQVQVELSLKMLKAGKHVIQEKPASGSTTEAETALSIYNSFPNQFPYKPIWALGENYRFEPAFVESSKLIKDIGDMMNIQVIIEGSMNSSNPYFNSSWRRNFVGGFILDMGVHFIAGLRMLVGSEITSVSSISRHVDMSLPPPDNICSLFQLENGCAGVFVFAVNSRSPKILWRVDGTKGTIQVERGVDSGKHGYQVLFSGENGQCQKTFYPFCGVNEELKTFVQDMLAASKDGDHKAEPRSSYVEGARDVAVLEAMLESSVKQGTPVQVKRFP >KQL22651 pep chromosome:Setaria_italica_v2.0:II:4194682:4196514:1 gene:SETIT_029470mg transcript:KQL22651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLVEKEGDTIFSYSLKIRTLYLASCNLTKFPESLKYLDTIQRLDLSNNQIEGAIPSWLWEKPLVRLNLSHNMFTTLERSPTFQMTDLDSLDLSFNRIQGSIPIPSTPSYLVLLDYSNNDFSTMEPNFGRYLRNAIYINLSKNKLSGHVPLSFCSLNQLKLMDLSYNNFSGPIPSCLMERVDLSILKLRGNKLHGVLPENIREGCKLQTIDFNENQIEGALPRSLAKCQDLEVLDVGSNHIVDSFPSWMGTLPKLQILVLRSNKLYGTIRDLRRGYQHFTSLQIVDLASNHFSGDLHSEWFENFISMMNNSNDEGQILEHHPTAAMARLYQDTVTITFKDAVLSITKILRAFKVIDLSNNSFEGSIPSSIGRLASLHGLNMSHNNFTGEIPSQLGKLTRLESMDLSCNHLSEEIPQEFTSLTSLSWLNLSYNNLTGRIPQGNQFLSFPSSSFEGNAGLCGIQLSKECDPHPDSTTPSTLAPEHNALWQDRLDAIILFLCAGLGFGVGFALAIIFGPFYHIEEWLCKHL >KQL23032 pep chromosome:Setaria_italica_v2.0:II:7773492:7775273:1 gene:SETIT_032125mg transcript:KQL23032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATSSSPCCAGSSPSGSGAGAVTIPFVLLAATVLSSHAFGVRASWAAGDPGLSKAVADHMKDHAATMNAGKYLVRGPCHRVARGGTTSSSPYRCEMPKDDVITVDMVWELCDREEATFASHGVGSSRIRGACLSYSLSHLLKRRFFGLDCAEAGLAETRRLVIDGLLSEYHADEYTEVFRVIEVELGFLYDFFYTKYACIFEVETTFFFTAVLKIILTFVLGIIVLLKSNFLLKSIPVAESTTRTVDIVVTVLVLGVFVAVEAWHTVSYLGSDWAMVSLACCRLTSSTNRFLPFALRKPFGFLGRRPLFGYWHNSIGQYSVIESSRFLRHSKAFSFETEFEPMLVFSVTAEYLRRAWGNLTTSKSLHFVELPEMLKPQIISFLKSNSDGHPLTNGKASLQRNGVYRQLSWTLQNETQAENMLIWHIATDYLIIALPDDAKGSRQSLSYQHREVATKLSGYCAYLMSEAPELLPGNSVETKFIFEHALYEARETLGSEMRERDQLRKVLTGSGDAGTIFTKGLKLGAKLETIREGSLRWKLMAEFWVETILYVAPSDNAVAHMERLAQGGEFLTHIWALLTHAGILTRNLEPIPD >KQL23660 pep chromosome:Setaria_italica_v2.0:II:15866664:15868377:1 gene:SETIT_029786mg transcript:KQL23660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSSPPDVRLVDRIRVSPAPSDSAQEHALPLTFFDVAWLFTGPVERLFFFRHPDPSSALPLLRSSLPVALRRFYPLAGTIRPHAPFLCSYARGADALTLVVAEFDSPDDFDHLVARAPRDLSRIRALVPQLPPPGDDGAFALAALQVTVFPGRGLCLGVSVHHAACDDASTMHFVRTWAAACRLGLESGDGSGDATLPPPPVLDRSLVADPDDLRGKTLAGMARLAPPPPPPPQQQQEEQTPMVMASFLLPRHQIDRIKEGAAARSDAKASSFVAASALAWVCLLKSGSAGVAGAERSHMLFSAECRSRLAPPLPAEYFGNCLRPCFVEAATADLLSGDTADGVAAAASAIGSAIREMEQGVLEGAEGWLGRVLSVLPERPMSVGGSPRHGVYETTDFGWGRPARVEMVSVEKTPGTVALADSPEGDGGIELGVVLPPDAMDAFASCFADALGGATI >KQL25429 pep chromosome:Setaria_italica_v2.0:II:38060496:38063457:1 gene:SETIT_030063mg transcript:KQL25429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPPPIHRLCVRILLVSFVAAHRLVPPCSGSFYDFPFPAAGKRHDYRDALAKSILFFEGQRSGRLPPGQRASWRGDSGVSDGAAAGVDLEGGYYDAGDNVKFGFPMAFTTTMLAWSVIEFGDSMPRDERRHAAAAVRWATDYLLKTLAHPGVIFLQDHDCWERPEDMDTERTVYNVSAGRPGSDIAGETAAALAAASMVFRDDDPGYAETLLASARKAFEYADTYKGAYSDDPDLRAGGCPFYCDFNGYQDELLWGAAWLRRASKDDTFLQYIQNNGKTLGAEDSSNEFGWDNKHAGLNVLVSKEFIEGKVLSLQSYKEFADNFICTLIPESSSPHITYTPGGMLYKPGGSNMQHVTSISFLLLTYAKYLSKSSHTVNCGDISIGPVTLQRQAKRLIIC >KQL24836 pep chromosome:Setaria_italica_v2.0:II:33627715:33627996:1 gene:SETIT_032835mg transcript:KQL24836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKSLCACILIFLIVSSGAASGEARRLLAETRAGAGEEAACAGGCRRPPVVQVQGRAGLAATATKMATIDGRPTAPGHSPGIGNKIAGNTR >KQL24592 pep chromosome:Setaria_italica_v2.0:II:31594426:31595627:-1 gene:SETIT_030530mg transcript:KQL24592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNSSHGLHGASLCTPYLLLVPLGLLAALLVLPSLGPSNARSDGLGVLCGGAGADGYSVSSGDDEEKAAGTAPPPGAGTEEFSLLVGVLTMPSRRERRDIVRMAYALQPPAGAARVDVRFVFCNVTDPVDAALVAVEARRHGDILVLNCTENMNDGKTHAYLSSVPRLFASRPYDYVMKTDDDTYLRVAALAEELRGKPRGDVYLGHGFAVGDDPMPFMHGMGYVVSWDVARWVSDNQEILRHNDTHGPEDLLVGKWLSIGGRGKNRYSLKPRMYDLNWFMDNFRPDTIAVHMLKDNRRWAAAFRYFNVTAGINPSDLYHQP >KQL25856 pep chromosome:Setaria_italica_v2.0:II:40974148:40975501:1 gene:SETIT_030152mg transcript:KQL25856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHCCSKQKVKRGLWSPEEDEKLVKYITAHGHSCWSAVPKHAGLQRCGKSCRLRWINYLRPDLKRGTFSDQEERTIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLPASRSLLHGNGAANPSNNPAQFHSNGATANGGATTPFTISSPTKAAAYDTVTAPPPPEMSAPAMYDVVTNPAGMFTGHDQAAAAAIPAGYPYPDNGGGVLMSFRDQNAGLQTSMDFMNGSSSSSSMDHAAGMPNGNGFSQGMGAAFMDVTAGMWTTAVDSGMCAGIEVVQQQQQPLPPLQQPQGLVQGEVIGPPAVMNGGGAAADKGMDMMDVSSVYGSAGATAFDLELMESCGLFCGGGGGAGNAMEQLQWDC >KQL24227 pep chromosome:Setaria_italica_v2.0:II:27842012:27848896:-1 gene:SETIT_032313mg transcript:KQL24227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMASSRWVNESTARTIQPDTNFAVPSPILQTAVAATGNDTALTAITWQYRRSSSSFMTYLHFADFQDTQIRRFDINTNENQSGPTLKSYSPSYMAPSTVYTENYTSTDGNYNITLSASATSVLPPMINALEIYIRVPYESPTTLPEDFDAIMAIKIEYGVKKNWMGDPCFPTKYAWDGVKCSNTSGKNDAAEALNWRTRVHVVLEAAQGCNLPIVHRDVKTSNILMGQKLQAKIGDFGLSKTYLSDTQTHISATAAGTAGYMDPEYYLTGRLTESSDVYSFGVVLLEVATGMPPIVPGHGHIIQRVKQKIATGDIGSIADLQLGSAYDISSMWKVIDTAITCTADSAAQRPTMATVVIQLKESLALEESREKDSSIRASRGSDIEAMVSTFHPLAR >KQL27291 pep chromosome:Setaria_italica_v2.0:II:49035575:49038264:1 gene:SETIT_029894mg transcript:KQL27291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPLLRLLLPLASLSCLLLARGSAVPAPSPSPLNAPPRASPSPSASIPAISPGFHPLAAPSEPLSTLPSPPPHKHSWRNYRIVTAGSAVFLVMAAASVMYCRAKKVGSVRPWATGLSGQLQRAFVTGVPALKRSELQAACEDFSNIVGSTSSCMLYKGTLSSGVEIAVVSSSITSVKDWSKECESHFRKKITTLSKVSHKNFMNLLGYCEEDHPFTRAMVFEYAPNGTLFEHLHVREAENLDWTTRLRVSMGIAYCLEHMQQMNPPIVPRNFDSSTIYLTDDFAAKVSDLDFWSDTKGLKSNSSLATDDSTISDIDGIVHQFGIILLEILTGKVPYCEEDGSLEQWASHYFDGNMCLAELMDSSLSSFPEEAARALCEVARSCIELDPKKRPQMAQVATWMKEITSLGPEGATPKVSPLWWAELEIMSSEAS >KQL25597 pep chromosome:Setaria_italica_v2.0:II:39264880:39270987:-1 gene:SETIT_029325mg transcript:KQL25597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQQQAPPSRRPPAMSAPPPPRRRKKKGRPSLLDLQKRSLRLEQQLQEQKQQPQARRSTSRNPDDEDDDDGPASGSGRREKKLRLVMGLHDGSAKGQKTRKATDGREEPSDSGPTTPLPNKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHDIIKHPMDFSTIRKKLDKGAYSNLEQFEDDVFLISSNAMCYNSPDTIYYRQARGIQEIAKKDFENLRQDSDASEPEPEPEPEPEPEPEPEEPKPQPRRGRPPNKNNARQKVGRPPAERATADFSGATLANAANSGRHAQPDLDLSRRAMDKAMIADVLRASFANRRNEHNWSGERKSERIEDYSGYGSMWSAKMGKKPILMEDSRRSTYYEAQPSSSIYELPVSSSYNGTKKLLVPVGVQLQQSYSRSLARFAAQLGPIGWEIASRRIERSLPPETKFGPGWVGDGEAPSSFQPPALAAFSETMAPPSNVAASGDQPPNNSGPAAEDCAASSSHLAVSQPHAMPYPSTSTVQRTDSGALASQQRGSIPHIPINRGEHDAEMKGGHNNLHGHPAMQQPVNGFNAVPGPVMFPPAAQLVVNQMQTHTAD >KQL25615 pep chromosome:Setaria_italica_v2.0:II:39393263:39396209:1 gene:SETIT_0320991mg transcript:KQL25615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPKNPNTPCDSKSVSLSKQQRQRHDGKHFYHRNCKVMAIRGDAGTMAFVDLWWGIIFCDVLTVEREAVRRVLGKPMALLRYVTLHLKASAHFSKCYFSDGWMASTWNRPVTSSTSDEEDRWRQDTKIMDSTDVKVHNKLCPKAWRDEGMALSPFRELDACQPVLGLQEDADVVYFTTKIDRGDADARVVSVDMRKKELLGVAAFAAQRYDARISEHLMKS >KQL23769 pep chromosome:Setaria_italica_v2.0:II:18219346:18238956:1 gene:SETIT_028787mg transcript:KQL23769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTISRIRLENFMCHSSLHIELGEHVNFITGQNGSGKSAILTALCVAFGCRAKNTQRAASLKDFIKTGCSYASIVVDISNHGEDAFKPEVYGNVIILERRITESSSSTVLKDQHGRKVAHRKDDLVEIIEHFNIDVENPCVIMSQDKSREFLHSGNDRDKFKFFFKATLLQQVNDMLGSIREKLTGADSIVEELEKSIGPVLKDLDDLQGKIKNMEHIEEIAHEIDNLKKKLAWAWVYDVVKKIEEQANKLEKLKERIPACQERIDRNTAIIEELRKDFIVKKENVRSFLEKTQEVRRMKEKLEHDMHEAGKLKMDLEKEHERGMQMLNKMNNRVRQLQVQVDEFELQHMQETQAEVSQIEDKMRELQQEINSAHLSATRLKEEEKKLSEELWGITKSIGDIEKKIAEDARKINHLKSQIRDFQQRQHDKVTAFGGDRVLSLFRSIERHQSRFKCPPVGPIGAHVELSSDSWSVAVDCAFGRLLDAFIVSCHKDSLLLRECAKEANYRNLQIIIYDFAKPLHDIPNHLVPSTPHPTILSVIRSESPTILNVLVDQGHAERQVLVQDYEMGKSVAFDQRIRNLKEVYTSDGYKMFCRGSVQTILPPNRKWRAGRLCTSVGETITEMKNEATGIEQINLEREGQKSELVVQRGKIELQLRSLKRKREDEERRLERKKLQLDDTKKLSADNNHDTAVDTSELVAEMMQVKEDIGNKELVLQKINLKLTDALQEENNKRASYKDFIESAYAEMGSISDVEHELQLVEEKIHDAQQEKAHYEDVMERKVLAPIKTAETEYTNLQQLHQEYFEKASKICIESEVEALGGVAGSTLEQLSEEINKLTRRLQQESRRYTESIDDLRALHDKTRQKILRKQQMYAGFRDKLNACQKALDLRWKKFQRNAGLLKRQLTWLFNEHLGKKGISGHINVDYKNQVLSVELTMPQDTSRDTIRDTRGLSGGERSFSTLCFTLALHGMTESPFRAMDEFDVFMDAVSRKISLDTLVDFAIAQGSQWIFITPHDISMVKAGDRIKKQQMAAPRG >KQL26458 pep chromosome:Setaria_italica_v2.0:II:44471790:44477874:1 gene:SETIT_029301mg transcript:KQL26458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWAPGARLAGEVSRPGAQTSANGLTCGRLRRVAVTRRWRGRGVRCCAVSKSGSGAAAAAAVDPGEEFVGFFREAWPYIRGHRGSTFVVVISSEVVSGPHFDRVLQDISLLHGLGIKFVLVPGTHVQIDKLLSERGKKAKYVGQYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVIGRWHGLVDNVASGNFLGAKRRGVVNGIDYGFTGEVKKIDVSRIRERLDSDSIVVVSNMGYSSSGEVLNCNTYEVATACALAMEADKLVCVVDGQIFDEHGRVIHFMSIEEADLLIRKRAKQSDIAANYVKVVDEEGINSLQKGDYKPSLNSRAHVNGYAAAFQNGLGFNNGNGIYSGEQGFAIGGEEQLSRSNGYLSELAAAAYVCHGGVQRVHIIDGTVDGSLLLELFTRDGAGTMIARDVYEGTRMATAEDLHGIRKIIRPLEDSGVFVRRTDAELLDALNSFYVVERDGSIIACAALFPFPEDKSGEVAAIAVSEECRGRGQGDKLLDYVEKVALSLGLEKLFLLTTRTADWFVRRGFSECSIDSIPEQRRKRINLSRGSKYYIKQLQPKHAGVTTNNFIIR >KQL23681 pep chromosome:Setaria_italica_v2.0:II:16160011:16160302:-1 gene:SETIT_0294051mg transcript:KQL23681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEGLIGLMNRIQRACTALGDHGGGGDLPTLWESLPTIAVVGGQ >KQL25035 pep chromosome:Setaria_italica_v2.0:II:35496177:35496666:1 gene:SETIT_033438mg transcript:KQL25035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMLRDSPLQLAGATVCDAGCGTGSLAIPLAAEGADVLGSDISAAMVSEAQRQAPTCTPSGTSRTRSARPAGASPTGASSPRSSTSPSSSRPCPPARRRSVRACFAGANC >KQL25521 pep chromosome:Setaria_italica_v2.0:II:38846582:38847760:1 gene:SETIT_030868mg transcript:KQL25521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKQRKHARSRSFTGAGLASFFRSTVASFSSTFPSRGRSSFNHRNAFSGPIVSIVPPEARGGSRRKPRSGYRTPEPSSPKVSCIGQIKRSGSRRQKKVNPCGKNGGACPLPPRHPAAEGTTTKPCGRPRGSLVKRMSFFRRSRSRSRSRSSSSSKDGFCNGTSCAFPAAAAPAPAAAGLGQMKRFTSGRAAFQDFDWREEEERRSRDSDEEEEDEGFVAYSAPLTLGGGVVASEPRKEVNLWRRRPMAPPTPLQLH >KQL22772 pep chromosome:Setaria_italica_v2.0:II:5185843:5186901:1 gene:SETIT_033350mg transcript:KQL22772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSQPQLLQHILALLAAAGALMVQAAAIAEQHDLPIALPGCPDKCGDISIPFPFGMKPGCFREGFQVTCDQSFQPPRAFLGEGDGRSAKKLTLYSYFSVSKTGKISLQYPKNNLSASLVELIDISVAKNEARAYGAVASACSMNATAGLSKTRITTLLARGMGTAEGPFLVSPARNVLIGVGLESMPSVSRFDFNTLRSTEDDYLVSCSSSIMGDLQLPSNGSCSGHGCCQASLPERHPLTGVMVTTPPNTLNNTMWMTNPCTFAMVVEHSWYNFSTADLYGNTSNKFPRGVPFVVDFAIRKARCPAEDQQPPLDYACTSGNSSCADVTNGYICKCLEHYEGNPYIPNGCQ >KQL27293 pep chromosome:Setaria_italica_v2.0:II:49042112:49050760:1 gene:SETIT_028802mg transcript:KQL27293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAGDVPTMYTVLVNSLSADEAARRPAEAALAQCEARPGFCSCLLEIISARGLACRQDVRLLATVYFKNSINRYWRHRRDSYGISNEEKDHLRKNLLLNIREENSQIALQLAVLISKIARLDYPKEWPDLLSVLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQKNYAEITGHLFDYTWNLWKSDVQTILQNLSMLSQRNDIDSILEQSNDLILICDRWLLCLKIVRQLIFSGYASDSRTAQDVWQVREVCPAVLTAIKSLLPYYDSFKDKQAKLWDFAKRSCIKLMKVLVTLQGRHPYSFVHETVLPATVDFCLNMITNSEQAGTSFEEFLIQSMVLVKSVLECKEYRPSPTGRVINENAQPLSLEQRKKNFAAVASDMLKVVLPGDRVVLLCNILVRRYFIYTSKDLEEWSENPESFHHEQNLVQWTEKKRPCAEALFIVIFEKYRELLAPVVVSVLREAMAVSPPQETDVTAGMLLKDAAYTAAGHVYYELSNYLNFNEWFHGSLSIEVSNRHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRLIEDVQEFDSKVQVLNFISVLLEHAGDKIIPFASQLSQFFQMIWDESAGESLLQIQLLTALRTFVSSLGFQSPLSYHVLIPILQSGINIDSPDALNLLEDSVLLWEATLSNAPSILPQLLDLFPYLVGIMNRSFDHLEVAIKIIEDYTIFGGSEFLKSHGASLATIVDAIVGNVNDKGLLTALPVVDLLIQIFPLEAPPLISSALQKLIFISLSQDDGQNPSRTTVRASSGAILARLLVMNTNFSAQLLSESALLASIQQAGIAVNNNLLISLVDMWIDKVDDANAVQQKEYAMALSVVLTLHVPQVIDKLDDILSVCTTVIIGSREVKTDDDTSGDITSSSWIGNDGSGYSNTSSKELRKRQVKDSDPIKQASLENVLRENLKACAALHGDAAFNAAISRIHPSAFAQLQQALNTA >KQL27294 pep chromosome:Setaria_italica_v2.0:II:49042112:49050760:1 gene:SETIT_028802mg transcript:KQL27294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAGDVPTMYTVLVNSLSADEAARRPAEAALAQCEARPGFCSCLLEIISARGLACRQDVRLLATVYFKNSINRYWRHRRDSYGISNEEKDHLRKNLLLNIREENSQIALQLAVLISKIARLDYPKEWPDLLSVLAQQLQSADVLASHRVFMVLFRTLKELSTKRLAVDQKNYAEITGHLFDYTWNLWKSDVQTILQNLSMLSQRNDIDSILEQSNDLILICDRWLLCLKIVRQLIFSGYASDSRTAQDVWQVREVCPAVLTAIKSLLPYYDSFKDKQAKLWDFAKRSCIKLMKVLVTLQGRHPYSFVHETVLPATVDFCLNMITNSEQAGTSFEEFLIQSMVLVKSVLECKEYRPSPTGRVINENAQPLSLEQRKKNFAAVASDMLKVVLPGDRVVLLCNILVRRYFIYTSKDLEEWSENPESFHHEQNLVQWTEKKRPCAEALFIVIFEKYRELLAPVVVSVLREAMAVSPPQETDVTAGMLLKDAAYTAAGHVYYELSNYLNFNEWFHGSLSIEVSNRHPNMRIIRRKIALLLGQWISEIKGDTRKLVYHALVGLLQDNDIAVRLAACSSLCYLFQESSFSELDLFECLPTCWTMSFKLIEDVQEFDSKVQVLNFISVLLEHAGDKIIPFASQLSQFFQMIWDESAGESLLQIQLLTALRTFVSSLGFQSPLSYHVLIPILQSGINIDSPDALNLLEDSVLLWEATLSNAPSILPQLLDLFPYLVGIMNRSFDHLEVAIKIIEDYTIFGGSEFLKSHGASLATIVDAIVGNVNDKGLLTALPVVDLLIQIFPLEAPPLISSALQKLIFISLSQDDGQNPSRTTVRASSGAILARLLVMNTNFSAQLLSESALLASIQQAGIAVNNNLLISLVDMWIDKVDDANAVQQKEYAMALSVVLTLHVPQVIDKLDDILSVCTTVIIGSREVKTDDDTSGDITSSSWIGNDGSGYSNTSSKELRKRQVKDSDPIKQASLENVLRENLKACAALHGDAAFNAAISRIHPSAFAQLQQALNTA >KQL25537 pep chromosome:Setaria_italica_v2.0:II:38971959:38976956:-1 gene:SETIT_028996mg transcript:KQL25537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATPTRVFVYGTLKRGFPNHPLLVACASPFVGAASTAAPASLVIGPYSVPFLLPTSSSSSSSGRVVSGELYAPSPAALAELDAFEGTHIGVYERRPITVVADGSGEVVEAEAYFAHPSYAEALWRRCGGEAAEIGEYTADHANRKGIAMAASPQQQGQGSQGGSGGGGWSPEQFWSLLDKADRRFARVRDLPLLGRQEPDAFAKAFRAYTQLWRMQQEHRHRLLEAGLRRWQVGEIAARIAHLYYAQYQRTADTALLSEAFVFYHAVLDRAYFLDADHHLTPAKHLRFLARFLLVALLLARRAHTVPRLAADIRALLDDSKKSFQEAEYKEWKHVVQEILRFLRADSPFMNMRPLRYSYAFDLPPDKLPTVPPTVKKRGLVLSDAILCSYYPNEVKFTDLSIDVFRMLQCLEWEPCGSFALNNGYSAHDESGQNQPNLLKDLRDAALPPNPLKTNLNRPSVTHFLTVLATKCEELPSNGIMLIYLSAAGEMGTSGCCPDTGEKIVSNFSKFDISSTSHTSSKEDKEPCLWLGCRETEGSNCIYPGDLIPFTRRPLFLVIDSSISYAFKAVYSWH >KQL25538 pep chromosome:Setaria_italica_v2.0:II:38970640:38976956:-1 gene:SETIT_028996mg transcript:KQL25538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATPTRVFVYGTLKRGFPNHPLLVACASPFVGAASTAAPASLVIGPYSVPFLLPTSSSSSSSGRVVSGELYAPSPAALAELDAFEGTHIGVYERRPITVVADGSGEVVEAEAYFAHPSYAEALWRRCGGEAAEIGEYTADHANRKGIAMAASPQQQGQGSQGGSGGGGWSPEQFWSLLDKADRRFARVRDLPLLGRQEPDAFAKAFRAYTQLWRMQQEHRHRLLEAGLRRWQVGEIAARIAHLYYAQYQRTADTALLSEAFVFYHAVLDRAYFLDADHHLTPAKHLRFLARFLLVALLLARRAHTVPRLAADIRALLDDSKKSFQEAEYKEWKHVVQEILRFLRADSPFMNMRPLRYSYAFDLPPDKLPTVPPTVKKRGLVLSDAILCSYYPNEVKFTDLSIDVFRMLQCLEWEPCGSFALNNGYSAHDESGQNQPNLLKDLRDAALPPNPLKTNLNRPSVTHFLTVLATKCEELPSNGIMLIYLSAAGEMGTSGCCPDTGEKIVSNFSKFDISSTSHTSSKEDKEPCLWLGCRETEGSNCIYPGDLIPFTRRPLFLVIDSSISYAFKSIHGIERGETAAMLLSPSSRSAAAGFSGDSTRHTGSQFTMFLTAPLQAFCLLIGNNGADINRDAYNKAEELLSSSLNEWATTLVASSSLHPVWVEVLSDPLLRRLLLRFIFCRATLSLFKPTSGKAEFLPTCVPPLPESVDAESMLSQSCVMRFASHFGSASQFALSEITTWPDADAEEAGAIGSAGSANRGVPETVGDSDISYSSTSSF >KQL25090 pep chromosome:Setaria_italica_v2.0:II:35821252:35823669:-1 gene:SETIT_030305mg transcript:KQL25090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGCPVPCESRTHTVAAPHQNSRAEPNAMAARAEEGAEASAASGRTVVCVTGAGGYIASWLVKLLLSRGYAVHATVRDLSDEKTAHLKRLPGASDPQGLRLFRADLLDGGAMAAAIARCQGVFHVATPVPSGNLTDPEREMLDPAVTGTKNVLEAASAAKVRRVVVVSSMVTVEINPKNWPKDKIKDESCWSDKEFCRNNEDWYSVAKITSEEVALEYGRWIGLDVVTINPALVLGPLLQPTCNTSCQFLIYFLKGGPDRIRDKLWHIVDFRDEAPAASGRHICAPHSVGARDLLRLLKRMYPGYPCVNEDSIIDMDNHAPMTSDKLRKLGWSCRPLEETIADTVGFCEQAGFL >KQL27277 pep chromosome:Setaria_italica_v2.0:II:48970823:48972520:1 gene:SETIT_032614mg transcript:KQL27277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGAIIGASTVLVVAVVAAVCVVSFKGNSSSKGEGDDNLSMSVKSVKAFCQPTDYQQTCEAELSKAAGNASSPTELAKVIFGVTSDKIHKAISESATLTELKNDKRTSGALQNCKEMLEYAIDDLKSSFDKLGGFEMTNFKKAVDDLKTWLSAALTYQDTCLDGFMNATTTEASAKMQNALNASQELTEDILAVVDQFSDTLGGLSIGRRLLGDDGAPAWMPDAASRHLLEASPESPEFKPNVTVAADGSGDVKTIKEALDKVPPKNAAMYVVYVKAGTYKEYVSVARPQTNVAFIGDGADKTIITGNKNFKMNLTTKDTATMEAIGNGFFMKGVRVENTAGAENHQAVALRVQSDQAVFYQCTFDGYQDTLYTHAQRQFFRDCTVTGTIDFIFGNSQVVLQNCLIQPRKPMDNQANIITAQGRRDKRSVGGTVLHNCTIEPHPDFKADAGGKIPTYLARPWKEYSRTLYIQNDIGGFIDPKGWLEWNGDFGLQTLFYAEVDNRGAGADMSKRAKWGGIKTVTYEEAQKEFTVETFIQGQQFIPKFGVPFIPGLLPQAQQGRMH >KQL22792 pep chromosome:Setaria_italica_v2.0:II:5322398:5324244:-1 gene:SETIT_029996mg transcript:KQL22792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPEGSGSASASAVGDIPEGPLVEILSRVPGKSVCRFECVSKAWLGLIADNRKKLRQAMQGLFYRTLEYPEGYDITAFELYDLEYIYSFIDLTTRSVPLDIDPGFSFLTELPEIQCLVSRNSCNGLILFENRHKIYSLPQSTLGYIVCNPTTKQWVAVPTCGSTASFTYTYLAFDPAVSSHFHLVHFKVGSNDRLVSVNIYSSETGTWSRNQIDEQEELGQSEGWRDYQVRLCMSGGVGTEGSRCAFVNGFLHLVVWDSGQPKVLAVDLQGKARRMITLPQVAYSPGCYLGQSQGLLHYTTQGFSDAHQERYQLRIWVLQDYDTQEWVLKDTLELSGENCIGNMWGFFVFNIHQDCNVVFFTKSGRELMSYDMCRKEVSVIATFEGRNHLTDAFQYVPYFSKLPALTNNH >KQL22249 pep chromosome:Setaria_italica_v2.0:II:945114:945335:-1 gene:SETIT_032864mg transcript:KQL22249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVGRPEVLMINRYVRLRTATGNIVSALGFLALLWSTVVLLGGFIDDLLLKEFWVLTALSFLMSFRYYISFPYK >KQL22642 pep chromosome:Setaria_italica_v2.0:II:4132065:4133722:1 gene:SETIT_033267mg transcript:KQL22642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTRKSAAPPSHVPEAGRSRKRQRSNKRDHGGRDWISALPDEILVAILHGLDAKTAVSTSLLSRRWRHLWKSLRSLRLSEVSLPDDASWVRLGPMREEYLKANKVNHFVPSLRWFSEIIKKRSAADDNEALRGLSVVFSGSAECAGAVDGAIAAASEQGVKGIDVAVVGDTRLTKYEFPSWPFSDDGDITRSSSASPLASLRLNNCRLSVSESFQGFSALTKLVLVAMHMSLEDTTAVLRSCKNLRSLYLIDMLDIRVVRHPRLEELVWLWPPPPSGALVIEAPALRRLEFWGAGEVLPASTRRSSPCLEHVSLQFVVYGGRPDERHAKNLRNISARFPRVRSLHLRYQVPKLVVRPGTPAIFSKLRVLTLSIDTKPSDDLLWMAMFVAAAPCLATLQTN >KQL23750 pep chromosome:Setaria_italica_v2.0:II:17288790:17290277:1 gene:SETIT_032854mg transcript:KQL23750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSTAEHVSQEHTLHPTRSTPPSHVESARPTSGTFHWYNGLFVFGDSFADTGNFPKSDLSEVTRQWYKPYGFSHGFLQDPTGRFSNSLVQSDFIARILGRSQAPQTFRATEGNYPDKFGVNFAVGGAGVFEVPRKAPTLAQQIDSFKKMLDGGDIGKWQLEESVALVAISGNDYARVANMSSDGEILDFIGNVTDGIAAGVERLRGLGVTKVLVNTLHPLGCTPWQARPSNYTKCMGRGNMAAGFHNDGLESKLNATSSDCVYLIDLNRAFTNIIDPSDADDIPQVAKRFTEKLKPCCESVDPKGYCGQVDEDGGDQYSVCSNPEKHFFWDDVHPTQAGWEAVMEQLQQDIKDFLHISY >KQL22851 pep chromosome:Setaria_italica_v2.0:II:5824126:5825631:-1 gene:SETIT_032074mg transcript:KQL22851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDRPKEKVCVVGAGMSGLAAARELRREGLEVTVMEQRGDVGGQWLYDPRTDADDPLGATAPVKVHGSVYASVRLISPRECMGLSNFQFVPRPGRDARRFPGHREVYWYLKDFCAAFGLEDAVRLNTRVVRVAMAPPREACSGDLKWLVRSVHVEPNGSEDGVAVEEVFDAVVVASGHYSQPRLPSIKGMESWRRRQLHTHSYREPEPFRGEVVVVVVVGCGASGLDIAMELCGVANEVYLAAKSVEDAARPPPAVSKMLANHADIRLHPPVDQLCDDGTTVAFADGSRAAADAVIYCTGYRYSFPFLDTGGIVAVDDGNRVGPLYEHTFPPALAPSLSFVGVPMWVFAPWFFEAQARWVALVLSGKAALLPEEEMLRALHEDYRAREIAGVPAYHAHAITAEVWEFVYWHSDLPRMEDWKVELFTTSILMNLREDRETFRDRDDDSESVREGLRR >KQL24095 pep chromosome:Setaria_italica_v2.0:II:26003616:26005879:-1 gene:SETIT_030424mg transcript:KQL24095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQYYATSSLVIGYALCSSLLSIINKYAVTKFGYPGLLTALQYLTSASGVWILGKLGLLSHDPFNLETAKKFAPAALVFYLAIFTNTNLLYHANVDTFIVFRSLTPLLVAIADTIFRKQPCPSKFTFLSLVVILGGAVGYVMTDSAFSLTAYSWALAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMLAPIFWLVTGEHKVVFAAIESRGEGLFQLGAFVAVALSCVFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVMIWDKHASAFGLVCLLFTIVGGVLYQQSVTTKGNSAVPRGPVSEQPKEGNDSIEFDNEKQSLVSSAK >KQL24094 pep chromosome:Setaria_italica_v2.0:II:26000711:26004891:-1 gene:SETIT_030424mg transcript:KQL24094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQYYATSSLVIGYALCSSLLSIINKYAVTKFGYPGLLTALQYLTSASGVWILGKLGLLSHDPFNLETAKKFAPAALVFYLAIFTNTNLLYHANVDTFIVFRSLTPLLVAIADTIFRKQPCPSKFTFLSLVVILGGAVGYVMTDSAFSLTAYSWALAYLVTITTEMVYIKHIVTNLGLNTWGFVLYNNLLSLMLAPIFWLVTGEHKVVFAAIESRGEGLFQLGAFVAVALSCVFGLLISFFGFAARKAVSATAFTVTGVVNKFLTVAINVMIWDKHASAFGLVCLLFTIVGGVLYQQSVTTKGNSAVPRGPVSEQPKEGNDSIEFDNEKQSLVSSAK >KQL25544 pep chromosome:Setaria_italica_v2.0:II:38999228:38999620:-1 gene:SETIT_033691mg transcript:KQL25544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHAWSLCDSHLENMYPQRSKRRIFVSFISFIHMFSVIHKLWRHLKG >KQL24417 pep chromosome:Setaria_italica_v2.0:II:29867555:29868322:-1 gene:SETIT_032728mg transcript:KQL24417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQQFGTTITTPLAKILVDLFIPRRPTRCLTCVPARQPPVPAAMRRASGAGAARGVSLVLLLDTLYWCSLAPRAKPDLAADREALLAFRDAVVPRLPWDASAASPCGWIGVGLPGVKLVGTVPPGTIGNLTALWTLSLRHNALSGGIPADIGNCAELRYLYLEGNRFDGEIPEGLFELRLLQRLDLSNNQISGGVSPEFNNLRRLVALYLQNNRLNGTLPADLDLPKLLALNLSNNGLVAIT >KQL24420 pep chromosome:Setaria_italica_v2.0:II:29903880:29904653:1 gene:SETIT_032544mg transcript:KQL24420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTAEEDQKLVSFLLNNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSPEEEKTVIDLHAELGNRWSKIASQLPGRTDNEIKNHWNTHIKEKLRKMGIDPATHKPLQSAPPPPQDPTGSPEEKAVSGAGPGHEVPVVNLLDDIDISTAYSPEPLSSSSSSSSSSSYYYSSATASSGGSSIVDGEWPEWPQMVEWPESIWLDDV >KQL23744 pep chromosome:Setaria_italica_v2.0:II:17264862:17274074:1 gene:SETIT_028795mg transcript:KQL23744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGEDCCVRVAVHVRPLIGDEKLQGCKDCVAVVPGKPQVQIGSHSFTFDHVYGSTGTPSAAMFDECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMAALFDKIESLKNQVEFQLRVSFIEILKEEVRDLLDPTAATVGKVENGNGHTKLSVPGKPPVQIREASNGVITLAGSTEVHVSTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKTDPIMTADGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPVADEMKRMRQQIEYLQAELVSARGGVGSDDVQGLRERISWLEQTNEDLCRELYDIRNRSQTDPCEPEMQKTSNGFTKSEGLKRSLQSTDPFDVPMTDSVRGNPKDIEDEVAKEWEHTMLQDSLGKELNELNRQLEQKESEMKMYGCDTVALKQHFGKKLMELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKSLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHFIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRTKLVLQRKTEEAAMATKRLKEILEARKSSARDNSAGTNGTSPGSNMGERSLQKWLDQELEVMVHVHEVRNEYEKQSQLRAKLGEELAILKQEDIRAGASSPQRGKNGNSRPNTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRSRWNQLRSMGEAKSLLQYIFNVAADARCQVREKELEMKERMTELVGILRHSESRRREMEKQLKQREQTAPMATTPPRSGNGTAKHSADDPSTPLSPVAVPAQKQLKYSAGIVNSPSKGIAAFNKEQLKMVPIAQLSVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETITRTRPRPQLLPPKPHRVM >KQL23745 pep chromosome:Setaria_italica_v2.0:II:17264862:17274087:1 gene:SETIT_028795mg transcript:KQL23745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGEDCCVRVAVHVRPLIGDEKLQGCKDCVAVVPGKPQVQIGSHSFTFDHVYGSTGTPSAAMFDECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTACKEGSHIGIIPRAMAALFDKIESLKNQVEFQLRVSFIEILKEEVRDLLDPTAATVGKVENGNGHTKLSVPGKPPVQIREASNGVITLAGSTEVHVSTQKEMTACLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKTDPIMTADGMPIEEMNEDYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGAHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPIVNRNPVADEMKRMRQQIEYLQAELVSARGGVGSDDVQGLRERISWLEQTNEDLCRELYDIRNRSQTDPCEPEMQKTSNGFTKSEGLKRSLQSTDPFDVPMTDSVRGNPKDIEDEVAKEWEHTMLQDSLGKELNELNRQLEQKESEMKMYGCDTVALKQHFGKKLMELEEEKRAVQQERDRLLAEVESLNADGQTHKLRDAQLQKLKSLEAQILDLKKKQENQVQLLKEKQKSDEAAKKLQEEIHFIKAQKVQLQHKIKQEAEQFRQWKATREKELLQLRKEGRRNEYERHKLQALNQRTKLVLQRKTEEAAMATKRLKEILEARKSSARDNSAGTNGTSPGSNMGERSLQKWLDQELEVMVHVHEVRNEYEKQSQLRAKLGEELAILKQEDIRAGASSPQRGKNGNSRPNTLSPNARQARIASLESMVTISSNTLVAMASQLSEAEERERAFSGRSRWNQLRSMGEAKSLLQYIFNVAADARCQVREKELEMKERMTELVGILRHSESRRREMEKQLKQREQTAPMATTPPRSGNGTAKHSADDPSTPLSPVAVPAQKQLKYSAGIVNSPSKGIAAFNKEQLKMVPIAQLSVGKKVSIAGQSGKLWRWKRSHHQWLLQFKWKWQKPWKLSEMIRHSDETITRTRPRPQLLPPKPHRVM >KQL23752 pep chromosome:Setaria_italica_v2.0:II:17308720:17310178:1 gene:SETIT_032522mg transcript:KQL23752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEDEVVLVVCGEECDAAPMFDINTGEEILRMHDCLAPPSGLACVAGCLLATSRPDKDQLVFGGAIYFWDLNKIQETNKSCIGEGIGPITCSRDGIYLVGGAHSGNAYIWE >KQL22195 pep chromosome:Setaria_italica_v2.0:II:466045:467788:-1 gene:SETIT_029630mg transcript:KQL22195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAAHVLLFPWPVQGHINPMLHLASALLDAGLRVTFLHTDHNLRRLALAGAPAPPHHPRLRVLSVPDGLPDDHPRSVAGLMDLFESMRTAGCAAFCALLREETDASSRRPPVTCVVADGTMPFAIAAAEEAGVPALAFRTESACGFLCYLSVPRLLELGETPAASDEPVRGVPGMEGFLRRRDLPRVAPSSDADPVPVLLAVAGTAARCAESRAVILNTAASLEAETVARIVQRVRGDVFAVGPLHARPRPAGVEVEPAARDDDDGGCAAWLDGHADRSVVYLNLGSLTIISAEQLAELLRGLAVAGYPFLCVLRPDMVSDCGVGHESVVREAAAAAGKKALVVEWAAHRDVHRALRHRALGCFVTQAGWNSLLEAAAEGVPTVCWPYFADQQTVSRFVGAVWKTGLDMKDVLDGAVVARMVREAMDDPEIRAAAQDMARQLRVDVAPGGSSASHLERLVGFITDLSAGQDPSRDDDSRPLVRGLVKEK >KQL23477 pep chromosome:Setaria_italica_v2.0:II:13956110:13957550:-1 gene:SETIT_030712mg transcript:KQL23477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEVDVSTLEAGGARDYADPPPAPLVDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDASASGPDAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYMAAQCLGAICGVALVKGFQSGFYVRYGGGANEVSTGYSTGTGLAAEIVGTFVLVYTVFSATDPKRNARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVVYNNNKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNA >KQL22223 pep chromosome:Setaria_italica_v2.0:II:674768:675975:1 gene:SETIT_033219mg transcript:KQL22223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEYESNPYGIGNMPSMRWAGGGGDEREGRIERTSGDHMERYTMHDLMHDLATLIMGDELIVSNVASKNHKADSQKYCRYASVTKYDHATRLSNVLPSKVRALHFSDNGKLVLSCGAFSFAKWLGILDFSGCSGTLLPASIGQLKQLKYLTAPQMQNEVLPEFMTELSKLQYLNLNGSSHISALPESMGKLLCLKYLGLSGCSGISKLPGSFGDLKCMMHLDMAGCSGIRELPASLGNLTNLQHLDMSGCSWIRELPDSLDNLTNL >KQL24772 pep chromosome:Setaria_italica_v2.0:II:33025668:33026375:-1 gene:SETIT_032745mg transcript:KQL24772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWRNANDGGARATLALAILVVLAFCARSASAVGSTPHSAFSYKDDDPDGPAKWATLQKDWAVCDSGKEQSPIDVAKVEVSEDLGPLEQTYKAGAAAVQNRGHDFMLNWKEANGKLTIDGKDYTLLQVHWHAPSEHTVNGTR >KQL24438 pep chromosome:Setaria_italica_v2.0:II:30106425:30107711:1 gene:SETIT_031669mg transcript:KQL24438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRYCMTLRMNIDCNGCYQRIRRALLQIRELDSHLIDKRLGRVMVCGAFSPQDVAIKIRKRTNRRVEILDVREAAPASQDGGPGPMP >KQL26311 pep chromosome:Setaria_italica_v2.0:II:43682722:43686378:-1 gene:SETIT_028968mg transcript:KQL26311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPEAAAGGEISIPICPGQRRARAAAKRETRLNWFVCFVAFGEWAGNAFGTLAFLWATVVLLGGFCTALNAEDFWFATVMIFIEAFRSIGLMFVRPQEWNEVAAIVVILVGNLQIPAAVARIVLSSLRLSDLVALNVYPQGKDSNRNLVPSIMVFYVLALCQGTLDLMACIVELFAFFPRRSLVRHSRFRGQWGARAVDVYYQRAYVTCMEAGVLAAGRTMSLANFSIESLSSTSREMQLAGVSVLDALLQQRNFSEELLLRISCSSNVVRTLVGMLGWTAQQDRDIRLFAARVIAELAGSLRLASVPSMLKLVSSLLFDAENQPAWHHHQQDFLSHAISFSINGENVADNQSPGQQSSSQPVHGNGGNLDNQTTQGEGDNGGCSLLCQCWQRMKEKHWSIPKEPPLTHQDSFPVLGMLILERLAYDIDNCTEISRATDLIFKITGFISYTSDTVGDDDVQQKAVICSSLNLIRRLSITEGKIGTMLRQELWESPFLLGNLAGILEDSRSDPQIWKPAVDIIAMFALDEEARQEIGHTKVIISKLVHLFLGRDGTTNVYYGQQLRTASGEALANLAMGSTANCSAILEEPGYELVKDIKNMISDDEYRCVATSVLQNLCALSRDELRCPGARDHLSSALTVVMEKIMTAEGKELESLISLASQIGDVIPECFAHELESNTNGTGLVQKLVYTLNSNKKPCPKYPRMRRAIIQITISFVEWCPRYATIFAVEGMAEALSKVDRTPSKVEKYRAFLGNTGVVLESGLPLTILVAKAKGLIDSATATPTPSAQQGDHV >KQL24367 pep chromosome:Setaria_italica_v2.0:II:29259496:29262077:1 gene:SETIT_029440mg transcript:KQL24367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWAGSPPRGRLPAALLLLALLLASAALPRGARAVELGLKVPFSPRDVLPILPRQVAWPVMNTLHSAVDLLPSFVAAVAPGAPAPAAWSGACFAVNEAAIELTPGDRNGTDVGGAVLRLKTASAQSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELECVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTAWGQHSNLAFLEKHMGASFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDEKGDLWVAESGYENEKGEEVIAVVPWDEWWAMALKDESNPQIALLPLHPDVRARFNESAAWEYVRSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMTVMSMWTRVQPLYAANMWNEALNKRLGTEVCKVRF >KQL24368 pep chromosome:Setaria_italica_v2.0:II:29259496:29263247:1 gene:SETIT_029440mg transcript:KQL24368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWAGSPPRGRLPAALLLLALLLASAALPRGARAVELGLKVPFSPRDVLPILPRQVAWPVMNTLHSAVDLLPSFVAAVAPGAPAPAAWSGACFAVNEAAIELTPGDRNGTDVGGAVLRLKTASAQSWTCMDLYVFATPYRITWDYYFAAREHTLEIKSWEEEAELECVKQHGISVFLMPSGMLGTLLSLIDVLPLFSNTAWGQHSNLAFLEKHMGASFEKRSQPWVANIRKEDIQSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDEKGDLWVAESGYENEKGEEVIAVVPWDEWWAMALKDESNPQIALLPLHPDVRARFNESAAWEYVRSMVGKPYGYHNMIFSWIDTIGDNYPPPLDANLVMTVMSMWTRVQPLYAANMWNEALNKRLGTEGLDLKGIIMETERRGMSFDQLLTIPEQDEWIYSDGKSTTCVAFILAMYKEAGIFGPFAESIQVTEFTIRDAYMLKIFEDSLARLPSWCNTESDKLPFCQILGEYRMELPEYNTVEPYAKMNENCPSLPPTYKRPARC >KQL23260 pep chromosome:Setaria_italica_v2.0:II:10318726:10323456:1 gene:SETIT_029098mg transcript:KQL23260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPLPRWAPTPSPTRPLRVSSTGQTVMSSCSSWAVCSSFSSIFVPFRCSAAPPSPADAPANAADDGGGRVGCHPLDCVGARDRDLRPEDARPRVFLTWEDLTVTASSGKGSRAVILDRLSGCARPGEVLALMGPSGCGKTTLLDALAGRLGNDKKKTGSILINGRREKLAFGTSAYVTQETLLMATLTVAEAVHWSAELQLPGSVPAAERRARADRAIRQMGLAAVAGSRIGGRVCKGISGGQRRRVSICVELLASPALVFLDEPTSGLDSTASLHVMSRIAGLARAEGMTVVAAVHQPSSEVFQLFHGLCLLAYGRMVYFGPAAEAIEFFDANGYPCPLRRNPSDHFLTMINKDFEEFDEGSTLMLPCADEVIQTLVDSLISRGSLGMKNEAFTMEGAPLTKKRQATFFTKCAVLTKRSCTNMHRDLGYYWLRFGIYIGICVSIGTIFCNVGYSFASIQARASMIMFTSTLLTMMSIGGFPSFVEDLKIFRKEQLSGHYDATAFVISNTLSSTPYLGIISIIPGAIAYYLTGLQRSFDHFVYFAAVLWACTMLVEGLMMIVAAIVPDFLLGIITGSGIQGLLMLNAGFFRLPSDLPKPIWKYPTYYISYHKYATQGLYKNEFLGLAFENLGGEGLTISGEYILKTYLQVEMGYSKWVDLAILFAMVLIYRVLFLVIIKVGEAVRPMIKCISLKV >KQL23670 pep chromosome:Setaria_italica_v2.0:II:16069078:16075367:1 gene:SETIT_028669mg transcript:KQL23670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMCHACVSKETTMDEVNIDLTCSTSCCKMCDHGEGSSIVTGEVNWLHMDSSPCNTPYGTPMFSRENSFSSFASCFSSLADSDEEIELQDTGQLYPDTLLSDGLMEQGEGSLIQVEECQLSDISVVDDGATFPILAYQNISSGHPQSETLEDSTKENFGATNITSYSNLSSEQHQDVLSNNQSIETKFGVSVEDINPKQSGVIDVEEVTSLPMPGGDIIPLNEQVMDQLDNTMENTIVYNNISNTEPDMKHGADFDNENECLYPMALPSFDADPLIWSPPEPENKEDDFDTVFNNSDESENNSSGWARSSFNFNLAERSKESHEDQLQKVMSEVMNGQFKILVSRFLAAEGFSLSYGGTEKNWFDIVASLSWDAALLVKPDANSGNAMDPGLYVKVKCIASGSYQQSEVINGLVFKKSAAHKQMRANVKHPKLLLLQGGLGHSSTGLSSINSMKQENEQLEKTLSEVIGKCQPDVILVEKVVSCNVNEYIQKQRVTVDALTKPSLIKQCETLHFEKFIEEHNITGEDGRKSCKTFLFLKGFPRPLGCTILLKGASREELKKIKRVLHFTVFAAYHLILETSFFADQKLFTADKPTSGKEKCFKTNPCLRGPCYDSLKNSDTMKHPICDDQYANQEKFIHTEKSIVLHLHDSKTMTSEDPAGEKHIDSKGIQSYSSLPVSDHLTNFMQETSSSDYAESNTCDGFDGSKFTDTSKEVQKEQSSGKKIEETADGICAESGAALNTQDILISMSSQHIRNQSVCEQSHLSRITYYGYFDTSLGRYLQDTLLNEKHSCLSCGESPVAHMYSYTHRNGTLTVLVKRLPLESSLSGEAQGRIWMWTRCLRCNAKPTNRVIISSSACNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGFGSRVAMFIYSSVEIYSACKPPLTLEFNNPNKKDWLEVEVNNPITYLHANIILFFKVLVKWKELFSEVENVIQDLRSRHSTQAMGEDTNISGCEDLLLDVTRMLTREKNEVEVSLKAFNQIVIPKSFPHEILGLNWLYQQLLLGFYIWDLRLLHILEYTKVNTVSLDNSIHESTVKNEQKNSGSIAIQDASSVKDIEMERNEATISSSGSFDDSCTDKHFLDKAQLTDKLIIKEQELPVYQYHGVRSSLSSPGKAAENGSHQFEASVEIANDFCSDKLPFTYNEQPEASKVNEICRVVIPSDDAGKWVWNQFSHLELEYKKELQGCSLDKFHLINKYTPSSSSLTQLKHQMDLGQFIVGRGGNILSVAEEEVSSIIAVALTISEQQGFSSEAASSNLDRNVSMLSSMLASPISPMESTSGFYDSFLSKYTVVCIYAKQFHDLRKICCPSELAYISSISRCKHWNAQGGKSKVFFAKSMDDRFIIKQIKMTEFHSFLKFGLGYFKHLGVYQVSSNPTCLAKILGIYQVKETRNGKEARTNFMVMENLLFGHNILRRYDLKGALFSRYVPDSENPEKVLLDQNFIEDMRTMPIYIQGKTKNLMERAIWNDTAFLSNMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNLSPTVISPREYKIRFRMFMSQYFPSVPDA >KQL23671 pep chromosome:Setaria_italica_v2.0:II:16069078:16076301:1 gene:SETIT_028669mg transcript:KQL23671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMCHACVSKETTMDEVNIDLTCSTSCCKMCDHGEGSSIVTGEVNWLHMDSSPCNTPYGTPMFSRENSFSSFASCFSSLADSDEEIELQDTGQLYPDTLLSDGLMEQGEGSLIQVEECQLSDISVVDDGATFPILAYQNISSGHPQSETLEDSTKENFGATNITSYSNLSSEQHQDVLSNNQSIETKFGVSVEDINPKQSGVIDVEEVTSLPMPGGDIIPLNEQVMDQLDNTMENTIVYNNISNTEPDMKHGADFDNENECLYPMALPSFDADPLIWSPPEPENKEDDFDTVFNNSDESENNSSGWARSSFNFNLAERSKESHEDQLQKVMSEVMNGQFKILVSRFLAAEGFSLSYGGTEKNWFDIVASLSWDAALLVKPDANSGNAMDPGLYVKVKCIASGSYQQSEVINGLVFKKSAAHKQMRANVKHPKLLLLQGGLGHSSTGLSSINSMKQENEQLEKTLSEVIGKCQPDVILVEKVVSCNVNEYIQKQRVTVDALTKPSLIKQCETLHFEKFIEEHNITGEDGRKSCKTFLFLKGFPRPLGCTILLKGASREELKKIKRVLHFTVFAAYHLILETSFFADQKLFTADKPTSGKEKCFKTNPCLRGPCYDSLKNSDTMKHPICDDQYANQEKFIHTEKSIVLHLHDSKTMTSEDPAGEKHIDSKGIQSYSSLPVSDHLTNFMQETSSSDYAESNTCDGFDGSKFTDTSKEVQKEQSSGKKIEETADGICAESGAALNTQDILISMSSQHIRNQSVCEQSHLSRITYYGYFDTSLGRYLQDTLLNEKHSCLSCGESPVAHMYSYTHRNGTLTVLVKRLPLESSLSGEAQGRIWMWTRCLRCNAKPTNRVIISSSACNLSFGKFLELSFSTHSAAKKLSTCGHLLHRDCLRFFGFGSRVAMFIYSSVEIYSACKPPLTLEFNNPNKKDWLEVEVNNPITYLHANIILFFKVLVKWKELFSEVENVIQDLRSRHSTQAMGEDTNISGCEDLLLDVTRMLTREKNEVEVSLKAFNQIVIPKSFPHEILGLNWLYQQLLLGFYIWDLRLLHILEYTKVNTVSLDNSIHESTVKNEQKNSGSIAIQDASSVKDIEMERNEATISSSGSFDDSCTDKHFLDKAQLTDKLIIKEQELPVYQYHGVRSSLSSPGKAAENGSHQFEASVEIANDFCSDKLPFTYNEQPEASKVNEICRVVIPSDDAGKWVWNQFSHLELEYKKELQGCSLDKFHLINKYTPSSSSLTQLKHQMDLGQFIVGRGGNILSVAEEEVSSIIAVALTISEQQGFSSEAASSNLDRNVSMLSSMLASPISPMESTSGFYDSFLSKYTVVCIYAKQFHDLRKICCPSELAYISSISRCKHWNAQGGKSKVFFAKSMDDRFIIKQIKMTEFHSFLKFGLGYFKHLGVYQVSSNPTCLAKILGIYQVKETRNGKEARTNFMVMENLLFGHNILRRYDLKGALFSRYVPDSENPEKVLLDQNFIEDMRTMPIYIQGKTKNLMERAIWNDTAFLSNMNVMDYSLFVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNLSPTVISPREYKIRFRMFMSQYFPSVPDA >KQL23753 pep chromosome:Setaria_italica_v2.0:II:17317317:17326353:-1 gene:SETIT_029001mg transcript:KQL23753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALTAAAAPTVTTFLRARTARTWVQPALPQRSPHRPCGLSFIHGNLWRLTEEDAEWMPVGFEITLPTLLEMAKDLGLDLPYDEPALQEIYSRRELKLSKIPIDALHAGPTTLLLSIEGMPGLDWERLLTLQCPDGSFMSSPAPTAYALMQTGDRKCLQFLEEIVDNFKEGVPFTYPVDIFERLWVVDRLERLGISRYFTSEIQECLDYVYRHLTQKGLAATRDCPVNDIDDTAMGFRLLRLHGYYVSPSMFKHFEKDGEFVCYHGQTNKSITAMYNTYRAAQVSFPGESELERADVYCRGFLEEKRASGNFGDKWVIPKDLAGEVGYALDFPWRASLPRIEARMYLEQYGGSADVWIGKVLYRMPLVSNELFLETAREDFRSFQKLCRLEWNGLRKWYDRNKLAAFGVEPKCVLRAYFQAAASIFEPDRAAERLAWARTAVIAEAVSLHLRGKASDVSTRVHGAISELENHGRDALTRVNEDPKKALLGAIGELIDQSSSENASHCLREAWKQWLRSWTAKEGFESCGGNTALLIVRTVEIASGRYSLKEHDVNRSEYTQLERLTSSICSKLTSRVPVQNGGLKKSENSVSQVDLEMKELAQSVLQSCDSIDRVTRQTFLHVAKSYYYASYCSTETIDSHISKVLFEDVVSE >KQL23754 pep chromosome:Setaria_italica_v2.0:II:17317478:17326306:-1 gene:SETIT_029001mg transcript:KQL23754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALTAAAAPTVTTFLRARTARTWVQPALPQRSPHRPCERILAIANGKGTGAVTTCEPSERLKDEPRNVSEKIDAIRAKLKSISDGNINVSAYDTAWVALVKRLDGGGGPQFPTSIDWITKNQLPDGSWGDDTFFMVHDRIINTLACIVALKSWNIHHYQCQRGLSFIHGNLWRLTEEDAEWMPVGFEITLPTLLEMAKDLGLDLPYDEPALQEIYSRRELKLSKIPIDALHAGPTTLLLSIEGMPGLDWERLLTLQCPDGSFMSSPAPTAYALMQTGDRKCLQFLEEIVDNFKEGVPFTYPVDIFERLWVVDRLERLGISRYFTSEIQECLDYVYRHLTQKGLAATRDCPVNDIDDTAMGFRLLRLHGYYVSPSMFKHFEKDGEFVCYHGQTNKSITAMYNTYRAAQVSFPGESELERADVYCRGFLEEKRASGNFGDKWVIPKDLAGEVGYALDFPWRASLPRIEARMYLEQYGGSADVWIGKVLYRMPLVSNELFLETAREDFRSFQKLCRLEWNGLRKWYDRNKLAAFGVEPKCVLRAYFQAAASIFEPDRAAERLAWARTAVIAEAVSLHLRGKASDVSTRVHGAISELENHGRDALTRVNEDPKKALLGAIGELIDQSSSENASHCLREAWKQWLRSWTAKEGFESCGGNTALLIVRTVEIASGRYSLKEHDVNRSEYTQLERLTSSICSKLTSRVPVQNGGLKKSENSVSQVDLEMKELAQSVLQSCDSIDRVTRQTFLHVAKSYYYASYCSTETIDSHISKVLFEDVVSE >KQL25888 pep chromosome:Setaria_italica_v2.0:II:41098208:41104947:1 gene:SETIT_029178mg transcript:KQL25888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQQVKPSDLPPTNSDNQDSAATPPVTTVDPVRPAASTDSSSQVAGVDPAVISAPTAAPAKDAAGREAPASMFSTSGLSSWAKNLKIPQPSSGQESPTGKNTFARFTSGFGLRLSPKAAQQDEIAEGSTSPTTGQPGVFGSLTKGIVDSSKNAVKAVQVKARHMVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDLSSGLFGYFEGFYRNHMEEVIRFFEMHHKGKYKVYNLCSERLYDASLFEGKVACFPFDDHNCPPIQLVISFCHSAYSWLKEDIENVVVVHCKAGKARTGLMISSLLLFLKFFPTAEESIEYYNQKRCVDGKGLILPSQIRYVKYFERILTYFNGESQPPRRCMLRGFRLHRCPYWIRPSITVSNHNGVLFSTKKHPRTKELMPEDFWFSAPKKGIMVFALPGEPGLAEVSGDFKIQFHDRQGDFYCWLNTTMMENRVTLNPTDLDDFDKRKLPSPGFQVEVVLVDYDGSQAPKPKPAAGSDNKTDADSSGSTVAKESNAAPPESNKGTGSNDKDEVFSDSEGEDGSSKGRKEKASSGGQSNANAAKPSETSTVQEEASAAASRLEKVAITSEQGAAKAPDATSLKTEVSSKSSSTTAPSPAVDSSSMSEFKAIAADASVFSFGDEDDYESE >KQL25646 pep chromosome:Setaria_italica_v2.0:II:39556456:39557453:-1 gene:SETIT_031449mg transcript:KQL25646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGSRGGYPTGIEPSLRELAQKYNENKRVCRKCYARLPLRATNCRKKKCGHTNELRSKKRFMSKNGGA >KQL26710 pep chromosome:Setaria_italica_v2.0:II:45894065:45894811:1 gene:SETIT_032022mg transcript:KQL26710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVGGEAGTMGWVDLWGGILFYDLLRQDRDGPALRHIPLPLPSDIVAIKDLKGVKLNCPESRPRVFSLIKDGKACLKLANLQSTSERLPYTDIETGWPAFAVHNWAITVWSNTEISGSYEDLTVRDSDIKISNEVRLQLQKSGLLHRKPSRDEERDVVEVSLQNLVVSEPNVSLNGEEDVVYVMAATKFMDRKGWVLGIDMRSSTLLGVAEFGAEYVSGFSYRPGTISKYMNPSTTPGNYYVTAAN >KQL22398 pep chromosome:Setaria_italica_v2.0:II:2349927:2350298:-1 gene:SETIT_032933mg transcript:KQL22398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFACFCDCRKQCLKGSSFTSEVDFFLWRLVSAGGMSKVFRLKVRKHVLIVLSVQDGAEQAGVSLGTVPCAQQISFRKVSFYYWEDVYIMSFFCGCITVLLTLVQESCSNRTNGPWM >KQL24471 pep chromosome:Setaria_italica_v2.0:II:30416939:30420439:1 gene:SETIT_030336mg transcript:KQL24471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSPPLSLLLIRALPSKPLLLSRHRKPFPYPKSGRIAVARAQQRLLRLPETGRWGRSWRRGGGFPCFSYNANNESPPPSDKSSDNWRILQRWDVPWEWQTVVLSMVGCGVSSVTVVVLGVIFGITNTFRPFPDDVFRYDIKEPFKLKNGWLLWAGVGLFGAIISIGLTGAAMTYLNGEPPERETDSLVLLLPLIGSSTTSTAFLVGITGVLAPLLEETLFRGFLMVSLTKWFPTPVCVLVSAAVFALAHLTPGQFPQLFILGVALGFSYAQTRNLLTPITIHAFWNSGVILLLTFLQLQGYDIKELLGAS >KQL24472 pep chromosome:Setaria_italica_v2.0:II:30416939:30420439:1 gene:SETIT_030336mg transcript:KQL24472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSPPLSLLLIRALPSKPLLLSRHRKPFPYPKSGRIAVARAQQRLLRLPETGRWGRSWRRGGGFPCFSYNANNESPPPSDKSSDNWRILQRWDVPWEWQTVVLSMVGCGVSFVLTGLVEQSALQYVGFKALEATIDEKAEILFLGQLSVTVVVLGVIFGITNTFRPFPDDVFRYDIKEPFKLKNGWLLWAGVGLFGAIISIGLTGAAMTYLNGEPPERETDSLVLLLPLIGSSTTSTAFLVGITGVLAPLLEETLFRGFLMVSLTKWFPTPVCVLVSAAVFALAHLTPGQFPQLFILGVALGFSYAQTRNLLTPITIHAFWNSGVILLLTFLQLQGYDIKELLGAS >KQL26937 pep chromosome:Setaria_italica_v2.0:II:46996932:47017323:-1 gene:SETIT_028636mg transcript:KQL26937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRDSLEMTHTPDYLNFLRCYFRAFSAILTNYTKPQATENAEHKLRNVVIEILNRLPHSEVLRPFVQDLLKLSLRVLTQDNEDNALLAIRIVFDLLRNFRPTVEAEVQPFLDFVVDIYRKFSSTVSYFFDNPNTSVSMAASMPMQHLDPTTDAPGMMQVPGGGQLNPSTRSFKIVTESPLVVMFLFQLYAKLVQTNIPNLLPLMVSAISIKGPDKVPPHLKTPFNDLKGAQVKTLSFLTYLLKSNADYIKSYEESICKSIVNLLVTCPPDSVSIRKARFDLGLSQIHELLVGLKQVLNTEYKRGLFPLIDTLLDERVLIGTGRVCIETLRPLAYTLLAELVHYVREDISLPQVKHASYINFDSSMLLIDSGSCSVEPIYQKGVDQQSMDEARVLLGRILDAFVGKFRTLKRTIPQLLEEGEEGKDQPNLRMKLEVPLQTVLNLQPPLEYTKEINDYKSLIKTLAVGMKTIIWSITHAHWPRPQVRKTSGVLKSGVHCLALFKEKDDDREILQSFSQMLAIMEARDIMDMFSFCMPDLFDCMITNNQLLHIFSTLLQAPKVLRPFTDVLINFLVSSKLEALKQPDSPAAKLVLQLFRFLFIAAAKAPESCERTLQPHVPVIMEVCMKSATEVEKPLGYMHLLRNMFRALNSAKFDSLMRDLIPSLQPCLNMLLSMLDGPISEDMRDLILELCLILPARLSSLLPHIPRLMKPLVLALKGSDDLVSLALRTLEFWIDSLNPDFLEPSMANLMSEVILALWSHLRPPPYTWGTKALELLGKLGGRNRRFLREPLALECKENPEHGLRLVLTFEPATPFLVPLDRCIHQAVGAVMQGSGMEAFYRKQALQFIRVCLDSLLNLRENVPGEGVSPGVLGTLLISSLDPSRRRNDASDMKGDLGVKTKTQLLAEKSVFKTLLVAVIAANADTCLHDEKDDYIVDICRHFAMLFHVDSPSSGQAGFMQPIGSSLPSSINMGSRSRSNTSSNLRELDPLIFLDALVEVLSSENRQHAKAALSALNTFAETLIFLARMKHTGMLRGGPSTPMLVSSPSLNPVYSPPPSVRVAVFEELLPRLLHCCYSSTWQAQMGGVMGLGALVGKVSVDTLCIFQVKVVRGLLFVLKRLPVHANKEQEETNHVLTQVLRVVNNADEANSETRRQSFQGVVEFLAQELFNPNASMVVRKNVQACLSLLASRTGSEVSELLEPLYLPLLQPLISRPLRSKNVEQQVGTVTALNFCLALRPPLLKLSPELVNFLQEALQIAEADETVWVTRMMNAKIVLTWNKLRTACIELLCTAMAWGDLKAQNHSELRAKIIAMFFKSLTCRTTEIVNVAKEGLRQVVQQQRMPKDLLQSSLRPILVNLANTKSLTMPLLQGLARLLELLSNWFNVTLGAKLLDHLKKWLEPEKLAQAPKSWKTGDEPKIAAAMIELFHLLPPAASKFLDELVTLVIDVEKALPEDQFYSEINSPYRAPLAKFLNRYAADAVDYFLARLSHPKYFRRFMYIICSDTGELRDELARSPQKILASAFSQFYPQTEAAATQLSSVKDEALAGAISDSFTGQQSSSNMVSSSDSYFNGLELVSALVKLMPEWLRNNRVVFDTLLLAWKSPARLARLQNEQDLSLPQVMESKRLIKCFLNYLRHDRAEVGALFDMLSIFLYRSRIDYSFLKEFYVIEVAEGYTPSLKKTILNHFLNIFQSKQYGQDHLVVTMQILILPMLAHSFQNGQSWEVVDPSIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDNSSKQWAFVNVCHFLEAYQAPEKIILQVFIALLRTCQPENKLLVKQALDILMPALPRRLPPGDSRMPIWIRYTKKVLVEEGHSIPNMIHIFQLIVRHADLFYSCRAHFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVAWERQRQSEMKVVQESESQNQIGDMLNPSVVGGDPKRSSDAPSFADDLSKRVKVEPGLQPLCVMSPGGASIPNIETPGSSGQPDEEYKPNAAMEEMIITFLIRVSLVIEPKDKESSSMYKQALDLLTQALEVWPNANVKFNYLEKLLGNLSPSPQSKDPATALAQGLDVMNKVLEKQPRLFIRNNINHISQILEPCFSNKMLDAGKSLCSLLKMVFSAFPLEAATTPQDIKLLHQRVQDLIQKSLAAVTTSQIALELSNANSIINFSLFVLNALAEVQKNFVDPFIGFLFRVLQRLARDMGSSAGSHIRQGQRPELDSSVNSRPTVDSTVISNMKTVLKLISERVMTSSDHRKSMGQILQALLSERGTDPSILLCILDMIKAWIEDDCRLASSTGSVNSLNPKEILAYLQKLSLVDRKSFPPAAQEEWDAKYLQLLYSLCGDSTKYPLAFRQEFFHKVERQYMLGLRAKDPEMRKRFFKLYHDSVGKTLFSRLQFIIQSQDWEAVSDVFWLKQGLDLILAILVENEPITLAANSARVPALMIAGPVPDRITMPQQIPDAQESMDGTSLSFDSLAARHAQFLNEASKLVVADIMAPLKELAFADPNVAYHLWVLVFPIVWVTLHKEEQVALAKPIIALLSKDYHKRQQGCRPNVAQALLEGLHLSHPQPRMPSELIKYIGKTCNAWYIAIALLESHMVLMNEAKCSESLAELYRLLNEEDMRCGLWKRRSITAETRAGLSLVQHGYWQQAQNLFYQAMIKATQGTYNNTVPKAEMCLWEEQWLSCAAQLGQWEVLADYGKGVENHEILLDCLWKVPDWAYMKENVISKAQVEETPKLRLIQAFFTLHDKSTNGVSEAENLVSKGVELALEQWWQLPEMSVQSRMPLLQQFQQLVEVKESSKILLDIANGNKPVSASSGANSNPNNSFADLKDILETWRLRTPNEWDNMTVWYDLLQWRNEMYNSVIDAFKDFGQTNPQLHHLGYRDKAWNVNKLAHIARKQGLPEVCVTILDKMYGHATMEVQEAFVKICEQAKAYLEMKGELVSGLNLINNTNLEFFPVKNKAEIFRLRGDFLLKMNDCEAANQSYSNAITLFKHLPKGWISWGNYCDMIFKETNEEVWLEYAVSCFFQGIKYGVSNSRSHLARILYLLSFDTQNEPVGRALDKYLEQLPHWVWLSWIPQLLLSLQRGEAQHCKLVLLKIAQVYPQALYYWLRTYLMERRDVATKTEMGRNMLAQQRMQQAMLANNAANNLPDGSARGSNHAGGNVTSDNQVHQASQSVGATASHDGGNVQGQEPDRSTAEAGTNSSHDQGQQSSTGAEGSQVALRRNSGLGWVTSAASAFDAAKDIMEALRSKHTNLANELELLLSEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLRKELSGVCRACFSQDAVNKHVDFVKEYKQDFERDLDPESATTFPATLAELTERLKHWKNILQSNVEDRFPAVLKLEEESKTLRDFHVVDIELPGQYFTDQEIAPDHTVKLDRVGPDIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERMLQLFRVLNKMFDKHKESRRRHLAIHTPIIIPVWSQVRMVEDDLMYSTFLEVYEINCARHNREADSPITIFKEQLNQAISGQVSPEAVVELRLQAYNEITKNIVNDNIFSQYMHKILPTGHYLWTFKKQFAIQVALSCFMSYMLQIGGRAPNKILFAKNTGKIFQTDFHPAYDPNGMIEFNELVPFRLTRNLQAFFSNFGVEGLIVSAMCSAAQSVVSPKQNQHIWHHLAMFFRDELLSWSWRRPLGIPSVPVASNPLDFQQKVTNNVDYVIGRIKSISPHYLAEEEENATEPPQSVQRGVTDLVEAALSSRNLCMMDPTWHPWF >KQL24371 pep chromosome:Setaria_italica_v2.0:II:29296934:29300361:-1 gene:SETIT_029210mg transcript:KQL24371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDTPAPPQRAASLSDDDRLLVAHCAELSFPSPSPASAPAAASSSARSFQVHHASHPYPCAAFAFAPSWSAADWAAPSSAGGARQPFGDAEVDPALFPSLRAVGSGVPARANAAFLAAFRGLLDGSTLQSEVSRAVAEEKRIVFTGHSSGGSIATLAAIWFLEKCTRQGSVNQAHPFCVTFGAPLIGDNIFNHAVRREGWSQCILHFLLPLDIVPRIPLTPLASFREEIQAVLDWLSPQTPNNSPAGRSLVIPEYYETLLRSTLSIASYEACSFMGCTSSILGTLTSFIDLSPYRPCGTYHFLTSSEQLIVITNSDAVLQLLFYCLQLDPQQQLLDAAARSLSAHWQYEPIKQCMPDIVCVDYLGAISSTVPGRQTDRAAFGSIELSKEAILHLSAAAQWEKQRQRNQTKIDESCQKIQEALRSLNDYKRACELRGVTYYDSFKLQREVHDFNANVRRLELAGLWDEIIEMLRRRELPDGFEAREEWVGLGTLFRRLVEPLDIANYYRHSKNEDTGSYLSKGRPRRYKYTQRWHEQLQRAPVGSSLESCFWAVVEELQAEMAGGRTFQDLRDRVVKLESDAHGWYNSGSLGKDVFLGSSSFVAWWRTLPEQHKSASCIAKLVSL >KQL23295 pep chromosome:Setaria_italica_v2.0:II:10580213:10584038:1 gene:SETIT_028975mg transcript:KQL23295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGNGSNPYSLHVGERDDKSSNTKLCSDFTAAEMQVNPLNDDLSLVHVKLEQSMSSVQAFVAEHMTSKELDMDWSKEAVGLDGFRYVGCNDLRDVALNSLHMFFKTAVQMLSSEGYTEDAVVNAIVDSALCYQYDGPIDKITEHARTLLQSGGHQVDYSSSENVDTVLHMLGLFFLCNASSLLKTCCPFFTLGDALWCILLCDLDISITRAAFSHTSGYGNGQSEGHAPRQCNLCEARENVNEISEECGCGSSRGTESPAQFEPSQSEAAQRVWSNILANYIVSVQNSATKNQDTSSTQDENSPSVPRAVVQRSKKATKGNRSKTNSIKYQKDSGKDLVVFKNIPHVKGIGKTSSRMLKESKSLMAFLGSAQNTSTGISEVANKKCLQPATLVPTQPVSGPSSVKSRDSPAMVSTGSLSSHASCSSISSSSAMVESMLQMEPDVVQLSLPHTTPAEGFEFNFSRDGMQTTWVPKEREEELALELVQRLGELKLEVKVWTDWANERVMQSTNRLVNERSILLSLKKDKADVEEPDVFNRKKLEETQRALDSTSDELNRVNSCVQELTDKVSHSRREKKAVQLQGKKADERLANLLSKENELMDGLKSMETEKSFLQEELVAERSKLSNLLKSLEQARRSEDSVKKRCQEGAKMLDAVTKQVNSERTALERIDTSARTKSSNLLLKAQKDQEWLQANIRNLKQQVGEMTSSSKLQRVAMFMPPPGFAMDSVQREQECAMCLEEEVSVVFLPCGHQVVCAGCNQRHQEVGMTECPSCRSPIERRICARFADS >KQL24235 pep chromosome:Setaria_italica_v2.0:II:27973680:27978589:1 gene:SETIT_032052mg transcript:KQL24235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTGAAKNTATTGPTFASPLFSFSNPPGTSFGFGFGFDSGAPPPPPPPAIEVQLSEESPVAADRLEPVVVDDSLSIYKGRVSTSDVFGVKDSDLVPGKYEGGLKLWEGSLDLVKALNSDIKEGRLLLEGKRVLELGCGHGLPGIFAGLKGAGLIHFQDFNAEVLKCLTIPNVKVNLFKESPEETCTSRSVGFFAGDWSEMDKLLLTGDAKQDKTNSGDTEDKTYNGYDIILMAETVYALSSLPNLYRLIKKCLHYPGGVVYMAGKKHYFGVGGGTRQFLRLIEEDGTMQTERLNDVADGSSNVREGHGCERMSIAPCDARNQALGQALSLSPRFSAAHANSSTWYSFGGARSMCLLFASLWSVCTPSLYFCSEAAMMGFFRDTGVVSVGGRGGSRTGGLFQYQRLECLDEEVAPRSRWRWLPAALNGKVASPCLFHVKKVKWGRITSALIPRKVAELSAKIRHARGSGMTEAEVCPTVIFMSPWGLPVLSRPLLAGGHRGRYHHGRDAF >KQL24344 pep chromosome:Setaria_italica_v2.0:II:29111642:29113883:-1 gene:SETIT_031287mg transcript:KQL24344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAWAASTAALSPPLLTRRSCSRAPSASPARRSAAAGLRLRSRRPRPAKFECRRAKNAGYEDYKFPDPIPEFAEQETSKFREHMAWRLDQKKEDYFGEHVEEIVDICTQILGSFLENDYCGPGTLLVHPFLDMKGEIKERGLPGAPQAARAAIAWAEKNIDKDWKAWTGEY >KQL25211 pep chromosome:Setaria_italica_v2.0:II:36681166:36684981:1 gene:SETIT_032364mg transcript:KQL25211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARELPSSLLVAAAFAVATLMMTVAAAAAVAVEDAATDNIQPLSTLKMQAARVAMDSGAAIHASPDVLGQNGEDSAWVTVNFTAPAPSAGHWVALFSPADFGLSVGGGGASTNAAAGQDGPAAGLPTAPIKYMFANISPNFMSSGSGNMSFLVINQRSDYAFGLFSGGKDNPKLIAVSSKISFANPKAPVFPRLSQGKEWNEMAVTWTSGYNINEAYPFVEWRMKGEESSKRTPAGTLTCTRRHLYFYQIGHELPDGTVVRGKSSTFRASPFPGQDSLQRVVVFGDMGLGQRDGTNELAGFQPGAQVTHGPADQGAAQLYDAVFHIGDLSYANGFLAQWDQFTARIEHIASKVPYMVASHFIGRPFSESLKLSDLPRHRGILHGNDSRGECGVPAETYFYVPAENRDKLWYAADYGMFRFCVLDRCFASANRKHQPWLVFAAHHPLGYSSNGYYATEGSFSEPMGHALQGLWQKHRVDLAIYGHVHNYERTCLVYENTCMDGEKDNGSYSGTMGGTIHVVAGTGGAKLRDCSAGPRPEERGPGQELRYVKLMASDHSSLRVEFIHSNDGAAHDAFNITRDYKDVLACTVDSCAPHTMGN >KQL24284 pep chromosome:Setaria_italica_v2.0:II:28507570:28510603:-1 gene:SETIT_029506mg transcript:KQL24284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAAWRQGRRTLVLVNLASIMERADEALLPAVYREVGAALHATPTGLGALTLYRSIVQAACYPVAAYAASRHNRAHVIALGAFLWAAATFLVAVSDTFLQVAVSRGLNGIGLALVIPAVQSLVADSTDDDNRGAAFGWLQLTSSIGSIFGGFFALMLAQTTFLGIAGWRIAFHLVAIVSVVVGILVWLFAVDPHFPANNAGLHATPISKKSALDEARELLIEAKSIIQIPTFQVFVAQGVSGSFPWSALSFLSMWLELIGFSHEETAMFTTIFAVATSIGGLLGGKMGDFLAQRYPNAGRIILSQISAGSAIPLAAVLLLGLPDDPSRSSGVAHGLVLFIMGLIISWNGAATNCPIFAEIVPEKQRTSIYALDRTFESILASFAPPVVGLLSQHLYGFKPDDKGSSPEQDRENAASLAKALYTAISIPMVICSSIYTFMYRTYPRDRDRARMQSMIQSELDQIELGGSHFGCGDDRFDLFESADDGEKPDQVDAIYGSEESAQADAGTARLLGNHEL >KQL24776 pep chromosome:Setaria_italica_v2.0:II:33034525:33037039:-1 gene:SETIT_032881mg transcript:KQL24776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGEKKYPIGFRFKPKDEELVEYYLLPRLQGRPTVPNDSVIEASVYDYHPDRLTNEYKSGGQEEWYFLSSRARMYGNGVRPARKTRDGRGRWKASTATKEVAEEVVCNGIKFCKSVLNYFEGNPKKEARTKWIMLELTVPEYEIKLDKPGAKNMLDEYVMCKIYVSPQHKKKGDADDDEEGTSSAFEEEEEEACSSTPHGQGTAESMHADKQAGKRPMVEQPPQRGSFAPARKQARQGSLSTGSATQASYSSTSNAASSTEAYCGGLGQLTGAHCGLQFQAPPMPRPAGAYNGQAPAKPPAGACGGWGLVQLPTPTPVLYQPFADPTDDDPFGQMAATMTRPTTPANQAARLPGFPGSQPPRHPGTGFRPQVSLQCCYDQNYRPVQVQQPPPGNASSSQLQRLPAFLPQQQMLQPFLNGGANRRAGAAAVPRGPSYNGYPYQRTSLAPTADGHGGAANEKNGAARFNVNAEQFFVDLATINPSLAGGCTQPAPVGLVKPPAPAAARPVESPAPRGGRCCES >KQL22640 pep chromosome:Setaria_italica_v2.0:II:4127506:4128004:1 gene:SETIT_031854mg transcript:KQL22640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTLLMWIFANPSGIFAVTNWGEKRCFKVTALSKTICRSELLKGHCNA >KQL25952 pep chromosome:Setaria_italica_v2.0:II:41488644:41492065:-1 gene:SETIT_029784mg transcript:KQL25952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATASISSHPAALRDVKAARIGAVRQQVAVAPSAAARGQRARAVRPLRAAEQGRQPVSASAASAAPVAPVADEAAAPAAVDYEALARELEGASPLQVMDRALAMFGSEIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYQFFDKVEKHYGIRIEYMFPDAGEVQELVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRASIPVVQVDPSFEGQDGGAGSLIKWNPVANVDGKDIWTFLRTMDVPVNTLHAQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIEKDGQAAAPKSANGNGSAGAPDIFESPAVVSLTRTGIENLLGLENRAEPWLVVLYAPWCPFCQAMEASYVELAEKLADSGVKVARFRADGEQKPFAQAELQLQSFPTVLLFPSRTARPIKYPSEKRDVDSLLAFVNSLR >KQL25386 pep chromosome:Setaria_italica_v2.0:II:37737222:37737921:-1 gene:SETIT_032956mg transcript:KQL25386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQIKKEMIAESCSPCSSASASTSSEHHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEGAARAHDAAMLAIAGAGACLNFADSAWLLAVPASYASLAEVRHAVAEAVEDFLRREAAPEDDALSASTSTPSSPASDDGSATDGEESSDSSPAAGVSAFELDVFNDMSWDLYYASLAQGMLMEPPPAVMEFGDANIVDAPLWSY >KQL22133 pep chromosome:Setaria_italica_v2.0:II:110665:112065:-1 gene:SETIT_032406mg transcript:KQL22133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFAISCFVLFLLLNSASRVAESRAVAAGDVSSQRRHRHHGHHHDIDSPPTDDDGDTDSPPSDDDDDTDSPPADAKPKKLLVFGDDFADTGNGDSDPQLGYGSRSWRSPFGMSDTAHGRQPSGRFSDGLVQPDFLAKIMGRSESPPPYTYDDWDDGIDAAGLNFAVGGSVALDTPAGVPKLRAQVQQLRNLIRDGVVERKDLRDSVALLAYSGDDYAYANNDAMNDTISKVIDELASIVSDLQDLGVPKVLVNTVITYGCTPWLTRQSSDPYSSCDDSRNWVSDVHNTALRDRLGGEEDVMLLDVNSVVRDLVEPKEGSTLYGKQFKERLRPCCEADDEDAGDYCGLDGRYSLCEHPEEYFFWDNEHPTQAGWRAVMQLLQGPIMAFLGVSNLEHF >KQL23751 pep chromosome:Setaria_italica_v2.0:II:17296962:17297534:-1 gene:SETIT_032988mg transcript:KQL23751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSPIISSLPSNYSSDEDGSLVEDGGPPYDDSNPLSEPYIVPKSPRYSYDKETEYEKEEEDDNETYIEENPDEEDEEAVSEAALAEVVAEERAKMWAAKKRKGEERAKKRREEQEVDSEMAKKRRWVDFNFNAGPMTPAIVGPAAPTIAGPDLESSGNSSEVSS >KQL26244 pep chromosome:Setaria_italica_v2.0:II:43276141:43285105:-1 gene:SETIT_028725mg transcript:KQL26244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAATQKSSLEVLLETIKKRDEQPKDAPPALPARPTCRGRLPTTRRPSLPAGFKLENGMATVAAMETAPVDKKPDVEKEIAGLETKEEKLVKGRIFGTKRKFTNAEVLEESPYVEKFNEERKGTTVCKDAPSVSSAMAKMNGNPACPDVMDYVLQKKLRVWCSSPSAKWELGQIQSISGDDAEILLASGKVLTMSPERLLPANPDVLDGVDDLIQMSYLNEPSVLYNLQLRYSRDLIYTKAGPVLIAVNPLKEVPLYGKASIMQYKQKTKDDPHVYAVADLAFNEMLQDGINQSIIISGESGAGKTETAKIAMQYLAALGGASGMESEVLQTNIILEALGNAKTSRNHNSSRFGKLIEIHFSETGKMCGAKIQTFLLEKSRVVQRAQGERSYHIFYQLCSGAPPLLKKKLFLKSANDYNYLKQSNCLRIDGVDDSKKFTVLVDALDTLQMSKEDQMKLFSMLAAVLWLGNISFSVADTENHVEVVSNEGLATAAKLLGCTANQLVTAMCTRKIRAGNDSIVKKLTLTQAIDARDALAKSIYAHLFDWIVEQINHSLGTGRQRTWRSISILDIYGFECFNKNGFEQFCINYANERLQQHFNRHLFKLQQEEYLEDGIDWAPVEFVDNTDCLSLFEKKPLGLLSLLDEESTFPKATDLSFANKLKQQLSGNSCFKGEQEGAFKICHYAGEVAYDTAGFLEKNRDPLHSESIQLLSSCTCELPKHFASVMVADSQNKSSVSWHSVVDTQKQSVATKFKVQLFKLMQQLESTTPHFIRCIQPNGKQRPKLFEHDLVLHQLKCCGVLEVVRISRTGYPTRMTHQQFAERYGFLLLRSIASQDPLSVSVAVLQQLNIPPEMYQVGYTKLFFRTGQVAALENAKKQMLHGTLCIQKHFRGLHSRQGYQGLKKGAVTLQSFIRGERARIHFDNLVNRWRAAVLIQKYTRRRLAATMFNDQLKHIVLLQSVMRGCLARRKYKCLQNERESKASRNKVQGDMRKNVSESRTCHEMNGHYPQQPVIKELEGRISKTEAALRDKEEENVMLKQQLEQYERKWSEYEAKMKSMEEAWKRQLSSLQLNLVAAKKSLASDDVAARAARTDFTPAHAQYDSEDTLSTGTHTPEVIESRHHNHHTEAKVSAGNSDRRVNAVNHLAKEFEDRRQVFEDDAGFLVAVKSGQVGSNMNPDEELRKLKDRFATWKKDYKSRLKETKVNLQKVSTHDEKSRKRWWGKKSSK >KQL22788 pep chromosome:Setaria_italica_v2.0:II:5276995:5278158:-1 gene:SETIT_032785mg transcript:KQL22788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSAVTGLGLPDDPIAEIISRLPAKPLFRFKYVSKAWFRLITDWLRKLKFPQTLQGFFYGDNDGNNYGHFFNLLGGSVPPVDPAFSFLPQLPETQKFILLRSCNGLVLFRRKQYLNAGHAKTLGYIVCNPATKEWVTVPRSGWTLAKGQGDYDPEEWPAITYLIFDPAVSSQFKLVQFCHDSGLNMSQVYTYSSKSGVWSERASECWSSETVGSCVGSAFVNGMLHLIVHRSYEQLSMIVAVDGEGEKCRIIHWAEQERGLLVFLGQSQGNLICMSGHIVDHQTGFITELSIWVLEDYGTEQWMLKDRLSYLQLFGEVSLSRCFLSSPIAIHPDRNVFFFVYSLNGKLVSYDMDGKEVSTLSTLEHSWTSFTPYFPYFAESSALAKKQ >KQL24053 pep chromosome:Setaria_italica_v2.0:II:25215882:25216707:1 gene:SETIT_031733mg transcript:KQL24053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTENRGTQRRHVAKNEPAILPRQPQQYGPHLSELLPCSSTLASSIYFCEPQKCSVKIKVPNPPPHIYTRKRI >KQL25403 pep chromosome:Setaria_italica_v2.0:II:37889846:37890329:1 gene:SETIT_031822mg transcript:KQL25403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLHSDTLWLHARHANLIGFSDLSDIAPILNIYSPLIISWDSFTFLLKLKTKEK >KQL26028 pep chromosome:Setaria_italica_v2.0:II:41917131:41918655:-1 gene:SETIT_032300mg transcript:KQL26028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSLLLALTAIALPVLLHLLTRANKPRHGTRAKLPPGSLGLPLIGQSLGLLRAMRANTAERWIQGRVDRYGPVSKLSLFGAPTVLLTGPAANKFVFFSGALAMQQPRSVQRILGERSLIELTGADQRRIRGALTEFLKPDMLRLYVGRIDGEVRRHLDAEWAGRGAVTVLPLMKRLTFDIISSLLFGLERGAARDALAADFKHLIDGMWAVPVDLPFTAFHRSLRASARARRVIAGITRETKARLERGEASRSSDLIACLLSLTDGSGAPQLSEEEIVDSSMVTLIAGHDTSSILMTFMVRHVASDPDTLAAMVQEHDEIAESKGDGEALAWEDLAKMKFTWRVALEILRMVPPIFGNFRRATQDIEYDGYVIPKGWQVFWTASVTHMDAAIFHEPAKFDPSRFKDGSPATAPPCSFVAFGGGPRICPGMEFARIETLVTMHYLVRRFRWKLLCKEDTFARDPMPSPLHGLPIQLESKSSH >KQL24491 pep chromosome:Setaria_italica_v2.0:II:30663392:30664760:1 gene:SETIT_032643mg transcript:KQL24491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTTGNVKNNVGKCILHQEWSLWYIINLETICDITYSRSVSICKGLVEILHDYKDNPKYKGQNGWVSEGGRIITSKFNEKFPVAHFTKKHVQEKEKELKANYKALRDAKRDNGNGWNEFLCMILTELKVWEKLIVVHTSFAPAPSAPTLAPTPDLPPQAPTLPPPAPALPPQAPVERSNSEQSLHDDLSLYETSSARNENNEAQSASSNQDSRQGEGGKKRKQIHIGSALEGYVEYKKSQTSKTLEALEEKKRHEEEFSVKKCVDQVNAKVELTDEEKSYPLDLFESETLRKTFITSKNPNV >KQL22611 pep chromosome:Setaria_italica_v2.0:II:3849212:3851552:-1 gene:SETIT_029513mg transcript:KQL22611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWPASLLIAVLSFCEAVRAIRTHGGGGGYVSAVGDPGMRRDGLRVAWEAWNFCNEVGQEAPGMGSPRGADCFDLETSAGEHGQPVYEVVHRVTDADNDLRAGNPFPGAPANATVTDVDRYAAAKELYLGGLCQVPDNPAPWQFWMVMLKNGNLDTTAAICPENGRRARPFPQTSRFPCPGGAGRCMNQPLVFHNRTALDDAGRWLRGGLFGTYELDAADPGSGDVSYYSVTWEKEVAASAGAGGWAFHHKLRTSNEYPWLMLYLRSDATRGFSGGYHYDTRGMTKQVPESPDFKVRVTLEVKQGGGPNSQFYLMDMGSCWKNDGRPCDGDAATDVTRYSEMIINPETPAWCRPSRIDQCPPWHTFRNGTRVHRTDAARFPYGAYHVYCSPGNARRAEQPTTYCDAYSNPQPQEILQLLPHPVWGEFGYPTAKGQGWVGDPRAWELDVGALSQALYFYQDPGTPAARRRWTSLDVGTEIYVSENAEAEWTLSGFDILVPDTCIKSQQEGTAGNSCW >KQL23286 pep chromosome:Setaria_italica_v2.0:II:10490567:10490800:1 gene:SETIT_033163mg transcript:KQL23286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTDWGPIIVAVVLFILLSPGFLFQLPARFRVVEFGNMGTSALSILVHAILYFCILTIVVVAIGVHVYSTKPDPVD >KQL23356 pep chromosome:Setaria_italica_v2.0:II:11221857:11224849:1 gene:SETIT_032393mg transcript:KQL23356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGQQANENRHCLDFGNDPSHKLWNNQEDAIEDRLGDEGYAHTDPEDEDYVPECKPSKPVKRKRLTPQQTQELMAVYGTCTHPDAEKLEALGTKIGLEPCRVKVWFQNRRPQMKKKAQVEQNKQIQQENASLLAENQSLRQAMLIQSCITCGGKTLPSDPLAEKQRLLIENTRLQDECLRASVVHGKIIHTSAFTKPAPWIISSGVDREALRRHADTSMEQFLVLATKGEPMWLPTTDSEMLNYEYGARMSPCLFGLRPEGFVVEATRDTAMVWGTATDLVGILMDTARWSETFPGVVASVVAGDFVSTGIFASCDGQIQLMNAELWVQSPRVPNRTVNILRFSKLVDEKQWAVMDVSVDGILGREVTPARYMGCRLLPSGCLIKDLSNGYCKVTWIVHVEYDETTVPMMFKPLFLTGQALGARRWLASLQRQREYAAALHSSRDLGNNNTGILKLAQQMMASFYTAVSGPVTQTQATSNINEWFGSMGTGVERPDAPVRMVTWRKAGTASGEPADLVLSATTTLWLPSTPPERVFDYLRNEKLRGEWDMLTIDTAVKELGYIATGHPGNVVSVLCSNITDGTKNKMLILQEARTDVSGSLVVYAPIRENTMHAVMNSGNNTFVSFLPSGFAILPDGHGKASQALVTAASTSRAPVCRHNNTEGSLLTMAYQVLLPDNLTAGAMDDVGKLICHAINKIKTAVKADIILPA >KQL23542 pep chromosome:Setaria_italica_v2.0:II:14717863:14718860:1 gene:SETIT_033097mg transcript:KQL23542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGEDLVEVFQGAEFTWCLYSHEVPASDSDTTKHIVVGCVRKLYFELSFHKKHKEKALKLTDQERPLTIWMNDGSEWSPMDLYHPSTFGTLAMDHALKQSVMDDLDKFIKRKDYYKKIGKAWKRGYLLYGPPGTGKSSLIAAMANHLRFDIYDLELTGVENNSQLKKILIEMSNRSILIIQDIDCTIELKKRKESGNKKTRKSSNSKKGDKVTLSGLLNFVDGLWSSGGEERIIVFTINHKECLDPALLQPGRMDMHIHMGYCTREAFRIPVNNYHSIDDHVTYPEIDELMAEVPVTPAQVAETLMRSEDPDIALRDFI >KQL25075 pep chromosome:Setaria_italica_v2.0:II:35728696:35730925:-1 gene:SETIT_031549mg transcript:KQL25075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRIKAVVDRFVKELQEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASSQDNQDGALEITVSGEKYRCLRFSKAKK >KQL24722 pep chromosome:Setaria_italica_v2.0:II:32546403:32549640:-1 gene:SETIT_031521mg transcript:KQL24722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKEKPETTSSMPQRRPDCIKCFDALWFCYSPFHQMQNYYRYGEFDNCFGKWGDLVDCLALKTKRAAEAEEILIAREKAKPHIWTFRTVDEASENWWRMYKHLVLMSPPLPGAAQPPPRSDKS >KQL24723 pep chromosome:Setaria_italica_v2.0:II:32546403:32548138:-1 gene:SETIT_031521mg transcript:KQL24723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKEKPETTSSMPQRRPDCIKCFDALWFCYSPFHQMQNYYRYGEFDNCFGKWGDLVDCLALKTKRAAEAEEILIAREKAKPHIWTFRTVDEASENWWRMYKHLVLMSPPLPGAAQPPPRSDKS >KQL25825 pep chromosome:Setaria_italica_v2.0:II:40744807:40746214:1 gene:SETIT_032476mg transcript:KQL25825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAHVLVFPFPIQGHINCMLHFATTLVDAGVHVTFLHTEHNLRRLGGRASSAAGSPRLRFLAVPDGLPDDHPRSASALPELYKSLAAGATGPYRALLAPSSIGTSLAAGAGVDLTGGFPPVTCLVADGLLPWAIDVAEELRVPAIVFRTASAGSFLAFLSAPKLFELGELPFPAGSDLDEPVSGVPGMESFLRRRDLPGHCRRNDTDDVSPALRALAKLSADASKARALILNTTATLERSALAHIAPRMQDLFAREDDGCMAWLDGLSDKSVVYASLGSLATISREQFTEFFSGLVAAGYPFLWVLRSDMVKASPDAAALREAVVREAGDGKARVVAWAPQRDVLRHRAMGCFLTHAGWNSTLEAVVAGVPTVCRPISSDQQTNSRFVGAVWRTGLDMKDVCDRAVVERTVREAMESGEIRRSAQALLHHRKAPASFLGFRIWECIGR >KQL25942 pep chromosome:Setaria_italica_v2.0:II:41389885:41395281:-1 gene:SETIT_030365mg transcript:KQL25942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRKQANKFKEQVAKQQQAVIKQFSTTGYERSDSVVIDEVELQRHQQLDKLYSSTRSGRDFQKDIVRAGEGLVSIGNKHIEVGTKFSEDCYRYGGENSASDEALAKAASLYGGALRNIEKEYEDFNRTLSSQTIDPLRAMSMGAPLEDARGLAQRYSRMRHEAEILSAEIARRKARVREAPIPEHTTKLQQSEAKMIEHKASMAVLGKEAAAALAAVESQQQRVTLQRLVGMVEAEKLFHLRLAAILDDVEAEMSSEKQKRESAPPIISSHKRAEKAQYFLAEAVHNFNGTTEKELSLIVGDYVVVRQIAPNGWAEGECRGKAGWFPAAYVERRENIPPNKVFPQA >KQL26559 pep chromosome:Setaria_italica_v2.0:II:45065902:45068378:-1 gene:SETIT_030406mg transcript:KQL26559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVCCVTGAAGYIGSWLVKKLLDRGCIVHATLRNLADEKKTALLRALPGAAERLVLFQADMYDAATFEPAIAGCEFVFLVAAPMMHDIPAGRSKDATEGIVGAMRTILQQCERSKTVRRVIHTGSVVAAAPLKEDGQGFKRFVDESCWTPLNLSYGYASNEVLDAYVSSKTLSEKELLRYNDSPGKAFEVVTLLCGLVGGDTLLPDVPGSIRSVVSPLTGDETWHGGLKFMQALLGAVPLVHVDDVCEAHAFCMERPAPVAGRFLCAAGYPNMRGIVDHYCRKHPELKLRIKEVAGEGVRVQPATDDRSKLVDMGFKYRHGVEEVIDGGVECAKRLGLL >KQL26165 pep chromosome:Setaria_italica_v2.0:II:42717397:42718033:-1 gene:SETIT_031559mg transcript:KQL26165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFILFCLVSSQLAMTAVVARPFAVFLDGGAMSSVVADAPSAPAVLHAHSLLEESRFAGSPLGSHHSHHSPFDRTFAGGKIIVTGLAAAIVVAIFCYLRITRTKKNVEVEPKV >KQL25060 pep chromosome:Setaria_italica_v2.0:II:35647286:35650154:-1 gene:SETIT_029259mg transcript:KQL25060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLAIIATELGDSSDFEVEGIQNLTENDVSDEEIEPEDLARRMWKDRVRLRRIKERQQKLALQQAELEKSRPKPISDQAMRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIEKYESENLVTSNAQSGGIKNQHSLMDLQDATLGSLLSSLMQHCDPPQRKYPLEKGTPPPWWPSGNEEWWIASGLPSGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLAHRTDNGVLATHHSLLEDRHGETNSSSNEYDVDGFEDAPLSTSSKDDEQDPSPVAQSAENHVLKKGRERAYNKRSSQVVPSKAGTKEPPKRKRARHSSTVEPDVQRVDAPENSRNLIPDMNRLDQVEVQGMATQIVSFNHGGTTSVSLQHRGDTQVQVHLPDAEANSFDSAPAANATPTIIYMGGQHLPYQNSDSARSISENNFVADDDPGLNNLPSGYQTLPPKQSLPLSMMDQHVVPMGIKAPTDNIPYGDHMLGGNSTSVPGDMQQLIDFPFYGEQDKFAGSSFEGLPLDYISISSPIPDIDDLLHDDDLMEYLGT >KQL25059 pep chromosome:Setaria_italica_v2.0:II:35647286:35649726:-1 gene:SETIT_029259mg transcript:KQL25059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLAIIATELGDSSDFEVEGIQNLTENDVSDEEIEPEDLARRMWKDRVRLRRIKERQQKLALQQAELEKSRPKPISDQAMRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAIEKYESENLVTSNAQSGGIKNQHSLMDLQDATLGSLLSSLMQHCDPPQRKYPLEKGTPPPWWPSGNEEWWIASGLPSGQIPPYKKPHDLKKVWKVGVLTGVIKHMSPNFDKIRNHVRKSKCLQDKMTAKESLIWLGVLQREERLAHRTDNGVLATHHSLLEDRHGETNSSSNEYDVDGFEDAPLSTSSKDDEQDPSPVAQSAENHVLKKGRERAYNKRSSQVVPSKAGTKEPPKRKRARHSSTVEPDVQRVDAPENSRNLIPDMNRLDQVEVQGMATQIVSFNHGGTTSVSLQHRGDTQVQVHLPDAEANSFDSAPAANATPTIIYMGGQHLPYQNSDSARSISENNFVADDDPGLNNLPSGYQTLPPKQSLPLSMMDQHVVPMGIKAPTDNIPYGDHMLGGNSTSVPGDMQQLIDFPFYGEQDKFAGSSFEGLPLDYISISSPIPDIDDLLHDDDLMEYLGT >KQL26862 pep chromosome:Setaria_italica_v2.0:II:46649058:46652369:-1 gene:SETIT_029165mg transcript:KQL26862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYATVKTSVWWDIENCQVPRNCDAHLIAQNMSSALTAAGYTGPITISAYGDTNCVPNPVQHALSSTGIALNHVPAGIKDASDKKILVDMLIWAIDNPPPANYLLISGDRDFSNALHKLVQRRYNILLAQPPNVSQALTAAAKHVWLWKSLVAGEPPLAESPYISSIANGSMDHSDALKNMLSDSSDATPHNSTQVLNNIPFDQQKGGNGKGDKQYKVRQPRKNQSDNVSKPTRTEENSVDGVPDSSKGSIASQPNQSLTPSSASLSSSDSQDGAQVDQLNTPKVQPFSLSKKPVKSALSHQKSAPHDFYHGKKPGMSTESSSKNGASDVNSHGHPKHQKPQSSQQPRPQNPVNHRPHGGSSNFQSSISHRSNSCPAPAGYNGIPTAPLQSWQGGPPYHGPPINYPDMSRLNISEYPRGIHNNQGLNVNYHPNHPGAPHMVQPGYSDHSYRPPTQPNMPSNMQNTGHWGANLGCPQPSLDPQGLVRYILGALEVLKTEKIPPTEQNIADCIHYGDANLPNFDVKKALQVAMQHQVVVTKKLGKMSFFLGKNENLWKCVNIMDDNAKYPKETLDSVHTFISSAHGYSAIKNSQSRYQAAAMLKKTCLKNLALGEVLQVLNIIINTKKWFVPNSSGWQPLSFNIIVADATTDASGNA >KQL27111 pep chromosome:Setaria_italica_v2.0:II:47854369:47856426:-1 gene:SETIT_029598mg transcript:KQL27111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKEGSYQDHTIFCKRNECICIRQSPIRRGNDSANQEHSELPSCITNASSAAAAASNSNDVTKCGSDVTIPCRTRSVPGAGGPCLADDGRTTPARPGPTCRSLHAAMAHGAAAPPPTCTPTTTPRHQRARECSAPVPVAPRDELSSAEARGKRYSASSLAMASGEAEPLQYTTTVLRVSIHCEGCKKKVKKVLHNIEGVYKVTVDAAQHKVTVTGSVGADALVRRLHKAGKQAALWPAPAPAAVVEAAKKPEEVDPAPPAAVEGDKVKEGAGKADAKPKEAAKDKKQPEAEGKEKKPEKDKGSDKKPEKAEAAKPKDEAKKDVEVTPPKEKGSPEPTKESAAAGEEAGAEEPSSGKKGKKKKNKQQKEGGESETAPAEKTPQPSMPAPVPAPAPPPGPERPLGGFPYYAAQPVMSYNVAHPSSSVSYYAPTPVGHMQPMPTPPPPPTTMAPYGYPPYPPMMPPPMPEFMYGPPGIRSSPPQESYNNMFNEENANSCSVM >KQL23895 pep chromosome:Setaria_italica_v2.0:II:22685529:22686524:-1 gene:SETIT_032351mg transcript:KQL23895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDFVGDADGFALDFIRDLLLGGDGRGAPVASPVVSDDVTFPVLQPQPELQPMSSSFFPPPQQHPGYIDLMHEYMGSVPAAPAVGEAAFRAQEPPPPVMIKFGSEPPSPVRPPLIISVPPSSYAWAGTASAAAPAAAVEDYRKYRGVRQRPWGKYAAEIRDPKRRGSRVWLGTYDTPVDAARAYDRAAFRMRGAKAILNFPNEVGTRGADLWAPPSPPAPVKQAATTANKRKRQQPEDTDDDVEVVAVVNKAVKLEVSSTSPSSMSTRETTASSTVTSTTTETGAGVQGLPVTPSSGSWEQYWEALLGGLPPLLPLSPHPTLGFPQLIVN >KQL22408 pep chromosome:Setaria_italica_v2.0:II:2387982:2388854:1 gene:SETIT_031302mg transcript:KQL22408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSALFAAALVMALMLAGSTTSHAARRLADTAPAAAPAAVPGIPSVPKPPVPTVPTVPASALPPMPAVPTVPAVTVPAVPQVPAIPAATLPPMPAVPAIPAVPAMPNAAALPPMPAVPAVPKVTLPPMPAVPKVTLPPMPFVPKVTLPPMPSIPGVPMPFLAPPPSA >KQL24817 pep chromosome:Setaria_italica_v2.0:II:33510832:33512361:1 gene:SETIT_030180mg transcript:KQL24817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRALCHKIRAIPYCHRTELRILSTPWIPATWPGSIGYGIDFPSRKNPSYLRKPASVSTKATPAPSSMVMEPTADEVAFESPAHFRIYKSGKIERLNRPPVLPAGLDEATGVTSKDVVLDAETGLSARLYLPKLQEPSKKLPVVVYIHGGAFLLESADSATYHTYVNPLAAAAGVLVVSVSYRLAPEHPLPAAYEDSWAALRWAASAQDEWIAEHGDVARLFLAGDSAGANIVHDMLLRASGDGGPRVEGAIMLHPWFGGNTPIEGEPEGASAATVGLWTYACPGAVGGADDPRMNPLAPGAPALEKLGCARMLVCAGKKDPLYVRDRAYYEAVSASAWPGDVDWLESEEEEHVFFLPKPECENAKKLLDRVVAFIAGA >KQL25956 pep chromosome:Setaria_italica_v2.0:II:41519890:41521097:-1 gene:SETIT_033155mg transcript:KQL25956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIKFLTYNVWSCEHVAVYRRIRAICEIIERHDPDVIFLQLSKLNARKELLPEYSHSWAVGSPSPPHSPRAGKIPSDLLSARVYETHHRLRAATCSLAGPNPSDIGSVHRRARAGAFLEHFDAVHQPYDRSFVLDGNVVLGGDLGWDDDLDGPLRLGNEGWVDAWRELRGGDEDIAGGWTYDALANPMLRGLNLPAERRRPDRFICKLSDFKLGSIEMVGVEPILGVTRFDDKGNVLPVLPSHHFGLLLTISPKHG >KQL24985 pep chromosome:Setaria_italica_v2.0:II:35162754:35166321:-1 gene:SETIT_029342mg transcript:KQL24985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGNHGSLMEDWLPPPTPSPRTLMSSFLNEEFGSGPFSNLFSEHGTNKPHDQCEKSRELVSLSEEVPAQAVKDRFQKGFSLEPSLFGANQKSNSHGGLAERRAARAGFSVPKIDTSRVGSSAVIRSPVSIPPGLSPTTLLESPVFLYNKMAQPSPTTGTLPFLMATNDKSTVPPAAIITEDSTFDNDVFSFQPHLGSKQQSFCTAEKDYGAHFQNQSLSNIHRQESSLQSSFTAVKDNTNATIVKAKASDSMFGNSHYSAGQQQDDETNQNAQSEGVDIRAAACVPVSTHCDASLMESQDAVDVSSTLSNEEDERATHGTASIECEGDEDETESKRRKLDALGTSTITTAVATPTSTIDMGTAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGQAGSSSANAPSASQGSSSHRRQEPAQASFAHFGASAPFGSFVLPPSSQLGPATGNFRFGMVPPGMAIPMPSLGSLAPTKMVGNSSAMQGYPGLMMPVEPKAEPAPPVYQQMMSRPPFGHQM >KQL24984 pep chromosome:Setaria_italica_v2.0:II:35162754:35164536:-1 gene:SETIT_029342mg transcript:KQL24984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNDKSTVPPAAIITEDSTFDNDVFSFQPHLGSKQQSFCTAEKDYGAHFQNQSLSNIHRQESSLQSSFTAVKDNTNATIVKAKASDSMFGNSHYSAGQQQDDETNQNAQSEGVDIRAAACVPVSTHCDASLMESQDAVDVSSTLSNEEDERATHGTASIECEGDEDETESKRRKLDALGTSTITTAVATPTSTIDMGTAASRAVREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGQAGSSSANAPSASQGSSSHRRQEPAQASFAHFGASAPFGSFVLPPSSQLGPATGNFRFGMVPPGMAIPMPSLGSLAPTKMVGNSSAMQGYPGLMMPVEPKAEPAPPVYQQMMSRPPFGHQM >KQL23214 pep chromosome:Setaria_italica_v2.0:II:9722730:9723452:1 gene:SETIT_031659mg transcript:KQL23214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRWLKAEVYPLFATTGVAVGICVMQLVRNITTNPEVRVTKQNRAAGVLDNHDEGRRYSQHGVRRFWLSKRRDYMQAMDKVPTDPTPTSK >KQL25622 pep chromosome:Setaria_italica_v2.0:II:39432605:39433807:1 gene:SETIT_030066mg transcript:KQL25622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSTPDWSTGLPPELRKVIGKCLASGTDAASFRSVCTPWRDAVPFAAFAPLLLLPFGPDSGAVTLYSVAEDKTLSLPLPPEARGKVPCGSSCGWLALMDEAASVTLLNPFTGALVELPPADENIAAASTMQTMLVSKKGGRWVLHPEDDNASAIALDEMRQFFFNEIVLSAPPDADGRGCVAMAVLAGSTEVAFCRVGVDTAWTLLQTNLECTVGSVVYCQGRFLAIDSTGEISMFSDIANTVTPTATPMPSLSPPEDLSNRSYLEWNGELYVVGAMLDLFRWGLKFDYRVVVCRCSNLLDPTPAWSRVKDAGDLTLFVSTHFRHSFGGTSVSRFKRNSVYFSDPPYGDQDYLGHSLEIANIATGKSEVTKPFHPKVQGFEALGWIRPNLWRGRRYVKL >KQL22641 pep chromosome:Setaria_italica_v2.0:II:4130377:4131438:-1 gene:SETIT_033052mg transcript:KQL22641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTKTMSTWNSGSEQGMGVFHICGYSQHINTAAGEKKKILVSSTFRAGGHHWAIFFRPDGFSDKSVAGIVIASKHVKLRASYELRLVDQSTGLLVSVHKEAPREFQRDKDFHSAISFFRAKRSIFESPTCLRDDCLTMECTVTVIKEPWRTGTKTIPKIQVPQSDMAGHYAKLLEEKVGVDVTFSVGGEEFAAHKVVLATRSPVFKAQLYGPLREAGAVPITIEDMQPDVFRELLHFIYTDSLPPLDYLKADARTDMIRHLLVAADRYGMERLTLMCQSILCENLCVQTVATTFALADQHQCDMLKDACLEFITCSIARDAVQRTQGYRNLKRTCPPDVIEVFEKACRFRKA >KQL26349 pep chromosome:Setaria_italica_v2.0:II:43884565:43885241:1 gene:SETIT_0329241mg transcript:KQL26349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARLAKVFPSKYLVTTLTCLLGSLQCFVVGISLGHSRAEWRIKWDLQLLTVVYSGVFNTGVTYVIICWVISRRGPMYPSMFSPLLLIITTAMDSLLLGTSIYLGSVLGTMLIIGGLYAFLWGKGKELQLTAAAAAVAQKQTVARRRRGS >KQL22131 pep chromosome:Setaria_italica_v2.0:II:102091:104328:-1 gene:SETIT_031338mg transcript:KQL22131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEEHHFESKADAGASKTYPQQAGTIRKNGFIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVNRTEYQLIDISEDGYVSLLTESGNTKDDLKLPTDEALQAQIRSGYDEGKDIILTVQSAMGEEQICSLKEIGGGKN >KQL22899 pep chromosome:Setaria_italica_v2.0:II:6221525:6222931:-1 gene:SETIT_032153mg transcript:KQL22899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLFDVVALGPKYLWSLIFRILPKLLGLLVSLMKKFSKDKHFHPPLMELETAIGTLQELPQELLMDIFATLEIPDLIRAGSVCSSWHSAYTCLRNLGTYKKSQTPCLLYTSRSAGENVACLYSLVENRTYKLTLPEPPIRRRLLIGSSNGWLITADERSELHLVNPITGEQVALPSVITIEQVKPITDGSGIIRKYKLSYYCREMDEGPEIFALDKLREELYFKAFVFPDHCTRSFFVVLIHHPRFQLSFARLGDDKWTWLPQNTQYRDCMYKAGLLYALTALGEIDAFDLTASTVTMKVIMNKIDRYPYAWKSWYIIWAPWGDLLQVWRSFGVPQYKDADGDVPEDGPAMHWPFFRTTKVTIYEVDLKASALVETKRLSNHILFLGHNNSLCLSADEHPQLKENHAYYTDDRSEPPVALKNVHRDIGVIDLENSSRKKIVSHIWSNWPCPTWITPNLTKMNLAFSK >KQL26787 pep chromosome:Setaria_italica_v2.0:II:46320082:46323442:-1 gene:SETIT_031183mg transcript:KQL26787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVESEKHIDFSLTSPLGGGPAGRVKRKNQNKATGGGGDAEEDEE >KQL22481 pep chromosome:Setaria_italica_v2.0:II:3006182:3006698:1 gene:SETIT_032760mg transcript:KQL22481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAQTPPPTAALLHHRNIIDSPSCAFGGAHEYQDHLLLRCNRATRIWRLLGWPSVPYLSSFRELWTLPELPDGTVPNVCSAILTAVLWHIWKGRNAATTWSSTVSMYPQEPPWHRVKDVYAKTSLVLWSRLLACSTPKKRF >KQL26826 pep chromosome:Setaria_italica_v2.0:II:46510696:46512484:-1 gene:SETIT_030209mg transcript:KQL26826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQARQYASGATGSRGVPGRPEAPSRGGAGGEGPGRSAEGDEHARLVVAMPSPPPPAGVNAAYVPAPVPVQARPWPGSRSSIPWVRLVVGLLLLVLLGYAFLKWGLPFLSEKVIMPIIQWEAKSFRRPMLAVVIIASLALFPVVFLPSGPAMWLTGIIFGYGFGFLIIMAGITIGMSIPYWIGLLFRHRLNLWLEKKWPRQIALIKLAGEGSWFKQFRVVALLRISPFPYALLNYAVTVTEMKFNPYICGSLVGMIPDVFINIYSGRLIRTLAELNYHKHRMTTVEIVYNVVSVIVTIVFAIGFTIYARRTLDNMERSEGICVEPVGVPAVSTEFRDNLQGCSTARSVPIDVV >KQL26827 pep chromosome:Setaria_italica_v2.0:II:46510493:46512584:-1 gene:SETIT_030209mg transcript:KQL26827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVPPAAPGAEATAAASPAGERDEQASTSGATGSRGVPGRPEAPSRGGAGGEGPGRSAEGDEHARLVVAMPSPPPPAGVNAAYVPAPVPVQARPWPGSRSSIPWVRLVVGLLLLVLLGYAFLKWGLPFLSEKVIMPIIQWEAKSFRRPMLAVVIIASLALFPVVFLPSGPAMWLTGIIFGYGFGFLIIMAGITIGMSIPYWIGLLFRHRLNLWLEKKWPRQIALIKLAGEGSWFKQFRVVALLRISPFPYALLNYAVTVTEMKFNPYICGSLVGMIPDVFINIYSGRLIRTLAELNYHKHRMTTVEIVYNVVSVIVTIVFAIGFTIYARRTLDNMERSEGICVEPVGVPAVSTEFRDNLQGCSTARSVPIDVV >KQL23615 pep chromosome:Setaria_italica_v2.0:II:15476424:15477059:-1 gene:SETIT_032400mg transcript:KQL23615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVINSVSSLVLVLVALSAPLAVVGGDPDILTDYIVPLSANPGNISGEFFTYTGLRAVLAAQAPANFTVTKASMAEFPALNGQSVSFAVLSYPPGSVNPTHTHPRASELLLVVDGTLSVGFVDTAGKLFTQDLATGDMFVFPKGTVHWQYNQGGNSARALSAFGSAAAGTVSVPVTVFGTGIDDAVLARSFKTDVATVEKLKAALTPPKKP >KQL22417 pep chromosome:Setaria_italica_v2.0:II:2439575:2440246:-1 gene:SETIT_033139mg transcript:KQL22417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTLSEIRPGKELWNIKARVTRLWNATLLGSGEQLSLDMILIDQEGTMMHGVINKAYMDKFKPLIEEGNVYTIANVRITPAAQKYRPVVNDRIVNFLPTTTLKTVKDTEDIPKYSFNFMSTDMLSARINVDMYQSDVIGVAAHIGPIEETRTNFGFTKIRDIVLLME >KQL22278 pep chromosome:Setaria_italica_v2.0:II:1210859:1211767:-1 gene:SETIT_032474mg transcript:KQL22278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQLALLLLCYGVGNVHCVHGNSKELQSLLDFKQGVTTDPNRALSSWNTSVHYCRWSGVICTPTQPWQVSGLNLTGKSLVGEITSSLVNLTLLSQLDLSSNSFSGQLPPLGGLQLLHTIYLNDNSLDGTIPDALTNCSNLVNLDLHNNKLSGVIPPKIGLLSNLDYLDLSRNNLTGVIPPTFPNLTHVSFCKLKSNQLEGSIPDGLWQMSNMGQLSLGNNTLSGEIPQTINMSRLQILGLELNRLGKTLPSNFGNAVPSLIRLILDGNMFEGHIPVSLGNASSLELIDLSGNHFTGQIPAS >KQL24447 pep chromosome:Setaria_italica_v2.0:II:30198272:30199612:1 gene:SETIT_031618mg transcript:KQL24447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKVLVKEVERLQLALEEQTEMANHSQQQCDRLKNERILCRICFERDICIVLLPCRHHVLCEPCSNKCQSCPICRLIIESRLSVNDAVLSANPLCDAV >KQL24272 pep chromosome:Setaria_italica_v2.0:II:28371346:28373247:1 gene:SETIT_030684mg transcript:KQL24272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIDLSGEELMRALEPFIRDASAPHGSSPLLQHHHPLSPTSPFSFHHAAAYGGGYPFAAGAEGAGQLSPAQMQYIQARLHLQRQAQSSVLGPRAQPMKVSSASAAAPTPPRPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRGPLHVSVDAKLQQLCQNIAASKKGAKKSAAAATSSSAPTSNCSSPSSDDATSSCLESAAESSCPSPSPSASPESTVPEMQQLDFSEAPWDEAAGFALTKYPSYEIDWDSLLAAN >KQL26436 pep chromosome:Setaria_italica_v2.0:II:44373121:44373432:1 gene:SETIT_033554mg transcript:KQL26436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWMDVAVFTYFHHTSLPDLPPNLLKFNLSAPKC >KQL24879 pep chromosome:Setaria_italica_v2.0:II:34209474:34211066:1 gene:SETIT_030269mg transcript:KQL24879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPPLRPYAATTVTANCTDTPLDCIRPCPGGVEDCSQYALPPPPPIPVIPRAPDADRHAPVRLLLVITLLSAFLFLSLALSTLLLYRRRRLILRRRRRLAAAAAAEGADDGGFGDEEEGGGGGGVVHHVWYIRTVGLDEATIASIAAVEYRRGVGRGGDCAVCLGEFSDGELVRLLPRCAHPFHAPCIDTWLRAHINCPICRSPVVVVPSDLPAAAGEAEADGVQLEEHQARDEMSLSQSESETEGSEDSEASSDTQSEDTTAAGEENGRATPKPIRRSASMDSPLFLVAVPEAQDDVVRSNCKFQMGRETKIFKVKEKEAAGTSSSSCQSGRFKIGRSMSSSGQGFFFARNGRTSGTVMPL >KQL25357 pep chromosome:Setaria_italica_v2.0:II:37540999:37541979:1 gene:SETIT_031922mg transcript:KQL25357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKRTTEIRNRTNAPPRSPPPARGRSASELALRRPSSMAPLPYGSPYYSLTSYSPDSYSPGAASPPRRTPRLGPPSSPRVWWPGPYLPSPTSTLQAPITPSPVYSPTPSGYGYTPTTSPPYTPTSPTFSSLSTPSYSPDYPRGCRGCLGAPNYCPHPTAYYSPTSPNYCPEEFNHRDHASPAPGPLPYYSSTSPIYGNGSTTYTPTAPGYFPGESSGWNHTSPARGLPAAYCPTSPNFSNCSSLPYSPVTPCYSPVTPCYSPVTPYYCSCSLPYSPVTPCYRPAELRDEWEDPSLAHGVDTWPEYCYCCSNPVEHCCCKAGDDAPAP >KQL22117 pep chromosome:Setaria_italica_v2.0:II:35797:36568:1 gene:SETIT_031249mg transcript:KQL22117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHLITLAAIVLQLQLAVVCPAAAGDGTMHHLHFFMHDGYTGPRPTAVLIVNGTGAPLMSGGVRFGDTVVMDDVLTEGPSRASRAVGRSQGTYVTASMEEGRPAMLLSMNVVLTDYPGYTGSTVAVMGRNDVTAAVRELAVVGGTGRFRMARGYVLWKTASWKGKDAVLELDVYLRA >KQL26081 pep chromosome:Setaria_italica_v2.0:II:42232805:42233149:-1 gene:SETIT_033127mg transcript:KQL26081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSCLERARVTAWDGDEDWVLPAERSGGARGVVSTENGGGMRVKIRMTKGQLRRLLETAGRGGASDEDVVAEIMSIGTVRVDVVAELRHRQAEEERHRPPKLETIQEDGVDE >KQL25874 pep chromosome:Setaria_italica_v2.0:II:41064271:41064873:1 gene:SETIT_0287122mg transcript:KQL25874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQPPSSAAAAAAAADLYETASQPDPSASAAGDAYTFLEFNTQGDDFDYPDFPELSQPPPRSAPLPPVTATAASSSSWPAPPPPPPDAASPEPDLAPPDVPTPPASSSSPSPRSASKARTSAAADGLASGVAALSFEEPVGAGAGEDGYDYGKGDFVEHACRYCGIHNPACVARCNVPSCRKWFCNSRGNTSGSHIVNHL >KQL26144 pep chromosome:Setaria_italica_v2.0:II:42586523:42591182:1 gene:SETIT_029487mg transcript:KQL26144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKAVTTMTLKDFHGGSIPSELPLPSAPGVTPRPADRPVASASPVAAAVARPRVPVASPTAAAAAMPSFLTTPSRIGRHFDEDERTPFEPAAPRRPAPSPTSFAPAPVVVPARSGPGNAWGPRREAAPVASPVGPAPASTGGQIWSATRIAQASAVEKVISGRWHPSKPSSPPAPVSAPVVETPVAPPQMERPRSVGVREVDGGVERGAAPVRPASHEGRVGDGRVAEVPERPKLKLLPRSKPVEAPEPSPTYVEDKQVHQVQVTVNVMKVEAVHDVNQNVMAAKTGVLGADAETESRVAERPRLNLKPRSNLTGQSVETVKERQSLFGGARPREQVLKERGVDVLASDLEKTSPVGRSKGESAKVEQKVEAMSINPSSVQRGEGFPAGHRDPMNGDRKEYKRDTDRADAYRPTRREDNRRVARDVEKPPEQPRPEPETWRKPVEPPKPEVTTPRFGKAATALELAQAFSTSMSDTLPQSRLTSVPSPRVPPSPGGRDQSGFSRLTDNRALHSSPSQRKINGY >KQL24035 pep chromosome:Setaria_italica_v2.0:II:25049491:25054404:1 gene:SETIT_029223mg transcript:KQL24035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNADQGSPRELTGLQRRRALYQPELPPCLQGTRVRVEFGDSTTSIDPKCADIVAQVFPQTFGQKLVHFLEPNSKVSDAQVIKEHPPIRVGVLFSGRQSPGGHNVIWGTYDAMKAQNPHNVLLGFIGGTEGLFAKKMLEITDDVLSSYKNQGGFDLLGRTVDQIRTTEQVNAAMSTCCDLSLDGLIIIGGVTSNSDAAQLAETFAKHNCNTKVIGVPVTLSGDLKNQFVETTVGFDTVCKVNSQLISNVCLDAVSAGKYYYFVRLMGGKASHVAFECALQSHPNMVILGEEVALSKLTLMEIINKICDGVEARAARGKYHGVLLIPEGLIESIPEMYALIQEINNLYINNVPEDEIPSQLSPWAAALFKFLPSFIRRELVLHQESDNSAQLSQIDTEQLLAHLVEAEMNRRTKEGTYKGRKFSSVCHFFGSQARGSLPSNFDCNYAYVLGHICVQILATGLNGYMATITNLKDSTNKWRCAAVPLTAMMSVRRHLRGPGAVPIGRPVIHPSPIDLKAESYAVLREKASSFLLDDFYRTPGGIQFEGPGANTKPITLTIEGQDYLGDIEILQDYLNKVRTILKPGCSREILKAAISSLSSVNDVLKVMSAPLNAELPLYHFN >KQL22569 pep chromosome:Setaria_italica_v2.0:II:3582097:3587519:-1 gene:SETIT_031387mg transcript:KQL22569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLQQDPPAGISGAPHDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRKVREIVEQSWTAD >KQL25610 pep chromosome:Setaria_italica_v2.0:II:39368554:39369585:1 gene:SETIT_032009mg transcript:KQL25610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRTYGHKAAECPNPLHRAKDCRSSYHGLPTRPVHRAPVLDYGEIPSLQRKDIVRFIPPGTAHQRPEMVRARVRFSGPMATSERDLARHAVVAAVAGGSPAVSRRQVAKTFAFRFQIADDDVEVSLNSPQAGDFLVYFKDPIYRTEALRYPGPPCLWMHNSNSALKITPWTRQSQATAVVNLYYKVRLCIEGMPRHAWQEETVRCLFHHPTLIESIDRDSLDAKDSACLCVWVWTNDPRCFARQVELELELELEELQERAVDDDEPWHCLELGFVDQRRRQPRFQPVRLLSYKYNVLLHIDRVFDFSPATAASFQPWIHDFNWQLGVKDSMGFIIPGTAHQRPEM >KQL27110 pep chromosome:Setaria_italica_v2.0:II:47853305:47853751:-1 gene:SETIT_033591mg transcript:KQL27110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFASLSAADHSACSPSPARRGRRSIPPHASIVKLGLDTSLRRC >KQL22168 pep chromosome:Setaria_italica_v2.0:II:346614:347751:-1 gene:SETIT_033432mg transcript:KQL22168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQGNFSNGPSCSAWRYGKHGSMSNGLDGDDLLRIWMKEDGRTTW >KQL22302 pep chromosome:Setaria_italica_v2.0:II:1519757:1520854:1 gene:SETIT_032366mg transcript:KQL22302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLHPHSSYPLMEALMEELIEEILLRTPPDEPAYLMRATLVCKAWRIILFNRGFLRRYREFHKTPPLLGYLFYYNTSIVPQFVPISLASTFSPPEAYDDRTCLDYRHGRAIYIYARRSYIIWDPITGDKHLIMVPATYHHSYYTIMERGYYCTATVLCAVDGCDHLNCHGGPFHVIFVETYIVNGVLVAWTSMYSSATCTWSTSTSINVDNHIDGTRCLLIGASLYVPLEHGISILKYDLSSHGLSLVNTPRMSRAIMMKADDGGLGFAVVLENCIYLMSWEASANGIGGWAQQKAIELETLLPKSLDPSYPHEVIGYVEGTHTIFISTDAGLFTLELKSGLLRKVGKRDAYYSIIPYTNFYTP >KQL23343 pep chromosome:Setaria_italica_v2.0:II:11033881:11037072:-1 gene:SETIT_032944mg transcript:KQL23343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEAGGQLENAGKDEAEAEYHSHDFEWEDLKAEVESDPAFSYHLSPFRVPAASTTSQPQPSSEAWRSFHRRHASGKFFKERRYLLKEFPELPNSKDYSKILEVGCGNGSTAVSLLRSSESITVFACDCSKDTLEKANEIISNTKGIDIKDRFQPFLMDVSKETFPDWLCCIACKNASHHKMRKEHPGFLRENQCCVGGMDFITMIFTLSAIPFAIMPSTIEQCVSVLKPGGLLLFRDYGLYDMTMLRFLPHQRVGFREYMRSDGTLSYFFTLDTVRELFHAAGLVELELEYCCVKSVNRKNGKKMQRVWVHGKFQKPPS >KQL23734 pep chromosome:Setaria_italica_v2.0:II:17100351:17105474:1 gene:SETIT_032387mg transcript:KQL23734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTVGAKSQSAIWKPFFLKKNIRGQLVTPDVSWRFGALFLALVLALQIMRGQRGKQSESFWPSIVMKKWLNIRPKLNDFSEDEFDTGSEDNGMANCMNGSDFGDDSFFEIHGNKYLISKSSGEKAIPPVRRLQRRKSESLRVNYISNKDIIVVATRVMTGTWNVAGIAPSDDLDLDQWLCTQEPADLYVLGFQEVVPLSAGNVLGAEDSRPVRKWEALIRQTLNRSQQPKTICKSYSAPLSPLLRPVASGDGHEYMKSKLEDEALGSLTQFRDWQTSTTELRCNWLYGTSSLDWPEYPLDTPSKVLVPGTGLRRVTSLGLFSTNFMEHPQGLELQSVDLQVGIRRQYHSSGNLSMLWSEQQEKLDLLNSLDCISDLTSEEDSPSVGTDEGCATLGKRESSKHRTNYVRIVSKQMVGIYVSVWVSRKLRRHVNNLEVSPVGVGLLGYMGNKVILSPTRCAFLSSVDVQNFRISFWSSRIFWFGDLNYRIDLLDAEVRHLVAMKRWDDLLKSDQLTKELISGSTFVGWKEGLINFPPTYKYERNSSRYVGAIAYCGWGRVPSSSRIGARI >KQL25291 pep chromosome:Setaria_italica_v2.0:II:37124823:37125231:-1 gene:SETIT_033447mg transcript:KQL25291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQIARHLADWPRSLYLLTASPPSVHSNDDTPCNASRKLYCQVSQPSKL >KQL25361 pep chromosome:Setaria_italica_v2.0:II:37579956:37580777:-1 gene:SETIT_032741mg transcript:KQL25361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELLSPCPSFSPPLTPFFLSHAGHPVIEFASYEVPEQWMLDDGDNLWPVGCSLSPHSELSAPPPPPQPAPPPQQQEPIVTAPAQRPGKRRGRKPGPRRANGPTVNHVEAERHRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARVARLEAESRRAAAARWEPAVVSCGTQGADETVVEVRMLGPDAAAVRATSAGTHAAARLMGALRSLELHVQHACVTRVHGLTVQDVVVDVPASLQDDGGGGLRAALLQVLQGSAPASPSS >KQL27183 pep chromosome:Setaria_italica_v2.0:II:48342704:48347483:-1 gene:SETIT_030224mg transcript:KQL27183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPPKPGDPPQRSPGRSPNLNLPCPLPPVPGGAPPPPQPGGGLPPPRVGQHRRARSEVAFRFPDDLGAGGGAGFDEIGSEDDLFSTFMDMDKIAGADRDRAAETSSPPRPAKHRHSASFDGFGMGPAAGGTGGQQDGAGGVFGEVMEAKKAMSSEQLAELAAIDPKRAKRIIANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAHLRDALNDALKQEVERLKIATGEMSKSNEPYNMGMQHITYSPSFFQLSEQHAVQHHGNIQLPPHFQQPPPSVPSHQMLSHPNSLSDMMQQDSLGRLQGLDIGKGSVAVKSEAEVMVKSEGSSISAGESNSTF >KQL22368 pep chromosome:Setaria_italica_v2.0:II:2052608:2054641:1 gene:SETIT_029134mg transcript:KQL22368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVEIIPFLLTILLHSLNPATAVSATATTGQDQFVFSGFAGANLTLDGTATVTADGLLELTNGTVQLTGHAFHRAPVSFRTSPGGAVRSFSASFAFAILTTYPGLSCHGIAFAVAPSTDFSSALAAQYMGLANIDDNGNATNRFFAAEIDTMENVEFQDINNNHVGVDINGLRSVEAHTAGYYDDTNGSFHGMNLISGEVMQAWVDYDGEAARINVTIAPIAVTKPVRPLVSARYNLSNVLREPSYIGFSSATGPINSHHYILGWSFAMDGFAPAIDIAKLPKLPRLGPKPRSKVLEILVPIATAAFIVTLGTLVVVVVRRRMRYAELREDWEVDFGPHRFSYKDLFHATDGFEDKHLLGKGGFGRVYRGLLPKSKVEVAVKRVSHESRQGMKEFVAEVASIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLHYEVERPTLDWAQRFQIIKGVASGLLYLHEKWDKVVVHRDIKASNVLLDKEMNGRLGDFGLARLYDHGTDPQTTHMVGTMGYLAPELLRTGKASPLTDVFAFGTFLLEVTCGQRPIKEDAQGDQLLLVDWVLDHWHNGTLLETVDTRLQGNYNTDEAYLVLKLGLLCSHPSASSRPNMQNVLDYLDGHAPVPELASTQLSFNILALLQNKGLDPYIVSCPPSSMMSFGTISDLSGGR >KQL23746 pep chromosome:Setaria_italica_v2.0:II:17274974:17277042:-1 gene:SETIT_031210mg transcript:KQL23746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGRARKGDRRIDAAIDHFAPMGYTARQVRTAVNALLKEYLGAAAWPFLEDSSYLVVQEKLLEMEDEEKKAPPTLEQEIQEEEQPEQEQPQQQESAVDEVRPQSNRSILEGHSAVPAGIELSDEEVEDPMLIEPHAIRSGSETRRPCHGWLTESEDEEEQTSEQHELHLPESRRRLDLQKKVA >KQL25156 pep chromosome:Setaria_italica_v2.0:II:36360644:36362600:-1 gene:SETIT_030806mg transcript:KQL25156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAVAEVRPAYGFPGSGKRSAGEQAAVLAAGKRRSDGFFIEEDEAEEEVLTETSSLGAPSPSGSSIGENSSSEAGGEDGEEEEVESKLKEGDALGCLDALEDSLPIKASPASTPASPSPSPASPRPRRRWRRPRSWPSRRTPSTSAAASWPTGLGEPPAARSPRPPTSPRSWPPTTPSPRGTRAKRTTPTTTRRNATSCRTAARTSGVRRHCHCLRRGSSVLACRGGMALGASDPLGPSHCPICKIAGLMVVISSCKFAPHFGPSFGQ >KQL24453 pep chromosome:Setaria_italica_v2.0:II:30278262:30280450:-1 gene:SETIT_029660mg transcript:KQL24453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQPQHHLLLLRHRPLALRLRLPTRPSRAHRLLPRARAVSAAASTAALEDFRRWLSSHGTGEGKAFPASVQEGLGLVAARDLPRGEVVAEVPKKLWMDADAVAASDIGRACGGGGGLRPWVAVALLLLREVARGADSPELALIALRVFVEMVLLLHSYAFEWCFVPPVQLLSTTMGVKEYVQSEFESVEAEIINANKDIFPGAITFDDFLWAFGILRSRVFPELRGDKLALIPFADLVNHSPFITSEGSSWEIKGKGLFGREAMFSLRTPVDVKSGEQIYIQYDLDKSNAELALDYGFIESNPSRDSYTVTLEISESDPFYGDKLDIAELNGLGETAYFDIILDEPLPPQMLTYLRLLCIGGTDAFLLEALFRNSVWGHLELPLSPDNEESICQVMRDACKSALDAYHTTIQEDEEQLQTENLPSRLHIAIGVRAGEKKVLQQIDDIFKQREEELDGLEYYQERRLKDLGLVGDNGEIIFWET >KQL27238 pep chromosome:Setaria_italica_v2.0:II:48765490:48766741:1 gene:SETIT_033156mg transcript:KQL27238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRGLKLNSHTLFWPILNPSSTNYGPVAADGSTTWPRGGLKYHRKRHRKSILRDIKSAGATGVVLINTKADGYTTVLYDYGCRRSQSHQVRVVVAIELRAATTLAVRFNHKTVLGVRPSPTVALFSSRGPSTITLGVLKTDVLAPGLNILAAYQSKTLLGAGPFDVLSGTSMSTPHIAGAVALIKSTHPDWSPEAIKSAIMTSSDTVDKDGGPILDEQRRKANAYATGAGHVNPARAADPGLVYDLDAADYASYICGLLGEATLAVVARNSSLSCEKLSRTAEAQLNYPTIKVPLQPAPFTLKRTVTNVGPAASKYTAKVDAPKSLTVRVSPGTLVFTEAGEKKTVSGHGGDVLEGSLSWVSGEQVVRSPIVAAAGLRPER >KQL22612 pep chromosome:Setaria_italica_v2.0:II:3852264:3855838:-1 gene:SETIT_029358mg transcript:KQL22612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAVKAEEGAEEGAVTGDMVSAGFAELERQQQLLATCTRLYQQLSDHFGSLERGLAARSDALRVRCRAFDARTHRALDALHRREASIDGSVSRALDHLASLSADKGAAPAAAAAGADADGLAEGLRTLCARMDSAAFLGFVVARRKEADALRAEMPPALKLCVDPAKFVMDAVAGVFPVDRREVRSPADLAWACVLILEAAVPALADPDPEIGAARPLVPREARERARAMAREWKEAAEKKGGVEGAKPPDAHAFLQHVATFAVAEREDRPLYRRIVVSFSWRRQMPRLALTLGLEEEMADIIEELIAKRQQLDAVNFAYEAGLQEKFPPIPLLKSYLEDSKKTSSIASDNSSTSSGQSGSNVNKKEQSALRAVIKCVEDRKLEAEFPLEDLRKQLEELEKAKTEKKKAASSASSGGSSGPATKRIRASNGGPMPPAKAGRTNNASVSSFPTPTTFARSPSHTSYATTSPSHTSYATASPSHASYATASPYPYDRAVGHGLYCNRSPPAIREPYVYPVEEVATVNVGIAMPYSTPPMSYPTPYGGYANGMAAYNNGMAPAFHQAYYR >KQL22740 pep chromosome:Setaria_italica_v2.0:II:5036074:5039034:-1 gene:SETIT_029371mg transcript:KQL22740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGALQVQSNGGGAGEGLLADDPGYVEVDPTGRYGRYNEILGKGSSKTVYRAFDEHRGMEVAWNRVPVHDFLRSPGELERLYGEIHLLRSLRHRAVMRLHASWADAPRRAVCFVTELFTSGTLRQYRQRHRRVGAAAVRHWCRQILDGLAYLHARGIIHRDLKCDNIFVNGSQGQVKIGDLGLAAVRRRQTASPPYAAHCVGTPEFMAPEVYAEDYDELADVYSFGMCVLEMVTLEYPYSECAHPVQIYKKVTSGIKPAALYKVKDPAVRRLIDKCLAPASRRPTAAELLNDPFLLVEDDFFVHDEDYSAMYNYLHQPVCLDHHRRGGSSGSTASNGGGRWDSEDDDDDDGSMFHGIDQLFNEHEDDEHVAGVDITIKGKRMEDGSIFLRLRIADKDGTGIVRNIYFPFDVDADTALSVATEMVAELDITDHEVTHIAEMIDGEVGALLPHWRPGLGMDDDNGDSDGDAADDPDAPTPRTPSCKNCRSTAASSDGLSLDDYMLAAARRGCRCAELHGRFEEITFQADDEPAQFQSSGCSSSDDGDKKLMGINGVAQDITTECSDQS >KQL24939 pep chromosome:Setaria_italica_v2.0:II:34744739:34748211:1 gene:SETIT_032638mg transcript:KQL24939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESDIEMFRRIFPGCRDPIVHEFSDLTLSDQAFAEGRDDELLEAPEASPSMVIEEGRVFKDLPVLKRWLQAFAVIRKRPYKVLHSYAERRYTVVCDKERCPWRKITKVVGPHNCADHELTVRHPQLTSTLIAKRLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKVWRAKQRAWKMIYGDWESGYEQLPVLFNAIKAVNPGMHYEYIPKPNAWKDGRQIFGRAFWCFPQSVEAFRHCRPVFSIDGMFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHVVGPDREIQGYAPLHHRWCTRLLAENLLQKDGVEDNFDLFQVAARQLEDYYFQRKLEQVRTATNVEGRQWLAGLMRDLDNWTRSHDVGGWRYEFQCSNMAESFNKLLLGIRGMPVNAIVEFNFYRLVAWFNERHAKAEALQIAGERWAEKPKRHLSIANERASTHEVQCFDLGTGTYQVKHRGGTTSDGEIRELRIHVVVLRDFKCTCGRPKQYHFVCSHLVAGARHRNFDIESMIPHEFSVDTLVRTWSPRFVPFRDPREWPPYDGSKYVADPAYRWNKRGTRKRTRHNMTMDQVSGRTRRGRATPFLADPEQNECGKWRPETHSFHLSFGEMTVTLQNCQKMLGLSIRGDAVTGPCVSEGWRARVAAFLGRELREHFGQCPQDADAEIVGQYCRAWILHLFAYVLFPDATCDTASWIWGSAVMCFLYRQLCEACRRTSGSASVGGCVYLLQLWMWARLPVGHPEIMPRRPWFPGEIPRRQPTWAYLWGQVKVSHTRLDRVYLDYINEIDALTAHSLNWQPYEGEDTLLFTLSFMCALDDDLYRMLCPLICFYAVQYHLPDRVARQFGMRQIWPTPTTSTSVELHT >KQL26550 pep chromosome:Setaria_italica_v2.0:II:45018548:45019068:1 gene:SETIT_031688mg transcript:KQL26550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRERTVWEPRRTCSGVAFVWENMNPLRRGVYPSSPPPPGSGEAMPSGPASRCTPSSSRRRPWLILAGEDHFTLTPDLDRDY >KQL25625 pep chromosome:Setaria_italica_v2.0:II:39450958:39453226:1 gene:SETIT_032702mg transcript:KQL25625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPADLPPGFRFHPTDEELVNYYLKRKVHGLSIELDIIPEVDLYKCEPWELAEKSLLPSRDPEWYFFGPRDRKYPNGCRTNRATQAGYWKSTGKDRRVNYQNRPIGMKKTLVYYKGRAPQGLRTNWVMHEYRIEESECENTMGFQDSYALCRVFKKNVAFGELQKQKQGECSSSQAKEKQKHFTNVGDAGQSSGSNEHGKDNSWLQFISDDVWCNKTK >KQL23060 pep chromosome:Setaria_italica_v2.0:II:8147054:8149288:-1 gene:SETIT_032425mg transcript:KQL23060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPYNDRAPAQAPTPVAVVSPPQFCAPYAVPLTVVKKAISLSGGDFVVTDANGAEMLRVKGAVFSVHDRRVLHDAAGQPLVSMREKVFSMHKRWDVFRGDSAKASDLLFTVKKSSIIQLKTELDVFLASNTAEQACDFKIKGSYFERSCAFYLGNSNTMIAQMNRQYTVSNVLLGKDTFGVTVFPHVDYVFVAALVVILDEIHRERSD >KQL23209 pep chromosome:Setaria_italica_v2.0:II:9667048:9667759:-1 gene:SETIT_031872mg transcript:KQL23209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDEQQQQAPLHVVVFPWLAFGHLIPFLELSKRLAARGHAVTFVSTPRNVARLPPVPAAGLSGQVRMVALPLPAVDGLPEGAESTADLPPEKVELLKAAFDGLAAPFADFLAAACSAGGRSGELERRPDWVVLDFAHHWLCPIADQHQVSRTCSRHHIHGRF >KQL26577 pep chromosome:Setaria_italica_v2.0:II:45202597:45206341:1 gene:SETIT_029658mg transcript:KQL26577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRHLLRLLLLPSPATGAAAATPPSPFLRHLSASTAPAPDNSLASSLAAALAALSTTPPPATTPDAYFSLHFSDVRPTNALLAEALALSPPASSRAAADLFRFLVRRRSLHPSDGALAPVVRHLARRRDFPAVRALIQEFPTALGPDTLDAYLHQFARAGRPTDAVKVFDELPEQLRNREALTSLVSSLSAEGFPSHAERAAKKVANEIFPDDNICTLLVSGYANAGKLDHALRLIGETRRGGFQPGLDAYNAVLDCVCRLCRKKDPLRMPVEAEKFLLDMEANGIPRDAGTFRVLITNLCKIRKTEDAMNLFRRMGEWGCSPDADTYLVLIRSLYQAARISEGDEMMTWMRSAGFGDKLDRKVYYGFIKILCGIERVEHAVKVFRMMKGYGHAPGVKSYSLLIEKLTKHNLGDRANALFREAVARGVTVAPGEYKIDKKYVKAKKEKKVKKRLTLPEKKRLKSKRLYKLRMSFVKKPRRRMRA >KQL23179 pep chromosome:Setaria_italica_v2.0:II:8964374:8970719:-1 gene:SETIT_030149mg transcript:KQL23179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGGRAKVTPNLQVDGEGTRTLNLTVLQRLDPSVEDILITAAHVTLYDFDTDVNQWSRKDVEGSLFVVKRNAQPRFQFIVMNRRNTDNLVEDLLGDFEYQLQVPYIMYRNAAQEVIGIWFYNSQECHEVANLFSRILNAFSKVPPKPKIPSAQSEFEELEAAPALVEGPLEPQTSNIISSTTQVQEDPLSAFFSAAANAGSTSSVGVAGQPNQSFGAIPLSTHAPTSTITSQSPGLHHLLPSQASSVSGIPADVHGGTGPIVRSASLVNPSHFSPLTSLQTTMVHSNSAVPTAPPQHPRTSQQPQSAPLLQPFPLPTASPSPPYGTPLLQPFPPPNPSPSLASAPVYSPALSRDKVRDALLRLVESEEFIDLVYREIVNRQ >KQL26131 pep chromosome:Setaria_italica_v2.0:II:42513911:42514328:-1 gene:SETIT_033817mg transcript:KQL26131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAARQIVGPGSAPGPPPDPEPTASGRLVGRAAPRFRVQTTPLLGGRSS >KQL24061 pep chromosome:Setaria_italica_v2.0:II:25356908:25357027:-1 gene:SETIT_033213mg transcript:KQL24061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGGQGRQMGRLNKAFKEKRARFYIFRRCIVMLIRWSD >KQL25193 pep chromosome:Setaria_italica_v2.0:II:36555838:36559339:-1 gene:SETIT_030150mg transcript:KQL25193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRPEAGSPPTAGDDEEQRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDLKLLFGAGLAEVLNAVFPVLASRKPANTILIPTKQTKKKPGANRSHHERLLGVARLLSQMAEPVMKAAIQITFLLARSFFIDLCTAVFSLLARIRVLIQQMLLDVVSLYNKVTYLTDRKQAVKISIGGVQAFREYYPSMNDACTILECVWVKDKFVLHEKMKNSCQETQVEDQKPCGPESSIQYETLPLISEDTLNLEETNLSAKQADAALAEQPDKMNHCSGAGGSQSGRQLENESGACSVPETLNTCMHSVPHRNLKHETRKRVAFIAVGSPKVPSAASETKSSEVNKKQRLDMISHTSVESGLYNKLLDSENVEKSIL >KQL26152 pep chromosome:Setaria_italica_v2.0:II:42635745:42637404:-1 gene:SETIT_031619mg transcript:KQL26152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVRIKRAKGAVKFKVRCSRYLYTLCVHDADKANKLKQSLPPGLSVQEI >KQL26153 pep chromosome:Setaria_italica_v2.0:II:42636740:42637404:-1 gene:SETIT_031619mg transcript:KQL26153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVRIKRAKGAVKFKVRCSRYLYTLCVHDADKANKLKQSLPPGPCSTAPPMTPPHPSAAAPFVRFACLPVDCVCPGGIR >KQL23747 pep chromosome:Setaria_italica_v2.0:II:17275212:17275664:1 gene:SETIT_031847mg transcript:KQL23747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNITILDSNIPNTVANIFFNKAQKQKSDKIIQTLASYNLKNLTTVNWVPD >KQL25223 pep chromosome:Setaria_italica_v2.0:II:36762694:36762907:1 gene:SETIT_033692mg transcript:KQL25223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVRVQKISLSIPVNRMDGRRLLRLNSTTYLTKFADAFFCLVTRTLPNMWTY >KQL23189 pep chromosome:Setaria_italica_v2.0:II:9121937:9123117:-1 gene:SETIT_032759mg transcript:KQL23189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIFTVSEQLTVVKIICIFFRSSPGIINDTWCVRAFASLMLSPHATVVCACAGALPSLSLVVPGFAFAVAIAYCNILIAFPPQSLLQIPSVVLMLDRLKQISTTMVGHSRFDDLAMDVLGALANCNFAVQKKVLNLAVSLLTPGNISNVLRLLKNELDLAATADIPIEYQQMLEEAIRECHSAYPDSIMQFVQHPKYLVFIDCICYIKDIMDRNPMLRAQLLEGLLRALRHVKSSAVCAAAVWAISVCSESMSKSVALLMQFRSSLMTYWLDVIRRKRYLKQEDGSYTIASSSKSSSSSEDVFRFIPSLDHTDNLHFLVHSGDALLADFVENILSNIEEKAKEFLQ >KQL25594 pep chromosome:Setaria_italica_v2.0:II:39248330:39249391:-1 gene:SETIT_030844mg transcript:KQL25594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRRGGSDSDGDDDSFLYRYPLPSAAASSSASGGGGGKPRGGGSGGGGGSGGLAPSKSTVYVSNLDFALTNSDLHLLFSRFGRVALVTVLKDRDSRRSRGVAFVLFVRREDAAAAAAEMHGKVLNGRTLSASIASDNGRAAEFIRRRVYRDKSRCYECGEEGHLSYECPRNQLGPRERPAPSKKSRRGGGGGRGGGGVAWHSDDDEEAAATAFEDDRWASVVDTRGEEEKAAEKEDGKAKAARREKRKGYFSDESDEEDD >KQL26433 pep chromosome:Setaria_italica_v2.0:II:44354211:44357473:-1 gene:SETIT_030970mg transcript:KQL26433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIDRYGKPLRIEEFLAEGQQHGKVGLEDAPMYLAHGFKLGMPPSSSDPNKIYVTFLIGSKFTEEDVRNYFSDYGPVNNVRIPLQKKRNFGYVSFRYPETVKQILSERCSRTSHFICGDHVFVENYNEKPGPETMAREGAHSIPGPHEVSDVSVIHKHHTGEQLSNVHELFGKKPNKGCDQGIVTEKSGTDVAPVMVSPPTHNLSVHSLSESSPSQGDNTTESSHVSDHLDQASADQNR >KQL25005 pep chromosome:Setaria_italica_v2.0:II:35234272:35239636:1 gene:SETIT_032249mg transcript:KQL25005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAAAAAAPHVVASATGSPGTVEEQQAAGVGILLQISMLVLSFVLGHVLRRRKFYYLPEASGSLLIAHLELANCRRDHRIVDDGTVLFELSAWWKVEGESVGKPFFSNFGAIITFAIIGTFIASIVTGLLVLPLVECMMFGALVSATDPVTVLSIFQELGTDTNLYALVFGESVLNDASNLFKYAALGVEKFLLVTSICTRYMLAEGIGLSGIVSILFTGIVMKRYTFSNLSEDSQRFTARFFHLLSSLAEAFVFIYMGFDIAMERQSWAANVFSCAYILNLARPPHCQIPRQYQQALWYSGLRGAMAFALALQSVHDLPDGHGETIFTATTSIVVLTVLLIGGSTGTMLEALKVVGDSNRYHQLYEASSFAENSDGNDPGYMGQNYDEGPSTSSKFKSKLRDLRRSTTSFALLDKIYLTPIFTSQNGDGNDDTPDNSPNKELSISRSPSDLEGT >KQL23169 pep chromosome:Setaria_italica_v2.0:II:8906640:8907126:1 gene:SETIT_033555mg transcript:KQL23169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQIYLACWGRIIHHRNKEWSGNFPIQLVTMAYSTLQITTLYRLYTGDNPLVGRCKYTIQGIRV >KQL25990 pep chromosome:Setaria_italica_v2.0:II:41731152:41736284:1 gene:SETIT_0287672mg transcript:KQL25990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAHRKAAALAALSSPAPDKSPKGGVDAPIAPLLEVLNSHPDLFTTSSCSGRVSVLAQPQEGQGQGAAKPKKKARGGGWVYVSHDPADPDAVVKQLFGGSGSGAAGDELVFRFEPMIVAVECRDAAAAAALVAAAISAGFRESGITSLQKRAMVAIRCSIRMEVPLGQIDELVVSPEYIRYLVRIANCKMEANKKRMDGFLDLLQTEGLSGSPGLLNNCNGSNSQTVDHRASLGCEVKISLDKGAKKNNDLVTKRRNGGKNCDADDRGDSEIGEGSLEAQYFENQDPTWSKGAEHGFGNAKRHIRVVLEEKLPGNKKYHLSTAALKISGEPIEKLLLWGQSSCVFPVGGEQRVLTFGGFGGPGRHSRRNYSLLLDHKSGLLTEMIFKESPSPRMGHTVTSVDNSTFVIGGRGGPSEILDDVWVLQSTENTWLRLECSGNIFRPRHRHAAAAVASKIYVFGGLSNEGLYSCMNILDTKSMEWSMVAAAGEWPCARHSHSLVSYGSKLFMFGGHDGQHALKDFYSFDTTTLEWNKESTYEGTPSPRFSHCMFIYKNYLGILGGCPITGNNQEVALLNLKHGVWLSVSIPLLSQCLCVRSSSIVIEDHLVILGGGASCYAFGTKFNQPIIVDLHSVDSMFKHDDKKDGTPVQSCDAIPTVDLSRDEKKGHDKSQNDSHSGGFTDSGPLVLQLEKKYAKLAKDILKKFGWLDLARKVRVSHDNSHVLFPVNEVFHVLNTDDHMMMEHKSCNLDETLAFTEKKLAGDNVSLQNALKFLSSCNGSFLKDELAISRKPSKSPQNIMKELVSSLLETKGMPSQLLEQLPARWEILGDIIILPKTCFKDPLWESVSEELWPLVAKSLGAQRLARQGKIIPNGTRDSTLELLLGDNGWVTHHENGICYSLDATKCMFSSGNRSEKLRMGQLDCRDEVVVDLFAGIGYFVLPFLVKANAKFVYACEWNPHALEALRRNVCDNHVQERCIILEGDNRVTAPKGVADRVCLGLLPSSECSWATAVRAL >KQL22179 pep chromosome:Setaria_italica_v2.0:II:397173:399001:1 gene:SETIT_032095mg transcript:KQL22179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLRAQVIIDEAMGRQITNQSVLQQLDMIRDAMYRGCYTLDTFRYQVHIKEEAKGHLLTHSLSLSKINSLQGLCSSRKNPQILRQLNKSLDDLSSMILDAQELVVFLASYPRLYRQPYSMHLVLGNCMFGRQMETEFVINFLLHTQPHGSKELEILPIVGPGKVGKTTLVAHVCEDERIRDRFSEILFLRDHDFTGLDDLATVREGYAMEYKNCVSNSNKDGRLLVVVELVGDLNEDAWNRLYSADTRDVPSGTKIIVTSQSNKIIKFGTTRALSMNYLSHEAYWYFFKTLTFGSTDPKMHPRLACLAMEIARMVKGCFIGGNIYACLLRDNFDIEMWCKVLTFLRGQINENTSNFGGHPFDLVNQKRPAYLGRMVTPSQYIVLHYENEYSKQEDLPKIKLQDVLYGSIKAQGKVEILGWRSRIPPYHSFVDICEIQEVKTTSSKRKRSRN >KQL26671 pep chromosome:Setaria_italica_v2.0:II:45663808:45667702:-1 gene:SETIT_028893mg transcript:KQL26671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLCNFGGRFLPRPIDGKLRYVGGEKHLIQISRGVSWQGLICKTTKLIRQAHIVKYHLPGEQVNVLISVASNDDVHHMIDECIVLEESKERPALYLFTDEDDEHHVHFMVGSSSDEDTEAQYIALINGYGYTGPGDKLSAQGPGSTSASDLDQLIFDIDDEGSVTGRTEEAPSCVRSKCSQNSVTVPSKASRIPLHKIPPIVMEQMTNQDSAIRSDEGKASSYPATKSRNINTASSMPLEFAYPSKWERNGSNGTSRKTTELQRTATSMSKIGQNAERNKEKESTALITELVIPSDENSLRVPSLSSNYSSPTQHTSPVNKLLREQTETIIQFIQPNNSINFEKLVTEDPVGRAVYEMLASPSGDYQKPVHKCLNSDESMIDAIRYSSQGDTAPYSDTDQLTKIRTTQLHNRTEWPAPTQPSESNEAGARILWDNTHISVNPYTHEQVFSVNTAGSIEHTLPDVMCSGADKNDDPSMPIIYDREIVPSPRPFTSSESKAAELQKNGPVQSSRDKEQASPSSGQHDIQIVRFTSLGGDGKHMQVGASSEEVTENFASPISELEVYETRENKLDLPANVTLGIDIISNVQIISNEDLEDLQEMGSGAFGTVFHGRWRGTDVAIKRIKNSCFMYPSSETDKLIVEFWREAAILSKLHHPNVLAFYGIVNNGPGGTLATVTEFMASGSLKKVLLRKEKFLDRRKRITLAMDVAIGMEYLHSKDIIHFDLKCDNLLVNLNDPSRPICKVADFGLSKVKQTTMVSVGMRGTLPWMAPEMLEMSSNMVSTKVDVYSFGIIMWEILTGEEPYAGMHHGGVIGGILSNTLRPPIPVSCDPQWRELMEQCWSNEPEKRPTFTEVVSRLRLMLEANYNRPLV >KQL22138 pep chromosome:Setaria_italica_v2.0:II:143422:144893:-1 gene:SETIT_032370mg transcript:KQL22138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKAKASEPGQKTIKHLLIQLPIWVPLSDWKLAKWVFLDVTLDVVCTAVARFSRGRSRGARRGAPASRQRRCATGAARGGPRGSATAVGLFCSEKHMERHLRSGHGNGCDGDGDGPSASAWPEHAMLLQDEKQPLARDAADSSTAAQEQSDGGGDDDDQDNAAAYDDQAAVDDAWMAAFEADEDTAQWATFQARVSAKQDQCGGARRYEMQLMPQLPHFFLGGVRGGVRVGAAGRGGGYVEEFAWLQLLRSPTTAAMAGRPSD >KQL23785 pep chromosome:Setaria_italica_v2.0:II:19166397:19173237:-1 gene:SETIT_028946mg transcript:KQL23785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLMASKFASSEIQDDGTALLLDGGSSDSHMGFVMQAQPYLSATPMPKGQESLCFKASTHYPTLFDNFQRELRDVLLQQQNEGIITDWRSTQCWMLLKELVKSAEHRAAVRRSRNPVMHITLGISLEKTRLMQTKIDDFVKKMTDLLHLERDAELEFTQAELNATSIIYAKSEKAVQPVDYLLTHGQAQEQHDTICNLEVISSTTGLSGLHLVLFRVEGNHKLPPTRFSPGDMVCVRTCNSQGEVATSCMQGFVHNLSEDDCTITVAVNSRRGDPTFSKLFGKSVRLDRIQALADAVTYERNCEALMLLQTRGLQKRNASIGVVATLFGDKEDVKLEQNNLIDWGGSEVHDEALLERHNYNFDTSQSKALALALNKKRPVLVIEGPPGTGKTGLLSYLITCVVRRGERVLVTAPSNAAIDNIVEKLSSTGLNIVRVGNPSRISQSVASRSLGEIVTRRLEKFTGEFERKKYDLRKDLKHCIQDDSLASGIRQLLKKLRKDYKRKEKETIMEVLSNAEVVLSTNIGAADPLIRGSGSFDLVIIDEAGQAIEPSCWIPILQGKRCILAGDHRQLAPVVLSREAIEGGLGISLLERASLLHDELLTTTLTMQYRMHDAIASWASNEMYDGLLKSSPSVASRLLVDYPFIKATWMTQCALLLLDTRMPYGSLNIDCEESLDPAGTGSFYNNGEADIVAQHVLSLVQCGVPPTSIAVQSPYIAQVQMLRDRLEEHPLASGVEVSTIDSFQGREADAVVISMVRSNSFGAVGFLGDSRRMNVAITRGRSHVAVVCDSSTIRNNAFLARLLHHIRQHGQVRHVEPGS >KQL22338 pep chromosome:Setaria_italica_v2.0:II:1831545:1833035:1 gene:SETIT_032670mg transcript:KQL22338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFISAIFGELTTRSINFFISKLSKPTTLDVEDRLRRVLLRAQVIIDEAKGRHITNQAMLLQLVMLGDAVHWSHYVLDTFRCQFHQEEDANNQGVSRSSSLSKVNSAMRLYSRGAKTLKDLQGALDNLSSMILDVNELVLFLTNYPCLYRQPYSMHLQLANCMFGRQLEAQLVINFLLHVQPYGADEELEVLPIVGPSYVGKSTLVAHVCKDERVRARFSEILFFHIQTFTDDELATFRDECELKHQNRVSESNLEARLLVVIELIGDLINEEAWGRLYSASKRYAPRGSKIIVTSRFDSIVKFGTTRALTLKFLPHEAYWYFFKTLTFGSMDPEMHPRLTHLAMEIAKTTLGRRGHLSANIIAHLLKDNFDVKFWSALLAFLRRYSQKLVSQFGGHPADSPNQNRPVLFERMATPSECIVLDHRCQHPSEEEIPKIKFQDVLCGSVMPRGKFDVLAWRSRIPPYHSYVYTCEIEGPKTRAVKRKRSMKNGVTNC >KQL23121 pep chromosome:Setaria_italica_v2.0:II:8485984:8489348:1 gene:SETIT_028791mg transcript:KQL23121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSWLPSLMAILIIIPTVSASDEAVLLALKAQVATGGSGSGTLASWNGSANFCSWEGVTCSRRRPERVTALNLYGSGLYGALSPAVGNLTFLQTLNLSSNGLYGEIPASLGGLRRLQKLDLSDNSFSGTFPANLSSCVSMRIMVLHDNRLSGRIPAELGETMVSLQAISLRNNSFTGPIPASLANLSRLQYLSLSSNQLDGSIPPGLGSIQSMWRLDLSTNNLSGVLPLSLYNLSSMASFQVGGNLLHGSIPADIGNKFPGMQILSLSNNNFTGTIPSSISNLSDLTTLLLGGNRLSGYVPATLGRLQALQELYLTGNMLKANDKEGWGFISSLANCSQLRWLLLDDNSFEGHLPGSISNLSSTLQKLYLNDNRISGSIPADIGNLVGLNLLLMVNTSMSGVIPESIGKLENLVDLGLYSSGLSGLIPPSIGNLTKLTRFLAFYNNLEGPIPESLGKLKNLFILDLSTNYYLNGSIPKAILKPSLSYYLDLSYNSLSGPLPSEIGTMINLNQLILSGNQLSGQIPNSIGNCIVLEKLLLDKNMFEGSIPQSLKNLKGLTVLNLTMNNLSGGIPDAIGNIGYLQQLYLAHNNLSGPIPEVLENLTLLSILDVSFNNLQGKVPDGGAFRNLSYKSVAGNTELCSGAPQLHLAPCSTRPIKKSRKKKFKSLTISVLTTVAVLLSFSVILFVWMLRKKLKQNQKERVQSPIADEQYERIPYLALSRGTDGFSEANLLGSGRYGVVYKCVFDNEDKTFAVKVFNLSQSGSSKSFEVECEAMRRIRHRRLIKIITCCSSFDLQGQEFKALVFEFMPNGSLDVWLHPKFHKFATSRTLSLAQRLDIAADIIAAVEYLHNSCQPPVIHCDLKPSNVLLAEDMSARVGDFGISKFLPENTSRRMQNSYSITGIRGSIGYVAPEYGEGSAISTAGDIYSLGVLLLEIFTGRSPTDDMFRDSLGLHKFTEDALPDRTLEIVDSTIWMHVEPKDSITRRGVQECLISVFRLGLSCSKQQPRERPSIRDVAAEMHAIRDAYLMFGN >KQL24754 pep chromosome:Setaria_italica_v2.0:II:32864274:32867733:-1 gene:SETIT_030109mg transcript:KQL24754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKNGAAASERRLLSRRILLLCFASFFLGMLVTDRFGSVPSHVVVPQRRYGTELPRVSQEFVARPKPADDRDIMGEVSKTHEAIQYLEKSIDTLQMELAAKRSSNELHGESTGGISKQRKRAFVVIGINTAFSSRKRRDSVRETWMPQGEKLKKLEEEKGIIIRFTIGHSATSNNVLDKAIDAEDEIHHDFLRLDHVEGYHKLSAKTKIFFSTAVALWDADFYVKVDDDVHLNIGMLVATLGRHKLKPRVYIGCMKSGPVLSDKNAKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGSVCVASFDWKCSGVCNPVERLKYVHSRCSEGEDAIWSTSF >KQL24753 pep chromosome:Setaria_italica_v2.0:II:32864624:32866798:-1 gene:SETIT_030109mg transcript:KQL24753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVSKTHEAIQYLEKSIDTLQMELAAKRSSNELHGESTGGISKQRKRAFVVIGINTAFSSRKRRDSVRETWMPQGEKLKKLEEEKGIIIRFTIGHSATSNNVLDKAIDAEDEIHHDFLRLDHVEGYHKLSAKTKIFFSTAVALWDADFYVKVDDDVHLNIGMLVATLGRHKLKPRVYIGCMKSGPVLSDKNAKYHEPEFWKFGEDGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLDVEHIDDRDMCCGTPPDCEWKAQAGSVCVASFDWKCSGVCNPVERLKYVHSRCSEGEDAIWSTSF >KQL24847 pep chromosome:Setaria_italica_v2.0:II:33772393:33773513:1 gene:SETIT_030937mg transcript:KQL24847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFMDGPSFRALLRPSTNGRRTKISDSGGGGGGGGGIFKMFKLMPMLSSGCKMVALLGKHNRALLADHATTVTLFGHRRGRVSLAIHEDTRAPPLFLIELPMLTSALHREISSGVVKLALESDTRSARRRLVEEYVWAVYCNGRKAGYAIRRKEASDDERHVLRLLRGVSMGAGVLPAAPEKEGGVPAGPDGELTYVRARVERVVGSKDSEAFYMINPEEGGNAGDCGAGGGGAPELSIFLVRMK >KQL26922 pep chromosome:Setaria_italica_v2.0:II:46945751:46946095:1 gene:SETIT_032578mg transcript:KQL26922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACREGHMLAAEWCRKKVILETGCSSLVGMLQDREGYKSRLRFIIKEAQDTGCSLPAWLVEHTKRGQNGVGQNGIAHELAQLAKRIGLTAMWYLCSPACVEQLIARDCNFIFKM >KQL27261 pep chromosome:Setaria_italica_v2.0:II:48873647:48879277:-1 gene:SETIT_029482mg transcript:KQL27261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLGYFPALTPDEVKEHVAQARKAQKIWAKSSFKQRRQFLRILLKYILENQDLICEVSSRDTGKTMVDASLGEIMTTCEKITWLLDEGEKWLKPEYRSTGRSMLHKRAKVEFYPLGVIGAIVSWNYPFHNVFNPMLAALFSGNAAVIKVSEHATWSGCFYFRIIQAALSAVGAPENLVHIITGFAETGQALVSSVDKIIFVGSPGVGKMIMQRASETLIPVTLELGGKDSFIVCEDVDLPNVVQVAVRAALQSSGQNCAGAERFYVHNDIYSAFVSQVVKIVKSICVGPPLSGRYDMGAICMMEHSEKLQNLVNDALDKGAEIAVRGSFGHLGEDAVDQFFPPTVLVNVNHTMKIMQEEAFGPILPIMKFSSDEEAIKLANDSKYGLGCAVFSGNQKRAIRIASQLHCGVAAINDFASSYMCQSLPFGGVKDSGFGRFAGIEGLRACCLVKAVVEDRFWPYIKTMIPKPIQYPVSKHGFEFQELLVQTLYGYSVWDRLRSLVNLVKMITEQNSAPAPSTTTKKRR >KQL25587 pep chromosome:Setaria_italica_v2.0:II:39192481:39195195:1 gene:SETIT_030196mg transcript:KQL25587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGARSPPHPVPLLLPFCLLLLAALCPAARAARFACNATAPRASTCQALISYAPPNGTATATLAAVRALFQLRSHRALLAANGLPLSTPPTAPAPTPMRVRLPCLCSGGAGATFQRPTYRVRAGDTLDAIARGAFAGLVTYQDIAAANNVSDPNKVAVGQQLWIPVPCSCDPVGGQPVVHFTYVVPAGSSVAGIAQEFGSTEENILAVNKMPDAKGLLAGQVLDVPLRACGSAISNTAIDRNLLVPNGSYILTANNCVMCGCSSSTWQLDCQPTQGLSSSFCPAAKCGDMFLGNTSSTSSCESRTCSYAGYTNSTSFAILANITTSNVCNAGMSPMAQPAHSSAFRLEPSWFRWTELVVSLHVVLLCVGYLRQD >KQL23540 pep chromosome:Setaria_italica_v2.0:II:14707297:14707978:-1 gene:SETIT_032218mg transcript:KQL23540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNKCSLILLLVGFSVVTPNLAAIRAEGTATAPSPANSEVLHPEAEFSIPDLPIPALLPCPPLFPKIPLIPCYKTPSPPPPPEVTECRSSLKNMTPCAGFLTDSGVFAPSSECCAAFDPFYKDAAMLTCLCHLTNGDIAQLLPAPLKHRRIVPLLIACDFQITPNALSDLCSTLKDHIPPMDLPSPPPSA >KQL25643 pep chromosome:Setaria_italica_v2.0:II:39551947:39552377:1 gene:SETIT_033425mg transcript:KQL25643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPTSELLKKQSSSMISIKKKKSKATSQSINIFPLPGTKSSSHRLATAPQEMCTCTNQ >KQL24187 pep chromosome:Setaria_italica_v2.0:II:27253313:27253978:-1 gene:SETIT_033770mg transcript:KQL24187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNTVDHVRFNKCLVLTKLDSAKQDQILLSGWGGMFCVSGSGRAIWDSYLFLYSL >KQL23921 pep chromosome:Setaria_italica_v2.0:II:22841147:22843798:1 gene:SETIT_028884mg transcript:KQL23921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGAAAVPPRAAGPRRYKVLVPWRFQRGFVREPLKHAAAAAPSDGGGETVGDPDAENCGSGGAPNAGGESVSVRDAENCGSGGAPSGGKSGGVGGGEQQSEGCTPSQSLKSPGVDNGGRPVPGNACNLGNGGVKSSGLEGAENSRGANIGVETGEDCNLGSSNCDGSVKDAGTQDLGGTGDGPAREPEVGSNVGVEKSFSQGLKESFVDQTRLKSNGCSASDLRLEDPERNVGLGDSACHTAKECGTDDGAAKKSNAAAKGCSLATPGNNGNGIYCRKGRKAVVPWRFQVGYKRSFSKAFGSDNGSPDLPVFRFDDSSTQCAPATRSSVRYYASAHSGVRVSAMRDFSVKGENETGSGYKKRKTNNDDQDKAMPNNGGVIVRESIMRSLQDFRLIYRDLLDEEEDNSREEVLNVRPDLQAYRIFRERFSTECDDKKYIGSVPGIYPGDIFHLRVELCVVGLHRPHRLGIDHTKNHDGTSVAISIVSYAQSSDIKYNLDVLVYTGSVAVTVNQKIEGTNLALKKSMDTNIPVRVIHGFTTANGKKKFPTYIYGGLYHVEKYWREKEHGDRYVYMFRLRRIEGQKHIDIKEILQSGKSGPNDSVIIKDLSRGLERVPVSVVNKISNECPMPYRYISRLQYPRNYRPTPPAGCGCVGGCSDSKKCACAVKNGGEIPFNDKGRIVEAKPLVYECGPSCKCPPTCRNRVGQHGLKFRLQVFKTKSMGWGVRTLDFIPSGSFVCEYIGEVLEDEEAQKRTTDEYLFAIGHNYYDESLWEGLSRSIPSLQKGPGKDDEAGFAVDASEMGNFAKFINHSCTPNLYAQNVLYDHEDISVPHVMFFACDDIRPRQELAYHYNYKIDQVHDANGNIKKKKCLCGSVECDGWLY >KQL26752 pep chromosome:Setaria_italica_v2.0:II:46139246:46141835:-1 gene:SETIT_030783mg transcript:KQL26752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLRAISSLLHIYSSSAAAGRSARRLGFVPALGGSFRVPSSPGPPAFVLDEVARAAGGARRRASTRAASWDSEKSPYETLELGRDADEETIKTAYRRLAKFYHPDVYDGKGTLEEGETAEARFIKIQAAYELLIDDERRRAYDREHHVNPMKASQAWMEWVMKKRKAFDQRGDMAVAAWAEQQQREMTLRARRLSRSKVDPEEERKLFAKEKKASMEFYSTTLKRHTLVLRKRDIMRKKAEEERNNEISRLLAAEGLELDTDEDEDKTFLG >KQL26043 pep chromosome:Setaria_italica_v2.0:II:42005495:42007448:-1 gene:SETIT_030075mg transcript:KQL26043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAAASVKPSAAVAATTKPAFKPLHLPPLPAGAPRPLSLSVSARPLYRQEHVLATVAAAAGRSDRASSPAPPAATADGARPVEVAAPAETARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLASWATRIAEAPQTDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVVRTIFSKKGMKGKSVSGMNYYACLSIMSLVILLPFAIAMEGPKVWAAGWQKAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFQTPVQPINALGAAIAILGTFIYSQVTHHVCFEFIAAR >KQL26042 pep chromosome:Setaria_italica_v2.0:II:42005183:42007448:-1 gene:SETIT_030075mg transcript:KQL26042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAAASVKPSAAVAATTKPAFKPLHLPPLPAGAPRPLSLSVSARPLYRQEHVLATVAAAAGRSDRASSPAPPAATADGARPVEVAAPAETARRAKIGVYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLAAGSAIMLASWATRIAEAPQTDLDFWKALSPVAIAHTIGHVAATVSMAKVAVSFTHIIKSGEPAFSVLVSRFFLGEHFPAPVYFSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVVRTIFSKKGMKGKSVSGMNYYACLSIMSLVILLPFAIAMEGPKVWAAGWQKAVAEIGPNFVWWVAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVASIIIFQTPVQPINALGAAIAILGTFIYSQAKQ >KQL27226 pep chromosome:Setaria_italica_v2.0:II:48684329:48694921:-1 gene:SETIT_028651mg transcript:KQL27226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPRELDLSDEVEGDQDGTTDFVFRLAGDPIPLLPTDSSPLPLFDLQSPPARPLAVSDRHATVFLAHPNGFMAVRTKELIEASKEAREKGKASTRCVQDCCVADVPLPGVSLLALSHDDSVLAACTDTEIHFFSLASLLTHKDVVPSSSCSMGRAGTVKDFKWLNRASAAYVVLSNGGLLCHGTLGEGLKDVMENVDAVDCCKEGNHIAVAREKKLTILSSDFKETCCMPLLFQLWSDESDSEGSTIKVDSIGWIRDDSIVIGCVRLNEDDNEEGYLVQVIRSEENTFCESPGKPVVYTYVDFFNGVMDDVLPSGVGPNLLLGYLRRWDLMVASNKKSIDEHIALLKWPSTHDDEKTVKCLEMLEDKYSPRIDLQENGDDNVILGFGVENVSLFQKITVTVGPEQKEVAPQHILLCLTGEGKLILYYLARISDPSDLPHTALSTNEDFCEKQISPAAVSKEELTPSVTGSVSKSILREHGAEPSSAQTGSNQQESMDVRNSSSVSKKQETTGNSLLISSDKKPLDTKQVNVTAPLATAPSLALTGNTKPAETFSFSTVNNEGTNPTGSKAPSGLAPSLQQSSNSFGNNQSGKGGLDSIQSVGTFGGSQNSNKDGAGFGFKSSLFASSGSVPAKIGERSEAGFGNTSPQTSYTADRKVFGPPVALSSGPLPSISPAKPSLIGSSSSGYRTGNSEAPQSLHGSPPSQQTIGKSHNSRTQAPVDYSRNSNMGTIFDSQEDLSKKLYSINEMTKELDALLAYIEKDGGFRDACITFQQRPLSVFEDGLQNFMELLQVFKNKVEGQCSKIEDLRNKMFQVSARQAYMKGIVSQSSDTQYWDIWNRQKLSPEFEVKRQNILKANQNLTNQLVELERHFNNLEMNRSSETGRLASSRRAVYSNKSRASQTQLSSVCNALNSQLAAAEQLSECLSKQISVLNIGSPTTKRGAVTKELFESIGLAHTTDATKFLGSTPSKSIKRFPSVNEHSKGVLGPSKSAEPETARRRRESLDMSLASLEPQKTTVKRIAQQQRLKISSDLPFRSNKKIFDSQMAAISQEKPSDSSNSSIVESYASRLRSPSEDVTAKSSGSQQNPLFKWVKESAGPTQSSEQKHFELPGQMKSTAQSSKLAPSSPAFSYTHKGAQDSISPSNVSSFGTTHIVPKSNTLTFKTTITPKSNANTEPNIPSMATAKTPQSPLSVKTLTGESGDLSTLAMKNRQDNQAMPSLGNTKGSGPPQSKGDIFRDLSKSSFTSEHSKPAVLHEKTGQLSRISDAVQNTVKDTPKVASQPPAFSPTPVTQTNSYSIKPTVSSSATSASSGMQASAAKTSDILSSSMQKSTPKVSPLVPEDNVSSSLQSIPTPVKDLSTGLGKNAAKPETLTSEVTRTIVPASMASVISTTESKPSSPPTTGANLPSTPVPAPKTAPTTAESVVTSTGKDVGPNNNSTDEDDMEEEAPSASAELNLGALGGFGLGSQPSSSPQKSNPFGTSFGTSENKSSGTPFALTTSPGQLFRPASLSIPSAQPAQPSQSTSSSAFSSTFSSGFTGFGQPAQLGSVHQAGFGQPAQIQSGFGQPAQIVSGPQSGFGQPAQIGVGQQSGFGQPAQFGAQQALGSVLGSFGQSRQLGGVGSGGFGGFASAATPGGFGSLSSSNAGFAGAAAGGGFSAPAASAGGGFAAAATGGGFAALASKSGGFAAAASSGGGFAAAVPSGGGFAAAASSGGGFGGATQGGGFGSGGGFGSFGGNQGAGFSAFGASGPGRPPADLLTQMRK >KQL23886 pep chromosome:Setaria_italica_v2.0:II:22393270:22393743:-1 gene:SETIT_032511mg transcript:KQL23886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGDWITARYLLSSILGRNPLVVDYVDEESFPVDPPPAAVGSRLAEAVPPAVSAPPAVRAPAGVAGTVCAVCTEEIAVADAVVRLPCAHWYHDGCIAPWLGIRGTCPMCRAELPPWDSGEDECGGPAGRAKPARAPGPGPAAGRAQAPARSWTRRT >KQL26663 pep chromosome:Setaria_italica_v2.0:II:45644989:45648441:1 gene:SETIT_030627mg transcript:KQL26663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSLLGYDMPSPIIVAPTGAHKLANPEGEVATARAAAACNTIMVLSFGSSCKIEEVAASCDAVRFYQLYVYRRRDVSATLVRRAESLGFKAIVLTVDTPVLGRREADIRNKMVFPLSPNLEVLMSLDDDVGTEGGSKFERFARETLDPSLSWKDVEWLKSITSLPILLKGIVTAEDARKAVEAGVAGVIVSNHGARQLDYAPPTITVLEEVVKAVAGAVPVLVDGGIRRGTDVFKALALGAKAVMVGRPVFFGLAARGEAGARHVIEMLNRELDLAMALCGCRSVAEVTRRHVQTDGDRIRAML >KQL22200 pep chromosome:Setaria_italica_v2.0:II:505253:505933:1 gene:SETIT_033602mg transcript:KQL22200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAMVSAATGAMSSVLAKLAELLHEKYKLANRVRKNIEFLRSELRAMNDLLYVMADIEELNAVNKGWRDRVRELAYDIEDCIDLSVARLHCAGGDASKGGFFGPKQLARKLKKISVSFQIAH >KQL24769 pep chromosome:Setaria_italica_v2.0:II:32969793:32974244:-1 gene:SETIT_029704mg transcript:KQL24769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPVDVELGGAGGLGIAGGGGGGGGCVGGCGAIGRAVSFRCVFVLLLAAGVLVPALFLLVPSRHEGYVSDDPDVLAAEIKVGFTLEKPVSFLTSHIDKLGNDIFEEIGVPNSKISIVSMQPLTSKYSTNVVFGVLPYPKDAAISLPALSVLKSSLIEMMLQHVNLSLTPSLFGHPSSFELMRFPGGITVIPSQPGSPWADTYPLFNFVLNNSIYQILGNLTELKDQLKLGLNLRSYEKIYLQFRNEIGSSIEAPATIDALVLDGSSNLLPDRLKQLAQLITEPDVGNLGLNHSVFGKVKGVQLSSYLQHKISDLSPSPSPSPSPSPSPSPSQSPIPSMPPSLSPFGNNPHPSPPTYMNPNHPPQALPPSRSRYPCFPCFRCNRFPPAGSPIVKPPCIGRDPKLPPFMHSPKPSAVPSPPNPNRFPKTVPRPTSPMMPVPSPSVFHHSVPPRKKQNSKASNVPSIAPSPYTLLHT >KQL24768 pep chromosome:Setaria_italica_v2.0:II:32969793:32972767:-1 gene:SETIT_029704mg transcript:KQL24768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLLMRSIFSISAEIKVGFTLEKPVSFLTSHIDKLGNDIFEEIGVPNSKISIVSMQPLTSKYSTNVVFGVLPYPKDAAISLPALSVLKSSLIEMMLQHVNLSLTPSLFGHPSSFELMRFPGGITVIPSQPGSPWADTYPLFNFVLNNSIYQILGNLTELKDQLKLGLNLRSYEKIYLQFRNEIGSSIEAPATIDALVLDGSSNLLPDRLKQLAQLITEPDVGNLGLNHSVFGKVKGVQLSSYLQHKISDLSPSPSPSPSPSPSPSPSQSPIPSMPPSLSPFGNNPHPSPPTYMNPNHPPQALPPSRSRYPCFPCFRCNRFPPAGSPIVKPPCIGRDPKLPPFMHSPKPSAVPSPPNPNRFPKTVPRPTSPMMPVPSPSVFHHSVPPRKKQNSKASNVPSIAPSPYTLLHT >KQL25700 pep chromosome:Setaria_italica_v2.0:II:39938829:39941464:-1 gene:SETIT_031911mg transcript:KQL25700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAPVEEAPPPPVAPSRAAANALPVGTLDPQLFMAACCGDNNLLKELLRLDEGRVVVQVEPPLAPSSGAAASGSLHHQLLQLDAVTGNEGDSLLHVVAARGSGDGGRRFLDCAKTIYRGNNGLLAARNNKGDTPLHRAAAAGSAGMVSCLVALKTAEAEVAGGDMTAVKEFLRMRNNCGETALHQAVRAASKACIDELLLVDPSLATTVPLEGEEGASPFYLAFSLGEVNIARHLFDENNGQLSYSGPDGQNVLHAAVSRGQALPTLLEWLKDLTVDVQQGSVPLVSHLAQKRDKQTGSTPLHLAASLEGWPCVGILSKWFPNVWPRPKPAVALLLDANTCAAAYQPDAEGLYPIHVAALADSLDAVRVILERCPDCATLRDARGRTFLHVAVEAEAYRVVEYACRRMHKELPPVILNMQDNNGDTALHRAVHVGNLPVFNCLIRNRRVHLNIPNKDALTPYDLSWVRIPSSFYYDMNPRGLIQLSLQFVGAPCGGSRPDLLSLNHNPKIDNDRLSAHLTNAAHMLGLVSVLVAAVTFASAFTLPGGYYQTGSDKAGAPLLAGSYAFDAFILSDTLAFICSCMATFSLIFVGVPAMDISLRYWYFQLSALLLRSSGRSLVVAFALGLYLVLAPIARATATAVCVIIFTCSLYGNSEAWQMLRVADAARARLGTRMHVAWTLGLTFYNVFGIVFLNFWSFVIIFGLPAAIRKVVHAK >KQL26518 pep chromosome:Setaria_italica_v2.0:II:44863286:44873493:-1 gene:SETIT_028729mg transcript:KQL26518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRKFFLKKTPDRLLEISERVYVFDCCFSTDSMGEDEYRDYLSGIVAQLQEFFPDASFMVSNFWSGDKRSRISDILSEYDMTVMDYPQQYEGCPLLQLEMIHHFLKSCENWLSVEGQHNMLLMHCERGGWPVLAFMLAGLLLYRKTYTGEQKTLEMVYKQARRDFIQQFFPLNPQPSHLRYLHYITRQGSGSEWPPISRTLILDSVVLHVVPRFDAEGGCRPYLHVHGQDSSPGNKSAKVLFEMPKTKKHLQRYGQAEVPIKISACCRVQGDVVLECIHMGDNLEHKETMFRVMFNTAFIQSNILGLNRDDIDVSWNVNNQFPRDFRAEVLNSSVPVLFSDPDSFKPAVTTVEVADDGDETDVASVDTGDEFYEAEEYWHDARRDPETQSVDGRLSLDGVAELDGAVANEERSSLEKHGIDEDVKTVISQNSGSMNEKGSSAPTSSFENPEGLQQAQQDPAKSKLNHTGGQENSDVQDIQVVATSVDSEGHKFGSICQEEDTKDVIAQTLVTTVDPNCSDEIQCQADKPTKILKYPDSDYTTFDAPRKKTIVENGNIIQEVKNVVNEMSAVPKIGRTTIKSRNAQDNSCGNIKAAKPSDRADGKLDRSKLEAGLEETIPTKDTNVHDRIIVLPATEVATEIKTKREGPGGKQDLGIALPQSRTEARASSPRFGSDDRGQIPDKAVSSVLKKMAAGNAAQTEEPKLAKPKTIRRWISPKKESDATSRAPGAPAPPRAPGVPPPPGSNPSLGRGRGAARPLGSAYGAAASRKSTLKPLHWVKVTRALQGSLWEELQRNDDSQSVSEFDLSELESLFPAAVPKSDDSSKSERRKSLGSKPEKVHLIELRRANNTEIMLTKVKMPLSDLVSAALALDQLTLDVDQVENLIKFCPTKEEMELLKNYTGNKDNLGKCEQFFLELMKVPRMESKLRVFSFKIQFGSQVADLRKSLNIIDSSCNEIRSSIKLKEIMKKILLLGNTLNQGTARGAAVGFRLDSLLKLTDTRATNNKMTLMHYLCKVLAARSPQLLNFYVDLVSLDAASKIQLKMLAEEMQAVSKGLEKVQLEYDASERDGPVSEIFRKKLKEFTDNAGADVQSLSSLFSEVGKKADALIKYFGEDPVRCPFEQVISTLLTFVTTFRKAHEENLKQAELEKKKAEKEAEAEKAKSAQLTSKNDAKPSNPSRQAKQTIERTRSASRRGRDVG >KQL23420 pep chromosome:Setaria_italica_v2.0:II:12245692:12248131:1 gene:SETIT_029850mg transcript:KQL23420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADAPAGSGGALPNWVMLDRFIFRRDDPQSFREDKRTSATGETSVGAHFRISFILAEPPTPSRLYLSWPGGPKREKMCHLASAHRNLVLLRLDSYVDPSNPSPFGEIAHDYFIYYVAADPRSQAQSTPALRRLPGCTVHNAYLGRPIPRPFVPYGVGLLCCGEEFVVAYLGVGRRDPEAEALEVELWVLRSTVRGDSADGGEKWEAMYLPIQGQHVKHINLLNFTTNEVVPFKNTLCWVDYRRGVLYCEDICGDSPKAVFAGFPPDYSSYHPAGFPALYRSLCVTEGGRTLAILDVGRHDGADIGRMVPDTGFTIVSKAVTETQSANSFVVQADDLWAAHPKEELPREVMMLPLMSLDDINVAHFVLYNWADLSEKVKVSLVTIDLSTKRVVGKVVPYIDGVDLSTDDADLVEAKPNYFMHFLPAEFPKFLNLQRTMKNPA >KQL23658 pep chromosome:Setaria_italica_v2.0:II:15821649:15822756:1 gene:SETIT_031274mg transcript:KQL23658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNPKVFFDILIGKAKAGRVVMELFADKVPKTAENFRCLCTGEKGLGTSGKPLHYKGSVFHRIIPSFMCQGGDFTRGNGTGGESIYGAKFADENFKLHHTGPGVLSMANAGPNTNGSQFFICTTQTPWLDGKHVVFGQVVDGYGVVEKMEAVGSGSGATAERVLIEDCGQLADE >KQL22823 pep chromosome:Setaria_italica_v2.0:II:5602267:5603595:-1 gene:SETIT_032298mg transcript:KQL22823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVSAAIVQETVGQFLSGLVQKYEEKEESNEKRNLERLEMAHIRLEAALETSNNWQITGRILENEQMEWEVKNSSLPNRIVHATKSFTLSIFKRNDNDLRRSIAQRFEWYADRASEFLRFIELGGTPHHHMPFESLVKNLFAGKELHHKIVRGNEYPLFQLWLTPIHNPVHGIDVSLTFIYLAVQLSESIDIVGIAVKCLELFAPHFKYGLLEPVIQFNLHCHVSLPMYRKQKTSLSEDLISLQDYPYLKAGIFFLPHGSLEDMLPANRSSELAAIVRKEQHFLHTDITLEQLEEIMLPKAIDYLRQNAEAMVYQMLWKSKHGFALIQVEKP >KQL24532 pep chromosome:Setaria_italica_v2.0:II:31068754:31069904:-1 gene:SETIT_033784mg transcript:KQL24532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLHRRCRDRTPGTRRRGADPAAPHRNLVNHRTDLDAHHAELAAKHEVRRSKQNDGKGRGDLGRKKGGGSESWPRRHPPRRSPGFRGCSSGGGGGREQR >KQL22986 pep chromosome:Setaria_italica_v2.0:II:7150714:7151655:1 gene:SETIT_032044mg transcript:KQL22986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPMPPAPPPPPLVNVNRYVGAKHKAVVVMGATGTGKSRLAVDLALQFGGEVINSDKIQVHAGLDVATNKVTEEERAGVPHHLLGVAHPDDDFTAADFRREASRAAGAIAARGRLAIIAGGSNSYVEELVDGDRRAFRERFDCCFLWVDVQLPVLRGYVARRVDDMCARGLVSEIAAAFDRRRADYSRGIWRAIGAPELDAYLRWSGDDEGERDRLLAAAVQDIKSNTCRLACRQRAKIQRLSKLWRVRRVDATEVFRRRGDDADEAWQRLVAAPCIDVVRSFLHNDAAAMADDLALEVPVFAPTPAAAVAV >KQL25346 pep chromosome:Setaria_italica_v2.0:II:37496569:37497075:-1 gene:SETIT_033628mg transcript:KQL25346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRMFLSELPPSRMAFSFQLAAFCMHSTLLCPPPSRTSRPPPSSPHLSHPGAHARRPATRPQYT >KQL25817 pep chromosome:Setaria_italica_v2.0:II:40706172:40708229:1 gene:SETIT_031133mg transcript:KQL25817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKYVSELWRRKQSDVMRFVQRVRCWEYRQQPAIVRLTRPTRPDKARRLGFKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPKHQGITQLKFQRNKRSVAEERAGRKIGGLRVLNSYWVNEDSTYKYFEVILVDVAHTAIRNDPRINWLCKDVHKHRELRGLTSAGKKYRGLRGKGSAHHKNRPSRRATWKRNQTLSLRRYR >KQL25991 pep chromosome:Setaria_italica_v2.0:II:41738228:41738553:1 gene:SETIT_0287671mg transcript:KQL25991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEGGILHIHGNVNDSDETRWLDNVVESISNIAKAHGLSWSVSSEHVERVKWYGPHIRHLVVDVRCRPI >KQL26588 pep chromosome:Setaria_italica_v2.0:II:45247438:45252491:1 gene:SETIT_030896mg transcript:KQL26588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGPVQLRRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVALIVFSTKGKLYEYSSHESMEGILERYQRYSFEERAVLDPNIGDQANWGDEYGRLKSKLDALQKSQRQLLGEQLDSLTIKELHQLEQQLDSSLKHIRSRKNQLMFNSISELQKKEKSLTDQNGVLQKLMEAEKEKNNALMNTHLREQQNGASKSSPSPPPSTVPDSLPTLDIGPCQPRGTGGESEPEPIPAQVNSGKLPPWMLRSVNNR >KQL25338 pep chromosome:Setaria_italica_v2.0:II:37459759:37460688:1 gene:SETIT_033500mg transcript:KQL25338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAGGLSSRTRHCGQPPSSTSPPNPTFPALSSPTNHKNRT >KQL22147 pep chromosome:Setaria_italica_v2.0:II:217421:221528:1 gene:SETIT_029158mg transcript:KQL22147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPENPHLPFLLFLAVAALATAGEAAAGTTLTASPARLSPSDRQIKIRWAGLPSPDGLDYVAIYSPPSSRDRDFLGYLFLNGSASWRDGHGELSLPRLPTLRAPYQFRLFRWPANEYSYHHIDHDRNPLPHAKHRVAVSGDVAVGDPARPEQVHLAFADAVDEMRVMFLCNDAGKRVVRYGLEEEEKNWTEVGTEVRTYEQKHMCDWPANSSVAWRDPGFVFDGLMKGLEPGRKYFYKVGSDTGGWSKTYSFISRDSEANETNAFLFGDMGTYVPYNTYIRTQAESLSTVKWILRDIEALGDKPAFISHIGDISYARGYSWVWDHFFSQIEPIAANTPYHVCIGNHEYDWPLQPWKPSWATYGKDGGGECGIPYSVKFRMPGNSILPTGNGGPDTRNLYYSFDSGVVHFVYMSTETNFLQGSDQYNFLKADLEKVNRTRTPFVVFQGHRPMYTSSDETRDAALKQQMLQNLEPLLVTYNVTLALWGHVHRYERFCPMKNFQCVNTSSSFQYPGAPVHLVIGMGGQDWQPIWQPRPDHPDVPIFPQPERSMFRGGVFGYTRLAATREKLTLTYVGNHDGQVHDMVEIFSGQGSSNSSIAEAVDGTKLSTGVSTVRRISPLYLEIGGSVMFALLLGFGFGFLVRRKKEAAQWTPVKNEES >KQL25375 pep chromosome:Setaria_italica_v2.0:II:37687726:37688888:-1 gene:SETIT_030591mg transcript:KQL25375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRAPGRDEEDAEALFLAAEAVGNGAAAAVTVAVDAMGSVQADGSGLPKPEPVPVEFLGGGLQLANPRPAPRYRDRHTKVEGRGRRIRMAAPCAARVARLTRDLGHKSDGETVRWLLQQSEPAIIAATGTGTVPAIAVTGSDGVLRLPAEPPPADADGEQQDPAPKRRRKLQPTRAAAGPSAPAPAAYYPFVADPLLQANGGGAIPFLAIPAPAPGASGDGKQVIPTAAMWMVPQQVGAAGAANQPTHFWAFPTNPELFNVGNFQQQAVYDAEQLAGNGDSEDQQFGGHQIGEGEGEGEDEEIAVTDSSSEE >KQL22397 pep chromosome:Setaria_italica_v2.0:II:2346599:2349346:1 gene:SETIT_029946mg transcript:KQL22397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPNTRGRPQQRPPRSWSFSEMVDFSDPKRRPRYLSKVIMVALLTAMCVVMLTQPPCHRRTPSVFSVHQPGVTHVLVTGGAGYIGSHAALRLLKDSFRVTIVDNLSRGNIGAIKVLQNLFPEPGRLQFIQADLGDPKAVNRIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITANTLVVLEAMATHSVKTLIYSSTCATYGEPEKMPITEETPQFPINPYGKAKKMAEDIILDFSKSKKADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGSDYETPDGTCVRDYIDVTDLVDAHVKALNKAERGRVGIYNVGTGKGRSVKEFVEACKKATGVDIKVDYFPRRPGDYAEVYSDPAKINRELNWTAQHTDLHESLKVAWTWQKAHRSGYEPPQAMIL >KQL26000 pep chromosome:Setaria_italica_v2.0:II:41764154:41767042:1 gene:SETIT_030026mg transcript:KQL26000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIHSTNKLQLVWNVISCVLLFYAFFTSDSPHKIHLSKKPFNFTISASRLLHEIGVNSGLLEEKVDTRQRLAENTHSITNITANARSGWSIVNEEFTFPAGSAPFNSCHASTIVQIDEGNFLVAYFGGSMEGAPDVKIWLQRYSGGHWHPPVVADEQFGVPMWNPVLFQLPSRELLLFYKIGQEVQKWSGAMKRSLDGGITWLPREQLPPGILGPIKNKPFLLEDGRLLCGSSVESWNSWGAWLEVTKDAGRTWRKYGPIYVDGQPLGVIQPVPYRTANGIIRVLLRSFQTIGRVCMADSFDGGVTWSFVRGTELPNPNSGIDGVKMKDGRVVLGYNTFSRGTLKLAVSLNDGDSWNEVMTLEDTSGMEFSYPAVIQTMDGLIHVTYTYNRTQIKHVVIKPSALVK >KQL26078 pep chromosome:Setaria_italica_v2.0:II:42218520:42219025:-1 gene:SETIT_033733mg transcript:KQL26078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPTFHAEITLLPGQHSNPLCQYSGEPHSHYHLTSR >KQL27037 pep chromosome:Setaria_italica_v2.0:II:47526950:47528396:-1 gene:SETIT_031348mg transcript:KQL27037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMAMASSSLNPNAPLFIPAAYRQVEDFSPEWWELVKTTAWFRDHWFRQHQLHEAAYDAALLSLPDDADVDVAALLPDDSVDLLDMVGTDDLFYAPDHHHQAAKPAAYDLDVLRAVSLGSPRAVVGAPSPRAQQQRHADKPAQHVGVRGAARRVIHQPR >KQL27147 pep chromosome:Setaria_italica_v2.0:II:48088277:48089180:1 gene:SETIT_031406mg transcript:KQL27147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAVLLLAAAAVAAACVLGTSEARLGKLGRLVITGVVPCNTGSLIDIATSPVFPNADVELRCAGRMVAGATTNTNGTFSMELDMTSALAAFIGGCTLVVDTPLIKCNADLTDVGTLVSYLQGPLTRLLGGIFHLFPAGFSFHARRE >KQL24669 pep chromosome:Setaria_italica_v2.0:II:32122243:32125356:-1 gene:SETIT_032055mg transcript:KQL24669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPWAAFVAAVLVVITALFLKTIIQSRGRRTYNLPPRPRPWPLSRRYGPLVQLWFGSMPVVIGSSAEMAKFFLKTHDAAFADRPRFAVGKHTTYDYSDILWAPYGAYWRQARRICTAELFSAKWVESLEYIRDEEVRALLRDLRGASGSVVRLKGHLQMAALGVISRMVLGKKYVEAEDAAAEGGGMPPAATPAEFRELIDEFFALNSASIVRRTKKMSRQFGRFLERVLDEHNERRRLSRDNVKALTQDLIIGGTDTSWMTIEWAVSELLKNPELLTKATTELDRVVGRDRLVRESDLPHLPYIDCIIKETLRAHPAAPMLVPRLAREDVSVGGYDIPAGTTALVNAWAIGRDPALWDAAEEFRPERFAGSKAAAAAEEPDFKFKMLPFGLDRRMCPAYTLGLREVTLSLANLLHGFACRLSDGMTEENLCMEETYQLTLPRKVLLEAIVEPKLPAMLYAGA >KQL22594 pep chromosome:Setaria_italica_v2.0:II:3753769:3755924:1 gene:SETIT_030457mg transcript:KQL22594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAHQVGANQPPLLSSPARLPRSSNARLLVLPAAAASLGGRGVRLGARRAAAVVVRASSEAKAEAETKTEGGGGEEEEERPYEEYEITIEKPYGLKFAKGRDGGTYIQAIFPGGAAEKTGQFTVGDKVLATSAVFGDEIWPAAGYGQTMYSIRQRSGPLYMKMERRFGKWDGAGELSEKEIIRAERNSGVVSGRVREIQLQNYQRKMEQKMQREEDLRTGLRLYKDGKYEEALEKFESVLGSKPESNEASIASYNVACCYSKLDRIQAGLSALEDALKAGYEDYKRIRTDPDLENLRKSEQFDPLMKNYDESFINENALNAIKSLFRFGKN >KQL22720 pep chromosome:Setaria_italica_v2.0:II:4758244:4761621:1 gene:SETIT_030704mg transcript:KQL22720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQPPPPPPPGGAEDDFLEHFFAFPSAAPAGAGGGHGHAGAAAGLHGGDHPFPLALSLDAAAEASAGAAKQDRDPVQLAGLFPPVFGGGGVQQPHLRGPPPPQMFHAQPKPGEGAMAPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGSTQHIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQNPQDGHSLKPEPNTSS >KQL24940 pep chromosome:Setaria_italica_v2.0:II:34756034:34756260:1 gene:SETIT_033468mg transcript:KQL24940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGENLHTNNLFTECFSRAISIFLPIKQIRDKRQYRSSKKKAICICYDINKKNEI >KQL23063 pep chromosome:Setaria_italica_v2.0:II:8154130:8156012:1 gene:SETIT_032629mg transcript:KQL23063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLPAPPPPEPSGGIVEPVPVVAPHFCAPYVVQLSVKEKYGLRQGDFTITDTNGAVVIRVEGAFISIHNRRLLLDANGNPLLCMREKKSSIIQPFETEMYIYLASNTSHEVCDFKMKGSFKERACSFYLGNTNTLIAQMHRQHSAMSTLLGTDHYGLTVFPNVDYVFISALVVILQELHTDKND >KQL24531 pep chromosome:Setaria_italica_v2.0:II:31047940:31048473:-1 gene:SETIT_033464mg transcript:KQL24531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRCSTCRWSASTQSRCWSAQRRRAASNAARARR >KQL24958 pep chromosome:Setaria_italica_v2.0:II:34972870:34976445:1 gene:SETIT_028757mg transcript:KQL24958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWSRAAAPSPALLVPLAHALLPLLLLLVPPCHCVNEQGQALLRWKDTLRPASGALASWRAADATPCRWFGVSCDARGGVAGLSITSVDLQGPLPANLQPLAATLRTLVLSGTNLTGAIPAEIGGYGELTTLDLSKNQLTGAIPPELCRLAKLESLALNTNSLRGAIPDAIGNLTSLMQFTLYDNELSGPIPASIGNLKKLQVLRAGGNQGMKGPLPPEIGGCTDLTMLGLAETGVSGSLPDTIGQLKKIQTIAIYTTLLSGRIPESIGNCTELTSLYLYQNSLSGPIPPQIGQLKKLQTLLLWQNQLVGAIPPELGQCKELTLIDLSLNSLTGSIPATLGGLPNLQQLQLSTNQLTGVIPPELSNCTSLTDIEVDNNALSGEIRLDFPSLRNLTLFYAWKNRLTGGLPASLAEAPSLQAIDLSYNNLTGPIPKDLFGLQNLTKLLLLSNELTGFIPPEIGNCTSLYRLRLNGNRLSGTIPAEIGSLKNLNFLDMSENHLVGPVPAAISGCASLEFLDLHSNALSGALPDTLPRSLQLIDVSDNQLAGPLSSSIGSMPELTKLYLGKNRLTGGIPPELGSCEKLQLLDLGGNAFSGGIPAELGALPSLEISLNLSSNRLSGEIPSQFAGLDKLGSLDLSHNELSGSLEPLAALQNLVTLNISYNAFSGELPNTPFFQKLPLSDLAGNRHLLVGDGSDGYSRRGAISSLKVAMSVLAAVSALLLVAATYMLARTHRRGGGRIIHGEGTWEVTLYQKLDITMDDVLRGLTSANVIGTGSSGVVYKVDTPSGYTLAVKKMWSSPDDEAASAAFRSEIAALGSIRHRNIVRLLGWAANGGTRLLFYGYLPNGSLSGLLHGGLAAKGAPPAGEWGARFDVALGVAHAVAYLHHDCVPAILHGDVKSMNVLFGPAYEPYLADFGLARVLSAASSKLDTGKQTRIAGSYGYMAPEYASMQRISEKSDVYSFGVVLLEILTGRHPLDPTLPGGAHLVQWVREHVQARRDAAELLDARLRAGASEADAHEMRQALSVAALCVSRRADDRPAMKDVVALLKEIRRPAAGGGDDDAKPQQQQQPPAVPVSPARGAHSRGQSSSCSFAVSEYSA >KQL25170 pep chromosome:Setaria_italica_v2.0:II:36413525:36420952:1 gene:SETIT_028895mg transcript:KQL25170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKVLMVAEKPSIALSIASALSGGRMSTRKGSTDIHEFDGMFQGSYANFKVTSVIGHVLSVDFPPAYQNWDGTDPMDLFEAPVLRSECNPKAHIRRHLAQEVRGCTYLILWLDCDREGENICYEVIECTGIPENEAGRRIFRARFSSVTEKDILSAMDNLVLPNKDEALSVDARQEIDLKVGVAFTRFQTRYFQGKYGNLDSRVISYGPCQTPTLGFCVQRYQQITTFKPEKFWSLKTYIIKNGDEIQLEWDRKKLFDFDVTVMFQKMVASDGTLKIADVSVKEECKTRPSGLNTVNMLKVASSALGIGPQTAMHLAERLYTQGFISYPRTESTAYPASFDFRGALAVLVHNPLWSNDVRTLLDAGFVKPRQGHDAGDHPPITPMRSATEEALGTDAWRLYQYISQHFIGTVSPDCRYTRTAIEFTSGGETFHCVGYRVTHKGFTSIMPWLSVSENSLPAFRKGDSVSIHKVDIYEGSTTPPDYLSESELISLMEKNGIGTDASIPVHINNICERNYVQVNSGRRLVPTPLGTTLIRGYQCIDADLCLPDIRSFIEQQITLIAKGKADHRQVIQHVIQQFMKKYSYFVKKIESMDALFEAQFSPLADSGRLLSKCGKCGRYMKYISTQPMRLYCITCEDVYYLPQNGSIKLYKEIICPLDGFELLLFSMVGPDAKSFPLCPFCYTNPPFEGIDKLFGALKIDDTGKVAKGAGMPCCLCPHPTCKQSMITQGVCACPECSGTLILDPVSAPKWRLYCNMCNCIVLLPHAAHRISTTDKKCLTCESTIIEVDFNKKTTPLQDGATLHEGCILCDDLLHSLIEMKHGKSFFMRRGRGRGRGRGRGRGSSRGRGRRGNSRYDDPKMSFRDF >KQL27186 pep chromosome:Setaria_italica_v2.0:II:48362518:48367232:-1 gene:SETIT_033335mg transcript:KQL27186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGSQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRIANVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNGVIGKLKLLCTVHTEREFREKLENLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFQSPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRKKKRFTGEMDVSEGRLSADYDTGIAHAAVENQKALNLDRAVIGRVIRTIGMAAPGYPLLEAAYNLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTYGFGSECPWPWHRHDSLPTFYHVWKHVWPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSDIVFSPTCYRDRELWRCTTPMILYFVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDRRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSEDDADIADAYDTVTRHGTQPERAPLHDYM >KQL24466 pep chromosome:Setaria_italica_v2.0:II:30396292:30398051:1 gene:SETIT_031173mg transcript:KQL24466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPPRAHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHILEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAVFSLFLLRAAGFLLPFYIMAWAISILQRRRQRQEAAALAATEVAFILQSGQGRGVHFTIAPDSPATPQHEPPYP >KQL24465 pep chromosome:Setaria_italica_v2.0:II:30396292:30398051:1 gene:SETIT_031173mg transcript:KQL24465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYAHRACVQRWCNEKGDVTCEICHEPYEHGYTAPPRAHPDETTIDISGGWTITGTAFDLRDPRILAVAQNHILEAEYDDYSATNASTAAFCRSAALVLMALLLLRHALTLTDEDDDDTSAVFSRRRQRQEAAALAATEVAFILQSGQGRGVHFTIAPDSPATPQHEPPYP >KQL25329 pep chromosome:Setaria_italica_v2.0:II:37410962:37411087:1 gene:SETIT_032525mg transcript:KQL25329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAVLVFKKRADGERAVDEVNGYEVAGHKLRVDWVYPSCV >KQL25676 pep chromosome:Setaria_italica_v2.0:II:39780768:39782164:1 gene:SETIT_031447mg transcript:KQL25676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPPASERVSAHRRESLAEPPSPSQSLARPTKETLTKPLTRRAPGGQSREQISGLHPAASDPISSEATMGDGNCCRFLEILFAIILPPLGVFLRFGCCSMEFCICLLLTILGYVPGMIYAIYVLVALDSDRHEREYYTLA >KQL25750 pep chromosome:Setaria_italica_v2.0:II:40215069:40216330:1 gene:SETIT_033485mg transcript:KQL25750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAAAPMRASICAIPSPIRLEPSPMRASISALPSPARFEPSPARTSMAAALPTQTRAKLDFPAAEASPPARAPAGKENLLPAAAPSTPPARAPGAAEKENLLPAGADAHDELVALNLAAVARAAGTPAAGPLFVRGRLYDLYSARRNERLKRKHGFPYSYEDSASAALDPEAVAEDPCVAVELSKRRVAKKAYTATGAESVRRSMPAADFAAGRAGGLGPRSSLRSSKEMKKASAASGAVSMAGKERRIIPRSSARRI >KQL26184 pep chromosome:Setaria_italica_v2.0:II:42851164:42851680:1 gene:SETIT_031863mg transcript:KQL26184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRHRVGERSASRIVTRGKGQSNSRALVEPAKRAPL >KQL24270 pep chromosome:Setaria_italica_v2.0:II:28346034:28346498:1 gene:SETIT_032512mg transcript:KQL24270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTNTHGPRSHCKVKAVAASARDTICSKGSKKDYYKVLSLEHPAAVGAEEIKRAYRRLALCYHPDVCPPSRRAQSTELFVELRRAYETLSDPAQRVRYDAEMRATGEDGAAARPGGGVEFPRDVWEAQLCELRARSEQRQRARRGGVPIRRQPV >KQL25331 pep chromosome:Setaria_italica_v2.0:II:37414136:37414403:1 gene:SETIT_032181mg transcript:KQL25331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIMTPLSTAQNPNITLRTAPKSPTLKNSSNLSLGAKCDTRSAVTGHPELALRWEWGLP >KQL23654 pep chromosome:Setaria_italica_v2.0:II:15726664:15726846:-1 gene:SETIT_032012mg transcript:KQL23654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAKASVPARQKDAVALVCCSVLAVHQNSQARHVEVILLCLLLHVNQVILETPKVICCA >KQL25272 pep chromosome:Setaria_italica_v2.0:II:37034775:37034919:-1 gene:SETIT_033539mg transcript:KQL25272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIITNTKNTTPRVNLSRNTNKLKKFLYK >KQL26511 pep chromosome:Setaria_italica_v2.0:II:44836182:44837260:1 gene:SETIT_033254mg transcript:KQL26511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARARQEKVRKFEEFVDRRLKPDLANAINQRDKVFGQQKTFLDLKRNIENLEKNGVTSMRSMVNLGSEVYMQAEVPDTRHIFVDIGLGFHVEFTWQEALQFISVREARLA >KQL22506 pep chromosome:Setaria_italica_v2.0:II:3207226:3208834:-1 gene:SETIT_029494mg transcript:KQL22506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAVALAAIFAVFILRYMLAPSGRRKALNLPPGPRGWPVIGSLAALAGAVPPHRVLAALAARHGPLMHLRLGSYHTVVASSAGAAKLVLKTHDLAFADRPRTAAGEVVSYGYLGIVHTPYGAYWRMARKLCATKLFSARRVDSFERVRADEMRALARGLFERAGGGAVTVREHVAEATLRNILRMAVGEKWSGCYGSADGEAFRRTLDEAFAVTGAVSNVGEWIPWLGWLDLQGCIRRMKRLSEMYDRFFEQILDEHEERRRRTGAGEFVASDLVDVLLQLAEKDRSEPSEAKLTRDGVKAFIQDIIAGGTESSAVTIEWAMSELLRRPDAMAAAADELDRVVGRGRWVTERDLPDLPYIDAVVKETLRLHPVGPLLVPHYAREHTVVAGYDVPAGARVLVNVWAIARDPASWPDVPDAFRPERFLGGGVGAGLDVRGAHFELLPFGAGRRMCPAHGLAMKLVAAGVANLVHGFAWRLPEGVAPEDVSMEEHFGLSTKRKVPLVAVAEPRLPAHLYAASD >KQL23340 pep chromosome:Setaria_italica_v2.0:II:11005681:11006630:-1 gene:SETIT_033801mg transcript:KQL23340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSLDGLASLMLRGKKGDIGGKLLPLERTIELQSAAALH >KQL26732 pep chromosome:Setaria_italica_v2.0:II:46013249:46015387:1 gene:SETIT_032204mg transcript:KQL26732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVVVYDVSNRQSFLNTSKWIDEVRTERGGDVIVVLVGNKTDLVDKRQVSTEEGESKSKELNVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSAKQEDMVDVNLKPTSSHQLAAAGG >KQL25766 pep chromosome:Setaria_italica_v2.0:II:40386875:40388517:-1 gene:SETIT_031332mg transcript:KQL25766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLSLYGNSGCIGNLSKSQSLQDSKLKGSLRKRSRNSSIGNRRRWQPGLEAMENNVSISVSLEGNISSIPNSIINDSKMSTDNGLDTSFINHAAVAWAEMRRQWVGHQAEVPKKAPREPVISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >KQL25767 pep chromosome:Setaria_italica_v2.0:II:40386481:40390213:-1 gene:SETIT_031332mg transcript:KQL25767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLSLYGNSGCIGNLSKSQSLQDSKLKGSLRKRSRNSSIGNRRRWQPGLEAMENNVSISVSLEGNISSIPNSIINDSKMSTDNGLDTSFINHAAVAWAEMRRQWVGHQAEVPKKAPREPLVHNI >KQL25768 pep chromosome:Setaria_italica_v2.0:II:40386481:40390213:-1 gene:SETIT_031332mg transcript:KQL25768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLSLYGNSGCIGNLSKSQSLQDSKLKGSLRKRSRNSSIGNRRRWQPGLEAMENNVSISVSLEGNISSIPNSIINDSKMSTDNGLDTSFINHAAVAWAEMRRQWVGHQAEVPKKAPREPVISWCTTYDDLLSTSERFPQPIPLSEMVDFLVDIWHEEGLYD >KQL24352 pep chromosome:Setaria_italica_v2.0:II:29145251:29146102:-1 gene:SETIT_032420mg transcript:KQL24352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVTTNTEVLWNLKVLAVQCRCLVKCAATAADRCWKPCRRLLCQARLDGCRLLRGLLPGLAHRRCRWLRGGGCGGDGEGRRLQ >KQL25962 pep chromosome:Setaria_italica_v2.0:II:41581462:41587987:1 gene:SETIT_029008mg transcript:KQL25962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRARNPSSGSSSGRRRRRDEEEDDEEESLSDTTSDSDFVAGSEDGAEDEEEEEGFAPDEDAPPAPAPAVAPPAPPQLLMLMPMPNRARKGGGKRRRKGKKARDEDGGPPLPWKVWEAANDRWLDERPVAGGGAEAPNAGAAAAGAAVPTADPAPRSSGHTASGSVRVLIYQGAKRGTKNFDFNSYDFVVTTYSTIEADYRKHIMPPKIRCQYCDKLFYPSKLKVHLKYFCGPDAVRTEKQAKQQSKKWGSSKGKGKRRGQKKDGDEENEDFDELADEPVSQSRGQSPLHSVRWERIILDEAHFIKDRRCNTARAIFALESEYKWALSGTPLQNRVGELYSLIRFLQIFPYSNYFCKDCNCEILDTSMKKLCDCGHSSVRHFCWWNKYIARPIQFGSVSDEGKRAMILLKEKVLKGIVLRRTKKGRAADLALPPKIVTLRRDSFDKNEMEFYEALYTQSVTQFDSYVAAGTLMNNYAHIFDLLTRLRQAVDHPYLVAYSKTAEPREGLKNEGNESMESQCGICHNMAEDVVVTSCDHAFCKTCLIDYSATLGNVSCPSCSVPLTVDLTTKSSVEKVTPRVKGRKRSGILSRLASLADFKTSTKIDALREEVRNMIEHDGSAKGIVFSQFTSFLDLIQFSLEKSGIKCVQLNGAMNITEKGRAIDTFTNDPDCRIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVESQAQDRIHRIGQFKPIKSTRFVIKDTVEERILQLQEKKQLVFEGTVGDSPDAMSKLTEADLKFLFQI >KQL24846 pep chromosome:Setaria_italica_v2.0:II:33745327:33748697:1 gene:SETIT_029303mg transcript:KQL24846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDPRKAATPVKGSARAGTGGAMNRIQARRERKLALQQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLALLAEVAVLEEEVVRLEEQVVNFRQGIYKEAIIFSTAKNTHPSGGEVPVPVQLVPSNPVPNSVVSPTAARPGSDHPPTRPSPHNGVVNGKQAPRKPVPGSGSQDDRSGAGKENQSCSNTSSASRNSRQTPLQQKTPSKSRATPAVAPDRRRATPAQTTSAATPDRKRPADASASNRNKATSQDDSSVPNKLSEELLQCLLTIFSRMGSAGGGHGDEDQQAPSPSVSGSSESSGSGSEDAYPQDPYGILELGARDIGPYKRFHVVDAASFDRNAVAGDGDAFHARRLKALLRRLSSVDLAGLSHQQKLAFWINVYNSCMMNAFLEQGIPTTPQMLVAMMPKATINVGGRAHSAMSIEHFILRLPYSVKQVNPEGAKAGDDVTARGAFGLEWPEPLVTFALSCGSWSSPAVRVYTAARVEEELEAAKREYLQAAVGVTSPGKLAVPKLLHWYLLDFAKDVDSFMDWVCLQLPAELRQEAMRSVEDGRRGGAEPRRIQVLPYEFRFRYLLASS >KQL26111 pep chromosome:Setaria_italica_v2.0:II:42406111:42408774:-1 gene:SETIT_032462mg transcript:KQL26111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPCGGGCDGREDGAVEALLQWQKVSDFLIGASYMSIPLLLLHFATCADLAPLRWVLLQFGAFIVLCGLVHLAAVFTYARPDSRRLLLAFTAAKALAALAASAAAVSLPTFIPQLLRLKTREALLRDKARQLDRDVSLVRRRQETAARVVHAITQHVRGGGGSLPHDDALAVLRAAVLELSDALALRSCAVWMPAAASDGSGVLHLVHQLPEEDHRGTTSTSRQAICVSDPDVAAVTASKNAKVLRPGSALGTTSGHGAAAAIRMPMLRASNFVDASLSGSDEQEGGTAVSYAIIVLVLPAPPPTPKNHRNRRPRGGGGGGGRDWSRQELEIVEVVADHLAVALSHAAVLEEWQLTRYKLAQRQRALAQARHDAEVAARARDAAQVAMRDGVLRPMHSVVGLLSLMQAQQQDEALPCAERRLAVGAMARIGALSSTLIDDVMAAVLTPTTPRGGEPASAAGLTLARRPFDLRALVRDAAAVSGCLAHCRGLGFSHRAEMSSLPGECWVVGDERRVFHLLLHMLGVLLDRCECHCHGLCFCVETVTAGEQDPAMSDHRDWIIPNFSGCNMVCVRFRFGIARILRDSLLRSSSPRPHDMIRRKGTGTSSVCSETRLSTATCNKIVHMMNGKMWRESPSDFGAQQGGESMSLVLHFQLGYGVASPSTATSPSGGGLYRTGGGFGIPSPSSSTMPPQYHFDGLRVLLADGDDTSREVTRKLLERLGCQVLPVPSAAHCLSLLLGSDAAAGGGTDQPPFEFPYLQLQVVLLDLHTPAAAGAGAAGATEDGFEVACRIRELTSDSFNWLLILVALPLPPRASSIDVRDACQRTGVNGVIPKPITLPALGAQLYRVLHNPQ >KQL26263 pep chromosome:Setaria_italica_v2.0:II:43404996:43405469:-1 gene:SETIT_033747mg transcript:KQL26263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEGIFCWTGITHQSCCMKNTASIRYTAMLVLAPPLLVAARIASSLFMACLFYVLE >KQL26264 pep chromosome:Setaria_italica_v2.0:II:43405563:43408229:-1 gene:SETIT_030132mg transcript:KQL26264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTTSSLTLLPEGEDGGAGVVELDLRDSCLTFSWPCSRLRGALGEVGGKAGAPTPCSPDELAAIAKLLEGQEIPEAKIWLSSGLSAFLYLYTSIMGCKPGKAVVTSDLPIGAGLGSSAAFCVSMSGAILTAAGAVGIGGDREADEWELFRKDDLELVNQWAFQGEKIIHGKPSGIDNSVSTFGSMIKFKKGEMTNLKSRNPVKMLITDTRVGRNTKALVAGVSERASRHPDAMASVFHAVDSISEELSSIVELAAEDEIAITSKEEKLAELMEMNQGLLQCMGVSHSSIETVLRTTLKYSLVSKLTGAGGGGCVLTLIPTLSASIVLEKVATELESHGFCCFKVEVGGRGLQVCRG >KQL25283 pep chromosome:Setaria_italica_v2.0:II:37102270:37106027:1 gene:SETIT_031126mg transcript:KQL25283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPGGQVKTKGTIYLSNIRMVFVPNKPVGNFFAFDMPLLFVHGEKFNQPIFHCNNISGFVEPVVPENQNRALYSTHTFKILFKEGGCGTFVPLFLNLIASVRRYNQFEAQSAANMAPRVDPLQAAQTPVDEMMRRAYVDPNDPTKIFLQQPAPESQLRRRNYHGPADNA >KQL25282 pep chromosome:Setaria_italica_v2.0:II:37102270:37106027:1 gene:SETIT_031126mg transcript:KQL25282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPGGQVKTKGTIYLSNIRMVFVPNKPVGNFFAFDMPLLFVHGEKFNQPIFHCNNISGFVEPVVPENQNRALYSTHTFKILFKEGGCGTFVPLFLNLIASVRRYNQFEAQSAANMAPRVDPLQAAQTPVDEMMRRMLTRMILPRFSFSNLPQSPS >KQL25281 pep chromosome:Setaria_italica_v2.0:II:37102270:37106027:1 gene:SETIT_031126mg transcript:KQL25281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENPQLFGNGMPVPFYGEMFVLARDGVEFHVDKIPSAPGGQVKTKGTIYLSNIRMVFVPNKPVGNFFAFDMPLLFVHGEKFNQPIFHCNNISGFVEPVVPENQNRALYSTHTFKILFKEGGCGTFVPLFLNLIASVRRYNQFEAQSAANMAPRVDPLQAAQTPVDEMMRRAFSFSNLPQSPS >KQL26678 pep chromosome:Setaria_italica_v2.0:II:45718920:45719750:-1 gene:SETIT_032659mg transcript:KQL26678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTATTVAAATTMLAAVAAVFLTFVLCFYIFLCAKRYRGGGGPPPPAGRGVAAWLRFMFGGGGGAGVADGGADAWCYEGGLDDKSMAKLPRREVVKGEALDDCAVCITELKAGDTARVLPRCGHGFHVDCVDMWLRSHSTCPLCRCPAVDEPPLHPVLPAVPTPEADPESPNFPTNVLFFGSQDEQQSTAMATPPPPQEHVAAAAVDEAARPCGLRRLIGCGGASPPPQQPHLHHHHQHEDADGDIEMGLAAGESSASRPVKPPQPGS >KQL25269 pep chromosome:Setaria_italica_v2.0:II:37002046:37002396:1 gene:SETIT_033246mg transcript:KQL25269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AMATSKEPVGDHGGRDGNVGMFEVAMFEEGYHGYPDWTNSLFDDDNCYKDEEDGHDNENDNEELDVLGALDEPSVIEIIRSNREAQGLEFNMDDEIDEACDMFIRRCRSQMNLSLL >KQL22864 pep chromosome:Setaria_italica_v2.0:II:5946001:5946389:-1 gene:SETIT_033542mg transcript:KQL22864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCRMGVVLNEVPVRRCTGEAWTIVCRDTSLSAWARCCLV >KQL23961 pep chromosome:Setaria_italica_v2.0:II:23583442:23583800:-1 gene:SETIT_033578mg transcript:KQL23961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRNLVKCVQIRLVLFMALFVLPHIQIAVRLRMRIYPHMVSDGLPRGFYLLCTVISRL >KQL26442 pep chromosome:Setaria_italica_v2.0:II:44387740:44389619:1 gene:SETIT_032842mg transcript:KQL26442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRRNGPLLPLLAPARPPRVPTRARTPRRIARPPAWPPIPNPTAAAAPSQSQPPPRAMAVDRVVDAGEEAFEEVDPTGRFGRYADVLGLGSVKKVYRGFDQEEGIEVAWNRVRLRALADRDPGMVDRLHAEVRLLRSLHHDHIIGFHKVWLDRDAGVLNFITEVCTSGSLREYRQRHRHVSVKALKKWARQILEGLNHLHTHDPCIIHRDLNCSNVFINGNNGQVKIGDLGLAAIVDKTHVAHTILGTPEFMAPELYTETYTESVDIYSYGMCVLEMVTREVPYAECGSVVQIFHNVTRGVPPAALKRLKDPELRGFIERCIGQPRNRPSAAELLEDPFFNGICAGDDDALADAASVVAGTPVPRPRSYVDDLAGLRLD >KQL27308 pep chromosome:Setaria_italica_v2.0:II:49098833:49101210:1 gene:SETIT_029377mg transcript:KQL27308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAHIDLNNNASSDLAPPPKRGRGRPRKNPPAPARPRPPDPDAPRVGGFAPGDMVWGKKLNHAAWPGLVYSAGGNGTGHDGQLLVSYFGDKAFAWCDAAELRPYEPYFPVAELYDDGGDDFDAAVEASLDEFSLRVEAALATPARPFVPADFLASLHDLAADRMGFTNRVQAAIAKAHLRAFDAFRALPDPPHYTLELGLLPLTPIHPPPKSTTTTTRNEAPSSRRGRKRKEEAVKEDDSDEDWDPRKKGATDSESDLDIDRKRGSRGRGSGAPRGRPRGRPRKTDACRDTRLKDEEMEDKLEYPSAADMLLQLLSVAADPVNASYDSVPLIVSFFSKHKDNEAPSVYQDKELLDTFGCKKGRKKSAGSLGPATKAEADNDNELMAADGQRGRRKSAGSLYSARKAEDSYWCDIIISDFDDGDSDYEGRKRKRPSQNTNRSANKKMKQEETPQDRASADPPADAKSPASADPSADGPAALILHFSSPEAIPSVDDINSIFRIHGPIVEGETEITKKSKIAKVVFSKRADAERAFSSPGKYSAFGPSLVTYEIQCMPSAPQVS >KQL25232 pep chromosome:Setaria_italica_v2.0:II:36793075:36793461:-1 gene:SETIT_032625mg transcript:KQL25232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGRRPGLITKTLDRCRSARRSKPQPAPAVGCFTVCVGAGRQRFVVRTECVNHRLFRALLEEAEEAFGYAAAGPLALPCDADAFVRVLEQIEEEAAAGEVVPRCGLARGHSAYRLLAAGRPLLVGRS >KQL26776 pep chromosome:Setaria_italica_v2.0:II:46275674:46280673:1 gene:SETIT_030240mg transcript:KQL26776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVAAYEFTTLTCIPGVIMYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNVILIVLDAIKPITHKRLIEKELEGFGIRLNKTPPNLTFRKKDKGGINFTSTVTNTHLDLETVKAICSEYRIHNADVSLRYDATADDLIDVIEGSRIYTPCIYVVNKIDQITLEELEILDKLPHYCPISAHLEWNLDGLLEKVWEYLDLVRIYTKPKGLNPDYEDPVIVSSKKKTVEDFCNRIHKDMVKQFKYALVWGSSVKHKPQRVGKEHELEDEDVVQIIKKI >KQL24635 pep chromosome:Setaria_italica_v2.0:II:31873945:31874589:-1 gene:SETIT_033105mg transcript:KQL24635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLDMVPADAIALRLYSLPAAVAAAASLYYAWLVAALAAAVGLWRIRAVSAGVRRGSAIVDDKSKAQSPSPSPAIEEPRLAAPAEPASPSGEPSTPSKVRFTVYYGVSGDADDGVVDGVRRCADDDDRVDGEVDAVLRRTASAPERRRAKALAAAAPWEEREMAVRRRGDLGWYRHLDMAALDGSVVKLWDGELTASPRARRRRAGLELQLSF >KQL22648 pep chromosome:Setaria_italica_v2.0:II:4179125:4180206:1 gene:SETIT_032828mg transcript:KQL22648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPQRRSPVPPDLMDEILGEILLRIPPEEPAHLIRFALVCKPWLRVLSDPAFRRGYRERHRRPPLLGFVHNLYENGPIPRFVPTTASRCSSPVFNCQNWWALDSRHGRVLVNRFEPSDLVVWDPVSGDQQHLPLPPYSHAYDTGAQRPILVVFVGTDDEEAVTWASMYSSETRGWSAIATADFSASTMVDLHSYIETKPSILIGNALYFSVELGKAILKYDLAGQGLSVIDAPEVSKQMRILMAVEDGGLGFAAIEAGVFTIEIKSEKIKKVGKRGGCYAVLPYMSFCAP >KQL22694 pep chromosome:Setaria_italica_v2.0:II:4660031:4660244:1 gene:SETIT_033804mg transcript:KQL22694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSQISNFKKHECQRLLHRLSLDGRCFLKHVFQWASLSSRFRKAN >KQL23446 pep chromosome:Setaria_italica_v2.0:II:12909720:12913516:-1 gene:SETIT_032650mg transcript:KQL23446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKGKRGVFSKKKKRTRSDGESSISDIWSQLHEDVASNLRRSVVSLVLNARGFRFPFSGIAIECQNNVTKFVTTGKLVSVLQTCDYEEEIEVYYEGNVATGYLDEYDSDCQLAVVKVLSPLNVYCIHLNPGMESVPCKQLIAVGRVYDEFIATSGEISRGSKDREFLIFSRSPENSLGAAFFDIDGNFVGMNHCYFLPRRIFLERSTSRGVFRYVGMNGWYETKRGELYFHPKAYDVVDKEQFQDLNSLGYPIPSRTMVNRGMILVNTCEDPFGDLYPKGVWGVFRKRVSSEISRNVVALASFKGETRFFACTGVFIDYDDEYPKILTSASLIRDRNDPNKIVEDLRIDVLLPSKKCRVIGTLKHYSLHYNVAVVSVDNHRALCPMNLEKRPVNLHDSLVNNSTVVAVGRIFQSGTLMAASGKLTLGSSSLDCKVLCYSTCKISKVGIGGPLVDVDGNFIGMNFHGMCYNCEKIGTPYMDYEDLCRILECLKTKKTTEFSFGDTVRGDEEPINEWPVPDPYWFDPSDVEEDDMNDKQEVVADGCPKCVLK >KQL24488 pep chromosome:Setaria_italica_v2.0:II:30653979:30654989:-1 gene:SETIT_032085mg transcript:KQL24488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLCARPTDCLAGRAFFGAHRGVRAAPAPVPAPRRPMQQKQQQESRIPGTASPPVAARERANGRQRRRGSPPAAGAGSPPPHKRVMENVVILMRGEPIPHMVAAALPAAGPIVAQAEKAEPADLRGALPGTDERVAAVANCGVQDKVDESLAAAEQSSSEAEKVDAVTAAGQRDAKAEEAGTMAAAVERGEQTKEEDEPVAFAGQSGAPAAIAEPAAAADKRVPDTEAKNAEAAEHHAAGAPAKAEPVAAPAHQTVLPQAEEETEQAPVATTGAATKAKQALPAAYSGPSFAAAAPDPRSLPIPVLLLKTRGRAARTIRAPPDDGRAPVPTAAAA >KQL26763 pep chromosome:Setaria_italica_v2.0:II:46199305:46199733:1 gene:SETIT_031818mg transcript:KQL26763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHQSLRLLFHIHFSTSAHNFHSLQEMDKACRSVGYEPWHLRKRQGCRDNRTDGS >KQL25039 pep chromosome:Setaria_italica_v2.0:II:35547138:35547914:-1 gene:SETIT_031561mg transcript:KQL25039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAISSAAGGMLRARLRGAARVRGGGEGAGRWTTPGHEEQPKGYLFNRPPPPPGESRMWEDWELPCYVTSFLTVVILGVGLNAKPDLTIETWAHQKALERLQQQELAAAEAHAE >KQL24170 pep chromosome:Setaria_italica_v2.0:II:26940104:26942155:1 gene:SETIT_033298mg transcript:KQL24170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRCAHLLLTITILLQLCHLARAMPLPSPSPSSNAASPSAPPAPPVPALPRGLPRIIPAWSMPPVNPFTAKAAFIRYWNRKVRSNRPHPAFFFAKLSPLSAPDAAAFSTLASAGKLASRIRDFCAAASLLCPSTPAASWSASSSSVEDGAAGTASSGGGAGSAAPFKNYENGNFSSYGNSGGGGADQFAAYSSGKSGPVDSFKRYGKGSLGRNDSFTNYEAGGNVGTSSFSSYTTGATGGAGEFAGYAGQTNTVAATFATYDSGGNGRAHEFTAYAQDANSGVEGFTSYGKAANAAAESFKTYGNNSNTVASGFINYGEKANGLNDTFASYGLDGNAPENTFRSYASGSNAAVDDFKGYRDAANIGDDSFTSYASNANGAEAGFDSYGKSTNPGSVSFKGYGQGSNPNHRIGFTHYSGDNTTFKAYSNEGVEFKEYQNMSKMEVSKTAAGVEATGHRLPKWSPGPGKFFRERDLMTGNRMPMPDIADKLPHRAFLPRDIAAKIPFEEGAVSALFGAPPGTAMRQVVASTVAECARAPSRGETKRCATSAEDMVDFAVEMLGSSNIAVRSTESTAGSGRDVRLGKITGVAGGGVTRSVSCHQSLFPYLVYYCHSVPRVRLYEADILDVDSNRRINHGVAICHLDTSDWSPNHGAFVALGGKPGEIEVCHWIFEGDMTWTLVD >KQL25325 pep chromosome:Setaria_italica_v2.0:II:37370390:37376440:-1 gene:SETIT_029040mg transcript:KQL25325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVPGVLVKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGSDLFTNQGFYLKVSDSSHATYVSLPEEQHDLILSDKIQLGQFIHVDRLEAATPVPILRGVRPVPGRHACVGTPEDLVVTSSSNFHGSKKAQPTNGLKDASSLSLEKETSKLEKINASRKPTGAENKKPMLTKSNSSLSKQALNGIGGKKESVKSKVKPAITRSTPSSPTSVYSLPASFDRFSNDLKQRNKVKGAEKASSSRLSLLEKAASVLKVTTAGRKSSASNPISSSVLSIGSGPKALRRSWEGNVDIKGKGNSESKTTKPDRKSDNKIPVTPRRKTPVDEKVSRKDDSVIQKAARKSTASAPSDDADKAVKKHTPTVKRTSGVLGNSNATNLVKIPPNSKKLTDTSTSWTSLPPSLAKLGKELLKYRESAQMAAVEAMQEASAAESLLRCLSSYAEVSSTAEEQNPQPAVEQFLTLHAALSRATVITDTLTKPTASVASPDRSAASDVGTVASTTDEEAAAVAAERRRRATSWVSAALATDLSAFGLYNLKPVPATVSSPLAVVVVDESSKPAAAAATVTKSSPSPKSRMSPAKGKARTGPGATAAAAALTTTPAPPEWERGGGADERGELARRLGEESRGWFLGFVERFLDADVAAAAPWDRERAARMLPQLKRVNDWLGEIGKRSEAPPPTLPDADGEAAAASTAPVAANGGLGVPEETIERLRKKIYEYLLTNVDSAAAMLGGGGGPTAPTNGKKG >KQL27218 pep chromosome:Setaria_italica_v2.0:II:48626783:48627382:1 gene:SETIT_032749mg transcript:KQL27218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFYGGRERYGGGYGGIATPGYAPPVPYGMSQVNIEGKGCGRPLPPQPTVKVYCRADPNYAMTVVLAPANPKDEYQHWIKDMRWSTSVKDEEGYPAFALVNKATGEAIKHSLGQSHPVRLVPYNPDFLDESVLWTESRDVGNGFRCVRMVNNIYLNFDALHGDKWHGGVRDGTEIVLWKWCEGDNQRWKIQPYY >KQL22780 pep chromosome:Setaria_italica_v2.0:II:5235299:5236702:-1 gene:SETIT_029776mg transcript:KQL22780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHGSKLRAAAAAAAASGTRVPDDALVEMFELLPAKSLHRFKCVSKAWCGLVTDPLHRVRFAQTLAGFLCADVTDDDTAAAGTEIGGVCERCREDGGGDGAESSHRTCPHAAVSNRVITRRFINVSRMAAPLIDATFPFLPPAPPTGEGFRDVIVDARDGLVLLARVRRHESPDLHPPACYLVCNPATARWAAVPPSGWAPTTTSQPVARTYLLFDAASSPHAFHLLQFRLDEMDAVRAVHTYSSAEGAWTDRAGPWRDGGWRDWGRAVAPIQPGTGAAVANGMLHLVVDTDGTTGPNNLVAVDEEGNTRRTIPLPRREVAEKDWHSVFVARSQGRLHYVMCVRPPHGRLSEEHPLKLLVWVLEDHDAGEWVLKHTVSFPELFGRIACQFRVEYSVVAVHPDGNWVFFVRHWDRKLVAYDMDRREVIVVADLGAGGEVGDELPTPYVPLYSKCSALTNIQ >KQL23804 pep chromosome:Setaria_italica_v2.0:II:20263750:20264284:1 gene:SETIT_033574mg transcript:KQL23804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHANARVSFSVTMVINVGNGANTLFWTDRWLNNNSIIDLTPHLFAVV >KQL22638 pep chromosome:Setaria_italica_v2.0:II:4124299:4124850:1 gene:SETIT_031660mg transcript:KQL22638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFVSSYNYTSCTASSTGSILCLNENKDNMSKEMKMLTRIADSNRSRSSLFGVVLAVQQQPSPARQPLAVMNAGCSSSSAAAVQPSLRP >KQL25397 pep chromosome:Setaria_italica_v2.0:II:37871803:37872114:1 gene:SETIT_033185mg transcript:KQL25397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTAAAEPQPRAALSLLLLLLALLLVVLGGAEAQQQTCAGQLSGLAPCARYSVPPAPGQAPPAPGPECCSALGAVSRDCACETFGIINSLPAKCGLPPVSCR >KQL25843 pep chromosome:Setaria_italica_v2.0:II:40849644:40852027:-1 gene:SETIT_029927mg transcript:KQL25843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATSISSPQLRHCSSSVPPPRRRPWRRLRPAAAVAASATATPPSEGNIVIVGAGVAGLATALALRRLGVGAAVLEQGEALRAGGTSLTLFKNGWRVLDAVGVADELRAKYLRIQGQEVRAVERRVLLETLASKLPPGTISFSSKLKSIAEQGPDGTLLELEDGRQILSKIVIGCDGVNSPIARWMGFSEPRYVGHMAFRGLAEYADGQPFEPKVNYIYGRGVRAGFVPVSPTKVYWFICFNRQDPGPKITDPVALKSEALELVRGWPSDLLAVMRSTPEGAVVRTPLVDRWLWPGLAPGASSRGGRVVLAGDAWHPMTPNLGQGACCALEDAVVLARRLAPAAAGGGAGAGVVEAAMRAYETERWARVFPLTARAGLVGALVQWENPAVCAARDGVVIPRLVRLGPFLEHTNFECDLIEPAPQSP >KQL26381 pep chromosome:Setaria_italica_v2.0:II:44035488:44043690:-1 gene:SETIT_028746mg transcript:KQL26381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEDQAAAAALLGGDPAAFDGLLSTLMSSSNTDRSAAEAAFHRLRASHPEPLALRLASSLASPATAADLRAMAGVLLRKVLSPTPSSDASANNASPPAPLWPQLSLAGQSALKAHLLSALQSDPPKPIAKKVCDAISELAASLLPENAWAELLPFLFRAASGPEAPNLQESALLIFARLADYIAESLLDHLMTIHNLLAAALAHPTSPDVRIAALGAAVNLVQCLPTNADRDKMQDLLPAMMRALTDCLNSGQEASAQEALELLVELAGAEPRFLRRQIADVVGAMLQVAEAAQLEDGTRHLAVEFVITLAEARERAPGMMRRLPQFVGRLFAVLMQMLLDVEDDPAWHSAETEDEDAGEGNNYGVAQECLDRLAIAIGGNAIVPIASELLPQYLSAPEWQKHHAALITLAQIAEGCAKVMLKNLEQVVSMILNGFQHPHPRVRWAAINAIGQLSTDLGPDLQVHYHQKVLPALANAMDDFQNPRVQAHAASAILNFSENCTPEILTPYLDGIVNKLLVLLQNGKQMVQEGALTALASVADSSQEHFKKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKDKFRDDARQVMEVLMALQGTPMETDDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSAESDDEIESDDDSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRRAAVAAMPELLRSAKLAVEKGQAQGRDESYVKQLSDYVIPALVEALHKEPETEMCSSMLDSLNECMQLSGRLLDETQVRAISDEIKNVIIASATRKRERTERTKAEDFDADEGELLKEENEQEEEVFDQVSECLGTLIKTFKASFLPFFDELSVYITPMLGKDKTPEERRIAICIFDDVAEQCRESALRYYDTYLPFLLEAANDENSDVRQAAVYGVGVCAEFGGHVFRPLVGEALSKLNNVIRHPEARLPDNIMAYDNAVSALGKICQFHRDGIDAAQVIPAWLSCLPIKDDKIEAKVVHEQLCSMVERSDGEILGPQNQYLLKIISIFAEVLCPGTELATDETKNKMVNILRRFQQTLPPDFLASTFSNLQPQQQLLLQSILST >KQL22853 pep chromosome:Setaria_italica_v2.0:II:5842062:5844065:1 gene:SETIT_029580mg transcript:KQL22853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAEPKKVCVVGAGMSGLAAARELRREGLAVTVLEQCGDIGGQWLYDPRTDADDPLGAAVPVNVHSSMYASVRLLSTRETMGFSDFQFVPRPGAAGRDARRFPGRREVYCYLKDFCAAFGLADAVRLNTRVVRVAMAAPAPREASGGDVKWLVRSVHVQPDGNEDGVAAEEVFDAVVVANGHYSQPRLPKIQGMGEWSRRQLHSHSYRVPDPYRDETVVIVGCGDSGLDIALDLSGVAKEVNLSAKTVGDATTPAVSKILANHSDMHLHPQVHRLCEDGRVVFADGSSVVADTFIYSTGYLYSFPFLDTGGVVTVDDNRVGPLFEHTFPPALAPSLSFVGIPMKVFVPWFLEAQARWIARVLSGTAALPPEQDMLQAVQEDYRAREMAGFPARYSHDIGNFKTSEILEFVNRYTDLPNMEDWKMELLLTTLGNKKDNRETFQDRDDYSENVNQGFQRWLSLAGAQYEAAIAAGGAGDDDAGGDAAQVQQKPLPLHLKSEL >KQL22420 pep chromosome:Setaria_italica_v2.0:II:2465047:2466170:1 gene:SETIT_032709mg transcript:KQL22420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVMAYLALKPSRSPFLDRSRFPDVKSSARASALKVMAKRVKKIQLLSLAGKGVYQFASKYGANVDGYSPIYNPEEWSPSGDVYVGGKTGLLLWAITLAGILLGGALLVYNTSALAS >KQL25681 pep chromosome:Setaria_italica_v2.0:II:39798304:39798683:1 gene:SETIT_031836mg transcript:KQL25681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLPFLRFCYLQRDAGGIAACAVLKRALAARMRRYLWFLLKLTISVISQYMS >KQL26027 pep chromosome:Setaria_italica_v2.0:II:41912678:41915065:1 gene:SETIT_031308mg transcript:KQL26027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGGRLKALSRASPTHLQSTSRPPTGGDSRLQSTRGEGGGSAGMEDFYVTIPYGLLVLSGGIAGYLKRGSTASLAAGAGFGGAILLAGALSVWAFARGGGGAGAVFATVLQIVCAVVLTVVMGIRYFKTRKIMPAGIIAAISAIVLIFYVYKISTGGNKDYIPVSAE >KQL26189 pep chromosome:Setaria_italica_v2.0:II:42887780:42890657:-1 gene:SETIT_028885mg transcript:KQL26189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVTRRINAGLHVEAATGNGVADGENRRNSAAAYSPTAKRISDAKDNDAWVAVQEGDMPAGDSSRPLLFRTMKVKGSILHPYRFVILLRLIAVIAFFVWRIRHRNHDGVWLWAMSMVGDVWFGFSWALNQIPKLNPIKRVPDIAAIRDQYESSTSGESKLPGIDVFVTTVDPVDEPILYTVNSILSILATDYPVEKYACYLSDDGGTLVHYEAMFEVANFAKLWVPFCRKHSVEPRAPENYFGVKRQPYMGSMQEEFMSDHRRVRREYEEFKVRIDSLFNTIYQRSEAYNRKNTKEDGVKATWMADGTQWPGTWIDQAENHRKGHHAGIVKVVLNHPSHKPQLGSPTSIDNPFDFSNVDIRLPMLVYLSREKRPGYNHQKKAGAMNVMLRVSALLSNAPFLINFDCDHYTNNSQSFRAAMCFMLDPRDGQNTAFVQFPQRFDDVDPTDRYANHNRVFFDGTMLSLNGLQGPSYLGTGTMFRRAALYGMEPPRWRADTIKVISKAKEFGESALFINSMLDGANQERSITPIFLEESVNDELTSLMTCAYEDGTPWGRDVGWVYNIATEDVVTGFRMHRQGWRSIYCSIEPAAFRGTAPINLTERLLQILRWSGGSLEMFFSHSNAFLAGPRMHPLQRIAYLNMSTYPIVTIFILAYNLFPVMWLISEQYYIQRPFGSYILYLVIIIAMIHLIGMFELKWASITLLDWCRNEQFYMIGATGVYPTAVLYMVLKLITGKGIHFRLTSKQTEACSNDKFADLYVVRWVPLLIPTIAVFVVNVAAVGVAIGKAATWGLFTEQAQHAVLGMVFNVWILVLLYPFALGIMGQWGKKPAILFVLMVMSIGTVAIMYVTFRAIYPSDWTEIAASLGKAELVTGSSGKN >KQL24778 pep chromosome:Setaria_italica_v2.0:II:33108778:33109915:1 gene:SETIT_030966mg transcript:KQL24778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLMNYSPEAQLELMNTMLQLEQLTALDGCQSLMAPVSPPISPVQTHATHCFSPPPHMSTTTAATCYADQYTPLAAYAGSTGLEHRQVDYVLSPGGAADATAGAVAQAGSSSPTSSADAMREVIFHIAALQPVEIDPEAVRPPKRRNVRISKDPQSVAARLRRERISERIRTLQRLVPGGTKMDTASMLDEAIHYVKFLKSQVQSLERAAAATHRAAALGAAYPALHAPWQYALPHGDM >KQL24199 pep chromosome:Setaria_italica_v2.0:II:27397562:27398149:1 gene:SETIT_033299mg transcript:KQL24199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFRKPKFPGCFSLFVPSGGGAGSLYLMVRCLREVKTFDFEVLFYGNGRKTSHCKLLPRPPFVLEPIHRDEYPEIRSYAVVGGGSHICVSVDGRGTYTLDTVSHTWDKVGNWMLPFHGKVEYVPEFKLWFGLSSDGRHLAAADLSTMDAHSPPQVAAGHSWEEFQRPVEWAERGLDIDQVGVQLVNLGSGRFCIA >KQL23426 pep chromosome:Setaria_italica_v2.0:II:12339697:12346035:-1 gene:SETIT_028882mg transcript:KQL23426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPDARLDSVVFQLTPTRTRFDLVLIANGRKEKFASGLLKPFLAHLKAAQDQIAKGGYSITLEPSSGFDAPWFTRGTVERFVRFVSTPEVLERVTTLESEILQLEDAIAIQSNDNLGLRSVEDHGRKLTESNEGGRANYDPDAATAIVVYKPGSTPPVQNETTAQEENSKIQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLGCLIAFAERFGASRLMKACSHFVELWKQKHETGQWIEVEPEAMSTRSEFPPFNASGIVFMGDNMKQNMESGSVDGEANGEDAAKSDQKSGQQMGTHAAYPPWAMHPPSGGVVYPPYAMQGMPFYPGVNPYYHPYPPVDDPRYHYSGRKSSRKHSSDSKDPETLDVESDHSGSERGSSHGRKSHRKSKRSGKKKPSVVVIKNVNVTSKKHGSSESESQSSSDVSEDSDDSHTKSRERKHKSSSSKKKEGRKTTSDSGDEYSKDETSNGQDAEQGNWSAFQNFLLRAEEKTRSSDADMFAGEKEPPSRRKKNVNTADPMLLAERDSGNVHERNTVGFDSANGRTRAIRVMSNDELVMSGEGRGYIDGEMKEIEAGGGRYRRGTGDDFMINGQESHIDRSSLLDPLAEARYKNPDHQDKNRNGVADESFMIPLRSSSQDNFGAENRTTIDIDVELPASIHKTSDEKAGHQLFYEPDELVPERGFEDVSFGYDPAMDYESHMLMQTTVKVEDAKADDVLPVTEGDVKKAEKEKLRNAKDGSDKRRKDALLRRLSAPRTPLNDAQKRAQNLRAYKADLQKLKKEQEEEQIKRLERLKLERQKRIATRGNGKGPGSDSPKANGINGLSKSVPSITGLKKEKSGTTESLSDRLKRLSEPKSIGGVEHSSNPRSNGADHSRRRSMA >KQL23644 pep chromosome:Setaria_italica_v2.0:II:15687956:15690371:1 gene:SETIT_029975mg transcript:KQL23644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILDLNQAMNNNNTTAPPCHAHAAPASDQRLRQAEWKAGDDADNPNPRHQADAEEEEEEEELLLKGVRHLCERGGITRLPARYVLPPSDRPAPPDHHQRTSGLTIPVIDLARLRSPGAGRAAALVELDAACRDYGFFQVVGHGVGVGGGAMLDVARRFFELPFGERARHMSPDIRAPVRYGTSFNQLNDGVLCWRDFLKLVCDDLDGVVPSWPDAPADLREVVSAYARSCRRLFRELMEAALEAIGIGGPGAGEVLAGCDAGSQMLMVNCFPACPEPDLTLGMPPHSDYGFLTVLLQDQVNGLEVRHADSWVLVDPIPGSLVVNVGDHFEMYSNGRYKSVLHRVRVNSARSRISVASLHSLPPARVIGPAPELVDDDKNPRRYMDTDLATFLDYLSSAEGKHKSFLQTRRLLTS >KQL26363 pep chromosome:Setaria_italica_v2.0:II:43974215:43975756:-1 gene:SETIT_033366mg transcript:KQL26363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSWLVLAGALLLSFLALRRHARNRRLPPGPPAVPLFGNLLWLRNSTSDVEPLLLELFKRYGPVVTLRMGSRLAIFVSDRRLAHAALVGAGAVTIANRPKAATSTLLGVSDNIITSADYGPVWRLLRRNLVAETLHPSRVRLFAPARAWVRGVLLDKLRQGGADGGAPGDVMEAFRYTMFCLLVLMCFGERLDEAAVRAIEESERAWLLHIARQMNVFFFFPSITKHVFHGRLQTARALRRRQMELFVPLINARREYKRQLKEGQAPTSETTFRHSYVDTLLDITLPEEGHRPLTDDEIVTLCSEFLTAGTDTTSTGLQWIMAELVKNPAVQESLHAEIMGACGDGAAEEVSEEAVQHMPYLKAVILEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGATVNFMVAEMGRDGSEWERPMEFAPERFMEGGDGAGVDMTGTKGIRMMPFGVGRRICAGLSIAMLHLEYFVANMVREFEWKEAPGHEVEFEEKREFTTVMKKPLRPLLVPRRSS >KQL23857 pep chromosome:Setaria_italica_v2.0:II:21419952:21433611:1 gene:SETIT_028642mg transcript:KQL23857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFGQWPPQAQNTASLCFANVVVPSQINTSIEVHSRTSTFLSSEKEYAPSIVGTRNDSGPIEAIRHDAGLIIGTGDGAGPVEGIDLNKTPAQKSKRKKHRPKVLKEEKPSKTAKSTTPKPSKDEKPSGKRKYVRKNTPAGQPPPEQAADSNCRAELKSARRCLNYDGKNDQEDAHPGTPTQVTELSTDPKDYQPSISSINQRNVQSQSACHAGWTTSSVYTHANQMANAQLLPAHNMQKGVLIDLNNSSLNQIPNEHTNFADSPAQFFQYRVREMVEPNPLLELHDGIPHKNVPDINSSIILLQSIPTDFTENLLSSPPASLRQKQMANQLLTGYERPEYPMTQTQGFERLYTRNGVTADQMPGSYILASNRSPPANTEQNSVMENLNQLVTTDDHLRITGPYRYTGAAPRSHASQDSSHIHAMDSRREKTASNDAQTMLGTNFSHQNNGLASVNAWHSTIPEASYFPEIYKRMRLNNHDYRLNGAVDNCSSSSPYLCNSENANLVPAVNSNVCTLADAQRLIAHEKSRASQRMISVGSAQNSMAKSMEMVQQQSLLHGTAFRGSIEVPEKQFSLVTEECTQLPVQRHNVTARLGSHQLQSLGGNMVSGSDLPAKLHEHCISPQDETQNSVCIAPPDELGRSINVEHFRSPGTQINSSTGKSTPRTEIHHPEISAEIIRTPTNPTNPITSNDVLRTENNQETFAAKPSQKRKVGRPRKELKPGEKPKPRGRPRKDKVVHDVVISKGSHTDPLQNEGISSVLAPHAEGASCARTVTLERVIESVSEAPEPSIDSLDLIIQKIMLLDINKLDTTRVAEPHGALVPYKREICAIIPFEGNVKRKRSRAKVDLDPVTTLMWKLLMGPDMSDGAEAMDKDKEKWLDEERKIFRGRVDSFIARMHLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMALSAKFPAKPEVSEKPTISEDNGCCSSFFGDATKLQGEVLVEEASTTAGSLITAEEKVGSNSTELFGSSSGDGLDGVGIHSDSYWKLPARLHESRPVAAGAESFVEAENGSLEDVVSSQNSAISSQNSPDYLFHRNEHMFSSTPLKFTAEAFVHRNKPIGTSSSMTYTELLRMQEIKSKYSENIASWEYCEVPDLFTKKGPPLNELQDLRKKHHHLYTSDTYQQNGQVHFGGIASGSDLGRSSSYTALNTVDYSNGTQAETTFQYPSSDHGFPSTIKPTTVDSLGALLYGKNGSLSQDKSPLPSKPTEGADLSPLVDIYFHPSSSEHRNPNLQDEITIGTKPIGHQNFQSEFKEPTDKVEIQTVKVRDGYSSNLCQNKKANFEISEGVASYMADNSRDAKKVSSEVPIDGSKAKKSKVGTGKKRTYDWDILRKEVLCNIGKKERGHNAKDSIDWETIRQADVKEISETIRERGMNNMLAERIKEFLNRLVRDHGSIDLEWLHYVDPDKAKDYLLSIRGLGLKSVECVRLLTLHHMAFPVDTNVGRICVRLGWVPLQPLPESLQLHLLELYPMLENIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRAECKHFASAFASARLALPGPAEKSLVTSGTPTAAEGSNHAYTNFRTVSQNESCNQTNISSGPVSQLEWNSHTHGDVVEKCRPIIEEPASPELEPEAAEIKEDIEDAFIDDPEEIPTIKLNFTEFTQNLKNYMQANNIEIEDADMSKALVAITPEAASIPTPKLKNISRLRTEHQVYELPDSHPLLEGLDQREPDDPCPYLLSIWTPGETAQSTDAPQTFCNSQESGKLCESATCFSCNSIREAQAQKVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHDSSRNPIDIPRSSIWNLPRRTVYFGTSVPTIFKGLSTEDIQHCFWRGFVCVRGFDRTSRAPRPLYARLHFPASKITRNKKAAAFAASRDDE >KQL24312 pep chromosome:Setaria_italica_v2.0:II:28757347:28757877:-1 gene:SETIT_0303022mg transcript:KQL24312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISDFGLAKLMQQDQDLHTTTRVLGTFGYFDPEYALTGKLTLQSDVYAFGVVLLELLTGRRAIDLSQGPQEQNLIVGIHQVVGDRKRLRRVVDRDMAKGSYTVESVSMFAGLAARCVCFDSAARPSMPDCVKELQFIMYANMKI >KQL23416 pep chromosome:Setaria_italica_v2.0:II:12208365:12213064:1 gene:SETIT_029945mg transcript:KQL23416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSTNQLYSSGVPVTVPTSLPSIPASLEESFPRLPDSQNVLIERELRSTPVPPHQNTVAPIRGQFHTSTGSVGPLCSPPAVRFSSVSNPEQYSNPSPYNSQAPSTASSSTLNYGSQYGGFEPSLTDFPRDVGPTWCPDPVDSILGYSGDVPGGNNLTGSTSLGASDDLTKQTEWWTELMNDDWKDIVDNPASAETQQVGPPAQSSISVHQSAAQQTVSSQSGEPMAVVAPSPTGGSNTAKARMRWTPELHERFVDAVNQLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDIPSIDLKGSFDLTEALRLQLELQKRLHEQLEIQRSLQLRIEEQGKCLQMMLEQQCIPGAEKATDALTSAEGSKISSEVPESSTAKEVPETSQNGLTKQTESGDTR >KQL23415 pep chromosome:Setaria_italica_v2.0:II:12207244:12211912:1 gene:SETIT_029945mg transcript:KQL23415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSTNQLYSSGVPVTVPTSLPSIPASLEESFPRLPDSQNVLIERELRSTPVPPHQNTVAPIRGQFHTSTGSVGPLCSPPAVRFSSVSNPEQYSNPSPYNSQAPSTASSSTLNYGSQYGGFEPSLTDFPRDVGPTWCPDPVDSILGYSGDVPGGNNLTGSTSLGASDDLTKQTEWWTELMNDDWKDIVDNPASAETQQVGPPAQSSISVHQSAAQQTVSSQSGEPMAVVAPSPTGGSNTAKARMRWTPELHERFVDAVNQLGGSEKATPKGVLKLMKADNLTIYHVKSHLQKYRTARYRPELSEGSSEKKAASKEDIPSIDLKGSFDLTEALRLQLELQKRLHEQLEVLLYF >KQL25595 pep chromosome:Setaria_italica_v2.0:II:39250095:39251150:1 gene:SETIT_033410mg transcript:KQL25595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEGARRFTLQVEMQCRCIGCVKKVEKAMASIGSLRGIETSVGDVDTGVVTVVGKVDPTEICHWLKKKTKKSVKVVHPDPAIENHNQKMVVVLGSSSKTWHTTPSAPPLQDDMFWALAPPVSHHDHKSLQLIEERIRDLEKVRDELKIKNLENELIAAKSELKQSREVINSSKKALLDSAFNQLKAYKNLEALSLTLYD >KQL26224 pep chromosome:Setaria_italica_v2.0:II:43138480:43138801:1 gene:SETIT_031729mg transcript:KQL26224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLERVGAAAMLLVMGGKSWGGVMATWLHHTWRTPLLMEVVRRHWEAGTGVWHREESLKERRQRCADLVEEEDDV >KQL22231 pep chromosome:Setaria_italica_v2.0:II:748206:758588:-1 gene:SETIT_029022mg transcript:KQL22231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRPQSPTGLKSLHWAHTLKPTAHGPRRAPLFSPLPPLHQRLSPSPPPHLRCSPRLLQSKTPNANPLRRVPLARPRSSNLGGARAEATLCANLRRRRRHTHRRMGKRKPMPSAASRGAKSRPKRPRSGKQGAELREENPQLSEHDDETIDTESIAAEAIQHERKSNRSVQSIAQSDEDDTEDEHDSSSGDAPGDMINKGNDCSEETETSCSFDRHVSRIITNEEVKELIKHNSKFKWEMPAVDIPRSKWVGTGEKMQEACDDHLHDVKGKLSNHWKHTLSDHLNSRMGFFSLCNSYRDIMHCNKKPFYQKSNGVDSSIMDAYLMHALNHVHRTRDVVIRNDAKLRNDADRDISDDNTYLDQGFTRPKVLFLLPLKSFARRIVKRLIQLSPLQQKNAMGQFKKEFGESDDEMPEHSTKPADFDLLFAGDIDDHFLFGIKLTKKSIKLYSNFYSSDIIVASPLALKRKIDGGEHGNEKDFDFLSSIEIVIVDHADVISMQNWAHLEAVFEQLNHLPSKEHGTNVMRIRPWYLDQHAQYYRQTILLSSYLTPEINALFNGSCFNYEGKIKMVTEYAGVLPKIQLEVRQVYERFDASSIAGADDARFDYFCNKVYPKIQDLDEGGLLLFVSSYFEYIRISNFLKSKEASFCRIGEATSQQDISRSRLWFFEGKKKILLYSERSHFYHRYKIRGTKHLLIYSLPGRKEFYPELVNMLGESENPKCNVLFSRLDLLKLERIVGTSSARRLISSDKSMFVFC >KQL24221 pep chromosome:Setaria_italica_v2.0:II:27787923:27790139:1 gene:SETIT_033242mg transcript:KQL24221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYRAAPRAEWEELVVSPPEVHSCWAITAEEPLRPELLRRVPRCGVPPDRPRVDQQRGLRRDLVAAALHGRRGHGLAREEERQRRVEAEHLFDHRSRVGHPVQLRLHYSDLLAAAGDARDLVLQLGRHPRPPGRRLFKNHDLAFSSRPHNAAAHKQTYGSRNVSFAPYGDHWRQAKRVVVVHLLSARRVDAFAPVREAEAAALVSRARRAAEAGQAVELRELLYGGGLLPDAVARLVRRATGLEKKFDDMVEEWDRFLSEIVSEHKEKRPGEVGDFMDVLLRLMEEETEGGGFVLTDDGIKAVAKDMIVAATETAAVALEWAMAELAGNPRVMAKVQDEIARVVAGDAMHEQPTITEAELNRMVYLRAVVKQVFRLHPPLPLLLPRESMSPAAVKGGRYVIPAKTTLLVNAWAIGRDPAVWDAPEEFRPERFLAVGGVQAQQAVHLRGTDCQLLPFGAGRRVCPGINFALPVMELALASLLRHFDWELHGGARPADLDMVEAPGLSTPPRVPLVLVPKWKAFA >KQL27173 pep chromosome:Setaria_italica_v2.0:II:48228107:48229894:1 gene:SETIT_029903mg transcript:KQL27173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATGAVASAAPGEESPAGTVLLGRYELGGLLGRGASAKVYLARDLLTGESVAIKSFPNPRASGGAEEGRRPAAIEREAAILRRLRHRHVVRLHEILATRKKVHFVLDLAAGGELFSLVDASGRMTEDLARHYFRQLISAVRYCHARGVFHRDIKPENLLLDEAGALKVADFGLGAVADGGNLRHTLCGTPAYVAPEILSRKGYDPAKVDIWSCGVVLFVLAAGYLPFNDASLVNMYRKIYAGKFRCPSWFSPALRDLLRRVLHPNPDARIDADGIVAHPWFCDGASDEELARLMVGGHEEAWFKPEFKEDMAREMTAFDILSFSPGSDLSALFGAGPGKERVFVSEPAADVLSRVEAAGRKEGYRVRREGKRGTGPVYLEEESGGIVAKVSVFKLADAVCVVEVVKGDGAEAALFWTERLQPAVKPPVLS >KQL23199 pep chromosome:Setaria_italica_v2.0:II:9358763:9359725:1 gene:SETIT_032690mg transcript:KQL23199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERAAASADRIPTDALFDALLQLPVKGLCRIRAVCRPWRGLISDPVFIAAHGARHPGPLFLAKFQDDKMSIYVVDLSGGVVKRIAGAGSGPYHQLLCTRLDLACVATDWNRCSVVNPATGAVHVLPETSAPEHWNRVNQSNPYTLFALGRVAATGEHKVLRMFNRLGYRNGGQQVFEVFTVSSGGDGHARRWRGHQGPGLFIDECNGTVVNGVVYFLTYSDYDGSHHGIRPDYILSFDLGVEEWRRDLRGTISSNAGDDEVPIFNRRQLALAELNGYLVLAYHNQQPRTVDLWFLVDFGSGLWMKQYHIQTGSLILRPPH >KQL23545 pep chromosome:Setaria_italica_v2.0:II:14788608:14790047:-1 gene:SETIT_030661mg transcript:KQL23545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIPLSHSPSATSIRPSSTPPPPPAARSGRWRLRTADTAGVGAADHAAAAEALLRSGLRPESLPRHVAVVMDGNSRWARARGLSPADGHKAGGRNLERVVALSRVWGIRALTAFVCSHENLNRPKAEVEHMMGLSEWLIGDNVDKLSRQGIRLQVIGDASKMPGSLRRAAAQADEATRHNSRLHVMLAICYSGRWDMVQACRELARAARAGELSPDDIDERVLASRLATSAAGELACPDLVVRTSGELRLSNFLLWQAAYSEFFYTDKMWPDFGEAEYLEALRSYQSRDRRFGQTRV >KQL23062 pep chromosome:Setaria_italica_v2.0:II:8152470:8153408:1 gene:SETIT_032966mg transcript:KQL23062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSGNEPLLAAAPPVPAPVVVVSPQFCAKEVVPLTVTKKAKSLTGGDFAVTDPSGAVVLQFKGSVWSVRNRRVLVDAAGQPILSMHEKVLSMHNRWEVFRGDSSNASDLLFTVKRSTLLQLRTELEVFLAGNNTAQQACDFKLKGSYFDRDCAFYLGDSNTMIAQISRKYTASNVLLGKDTFNVTVFPDVDHVFVAVLVVVLDEVHSRDRNY >KQL22419 pep chromosome:Setaria_italica_v2.0:II:2452433:2457664:-1 gene:SETIT_028777mg transcript:KQL22419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGGFLLFLLLASPALGQLPSQDILALLAFKKGITHDPSGYITDSWNDESIDFNGCPASWNGIVCNGASVAGVVLDGHGISGNADISVFANLTMLVKLSMANNNLSGSLPSNVGSLKSLKFMDISNNQFSGPIPEDIGNLRSLQNLSLAGNNFSGPLPDSIDGLMSLQSLDVSGNSLSGPLPAGLKGLKSLVALNLSHNAFTKGIPAGLGLLVNLQSLDLSWNQLDGGVDWKFLIESAVAHVDFSGNLLTSTTPKELKFLADISETVLYLNLSNNKLTGSLIDGVELSTFGRLKVLDLSNNQLSGDLPGFNYVYDLEVLRLANNAFTGFVPSGLLKGDSLVLSELDLSANNLTGHINMITSTTLQTLNLSSNALFGDLPLLAGSCTVLDLSNNKFRGNLSVIAKWTSDLEYVDLSQNNLTGTIPDVSSQFLRLNYLNLSHNGLSDTIPEAVVQYPKLTVLDLSSNQLRGPIPADLLTSSMLQELYIQNNMLFGGLSFPGSSSKNLSLQVLDISGNHFNGSLPDDISSLSGLRVLDISTNNFTGPLPAAVSKLGALTDLDMSTNQFTGPLPEDLPDNLLSFNASYNDLSGVVPENLRKFPESSFHPGNSKLEYPASSSGSGNSPSGSGGGKSLSTGAKIGIVAASIVILVILILIAIVCHYKRISRQFPSSEKVSDKNLHRATKDSASTKGKDNKGGLVSADELVTPRKGSTSEAHSQEEKSAVGGFSPSKGSRYSWSPDSGEAYGQEGLARLDVRSPDRLAGELHFLDETITLTPEELSRAPAEVLGRSSHGTSYRATLENGVFLTVKWLREGVARPKKEFAKEAKKFANIRHPNVVGLRGYYWGPTPHEKLILSDYVAPGSLASFLYDRPSRRGPPLTWAQRLKIAVDVARGLNYLHFDRAMPHGNLKATNILLDGLDLNARVADYCLHRLMTQAGVVEQILDLGVLGYRAPELAASKKPSPSFKSDVYAFGVVLLELLTGRCAGDVVSGSEGGVDLTDWVRLRVAEGRGSDCFDPAMASDSENQQAVKGMKEALGIALRCIRPVSERPGIKSVYEDLSSI >KQL24759 pep chromosome:Setaria_italica_v2.0:II:32883769:32888421:-1 gene:SETIT_029686mg transcript:KQL24759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFASPAASNPFQTPAASNPFQTLQTPAAPNPFQTPAPATTQAPSSSPSPFQFSFQQPQQQQPQQQVAPAAQPQQPQPQQQQKLMLYTTDMKPAGYNTKWEELHAESQKALLQIEDKIREYKDESERLDQCSRLYDSSISNVNFELDASRIAQELGGTTTVMEREKASIQELMTVVNEMMWNTEFAMRSYLMLRPRFVRPGAGVANGGSSNPSAGAPPNQPVVDFYSGVPKRPSLFMQHTVNRFECYLAECCKWIGELEQLVQMENNKRSSDSLESLPKVMSNVHDYFIYVASKVENLHQYVESMKTEYLNEQRHMGNGNDPFLEANRREAAKQEAAAKRVHPTLHLPAPAQPTTQIAAPAASQPQQSFFPSGATSSSGFSAFSTPAAAPSSSSLFATPTTPAPSGGLFGASGSAQLTTPFGTASTPTLASTPAPGFGTSTSLGGTSLFSTPFGGGATASGSSFGGASKGRSKPRGRR >KQL23441 pep chromosome:Setaria_italica_v2.0:II:12703292:12703985:1 gene:SETIT_032018mg transcript:KQL23441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYIVETREWCDQLINMLLTEVKPNGDAEERRQHITNSLSNGNSSGTPSFEKETHLKLRCGMWTGQTAKETTI >KQL24793 pep chromosome:Setaria_italica_v2.0:II:33274293:33275157:1 gene:SETIT_032583mg transcript:KQL24793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHAGRPGRLYDLDASKYGTHAELKSLIAAFHAKGIQCVADIVINHRCADYKDSRGIYCVFEGGTPDSRLDWGPDKICRDDTQYSNGRGHRDTGADFGAAPDIDHLNPRVQQELSDWLNWLKTDLGFDGWRLDFAKGYSAAVAKVYVDNTAPTFVVAEIWSSLKYDGNGEPSSIQDKDRQELVNWVQAVGGPAPAFDFTTKDVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIASPQLHHRFTTISSIR >KQL25711 pep chromosome:Setaria_italica_v2.0:II:39972273:39975627:1 gene:SETIT_030539mg transcript:KQL25711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAAASFLSSLLGGAGGPAATVTSIFVYPIKSCRGISVPQAPITATGFRWDRQWMLVNSNGRGITQRVEPKLALIQVELPPEAFAEDWLPTPDDHMVIRAPGMEPLKIPLASECATIYDVSVWEWSGSAYDEGAEAAEWFSNFFGNPTRLVRFKEESETRLTDPDYARGYKTMFSDGYPFLITSQGSLDALNEILEEPIPINRFRPNILVEGCHPYAEDLWKTIKINKLTFRGVKLCGRCKVPTINQDTGIPSPTEPTETLQKYRSGEVLLPSHKNKRQVYFGQNAVCKESLSANSEGRIIKVGDPVYVMRSFRSSDEVPA >KQL27100 pep chromosome:Setaria_italica_v2.0:II:47815573:47817834:-1 gene:SETIT_030691mg transcript:KQL27100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPNPQPQVVSVIPPLRFSIFGSEWDESVLIWFGIWGGFGSDLSVRSQVEKEVVVERQQEARRAPKLRYLDFVHVAAAQAAVCLAGLYGLAKDHAGPLRPGVDAVESTVKGVVGPVYDRFHGVPLDVLAFVDRKVDDTVHEVEKHLPGALKAASAQAYAVARGIPEVARELAAEAQRSGVKGAARAALAKVEPVAKDVYGRVEPVARDLYVRYEPAAEHLAVSTWRTLNGLPVFPQVAQIAVPTAAYWAEKYNKVIAAAAEQGYTGAKYLPAIPTERIAKVFASSPEAEAQ >KQL27101 pep chromosome:Setaria_italica_v2.0:II:47815573:47817835:-1 gene:SETIT_030691mg transcript:KQL27101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPNPQPQVVEKEVVVERQQEARRAPKLRYLDFVHVAAAQAAVCLAGLYGLAKDHAGPLRPGVDAVESTVKGVVGPVYDRFHGVPLDVLAFVDRKVDDTVHEVEKHLPGALKAASAQAYAVARGIPEVARELAAEAQRSGVKGAARAALAKVEPVAKDVYGRVEPVARDLYVRYEPAAEHLAVSTWRTLNGLPVFPQVAQIAVPTAAYWAEKYNKVIAAAAEQGYTGAKYLPAIPTERIAKVFASSPEAEAQ >KQL26337 pep chromosome:Setaria_italica_v2.0:II:43828323:43829541:1 gene:SETIT_032163mg transcript:KQL26337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFAAVCGLLLLLNAAHVESRRHRDSDSGRRYKLFVFGDEFADAGNFPLADLTKTTRAWYYPYGSNDKEHGATPSGRFSNGLVLSDFFARILGRKESPPAESKRKQDGVDPSGMNFAVGGAGVVEGTSDAPRLGRQVDKFKRLVRLGIIDEDLTDSVALIAFSGRRDYERFNDMTSTEVKAKAQEVTDKIADAVDQLMDLGVEKVVVTSLPPLGCTPWLSRSEDGVYDAKCDSQKVASIHNSYLEEKVFQDEAVFNLDLKAAFSHYAGPSPRSKQFKYRLESCCESFDQSGFCGQVQDGEPQYSVGSKPDKFFYWDDINPTHAGWKAVVNEFEESIKNYLNI >KQL26107 pep chromosome:Setaria_italica_v2.0:II:42390074:42392551:1 gene:SETIT_031038mg transcript:KQL26107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKCSDPIFTIISVITVVTSRSTMNNPSLLSLLLVFLLAVSLPPAAADVALRLVSVSVGTTSSHVECLHGIVYAANSTFEANRRRVAGLLQAEAAARGPYYTKRAVGYWPFRAEASFFCRRRGVDGTGFCAACLAGALLELERECPYHKEASFYGRNCTLELGEYRVFGTAVVYEGNILKQAMASGLIFQAIGFAWLFFLLLQEWRSRKRGTMMHSTSLLSGD >KQL24041 pep chromosome:Setaria_italica_v2.0:II:25111553:25112261:-1 gene:SETIT_031350mg transcript:KQL24041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARGRRGPGGPGRYAGGQRGDERPYRHGARVDEVPCTTPPRRASGWGVAPPSRHLWVGGLAPGVTASDLSELFLRCGDVEGIARDPGRNFAFVSFRREGDAVAAVRGLQGARLAGAPVRIEFSKGVSVEHSLFIIICVCFLASKISSVRLTKFLRQAEH >KQL23148 pep chromosome:Setaria_italica_v2.0:II:8726018:8726512:1 gene:SETIT_032399mg transcript:KQL23148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAAFAHRELNGVKDNVLYKCLDTCSEDIEEAVAHLSGLTRELTDAKFLEVKSWLSSTLGGTSTCEDACKDAPVSDIKNACITKSFEFEKLLRVTLDLITEASGSMSAEVALPPTDAAAAPAPFGGYGSSAGAPAYGAPSPDAPAYGGATSGPSAGAPAPSSGA >KQL26676 pep chromosome:Setaria_italica_v2.0:II:45706819:45712494:-1 gene:SETIT_032667mg transcript:KQL26676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKNRSSGKTEALSRKRFTLAFLPPTHVSLTPSRTLTLRERRRRPHRCRRSPSAHRRRQPAMRRFAADRARRAVAASLRGAASSSRSAPLPLPLAPAQRHPAPPMGAAAMAAAMARTMSTAAAGTPAVSLDTINPKVLKCEYAVRGEIVTHAQNLQQELQKNPDSLPFDEILYCNIGNPQSLGQQPVTYFREVLSLCDHPALLDKSETHALYSSDAIERAWQILDKIPGRATGAYSHSQGIKGLRDEIAAGIAARDGFHASGDNIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGSLVPYFLDEETGWGLEVDELKKQLEEARSKGITVRALVVINPGNPTGQVLAEENQKKIVEFCKNEGLVLLADEVYQENIYVEDKQFHSFKKIARSLGYTDDDLPLASFQSVSKGYYGECGKRGGYMEITGFSPEVREQIYKVASVNLCSNVSGQILASLVMNPPKTGDESFESFMVERDGILSSLARRAKALEEAFNSLEGITCNKAEGAMYLFPRLHLPQKAIGAAQAAGTPPDAYYAKRLLEATGIVVVPGSGFGQ >KQL24131 pep chromosome:Setaria_italica_v2.0:II:26607471:26607759:-1 gene:SETIT_033530mg transcript:KQL24131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTDQMPLNQKKIMRNGSSCYGMACKNRLILHFSRGSACKYQ >KQL25718 pep chromosome:Setaria_italica_v2.0:II:39989933:39990375:-1 gene:SETIT_033786mg transcript:KQL25718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEDSANVDTGLHYAMTQKMHDFCLSLLKRRYLFRNVVMKI >KQL24223 pep chromosome:Setaria_italica_v2.0:II:27802288:27804519:-1 gene:SETIT_030885mg transcript:KQL24223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVADIKEQVLDDKFCQKLAEEFNRSAGRAGSKALQATQVQGWFLKKFPVSATKPTCVPTVSQEKTAASEVNVSVSEKKSAASEEKPFPLDTSISNNEDEVSAVFPLETRDKIPELEELEFEAKSAKDSAWYDIAIFLAHRRNRAGEVEVRVRFEGFGADEDEWVNVKKCIRQRSIPVEASQCKSIVEGDLVLCFREGNDEALHFDAHVLEVQRKQHDIRGCRCVFLVEYDHDRSQERVSLKRLSRRPKYF >KQL23265 pep chromosome:Setaria_italica_v2.0:II:10353777:10354266:-1 gene:SETIT_033766mg transcript:KQL23265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGASRTEICDQSHQGIENPYMHRRVTLHIDFVDQMLHCYLICF >KQL27016 pep chromosome:Setaria_italica_v2.0:II:47424941:47432552:-1 gene:SETIT_028700mg transcript:KQL27016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPFVFPSSLRDLERDTDGDDEPSLRPQNPVAVATLRAADLEEFVKGVSFDLSDKELFCIEEQEVFDSIYSVVRDFNCLPPGLKFNLVETLRSNLSVLLPNIDSLSRASMSSPSDATPITDRIASHRNALKIYSFFLLSIVLMEESAAESCTGAKVTAHGRKKNPVYTWNWEAQRGRILNLIANSLEADLSLLFGPGGTDERYLSFVSKCTFVLYENQNGLKDEDTRNGLCRIIGAIATKHQRISQISASVLHLIHKFDFTVAHLAETVASAEKKFGDGSLAISLIREIGRTDPKDYVRDSVGADNVGRFLVELADRLPKLMSTNIGVLVPHFGGDSYKIRNALVGVLGKLAAKAFKDVEGDNTARLRSKQAMLEILIERCRDVSAYTRSRVLQVWAELCEENSISIGLWNEVASVASGRLEDKSAIVRKSALQLLITMLQHNPFGPQLRTSTFEATLEKYKEKLEGMDPPNPDQDEVVDDSSPGEVVMGQPDSVSDSCVANSQDQNNPDPTIVEITNLEQIRALVASLEAGLRFSKCITSLMPTLVQLLASSSATDVENTILLLMRCRQFQIEGSEAALRKMLPLVFSQDKSIYEAVESAFITIYTRKSATETAKSLLNLAIDCSIGDLAALECLVSSLVSKAEISSSTVSALWDYFCFNISGVKPVQSRGALSILCMAAKSSPSILGTHLQDIIDIGFGRWAKEEPLLARTACLALQRLSEEDRSKLISTNSRVFAALISLTTSFSLPEKIWYGAADKAISTIYTLHPAPEIFATEIAKKCLSSVFSVLRTEDVSNGDETQNDAFLSSLPPSKLGRFLFVISHIALNHLVYIETSVRKIQKQKRKNENSQPISEDPQLDASKSSEAQGINAELGLGATIDIAIESLAERAEKEIVCCSSEKNLIGHCGPFLSKLCRNLTLLQKFPELQASAMLALCRLMIIDPEFCEANLQILFTVAESAPSEIVRSNCTIALGDLAVRFPNLLEPWTEYIYARLRDPSASVRKNAVLVISHLILNDMMKVKGYINEMAVRIEDENERISSLAKLFFHELSKKGSNPIYNLLPDILGRLCNQHLKDETFRNIMQFLISSIKKEKQMEALVDKLCNRFAGVNDVRQWEYISYCLSQLTFTEKGLKKLIDNFKMFEYALSEDSVMNHFRSVISKCKKFAKPELKVCIEEFEEKLSKVHQEKKEQEETTKNAEAHRQRIGSLDEVLASKEVGQSCGHSVEEETSEIVDPSVDGSTEDKENMPECSGNISTENCQTSTSTGSEDGGEEIQSKQPVRKGLSRSRAKKTRDPVVEDSADSAPVRRSTKRQGR >KQL22445 pep chromosome:Setaria_italica_v2.0:II:2675527:2678072:1 gene:SETIT_029305mg transcript:KQL22445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVQELDGSAFRECFSLSWRNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRDDFRSVDRNTWLQELIVSMAVAGAIIGAAIGGWATDRFGRRTSILVADSLFFAGAVVMASATGPAQLVVGRVLVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITGGQFLAYLINLAFTKAPGTWRWMLGVAAVPAVVQFGLMLFLPESPRWLYRKGRAEEAEAILRRIYSAEEAEREIEELKESVAAEARERGSSEKASLAALLRTPAVRRGLVAGVGLQVFQQLVGINTVMYYSPTIVQLAGFASNQTALALSLVTSGLNALGSVVSIYFIDRTGRRKLLVISLVGVILSLGVLTAVFHETASHSPPVGAAETRHFDASLTCPDYTLSAPTTSGGGSFWDCTRCLKAKSTECGFCASGAGGLLPGACLVSNSTARDTCGGEGRRWYTRGCPSRFGWLALVGLALYIIFFSPGMGTVPWIVNSEIYPLRHRGVCGGAAATANWVSNLAVAQSFLSLTEAIGTSWTFLIFGGLSVAALAFVLVCVPETKGLPIEEVEKMLERRELRLRFWAPRDRDGDAHGKDSGKSAGV >KQL22613 pep chromosome:Setaria_italica_v2.0:II:3860712:3866555:1 gene:SETIT_0286862mg transcript:KQL22613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDSEADEFCISPPQNAGGAASVGNAGAGGRGDGDQFGEESVAVSSEKVSAVKSTDGEGSEKNKGVEVERSSPQPVAKKIRVEAAHGSGSGSSGGAAKGGTGGKMRPRGLPSWRFEKAEVRGGRVLDDKGGVDMKASSASKVKEQLSSLDDKRRWVELQKHEKRTPLKTDQGKSADSGQQEVIRLQGKRGVLKILPKNDKLVRDTGDGKILSKKTKVDGETGNVKVLPTNIKADEKTGDGRIPTKRGVLKLLPKNNGMTTETNDRKLLPRNNKVDGETGDGRMVMKNSRVDKESSDGKILTNKTKLDGEFSGHKAMKNSTMDLETGPEKFQPSSSRVAGESNESYKEYEEKSGAIAEFQKQDANGEKKVMGNLVSPIMLRKSDPSVVGISLGQKMKQQNSKQQLKNSSVKHHQASLSQKDENTKSIEHKNLKKRLLEHKGLQGNLSKKAKSKAIDLQGTSGPVLNKLGMKKPRGGPVNKLKQDVRNQIKRLLLDNGWNIELRQRKNKDYEDSVYVSPEGTGYWSITKAYAVYQEQFQNQRDMGCSSKLKNIMPGASDAISTDDLAMLKKNIVRRRTNKEIYGAKKKPGANRSKGSKDILADRSSRKKHQNRDDGVKIKHRRCGLLVRGGTHNMEDNMDGYIPYEWKRTVYSWMIDLGVISEDTEVKYMNNKRTRAMLQGKITRKGIFCGCCSKILTAMKFELHAGSKEKQPYANIFVEGGRVSLLQCLHDAWEKHTRYEKKGFYKIDLGDDEHDDTCAICGDGGNLVCCDHCPSTFHLDCLGIEMPCGDWYCRSCLCRFCGSAQEKTSSPELLSCLQCSRKYHQACSPGTGSDSVCTKPSTSIDCFCSPGCGKVYKRLKKLLGIKNDIEAGFSWSLVRCFANDQAIRPKNKAQSVHCSSKTALAFAVMDECFRPHIDERSGINMIHNVVYNCGSDISRLDFSGFYTFILERGDEVISAASVRIHGTDLAEMPFIGTRGMYRHQGMCRRLLNGIESALCSLNVRKLVISAVPEMENTWTTVFGFKPVEPSKNKKIKSVNLLIINGTGLLEKRLLPTGK >KQL26775 pep chromosome:Setaria_italica_v2.0:II:46263572:46269319:1 gene:SETIT_028835mg transcript:KQL26775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQWRKFEFFEEKAVSRGGGGSSSVAVPAEIAGRVTCSSGGRGRVAVGCDDGTVGLLDRGFRLSYGFQAHASSVLFLQQLKQKNVLVTVGDDDQSSSQSSAICLKVFDLDKVQEEGSSTTAPFCVQILRVFTDQFPQAKITSFMVLEEAPPILLIAIGLDNGFIYCIKGDIARERITRFKLQVEADGSTSLPITGLGFRVEGQAHQLFAVTPSSVSLFSLHVQPPRRQTLDQIGCQTNAVAMSDRMDLIIGRPEAVYFYEVDGRGPCWAFDGEKKFVGWFRGYLLCIIEDQRTQKGTLNVYDLKNRLIAHSMPVGDVSHLVCEWGYIILIMADKKILCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADPASTAEVLRKYGDHLYGKQEYDEAMSQYIHTIGHLEPSYVIQKFLDAKRIYNLTNYLEKLHDRGLASKDHTTLLLNCYTKLKDVEKLNDFIKDEDGIGEIKFDVETAIRVCRAAGYHEHAMFVAKKAGRHELYLKILLEDLGRYDEALQYISSLEANQAGLTVKEYGKILVEHRPAETVEILLRLCTDVGDPTTRRGSNSMHLLMIPSPMDFVNIFVHSPQYLMEFLENYIKAVKDSPAQTEIHNTLLELYISNDLSFPSISQENGFDNHNNKETKGKETANGYKSGTREKANLGKEDTKIAKNIVDRRRKGLALLKSAWTSEMEDPLYDVDLALILCNTHAFKDGLLFLYEKLKLYKEVISCYKQAHDHQGLIACCKKLGDSSQGGDPSLWGDLLKYFGELGEDCSKEVKEVLTYIEKEDVVPPIVVLQTLSKNPCLTLSVVKDYIARKLEQESKLIEDDRKSIDKYQEETELMKREIEDLKTNAKVFQLSKCTACTFTLDLPAVHFMCMHSFHLRCLGDNEKECPECAPEYRSVMEAKQKLEQNARDHDLFFRQLRGSKDGFSVIADYFSKGIVSKTTVPPENSR >KQL27221 pep chromosome:Setaria_italica_v2.0:II:48660047:48660562:-1 gene:SETIT_033712mg transcript:KQL27221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTWTTRHGAGSATPLQVALAIPLGSWRVPATTNTPRSTPPPCISLSFFCYR >KQL26585 pep chromosome:Setaria_italica_v2.0:II:45231879:45232331:-1 gene:SETIT_033753mg transcript:KQL26585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSFDHTVSMEVTGFFISKAVLQAKLRFCFNSMPLKW >KQL22636 pep chromosome:Setaria_italica_v2.0:II:4106016:4107284:-1 gene:SETIT_031575mg transcript:KQL22636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KQL24833 pep chromosome:Setaria_italica_v2.0:II:33618113:33619490:1 gene:SETIT_030499mg transcript:KQL24833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIGAGGGDEVILDAPGFIRVYKSGRVERFLPVDFAPPSTDAAPTGVSSKDVAILPEAGVSARIYLPAAPSSGSFNGKLPVLVFFHGGGFCLGSAFDAAVHGHASRLAAAARAIVVSVEYRLAPEHPVPALYGDAWAALQWVAAHAAGQGQEPWLTAHADLGRVHVGGESAGANIAHHAAMRAGAEELGHGVKLSSLVLIHPYFLGGDSSETDEMGMALLRDLVRLWPVVCPGTSGCGYDPLINPMAQGAPNLASLGCRRVLVCVGGKDPMRGRGRLYCEKLKGSGWCGEVEDWEADGQGHGFHLSSPASAEAEAQVRVVAEFLSYG >KQL24837 pep chromosome:Setaria_italica_v2.0:II:33631374:33631640:1 gene:SETIT_031888mg transcript:KQL24837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLKVSWACIVIAMLIMSSGAASGEARRLMTEEACTGGEACAGGCLPPVQGRASLTVTATKMATTDGRPTAPGHSPGIGNKIAGNTR >KQL24497 pep chromosome:Setaria_italica_v2.0:II:30701208:30701879:-1 gene:SETIT_031531mg transcript:KQL24497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCSFRSVVRAPPLSRRLARSAVRCRSAAPPDAGGTAPTSKLVVEVKERLAREHPGLPTGRNGRDDDDMILWFLKDRKFSVDESVSKLTKAIVSYKLCSAETSMVLFHYILILAFLCT >KQL25233 pep chromosome:Setaria_italica_v2.0:II:36797555:36799493:-1 gene:SETIT_032103mg transcript:KQL25233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMASSLTALVAVFLALLLLLMLSGTEAKFLSKANNITVVGSVYCDACSNNTFSKHSFFLKGARVLIKCSFKVNSTSSEELSLEAERTTDQHGVYKLDVPPVDGFECREGHELRSACRATLVRSSSAACNVPGVGGSTQHIALRSRATGACFLNLNALNFRPAKRDAALCPGGAGAGSAFGSSLFFWPFLPLFWPPFRPPYPSPGSAAGGTVSFPWPFPVPDWLVPFLRPPFLPFPLYQPAAPPPPFYRFPPSQEADSRP >KQL27030 pep chromosome:Setaria_italica_v2.0:II:47481576:47483285:-1 gene:SETIT_029740mg transcript:KQL27030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPESAVAVVTVPFPAQGHLNQLLHLSLLLASRGLPVHYAAPEPHLREARARLHGWGCGGAGAGSPFLTAVRFRALEVPAHASPAPDPASPFPVHMLPLFEAFCAGARSPLGKLLEELSASHRRVVVLHDRMAAFAAGEAARLPNAEALGVHCLAASYNVGWADPGHALLRDHGLVFHPSDACATKEFVALARRMGQERRRAPGAGMVVNTCRALEGEFLDVLAGIPSSDGPKLFAVGPLNPVLLPGTTGSARHECLDWLDKQPSSSVLYVSFGTTSSLRPEQVRELAAALRDSRQRFIWVLRDADRADMRDEAAPESGARLAVAASELGDATARGAGVVITGWAPQLEILAHRATGAFMSHCGWNSTVESLSHGKPILAWPMHSDQPWDAELVCKYLRAGILVRPWEQRHDVTPAAAVREAIGRVMASDEGAEMRRRAAALGEAVRGAVAEGGSSRQDLEELVAYMTR >KQL25374 pep chromosome:Setaria_italica_v2.0:II:37683478:37684896:1 gene:SETIT_032266mg transcript:KQL25374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAHRDHLLAALHGLLGAATLLLCLAAELLVFAFRRNAALYLAPVAAMLIFNRLRRRIAAAEIGLVDFSCLKPPRRLRIPVAGLLEHFRLIGCFDDGSVEFMTKVIEASGMGNETYFPPSLHYIPPAATHADAVQEAHMLFFPALDDLFAKTGVPPSAVGALVVNCSGFCPAPSLAAIIANRYRMRDDVKTFNLSGMGCAAGVVGVDVARRLLLTHAMPYAIVVSAEIVTVGWYSGKDQGKLLLNCYFRTGCSAALVTNGRGAAPVKYRLASLTRTNQIANDRSYRSGYRDEDDEGITGFTLGQGVGRMVSELLRAHLVTLGLSILPWREKLRYALALLRSSRDGDSSKLPRGAVPLPDFRAAAEHFCLPSSGRPMIWRLGQGLGLGEREMEAALMTFHRFGNQSAASLWYQLAYLEAKGRVRAGDTVWQLGIGSGLKANSLVWERVAGSDGERELGPWVGCIHKYAVTEM >KQL25869 pep chromosome:Setaria_italica_v2.0:II:41036675:41039439:1 gene:SETIT_029326mg transcript:KQL25869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRWLQRAFDLVLSVHQINSNVLNCGSLMRLALALARDQMPVPASMVLRIILESGKLPDVDILSMVFLHMLKSQVGSYLVTDVMIETCESFLDQVTDRREMKKLDPIKNNATLFNMVLESCVNFKCIIKAQKIMELMSLVGVVADVNTVVIASRVFEMVGQRDELIQMKRSIDSLTSLPFLQYYQHFYDSLLSLHFKYNDMDAAAKFLINLHQQRKPSVFFPNGLQKQGIIQIGSGNLKTGYRIMFDPGKVDRSFVLGTESQFGLVVLTNGNLLHTEKALAKLIVGCVKSRNMHALSSLFIMLHKEDLEVISPLDVVNACIQMGWLHAAHEMLDDLESAGIQVGITSYISLLRAYEQENKSEEFDGLLQQIQKIASTMDDIHSNYPFTIKNIAKIVKDEMPLTNSSLFAALAEEMKHYNPEGHLTLEFNNSILFFCKAKMMEDALCTYKRMREQHIRPTYYTFCHILCSYSSMDMHREITMLWGEIKRRLEYGELDVDRDLLDCLILNFLKGGYFSRVMEVISYMSKHNIHCDKWKYRHVFLKLHKNLYRNLNSLHDKTEAQNKRIEDVRAFRSWAGIK >KQL24198 pep chromosome:Setaria_italica_v2.0:II:27389689:27394667:1 gene:SETIT_032598mg transcript:KQL24198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKERRASNLHPDSIAMASPHFTPELVFPPADKSPLRISDDMEIPLVNGMPVYIQSVVEQSPTVVTPQLVPANHTIQSKPVTPGIRNSRLNQRNQMFEATIGRNTKRPAYCDENIIFEDDKEEDEGYLFGGQEPDDWEADEDVDLETANEDPNEPYVLDPYDAVYANVPDMTHMLKPADNCEHCNAKKFESEPPGFCCRSGKIDLSTHETPPELVRLWSSSDSDARHFRANIRYFNGHFSFTSMYCKLDRVTTDVRNCGIYTFRAHGQIYHNIRSFGKEDGHEPGHLELYFYDDDLSLEHRLRKCREKSAQEDRENLRSMGQVDNLEDYHVELNLDQRLDQRTYNVPLTSEVAAVWIEGSEHRGQFDNSVVLQGKDRSIHGIRSYHGCYDALSYSLFFPRGELGWHNCIPKFGVTMAEVNKARAIRKARADGGGDNDAGSAGNKCVSVRDYYCYKFQMRPGIFNPILHGKRLFQQFAIDTYVKIESSRLDYIRNNHDILRADLYQGLVDSWRTGVEDANEVGKRTVLSPTFIGGPRNMRRRYMDAMTLVRKFGKLDIFLTMTCNPNWDEIKNELYPGQSPQDHPDLVSRVFRAKLEELKKMLMEKYILGKVRAFVYVVEFQKRGLPHAHFLLIMQRKYKITCPEQYDLLISAELPNKKKYPDLYRMVMKHMMHGPCGTLNSLCPCTRGCTSCKNRYPRPFCDSTSQGPGADESMLTVYFDYNRLHEEARGILYRDFPEHYTWESNGKFWKPRKNAVYQVGRLVSAHPVEGERYFLRVLLNHVVEATSYRDLRTVVGVLLPSFREAAERRGLIEEDNTLDECLTENSLFHMPSSLRRLFAIILVFCEPNDVFGLWTKHLDAMLEDYRRNNPNPSLVEQMVLIDIRNMLQSMGKDISGIPHEIFEEASIDQDPEDVGLSDSLNEEQRAAYEEIMSKVDTEQGGLFFQASLIIWDEASMAKRQAMEALDNSLRDIMGRQDLPFGGKTVVFGGDFRQVLPVVRKGSRAQIVDASLRRSYLWESMHHLKLMRNMRTQSDPWFAEYLLRIGGGTEEVNGDGDVCLPDDICVPYSGDSEKDFDRLIECIFPNLNANMTNKDYITSRAILSTRNDWVDNINIKMIGMFQGREMVYHSFDSAIDDPHNYYPSEFLNTLTPNGLPPHLLKLKIGCPIILLRNIDPANGLCNGTRLVV >KQL25328 pep chromosome:Setaria_italica_v2.0:II:37404439:37404825:1 gene:SETIT_032352mg transcript:KQL25328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFCPYNYIFGRYFTDTCRGECPLGEHRITSRDHHKFLRCFIRVSNLPPGFRLWDLEDLFSPFGPLLMWDVPRFNNDMCGCTTEIRMSFGFAVFKRREDGERAVHELNGYEAGGRMLRVDWVYPSCV >KQL25613 pep chromosome:Setaria_italica_v2.0:II:39385130:39387160:1 gene:SETIT_031512mg transcript:KQL25613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRARSSGADSRLSVRKSKAEKDPNKPKRPPSAFFVFMEEFRKDYKEKHPNVKQVSVIGKAGGDKWKSLSEAEKAPFVTKAEKLKAEYTKKMDAYNNKPSGGPTASGDSDKSKSEVNDEDEEGDE >KQL25612 pep chromosome:Setaria_italica_v2.0:II:39385130:39387160:1 gene:SETIT_031512mg transcript:KQL25612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRARSSGADSRLSVRKSKAEKDPNKPKRPPSAFFVFMEEFRKDYKEKHPNVKQVSVIGKAGGDKWKSLSEAEKAPFVTKAEKLKAEYTKKMDAYNNKPSGGPTASGDSDKSKSEGDE >KQL25472 pep chromosome:Setaria_italica_v2.0:II:38422542:38426856:1 gene:SETIT_030231mg transcript:KQL25472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYIEDTRGSKYASPTAKPSAPKINTYIQSLILFLVTPRLRTSLAAMDDSKGSGGGGDKPSAEPNPSPNPPPPAAAAAGAGDDGAAAAAAAAAAEAARRPFTALSQEEADLALARVLQEQERAYMLLRMNGGGGEGSDYGSSVAGSYEYDEEAEEDYEEELEHHLRVHHHEHPAGDVDGEGEGAEGSEGAEGSDYEEEFEEDEEGEPEVDPADFEDDEAYARALQDAEEREVAARLMALAGLSDWRAVDVEHEEDHVNDPQEAWQEVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSVKYKAQNVQDGNTEQCVICRVEFEDGESLIALPCKHSYHPECINQWLQINKVCPMCSAEVSTSGNKEA >KQL25471 pep chromosome:Setaria_italica_v2.0:II:38422542:38425441:1 gene:SETIT_030231mg transcript:KQL25471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRYIEDTRGSKYASPTAKPSAPKINTYIQSLILFLVTPRLRTSLAAMDDSKGSGGGGDKPSAEPNPSPNPPPPAAAAAGAGDDGAAAAAAAAAAEAARRPFTALSQEEADLALARVLQEQERAYMLLRMNGGGGEGSDYGSSVAGSYEYDEEAEEDYEEELEHHLRVHHHEHPAGDVDGEGEGAEGSEGAEGSDYEEEFEEDEEGEPEVDPADFEDDEAYARALQDAEEREVAARLMALAGLSDWRAVDVEHEEDHVNDPQEAWQEVDPDEYSYEELVALGEVVGTESRGLSADTLASLPSVKYKAQNVQDGNTEQCVICRVEFEDGESLIALPCKHSYHPECINQWLQINKV >KQL22490 pep chromosome:Setaria_italica_v2.0:II:3104688:3106183:-1 gene:SETIT_032259mg transcript:KQL22490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRHAYATLPLFGPPTKLLAASTSHQLQHVSGTLPFVRRFTPREIEAATKGFTAVLEAGGPRGAATAYRARFAGGLVATVRRAAGSGRSRDDEQQGSGGGKAAFYLELQLLARLNHRHVVRLRGFAEAHHARFLVFDHMENRSLKECLHDPLRTPLDWRTRLQVAIDIAAALEYLYYFCDPPVFHVAVNSGNVLMDANFVAKLSDVSVISHDMKLPTTESFQDQVEERRAGLVFQYGVLVLELVTGQSPGGDGELVRWVQEPGFAGSMHRMVDADLGGVYDARELRDLVIVARLCTRHGHGGDDGGAVVSIPQIVRYLQGKVERLGVEASCG >KQL25723 pep chromosome:Setaria_italica_v2.0:II:40002591:40006517:-1 gene:SETIT_030134mg transcript:KQL25723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVTVKKSSNYRTFTPASRRQQQDLCRSPLSPVVSDGPSPPRGNQQQPARAATDTHAAPVPLRLLPVPSPPLPPRWPLPPPLGGRRDGLLPAREPVPRLRGAARAGLPHGGARRRHRRRILLRGRRLRLGAHAPRRGRRRCGSCRRARRLPRTRILFLLQLAMILWCYLMVVFTDPGAVPENWRHDAEYSGDPGSSSEEQGSAPSYCSRCQNGKPPRCHHCSVCNRCVLKMDHHCIWVVNCVGARNYKYFLLFLVYTFAETVLDTLVLLPNFIEFFQDESRRSSSPGDIAILFLAFVLNLAFVLSLLCFIGMHTSLVTSNTTSIEVYERKKSVSWKYDLGWRRNLEQVFGTKKLFWFVPLYSAEDLHNIPALGGLEFPTRSDAIV >KQL26750 pep chromosome:Setaria_italica_v2.0:II:46113733:46114320:1 gene:SETIT_032579mg transcript:KQL26750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGEKKGAMEAEKGAADAREIITLKSSDGVVRRVKKAVASLSGLISRSIEDGCADGDVPLPNVEASTLDTVLEYCNKHADPGAAAAATNSDSDPTAAAAGGSSSSSSVDTAASDDMKAWDREFLDLLSLDALYDLLLAADYLQIEGLQAVICQRAADMIKGKTTQQIRDTFNIVNDLTPEDEEELRQQYAWAFD >KQL22891 pep chromosome:Setaria_italica_v2.0:II:6163568:6164767:1 gene:SETIT_033118mg transcript:KQL22891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVLRRRKKTALALDNDGVLPADVLYEVLLRLPADELCRLRLVCRSWRSLTSDPGFARAHASRHPLLAGVHIACCTDDEIRVVDLFSGDIVRRIVPTVQPRYGMNSQLDLVCVSGRRTHEPSSVLNLATGEVVATFHPKSHWINKDMMSPFLLGHIPSTGELKVFYTHWCGEGGGHGGFVQKCCIKTLIGDGSSRRWRVTSSPLAVLGSDLRDRVVIGGVAYILFSQNFNETNDHNEDLEPDDMAVFDMAAEEWRPAPLRGPLSSCLAGDDERCVHHQHRHEILLAMVDDCLVTVHNNDCYQYCSMDLWFLVDMDVDKGLWTKRFSIHCTTLCKGFKCHSPYPLLLLDDGRIVIWEDVIKVLRVYDPRTSKWDDITTLENYFSVNMYRGNLLCPGLQR >KQL24451 pep chromosome:Setaria_italica_v2.0:II:30274134:30275396:1 gene:SETIT_032742mg transcript:KQL24451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALNGRNPSAVLDGLYGVQLGRPSPQSAQSEDEALRTTAVESSTCEHQKGGRTQQRLLIRRLWQQRPSCLKPIHRSITCDKHAGETIANVVTSLPFINLNTAIYANSLVGVGIASSLYHSSKGEIRKFLRWADYTMIATTTLCLTRALRNENPRLLMAASALLLPFQPLLVSAVHTGLMEAKHFNNLQLNSQVSFAKRASIEPELRMAHNLHKMSSLLGGVLFIADDCFPETPYMHAAWHLAAAIGIGTCNKLLE >KQL26512 pep chromosome:Setaria_italica_v2.0:II:44838430:44839057:1 gene:SETIT_031801mg transcript:KQL26512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAASVQLLMLEMLNACRQIDEYTHLIASIKAQIKLVCEGIRELLQLPSEKELSPRNTW >KQL24505 pep chromosome:Setaria_italica_v2.0:II:30865397:30867859:1 gene:SETIT_030348mg transcript:KQL24505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQSLEASWIPDNCDHVICIACFCQYAHETDATGLPRCAVASCESLCKTETHHEISVPHGTLTSTEGMDRKGKKPLDGTLQELGQCSHGDDAMISSDFYCSICMETVHIGEVFPIDGCTHTFCISCVSQYIAAKVEENVLSIGCPDPGCKDGVLHPEACRDVIPLQLFQRWGAALCDSSLGELKFYCPFKECSALLVHDPGHGEAVITNVECPHCCRMFCAQCKVPWHDGVTCTEFQRLGKDERTREDLLLRKVAQKSKWQRCPKCKMYVERVTGCVFIICRCGHCFCYLCASPMSRDNHHCKTCKRTW >KQL24856 pep chromosome:Setaria_italica_v2.0:II:33918123:33919784:1 gene:SETIT_030935mg transcript:KQL24856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPSSSSPAAERRRSPEVRRVRKRALEEVLEQVQRAVEMLRDADADLGTSLSEDTAAAPPEGEDRKAGVGDDADDAASSSVASDSDYETTQMCDLLKSKVESLEFLKKLDGIQKSVYQDGTVEPDVSWDIIKAVDLWEDDDPDDGYVLVKQEDIVDGITSFMAAYLLSLKKTKDLSPDQLQKG >KQL24855 pep chromosome:Setaria_italica_v2.0:II:33918058:33920466:1 gene:SETIT_030935mg transcript:KQL24855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPSSSSPAAERRRSPEVRRVRKRALEEVLEQVQRAVEMLRDADADLGTSLSEDTAAAPPEGEDRKAGVGDDADDAASSSVASDSDYETTQMCDLLKSKVESLEFLKKLDGIQKSVYQDGTVEPDVSWDIIKAVDLWEDDDPDDGYVLVKQEDIVDGITSFMAAYLLSLKKTKDLSPDQLQKALRKTFSAEKKKSRIRKAWDGTKVIYNVASWGATAVGVYNNRALLTVATTAFRTSCRVISKFL >KQL25402 pep chromosome:Setaria_italica_v2.0:II:37888615:37889117:1 gene:SETIT_033503mg transcript:KQL25402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNDGWMASSPCTPSSEDRFQGQANTFQNSELMLLCLIG >KQL22695 pep chromosome:Setaria_italica_v2.0:II:4663496:4663816:-1 gene:SETIT_033820mg transcript:KQL22695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKQGKKNQYFYHPLQSKQYPEANLLMQAISHLLSNFTILTVDQSQ >KQL26155 pep chromosome:Setaria_italica_v2.0:II:42645953:42647082:-1 gene:SETIT_033746mg transcript:KQL26155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGWSLAIISCINGFFFGGKKADWQDTIVERLLNCEVPLQAQYIRVLFCSLKLFVWKFPEKLIIGCSLHRNNRAVMLITKLVEEMK >KQL25229 pep chromosome:Setaria_italica_v2.0:II:36784135:36785232:-1 gene:SETIT_0310712mg transcript:KQL25229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKDPRGDQIHDSRGKTSDKFEFVVHKRGVHRFCFTNKSPYHETVDFDVHIGHFSYFDQHAKDEHFGPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALFESAGLVAASVVQVYLMRRLFERKLGSSRV >KQL23651 pep chromosome:Setaria_italica_v2.0:II:15721027:15724144:-1 gene:SETIT_030410mg transcript:KQL23651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQQDDDTANAAAIVLAAAARTTSTGHQHLRHQLDDDDDHATKKTRWWSRLKAKLACFRPHGHPQRIFDASPETGAEQAAPGSSSLHHYARHAPQPVVAFVAPPPSPASSALTSGSPSPTVLLLNAGISSCYSSPTASIFAVGPYARSPQQLVSPPAFSAGHTEPSTAPLTPPPEPGCSPHLLATTTPSSPEVPLARFLCPPVAAADRQQQHCSGGGAEGLLLNAYQLQPGSPILVSPGTGSTSSSPPSWTVRRPVRARNDGLSLLDGGRIPITEEGGGCGSGGASRNDDTRDDEVAKSGGEFVFGNNADAAAGGEVGGGGSLALGHATEQWPFHLAHG >KQL23650 pep chromosome:Setaria_italica_v2.0:II:15721027:15723988:-1 gene:SETIT_030410mg transcript:KQL23650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQQDDDTANAAAIVLAAAARTTSTGHQHLRHQLDDDDDHATKKTRWWSRLKAKLACFRPHGHPQRIFDASPETGAEQAAPGSSSLHHYARHAPQPVVAFVAPPPSPASSALTSGSPSPTVLLLNAGISSCYSSPTASIFAVGPYARSPQQLVSPPAFSAGHTEPSTAPLTPPPEPGCSPHLLATTTPSSPEVPLARFLCPPVAAADRQQQHCSGGGAEGLLLNAYQLQPGSPILVSPGTGSTSSSPPSWTVRRPVRARNDGLSLLDGGRIPITEEGGGCGSGGASRNDDTRDDEVAKSGGEFVFGNNADAAAGGEVGGGGSLALGHATEQWPFHLAHG >KQL23652 pep chromosome:Setaria_italica_v2.0:II:15721027:15724496:-1 gene:SETIT_030410mg transcript:KQL23652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQQDDDTANAAAIVLAAAARTTSTGHQHLRHQLDDDDDHATKKTRWWSRLKAKLACFRPHGHPQRIFDASPETGAEQAAPGSSSLHHYARHAPQPVVAFVAPPPSPASSALTSGSPSPTVLLLNAGISSCYSSPTASIFAVGPYARSPQQLVSPPAFSAGHTEPSTAPLTPPPEPGCSPHLLATTTPSSPEVPLARFLCPPVAAADRQQQHCSGGGAEGLLLNAYQLQPGSPILVSPGTGSTSSSPPSWTVRRPVRARNDGLSLLDGGRIPITEEGGGCGSGGASRNDDTRDDEVAKSGGEFVFGNNADAAAGGEVGGGGSLALGHATEQWPFHLAHG >KQL26521 pep chromosome:Setaria_italica_v2.0:II:44893705:44896040:-1 gene:SETIT_033001mg transcript:KQL26521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIVEEENKTLVPSVEEEHPLGKEGPHDKNINYHDPFTILGVEVADILGMRGENEGTALWRVRMAQLGDEAMRERLKVEFGCAGERKVEEPKEEDTELKRSDYEAWVASQFRDEWTAQYSASYGSFDDTTRFGPMRFTDEPAPGYTAFPIGTLQVFSVKVAGIKRSLQWPLDVFGFVAVRDCIDKNRNIIFNLTRNNCQTLTEKDRYLVLTGPTRAIVWPTHVTIEVKLTLKGATESEDKDLSFLDDPLFGRHVRYPDLFRRYKTSKLSTLEFTLGHIVDSVEATIFVRVIDGSWPDSRSGQFAAFSTTGVRDKDSRSIDRQKIVLLDSRGDKVLVTGDGEIELFTAVVSVETRGTLKVCVKLWKTSKSKRNVVEDECMGFHTSGSQEKQW >KQL22828 pep chromosome:Setaria_italica_v2.0:II:5656618:5659583:-1 gene:SETIT_029663mg transcript:KQL22828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRWDEILTLPVQNPPTLEFSAADIMWSMVEGWKDSMDRLALIPYSRVNDFVRGESNNKDCPTRFHVEARRRRSPTMNCKPKVDGILEYILYWCSFGPDDYRKGGSARPSRPFAEKRKTPAGRPNTKRGCVCHFIVKRLIAEPSVALVIYNHNKHVDKKGLPCHGPMDKMAVGTKAMFAPYISDELRLQVMSLLYVGIPVETIMQRHTKMVEKQGGPSNRDDLLTHRYVRRLERKIRRSDYELDDDDAISISIWVENHQDCVFFYEDFSDTDTFVLGIQTDWQLQQMIQFGSHSLLASDSKFGTNKLKYPVHSILVFDQQKNAIPVAWIITPNFAHGEIYKWMGALYDRAHTKDPTWQLGGFIIDDPFADVRTIREVFQCPVLISLWRIRHAWHKNLVNKCSDNEKRSAMAKFLGDAISSICRGSGDVELFEAFLQDFVDCSGFLDYFKALWFPRLGQGMDNYLKGTPLATAEVASASRGYITC >KQL27051 pep chromosome:Setaria_italica_v2.0:II:47597885:47600159:1 gene:SETIT_032011mg transcript:KQL27051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLDISGLLTDKKMNELEELHAKKEAEREGVDPDLIQDMLPDSVPDIDDPNLRLALVAAEQEVVQKMHKEVRAEGVDPNPFEISDYLQQVCPVMSMRAAPMDPYLVLTDPTRAVVMNEESNPVTIEAELKVKGSVESEDKYLIAAAETHSKLEVTLGEIVSSVEATIFIRVTDSTWPVGFHGQFTAHTASSNHKKVILIEFEGDGNMDHLRHAVSVETSGELIVSFKAWKGNEEAMRGEVVFKAEMAGRSFVLEWSNSIRSWSHAKQDLKVGSCSLGVLVAWSRVRPMCEELVPESQLRLCHF >KQL24494 pep chromosome:Setaria_italica_v2.0:II:30682055:30683371:1 gene:SETIT_0295551mg transcript:KQL24494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHPAHGSPHRSPLTRGLHRPLLRPPAPAPGPAPPPLHHANPSCCCRKSSGDRRGEGNKARILDAPEPMATPAAEKPDDVEIREVWADNLEAEFAVIRDIVDDYPYVAMDTEFPGVVCRPLGTYKSAAEFNYATLKANVDMLKLIQLGLTFSDEHGGLPALGPGGRPCVWQFNFRGFDPRTDVAAADSIDLLRRSGIDFARHGADGADARRFAELLMSSGVVMNSDVRWVTFHSGYDFGYLLKLLTGTNLPDTMSGFFDLIKIYFPVIYDIKHLMRFCNSLHGGLNKLAELLDVARVGICHQAGSDSLLTALSFKKLKEAYFNGLTEKYAGVLYGLGFEGGETTSAH >KQL25360 pep chromosome:Setaria_italica_v2.0:II:37572151:37573635:-1 gene:SETIT_030388mg transcript:KQL25360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRPPAGASASAYAAPARLRPHLARLASFLVVFAVGYSLGLLSSSTRPSPRPSQTTIVRPHAAHLTDASTTDPAPNATAAATESYPRSPPHDLFRFREECGEPVPSEAVVPTLLEKLFDGESPYAGFPAPHTAALLHPARARPRGWGSTGAVFAELIEAVRPEVIVELGAFLGASALHMAAVSRNLSLSPAILCVDDFRGWPAFRDRFRRDVPPPRHGDALLLPQFMANVAAAGADAAARVLPLPFSTASALAALCGWGVYADLIEVDAGHDFHSAWADINLAWAVLRPGGVMFGHDYFTSADDRGVRRAVTLFAKVKGLTIRPHGQHWVLSPKPREHGSNAR >KQL26963 pep chromosome:Setaria_italica_v2.0:II:47151537:47151752:-1 gene:SETIT_032799mg transcript:KQL26963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPARKKRGAAAAAAAAAAAAAAQWKVGDLVLAKMKGFPAWPAMVSGSGRLFSLIRHPLHLRMLTVVEELA >KQL25592 pep chromosome:Setaria_italica_v2.0:II:39234113:39235705:1 gene:SETIT_032562mg transcript:KQL25592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGDNPHSISEKKAALRESPKRTKNVVNEQPGTSFSKDKVAATVGLKRPQPYGPLSPTNHHTLGNPGANGHLVYVRRRPDTDQSKGGTSARAESFNSISTKKPVAGGSQPQESSLKHQNNVPHTQSSPQFASPAAVTASPALQSTVLLAQHSFGKQSPGKITVRPTNDVITSLPPSNMVSSTPMLQSSAAADLVTSTHSGVSSATNAASRAAISAANLVSSSVSATTAASNNAISATNLAPNRAHPPRSSNQDRSDRFLQLQTFLRNNEQSGQEEYIRMLRSLSSVGLSKHAIELEKRAANLLVEEGKELQKMKVLNVLGKLSPTDAPQFPAQPATVKHLPFPARR >KQL22288 pep chromosome:Setaria_italica_v2.0:II:1383627:1386202:-1 gene:SETIT_032275mg transcript:KQL22288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVSAAIVQETVGQILSGLVQKYEEKEESNEKRNLERLEMAHIRLEAALETSNKWQITDASLLHWRRKLKRAAQECDDTLHKCKQRILEDEQMEWEVKNSSLPNRIVHATKSFALSIFKRNDNDLRRSIAQRFEWYADGASEFLRFIELGGTPRCHMPFDSLVKNLFAGKQLHHKIVRGNEYPSFQLFLTPMHNPVHGIDVSLIFIQYDGTPEGNISFSLTVQLSESIDIVGIAVKCLQLFASHFKCKFENIRNELTELPNEDFSWRPSIYLDDKQRHWDKAKSLLSQLARPNPFGCKEHGRHEVRHFSNMDMAGLSDGLLEPVIQFTLHCHVSLPMYRKQKTSLSEDLISLQDYPYLKAGITISPHGSLEDMLPANRSSEIAAIVRKEQHFLHTDISLEQLEEIMLPKAIDYFRQNAKAMVYQMLWKSKHGFALIQVEKPCMSTWRPSMRRRSTSGGARKRKLFQGDVEEIIRSRIRHCHWLDSWFTHVPVQLQRSLRNWIRKEKEIVDHAQTT >KQL23125 pep chromosome:Setaria_italica_v2.0:II:8533333:8536264:1 gene:SETIT_032373mg transcript:KQL23125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPQHTLGRADDLGDRVPQPGEAARLPPWLRSSSSSESGLWSISSSESAKPRPLPPAVCALLQSSSSSKSGESGCDSFEHRCIMNLAKDYLPVPPPPAPAQKITHKSHRFIMHASPSVVLERTKRFAKSALEHYNRRKKIKFELLDASPVNMMTESGHLYTHVNFTARSSKEGSKEQLFFAELYYCGKRRAPGGYIVTCCEPLGSDSTVGHKGYQVDGASAVRKNVDFTRCYACSPRTLHPRGDKYIAGHCNVPHIYTNTC >KQL23002 pep chromosome:Setaria_italica_v2.0:II:7258190:7261596:1 gene:SETIT_028807mg transcript:KQL23002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALRNKVQLPLALVLMILLQPCLPYLQVDAAAHHGGVSLRSQQAALLQWKTTMGSPPALDSWWHQTSPCNWTGVECGAVRHGHRRPLVVTVISLPHAGIEGRLSELNFSALPFLAYLDLRYNSLHGEIPPAITSLSALSYLDLTGNLLHGSIPSDIGNLGRLNQYLGLSFNNLTGSIPASFGNLSMLVDFSIHQNMITGSIPEELGKLTSLEYFEISSTMNELSGLVPQEIGMLRNLSKLVLSTNQQSGTIPSSLGNLTRLNLLELSENQFVGSIPREVGDMLNLYILSIDDTQISGSIPATFGNLTSLRKLSLFDNVLSGPLPPEFANLTGLVELNLMNNSLSGELPSDVCKGMNLQDFNVANNMFRGPIPRSLKTCRSLKMLFIAYNQITGDISDFGPYPYLIDANLEANNLHGHLSKAWGFSTNLTSLAMAENMITGSLPSELSNLVKLERLVLHSNNLTGNIPPGLSTLPNLYQLILARNQLSGHIPSEFGQMKNLQYLDISRNRLSGSIPNELGSCTKLQSLMLSHNNLSGELPITIGNLGNLQIVLDISDNKLIGRLPAQLGNLAMLELLNLSHNQFNGNIPSSFASMVSLTTLDVSYNNLEGPLPAGRLFHDAPIAWFLHNTGLCGNLKGLPTCSSTAILEYHKGRIQIIVLSISVPMCIVIILTIFCVIMITQKRKQPQNDIAIDRRDVLSVWNFDGKLAFEDIIQATENFSDGYIVGSGAYGTVYKAHLQGGQLVAVKKLHPIEEEMGDEKRFLCEIEVLTKIRHRCIVKLYGFCSHPRYKFLVYDYIDRGNLHETLENEELAKELDWKKRAAIARDVAQAICYLHHECNPPIIHRDITSNNILLDAAFKAYVSDFGTARILKPDSSNWSELAGTYGYIAPELSYTSVVTTKCDVYSFGVVMLEIVMGRYPRELQSLASVGQQHKHAMDFLDQRPSTPAMVENEEIALLIEVALSCLQTSPQSRPTMKSVYQKLIHDHPSSSFAIPFDTLEETADP >KQL23675 pep chromosome:Setaria_italica_v2.0:II:16122797:16124997:1 gene:SETIT_031207mg transcript:KQL23675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAAAAARKLAGISQSSDPPALTGGLLYRSYGSGSSGSRKRSLPWRVVSIGAISLAGGLVLSAVNDLAIFHGCTTKAIEKAADNPKVVEAIGVPIVRGPWYEASLEVGHRRRSVSCTFPVSGPHGSGSFQIEATRNGEDGMLSFLRHHDWEILTMEAHLHVPSDDEQQKTLVKVASNGSAQSGEPE >KQL24036 pep chromosome:Setaria_italica_v2.0:II:25055030:25059988:-1 gene:SETIT_029545mg transcript:KQL24036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATGAMAATSRAPIPAVAAFPGDLGLGRCRAAVPGWRTGGRRLRASPSARRPFVFSPRGVSDSQSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNVSKIYVLTQFNSASLNRHLSRAYGNNIGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYMWLFEEHNVMEFLILAGDHLYRMDYQKFIQAHRETDADITVAALPMDEQRATAFGLMKIDDEGRIVEFAEKPKGEKLKSMMVDTTILGLDPERAKELPYIASMGIYVFSKDVMLRLLRENFPAANDFGSEVIPGATEIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKVLDADVTDSVIGEGCVIKHCTINHSVVGLRSCISEGAVIEDSLLMGADYYETEDDKKVLSEAGGIPIGIGRNAHIRKAIIDKNARIGENVKIINFDNVQEAVRETEGYFIKSGIVTVIKDALIPSGTVI >KQL22812 pep chromosome:Setaria_italica_v2.0:II:5494169:5501604:-1 gene:SETIT_028786mg transcript:KQL22812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGGGGGGGGRGGAGGRGPGGRGGEGGGRGVGGRGGGAGHQGPYGRGGGGGGYQQPYGRGGRGGWYQQPYGRGGGGGRGAGGGHPQQPPFGRREGGGGPGRGRVEAPVRPAAPRPAAPVAVPAAAASTSARPPAPASASSTAPAPAPAPAPAPPGPAAAAAAASALARGMGRLAVADAGPAPPAPSGRSAAQPPAHQPPAAPPVSSKGIAHPARPGIGTVGRKVLVRANHFLVKFADNDICHYDVSISPEPKVRRTNRVLLSELVKVHGASLAHQMPAYDGSRSLYTAGELPFKSMDFVVKLGRREIEYTVTIRYAARANLCHLQQFINGQQRDSPHDAIQALDVVMRESPSLNYVTVSRSFFSKQFGTGNIGEGLEFWRGYYQSLRPTQMGLSLNIDTCSTSFYKSIPVVQFVDEFLRVTNPMQPFSDRDRLKIKKALRGVRVETTHQQGKRSIYKITGITSVPLAQLSFSCNDGPQLTVVQYFEQRYKYRLQYTAWPCLQSGKDSKPIYLPMEVCEIIEGQKYPRKLSDPQVASILKATCKRPQERENNIIQMVGRNNYSADRLAQAFRITVANHMVEVQARVLPPPVLKYHESGREKTVAPSVGKWNMINKKMVNGRTVDRWTCLSFSRMVHHDVVRICYDLVHMCKSIGMDFNATPVIEVQSASPNNIEAALRNVHINAPNLQLLIVILPEISGHYGKIKKLCETELDIVSQCINPKSPKNKQYFENVALKINVKVGGCNTLLEMPKGIPFVPDAPTIIFGADVTHPVAGEDSSASIAAVVASMDWPEITTYKALVSAQEHRQEIIQNLFWTTTDPEKGTAVNGGMIRELLLSFYKKTGQKPKRIIFYRDGVSEGQFSHVLLYEMDAIRKACASMEQGYLPPVTFVVVQKRHHTRLFPDHRRRDLTDRSGNILPGTVVDTDVCHPSEFDFYLCSHAGIQGTSRPTHYHVLYDENHFSADALQMLTNNLCYTYACCTRSISVVPPAYYAHLAASRARYYDEQAEGADGASVASGGPAAFRQLPQVKDKVKEVMFFC >KQL23607 pep chromosome:Setaria_italica_v2.0:II:15446584:15449631:-1 gene:SETIT_030460mg transcript:KQL23607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNGQIHHHDEQPRAEQKIIIDTDPGIDDSVAIMMAFQSPGVQVLGLTTIFGNCTTEHATRNALILCEKAGHPEVPVAEGSHEPLKGGKPKVAAFVHGSDGLGNIELPDPTIKKVEQSAAEFLVDKVSQFPGEVSVLALGPLTNLALAIKKDPSFVKNVRKIVVLGGAFFSAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQVSFTDKDLLELRNSKGKHAQFLCDVCKFYLDWHIESYGAPVIFLHDPVSFAALVRPDLFTFRKGVVRVETQGICAGHTSMDMLLKK >KQL23606 pep chromosome:Setaria_italica_v2.0:II:15445826:15449631:-1 gene:SETIT_030460mg transcript:KQL23606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASNGQIHHHDEQPRAEQKIIIDTDPGIDDSVAIMMAFQSPGVQVLGLTTIFGNCTTEHATRNALILCEKAGHPEVPVAEGSHEPLKGGKPKVAAFVHGSDGLGNIELPDPTIKKVEQSAAEFLVDKVSQFPGEVSVLALGPLTNLALAIKKDPSFVKNVRKIVVLGGAFFSAGNATPSAEANIHSDPEAADIVFTSGADIYVVGLNITTQVSFTDKDLLELRNSKGKHAQFLCDVCKFYLDWHIESYGAPVIFLHDPVSFAALVRPDLFTFRKGVVRVETQGICAGHTSMDMLLKKWNSENPWTGYSPISVAWTVDVPKMVAFVKELVTGE >KQL25563 pep chromosome:Setaria_italica_v2.0:II:39070017:39070472:1 gene:SETIT_031897mg transcript:KQL25563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRKEEMTMISAKRVAQLAKKWQRMAALGRKRLSWGAAKEADDCCTSVAGKGHCVVYTVDGRRFEVPLAYLTKTVFTELLQMSHEEFGFTSDGRITIPCDAAAMEYVMCLLRRSASAEIERAFLSTMAVPCHFAYCAAPSAGLSQPVVVCSS >KQL22712 pep chromosome:Setaria_italica_v2.0:II:4725869:4729534:-1 gene:SETIT_029682mg transcript:KQL22712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHGCVPDAVLYQTVIHALCDQGGVAEAATLLDEMFLMGCPADVNTFDDLVRGLCGLGRVRDAARLVDRMMMKGCTPSMLTYGFLMEGLCRARQVDEALAMLGRVPEVNVVLFNTVIGGCLAEGKLDKATELYEIMGSKGYPPDVHTYSILVHGLCKLGRFGSAMRLLGEMEEKGCAPNVMTYTTLLHSFCRNGMWDDTRAILDQMSAKGLSMNSQGYNGMIYALCKDGKFDDAMRLIQEMKSQGCKPDICTYNTVIYHLCNNDRMEEAEHVFGNLLEEGVVANGITYNTLIHALLRSGRWQQGLRLANEMVLNGCSLDVISYNGLIKALCKEGNVDRSMGLLQEMMEKGIKPNNFSYNILISELCKARKVRDALELSKEMLNQGLTPDIVTYNTLINGLCKMGWTHAALNLLEKLPNENVHPDIITYNILISWHCKVRLLDDASMLLNKAVNGGIVPNERTWGVIVQNFVRQPVNLEAY >KQL22711 pep chromosome:Setaria_italica_v2.0:II:4725395:4729534:-1 gene:SETIT_029682mg transcript:KQL22711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHGCVPDAVLYQTVIHALCDQGGVAEAATLLDEMFLMGCPADVNTFDDLVRGLCGLGRVRDAARLVDRMMMKGCTPSMLTYGFLMEGLCRARQVDEALAMLGRVPEVNVVLFNTVIGGCLAEGKLDKATELYEIMGSKGYPPDVHTYSILVHGLCKLGRFGSAMRLLGEMEEKGCAPNVMTYTTLLHSFCRNGMWDDTRAILDQMSAKGLSMNSQGYNGMIYALCKDGKFDDAMRLIQEMKSQGCKPDICTYNTVIYHLCNNDRMEEAEHVFGNLLEEGVVANGITYNTLIHALLRSGRWQQGLRLANEMVLNGCSLDVISYNGLIKALCKEGNVDRSMGLLQEMMEKGIKPNNFSYNILISELCKARKVRDALELSKEMLNQGLTPDIVTYNTLINGLCKMGWTHAALNLLEKLPNENVHPDIITYNILISWHCKVRLLDDASMLLNKAVNGGIVPNERTWGVIVQNFVRQPVNLEAY >KQL26128 pep chromosome:Setaria_italica_v2.0:II:42498218:42499810:1 gene:SETIT_032234mg transcript:KQL26128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKAAYNEILTAIDRDEGGLFFVDGPGGTGKTFLYRALLATVRGQGKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTAKLLQTASLIIWDEASMTKRQAIEALDKSMRDIMDIPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRKSELWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSGLDRLIDSVYQMNSACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDSVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTAKNIKILTAENDDEDEDNKNKPSEKNKKRKKRNTKSDMSDKKEVNQKDTTDRYTKNIVYSEVLTK >KQL26403 pep chromosome:Setaria_italica_v2.0:II:44128234:44132300:1 gene:SETIT_030465mg transcript:KQL26403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSRRASQLLGSAASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGVMCAILLTWVQGDYVTLHAAVQGEKTTLWRSNQFTKCL >KQL26402 pep chromosome:Setaria_italica_v2.0:II:44128129:44133235:1 gene:SETIT_030465mg transcript:KQL26402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSRRASQLLGSAASRLLHARGFAAAAAAAPSPAVFVDKSTRVICQGITGKNGTFHTEQAIEYGTNMVGGVTPKKGGTEHLGLPVFNSVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVKVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFVDDPQTEGIVLIGEIGGTAEEDAAAFIQESKTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALREAGVTVVESPAKIGSTMFEIFKQRGMVE >KQL23876 pep chromosome:Setaria_italica_v2.0:II:21921174:21923444:1 gene:SETIT_030487mg transcript:KQL23876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAWVKLEQKGAGPGARSSHAITLVGDMAYAFGGEFTPRVPVDKTMYAFDLKSHTWSAVGATGDVPPPRVGVTMAAVGGTVYMFGGRDQEHKELNELYSFDTSTGTWALLSSGADGPPHRSYHSMVADAVGGRVYVFGGCGDAGRLNDLWGYDVAAGRWERLPSPGEACRPRGGPGLAVAGGKLWVVYGFGGEELDDVHCYDPATGRWSAVETSGDKPSPRSVFCVTGIGRHVLVFGGEVDPSDLGHLGAGKFSAEAFALDTETGAWTRLDDDAEAEPEHHPGPRGWCAFAAGEKEGRRGLLVYGGNSPTNDRLDDIYFFAPVLEAAR >KQL27217 pep chromosome:Setaria_italica_v2.0:II:48622618:48624237:1 gene:SETIT_030394mg transcript:KQL27217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFGHHHHNQPAPPASGPNQVFKIFCRANENYCLAVRDGAVVLAPANPKDDHQHWYKDMRFSTRVKDEEGMPAFALVNKATGLAIKHSLGQSHPVKLVPFNPAEEDASVLWTESKDIGKGFRCIRMVNNTRLNFDAFHGDKDHGGVHDGTTVVLWDWCKGENQSWKILPWGPEAHSPAAGPGNASIGGVPVHTVRVFCKAGEDYSLTARNGTVCLAPSNPRDEYQHWIKDMRHSTRIRDEEGYPAFALVNKVTGEAIKHSTGQGHSVKLVPYNPDYKDESVLWTESRDVGDGFRCVRMVNNIYLNFDAFHGDKAHGGVHDGTEIVLWEWAKGDNQRWKILPW >KQL25973 pep chromosome:Setaria_italica_v2.0:II:41668768:41669767:1 gene:SETIT_030846mg transcript:KQL25973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPSPTMRRLKQAAAAARAPQAAAPAPVLRRPAGDSGGGARGGPGRVLQASPSSGKRHTTAGGGGNGRDQGRVIRSAAAPAHASSNSSSGIKRRGSATAQPASGRAVRDPPPAPPSAPPAAPAADGGGNAAAAAVGDRVLVRTPVTHSLAGQHVVITLGAVVVSVHSTAEEDGDDGYLDVVFDGEFPPHDPSSTVRITWDQLVVSTPAAAKKPLASGAASTTVPAPARPSKREAGGSATSLRGAERQRSLEDARCKRSRY >KQL24744 pep chromosome:Setaria_italica_v2.0:II:32801246:32802744:-1 gene:SETIT_030501mg transcript:KQL24744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALSFPIIDMGLLAGEERPAGMELLRDACENWGFFEILNHGISTELMDEVERLTKDHYKRVREQRFLEFASKALKDDDGGDAQGVKKAENLDWESTFFVRHLPESNLADIPDLDDGYRRAMRRFAGELEALAERLLDLLCENLGLEKGYLARAFRGPSRGAPTFATKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPTRHSIVVNLGDQLEVITNGRYKSVMHRVVAQTDGNRMSIASFYNPGSDAVIFPAPALVKADEASAAYPKFVFEDYMKLYVRHKFEAKEPRFEAFKSMETETSNRIAIA >KQL26330 pep chromosome:Setaria_italica_v2.0:II:43794812:43798796:-1 gene:SETIT_029718mg transcript:KQL26330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDASGAETYTTDEALSRLGFGRFQALLLGFLGTGWVAEAMEVMLLSFVGPSMKEEWGVSGAAEGLITSVVFAGMLLGACVGGLGSDRYGRRAGFLFTAIVSGVPGLLCAFSPNYATLLALRFVVGLGLGASHVLPTWFLEFVPAESRGSWIVVFTCFWTLGTILEALLAWAIMPILGWRWLLALSSTPCFILLIFSSVIPESPRYLCSRGKINEAMLVLERIARMNNKALPPGTVTSEPKRIDDNYDPSVTTVLLMTEDRLDDDTSTKSNSKSIFKAFWSRDLIRSTLLLWLVHFASHFAYYGLVYLISELSSGRSQPKDSSLYINVLVTSFAEFPGLLLAALLVDRIGRKVMLGGMILLCCAFLAPLATQLREDLSIILLFCARSCVMGCFAVLHVYSSEIYPTSCRNTGVGFASFIGRIGSIVAPLTTTALLENHHQKEVVLVMDLALFLAGVACTLFPLETKGREIH >KQL27150 pep chromosome:Setaria_italica_v2.0:II:48123074:48123469:1 gene:SETIT_032175mg transcript:KQL27150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFLPQTSLSQFAVVAAIVALLAVTAAAQAPAATPTPAPRMAPLRPPPARSPASAPSPDAKPPTASALSPMASPPAPPNEAPTAPAPSAMTPTTSVSTPAGAPTETPAGNGAASSVVSFITIVGAVAAAIMF >KQL26019 pep chromosome:Setaria_italica_v2.0:II:41860439:41862142:1 gene:SETIT_032217mg transcript:KQL26019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSGVGGQAVDAYRKALATAASAAAYAVMARGMARELLPPELRAAARWASSALLERLGRAKKERRTLVVRSQQGGGGGGGREENLLFDAARTYLASRLDPRAMPRLGLTLACARDGDGRASWRRVLFLEPGDSTVDVFDGVRFKWACVEAPSGGGGKKKARRDEPGTGGDRDFVLELSFAAEHTDVAVDQYVPFVMEAAEEVEQRDRALKICMNEGRTWYRVSHHHPATFDTLAMDRDLKRSIVADLDLFASRRDHYRRIGKAWKRGYLLYGPPGTGKSSLVAAMANHLRYDLYDLDLSHVHLNTSLQWLLVGMSNRSILVIEDIDCCCDAMSREDDKAPPRTGDGGGDDEIGTGIASDSDAPLPPAKSKSKSKSKNDQGPPAEGITLSGLLNFIDGLWSTSGEERIIVFTTNYKDRLDPALLRPGRMDMHVYMGYCGWDAFKTLARNYFLIDDHPLFPEIQALLAEVEVTPAAVSEMLLRSDDAGVALRGLKDFLEEKKQEALPEGGKQSGEEAGKA >KQL25366 pep chromosome:Setaria_italica_v2.0:II:37624067:37626959:1 gene:SETIT_032507mg transcript:KQL25366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRPSHPPPPPRAAALDEDRNAAQPARRASLLSPPGGQPQAPRAPSLPMDLSSQYQRRFAPSLFLPPMAPPRGLASGSGFSAFSNYQGPPALSPAAAGGSHLARSLPKAPFFSADSLAALPYAADPAAGAAVQRSPPSLGSEQQGPSVSGLPPRGAGHRRSRSDFVVGFSLQNQLPLPVLPAAEGYSKSADAAALEELFRSYRDPKALGVLGSSGDGPNDRNSHLGNQVNSQRAWSPADSSDNEAESWATGSGGGGGGSTSQRRHCRSLSVDSIMGNLNFGALGQVSPTLPPPSPVSGPGGSGSHTGSGPSGSAAAVATSELANGEFTESEMKKIMANDRLAEIALADPKRVKRILANRISAAKSKERKVKYMGELERRVHVLQMETSTLFSKANFSQRECEVLKTQNNEMKIRLQALEQQALLKDALNQALSAEVHRLKLAAGETSDAHAPNDSHHHMSRQILEQQLLQLQKQPSEAQKAQQ >KQL25432 pep chromosome:Setaria_italica_v2.0:II:38100682:38103952:1 gene:SETIT_033268mg transcript:KQL25432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLGFFVLLLLLLLHFGPSACSNVYIVYMGERSPELQPALVRDSHHGMLAAVLGSEPAAKDAILYSYRHGFSGFAAVLTDRQAAQLADWPGVVRVVRNRVLDLHTTRSWDFMRLKPSPSGGILLESRFGEDSIIGVLDTGIWPESASFRDDGIGEVPRRWKGRCIAGDRFNASNCNRKIIGAKWYIKGYEAEYGKMNTTDVYEFMSARDAVGHGTHTASTAAGALVANANFRGLASGVARGGAPNARLAVYKVCWATGDCTSADILAAFDDAIHDRVDVLSVSLGQAPPLPAYVDDVLSIGSFHAVAKGIVVVCSAGNSGPYSETVINSAPWIVTVAAGTLDRTFLTKITLGNNSTYVGQTLYSGKHPAKSMRVVYAEDIASNNADDTDARSCTAGSLNSTLVKGNVVLCFQTRAQRSASVAVETVKKARGVGVIFAQFLTKDIASSFDIPCVQVDYQVGTAILAYTTSMRNPTVQFSSAKTILGELIGPEVAYFSSRGPSSLSPSVLKPDIAAPGVNILAAWTPAAAISSAIGSVNFKIDSGTSMSCPHISGVAALLKSMHPNWSPAAVKSALVTTANIHDNYGFEIVSEAAPYNQANPFDYGGGHVNPNRAAHPGLVYDMGTSDYVRFLCSMGYNSSAISSMTQQHATCQHTPKTQLNLNLPSITIPELRGKLTVSRTVTNVGSVMSKYRAHVEPPPGVDVAVSPSLLTFNSTVRRLTFKVTFQAKLKVQGRYTFGSLTWEDGAHTVRIPLVVRTMISKFYVDA >KQL25056 pep chromosome:Setaria_italica_v2.0:II:35610136:35613568:1 gene:SETIT_029720mg transcript:KQL25056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQEDGNRRRREVEAPVVALECVAGSSKAEEWGGGGGVVQEGDVVEAVRVGRGSRGGGTAAALELEAPFKGGRAGLHKALHAAFKRGDTSVEVRVRGGRELQACIVPHHASPGGGGGGGGGRKQYVLRSMHDPNYVLGFVDRLESECLVLQGTRSTRVASALSKAQLQDGYVAYPWEKKMRDTLRMPNSSCYLSMLVLPKALDSNACRYESFDDTLARANAWICSAQASGIPVDYRNVQSEALLTKISGETASATVNSGSLSDLSNVTNATLYGFEDYHGVDIGVVKAARLWYSSIGELLLEIPLEEGDTRLGFAISRTEEGFIYISSVVDDEECKGPSSRSGLRDLFNRAKEASKLLVISRVSNEKVLPWMISSSGSVRCFDTISLSQKLSLHRLAVRPIELHLLAWEKPAGPVGRIFHSPKLPLPSTLLPQPHQNVVTESVEPRVDAEQDYVGDLSFRLDDISFESSWV >KQL23817 pep chromosome:Setaria_italica_v2.0:II:20440215:20441300:-1 gene:SETIT_033065mg transcript:KQL23817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHRAAAVAVCRRLLSSSSSAHSRLLGHFHHPGMTKDAAGIMYPTRTEPGIRFEPLAASFPRLSLEFLPVDVPFGFTLADSYRGLLLLRQPTLDGAPNFLVCDPVSRRHSLLPPLPATATTDGGTFFAPAILSRAAGRRFEFDAVCATVDAERPRAWVASFRDNGCSWRALSSCGEVKIEFDPFWLEQRCVHAAGSIYWHICGCQYALALDAATKAFSLMRVPDFIWEDLGHPKYRVGETPDGRLCVATVEHKVLRLCVRALRGTGSHDGWVLEREMCLRNVLNAVPGLPEHPLRKSHFSYWISDIDPGRTGRVFIRTMGCGRFSCHMDTGKLDSLLTDDGLEYGDPILAYFAAPNGGSD >KQL26278 pep chromosome:Setaria_italica_v2.0:II:43488238:43492294:-1 gene:SETIT_029913mg transcript:KQL26278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVVSGGTTAVTAAGAGGEDGRRRGRRWKAPREEQLGSVPGRIFSNDGRSRTAAVFTQQGRKGINQDAMLVWDGFGGEDDVVLCGVFDGHGPHGHLVARRVRDALPLRLMSAVRASKAGLDMPAAAWRKAFARAYKAMDKDLRSHATLDCFCSGSTGVTVLKLGSDLYMANIGDSRAVLGSRDAAAGGMVAVQLTVDLKPDVPSEAERIKKCRGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKDYGVISVPEFFHWSLSEKDQFVILASDGVWDVLSNQEAVDIVSSSPSRSKAAKSLVEAATREWKTKYPTSKIDDCAVVCLYLDGKMDHERDSTASMDNMSLDEGSVADPNEAEQEPALTRNFTVRTVAGSAHEKVLAGAVDAVVAGAAHDQNWSGLDGVTRVNSLVQLPRFSEEKAIG >KQL22312 pep chromosome:Setaria_italica_v2.0:II:1567885:1568973:1 gene:SETIT_032941mg transcript:KQL22312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRARAPAPPELMEDAVEEILLRLPPDEPAALVRASLVCKPWRRIVSDPAFLRRYRRFHPTPPLLGFFNELYGAAPERRFVPTTPASPFPKAAFDRRSWAAVDCRHGRVLLQKEKAGGNFVVWDPITGDQEELHMPSITGDRATSFYSASVLCAAAGCDHRDCHGGPFLVVYLGNDESQPIVHACVYSSESGAWVTMVSAHIGYNDFFTAYCGTLISDEIYQVIIGDDDAILKYDLGNHCLSVIGLPDESDDGGIVPMPTEDGSLGLASISASCLHLWSRKVNEDGIAGWVQCRVVDLQALLPIDSPCKRAYVIGFAEGVGVIFVTTEVGTFTVELKSGKVKKVSEPRYYFVVPFMTFGTP >KQL26851 pep chromosome:Setaria_italica_v2.0:II:46617428:46619114:1 gene:SETIT_031230mg transcript:KQL26851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKRKSLKPTKSLRDAEEVLTPDYIVGDALDDLLSKLVRSVEVAKASRGGLPEKIWMKKQFAIGVNDVTRVLERMPPAAAPDSAHSSSEAQTVSGRRRAPLVPLQAVLVAADCNPKWLTKHIPTLASTRQVPVLCLKDNKGGSVRLGHVVNLRTALAIGVKAGDSIINKTIDEFLECNKQ >KQL26060 pep chromosome:Setaria_italica_v2.0:II:42113065:42114129:-1 gene:SETIT_033367mg transcript:KQL26060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DNEEHRRCITACLVKGTYVLESDRTNGRQEPANALAPAWWESFHFGRLPFRVLECECECALCKIGRHIVAGRSQGFIYGAIFEYVPPDGSRRHPSAPSYIVAFRGTMRRDATTLGDMRLDLRILLNAHHSCGRFSHAREEVRNFLDSVREATPPGAGSPAVWLAGHSLGASIALDVGRDIMANNRKLNLPTFLFNPPQVSPAAVINDNLPMAGVAKRGLHTSSYILKHGLGKVLKPHKKNMEEQFEQLSPWVPNLYVHQRDLICKGFIDYFEQRERVQERFPRVAASGTTLSYRDMCRSVFGMQNERPHLLPSAMLWKNQSEGSDPHELRQWWQPQQGPELVLTHKLYKWPASM >KQL27212 pep chromosome:Setaria_italica_v2.0:II:48559831:48560377:1 gene:SETIT_033794mg transcript:KQL27212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKPCLVYAMHYGWGLNGPGTLSSTIIMSGWLGKRKKF >KQL26274 pep chromosome:Setaria_italica_v2.0:II:43460840:43461607:-1 gene:SETIT_031557mg transcript:KQL26274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSSALLLALLVSAACLAQLGSATRPVPGQQAVAASAAAEDVKRPDTVQEGTVLIPGIGRYELGSHYIPDIGGLDHSIPAAANGQYLPGADDTWVPNPGFEIPNPFRPGAATP >KQL25055 pep chromosome:Setaria_italica_v2.0:II:35613433:35615317:-1 gene:SETIT_029291mg transcript:KQL25055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAGAAQRFDPAAPSPSRAPASRQDVQAAIAKAVELRQLHATLLQRGAPNARAGAGTGASRSPAVIGLPPVASPARSRTADEEYPVFTPAYDDDECVAAAALNHICQDNRSRSENWAGVALDHGGCDDAALSDYDGLNAFSSSNSEVLFPSSNDPCPRNRGAAYKIHPAFMHSAPSADRFLLSVGRAGYTTSELKLPPATCNNAIRPATTIGSTSRVPPPSAHSRSKTRAPQILSWLFPKSRKKARPPETATSPTAIERGNVSQLLTEWGALSLDSLKRELAEANAHRDAALREAAEVRSSLGELATKLVSVEAYCSELKKALRQATTSPSVSRRSTRSIEASRGLPMPVSHDVMVEGFLQIASEARLSVKQLCKALVQQANEEAGGDGLSDKLNLLLRPYQLALTGSNGNGKHCSKAVLYHLEAIMNQAMYQDFENPAFQRSGSPRCLDPAEGRRQSFAAFVALRNLSWNEVLRKGTKYYSEDFSRFCDRKMSGVVATMGWSRPWPEQLLQCFFVAAKCVWLLHLLAFSFGPPLTIMRVEDGRAFDQMYMEDILQDRQQVQGPCQVKIMVMPGFYVQDRVLKCRVLTTRSAA >KQL26717 pep chromosome:Setaria_italica_v2.0:II:45929935:45933190:-1 gene:SETIT_031251mg transcript:KQL26717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGKAPSLAEEYSLPSQEVQLQNPSEEKSAVSTVAEVVPEKSVETAPANEATVAVEETSQTPEVKEPSDEPEAEESSAAEESGEAAEESSDAAEEAADEKPEIKIETAPADFRFPTTNQTRHCFTRYIEYHRCVAAKGEGAPECEKFAKYYRSLCPSEWIERWNEQRENGTFPGPL >KQL27252 pep chromosome:Setaria_italica_v2.0:II:48834635:48838393:-1 gene:SETIT_028961mg transcript:KQL27252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPRPRPPPASLRGFLDAHFASPDDLAAAPALAELLRRECAELDASLRRLEAQLGAAAASWLARSAGARSGLHRIRSTGGAVGAEDEGAETVRKVGLPALVREIQRIDTIRLYAEATLQLEALVGNLEDVAFSIVRQASKLNLSSILRKSNETELKQAKLLNAVNAVRDIERELVRIRTSRPQWTSLIMAVDSRVDKTLAILRPQALTDYRALLAALGWPPPLSSPDTQNDKYSQIPNPLVLMNGENKEKYSQSFLALCALQHVQANREVRQCQLAAATPAWADSKCFDKTACLENGLWAIDELVHPVASRMEYHFAKWSEQPEFIFTLVYKITKDFMDGVDDILQPLIDHARLAGLSAKESWVTGMVKMLVGYLERQIFPALVTSNQDQSTVGKPEVESSWMHLNDLMISFDKRMQLLADSGIQKVASLSEGLSRSLSVFSIYGEHPDWLHIWATVELSSAQDKLKSEMEDETNWSCSDSQNDQLGHVENSMKFLLSTREDYKAPPLSEFVVKTALSMIERGRALPNRGMQIQYNRSSSVKFLNEFFLVLRDRCEALQLSNTALEDQSISKASCAINAARYCESVLREWDEDTAFLDMDPQGSLFAGETSFLVKLGTNYLEQILSAILLEFEDMSWEYVQNIGSWSGQTALDDQILDEENTGVSPGFLGSLDVLTDRTTKLKLYLNSKDFLDLWRSIAEGLDYFIYSSIRWGEVSFSDTGVIQLRVDTKALLHIFRPFCSRPEAFLPFLSESLRLLTMKKSDAQYLLEMLMNDTSSDSCLKHQGLHHVNARQAAKILRSRKFGG >KQL23591 pep chromosome:Setaria_italica_v2.0:II:15358199:15358459:-1 gene:SETIT_031961mg transcript:KQL23591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EWLLIFVGVTALMWAIWCTRNDLVFEKKRFTSFHAVFRGAYWLRFWSLLQREESRETICSASKALEIVALDIFAKNGWRSNNRLCF >KQL22549 pep chromosome:Setaria_italica_v2.0:II:3474189:3475869:-1 gene:SETIT_0289992mg transcript:KQL22549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATKRVAGKKAAVHMHGGRLHVRVCLDGGYNVPDEPSYACSDFRPSARQLWPPPLGVVELGIVGCKGLLPMRAADGKGCTDAYAVAKYGPKWARTRTIADSFDPAWNEQYTWPVYDPCTVLTVGVFDDPPPTPPSDAAGKDAAASRPMGKVRIRLSTLERGRVYRGLYPLIMMLPTGAKRMGDVELAVRFATSASALDVLHAYARPALPAMHHLRPVPVAHREALRLAAARVSAAHLARSEPPLRREVAAWMLDAAEPRGFSMRKLRANWNRAAAALSWVAAAARWVEDTRSWRNPAATAMAHAVLVLLAWHPDLVVPTAALHAAAVGVWRYRRRPRAPAPHPCVRTSMAEAPDREELDEEFDAIPSARPPEVVRVRYDRARMVGARLQQMVGDVATQAERLQALVSWRDRRATGVFVALCVLVAMVLYVVPIKMVAVVAGFYYLRHPMFRDQMPTPAINFFRRLPSMSERII >KQL22376 pep chromosome:Setaria_italica_v2.0:II:2105045:2106995:-1 gene:SETIT_029731mg transcript:KQL22376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRVLVGNLAVKMPAAAPRGGGTGGSSSGAGVHPTTAPCYCRIRLNKLPYQTASAPLLPPAEEGPASCTGAFAAAFHVSKADLDRAAAKPALFGARRTARLKVAVYAGRRGSTCGVSSGRLLGKVVVPLDLRAAAAKPVVFHSGWVAIGKRRAGRKPAAAGAAFGGAAAGHAQLNLTVRAEPDPRFVFEFDGEPECSPQVLQVQGRMRQPMFTCKFSCRSNSDLRSRSVQSDPGNGGRNWLAKFGSERERAGKERKGWSVTVHDLSGSPVALASMVTPFVASPGTDRVSRSNPGGWLILRPVDGTWTPWGRLECWRERGGAGAGGDSLGYRFELVPDHTNSGVGVCVAESGVPVSKGGRFAIDLTAAQPFGRSGSPGCSPRGSGDFGHGLWPFGSFRGFVMSAAVQGEGRCSKPTVEVGVAHVGCAEDAAAFVALAAAVDLSMDACRLFSCKLRRELSASRAELLR >KQL23784 pep chromosome:Setaria_italica_v2.0:II:19055411:19058298:1 gene:SETIT_030403mg transcript:KQL23784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTETPMPALSGHGRTVCVTGAGGFIASWLVKRLLEKGYTVRGTVRNPVDPKNDHLRALEGATDRLVLLRADLLDPDSLLAAFSGCEGVFHAASPVTDDPEKMIEPAILGTRYVITAAADTGVKRVVFTSSIGTVYMNPYRDPNKLVDDTCWSDLEYCKKTKNWYCYAKTVAEQGAWEVARMRGLDLIVVNPVLVLGPLLQPTVNASTDHVMKYLTGSAKTYVNAAQAYVHVMDVAEAHVRVYEAPGAHGRYICAESTLHRGELCRILAKLFPEYPIPTKCKDEVNPPVIGYKFTNQRLKDLGMEFVPVLQCLYETVKSLQEKGMLPVLPPNDQDQQLITS >KQL26117 pep chromosome:Setaria_italica_v2.0:II:42448747:42451590:-1 gene:SETIT_031890mg transcript:KQL26117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGIHSIVAVAVAIIMLPLTAAQPFRYSTCAGGTYDDAANSTFKGNLELLAAALPGNASATPAGFAAGTVGAVPNQVSALALCRGDTDAPTCRECVAAALPGARRDCPGSKDVTVYQDACVVRFSDQRFLDFVGVNSPYAVSYWDADSLAVPEARFDAAVAALMSAAADRAVAAAAASSSARKKYFATAVMDFDAHYPRIYGLEQCVPDMSAAQCGSCLRNLVASIPGFLNGKPGGRSLGIWCNLRYSVRPFFNGSAMLHLAAPASAPAPTVVPSVDTPKAGAGAALEKMARAKCMIFDFLTLQEATENFSEERKLGQGGFGIVYKGKLPDGQEIAVKKLLDSATGHGLLQLQNEMQVLATLQHKNLVRLHGFCVHQNEMMLVYEFIKNGSLDTFLFEDTRTGNKLSWDQQYNIIVGIAKGIIGYMAPEYAMHGSVSPKVDVFSFGVLVLEIVTRRRNTSFDDCDNVKNLLSDVWNCWTKGMISQMMDQNLEGYSRTQALRCIQIGLLCAQPDPDDRPDISSVVFMLTRDNMELQAPAQPAFFFGRDSTVVSQPYEQRVYVYDRSDVTVNEVTLTDPHPR >KQL23723 pep chromosome:Setaria_italica_v2.0:II:17002011:17005861:-1 gene:SETIT_029252mg transcript:KQL23723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSREVKDEAEERLRSDEEEEEEEGEEGWDDWCSDGDDAGGGLLCLFCSSRFDADSSLFAHCSAEHRFDFHRIVKELRLDFYGCIRLINFVRSKVAENKCWSCGQAFSSNTELCSHLHALENYLIEGKVPWEDDVYLKPFMEDDSLLHSLFMDDDEEDEECGTSMERGQCSAGDRVLAEPLGNKLSTLSEGNSSEISAQFEKGCTIGSTQAEDRESLAHETNDSQLKIARASVNAKAIKTVDDNYFGSYSSFGIHREMLGDKVRTDAYRDALLGNPSLLRGAAVLDVGCGTGILSLFAAKAGASRVVAVDGSAKMASVATQVAKNNGLLYDENLKAEQKRGAQVISVVHTKAEELNQKIQVPQHGFDVLVSEWMGYCLLFESMLSSVIYARDHFLKPGGAILPDTATIVGAGFGKGGTSLPFWENVYGFDMSCIGKEVTSTSARFPVVDVIASQDIVTETAVLHSFDLATMKESEMDFTASFELRLSESATVVPGVTWCYGIVLWFDTGFTDRFCKEKPVVLSTSPFSTPTHWSQTIFTFEEPIAMVKEESTLGSSASVGTDECPATMLRSRISIVRASEHRSIDISVETTAFSSDGWKRSWPIQIFNL >KQL27022 pep chromosome:Setaria_italica_v2.0:II:47449485:47449978:-1 gene:SETIT_033566mg transcript:KQL27022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTVSPPHPRRLSSPSARSLPAAAPLSHRPPRSSRI >KQL25816 pep chromosome:Setaria_italica_v2.0:II:40703196:40705557:-1 gene:SETIT_032339mg transcript:KQL25816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIGPKRFTYGPVPFRAKSFDMVQVFSVAIKEIKPELGFHWPLQVYGLVAARDNSDSRLRNIIFSRDRNHCQMLTAETHLHDSSLVLTGPSRAVVAHGPITFEIEVKVKGNKESEDNLLSSLVIDYNSNIGWTTYGKLHREIVSNKASTTEVLFAQLEDTVEATVDIKVIDGSWSNFCPRLIARTKSFPDGDFVLFDPRGDMVESDEGMIQLSRSVVTVESNGELELTAEAREHGSSIIVVSKTIMFTPKRIGTTDESLDLGFCKMDVCVSWSLIIPCN >KQL22402 pep chromosome:Setaria_italica_v2.0:II:2368300:2369722:1 gene:SETIT_029988mg transcript:KQL22402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIADADIVRGTVILVAIVAFLAAVFLFVCTRRWACLGGAMRSTSASQSYAVVSDRQIRHATVERFLWEIRNEKPFRFTSRQIARFTRNYSTRLGAGGFGTVFRGELPNGLAVAVKVFHPGLGARSEQEQFMAEVGTIGRTSHINLVRLFGFCFDDALRALVYEYMEHGALDSYLLGRRGRDDAVDVAALRDIAAGVARGIRYLHEECQQKIVHYDIKPGNVLLDGELTPKVADFGLARLVNRADTHVSVSCVRGTPGFAAPEMWMMSGVTEKCDVYSFGMLLLEIVGRRRNFDEAAPESQQWFPRLAWEKYEAGELMELVGNGRGVSAAGEEQCKELVERMCKVAFWCVQQPPEARPPMRAVVKMLESEMEIAPPLNPFQHLMAPPTVADQWTRMTTSANSVPEISMEIV >KQL24613 pep chromosome:Setaria_italica_v2.0:II:31773487:31774529:1 gene:SETIT_033138mg transcript:KQL24613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGASSRSSRRGHSSYKASSHGHWHHPHSARCLCLYLLLSVTLLIAVAAVLLVVFVTRLKKPAFYLQSVQMDRSFSLRLPSSSAASNRSANATMAAPCAVASLVFAAQNLNGLGIRYGAAVLGVSYANESVGAMDVPAFYQPPRSGNVTVIVHAALAERNVTRLLVRELSAQRSYMEVRVTGSIDARTHVMNFPLPKVQFSLDCRFGTNYTDIVLREGIESMMTRKALLVSSLPHLSQKCSIKIDLRSRRKRASLDDLGCW >KQL25162 pep chromosome:Setaria_italica_v2.0:II:36385563:36388014:1 gene:SETIT_032798mg transcript:KQL25162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATFGWHSTYMFPKLAHEAYKGQMDIITFVWRKPDISSCLFAESSCWFNLPIEDILLHIHSLLPLRDAARAASVSRAFQNSWRCHPNLYFSMKTLGLNATLHGHDKTEDKFIKIIYHILKMHSGSGLKTFGLEIYGHYNSCHLNSWLHLALTSGLEELILKLGMPNYNFPCSLLPGKSRDSIRNLCLSFCTFRPTARLSLRSLKKLYLNSFALERLELLHCSDIFHLKIPYLLRRLSHLDVKACHSLKVTEIKAPNLSTFLFKGNDIVQHSLGEACQVTNLYMSCYDAIHFARVDLPLIAPNLEILTIESPSEEVSNIAILPSTFHNLKYLDICVPGEFVVPDYDYFSLVSFLDASPFLETFILDIYLPTVFEDPESVLGEASHMRRMAEVHHSNLKRVKITNFHPTKCLKSYSKSGKCFILAVGAYIKGKVPSTARLDFPKPCTSD >KQL24428 pep chromosome:Setaria_italica_v2.0:II:30013354:30020533:-1 gene:SETIT_028663mg transcript:KQL24428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLEFAVEKARSLAAAADHERCHVQKGELARIETRRRQEAGHAVSTPRAQGAASPVGPPTPPRRPPEARSGGEGDAAPRCHPPCRDIRRVEEEAADEPGAQFLAPGTDFLHDFSDTDSSVSVSNSVYRSMTPSPAETPTYIVRLDDTSDHDVTTMTDSDDAREKVIAGIADEGEEVNTLSRIVDFGDDIWCPPPPEDEIDDVESRIFGIDDEDDDILSEPSCFSANKIAGVNGVFGGAHKDGVQNDLLKHFRALVAQLLTAEGISLASDNDSKSWLDIVSSLAWQAANYVKPDTKKGGSMDPGDYVKIKCIASGNPTDSNFVRGIVCSKNVRHKRMVSEHRNAKLLILGGALEYQKVSNKLASIGTILEQEKEHLRTIVGKIESRQPNVLLIEKSASSFAQELLAKDISLVLNVKRPLLDRISRCTGGQVASSIDNIISARLGQCDLFKVEKIPESSSAEHTGKCSIKTLMFFEGCLKRLGCTVLLRGNCREELKKIKRAMQLAVFAAYHLSLETSFLADEGATVPRIPSMPVIDAPDLQTHRDNVSASPADHNIPENLRDTEERYPHNATINQIFENISAAPTLLSASTLLPFDGVSQGTVPECGASEFPAGRINSQALSNSCHPNASCIKHSISPCSLSDYFRMSCAVTDYDDSYKFLQSSIASDACHASASSMKPCLLENCRSHPSIDNLQSGNTDVKDELSAGYLSGTDNNQSILVSLSSTCIPKSLACERSHLLRIKFYGSVDKPLGRYLREDLFDQAYCCPSCKEPSESHVRCYMHQHGSLTISVRRFLSQKLPGEHDGRIWMWHRCMRCKPKDGMPPATHRVIMSDAAWGLSFGKFLELSFSNHMTANRVASCGHSLQRDCLRFYGYGNMVAAFQYSPMVTLSVNLPPPVLDFSFHATQEWVKREAVEVFGKMESLHKEVYDLLHNIEKSIITEDDSVKTSMQRQIMEMKDLLNIERNEYEALLLPVIRGSAHSVKSKIDILELNRIRRGLFLDAHTWDCRLCGIDSLREGGDVSRTDSFNQEKPQGTNEARPELHQAITRLGGTWQEPCPRRSTGSPRKSLLSTEGHSKDHQSAMVEKDLQIGLMDGVAGDAGGLDLVFSKFNIYEGHHVSEDPIKTDPVERLPSLASILADKIDMAWSGSGELHYNLPHDLTKADENRSFSLLNNPSCKKATAPVRIHSFDAVLRLHQREQTGLVPASLHSALKPADSFRDLTSLVKDPMTNMRRAFSQISPRTRGNLNSVLTRAPKYITSASDMVKNGARLLLPNISCEGSVVITVYDDDPTSVVSYAMTSQEYVEHVTHKMNASSSFSDFTKASSNRLDGSSSLHEDLSDLKGTHFRFNFDDDVSSADSTKFSVTCYFARQFDALRKKCCPSDIDYIRSLSRCKRWSAQGGKSNVYFAKTMDERFIIKQVTKTELDSFVEFAPHYFRHLTESLSSRSPTCLAKIMGLYQVGIKSLKGGREVKMDLMVMENIFFQRTISRVYDLKGSVRSRYNSDTSGHNKVLLDSNLIEALHTKPMFLGSKAKRRLERAVWNDTSFLASLDVMDYSLLVGIDEEKSELVVGIIDFLRQYTWDKQLETWVKASGILGGPKNESPTVISPIQYKKRFRKAMSRYFLAVPDQWTS >KQL24752 pep chromosome:Setaria_italica_v2.0:II:32860438:32862009:1 gene:SETIT_029808mg transcript:KQL24752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRLVALLLLVASVACAFPVASAFGGDVRVALKHVDAGKQLSRPELIRRAMRRSKARAAALSAVRSRGRSARFSGGSDQQQAPGATPVRPSGDLEYVVDLAIGTPPQRVSALLDTGSDLIWTQCAPCANCLAQPDPLFVPAESASYEPMRCAGPLCSDILHHSCMRPDTCTYRYNYGDGTTTMGVYATERFTFTSSSGDDALSVLLGFGCGSMNVGSLNNGSGIVGFGRNPLSLVSQLSIRRFSYCLTPYASGRRSTLLFGSVADGVYGDDTAAGPVQTTPLLQSPQNPTFYYVHLAGLTVGARRLRIPESAFALQPDGSGGVIVDSGTALTLLPAAVLVEVVRAFQVQLRLPFANGSSPDDGVCFVVPAAWRRASSAPQVPVPRMVLHFQGADLDLPRRNYVLDDHRRGRLCLLLADSGDDGSTIGNLVQQDMRVLYDLEAETLSFAPAQC >KQL23917 pep chromosome:Setaria_italica_v2.0:II:22826840:22830086:1 gene:SETIT_029600mg transcript:KQL23917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKDYIDDNEPRVSKLKPPTSKSKSQKKKPNHIIMDNGPFSCQNFQKMGDADPSNRSSSGSAVSYSESCGPYGAADASEMTGSAQSHAWEFLVPSRKRSCVTRPKPSPVEMLAKDLSSIMHEEQLYYLSGSSEEDLLYHSETPVGSFEIGSGSVLLRHPNSKSPEEESEASSIPADNKSYITSESYSGSASFVVHSGNRAINLNPATARLKRSPLHIEDNARRDKLPYENKHILESIDSPLVSVDLEDVVNYSNFVKYLTKEDQQQLFKLLSPAESSTPDSSVRSMFDSIQFSDAIDSYQMLLGEGILDPSFSGDEGWNTVKMLALTNLTRCKWLECYKQQKEKEIKAIGGVENISGSKGSTKFTMKPLKRSRDTHIQSETELEGTMRTPKRVLKSGDLASQFKSSSMLKSGYATKGSTCTGGALSLFMLPPEKLSLLVPPQYGNGDSDQDLLLDIPLNARHAEAELLCQPSQLSSITHSSTSVDGVAGGEGCLKQP >KQL23916 pep chromosome:Setaria_italica_v2.0:II:22826840:22830086:1 gene:SETIT_029600mg transcript:KQL23916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKDYIDDNEPRVSKLKPPTSKSKSQKKKPNHIIMDNGPFSCQNFQKMGDADPSNRSSSGSAVSYSESCGPYGAADASEMTGSAQSHAWEFLVPSRKRSCVTRPKPSPVEMLAKDLSSIMHEEQLYYLSGSSEEDLLYHSETPVGSFEIGSGSVLLRHPNSKSPEEESEASSIPADNKSYITSESYSGSASFVVHSGNRAINLNPATARLKRSPLHIEDNARRDKLPYENKHILESIDSPLVSVDLEDVVNYSNFVKYLTKEDQQQLFKLLSPAESSTPDSVRSMFDSIQFSDAIDSYQMLLGEGILDPSFSGDEGWNTVKMLALTNLTRCKWLECYKQQKEKEIKAIGGVENISGSKGSTKFTMKPLKRSRDTHIQSETELEGTMRTPKRVLKSGDLASQFKSSSMLKSGYATKGSTCTGGALSLFMLPPEKLSLLVPPQYGNGDSDQDLLLDIPLNARHAEAELLCQPSQLSSITHSSTSVDGVAGGEGCLKQP >KQL23307 pep chromosome:Setaria_italica_v2.0:II:10699767:10700282:1 gene:SETIT_033604mg transcript:KQL23307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGGNTNKGKVNMTFQGQGTTNSGAVLSDISTYAGINSKRSLKYWE >KQL25071 pep chromosome:Setaria_italica_v2.0:II:35712425:35716344:1 gene:SETIT_030128mg transcript:KQL25071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAGYDLYDSSGTDDDLPPAQNRGLRGRSFSGNGRASAGAFPYMRANNDLESEIHRVEQDAYTGVLRAFKVQSDAISWEKESLITELRKELRVSDEEHRELLNKVNEDGTIRRMRELRQAGGTPSALHRGSRALYDAEPGPIAKRQRPSHSIPSQSAGLQSPVMPSHSVPSAKWGPLSARGKKPKTPMPSALPSADPNSLINHKVYTRWPDDNNFYEATITRYNPVTGEHALVYDIGTQAETWEMVRLCDMPPEDIRWEFDGHLSNRDGWGPSGPMLTRHPTNNGAMTGPIRGRGRLSINEPVKDYTPPQNGINRNFDNIDIPNTESVVTEVERVLSNPNMREIEKARKLLKDQEQSLLDAIARLDEASDSESEDMATAGRTGPAGD >KQL24590 pep chromosome:Setaria_italica_v2.0:II:31569191:31571078:-1 gene:SETIT_029472mg transcript:KQL24590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYWTALASLMGAVAFLQGLLHAVFPAELRAAVARLLGRLTRTFSPYCYFDVTEMDGMSTNEIYDAVQLYLSSTAAPASGARLSLSRPLNASSFTFGLAASDRVVDAFAGAAVTWEHVVAPRQGQGFSWRPLPEEKRRFTLRIRRGDRDKLLPAYLDHILAAAADIRRRSQDRLLYTNARGGAMDARGLPWDPVPFKHPSTFDTLAMDPARKAAIMADLRDFADGSAFYERTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDVYDLELTEVGSNAELRKLLMKTTSKSIIVIEDIDCSVDLTNRAKPPAAAPKPRPSIDGTIDQDGGAGAGRSITLSGLLNFTDGLWSCCGAERIFVFTTNHVEKLDPALLRSGRMDMHIFMSYCSFPALRILLRNYLGFESDEDLDRLGDEDAGAAAALRGLEEWVDAAEITPADVSEVLIKNRRSGKAEAMRELLDVLKARAEQRRRGGGGGAAAAPGKEAGGENEEEEEEKRALESPKEGKEQAGIDSCGDGQDEEAEAKKQV >KQL23780 pep chromosome:Setaria_italica_v2.0:II:18644369:18654511:1 gene:SETIT_029099mg transcript:KQL23780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGGGDRSGGRFQRGPSRWSSGGGGGGGGGGGSPPHRYSRGGEEGGGGGGRFHPYRGSSDYSGGGGGGGGYRGGGGVDDFGKQRHRYGGGNRGGGRGDFQDHDSRSNYVKLFVGSVPRTATEEDVRPLFEEHGDVLEVALIKDRKTGEQQGCCFVKYATSEEADRAIRGLHNQYTLPGAMGPVQVRYADGERERHGAIEHKLFVASLNKQATPKEIEEIFAPYGHVEDVYIMRDGMRQSRGCGFVKFSSKEPAVAAMNALSGTYIMRGCEQPLVIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPSDSWRPSSPRSMASNQYNNFGSDNPLALGGAVTSADTAGFRPPMFPGNGSLSTQTAVPTSSHVGMNPPMVQGHHLGSQQIPPMQKPPGPPQNFPVQLQNAQQGQPLQGSIQQIGQLQVPQSTGPVSYGQNMPSMQLPGQPPASQPLMQQNASLGALQAPSSVQSNPMQGQQQLPTSVAPQMLQQSMQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQTNLNQQPPAQVPKQVGSPAVSSTCNWTEHTSPEGFKYYYNSVTRESKWEKPEEFVLYEQQQQKLLLLQQHQQKIAAQQLQSPPQGQSLPSMQPIQQLSQAQGQTQMPMKQQELNYSQLQAAGSIDPSRIQQGIQAAQERAWKS >KQL23781 pep chromosome:Setaria_italica_v2.0:II:18644369:18654511:1 gene:SETIT_029099mg transcript:KQL23781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGGGDRSGGRFQRGPSRWSSGGGGGGGGGGGSPPHRYSRGGEEGGGGGGRFHPYRGSSDYSGGGGGGGGYRGGGGVDDFGKQRHRYGGGNRGGGRGDFQDHDSRSNYVKLFVGSVPRTATEEDVRPLFEEHGDVLEVALIKDRKTGEQQGCCFVKYATSEEADRAIRGLHNQYTLPGAMGPVQVRYADGERERHGAIEHKLFVASLNKQATPKEIEEIFAPYGHVEDVYIMRDGMRQSRGCGFVKFSSKEPAVAAMNALSGTYIMRGCEQPLVIRFADPKRPRPGESRGGPAFGGPGFSPRSDAALVIRPTANLDEPRGRHMPSDSWRPSSPRSMASNQYNNFGSDNPLALGGAVTSADTAGFRPPMFPGNGSLSTQTAVPTSSHVGMNPPMVQGHHLGSQQIPPMQKPPGPPQNFPVQLQNAQQGQPLQGSIQQIGQLQVPQSTGPVSYGQNMPSMQLPGQPPASQPLMQQNASLGALQAPSSVQSNPMQGQQQLPTSVAPQMLQQSMQQMPSQAPQLLLQQQAALQSSYQSSQQAIYQLQQQLQLMQQQTNLNQQPPAQVPKQQVGSPAVSSTCNWTEHTSPEGFKYYYNSVTRESKWEKPEEFVLYEQQQQKLLLLQQHQQKIAAQQLQSPPQGQSLPSMQPIQQLSQAQGQTQMPMKQQELNYSQLQAAGSIDPSRIQQGIQAAQERAWKS >KQL22208 pep chromosome:Setaria_italica_v2.0:II:567356:570681:-1 gene:SETIT_031492mg transcript:KQL22208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLSIFSWWSMAWFAMCCPMASSTMTCARRRALCRLSSISSAGGLEHLLMMKFMDLEASSPRMEATKSSIWGSFLRKIMQEIGKTIGSKYDVSETLYVLYSLSKIQGEQGCIRATELKDKLRESIVHENHV >KQL24775 pep chromosome:Setaria_italica_v2.0:II:33033845:33034259:1 gene:SETIT_033627mg transcript:KQL24775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRINTQMESTLEFRIEGNRVQCGMGDHASGAKFWVTVTPMWLQG >KQL25407 pep chromosome:Setaria_italica_v2.0:II:37915052:37915518:1 gene:SETIT_033797mg transcript:KQL25407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLFHRLLSFLDELMKAAAKASSWLLLQLRRTPVRA >KQL23068 pep chromosome:Setaria_italica_v2.0:II:8171766:8172886:-1 gene:SETIT_033387mg transcript:KQL23068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPYNDGAPAQAPTPVAVVSPPQFCAPYAVPLTVVKKAISLSGGDFVVTDANGAEMLRVKGAVFSVHDRRVLHDAAGQPLVSMREKVFSMHNRWEVFRGDSTNASDLLFTVKKASVFQLKTELDVFLAGNTAQQACDFKIKGSYFERSCAFYLGNSNNMIAQINRKYTAANVLLGKDTFVVTVFPHVDYVFIAALVVILDEIHRERFD >KQL26611 pep chromosome:Setaria_italica_v2.0:II:45391464:45392532:1 gene:SETIT_032054mg transcript:KQL26611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMATSNEPDAVGPVPFKDIAGAVPLTTVSGYPSDPKLWQSFTPRRGDVVLASPPKCGTTWLKALAFATMARGVYPPVDAEPHPLVRLNPHDCVPFMEMLFAAGQGRSKMDALPSPRLMATHMHHSILPASIKDNADCKIIYICREPKDMVVSLWHFARRTQPDLLFSDTGPIWDHVLGYWNASKASPETVLFLRYDEMLRDPVGNVKKAARFVGQPFSPAEEEAGVVMDVVRLCSFETLRNLEINRAGEVGDWASYMTPDMARRLDAVVDEKLRGSGLSFA >KQL26949 pep chromosome:Setaria_italica_v2.0:II:47085910:47091435:-1 gene:SETIT_030634mg transcript:KQL26949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRFGQSLARRLHRPLYLPPPPLPDFHAAVARSFAPTHTAACLRGFASLTYNASSVIGHTLGGPSPVHIVKVLDLVVHMDHTRPMSTVAASKVPLGARKVGMKVVMMSPGFVYEPYSPRERIPFWKRWFTLSGWRRTKEDIILEMKNAYAVSRLRKKTGYTKKQFYDQALNIYKEVNTLMAHGDTSALRKILTERMHSTIKNELKRRQSKWNSVYWELVEPAVSIRTLRARMIGLDKKDLDKAFIQLTLEFVTKQKFEAYNSKGEVVSGDKSKEVLVKDIWVFERSLFHPGAYWRVCGRINL >KQL24893 pep chromosome:Setaria_italica_v2.0:II:34459960:34461181:-1 gene:SETIT_031046mg transcript:KQL24893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGVKVFGMWASPMVIRVEWALRLKGVEYEYVDEDLANKSADLLRYNPVTKKVPVLVHDGKPIAESTIIVEYIDEAWKGGHPIMPADPYERAQARFWARYAEDKCNAALYPIFTATGEEQRKVAQEAQQCLKTLETALEGKKFFGGDAVGYLDIVVGWFAHWLPVVEEVCGVSIVTDEELPLMKAWFDRILAVDVLKAALPDRDRLVAANKARREQLHSA >KQL23294 pep chromosome:Setaria_italica_v2.0:II:10541976:10547211:1 gene:SETIT_032750mg transcript:KQL23294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSHLLLLALLLASACTSSSASGNTLTQSGNLTGIRTLASPGDAFRLGLFAASNRSRWFLGIWFTVSPDTVVWVANRDSPLTSPSGVVEVSIGGALVLRDGASTVWSSSDYSNSSAAAGAVAAELRDTGNLVLADAAGTVLWQSFEHPTNTFLPEMRVGRSLATGAEWSLSSWRAADDPSPGEFRYVMATPRGGAPELHVWSGGRKVYRTGPWNGVRFSGIPEMTTFEDMFEFRFTAAAGEVSYMFRNRDGSPMSRVLLNESGVMQRMVWDKASGTWSNFWSGPRDQCDGYGRCGAFGVCNVVDALVCGCITGFVPRSAAEWYMRNTSGGCARRTPLRCGGSGGGDDGFYVLRGVKLPDTERTAEDASATLQECARRCLANCSCTAYAAADIRGGGSGCIQWFGDLVDTRFVDSGQDLYVRLAKSELAVADAPKNTRKFVAVITLVVTGFALLLLSLAFVIWRKVRRSERVTMLDGAVELMSGECPTYPMGIIRTATNGFCADNVIGRGGFGVVYKGQLPDGQHVAVKKLSAENTAQALNEFMNEVVLIANLQHRNLVRLLGCCVHCSERMLVYEYMSNKSLDFFIFAERRRALLSWKTRLDIILGIARGVLYLHQDSRLNIIHRDLKAANVLLDGDMVAKISDFGIARLFSTSADRQETITGRIIGTYGYMAPEYAMDGTMSFMQDVYSFGVLLLEIVSGRRNQRSFNLIAHAWGLWEGGRSRDLTDPAIRGGCTGGELGQAATCVQVALLCVQDCPSRRPPMADVIPMLLQQTAPGRPQRPVVCSNPAAAAAAALAVLAEITGGGNELTITSLEGR >KQL22649 pep chromosome:Setaria_italica_v2.0:II:4181066:4181533:-1 gene:SETIT_031753mg transcript:KQL22649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGYMLAKGPEMMLLSQPAYQEFCYFGNTNWKAHDKCMVHKWMIAELALFLWWNYNGAVQYDVSYLCLL >KQL26469 pep chromosome:Setaria_italica_v2.0:II:44557822:44559606:1 gene:SETIT_029296mg transcript:KQL26469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLFRLVSLQASENEKQQQQQQQSASYNSRSTTSSGSRSSSHQTNASYNYYYHSNSSGGGGGGGQYYYGQQHQHQQYYLEPYQQEECGNAHHLYMDEDFSSSSSSRQHFHSHGAAVQPPTSSVTTAPTPPLSTSSTAAGAAHALFEAADLSFPPDLNLDFSSPASSSGGGAASSAAVGGGGSGRWASQLLLECARAVAARDSQRVQQLMWMLNELASPYGEVEQKLASYFLQGLFARLTASGPRTLRTLAAASDRNTSFDSTRRTALRFQELSPWSSFGHVAANGAILESFLEAAAASPEPQRFHILDLSNTFCTQWPTLLEALATRSADDTPHLSITTVVSAAPSAPTAAVQRVMREIGQRMEKFARLMGVPFSFRAVHHAGDLAELDLDALDLRDGGASTALAVNCVNSLRGVVPGGARRRDAFTSSLRRLDPRVVTVVEEEADLVASDPDTSSEEGGDSEAAFLKVFGEGLRFFSAYMDSLEESFPKTSNERLALERGAGRAIVDLVSCPASESMERRETAASWARRMRSAGFSPVAFSEDVADDVRSLLRRYREGWSMRDAGLDDSAAGAGVFLAWKEQPLVWASAWKP >KQL27033 pep chromosome:Setaria_italica_v2.0:II:47500104:47502578:1 gene:SETIT_031574mg transcript:KQL27033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEEAVKEQKKRREMEDAHEVGRCPSCGYGAAGLKMVVSARRRRGATQGDCVLVLMRALAVVGMLIWLIDGMAKMYINLGPERRWIFYSVVVEGIVVMVGGGMFLFWSSG >KQL24298 pep chromosome:Setaria_italica_v2.0:II:28712301:28712778:-1 gene:SETIT_033572mg transcript:KQL24298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDKLLHFEVSSNCTEQICRLISIGRFSISYRHHIRR >KQL25390 pep chromosome:Setaria_italica_v2.0:II:37807943:37808251:1 gene:SETIT_033563mg transcript:KQL25390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMQEHNSEFSSATVSSKHEGNRSLSTHIWHRLVQHKVYINNV >KQL22760 pep chromosome:Setaria_italica_v2.0:II:5125484:5130818:1 gene:SETIT_028825mg transcript:KQL22760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPASATATRFASQWVADALAGDEALDFSVLKALVGASSESLAGAPEATRERVALRCLEEVSAVIAAGGDAAATAKVLRADGARTCEDLLLHLIGEVGSSGNLEKDLLVPFSQEIQNIIRIKKPTLPETSFELLKEVDPEITSMAPPSQLKQNGTTQLDNDQSLCSSHDHVNIEKTKLPTDSGELQQEALVNSVDESGTRSMEKDSVAPTSVLHQPCTSDSKRYDPPQEDSIGAASLGAKSPESSPIVEGNISVGTVPASASCDAALQGSITEPLSKHVTKDHTTMNQPQSHREISPSPPHYIDGERPHDGSTSDLPSKDPRHEELSLNTTVNPDIDRTGDDLPTNASEPEFITEQNTTNISEPHSSGTHLSALQHESGEKVNQDLDDVSASIQPVEKDHVNEELSLQAASVLPSISCNGAIQGGKSETNHQPGNATEHAMVFEQQSVDKSHLEVSSINKVNQALHDGSIQENNAVNDGTNAQTSPMSQACNITLHDKISEAKYLSEENTGKNRTDGQKCGYSASVPSSAQDGYGKSAAKILNKENFGDTSVETSVPSSDDSLHGTAAAGLLVMTDKMPFCTKDQDINDSLGDLSQQDLCVKCGKDGQLLKCSSCLLTAHDSCFGSSATFEETGLFYCPVCFYTKATEAYQKAKKTYCEARKNLSAFLGTTPLVKQHHEQPTVVLPGATNREGHSNRCDLSKRKNIHQNEADNLAHRDEEPHRQRKKQKINATGNGYPEQIVTEKFPLPNSDVAPMNKHTILKNNSCKRVQGTEKQQQVENKEARKEAGNDNSSHEIRTSSQKKIGPENQEVESDKEDDPANSHQPDDSDELEATSSNGTGNRSSPPWRNMRHNKARLHEKEAVASSNSRKTAQREQHMPSLSRPKNYAYPQKRYSNPVAPSGRRTKLCWTEEEEAVLKEAMAKFTPQDDTPIPWVQILEYGRDVFHRTRLPSDLRVKWRNMKKRAGC >KQL24414 pep chromosome:Setaria_italica_v2.0:II:29829429:29831916:1 gene:SETIT_031418mg transcript:KQL24414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAATALAICALSALLVAARGSEKPPVAAVDVAAAGDLVRSGGHRYLDVRTEEEFRNGHVEDSLNVPYLFFTSQGREKNPKFIEQVAAHFDKQDSIVVGCKSGVRSELACFDLMAAGFENVKNIEGGYDAWVENGLAVKKPQAQDEL >KQL24459 pep chromosome:Setaria_italica_v2.0:II:30329700:30330608:1 gene:SETIT_032514mg transcript:KQL24459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGTSPRQRASSAATTLASSRSLPSTPSSRVATRSVPTLGAAFQHQGAGSETGSASAATQEEYVSVYDTSKEVVSVESEELLSGPDYLKIWVAEGDWEHAVRFSFVEVEPPGAAVNPAPLIHSAFHVAVPQLRFQLLPSSRGVALVHFGTAAAREAAMAVQPIRLNRTVVHLERVEDTDDRFLRESAWLAHVATWNLPEEHREAEKVRDIYSCVGSMIEIDPFCLPEFDWSCMCFIIELQHPHVPSRLGVHTPSGHGVVLRQSILVVWPCEQQLDANGDWIPFFAPLPPTNSPSSCLVSRL >KQL27326 pep chromosome:Setaria_italica_v2.0:II:49148802:49152729:-1 gene:SETIT_033421mg transcript:KQL27326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGSSRKQGTPRILSDSLFGGDRLCSSKGVGSTPMKMLIDDEVSGDVRHASPGIVGRLMGLDTMPSFGARSHSTHSGICPQFMSPGSSCDKNGFSGDVPHKSSTVEIPEFKDVFEVMEAARMKTQSLSSGCTNICSGLDKVNSADMNFVRQKFMDAKHLSTKESLQRSKEFDGALEALVSNKDLLLEFLQKSNHVPMKNCTDLSSPFSAVNHITVLKPSRRLKFVDADIINPQEDTKRCCRAPKGVKHPPRNPCADHSSQPPEEDASSFRQKFSRSSFKERIDTEGSPTCIVVLKPCLDKTENMEGAYPLTYEMFQSGCRKPRAPLNYGNAAPSRHTEEYMYQMSTGKCDVLGRGGKGSIEIAREVTKEMRRAVRGGIGGNRRFSPDIGPFNGDAQASLSLSMANLKSSEEYRRSNCCHDAWDGPNSGYSPTYSTKTSIRKEAKRRLSDRWKMAHQYQHPSQDANSFSTLGDMLALSDKEVSKFTSGSLTCCKCPKRELHRDGMPGSCSYPLGISSNDGWKDESVPNTTRLKSLSSSCISRKSLKMTSRKENSTLSEFSVLKDIVKVADELVHGRPMRSLVRSSTHHCDESDVPSLGEDESMVTECEIHANLEEPACSVAVPDSSEERLVQPANSKHILSAQCYLDSSCMVPEWQDEAQASVAGNLVMHQEPTWVLDDHIASSSPSNSANETEEHVLDHCRGNAFTSKPMEESASHEDDQPSPLSVCESSLGAEDGCSGGFEKISADLQGKDAKFSVELRMQLRLLKMEATGNADETELALSSDDGVSASCKPLDEACQTSDTFWDADERDFAYVLDMLTCLGIKSDEQDFQLNACYLWEYPAGSDVYDSLEKKYGKHMVWPQSERRFLFDLTFDALMDVVTSLTHSGMAKKWHSKKSDKEGVLDDVWGRVCRQRREAECFQEERLMGVGWLDCEDVTYEIAGELESMLGDDLLEETVALDPLIVIG >KQL24683 pep chromosome:Setaria_italica_v2.0:II:32223541:32224031:1 gene:SETIT_033756mg transcript:KQL24683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYEALYAYMLMHYTVLSYSASAEVRGDCYINYTKK >KQL22513 pep chromosome:Setaria_italica_v2.0:II:3235424:3236514:-1 gene:SETIT_030766mg transcript:KQL22513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPPFLYPPPASVYVAAMSAVSLMSMANAGLAELRGKHMAYSKFWHVVAAGAGGNTKQRGGGAVLSSRDGMLVAYAPALAAAAASFAVPGAVDGARAQVLAAALAAHFLKRVLEVLFVHRYSGSMPVDTAVTISTSYLLSTATMIYAQHLSRGLPDPPVDLLYPGVAVFAVGLAGNFYHHYLLSRLRADGGGGGGDKGYKIPRGGLFELVTCPHYLFEILGFFGFAMIAQTVYALAVATGTAAYLAGRSCATRRWYESKFDEFPARIKALVPFVL >KQL24313 pep chromosome:Setaria_italica_v2.0:II:28759565:28761151:-1 gene:SETIT_0303021mg transcript:KQL24313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGFKMFNIVSSWNKRRRSRSLDQLNPWVYKPAELWQAKEQSPPPKKRNCTMVFTLKEMEEATNMFSDRNLIGKGGFGRVYRGVLKDGQIVAIKKMDLPTSKQADGEREFRVEIDILSRLDHPNLVTLIGYCADGKHRFVVYEFMPKGNLQDILNGIGEVKMDWPLRLRIALGAARALAYLHSSTAVGVPVVHRDFKSSNILLTEHFEAK >KQL23904 pep chromosome:Setaria_italica_v2.0:II:22728591:22730751:1 gene:SETIT_031520mg transcript:KQL23904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYYHYGYGSPRFLEVAQGFHQRGIPWSEARGGAGVAVKKVKRYLAEMDKAVNYDYYYDDELRYTRFKSPFDRRPLVGRRTRYRKNEGKRTLRLVGSSTANYMRQCEEDAFGDFDSDDWEDEV >KQL27215 pep chromosome:Setaria_italica_v2.0:II:48606005:48608168:-1 gene:SETIT_030267mg transcript:KQL27215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMSAAQAATSQLPPGFRFHPTDEELILHYLRNRAASAPCPVPIIADVDIYKFNPWDLPSKALYGDGEYYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHDATTGESVGVKKALVFYEGRPPRGTKTNWIMHEYRLAAANNPLAAAYRPPSKFRNVSMRLDDWVLCRIYRKSGQASPMVPPLADYEHLDHDDPNSSGGFDDLCGFAYAPTSSSGATSTTASSAMIPQPPALLPRLPKIPSISELLDEYALAQIFDTTTPAEQDLLAVHPSLNQLLAVGGDSSAHPDLTTIYSPSTQAAGGKRKAMLSPDDECAAMIHPPAAAKRLNGSCFDAPQPAGGLPATTTSVLGGLNHHMLPQF >KQL26483 pep chromosome:Setaria_italica_v2.0:II:44654034:44656433:1 gene:SETIT_032945mg transcript:KQL26483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPTLSSSRSTPSLPSSPHRPALRPGTLQRLLRPPDPSDDDDSAGAVPTPRSRPRARDRVLLQVTNITPALSGADPFSGHHGFYLRLSDSSRSCYVSLHADHDDLILANGLHIGQVIEVDSLMPSVPAPVLRNFRVLPGRYPCVQQQDSGDEEVKEVVSERPRRPSPTPPLPERRARQGGSPASISHRHRSKSITNLPESGSPASVARRRESLLRGLDSPRSLRKISVPSIDGTSTDDDDTSDVSSSYSSLSTARRNWDFTGSIKDVSPSKSSSKLTAHQNDVASDPLESVRRKAEKAFKVLSRRNSHASSNTPRDSSCGAAMLQGASSSGIKWCENNVLWSSLSSRLVQHGKEAMKQRDMALQAVLDGLLEATATEKLIKCLSKYSELQSDKDDDPKELIDRFLKFSQELDRAIFIAESQARLHKQKHAALDRKQAAISWVRASIEADLSPFSSHTRAASESTKASVSEPKPVSPLFCSKPKCNCNSRPSKKNADGSTEGSNLNAALDLATAMRSDCNRWFLKYIDKFLDDIESETAYTTCDSQVAGLLQQLKKVDDWLNRVVRHERMFSIDRGSKDGVLSEEEESDACERVRRKIYGALLRHVQYAAMALEGISSVTDEEKEQK >KQL22922 pep chromosome:Setaria_italica_v2.0:II:6379717:6385639:-1 gene:SETIT_029176mg transcript:KQL22922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSWADSVANAEESAPATGAANGSVANHGNPRPTRSSYVPPHLRGRPAGGGFDAQAGSVAPGPGGPLPSAAAQPPAQAAAVGGPRWAGIVNGDGSGSVGAPRQGYGGGGSRGGGGGGGAWNSRPGGWDRRDREPDPFAKAEAEEVVFEGDNTGINFDAYEDIPVETSGHDVPPPANTFAEIDLGDALNENIRRCKYVKPTPVQRYAIPISIAGRDLMACAQTGSGKTAAFCFPIISGILKSRPPQRPRSSRTACPLALILSPTRELSVQIHEEARKFAYQTGVRVVVAYGGAPITNQLRELERGVEILVATPGRLMDLLERARVSLQNIMYLALDEADRMLDMGFEPQIRKIVEQMDMPPRGERQTMLFSATFPKEIQRMAADFLADYIFLAVGRVGSSTDLIVQRVEFVLDADKRSYLMDLLHAQKANGTHGKQALTLVFVETKRGADALEDWLYRNGFPATSIHGDRTQQEREHALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNESNLSLAKELSVLMQEANQEVPQWLERYAARPSYGGGGGRNRRSGGGARFGDRDFRRDRGAGGYGGGAGGYGGGGGGGYGGGSSGYGGGGYSGGGGGYGGGQGTSAWD >KQL26283 pep chromosome:Setaria_italica_v2.0:II:43542045:43545548:1 gene:SETIT_029407mg transcript:KQL26283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSIDFEGTSVDRSGLGFFYKYRSRPPCIHRQGEAARRGRRGSHPVMDMDLPTTTWLAFALFFIVALATKITRRRQQSSGTPTRKRRPPPPVSPGVPLLGDLPALLAKGTLELIRDRYTRLGSVFTVRLLHLKVTFLVGPDVSSHFYQGFDSEISQDEVSQFTIPTFGPGVAFDVDYATRREQFRFFGDAMKPAKLRTYAELMVREVESHFARWGQSGTVDLKQELEHVVTLITSRCLLGAAVREKMFGEVGTLLRELNDGMRLVTILFPHLPIPAHRRRDAARARLGEIFTDIVRSRKRLNEDDGGRPDRPDDMLQCLIDARYKDGRGTTEAEVVGMLVSALFAGQHNSSSAATWTGARLLTHTKHLRAAVEEQARVAALHGAGRADHYAALQEMDTLHRCVKEALRLHPPALMLLRHARRGFAVRDRDGREYEVPEGHAVASPLVIHNRLPEVYEEPDKYDPDRFGPRRAEDRAGGALAYASFGAGRHICVGEAFAYMQIKVIWSHLLRNFELELVSPFPKTDWNVVMPGPKGKVMVSYKRRQLPSAA >KQL24275 pep chromosome:Setaria_italica_v2.0:II:28440597:28441841:-1 gene:SETIT_032037mg transcript:KQL24275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASREGGGGGAAAGRPALRVGRTQEYRTGMDTELLSIDGGGAGPAVSLFVLCGDRFEAAQLFRSGALSLHMLRVEGHPVSMASCTVGDHQWMLARDALVARVDARAFVFELPGFFYAVVVPADAAAGGADRKCATMAEIFSRFCNYHDLTKAEGDDDEAGEVNQNPWARAHARIQRLKRHTSPPGGHVAADAQSDRARQMERAVRTSAVVKLLIRSLLAGVIQPARHLTITLGGGSGSVVNAGSSARASAAALPSKSVVSELLDAIETNRAAPRRDARRGSAGGGLVGWWSLNVEGIMLLLRFVHAVRGRKHLAAPAAGEKRPRDEGPGRDAMRGGVLGGGGAAAFGGGAARRWCGGRSRKLGNTVGACGSS >KQL25343 pep chromosome:Setaria_italica_v2.0:II:37486467:37486941:1 gene:SETIT_033439mg transcript:KQL25343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEKCIFHFGACSDTLDQRYHNAKISFKLSLMPKVKNISTTYLC >KQL22821 pep chromosome:Setaria_italica_v2.0:II:5574456:5576021:-1 gene:SETIT_033212mg transcript:KQL22821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVSSAIVQETVGQILSGLVQNYEEKEESNKKRNLERLEMAHIRLEAALETSNKWQITDASLLRWHRKLKRAAQECNDTLHKCKQRILEDEEMEWEVKNSSLPNRIVHATKSFALSIFKHNDNDLRRSIAQRFEWYADGASEFLRFIELGGTPRCHMPFESLVKNLFAGKELHHKIVRGNEYPLFQLWLTPIRNPVHGIVVSLTFTQYDGTPEGNICISLAVQLSESIDIVGIAVKCLQLFAPPFKCRFENIRNELTQLPNEDFSWGPSFYSDHKEHWDKINSLSSQWVRPNPFCCKEHGRHEVRCFSNMDMAGLSDGFLEPVIQFALCCHVSLPMYRKQKTSLSEDLISLQDYPYLKAGIAFSPHGSLDDMLLGNRSSEIAAIVRKEQHFLHTDITLEQLEEIMLPKAIDYFRQNAEAKVYQMIWKSKHGFALIQVEKPCMSAWRSSMRRRSTSGGARKGKLFQGYDEELIRNRIRLCHWLDSWFTYVPVRLQRSLMNWIRKEKEILIAAPQLLHLKF >KQL26945 pep chromosome:Setaria_italica_v2.0:II:47065520:47066746:1 gene:SETIT_030368mg transcript:KQL26945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTWTMADASAAGLRRRSLALLLLLLVAAGHAVAGASGIRVDPIRLPSSAAFREAPAFRNGDECPPPGSPEGRVDVAMTLDANYLRGTMAAVFSILQHTACPESPDPLARSAPRSRTWNQRHRFDPSRVRGRISRSVRHALDQPLNYARIYLADTLPAGVRRVIYLDSDVVVVDDVRKLWSVDLEGHVVAAPEYCHANFTKYFTDAFWSDADLSATFRGRRPCYFNTGVMVMDVAKWRLGGYTRRVEEWMAVQKRKRIYHLGSLPPFLLVLAGDIKAVDHRWNQHGLGGDNMEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCTVDYLWAPYDLYKAAATALEE >KQL26140 pep chromosome:Setaria_italica_v2.0:II:42553070:42557652:1 gene:SETIT_029156mg transcript:KQL26140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNGRPTAGSKPIHVRSNARPRARSPRVTRVVGPAPRTRHVTAPRPSPGCISAVRYGSNGWSVHTKRGGASKRRIRTRELAEEREEKLGREGERGGARAEVVELGRPVRIGGENNLANNPWPGTPLKSEFSNSPYTPLSAQLECDNLSAISNTPDNQSSTETISAQPISPLEVDSSNRQAGILWENTQVRPDHLYTTSRHNMQHALRKIETVLMAPDADDAATSTKHEFEEHKPAPLMRQRSRTWSHELRQPSPGVVRTQFASGYPTASYEFRPEKRQRELREDPQSMVKQLLTKCAEALSEERIEEFLKLVQQARGVVSITGEPIQRLGAYLLEGLVARHGNSGTNIYRALKCREPESNELLSYMKILYNICPYFKFGYMAANGAIAEALRNEDKIHIVDFQIAQGTQWITLIQALAARPGGPPHVRITGIDDPVSEYARGEGLDLVGKMLKSMSEEFRIPLEFTPLPGVYATQVTKEMLDIRPGEALAVNFTLQLHHTPDESVDVNNPRDGLLRMVKGLSPKVTTLVEQESHTNTTPFLMRFSETMDYYSAMFESIDANLPRDSKERINVEQHCLAKDIVNIIACEGKDRVERHELLGKWKSRLTMAGFKPYPLSSYVNSVIRKLLACYSDKYTLEEKDGAMLLGWKSRKLISASAWH >KQL23225 pep chromosome:Setaria_italica_v2.0:II:9877442:9878566:-1 gene:SETIT_032599mg transcript:KQL23225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMFNGEWSALEIEMVKSLIASHNPNNNFVDGPNKKHNDIVNDIQSWFPWKERHQVIEFYVELVVEMMSLTQSGNHSVVAIDNSVNNNSGIPVEDPSIDNMDLLFAHMTDKIPEAMQMVDEVSKSKAIIPPKGRNKGSFWTNEEHRQFLYGLRKYGRGKWKDISREFVTTRTPVQVSSHAQKYFHRQNTSEKQRYSINDVSLYDAEPWAQNDSSNWEAPAFAGGAYNPNYYGSGSQVATRNNLAQVWPPFMYSADQASSSQATTWTGQQMGPSSSAALAMEDAGSQMAWIGDQQGGDILREQWMDIDKV >KQL23001 pep chromosome:Setaria_italica_v2.0:II:7254164:7254722:-1 gene:SETIT_031402mg transcript:KQL23001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGHRLLLPAAVLLSLLAACAATTDPTACAPGMAIPVPPVPSCRIYAVSRTCGLGGPYGPRDPSPVLKERCCRELAAVPSRCRCAALGFMMDGNPGRLQGFRGCSREAQRSFARRLTRQAECDLPTVDGGMCYELAGEHWGGAVSAY >KQL22177 pep chromosome:Setaria_italica_v2.0:II:393845:395302:1 gene:SETIT_032700mg transcript:KQL22177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLSAVLGELASRSINFFISRSSKPKVLEVEDRLKRALLRAQVIVNEATGRNITNQAMLQQLDMLRNAMHQGCYMLDTFRYQYHGVEDAKDHVVSHSLSPSKLSSLKGIGSFNRKTLLLEKLQEALDNLSFMILDVKELVVILLSYPRRYRQPYSMHFLLGNCMFGRQMESELVINFLLHTQRDGSKELEVLPIVGPCLVGKSTLVAHVCEDERIRDHFSEILFLHGHDFTGDDLAALREGRHAMEYQNHVNLNGDRRLLVVVELVGDLNKDAWNRLYSAMQHVPSGSKIIVTSRSDKITKLGTTQALRMKYLSCEAYWCFFKTLTFGSTDPEMHPRFAHLAMEIARMLNGCLIGANMTSCMLRDNFDIRFWCKVLAFLRGLMQKHVSRFGGHPFEVMNQNRPAHLRRMAAPSEDLVLYHLHQHPSQEEVPEIKILDVLYGSVKPRGKFDVLVWRSRIPPYYSYSNTCEIRELKVTGAKRKRV >KQL26622 pep chromosome:Setaria_italica_v2.0:II:45447012:45447756:-1 gene:SETIT_032743mg transcript:KQL26622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANNRRLSFIDPYHLLMKKDYEGGQCDICLLELAGFDGYGCDSCNIHIHRTCADCFGETTSFSANQSSLTFKLSRSPPHVGRISCTSAGGVAPQGPSCTTAPATLPERARSPSPFYQGHDLFLVYSPGYSCSACRYPLPMWHYSCCGCCSLKLHISCASFGPPTATGVVGQRYNPRPPLLPPQVTLPYHVRRLGIAVGRTPVSGPE >KQL24347 pep chromosome:Setaria_italica_v2.0:II:29127709:29131715:1 gene:SETIT_029349mg transcript:KQL24347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHHLRLAPLALLRVAGLPPLASSRLAACHQHQLLLFAPPARPWRLLSPAARPRSLSTAAAEADDTGAGSGDGFFAEESTSWGSLGVSDRLASALRGAGLARPSLVQAACIPHVLTTNDVIVAAETGSGKTHGYLVPLIEKLCSKSSTAEDDNSQNAAPGAHDIVLVLCPNVMLCEQVVRMANSLLDESGEPLKSAAAVCGPKGWPAVHPDILVATPAALLNYLFDYDPEKRRRERFMRNVKFIVFDEADMLLCGSFENQVIRLIHMLRFDEKQLSRAQDSGKEVLPGSDDEYHEDSDSEGAEFSGFDEENEGDLVRNKPVKAENSPVGARRDWRRVRKTYKRSKQYIFVAATLPQSGKKTAGGVLKRMFPDAVWVSGTYLHRHNPRLERRWMEVTADTQVDALLDAVKYGLKNKDHDAPKRTMVFTNTVDAANSVSDILQRVGIPCILYHRESSLEERANNLQSFRDNGGVLVCTDAAARGLDVPNVCHVIQAEFAACAVDFLHRVGRTARAGQSGIVTSLYTEANRDLVRAVRQAEELAQPVERAFSRKRSFRNKLKKQAVQKHEASLA >KQL26697 pep chromosome:Setaria_italica_v2.0:II:45824624:45829088:-1 gene:SETIT_029395mg transcript:KQL26697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTRRRAAAAAALAAPVVVEVEERDAAIDISSDSEAESESRSEDEEDSESEEEEDTSDEDFVEISDSDSEAGDVEGSGEESEEESGAEAEVKAEQLGADRSEAACNKIAGLLRSGRSLEGIKLVECKAYLRKNGLSQVGDLATCVDRIVLHWRFKDGDPERIYPWSSFCINCKGDVCRGDAVLFKQKVYEKSGKRHAKCIGKRIVAGKVIKESYGKEKQQHTFTIQVFWSKGVGKLSTLHLLLVKGRNLYRMMTFRQPWANEAERLKVLEEKHSRGDDARRVRALNRPKSAGNTLKGKKKLEKEKHRSRSGRPEFRSNITEVDKGKKRPAQSSNFDLPNKRSKKEGSQAPCGKKCTGGRRAKKNQGHLDKSIRTGHSSSLCNDNREKNHATLQKKCHIAPLNKVLSSTEVGMSKRIAVSEQRNKIGGRNTQFEGRHVTQAVHVEANHGNFVAVQHPSVQRPQLPPLREVANVFLPHPGGSHPDALFNTTMAFRHQNGGMAGPHAPAYFRGLRPNQQRVAFSSANMPQTVYHPHPEAAYVVPHFRHPNGSTGFHR >KQL27248 pep chromosome:Setaria_italica_v2.0:II:48814172:48818222:1 gene:SETIT_032928mg transcript:KQL27248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMANKIQSCPWSGRLQPYFCWVIATCSLGVVGTSYYSPPGLYKLMEQDKKAKVLCLHGFRTSGSFLKKQISKWHPSIFQQFDMVFPDGIFPAGGKSEIEGIFPPPYFEWFQFNKEFTEYTNLDECISYLCDYMVRNGPFEGLLGFSQGATLSALLIGYQAQGKVLSDHPPIKFMVSISGSKFRDPSICDIAYKDLIKVKSVHFIGEKDWLKVPSEELASAFDDPLIIRHPQGHTVPRLDDASVKQLSGWSSSILENIKNEDVHVSKALDAEESTGAELSENLVEQVAA >KQL23881 pep chromosome:Setaria_italica_v2.0:II:22217421:22217945:1 gene:SETIT_031593mg transcript:KQL23881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEISAMLNHLAASAERDPPARRLRSPTARPGLEALAGALAAGPPTDPAAARAVLSAARAVVSAVLPTSGELLRSRLSRLGWSPMGHECSRCCIIAGCDLVFL >KQL22210 pep chromosome:Setaria_italica_v2.0:II:570457:575171:-1 gene:SETIT_029354mg transcript:KQL22210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPAYTASLSPGSTLFSNSRMPPPPAPPCLLRPGLGVSGRAEVGVSSAAGRYGGGRLTAPQEKRRSAPPQEKRRPSDSSQLPERKRHWKAGEFPGAGGGRDGGRAAPPQEKRHWKAGEFPVPSAGGRDGGRPAPRQEKRHWKVGEFPGTSAGGPDSKAARTPIKNVKKRLDARADAKAWACTVTEALADRINSKNWKEALQVFEMLKEQPFYHPKEGTYMKLIVLLGRSGQAAQAHQLFNEMLQQGCQPTPELYTALIGAYCRSGLMDDALQLLTDMKSSPLCQPDVYTYSTIIKACVDATRFDLIDAMYKDMAERLISPNTVTQNIVLSGYGKAGRLDDMERVLSDMLDSTTCKPDVWTMNIILSLFGNMGQIESMEKWYEKFRSYGIEPETRTLNILIGAYGKKRMYDKMSAVMEYMRKLAFPWTTATYNNVIEAFAEAGDAKNMEHTFNQMRSEGMKPDTKTFCCLINGFSKAGLFHKVVGMVKLAERLDVPANTSFHNAILEACAKADDLMEMERVFMHMKHKQCDPDAMTYSILVEAYRKEGMTDKIYALHQENPTLVPTDFVMV >KQL25812 pep chromosome:Setaria_italica_v2.0:II:40682945:40683652:-1 gene:SETIT_032768mg transcript:KQL25812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NIRRELQRRRPKPLAPKSSVAMKSSAPPPPCESPRYTSREPRPRPPSEKIPSSFAAQSRCRAGTDAPQPRPHASRCPPVASRPTPPSWSAVSSAPGAAAHLRPGTAVGVRTRTTTLKTGEALVLWLKAMVVSPTQEGYEIVYDGNWPPSDPYGTVHVPRRHVRMMNPSPTTPPPPPSFAAIPCASATTAAVPAAQKKETQPAPRPTRAGKSLRLIRRSLLPEMERQARADSHGYY >KQL25752 pep chromosome:Setaria_italica_v2.0:II:40225745:40229077:-1 gene:SETIT_030754mg transcript:KQL25752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFLCCLLALLVSSCSAGAGASAAGERCVRQGKAAYAPSLSPLPQGSGVCGYGAMAAEINGGFLAAGGPRQHRGGLGCGRCFQMRCRDARLCSSRGVRVVLTDFHRSNRTDFLLGGPAFAGLAKPGVAHELKRLDALSVEYKRIPCDYKDKNLSVLVEEGSKSPSNLVVKFLYQGGQTDILAVDVAPVGSSEWRFMTRVHGPVWRTDRAPAGPLQFRAVVTGGYDGKWVWAEREVLPAGWRPGQVYDTGVRIADVARDGCQRCVGGAASAAALDWK >KQL24087 pep chromosome:Setaria_italica_v2.0:II:25966415:25971668:-1 gene:SETIT_030167mg transcript:KQL24087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPSTGAPAFRFLPSEVAEMEARLQQLNNAIPTRAVLQTLADKFSASPERAGRVTIQPKQVWNWFQNRRYSHRAKTTRAAPPPAAKMTPSGADHLQHAANSSAFRAGQPSAVAAHHGSSPSAGKNPVEGVQVEFEAKSARDGAWYDVAAFLSHRLFESGEPEVRVRFSGFGAEEDEWINVRKCVRQRSLPCEATECVAVLPGDLILCFQEGKEQALYFDARVLDAQRRRHDVRGCRCRFLVRYDHDSSEEIVPLRKVCRRPETDYRLQILHAARAAATMDVHTPPKEVKLELTSNEKSPAEQKAPKQHKMMDVNTDEVTMVSGVEQEVTPAKAGAGASLPSATPETRNSSSDVMRDGESASVVEDDDEVQVVEKMKEGD >KQL27080 pep chromosome:Setaria_italica_v2.0:II:47714160:47714735:-1 gene:SETIT_031949mg transcript:KQL27080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAGAKKPQLTGPLSRLSEDNITDILLRLPAKSVLRCGAVCRAWRGITTDPGFLAAHARSRPGDILYTRDEGYYFLCNPVTRLWADLPRIPRAHQPQQDGHYYVSVNYIEYAFYFDIPAGEYRAGLVPS >KQL22351 pep chromosome:Setaria_italica_v2.0:II:1914128:1915736:-1 gene:SETIT_030217mg transcript:KQL22351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSTTTTELIDDVTAEILLLLPPDEPEHLFRAALVCKPWLRILCDPAFLRRYRAYHGAPPLLGFIHRFAGDLVSRLASTTSVPAFTHPGSDGRGARAFDCRHGRVLMSQDEISVYNFLVWNPVSGDRRRCVPVPDIDYWLYSAAVLCAADGCDHLDCHDGPFRLVLAGRDTRSNLICACVYSSETCTWSTPVSLDNSRGFHVRSRPGALVNDEIYFTFSRDDAILKYDWGNNWLSMVKTPVPRSRYYGFAAVMTMVDGSLGLGRVEDSSLYLWSRKVNSEGDAEWILCRVIELETVMPIANLSPYGANVVAFADGVDVIFLGTNAGLFTIELKSGRVRMFGESWLHLSILPYMSFYTPDRGTLLSLARTL >KQL25456 pep chromosome:Setaria_italica_v2.0:II:38308141:38311218:1 gene:SETIT_030070mg transcript:KQL25456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGLGLRGAHRPVALLLVLVAAAAGAAAGKGKGAHAGTSRLRFRRESGTFKVVQVADMHYADGRATGCEDVLPSQVAGCSDLNTTAFLYRVFRAEDPDLVVFTGDNIYGADSTDAAKSMDAAIAPAIDMKLPWAAVIGNHDQEGTLSREGVMRHLVGMKNSLSGLNPEGVEIDGYGNYNLEVSGVEGTSLAEKSVLNLYFLDSGDYSTVPSIKGYGWIKASQQVWFQQTSSSLQRKYMNENPKQKEPAPGLVFFHIPLPEFSSFTAVNFTGVKQEKGISSASINSGFFASMVEAGDVRAAFVGHDHLNDFCGKLSGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDNGEWRGVKSIKTWKRLDDAHLSTIDSEVLWNRGSNGRRKKNPDGSNS >KQL22446 pep chromosome:Setaria_italica_v2.0:II:2678942:2681005:1 gene:SETIT_030979mg transcript:KQL22446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEELAQIDISKEEKDKLVAEVMRYMLFKTHQTSGCPIKREELTGIVTKNYRQRALPALVINEARDRLAATFGYEVRELQRTRAPSTRSGRPSQPQPNAEAKSYVLVSQLDHEVYSKYVEDKEAAPLSGFAFTIISLIHLAGGKIPEEDLWHQLKRLGLKNDNDEKHPALGNNKQALELLVQQRYLLKEKLAGPEGHVVMYELAERALDESISGKLKDYIAQIVGTSTVAEENSS >KQL23145 pep chromosome:Setaria_italica_v2.0:II:8707727:8713055:-1 gene:SETIT_029908mg transcript:KQL23145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSGASSSSRGLSGVGVDRFYIAPHVRRQQQEEQLQRLKGQRPSSPAAGTLTPRAAARHKPPPPAAAGAADPAAPPPKEAERRADAPSKTSVSTAAAPTSKATAAADAAVAPPPVDDVGNLERFLSFTTPSVPVQYLPKTSMRGWRIGDVPNSPPYFCLGDLWEAFKEWSFYGAGVPLVLNGSDSVIQYYVPYLSAIQLYADPSKLSARTSEGSSETDVDRLRGSLEATCRLEGGFQRDDGEMHSPSTRPIFEYLETDPPFGREPLTDKVSILASKFPDLKTFRSCDLLPTSWISVAWYPIYRIPTGPTLKDLDACFLTFHYLSTPSKDTDRAAPACPSFGGLNHCVNAAGKLTLPVFGLASYKLRSSIWSSNRPEEQHRAASLMQAADEWLRQRQVYHPDFRFFLTHYNNSLR >KQL23146 pep chromosome:Setaria_italica_v2.0:II:8708022:8712806:-1 gene:SETIT_029908mg transcript:KQL23146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSGASSSSRGLSGVGVDRFYIAPHVRRQQQEEQLQRLKGQRPSSPAAGTLTPRAAARHKPPPPAAAGAADPAAPPPKEAERRADAPSKTSVSTAAAPTSKATAAADAAVAPPPVDDVGNLERFLSFTTPSVPVQYLPKTSMRGWRIGDVPNSPPYFCLGDLWEAFKEWSFYGAGVPLVLNGSDSVIQYYVPYLSAIQLYADPSKLSARTRHPWEESDGESMDTSSEGSSETDVDRLRGSLEATCRLEGGFQRDDGEMHSPSTRPIFEYLETDPPFGREPLTDKVSILASKFPDLKTFRSCDLLPTSWISVAWYPIYRIPTGPTLKDLDACFLTFHYLSTPSKDTDRAAPACPSFGGLNHCVNAAGKLTLPVFGLASYKLRSSIWSSNRPEEQHRAASLMQAADEWLRQRQVYHPDFRFFLTHYNNSLR >KQL23144 pep chromosome:Setaria_italica_v2.0:II:8708818:8712806:-1 gene:SETIT_029908mg transcript:KQL23144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPSGASSSSRGLSGVGVDRFYIAPHVRRQQQEEQLQRLKGQRPSSPAAGTLTPRAAARHKPPPPAAAGAADPAAPPPKEAERRADAPSKTSVSTAAAPTSKATAAADAAVAPPPVDDVGNLERFLSFTTPSVPVQYLPKTSMRGWRIGDVPNSPPYFCLGDLWEAFKEWSFYGAGVPLVLNGSDSVIQYYVPYLSAIQLYADPSKLSARTRHPWEESDGESMDTSSEGSSETDVDRLRGSLEATCRLEGGFQRDDGEMHSPSTRPIFEYLETDPPFGREPLTDKVSILASKFPDLKTFRSCDLLPTSWISVAWYVCNNPDSLIFFTDVLMLLKFPISHRRSFQLIYFRVSK >KQL23565 pep chromosome:Setaria_italica_v2.0:II:15099095:15099415:-1 gene:SETIT_032468mg transcript:KQL23565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNHSHHHSRAPHRRLLVSACPSTSFARRCHRVAASLSPAGSLPCSFVLSANRIHLAASHPQNYKKAPARR >KQL22515 pep chromosome:Setaria_italica_v2.0:II:3243058:3244048:-1 gene:SETIT_030538mg transcript:KQL22515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDDEPPDQPEELVGALRPRVPAEEPVLHPGELHVLLVLAPLHPPRVRRVPLPEHVALRGDDEHGGHLDGLQPRRLRPRRVDRRVVPGRAAGQREAAVLVAGFGGEVRARRALQLGARPGLAGHGRHEEDVPAEPDGGAEAGLAERGGDVVGDVAAGRVASDEDAGEVGGVGEPGVGGGSGAEPRHGLGAVLLGGGDAVLRREAVVEGEHHGGELGGEAEAAGVEAGPAAGADAEAAAVEVGHHRDPRVVGRAERPVEADVEAVLRLPRHSSAVISAATGGSALRRTVPSLISCITPRQSSTTYGSGAGDDIVILLFTLEA >KQL26586 pep chromosome:Setaria_italica_v2.0:II:45234967:45236140:1 gene:SETIT_031446mg transcript:KQL26586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHADVDGVKRDIMTSSSSTRSKKQKPSKEFRSSRFPAVIPAEPAAASVDDMGRWLKPDVYPLIAAMSFVTGMCVFQLTRNVFMNPDVRVSKASRQSAVLDNADEGQRYSQHAFRRFLATQRPEVFPALNRFFSESSSAADK >KQL24045 pep chromosome:Setaria_italica_v2.0:II:25145149:25148030:-1 gene:SETIT_033312mg transcript:KQL24045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFDGPPSFSDLVDPVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVAVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGVEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEEFKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWCIDSCGMMLPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDDTFLTGKYKGTLMMAVAVDPEQQLVPLAFALVESENNESWSWFMKLVRVHVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMTTNYSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWRKAREMLDQGYRIGQVADDYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNYESPLYMSLLYSREHTIKIWESSFQPYLDPSQWPAYEGAGYVPNPSLMRNKVGRRQKKRFTGDMDVSEGRLSADYDTGDFDIDKMAAPGYPLLEAAYDLHHRAHHLADLNEV >KQL26920 pep chromosome:Setaria_italica_v2.0:II:46941838:46943128:-1 gene:SETIT_030425mg transcript:KQL26920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGASNDHETAAARAPALPWSVRLQLFGLVAAAGVTMRSDGSARPDKSGVRSADVDVDAARGLWARVFSPPSEAAGAQPLPVLVYFHGGAFALLSAASAPYDAMCRRLCRELRAVVVSVDYRLAPEHRYPAAYEDGVDVLRHLASTGLPDVVAAPVDFSRCFLAGDSAGGNIAHHVARCWTTASAAASPPPSNPIRLAGIILVQPYFGGEERTEAELRLDGKAPVVTMRGSDWAWRAFLPEGADRDHPAAHVTDDNADLAEGFPPVMVVVGGLDPLQDWQRRYAGVLQRKGKAVRVVEFPEAIHTFFLFPVLPDCGRLATEMKAFMDESNASELAAS >KQL27043 pep chromosome:Setaria_italica_v2.0:II:47559262:47561268:-1 gene:SETIT_031608mg transcript:KQL27043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADDGRATAALDETLKPFQERASEAELRIAKLEALLYNQAGPNSGSEASSSAMKHLQSKLDAVSAECLTEKEKNKKLIIENEKLQYRITHLIRAIKEAESR >KQL25796 pep chromosome:Setaria_italica_v2.0:II:40600342:40600830:-1 gene:SETIT_033560mg transcript:KQL25796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRWQSQSGKGRAGGLSLSLHQHLACKMWLMAVAY >KQL22520 pep chromosome:Setaria_italica_v2.0:II:3286300:3288651:1 gene:SETIT_032260mg transcript:KQL22520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNSTIDCSYDALKQCSSKIKCDADMASSFDKSMGEKIWLMNSLLLIGAVLAGVIVGIGIYGQRYRHHHFTRFIFLGATTLFLPVVSTVVSMGAGNNVYSIDDLEEWDMMIWLIAECQPGVHSALVVIWASLVPIIMINTSAVVAVDDREGGNVGPPFELLVQGVWTFYLGISCLFTDGLFHTEDLIILALEATPFALTCAKMVLKYYAYEKARQSFALGRNPHLIFGYMKQQSLQETSQDGEPMVAEDTPPPLLVMGEEKRHVEKQPLGYVFKDDSWTTSHNNGLVTIDRVWRMDNVLPTSTLKPQKDLCLSFALFKLLRCRFARYKVRTAASKGTFSFFWSLLLKDDEHDRVFLVISDELSFLHDYYYSSLPISYSKYWLPVAGILISLLSIAYCCALMITATLFFLLNDRFAPSNDVQFACYFLCIRGKLMSDTKYKGHGNGYLVLVLVILLSVLVMMSEVRDIATYIYSNWTKVAVTCHLVNHASSQHSLLKKKWIGLLLRCRCKLMKHWDEKIGQCTMLEIRPRTTLPVLLRRLLHLPDHKRKVKVPAALKVCIMEVVRSTRNGDLSNGTASLRCRGQVGERLLWACNNKSTSYTILTWHIATSILEVRYPHRLDQQQGSSSPIPNTDYKIVATHLSRYCAYLVTWCPELLPDDDAWSRSLYGDVKKDVERVLAGCTAGDSLTPEANCQQLIEVLSADAKHEVLKEGARLGKQLLALVVEGEDDTAWKLLAEFWSEMIVYVAPSDNLEGHSKAIARGGELITLVWVLLFHAGIVSRP >KQL25365 pep chromosome:Setaria_italica_v2.0:II:37615199:37621745:1 gene:SETIT_028837mg transcript:KQL25365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEMGRASASVATAEAAPAQASRWARVWPPSLRWIPTSTDRIIAAEKRLLSIVKTGYVQEQVNIGSAPPGSKVRWFRSASDEPRFINTVTFDSKENAPTLVMVHGYGASQGFFFRNFDALANRFRVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFVLLGHSFGGYIAAKYALKHPEHVQHLVLVGPAGFSSETEHSSEWLTKFRATWKGMLINHLWESNFTPQRIVRGLGPWGPRVVQSYTSARFGTSSTGALLTDQESALLTDYIYHTLAAKASGELCMKYIFSFGAFARKPLLQCLSPRAASRAAARVANHGGGGRPFAGLAAATSRIASLGRAGDAASARAVFDAMPQRDAVSWNAMLTAYARAGRPRDTLELFACAPASDAFSLTAALAAAAALRCPAAGAQIHARLLRLGLRAPLPVGNALVAMYAKCARADDAARSFEEMHDRNDLSWCSLLHAYVASGHLRPAQELFDEMPNRNNVAWNTLLMGYSRSGNARQCLLLFNKMRMAGLTCDDATLCILVDACTELAHPSTGFSVHKIVLQSGWNAMAEVSNSLVSLYTKFSMLDDAVRIFESMKVRTIVSWNSLIYAYMKLGYTEQAASLFRSIPETNVISWTSMIGGLARNGCADEALTLFFEMVAHEHIHPDDFTYGAVLHACATSVSLASGRMVHGRVFQTGFASYLYVANSLMDMYAKCGDVESASNVFNGIFVKDLVSWNTMLFGFAINGWANEALMVYDSMKSHEVCPDEVTFAGLLTACSHSGLLEQGEIFFETMVSAHGIQPKPEHLSCVLDMYARSGNIKKAAEILDHFVESIRTHKSDVHEALLSACSSEHLNAGIARKVVKDMVRTEPARDAAYVMLSNLFCASGQWSEAERVRRAMAEHGVKKSPGCSWIEVEGAVKVFVSGAQDPDLTGFVCDVLRLLDGEIRNITRCGV >KQL22916 pep chromosome:Setaria_italica_v2.0:II:6337032:6338529:1 gene:SETIT_029678mg transcript:KQL22916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRPAPPPLHVVVFPWLAFGHIVPFLELAQQLARRGHFVTFLSTPRNVARIRPVPPELSRYIRFVSLPLPAVDGLRDGAEATSDVPPEKVELLKIAFDGLAAPFAGFLTAVCGEEAGEGHGRRPDWVVVDFAHHWLPPIADEHRVPCALFFVFPAAFVAFIGPKELNDAHPRTAAEHFMVPPPWIPPPSPIAYRRQEAEWMAGAFQPNASGVADGARQLETVRRCALLVCRYSREVDGALCTLLDGIYGKPVVPSGLLAPYDAAAAASSSDAAGGGDDEETASLVRWLDAQPERSVIYVAFGSEAPLTPALVREIAQGLELAGVRFLWALRETSGGMLPDGFEARARAGGRGLVRVGWVPQVRVLAHAAVGGFFTHAGLSSLVESFLFGHPVLMLPLFVDQGLTARLMAERRVGLEVPRDDRDGSVRREDVAAAVRRVMVEDEGEVFAGNARELREILWDTARQEEYIDELVEHLRRRQED >KQL22974 pep chromosome:Setaria_italica_v2.0:II:6983003:6984945:-1 gene:SETIT_033314mg transcript:KQL22974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLFLGLALAVSYSAGDDQFVYSGFTGSNLTVDGAATVTPSGLLKLTNGTGLLKGHAFYPTPLRFYKSRNKKVKSFSVTFQRYGLAGQYLGLTNAANDGDPRNHLFAVELDTAFNVEFKDINDNHVGIDINSLRSIVSSLPYTFDFKNYQYVPVQLSLTSHEAMQVWVDYDGETNQIDVTIAPLGTAKPIKPLIATTYDLSTVLTETAYVGFSASTGTNSRTEHYVLGLSFGMGGPAPAIDLARLPKLPRVELKAQSKVLEIVLPIAITTFFLTMGATTFLLIQIFWRRRLQRTDLLEDWETEYGPHRFSYKNLFQATEGFKNKHLIGRGGFGQVYKGVLTTSKTEVAVKRVSHESRQGMREFIAEVVSIGRLRHRNIVQLLGYCRREGELMLVYEYMPNGSLDKYLYTSDGKPCVGWHERFQIIKGTASGLLYLHEYWEQVVIHRDIKASNVLLDRDMNGRLGDFGLARLYNHGTDPQTTRMAGTFGYIAPELARTGKASPLTDVFAFGILLLEIACGRRPIEGRAQDTPFMLVDWVTEHWRNGSLMEAVDRRLQSDYNVDEACVVLKLGLWCSHPLPNARPSMQQVMMYLEGQHPVPEFVQMDVACKAGLDTVMISQQSSIGTMSGLSGGR >KQL23414 pep chromosome:Setaria_italica_v2.0:II:12121102:12121710:1 gene:SETIT_032050mg transcript:KQL23414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPCPGASSLPPPHYSWAPICNDIPGAAAELKERVAKVYEVKDPNTIFIFKFHTHIGGGLIYDNFEAAKKFEPKYRLIRRLVVHKHLSCKVSRSRCVMLRNSKISL >KQL26719 pep chromosome:Setaria_italica_v2.0:II:45947243:45950196:-1 gene:SETIT_032698mg transcript:KQL26719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYASSGHPVASETKSESSSDLTLMEPNGIARPIRCKAAVCKAAGEPLETVEVEVAPPRAHEVRIRVVCTSLCHTDITFWRMKKLRHQHAPASVVESVGEDVEEVAVGDTVVPVFLAQCGECADCLSARSNLCSALPHRTGLMPRDGTTRFSLAATGEPIHHFLSVSSFAEYTVVDVAHVVRVGGALPPEKACLLSCGVATGVGAAWKVAAVEPGSTVAIFGLGAVGLAVAQGSKMRGATRIIGVDLNPDKFEIGKKLGITDFVNPNGIGEQTVSEVIKEMTSGAGADYCFECIGSVSVMAEAFKSSRMGWGKTVVLGVDGSAAPISIPSFDIMRGRSVVGSYLGGIKPKDDIPVLAHKCLDKELELDEFITHQMGFDDINSAFDLLARGKCLRCIIWMDGAKQGNGA >KQL22212 pep chromosome:Setaria_italica_v2.0:II:582603:584117:1 gene:SETIT_029570mg transcript:KQL22212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIISVVMGELVNRSISFLIDRYLKPAASSKGKNRERLQWMLMRVRVTVEEAEVRRITNEAMLQQLKMLKEVMYRGYYMLDTFIQQAQEEEKKGNGRGASRSLSLSKFSPAKRVRLSCRSKYGIKEIEDMLECLEIAIAGMSEFVIFLRNYPPMFRQPYSTYLFIENCMFGRQVEMERVINFLLHEEPPVDGKIGVLPIVGPGKVGKTTLVEHVCRNERVRNHFFRIVLLNDYDFREERQCSLRDQGRIKYQNDDSNEEIFLVIVELVGIVDESAWRRLHSAYQNSVSTRSKIIVTSRSKDIINFGTTQALSLNFLSQEAYWYFFKALLFGSADPEEQPKLASIAVTILDEYFDQGIYTPFTGTFINLNNTANLLKAITGAHNWQKFLECLRENRRLNERLSRRSLSDIGMENDRIFLRRVTETIQYCVVHNQYRIGLDDEEAPNITMTDIISMKFPPRGKFEILLWKSHLPPYHKHIYSCEIFEFEGNVIRDMEGRKRKNLS >KQL23006 pep chromosome:Setaria_italica_v2.0:II:7302947:7306056:1 gene:SETIT_030742mg transcript:KQL23006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAATQLPLPTASPPRRRRRAQHMPTAAAPRPPPRALAAILRSRVIACLRAEDPLWSGDREGSWLAVTSDPLRGSLPLQWRDGVAGGACGRARRRHSGELLDAVCRLEVVMSTPGVLEVIEDLCRSYPSLTFGVGTVLNAADARKAIGAGAQFLMSPGTVMEVLHDLEESKVLYIPGVLTPTEVLSACSAGAKVVKVYPVSVMGGEVYMSALKKPFPLVPMVASQGIQIGSIKGYVEAGASAVVLSDAIFDKELMRKGKFSEISELASQATFEALQSTK >KQL25130 pep chromosome:Setaria_italica_v2.0:II:36199638:36207803:-1 gene:SETIT_028643mg transcript:KQL25130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPCPPTECAHMWGPSLISSIEDTCLHSSLRQPALGLIYIIIISDASALISYKLKYESVKKDNISNSVMFADDDDELPFSHDAEEKSQSCWNDFNVLNKLASRECKDWKCIPLLWYLTMVQLEPSKLPIAFSKAVLWGLSHISVLEPGLATESSVPVNVWLSSHVGEVSSTFTWQVPNGADDGGSGKDCINTLKVSQFCVLLLKVFKRLSIHVMTQIEQRGLQKQWTWEPMMGESLILVLVDNNDDVRQVGRAILEHVSQARGLTSGLQFLCSSASSLSAVFLGLRYAVQLVGTRSVLADFHTFHHLFFVMCKLLKEVVAQKPPVAQPGKPSEGGFLRQPYSSVLGSPPEHVVDVTNWEKFCTLLSISCVRLLELLPLVYERVSINCRTQSCSMTTMFQDPMDIAWFLHLIHWGKSSLLVIIRHWKQCVLSLLKELKGSYSGTGTIQRYIEDLDNIISHDAVNIDELEESVSNLKLALSKEAPATVKRGELIDAPMFKEPIVSVPSPVQGRHTGMDNVVNVESTKPSCSPDIHEIILLSDSEDNLAAADVSSEEVLSSVMENDASTTSNTSKEVKRPEQRMLTEARHVPLKPQISTPASNISASSRPISTDSRGNIAASKRLDGMKKTRLPTNANNNSFLPKLVKSSVSGTSQPQRPNLSSGTEKFKSIFRDISDDEDDPLDHALDNCQKPQIPSAKPSILVPKRQVVQLPVPVGRRQGSGCKVASTRRLQPPKLGSWFKNILEMDYFTVVGLSSSEVVKMPALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNSYVETPLDDMICGCISILSVERVDEFLIVRARPENSQSIKFKGCMENDLILLTKDSLKDPEQQVHVLGKVERRESDKNKALIFVIKFFLSSNNARLNKAKRLLVERSKWFLNRVMSMTPQIREFSALSSLNDIPVLPAILNPVSCAESYHKSGKVHLDRLAHPLRKVLKSSYNESQLQAGPPGLSFHNFYQQVCATLVTTCTGKTRTIVAIVSALLSVHADNSYKLSRNESVNSTDSTKPRAKISESAAVTRAWQDAALAKQLEKDSQTECPGTTERFAKGRALICAQSNAAVDELVSRLSEGLYGTDGNMYRPYIVRVGNAKTVHSNSLPFFIDTLVEQRLSDELKTNNDGKNSSDGESSSSLRANLEKIVDRIRHYESRRKLIESDKSEDGSPVADEDEVDEVSDEALGGKLNFLYAQKRKVSAELATAHAREKKIADENKFLKHKVRKSILGEAEIIVTTLSGCGGDIYGVCSETASSNKYGTFSEHALFDVVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQYRMHPEISRFPSLHFYENKLLDGAEMAEKSASFHDHDYLGPYMFFDIADGREHCGRNAATQSLCNEFEADAALEILTFLKKRYPLEFSSRKIGIITPYRSQLSLLRSRFTSYFGPEIVAEMEINTVDGFQGREVDILVLSTVRASNSSDERHRTGEARSIGFVADVRRMNVALTRARLSLWIVGNARTLRINSHWDSLVRNAEERNLFISIKRPYRLIFEEVQPHSRDIHGTTGSYHTSHLKKKDNGKAAMMSSKRVDARLQKEQSTHAARNVEKDGKRPKEKSKSASCWDQKVPRAQESSVRSFVDRSEKQNSNMRSTKSSWQENTDQDSVMRNQMEVKKSTVHNDNHLELSKGLAKGGSLEGSSVRRQMELNIPVVQNVCKETNKASSNQDLFQNPKGSLKHGLDLKSASKKDDVSPPAVPDLQKLIQTAKGARKFSEKPRCDNPNKVGRSVKHDGILDPANKNGACPPTNPDTKMADKAKRRRFSEEPRPGNPTQVDPSRPSHFNEASSHVPELKKSQSTKLTSKNDLIAERKRQREDVDSLLSSALISSSTHRTKKKK >KQL25129 pep chromosome:Setaria_italica_v2.0:II:36198657:36207803:-1 gene:SETIT_028643mg transcript:KQL25129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPCPPTECAHMWGPSLISSIEDTCLHSSLRQPALGLIYIIIISDASALISYKLKYESVKKDNISNSVMFADDDDELPFSHDAEEKSQSCWNDFNVLNKLASRECKDWKCIPLLWYLTMVQLEPSKLPIAFSKAVLWGLSHISVLEPGLATESSVPVNVWLSSHVGEVSSTFTWQVPNGADDGGSGKDCINTLKVSQFCVLLLKVFKRLSIHVMTQIEQRGLQKQWTWEPMMGESLILVLVDNNDDVRQVGRAILEHVSQARGLTSGLQFLCSSASSLSAVFLGLRYAVQLVGTRSVLADFHTFHHLFFVMCKLLKEVVAQKPPVAQPGKPSEGGFLRQPYSSVLGSPPEHVVDVTNWEKFCTLLSISCVRLLELLPLVYERVSINCRTQSCSMTTMFQDPMDIAWFLHLIHWGKSSLLVIIRHWKQCVLSLLKELKGSYSGTGTIQRYIEDLDNIISHDAVNIDELEESVSNLKLALSKEAPATVKRGELIDAPMFKEPIVSVPSPVQGRHTGMDNVVNVESTKPSCSPDIHEIILLSDSEDNLAAADVSSEEVLSSVMENDASTTSNTSKEVKRPEQRMLTEARHVPLKPQISTPASNISASSRPISTDSRGNIAASKRLDGMKKTRLPTNANNNSFLPKLVKSSVSGTSQPQRPNLSSGTEKFKSIFRDISDDEDDPLDHALDNCQKPQIPSAKPSILVPKRQVVQLPVPVGRRQGSGCKVASTRRLQPPKLGSWFKNILEMDYFTVVGLSSSEVVKMPALKEIPVCFDSQAQYVEIFQPLVLEEFKAQLQNSYVETPLDDMICGCISILSVERVDEFLIVRARPENSQSIKFKGCMENDLILLTKDSLKDPEQQVHVLGKVERRESDKNKALIFVIKFFLSSNNARLNKAKRLLVERSKWFLNRVMSMTPQIREFSALSSLNDIPVLPAILNPVSCAESYHKSGKVHLDRLAHPLRKVLKSSYNESQLQAGPPGLSFHNFYQQVCATLVTTCTGKTRTIVAIVSALLSVHADNSYKLSRNESVNSTDSTKPRAKISESAAVTRAWQDAALAKQLEKDSQTECPGTTERFAKGRALICAQSNAAVDELVSRLSEGLYGTDGNMYRPYIVRVGNAKTVHSNSLPFFIDTLVEQRLSDELKTNNDGKNSSDGESSSSLRANLEKIVDRIRHYESRRKLIESDKSEDGSPVADEDEVDEVSDEALGGKLNFLYAQKRKVSAELATAHAREKKIADENKFLKHKVRKSILGEAEIIVTTLSGCGGDIYGVCSETASSNKYGTFSEHALFDVVVIDEAAQALEPATLIPLQLLKSRGTKCIMVGDPKQLPATVMSGLASKFLYECSMFERLQRAGYPVIMLTKQISVGVLL >KQL24307 pep chromosome:Setaria_italica_v2.0:II:28734376:28735791:1 gene:SETIT_032432mg transcript:KQL24307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASTPRHHLSSSSLPQPLLTKRIITFALYALIPLALLHYLISLPPPAHQTTSAAATSPSPPQGPKAAAVTEKAAAGAKRTRAAPRCDYSDGAWVRSAAAPLYNGTSCGGTIKARQNCEAHGRPDTGYLRWRWRPRGCALPPFDPAEFLRLVRGRHVAFVGDSLARNQCESLVCLLTSAFPAQLVRGAGGGDGDGDGDELRKFRRWAFPSHDATVSVFWSPFLVNGTEKVKSGAAGVEHNRLYLDQPDERWAAELPGIDVVVLSAGHWFLHSAMFYDRGAVVGCHHCPEPNRTETGFFGAFRLAVRGALREVVLRGARAQQQQQRERRRPKLAVVTTFSPAHFEGEWDSPTACARTEPYAPAERGVEYMDGEMLRAEVEEAAAAGADARARGAGVTVEAVQVTRMAALRADGHPGAYMHPFPFAGGARERVPNDCVHWCLPGPIDAWNEILLQVVKRWADAADADVDAESSA >KQL22782 pep chromosome:Setaria_italica_v2.0:II:5252325:5253778:-1 gene:SETIT_032761mg transcript:KQL22782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGCSAVPRLPDDALVEILSRASTPSPCADPSASPSPAATSSPTASAARISPKPYRGLLYFDGSGIRGGDDDDGNSDGSANGDLVSSRSKNKKVSRPNYGHFINLLGQSVPVPLLDPSLASMKEQLDVEAIRLLDSCNSLLLFGPRWGSDTYDSQGYIVCNPVTEQCVAVPSSGLTPPPPEEGVDEEDCVNDVRTFLLFDPYVSLYFHLVQFWQDGYRENVEGVCTYASESGLWSDRSIAVDGQGKTCKIIYWPNKHLYHNAAFIGQSQGCLHCISGLGKEKAEVGDFQFTGLSIWGVLEDYDAEEWVLKHNVSFLQLFGTKNYVSPFHYVVVAFHPDRNLVFFVDQWEHKLIAYDLDSREVCVLCTLGQVRSCMTLYFPCFESSALGYKH >KQL25600 pep chromosome:Setaria_italica_v2.0:II:39276694:39277962:1 gene:SETIT_030845mg transcript:KQL25600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMAISSTMAGTPIKVGSFGEGRITMRKTAAKPKVAASGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGN >KQL24029 pep chromosome:Setaria_italica_v2.0:II:24912559:24914139:1 gene:SETIT_032508mg transcript:KQL24029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGAVVAPSASGGGRPDYPGKLTPFVFMASLVASSGGLIFGYDIGISGGVTSMDPFLLRFFPSVYRKQLAAAGGGDQYCKFDSQLLTLFTSSLYVSALVSSLFAASVTRRFGRKWSMFAGGATFLVGCALNGGAANVAMLILGRVLLGVGVGFANQSVPVYLSEMAPARMRGMLNNGFQLMITLGILCANLINYGADRIAGGWGWRLSLALAAVPAAVITVGSLFLPDTPNSLLERGRPEEAKRMLRRVRGTDDVAAEYEDLVAAGEASRAVTSPWRDILRRKNRPQLVMAVAIPLFQQLTGINVIMFYAPVLFKTLGFGGGASLMSAVITGLVNLAATLVSVFTVDRLGRRALFLEGGAQMLAGQVAVGALIGAKFGWSGVASIPAGYAAAVVVVMCAYVAGFAWSWGPLGWLVPSEVMPLEVRPAGQSITVAVNMLMTFAVAQAFLPMLCQLKFLLFFFFAACVVVMTLFVAFFLPETKGVPIEDMAGVWKKHWYWKRFVDDGEDGADGRGDIEMGCGGEAKN >KQL23256 pep chromosome:Setaria_italica_v2.0:II:10262164:10265228:1 gene:SETIT_031225mg transcript:KQL23256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGLPAPGWVVQQFGHSADIKMFGSNMALHRTYKAFCFRCFATNGRGFGADSTNKRKIKSKKRPKDVGVEPRLLFNSSNKVISGNPKNMDQWVPELRTGSENRSGKQVLDKKFLEKVEAVKRSALEKKKAEENKDYQAIDYDAPIESDKSTIGFGTRVGIGVAVVVFGLVFAFGDFLPYGR >KQL25763 pep chromosome:Setaria_italica_v2.0:II:40376562:40380301:-1 gene:SETIT_029499mg transcript:KQL25763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVARSPALVLVGILLLAASPARGSPDGGSFLRLPSSAPRHLAPRLPRSAAVDLIRALNLHPADASPRPATPGAAPAPAGTLVERPIRLASLAAGGGVASEGTSVKDLGHHAGYYRLPNTHDARMFYFFFESRGHKDDPVVIWLTGGPGCSSELALFYENGPFHIADNLSLVWNDFGWDKASNLIYVDQPTGTGFSYSSDSRDTRHNEASVSNDLYDFLQAFFAEHPKYAKNDFFITGESYAGHYIPAFASRVYRGNKNNEGIHINLKGFAIGNGLTDPAIQYKAYPDYALDMGLITKSQFNRINRIVPTCEFTIKLCGTSGTVSCLAAYFVCNTIFTAIRTIIGSKNYYDIRKPCVGSLCYDFSNLEKFLNKKSVRESLGVGDIEFVSCSPSVYQAMLLDWMRNLEVGIPELLENDIKVLIYAGEYDLICNWLGNSRWVNSMEWSGKEAFVSSSEKPFKVDGKEAGLLKSHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTSGNLSEPISQKLDFDM >KQL23172 pep chromosome:Setaria_italica_v2.0:II:8921344:8924661:1 gene:SETIT_029909mg transcript:KQL23172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASHHVVLVLLLIPHLAAAASSPSASLAGDLLGTARDPGFAEWMRGLRRRIHRHPELAFQEHRTSELVRAELDALGLSYAWPVARTGVVATIAGGGGAGPVVALRADMDALPVQEMVDWEYKSQEDGKMHACGHDAHVTMLLGAARLLQSRKDDLKGTIKLVFQPAEEGYAGAYFVLKEGVLENVSAIFGLHVIPDLPVGVVASRPGPFLSAAARFTATLTGKGGHAGGPHDTIDPVIAAASAILSLQQLVSRETDPLEAAVVSVTLLKGGEAYNVIPESVTIGGTFRSMTDQGLSYLMKRVKEIIEAQATVNRCAATVDFLEEDLRPYPTTVNDELMYAHAKEVAEGMLGEANVKIRPQTMGGEDFAFYAQRAAGAFFMIGVGNETTMEKVRPVHSPYFVMDEEALPVGAAFHAAVAIEYLNKNQCA >KQL23105 pep chromosome:Setaria_italica_v2.0:II:8416344:8420351:-1 gene:SETIT_029739mg transcript:KQL23105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVQEPCTGGRDGGQGTHRRRRVLVFPLPFQGHINPMLQLAGALHARGLAVTVLHTHFNAPDPARHPEFQFVPVPDGVPAELAASGNALDIMHAMNAAMEAEAEAAVRGVLASVLGDEGQPPVACIIFDANLLAVPRAATALGLKTLVLRTGSTACLCCFMAYPMLHEKGYLPPRDLELYTPVKELPPLRVKDLFYATGSYQETMRKMIARSVEAVKNSAGLVINTFDALEADELGRIREELNIPLVIAAGPLHKLSSRSTGSSLLEQDFGCIEWLDKQASKSVLYASFGSLASLDSNEFLEVAWGLANSGHPFIWVVRPGMVQGLDGPDLPNGFGDAVEGRGKVVKWAPQQEVLAHHAVGGFWTHNGWNSTLESISEGVPMICRPLFADQMMNARYVEKRWGVGFELEGVLERGKIEKAIRKLMEEPEGDEMREKAKELKEEATDCLKIGGSSQSAIDKLVNYILSM >KQL26903 pep chromosome:Setaria_italica_v2.0:II:46844322:46845747:1 gene:SETIT_030148mg transcript:KQL26903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGLLFLLAGAFLLATAQAHAPRTGTRAAAGGGSSCYGRFFAFGDSIIDTGNFIHYAAAPGSVARPPYGETFFRRPTGRWSDGRLPTDFIVERLGFPYWTPYLAGKTAEDFRYGANFAVASATALKQLLFRENNLDVKQITPYSLNVQIEWFKKVLDTLATTEHEPKEIMASSLFLVGEIGYNDYNHPFFQNKTLDWVMPLVPRVIGSIVESLEALIQLGAKTLYVPGIFPLGCVPRYLFLFRDSDAGDYDPTTGCLRWLNALAELHNTLLKAKLAELRRAHRRCGVSIVYVDYYGEVADIIASRRAAPNGAALDACCGGAGLHNANFTVHCSDPGAVLCADPSRYVSWDGLHFTEAAYREMARGMLDGPFATPAIMSGCSK >KQL22660 pep chromosome:Setaria_italica_v2.0:II:4242210:4242874:-1 gene:SETIT_032863mg transcript:KQL22660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SILLLREPTTRSPIHRTRAPPTPMAATVMASMGSLAFAAAGARAGGFPVRVPASALAPRRRALQVVRAQAEDAEPTTEETSPAFSSSTSPTTPSAATRTPKPKAAKKPPSRGLWDALAFSGPAPERINGRLAMVGFVSALAMEASRGGGLLSQAGSGSGLACFAATAAALSVAGGVMSADAELWNGRFAMLGLVALAFNEYLTGAPFINA >KQL24390 pep chromosome:Setaria_italica_v2.0:II:29406898:29412586:-1 gene:SETIT_032049mg transcript:KQL24390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPSSAPGAGPSGGRAAVGGAGGVGVGGAGGDPWRRLNGSTASTELSLSPPAEQDHAGALPWRHRPSAAAAPSVATTSAGAALMPTMPMLQPLDGGGGLAGGGGGASLAAPIRGIPIYNGPGGFPFLPPAAGGDGGHHQKLGFYGSYHPATWPSSLGSTSPSPLAPGAPPGPLDPASAAFLSPAAHHHHRMLTASGRLNGMLSDTLRGYGAGGMGGLGGIGGHHHHHHLHGGQPFGLGSRFMPKLPAKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPAASSGPNDGGSGDEDFPGAGQAASGGDNMCGARPFGEHRSTSEGAASSVGGGGGGDMDQSSAGNTSTRWSNSSRDPWLSSNSCNMDAHRLAGLSSPIENPEPCRSSSSQVSNHEMSSPSLEFTLGRPDWQGADHD >KQL25520 pep chromosome:Setaria_italica_v2.0:II:38843185:38844785:-1 gene:SETIT_032405mg transcript:KQL25520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLKPKHHLSKAGRILLIRRLCDGSPTPPTPTDPAPPPLTRAETKLLDALHAALLDHRRANPASELPVSPPFDTLPPLSEAVSGLLPSPPSPDLPLHLLRRLLALRRGVPLPEAVAFFHHVVPSQPEHSLPDLYATMIDLLAKHHHFPLARHLLDEMRQRAVPISSQLIRALIRRYVRAEMPSEAADLFRRMDEYGAGAPDSATLAEAQSLFDSCKSVFPPDVVLYTAVVHAWCRAGRLDEAERVFAEMQQSGIMPNVYTYTAVIDAMYRAGQVPRAQELLCQMIDSGCPPNTATFNAIMRAHLKAGRSEQVLQVHNQMRQFGCEPDIITYNFLIETHCGKGQGNLDAALKVLAKMTAKGCVPDCHTFNPMFKLVLVLGNIDAARKLYEKMRDLQCKPNVVTYNCLLRLFNQEKSMDMVLRMKKNMDVEGIEPNMHTYAILIEAFCGRGNWKRAHATLKEMIEEKSFKPSKKVRDTVLTLLRKAGQLKKHEELVELMADQGFISRPASDALWTTLSAC >KQL26547 pep chromosome:Setaria_italica_v2.0:II:45001962:45002602:-1 gene:SETIT_033146mg transcript:KQL26547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSDLVLDRFISAKNLAMASRSTMPASLLLMDPHGAAALVQQRHEHRGTVAGGGGTQRGVSTPCRAGAAKPELPPHPTVPELPKPELPPHPVEPEPPKPEVPAHPAVPELPKPELPPHPTVPELPKPEHPAVPDELPKPEVPEHPAMPELPEPEVPEHPAEPEVPEHPAVPEVPKHELPPLPEPELPPKAEGHYPVPDTKP >KQL23589 pep chromosome:Setaria_italica_v2.0:II:15337559:15344974:-1 gene:SETIT_029013mg transcript:KQL23589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPGAARRTTYSLLSQFPDDAPGPPPATVLQRQSSGSSYGAGSSISASSDYPFHLQAPASAAGVAPPGSAAAAAAPGGSSPCKSWAQQAEETYQLQLALALRLCADAACAADPGFLDPGETGSGRGSGNGRAFPLAHPAPSPESLSHRFWVNGSLSYNSTIPDGFYVIHGMDPFVWSLCTDIHEENRIPSMESLKSVLPDDSSIQAILIDRRTDFDLGMLESYASSLSSSCTDAKDVVTQLAKVVSSRMGGTTSNEENLLPRWKECNEAIKSSAGSVVLHLGKLPVGLCKHRSLLFKMLADKVSIPCRVVKGCKYCKSDDASSCLVRFGLEREYLVDLIGDPGQLSDPDSFVNGPYSLSVSSPLHPPKFRSLEITSNFSSVAKQYFSDCHSLNLLFSDSSTGAATSAVTTLDQPYSKKHIAGDEIINSWMPGKGQAAIKPDIIVPEAPREVLPLITSSNIKLDKKKELKLIEETQHLRHTVSDLSLAADDLIIPWNELILKEKIGAGSFGTVHRADWHGSDVAVKILMEQDFHPERFREFMREVAIMKSLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYKLLHRSGAKEVLDERRRLNMAFDVAKGMNYLHRRSPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSLAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELMTLQQPWCNLNPAQVVAAVGFKGRRLEIPKDLNPLVAALIESCWANEPWRRPSFTNIMEALRPLIKVPVPQLIRSDS >KQL26012 pep chromosome:Setaria_italica_v2.0:II:41821225:41821542:-1 gene:SETIT_033771mg transcript:KQL26012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKAYGICNMVQHGTNQITCRWSAGTSKEK >KQL26084 pep chromosome:Setaria_italica_v2.0:II:42249413:42254120:1 gene:SETIT_028947mg transcript:KQL26084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPASSDEPSRHKKAKKSKPDKEEKKHKKRSQERPATEDAPVPDATERKKRKHKEGREGTRDGKKSKKEGKHEGKAVGAEADEAGRDEKMRRAMEDERFAAARTDPRFRPMRRKEAKVALDSRFSSMMTDPRFASSAAPVDKRGRSRKKRENPLLNYYLNQEEEEEEEGKEKVKKEKAKLVKEEDDEEEEEDEEQDEDESSSSDDDEDEDVDDDDENSVGSDIAHYLLGRHDDTATIDKETHRLAVVNMDWDHIKAVDLYMVMNSCLPKGGRVLSVSIYPSEFGLKCMEIESTQGPAALVNANVDGKNSDADEDDKNDDEDNIDADDDDDEDDKNDDEDNTDADDDEDITDDDNDEEELDSDKENNKLRAYELKRLKYYYAVVVCDSSTTANHLYMTLDGTELLKTANVFDLQFIPDSREFKHPARDVATEVPPSYKEPDFETRALQHSRVKLTWDEDEPERKKVLRRKFTDDQLDELDMYLASDDSASEDDSVDNSDDESLPNGGSKRKLTKEERLALLLGGDKSDEEQTDGQDMEITFNTELEDLSKRVLERKSNEEKTVWEKHQEKMKEKKKAKKRGLKDEDDNDHYSSEDEPNEDDDFFAAELSDEEPKPSKSKKHNAKAKDKGKRKGKDDSTEEHLEPEATKEELELLVAGDQDTASGAKGYNLKRKKGKKGKKGKEESIEDKLPDIDLSKDDRFSAMFTSHLFALDPTDPQYKRSAPFMRKQTGKPGAHASKAEGSSLGGALPPDDAAAKNNDDQKPDGASKEKLQILSAVKSLKRNLGAFKSKNR >KQL26085 pep chromosome:Setaria_italica_v2.0:II:42249413:42254120:1 gene:SETIT_028947mg transcript:KQL26085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPASSDEPSRHKKAKKSKPDKEEKKHKKRSQERPATEDAPVPDATERKKRKHKEGREGTRDGKKSKKEGKHEGKAVGAEADEAGRDEKMRRAMEDERFAAARTDPRFRPMRRKEAKVALDSRFSSMMTDPRFASSAAPVDKRGRSRKKRENPLLNYYLNQEEEEEEEGKEKVKKEKAKLVKEEDDEEEEEDEEQDEDESSSSDDDEDEDVDDDDENSVGSDIAHYLLGRHDDTATIDKETHRLAVVNMDWDHIKVCADAVIFELENGRSELLCLNKNAVDLYMVMNSCLPKGGRVLSVSIYPSEFGLKCMEIESTQGPAALVNANVDGKNSDADEDDKNDDEDNIDADDDDDEDDKNDDEDNTDADDDEDITDDDNDEEELDSDKENNKLRAYELKRLKYYYAVVVCDSSTTANHLYMTLDGTELLKTANVFDLQFIPDSREFKHPARDVATEVPPSYKEPDFETRALQHSRVKLTWDEDEPERKKVLRRKFTDDQLDELDMYLASDDSASEDDSVDNSDDESLPNGGSKRKLTKEERLALLLGGDKSDEEQTDGQDMEITFNTELEDLSKRVLERKSNEEKTVWEKHQEKMKEKKKAKKRGLKDEDDNDHYSSEDEPNEDDDFFAAELSDEEPKPSKSKKHNAKAKDKGKRKGKDDSTEEHLEPEATKEELELLVAGDQDTASGAKGYNLKRKKGKKGKKGKEESIEDKLPDIDLSKDDRFSAMFTSHLFALDPTDPQYKRSAPFMRKQTGKPGAHASKAEGSSLGGALPPDDAAAKNNDDQKPDGASKEKLQILSAVKSLKRNLGAFKSKNR >KQL27131 pep chromosome:Setaria_italica_v2.0:II:47994205:47995772:-1 gene:SETIT_032454mg transcript:KQL27131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPLYLLLVSLLVAIPLFYFRRSSARGHGSALRLPPSPWALPVIGHIHHLALAGALPHRAMRDLAAPRLAVNLSEMVSAYVADASVRAIIGSRFRDRETFLTLLERRLKIMPASSLPDLFPSSRLAMFISPTPRLMMREREKMMAFIDTIIQDHRDNSAAGVDEEDLLDVLLRIHRGDELDPPLTTDNMKNVIVDIFAASSETSATTLSWIMAELMRNPRVMRKAQNEVRRVLDGEESVTEDSLGELRYLPLVIKEALRLHPPATLLIPRECRSPCQVLGFNVPAGVMVLVNADVDFKGSDFEFIPFGAGRRMCPGIGFGLANMDLALASLLYHFDWKLPDGMEPGQLDMTEALGITTRRLSHLMLVPTVRVPLRGE >KQL24761 pep chromosome:Setaria_italica_v2.0:II:32901147:32901589:-1 gene:SETIT_033549mg transcript:KQL24761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGFNCSNISITHELGSTLVWCQFIKSFFTLTLVKLFPKLR >KQL22255 pep chromosome:Setaria_italica_v2.0:II:1024159:1026512:1 gene:SETIT_030145mg transcript:KQL22255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNLSPQALIPSGAPFDLGQPLHFAAQPQAVQVHQGVIAATAMNKMQEFENVVEASLREEEGADDGKAAVSASQWPRVRWTSDMVKLLVSAVSYIDPDHSTSSGRRKHTMLKMKGKWRLVSLAMTERKFTVSPQQCEDKFNDLNKRYRRLTEILGQGMASEIIKKPVLLEQVSLSGKLREEAKKHLRSKHLHYEEMCSYHNHNRSCLPDDPALQRSLHMALRSLDEQGKKCSFGYGDEDDPMLVSDGDDEDDGFNVDHRHSGVHGTKKQKHDHEGGHCGSDLSKAVAVGANRMFPKRIGGSAAEKNPSGMNAIQVERERLKIKGEMLKLEQSHLKWLKSSEEEDRELQKMKLENERMQLENEQLEQELKLKEIEMGIKPKRI >KQL22574 pep chromosome:Setaria_italica_v2.0:II:3619364:3627475:-1 gene:SETIT_029182mg transcript:KQL22574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSELDLMMAGTDSAILMIEGYCDFLTEEKLLEAVEAGQVAIREICKAIDVLVQNCGKKKMVDAIALPPPELYRHVEDISGDELVKALQIKEKIPRRKALSAVEEKVITILSEQGYVCKDISSGVAESLDDIVEDEDEDEVIVDGEVDEGDVHIKPLFAEVDVKLVFKEVSSKYLRRCIVEGGKRSDGRSPWELRPINSQCGLLPRAHGSALFTRGETQSLAVVTLGGYQMAQRIDNLVDTEESKSFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALEPILPLEEDFPYTIRVESTITESNGSSSMASVCGGCLALQDAGVPIKFPVAGIAMGLVLDTQEFGGDGSPLILSDITGAEDASGDMDLKVAGNESGITAFQMDIKVVGITLPVMEQALLQARDGRRHILNEMSKSSPPPSKALSPHAPLIHVMKVKPNKVNLIIGSGGKTIKSIIEETGVDAIDTGDDGTVKITARDLSSLEKSKTIIANLTMVPKVGEIYRNCEIKSIAPYGAFVEIAPGREGLCHISELSSGWLAKAEDAFKVGDRIDVKLIEINDKGQLRLSSRALLPNADSESNSKQQTSGSTKEKVPQKDDLIKMTTRRARRKKQSEPSGAENATTKTLEKSAAASATSQGSETAKK >KQL25159 pep chromosome:Setaria_italica_v2.0:II:36377880:36379054:-1 gene:SETIT_030489mg transcript:KQL25159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEFTNGRDLCRPSISRFATHFLSLQCIVKFEKELHQMVTSNKWVKSTYAKGGVGKDVTAIIWKDVDFWAQCKHVVKVTEPLLRVLRLVDSNENPSMGYLYDAMEKAKESIRARMMHKACLYGPYVRVIDARMEKQLHSPLHGAGCFFNPGIYFSPSFKMQSYAFRGLMKTIASLVPDVEVQDKIFLQLEEYKKSTGDFGLPIAVRQRQKLNPVAWWDNFGNGTLELQSLAVRVLSQCCSATGCERNWDIFNYLHSMKLSRLERSSFEKGIKTWNNFMCSSHHEFLLTVHYCHAGTSLRTPSIPSAWTTSMFWMNGSANILAFSAEMT >KQL26367 pep chromosome:Setaria_italica_v2.0:II:43995342:43995995:1 gene:SETIT_031378mg transcript:KQL26367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASPLASQEAMCCSLSTGPQMSCLGRGSRTRCISAGMLQAGNGEPSQSLQYYPTNMCFMELQDCLILTVLVDLDAGRWLQLDAFSYCTGYCRPRVSERAECRSSSAKLLAYTAGDWASASSTHHWATFVFSPACLKMYWASLLGRLLTSVLS >KQL23807 pep chromosome:Setaria_italica_v2.0:II:20342658:20343800:1 gene:SETIT_031466mg transcript:KQL23807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWQLAHSMVDFSRFNMYLLYIGKSQQASERIALPFFRICPAHKCPLTIVIPSAAPSAATPRPPHACNTDVLLCVCAPHPAFECFCSCHEVIVPFLLLLTGHKHSFLISDSNQVAYPVITISKDFVGKCLRRRKIRND >KQL22186 pep chromosome:Setaria_italica_v2.0:II:431415:432893:1 gene:SETIT_032491mg transcript:KQL22186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVSAVLGELAIRSINFFIRSTFKPTSPDVEDPLRRILLRAQVIVDEAMERQITNQTVLQQLHMLRDAMHRGYYILDTFRYKSHNNEEAKVNFLQGMCLPRKNTPILEQLQKSFDDLSSMILDAQELVVFLTSYPRLYRQPYSMHLLLGNCMFGRQMESELVINFLLRTRSYGSKELEVLPIVGPGLVGKSTLVAHVCEDERVRDHFSEILFLHGHDFTGDDLSALREGHAMEYQNRVNLNRDRRLLVVVELVGDLNKDAWNRLYSAMQHVPSGSKVIVTSRSDKITKFGTTQALRMKYVSCEAYWYFFKTLTFGSTDPETHPRFAHLAMEIAEMLNGCFIGANMTSCMLRDNFDIRFWCKVLAFLRGLMQKHVSRFGGHPLEVINQNRPAHLWRMAAPSEDLVLYHLHQHPSQEEVPEIKILDVLYGSVKPRGKFDVLVWRSRIPPYYSYSNTCEIRELKVTGAKRKRSKKSRVMVC >KQL23031 pep chromosome:Setaria_italica_v2.0:II:7768162:7770551:1 gene:SETIT_029599mg transcript:KQL23031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSRRHLHHHKFTPSSPPAAFPSPLLRRLRPLRPPTLASANAPPRSPLPLPFPFPRRQWRWRRSTGGAGDAVLEPQPVEADANATGGGKKSFWAAVSLIIGTAVGPGMLGLPSATIRSGQAPSAAAILLSWAYVVSSIVLVAELSFSAMERDGVDEVSFTGLASSTLGPDLGAVVAVVYAALSFSLIVACVAGIGSLVAQLFPRVNPVLANALFPCFAGVLIAFFPFKAVDGANRALCGLMLVSITALVVTGVSVGRSSLLRSLGYACWTPGAILPAVPVAVLTLGFHVITPFMCKIVGDSVYDARRAILIGGAVPLAMVLSWNAVILGLASAGGNAGIGDPIKLLLSVNPAALPAVRGFAFAALATSLIGYAVSFPKQLADTFELIVQRFSPKQGSMQHSDSSSGYGRNWVILTWMVLIIPIFIASFFSAAFSRALDFAGVYANCFLFGILPPVMAWIHRSQKRKRSPDSCEDILPGGNAALLILFSIAVVLAIWH >KQL24504 pep chromosome:Setaria_italica_v2.0:II:30835780:30837365:1 gene:SETIT_031636mg transcript:KQL24504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPCSQLSVQNKVELPSTTYSVCAWNPPVCPVVDLLKLPVRFQFGLYRHNMSTCQGVRRNLDIYLDRPAGTNLILLPRSRQIRIYRGHNLAKEKS >KQL24530 pep chromosome:Setaria_italica_v2.0:II:31045691:31047664:-1 gene:SETIT_033505mg transcript:KQL24530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEVLETTLVTPSEATPRHALRLSNLDLAVPKTHTPLVYYYPKPPAGPDAGAEGGSPFFEPARLRDALARALVPFYPLAGRLAAGPGGRIEIDCTGEGALFAVARADFAGDEVFRDFEPSPEARRLLVPFAESGEPPCVLAMVQAKEKSNPQCSDG >KQL24528 pep chromosome:Setaria_italica_v2.0:II:31044527:31047730:-1 gene:SETIT_033505mg transcript:KQL24528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRYSYHQPRHGKMVAATGSAGASINDDFGFPSAPIDADRGRQVHWRGRRRRCASHAREAGGGARAVDTRHELELLKKTRPCLVGQFGRCQITVTAL >KQL24529 pep chromosome:Setaria_italica_v2.0:II:31045372:31046564:-1 gene:SETIT_033505mg transcript:KQL24529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAEHDSEEVARWCQSAAPGRRAAADSAYLDSEPVARSGATSWRAACEVVSRSGAAGSEQIDGTGAIGQGPKKNQTHSALTADSSKSVADMPRRYSYHQPRHGKMVAATGSAGASINDDFGFPSAPIDADRGRQVHWRGRRRRCASHAREAGGGARAVDTRHELELLKKTRPCLVGQFGRCQITVTAL >KQL26070 pep chromosome:Setaria_italica_v2.0:II:42183189:42185454:1 gene:SETIT_030570mg transcript:KQL26070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGSRRRPCVGGGDLLAVAVLLLSCLSRGASAADPAASFGDNFEITGAEDHVKTSADGQTWYLYLDNKTGVGFQTKQRYLFGWFSMKLKLVGNDSAGVVTAYYMCSDVDAAPQRDELDFEFLGNRTGQPYIIQTNVYHNGVGGREMRHSLWFDPTADFHTYAILWNPKHIVFFVDKVPIRVYPNDASKPASNGFFPVSKPMYIFSSIWNADDWATRGGLEKTDWAKAPFVSSYRDFAADACAWPGEPGAPSPPPACAAATGDSWWDQPPAWALDEAQRLDNAWVGRNVLIYDYCDDRKRFPTPPEECALRNAGAAS >KQL24933 pep chromosome:Setaria_italica_v2.0:II:34702896:34706644:-1 gene:SETIT_029620mg transcript:KQL24933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAGVAERLHNKTILITGATGFIAKLLVEKVLRLQPPVKRLYLLVRAGDQASATERVRSEIMQLQIFRPLREKYQKHFSSWFWDKVYPVAGDVSLKNLGIGDVALAEDILKQTDIIVHMAATVNFRERYDTALAINTMGVKHIIEIASQCAKLELLLLVSTAYVNGKESGIMLEKPFHQYRSYDGQSDLDISEEMALTEAKLKELVCRNASEDTIRRTMKKIGTQRAQKFGWMSTYVFTKAMGEMLAYEQRLRLPIAIIRPTSTTSTWKEPFPGWIEGIKTIDTWITNYGKGILKVLPADVTTVIDIVPADTVVNGMLCVISYHPRGQSGLIYHIGSSMRNPLKIGELIHIMFRYFSEKPFVGAGGEGIKVKQLIVPATMASFYKHMDIHYKVPMQDTAGRGLSTTDELDRYNHLKREYNFTVALAEVFRPGTFFKRRFDDSNMQRLIAMMNKRDRELIPCDTKFINWEKYLMETHIPGVMGYESREAARARL >KQL24932 pep chromosome:Setaria_italica_v2.0:II:34703717:34706644:-1 gene:SETIT_029620mg transcript:KQL24932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAGVAERLHNKTILITGATGFIAKLLVEKVLRLQPPVKRLYLLVRAGDQASATERVRSEIMQLQIFRPLREKYQKHFSSWFWDKVYPVAGDVSLKNLGIGDVALAEDILKQTDIIVHMAATVNFRERYDTALAINTMGVKHIIEIASQCAKLELLLLVSTAYVNGKESGIMLEKPFHQYRSYDGQSDLDISEEMALTEAKLKELVCRNASEDTIRRTMKKIGTQRAQKFGWMSTYVFTKAMGEMLAYEQRLRLPIAIIRPTSTTSTWKEPFPGWIEGIKTIDTWITNYGKGILKVLPADVTTVIDIVPADTVVNGMLCVISYHPRGQSGLIYHIGSSMRNPLKIGELIHIMFRYFSEKPFVGAGGEGIKVKQLIVPATMASFYKHMDIHYKVPMQDTAGRGLSTTDELDRYNHLKREYNFTVALAEVFRPGTFFKRRFDDSNMQRLIAMMNKRDRELIPCDTKFINWEKYLMETHIPGVMGYESREAARARL >KQL26052 pep chromosome:Setaria_italica_v2.0:II:42056383:42058878:-1 gene:SETIT_030181mg transcript:KQL26052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKMGGSAKAYAAVVLIRLMYSGMHVMSKVALDQGMNPLVFVFYRHTTAALVLIPTAFVLERRKAKPVTFKIGWKMFIHALYGVTACGVLFNLGLNYASATSSSALYNVQPVVTFILAVIFGMETLKLTRFHGKVKFAGILCCIAGVTVLAFYEGPMFRSFNHHHLFQNGGSSPAGAAETRSKKQWVLGIFLMTLSNVLAGLWTVLQGPLIEDTSKLMNTTLQISCASVQAFLVAVATERDFSKWKLGWNVGLAAIIYSGVIVTALSYYMQMWTIAKRGPVFLAMSMPLTFVFTIVISSFIIGDAVSLGSIFAGVLLVGGLYNVFWGKSIEERDDLTKISAAGGSKPGLELPPQQNSKADEEAPQVPDDDDGAEAKV >KQL23208 pep chromosome:Setaria_italica_v2.0:II:9660403:9661496:1 gene:SETIT_030367mg transcript:KQL23208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTHIKVGTHAFRRLVHSVKLAVPLQALHELVDVPLLPAAVVADDQLPQPLRVERELLPLLLHHDARNRGSNVVPAEPAVVVTPRHLHADAALGHHPRDEPLVDDEREHHQRVPETEALGDGAPPAVREEGAHRRVRQHAHLRHPAHARRAATARPLLEPFRQQWLLAGAGAAAAARRPERPQEAHPGELEPQGELMHARRRHRRLAAERDAAPRHCYGHRRRARPPPAPAAGGRHPTAGLPNSSASRGNTVRGSASGQLRNTRRRQRSSVLQVRSMSETPDALGRNAAAIHAASWRRKARDGGEGNHLGIGMKSSTVTRGWLAFSRREPLYASMVPVTIRNIAQGT >KQL22684 pep chromosome:Setaria_italica_v2.0:II:4594830:4597582:1 gene:SETIT_031419mg transcript:KQL22684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDTEGSEIHMGDLGGASSAKAEVRCCAYVRVGDELLVDGGMARFEVIEKLGPDVKCRCTDPGLLLPRVNLTFWRDGSIVRERNAMLPTISSKARDCGREIGKQSFLFLVCYSASIGHREKRCCRVLGFLERGWNGMMDRCGMRCCC >KQL24037 pep chromosome:Setaria_italica_v2.0:II:25087052:25093732:1 gene:SETIT_030454mg transcript:KQL24037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEERKGSGGAAAAAGGVSTPGANLKDLVSREYYGHKKKVHSVAWNCLGTKLASGSIDHTARVWSIDPHGHSKVKDIELKGHTDSVDQLCWDPNHPDTVATAAADKSIRLWDARSGKCQVIELSGENINITYKHDGTQIAVGNKEDELTILDVRSLKVVKKHKANYEVNEIAWNKAGDLFFITTGLGHIEVVKDLEFLKPCKLNAHTAGCYCIAMDPLDRYFAVGSADSLVSLWNVKELLCIRTFTKLEWPVRTVSFNHTGEFIAYASEDPFIDIANVETGRSVHQIPCKAAMNSVEWNPKYNLLAYAGDDKNKYQADEGVFRIFGFETHN >KQL23347 pep chromosome:Setaria_italica_v2.0:II:11054189:11054578:1 gene:SETIT_033633mg transcript:KQL23347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPCVTRELHVHSSMLTVLCRSCYVCTTMVNTSDRKSTQPR >KQL26570 pep chromosome:Setaria_italica_v2.0:II:45128818:45133325:-1 gene:SETIT_029547mg transcript:KQL26570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQADSSAAPYSPHVVAGAFVKKYYHTLRYMREDAYKFYNDSSILGRSDSNGNIISVTTLDDIKVQLQSTDFTDCLIKLETVDSQPSHLDGVLILVAGSFTIDAVKQKFTQSFFLAPQGSGYFVLNDMLRIVRPSTEVKEVVANHDDVSIQSTTLPAEPETVSIKESTEPNIPPTENIMPVNDEVISPSTNAVSQVKNDAVVETCVKVVNKDIEKVSEATQAPPPAEKEVPKKTYASIVKTTRESIPPAPAAKPKPSPRPKPDQNAKKSVSSPSKPAQATNTAPPSEKNVSKTKSPDEPGYSVFVKNLPFEATVEMVGQEFSRFGAIKPGGIQVRKYQLDQFCFGFIEFESQHSMQAAIEESRVYFGSWESYVEEKRTKTRVVDGVVTRCDDNGAGSRFPSGRGGYNGDNYRGQGFRNNGYYNGGGNMRNDFRNQNEYSDRGRGPQGNGYPQNGNGYHRNRNGYHQNDGYHQNDGNQQRRPYNNGNGNGNGRAERGNGLKQQTPTPVAS >KQL23614 pep chromosome:Setaria_italica_v2.0:II:15473558:15474319:-1 gene:SETIT_033039mg transcript:KQL23614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFRPLVLLLAVVVIHQLPRSARAGDPDILTDYVLPRGADPAQINGSFFTYTRLVSGLAGDTAAKFTVSKATAAEFPALLGQSVSYAALVYGPGTVNPPHIHPRASELLVVVQGPLVVGLVDSARGGAVHTAALETGDVFVFPKGMVHFQLNNGTTAARAFSAFGSASPGTTSLPAALFETGIDDAVLEKSFHTDKATVEELKHDLREAPGPAPEPTPANSAAALAVGGSALLPRLAAALLCVGAAFSLVV >KQL27050 pep chromosome:Setaria_italica_v2.0:II:47589211:47594044:-1 gene:SETIT_029716mg transcript:KQL27050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISAHCYPLLKPSLLTTPSSVSARTPVLRRVVRRGTRRPLQRCLCSQYADERQPPESPQQLERLFSNLNQATMKHEPGSVTSAIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWLYMVVTGLLVAEVNVNTMCEIGSGGVSLVSMAMRTLGTSGVITACLSYLFIHYALLVAYVARSSDIITNSLGIPLWESATLFSLAFGGICYFGSQQLIGAVNGFLVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGNLSKVRTAIVAGTAIPLALFLVWDGVILGTLPGIAGNSNVSDPLELLRSSNGTVGPIVEAFSFLAIGTSYIGFILGLSDFLADLLKLPSGQNKPLPFLLTLLPPLVLSLLDPEIFFKALDFAGTYGVLVLFGVFPAAMSWSERYSDELEAPVPPIVPGGKFTLGVVMGGALLVIVSEIVKDIMQLQGQH >KQL27049 pep chromosome:Setaria_italica_v2.0:II:47589346:47594044:-1 gene:SETIT_029716mg transcript:KQL27049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISAHCYPLLKPSLLTTPSSVSARTPVLRRVVRRGTRRPLQRCLCSQYADERQPPESPQQLERLFSNLNQATMKHEPGSVTSAIFLVAGTTVGAGILAIPAVTQEAGFLASAVTCIFCWLYMVVTGLLVAEVNVNTMCEIGSGGVSLVSMAMRTLGTSGVITACLSYLFIHYALLVAYVARSSDIITNSLGIPLWESATLFSLAFGGICYFGSQQLIGAVNGFLVIGILTSFTSLVVVASGNLQWSSLLEANFAAAPQSIPIIALSFVYQNVVPVLCTNLEGNLSKVRTAIVAGTAIPLALFLVWDGVILGTLPGIAGNSNVSDPLELLRSSNGTVGPIVEAFSFLAIGTSYIGFILGLSDFLADLLKLPSGQNKPLPFLLTLLPPLVLSLLDPEIFFKALDFAGTYGVLVLFGVFPAAMSWSERYSDELEAPVPPIVPGGKFTLGVVMGGALLVIVSEIVKDIMQLQGQH >KQL26879 pep chromosome:Setaria_italica_v2.0:II:46736656:46737708:1 gene:SETIT_033034mg transcript:KQL26879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGVLILAALLGAVAAQAAGSYGGGNYSPSPSPPAQTPPPPPARPRLRFGFYKHSCPPAEVIVRDAVRNALLVNPGIGAGLIRMAFHDCFVQGCDGSVLLDPTPANPRPEKLGPPNFPSLRGFEVIDAAKAALERYCPGVVSCADVVQYAARDAAFFLSGFKVDYRLPAGRFDGSVSLESESLAFLPPPFFNLSQLITSFQVKGMNIDDLVVLSGSHTIGRSHCSSFSDRISTPPSDMDPGLATALKQQCPANPNFTNDPTVVQDVVTADKLDNQYYKNVLNHKVLFNSDAALLTSTPTARKVVENAFVRGRWEKKFAKAMVKMAAIEVKTAANGEVRKNCRVVNSKP >KQL22124 pep chromosome:Setaria_italica_v2.0:II:78822:81685:-1 gene:SETIT_029625mg transcript:KQL22124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAAAPLLGDVHLHLHGDNNRKEEEEKLPATTTTNRWMLEWDWEEAAGQLAFAAPMVATSMAYYAIPLVSVMYAGRLGDLQLAAATLGNSWGTVTGIALMTGLSGSLETLCGQGYGAKAYRMMGVYLQASMVTSALFSVVISLLWLYSEPLLVFLRQDPEVSRLAGVFLRYSIPAQFAYGFIQCTLRFLQTQSVVMPLVAFSLLPLAAHVGITHALVNVLGLGFAGAAMATSVSLWLSFLMLVAYVAFSGTFRETWGGFTAEAFRHVLPGMKLAIPSAVMVCFEYWSFEILVLLAGLMPESQLSTSIIAMCENTEAISYMITYGFAAVISTRVSNELGARNIANAKKALTVSLALSLMLGVAFLLLLGLGHEVWVRLFSNSEAVVSAFASMTPLLIGSVVLDSTQGVLSGVARGCGWQHLAAWTNLVAFYIIGLPLAILFGFAIGFQTKGLWMGQICGLLCQNCVLLFITLRTDWEKLDLTMINRDNDFVC >KQL26211 pep chromosome:Setaria_italica_v2.0:II:43077106:43079325:-1 gene:SETIT_030166mg transcript:KQL26211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSPVSKDLNLPVQPPMASSGLLRYRSAPSTVLGELCEDFLPPGPRAASPDAGADNVFARFLADHHIRDDKPSPPPPPPAPHFPGEAGMASQQQQMMFQSQHQQQQEMVDAKSGLYRTVSSGMEPASAAAAGVGASNLARQSSSPAGFLDNLNMDNGYGAMLRAGMSMGFRDGSSAAAATADSLAGGGSRLKGQLSFSSRQGSLMSQISEMDSEEVGGSSPEAAGGGRGYIPGYPMGSGWEDSSSLMSENLSGMKRPRDSLEPGQNGLMHQFSLPKTSSEMANIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLKESHANCTCSASKNQQHSD >KQL25063 pep chromosome:Setaria_italica_v2.0:II:35669616:35670056:-1 gene:SETIT_032888mg transcript:KQL25063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGSASEGGKTTLSGFGGGAGAGVDTKVLHAFQTSFVQSLQDQNRVLINEINQNHESKVPSNLSYNIGLIRELNNNQPRTGHIRHVVDLYADLSSLLAAAGGGYVAFEGGSVGT >KQL23503 pep chromosome:Setaria_italica_v2.0:II:14266402:14271835:1 gene:SETIT_029191mg transcript:KQL23503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVQNCVVKLRSNPRRHRDKVYVGCGAGFGGDRPMAALKLLQRVKELNYLVLECLAERTLADRYRIMVSGGKGYDPRGSPLSSFQSIGAGQGRSTYLGAASIVNCLENYKPNVVITSRVADAALFLAPMIYELGWNWNDTEELAQGTLASHLLECGCQLTGGYFMHPGDAYRDFSFEQLVDLSLPYAEVSYGGEVIVGKADGSGGLLSHSTCAEQLLYEVGDPANYITPDLVVDFCHVQFHQISKDKVHCEGAKPSDACRPEKLLQLSPTEGGWKGWGEISYGGHQCLKRAQAAEYLVRSWIGERYPDIDEKIVSYIMGYDSLKAVGGDKDSYSSKQVMDARLRMDGLFELEEHAVQFVEEFIALYTNGPAGGGGISTGQRKEIILQKMLVDRENIFWRAHAKKASIPCLQDQATDSETVQMHISQSQKNPTSRAMGIQHVDTSMGTAPPVRASPGKKIALYHIAHSRVGDKGNDMNFSVIPHFPGDIGRLMAVITPDWVKNVVSPLLDLSSFPDEQAIQRRINLLELVSVEIYEVPGICSLNVVVRNILDGGVNCSRRIDRHGKTLSDLILCQEVVLPP >KQL23502 pep chromosome:Setaria_italica_v2.0:II:14266402:14271835:1 gene:SETIT_029191mg transcript:KQL23502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVQNCVVKLRSNPRRHRDKVYVGCGAGFGGDRPMAALKLLQRVKELNYLVLECLAERTLADRYRIMVSGGKGYDPRVKEWMSVLLPLALERKVCIITNMGAMDPLGAQKEVLNLASILGLEITVAVAYESSFETQGSPLSSFQSIGAGQGRSTYLGAASIVNCLENYKPNVVITSRVADAALFLAPMIYELGWNWNDTEELAQGTLASHLLECGCQLTGGYFMHPGDAYRDFSFEQLVDLSLPYAEVSYGGEVIVGKADGSGGLLSHSTCAEQLLYEVGDPANYITPDLVVDFCHVQFHQISKDKVHCEGAKPSDACRPEKLLQLSPTEGGWKGWGEISYGGHQCLKRAQAAEYLVRSWIGERYPDIDEKIVSYIMGYDSLKAVGGDKDSYSSKQVMDARLRMDGLFELEEHAVQFVEEFIALYTNGPAGGGGISTGQRKEIILQKMLVDRENIFWRAHAKKASIPCLQDQATDSETVQMHISQSQKNPTSRAMGIQHVDTSMGTAPPVRASPGKKIALYHIAHSRVGDKGNDMNFSVIPHFPGDIGRLMAVITPDWVKNVVSPLLDLSSFPDEQAIQRRINLLELVSVEIYEVPGICSLNVVVRNILDGGVNCSRRIDRHGKTLSDLILCQEVVLPP >KQL24498 pep chromosome:Setaria_italica_v2.0:II:30719090:30722157:-1 gene:SETIT_029708mg transcript:KQL24498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTTREMQAMAAAGQISLDDLRAAAGSAGGVHDDFLDQMLGGLPPSAWPELASAAGAKVPEGGAQAEGMQQHQHQQFGGGLYDESALLASRLRQHQISGGPGGAESAAAAKQMVLQQLADLRQGHHMLLQGMGRSTGGGGDGGLLLPLSLGSGGSGGDVQALLKAAANSAGGEAGGVFGGAFAGSLQQQQHQHFQQHPQQTAPLPGQGFGGGGGGGGGGASAGASQPQAGASGGGAAAPPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEGRGGAPAAAGSDGLAVTEQQVAKLMEEDMGTAMQYLQGKGLCLMPVSLATAISSATCHMRPPVGAAGPAGLGVAAAAHHMAAMRLPPGMNGSGGAESAAAVPASPSMSVLTAQSAMANGAGGADGEGSHSQQQQQHPKDAASVSKP >KQL23173 pep chromosome:Setaria_italica_v2.0:II:8928870:8929255:1 gene:SETIT_031835mg transcript:KQL23173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTNNHSTIHICKRNRLAPKHLLPPFQIAGRREQMALVLISSTHHLTTGDTIQ >KQL22295 pep chromosome:Setaria_italica_v2.0:II:1461069:1461552:-1 gene:SETIT_031750mg transcript:KQL22295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNRCILCCLQIDCLQESMHSAADLVCNGSCRSLEVDMGVRTPNNFDSKRYKYYTYTYVFSYSGQIMYI >KQL27300 pep chromosome:Setaria_italica_v2.0:II:49081143:49082235:-1 gene:SETIT_031162mg transcript:KQL27300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVEFAAEDEIVDIVPNIRMEALNMICGDFGPFFPQIPTKVPLWLAVALKKRGKCTIRTPNWMTVDRLTQVLEAERESPREFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVENGLETISGRTHAVKAPTVPYTSCFHSRSSKICLQWK >KQL27299 pep chromosome:Setaria_italica_v2.0:II:49080187:49082422:-1 gene:SETIT_031162mg transcript:KQL27299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVEFAAEDEIVDIVPNIRMEALNMICGDFGPFFPQIPTKVPLWLAVALKKRGKCTIRTPNWMTVDRLTQVLEAERESPREFQPLPFHYIEISKLLFDHARDDISDAYLVRSLIEDIRDVRFHKVENGLETISGRTHAVKLKNLSAMEVNIVRPFMVRTLQAFYKHDSPQMIQQADNTGSRPTPVTDRGPRRDLRRR >KQL26926 pep chromosome:Setaria_italica_v2.0:II:46955696:46955857:-1 gene:SETIT_032197mg transcript:KQL26926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAMVVWDLYNVLSFFPEGQLSYIPHPRGTNIEQAAGSARRKHPGPPVDMMN >KQL24962 pep chromosome:Setaria_italica_v2.0:II:35010791:35014190:1 gene:SETIT_032590mg transcript:KQL24962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGVASPAVGNAAAGDGGEAAPAEGVPGMGVEAKGKAVLVEGAPPAIAVEGNDAEEEEDEEDKEEAADAEEGSEDDDEEGEKWLGCYSSTQSILLVGEGNFSFSLALATAFGSGANLVATSLDTDEALKKMYSRAESNIMNLKRLGATVLHGIDVQKMKFHTDLKNRRFDCIVYNFPHAGFKGKEYEAHMINLHKKLVRGYFCNARHLLRPCGEIHVSHKSGASYDKWDLEQIAAKFSLILVEKVGFQKAQYPGYNQKKGDGPMCDKSFPLGTCFTFRFRIGDLKKRKKQNRRRAGLVSSIGGSTRPSHPPPPVEALPGLDFPPPANTENMPMTLLPHVDVQRQPSGFALNFSSIPRAPCFHPLAPVCPVLSMPGRLNALGTTAGIPPPMGRITSTTLLTPQGQRIIAKPLVRTTDCCFAWEYKGSLRREFEMLRQVMPGSTNLTYSAFLEHRHRESVRRQEWLRRMIANSCRAILCGKH >KQL24898 pep chromosome:Setaria_italica_v2.0:II:34468936:34472628:1 gene:SETIT_029814mg transcript:KQL24898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPRKRKGARAACSLAGSLHEAPAAAATARKRTCREPKPRPEKKKLSSGGGDEAASASARGGVVMTAPPASGRAAPDSPGRGLKRKLGCIESATRMGRKKRLDSEYELGAEIGQGKFGSVRICRARAGGEEFACKALAKNGEETVHREVEIMQHLSGHPGVVTLKAVFEDADQFYLVMELCGGGRLLDEIAREGKFSEQRAAIVIKDLMAVLKYCHEMGVVHRDIKPENILLTKAGKMKLADFGLAARVTNGQKLSGIAGSPAYVAPEVLSGSYSEKVDIWGAGVLLHVLLLGSLPFQGGSLDAVFESIKMVELDFSSSPWESISGLGKDLIGRMLNRDVSSRLTADEVLSHPWVLFYTECPLKVVTANLCVTNKIIAPIIPRGRLRSECESLSDLSQSSEEQDECGIVDALTAAITRVRISEPKRSRLCSPALQQECSSNLKSNLCTAF >KQL24219 pep chromosome:Setaria_italica_v2.0:II:27714209:27717768:-1 gene:SETIT_032531mg transcript:KQL24219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QDIWNQLREDVASNLRRSVVSLVLNDACRIPCTFSGIAIECQNNVTKFVTTGILVSALKTGQFEEDVIIKVHYEGNVATGYLDEYDSDCQLAAVKVMSPLDIYCIHLNPGMESMPCKQLIVVGCVFDTLIATSGKISHRSKDRKFLILSRISEKVSCFVSFIPFWSFFGHLLQFLIFIPNNNKILWYLYLKMTANGPAVLLVSVTVCIFCRVAIFLSSCVSIEAYVLFKICGIILVNTYEDPFCDLYPKGVWGEFRKRVSFYISQNVVALSSFKETRFFACTRVFINYDDEYPKILTSASLIRDRDDRNKIVEDLRNRPVKLQDSMVNDSTAVAVGWIFQSGALMVASGKLIVGSSMLDCEVLCYSTCKISKVGIGGSLVDVDGNFISMKFHEICYNCEKIGTLYVYSEDLCRILEFFKTKNTFDCKLLNKQVASA >KQL22140 pep chromosome:Setaria_italica_v2.0:II:148210:148658:1 gene:SETIT_031852mg transcript:KQL22140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQTISIPVNCVACLYSADEEMKLMAAWSAVPTGDHVAGMLIFHSPPA >KQL23134 pep chromosome:Setaria_italica_v2.0:II:8624636:8626057:-1 gene:SETIT_029844mg transcript:KQL23134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCSHAKPFCATATPRLSRRRRHLSANATSPASSTSKPRFPALNKPAKPPPPPLLSRPKLPVPNLTTTDTADDKDCTKKPPPESAAAPPSSSGAGDVLRLMDALGLPPDEDVYISLLRDCASSAEVAAVHAHLARRCACAPAGGGLPLPLSNRVLLSYAACGDIGAARRVFDEMPARNSMAWATMVSAYSDARFHHDAMRLFALMCHEARDLTGDGFAHATVAVLRSCTRAGELRLGEQVQALVVKEGRVCGDIGSALVQLYCESGSLHRRARQVLAMMMERHCHETVPEAAWTSLITACHRDGLLDEATDVFRDMASAGVPRSSFSLSSILAVFAESENQQHQGCCGEQVHADTIKRGVDTNQFVGSGLVHMYAKQGRLADAARAFEAIGGRPDAVCWNAMAMAYARGGRYKQAARVMYQMKAAGMNPSEEMTDAVRLACFR >KQL25255 pep chromosome:Setaria_italica_v2.0:II:36926355:36928965:1 gene:SETIT_031408mg transcript:KQL25255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCGTGGRRPGREAIGHRGKASRARRGGDGAMSYQKIPESYPPPGYSQPYPPPQAPPQGPYYPPQQLPPPGYQGYFNDGQQPYGYPPPHGGHHHHGHHHHHDDHHHHYHHHGHHHHHEEDDCCLGFLKGWLAALCCCCILDECCCCCF >KQL23791 pep chromosome:Setaria_italica_v2.0:II:19728712:19730939:1 gene:SETIT_031620mg transcript:KQL23791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQRAVTECPKKVAGLVDLVNLPTVLREFAGGRSQMSHISFFLRKWSHIKEHNLQDPTNKNIVNCDEKLKTVLLGRSKVQLFELPMIVKLHFPKAPKS >KQL26275 pep chromosome:Setaria_italica_v2.0:II:43466697:43469525:1 gene:SETIT_032152mg transcript:KQL26275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVMKAISGVQTVRFKNEPEHNITIKLGYANAKIYKCEDDRCPQPMAYGRGKEDSPLCDVPGFENTRMKLPRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTFEHLAANKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLEYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDQSNASLQGVLRVNQKIEVRPGIVMKDENGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEAGKVSKLTKGEILMLNIGSMSTGARVLAVKNDLAKLQLTAPVCMSRGEKVALSRHVEKHWRLIGWGQIQAGMTLEVPPCPL >KQL25354 pep chromosome:Setaria_italica_v2.0:II:37535967:37536308:-1 gene:SETIT_032219mg transcript:KQL25354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLIPLVCGALKGRRRAKKAVDYERLSSAGAPPMWGQERFTGGAYHPRSQSCRFAADSPAGELGFARDEGDRALPEGLRDEPLPPAVGDGWRGLSRSRRFSSMRLFACVSGA >KQL23728 pep chromosome:Setaria_italica_v2.0:II:17032882:17034232:-1 gene:SETIT_030160mg transcript:KQL23728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFAALFDPLYCPEEHLDLYHEEPVEDAEEQWPDRHEQRPAALDDELPALFEALRAKEGVVVPAGEGEDDGYGGAAGREAAVGWACRAAARLGFSALTAALAVAYLDRCFLAGGALRLGDRPWMARLAAVACVALAAKVEETRVPLLLDLQLCAAAGADPADAYVFEAKTVRRMELLVLSALGWRMHPVTPFSYLQPVLADAAMRLHNCEGVLLAVMADWRWPRHRPSAWATAALLATAGGGDDDSELLALINAPEDEAAECAKIISEVTGMSFLAGDAGAGAGNKRKHAAARMYSPPLSPSGVIGALSCFSCESSSSATADSRPASTSAAAGTWPASVSSSPEPPGRAPKRAAVAAAPPVPHPLPPDEESRDAWPSTCAA >KQL23079 pep chromosome:Setaria_italica_v2.0:II:8280813:8283298:-1 gene:SETIT_031969mg transcript:KQL23079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAAGGAVDQLSVLPDGLIHTVLSFLPAPEVVQTCVLSQRWRSLWRSAPRISIDEQDFGISLMIERDDALEVKWARLEDFATNLLLFHDNTSSLGEFRLSSRVYNQRHVDRWIHRGIEYCPSVLIILILNYCRFKLPPVVGSNFCHLKMLRLCSVDLGSHFADLLCSACPVMEDLKLGNCEFSGNSSQVITSPTLKKLELESCVNNTGYPLVITVPSLAYLCLCYGYYEAGISLFKMDSLVRAKIYVTEYETLSQQTERELLCSLYNVTSLELVGFGAEEMLIEKSDKFPIFHNMRTLDLHGCFLDEYELYDKLEALGSFLQSAPCLEKLILKYCMFHSFSDSEWEMERKIITLHREEVKTFQCQQLKLIEVVYDHDHDHQLIGRLGRSLPDASIKLKKLERFST >KQL24073 pep chromosome:Setaria_italica_v2.0:II:25587331:25587921:1 gene:SETIT_032026mg transcript:KQL24073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSLIDYKMLLHAVSRLFPSFIIGQIKVFRMFLRVIFHEVLRVHQRPCSLVSLEWHMRRRRRQKSLMPSLVLRRRWWRIVCLGGWRMVRRICLRWWTGMYRSLRHRRCSRRWSRRSKWKLRRLLSRTRFEVIRYCYNLRWRWRWRWRRRWRRRWLWCRLVLFLGVL >KQL24244 pep chromosome:Setaria_italica_v2.0:II:28090058:28091473:-1 gene:SETIT_033466mg transcript:KQL24244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLREEEGGDSAAVEASALRAPAHVMARVFSQLDCVDLLSCSLVCKQWYRDSAELREEWRKEYLDAWNQFGLSVTREPQPLCPTCSLRSLRSLCP >KQL26898 pep chromosome:Setaria_italica_v2.0:II:46820686:46826314:-1 gene:SETIT_029300mg transcript:KQL26898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCHGKPTQIPEPDAEEDPHVTSGAGDAADGASSPSAAPPAAKPGTPKQPKFPFYLPSPLPPSSYKGSPANSSVASTPARGGFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGGEPELGLDKSFGFSKHFFAKYDLGEEVGRGHFGYTCSAKAKKGEHKGQDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHNNLVQFYDAFEDEDNVYIVMELCKGGELLDRILARGGKYSEEDAKVVMVQILSVVSFCHLQGVVHRDLKPENFLFSSKDENSPLKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKAEPSFDEAPWPTLTSEAKDFVKRLLNKDYRKRMTASQALSHPWIRNAQQVKVPLDMIIYKLMRAYISSSSLRKSALRALAKTLTTNQLFYVREQFELLGPNKNGYISLQNLKSALVKNSTDAMKDSRVVDFVNTVCTLQYRKLDFEEFAASAISVYQMEALETWEQHARRAYELFDKEGNRPIVIEELASELGLGPSVPLHVVLQDWIRHADGKLSFLGFIKLLHGVSSRSIPKA >KQL23153 pep chromosome:Setaria_italica_v2.0:II:8772484:8775008:-1 gene:SETIT_033676mg transcript:KQL23153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQDRHKIFNISMSGLVVSPKREDRRTICGTSCLSCDRVLLLNFSKIKCPGTIGHQS >KQL23524 pep chromosome:Setaria_italica_v2.0:II:14554395:14555132:-1 gene:SETIT_031087mg transcript:KQL23524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPRPLAAVVVVSLMLAAVVLDSQVLPNKGASFIPFASARAVLLDPLPFDPFLSSLDPVTSSSAVASISAGGYHGVAACSALSCPLQVFVVVRFARHPLALKTPFIYPPVPVASLSLGCRRLPPLPTSSSTLSLLSEAPPPWLQASVDGSLGMPTPLVARAGELVNDDDDALLPGSAASTCVRVSRAIWGLNVIRSSFEDLSVVLLLLQHIHMQ >KQL24164 pep chromosome:Setaria_italica_v2.0:II:26894774:26900185:1 gene:SETIT_033244mg transcript:KQL24164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHAHAAAVVDRLLRRLASDARRLELPPHIDDDLEHVGRTLSRLQDVLVSLEARSGAQEWMGEIKQVAYDVEDLLDEFEVEDHSSIKSQTSGCISEAAPLCRACSFLLLSPSTNRMKTIRRRLDFSEKDSIIFSSMQYSCPDVEKFDNGVFDTSAIVGRDNDKAKIKDMLLQSNEEKLSVIPIVGLVGLGKTTLARLIFLDQEEGLNFDCRIWIFLNRKLDLIKVASDIISQCNHKEGNLLDVHTDIEIQGNLQLLKNSLQEALREKRCLIVLDDLSSTDKSQLDELKEMLKGTNECVKVLVTTSSEITAELVHTIPPYKLRPLSEDDSWTIFSQKAFGNSNSNNAHLLEVGKEIMKRCEGVPLLTHFLSSIVHNQDTDMWLAAKDEEIWKLERRLATKVELFSPLYRIYYEFPSITKLCFLYLSIFPKGSAIDKEKLIRQWIALEIIGSKHDSFPPYVHGEMCIQDLLSTHFLQVQNMHSVDGMDNGIIPASLYIHNFVHEFARHVACDDIIVLDGSEMQKVSGKGQTFQYALLTCYRGQSTLSHSLLTRTRALHLRNSESITIPSEAFELLKHLRVLNLSGCCIGELPASVSHLKHLKYLDVSGMQIQTLPSSMSRLTNLELLDLSKTFLKELPSFIGNFQNLKYLNMHGCDKLQNLPSSLGHLQRLQHLRLSCCNVIAELPDSMCNLHDLRILDISKCTELQHLPPLFGNLVNLEDVRLSSCFNLKQLPESFGNLYFLRFLDLSSCYELQQLPDSFTNLDKLEVLLLRRCCRLQNLPPSFASIKYLRILDLAGCEALHVSTEMLTTNLEHLNLQRCLSLQIQPYYFENFNKLKFLNLSQCLPTSDCLKTVSYLFNLEYLKLSENFLDIPISFLMLQKLHTLDLTDCAPIHQSSNVHQIWPDIIGKITGLRFVLTKDPVLVASLPEPIRCSVGYDEQSPINTDELVISDTTGDSRGLSIAKMLNLQNRLELRFLKLEWVLTSQSAADELIEYVNEEEVLEKLQPNQTLEQFELVRYMGCAFPTWMMNTPMTSLPYLVNLRLFHLHNCIALPPLGCLKNLRYLHIKDMPNLLYLEMGLSGGPEFFGKLTHLKLETLNLKELPILLSASKENPCFMFPALEELSILSCSNLIFKPSMPKCAKYVIKESNMVLQCGQLLGPLSSPSPAKIEISGCIIPSDLLQWFKSMETPEEVVIDGEALTSFETLEIQGTQELSGSMIPTESDGAPKYTVIDSSRTVKASPRFGTTSEQS >KQL24555 pep chromosome:Setaria_italica_v2.0:II:31255275:31255739:-1 gene:SETIT_031825mg transcript:KQL24555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTEVTPFSIHSSFSILLEPDIGARNNLPSLLWLDHPSIHHCLISAAIAKIFLI >KQL24054 pep chromosome:Setaria_italica_v2.0:II:25216210:25221925:-1 gene:SETIT_029782mg transcript:KQL24054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPLLQTHLLHSLPEYSEVSHFSAPPASPASSYKERIIFGAHPPPPPPPPPPPPPPHGAHLRRGSIDGRHDLDLPSCSSSPPSPPPDPEDPSSASAAAGGGGPPSLFDFIAVATGGRTNLHRSRTAPAMAPLSAAALAAAAAAGDQPPAQPKRPAIVLHAFLFLLAYLALGVTFYAAAPANFTSSAGPTHPVADALYFCIVTLCTIGYGDITPATPAAKLFSISFVLVGFGFVDILLSGMVSYVLDLQEHLLITALKNPTSARKHSHNYIFDVKKGRMRIRMKVALALGVVAICVGVGAAVLRKVESLGWLDAVYLSVMSVTTVGYGDHAFRTLAGRLFASAWLLVSTLAVARAFLYLAEMRIDKRHRAMANWVLSRDMTVSEFLAADIDNNGYVTKSEFAVYKLKEMGKISEKDIMMVCDQFQRLDTGNCGKITLSDLLESHHLVTEPRDKKKGKKS >KQL23518 pep chromosome:Setaria_italica_v2.0:II:14418242:14421045:-1 gene:SETIT_032561mg transcript:KQL23518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DRFLHNCMFDCQMEMERIINFLLQGARDQGTENLGVLPIVGPANIGKSTLIEHACIDERACNHFSQIVLLGGGDLIGKDMEALADGAGVIKHKNRAESGGGRVLIIVELDRDISEDFWRRFSSAVKNRFASGSKIIVTSQSDKIVRSGTTLPLRLQFLTQEAYWYFFKVRTFGNVDTLASVTMEMAREMSGCFMGATIFGGMLRSSFDIGTWSLALATYREFRQRNRFISCLNPVDPWALSRPIFLPTVNRVSPGYFVIVKGYQTASANGDSAAPKFSVQDVILGRARPQGKFAALAWRSHVPPHYNYVFSCEQRIPVSAVSRKKLRRLLPCFVCIYVHMPPLN >KQL26290 pep chromosome:Setaria_italica_v2.0:II:43582613:43586086:-1 gene:SETIT_028987mg transcript:KQL26290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCSQRREVLGCSCVKRKFDDCLPLPGMPRYSWSDEEAQSFLLGLYIFGKNLVQVTKFMETKTMGEVLSYYYGEFFRSDAYRRWAACRKARSRRCILGLRIFSGPRQQELLSRLLAGVAREVEASLMEVFKIFNEGTSTFEQFILTLRSTVGAQVLVQAVGIGKGKYDLTGFALDPSRNHGISTRPEIPVGKACSALSSGDIIKFLTGDFRLSKARSNDLFWEAVWPRLLSRGWHSEQPKDSSQVGKHALVFLIPGVKKFSRKKLVKGNHYFDSVSDVLSKVASEPRLLEFGVQGGNDDSGIKHENGWIHDSEHDRNTLPNKKPSYNRPTEPGCSPELMKFTVVDTSLVQGEEPSKVRSLRNLPTDSSHGYMSSPSSEDSGSDSAEEHSDSEDSSQPYEHVSTDRCTTGAKYASEERKSKPPTIVKMDSSVIQKAASSGTLTSINGHISTDQGFSTMSNACSSTASILPVDVKRVHATTSTEISFQFDQRANAESQVFLAPFSKRRRLVSSKTERTGRRNTTTNENHYWKQADEPLQHDVSGANEASGEAKSFVWGAIPNSSTNISFDVNNKKPYCRRLDNVPPNAETMVYRESIQNRHVIDLNIPQMPSDYESTVSYIASPSDKNMQTMARPPRSSGTEEVADQLPDMDASSDVLYEELSFNSRRHSSRSRPPTARALEALACGFLGTKQKGREANFPSSSRSSRPVRRPRRSPDVSLPFPSDGKGCISHFPDPPTDVNGWNMSNPPFQMIHSSPSDKSTDKVTPDLFGADKSTDKGVHELFSIP >KQL27102 pep chromosome:Setaria_italica_v2.0:II:47825902:47826392:1 gene:SETIT_031719mg transcript:KQL27102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRHIVTTYQQRRSSPYSRVRQLPQLPGGVKTTLPFFLGEILARITATARVIRWSGRRAKEEERGIWLYGPLGLVSL >KQL26575 pep chromosome:Setaria_italica_v2.0:II:45193047:45196681:1 gene:SETIT_029352mg transcript:KQL26575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGEHTSLLVKDDASCHREEPQNLLEANQGSQPKSKLSGWRAPALILGLECLESMAFNGIATNLVVYIRSVLHGGIASSASTVSLWYGTSFFVPILGAAVADTYLGNYKTILISLIMYLLGMVLITVATFMPSTSVICDMSSCLSSDGTQNLIFFVGLYLTAVGCGGVRSALLPFGANQFSNEHSLDVKKRRIFFSLFYICVIFGVITSGTIIVWVQENVSWAIGYGIATTCIGLALIGFLVGTPIFRQDEPCGSPVKSIFQVIVASFRNISLEVPADSSLLYEVRSNHTQRAKLAHSDDFRFLDKAAVIFDPSLASGSCRSSWSLCTVTEVEELKILIRLLPIWVTGILFGAAISQMHTTFIQQGTVMNTKIGSLSIPPASLYSFEVICVTLWVLFVNKVVVPATRTYFANGAELTQLQRIGIGRFLMIFAMAMAALLETKRLQSVQEGEPLSIVWQLPQYFVIAGAECFAIITQLEFFHGQAPDSMKSMLTAFALLTTALGNYLSSAIITFIAGVTKIWQSPGWIPDDLNRGHLDYYYWCLTALTMANFVVYLYFASKYKLKKVVIS >KQL24144 pep chromosome:Setaria_italica_v2.0:II:26701650:26704166:-1 gene:SETIT_032573mg transcript:KQL24144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFGGGGAATGRAELYEGKITGYFILACIVGSFGGSLFGYDLGVSSGVTSMDDFLKKFFPDVYQRKQAHLHETDYCKYDNQVLTLFTSSLYFAGLVSTFGASYVTKRHGRRASIMVGATSFFLGGAINAAAMNIAMLIVGRVLLGVGIGFGNQAVPLYLSEIAPYRIRGAVNQLFQLTTCLGILVADVINYFTDRLHPWGWRLSLGLAMGPATAIFVGALFLPETPNSLVERGHLEEAPPQFEDLKEASEAARAVKGTFRNLLATRNRPQLIIGALGIPAFQQLSGMNSILFYSPVIFQSLGFGNSAALYSSIITGSMLVVGALVSMVTVDRLGRRFLFIEAGVQMIASMVVVAVILALKFGHGEDLTKGVSTVLVVAICLFVVAYGWSWGPLGWLVPSELFPLEMRSAGQSVVVCVNLFWTAAVAQCFLAALCHLRWGVFVLFASLIVVMSIFVILLLPETKQVPIEEIWMLFDRHWYWKRIVRKDPKYPGNLHEQQEMARA >KQL24231 pep chromosome:Setaria_italica_v2.0:II:27944276:27946758:1 gene:SETIT_031448mg transcript:KQL24231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTVTLEVESSDTIANVKAKIQDKEGIPPDQQRLIFAGKQLEDERTLADYNIQKESTLHLVLRLRGGGQGKGGSYPNKIDPNLLQLALKYRQHKMICRQCYARNSIRAENCRKKKCGHSSELRKKKKMVPKKE >KQL26409 pep chromosome:Setaria_italica_v2.0:II:44162898:44165434:1 gene:SETIT_029009mg transcript:KQL26409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSPSLLHCIPILLLLAQLAHSVIIPPPSGPSGCQQEHDPQPSSAYIVHTDHLAKPSQFTTPEHWYTAMVASLSPATTSKRIFYVYDTVMRGFAAELTDDEARLLSGTPGVSGVYKDTVVHLHTTRSPGFLGLNKDFGIWPDTDFGDGVIIGFVDTGIWPENPSFDDTGLGPVRPSWKGRCDDGERFNASMCNNKLVGARFFAAGTRPEAVDVDTDFQSPRDAYGHGTHVASTAAGAEVPGAGLFMFARGTATGVAPRARVAMYKACNQDGGCDLTAIVAAIDAAVNDGVDILSLSIGGGASEFYKDTMSIALFGAVRAGVFVACSAGNFGPLESSLSNVAPWITTVGAATVDRVFPVSATLGNGQVLTGQSLYAQAAKQTPMVRLLPSDCTGNDLVPDRIMGKIVVCSSNFGVSPPYGVAVQRAGGSGLVSVATVEQHMDGLMVQAFTLPAVSLSAREAEKLAAYIHSEPYPVASFRFTCSTVTGENRAPMVATFSSRGPNQLVREILKPDVIAPGTNILAAWPGESPLTRADGDTRRSSFNIISGTSMACPHVAGVAALLKKKHPDWTPAMVRSALMTTATMLDSHGRPITDNARPGGSTTPMAAGAGLVRPQLALYPGLVYDAVEQDYVDFFCTMNYTTAQIRMFVPDFAGCTRTLPGGVGDLNYPSFVVDFSNGTDVRVLTRNVTRVSEGPEIYTVRVVVPDELVAVTVTPATLEFGELNETKSYKVVFQSKNSSAGAHGAGSKMQFGHIVWENDAHRVRSPVVFTWN >KQL26975 pep chromosome:Setaria_italica_v2.0:II:47202237:47204784:1 gene:SETIT_030736mg transcript:KQL26975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSSCVAPAVVVARACPAHRPAVWLLPPRRPRLVAVASAAASSAPSGEVAAAAAAAASQPHDNGVVGGSGSNGPVPTTAKATAKATAIETTVERVIFDFRFMALLAIAGSLAGSVLCFLNGCVFIKEAYQVYWSSCVKGVHTGQMVLKVVEAIDVYLAGTVMLIFGMGLYGLFISNASTDVPSESDPLRGSSLFGMFAMKERPKWMKITSLDELKTKVGHVIVMILLVKMFERSKMVKITTGLDLLSYSVCIFLSSASLYILHNLHRGDHEEGAMPNL >KQL27241 pep chromosome:Setaria_italica_v2.0:II:48783365:48785174:-1 gene:SETIT_030584mg transcript:KQL27241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRDQREMSSDEESAASGDLRRGPWTVEEDLLLVNYIAVHGEGRWNALARCAGLRRTGKSCRLRWLNYLRPDLRRGNITAQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHARQLNCDVNSQQFKDLMRYLWMPRLLERIGSGDGADVATTAYDAPLPQLPASWPVDDVELSCTTDASSSSVSTDGTYQQQLLQHQLVSPPPPPAPSAAFGESAPSGNNEGSYSYSNSSSNAAAMWDTLCQPPPQTDCHPTTVAETACSWSDESLLLPGLSGDMGMGLSELGDTMWGAGADDLWYTQIMGL >KQL24569 pep chromosome:Setaria_italica_v2.0:II:31348473:31354958:-1 gene:SETIT_031875mg transcript:KQL24569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIATRVILLLLLSAGIGVAQNASGGGAHEVHVGVILDLGSLVGKIAITSISLALEDFYAAHQNYSTKLVLHIRDSTSDDVQAAAQALDLLENYKVETIIGPEKSSQAIFISDLGTKSHVPIISFTATSPTLSPSSLPYFVRATLNDSAQVSCIASTIKAYGWRKAVPIYEDTEYGRGIIPYLIDVLQEDSVHVPYRSVIPQSATSEQITKELYKLMTMQTRVYIVHMSSALASTLFIKAKEVGMMKKGYVWIITGGVTNLIDSLHPSVVESMNGALGIHFYVPKSTELNNFTTRWNIRYQIDNPTDPLPKLNIFGLWNYDTIWAVAQAAEKVGLANATFRKPVSKQKSTDLEALETSSNGPELLKEILQSKFIGLSGRFDLSDRQLAVSTFQIINIIGRGWREIGFWKAQNGLSRRLNQSQSTTNLLPDLNPVIWPGESIDIPRGFEVPASGKKLRVGVRSSGYQQFIKVEKDQFTGATKATGLSVDVFEEAVKILPYAVPYEYVLFGSPEDTSSGSYDDFVYQVHLKVYDIVIGDITIRYNRTFYADFTVPYTESGIAMVVPVKDSVKKNTWIFLKPLTPGMWLGSIVFFIYTVTSSYTANLTSMLTVQQLQPTVNDIQELLKRGEIVGYHRGSYVKGLLEELGFDRSKIKPYDTPDDFHNALSRGSSNGGIAALLHEVPYIKLFLANHCKGYTMVGPIYKAAGFGYALAKGNPLLGDISKAMLNVTGGDTMVQIEKKWMGYQNDCQNVGPVTGSSSLTFANFRGLFILTGAASTSSLFIALIIYAYKKQHRSTKLMQNDNKQVGKNRTDEENNEPQEGNQGVVTEECVQFSGDGEENQRLHEQTGSEQVYDRNPNTSTAACDGSAAIRRGQPSTVLQAEST >KQL26219 pep chromosome:Setaria_italica_v2.0:II:43122024:43123691:1 gene:SETIT_032719mg transcript:KQL26219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHLTEHFIQEPDSEKLGIPIRSKEIHGGESETKACPRGIKQGVTGRTNPPQQPSAAPALLLRSISNNAEAQTIARFRHRHGFAALAPRHGLPAPPAAPNAAWRRPRRSASSATGVQFDVGSFGAAGDGQTDDTGAFQNARSQACSSAQPAVLLVPAGKSYFVKETSLSGPCKSKVTFKLEGTLQGHPHRVSFSNVDSLTVTGKGKTSWKNSCRRNHKMPCTFAPAALTFSSCNHLKVENIKLLNAPQIHLWVESCSDVMLSRLTIASPGNSPENDGIHVAHSDGVRILGAKIKAGDDCISIAMGTTNLYATKIECGPGHGISVGSLGKGSTRAEVSNVTIDGAQFLNMVMNKVKNPIVIDQDYCTTSDPSKPKACSQKDSAAVEISNVEFSNIRGTSVARDASRLHCSEAFPCRGVVLRDIDLKTRRGGEKNATTSTCENAVLGETSNVSPAPCSSAATKDDLVPLGSEDV >KQL24745 pep chromosome:Setaria_italica_v2.0:II:32808894:32810520:1 gene:SETIT_030502mg transcript:KQL24745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSSFPIIDMGLLAGEERPAAMDLLRDACENWGFFQILNHGISTKLMEEVERLTKDHYKRVREQRFLEFASKALKDGDGRGAQGVKAAENLDWESTFFVRHLPESNLADIPDLDDGYRRAMRRFAGELEALAERLLDLLCENLGLEKGYLARAFRGPSRGAPTFGTKVSSYPPCPRPDLVKGLRAHTDAGGIILLFQDDRVGGLQLLKDGEWVDVPPTRHSIVVNLGDQLEVVTNGRYKSVMHRVVAQTDGNRMSIASFYNPGSDAVIFPAPALVKADEASAAYPKFVFEDYMKLYVRHKFEAKEPRFKAFKSMETETSNRIAIA >KQL26680 pep chromosome:Setaria_italica_v2.0:II:45734196:45736536:1 gene:SETIT_032094mg transcript:KQL26680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALRGFLLGKGVDDAEADGGWKKSDMVLLGKVSTALHLKKIDELEEVNRKRAMMSAIEDRALVLDADAEQAIEFRRSWIAQWSGNYGSFDDISEFSISLLGFAFDSALQYSIFSVSVGAIRGDLHWPLDVFGMVAVRDSVDRNRNLLFYRARNNYQTLTEKDHCLSLSGPSRAVVVSNPVSDPVMIEVELKVKGINESKDKDLSLLAVLLKFSDNEWGSSCQHIIYSVFTSKLSSLLFTFGGIALSVEATIFIRVLDGSWPHGFHGQFAAHTSSIDRERVILLDFGDNNVPVNGDGIMKLSRQVVSVEVNGKLIVSFKAWKDDGKEVVGKATLKPAKAGRSYRNLGFGSCIIEILVAWSLISPDPEPDY >KQL25213 pep chromosome:Setaria_italica_v2.0:II:36701184:36704919:1 gene:SETIT_029919mg transcript:KQL25213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKPGKKPPAAELEYCELCRHHHDLGRRHRYGRKHRAKLEAALTTFRSKLSDLRRALLHGSPSPQPPLPRLWCPFCSTELVDLDNRSACSNAIYHLASGEHLKAVKDFLRKHGGGMDQVDSLRISEDEVAKWEKSCESLSKGAKTGTEGLIGPSLGPMKDIQNESTCDNLDSFAQTNIPSFSNTASYVVMPLQSPTNGAYHPISAACHGAFSSGSVSYSAPYGTVGLPITAWGSSETHEQQGVLSTNCFHSTGPEMKGHQSTILGNGPSPSISYAAHVQQSHSGGNLSSGPKANVHTGAPPPWLKANEHDPKNFLLRSCGPPLKGKLRKLNPKRVGAAWAERRRAEMEMEKRGEIVPETSDSSWLPNFGGVWQSGTRKESRKDFEKKLKHHDTKSNHELSLEIKPYISKRMRAGVDKSEQLGSHMEQ >KQL23430 pep chromosome:Setaria_italica_v2.0:II:12370887:12373947:-1 gene:SETIT_033340mg transcript:KQL23430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSESFKELTELDQQLHKEVFYGGIERIRALRAQGADLEAILRPRLLGAAKVLLQLGANVNAYLHVSPGGTALHHAVDRNHEKVVNLLLSHGGTYMTPCFIPCTNGHVNLVRAIDGRVSLFQGWMREKYAPCYFMKRMIWAVVLPYELQNPTRPRPLKLLLAIYLVSQNMPACKSRAVIELWQSQIEKNLDEEDPCITIFDKETEYRYEILSADEGDKEKLQQFYDACDGITQAVKTDPTPILDSSPTSSSLAPSELLAPSVDDVELAMAINASIQSVIAEGVPNVQPTAWHPPDAPGPSLISRQAQVDTPSSSTYNRRRGVPGTGCNQCSSRPDLELCQSWRMRC >KQL26092 pep chromosome:Setaria_italica_v2.0:II:42295518:42296858:-1 gene:SETIT_029837mg transcript:KQL26092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSQMASLAVLVFLVCGTLASGAASVRVGLTRIHSGPGIPASQLVRDALHRDMHRHHSRSGRELASSDGTAATTVSARTHKDLPNGGEYLMTLAIGTPPLSYPAVADTGSDLIWTQCAPCGDQCFKQPAPLYNPASSTTFAVLPCNSSLSMCVGALAGAPPPQGCACMYNQTYGTGWTAGVQGSETSLSARPPPTRPASPGVAFGCSNASSDDWNGSAGLVGLGRGTLSLVSQLGAGRFSYCLTPFQDTNSTSTLLLGPSAALNGTGVRSTPFVASPAKAPMSTYYYLNLTGISLGTTALSISPNAFSLKADGTGGLIIDSGTTITSLVNAAYQKVRAAVKSLVTLPTIDGSGSTGLDLCFALPSPTSAPPAMPSMTLHFDGADMVLPADSYMISGSGVWCLAMRNHTDGAMSTLGNYQQQNMHILYDVRKETLSFAPAKCSTL >KQL27084 pep chromosome:Setaria_italica_v2.0:II:47734532:47740616:-1 gene:SETIT_029977mg transcript:KQL27084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAARARRVLASPAASGLPGILWAPRLGSASGAEGALLLHLYGVPSSASSPHHARGFSSCFAPQSPGNLIFPTMASQWLCEKSVHYQMATAHFSTEASEVDHPTAHVTEAVEEMYQKMLKSVEAETMPPNAWLWSMISSCSNKEDIKLLFQILQKLRVFRLSNLRINANFNDHLCMKVTEACARVGTLDYGLKALWKHNVYGITPTIGSAHYLLQHAKELNDTKLMESIMQVLRRNSLPLQPGTADIVFSICYNADRWDLLSKYADRFVKAGVKLHRTAFDIWMEFAAKVGDSQSIWNINSLRGKSVKHYTLATGFACAKGSLLDRKPENAAAMIKLLYKHLPDQKKPFVQDELQKLIAEWPTEVVKRQKKDDRKAMEEALIKDIPTMISCLTKSGLDIPVDLDKLTPQLQAA >KQL25313 pep chromosome:Setaria_italica_v2.0:II:37273181:37281591:-1 gene:SETIT_029236mg transcript:KQL25313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRPRTTPRRAPARPPPKSKRTDELAAMSDSDDDEIEAFHKQQDMIPLDDDDARESEDDDMEQPVFDLKGVSDSETDDGEGEENDNMEANYEDWDKGYIAKLKRAARVVKQIAGGDDSMDEQEEDEKTKNVWGRGKGAYYDKQLGESGDDELDYEEEVQRIQKENQENLSMKDFGLEDGESDEENDGTKASNHETKMKENLAVLSSNDKMGVLYSSAPELVSLLSELKEAHEEFRAIGQLNNQVTAGLGKAKGGMLPLEVKKACLLAYCQAITFYLLMKAEGLSVQDHPVISRLVETKNMVDKMKQVTTNLGRQEGSTDGHNMDSGTIQADKIVSLDKQEGKCSKGAEVSESRKSEPSNNDRHKVNKEKNKDEHIGLQSLEMLKVRANLEERLKKKGLYNLTRSKPEKLSKTRTTSNQDLQTLDDFDDEVQRNNQMMKPSKLVAAAAKSNKNKFVSGDDDLPKRDNVGERRRKHELRVLSRVGANSVEYDHELPEDGDGSEDEFYQDVKRQRTEKLSFKNEKYLPTRGIQPVEEETEGDGKRKISYQIEKNRGLTRSRNRDKKNPRKNYRDKHKKKLVKRKGQVRDIKKPSRPYGGEMSGINPNVSRSVRFKS >KQL25314 pep chromosome:Setaria_italica_v2.0:II:37273181:37281710:-1 gene:SETIT_029236mg transcript:KQL25314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRPRTTPRRAPARPPPKSKRTDELAAMSDSDDDEIEAFHKQQDMIPLDDDDARESEDDDMEQPVFDLKGVSDSETDDGEGEENDNMEANYEDWDKGYIAKLKRAARVVKQIAGGDDSMDEQEEDEKTKNVWGRGKGAYYDKQLGESGDDELDYEEEVQRIQKENQENLSMKDFGLEDGESDEENDGTKASNHETKMKENLAVLSSNDKMGVLYSSAPELVSLLSELKEAHEEFRAIGQLNNQVTAGLGKAKGGMLPLEVKKACLLAYCQAITFYLLMKAEGLSVQDHPVISRLVETKNMVDKMKQVTTNLGRQEGSTDGHNMDSGTIQADKIVSLDKQEGKCSKGAEVSESRKSEPSNNDRHKVNKEKNKDEHIGLQSLEMLKVRANLEERLKKKGLYNLTRSKPEKLSKTRTTSNQRDLQTLDDFDDEVQRNNQMMKPSKLVAAAAKSNKNKFVSGDDDLPKRDNVGERRRKHELRVLSRVGANSVEYDHELPEDGDGSEDEFYQDVKRQRTEKLSFKNEKYLPTRGIQPVEEETEGDGKRKISYQIEKNRGLTRSRNRDKKNPRKNYRDKHKKKLVKRKGQVRDIKKPSRPYGGEMSGINPNVSRSVRFKS >KQL25315 pep chromosome:Setaria_italica_v2.0:II:37273333:37281591:-1 gene:SETIT_029236mg transcript:KQL25315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRPRTTPRRAPARPPPKSKRTDELAAMSDSDDDEIEAFHKQQDMIPLDDDDARESEDDDMEQPVFDLKGVSDSETDDGEGEENDNMEANYEDWDKGYIAKLKRAARVVKQIAGGDDSMDEQEEDEKTKNVWGRGKGAYYDKQLGESGDDELDYEEEVQRIQKENQENLSMKDFGLEDGESDEENDGTKQASNHETKMKENLAVLSSNDKMGVLYSSAPELVSLLSELKEAHEEFRAIGQLNNQVTAGLGKAKGGMLPLEVKKACLLAYCQAITFYLLMKAEGLSVQDHPVISRLVETKNMVDKMKQVTTNLGRQEGSTDGHNMDSGTIQADKIVSLDKQEGKCSKGAEVSESRKSEPSNNDRHKVNKEKNKDEHIGLQSLEMLKVRANLEERLKKKGLYNLTRSKPEKLSKTRTTSNQRDLQTLDDFDDEVQRNNQMMKPSKLVAAAAKSNKNKFVSGDDDLPKRDNVGERRRKHELRVLSRVGANSVEYDHELPEDGDGSEDEFYQDVKRQRTEKLSFKNEKYLPTRGIQPVEEETEGDGKRKISYQIEKNRGLTRSRNRDKKNPRKNYRDKHKKKLVKRKGQVRDIKKPSRPYGGEMSGINPNVSRSVRFKS >KQL23078 pep chromosome:Setaria_italica_v2.0:II:8272672:8276367:-1 gene:SETIT_030965mg transcript:KQL23078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAPVSSSPRTVGEIYRDYTARRAGLVRALTSDVDEFYAFCDPEKENLCLYGLPNGSWEVSLPAEEVPPEMPEPALGINFARDGMKRRDWLSLVAVHSDAWLVSVAYFFAARLNGNDRKRLFNMINDHPSVYEAMVDRKQRENKSGVDNSGKSRHSTKRTNDGKMKNSRSAVVEDGYEDDEEHSETLCGTCKGLYNSNEFWIACDICERWFHGKCVRITPAKADQIKQYKCPDCSKKSR >KQL24179 pep chromosome:Setaria_italica_v2.0:II:27133221:27133622:1 gene:SETIT_032409mg transcript:KQL24179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAFLPTVLIGLIAVLSTSTASADTPDCPYPCLPPPTSGGAINSYPPPQPSTGAGGGFGGSYPPPPPGSYQLTPPGVMPGFSPPYGGFPSGPTPPPPNPVLPWFPWYYQHTNPITATTSSASSPAMDGRSRIT >KQL22136 pep chromosome:Setaria_italica_v2.0:II:138117:139100:1 gene:SETIT_032265mg transcript:KQL22136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWRRFVNLLAENIKTRVFSVHRLNVSEHLFYPSTAEAEAARPAQVVSRLGALPPPAASFHAASAASYNGQLIALASPRSSESRILWSSPLPRTLFYDLESHFHYLLPDLSFKARDPIAISIARPDAPEEDIYVMSSGTAYAGFEVLRFGHSEKTRLHFHPETWHLESLPLPPLPEGAVIRSHAVLHDGRTICVTAQDGPYSDEGPYGTYLFDTVKRKWRRAPGGWNLPFFGGAEHVPNLKLWLGLCSRGRDLCASSDLSAALDKWKPPTLKHQWEIVETHDDWRPEKVSLINLGEGRFCIFKAMYYAVNEEWFDGFSARALLTGVE >KQL26571 pep chromosome:Setaria_italica_v2.0:II:45135052:45139964:-1 gene:SETIT_028866mg transcript:KQL26571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRMRGAAAFADDARELDRQMGCMSGIFQIFDRQRLITGSGRRGGRQAQKRLPPPSAPASSSSSSCSSFSSLDGNKSVQQELPYINEELFVQRSLKRSPSLKETDMNTKPGHPNVGFRDIVKDSINRESGGLTVKTSVQEARRNGQYKDSPRPLLLSKSMDGTCIIGIDRSTKVPATVTESNRRLQEQSRFSCDDRRLLRPAETQESKRPSSRPKELPRLSLDSRKESLSPSSRQNNFSYKRTDDILLDTLRPQDSPSHRRANSVIAKLMGLEEATDATGVLTADNHETSRSPRPAQATQYENPSRSPRSTCQDSCMLQLKNESWVLRTKPSPRILTEAAPWRQQERSANNIKAQQCREAEGRPRTASVYADIERRLGGLDFLECNNKDFRALRILGALNAKDSKSQNDSNAGSVAVQRTGYDQATEPRDFQPPIVVMKPARTTKKPGVSLASVAPIAGLRSLRKLPVRDSSFNGTDDKIHLRMSRAQSKSEEPVSSASSPRPTGSSSPRNVQKKAESERRSRPPVSPKSPSKKSNEAVSPKGRIRSKPSQVKSHRDEVLPSAGSRISLAKQVDVSIMDCPKPPGVSSSFVQPCKVATTSSHKGPSILGSDQNIHSLDNIPSPVSVLDTSFYHKRISDSFKDGETHSSDECWNPNSLPDTPQSKASSEVNQIKPENLEFLIQKLEQLQSMNEEAANIKEVMASVAANKDHHYIYEILLASGLLHKELSFTALPGQLRPSSNLINPELFLILEQTKPDIASEFQTVSAAKKCSKPCTGKLHRRLVFDMVNETIAQKMNICRFRSQPVKFLQSRKLSGWQLFKDLCTEVDRLTKCSEEDENENMPVHEDITKDWMSFDTILHDMVLEIEKDIFKNLINEVIDGGATEKMQFGQWKLRRQLSFSSVN >KQL25312 pep chromosome:Setaria_italica_v2.0:II:37227608:37230339:1 gene:SETIT_031062mg transcript:KQL25312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTPIGSSLATPAAMALVRPNPKITNHTCVRGMRLQCQFSHRLRSVASLMVLNTQPSQGINRKDCGLLQAASSVQRTENLTQSSVSFKDFCVSVCTEEGGLIKIKVNVSGTMTDSIFEKVLTKKVAAAQPLPGFRQMKGGKTPDVPKEVALHLIGPSKVKKETIKKIINCTVAEYVQKEGLTASKNLKVEQSYEELEAAFEPGKEFCFDAMVQITIS >KQL25311 pep chromosome:Setaria_italica_v2.0:II:37227644:37229283:1 gene:SETIT_031062mg transcript:KQL25311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSTPIGSSLATPAAMALVRPNPKITNHTCVRGMRLQCQFSHRLRSVASLMVLNTQPSQGINRKDCGLLQAASSVQRTENLTQSSVSFKDFCVSVCTEEGGLIKIKVNVSGTMTDSIFEKVLTKKVAAAQPLPGFRQMKGGHRNLTLPTFVGIVFHMVLP >KQL26532 pep chromosome:Setaria_italica_v2.0:II:44932830:44937106:1 gene:SETIT_030312mg transcript:KQL26532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTLSVSSLASTAFASFPRPRRAPTAPPLPRLLGPRARASPLSTSSSSAAADDEDGVDTVEQLLHPRPPSASAPGAPRGRTDRLMKLQRRADDDAVPGPAGPGGRRRWFPYLDAFRPAVGGAELSSREVVEVLEPYILEPRRDRIRHAVDNRSYAVCLVVEGLSDFGNVSAAFRSADALGVQSVHVISCDSSKRYRDNRHVSMGAEKWLDIEIWNSPAECFSALKKRGYRIATTCLGTDSVCVYDMDWSQPTAIVVGNELRGISDDALELSDLHCSVPMKGMVDSFNVSVAAGILMHHAVCDRFSRLGHHGDLLPEENRILLAEFYMRHRESTATIVHEYAKRKAENFMARL >KQL26714 pep chromosome:Setaria_italica_v2.0:II:45906132:45908118:1 gene:SETIT_033750mg transcript:KQL26714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKPDASAPAPAASAPAPAEHAAYPRLSPEEMAPPPPPEVPQAGANPYVLSAPSPNPPAKSATDNLKEMFGMVGKKFNEAARKTEGIAGDVWQHLKTGSSMTDTAMGRIAQISKVISEGGYDKIFQQTFECLPDEKLKKAYVCYLSTSHGPIMGVLYLSTVKIAFGSDSPVKYVTEDNKSESSFYKVVLPLPHLRSVNPTASQQNPAERYIQVVSVDNHEFWFMGFVNYDSAVKNLQEAVRGVQGA >KQL25830 pep chromosome:Setaria_italica_v2.0:II:40787720:40790172:-1 gene:SETIT_033586mg transcript:KQL25830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLVLRRLKEEGKDGVKFGQYIYEIYNHDVELRVSKAGVNLLLIKWMKELEKIFYGNIVKYDAAISPEARQDDLVNVIWRNIYAEEGSEAMDAAAAPAVQALARYTRREATCLSLTDKDVMFSGNFKFTTLLPPTPSPSPKKPAR >KQL23713 pep chromosome:Setaria_italica_v2.0:II:16903950:16905298:1 gene:SETIT_033082mg transcript:KQL23713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTDPDRISRGLHTKLPIHVREGLKRLEVPMEAAKFASEGGIILRGHIPFLTRWKDYKAQNEKYFKDYTSKLAERCTTNTSNRGLVRYPQRTGSRSYVAEAHVVKEKQVEGDTTPIDLFKNFHCSKNGYTAPVQAAIEVAGIHPRLKKRTRVGIALQVEEIQANLK >KQL22991 pep chromosome:Setaria_italica_v2.0:II:7183184:7183645:1 gene:SETIT_031846mg transcript:KQL22991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGERRGGHRRALAQKRRRGPMIVLAAHVAIAACRAREAGGGRKGNRSSSR >KQL23353 pep chromosome:Setaria_italica_v2.0:II:11120238:11121299:-1 gene:SETIT_032191mg transcript:KQL23353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRKWADRLGMYFCFGSQKNGRRINHAALVPEPTPPRTDAPAGEIPNHPPPPVFPFVAPPSSPASLLQSEPSSIAQSPSNDAQPFSLNSPSPTSPPSIFAIGPYANETEVVSPPVFSAFTTESSTAAFTPPESVHHLTTPSSPEVPYAKLLTSVDNSQNGETVDLQSYPNWPDSPIVHLISPCSGYSGTSSPFPDPETPKIFDGEGTGTQKLIPRNMRNGYFLLDGHITAAVPVADFSARLHHNDHDMDHLVSFDLTVADVARRLEKKTAILGDSATTSFRFAPSSGDHKRDLYHDLPEKVRQSLSLRLPREFNINVIDAPNVEPTLGNDKVAAGITVELEKARSYPVVQQGAS >KQL23682 pep chromosome:Setaria_italica_v2.0:II:16160603:16164961:-1 gene:SETIT_031226mg transcript:KQL23682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFKIKGQKKEEAASAAGKAPVKKQSAGELRLHKDISELNLPKTTSISFPNGKDDLMNFEIIIRPDEGYYMGGTFVFTFQVSPSYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTVIYGLNLLFTQPNDEDPLNHEAAVVLRDNPKMFEANVKRAMAGGYVGQHYFPRCA >KQL24807 pep chromosome:Setaria_italica_v2.0:II:33392463:33396497:-1 gene:SETIT_029891mg transcript:KQL24807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAAPAVSPGTVPLGVLLRREVTKERMERPDVLCGEASRSRKGEDFTLLRADAGRRVAGDPSTSFSVFALFDGHNGSGAAIYAKENLLHNVLRAIPTCLSRDEWLAVLPRALVAAFVKTDKDFQRLAGTSGTTVTFVIVDEWVVTVASVGDSRCILESADGSFYYLSADHRFDSNPDEVERVTACGSKVGKMDIVGGPEVGPLRCWPGGLCLSRSIGDFDVGECIIPVPHVKQVKLSNAGGRIIIASDGVWDDLSCEMALDCSRGFPSDVAANRIVNEAIRPRGIRDDTTCIVIDILPPEKLAPTPPKRQGKIAFNNMFRKKSPDVPFKTDSEYAEPDVVEEIFEDGSAMLSKRLTTGYALEKNFGRSSCAVCLSRLKPGQGISLHANPLQYGKLQGWQGPFLCHVCHDKKEAMEGKRRRKDSSPTVFGHMC >KQL25831 pep chromosome:Setaria_italica_v2.0:II:40791979:40796246:1 gene:SETIT_030008mg transcript:KQL25831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAAVCHRDMVSFRSRFESRSGRRGLGGCGGGRSRRRWARAAGSTGRCDAARLTHWHVGPPRVSSATSACGWARSTSSRAPSPPQLERNGTDWLVDAVPVSRLPRTGGEPKTQAQTRRSAYPLPQDTTSCGAGGRSQRRSAPFGGGDGADALLQLRALLLQPHRSGMVALAPCGRVFASAKRKEKGVLCILGGEKKDVAEVRIQRRTAFSLLLASPVLSVAFSAYGKSKNMNPYDERRLLQQNKKIQEANRAPEDFPNFIREGFQVKVVTSDNYITRDSGLMYEDIKVGTGNSPKDGQQIIFHYVGYNEAGRRIDSTYIQGSPAKIRLGNGTLVPGKYSLAQYCFEEGIRDMKPGGKRRMIIPPELGPPVGPSTFFSAKQFEVFDVELLAVQDCQRRTIAFYSDVVCS >KQL22530 pep chromosome:Setaria_italica_v2.0:II:3351705:3354303:1 gene:SETIT_029018mg transcript:KQL22530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGNSTIDCSYFALKQCSERIPCDASSFDKSMGQKIWLMNSLLFISALLAGVIVGIGIYGQRYRHHRFTRFIFLGATILFLPVVSTVVSMGAGNSVYRIQDEENGGTRMIAECEPGVNSVRVLIWAFLVQIIMINTSAVVAVDDREGGNVGPPFELLVQSVWTFYLATSFALTSAKIVLKYYAYGKAQRSFALGRNPHLIFGYMKQQSLQGTSQDGEPMVAEDAPPPLLVMGEEKRHVEKQPLGYVFKDDSWTTSHNNGLVTIDRVWRMDNVLPTSTLKPQKDLCLSFALFKLLRCRFARYKVRTAASKGTFSFFWSLLLKDGEHDRVFLVISDELSFLHDYYYSSLPISYSKYWLPVAGILISLLSIAYCCSLMITITLLVVLQYDKSESQMHCNVHCIRGGLMSHDMSKYYGNWYLIVVPVFFLSVLVMMSEVRDLATYIYSNWTKVAVSCHLVNHASSQHSLLKKKWIGLLLRRRCKLMKHWDEKIGQCTMLEIRPRTTLPVLLRRLLHLPDHKRKVKVPAAVKVCIMEVVRSTRNGDLSNGTASLRCRGQVGERLLWACHNKSASYTLLTWHIATSILEVRYPHRLDQQQGSSSPIPNTDYKIVATHLSRYCAYLVTWCPELLPDDDAWSRSLYEDVKKDVERVLAGCTAGDSLTPEANCQQLIEVLSADAKHEVLKEGARLGKQLLALVVEGEDDTAWKLLAEFWSEMIVYVAPSDNLKGHSEAIARGGELITLLWVLLFHAGIVSRPGEDDGVAPTSAGVV >KQL22330 pep chromosome:Setaria_italica_v2.0:II:1786102:1788203:-1 gene:SETIT_029425mg transcript:KQL22330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFKIGRLDGQPPRIRNVPIAVTPEGFWCCPSQAALQKTAKSPNQQGRPRGGASPAPSKASSVQRAPTVSSEKRAQSAPTRSRTNSDEQLCPPADAVAAPDPPKVVPAPAPAPAPEKRPKQHKISVGFGQLGTSDLKVVLYGKEGVAVKMIVHKNILAENSTFFANRISRQSPVSCIEVPDCEDVEIYVETVGLMYCKDVKQRLIRQNVPRVLRILKVAESLGFRACIMSCLDYLEAVPWVGDEEENVVSSIRQLHDEDHRVNPLLRRVTSDILANPPNDTLAHIIDLVLKSTEDRGRREMKSLVLKLFKENNNSICTSNGSSAADSSSCVMTLYSSFQNCLDSLLALFRRASDPEVLAGQSSDDKEQMFRKITLEADNLLWLAEILSDRHAADELTVIWAGQGELAELHPRIPVMHRHLVSCVSARLLVAVGRGEALPSEGTRRRLLDVWLQPLMDDYRWLQHGCRWFDRAVVEDGVGQAILTLPLEDQRAVLMAWLGRFLKAGDGCPNLQRAFEVWWRRTFVRPYAEQPGSSSSARSGRH >KQL22959 pep chromosome:Setaria_italica_v2.0:II:6882254:6883675:1 gene:SETIT_031874mg transcript:KQL22959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESRDPRSVPQPRSRPLHHHHHPALRSGRRTRPPPIQIQVTPAPRSLPPSASPLPPSSRIRRRGVLIRPVRTRSHVPSRSVLADRLVAKSARLRQAKEEAEREITEYRKQLETEHQRKVAESSGDSGANVKRLEQETDAKIEQLKQQAASISPEVIQMLLRHVTTVKN >KQL23047 pep chromosome:Setaria_italica_v2.0:II:8027632:8028141:1 gene:SETIT_031800mg transcript:KQL23047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIPKLEGIVVHPFSGNFLKIQNIHTFFRKKFQLQLNHNIPEVKISHILGYIYMCHTRF >KQL24781 pep chromosome:Setaria_italica_v2.0:II:33139700:33140671:-1 gene:SETIT_033100mg transcript:KQL24781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSVEVVFECDCFRLYSDGHVERTGGMETVPAGFDAATGVTSKDVVIDASTGVATRLYLPAIQTAAPLLPSESDDDSAATKLPVLVIFHGGFFIVGSSGGPDFHRYVNSLVARSRVVAVSVDYRLAPEHPLPAAYDDSWAALNWAVSGADPWLSDHGDLGRVFVAGGSAGANIAHNMAVATGTSVLHAATPALAARIGGVILLHPSFCGEQKLEDEAEEFLQANKKRWEVIFPSARDGTDDPRINPMAAGAAPGLAKLAGKRLFVATASEDPRAPRGRAYCEAVRTSGWMGKVEWFESKGKGHAFFVSDHGSHEAVALMDRV >KQL22528 pep chromosome:Setaria_italica_v2.0:II:3341577:3343926:1 gene:SETIT_032227mg transcript:KQL22528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNYTIDDCVYAALGKCSARITCEADMASSFHKSLGENIWLMNSLLLTSAVLAGVIAGIGIYGQRYRHHRYTRFIFLGATTLFLPVVSTVVSMRTGNSDHSIHRHDEATHLIADCGPKGLSVLILIWASLVQIIMINTSAVVAVDDREGGNVGPPFELLVQGVWIFYLGISNVIPAARTNDITVLIIGAFEATPFALTFAKMVLKYYAYEKARQSFGLGRNPHLIFGYMKQQSLQETSHDGEPMVAEDAPPPLLVMGEEKRHVEKQPLGYVFKDDSWTTSHNNGLVTIDRVWRMDNLLRCRFARYKVRTAASKGTFSFFWSLLLKDGEHDRVFLVISDELSFLHDYYYSSLPISYSKYWLPVAGILISLLSIAYCCVLMIAVTLVEVLSLLDDSASGPQIECTVFCIRGPLMSKPMDTEYGNYNFDLVPLILLSVLVMMSEVRDIATYIYSNWTKVAVTCHLVNHASSQHSLLKKKWIGLLLRCRCKLMKHWDEKIGQCTMLEICPRTTVPVLLRRLLNLPDHKRKVNVPAAVKVCIMEVVRSTRNRDLSNGTASLRCRGQVGERLLWACNNKSVSYTILTWHIATIILEVRYPHRLDQQQGSSSPIPNTDYKIVATHLSRYCAYLVTWCPELLPDDDAWSRSLYEDVKKDVERVLAGCTAGDSLTPEANCQQLIEVLSADAKHEVLKEGARLGKQLLALVVEGEDDTALWKLLAEFWSEMIVYVAPSDNQKGHSEAIARGGELITLLWVLLFHAGILSRP >KQL23927 pep chromosome:Setaria_italica_v2.0:II:23058243:23058866:1 gene:SETIT_032631mg transcript:KQL23927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSGESVCPTTRWRFLARSAVALVVSVLAAAVVVRAVLLMLHPRMLQLKIAPSDVYVQRSTIFGDNVYTFTFYLEAANPSDRAFVYYSNITVQFDDEKGDLIAKFNLTPPSISLAPQEPQQYVVRGLAWHAGDVGHDIDQRLFAKDNEKIDLVVMRLYGLVKVNEDGPLAIVNQKLSKPSSNNHQGNCVPSVL >KQL25585 pep chromosome:Setaria_italica_v2.0:II:39165053:39170531:-1 gene:SETIT_029045mg transcript:KQL25585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKERNHQSKFMSVDSDRLKSEALNLDEDDEGEQTVNNEPKNDQGASSSSTAAGTSSVEPCVSQPNNVPDKMAASKTERKRKAKACTSSVSTTRSMTRPTAGTLVVCPASVLKQWANELTDKVSESAKLSVLVYHGGLRTKDPSELAKYDVVVTTYTIVANEVPKQIADDDGDQKNSEEPSASNKRKPPSNANSKSKKKKKKLRDSDFDLDSGPIARVRWFRVVLDEAQTIKNYRTIVARACCGLRAKRRWCLSGTPIQNAIDELFSYFRFLKYDPYSTFNSFCTMIKHPIARDPVLGYKKLQAVLRVVLLRRTKETLINGVPIINLPPKTINMNKVDFSHEERSFYLMLEERSRQQFKAFAAAGTLKQNYANILLMLLRLRQACDHPLLVKGNQSEYGGDGSIEMAKKLPKEVVIDLLAKVEVGSALCTLCSDTPEDAVVTICGHVFCYQCIHERITTDENMCPAPNCSKTLSLESLFSSGALRICISSKSSSAGASSSADNESPAISQSSYISSKIQAAIDILNNIIIRDALTESDTMESNRSRVAPVKAIVFSQWTGMLDLLELSLNTNLIQYRRLDGTMSLNSRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAVDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEEKRAMVSSAFGEDKSGGHATRLTVEDLRFLFKI >KQL24110 pep chromosome:Setaria_italica_v2.0:II:26266828:26267444:-1 gene:SETIT_032278mg transcript:KQL24110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKVWAGLSLPGGAAQAHSGGTVPCFSGRVVPPVVWFFLVVGSAFPFRVS >KQL24594 pep chromosome:Setaria_italica_v2.0:II:31603110:31603746:1 gene:SETIT_031602mg transcript:KQL24594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KQL23893 pep chromosome:Setaria_italica_v2.0:II:22612396:22617221:-1 gene:SETIT_0288241mg transcript:KQL23893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLPARRLASALLSSSPLPRAAAPHAPLERRLLPAASGLLPSPRLPPWQQDPRWFASSSAAAEAVSSEEAEELHHALEIVRTQPNQNQPPPPMEEQRASGRDHRDRHRRNRRGQQAQVAAEEHGMTYHKYASLRRRQIRVETEAWEQAAKEYRELLTDMCEQKLAPNLPYIKSLFLGWFEPLRDQIAAEQELVANRGSRASHGPYFNMLPADMMAVITMHKLMGLLMTGSGDGSVRVIQAACQIGEAIEHEVRIHRFLEKTRKKSNKEKENEEEIFDSDIAKEQQRLRKKVTDLMKKQKLRQVRKIVKNQDDSRPWGQDAHAKVGSRLIELFIETAHIQPPASQSSDGLPDIRPAFRHEMRTVPKEQQKHSRRYGVIKCDPLVRQGLDRTAKHMVIPYMPMLIPPICWTGYDKGAHLFLPSYVMRTHGARQQREAVKRAPREQMQSVFEALNTLGSTKWRVNKRVLSIIDRIWSSGGRLADLVDRTDVPLPEKPDTEDETVLKKWRWHTRSVKKGNSERHSQRCDVELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGVLEFAEGRPLGESGLRWLKIHLANLYAGGVDKLSYAGRIAFAENHLEEIFDSADRPLEGKRWWLGAEDPFQCLAVCMNLTEALRSPSPEATISHIPVHQDGSCNGLQHYAALGRDKLGAIAVNLVAGEKPADVYSGIAT >KQL25367 pep chromosome:Setaria_italica_v2.0:II:37627779:37628574:-1 gene:SETIT_031573mg transcript:KQL25367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGSWSTKRYPSPVVFNLKRRRQQKTKHHVSVAIRRRRRLRLRRLRAGAEAMEMVNLKLYLENRCIIAENERLRERATALRRENLALRQNLSKTAAEAELPAAGAGAGAA >KQL26883 pep chromosome:Setaria_italica_v2.0:II:46750117:46753768:1 gene:SETIT_030077mg transcript:KQL26883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHPRITGGRRQGPLPLPLKRALLAGITVAASVSILCLLSFTDTVSFTDTLSFLGFPPRDVDKRDSNRRYLYWGRRVDCPGKHCGSCAGLGHQESSLRCALEEALFLDRVLVMPLRMCLSSAHNTKGILHSSNATSEQRWETGSCAMESLYDLDLISRTVPVILDNPRSWYEIISRSTKLGEAGVVDVQGVSRVELKENPNYSSALLINRTASPLAWFMECKDRTKRSSVMLPYTFLPTMATKKLRDAANKMKEILGDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEFIKKRIAKWVRPGRTLFIASNERTPGFFSPLSDRYKLAYSSNFSSILAPIIENNYQLFMVERLIMQGAKTFVKTMKEFDKDLALCDDPKKNTKDWQEPVYTDD >KQL22874 pep chromosome:Setaria_italica_v2.0:II:6019389:6020027:1 gene:SETIT_032683mg transcript:KQL22874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRRSLPSPMAPPPNPRSRASVRPLPLDALYEVLLRVPAKDLCRLRAVCRPWRSLLSDPHFVAAHTARHPEPLIVAATHHTDRSPPDHLLDIMDLSGRVIKRVVKSGSFWAARFAEDHSPQVPDISDYCQLVAVGKVASTGEYKVLRVLRGYFPQHHEQLCEVFNLDGSSNA >KQL25797 pep chromosome:Setaria_italica_v2.0:II:40614565:40615238:1 gene:SETIT_033222mg transcript:KQL25797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSASGLAVNDECKVKFRELKARRSFRFIVFRIDDKDMEIKVDRLGDPTQGYGDFTDSLPADECRYAIYDLDFTTVENCQKSKIFFFSWSPDTARTRSKMLYASSKDRFRRELDGIQCEIQATDPSEMSLDIVRSRTN >KQL27234 pep chromosome:Setaria_italica_v2.0:II:48748467:48748590:1 gene:SETIT_0304782mg transcript:KQL27234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAAQAATGGTQGSPQH >KQL23823 pep chromosome:Setaria_italica_v2.0:II:20538935:20542026:1 gene:SETIT_032976mg transcript:KQL23823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGKEEDSNEDRDDEKTEEKDDEKTEEKDDGNIESKANISGMRVNLNIRPIDFFGRSTHVNHQINDGPCALIAVCNVLLLKEDIFFEPHETVVSMEYLLNLVVSFLKESMKMQAHCSEIQRKIWDVAQTLATGFDVDVVFTRTDGFTMTPEWLLLDCLDLNLRHGWIAAGDLLPGPEVSFESLTLVANGLGFPHAETIKKFLRGPQLTPIGLVSLQEDLVENVPCILYWNNHYNTIVKINGVLLSLAIDSNYLRTSAVWQTLHEVNCDGVYLDSNFTPIYKGLDAAPSRESLWLSLSPKIWTPRSCTYSMRSYTEEDISPEKSVPGPQIVPETREISLEEFVQISGNEFSKLKIIFIDGNFLDVVDTTKIGRNFYLRTIFVIDGVRAGIRTPYCDKFCEEVKFNDFVSYICRIIELFRKKRFGPLVIFTHLVNTLSNPPCRSS >KQL22265 pep chromosome:Setaria_italica_v2.0:II:1109678:1111177:-1 gene:SETIT_029592mg transcript:KQL22265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLISAIFGELTTRSINFFISKLSKPTTLDVEDRLRRVLLRAQVIIDEAKGRHITNQAMLLQLVMLGDAVHWSHYVLDTFRCQLHQEEDANNQGVSRSSSLSKVNSAMRMRLYSRGAKTFKDLQGALDNLSSMILEVNELVLFLSSYPRMYRPPYSMHLQLANCMFGLQLEAQLVINFLLHVQPHGSDEELEVLPIVGPGYVGKSTLVAHVCKDERVRARFSEILFFHIQTFTDDELATFRDECELKHQNRVSESNLEARLLVVIELIGDLINEEAWGRLYSASKRYAPRGSKIIVTSRFDSIVKFGTTRALTLKFLPHEAYWYFFKTLTFGSMDPEMHPRLTHLAMEIAKTTLGRLAHLLSANIIAHLLKDNFDVKFWSELLAFLRRYSQKLVSQFGGHPFASSNQNRPVPFGRMSTPSEDIVLYHRCQHPSEEEIPKIKFQDVLCGSVMPRGKFDVLAWRSRIPPYYSYVHTCEIEGQKTRAVKRKRSMKNGVTNC >KQL22757 pep chromosome:Setaria_italica_v2.0:II:5120379:5121754:1 gene:SETIT_031623mg transcript:KQL22757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLDTHKETCRQV >KQL22758 pep chromosome:Setaria_italica_v2.0:II:5120379:5122398:1 gene:SETIT_031623mg transcript:KQL22758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREAKKEAFRKYLESSGVLDTLTKVLVALYEENDKPSSAVEFVQQKLGGPSISDYEKLKAEKLDLQLKYNELLDTHKETCRQLEELKNMKYGAPWN >KQL22308 pep chromosome:Setaria_italica_v2.0:II:1546883:1548202:-1 gene:SETIT_033252mg transcript:KQL22308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSAVVQEAEGRISSFVFGKRKGKASEGHSLERLDMALSELEFALERSAKLPITDVSLLCRRKVLKHAYVEGRNLLNKHKGRLQQEGQEIGRVCRGLNLSLSLIGLKKDESPCLSCSDVKRFEWFADCASKFVRDMESGCSLRQYTFCNPLVRHLLQGKMLEYEVVQRDLLRRFHIWPISLEERGVEAELAYRYDDRKMPHKSFQLRLMLRLSESTDIIGIAIECLQSLESQHVTRSAMGELTLLRDLQFGKDISHKYALPWVGIQESHTQDTWIFRPDPICCKSNGQESFSNNIISSTFPEQVIFVAFRCYVSALEYSLCHSSSVEASRNAMKDRASPLQVTSCCAPHFGEDLQLQESSCAHEVLGSTFKHKIGSIQEMEEMVRTKAARQPEQSYSALWLSAHGSAYFCVRKSCSGMARVPEPNGRSKTRRAAKRKR >KQL22239 pep chromosome:Setaria_italica_v2.0:II:848771:849781:1 gene:SETIT_032654mg transcript:KQL22239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSGGDSEGAPKRAKLSSGDAGGGEDCLSALPDDVLVLILLRLDTTVAARTSVLSRRWRRVWALLPKLCFPVAPEPHRFRDTLDTHEEPLRDLLVGAGGATPESLAIWLPAAARRVSGDLTLLTFDPGKDAGEEEGEAAQRGAFELPCFEKATSISLILGFHGLAIAMPPTGVFARLTGIYLSCVRFHGPCTLGDAMSSPRCPCLQRLTVDDSRGLGDLTINSVSLLQMELRNLRGLWQVTVVAPALTELTVIYCFRNDDTQPVANISAPQLVSLEWKDAYDPSSVHLGKMAHLRWLGTFYLVYGQDRLSHNHSCSTLLQRFEAIETLFLTLAYMR >KQL24430 pep chromosome:Setaria_italica_v2.0:II:30029727:30031477:-1 gene:SETIT_033663mg transcript:KQL24430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAPALAAAAGTKMTMVAGVDESEHSFYALQWALQHFFPPGQPQQYRLAVVTAMPSAAVGLAGTGALMDVRSFVEADLKRAARRVLDKAKELCAQVQVADVEFEALEGDARNVLCDAAERHHAEMLVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKHKH >KQL25616 pep chromosome:Setaria_italica_v2.0:II:39398221:39402822:1 gene:SETIT_033053mg transcript:KQL25616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCIVIVLLLSILLPSCASEDKLVVGKPLSPGDVIVSSDGAFALGFFSPSNSTPAKLYLGIWYNGIPKLTVVWIANRETPIIVTGRSASSAPTLALTNTSNLVLSDADDGRLVWSTNVIAGTAGFSAPLGAAEPAATLTNSGNLELRSANGTMLWQSFDHPSDTFLPDMRIRVSRGGRAGDRLVSWKSPGDPSPGGFTYGIDPVTSLQLFTWNGSSPLWRSGVWTGYRVASDFIEETGAVVLLTVLDLKDDASMSFDIRPPVTRTRYVMSYSGELVLQSWNNASLEWDELGVWPPHGCSRYGYCGAFGYCDNTVAAPTCKCLDGFAPASPEEWSSGRFSSGCRRKEELRCGDGDGFLPLPAMKAPDRFVVVGNRSLDECAAECRRNCSCVAFAYANLSSSAKGDPTRCLVWVGELIDAEKIGGNVAGSETLHLRLAGLAKGLLCVQDNPNDRPLMSSVLFVLENGSTTLPIPKKPVYFAHRNNEVEQGRGNSQSSKNSVTLSALDGR >KQL23476 pep chromosome:Setaria_italica_v2.0:II:13928862:13930300:-1 gene:SETIT_030713mg transcript:KQL23476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEVDVSALEAGGARDYVDPPPAPLVDIDELGKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDASASGPDAACGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMAAQCLGAICGVALVKGFQSGFYARYGGGANEVSAGYSTGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVVYNNNKAWSDQWIFWVGPFIGAAIAALYHQIVLRASARGYGSFRSNS >KQL25789 pep chromosome:Setaria_italica_v2.0:II:40566173:40568915:-1 gene:SETIT_028920mg transcript:KQL25789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSGERFSRGETAGQQAQLRTLLQGNKFLLVIDDVWGENVWDGLLEIQAQHGSPGSRILITTRNERVAKRMGAVHIHHVKGLNEDDGWWLLRTRAFLDENTGNLQDIGRQIVQKCNGLPLAIRRIGCLLREVDPKEDDWGRIYSSDFCGLSSRIRNAINMSYLELPYYLKRCFVYCSLYPEGSVIYRQRITQQWIAEGFIVTQQSTTHSSYTTVEEEAEKCYDELLGMGLLLPENGAYGAERSKMPHLFRSFALLQSQDEYFIGNPQDIGDVLKPCRLSITTGGAEALRNGIRKLKCLRTIILSGSPPNDRALGDIFQRLTHLRVLDLGDTQIECVARSLGSMTHLRYLSFANTQIREIPSTIENLRMLQFLILKNCTRLNALTESLGRLTNLRTLDISGAGLNQVKFRFCMMKELNCLQGFIVSPGGARNGWQFQELRSLDKLTSLKMLRLERTLTGADARQSALEAKSHLKELELCCSTDDGISEISRAANIKEVFEELKPGPSIVSVKLENYYGHGFPSWLASPHFQELKRLTLDGCLHCQHLPSLGQMRSLKFLAINGSNLSAYIGPEIRGAPDDGVAFPKLEQLVISKMSNLKSWWGLEERDMPLLMNFRIVGCPKLDSLPHCLQHCKALTSLHIDHVDSLETIQNIPALKELEVRENIKLKMISNLGNLEDLKVVDCLLLDVVQDVPSLRTVHSNERNSTKLPQWLQPGKPFMLRRLEIVGTEELLDRCSSASAPYWPVIQNADHVFAYLPNDDFYFSYAKSSSYFHKSARSLARSSLYSSPSFSMSVVSQAEEVIPADEIRNNSEQIGQSTNQSWMSILFTVLLFVAAHIFSLSSEY >KQL25146 pep chromosome:Setaria_italica_v2.0:II:36280129:36281407:1 gene:SETIT_030436mg transcript:KQL25146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPPRSCNLWLLVLLVFARWCHASMAMTFTVSNYCPHPIWPGTLAGSGTPQLSTTGFKLEPGQTVQLAAPAGWSGRIWARTGCVFDADGAGVCNTGDCGGRLECRGAGATPPATLFEVTLDGSGGQDFYDVSLVDGYNLPVVAIPRARTGGACNATGCMADLNRSCPKELQVDCGGGAIACRSACEAFGQDRYCCSGSYATPDACHPTVYSSIFKSACPRAYSYAYDDSTSTFTCKASDYTIAFCLPTSGIKKSDAVFLGAQMDGQSTDGANNALPVYNGGNNAPPVYSNGGFQPPIYNYGGGGGGRQPAMAASSASTIYIRPRLLLLLVLAFFF >KQL23089 pep chromosome:Setaria_italica_v2.0:II:8328691:8329071:1 gene:SETIT_031803mg transcript:KQL23089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHTTTQPRVKSFMYQNTIGKKMRKFISDSSLLSRTAAHVFLHLHPFPNPKVNALYME >KQL26743 pep chromosome:Setaria_italica_v2.0:II:46037282:46037929:-1 gene:SETIT_033067mg transcript:KQL26743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDCGVICHLQCEITSVSVTGLGCGGGSGGELFLRCHVPAGGGRAIQIDSSGAEQPDGSGETTTGARAVSWRDVASLSCDGSPACVRELVDRGTVVFEVRRRRGGRRRAVMLGKVLGSELVGRAEVPWKDAAAVAVERRVELAAPRSRPGLGEEAPAVLSARMSVRVSETPVPAGRRRAGSSAHAHRRQSGCEWSVGDEDVFAAVACAADDAFE >KQL22339 pep chromosome:Setaria_italica_v2.0:II:1836935:1838455:1 gene:SETIT_033347mg transcript:KQL22339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFLSAVLGELSTRSINFFIGKISKTTPLDMEDHLSRVLLRAQIIIDEAMGRHISNQAMAIICMLDTFRGQSHEEDTKGQVIINSSALSRLSSLKSLCFYNRDTPISKRLQEGIDELTSMILDAKELVVFLTSYPHLYRQPYSMHLVLGNCMFGRQMESEIVINFLLQTQPYGAEELEVLPIVGPIFVGKSTLVAHACKDERVRDHFSEILFFQNYSFTDDELATLRDGCARIHLNHVSITNKDRRLLVVIELVGDLNEDEWNRLYYASKRFVPCGGKIIVTSRSEKIKKFGTTRAVTLKYLPREAYWYFFKTLAFGSMDPKVQPRLTHLATEIASTLDGSFVAGNFTARLLRDNFDILFGPRFGEHPIDLLKQNRPANLGRMATPSEVFVLSHQYGSAQDKVPKIRLQDAAFKTSGKFKFLSWRSSIARYFSYGCTCKILELEAAGVKRKRSMKDGDKLM >KQL24848 pep chromosome:Setaria_italica_v2.0:II:33795216:33797037:1 gene:SETIT_029829mg transcript:KQL24848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGTGASRRKAEQCLSVAEKLLVARDLEGCKQFATQALAADPNTPGADDLHAAAAALLAAQRHRLPSGRPDPYGVLGIDPANPASRRPEAIHSHYRRLSFLLSRSRPDRPCSLAFAEAARLVADAWAFLSDPVLKSALDAELHTAAAARAYHSPAPNLPQPHSQPPLPARPTPPAATPSPRQTPPAAIFSPRPTPPVAAASPWPTPPPVSTPPRPTPPPVSPPPRPTPPPISPPPRRTPPLVASPSRATRRPVAPQTRSAPLPAAPSPVARPTPQPPVASQTQPNLPLPPAPQTPIPATVPAVLSVCSACCHIHQYDHQYETRKLRCRSCCQTFVAEAMAEPPPIVPGTDMYYCTWGFFPVGFPGCPGFERMANSQPRGPDQLNAPWLGGTDGMKGKTRDNAENGVPPVSAPEVEVPAVTPPAKPMRVKVGAKKRGRPKGSKNKKKL >KQL22630 pep chromosome:Setaria_italica_v2.0:II:4062560:4064500:1 gene:SETIT_031570mg transcript:KQL22630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVAAYLLAVLAGNPSPSAEDLSAILESVGCEIDNEKMELLLSQLSGRDITELIAAGREKFASVPCGGGGVAVAAAAPAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >KQL23025 pep chromosome:Setaria_italica_v2.0:II:7550538:7551683:-1 gene:SETIT_031929mg transcript:KQL23025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDPLLALELVTVTSPTTTPHPATLHSLLLRLARRRDHLPHALALLRRLPSPPSPRLLLPLILAVLRLRRQPQLFLSTFNSLFVSGPSPLLLHPQVLLRLLGVLSSTASHFPSALHLLRLVSSRLPLPASLVLASHNLLIKAAARSGHVAVSLSLFHRLRSLHVSPDANTYRILTQSLCRKAQVRTAATLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKVVGDMVDSGCTLNAATYATLVNGLCVSGLYEKAEAYLVDMVGKGLVPHFSLFHSVIKGYCGVGKVEEAAQIMTWMLDLGVTPHVESWSSVIRCVCNDEDCVEAVLLQLVTGRQHGSSTSSTLK >KQL27103 pep chromosome:Setaria_italica_v2.0:II:47819409:47827502:-1 gene:SETIT_029439mg transcript:KQL27103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDLFPNVTFSDVSAAAAPANEGATAAFGVGAATGAPRLSLVKAGKPEVEPTVEIDLFDAQIFKLGPSEWLCVYDESEAKAGVEEKSFSRAIKVVLRTEAESKAFSLAFQRWKQQVISGKAGEPLENGSIIASKSKFDTKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVMENRSDFEGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEHAQRLISGNPSLGQRITVIKGKVEEVELPEKVDILISEPMGTLLVNERMLESYVIARDRFLAPDGKMFPTTGRIHMAPFSDEYLYVEMANKALFWQQHNFFGVDLTPLHGSAFQGYFSQPVVDAFDPRLLISPPTYHTLDFTSMKEEELYEIDIPLSFVASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGSPTTHWYQLRCVLSQPLYVMAGQEITGRLHLVAHSSQSYTIYLTMSAKMWGVEQDGILQTSTGKLELKEPYYRLSQPQSYMLQQDQQQQQQQLPSLKPQGSEQHMQEGLSPGITIDQVDQECGLH >KQL22159 pep chromosome:Setaria_italica_v2.0:II:290584:291757:1 gene:SETIT_033326mg transcript:KQL22159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRFVNIVAENYKSGMYSLHRLDVSKHLFYPCINGRSTSASGGTRSTVPHEHLAQPLNHRQAFFGLVSPRSGEGKVLFINKDGHTLHYDADSYCASPLPSLQHPEGSSPVSASVARTESKGKKTSTSCIQRDSIQREGLYVIHSTPAGLDSESCFNVLRFGSPDMYTSSFLHRWYWQPLPLPPFVFSPQYKPSLISSYTVVEGGRTICLSLATEGIGTYCFDTVNLEWRHAGDWMMLPFDGKAEYSPELKLWLGFSLGTTCDTFGSQLVHVLLPEHEHAEEGEVGADGAPVGVVAVAAARGGLERRHVDEP >KQL24721 pep chromosome:Setaria_italica_v2.0:II:32548691:32549160:-1 gene:SETIT_033588mg transcript:KQL24721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAFTSAVARPQSSQMIARAAIKNQDSSIRHFKTDWAYFAHCAK >KQL23673 pep chromosome:Setaria_italica_v2.0:II:16115171:16117707:1 gene:SETIT_032179mg transcript:KQL23673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAWQAVRWRVVVPALQLAVYVCAAMSLMLFLERLYMAAVVAGLWLHRRRCKRQAWRRLADADDPRQRVQDDDDDLEAGDGHRCPMVLVQIPMFNERQVYRLSIGAACGLSWPSERLVIQVLDDSTDPAIRELVEVECARWVRKGVRIRYENRSNRNGYKAGAMREGLRKPYARDCEFVAIFDADFQPDADFLRRAVPPLLRDPGVALVQARWCFINAGDCILTRIQEMSLNYHFAVEQEVGSACHAFFGFNGTAGVWRVAALADAGGWKERTTVEDMDLAVRASLRGWRFVYAGDLAVRNELPSTFRAYRYQQHRWSCGPANLFRKVLPEVLRCDRVSPWKKLHLLYAFFFVRKVVAHLVTFLFYCVVIPACVLVQGDVHLPRYVAMYVPAAITLLNAACTPRSCHLLVFWILFENVMSMHRSKAAVIGLLEASRANEWVVTDKLGSSKAAAAPPAIARRKKQPVRRREVHVLELVMGVCLLYCAIYDIVFFGQDHFYLYLLLQSAAAFIVGFGYVGASVPAAPS >KQL22844 pep chromosome:Setaria_italica_v2.0:II:5778252:5779364:1 gene:SETIT_032111mg transcript:KQL22844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFERARTVRLRSHHDKYLYADEDESHVIQDRNAASPNARWVVEPVPHSPGVLRLRSRYGRYLSASNEPFLLGVTGRKVLQTLPHRLDSSVEWVPVRDEAGRARGGARLRTRYGNFLRANAGLPPWRNSVTHDTPHRHAGWVVWDVEVVQAVLPRPDSSASVDDSPAPPSYKPPSCSSSLSPPPLPTSALRPPPPPPHHREENTAPFRAQPPPPPPGYIAPPAPGLYRLESTESFSVPLHKVEGRSIHYHVGDDDDGYVSPDEEPRHFTFNGTSLEELLERLKEESGLEDVIMCSRSPINGKLLPLHLQLPPNNAAVHIVLVRESSK >KQL25400 pep chromosome:Setaria_italica_v2.0:II:37879311:37882381:-1 gene:SETIT_030512mg transcript:KQL25400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGDGKGRVAAAAAGGGYGYGYGYGGYEGPEERKWWPWLVPTVIVACIAVFVVEMYENNCPKHGSPLGECVAGFLRRFSFQPLRENPLLGPSSSTLGKMGALDWNKIVHQHQGWRLISCIWLHAGLIHLVVNMLSLLFIGIRLEQQFGFVRIGAIYLLSGFGGSVLSALFLRNNYISVGASGALFGLLGSMLSELLMNWTIYSNKAAAIITLLFIIALNLAIGILPHADNFAHIGGFATGFLLGFVLLARPQFGWMERHELPQTSQPPKYKAYQYVLWVVALVLLLIGFVISLVMLFEGKNGNDGCHWCHYLNCVPTSKWKCNT >KQL22431 pep chromosome:Setaria_italica_v2.0:II:2538303:2539263:-1 gene:SETIT_031358mg transcript:KQL22431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKELMIIHGLLVLGWFIASAAGRITPQEKAESVTPIPTLSPPEGNMTFINGVTWCVARPGASQEDLQNALDWACGPGGADCSQLQPGGRCYQPNTLLTHASYAFNIFYQQNGNSDIACNFGGAGALVKRDPSRKRSAPCAPPSISARFWSRHCSVI >KQL23151 pep chromosome:Setaria_italica_v2.0:II:8740208:8744845:1 gene:SETIT_030328mg transcript:KQL23151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALPRLAAPAAAAAPPVRLAPPPLPPPLRPHYRFSSLSSRRRSPRPPSATAADAPRGGPIPEPEELDLLLLAALRAARIRDEESRRSDPLFIDPYAAVLLSHDVAHHDIGYLASHAVPCQDHYRLTTRYIDDKLQNLISNSEDIRQIVLLTDGMDTRPYRLSWPRLSVLYDVSPGKVFSTATQRLRGSGAKVSRNCVLLHIPLESPDLLEVLSKNGFNGNRLSLWVLQGLPLPTTTSLENLLLVISNLAMKGSIFIGVLPHFPDCMASMDMGLEQDNLEKLFFTQGFRVSFVQYEDVAKDIDFDLPNSWELRGGVLFVAEQLRFSDAQMESFRLHFERIEEDADEEGFEEL >KQL23304 pep chromosome:Setaria_italica_v2.0:II:10679932:10680631:1 gene:SETIT_032374mg transcript:KQL23304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSCYYDFTGHEYIVKWCEEDMHAVKGGFQMRFNPEGLIKLSRELSQRAITVIKQLGFGPCLSISLTSLCYKSLVVWIASHCRVAKYNNEDVIVLVFDPNSPRVITAEVLKIIHGIPWGTAKVPDDILHGQNATVKDLNGKVKDYNGDHVLKACSTARKNNDAMLEVRLFIAELFSF >KQL27203 pep chromosome:Setaria_italica_v2.0:II:48516916:48521709:1 gene:SETIT_029187mg transcript:KQL27203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAQPLLPAPLKRRRGPRVAVLALVLCSLLVPFAFLFDRAPTGGYVTTEEQHRQEVVLPLVDHVVVERKGRGGAVTGGRQDAPEKKITRGSSGVIHQHEPEKRISEGRGVVDQHKQIDGHSTNGVAKPKVLPAPKVNPSKAVGESTRDTREIRKDVQERQKGAKADDVERAKACQLEFGSYCLWSIEHKEVMKDSIVKRLKDQLFVARSYYPSIAKLQGQEALTQEMKQNIQDHERVLSVSTVDADLPSFINKRMEQMERTIARSKSCTVDCKNVDRKLRQILDMTEDEAHFHMKQSAFLYNLGAQTLPKSHHCLSMRLTLEYFKSSSLDSDDSPSGKFNSPKYRHYVILSRNVLAASVVINSTVSSCKEPGILAFHILTDAQNFYAMKHWFARNSYKSAAIHVINYEAIILERLPKYSIRHLYLPEEFRVLIRSTKQPTDNTRMEYLSLFSHSHFLIPEIFKYLNKVVLLDDDLVIQRDLSFLWNIDMGDKVNGAVEFCGLKLGQMRNVLGKTAYDPKSCAWISGVNLINLDKWREHNVTENYLMLMKKFKFKDEQSLRAAAFPLSLLSFQHLIYPLDEKLTLSGLGYDYGIDEEVARRSVSLHYNGNMKPWLELGIPHYKKYWKRFLVRGDQFMDECNVNP >KQL23107 pep chromosome:Setaria_italica_v2.0:II:8424611:8426461:-1 gene:SETIT_029803mg transcript:KQL23107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAGGRRRRVLFFPLPYQGHINPMFQLAGLLHARGFAVTIFHTHFNAPDASAHRDYDFVPVPDGMPEGGHPLQDIAKRILDMNRACEAPFRERLAALLERREGDDVACLVADAHLLAMVDVARGLGVPTLVLRTASAACFRCFLAYPMLHEKGYLPPQDLDMPVKELPPLRVKDLYYSRRVDNELVTKLLTRATQAVKNSSGLVINTSDALEKTELERIRDELNIPMVLPVGPLHKLSSKSVGSSLLNQDYGCIEWLETRPSKSVLYVSFGSLASVGSKEFLEVAWGLANSGVPFLWVVRPDILQDLDDPNFPNGFEAAVQDRGKLIQWAPQEEVLAHRAVGGFWTHNGWNSTLESICEGVPMMCRPQFADQPMNTRYVEQTWGVGFELEGVLERGKIEKAVRKLMKDREGDEMRERAKELKNKVEDCLKIGGSSQIAIDKLVNYLLAT >KQL23106 pep chromosome:Setaria_italica_v2.0:II:8424743:8426410:-1 gene:SETIT_029803mg transcript:KQL23106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAGGRRRRVLFFPLPYQGHINPMFQLAGLLHARGFAVTIFHTHFNAPDASAHRDYDFVPVPDGMPEGGHPLQDIAKRILDMNRACEAPFRERLAALLERREGDDVACLVADAHLLAMVDVARGLGVPTLVLRTASAACFRCFLAYPMLHEKGYLPPQESDLDMPVKELPPLRVKDLYYSRRVDNELVTKLLTRATQAVKNSSGLVINTSDALEKTELERIRDELNIPMVLPVGPLHKLSSKSVGSSLLNQDYGCIEWLETRPSKSVLYVSFGSLASVGSKEFLEVAWGLANSGVPFLWVVRPDILQDLDDPNFPNGFEAAVQDRGKLIQWAPQEEVLAHRAVGGFWTHNGWNSTLESICEGVPMMCRPQFADQPMNTRYVEQTWGVGFELEGVLERGKIEKAVRKLMKDREGDEMRERAKELKNKVEDCLKIGGSSQIAIDKLVNYLLAT >KQL23167 pep chromosome:Setaria_italica_v2.0:II:8902418:8903373:1 gene:SETIT_031059mg transcript:KQL23167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLDDGCCCCGGCYDSFCDRCCPCVSYDARETIFGCCVCFLILGAVALLAVLLAAYGFIRQPEVAVEFALLTTPATALAYNLSLTLTVRNRNWAMAVKSTKPMEAGYSFDGQRFDRVRLADEGDVHPAGKTRVYHLDSGAGGAYVALGNAGVAEFGKENATGLFEVEVAVAGEVRYQAHFTKCKLAATCPLKLQLAPPGTPAVVFQKVKCKLAKPDKNC >KQL22113 pep chromosome:Setaria_italica_v2.0:II:25399:29024:1 gene:SETIT_029137mg transcript:KQL22113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVQYRLERMTDELDDLERRGLFTRAELADVVRRRRDFEFRIRRHSPLRQDFLDYIAYELRLDSLRNLRKRAIIRAADSESDDDDRGSDDEGGSKKRKRKRGKKWKKSISDVAGVLRVLDIYRMATVRFKGDLDLWFRYLEFCRDKRHGRMKQVLAQAIRFHPKVPGLWIYAAAWEFDQNLNVAAARALMQSGLRSCPDSEDMWIEYLRMELTYLNKLKARKVALGEDVKMLQKSDNDAGQWKEENKELFMPLNEKDEGPEDSRSGGEALEEKEDMFWRQGLLIIQTIYHGAMEALPSSLTLRKKFLEILNSVDLAHSEEMKIEVLDDLKKDFSHCEDYWDWFARLQLTDLNKSSTLNGKDALSNKLNKSIQVYDEAVRRLPTSKMHSLYANFWMDVLYPDREDSIASFQNSEFDASEFTSSILKVYESAESCGCLTEDLACQYVSLCLKLERLEEAKNLAEKLCNGPLSDAANLWSLRASMEINSFATAGSSPFSKENLSCLFDLFNTVLSKLPITQTEGLWHMAMKLFSNEKIYFEKLVKCAMLSLSLAGGSDLGASVSSAIVGWYLQRDGMKQARKMYKRLLALPRPSLKFFQYCIELEANLASLGDNGALANARKLYDSAIDHYPQEREVWRNYYNLELKVGTSETANTVYWRARKVLGDSTALTAPSS >KQL22114 pep chromosome:Setaria_italica_v2.0:II:25399:28107:1 gene:SETIT_029137mg transcript:KQL22114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAVQYRLERMTDELDDLERRGLFTRAELADVVRRRRDFEFRIRRHSPLRQDFLDYIAYELRLDSLRNLRKRAIIRAADSESDDDDRGSDDEGGSKKRKRKRGKKWKKSISDVAGVLRVLDIYRMATVRFKGDLDLWFRYLEFCRDKRHGRMKQVLAQAIRFHPKVPGLWIYAAAWEFDQNLNVAAARALMQSGLRSCPDSEDMWIEYLRMELTYLNKLKARKVALGEDVKMLQKSDNDAGQWKEENKELFMPLNEKDEGPEDSRSGGEALEEKEDMFWRQGLLIIQTIYHGAMEALPSSLTLRKKFLEILNSVDLAHSEEMKIEVLDDLKKDFSHCEDYWDWFARLQLTDLNKSSTLNGKDALSNKLNKSIQVYDEAVRRLPTSKMHSLYANFWMDVLYPDREDSIASFQNSEFDASEFTSSILKVYESAESCGCLTEDLACQYVSLCLKLERLEEAKNLAEKLCNGPLSDAANLWSLRASMEINSFATAGSSPFSKENLSCLFDLFNTVLSKLPITQTEGLWHMVCPLEFPMMIVSAVLFYLSNFTV >KQL22369 pep chromosome:Setaria_italica_v2.0:II:2056473:2058512:-1 gene:SETIT_033142mg transcript:KQL22369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPMAFLVAFFLLCLSLNNLPGFCVAGGDSFAFSGFAGANLTRDGTAKITREGLLELTNNDAHVQGHAFHPTPVQFKDSPNGTVQSFSLIFVFAILSDPAYSYMSSDGMAFVIAPGKDFSSASPAQYLGLLNSQSTGPANASNHIFAVELDTIQNEEFKDINGNHVGIDINTLSSVYSHPAAFYDDKDVITEKAYVGFSAATGPIKSRHYVLAWSFAMNGPAPAIDFKKMPKLPNPDHKTPAKALEIALPIAAFVILAICIAVLVIVQTKLAYAELREDWEVEFGPHRFSYKELYDATEGFKSKHLLGVGGFGKVYKGILSKSKSEVAVKRVSHDSSQGIKEFVSEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGEDNRPILEWAQRFQIIKDVASGLFYLHEKWEQVVIHRDIKASNVLLDGGMIAHLGDFGLARLYDHGTDLQTTHVVGTMGYIAPELSRTGKASPLTDVFAFGTFLLEVTCGRRPVSNSVQHGRALLVDRVLEYWHRGALEETVDSRLQGNYNIDEARMVLTLGLMCSHPFPSERPTMRQVMQYLDGDVPLPELIPANMSLLSLMRNQVSFDQSVLQYPWSATSIGTMTAGISVGR >KQL23348 pep chromosome:Setaria_italica_v2.0:II:11054590:11056872:-1 gene:SETIT_030580mg transcript:KQL23348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSRPRRAAEHRHRLRLRRLLFLLPLVLLLALLAQLPALLLRRANSFGRRCLPGATDRRLPLLPGPVRDPRLSLAIVTLADEGASGSRGRRSFRGVLAATARNKRAYAAAHGYGLIALPASAVDPSRPPSWSKVLALRAHLRHHHWLFWNDADTLVTNPEIPLERILFSVIGHSDFNESPDLVLTEDFGGVNAGVFFIRRSNWSEKFLDTWWNQTSFIQFGSTKSGDNAALKHLIGHLSSEEMQAQVRIAKMQCLFNSYPWILSWKSTFRLIFHLATTWKGVYSDGDFMIHFAGLDDKQGWTNKIVGEIETLR >KQL27240 pep chromosome:Setaria_italica_v2.0:II:48773848:48777732:1 gene:SETIT_029525mg transcript:KQL27240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAPRRPSDEARRGAYKPRVDFSRSRRRREDGLLALRRLDRDAGLFKRRRDETSPAVHASDPAPAPAEADPPAASARPPPTISSPPDAAAPRNAAESELEGLSELVDKVCSDDSTSQLEATVQFRKLLSDGKNSTVIKIIRADVLPRFAEFLSRHGLPQLQLEAAWVLTNIAASDYTLLVAECGAVPREDMKIPVLRTAMWALSNLCFGKFPAEVQVKPILEIVSQLIHSADEKILADACWTVYYICGGVDDAIQDILDVGVCPQLVNLLMHGSASVLLPVIMALARISAGDDAQVQVLIENGILDCLAQLLARSYPKNIKKQACLIVSNIATGSKDQIQAVIDASIISPLIVLLKTSEADIKKEAAWALSNAASGASSEQIQYLVSRGCLEPLCSVLTYQDPDLVHACLEGLENILQAGEAGKKGEESGTNPYAQFILECGWLDKLEDLQEVNNDRIYELVMKLLQSYWEEEVSESDDPIVPGSNDSADTVETTSEDAAQPPAPASGADEAE >KQL26591 pep chromosome:Setaria_italica_v2.0:II:45276408:45277906:-1 gene:SETIT_032602mg transcript:KQL26591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSTVATGAPLCLLSSSCARLSNPILPHPHRLTLYCLISFQRLIVRSAASPSPSTTSLSPSGSSPVDLSQLPPVLRNIVSLFQSILDARTQATAAAASSSSTDSDAQLTKGLAALLGLGLSDAPTADVAKVPVEFIELLGIRQSLSPFRSRGGSKMIFASGQNRFLLAGSAPGSNSLSAQMVFVLVGVHPPVQFQFALAGV >KQL23669 pep chromosome:Setaria_italica_v2.0:II:16058976:16062998:-1 gene:SETIT_032827mg transcript:KQL23669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIQCAGQWEKYVRTVMNDHSPSVEVVVRRVGVDPNPRRFSRPMGQRAHFDPPVLEPVMDVEVAPTIPDAESAPNEIVGHVCRIVDDVVDSPNEFLFTQNDPSKCLISFYCWELTLFIPSISSLLIFVLNVVGDIPENVDVPLVAAQVQCGDGLCGSNSVEIMNDEDAYEMGVDLDSDDDRPAGEMAESDIEMFRRIFPGRRDPIVHEFSDLTLSDQAFAEGHDDELLEAPEASSSMRWLQAFAVIRKRPYKVLHSHVEHRYTVVCDKERCPWRVCARKQNITGKWKITKVVGPHNCADHELTVRHPQLTSTLIAKRLMGILKEQPNMKVRTIIRTESGYEQLPVLFNAIKAVNPGIHYEHIPKPNAWKDGRQIFGHAFWCFPQCVEAFRHCRPVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNWGWFLRLVRIHVVGPRREVGVISDRHQGILHAVQEQIKGYPPLHHRWCTRHLAENLLRKDGVKDNFDLTATNAEGRQWLAGLMRDLDKWTRSHDTGGWRYEFQCSNMVESFNKLLLGTRGMPMNAIVEFTFYRLVAWFNERHAKAEALQGAGERWAEKPKRHLSITNERASTHEVQCFDLGTGTYQVKHRDGTTSDGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAARHRNFDIESMIPHDPCFVPFRDPREWPPYDGPKYVVDPAYRWKKRGTRKRTRHNMTMDQVSGRMRRGRATPFLTDPEQNECGKWWPETHSFHLPFGEMTVTLQDCQKMLGLSIRGNPVTGPCVSEEVEDQGTRTSEVLISWLREHFGHCPQDADAETVRHYCRAWILHLFACVLFPDATGDTASWIWGLVVLCFLYRQLCEACRRTSGSTSVGGCVYLLQLWMWAHLPVGRPEIMGHRPWFPGEPLRRQPTWAYLWDQVKVGHTRLERAYLDYITELDALTAHSVNWQPYEGEDALPFAVSVMCAADDDLYRMKCPLICFYAVEYNLPDRVARQFGIS >KQL24395 pep chromosome:Setaria_italica_v2.0:II:29548001:29548496:1 gene:SETIT_031856mg transcript:KQL24395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMGWLIWQAEAQIQFALGLLFFLLLIILSISSAISASWWHLAILYS >KQL26288 pep chromosome:Setaria_italica_v2.0:II:43569631:43574861:-1 gene:SETIT_028995mg transcript:KQL26288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSGEELEEEFPGHEWITPQSSINAAYQSQTEKGIRKICSELLELKDAIENLSGNMQSKYLAFLRISEEVVEAEQELIELQKHVSAQGILVQDLMSGVSRELDVWFKSNKEEDVKEKDFQTELDEILSDDTQDPKAIFLDKLDALLAEHKMEEAVLALEDEEKKYLVANESSKESNAELSAFKTALFKRKAILEDQLVRWSEQPSLPIAELRKSLAGLVKIGKGSLAHQLLLKAYGSRLHKNVEAFLPSCSIYTETYAASLSQIVFSAIAKAAKETNTLFGDSPMNMNRIIQWAEYEIETFARLVKENSPLPESVSALRAACICIQTSLSHCSFLESYGLKFSKLLMVLLHPYIEEVLELNFRRVRRKIVDAARNDDILLLTPQEGSPLSGAVAPNIMLTSSGKKFMSIVNDILDQVTPMTIVHFGGAILNKYVQLFDRYVQTLIKVLPGPSEDDTLLESKEPVEFKAETDAQQLTLIGAAYTIADELLPAAVSKFFDMQTEKKGTVGSSESLGSGSIYSIEYKEWKRHLQHSLDKLRDHFCRQYVLSFIYLEGKSRLDARMYMERKTDDLLFDADPLPSLPFQALFGRLQQLASVAGDVLLGKDKIQKVLLSRLTETVVMWLSNEQEFWDVFEDRSVQLQPSGLQQLILDMHFIVEIAVCGRFPHRPVQQLVSTIITRAIAAFSARNVDPQSALPEDEWFLETAKAAIHKLMLGTSGSESEPEAEQEPEPEEHVALHDEMSDSDESIATPSTSGSDDSFASANNDDLESPVYFTDPEA >KQL25511 pep chromosome:Setaria_italica_v2.0:II:38788655:38792311:-1 gene:SETIT_030797mg transcript:KQL25511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPREEAPIKENEIRITTQGRMRNYITYATALLQDKGSDEVVFKAMGRAINKTVMIAELIKRRIVGLHQNTTTGSTDITDMWEPLEEGLLPLETTRHVSMITITLSKKELDTSSVGYQSPLPADEVKPLVEYDNDEDAHSPGGRGRGRGGRGRGRGRGRGRGGRGNGYNEYADGGWGEDDHAPEYMGNGYPRGRGRGFRGRGRRGGYNGQPDYQQDGGYYDEAPVPAPTRGRGRGRGRGRGPSRGRGRGGNANGVMHAAAAGA >KQL22256 pep chromosome:Setaria_italica_v2.0:II:1026643:1026782:-1 gene:SETIT_033803mg transcript:KQL22256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKILLKLIIIHLSGSLMTLANTGTCRYQ >KQL24359 pep chromosome:Setaria_italica_v2.0:II:29184186:29184666:-1 gene:SETIT_033762mg transcript:KQL24359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTPCVRIIESQVTSVNNMIKFVQLLPRLKPRCLSYSSHVFSLFITNCKCAKSMSISNSLGPK >KQL25698 pep chromosome:Setaria_italica_v2.0:II:39899406:39900995:1 gene:SETIT_032848mg transcript:KQL25698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSKEDDYCCDADDDDYEDYNSTDEDNPEECEKDYDFVTEDDVRRCQDEVTAEISDRLSVPRGFAAAFLRHCRWDAERLENEWFADERRVRGAVGLAAAGGLDGDVPTALNSLPLTCAICFDIYAPGEMRSAGCSHYYCHECWRGYIRAAVGNGARCLLLRCPGPGCTVPVVRELVDAAAAGEDRARYAMFVVRSFVEEGTSKYVRWCPGPGCTLAVRSESGSRLYEVTCKCKHVFCFRCGEDAHRPASCETTRAWVDKCRSDGETSSWVLANTKHCPECRRAIEKNQGCNHMTCGAPCYHQFCWLCLGSWADHSGNFFHCNRYAAAKSEFTEEKTRERQARASLERFLHYYERFTAHGASMKKAQEDLDNLRADGGGLYQLGDAIGVPPTELDFLLEAYARIVEARRVLRWTYAHVYHLDPARDNVEFYEYLQGEAEKSLERLHHCAEEERDLLKKDLYYYGAAIPAGYAAGKYMEFREKLCHLNLVTRNHFSTLVEGFESGMAEVVS >KQL24209 pep chromosome:Setaria_italica_v2.0:II:27563763:27564746:1 gene:SETIT_031641mg transcript:KQL24209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTIIDTLIRNDKCKRKRLSSSLSCRHSIALPKCIVHPERTGCGSAITDTAQPHPRPISRNPFSQTHQSTEKFHNTKNSIHSPVSRRDIPSAT >KQL23962 pep chromosome:Setaria_italica_v2.0:II:23582351:23584506:-1 gene:SETIT_031393mg transcript:KQL23962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVAPRLSRSSTRYGPVSSSAASFSGPVRKWRKAWVPLAGAGAAGPGGGASRGDNKVVLFRWTPVNGGAGGGGVADRGMEPAAGGRRRYVPAAGEAQNTSKKGTSSELNLNLDLEDPDDDTDADMSTDEPRDVEDSNPRPESRLKRKAF >KQL26731 pep chromosome:Setaria_italica_v2.0:II:46004203:46011132:-1 gene:SETIT_028797mg transcript:KQL26731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIRKYAMSNQPPDIAQILLEAQNRWLRPTEICQILSNYKKFSIAPEPPNRPPSGSLFLFDRKILRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSVDVLHCYYAHGEDNENFQRRTYWLLEEGFMNIVLVHYLEIKGGKQNFNRAKEAEENAGLSSADSPACSNSFASQSQVASQSMDAESPISGQISEYEDAETDNCRASSRYHPFTEMQQPVDGIMMSNFLGASAPSASVNNLGAGYLGEMQPTPANFTNHFVTHDDISSAFNETGAGLRGGPKTPIDSMRFGEPVPEYPGGFTEPTLYSSVATVGNNLDDSLQTFMSEALYTNNLTQNEVDALNAAGITSSQAENDGYTDQSARYPLLKQSSLDLFKIEPDGLKKFDSFSRWMSNELAEVADLDIKSSSDAFWSTTETVNVADGSSIPINEQLDAFVVSPSLSQDQLFSIIDVSPSWAYNGTKTKVLITGTFLAKKEDVENSRWSCMFGDVEVAAEVLVDGSLRCYTPVHHSGRVPFYVTCSNRVACSEVREFEFRDSETHYMETSDPHTTGINEMHLHIRLDKLLSLGPEDYEKYVLSNGNKSELVDTINSLMLDDKLSNMALSSGEKELSTVRDQNVEKQVKEKLYYWLIHKIHDDGKGPNVLGKEGQGVIHLVAALGYDWAIKPIVAAGVKVNFRDIRGWTALHWAASCGRERTVGALIANGADPGALTDPTQQFPSATPADLASENGHKGIAGFLAESALTNHLSALTLKESQGGNVEEIGGLTAAEEFAEPSSAQLACVDSQEESLKDSLGAVRKSTQAAARIFQAFRVESFHRKKVIEYGDDDCGLSDERTLSLISLKNAKPGHSDMPMHSAAVRIQNKFRGWKGRKEFMIIRQKIVKIQAHVRGHNVRKNYRKVVWSVGIVEKVILRWRRKRRGLRGFQAEKQLEGPSSQIQPAKSEAEDEYDYLKDGRKQAEGRLQRALARVHSMTQYPEARDQYRRLQTCVNELQESQAMQDGMLSDAAGTDGGDLMAELEELCRGDGDAPMSTIS >KQL26958 pep chromosome:Setaria_italica_v2.0:II:47118854:47120647:-1 gene:SETIT_032693mg transcript:KQL26958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASARRRANKAQCGGGGDQQIALWGACVLLSALSLLVAAASSGFGAARLTAREVGALVRATTTGAAAVATRAVNDGGGSRYCSDDDDLVRSMSVDGEWVRDAVDDRRRGALYEPGQCPFVDVGFRCRENGRPDGEYAKWRWQPRRCTLPSFDATRLLEILRNRRLVFVGDSIGRNQWESMLCMLASAVAAGEGSNSIYEENGSPITKHKGFLSFRFRDHNCTVEHYRSPYLVRRGRPPRRSPKRVGTTLQLGAMDSRAARWKDADILVFNTGHWWNQERLQRLGCYFQDGKKLQLNMSVEDAYQRAMNTLQKWIQKEVNAVKTLVVFRTYSPAHVRVTDGGGGCAAETLPELNTSRIALHRWPGVLNPTFDEAPEKKLHVLNVTLMTAQRRDGHPSVYNNVVPSSSRTTTTPAGQRADCSHWCLPGVPDAWNELLYALIVRRFS >KQL23688 pep chromosome:Setaria_italica_v2.0:II:16300033:16300389:-1 gene:SETIT_031915mg transcript:KQL23688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSFLLAAAALVALFAVGSCGAALTFKTGPGCSATRLVLIPSVAISEVEVKEKGANDFSELKESPAGTWTLDSTAPLKGPFSIRFAAKSGGYRVVDDAIPEGFKSGSVYKTSLQV >KQL26707 pep chromosome:Setaria_italica_v2.0:II:45878896:45881075:1 gene:SETIT_030358mg transcript:KQL26707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILCFGATSALLCGEDRNSVLGLGGCDGGDELVEVGSALDFSDAAAGAVFPVDTDEAVRELVEKETDHLPLEGYAERLEHAGLESSWRRDAMDWICKVHSYYNFGPLSLYLAVNYLDRFISSYNLPHDKPWMKQLLSVACLSLAIKMEETVVPLPVDLQVCDPECEFEARNIGRMEIHVMTTLKWRMQAVTPFAFISYFLDKFSEGKPPSFALASRCADIIVGTLKGSAFLSFRPSEIAAAAALAAVSANEVVGFGSVLSASEIPVNKEMVDKCYELMQERALVKKRGHINGSSSVPQSPIGVLDAACFSFRSEDATLGSSQSNISNDNNQVSAPASKRRRLSTSPI >KQL22895 pep chromosome:Setaria_italica_v2.0:II:6190298:6191444:-1 gene:SETIT_032092mg transcript:KQL22895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPNPHSAPDAGAGVLRLPLDAMYEILLRLPARDLCLFRAVCRRWRSLLSGPHFITAHAARHPGPLVVTGYDASRYHSDYPNRGVLCDIMDLSGHVLKRVGMTQEGQLAGEWVMYIQLDLICTGRGFKASYELLNSATGSVCALPKGLAKEHASHEQHYYLTFIAFRKVASTGEYKVLRVLDNPSDYAPLQLYEVFTLDGSSNSRVVVGGVVYFLSYVPDCVTSFDLETEDWRQALRGPLSGLMDNNSYWFDLSMATLSGCLVVVHRKCASVDLWFLVDFQRGLWVKQHSIPTSVPRAKYQVGPLLVLDDGRIVLIYLGDKGLIRTYNPRTNTFTGIAEMGCCFAVGLYTGNLLCLPSSASK >KQL24366 pep chromosome:Setaria_italica_v2.0:II:29252985:29253304:-1 gene:SETIT_033573mg transcript:KQL24366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWRYMWIRPSSEIFLCRGDLRLFTMPCTPGFGLAPTAVGASSAP >KQL23516 pep chromosome:Setaria_italica_v2.0:II:14414177:14414516:1 gene:SETIT_031928mg transcript:KQL23516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTPLMRLLLPLLLLVLPPPLREYFPASHLPKDAGVGSELDPVFLVPGLCCSDQEAWLTKAYKLSAPRCTFKNSM >KQL26158 pep chromosome:Setaria_italica_v2.0:II:42672161:42673131:-1 gene:SETIT_032503mg transcript:KQL26158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDHGDLVGAGEDRISGLPDHLLHRILLGHPDLSTFDAARTSVLSRQWRRVWADLPDLSFSYSGEPGSYARTHDRIDTALDAYSAPAAKCLRISVPLSWTCRVPVNRVASWLRFASRRLAGELRLSLRFGKRKNEEEELVIPLCERATAIRFMDLGCTLRFRLPPVVGAFAALATLGIRDASLDGRELDDILSSRCPRLKELVLEGIILPDGDAAVLSIRSNSLEYLKSPTAAAPKLKDVYCYNNDYGYDPSRHRFVEAGKHLRRLAIKASDAALMRQFNAVDELVLDVYLPK >KQL23149 pep chromosome:Setaria_italica_v2.0:II:8729078:8729987:1 gene:SETIT_030852mg transcript:KQL23149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARSLAPLLLLSVLVSGAAAARTVGDSVQDACSKSQFPKVCADGLSAKPEAQKATPRGLAELFVGFAAEKGSWMATFLHGKFNSGKDSTMLDCYDSCGEDIEEAMAHLNGLIREPTDAKLLELKSWLSSTVGSGTSACEEACKDAPKTSDKDDVVNKSFEFEKLLRVTLDLITEASGSMSADIALPPSDAAAPSSGASGPSADSPAAGADAPSGASSSAPSPSGSSAADAPSGDSDAPAPSSDASADDDNDDDDDDTKA >KQL23142 pep chromosome:Setaria_italica_v2.0:II:8698551:8701503:1 gene:SETIT_032032mg transcript:KQL23142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSATIFRVLLVFATFVAQTQWSSVSGMYCNDLTPSVERPHSASITDFGAVGDGVTLNTKAFQNALFYLNSFANKGGAQLFVPAGRWLTGSFSLISHLTLSLDKEAVILGSPDSSDWPVIDALPSYGRGRELPGKRHQSLIFGSNLTDVIITGANGTIDGQGAIWWDWFHNHTLNYTRPPLVELMYSTRVVISNLTFTNSPFWNIHPVYCSQVLVQHLTILAPISSPNTDGIDPDSSTNVCIEDCYIRNGDDIVVIKSGWDEYGISFAHPSSNISIRNITGQTRNSAGIALGSEMSGGISDVRAEGIRIVNSVHGIRIKTAPGRGGYVKNVYVADVSLENVSIAIRITGNYGEHPDENYNKNALPTISNITIKNIVGVNISVAGMLQGIQGDPFSNICLSNVSLSVRSTNPWNCSLVEGYSNSVLPEICEQLRTSPGPGQVCYDGNSYPAAAAQPQSPQKSSASRLLNPFLYISWFLCR >KQL25479 pep chromosome:Setaria_italica_v2.0:II:38459211:38460322:1 gene:SETIT_031653mg transcript:KQL25479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSHGRNWMCTSAGSVTTMWSSSVLHSANVWPVHDLIVSCFRGPVMPTRLFRSVRCWSTISDEHGCVLDCFLNVLIVVFSLTVSFPRAKNV >KQL26713 pep chromosome:Setaria_italica_v2.0:II:45903274:45904743:-1 gene:SETIT_032020mg transcript:KQL26713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HLPRHPSAARTSVLSRRWRDLWKHLPELSFRGIAHDALEVALAQFSIVAWVRASDELVAVEVPSFARATSIRLRLYDLHLTLPAQGGEFPVLEKLSITAGRFDTSAIISRCRCLRVLEVGCWDIDTITVHSATIEQLLVTGEAQLRGVDIVAPLLKKITLGTSFSISRYGPLDVLEGIYGIGMWYLNRLKLGTEENFFVLGLDLDRPYSVTHMRNLQEMFQLPNISVLELRVETRGHVYGAMAVNLLRICNAIQRLKLYSSILQYFRRTDEACPPDCPCNQPQNWRSQNISLMNLENVEIEDFKGSCHEVDFLKLLFRCAPLTKVTVKLASKVVTSSRGCKEAYNIFKANPTVECYVFRKRG >KQL27052 pep chromosome:Setaria_italica_v2.0:II:47601506:47602973:1 gene:SETIT_032392mg transcript:KQL27052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASLRRPRALLLCHHLQYPIRRRATGPPPLALGAASRGHASDASDGDHRKDAKAKPASPGRGRREGRAANRLPASEQKHLYLVLRETKNGFAFHKVGMDDDPNGEAAESGAPGRLPEPPVLRVEYLDIYNFAALGRNIIGIGAATRRSVYDDTRDEADTLTFDTRTAELAIMPDVPEGVGEHRIEAAVPVGNMLYVIENGSPVEWSEYKDDFCRGGLHCLKLDEQAEQQDAAGDDKASLKLDEQQDAGGGDKASSSSKPSEERWSWWDDPFHSGRWTRHGDWELPFVGQAHYDAGLRAWVGLHGDGKHKLDGYLCACDVPRLGLRGPVPGWKLGKEKLFLKGPERHVDAKLVDMGGGGRFCLVEILLLEAAVEIGDWLDGDGDKCMLRLTTFRVKYGDDGELTTTARQPTRSYTLPKFWRRFGWQAFWM >KQL22969 pep chromosome:Setaria_italica_v2.0:II:6942929:6947158:-1 gene:SETIT_029868mg transcript:KQL22969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLRIALQVSRQQNMQLAQTNSQMLAELNMGKDRIKTLQHELSCTTALLKVKDSELERKNKTANQRRKEVNSQEVLKAISSKGAAVEAHQIHGSITSGVEHQLLKSQSAVPSRTDCQKPPQDATIKRGKNKRKSGSNECIKDTNITQEHYEPHLQPILSLDHEDRRKTQRRRSSRLNQVPCEIAEVSHKTLHEDIIVPSPSSTLSVQKHHGPTAGKDTGKSLQNECSAVVHEELMSSVVEEIEINEQPQKGVNLKVQEACSSVTRVEAHQIDVKACDTKHSHLASQSSVPFNITEPPKPPEDTCIKRSSNKQKLALCETGEAVEDVNSKCGVTISEQLRQEKKRKSQRRGTARLNSVSAENTDSAFETLQEDVIAPLASSSSIASMEQKTTQKQNDACSSMKSTEGQVVAGRRSLRRAAEKVVSYKEIPLNVKMRRP >KQL22176 pep chromosome:Setaria_italica_v2.0:II:388189:389670:1 gene:SETIT_033375mg transcript:KQL22176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLSAVLGELASRFINFFISRSSKPKVLEVEDRLKRALLRAQVIIDEATGRHITNQAMLQQLDMLRNAMHQGCYMLDTFRYQYHGVEDAKDQVVSHSLSLSKVSSLKGIGSSNRKTLLLEQLQEALENLSSMVLDVKELVVILLSYPRLNCQPYSMHLQLSNCMFGRQMESELVINFLLHTQRHGSEELDVLPIVGPGKVGKSTLVAHVCKNERVRDHFSEILFLRDHDFTDDNLSIFRGCATRQQNRTSNSNKDRGWLVVVDLVGDLNEDAWNVLYSSCKQRIPSTSKIIIASRSAKITKFATTHALNLKHLSGEAFWYFFKTVTFGSIDPRMHPRFVHVAMEIANMLDRGIIAANMVARLLRDNFDIHFWCKVLAFWRGLVEKHVSKFGVHPSHLINQNKPVHLGRLATPFEDLIFYCEHQISSQEDFPKIRLEDVMYGSVTALGKLELLAWISPIPPYYRYYVTCEVRELKRRPAKRKRSIENGKTLC >KQL24689 pep chromosome:Setaria_italica_v2.0:II:32238169:32238685:-1 gene:SETIT_033515mg transcript:KQL24689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARTRARGSGFSHGFPRPARDKPSPKSPYRPPPRRGPTNVAASDRARNSQVAGDRAVKAACFRPRQSAPLRPVRLHVEET >KQL25270 pep chromosome:Setaria_italica_v2.0:II:37007373:37007918:1 gene:SETIT_033209mg transcript:KQL25270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMCKAPELLKKAVTVFKSKTDALRTKLLVLARLRRKMAMVRAISDRIHALVSSDREKQARLEYGGKTLVLHEVVTGIQGPADHELDGVIDLSEVAMFEEDEHDYPDWTHSLFNDDDNYINDDEGDEGHDGVLDVLDEPSVIDVIRSNREVEGLEFNMDDEIDQACDMFIRRFRTRMNRSF >KQL25423 pep chromosome:Setaria_italica_v2.0:II:37999839:38002045:-1 gene:SETIT_029773mg transcript:KQL25423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLMTGLTHRKLAAAADRLRARRLMAFSLGETRMVVAAHPEVAREILNSPAFADRPVKESAYGLLFHRAIGFAPHGTYWRALRRVASTHLFSPWQVAASGAQRAVIARQMVGAIKGLSDAGRGGDVEVRRVLRRGSLHNVMWSVFGQRYDLELDPAKESPETRELRALVDEGYDLLGQLNWSDHLPWLARFDLQGTRARCDRLVPRVNRFVGGIIDEHRARNDLSSAPPAVVDFTDVLLSLQGDDRLADSDMIAVLWEMVFRGTDTVAVLIEWVLARLVMHPDVQARVHDELDCVVGRDRAVTESDSASLVYLHAVIKEVLRLHPPGPLLSWARLATSDVHVDGHLIPAGTTAMVNMWAITHDPDVWAKPTEFQPERFVGSNEFSIMGSDLRLAPFGAGRRSCPGKSLAMATVAFWLAALLHEFELLPSLDPARGVDLSEVLKLSCEMAAPLAVTARPRQAV >KQL23202 pep chromosome:Setaria_italica_v2.0:II:9419864:9420420:-1 gene:SETIT_032894mg transcript:KQL23202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQQPQIGVPFLSYPKVANQPDEPQNFISIVSPTNWGFGIRMDGQQLINLSPFLTSHDQHRLQVNQVLQDHFNSNNLYKIKIILNLVESMERNELMQKSEQIASFNFGTTQHPGEVLETALQDRDEWMYIATGTYDINQLHITLLP >KQL26423 pep chromosome:Setaria_italica_v2.0:II:44257803:44258284:1 gene:SETIT_0289062mg transcript:KQL26423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPRAPKPRFRSEPGQAKQKGRGSRSRKPAAGGGDHVRHASRRGDGGHPTVDGRPACRIGLGSSAWRPGLAWHGAVVERAYSLQRPGRPRPTRPGALGLSSRLIPMPARPLSDPHSHHPRGPSRLASSFPNRKASRKTNSTLSISLPRY >KQL22274 pep chromosome:Setaria_italica_v2.0:II:1187935:1189056:1 gene:SETIT_033263mg transcript:KQL22274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLSAAGLPTAPQMDPAAALADMDELVEEVLLRSPPDDPAHLVRAALVCKRWCRILADAGFRRRFRKLHRTPPMLGILHRHTHASYVTTFTATSSFRPRNGDLLRDWCALDSRHGRVLLAGLPLRDDPSENNLSVWDPVTGEQLELPEQPQHPNRVPFGWNATVLCPDGACDHLDCGRGPFLVVVVGTDFDGGFVYAYSSEAGAWRDPTYAAHYGGDPLNFFFFDPCAYVENALYFMFHRDMKPGILEYNLGTHEISQDLLPHYLDRGVLTTTENGGLGFAAVDWSRLYLWSREAGRDGYPIWAQSRTIELNIMFPADCPLLSSCMIGSVHGLHVFFVSTVDGIFSIDLKSGRMRKVCKERDIENFVPYMSFCTP >KQL24492 pep chromosome:Setaria_italica_v2.0:II:30673357:30674673:1 gene:SETIT_032887mg transcript:KQL24492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDAIPACFRGAAAPGGRASASSGSGSASGGAGTSLATSVYETRLGLAALSWSRAALGLSLRAVLRVAAGPSSSSDYGCDDDGGGVEYGAAEDYDEEEEEATVAVRVRPWLLWRRRGSKRFRVRDRRVDLAWDLSRARFPPSGSPEPSSGYFVAVVVDGQMAVVAGDMAEEAYRKTKARRPPGPGPVLVSRREHVSMRDAGGGGGRGHRTCVIVRGKEREISVDLVARGQGQGQGQAKEQRDRDRAEVGMSVSVDGERVLHVRRLRWKFRGSEKVDLGGGDRVLVSWDLHNWLFPARDTSPPDASAAAAALAAAATPPAHAVFVFRFELAGGGNGEERESADAKEKELLDKAGRGGWAGYVGRWGRGDWSESSSNGEKRRKRGQARRLAKASSSSSASVASSSASWAIMDWGSPEDAELQSGDGFSLLVYAWKS >KQL23856 pep chromosome:Setaria_italica_v2.0:II:21298220:21301039:-1 gene:SETIT_031298mg transcript:KQL23856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAGRGRGCGLLKVVVARGRSLAVRDFTSSDPYVIVRAADTTAKTKVINSCLNPVWNEEMIFSMKEPVGVIKFEVFDWDRFKYDDKMGHAFLDLQPVAVATKLRRALRLTAGETKLRKVAPDADNCLLSDSFVTYANGEVALDAWLRLRDVESGELFITVKWIEAEDTK >KQL23855 pep chromosome:Setaria_italica_v2.0:II:21297891:21301344:-1 gene:SETIT_031298mg transcript:KQL23855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAGRGRGCGLLKVVVARGRSLAVRDFTSSDPYVIVRAADTEVFDWDRFKYDDKMGHAFLDLQPVAVATKLRRALRLTAGETKLRKVAPDADNCLLSDSFVTYANGEVALDAWLRLRDVESGELFITVKWIEAEDTK >KQL26930 pep chromosome:Setaria_italica_v2.0:II:46960277:46965091:-1 gene:SETIT_028853mg transcript:KQL26930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAAGPVAVASASAAAKAAATPAVGISPELYPSEDDLPYEEEILREPFKLKGWWRYLVARAAAPFSKRAVIYERALKALPGSYKLWHAYLRERLDHARPHPIDHPAYSSLNNTFERALATMHKMPRIWVLYLTSLLDQRLLTRSRRAFDRALRALPVTQHDRIWPLYLRLASLPACPVETSLRVFRRYLQFDPSHAEDFINFLITANRWQEAADRLASVLNDDGFRSVKGKTRHQLWLELCEILTKHADEVAGLKVDAILRGGIRKFTDEVGKLWTSLADYYVRRGLFEKARDVFEEGVSSVVTVKEFSVVFEAYTQFEQSMLAAKLEAAEEEGAEDEDEGGGRKNGMDKLSKKFLEEFWLNDEDDTDLRMARFERLLDRRPELLSSVLLRQNPHNVEEWHRRVKLFEKDPARQVATYVEAVKTVDPMKAVGKPHTLWVAFAKMYEKHSRLDSAEDIFKRATQVNYKAVDHLASIWCEWAEMELRHSNFDKAIELMRQATAEPSVEVKRRAAAEGNEPVQMKVHKSLKLWSFYVDLEESLGTLDSTRAVYERILDLRIATPQIILNYAYLLEEHRYFEDAFKVYERGVKIFKYPHVKAIWVTYLTKFVQRYKRSKLERARELFHEAVQQAPPDEKKPLYLQWAKLEEDYGLAKRAMNVYDEAVRAVPNSEKMAMYEIYIARAAELFGVPRTRQIYEQAIESGLPDRDVLTMCMKFAELERSLGEIDRSRAIYVHASNYADPNNSDFWKKWNDFEIQHGNEDTFREMLRIKRTVAASRSQTHFILPEYLMQRDQRLNLDEAVDTLKRAGVPEDEMAALERQLAPGPSTAPSAAQSTAPASANRMMSFVSAGVEAQAESSRQQAGNNEDIELPDESDDEEPDVQIAEKSVPAAVFGELGKRAAESQEESSGAQENEQLGALERIKRRRQ >KQL22134 pep chromosome:Setaria_italica_v2.0:II:113719:114452:-1 gene:SETIT_032756mg transcript:KQL22134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLPGFLSVRVLRGVNLASRDAKGSDPYVVLNLDGQKLKTSVMKKTVNPVWNEDLTLAVMDASAPIKLEVFDKDTFSKDDRMGDAEFDIEALMQIIKMDLEDIRSGTVVRTVRPGKHCCLADESHIIWQDGQVVQDILLKLRNVDTGVVHLQLKWVHIP >KQL26598 pep chromosome:Setaria_italica_v2.0:II:45321845:45322369:-1 gene:SETIT_0309151mg transcript:KQL26598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYLLHAPAAAAAVVAAAPSAFALRSLPPARTPFLPSLPRPASPRRAAAFAFSPAAAAAPIAASLLEGPVLVWAGRLCLYYALLHAGLAGSPRNPFLSHEIGEDGAGDSDLGFSKWAEKLRGGAS >KQL22741 pep chromosome:Setaria_italica_v2.0:II:5045355:5047156:-1 gene:SETIT_030677mg transcript:KQL22741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLAPEGSQFDAKQYDNKSKELLQQGDTEEFLSLYDKVCESFDHMGLQENLLRGIYAYGFEKPLAIQKRGIVPFIKGLDVIQQAQSGTGKTATFCCGVLQQLDYGFVECQALVLAPTRELAQQIEEVMRAIGDYLGVKVHACVGGTSVLEDRRVHASGAHVVVGTPGCVFDMLRRQSLCPDHIKMFVLNEADEMLSRGFKDQIYAIFQLLPSKIQVGLSSATMPLEALEIAHKFMNKPINILIKRDELTLEGIKQFYVNVEKEDCKLDTLCDLYETLTITQCIVALVYFPPNFQL >KQL27270 pep chromosome:Setaria_italica_v2.0:II:48935611:48935899:1 gene:SETIT_031833mg transcript:KQL27270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCRLYTKPPDRPLLILGNAERASPEKLVTKAQISIILSTINYKNAATLAATQ >KQL23762 pep chromosome:Setaria_italica_v2.0:II:17420583:17421136:-1 gene:SETIT_033736mg transcript:KQL23762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDAKRVAPGNFERHWGLLHLRRPAQVPPRPPRWWPPGDARPSKGRRVPAPPARGGKYRRAHGRVPVDDRLVGNVGYACGSATCMPLGYRCRGMPSRR >KQL24773 pep chromosome:Setaria_italica_v2.0:II:33027706:33030111:1 gene:SETIT_030311mg transcript:KQL24773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRQQQPLLPSFLYAPRPGASGRREAPPAAVVAGAPSEPFGKIEMFSPAYYAAGAVGGAAACGFTHAAVTPLDVIKCNIQIDPAKYKSTSSAFGVVMREQGLRGFFRGWAPTFLGYSAQGAFKYGLYEIFKKEYTDLAGPEYADKYKTLIYLAGSATAEVFADIALCPMEAVKVRVQTQPGYARGLRDGFPKIVRSEGYAGLFRGLVPLWGRQIPYTMMKFATYENIVEMTYKHLISTPKDQCSKPLQLGVSFGSGYIAGVFCAAVSHPADNLVSFLNNSRGATVGDAVKNLGLMGLFTRGLPLRILMVGTLTGAQWVIYDSFKVMIGLPTTGGAPAPTTVPLEGLAELKASA >KQL24800 pep chromosome:Setaria_italica_v2.0:II:33324434:33326181:-1 gene:SETIT_029404mg transcript:KQL24800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRVPPQPAARSAAWPVGLLVALCFTTLPLFLALSPGRPTLFDVWQQMGIKVTVHYDDETKPSEPSDSPPESPRRDILLGGLLSPDIDEATCRSRYLSSLYRSPSPHSPSPYLVSRLRKYEALHRRCGPGTPLYDKSVRQLASAHHSMGLAECSYLVWAPAGDHLGDRVLSMASTFLYALLTRRVFLVDMGRDMVGLFCEPFPGASWELPPAGFPARNLTRQLRRGSERSYGNLIAAKKVSDQDPSGVRSESLPSYAYLHLAHDYQLPDQLFFCDADQTVLGKVNWLVLQSDLYFAPGLFLVPQFEDELRWMFPAADTVFHHVGRYLLHPSNKVWKVIAGYYASYMARFDERIGIQIATLAGKNPVPAEAYIEQVTACTSQEKILPEVDPDAASTDYDAAATSKVVLVGSVAPECGERLRSMYYEHATVTGESVSVLQQPGAAGKQPRNQEVLVEMFLQSYCNVSVASGWSTVGYVGHGLAGVKPWLVLSLPGNKTVARPPCVRATSMEPCFHAPPSYDCRAKKNGDLGAVLRYLRHCEDVDGGLKLFD >KQL22215 pep chromosome:Setaria_italica_v2.0:II:598412:599468:1 gene:SETIT_033021mg transcript:KQL22215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPLPELMEELIEEILLRLPPSDPASLVRAALVSAPWCRIVSGAAFRRRFRAFHGTAPLLGFLCDPMMVQHDLWDFVLVPTSSAFRPREPFAWRRPLDARHGRVLFQECSMYYRLHVWDPITDAWITLPEMLDDYPDIDCWTAAVLCAAAATGECDHLDCHDEPFTVVVVGSDQNEEEMFSYVYSSESGRWSEPTYALMTTEGGRRLGFVRLEDTRLCLWSRDDEADVGWAPNRVIELEKLLPFDRSLAWRTFLLGFAEGVGVIFLCVGDGVFTVDLKSSKVMKVYEGRISSVVPYMSFRTPGTDLGTMTIFFK >KQL24955 pep chromosome:Setaria_italica_v2.0:II:34949158:34949774:-1 gene:SETIT_031994mg transcript:KQL24955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRASWDEGTTKTLLDLCIAQKNQLNWSDKCLTKLGWRNVYSGFRAQTGLQLGSKQLQNKLNNLRRAFLGWLALQNKSSLGRDTQTGGVSADATYWEQDQQDSQTRSQSSSVKPPPFLDELFELFGHEPQDRGTLMTAGGIREATPSMGTEGNAADLEQDPPASSARAMSKRP >KQL23938 pep chromosome:Setaria_italica_v2.0:II:23199508:23200224:1 gene:SETIT_031744mg transcript:KQL23938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNTLLALFIWAMAAVIFAVAMPAAARRDGIHPQGIEGCRCCYFTRNGIWIQCGKTCCGSDDENCCLGSH >KQL23937 pep chromosome:Setaria_italica_v2.0:II:23199508:23200224:1 gene:SETIT_031744mg transcript:KQL23937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNTLLALFIWAMAAVIFAVAMPAAARRDGIHPQGCRCCYFTRNGIWIQCGKTCCGSDDENCCLGSH >KQL26668 pep chromosome:Setaria_italica_v2.0:II:45655315:45658218:1 gene:SETIT_029768mg transcript:KQL26668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPDEMMAYSSLSKIDTSQNQRAALSNVVAGNNYPPQDYLYEPSFEPDFPEYDSRDDPFTPTRASPKVNLKSVLGGLVSIVTGANKSEDDASQQQSFSTDISFLGSGNDGDVDVHPSVCVPSAPPLLEANALQYSAYREVLLADPPEWLPDSSANACLQCNLPFTALTRGRHHCRFCGGIFCKDCSKGRCLMPMKFRIRDPQRVCDACYERLDPLQGLLINYNSNSMQPAKHDVMDWTSTRSWLNMPVGVSMEYEIYKATNTMKKYCQVARLNPEKSIPSSILKGAKGLAILTVAKAGAVLTYKVGTGLVVARRSDGSWSAPSAILSVGLGWGVQIGGELTDFIIVLRDRKAVKAFSSRIHLSLGAGLSAAAGPIGRAFEADVRASEKGSGICYSYSCSKGAFVGVSLEGNIVTTRSETNLRFYGDAYLTTTDILFGRVERPRAAQPLYSALDDLFSKMVC >KQL23643 pep chromosome:Setaria_italica_v2.0:II:15631199:15631873:1 gene:SETIT_033664mg transcript:KQL23643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSKREQNMVVMLQPVVTCYPLLRLTRSFLLPDMIEAPSSSLAFIILCPLFHGLVSYLLVLATGHRLSFHP >KQL26513 pep chromosome:Setaria_italica_v2.0:II:44839660:44840339:-1 gene:SETIT_032119mg transcript:KQL26513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASRSPAFALLYASLLLLLAGAAASGDMPLMTDRFHAWRAAYNRTYATAEERQRRFEVYRRNVEYIEATNRRGELSYELGENQFTDLTREEFLAKHTMPPGQALAARDAVMRQLINTTRAGLVAERGGNGSYSDDAFGQVPYSVDWRTSGAVTPVKHQMNCGSCWAFAAVAAIESVYN >KQL26805 pep chromosome:Setaria_italica_v2.0:II:46406459:46408525:1 gene:SETIT_030823mg transcript:KQL26805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALFSTSLSPRFLALSSPKPAATVASAFLPFRLPLRAVSAPGRRVFEPVAVAVSSEYETEGAEQEEEGAEEFSEDLKLFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRMTGRSRGFGFVTMSSAEEAGAAVEQFNGYTFQGRPLRVNSGPPPPRDDSAPRAPRGGGGGGNFVDSGNKVYVGNLSWGVDNSTLENLFSEQGQVLDAKVIYDRESGRSRGFGFVTYGSAEEVNNAISNLDGIDLDGRQIRVTVAESKPRRQF >KQL25569 pep chromosome:Setaria_italica_v2.0:II:39096735:39097335:1 gene:SETIT_031462mg transcript:KQL25569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKRIAQLAKKWQRMAALGRKRLTWGAAAKEADECCTSVASKGHCAVYTSDGARFEVPLACLGTAVFAELLRMSREEFGFGSEDGRITLPCDAKVMEYAMCLLRRGASAEVEKAFLSTMAMSCHYANHVAPYVTACC >KQL24104 pep chromosome:Setaria_italica_v2.0:II:26199104:26204493:-1 gene:SETIT_028826mg transcript:KQL24104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGAKVERLSSIDAQLRMLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGDDLKEMVQECYEVAAEYETKHDLQKLDELGKMITSLDPGDSIVMAKAFSHMLNLANLAEEVQIAYRRRIKLKKGDFADENSAITESDIEETLKRLVVDLKKSPAEVFDALKSQTIDLVFTAHPTQSVRRSLLQKHSRIRNCLVQLYSKDITPDDKQELDEALQREIQAAFRTDEIRRTQPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYCSQIEDLMFELSMWRCNDELRIRADELHRSTKKDAKHYIEFWKKVPPNEPYRVILSDVRDKLYNTRERSRELLSSGHSDVPEEATLTSIEQLLEPLELCYRSLCACGDRVIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITTYLGIGSYREWPEERRQEWLLSELNGKRPLFGPDLPKTEEVADVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKTPLRVVPLFEKLADLEAAPAALARLFSIDWYRQRMNGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKDFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMRPPNAPKPEWRALLDEMAVVATEEYRSIVFKEPRFVEYFRLATPETEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLQKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGNPGIAALYDKLLVSEDLQPLGEKLRANYEETQKLLLQVAGHRDLLEGDLYLKQRLRLRDAYITTMNVCQAYTLKRIRDPDYHVALRPHLSKEIMDSSKPAAELVKLNPASEYAPGLEDTLILTMKGIAAGLQNTG >KQL24924 pep chromosome:Setaria_italica_v2.0:II:34657539:34658417:1 gene:SETIT_031423mg transcript:KQL24924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDDGTCTSTCSCTCAGACREDGGLNPKAYCFGCVLAWLQEDGGGFRVPMAATPPNLRHIFVHEGKGGELQCTAGTNAQLHTGGTIYYHGNVIGMYLHHNEHQYCNRQNPNFCYLYLYKQKFKHCLFLTKKKESANHIVNLCLRIP >KQL23171 pep chromosome:Setaria_italica_v2.0:II:8914390:8918536:1 gene:SETIT_029924mg transcript:KQL23171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAASASSLLVLLLPVLLFSFHVSLASPAKLLADARAPGFAAWLRGVRRRIHQRPELAFQEHRTSELVRSELDAIGVPYRWPVAQTGVVATIVGGGGAATPVVALRADMDALPLQELVDWEYKSQENGKMHACGHDAHTTMLLGAAKLLQDCKDDFKGTIKLVFQPAEEGYGGAYYILQEGSLDDVSAIFGLHVNPDVPLGVVSSRPGPFAATATRFLATVNGKGGHAAGPHQSIDPVVAASTAILSLQQIVAREIDPLQGTVVSITFVKGGEAYNVIPESVTFGGTLRSMTNEGLLYLKKRIEESVVHHCTATVDFMEDKMRPYLAVINDEVMYAHAKSVAQSFLGEENVKVSSPVMCSEDFGFYAQRMAGAFFNIGSHNKSTMTTVHPPHSPHFVVDEDVLPVGAAFHAAVAMEYLKKHASI >KQL27113 pep chromosome:Setaria_italica_v2.0:II:47874579:47874896:-1 gene:SETIT_033229mg transcript:KQL27113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGAGVWVFRNGVMQLEQPAAASRKALVYVPTNEVMRSVEALERRLGTLGWERYYENRTIVQLHKRDGGADLITIPRDFASLRSTHMYDVVVKNRDHFKVVDA >KQL23648 pep chromosome:Setaria_italica_v2.0:II:15708421:15710013:-1 gene:SETIT_029795mg transcript:KQL23648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRPLLGRGSFLSSSVHAATALLLLLVLLATLLRLPISISPRAAPALTDQRPQEQACNPASPLDCADPRLFHLMMRSAIDAFPAVHFARFGRPVPGDPPSASCDMAWRARSDSDSPSKATTKDYRRFAIARDPHTCAYSVLSIGEYHSGPNARKPRRAATNATIAPPPPPALSRSQFAQGSYLAYLGGGDRCKPMPHYLRSLLCALAEARYLNRTLVLDLTLCLAASYAAAGTGGMPEEGKRLAFYVDVDHLHSQVPIIEQSQFWADWDRWGVQGQLRARLVEDTRLVPVKLSKVRDTLIIRKFGDVEPGNYWYNVCDGEAKGVLHPMRWATRWAPSLMHIVDDIVSRMQPDFDSVHIDANGEDLRRRVEEGLDAGRQVYVAGEGVTSVLVETLKAKHAVHHLDEFEDLWGTDSKWFLEMRRLNGGVPVEFDGYMREVVDREVFLRGKKKAEVLR >KQL23909 pep chromosome:Setaria_italica_v2.0:II:22757875:22758563:1 gene:SETIT_031554mg transcript:KQL23909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARVALALCGVLLFVHGVARRAEATSYNVGNSAGWDLSADLPSWANGKTFSVGDVLVFQYSRYHTLAEVDEAGFKNCSAASAFLSRSDGNTTVPLTAPGDRYFICGTSCTASAG >KQL24797 pep chromosome:Setaria_italica_v2.0:II:33304062:33305761:1 gene:SETIT_029876mg transcript:KQL24797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHSAAMCSLLMLVLLGLGSQLAQSQVLFQGFNWESWKKQGGWYNFLRGRVDDIAATGATHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHAELKSLIAAFHAKGIQCVADIVINHRCADYKDSRGIYCVFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNPRVQQELSDWLNWLKTDLGFDGWRLDFAKGYSAAVAKVYVDNTAPTFVVAEIWSSLQYDGNGEPSSIQDKDRQELVNWAQAVGGPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHVFDWNLKQEISTLSTVRSRNGIHAGSKLDILAADGDLYVAKIDDKVIVKIGSRYDVGNLIPSDFHPIAHGNNYCVWEKTGLRVPTGRHH >KQL26795 pep chromosome:Setaria_italica_v2.0:II:46375581:46380162:1 gene:SETIT_029676mg transcript:KQL26795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSVMLVVEAVYNSVVSLAVKAIGWRPEWRFKWEPLDGDDEKGSAHYPLVLVQIPMYNELEVYRLSIAAACELQWPKDRIIVQVLDDSTDPFIKNLVELECENWANKGVNINYTTRTSRKGFKAGALKKGMECDYARQSEYIAIFDADFQPEPDFLLRTVPFLVNNPEVALVQARWSFVNDTTSLLTRVQKMFFDYHFKVEQEAGSATFAFFSFNGTAGVWRTVAIKEAGGWKDRTTVEDMDLAVRATLKGWKFVYVGEVRVKSELPSTYKAYCRQQFRWSSGGANLFRKMAKDVLVAKDVSLLKKTYMLYSFFLVRRVIAPTAACILYNIILPISVTIPELYLPVWGIAYIPTVLTIVTAIRHPKNLHIMPFWILFESVMTVRRMRAALTGLLELEGFNQWVVTKKVGNDLADSEVPLLQKTRKRLRDRVNLQEIGFSVFVFLCASYNLAFHGTTSYYIYLYLQGLAFLLLGFNFTGTCSC >KQL22169 pep chromosome:Setaria_italica_v2.0:II:351398:352411:1 gene:SETIT_032959mg transcript:KQL22169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRRLRAAARRRRSSEIVRGCVKTVACGRGNLFSKVAYLLGLRVSPGHRRRGIGRRLVERMEEWFRQAGAEYAYVATDRDNEPSVRLFTGRCGYAKFRAPSVLVHPVFRHDLAPSPPRSRRRVAVLELPPRDAELLYRARFAGVEFFPRDIDAVLSNPLSLGTFLAVPGGTAWRGVEAFLATPPESWAVVSVWNCKDAFRLEVRGAPRLWRAAARATRAADRALSPWLLRVPSVPNLFEPFGMHFLYGLGGAGADAPRMGRALCRHAHNVARAAGARVVATEVGARDPLRAGVPHWPRLGAEDLWCIKRLADGYGDGALGDWTKAPPGDSIFVDPREF >KQL23640 pep chromosome:Setaria_italica_v2.0:II:15604669:15608871:1 gene:SETIT_029266mg transcript:KQL23640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGAVLSGRSFASPLSSVKKHEMKYFTSTQNKCVCSSVPGQRFHRHITGTNLFPAQSHSRSTATARHQVSRRFQTPVLESSGDHLKISSASIKRRVLSRVECFLTSDPASGGSLKPRRRQNSTATTSESACVHLEYRVPIRRRADCKAEQYGITGSPLSPSDGPADTILVGDTSNISPWWQQFPKRWTIVLLCFFAFLLCNMDRVNLSIAILPMASEFSWNPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSIATVLTPLAAKIGLPCLLIARAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSVWFALWQTKAHSSPDDDPELSKAEKRHILGGNALKEPVTSIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGISITNVRKIMQSVGFLGPALFLTLLSKVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILEKGSWDSVFKVSVVLYIVGTVVWNVFSTGEKVLE >KQL23639 pep chromosome:Setaria_italica_v2.0:II:15604669:15608871:1 gene:SETIT_029266mg transcript:KQL23639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGAVLSGRSFASPLSSVKKHEMKYFTSTQNKCVCSSVPGQRFHRHITGTNLFPAQSHSRSTATARHQVSRRFQTPVLESSGDHLKISSASIKRRVLSRVECFLTSDPASGGSLKPRRRQNSTATTSESACVHLEYRVPIRRRADCKAEQYGITGSPLSPSDGPADTILVGDTSNISPWWQQFPKRWTIVLLCFFAFLLCNMDRVNLSIAILPMASEFSWNPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSIATVLTPLAAKIGLPCLLIARAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPLLISRFGWPSVFYAFGSLGSVWFALWQTKAHSSPDDDPELSKAEKRHILGGNALKEPVTSIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGISITNVRKIMQSVGFLGPALFLTLLSKVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILEKGKENTNSKIISGDFSLKVVHTCYSKQDRSELGSGDQVGC >KQL24870 pep chromosome:Setaria_italica_v2.0:II:34149808:34150869:1 gene:SETIT_033109mg transcript:KQL24870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANCTTSNKRTVRASLHLAAAPSSSVVQLYTDDEVCRGPSVVAVDGDLLLIRMVVPFKGRRTMKYHHNFFVFKASPEWPWLNPSRGEDTGIIKRGKEFVAARHAHDPDKGLVEFHWRTDAVFSFDGFICWVDYHRGILLCDVCNSDPELRFLRFPGIETWRCGDRALPTRYRTVSICRGRMKFVDVDNGRFRSTKRDENGSTESNDIRSTERDDNEYTESNGDECSINTWTLKMPQYEWEVDSVLQLGELWSHRKYRDSPLPRTVPTFPIVNMMEPNILHFIVKGPGFYDKAWMVTIDTKKKSLGP >KQL26179 pep chromosome:Setaria_italica_v2.0:II:42803460:42805917:1 gene:SETIT_032689mg transcript:KQL26179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRRRRHGPASAMDCISGLPDELLHDILLRLNSTRAAGRTSVLSRRWRNVWATLPQLVFDGDGYGTGSDSAAPPAASFLDSVDGALRAYSAPTIDVLDISQPSGCPAFPARRVGSWLRFASRRMARTLSLDVPWSSSTPGNPRKAEVLVLPTIDGAQYIWLSLGKRWLRIRPAGVFVALTDLTIGGATMEGRVLEALVCSQCPRLRDLRLLQVTLAPESDISLRSDSLETLSFWVVFPNRHRLEIVAPKMEQLCVCQLYSSGARISAPKLAELVWEGYPDFFGSDIQFVDASRHLRVLEVSEISGSLMQRFDKVDELRLEDVNISRSPSCPLPCPCRLPESCKADDITLDSLEVVEIYLNEGSPGVVEFVKQLSQCNAAILKKVVIGFYNKLVYDRFCAQIRGVCRPNIKVEFVVLDSDG >KQL22777 pep chromosome:Setaria_italica_v2.0:II:5204756:5206317:-1 gene:SETIT_030060mg transcript:KQL22777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEECLDAKSGAAAAAADGLPDDAIVEILSRVPARSISRFKCVSKPWRDLIADPLHRKRLPQTLEGFFCSDGAGAAGGFRWRFISLPGRSAPLAEPSSSFLTKLPGIRNIRLLGSCNGLLLFEDGGNPATAPAYVVCNPATEEWVAVPSAGCACPDPLEERTDLIFDPAVSPHFHLVHICQEDFMGEIEVRAYSSETRVWSDRASQQSSWQDEGGLEQWVNGGAILKSMFGGAFVNNMLHLVIFLLEEYRIAAVDRGGKTCRIIPWPDKCSFPLVVGQSQGRLHCVGRLEERERNCLKWAGLSIWVLDDYDTEEWVLKHRVSFLELFGQMSCHYGYNINVLAVHPDRNLIFIVQKSNQKLISYDMDSKELHAFHSLGHNYGSLTPYVPNFMELVVTNCNNPK >KQL23738 pep chromosome:Setaria_italica_v2.0:II:17171575:17175063:1 gene:SETIT_032536mg transcript:KQL23738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDIFLRPLTHTLTSTTTTSTTPTPTTPCTTTTSSTTTTTTTTTTTSASAPQPEATNSGGETKRRRGDRKGNLYPDKDKFYDIRVKTLEEALSLSARNTELAKKNVHHHYLGPGGYYGKEDQFRKMEEEAASTRTYNLQGAVLKILKYVDDPQKGLFKPSRERDELTLALENPEHIGRVRGLGKRVIWKEAWEEDIAAPAQLALVASPPDIPSSQGSIAATTPVDRIQEPTCCTLVILMGRQSNMIEVEMGEAHPPSGLYHTRPIPPDYTRVEVQTVKPEYSQWRIDYPTLEGLSLLGEVVNQFVLWHKWDIILTTRTPSMQTPLLLEGVDEEREIQTPPHDHHMPEMPRSLPPTSEHLPEMPWSSPPPLPTPLPQEVPVEEVHAQKGACKEAEIQQKHPIKLRPYVLMIDVSGVAKWYGHDMFKSENQAARSEKAVTSKRQPVKYKVIKYVNIECIKWSKDCPKNYERGNSFLPNRAIQYLPLGMRRYPNLTFGGPTGNIAFDFDDVQTIFHLGCLETNLIRVWCLMQVHLSNQIPNQRSGYIDPQLLANTLFDYPSKWELNCKELAGGETIAAKEEIRIHVILFDAKELISVDLKLGMAWVMDPLDKDEATYKDFISILKTYYVNKLKGVHHPDRKGELYVKTNWPISKSGSVHCGYYVCTYMSVTGAYRRHPCKWPEDKGIRKTPLSDDNLLEFVGDLCNFIMDTIIHIRGPYHDPTSSLGFQPEC >KQL25607 pep chromosome:Setaria_italica_v2.0:II:39340421:39343665:-1 gene:SETIT_032100mg transcript:KQL25607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSRSTSTCITAAVLLLLPRLCSPAGDKLTRGESLLPGETIVSNGGAFVLGFFAPSDAAPGRQYLGIWYNNITVTASTVVWVANRDAPVIIGEGSGGNSSAAPSLALANDTSSLVLADASGRVVWTANITAAMSSGSATGSTAAVLQNDGNLVILSPNAAKPLWQSFDHPTDTFIPGMKVGLRRRTRDDGVDGWRIVSWKGPGDPSPGSFSYGMDPNTSLQLLLWNGTRIYWRGTPWTGYPTVSRYHPATGTVIYVAVVDGEEEIYTTFSVNEGAPPTRYVVTGAGKFQLLSWNRNASAWTTLESLPGRGCSPYGSCGAYGYCHHTQTAATCRCLDGFEPASRAEWSRGVFSRGCRRSQALAPCGGGGEGDAFLAMPSMKVPDKFVLLGNMTSGDECAAACRRDCACEAYAYASLRSSSAKGDIARCLVWTGELVDTQMIGAFWGVTAETLNLRVPAGFTDSERKSLLDWPTRFGIIKGVARGLLYLHQDSRLTVIHRDLKASNVLLDAEMRPKIADFGMAKIFGENQQKANTKRVVGTFGYIAPEYQTEGVFSVKSDVYSFGILVLEIAWKLWKEGNASDLVDASIVESCALDELLLCIHVGLLCVQDDPNGRPLMSSVVSILENGSVSLPAPDQPAYFAERNSKLQGKGGDVETSKNSMTMTVLQGR >KQL23321 pep chromosome:Setaria_italica_v2.0:II:10800807:10802895:1 gene:SETIT_029943mg transcript:KQL23321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRQNPGADGAAGTPLYLGIDFGTSGARYALIDRQGAIHSEGKRAYAPVGDAAAWASSWRAALFQLLDDIPPAHRPFISSISIDGTSATTLIVDSETGELLAGPFLYNESFPDALPAVESIAPANHTVCSASSTLCKLVSWWNTTGGAGGAGSAAVLMHQSDWLLWLLHGRYGVSDYNNALKVGYDPEADAYPSWLMSQPYAPMLPSVRAPGAPIAVVKDDVCSQYGLSKECVVCTGTTDSIAAFLAAGTTEPGRAVTSLGSTMAIKLVSEARVDDARFGVYSHRLDDTWLVGGASNTGGAVLRQLFTDGELVALSRRIDPAVASPLEYYPLPRKGERFPVSDPDMAPRLTTRTIRNPIFTDVLHDGSHHLQILTKNMLRFHRWPFHFFASEGCNHGPRATRSTCTASWSRSRESRPKGTTC >KQL22639 pep chromosome:Setaria_italica_v2.0:II:4124965:4126478:-1 gene:SETIT_030242mg transcript:KQL22639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGSLRQGVSVRVGGGDREEAVPDWASLLVDLTQLIAARVLATGEFVDYIRFRAVCSRWRAAAASPRGRSLLDPRFHPRRWMLFPEGFGRFPGHRADGGHARFFDFSTHGAGAFVRVPLLELKNHRVLDSPDGLLLLQRDGDTAARLLHPFTHDVADFPDIDCLPHQLHELEFELTVDPVYNFTSWLQNTARYLYQARKLCAAVNVTATGAVTVMLALHSIGRVAFALSGDNGWTISSWKMNQLDRALSYKVNWEDGLTHVLLIDPPSPVVQCEGEESSVPELHPPKTIATCSSDEIHQPSLVELDSEIMLVGYNDSSFSRIVVLKLADLVLGRTVPVTSIGDHCPLCWCPKPVRLILLAAFHR >KQL23802 pep chromosome:Setaria_italica_v2.0:II:20177286:20177912:-1 gene:SETIT_032229mg transcript:KQL23802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRSVPRYPEDEDKGGCCGCLCWCCCFLFLIVVALAGTAAYFFFVYKPKAPSYSVSNMSVSQFDFSSKDLTLYVKLTAAVRAENPNDMIGIKYGEGSHTVVSYRGTPLCSGKLPAFFQGYKNVTVMDISMEGRHGFGSGLQQALEESEKLGDIPLDVFVSVPVELRLGTVDLRQVKVNVHCALVLDSISPKKKPTIKSATYQANVEF >KQL26589 pep chromosome:Setaria_italica_v2.0:II:45256176:45257631:1 gene:SETIT_030321mg transcript:KQL26589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVETAARKLLHGGAIAQGVADVGGISFGLWDLVTGFFSNILSYLFAALVGTAHLLVLPLELLWRWLVTVVVAAAGAITSGLDGLWQHVTGFFVGIFAALAGAPHLLVLPLEKLWQWLVTSVADAAGTISAGLDGMWQHVTGFFAGIFDALASSPHLLVLPLEKLWQWLVIAAADAAGAISAGLDGMWQHVTGFFAGILVALAGAAHQFVLPLETLWQWLATTTADAAGAISSGSAGRPCTPSYAICFLGLGLYCIAMAVGRAVACVGRAVACLLPACAQCLRFCAVATMKAPGADGMLISRAAFVANPALYFLLLHAAGPVVASAVFCTVTVARIAAAPVAALFRGPVECA >KQL25970 pep chromosome:Setaria_italica_v2.0:II:41658902:41659368:-1 gene:SETIT_031828mg transcript:KQL25970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFLLTSVWTICYDLECGCPASTQGIYHMPGLSDRFVFSIVVILCLLCNKDKKT >KQL25179 pep chromosome:Setaria_italica_v2.0:II:36467827:36468969:1 gene:SETIT_031426mg transcript:KQL25179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHLLPRTAHDHRHPRTRRAKRDARRKTPPFPPPPAGIRHLRHPMLTCWGRTPLPLPLPTRIPTTRLRWCPRHLRSATVSPASTAGRRATPQLPLQQGTTVDKDKQQILTPDQYQAGNLPQDPEGYTTKGKNWWNHTWISVSEV >KQL22254 pep chromosome:Setaria_italica_v2.0:II:1011336:1011569:-1 gene:SETIT_032344mg transcript:KQL22254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPEEIINRYVRLQTATANITRGLGTLALLWSTVVLFGGFVSILPVKEFWFLAGLTIPMACRYNLISLLNSEHVSYVI >KQL22659 pep chromosome:Setaria_italica_v2.0:II:4239882:4240474:1 gene:SETIT_031553mg transcript:KQL22659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRDGRYACRVIQLQSLLPIRNTYISHEVIGFAEGTDTIFLKADVAIFTLEIESGKTKKVGKGGSNHAILPYMSFCAPGLFAISLRTQGSIHEQPSDFGICRSRCFKRFFYCAV >KQL24997 pep chromosome:Setaria_italica_v2.0:II:35196873:35197167:1 gene:SETIT_0326471mg transcript:KQL24997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAPGLSFLDTCYNFTGHDTLRVPSVALVFAGGATVNLDVSGVLVKLGSDEPGVACLGFTSTGDDKPVGILGNTQQKTFAVVYDVVNRRIGFGAKGCA >KQL26053 pep chromosome:Setaria_italica_v2.0:II:42061809:42063135:1 gene:SETIT_033232mg transcript:KQL26053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDDVPMDYEHGKPSLYRWDLLEDPWELNKLHGWIMNAMKQGIRAITAHVPTKVFLGVLQYQIQPSGSVLCGYYMCEFIRNNARYRTNPEDMPTIDSNYSKIEDKQIDNICTDMARFILREICHEDGAFFDKDGVLIADEFTNLRRWA >KQL26734 pep chromosome:Setaria_italica_v2.0:II:46020879:46021902:-1 gene:SETIT_031592mg transcript:KQL26734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRDSLSSHECIKVSVTNYRRLQNLINWSANIFHKGHGTKSLSKPSPFNQSIKRTETSSASVAETSPTRLLLLKKGQEQQQIHLSNCTMHECECKEKLFVFHSKH >KQL25845 pep chromosome:Setaria_italica_v2.0:II:40875920:40876817:-1 gene:SETIT_032142mg transcript:KQL25845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMNVEKSFAQQHYADLSDKPFFPGLVEYIISGPVVAMVWEGKDVVLTGRRIIGATRPWEAAPGTIRGDYAVEVGRNVIHGSDSVENGKKEIALWFPEGLAEWRSNLHPWIYEA >KQL26065 pep chromosome:Setaria_italica_v2.0:II:42155094:42158379:1 gene:SETIT_033048mg transcript:KQL26065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPRRSDDGGEKRRRRRRLYILTKHYGDQGPSIYKLNEDGFDSDDAYSEHSEDSGSTSFENSEDSGSISSDDSEHSVSISSSDSESSGSTSTEDKMHYLGPQDHFDCEYDVDSRARRLRHSRLVVRLGRQAGLPEFVAAGTKILGLNRSTYHATDVSFVFDAATRLVSAAPPFQSPKKSATFWTAGGTIYALDLNSRDAGESQERCLFERLGPDPQSRYRNWRWEALPPPPFKGDRYLELKSHAVHPDGATVFLSFRNARTFSFDGERLEWARHGRWALPFDGEAYHVRELDAWVGLCSRHRGHLAACQVVGGSRRGGAAKPASKCGKDLLFRHRWKRHIDANLVYKGNAKFCLLETVTREHIDANHWDWDAADLILLRVVTFRVQYSFDGPMLLRVVTFQVAYSGDGEL >KQL23033 pep chromosome:Setaria_italica_v2.0:II:7779928:7780647:1 gene:SETIT_031490mg transcript:KQL23033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSKSMASPALPLLAMVLMLAASALAQAPAPAPPPTPTTTVAPSPSQAFCPPSFDTLQAFQKEAAQHGDQKLFAYFPLFGSSASITSKMTGILSQNPTWKLCVCFPNPFFILGLTGPEVTCAYYVGSVSV >KQL26767 pep chromosome:Setaria_italica_v2.0:II:46228227:46235906:1 gene:SETIT_028714mg transcript:KQL26767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSCSHRRSGFSPGLAVLLSGDEAKISPQKTHLVSYHDEIGHQAVERTIERILDLPHKSVVRPPGLIDAAFVRSVLRNQARKFDLDWDKCIPGYHGSVLIDDKGSGQSKVVLDDSSICGKFRSVRGPLLVESSAPFSSARANACVWKGKWMYEVTLETSGVQQLGWATLSCPFTDQKGVGDADDSYSFDGRRVTKWNNDPKPYGQPWAVGDVIGCCINLDAREITFYRNGTSLGVAFGGIRNVEPSKGYYAAISLSEGERCHLNFGSHPFRYPVDGFEPMELPPRSSTFTTYLLRCLFRLLEVQNLEKSESAYFEKLRRVKKFAPLQELFRPISEAICAEFFSAIEVSQGCLEYIAWGSLTTLLLDVFRAREPHDLSCLDQILDLFLQFPGCTSLLQELIVALSCMCKVAPLVLTECPYSGSYPFLALVCHLLRHKDVMCLWWNSEDFSFSFEGFLTRKIPNKHDLQCLVPSVWWPGSSEDEVSMTLTMTTLSDAIKKIEEMHRELCSLVICFIPPVSPTQPPGSVFRSFVQSLVLKARGGDHRMIVNGTFNNTVLVSLYTVILHLLSEGFSMDSSGSASSSKVNCGNGVGFLHKGGKRKFPTQLLFRNDAYYSVIPRIGGSPSILMHHQFDDVEDEVQWDEGCMNDEETHVTHTTVQKPCCCSVTDATIGLRYKESAKYVPSTSKGPCKPMPERPAHVAAECSGRSLSDEIEDKASTSTQSEIEYGYQTLHNLESMPMATQSSSEALKEEELLDVMLLLYHLGISPNFRQAFYFMSQQSQSIYLLEETDRQIREKSCAEQVRRLKEARNSYHEDLVDCVRHCVWYRATLFSPWKQRGMYATCMWVVELLLVLSDSKTIFQYVPEFYVESLVDCFHALRRSDPPFVSPAVFLKQGLASFVTLVVKHFDDTRIVNPDLKDLLLQSISVLVQYKEFMLVFENNREAINRMPRSLLSAFDNRSWIPVSNILFRLCKGSGFASSKNGESSSSATFQVLLRETCIHEQELFFSFLNRLFNTLSWTMTEFSMSIREMQDKHQVADLQQRKCSVIFDISCNLARILEFCTREIPCAFLAGPDMNLRRLTELVVFILNHIISAANAEFFDMTLRRPGQHQEKTNRTMILAPLVGIILNLMECSSTSEHRELNDVIAVFASMDCPTTIHFGLQYLLSYNWSNVLRGDASLAKLAQLEEFSHYFRRITMAVDGEDRILNTGDEEKDDTCCICYSCDSDATFQPCHHRSCFGCISRHLLNSQRCFFCNAVVTSVTRIADS >KQL22450 pep chromosome:Setaria_italica_v2.0:II:2712550:2714149:1 gene:SETIT_031003mg transcript:KQL22450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASREAAAAGVTCVDFWCNEFGMRARIALREKRVPFEFVEEDLRVRQRSDLVRRMNPVHRSIPILIHGGRPVCGSMNIVEYVDEVWSQKEAGAATRLLPADPLERARARFWAEFVDQKVYDAQTRFFTSRGEEKMAAMAELLGHLRRLEAVLGNKAFFGGDEFGFLDVAFVPFSAMFYGYEQHGGVDMEAECPALLRWVRRCAERESVRDVLPSGLDMYEIHREFYQIE >KQL23174 pep chromosome:Setaria_italica_v2.0:II:8929493:8930185:-1 gene:SETIT_031586mg transcript:KQL23174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILHVTLRCAGWPRATGTMEQDAMLNCICVFRCVTRTGACSRCAKMSRHQVDEEVRSKLAALEVGHQNEIQRTVSAFARLQKYAESRKEIDRRLDVPFQRRMYVPL >KQL26951 pep chromosome:Setaria_italica_v2.0:II:47094895:47096545:1 gene:SETIT_031923mg transcript:KQL26951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AASPTRSIPLGQVSLPRIRLSQIPHPPPPPATASRPSAARMTEAPFLPRERLFKQQQIFQNLTKHTYLKGRYDVVTSVAIPLALAATSMFMIGRGVYNMSHGIGKKE >KQL25062 pep chromosome:Setaria_italica_v2.0:II:35666121:35668704:-1 gene:SETIT_029565mg transcript:KQL25062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGTGMAKGLLAAVLLAVLACGGAHAKFSRYSFPKDFVFGTGSAAYQYEGAYNEGGKGLSVWDNFTHIPGKIKNNDTGDVALDMYHRYKGDVQLLKDMNMDAFRFSIAWSRILPTGSLSGGINKEGVAFYNNLINEVIAKGMRPFVTIFHWDTPLALEEKYEGFLSENIVKDYVDFAEVCFKEFGDRVKDWTTFNEPWTYAQRGYAVGLFAPGRCSPYVSKSCFPGDSAREPYIVTHNIILAHAQAVALYRAKYQPSQRGQIGITVVTNWYVPNTDSAEDRKAVQRSLDYIYGWFLDTIVHGSYPGTMTSYLGDRLPRFTPEQMALVKGSYDFIGVNYYTGYYTSAAAPPNGLEQTYDGDIRANTSGYRDGVPIGPPEFVSIFFNYPAGLRELLLYTARRYNNPVIYVMENGIAEENNASIPLEEALKDGHRIEFHSKHLQFVNHAIRNGVKVKGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKQSSYWIENFLKRY >KQL24120 pep chromosome:Setaria_italica_v2.0:II:26410846:26414163:-1 gene:SETIT_028804mg transcript:KQL24120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAVSAFLKPVMGRLFSLLEEEYSKHRGLAQETQSIQQDLRMIAAAMDDQLRGMGRHERTAIARLYSEEILDLAHDIEDCVDRFMHRLRCRQRCSNGGAASSFVHRVAHELKKVQSRSSFADEIHKLKRRLKEVHQRVVDAVPVVCGGQPNGLSSMVASTEPCHRVTRNLVGIEKPMEEVQLLLDEVDGEPQQLRVISIVGFGGLGKTTLARAVYDNPHTKEKFDCRAWVSATGSSLETTGRPIRDVLRDIHQQVVPKDTMDVDNNNLEASLKEYLNDKRYLIAIDNVQMDEWRTITSAFEDNSTSSRIILTTNIQSVANMYSHGNGYVYHMDTLGEEDSKKIAFPGIRSPELEHGSAALLGKCGGLPLALVCVSNYLKSSTEPTGELCAKLCRNLGSHLKEKHGHDNFSDLRKVILDNYDSFSGYALTRFLYLGIFPNNHPLKRKVITRRWLAEGYARSESPRPEQDIADEHFNKLMDWNIIRPIDTRNNSQVKTFKTHGIMHEFLLQKSLSQRFIMTLSPEHQRMGTNANNARHLSFHDGKLTECVASDEDLSRVRSLTVLGDAGGAISYVRKCKLIRVLDLEECNDLEELELKHICKLWHLKYLSLGPTIHELPRCIDGLHCLETLDLRATKIKSLPIEAIQLPHLTHLFGKIMLDNDDLKNDNKVSKLKKFLSGKKSNLQTLAGFVTDNSKGFLEFIAYMNKLRKVKIWCTCAASSSSYISDLSKAIQKFIKVPIDRDNGCSLSLDSCESSEHFLSSLNLEPCSDGSKYDLRSLKLHGKLLRLPPFVIHLSGLTDLCISSSTLTLALLSALATLEKLLYLKLIAEQLEDFQIKPGAFPSLRRLCFVVQSLTSALPRFEQGALPNLVSLQLLCRGLVGLSGINIRQFKHLKEITLNTEAHAQTRQDWEHAAINHPNRPRVLLGKMKNLMENEELGHTATREKRKRCLAQPCLDDGLDSSLKKMKLSESFSSAQVIVHPVMGTATMASSSIPIHRHPDESGIWVQNPGHP >KQL26923 pep chromosome:Setaria_italica_v2.0:II:46947304:46949896:-1 gene:SETIT_030545mg transcript:KQL26923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAARRRLLPRWVAGWRGRLLGTAAEASPGGEEARGGGGDGGGSDGAIYVKKPAAAAAVTTRDETSVAMPTSFMTGSVVGKRFYRDATMRLADDGNGWTVMLDYRTLKSPTKRPLKLPSRALAMAIAAEWEYQESDGIRPFTMPLMKLACTALERVPLTRTKVIDNLMKKFHQDLVFCRSPADSELTIGVHQKQKEKIDPILEWVNTEFGFKPVVYTTFFGGKQDEGLTKAVESVLKKTTDCELASIDAMAAAAHSLVIPLAIFRERLGIEEAIELIRLEEDHQVDRWGLVEGGHDVDIADLKVQMSSAVVFLGLSRAM >KQL24903 pep chromosome:Setaria_italica_v2.0:II:34492697:34499653:1 gene:SETIT_028901mg transcript:KQL24903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRKRGRTQRRHFKQGRENVWKHNPQRPPAAEGGEGREGNPSWQPFATENPAFEEYYKEQQIIPEEEWDDFMSMLRKPLPSTFRINASCQFFQDICSQLENDFRKSLETEVSDEHEEDAIRPLPWYPGNLAWHLNFSRMQLRRNQALESFHEFLKRENEVGNITRQEAVSMVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSTKPGLLPNALVVANDVDVQRCNLLIHQTKRMCTANLIVTNHEAQNFPGCNLAKFCSETCMDEAKLQRLEFDRVLCDVPCSGDGTVRKAPDMWRKWNAGMGNGLHRLQVEIAMRGMGLLKVGGRMVYSTCSMNPVENEAVVAEILRRCGDSVELLDVSNELPELARRPGLNTWKVRDRGSWFGVHEDIPRYRKSVVSPSMFPSGKGSKDVEVNTDVIDADMKDSTDMVEGEQETNTAINKSNDDDDQKTEEKAEVDCESGEAPTRYKKLNSTSTRTEHSDYPLHRCMRIVPHDQNSGAFFIAVLHKLSPLNESHVVDGVKSEQNISKDKTGKLEKDLGSDKVSSEENIVHQQVVDDTNVLDGEQNRDMGSKSSKDKTSEDTKVIGNEAEKGQAGTRDRRRQQNQGRWRGVDPVIFFKDEVTVKSIVSFYGIKDSFPLDGHLVTRNPDTSHVKRIYYVSKSVQDVLELNIKVGERLKITSLGLKIFERQSSKDGSPCTFRLSSEGLPLLLPYITKQILYASAIDFQHLLQYRTIKFPDFVDAKFGEEASALLPGCCVVVLREGHQDIGSIATDPSAIAIVCWKGKTNLCVMVSPLDGKELLERISLRFGLKIPKLDEEKPNPKITGSDEQPDGATETDDQECLPESKASDMEIPDAGEAE >KQL23710 pep chromosome:Setaria_italica_v2.0:II:16843465:16844199:-1 gene:SETIT_032480mg transcript:KQL23710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVYLRMLSNKNGHECTNTLLSIAKDLSQEFGAHVTVIAFSPTGEPKAYGAPTTDSILRTYLPEIHTSPSPTCSEMAGEAAARVDEMKWEVEETAFLAKGERVREAAAWSKILAAQTSVGKQNWWEVDVEALGADELPVFVRASEVLRIDVQRDLNTMESSQKGKMQP >KQL24598 pep chromosome:Setaria_italica_v2.0:II:31644832:31646546:1 gene:SETIT_031106mg transcript:KQL24598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWERGAVSGRLAATHPPSSPALRHSAMLPRPSQRSIFHLGEEGGYDHQGADDTTTRHQEGRLDHGRHRRKRDAVDDAGVGLQILVQHHHHTRAPSHSHIVLKQVVLPTAAARHRRGPCGSFLRACSLCLRELSPNKDVYMYRGDQGFCSEECRCQQMLRDEAREHEAMIKKERVRRGLPHHLRHGPRPAPVAAAIRGTPRRPVAVAF >KQL24446 pep chromosome:Setaria_italica_v2.0:II:30196697:30197214:1 gene:SETIT_033642mg transcript:KQL24446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTRWSNPMVGRHSILGHWWPCIDNSYNSFPSSSLYALRGLFNSIFHMLYSL >KQL24827 pep chromosome:Setaria_italica_v2.0:II:33581398:33582346:1 gene:SETIT_031952mg transcript:KQL24827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSTKLVFDSPLLRVHDDGRVERFYGTETTPPGFDAVTGVTSKDVVVDGATGVFVRLYIPDHVLTAEHKKLPILVYFHGGGLVLHWAASPMYHRYLNSVASKAAVLAVSINYRLAPEHPLPAAYDDSWAALSADPWLSDHGDTGHVFLAGDSGGANIVHNIVIMARFSPCGKEPVDGESRETREMTEKLWSLISPQNTEDLDDPRLNPMAGGAPSLQNLACRKLLVCSAEKDQDARARAAAYYQAVKESGWRGSVEWLESVVEEHVFFLHKTECEESLALMDRLVTFLGED >KQL22394 pep chromosome:Setaria_italica_v2.0:II:2317476:2319091:1 gene:SETIT_030477mg transcript:KQL22394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKENSLEMKGSEHGEVVLLGDEEEEEDVLPGFRFHPTDEELVTFYLRRKVAGKRLSIEIIKDFDIYKHDPWDLPKSSTISGDKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAAAGRAGDSIGLKKSLVFYRGSAGKGTKTEWMMHEFRLPPRPESPHTSPSEQEAEVWTICRIFRRSITYKKHPQQQHVAGGKVPAAAVVAQPDSSSITAGSLESETGDEYTNGCLPQQAPAINSVSSGYGYGNQHQFHGQWSSSALHTAATAPLPSPTTMAAFHHGGVLSSPAAPDDSLYYKDGSSWDDIGRMVMELTDDMFYDTRYA >KQL25244 pep chromosome:Setaria_italica_v2.0:II:36886074:36888205:1 gene:SETIT_030942mg transcript:KQL25244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPAQAPRQLPPGFRFHPTDEELVVQYLRRKALSRPLPAAVIPVVHDGARLDPWDLPGASEGEGYFFSLRRAPAMGRGGGRRRRAGSGYWKATGKEKPVFLQCGGKRQLLVGVKTALAFHRSEPSSSRTGWVMHEYRLAVPGGAAEQRKNASHDCVVEPGGEWVVCRVFLKNRPRSRPNRDVDGKNPGNRASTAHRAAPLQHRGDAERQQPSSSSCVTGVTDISDQDEVSSSTIRDAPAASQRED >KQL26446 pep chromosome:Setaria_italica_v2.0:II:44411674:44411756:-1 gene:SETIT_032208mg transcript:KQL26446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWPSLRIQDSFKHWYLQKLELNLAQ >KQL23926 pep chromosome:Setaria_italica_v2.0:II:23052734:23053351:-1 gene:SETIT_033148mg transcript:KQL23926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSSIQEAEAEAPASAQWRPKQYVLAGMAIILVASTVTIVTSIILRPAQIDFSIANFSMPKVNTTTAAEDNGLAFNFDLNAYNPSRRARVIYRHVVVSLELQKNSSPSVRKTSVPGNVIDILPLSQGTNNSTSMGVNGSFDSVFFSFYSSESSVSTTIKVIAQVQFKIGLAKTRLYSIRVLCSRIPNLGLSMHPSVANCSA >KQL25944 pep chromosome:Setaria_italica_v2.0:II:41401952:41406636:1 gene:SETIT_030491mg transcript:KQL25944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVLNKYYPPDFDPSKIPRRRQPKNQQIKVRMMLPMSIQCATCGTYIYKGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREQDEAADKEKRKRDAEEMGDAMRALENRAMDSKQDMDILAALEEMRSMKSRHAGVSVDQMLEILKRSAHEKEEKAIAELDEEDEQLIKSITFRNSGFYVKRIEDDDDDDDDDDDLVPGQSSKTIKINGSSESVTKPTDVLSKTNGSEGANKEGSKSWMPKFIVKPKSTSADPKRQKTESTAVQDNGKAPVAEQKSEPAMQTNVLQSLCQNYDSDDSE >KQL26536 pep chromosome:Setaria_italica_v2.0:II:44956629:44959192:-1 gene:SETIT_030205mg transcript:KQL26536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMNCYQEIDYLQDQLNIRNVEANIMGEHIHSLELKLTELEKFPERVRVMDNELMRSDSQCWLLMEEVRCKEEELQKASSQIEKLESTVLDSQCEIESLKLDLTNLEQRLFDAESFAQHAAEHKARIDKLLGEHELQLHEAQNNIDQLVLENKQLKELLPIKAHKQSPSTSGDHLDKQLENGGHAEYESDNLILERMAKQNEESELLIEQLKEELREQKLKAKEDAEDLTQEMAELRYQITGMLDEEYKRRSCIEQAAIQHIQELETQVSKEKTKLSGALRRLQESHELAHKQAMEIKKLKDALERFNSAVNLGTVCKSCSCGFCAMLIELSNCSIEGPSGARSPKSNHINGKLQDQALIEWHPDEASDGDGR >KQL23858 pep chromosome:Setaria_italica_v2.0:II:21476645:21478319:-1 gene:SETIT_029433mg transcript:KQL23858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKRTAPWHRLSEAEAAVNRAVASSRVGWYFKLDARKSSFTKELRAGAATFLTMAYIISVNAAILTDSGGPCTVRDCTAVGGAKSTAAPGPECTVGPNPGYEQCLARTKSDLIVATAVAAMAGSFAMGLFANLPLALAPGMGANAYFAYNMVGFHGSGPITYSTALAVVMLEGIVFFALSAVGLRSKLARMIPRNIRLASAVGIGLFLAFTGLQAHQGVGLVGASPSTLVTLTACSEVDPTTGACLDGTMRSPTFWLGAVGFLITATCLARDVKGSMIYGILFVTVVSWIRGTSVTVFPDTPAGNAGFSYFKKVVDFHMIKSTAGHLSFGGFRHGNVWLALLTLLYVDVLDTTGTMYSMAEYGGFTDESGGFEGEYRAFLVDAGSTVLSAGLGSSTVTTYVESTAGIREGGRTGLTAITVAACFLASLFFGPLLMSVPPWAVGPSLVLVGAMMMRVAKEIEWGDMKEGVPAFVTMALMPLSFSIANGIIGGLGVYVALHWYDWARHGYGKVRNVLDERRNQVAAAAGEVGPAAAAQDAV >KQL25124 pep chromosome:Setaria_italica_v2.0:II:36159029:36160617:1 gene:SETIT_030874mg transcript:KQL25124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWRDSFLDLALIPLSLLLPMAYHVWLWREIRLRPLRTAAGINAAARRLWAAGMMKDNAKNAVTVVQSVRNVIMGSTLMATTAILFCTGIAAVLSSTYTVKKPLSDTVFGAHGEYMMALKYVALLLAFLFAFLCHSLAICFLNQASFLINTSGCLIADSSGSGEGGDLIGLPSARDYIGEVLERGFTLNLVGNRLFYAGVPLLLWIFGPLLAFLSSLVMIPILYNLDVVDLKGHSGCVNAKSAEMSNGSGCAHAV >KQL22485 pep chromosome:Setaria_italica_v2.0:II:3071437:3073218:-1 gene:SETIT_030416mg transcript:KQL22485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVAAATFRTLHQPASSGVPIPLPSVRFQCLQRHRVGLCLFASPRGRPVLLPPSAAAAGEAFSSDGEEFDGEEDEYFDEGESEPEEQVEVPRAYSSPRGRPPRGEEPGRLFVGNLPYTFTSDELNDAFSEAGRVDDAQIIYDKVTNRSRGFAFVTMATAEEAAKAIQMFDGALLGGRTARVNYPEVPRGGERRTVTMDGRRRDDGTYKIYAGNLGWGVRADALRSVFEGQTGLLDARVIFERETGRSRGFGFVSFRTAEDAQAALEALDGVELEGRPLRLSLAEQNPPPGSPPSAVQAQQEETASDISDAETEATSSSESSDAQVDESNLQTATTY >KQL23162 pep chromosome:Setaria_italica_v2.0:II:8822062:8822172:-1 gene:SETIT_032372mg transcript:KQL23162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVSAQQSAFAVGVLSDLNAFHRSTGNSLCPYRTPAW >KQL26533 pep chromosome:Setaria_italica_v2.0:II:44934795:44935304:-1 gene:SETIT_031819mg transcript:KQL26533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLIHRTTAIYSRRNVCYSFCKKRETEIPHRKASKQIRGSFFIPENALRKKRIEK >KQL26730 pep chromosome:Setaria_italica_v2.0:II:46002845:46003765:-1 gene:SETIT_031493mg transcript:KQL26730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTHNMLASNVRGATTGYPLKLEAAKWVTKEVDLNADFIRGLLPKIDWRALVAATRALGLPELLPEEQPPEEEIFAEGAADVEGSAIRRIHHALLEIHVQEGSLVCPDTSRCFPIDKGIPNMMLHEDEV >KQL24203 pep chromosome:Setaria_italica_v2.0:II:27514018:27516791:1 gene:SETIT_032240mg transcript:KQL24203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRRRPQQWHAVAALSVAWCAAAVVAVAAADGRNTSLAGAVVAPPPEEMGDGKAYHHVWPPMELGWRIVLGSLIGFFGAACGSVGGVGGGGIFVPMLALIIGFDPKSSTAISKCMIMGGSVSTVYYNLKLKHPTLDMPLIDYDLALLMQPMLMLGVSIGVIFNVIFPNWLITALLIILFLGTSSKAYLKGIETWKKETIKEREAVKRQEQICQEPEHMTTIIPAENATAIPTGPGAAPATEAKIPSDKATSVLKNVYWKEFGLLAFVWVAFLGLQITKNYVASCSAWYWVLNSLQIPVAIGVTMYEAHGLMTGKRVLASKGSQQQQSTLRVRQLSVYCLFGILAGLIGGLLGMGGGFIMGPLFLELGIPPQVSSATATFTMMFSSSMSVVEYYLLHRFPVPYAAYFTAVAFVAAIVGQHCVRKLIAWLGRASLIIFILASMIFVSALTLGGVGISNIVHRMERHQYMGFESLCRV >KQL23009 pep chromosome:Setaria_italica_v2.0:II:7323353:7324349:1 gene:SETIT_031487mg transcript:KQL23009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALRPAILRRIRLSPSPSLPFAASAAAASHPHALVRWLARPMSSHDAHLTREEVVDRVLDVLKSHPKVDPSKVTPEAHFEKDLGLDSLDTVEVVMAIEEEFKLEIPDKEADKIDSLPLAIEYVANHPMAG >KQL23656 pep chromosome:Setaria_italica_v2.0:II:15774872:15776734:-1 gene:SETIT_033291mg transcript:KQL23656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQFDIDTTSQPVIEACTDMLKSQQRQGSYRLKKKYFNGLAANEVPTKTPVTTRNDDQWNKLVTMWSSQPYRREKQVEGDPTPIDIFKNFHCSKNGNTAPVQAAIASTCLLCIFLCNNSTRNICEYFCVRPFIFFLLLGSDRINRHQPRDDQPKTVAEAVAEVVQSRIFRKVAGIHPPSKKRTRVGTVLQVEEIQADLESEKQGGAQL >KQL22975 pep chromosome:Setaria_italica_v2.0:II:6990933:6994485:1 gene:SETIT_032869mg transcript:KQL22975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIGSIRIRPPAAAAAALRHGNQHCNRRNVFERPWGRRERHRLRVVALDLFSQGLTGTISPAIGNLTFLRSLNLSFNTLQGEIPPTIGSLTRLRLIDLSTNMLTGVIPRNISRCTSLDTMYINSNKGIRGSIPDEIGNMPSLSYLVLFNNSITGTIPSSLGNLSRLIELSLSLNYLEGSIPSSIGNNPYLTFLQLSVNNISGLLPPSLYNLSSLNYFYTADNNLHGRLPFDLGKSFPSIQEIFIGGNRFSGALPQSITNLSKLQMLYVENNSFAGIVPSRLGRLQNLEVLILEDNMLEANNEEEWEHIASLTNCSRLQKLSIGWNKFAGKLPSSLANLSTNLQWLRTTSNNISGLIPSDIGNLGRLEYLDFRDNLLTGVIPESIGKLTLGKHLALDISINKLTGFIPKVIMELSSISSILDLSYNLLEGPLPAEVGNLVNIEELRLSGNKLSGAIPDAIGNCKVLEILFLDGLSLLNLTDNKLNGSIPGNLATITDLKELYLAHNNLSGTIPELLGNSTSLLHLDLSFNNLQGEVPKEGVFRNITGLSIVGNNALCGGIQQPHLPKCTKSTVGKKKKSMPKFLRIVIPITGAIMLLLSGLALAGFCYRKSKVGLKKDDEQPQLTEIELPMIPYNDILKGTDGFSEANVLGKGRYGTVYRGTLENHAVAIAVKVFNLQQSGSYKSFMAECEALRRVRHRCLVKIITCCSSINHQGQDFRALVFEFMANGSLDRWIHSNFDGQNGQGALSLSQRLDIAVDIMDALDYLHNSCQPPVIHCDLKPSNILLNQDMRARVGDFGIARVLDQSTSKNPMNSNSSIGIRGTIGYIAPEYGEGLAVSTYGDVYSLGVTLIEMFTGRSPTDDMFRDGMSLHYFAEASLPDKVMEIADSNMWLHDGLNTKNDTTHMTSIKECLSSVIQLGVLCSRQLPIEPLSVSDAATEMHAIRDLYITTQHSGSYASSAKI >KQL25536 pep chromosome:Setaria_italica_v2.0:II:38964653:38968841:1 gene:SETIT_028821mg transcript:KQL25536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGVTSVVAKLGELAAAEATALLRVDAEIRALRRKLAYLQALVRGADRQRRGRASELLLLWLRETREVAFEVEDAVDEFHLRVEAFHLCRRRGSWWGWGWGRDAVSLVQGLVTQIFVRRGLSKQIAKINERIDELNQNKETYQIESSPSEIWSSSSVQADPEWYEDKYVMGSRQDEFEILKNRIMNKEGNISHRAVISILGERGIGKTTLAKQLYNDPDIMKHFEVHAWVCLPQHIRFRDYVEIMYTQVSSQVPEAPGDEEIIDKELKLSQNLQNRTYLVVLDGLISISDWNSLLDVLPDTNGSWILITTHLNVKEINHIDPQIAPIELPYLDMKHGEQLFCQRVFGAREPPQNYWSRGYYEKVHNISTGLPLAISVLAGVLRSKAIPMEWDDVFEQLESNGQPKPVRSIWSLAFDDLPHYLKSCFLYFASVSENVILYPDRLVRLWIAEGFIVPKKAETLEDVGFDYLKELVSRGLVQVMEKDAGGCIKLVSIHNLLHAFMESEAQDSCFLEIHHQANVVNPNTVRRLAIQNYVDAYVHIPNAFPKLRSLLCDFAEDQRSSSSFGELQPQSLWGNLAELCSRACSISENVGSNTLYGLHFLQGSRFLRVIDLNGLKMQKLPDEIGSIIHLRYLGIRNSNLEELPSSMYKLDNLQTLDVRRTNVGKTVDEFWGIEALRHVLAEKMLLPNCSVPLNNLMTLNGVVPSDFWDEKKCPLNNMIYLRSLSLSGISVPHITALSAALRKMEFLVYLNLSGEVLPTNMFTTSSMRRLQVLILHGKLEGINDLLGDRYVLPNLTVLHLHKSELSQQFVDKLSLLPRLAEMELLVVSCIETTLFFHDGFPSLTKLKLKEVSTLQELVIGKRAMPMLSILAMYDCDSLRTLKALNGLEHIQEVAVYNMPEIVDNIKLEDEKLFGKIKRLTTPTMVTDRGVVPGHLVRRARIPHEQCDAVASESCFSVMEGAGPGVGKAADDIQVH >KQL22221 pep chromosome:Setaria_italica_v2.0:II:653756:655463:-1 gene:SETIT_033287mg transcript:KQL22221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFILEGLAWLVVGLFSLYIFQLIRDSRRRLPPGPWPPKPIIGDLLDLGEPGQLHRTFQKLSERYGDLMCLRFGWVPHVIVSSPEALRAVFHAGENGKKVDTIAGILSLDVLTAWGHDAHTIFALPSLDDKWRAVRKFAAAEMLAPRRIAGAGAMMQARIVESLYRDLSDHAARGAPVAIRNAVMDRILSLLLGLLYSIDLEPKERAMFRDTIEEIVEILGTDNVSDIFPAIAPLDLEGLRRRTKTLIGIVYRPFDEQVVLRRRSREAGEPRKDDVLDTVLDKESEWEKEGSLLSPEVIRVLLTDIYAAGGSTTSALMEWGMVDLIQNPEAMRKAKEELKRVLGDKPFIEEPDVAKLPYLQAVIKEMLRLRGAVPLMPRKAEADIEVNGYRIPKNTNVFVNSWAINRNAQAWPNDPHKFIPERFLVDGETRSHVGQDFDMIPFGLGRRICPGMPLAMKMIPLILGTLLHRFDWELPAEVKESGIDMKEKCGVVVTLVTPLKVIPREI >KQL23249 pep chromosome:Setaria_italica_v2.0:II:10184796:10189515:-1 gene:SETIT_033359mg transcript:KQL23249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISWYILLLLLSGQFYTTSGTNGVHDNTDCCHWEGVGCDTATGHVTSLNLTRLGLYSHGIDQALFNLTSVQLLDLSMNDFGGSQLPAVGFVRLSSLTNLNPHNSQLLNLSSSGFSGQIPISIGKLTNLVSLDLSNQYVYDNNGISTNRLLLWEPSFKTLVRNFSKMRELYLNGVNISSSGKEWCTALGKYIPCIRVLSLENCGLYGSIHPSFSSLCSLEVINLRRNTISGAFPQYFADFLNLSVLMLLELDLNGQFPQKILELKHLTTLELSGNANLMVPVHSLPKGSSLETLALDGTNLSIAKPSSFGNLRFLHALHLDARIISKELSSSLSTLDSLEELLVLLNESFLSWIGNLKNLVLLTLEYGDFSRTSKSWIGKLANLEALVILNSIFSGWIPPEIGNFKNLRILSLHNCSLSGKIPAWIADLKHLSYVNLSTNNLSASPLCRGSTYTIIFSSGALKIAVLDLSENQLSGHIARSFWQLQTLNTLDLSSNNFSGLLELNTLLRLRKLSALSLSDNKLAFLDVEVNNTLLPVLSKLNQLYLSSCLFSLKHIQMLDLSSNQIQGTVPEWFWKTWSHSLTYMNLSHNNFSSLELSSHFLPNKQKIPVPGHPINRQLPDYSNNIFSSIPENFSYLTQTVYLSFARNKLSGQLPDTICKARMLEVLDLSYNNFSGQIPPCLIEDVHLGILNLRDNSFEGKLSFVIKDQCTLQTIDLNGNKIEGTMPELLSNCSELELSSLHVLVLRSNHWSHIFTSLQIIDLASNNLSGVLCPKWFDGLTVMMTKHDTDKVVRGEHLSRGSYQNIVLITYKGMYMAFEKICTTLTVIDFSDNCFRGRIPDTIGKLVSLHVLNLAHNGFDGKIPNQIGGMTDLESLDLSSNQHSGEIPQELTNLTFLGTLNLSSNQLVGRIPESHQFGTFQSTSFEGNEGLCGAPLPKQCKSSEAPSEPNESKPSKQIDFILYLFSGAGFGIGFAAAVLTKW >KQL23026 pep chromosome:Setaria_italica_v2.0:II:7572362:7573394:1 gene:SETIT_032439mg transcript:KQL23026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPELMDELVERSSSASHRRSPPLAPPRLRPGFRRRFREFHRTPPMLGFLCNLRDAHEIEVARFVPTTTSSCSPRAGGDQYRHWRVDDARHGRVLLSRQTVGNVVLMVWDPITDQRQELPVLPKPVYNWNWTVAIVCAGAATGTCDHLDCHRSGPFLVVYIGASFSDTFTCVYSSDAAAWSKPISTDLPRRHIYKNLVQSVLVGKSLYFMFHKSYEVLEYDLELCKVVSVIQLPLYSNWRRLVLITTEDGEMGYATVIECKLNLWSWKKADSKGDAGWLQRRVIDPDGLLRVNNDPTTLPKVVGYADGVGVIFLKMNDVFFTVDLKTYETKE >KQL23948 pep chromosome:Setaria_italica_v2.0:II:23310046:23311843:-1 gene:SETIT_031078mg transcript:KQL23948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFGRLCDRVPLLDITKRSGQHVNYENSLCGQKSNGSCYTDAAIVTGNPYMPRVMRLQEQGSSEPYELNNRYNAPTAKNIGDLNGMHSWVQEHPEERKMIQTSLELLMSQSKNVQPPIFSGSFGGDLSNAPTLQVQGTAYSSKGHKMIQTPLVMLVSQSRNVCPPNLSGSTYSSNGHRMIQTPSNLLMSESRNVSMVCCVDRDLRFAFVILLNAN >KQL23947 pep chromosome:Setaria_italica_v2.0:II:23310046:23311049:-1 gene:SETIT_031078mg transcript:KQL23947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVMRLQEQGSSEPYELNNRYNAPTAKNIGDLNGMHSWVQEHPEERKMIQTSLELLMSQSKNVQPPIFSGSFGGDLSNAPTLQVQGTAYSSKGHKMIQTPLVMLVSQSRNVCPPNLSGSTYSSNGHRMIQTPSNLLMSESRNVSMVCCVDRDLRFAFVILLNAN >KQL25296 pep chromosome:Setaria_italica_v2.0:II:37156584:37159599:-1 gene:SETIT_029399mg transcript:KQL25296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCYSAIAATKLKMLRCGGRGAAAVIPVTSRDIGPCCSPDHDGNVVTAKKRKKKGRKGKKRASILGDAGAVDPDFARRYRLGAELGRGEFGVTRRCEDVATGEALACKTLRRKRLLLRRAGPDADDVRREVEITRRMSELGAGRVARLREACEDDDGVHLVMELCEGGELFDRIFEREHYSERAAAKLARTIVEVVQLCHENGVMHRDLKPENFLFVNKSEDSPLKAIDFGLSVYFKPGDRFTEVVGSGCYMAPEVLKRNYGPEIDVWSAGVILHILLCGFPPFWGDSDEKIAQSILRGGINLQRDPWPKVSQNAKDLVKKMLDPDPRTRLTAKQVLEHPWLKNADKASNVSLGEVVRAKLKQFSSMNKFKKKALGVVAMNLPVEEIDKYTQMFNTMDKDNDGNLSLEELKEGFRINGHPVPEEEIKMLLEAGDIHGNGTLECEEFVTVLLHIKKMNNDEYLPKAFKFFDKDGNGFIEMEELMEALGDGELKPNGQVVNDIIREVDKDKDGRISYPEFESMMKGGSDWRNGSRRYSRANFDSLSHKLCKDIL >KQL24373 pep chromosome:Setaria_italica_v2.0:II:29301346:29304838:-1 gene:SETIT_029511mg transcript:KQL24373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNHTSKSLAVLLRARMHPDALPSPPPQLSPPPPPTDPDPAAPPAASVLHWLHAAASAASPPPATLDNFSDGYRSLDRVGRREVLRSLATDYDVPRARVRDLMRQYMSVASAAAAGGDDATVEEGKEGSAAALYRMERGLRDALRPRYSGFLEAMNAQPGGLKLLAVLRADLLALLGEENAPALRALDSYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALHKDMAASIQEVLWDDPPTPESEASCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQIFATLSPIPGFMQWLRAKLASQIKLAQTESQEGNSFEGASSTFRESILLPEEEKMIHDAIEQAHGKQGIELLQDILKTSQWVKSEKLSAALKSPLMRLCARYLAREKIRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYLYRLENIEEYALSYSGTGLAHSSPSLSQYLEQSKDP >KQL24372 pep chromosome:Setaria_italica_v2.0:II:29301043:29304838:-1 gene:SETIT_029511mg transcript:KQL24372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNHTSKSLAVLLRARMHPDALPSPPPQLSPPPPPTDPDPAAPPAASVLHWLHAAASAASPPPATLDNFSDGYRSLDRVGRREVLRSLATDYDVPRARVRDLMRQYMSVASAAAAGGDDATVEEGKEGSAAALYRMERGLRDALRPRYSGFLEAMNAQPGGLKLLAVLRADLLALLGEENAPALRALDSYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALHKDMAASIQEVLWDDPPTPESEASCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQIFATLSPIPGFMQWLRAKLASQIKLAQTESQEGNSFEGASSTFRESILLPEEEKMIHDAIEQAHGKQGIELLQDILKTSQWVKSEKLSAALKSPLMRLCARYLAREKIRGKALDAVANFHLQNGAMIERINWMADQSEKGIQQSGGIMVNYLYRLENIEEYALSYSGTGLAHSSPSLSQYLESKDP >KQL24374 pep chromosome:Setaria_italica_v2.0:II:29301043:29304838:-1 gene:SETIT_029511mg transcript:KQL24374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNHTSKSLAVLLRARMHPDALPSPPPQLSPPPPPTDPDPAAPPAASVLHWLHAAASAASPPPATLDNFSDGYRSLDRVGRREVLRSLATDYDVPRARVRDLMRQYMSVASAAAAGGDDATVEEGKEGSAAALYRMERGLRDALRPRYSGFLEAMNAQPGGLKLLAVLRADLLALLGEENAPALRALDSYLKEKLVTWLSPAALTLHQITWDDPASLLEKIVAYEAVHPIRNLIDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALHKDMAASIQEVLWDDPPTPESEASCALFYSISSTQPGLSGINLGKFLLKRVIDMLRRDMPSVQIFATLSPIPGFMQWLRAKLASQIKLAQTESQEGNSFEGASSTFRESILLPEEEKMIHDAIEQAHGKQGIELLQDILKTSQWVKSEKLSAALKSPLMRLCARYLAREKIRGKALDAVANFHLQNGAVS >KQL24200 pep chromosome:Setaria_italica_v2.0:II:27426959:27428131:-1 gene:SETIT_030115mg transcript:KQL24200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLARRFLNLIVPGCTPGVKSVRRIDLAGQQLFYHDEPPPPSNGDGDGSEPLAPAAGGQDTISHPLASKMEMLRLPRASFNFRALNNGWNLNCFPFADSKVICGDQSGFGFIFDLDSRKVETMPPLLKLPTVNPVSVFVHKPHVDDDVWNDGDGSSLFLMERIPQPEPISHLVRESEQFVGFINHYPSGPWGGKCFTCRLLPPPPFVRETCSWYNNTPKITAYGVIGGGSHVCISVQGVGTYCLDTANHTWSQVGKWTLPFHGKVEYVPELKLWFGLSGDSQHLAAADLSDMDSQPQLVGGPWKELDPPDEWKECKDPQFVSLGSGRFCIARFFQEAAGSAGDEVIHENAAVFTGVEVNSSGKCGTGKEVQMTPHRSRGAYNTSIEALF >KQL23956 pep chromosome:Setaria_italica_v2.0:II:23513773:23516405:1 gene:SETIT_032488mg transcript:KQL23956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIREICTLPTGTKGQFSTSESLDDALTEAKSFKMPCALRRLFAMIIVFCEYTNIRGLCDKHFESMAEDYRQTHGSCRLVLQLVLKDIADIVRSMGKDMRSYGLPELDESDDKSRDYYRELIEERKIGFKEENLGIIDTLNAEQRAGKVFFVDGLGGTSKTYLYRVLLAKVRSMDLIAIATTTSGIATCTMPGCRTAHSRFKIPIKLGDNSVCNFTKQSGTSALLHTASLIIWDEVAMARRQAVETLDRSLQDIMGCTEPFRILPVVPRGTRAEITDVTLQRSYIWDKVRMIRLQQNMRAQIGNGIEETYTNDYVQLPDDIIIGHNSDKSINTLIEHCAILSMRNEHVDGLNARMIDMFPGKEKVYYSHDSLKVKNNCPVILLRNLDPHNGLCNGTRLVVRGFEDNAIGAEILSEPVFFHGQLYVALSRGVSRKTTWISAKPNKDVDPNGKRTKNVVYRDVLEA >KQL24232 pep chromosome:Setaria_italica_v2.0:II:27948319:27949403:1 gene:SETIT_033106mg transcript:KQL24232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTATLEVESSDNVANVKAKIQVKEGIPPDHQRLIFAGKQLEDGRTLADYSIRKESTLHLVLRLNSGGKGGSYPMNVEPNILQLALKYRQRKMICRECYARNPVRANNCLKKKCDHCNELKAVSPFHVQHCCMIVDVGGY >KQL26347 pep chromosome:Setaria_italica_v2.0:II:43877442:43878742:1 gene:SETIT_031889mg transcript:KQL26347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAGVAANPPPSALTVTSSWVAKRARGARRRRHVPTRCWTDLTYGLAGVIAEHALAHDVSDYMSFRTCVQTELPELDGQHLLGATTEGLLVLHDESTYMVRVLNPITRQVAELPSSYPLLPPETRTKISEDGLAYGFKVTGLGLAGDSTVALCVFDPTMLVVARPCDDRWTLVDGEQWFYSAMSFSGRFYCVNAKAVMALETATANLPPRLVVAAEVTFRVSLVCRDSVHLVESDGRLLLLRRKLATRVELSTYFWLREYKVFAVDLATRKTVDVPDLGGSAVFLGKTRALSVSPLVFPSIQADRIYPAANMWEKMEKGVGSYSLLNGRIERCRVRIIGRSTDDDLDEIDGGWTRPCGIDDYLSWYVSGKCNEIEEI >KQL23493 pep chromosome:Setaria_italica_v2.0:II:14179023:14184568:-1 gene:SETIT_0306411mg transcript:KQL23493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLARSAYNRNDFNTSKILWESALSLNSLVPDGWFAYGTAAWKDKDLDKAVDAFSRAVQIDPENGEAWNNIACLHMIRGKSQAAVQAFREAVKFKRNSWEIWENYSKVALDTGNVRLTLEASKTVLNLSSNKRFNVDILDKVMALLEEQPPHFVDSHEASDGANKETRQSNQLLDIIGDILQQIVRSGGSNADVWGLYARWHKTKGNLLACSEALLKQVRSLQ >KQL26214 pep chromosome:Setaria_italica_v2.0:II:43102446:43104658:1 gene:SETIT_030714mg transcript:KQL26214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAASLRLPSPPLSAPAAAASFSSATTLRFPLRRRGAARPLAVAAFKKLSEASPVPIPPESAQPPLDEEALPPKPGVYGVYDAAGELQFVGISRNVRASVEGHRRKVPADLCASVKVSVADEETPDRAVLTNAWKSWMEEHIESTGKAPPGNVAGNNTWVGAPQRPPDLRLTPGRHVQLTVPLEQLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVGILEAHGVDFVTVDVLDEEHNHGLRETLKTYSNWPTFPQLFVGGELVGGCDIISSMAEKGELSALFQK >KQL23691 pep chromosome:Setaria_italica_v2.0:II:16388224:16391639:1 gene:SETIT_029548mg transcript:KQL23691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFFVCISSLLIISISSYVFQLVADRRSQLPPGPTPLPFIGNLLDVASELPHRSLARLAGRHGPLMTVRLGKLVAVVASSPSTARDVLQTHNGRLTGRSPPDAWLALGHAANSVFVLPPGRRWRALRRMGTEHLLSARRLDGERLRPLLRDAVLDLVRRVSGMAAAGTVEVGRAAFAAMMDLQWRAMFSAGLDDAAAALALHDAAREAVALSLKPNVSDFFPGLAAADLQGVRRRFARRVAMVYQMIDEQVERRMQDRRDDEAGSGSRSGEKDLLDVMLDISEQGKDDGGMVTINRDVIRTFLTDIFLATVDTISSTIEWAMAELLQHPDTMSKLQEELRRVLGSKAHVEHSDVDRLPYLRAVMRETLRLHPVVPLVPNEAEETVEIHGHAVPKGCTVLVNLWAVHRDAGAWPEPDRFMPERFLTMKPEEAGFLGTTEFEFIPFSAGRRVCLGLPLATRMVHAILGSLMHRFEWTLPPEVKENCVDMSESLGLTMIMATPLQAIAKSVV >KQL26432 pep chromosome:Setaria_italica_v2.0:II:44349272:44352618:1 gene:SETIT_029526mg transcript:KQL26432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLQLPRPPPLRLAAFRLSVRLPSRVAPARAASASAIALRARAGGVAFSLQTNVHLLKPNRRVRRSRDPYYDLDEDDDDEEEFEEEGDDEGYDSDDDMSGLEYPGVLYSNNPRAPSKRPGLQTPLMKENWEGRQPKARDKYGSPEKFKSLHPRNKVGRSSTDLMNMESKVELKNESISRSLFQKLQEEYDFDDKWLPLVDYLCTFGLKESHFTYIYERHMACFQISQASAEERLNFLLNSGVKSKDMKRILVRQPQILEYTLSNLKSHVDFLVSIGVPSTRIGQIVSSAPSMFSYSVEQSLKPTVRYLIEEVGIEERDVGKVVQLSPQILVQKIDSAWKSRSLFLSKELGAPKDSIVKMVTKHPQLLHYSIEDGILPRINFLRSIGMRNSDILKVLTSLTQVLSLSLEDNLKPKYLYLVNDLKNEVQSLTKYPMYLSLSLDQRIRPRHRFLVSLKKAPKGPFPLSSFVPTDERFCQRWAGTSLEKYHTFRQSLLLTGFAEKSARKKLVSRR >KQL23989 pep chromosome:Setaria_italica_v2.0:II:24138104:24139323:-1 gene:SETIT_0309671mg transcript:KQL23989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVGLSTAFSPLRGSWIAVRIRPGCKPTGVSLSPSRRRSSCAAAVSVRAEVSFVDADEAKRLVAEEGYTVLDIRDRTQRERAYINSSTHVPLFIENQDNDIGTIVKRQLHNNFAGLFFGLPFTKLNPDFAKTVKDKFKPESKLLVVCQEGLRSAAAADALEREGFQNIACITSGLQTVKP >KQL24358 pep chromosome:Setaria_italica_v2.0:II:29175547:29176586:-1 gene:SETIT_031222mg transcript:KQL24358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGTEAFPYLGAHCDEPDCNQLDFLPFDCDGCGKVFCAAHRTYREHGCAKAADQGRTVVVCPDCGDAIERTAPGQDEKAVLEAHARSRRCDPARKRKPRCPARRCKEPLTFSNTSECKACGLKVCLRHRFPADHDCAGKSVGASKAAAAAAARRAGGECAKDAKKGSGGWTLPAAVRNLKIF >KQL25540 pep chromosome:Setaria_italica_v2.0:II:38985249:38988693:-1 gene:SETIT_029392mg transcript:KQL25540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASEDEAASDRCCGSYSPSADVSESETSSDCSAPTTRRFASSSSASATVSRLASSSSSLPTPASAAAFYLSKPAADLSEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLSATVFGELWRLEPLAPARKAMWTREMEWLLSVADSIVELTPSIQELPEGGGQFEVMVPRPRSDLYMNLPALKKLDAMLLAMIDGFKETEFWYVDRGIVVEDSGGPFPSSSSSSCGRPSVRQEEKWWLPCPRVPPKGLSEDARRKLQQSRDCANQILKAAMAINSDVLAEMEIPEVYLETLPKSGKSCLGEIIYRYITAEQFSPECLLDCLDLSSEHHTLEVANRIEAAIHVWRLKGQKKLTPQAKSKKSWGGKVKGLVGDKEKSHFLSERADGLLQSLRLRYPGLPQTSLDMNKIQYNKDVGQSILESYSRVLESLAFNIIARIDDVIYVDDATKKSAAAESVSIFNRGIGVPVQKRISPSPFSIQNTPYASPFATPTFCSSTPVTGSPGRAQPPLNKNSLGKQEIKVEKLFSGDLEKVWTYAGNLSARKDAGDAPERD >KQL23957 pep chromosome:Setaria_italica_v2.0:II:23518465:23519491:1 gene:SETIT_029591mg transcript:KQL23957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APVAPLLRLLVRRRVRTVANDGPDAVDRRQRLAGADAAPEMPERLHGAGLQRLERVHHDAIHVGDAPPDVDHGAAQVLLRVLEQLLHEVRRRDRRDAGELQRRVPELLEALPPAGEQRRQLRDDGEEPGARGEEGVGGDAELSGDLGGVGGEHAGDDGVQAAAREGAEEARELAVVPPQQLENVEERGLAGSREVGGRRVGREEVERDGRVGGDDKLGGGGAGVGGPEGTLDEHHGDVEAAAEEDLGELRHGDDVALAEARVHHHGLSLLRLGRHGVLLLHGRRR >KQL27013 pep chromosome:Setaria_italica_v2.0:II:47409989:47413316:-1 gene:SETIT_030133mg transcript:KQL27013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGGDLTPTSIPSSPSCSSEVLPPEFAEYVAVSPVSDDEESDECSVYDHAEVDARHFGRRLQDHLREAKEFIRRYKPGDCIEGAGGAKAGDYILPEITTLLLVGPRGAGKSTLVNRITRVFDKDDDPSAPDRAQVSGNSKSNGTVFLREYPVPRNSTAICIYDTQSLSCNPQNNFKTLQKWMTKGISHGEIATGRDTNEGNNTKDIKPLGNQFSYLRCKTRKVNFVIFVVDGVSILQAIESNTEDYIDILRQTFMYPCLSIGDDKPVVVVTNGERLSIQQRACVQNKLVDLLGIPVQQIFDIPGSDDYQTDLAILDMLRYCIQHAEQNLPIKLSYLLEVRGRETFEIAAEQLMALDAVIEATIIFLCIVILLLRFSDKLLQS >KQL25460 pep chromosome:Setaria_italica_v2.0:II:38343145:38344213:-1 gene:SETIT_032017mg transcript:KQL25460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFITRLLTLALGYAYPAYDCYKTLELNTPQMEQLRFWCQYWILLAFLTAFERFADCAVSWLPMYGEAKLALVVYLWHPNTMGAGRVYGDYLRPFLAAHEADIDRGLLELRARAADATASHLQAAVSLGRAFLFEVVRRVSSRLQATRSPAGQGQ >KQL25658 pep chromosome:Setaria_italica_v2.0:II:39705045:39711769:-1 gene:SETIT_029432mg transcript:KQL25658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALQPERHLLLLAGGGGRHRPLLRMLPPRAPLPQQRRRWPRAVRVSSGDGGGGKGFPSAVEKQSIPGAVGEDHGARGEKELEGEAAGALELRWPPWEGLAERYKLIGATSLAFVICNMDKVNLSVAIIPMSHQYGWNSSTAGLVQSSFFWGYALSQLPGGWLAKLFGGRKVLEVGVVTWSLATATIPVVAGFMPGLVLSRILVGIGEGVSPSAATDLIARYIPLQERSRAVAVVFGGLSFGSVLGLLFAPPIIQNLGWESVFYIFGLLGIIWCVGFDSLKGQQLGNNEGLFNLGKSSTVSDGLVSSTVSSESSDPSLEDLQNSLKDVPWRAFFKSKAVWAMIYAHFCGNWGHYTCLSWLPTFFSEELNLNLTEAAWVSILPPLGSMVISSIAAPFADNLISNGVDTTRVRKICQTIAFVSPAIFMMLSSVDLGLPPWEIVAFLTSGLALSSFAFSGLYCTHQDISHEYASILLGITNTAGAVPGIVGVALTGYLLDSTHSWSISLFAPSIFFYLTGTAVWLAFASSEPQDFSKSGPES >KQL22474 pep chromosome:Setaria_italica_v2.0:II:2906017:2908557:1 gene:SETIT_029481mg transcript:KQL22474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPWSFVSFFSSAKGRKSFPSLSEFASCAADFVSGAGAVPRRGVKDDGRCALEGRRFVTSQSLSPWIPQWWLSFSGLGSSSSSPSSRGATSTLGALQRILRSKKPPCTNQTPAPTPQSTSASGIPTPPMSAAPAAAAAAAPPRRVIICGGGVVGACTAYFLSTHPASPTVPTVPTLIERCAPACAASGKAGGFLALDWCDSTPALSALARASFALHRRLAADLGGADAYGFRPVHTLSVCVPSVPKPTSPPPHPLLPPWVDPSASAAPPQELGTPDTTAQVHPGLFTRAVLAASGAEVVTGEVERVVVRDGRAAGVVVKGRERDGVVDADAVVLALGPWSGRLEVVSEVFDVSGLKAHSIVLRPPEPEKVTPHCLFLSYQPEPGAKMLDPEVYPRPTGEVYICGMTKDENPPDDPATITGEPDSIAMLHKIAGRVSSQLKKEEGAEVVVEQACYLPCTTDGLPVIGEMPGVKGCYVATGHSCWGILNAPATGAALAELILDGKAKIVDLAPFSPARFLGRRSRCGA >KQL23417 pep chromosome:Setaria_italica_v2.0:II:12225436:12227512:-1 gene:SETIT_033333mg transcript:KQL23417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGIHKAESTEFRDLLYLTSKQPFILRLAFSAGIGGLLFGYDTGVISGALLYIRDDFEQVEKSTVLQETIVSMAVAGAIVGAGAGGWMNDRFGRRPSILIADLLFLAGSLVMCFAPAPAIIIIGRVLVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITAGQFLSYLINLAFTKVSGTWRWMLGVAGLPALLQFVLMLALPESPRWLYRKDRKREAEEIMRRLYPPEEVEGEIDALRASVEADMALGATGTLREALGSLVVRRGLTAGVLCQVAQQLVGINTVMYYSPTIVQLAGFASNSTALALSLVTSGLNAAGSVVSMFFVDRAGRRRLMLLSLAGVVTCLAMLSGVFFAVDSHSPDVSLAGTTALLGANGTCPEFALAAAAGAEWTCTQCLRASSDSGCGFCAAAGDKLLPGACLAASDAARRACRAGGGRREWYARGCPSSFGWLALVALGAYIVSYSPGMGSVPWLINSEVYPLRFRGACGGVAAVANWASNLLVTQTFLSLTQALGTAGTFILFCGVSAAAFLLLFLLVPETKGLQFEEVEQMLGSKDYRAWKKFNPKA >KQL26188 pep chromosome:Setaria_italica_v2.0:II:42870886:42875728:-1 gene:SETIT_028860mg transcript:KQL26188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQAPANGGAGNGSVVVAGGTAASVLEVESNGAGGDHNGVSDNGGGGAAPSVAANGNGKQGAERRRRSKKKKPAVSPKDRYWTPIDGKEAAAALEDGGEDGRRPLLFRTYRVKGILLHPYRLLTLLRLIAIVLFFIWRIRHPHADGMWLWWISMVGDLWFGVTWLLNQVAKLNPIKRVPDLALLKQQFDDLPDGNSNLPRLDVFINTVDPINEPMIYTMNSILSILAVDYPVDRTATYLSDDGGSIIHYEGLLETANFALLWVPFCRKHCIEPRAPESYFAVKSRPYTGNVPDEFVDDHRRMSREYDEFKVRLDALFTKIPERSDAYNAEAKEGAKATWMADGTQWPGTWFDPAENHKKGQHAGIVKVMLNHPGDEPQFGAPASAANPLDFSAVDVRLPMLVYISREKSPDYDHQKKAGAMNVQLRVSALLTNAPFIINFDGDHYVNNSLAFRAAMCFMLDRRDGDNTAFVQFPQRFDDVDPTDRYCNHNRVFFDATLLGLNGIQGPSYVGTGCMFRRIAVYGIDPPRWRSDDFKIVDNANKFGNSMSFINSIPSAANQEWSMTSPPADEESIKEELDNVMKCAYEEGTEFGKEIGWVYNIATEDVVTGFRLHRTGWRSMYCRIEPDAFRGTAPINLTERLYQILRWSGGSLEMFFSHCPLLAGRRLNFMQQVAYTNMTAYPISSVFLVFYLLFPVIWIFRGEFYIQKPFPTYVLYLVIVIVMTELIGMVEIKWAGLTLLDWIRNEQFYIIGATAVYPLATLHIVLKLVFRGKGVSFKLTAKQATSTVNEKYAEMYMVHWTPLLIPTIVVIAVNVGAIGAAIGKAIVGGWSLLQMADASLGLVFNAWILLLIYPFALGIMGRWSKRPYLLFIFFVIAFVVVAAVVFAIHAARTGSVRFHFSHSGGASFPTSWGF >KQL22225 pep chromosome:Setaria_italica_v2.0:II:706027:708696:1 gene:SETIT_032039mg transcript:KQL22225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLVHDLATLVMGDELIVSNVASKNNKAHSQKYCRYASFTKYDHTTRLSNVLPSKVRALHFSDNGKLDLSCGAFSFAKCLRILDFSGCSGILLPDSVGQLKQLKYLTAPRVQNEVLPEFMTELSKLQYLNLNGSSHISTLPESMGKLCCLKYLGLSGCSGISKLPESFGDSKFMVHLNMSDCSGIRELPASLGNLTNLQHLDMSGCFRIRELPASLGNLTNLQHLDMGGCYGIRELPDSLGNLTNLQHLELFNCSNVKVIPESLCGLTHLRHLNLSCCKIITRLPEAIDSLVKLRYLDMSSCGVVEFPESFKRLRNLLHLDLGFKSIEKGLAGALHGLTALQYLDMSYLRYKDNFEIKEDLPVAMRNLTNLKVLKLESTFTNLFGTCTNLNFIGTLTNLEHLDLSMNGFKYLPESIGNLKRLHTLNLKFCWKLESLPESISCATGLKSVLLDNCPHKLMDQASSLLHYSLTLPLFKVRADDVNDHSNLHVLEGENAIGELHIVSLENVRLLEEAQRLNLLTKHNLLTLKLVWTLGDRYLEDEDLLGQLVPPMSLKVLSLEGYSSPSFPGWLMAISRLLPNLTIIQLKDLPTCSNLPPLGQLPYLEDLCLQNLPKVTKIDRDICGGKGAFPRLTKFTVAHMDGLKEWNTTCPGEDGVEEFMFPMLEELRVDDCPKMRLKPCPPKCRRFSISSSDQVISSLEEVQTSSHRWNSTPTTTNLFIGRSKHDTFRLFHNFPALQTLDLSGCSNLTSLPEGIQQLSSLQELTCDDSISPLPEWLSDISSLKRLVIDDDGSFKSLPACIQHLTNLQELVINRNNKELQQWCESEENKAKLAHINIVSSHDKTAFIFLNPAIKFSHKTDVLHICSQQVKYLIYYFWKIINRVKSHHG >KQL23129 pep chromosome:Setaria_italica_v2.0:II:8577680:8578469:1 gene:SETIT_031536mg transcript:KQL23129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSFGTVAPAVASPAGGRSTLGRRAARPSTARTIPAATKMVAAAKQEQKGLFDAIFGALYKEEQLLETDPILNKVEGKAPAAAPASKTAAGKAAAAAEQSGGNGGFLGGLFNNSKKG >KQL25946 pep chromosome:Setaria_italica_v2.0:II:41438268:41439371:-1 gene:SETIT_033460mg transcript:KQL25946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEEGAVVAAGAGAEQQRRQRGAPGQAERGREGRQGPRRRRQGQRGRRRGRRGRGGGCRGRRGSAPPGGARRAAAAPGALVLAAPAADGDVAERAPARPVALARLAEVPRLRQRVVVVVAELGVGRVAARAPQRLGARVRDRRARRAGTAPRSRRRLLHRRRTPRASHVYISARR >KQL24942 pep chromosome:Setaria_italica_v2.0:II:34759625:34759959:-1 gene:SETIT_033145mg transcript:KQL24942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNARRQQRSRKEICLVLYYRATHVPGAGSGAGRAAWLGMLVAELWFGFYWVITQSVQWCPVR >KQL26074 pep chromosome:Setaria_italica_v2.0:II:42208471:42209022:-1 gene:SETIT_032787mg transcript:KQL26074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSSFSVCAAPNSRPSAASQHEGEESPTARRELQLLEDHRPWEMLDNMALAIIDQTYAAVLEILRLPPPPPQEGDRHVTLSVSQCVGSTDPDSPVIRVEASAKHCFIYVIDGFHVGGDVIGRELAKHASPGQRSSWPSRHRLTRASIGASLGTLYLRVPPRKIFDSFSVRRLNTDKDNTAQQ >KQL25779 pep chromosome:Setaria_italica_v2.0:II:40499622:40503029:-1 gene:SETIT_031881mg transcript:KQL25779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRWRSRSTASITVIERVGNALGTLAFTWATVVLLGGYPTLNAKLASTSMIHGHLICAACLDLHFFFCRLYIYFRMFSRNNKLDYRLFFHTRGALRPLGWNGLVIIVFLSDILNYLVVMIRKRFLPVPLGLNYLIIVSMLILTAAISKLLSSRAWKLVLAKTPLHRAASLCVPLVAVLLASLLTISRLRFQRITKLVDRALGSKRVFWRRLMLDMCMVAALVMTATVHDHRYYRNFVISYQLSAVVVVSFGNLQIPAAATRVVVSLQRLIRHEYGDGDPNNPANTNLAPSLNIFYVMVFGQGVLYLIACTLEIFSFIPRRSLIRRGGFRGQWAIESIDFYYRYALEKCMERDVLAPKKTSLISYTMDSLNSGSPKMQLHGIRIMHSLLQREPTRTRLLSKLTTSTKTMATLINMLDWSTLEDTTIRLSVAVITAEVAKSLRVVTIPGTIQVVSVLLDYGNQQKIGNPLLSAIDSQEEKQDAVLETGNYLSLLENQGHSTSQKIIISEQNSWAFRCWKRIQEFWSVPQEEPLTEQDLLPALGMSILHNLAGLIVIRTTVRKSSNTTYSDTQRKVLVKSSLKVLYRLISVEGDVVKKLRHNVFLLRNLAEILGDSMSKNLALDVNARQAIGRIKVIITRLMTTFLTPDGPSSTDADWLLRKVSGQALAMLAMDSVNNCLVMLSDTRHVFMKELAAMIHIDRYRCVAASLLWSMCLHARPELKESDLKELSYILREVLERIMVVDGVELDILIGLRSQICRAIPEDFSREIEDGLTKDRFAKRLVDVLNASIEPSTCCPGIRRVILELAINMMEYGSRYTSCFNDHSMSEAVSMVEETVLDAENYKSFSSVNCVCVYWW >KQL26320 pep chromosome:Setaria_italica_v2.0:II:43715790:43716176:-1 gene:SETIT_032295mg transcript:KQL26320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FTYNKQFHNVMAVSKADYKNCDANKPMATWNSGNDSVVLNTTGHHYFLCGIPGHCAGGQKVDIRVAASSSAAPSMAPTPEPSSGGGSGGATAAPSPHPNAAPKALLAGRSVAATVAASLLSLAAAVLA >KQL22367 pep chromosome:Setaria_italica_v2.0:II:2049630:2051864:1 gene:SETIT_029111mg transcript:KQL22367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSMLQNCKRLLSISLCVIIIAVGVKVDASGAAADQFVYSGFAGANVTLDGAAVVTPSGLLELTNGTLRQKAHAIHPAPLRFRNGTPETARSFSASFVFGILCPDTDACGHGIVLFVAPGSYDLSAAFPSQYIGLVNGTTNGDAGDHLFGVELDTDQNNEFRDIDGNHVGVDVNSLESVSSATAGYYDDRGGGGAFRNLTLASGEAMRVWVDYDGEEKRIDVTMAPLRMAKPSKPLISTAYDLSSVLTDVARVGFSSATGSFNSRHYVLGWSFAMDGPAPAIDISKLPKLPRFGPKHRARLAEIVPPVATAGLILSVGAIVVLLVRRRLRYSEVQEDWEVEFGPHRFSYKDLFNATEGFKNKNLLGVGGFGRVYKGVLPGSKMEIAVKKVSHDSKQGMKEFIAEVVSIGRLQHRNLVQLLGYCRRKGELLLVYEYMSNGSLDKHLYGGSDKPILDWDQRFKIIKGIAAGLLYLHEEWEKVIIHRDIKASNVLLDNDVDGRLGDFGLARLYDHGANPHTTHVVGTIGYLAPELGRTSKATPLTDVFAFGIFVLEVTCGQRPIKQNSNGDQLLLMDWVADHWHKGSLTDTVDARLHGSYNVGEASLALKIGLLCSHPLSGARPSMRQVIKYLNGDMPLPELNPTLQSFESLALMQNEGFDSYIMSYPSSMATMTTMSNLSGKVTTT >KQL22174 pep chromosome:Setaria_italica_v2.0:II:377050:379196:-1 gene:SETIT_032663mg transcript:KQL22174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNKLLNGTVRQLFSSTTTANRYAATGRLGDIDGSNTIMPLYAYAQCAPDSTDDLCHYCLQNFSDLAMANIGRRAGRVLGLRCNLRYEEYQFYSHFTWINGDGTLNPATPPPSPTPEPAPLPPTPIVLPPTHRQRKSYTKCQNTGQFPDGLEVAVKRLASHSRQGFTEFRNEIQLIAKLQHTNLVRLLGCCYQGEERILVYEYLPNKSLDFFIFDKTRSALIDWGKRLAIVEGIAQGLLYLHKHSRLRVVHRDLKTSNILLDREMNPKISDFGLAKTFSTDDIEGNTRRIVGTYGYMAPEYASEGLFSIKSDVFSFGVLTLEIISGERTSSFHRNGEFINLIGHAWKLWKDGLWLQLVDASLVVACHTSSIMRCINIALLCVQENAAERPTMSDVVAMLSSETMALPEPKHPAYFHVRMRNAEAPAAVMPSSVNDITMSALDGR >KQL22750 pep chromosome:Setaria_italica_v2.0:II:5100706:5103665:-1 gene:SETIT_033406mg transcript:KQL22750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDVWSAVRWWEDWQLRVLVLGSLCLQWFLLLAAPLRKYTIPRVIRTCIWLAFVSSDALAIYSLATLFNRHAKAASSGGYPPPPGTSAAGAAGSEQQKSNILEILWAPILLIHLGGQQELTAYTIEDNELWVRHTVTLVSQVAVALYSFYKSWPASGDWRLLSTAVLLFIIGVISFSEKPLALNKAKINRLADVSSVIQGTKPRSEWRERLNQFFLFESFTKLRESLSIRGGGQDSRKQTTLTDGDKVFMVVSDMSISAAADELVKRGKAGSVDDVLGGLDPAAERGLRRWLRGAFAFIYTRASVVFTLPYLLYHLLVVPVLHIAALVLFATSDKQPYKRTDVKITYILLCLTAALDFLAVFIRQLLYLLMSMTEVPSLCETVPGYNLVDTVLRERKKDIGWMYKLARWSGVKEEYFSCNRDLGELYRKVAGLVISDLVKIRGRDLAGYRTFTKPPPDPKTSAGEESPRKPTKSNWALNEDQQMLCTSEIRKSLLGAFDRSVLLWHIATDLCYRCTDWNKAGKEADEESTSRAGGAQAIIASPPHGQAGVECCTICGYTSRCARRSTSTTRNPLHLRIECTHAISNYMAHLLNFNPEMLLTGSRKHLITEAMKEIDSSIMTKEEGNSKINLINRKQLVYDILAEAAKRRSADGSEENQKTLHIQDALSLANELLAIKDEKTRWKLVYKVWLGMLCYSASMCRGYLHAKSLGEGGEFLSYVWLILSLKGAVTLADKLQMPAEKTEDAEESIEKDLESKAKGKAKGALFKKRAMAGDLEQYDRAIGVGLSSVGSKPIPKQTEEKN >KQL26132 pep chromosome:Setaria_italica_v2.0:II:42515316:42516656:1 gene:SETIT_031101mg transcript:KQL26132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMASMTGLSQGVLPSRRAASRARTAVVRASADGEAGRRAVLGLVATGIVGSVFSQAAHAEAVKTIKIGPPPPPSGGLPGTLNSDQARDFDLALKERFYLQPLPPAEAAARAKSSAQDILNLKPLIDKKAWPYVMNDLRLRASYLRYDLNTVIASKPKDEKKSLKELTGKLFSTIDDLDHAAKIKSTPEAEKSFAATKSALDDVFAKLG >KQL27227 pep chromosome:Setaria_italica_v2.0:II:48698924:48700832:1 gene:SETIT_029811mg transcript:KQL27227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFASPRRRRWSTWPRAAADAAASALAAAVFALLDVVDVLLCLVYALLDGILEESPVGCYCHRSYESEAVATDGDGDEEVSDTLYARRSAVRDALVGLVRVVVRKSRAPEKGPAAAPCKWRSPRWSDCGCKSCVAWRGGGGRLHVAVKEPEAKDEAGTDSEEISAENAIFVHGFTSSSSFWAETVFRESSTLNSRLFAVDLLGFGQSPKPANCKYRLKDHVEAIERSLVEHHNLSSFHLVAHSMGCVIALALAAKHPTRVKSITLVAPPYFLPSEQKASQVALSRLAEKKLWPPLLFGSAVMSWYEHIGRTVCFLFCKNHLAWEWLFRLLTRKRDVDFRVRDLTRHTHHSAWHTMHNVICGGARLQDRNLEAVEAAGIPVMIIHGVRDPVVPVDCSRHLKAKLPHAELRLMAGCDHATVVSGRERGFAEELAAFWSGSRAQGARRRVYAGCC >KQL22194 pep chromosome:Setaria_italica_v2.0:II:463283:464746:-1 gene:SETIT_032060mg transcript:KQL22194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLSAVLGELTTRSINFLIKKSFKPKALDVEDRLHMILLRAQVIVDEAMGRQITNQSVLQQLDMLRDAMYRGCYTLDTFRYQVHSEEEAKGQVLSYSLSLSKVNSLQGLCSSSTNLQILKQLNKSLDDLSSMILGVEELVVFLASYPRLYRQPYSMHLMLGNCMFGRQMETEFVINFLLHARPHGSKELDILPIVGPGRVGKTTLVAHVCEDERIRDHFSEILFLRDHDFTGVDLATVREGYAMEYKNRVSNSNEDGRLLVVVELVGDLNEDAWNRLYSSYTRDVPSGTKIIITSRSDKIIKFGTTRALSMKYLSHEAYYTDPKMHPRLACLAMEMARMSKGCFIGANMFACLLRDNFDIEMWCKVLAFTRGQNNKNILNFGGHPFDLINHKRPAYLGRMVTPFQYIVLHRGNECSKQEEVPKIKLQDVMYGSITAHGKFEILGWRSRIPPYHSFVDICEIQEVKTTSAKRKRSV >KQL22253 pep chromosome:Setaria_italica_v2.0:II:1009592:1009867:-1 gene:SETIT_033338mg transcript:KQL22253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPGRPEEMINRYVRLLTATENITRGLGTLALLWSTVVLLGGFVSTLRIKEFWVLTGLSILMACRYNLISLLNSEHVRTLSNFLNPLFSS >KQL24079 pep chromosome:Setaria_italica_v2.0:II:25750971:25751867:-1 gene:SETIT_030920mg transcript:KQL24079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIHVDGKCIPGQTADSRSPDLRLVNYRKAARRRGEVASAGGNDSGGAAAPCSSAEQSRLTRVAAALGRWARCRRPLGRSPSDGGCACGVRCLPGGGSTHGLLRRRAGLACAPRPRSSAGRAGVARGTWVPGHMTGDGAGKRQWLGAIQAASTRAAAASGGSAQRVGLSAIEWIVSSRMFEDIGRNYGYFPDPTNLYVVKCKMCVKDARSIALQAARIRRPYDNYLCVSIIVLFIAASCCCCSSIFVQ >KQL24080 pep chromosome:Setaria_italica_v2.0:II:25750639:25751867:-1 gene:SETIT_030920mg transcript:KQL24080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIHVDGKCIPGQTADSRSPDLRLVNYRKAARRRGEVASAGGNDSGGAAAPCSSAEQSRLTRVAAALGRWARCRRPLGRSPSDGGCACGVRCLPGGGSTHGLLRRRAGLACAPRPRSSAGRAGVARGTWVPGHMTGDGAGKRQWLGAIQAASTRAAAASGGSAQRVGLSAIEWVGRLYHLGCLRTLAGTMDIFLIQQTCMWSSAKCV >KQL24078 pep chromosome:Setaria_italica_v2.0:II:25750991:25751867:-1 gene:SETIT_030920mg transcript:KQL24078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIHVDGKCIPGQTADSRSPDLRLVNYRKAARRRGEVASAGGNDSGGAAAPCSSAEQSRLTRVAAALGRWARCRRPLGRSPSDGGCACGVRCLPGGGSTHGLLRRRAGLACAPRPRSSAGRAGVARGTWVPGHMTGDGAGKRQWLGAIQAASTRAAAASGGSAQRVGLSAIEWVGRLYHLGCLRTLAGTMDIFLIQQTCMWSSAKCV >KQL26647 pep chromosome:Setaria_italica_v2.0:II:45557422:45559242:1 gene:SETIT_032737mg transcript:KQL26647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRAVPGFLRRAHAIRSYPPVLRLSSQACCLNSSDNEEPGRNSAYIDYRSQCLLPSITLAVRTANWDAARNISFRECVRLYGLSQSIGLFALLVQLFLPRRIREIQCLIQSIVDYCENAGQELFELAPILVSRLGGSMTLLQVYAAVIRIFVELSMFEDALLTYIEAKKVGAELRLCNFLLKCLVKGNQIVYARSLFDDMKSSGPSPNVYSYSVLMSMYTHGERLCLEEAFELLREMEMNGVRPNAATYGTYLYGLCRSRQVTSAWDFLQNLCQRGCPCNTYCFNAVIHGFCSEGQVHKAIEVFNGMKKCGFLPDVHSYSILVDGLCKQGELLKGYDMLDEMARNGISPNHVSYSSLLHGLCKTGNVEFAFEIFKRLKDQGFKHDQIMYSILFHGCCQHLHLDIVNGLWDDMIHHDFVLDVYDYTNRIYALCRHRCLIEALEVFEFMLENGITPNIVTCTILVDGFSKEGLIGEAFLFLDKVHQSLAIAPNLYTYKAIINGLCKINKSNDVWELFADMIKRGYVPDAILYSIIIDGFVKALELQEAFRLYHKMLDEGIKPTIFTCTSLLNGLCHDDGLPRFRKLMRDMIGEDLVLDKILCTSIIAHY >KQL25608 pep chromosome:Setaria_italica_v2.0:II:39344785:39347968:-1 gene:SETIT_033276mg transcript:KQL25608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPAIIRYATVLVLLLLPPPPCATEDRLVPGKPLSPGATIVSDGGSFALGFFSPTNSTPAKLYLGIWYNDIPRLTVVWVANREIPVTNTTSSPPALSLTNASNLVLSDADGHVLWTTDVTGAAAGNATAVLMDTGNLVIWSPDGTNLWQSFDHPADTFLPGMRIRIRYETRAGKRLVSWKGPDDPSPGSFSFGGDPETFLQIFVWNATRPIWRSGPWTGDSFSVQVQFQMVISVIVNLNVVNTKKEIYITFSLSPGAAHTRYVLTDSGEYQLQTWNSASSAWAVLSEWTGGYCSRYGYCGPNGYCDNRWDGNPSTCKCLDGFAPANPEGWSSGRFSPGCRRKEALQCSDGFLALPGMKSPDKFVLVENRTFQECAAECTRNCSCVAYAYADLSTSRIKGDVTRCLVWTGELIDTEKIGVNAGSETLYLRIAGLDAALSLAWFKFKENPDDRPLMSSVVFTLENGSTTLPAPNNPGHYGQRSSDLEQIRDRTENSMNSLTLTNIEGR >KQL23190 pep chromosome:Setaria_italica_v2.0:II:9123282:9126541:1 gene:SETIT_032084mg transcript:KQL23190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLRKLETRPAIRRHTSRGSGILPPAINTEAWFIAVMLATGFLDSRSAMIIQKSPHGEVRKLELLVALLVFIMAACFFIEMSIVKPPSEEVIHGLFVPSLSGSGATGDTVALLGALVMPHNLFLHSALVLSRNTSSSVRGIKDACRFFLFESGIALFVALLINICIISVSGTVCNSRNLSPDDSAKCSDITLDSSSFLLRNVLGKNGAVVYGVALLACGISSTITGTYAGQYIMQGFLDIKMKQWLRNLMTRSIAIVPSLIVAIIGGSSGAGHLIIIASMILSVELPFALIPLLKFSSSSNKMGENKNSIYIIGFSWILGFIIIGINIYFLSSKLVGWILHNKLPIYTNVLIGIIMFPLMLLYISAVIYLTFRKDTVKFASRGELQAIETDKSKVANDSSNEENKEDLV >KQL26475 pep chromosome:Setaria_italica_v2.0:II:44591149:44592837:1 gene:SETIT_030271mg transcript:KQL26475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDASTSPRRRSTSCYSDSGDSSCSEPFSECGSDDLSFTPAAAAGIHRLLLSCAAEASEDAISSLVAELESPSPSLDSLRRAAMELRLLAKHNPDNRVRIAAAGGVRPLVKLLSHADPLLQEHGVTALLNLSICDENKAIIVEAGAIRPLVHALKSAASPAARENAACALLRLSQLDGAAAAAIGRAGAVPLLVSLLETGGARGKKDAATALYALCSGARENRQRAVEAGAVRPLLDLMADPESGMVDKAAYVLHSLVSSGEGRAAAVEEGGIPVLVEMVEVGSSRQKEIATLSLLQICEDNAVYRTMVAREGAIPPLVALSQSSSARPKLKTKAESLIEMLRQPRSPSLRARPTAVVAAE >KQL25254 pep chromosome:Setaria_italica_v2.0:II:36923358:36923527:-1 gene:SETIT_033731mg transcript:KQL25254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLLQQETTGKSSLATVAEPSSPCEATPLPSYTQEDACFIASDDYLR >KQL24214 pep chromosome:Setaria_italica_v2.0:II:27683557:27690810:1 gene:SETIT_028799mg transcript:KQL24214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQSCSAAMWMPWRLPPPCRWRWRLLSAFFLGLLLAEAAVHGAGTQAPAPAPAAPPPRLPPPEARVLRRIAAKLRVTHWDLAAGPCDDPAAGVDCQCSAAAASNQTVCHVVRIVLTGRNFSGELPPDFADLPYLQHLDLSRSLFHGGVPDRWAHMKLKLLFLMENRLSGPFPMVLTKITTLAQLDIEGNGFYGPIPPEIGQLSQMEKLVLSTNEFTGPLPTNLSLLTDLTELRISSNNLSGRLPDFWEKLANLQILEIEGSLLDGPIPPSLSKLTNLHDLRISDLRGSGSSFPDLSRMPSLKKLILRNCSIGGSIPSYIGTWTTLKHLDLSFNRLSGQIPASFAYMGRVDYIYLSGNSLTGNIPGWLLTRNKIADISFNNFTAGSSGPSQCLPGSVNVVESFSPEMNRLNSVQPCLKRNFPCVALNGEYQTSLHINCGDKEVIINQTKYEADTTPKGASLLYVSPGSNWAFSSTGNFMDDNITDDNFIATSTSKLAMPNSELYTKARLSPLSLTYYGLCMFSGAYTVKLHFAEILFTNDSTFCSLGKRRFNVFIQGRMVLEDFDIKQSAGAVGKPVIKTFQTHVINHTLEIQFYWAGRGTRSIPYRGSYGPLISAISVTPNFKPPLAVEPPKTGSSKKAARASMSDALVFGIPIIAIFSALIVGIYFINQRRKSLMHKDLQTLDLQNGSFTLRQMKAATSNFNTANKIGEGGFGSVYKGLLSDGTVIAVKQLSSRSKQGNREFVNEIGMISALQHPNLVKLYGCCTEGNQLLLVYEYMENNCLARALFVEQHRLRLDWATRRKICLGIAKGLAYLHEESAIRIVHRDIKASNILLDKDLNAKISDFGLAKLNEDDHTHISTKVAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEDFVYLLDWACVLQERGTLLELVDSDLGSNYSTEEALCMLNVALLCTTAAPTLRPKMSEVVNLLEGRTSLQPLLSDLSLAENSLSSSAVRMNFWQILSKGQSLAAQALCNDTNGVMDQQP >KQL23259 pep chromosome:Setaria_italica_v2.0:II:10280452:10281178:-1 gene:SETIT_033009mg transcript:KQL23259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVKASIERLIDVPMLVYEFAPNGNLHNVLHGKANRHLSLSLDLRLDIATESAEGLKYMHSSTNHTILHDFGTSKLLGREEDLTMFVVGSMGYIDPVFLQTGLLTQKSDVYSFGVVLLELICRKPTIYDEHCSLVIEFHRVLEKDNSGRAMFDKEISVTKEDVFVLEEIGKLAMECLDEEVEYRPDIWR >KQL27132 pep chromosome:Setaria_italica_v2.0:II:47997126:47999419:-1 gene:SETIT_030863mg transcript:KQL27132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDHIELHQKRHGRRLDYEERKRKREARKVHRLSKDAQKLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTSRQKADDVQEGALPPYLLDRDQTQRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGAGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >KQL22770 pep chromosome:Setaria_italica_v2.0:II:5176035:5176598:1 gene:SETIT_033522mg transcript:KQL22770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIAMLTNVEAPCALLLRTLRKYLALILRVGISTKLRSRSRYMLP >KQL26526 pep chromosome:Setaria_italica_v2.0:II:44906999:44907667:1 gene:SETIT_033281mg transcript:KQL26526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPEPEVFDVVIFGASGFTGKYVIREALKFLSPDSSSPLRSLAVAGRSRDRVAAALRWAAAPAPPPEGVAILVADASDPASLAALASRARLVLSCAGPFRLHGYAVAAACVAAGADCLDISGEPEFMERVEAELHEPAARNGSLIVSACGFDSVPAELGFLFHSRQWEPPSAPATVEAYVSLQSTKRIVGNIGTYESAVLGVANASQLQALRRSRPRRPRPN >KQL23854 pep chromosome:Setaria_italica_v2.0:II:21298534:21299091:1 gene:SETIT_033716mg transcript:KQL23854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQQENSAVAILQMLIELTLLSNFTVRHSLETNRAKQRR >KQL26135 pep chromosome:Setaria_italica_v2.0:II:42521824:42521873:1 gene:SETIT_0314221mg transcript:KQL26135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRRGRNAAHRHTRSR >KQL24245 pep chromosome:Setaria_italica_v2.0:II:28101938:28102616:-1 gene:SETIT_033475mg transcript:KQL24245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSKSHSARRAAGSSSSAAGGDDRAPWLRLTAFAVLTVHSAFSAYLARDDARLVGLVAVGYLLMLVLLFYGGLPLPGLQKRD >KQL24599 pep chromosome:Setaria_italica_v2.0:II:31673756:31677566:1 gene:SETIT_032523mg transcript:KQL24599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYNNTPSITAETINPKVKIFNYEPCGEIVRHAERLQLEMDENPDSLPFPEIIHCNLGNPQVLGQRPVTFFREVLSPCDNPALLDKDEARSLFSPCLIRRARSIINSNPGKETGGYTNIGINESICINHNLKDQQATLVLLGVVQLIPGDLRQAVADGISARDGYPSKPDDIFLTDGASAAVNMMMQILIRSHEDGILCPLPEYPLYSASIILHGGTMVPCNLTEDRGWGLEIFEVKRCLEVLSITNQEEIVEFCRKEGLVILADEGSTVYQENVYVENKNSFKKGARSLGYDEKDLSISFYSTPSSLRGPSLGTARFYGESGRRGGYMEITGFEDEVKGEIYKVASVTICPNIAGQILTSLVIDPPKLGDDSFESFEAEKEKIHSSFLKRAKTLEKAFSSLEGVSCNKIEGALYIFPRLHLPSLAIKAAEGEGVSPDVFYTHRLLDATGIAVVPGSGFHQVSGTIHIRCAILPDEDKIAAMIPRLKAFHESFMNEFRGSEPYMNDLRR >KQL25226 pep chromosome:Setaria_italica_v2.0:II:36774323:36779436:1 gene:SETIT_028963mg transcript:KQL25226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISDMSFSVSTQLGTGGSRCEWGSRNGISGLLAQKSNAISPSFWCMIREILKFKDDALKYLEDRENNPDMDRNETLGQFIQSHGYSQFFQEAYLIPICACIWSCPSQGVLGFSAFFVLSFCRNHHLLQLFGRPQWLTVKGRSHTYVQKVREELESLGCLIKTSCEIKSVSSSDGGLRVTTVDGSEETYDRVIFGVHAPDALKLLGAEATHEELRILGAFQYVYSDIYLHCDKSMMPRSSSAWSSWNFLGTTSKGVCVTYWLNLLQNIESTDRPFLVTLNPPHVPDHVLLKWYTSHPVPSVAAAKASLELHHIQGNRGIWFCGAYQGYGFHEDGLKAGKSAAQDLLGNKKGLLENPKQMIPSWTEAGARLLVARFLGQYISVGNLVLLEEGGTMFSFGEAGKKCHAKSVLRVHDPMFYWKVATEADLGLADAYINGYFSFVDKREGLLNLFLILIANRDANKSTSSGTSKRGWWTPLLLTAGVASAKYFLRHISRKNSVTQTRQNISQHYDLSNEFFSLFLDPSMTYSCAIFKTEDESLEAAQRRKIGLLIDKAKVERDHHVLEIGCGWGSLAIQLVKQTGCKYTGITLSVEQLQYAQKKVKEAGLEDHISFMLCDYRQIPTRRKYDRIISCEMIEGVGHEYMDDFFGCCESLLAQDGLFVLQFISIPEERYEEYRRSSDFIKEYIFPGGCLPSLARITSAMSAASRLCIEHLENIGYHYYPTLIRWRDNFMANKDAISALGFDDKFIRIWEYYFIYCAAGFKSRTLGNYQIVFSRPGNDKLGDNDPYASFPAANQDSS >KQL26095 pep chromosome:Setaria_italica_v2.0:II:42312081:42313006:1 gene:SETIT_033818mg transcript:KQL26095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRISDFGMARIFCSNVKESNTTRIVGTRGYIPPEYAFHGICSIKSDVFSFGVLTLEIISSKRTAQFYEYNGKLYNLISYAWQLWSDEKLGELIYSPSGNGHHEIERCIHVALLCVQESAEHRPDMERVITMLNTKDVNLPNPAQPAYFHVNPSEEEVLSCSATMSITLER >KQL23220 pep chromosome:Setaria_italica_v2.0:II:9836812:9839123:1 gene:SETIT_031132mg transcript:KQL23220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKHDTIRSRDVSYYIFQAASPPSALSGSNFPACFQFFSRFKGFGARRRLSRPGPAQAVRLDYISGQASPSRTPTLVLRATKETDLVFGSQFVSRRRRPSSRSDPVDHPMASASASWSKRWIRPEVYPLFLATGAAVGICAMQLIRNITGNPEVRVLKEKRAAGVLENHEEGRRYSQHGFRKYIDGKKPEIMQSLNSWMADPKE >KQL24356 pep chromosome:Setaria_italica_v2.0:II:29159180:29160291:-1 gene:SETIT_033249mg transcript:KQL24356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKDFGRSHLSRSGGFLAMLSMIQDFLLLFLA >KQL25645 pep chromosome:Setaria_italica_v2.0:II:39555417:39556029:1 gene:SETIT_031804mg transcript:KQL25645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFGKHVFPRQIALVASGVLFFGATTYDVHRSIKNNEQPPTREQMEALQDYINSKKQ >KQL24756 pep chromosome:Setaria_italica_v2.0:II:32873102:32875045:1 gene:SETIT_033016mg transcript:KQL24756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGAWAAAAAAAVWWMAAGADAVWLEIAPSGSKCVSEEIQSNVVVIGDYSVLYEHHHAHPTVSVKVTSPFGDIVHKKEKVSMDRFSFTTAEAGNYLACFWIDGEDRGLMAKLNLDWKIGIAAKDWDSVAKKEKIEGVQLEMLKLETAVQSIHENLLLLKSKEANMRDVSEKTNARITWLSLLSLSVCIAVSVLQLWHLQQYFCKKKLI >KQL23027 pep chromosome:Setaria_italica_v2.0:II:7574162:7576690:1 gene:SETIT_032546mg transcript:KQL23027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLFYTQQHGEPPVPLARTIHRGAAAAPGSGDRREAHLEPADEAGRRIYIVLLEPPAASQDMDADAHRAWHQSYLPSMTTAVGEPRLRRSYRTLVHGFSARLTEDELKQVSAKPGFVRAFPNVIRYLDTTRTPAFLGVQFPQSTARFRFLDWPGYGGLGTIIGIIDGGIANAHPSMDDAGFEEFKVPERWRGSCHNDIKCNKKLIGARNFVGVGPPLDVADAHGTQVTTIAAGNFVAGANFVAGANFNGLASGIASGMAPQAHVAVYKACGETGCTDESLLSAIVAAVHDGVDVISLSVGGNSQAATYDHDPIAVASFAAMQAGILVVATAGNNGPSPSTVHNDAPWLLTVGAGTVDRSFMAGVQLEDVYATVVNGQSLANRQWQMAVRPEVAHDILYSEDGDRANCVYPEDELPVRVPGRCVVCQAGGEMRATTLQKLQSNNASAIVMVDREEFGCTGMVMNMPRGMENTYTPVLQVTYKDGGVLESLASSSPLPRAVIDFTRGTVVGATQAPTVAFFSSRGPSRYPAILKPDVLAPGVNILAGVPPDQDGVYFQFTSGTSMAVPHVSGAALLLKSVHRSWSPAAIRSALMTTADTVDKSGRGILDEQLNRADAYKMGAGHINVSRAMNPGLVYDLNERQYAAHVCSTLGEAALRAVSRNDSWRCSELPTTHPSNLNYPSITVPLQPRMAFSMVRTLTNVAPRRLETAPETYTAKVVMPPEVRVTVYPSTLSFTYPGQEASYHILVSSTDTVPVKGAVYQGTVEWSSSDHTVTSPMLAVVGLGTSQPSTPWKLN >KQL23073 pep chromosome:Setaria_italica_v2.0:II:8227080:8227644:-1 gene:SETIT_032284mg transcript:KQL23073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITQATRLSLLLTVASAVSLAICGGVAGQQASGVVATYNMYNPAQINWDLRAASTFCATWDADMPLSWRQHYGWTSFCGPAGAHGEPSCGRCLQVTNTATGAQTVARVVDQCSNGGLDLDISVFQQIDTDGGGMAHGHLIVDYKFVDCHD >KQL24901 pep chromosome:Setaria_italica_v2.0:II:34480442:34481902:1 gene:SETIT_032369mg transcript:KQL24901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLATKKKAIANNVSHFKRAVCLLPPLLLAAVLYLQFQTATGLFSSISRIVSQPAAIDDLVDRLRASATFLPLKDTRERSETWFISTHDDVSEPDGEAKNLVFPSAASAGRLLCLAAPSRHDGTRNAYALAWRDALPHGAALRPGLSFVSETAYDHSNLWHGLTALVPFASWHARSGCRARPARWALFHHGEVRAGMSGWLTALAEAATGANMTVETFDAPGPVCFEEAVVFRRNLEGLTRERLLGAFDFMRCKARAYCGVDASSAGGTDPTALRVTLLFRSGGRAFKDEVAVTRVFQEVCARVTGCTVAAAHSDNVTFCDQVRLLSATDVLISAHGAQMTNLLFMDRNSSIMEFYPLGWRQRAGGGQFVYRWMADRAGMRHEGSWWDPNGEPCPRSPDILSCYKNRQIGHDEAYFAQWAARVFAAVKERKARRAAEVPASERRRKAATCNCS >KQL22281 pep chromosome:Setaria_italica_v2.0:II:1228272:1229968:1 gene:SETIT_032316mg transcript:KQL22281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMLSSVVIGEAVNKIISSLITKDEGKHNATENIERLEMAHIKMESVLHMADKWNITDATLLRWQNKLKRATLECDEALRRCKKRALEEEETSQSWFPKRIAHATKSFISSVIGSDSDESSNGSGDVVRRFEWFADGANEFLKFIEFGGTPRKYMFFNPLIGHLLSGKSMRYRGVQGSKLYYLGIRPMSFADRGVEAMVAFVFQDFKEPTKGFCLGFMLRLSESTDIFGVIIKSMESVTPHCKFASEGVKRGLIQLPTQDFSWLPYSLYSKNKYWENIHSILTQWYRPNPLCCNEHKHNLTTSSSSTCNTTRLVSSMFPEEVIVMLLQCHVSLSNYQQKSSHLTSAAGHGGSSSLNNSDVPPVKLAVLIIPHDSPKDIDPPAESYALEMIDEKEGMAHTNACLPDLDEKLLPKAIGYLHQNSESKTYQMCLKSRHGTAHLCVEKMSAHMRRVCTSKSKTTARQAGHKRVRDSVGLWKELSRDFLNLNYRRSGVADWT >KQL22309 pep chromosome:Setaria_italica_v2.0:II:1549305:1550562:-1 gene:SETIT_032652mg transcript:KQL22309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSAVVQEGMSRAFSFMLGKHLEKASEGHSAERLEMALFELEFALERTAKLPITEASLLRRRKLLKRGYVEGINLLNKHKLQGHQERPGVKRKRWITCSKNLSISSFGGLNTDGVRRFEWFADCAGKFVRDVESGCSLRHHTFCNPLVRHLLNGKALKYEMVQGSQVREFFIRPAFLGERGVEASLHFQYMDRSMPEKSFRLLLWLRVSESTDLVAIAIRCTESLTFLSKPAAESAIAELTLLPNVLDSAYAMPWVGNNELYVLITKLSRQDPVCCTADGQGSSNIMLSELSQIFPEQVIAFRFESLISAPNYCSSCSSDGLCCTKVQPPTLLLSASFWPHTELMIEATEFRRGSLEETSNMARKVAMECLISQKELKEHTVRWASKHGAALFCVKSSCQERRQ >KQL23322 pep chromosome:Setaria_italica_v2.0:II:10813184:10814301:1 gene:SETIT_032981mg transcript:KQL23322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLPSSSAFRPADPAARGAMASQQQRQSFPHASTAARGMLSFSSAAPSGPADPAAQQQDFRMREFDYFVVVDFEATCEKDSRIYPQEIIEFPAVLVDAATGGLVSSFRTYVKPRHHPVLTAFCSELTGIQQEQVDGGVDLATALALHDKWLAAAGVSKNRLAIVTWGDWDCRTMLKFECNFKNISKPSYFNQWVNLRIPFETAFGAGRRNLQEAVREAGLQWDGRLHCGLDDARNTARLLVELMRRGVRISITGSLVPPPLPEPEPELEPEPELQHQRRCGDHTRTNARTILLRLWELDADMSFLPLGGLIAGHLDSIASSALRLVSYYSLIHTHGVHS >KQL23657 pep chromosome:Setaria_italica_v2.0:II:15813228:15814194:-1 gene:SETIT_031887mg transcript:KQL23657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSPCGACKFLRRKCVKGCVFAPYFCHDQGAAHFAAIHKVFGASNASKLLTNLSDTVRSEAAVTMSYMLASLKAQASQGYTNEFLMPGTQQDNICENKFVAYQQGEEDVQKNGYYGMDTLQTMALAYLN >KQL26626 pep chromosome:Setaria_italica_v2.0:II:45463376:45467956:-1 gene:SETIT_030105mg transcript:KQL26626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGTPAGDAGPSSHGGAGFGSGSRAPALPRRFPGAAQPEIMRAAEKDDSYAAHVTEACRDAFRHLFGTRVAVAYQNEIKLLGQSLYYLLTTGSGQQTLGEEYCDISQVATLHGLPPTPARRILFILYQTTVPYLAERISSRIVARSIALNESQFDDHLESDNSSSGIAQSTTNNHVPSRSLSVSALSRLRGRVHALWQWVLQKWPSMLPFAQDFIQLAIRTNLMLFYFEGLYYHLPKRAAGIRYVFIGKPMNQRPRYQILGIFLLIQLCILGAERLRRSNISSIASSINQISSGSYPSSTGRGVPVLNEDGHVISDICGGKAADVASHSEASSGKSKCTLCLGTRQNPTATTCGHVFCWNCIMEWCNEKPECPLCRTPITHSSLICIYHSDF >KQL26167 pep chromosome:Setaria_italica_v2.0:II:42730416:42732136:1 gene:SETIT_032717mg transcript:KQL26167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRQRARSSEELKAEDFVDSLPGDAQPAATEYKSKNLVAERRRRGRLNSNILALRAIVPNITKMSKESTLSDAIDHIKKLQNQVLELQRQLADSPGEAWEKQGSASCSESFAATENMPHQGQVELVPLGPYKYHLKIFCKKAGTFTKVLEALCSYNVQVTSLSTITFYGYAESVFSIEVKGEQDVVMLELRSLLSSIVEVPNN >KQL26997 pep chromosome:Setaria_italica_v2.0:II:47310583:47311345:-1 gene:SETIT_031435mg transcript:KQL26997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAASFVAIAVALMAFLQPAATSPPAPPATGVPTTCSYTLITLFDCLPFLSLSTSLSGPSATCCTRLRSVLASPGSICLCHLIGGGVSDFARTNIDPVRLALLPFVCLAIVPPQLPARCLVGPVPPIRNDTSSPALPPPSHN >KQL27045 pep chromosome:Setaria_italica_v2.0:II:47566760:47568348:-1 gene:SETIT_032086mg transcript:KQL27045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLAIPALHSVGTKITSTTITKPWQRACQCACVSGSHGAELQVLAAEAEQAAVSEAPRFRWDAFGSELSESQERAVRGLSPKLSNRCKALMTRVVCLSPGDQNLGPLLAYWVKAMKPKRADWLLVLKELKETESPLLTEVLEYALLENSFEANVRDYTKLIHIYGKQKLLQKAEDTFHAMKGRGFACDQVMLTAMMDMYSKAGDLTRAKEIFGEIILLGLPLDKRAYGSMIMAYIRADMLDKAEELIKEMEDQQIFAGKEVYKALLRAFSYKGDSDGAQRIFDAIQFAGIVPDTKLCALLVNAYCLSNRMAEAVCVIRNMRSAGVTPCDKCIALVLGAYEKVDMLETALGFLTELEENGIAIGQEPSQLLAVWFRRLGVVHEVEQVLKESSQKT >KQL26955 pep chromosome:Setaria_italica_v2.0:II:47105057:47108820:1 gene:SETIT_029435mg transcript:KQL26955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSKLSSALFAGTHFNRKRFAADFARFHQGPTPSPAAPSALSPEKKRKRKSGKAKAKNNKKKRAEAAAASSSDVVEGFNVFKGLVGKNDELRSEKVVIGKDEDSVSVRRRKEIEREIERAAVLRKRFDIHIAGQNVPAPLESFEELISRYGCDSYLVGNLSKLGFQEPTPIQRQAIPILLSGRECFACAPTGSGKTLAFLFPLLMKIKPGSKGGVKAVILCPTRELAAQTVRECKKMAKGRKYYIKLMTKDLSKSGNFKDMHCDILVSTPLRLDHAVKKRDLDLSSVEYLVLDESDKLFELGFVEVIDSVVEACSNPSIIRSLFSATLPDSIEALARTIMHDAIRVIVGRKNSASSLIKQKLIFAGTERGKLLALRQSFQESLNPPVLIFVQSKDRAKELYKELAFDDVRADVIHADLSEEQRQDAVDNLRAGKTWVLIATEVIARGMDFKGVNCVINYDFPESAAAYIHRIGRCGRAGRSGEAITFFTEEDKPFLRNIANVLVSSGCEVPSWIMALPKLKRMKHRVDRDPISTLPDED >KQL23084 pep chromosome:Setaria_italica_v2.0:II:8303538:8303993:-1 gene:SETIT_033598mg transcript:KQL23084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKVTATWHTPCMGRRDLHQNSEAVPEQQYSLTDTH >KQL23877 pep chromosome:Setaria_italica_v2.0:II:21925151:21925682:1 gene:SETIT_031787mg transcript:KQL23877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIYLSMRKYFKRKVELANNFSMVVHYTNSKQNALLDFQIGRELANQIFYRYLRLIIYNLVML >KQL24197 pep chromosome:Setaria_italica_v2.0:II:27338771:27339748:1 gene:SETIT_031963mg transcript:KQL24197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSIAPAAPHQMFHRAGAAPHQMMTAAAPAPEEMRLSDFDWIGDLGAGGFARVSKARHRRTGAVFALKMSYDPDPDVEEEAEVLRRAAGSPHVVDCHALLRGPAGEPACLLEFMDAGSLSRVLRRRRGKGGFPEPALAEAAAHCVVGLAQLHSRGVAHLDVKPDNLLANSRGEIKIGDFNTSKILYGRAGEHLQVPLTAGTRCYFSPERFAPIARAGPQGAMAADVWGLGVTVLELFLGRFAVVPDVKKASAAELELAICHGEPLRVPEEAEASAELRRFVAACLQREPTRRATVPQLLGHPFLTGRDVEASRRALRDLIVETL >KQL23871 pep chromosome:Setaria_italica_v2.0:II:21819389:21819825:1 gene:SETIT_031749mg transcript:KQL23871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNIHIGGVVAGAVGGDHGRDGEDGAEALRHPQHQAGSRSRPPHHLLHLRSRSGPCCWIDYSEGRATAGS >KQL22137 pep chromosome:Setaria_italica_v2.0:II:140861:141940:1 gene:SETIT_031958mg transcript:KQL22137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRRRFVNLVAENIKTAVFSVHRMNVSEHLFYPSTAEAEAARPAQVVSRLGALPPPAASFRAAFVTAYNGQLFALASPRSSESRILWSSSVPRSSLLYDLESNSHHVVPNLSYKGRNPIAISIARPDAPEEDIYVMSVGTDYPGFEVLRFGRSAQWYLQHRPESWQLESLPEPPLPYGAKIRSHAVLHDGRTICVTAPDDPYAAGGPYGAYLFDTVKREWRRPPGGWNLPFFGGAEHVPNLKLWLGLCSRGRRLCASSDLSAALDEGKPPTLKHQWDIVVMPEEWQPGKVSLINLGEGRFCIFKVMHWVVDEDDGFDGFSARALLTGVEVISSPEEQGLRMVAHKSFSYILGKERIEWV >KQL23338 pep chromosome:Setaria_italica_v2.0:II:10995254:10997704:1 gene:SETIT_031248mg transcript:KQL23338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANGGASRRSRPNVLVTGTPGTGKTTTCSLLSEAAGLRHVNIGDLVREKSLHDGWDDDLECHVINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYTGSKLSNNIECEIFQVLLEEARESYKEDIVTPLRSDNVEDISRNVGTLTDWVNNWRPS >KQL27034 pep chromosome:Setaria_italica_v2.0:II:47505436:47511166:-1 gene:SETIT_032479mg transcript:KQL27034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSASRREAAAAKAAKSGELPNNPKSGGVSWKDVAAAATATAKSGEVSKAVAAVREAAAVHHEGWMVRYGRRKIGRSFFHTRYFVLESRLLAYYKKKPKDNMVPLKSLLIDGNCRVEDRGLKTHHGQMIYVLCVYNQKEKEHQITMGAHDIEDALVWKKKIELLIDQKPDPAAKNHKAFATMDFDMELGGQFSLSDRDSGAEEEEEQPTLTRRKTIGNGPPDSIHDWTKDADFGLSNQNDPTQLYSKKNWRLLRCQNGLRIFEELLEVEYLARSCSRAMRAVGVVEATCEAIFGLMMSMDATRYEWDCSFRQGSLVEEVDGHTAVLYHRLQLHWCPRLIWPRDLCYVRYWRRNDDGSYVVLFRSTEHPNCSRQRGYVRAFIESGGFKICPLKSRNGRPRTQVQHLMQIDLKGWFLNYSTSFQYHSLLQILNCVSGLREYFSQTDDIHITPRIPAMESMDDEKPNEVDPKTKPADQDHAENRNMGTIDEESDDDEDYQVPEADIEEGPNKSDNEAKHTGLFPILSNIPAFSFLLFSFVNMTDEPPEKIDLSCFSGILHHDPDEKSRNCWTVPDSKLFKVRSKNFPHDKSKIPAASYLMELAAIDWFKDTKRMDNVGRQKNCVAQVAADKGMHTFVVNLQIPGSTHYSLVMYFVTSSLKKGSLLQRFFDGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYVRGPGYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYEELPEQVIGAARLAHVEPSTAVVPDLENNSDINKDNSSNDVASSEDDSSKKTN >KQL23611 pep chromosome:Setaria_italica_v2.0:II:15462500:15464884:1 gene:SETIT_031058mg transcript:KQL23611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALPPPPSLRLRAFRRDGILSAAGRFPSIPGSLFPRSSIRSGSRTGRAYCLFSGGGNRKKQDEARKALENALGQKKAEFDKWDVEIERRRQRGRPGGPAAAGGGWSGGGRWFRWLTSGDFWDAAKQTVLTILGIIAAFFLIANFNVLVAAIINSLLLVLRLIRRTLSFIAHCVFQDMLVERPRPKSSTLDNNNVATVPVKERAGMSARERVVRKWGTD >KQL22326 pep chromosome:Setaria_italica_v2.0:II:1759308:1760567:1 gene:SETIT_033305mg transcript:KQL22326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPVIFSRGFRFNPTPLEAATYYLPRLVAGAPLHEAVRPVVNHADVYGCEPGDLARQFCPLPRTGHRFFFTHCKLQQPQRAGKASRATRVAGSGSWHSQSVKDVVDHAGVKVGEIRKLRYKKGGEYTDWLMDEYSCCLEDAVAGDKQFVLCNIYVSPRADQGSAARQESAAFFAPPAPAPVVILAQAAAAPKRPAPQSAEPPCPKRMRGAVAPTPPVVQPAGYCTASFAPPLPYPYVPHIAASAQPPPPPVPTRLIAPPQSRSPAPTPLQSRSLPKQQAPPPTLSVVRACHMPVEEPARHCQPPQPSEQRKQSTRDPFEAAEQRDEAEEERVAAPVDEDDDWAELEKCMDDAVPTAEGSTMSEDEMDQHLSSLLFEEEEIIPDAIAAPDPASKGSKSALQDDLDLFHKILDDTLRRS >KQL26705 pep chromosome:Setaria_italica_v2.0:II:45865842:45868955:-1 gene:SETIT_031135mg transcript:KQL26705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWNHVFSKTEFHVLILGVHKAGKTTFLEKLKSIYLKGEGLPHDRIVPTVGLNIGRIEDANVKLVFWDLGGQPGLRTIWEKYYEEAHAVIYVIDSAAAASFEDAKSALEKVLRHEDLQGAPILIFANKQDSPAAVSEEELARHLHLKELDERPCMFQAGSAFDGTGIKHGVDWLVEEMERSKRTELLRVRTEAAGKI >KQL23291 pep chromosome:Setaria_italica_v2.0:II:10525835:10526506:-1 gene:SETIT_033431mg transcript:KQL23291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKPVHSSNGPTQSGWYLKVKDADECLRKSAAELDRIVFRCVTHVQSSMVKREM >KQL22875 pep chromosome:Setaria_italica_v2.0:II:6021769:6025343:-1 gene:SETIT_029833mg transcript:KQL22875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQFMVELVGTKAVDGEAPPRILHFNPRIRGDYSRRPVIEMNSCYRMQWGQSQRCEGFASRPAEDTVDGQLKCEKWIRDDDNKSEESKMKWWVKRLIGRPKDVHISWPYPFAEGKLFVMTLTAGLEGYHVNVDGRHVASFPYRTGYSLEDATALSLNGDIDIESIFASSLPNSHPSFAPERYLEMSEQWRAPPLPTEPVELFIGILSAASHFAERMAVRKSWMMYTRKSSNVVARFFVALNGKKEVNAELKKEAEFFRDIVIVPFVDSYDLVVLKTVAIAEYGVRVVPAKYVMKCDDDTFVRIDSVLDQVKKARSDRSVYVGSINYFHRPLRSGKWAVTYEEWPEEVYPNYANGPGYVISSDIARYIVSEFDNQTLRLFKMEDVSMGMWVEKFNNTRRPVEIRHDVRFYQSGCYNGYFTAHYQSPQHMICLWRKLQSGSAQCCNVR >KQL26245 pep chromosome:Setaria_italica_v2.0:II:43296178:43296660:-1 gene:SETIT_033637mg transcript:KQL26245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMVPTTQPDDCCFTSMDGAYCTNTIHKVRGQNTSAKLEQ >KQL22333 pep chromosome:Setaria_italica_v2.0:II:1806091:1806797:-1 gene:SETIT_031499mg transcript:KQL22333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTKTTFAVVLLTVLALSAPLASSAAANPGGNPGGFFGAGMPWFGGGGAGGPGAGGFFGGWGEGGRGYRRGAVVPPSMVCAEQGPCRGKRLTCPARCFRSFSYKGAHGGGGGGGGGCSFDCTTRCVATC >KQL22175 pep chromosome:Setaria_italica_v2.0:II:383576:384329:1 gene:SETIT_031507mg transcript:KQL22175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRALAAAAGGVASAAGFGPILGVALLSVWVISLAVLLCGDSSEETPAQRAERRNARASGSEETTHAQRERRKARPKSSSGAAIGANGYASAMSYSAAADASAANSNMIASFSSSNCSAPTCSAPSYC >KQL26460 pep chromosome:Setaria_italica_v2.0:II:44485468:44489416:-1 gene:SETIT_030054mg transcript:KQL26460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTATVATGAANTAALLLSLVLVLVLLAPRASGAAGAGRGDCHFPAIFNFGDSNSDTGGLSSLFGAAPPPNGRTFFGMPAGRYSDGRLVIDFIAESLGIPHLSAYLNSIGSNFTQGANFATAGSSIRRQNTSLFLSGFSPISLDVQSWEFEQFINRSQFVYSNKGGIYRALLPRAEYFSQALYTFDIGQNDITSSYFVNNTTEEVEAIIPDLMERLTFIIQTVYSRGGRYFWIHNTGPLGCLPYALLHRPDLAAPTDGAGCSVTYNKVAQLFNLRLKETVASLRKTHPDAAFTYVDVYTAKYKLISEAKKLGFDDPLLTCCGYGGGRYNFDLNIGCGGKKQVNGTWVVVGKSCADPSKRVSWDGVHFTEAANKFVFDQIVAGALSDPPVPLKRACQSKGR >KQL25937 pep chromosome:Setaria_italica_v2.0:II:41361965:41363775:1 gene:SETIT_032535mg transcript:KQL25937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNFLLYQSSVFSPTEDVLCGYLRGIDNSQEYKKRRAVCISSEPERSSTDSEVGEITSQKSEVTSHSIFEHQSFTKSMAESMNVSKTRLCGKILKKLMDHKGGWLFHKPVDPVLYGIPDYFDVICNPMDLGTVKKKLTNKQYVTASEFAADVRLTFANAMKYNPPGNDVHAVAEQLNRIFDSEWRSVIRKWNGRNPVQEQKPMKATKPEAAMKSKSLIPRGLVTCSNSLAKEPSINAISSKVKIKFFVRGCENTSLKAGIQEHSIDNSLDCTKGNDKISRIQSNESCALSNGNESPSCNSTSPLASCEQGEESYLHLEPLSPSKALRIAMLKSRFAGTIVKAQQNALLDHGKEVDLAKLQLEKERLEKSQLE >KQL24971 pep chromosome:Setaria_italica_v2.0:II:35112430:35114316:1 gene:SETIT_032224mg transcript:KQL24971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHHTLLFRNLLPRRTSKSYTSATHLATPAAAIPAPSGLSALDLLDAGELAPTPRLYHSLITACAQSKNLADARAIHAHLSRSLFAVDAFLLNSLIHLYCKCGAVSDARGVFDGMPSRDTVSWTSLAAGYAQNDMPAEAICLLPDMLKARSKPNGFTFASLLKAAGACGDRGVGEQIHALALKYNWDEDVYVGSALLDMYARCEQMDMAVAVFDRLDSKNAVSWNALISGFARKGEGETTLMTFAGMQRNGFEATHFTYSSVFSALAGIGALEQGKWVHAHMIKSGEKLTAFVGNTMLNMYAKSGSMIDARKVFDRVDQKDLVTWNTMLTAYAQYGLGKQAVAHFEEMRKCGIQLNQVTFLSILTACSHGGLVKEGKHYFDMMKDYNVEPEIDHYVSFVDLLGRAGLLNEALVYVFKMPMKPTAAVWGALLGACRMHKNAKIGQFAADHVFELDPDDTGSPVLLYNIYASTGKWDDAARVRKMMKATGVKKEPACSWVEIENSVHMFVADDDTHPNAAEIYRMWEEINMRIRKAGYAPNPDHVLLHINEQERETKLQYHSEKIALAFALINMPAGAMIRIMKNIRICGDCHSAFKYVSKVFEREIIVRDTNRFHHFSNGSCSCGDYW >KQL26889 pep chromosome:Setaria_italica_v2.0:II:46786098:46789007:-1 gene:SETIT_030945mg transcript:KQL26889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRIQFENNCEVGVFSKLTNAYCLVAIGGSENFYSAFEAELADSIPVVKTSIGGTRIIGRLCVGNKNGLLLPHTTTDQELQHLRNCLPDQVVVQRIDERLSALGNCIACNDHVALTHPDLDKETEEFIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIAAGMTVNDWTAFCGSDTTATELSVIESVFKLREGQSTAIVDDMRKSLIDSYV >KQL24700 pep chromosome:Setaria_italica_v2.0:II:32330840:32331351:-1 gene:SETIT_033461mg transcript:KQL24700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCDASVAGCSAAISATMVDPLFYFWFFSNGSNFPRTFNLEFTW >KQL22449 pep chromosome:Setaria_italica_v2.0:II:2701081:2705612:-1 gene:SETIT_028755mg transcript:KQL22449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPVARFSLLPAVVLFLLAVLEPAGAGPEAAALLEFKRALVDVDGRLSGWNAAAAASACEWAGIACSAGGEVTGVTLHGLNLHGELSAAVCALPRLAVLNVSKNALGGAIPPGLAACAALEVLDLSTNALRGGVPPELCALRGLRRLFLSENFLSGEIPPAVGGLAALEELEIYSNNLTGRIPASIRALRRLRVIRAGLNDISGPIPVELTECASLEVLGLAQNNLAGELPRELSRLKNLTTLILWQNAFSGEVPPELGNCTNLQMLALNDNAFTGGVPRELAALPSLLKLYIYRNQLDGTIPPELGNLQSVLEIDLSENKLTGIIPGELGRIPTLRLLYLFENRLQGSIPPELGQLSSIRKIDLSINNLTGVIPTAFQNLSSLEYLELFDNQLHGDIPPLLGANSNLSVLDLSDNQLTGSIPPHLCKYQKLMFLSLGSNRFIGNIPPGLKACRTLTQLRLGGNMLTGSLPVELSLLQNLTSLEMNQNRFSGPIPPEIGKFRSIERLILSNNHFVGQLPAAIGNLTELVAFNISLNQLSGPIPRELAQCKKLQRLDLSRNSLTGAIPQEIGGLVNLELLKLSDNSLNGSIPSSFGGLSRLIALEMGGNRLSGQVPVELGELTALQIALNVSHNMLSGEIPMQLGNLHMLQYLYLDNNELEGRVPSSFSELSSLLECNLSYNNLFGPLPSTPLFEHLDSSNFLGNNGLCGIKGKACPASSASSYSSKEAAAQKKRFLREKIISIASIVIALVSLVLIAVVCWAFRAKIPELVSSDERKTGFSGPHYCMKERVTYQELMKATEDFSESAVIGRGACGTVYKAVMPDGRKIAVKRLKSQGEGSNIDRSFRAEITTLGNVRHRNIVKLYGFCSHQDSNLILYEYMENGSLGELLHGSKDAYLLDWDTRYRIALGAAEGLRYLHSDCKPQVIHRDIKSNNILLDAMMEAHVGDFGLAKLIDISNSRTMSAVAGSYGYIAPEYAFTMKVTEKCDIYSFGVVLLELLTGQSPIQPLEKGGDLVNLVRRMMNKMTPSREMFDSRLDLSSRRVVEEMSLVLKIALFCTNESPFDRPSMREVISMLMDARASSYDSFSSPASEAPIEDGSSPKV >KQL26621 pep chromosome:Setaria_italica_v2.0:II:45445454:45445953:1 gene:SETIT_032576mg transcript:KQL26621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSAGCCAACHHPLPEWRYTCSSFGLHTAAGGRAAFQGSYAGAQGRHGAVGQRSFGSPVGQGCYNYNHVIQGYGGPVIQGGYGYGHPTIHGIYCPPVTPGAGHGATGGSGQSILHNPGRLMTSVARFLLRVAISTAVSGFALHPMFCLGAERA >KQL27088 pep chromosome:Setaria_italica_v2.0:II:47750001:47750638:-1 gene:SETIT_031432mg transcript:KQL27088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRSRAGWTSLCRPCWARSACTAAMTPLRHHHVLLLSPLPRRRCRRTAAASRRTAPFTATTRISTSSETWLMMSEKARAVHGVHACVGMGRLRARWRCCGREQDGDVSRDSSKAASKHVSDVYVMEISICLCSAVGVHVLYK >KQL22273 pep chromosome:Setaria_italica_v2.0:II:1181540:1182784:-1 gene:SETIT_032755mg transcript:KQL22273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRPPPVPVPRSSLAAAAAPAFDSHPSDVVLVKSYLRPWVAQGVRPPGAFVHAADLYAAEPGDLARRHRAAVARDGERAWYFLSPLRTKSPRGRRKSRTVEGGTGWWHGEAGPKPVLDPLDGRRKVGYRQSFSFMRRGADGAPVRTGWIMLELRLHDDDDDGEGGGGLGDQLEGLVLCKVYRSPRHPETAAAPDDGDEESCAAAETAPGGRHSNAADSDGSSDASLVAVVEPGGKRKAYDDEGSSAATPRRHIKNADGETSGAAAALMAPGLKKQAADGENEVYGAATSAAPGLKEKAAADDENVIPGATTAAARGNEEGKKRAADGDEDSSASTAARKRARTVGDEGPGAASASALAPALMRKSAVIALAPAPQQLLCPQCGFQIGALQAVVAPAKSTSETGTRIAQAGAPQG >KQL23851 pep chromosome:Setaria_italica_v2.0:II:21244361:21252282:-1 gene:SETIT_029171mg transcript:KQL23851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLRASPLSLLSRLKPRPSALHLRRLIPLSTTSASPSPAGPSPQLRTLAAAAATDAAASPPEEAAAPAEAGAKVERLQPLQWPPRDALCGELGAGDAGRRVRLCGWVALRRVHAGLTFLTLRDRSGMVQVTTLPEYPEVYNIVNKLRVESVVAVEGVVRPRPADAINADMKTGAIEVAADRVLVLNSVTRSLPFPVTTAETVKEKFPEEIRLRFRVLDLRRPQMQSNLRLRHNVVKLIRRYLEDEHEFVEPGTFYALPQSPQLFKQMLMVSGFEKYYQIARCFRDEDLRADRQPEFTQLDMEIAFTSMEDMLKLNEDLMRHVFLAAGGIKLPNPFPRLTYAEAMDRYGTDRPDLRFDWELKDVSGAFLGSSFKVFADTLENGGIIKALCVPGGATVFSNTDLKKGTVYTEASKAGAKGLPFLKVMENGDLEGIGPLVSSMKPEKKEQLVELLDAKAGDLILFALGEQSSANRILGWLRLFIAHKLEVIDTSTNSVLWVTDFPMFEWNSDEQRYEALHHPFTAPNPKDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSDVQQRIFEIIGISPEQAEEKFGYLLESLDMGAPPHGGIAYGLDRLVMLLAGESSIRDVIAFPKTTTAQCALTKAPSAVDPQQLKDLAFPKST >KQL25725 pep chromosome:Setaria_italica_v2.0:II:40018626:40021150:-1 gene:SETIT_030809mg transcript:KQL25725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGEAKNPSGGGGGGGGGGDNPQLEAAPVAPAPAEGEALQEAVAQGTGQESEGEKADREGEKDDGACRDLVLVEDPEAVAVEDPEEAAAIAALQEEMKALVASIPDGAGAAFTAMQLQELEQQSRVYQYMAARVPVPTHLVFPIWKSVTGASSEGAQKYPTLMGLATLCLDFGKNPEPEPGRCRRTDGKKWRCWRSTIPNEKYCERHMHRGRKRPVQLVVEDNEPDSASGSKSTPGKATEGAKKADDKTPSSKKLAVAAPAAVQST >KQL22581 pep chromosome:Setaria_italica_v2.0:II:3678635:3679047:1 gene:SETIT_033253mg transcript:KQL22581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNVGAKGFTLYRHTEFGIVDVREGLVVKDTSLYRNSRTSFVPSLKGQGLLTWPTYKENCPRILRLLASLHDALRKYKERCLKDGFFYLVPSPHKYAISARSPLFSMSRSKATKKIRLLHHKREAF >KQL25180 pep chromosome:Setaria_italica_v2.0:II:36478627:36482689:-1 gene:SETIT_029669mg transcript:KQL25180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPLRVRALPLLLLLCAAFSFHAAHGVSGGGGHGLGVNYGRVADDIPSPRRSVELLRAAGAGSVKIYDANPGVLRALAGTRWPVSIMVPNQIIPDIAASAAAADRWVAENLVPYYPATRVKFLLVGNEILSDYSIANSTWLRLVPAMENIHLSLRKRGISSVKIGTTLAMDALADGDFPRPPSAAAFRPDIAASVVRPLLHFLNGTNSYYFVDAYPYFVWAGHNLTVPLDYALFQGGHTRYVDPVTGLTYTNLLDEMLDAVTIAMAKLGYGRVKLAVAETGWPNGCDYDQIGGNVHNAAIYNRNLAARMAKNPGTPLRPGAKMPVFVFSLYNEDLKGGPGTERHWGLYYANGTAVYPIDLTGRRPLWSYPPLPALENNTPYKGPIWCVLSAAASKKLNETAVGNALAYACGQGNGTCAAIQPGEKCYLPNTTVAHASYAFNSYWQQFRKTGATCYFNNLAEQTIKNPSHGSCKFRSSLDS >KQL26793 pep chromosome:Setaria_italica_v2.0:II:46369380:46370742:-1 gene:SETIT_030117mg transcript:KQL26793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAIAALSFASVPCREHQLRHGASTFNAQQFSASSSHRHTANLKSGGPRRLRAAKRVIVAQAGTGRYKVAILGAAGDIGQPLSLLIKMSPLVSALHLYDIANVKGITADLSHCNTPAEVAGFTGKDELPSSLAGADVVVIPAGVPRKPGMTRDDLFSVNAGIVKELAEAVAEHAPGALVHIISNPVNSTVPIAAEVLKQKGAYDPRKLFGVTTLDVVRANAFVAARKGLPLADVDVPVVGGHSGATILPLLSKARPKVPFTDEEVEELTARVQNAGTEVVEAKAGAGSATLSMAYAAARFVEASLRGLDGDGDVYECAYVQSQVVPELPFFASRVKLGREGMEEVVASELRGLSEYEARALEALKPQLKASIDKGVAYVQQQQEAALN >KQL26794 pep chromosome:Setaria_italica_v2.0:II:46369380:46371713:-1 gene:SETIT_030117mg transcript:KQL26794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAIAALSFASVPCREHQLRHGASTFNAQQFSASSSHRHTANLKSGGPRRLRAAKRVIVAQAGTGRYKVAILGAAGDIGQPLSLLIKMSPLVSALHLYDIANVKGITADLSHCNTPAEVAGFTGKDELPSSLAGADVVVIPAGVPRKPGMTRDDLFSVNAGIVKELAEAVAEHAPGALVHIISNPVNSTVPIAAEVLKQKGAYDPRKLFGVTTLDVVRANAFVAARKGLPLADVDVPVVGGHSGATILPLLSKARPKVPFTDEEVEELTARVQNAGTEVVASELRGLSEYEARALEALKPQLKASIDKGVAYVQQQQEAALN >KQL25925 pep chromosome:Setaria_italica_v2.0:II:41295178:41295534:-1 gene:SETIT_0333792mg transcript:KQL25925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IQQVPKEKITIRGGTRFLFPTLVNFQFKCQRRMSLQVLMFEAGAMPNLRRLELETSVALLKWEGCRPVGMEHLLDLKEICVSLWHCQCTKSEGIAAECALRNIAQTHPSRPTVTITIT >KQL24496 pep chromosome:Setaria_italica_v2.0:II:30698296:30698984:-1 gene:SETIT_033615mg transcript:KQL24496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWMKPILGWQRTERFTSSSSSRDEVMCCTAVCLACSCPPMTCYISI >KQL26454 pep chromosome:Setaria_italica_v2.0:II:44453833:44454654:-1 gene:SETIT_030777mg transcript:KQL26454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFCGTGSFKDVDKEEGAGAGGKPDAAAKAKKAGGKAKGKDNPYASRGLDKFSTVLSELESKREKILRRAGPDADAGHLMVRFVQSGAKGWVPIVVKLPHEEEQQAADAKKRQSKPAKPTSRSSTPPTEPASPKEDPVKPVHVAPVPAPAKTAVPAKKSKASRVRWSWAWGRKVRPCYYWPLAMALLLLSLVVFGRVFAICCTSIWWYLLPILSGEEALGVTRSPAAKARKDVGNKVGDKLAVAPPPSHGKKGSSGAAHEVISPRSHAHRKKG >KQL26674 pep chromosome:Setaria_italica_v2.0:II:45700675:45701205:-1 gene:SETIT_032494mg transcript:KQL26674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSLLVLVVALLAVFSPAVLASGREERARIRVYVHERFSGSNATVGSVAASPLGDNSTFGEVGVVDDVLRAGPDPSSREVGRYQGLFAGADLEDASYFSAITLVFTAGEHRGSTVSLQGKYGFPVDGALERVVVGGTGGFRMARGFSMLKVVSNTPEADVFQLDLVVFTPRRR >KQL22122 pep chromosome:Setaria_italica_v2.0:II:76240:76739:1 gene:SETIT_033426mg transcript:KQL22122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDIHTLYFSSMFYDLMVSQWQTTGTHTQREISLEYCVHMTSKRL >KQL23914 pep chromosome:Setaria_italica_v2.0:II:22792365:22795907:1 gene:SETIT_030985mg transcript:KQL23914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSQFETCLPSLFSPRAVPPPSPLAPLPNQHKLLQMPLFQEQGGTHGVMLSSDDHHHGGLYPLLLPGIPFCSSGAGAGEKPASFVVLDAGEAAGTSAAKAAGEIASTTTATNLHGSSSWWKGSAAAAGEKGRMKVRRKMREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHSNCRVKKRVERLSEDCRMVITTYEGRHTHTPCSDDADGAGDHTGSCAFTSL >KQL24977 pep chromosome:Setaria_italica_v2.0:II:35150615:35151341:1 gene:SETIT_032472mg transcript:KQL24977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRRGVALRAAVLAAVLGFAAAGFISSDALLEHGRDATGRSLLQAKKDCPVSFEGANYTIITSRCKGPLYQPALCCGALKDFACPYSTYINDVTTTCAATMFSYINLYGKYPPGLFANTCHEGDKGLSCPEDTPQVQPGQKPSGAAAAVAGAAPAAAAALAAALAVSLLVSC >KQL22233 pep chromosome:Setaria_italica_v2.0:II:773927:775396:1 gene:SETIT_032053mg transcript:KQL22233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMATRAKKRRLEEEEKQELVDDFISGLPDAILGDIVTLLPTRDGTRTQVLSSRWHHVWRSAPLNLDSNADSPRTIRGNIRDSEISRALSAHQGPGRRFLHEFPYIDTATGEVSFLASHPRSLSSLSAGRRRPPPFIIDGSTLGATGFALACAGSGRPCRRRHGCRLR >KQL25757 pep chromosome:Setaria_italica_v2.0:II:40297014:40301380:1 gene:SETIT_031148mg transcript:KQL25757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSLIEHDLPMPPHLLSRPLPDAIKAELERLFLDKVIANLGLCVSVYDIRSLEGGSIHPGEGCSIYKVSFRLLMFRPFNGEVLVGRISGYDDKGLQVSLEFFNDICIPGHLMQFGTVRGPDGRWMLRTEDNDELYLDLDDEIRFLVSGTKYPPIPIEQKADDPPFSPMQIVGSIKGDGLGLLAWWAADEEEGEEVAEQ >KQL25972 pep chromosome:Setaria_italica_v2.0:II:41665036:41666889:-1 gene:SETIT_032694mg transcript:KQL25972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSADQERQMQEQQHHGHRRSAGTGGLNGSSSSATRSPMRSACGMLRSLLPSSGFSRGPPLSPSPPARVHQVWPGNNVFFLDGRVICSPDPRGLILSAMALLLSEWIFLDDVVDRSSAHGILVSASSLILLATVKDGTGAARSAPSRFIVVNGVEMRLNFCRACKINRPPRSSHCAVCDNCVDKFDHHCPLISRCIGLRNYRSYLLLLCSALAFYTFMLTFSVMRIKAKMDSTHAGVFSMVRTLPEPFVLAAFSFMAICVLACLLAFHAFLLAKNTTSHEMEKGRYRSSPNPYDKGALANIRECLFEKLPPPRIDFRAAAEPNLGQAAGGESEDGELSHSFS >KQL24844 pep chromosome:Setaria_italica_v2.0:II:33710763:33713016:-1 gene:SETIT_032036mg transcript:KQL24844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKYNSYCVLLAFLLLAVPITSAAALDQAALLRQFVKSRSRVQSSDGSAETDPWADPVSSFGHLPTYCKNPKGSKEADRIKALPGQPPRVNFEQYSGYVTVNEEHGRALFYYFVESPYDAASKPLVLWLNGGPGCSSLGAGAMRELGPFRVNPDGKTLRRNRHAWNNVANVIFLESPAGVGFSYSNTSSDYDKSGDARTAVDSYNFLLHWLERFPEYKGRDFYIAGESYAGHYAPQLAAVIVAVREFTGKNPTSLKGIFVGNPFLDNYMNDKGSLEFLWNHGVMSDEMWANINEHCSFGPSDGVLCDEAKSPFDPVNFFTTAGQIDPYNIYAPICIQAPNGTTYSSGYLPGYDPCIEFYVPNYFNRLEVQNAVHARINTPWSTCAGNLSWDWEAAPVTMVPTLSWLVKTGLRVWLYSGDMDDVCPITATRYSVNDLNLTVTKPWRPWYTPANEVGGYVQQYKGGFTFASVRGAGHLVPSFQPKRSLVLFYSFLKGMLPPAVSLSQS >KQL26230 pep chromosome:Setaria_italica_v2.0:II:43189861:43194092:-1 gene:SETIT_033418mg transcript:KQL26230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YVANIPTEYVYVFRAAASQPRRASRSRHTQPCRSSTSRSRRTASSSPAAAGCASRRLPVIPASHSGEQTHDPPPDPNPTLSSHAREFKNPTAAAASAHSCSFLDRAAAGDAAVSVAAETYGLPFPPERASHHHELAAAIAAVERACRLCVDVKRSLFSGGKSILEKNDQTPVTIADFGVQALVSLELQRLFPSIPLVAEEDSASLRSSEADDNSSDVLVESIFSAVADKVSNSGSPLTQDDVLRAIDRGGKDAVSFDSNPATYWVLDPIDGTKGFLRGDDALYVVGLALVVNGKVTVGVMGCPNWTNYTVANGKDDSAAACNGRGILMISHLGCGTWTRRLSAEIGQFTTAPDIWKRCFVDTCSVVHMARYCIPDSQTWDMIPLSVLFNSTTGESDPRNENEILLLSVFCGSLCKYLTVASGRASVFVLRARTTTQIKSWDHAVGVICVQEAGGQISDWSGKPLDLAADLTSRRIIYPSGGVLVTNGALHDKLVEMISANYK >KQL23180 pep chromosome:Setaria_italica_v2.0:II:8974004:8976518:-1 gene:SETIT_031918mg transcript:KQL23180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAACSLIVLLLPLLLCVHNSIASPATPLAGELLAEARSPGFAAWLRGVRRRIHQWPELAFQEYRTSELDAIGVPYRWPVAQTGVVATIVGGGAAPVVALRADMDALPVEELVDWEYKSQESVKMHACGHDAHTTMLLGAAKLLQDRKGDLKGTVKLVFQPAEEGYGGAYYILEEGSLDDVSAIFGLHVSPGLPLGVVSSRPGPFAATAARFLVTVNGKGGHAAVPHQSIDPIVAASTAILSLQHIVAREIDPLQAAVVSITFVKGGEAYNVIPESVAFGGTLRSMSNEGLSYLKKRITEVKLLLNLNCMIVEGQSVVHHCTASVNFMEEKMRPYPVVINDEGMYGHAKAVAESFLGENNVRVSPLVMGAEDFGFYAQRMAGAFFNIGSRNESTMAAVHSLHSPYFEIDEDVLPIGAAFHAAVAIEYLKKHVSA >KQL27036 pep chromosome:Setaria_italica_v2.0:II:47520786:47526308:1 gene:SETIT_029082mg transcript:KQL27036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEIIPISKASLFTNRPAAQLKKTARAARAAPPTPVLLPPKSPRLSPPLPSPNPTPRRPGASPSPPPNPIAPPQPRRADQRPPPRTEPCRAAGLADGHPDSAPPAAAFDVSHLRPRLPLAPVAEELPRGFPSGHFRRTEIGLPTAVEMSGGGSGSGDKMLLFGSFTEDETKLFQGQPLKSPTKSVNRSWELPEIQFGSLNFSVLSLQKASSPISKGVVLPAKSADAQSSTITRENACSNKKEAAGSSFPNGGPVLANGCPPVNVSPTNGVLENVKKTEAVVPPVVPARNISNAAPHSVSEVDKDGIKSTQSKKLNKEKEITENGSPIVDTPIVATPADEAVTSLNKKASQNMLLLPHGLRNTGNICFLNATLQALLSCSPFVHLLQDLRNRSIPKVGYPTLNAFVEFISQFDVLDESVMKKNEKAVTVAAKPLNPAMFDAVLKNFTPDVPAGISARPRQEDAQEFLSFAMNRMHDELLKLNGNVSNAKEGMVVSSADDDAWETVGRKNKSAIVRTQSFVPSELSAIFGGQLQSVVKAAGNKASATVQPYLLLHLDIFPDAVQTLNDALNLFSTPESLEGYRTAAGKAGLVTARKSFKIHTLSKIMILHLKRFSYGNHGSTKVYKPLHFPLQLVLNRDLLSSPSSEGRKYELVATITHHGKDPYRGHYTAHSKNANGQWLRFDDDAVTPVGQNEVLHDQAYILFYKQV >KQL24285 pep chromosome:Setaria_italica_v2.0:II:28513923:28515857:-1 gene:SETIT_033322mg transcript:KQL24285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAARERRRTLLLVNLASIMERADEALLPAVYREVGAALHATPAGLGALTLCRSIVQAVCYPLAAYAAARHNRAHVIAVGAFLWAAATFLVGVSDTFLQVAISRGLNGIGLALVVPSILSLVADSTDEDTRGSAFGWLQLASSLGFISGGFVGLLLAQTTVLGIAGWRVAFHLVAAISVAVGALTWFLAVDPHFPTGEGGGRQAGKRPASAREVLAEMVEDAKLVVRIPTFQIFVAQGVSGSFPWSALSFASMWLELIGFSHGDTAVLMTIFWVASSLGGLLGGKMGDFLAVRYPDAGRIVLSQISAGSAVPLATVLLLGLPEDPSAGVAYGVVLFVMGVFISWNGPATNLPIMAEIVPEKSRTNIYALDGTFESVLSSFAPAIVGLLAQRVFGYKPDDKGRSVQRDRENAESLAKALYTSIAIPFTVCTSIYSFLYCSYPRDRDRARRQSLAVSELQQMGHGSSCPQDGDGNGGPGGERVVTGVTCNHKELLEAEMDIVRLLDHDWKRGAKT >KQL26467 pep chromosome:Setaria_italica_v2.0:II:44534784:44536430:-1 gene:SETIT_032277mg transcript:KQL26467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTPPRPETARGILLLPQDSIGSVEKCSSMTHRVLTYVPGLYKIFDEILTYAADNKQRDPSMDSLRVEVDVDQCCISIYYNGRGVPIELHPEEGLYVPEMIFGHLSNYEEITGVKLANLFSTEFIIETVDSRLEKKYKQAFSENLGKKNWTRITFKPDLAKFHMTHLDDDAMALIRKRVVDVAGFLGVTVQVVFNGQRFQRLKNFPDYVLCYISSASIDREERLPKICQRVNDQLEVCVTRSEGTFQQVSFVNKFATNEGGTHVDYVSNQIAACIAKFCSRHFKVEECEVKKHLWVFVNAFMENPTFNSPTRDALTSPQESFGSSCELSDHFVKSSM >KQL26907 pep chromosome:Setaria_italica_v2.0:II:46867344:46869266:1 gene:SETIT_030464mg transcript:KQL26907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIAVSFHIFTSVNLLLRTIHYTSDCSVRQYNTEPRRRRHGGNCFTRFFSFGDSITDNGNWMRYARSPGAVARPPYGETFFRRPNGRLCDGRIIIDHIADALGMPFLTPYLAGNTSEDYAHGANFAVGGATALGHDYFRGKKLDARFTPYSLHWQMSWLKKVLRMLSPEQGRGWSDLMASSLFLVGEIGGNDYNQALFQGRSFDEELIELGARTVVVPGNFPIGCNPGYLTKFQTNDTAQYDSMGCLRWPNDLTELHNRALRAELAELGRWHRRVAVVYADYYAAAMDLTADPRKHGFGGEPLVSCCGGGGPYNTNLTVHCGMRTATTCRDP >KQL24169 pep chromosome:Setaria_italica_v2.0:II:26937240:26938265:1 gene:SETIT_031032mg transcript:KQL24169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKEEGLQLLDLWVSPFAQRCRIALAEKGLAYEPLEQDLSNKGDLLLRANPVHKKVPVLLHGGRPVCESLVILQYLDEAFPETPALLPSDPHARAHARFWADYADWKVFECGTRLWKRKAGSELQLQARREMVEALRILEAELGDKPYLAGEAFGFVDLAIVPFAAWFLGYGRLGEFSVEEVCPRLAAWGERCGERESVARSLHPPEKVYEFIGYLKDKYGDK >KQL23283 pep chromosome:Setaria_italica_v2.0:II:10476562:10476744:1 gene:SETIT_033740mg transcript:KQL23283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPSLFPPFLYLHLQSGALFNYLLIYCLIGH >KQL23714 pep chromosome:Setaria_italica_v2.0:II:16915743:16916287:-1 gene:SETIT_033325mg transcript:KQL23714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SMRGGNSIHKGIKRKTTTQVIQVSPDGKHERENTLTTELPSSDYACQYAKDKLTGKITCMVCGEEGHYTCDCSMKNQEKKVDISCSSCDTNHVLGKCPMGGITCFICEGKNHVPAQCRLSPMLTAVTKYH >KQL26429 pep chromosome:Setaria_italica_v2.0:II:44335184:44337020:-1 gene:SETIT_029557mg transcript:KQL26429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVEPKKKSNVTYAFICSILASMASIILGYDIGVMSGAALYIKKDLKITDVQLEILMGILNFYSLIGSFAAGRTSDWIGRRFTVVVAAAFFFSGALLMGLAGDYATLMFGRFVAGVGVGYGLMIAPVYTAEVSPASARGFLTSFSEVFINIGILLGYVSNYAFARLPLHLGWRVMLGIGAAPSVLLALMVFGMPESPRWLVMKGRLADARVVLDRIAETPEEAAERLADIKAAAGIPDDVDGDVVPVPRRRSGEEKQVWKELILSPTPTVRRILLATLGLQFFQQASGVDSVVLYSPRVFQSAGITDDDQLLATTCAVGVTKTVIVLLAAILLDRVGRRPLMLASSGGMVVSLVGLAAGLTIVGRHPDGAKVPWAVGLCVTSTLAFVSFFSIGLGPMTAVYTSEIFPLRVRALGYAVGVACNRVTSGVVSMTFLSLSSAITIGGSFFLYAGIVALSWVFFFTCLPETRGRTLEEMAELFGTTSAAGTEAEDATRRGTDSSSSRLLG >KQL26952 pep chromosome:Setaria_italica_v2.0:II:47097490:47099756:-1 gene:SETIT_030101mg transcript:KQL26952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYTPSPRIVRGRGRNKRKWTAEEDEELVKALCEVSADPRYKVEGGGFKNCYSQGIQSILAQKLPGRGIKASPHVDSRLKVLKRKYYSIKDMLASPGFSWDDARKMIQCEKQRYDEYCRDHPRAKGMYGIPFVYFDTFDAIYGKDRYIGEGLEGSEEAIANMENGENANEVGDDEVEEDAMSTGVSGRSLAATLSSKSQKKYKHDGKGNRTESNCPSLDKFKDLHGQFQTAIQHVSTMAAAMELFKDVHDHFQSVVQHAGAMATGMEQFKDAHDRFQSVVQHVSTTTAAMEQFKDALDHFQTITQNGRVIAEVEYGTDMQEKSLCEEPQRKAKVTAISEVEKLGLTGIEVVTAASIFAKEPNQMDMFLALPEIYKKDYILQMLSGGQSIQYSVG >KQL23556 pep chromosome:Setaria_italica_v2.0:II:15007665:15010643:-1 gene:SETIT_031179mg transcript:KQL23556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRRAAAALLLVFAAAAAMEEASSLPVVEPMELYFSPAELARIAGYGEEPVSSVSVSGQVACELCLRPGSDLLAFELPGAKVAVLCETECPNDQAANSALATTDEFGNFTIDLPSQLHATANLERACTVKVLQLPADSSCRLRHQPSTTYGLMLASQEDGVRAYTTGVIRLQNNDTPHGKCVSVEERTGRR >KQL25945 pep chromosome:Setaria_italica_v2.0:II:41410848:41413172:1 gene:SETIT_033348mg transcript:KQL25945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRKRVAVKYFSDDWPTNASKLAYEKSVFTKTLKTNARAEDEITLFDGYIVVYKFVHDLHVFVTTGDDENELVLASVLHAFSDSVGLLLSQTALENLDLILLCIDEIVDGGIILETDANTIVGKVASNAVDGSVPFSEQTISQALATAREHFARSLLK >KQL22938 pep chromosome:Setaria_italica_v2.0:II:6525294:6530043:-1 gene:SETIT_030546mg transcript:KQL22938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAAAAAAAQAPVLLVTNDDGIDAPGLRFLVDQLVAARRYRVLVCAPDTDKSGVSHCITWRPALRCKRVDISGATAFGVSGTPADCASLGISGKLFDGVVPDLVLSGINIGNNCGYHVIYSGTVAGAREAFLYGIPAIAMSYDWVAGQSSVNDLKVSAEVCMPLINTIVTEIKNGTYPKGSFLNVDVPTDAAHHKGYKITKQGTYMARISWEQTVYKKPAVESYQTANMDVDGEKDSELVTPSENDLLFKRVLVGRSSDGVEGEDMDHMSLANGYITVTPLGALSRTEPDAIPYFKACVSRL >KQL22939 pep chromosome:Setaria_italica_v2.0:II:6525623:6530043:-1 gene:SETIT_030546mg transcript:KQL22939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAAAAAAAQAPVLLVTNDDGIDAPGLRFLVDQLVAARRYRVLVCAPDTDKSGVSHCITWRPALRCKRVDISGATAFGVSGTPADCASLGISGKLFDGVVPDLVLSGINIGNNCGYHVIYSGTVAGAREAFLYGIPAIAMSYDWVAGQSSVNDLKVSAEVCMPLINTIVTEIKNGTYPKGSFLNVDVPTDAAHHKGYKITKQGTYMARISWEQTVYKKPAVESYQTANMDVDGEKDSELVTPSENDLLFKRVLVGRSSDGVEGEDMDHMSLANGYITVTPLGALSRTEPDAIPYFKACVSRLVGNFASLGRSFSRSRVA >KQL25805 pep chromosome:Setaria_italica_v2.0:II:40642443:40646382:1 gene:SETIT_029412mg transcript:KQL25805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARLLRLAPRRLQVKTSPLAALSFPLPRTAPLAAASGRRQRFCAAAQASAPAAAAAATGPAGEAVGEFRKRLRVADVKGGEDEGAALVGKELAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQIDSVTTGASVLVEGVVASSQGGKQKVELKVSKITVIGKSDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVSSPIITASDCEGAGEQFYVTTLLSNSAEGGSLLKDIPATKDGRVDWSQDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTARHLAEFWMIEPELAFADLNDDMACATAYLQYVVKYILENCKEDMDFFNTWVEKGIIERLNDVVEKNFVHLSYTDAVELLLGSKKKFEFPVMDA >KQL25806 pep chromosome:Setaria_italica_v2.0:II:40642443:40647639:1 gene:SETIT_029412mg transcript:KQL25806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARLLRLAPRRLQVKTSPLAALSFPLPRTAPLAAASGRRQRFCAAAQASAPAAAAAATGPAGEAVGEFRKRLRVADVKGGEDEGAALVGKELAVRGWVRTCRAQRTVTFVEVNDGSCLSNMQCVLTPDTEGYDQIDSVTTGASVLVEGVVASSQGGKQKVELKVSKITVIGKSDPTSFPIQKKRASREFLRTVAHLRPRTNTFGAVARVRNALAYATHKFFQDNGFVWVSSPIITASDCEGAGEQFYVTTLLSNSAEGGSLLKDIPATKDGRVDWSQDFFCKPAFLTVSGQLNGETYASALSDIYTFGPTFRAENSNTARHLAEFWMIEPELAFADLNDDMACATAYLQYVVKYILENCKEDMDFFNTWVEKGIIERLNDVVEKNFVHLSYTDAVELLLGSKKKFEFPVKWGLDLQSEHERYITEVAFSGRPVIIRDYPKEIKAFYMRQNDDGKTVAAMDLLVPRVGELIGGSQREERLDHLEARLDEQNLNKESYWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >KQL24771 pep chromosome:Setaria_italica_v2.0:II:33009216:33010467:-1 gene:SETIT_031973mg transcript:KQL24771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVVRKEPLVLVRSSEPVTTGGTIKLSSFDKAYPKTIKKPLSNTLVHYYPFSGRLISGADADEFYIRCTDEGAEVVAASANCALKEVKSFDKTLLAKLTVDSPHQGHHATYPLLSLQVTEFSCGGFILAVTWNHAIADGVGIAQLIGALGELARGLSSPSIVPVRWDDSVSSWSPPFNEMQQTMSPEPLALVPLDIAIPLSTINRIKAKFCSYFSGQQCTMFEVVIAILWQCRTRATVSNPETQVFISFVADVRKHVGAKDGYYGNCLTDHVVKATSNMVANTDIMNLVMMIKHAKDQIPNKFKKNASNDSNQLQGLDGPYNKLHLSSWRNIGFDEVDFGSGRVARVMCYGPRAPVPICIMLIPCKGKDGAALLSTEVVKEEHATAFLEELARFM >KQL26557 pep chromosome:Setaria_italica_v2.0:II:45033715:45037272:-1 gene:SETIT_029885mg transcript:KQL26557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADPSRQVRVRFVTKMPPPLRAPPAAIAVPADLSRMGLSEIVNSLLAAAEPDHKAQPFDFLVDGELVRLPLQQFLLAKGITAERVLELEYVKAVAPRKQDKPCPHDDWVSAVDGSNPSFILTGCYDGLARLWKDAAVCTQILEGHSEAITSTRFINKGGDTESSLHVVTGSKDRSLRLYKCDTSVSMDYPKRVGAYKILRGHTSSVQSIAVDPSRDMLCSGSWDSTIKLWAVEGSEEDGDAVSLKKRRTNSDSSGPEESQLEGSAISTLLGHTQCVTAVTWPEQQTIYSASWDHSVRQWDVQAVKETWNMFSEKALNCLDCGGEGSSLIAAGGSDPILRVWDPRKPGSLAPVFQFSSHSSWITACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVDSHKDKVLCADWWKGDSVISGGADSKLCIASGIEIV >KQL25924 pep chromosome:Setaria_italica_v2.0:II:41292008:41293063:1 gene:SETIT_032449mg transcript:KQL25924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRMAAAHATSRSKGDSLLVTAVYSAADTSRVDVKLVDVVSGAIVDQLDGQRTGHLAAAGGLICLVRTGGAAGVRVFNPATGAVTDIPAGTTTVEGSNQTSSAAYVFGQVPATGEYKVLRINTARGSHGEPKQSCEILTLRGRGQSWRPAQGPPAAVNTTIPRQRAVAQGFAHFLTTSSDMGEYDGIASFDLAKETWRPSLLRGPLPSRSRNCCHSNLSLVELNGCPVFVHHDYLSCCIDMWLLTDLEKGNWLRIQSLPLGSILRGWGEPAKGQPAPLIPVSRLPREIFAQPLMVLDDGRIAFWVAVPNGSVRVYDPKARRCKEVVDMGRTCTVVGLCKRSQQLGCTK >KQL24564 pep chromosome:Setaria_italica_v2.0:II:31321104:31323956:-1 gene:SETIT_029148mg transcript:KQL24564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLGLAYPWGRDVRRGTPVVVTMENPNYSVVEIDEPEAEALRAGLPPMDKDRGRSAKQFTWVLLLRAHRAAGCLTSLVAIAWALPSAVAKRFRRAAAATATEGPGHGRGWLLYMFIKGFLALSLLALAVEFTAYWKGWHFRRPNLTVPELHVPEVEEIQGWAHLAYLTWMSFRADYIRRPIEFLSKACILLFVVQSLDRLVLCLGCFWIKLKKIKPRIEGDPFKEGSGYLHPMVLVQIPMCNEKEVLDDSSDESIQMLIKAEVSKWSQLGVNIVYRHRVLRTGYKAGNLKSAMSCDYVKNYEFVAIFDADFQPSPDFLKKTIPHFEGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIQALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVHLHNDNHSILDERSILTMWKLILLSTIVQVLCEVPESYEAYRKQQHRWHSGPMHLFRLCLPDIITAKAELPVWVICYVPVCMSFLNILPSPRSFPFIVPYLLFENTMSVTKFNAMLSGLFKLGSSYEWTVTKKSGRSSELDFFTATEKDKKGFMLPQFQKQLPEKGELIEMNLKKEHHEKAPHDARKANKIYKKELALSLLLLTAATRSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQIS >KQL24247 pep chromosome:Setaria_italica_v2.0:II:28093599:28102616:1 gene:SETIT_028951mg transcript:KQL24247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPGLYTEIGKKTRDLLYRDYQTDHKFTLTTYTSNGVAVTATSTKKADLIIGEIQSQIKNKNITVDVKANSDSNVITTVTIDEVATPGLKAILSFAVPDQRSGKFELQYLHDYAGVNASIGMTANPIVNLSGSFGTKALAVGADISLDTATGNFTKYNAGLSVTHEDLIASLNLNNKGDSLTAAYYHSVSQLTSTAVGAELTHSFSSNENTLTFGTQHALDPLTVLKARFNNSGKASALIQHEWRPKSLVTISAEVDTKTIEKSSKVGRWSRVSCSLSMNGCAPGAGERGAVCVREARALPAAPAPQDAVGQLRAAVDALGAGAPPAAPSGIIRIEVPIAQRVDAVEWLHAQAALPRCFFSARAPLPDTPALAACGSSNGNGSLSDQWKQPVSVAGVGSAVFFRGTEPFSLADWRAIKRFLSRDCPLIRAYGVIRFDATSDVSVEWADYGSFYFIVPQVEINELEESSVLATTIAWDDSLSWTWHNALTDLQSLLQKISPCSVQVNKSSLQTTIMSLNHVPTKASWDLAVTKALQMIKGRQRDLVKVVLARCSRYITDTCIDPVELLACLKVEGPNAYQFCIQPSDAPAFVGNSPEKLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSSKEDIEFTIVRDSIKKKLEARRQMMICDEVVVHPSKALRKLPRVQHLSAQLAARIRNEDDEFEILNTLHPTPAVCGLPTEESRRFIREYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGVGIVDGTNPSFEWDELDLKASQFAKLLQYQEQHIRYQEAENMGTVIRIEK >KQL24246 pep chromosome:Setaria_italica_v2.0:II:28093599:28102616:1 gene:SETIT_028951mg transcript:KQL24246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPGLYTEIGKKTRDLLYRDYQTDHKFTLTTYTSNGVAVTATSTKKADLIIGEIQSQIKNKNITVDVKANSDSNVITTVTIDEVATPGLKAILSFAVPDQRSGKFELQYLHDYAGVNASIGMTANPIVNLSGSFGTKALAVGADISLDTATGNFTKYNAGLSVTHEDLIASLNLNNKGDSLTAAYYHSVSQLTSTAVGAELTHSFSSNENTLTFGTQHALDPLTVLKARFNNSGKASALIQHEWRPKSLVTISAEVDTKTIEKSSKVGRWSRVSCSLSMNGCAPGAGERGAVCVREARALPAAPAPQDAVGQLRAAVDALGAGAPPAAPSGIIRIEVPIAQRVDAVEWLHAQAALPRCFFSARAPLPDTPALAACGSSNGNGSLSDQWKQPVSVAGVGSAVFFRGTEPFSLADWRAIKRFLSRDCPLIRAYGVIRFDATSDVSVEWADYGSFYFIVPQVEINELEESSVLATTIAWDDSLSWTWHNALTDLQSLLQKISPCSVQVNKSSLQTTIMSLNHVPTKASWDLAVTKALQMIKGRQRDLVKVVLARCSRYITDTCIDPVELLACLKVEGPNAYQFCIQPSDAPAFVGNSPEKLFHRKYLNISSEALAGTRARGKTRADDFQIGQDLLLSSKEDIEFTIVRDSIKKKLEARRQMMICDEVVVHPSKALRKLPRVQHLSAQLAARIRNEDDEFEILNTLHPTPAVCGLPTEESRRFIREYEIFDRGMYAGPVGWFGGAESEFAVGIRSALLGKGHSTLVYAGVGIVDGTNPSFEWDELDLKASQFAKLLQYQEQHIRYQEAENMGTVIRIEK >KQL27073 pep chromosome:Setaria_italica_v2.0:II:47692120:47694692:-1 gene:SETIT_030140mg transcript:KQL27073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAGAGGEETPLPACDFCAGLPAVVYCRADSARLCLPCDRYVHGANTVSTRHARAPLCAACRSAAAAFRRSAAGCGGGCLCADCDFEEGHRRDGDPPPLHDRRAVEVYAGCPSIAELAAVLGVGGRKKATTVAGDGNGVGWWPDWEELQVLRLEDVIVPTTSCHGLQPLLAPSSAPKHRSSGGKLAEEVIRQLGELAKSEAAAEAAEAEQFPSWASSEYGIGDGDFEVFHNKAASMSVPSCEEAWMTTDDSNGACGMAHDAAHDQAPAAASSPAEPSLSSFVAMSEICPSMAIGNSVGDVVDNGSSKRDAAEATAPRQHAAPAPAKKAGYDVAYPDRGTVISRYKEKRKNRRFDKQIRYESRKARADGRLRIKGRFARSGEAS >KQL23161 pep chromosome:Setaria_italica_v2.0:II:8808248:8814896:-1 gene:SETIT_028790mg transcript:KQL23161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRYSCSSRVLVCLVLVCSCFEASLVQAQQAASTDPIEVAALNTILGRWGKKATRSPAAWNISGEPCSGAAVDGTELDGNYKFNPGITCLCSFDNNTVCHITKLRVYALNVVGQIPSELQNLTYLTYLNLDQNYLTGPIPSFIGQFSGMQHLSLGFNPFSGPLPKELGNLTNLNLLGISLDNFSGGLPEELGNLSKLEQLYTDSSGLSGPFPSTFSKLKKLRILRASDNDFTGKMPDYLGSLTNLEDMAFQGNSFEGPIPASLSNLTRLTNLAVGDILSGSSSLAFISNLSSLSTLILRNCKVSGNLGEVNISKLANLILLDLSFNNITGQVPPSILTLDKLEFLFLGNNSLTGSLPDVKSPSLKNLDFSYNQLTGSFPSWATESNLRLNLVANNFVLGSTNNSILPAGLNCLQQDTPCFLGFPEYYSFAVDCGSNSSMTGSDNTFYDVDFTDLGAASYYVTGQTRWGVSNVGKFNQAPNGSNIIYSSNQQFQNAEDTELFQTARMSASSLRYYGLGLENGNYTVVLQFAETAYPDTQTWQSLGRRVFDIYVQGSLREKNFNIRKTAGGKSFTAVSKSYTATVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGAIVGVMIGASVLGLAALFGICLFTKRRRRLAQQRQELYDMIGQPNVFSYAELKLATDNFNPQNILGEGGYGPVYKGTLTDGRVIAVKQLSQSSHQGKRQFVAEVATISAVQHRNLVKLHGCCIDSNTHLLVYEYLENGSLDRTLFGDSSMKLDWSTRFEIILGIARGLTYLHEESSIRIVHRDIKASNVLLDTNLSPKVSDFGLAKLYEENKSHVSTTRIAGTFGYLAPEYAMRGQLTEKVDVFAFGVVALEAVSGRSNTDSSLKESSIYLLEWAWELYEGKQPLRILDPRLKEFDAEEALRVIHVALICTQGSPHQRPPMSRVVAMLTGKAEVADEVAKPSYVVTDWQLRGGGSSSCTTTSSYWGSTTTPELSRMKEADPPTQSPTITGASHQIEGR >KQL24947 pep chromosome:Setaria_italica_v2.0:II:34781172:34784760:1 gene:SETIT_029350mg transcript:KQL24947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAAKAKESGKDDRQEPGAEVMKEKVLPSHQQEESSASLMDKDSSGVSGDVSPVLDGDSGELKVENLDINGNKEKKTSQKSSTSEGFASAKVSDGTSSLRKTSGSATMSTRADFTESGKSSMCRASTGSDISDESSCSSMSSATTKPHKGNDSRWEAIHVVKSRDNVLGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSLTVIKSANPGLDALQRNNAAYCAQPACIEPSCIQPSCVAPTTCFGPRFFRKSKSKSKSKKDKSKPDAPNQENLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPVANPKGPDNYLEFEFF >KQL23984 pep chromosome:Setaria_italica_v2.0:II:24028261:24036295:-1 gene:SETIT_029966mg transcript:KQL23984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPASSTMSKESASYDMAEFDQSAIFLYLDGHDQEQRQTLNIFPSQPMHVAEPIPAKGVSMGMVAAMMPNGNSSSPRRQEEGGQRSAPPPPAPAVPLPNSAKENRSSLTKKEATSGGKGATSGDQERVRDPKTLRRLAQNREAARKSRLRKKAYIQQLETSRIRLSQLEQQVQVARVQGVFLGAGEQPAGFPSAPSPAAVFDMEYGRWVEEHSKLMFQLRAALNEHMADEQLQAFVNGAMAQHEELLNLKGAMARADVFHLLSGVWASPAERCFLWLGGFRPSEVIKVMLKHVEPLSEGQILGIYNLQQSVQEREEALNHTMEATQQSISDIVAAPDVAPATFMGHMSLAMNKVASMESFVLQADGLRQQTLHKLHHILTTRQAARCMVAIADYFHRLRALSTLWVARPRQEDGPGL >KQL25216 pep chromosome:Setaria_italica_v2.0:II:36720013:36724759:1 gene:SETIT_030892mg transcript:KQL25216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGSFMTSQQGTRFSSFPAPRPEASWSGVIKSEDSSYYTHHQVLSARPHFAGSAAAAYSKEGRRFPFLQDGDQVSFGAGAAAAALEASTACQPLLKTVAPPPPPPESSSSNKIFSDGLTPVLDSDCALSLLSSPANSSSVDVSRMVQPTEHIPVAQPLVPNLHQHQQQHHHHQFGGSPGWFACSQAGSSGVTAAAGAGGFAACPGGVEGEPLNTILVPSSDGHEMNYHGIFHVGGEGSSDGTSPSLPFSWQ >KQL25378 pep chromosome:Setaria_italica_v2.0:II:37700603:37704133:-1 gene:SETIT_030265mg transcript:KQL25378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAVVDAGDAPEPTVQNLLDQDTLKWVFVGGKGGVGKTTCSSILSVLLASVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFTNLYAMEIDPKVENDDLSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMELKNRFGGLLNQASRLFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDSHNIIINQVIFDEEPVESKLLKARVKMQQKYIDQFHMLYDDFNITKLPLLSEEVCGVQALQNFSQHFLTPYKSAIKRGTVEELEQRISILKSALQEAESELDRVRKGKQSA >KQL25377 pep chromosome:Setaria_italica_v2.0:II:37700250:37704202:-1 gene:SETIT_030265mg transcript:KQL25377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAVVDAGDAPEPTVQNLLDQDTLKWVFVGGKGGVGKTTCSSILSVLLASVRQSVLVISTDPAHNLSDAFQQRFTKFPTLVRGFTNLYAMEIDPKVENDDLSNEGMEGFLSELTNAIPGVDEAMSFAEMLKLVQTMDYSVVVFDTAPTGHTLRLLQFPATLEKGLEKMMELKNRFGGLLNQASRLFGLGDELNEDAMLGRLEGMKDVIEQVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDSHNIIINQVIFDEEPVESKLLKARVKMQQKYIDQFHMLYDDFNITKLPLLSEEHFLTPYKSAIKRGTVEELEQRISILKSALQEAESELDRVRKGKQSA >KQL26331 pep chromosome:Setaria_italica_v2.0:II:43798965:43801828:-1 gene:SETIT_032915mg transcript:KQL26331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTRTLLPVFLGTGSVAEAMETMLLSFVGPSVKAECLGSDRYGRRFGVLITALVTSISGFLCAFSPNYPTLLVLRFVVGLGLGASHVLSVWFLEFVPAENRGSWMDGFACFWSIGTILEALLAWAVMPILGWRWLLALTSSPYFVLLIFSCLIPESPRYLCSRGKINEATLRSDNLDASVTTLLLMPEDGLGTDENISSKSHSTNNAFQALWPRDLTVSTLLLWLVKFACFFAYYGIILLTSKVSDGKRICTSVGTHVVQPKDSSLYINVLVTSFAEFPANLLAAPLLDRVGRRMSLGGMILLCCAFLAPLAVQLGEGLAITLLFCARACIEGCFVVLLVYSPEIYPTSCRNTGVGIANCIGQVGSIVAPLVTVTLVENCHQKEAVFVIDLLVFPLETKGLRNP >KQL24840 pep chromosome:Setaria_italica_v2.0:II:33669264:33671516:-1 gene:SETIT_033283mg transcript:KQL24840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKEMKNISICFLLLVCVASPQANASQEARLREFILSRRSSSAFSAHVPAFRISRSLRAEYSGTDQSTLKAADKITALPGQPEGVGFNQYSGYVTVDEKNGRALFYYFVEATNDAATKPLLLWLNGGPGCSSLGYGAMIELGPFRINSDNKTLSRNENAWNNEANVLFLESPAGVGFSYSNTSADYNKSGDQRTADDAFVFFINWMERFPEYKGRAFYISGESYAGHYVPQLATVILNHNINNKSNIINLKAILVGNAYLDDNKNTKGQIDYLWSHGVISDEVWSNITRSCKFSPSDSNACSDAMASYDSGYISGYNIYAPVCINEPNGNYYPSSYVPGIDPCSNYYIHAYLNNPMSFALERCSSVHDADHKMASETRTAGVAIQVGGYVQQYTGGLVLVSVRGAGHQVPYFQPERALILVSSFLKGTLPPYVTEQ >KQL22807 pep chromosome:Setaria_italica_v2.0:II:5443470:5444679:1 gene:SETIT_032245mg transcript:KQL22807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPSPPPIWQRAAPPSSPTTGVGGSEGSAVGGLGFPEDPLTEILSRLPAKPLFRFKCVSKACISLTCWGRSMPPVDPNFTFLTKLPEIEKISLMRSCNGLVLLRHTPFLDPNDTKALGYIVCNPATKEWVDVPNSGWTLKPLHEEYYNAEDAHTITYLFFDPAVSLQFKLFQFCQDFSMNVLQVNTYSSESGVWSGRAVECWSHEAMRSRIGSAFVNGMLHWTIFCRSAQHNALVAVDGEGEKCRIIHWPNEERGLLVFLGQSQGLLHCMSGRMDDFNKFTELSIWVLEDYDAEKWILKHSVSCLHLFGEPSCCVHNYHIAIHPDHNLVFFVQRLNKKLISYDLDSKELSVLYTLEHNCAFITPYVPYFVESSVLVKKS >KQL24281 pep chromosome:Setaria_italica_v2.0:II:28500548:28500973:1 gene:SETIT_031563mg transcript:KQL24281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGPFQKEKRHVLGSKEDTMQPWEVGTDRKRVPTILASHCPLVSLAAWVCERGVLMDFIRSNSTLLSCACNALSASERNSGFHWTQACTINSAVLRNGARNNYLLKGHGNRL >KQL26295 pep chromosome:Setaria_italica_v2.0:II:43607099:43610478:-1 gene:SETIT_031171mg transcript:KQL26295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCFFFAAYGSFSIAEVFADRLLASVPLYYHVKFAILVWLQFPSNGGSKHVYKRYIRPFFLKHQAKIDRFLNILSKELTKFVSSHEDEIRFVENMAIRGATTANYIVNGLNQPDDSQANNAIEGPNPTATEAAAGLGTET >KQL26296 pep chromosome:Setaria_italica_v2.0:II:43607099:43612653:-1 gene:SETIT_031171mg transcript:KQL26296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAPAISGEVGLRLLLAPLSSNVVIRTASCAVGIGLPVYSTFRAIEKKDEKDKERLLLYWAAYGSFSIAEVFADRLLASVPLYYHVKFAILVWLQFPSNGGSKHVYKRYIRPFFLKHQAKIDRFLNILSKELTKFVSSHEDEIRFVENMAIRGATTANYIVNGLNQPDDSQANNAIEGPNPTATEAAAGLGTET >KQL26105 pep chromosome:Setaria_italica_v2.0:II:42387518:42387826:1 gene:SETIT_033197mg transcript:KQL26105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QVRSRQRLRGHNLRRLAATVAAEVNANSCNCSIGSVAGRRHDQVTASAYCYWRPGARSKDCGACVALAFREAQRLCPYHRQAVVVVDGGACSVSFLDVQRREQ >KQL24460 pep chromosome:Setaria_italica_v2.0:II:30359949:30360877:-1 gene:SETIT_033666mg transcript:KQL24460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQRLLALLLVTAVLALSFSQGMVEARKVRVMRAVRHDGRRAPGLRGRLLPEEMVYTLMDYGPPTANTNTRGGMNPSPDPPSPPTH >KQL24462 pep chromosome:Setaria_italica_v2.0:II:30359967:30360877:-1 gene:SETIT_033666mg transcript:KQL24462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQRLLALLLVTAVLALSFSQGMVEARKVRVMRAVRHDGRRAPGLRGRLLPEEMVYTLMDYGPPTANTNTRGGMNPSPDPPSPPTH >KQL24461 pep chromosome:Setaria_italica_v2.0:II:30359940:30360877:-1 gene:SETIT_033666mg transcript:KQL24461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQRLLALLLVTAVLALSFSQGMVEARKVRVMRAVRHDGRRAPGLRGRLLPEEMVYTLMDYGPPTANTNTRGGMNPSPDPPSPPTH >KQL23093 pep chromosome:Setaria_italica_v2.0:II:8332935:8334238:-1 gene:SETIT_032151mg transcript:KQL23093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGKTCLLLQFSDHSFTTSFITTHGIDFKVRTIELDGWRIKLQIWDTAGQERFRTITTAYYRNAMGILLVYDVTDESSFNNIRNWIRNTEQHASDNVNKILIGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVNQVFFSIARDVTQRFVETNTKQPEFWESTTITINRPEGGGDTSTQQKSACCGS >KQL23254 pep chromosome:Setaria_italica_v2.0:II:10244194:10245069:-1 gene:SETIT_031522mg transcript:KQL23254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLFVLPLLAFLYLNVIFVVAAAVSVADREHRGVGALRQAWRLMTRVWRKQGCVLVLVIHLVAMVPSMAMGMALLVVYALLSGLVELFYFSAATVYYYQAMESKEVVTAHDYVKIPTGEATV >KQL23421 pep chromosome:Setaria_italica_v2.0:II:12323609:12325117:1 gene:SETIT_032874mg transcript:KQL23421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAADDSAIPNWVMLERFVFRRDDPKSFREDKRTFASGTTPAGTQFDVSFILAEPPTPSRLYLSWPEGPKQEDRRLPHCTEYNAFFEMPVTRAFQPLAVGLLCHGEDEFAVAHLAITRSRITSDMQAELCVLRSSLSCSDDAKWETKILPMQYQDDEYSDFLYWVVNGVVPFKNALCFVDYSRGILFCDSVFEDSPKIMPMHARGCTTACVSGRRLVFVDVARHDGKGLGPMMPNTGFTLTSRTLKMTGNCTTQWEWIDDAVVTTSGQLWHANTIESLPHDIVMLPLLSMDKANAAHLVLFDWDDGRVSLVSIDLSNKQVMGSVVTYIKGKDDTADADMIKAKPGFFAHFIPSEFPKFLDLSRWGTNYMANRD >KQL27317 pep chromosome:Setaria_italica_v2.0:II:49110938:49113968:-1 gene:SETIT_030030mg transcript:KQL27317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSRLQSLLRPLLRLPSRTTGRALQTLALRPPPPPPRVPSSFLLLRPRRLPPPHPASAPSRLLLRPFASVAPVPGRDHLDSKDQGPPPAPLPPPPPEELASDDEAYYHEHLLEVAQENQTRVVPVKAFFLCTSIDLRSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKSGVIDESHCHYMVVFQYGSIVLFNVSDHEADGYLNIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDYIVLRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFEREVDVAYLHPVVRHVHWCP >KQL27316 pep chromosome:Setaria_italica_v2.0:II:49109949:49113968:-1 gene:SETIT_030030mg transcript:KQL27316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSRLQSLLRPLLRLPSRTTGRALQTLALRPPPPPPRVPSSFLLLRPRRLPPPHPASAPSRLLLRPFASVAPVPGRDHLDSKDQGPPPAPLPPPPPEELASDDEAYYHEHLLEVAQENQTRVVPVKAFFLCTSIDLRSLQSQNSFNVIPPTSRATNYVVLRYYDVKGDPEGFKSGVIDESHCHYMVVFQYGSIVLFNVSDHEADGYLNIVEKHASGLLPEMRKDDYAVVEKPTLETWMQGGLDYIVLRDLSIDGIRTIGSVLGQSIALDYYIRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKNANYAQIWEYLRDEYELTQRFGNLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILISVEILISVYNIVQEQM >KQL24257 pep chromosome:Setaria_italica_v2.0:II:28180492:28183236:-1 gene:SETIT_031494mg transcript:KQL24257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAMNKDKVHDKETHGTSSDINENTSVDKVKGPNLLERAKEEIEALAGAVHTKMEHHSSPLEKDESHKEDKEGSLQKIKTHTNETHGTSADISENTPVDKVKGPNVFERAKEEIEAIAEAIHPKKGSGSN >KQL24427 pep chromosome:Setaria_italica_v2.0:II:30011512:30012644:-1 gene:SETIT_032904mg transcript:KQL24427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAGSGAAPELAPPPPPPPLRPLPSYSEMILEAIDALDNANGSNKTAISGYLKNKCRASLPSDHTSLLTINLGRMKSSGELVFARNNYFRPDGDDEEVKEEEPSATAPAGPASPLRPDGYKDPAVDEDSTGVFDAASVYLDIDDFDAQLGLHSVRDADDTDVPALAPGVAADDIGVPAPAPDGVVADDIDVPAPAPVVTADAAAGPVKRGRGRPPKPKVAVAEDSPAEASLVAADAVAGPAKRGRGRPPKPKDPAAMGTDGESTADANAPPKDPITETIAWATSGMLSPRGRPPKKTKVEEAPSTDVPVKRGRGRPPKVKH >KQL24864 pep chromosome:Setaria_italica_v2.0:II:34107761:34109247:-1 gene:SETIT_031187mg transcript:KQL24864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADVGIGAVAGGGEGERSSSSSSSDDEASPTAAARRLLSTRGFTRITYVHIHECEDFSMGVFCFPAGATLPLHDHPRMVVLSKLLYGSMRVSSYDWVTAPRSGTRKGVGAVPRSGGNIHTLTAVTPCALLDVHAPPYAEDLGRSSTCFSDMPVPALPGYAVLEEAELPEDFRVVGAPYVGPELLVDVDWYD >KQL24121 pep chromosome:Setaria_italica_v2.0:II:26415481:26421105:1 gene:SETIT_028710mg transcript:KQL24121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAQLLGLQEISSMEDQTRPLDGTIWKLPGKLSRLLCHGCILPKGVENEIPLIKHDLEEILAILSNLDDDHAMMVRCWRKEVRELSYDIEDFINQYEHAKAGSWTGSIPRRKIIQRHNSRTTLYRLREKLRHRLWIANKIREFSVRSQEVLQRHSMYNLNSIAGSSSRRCAGAHFTSSHPTPCWDGNTPIGISATMDKLEERLMKMYDEGHQKLKVVSIVGFGGIGKTTLANELYRKLGRQFECRAFLRTSQKPDMRRILISMLSQVRPQQSPDNWTVHSLISTIRTHLQDKRYFVIVEDLWATSTWDILKCALPDDSCCSRILITTEIEDLALQSCGYDPNYIFKLNPLGEDDSRKLFFSSVFGPQQECPPEHREVYCDIIRKCGGLPLAIVSIASIFAGQLNVKEQMDYLNKSLGCSLITNATLEGIKQVIDLSYNNLPQHLKACILYTGLYEEDIIIWRDDLVNEWIAEGFICATGGQDKQEISRAYFDELVGRKMIQPVHTNDNGEVLSCVVHYMVLNLIVTYKSMEENFITVVHHSQANSTLADKVRRLSLHFGNAEDVIPPSNMRLSQVRTLVYFGVCRCMPYILQFHLLQVLILHFWGDKDNISLDLTRISELFRLRYLMVSSNVTLDLRTQMHGLQYLETLKIDARVRAVPSDIVHLSGLLNLSLPTQTNLPSRMGHMTSLRTLEYFDLSTNTMENVQSLITLSNLRELQLTCSTVQPENLNNKMQFLLNSIGRLSNLTSLNLVPRTSSCANSLDDAGAASITVSDSISSMPTPTLLQSLEVSPRICIFLCLPKWIGQLCKLCTLKVGVRKLARNDIDVLRGMPLLAVLSLYVHTKPASRIVIGKTGFPVIRYFKFKCCDPLLKFEEDAMPHLRKLKLVFNAHSADLQSTIPVGIDFLSELKEFSVKIGGAGPDKSHRRAAELAFREAIMVHGRCQRVNVRCVQHIIGGKEGQSCITTHDDHDSYEHDEIMPDNSGQEVLCAVKEAGTIPLPGKKEGNNDADNRKRRKTLVKDILTSSSDGDDGHSWRKYGQKEIPGSKYPRAYFRCTHRNSIGCNATKQVQRTDGDPNLFAVRYYGEHTCDYRSETTVRVEMEQSSITELGVNSVNPSTSSACSTSSVSSRRSMIRGNVKTSKPDDDGYFWKEYVREDILGAKYPRSYYHCVCRHTEGCGATKQVQRTDADPLVFDVIYNGEHTCKQTAHFTDENKSLSVPLDPPTPGLSEMLSAEDTAEISFDKFRGLFNNDCPIKGKIDVDTPWGNMKLPISKEGGTTRIKEEDDGNDN >KQL24431 pep chromosome:Setaria_italica_v2.0:II:30049028:30052463:-1 gene:SETIT_030987mg transcript:KQL24431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALAGRRRAAKVMTVDGATFRYKTPAAAGAALRGHPGHQLLESEEVRRLGVRARPLDRDAPLKPGKLYFLVQIPRGAAVGCAGDDDPRAPRKTWSGALHVGARERLESLMLSRRTVSDVASIMPSSAARLAAVGAGGSPNKPPSSVEVGADGAVRLRMRLPKAEVARLMKESRDPAEAAERIMQLCVARDQGAAAAPPPVARSALSGRNATTTTAGLKREKRTRFMAVPDEIIG >KQL24316 pep chromosome:Setaria_italica_v2.0:II:28790848:28791219:1 gene:SETIT_033553mg transcript:KQL24316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTGRLAFFPPFLIEIGGQMLEGIWSYSTNDCAVCDVL >KQL24892 pep chromosome:Setaria_italica_v2.0:II:34452643:34455957:1 gene:SETIT_030185mg transcript:KQL24892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVETPAQPRASQPRKNAGATQPGQSYSSSRLLRNPLLVVNFVLMVVGTAGGPLFLRAYFLHGGARKWLSAFLQTAGFPLLLVPLCVSFSRRKKAAGGTPFFLMTPRLLVASAGIGLMTGLDDLLYTYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTASSVNAVVLLSVGAAMLGMNAGGDRPAGVSRAQYSAGFAMTLGAAALFGLVLPVMELSQARHAARPGAAPVTYTLVIEMQLVIGLTATIFTAVGMLVNNDFHAIPEEARQFGFGRSGYYLLLAGSAATYQCFFLGTIGAIFFGSALLAGVIMTVLIPVTEVLAVMLFSEPFNGTKGVALALSLWGFVSYFYGEVQTSKANHQSDDSDPPNAEHLDP >KQL24891 pep chromosome:Setaria_italica_v2.0:II:34452643:34455564:1 gene:SETIT_030185mg transcript:KQL24891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVETPAQPRASQPRKNAGATQPGQSYSSSRLLRNPLLVVNFVLMVVGTAGGPLFLRAYFLHGGARKWLSAFLQTAGFPLLLVPLCVSFSRRKKAAGGTPFFLMTPRLLVASAGIGLMTGLDDLLYTYGLAYLPVSTSSILISTQLAFTAAFALLLVRQRFTASSVNAVVLLSVGAAMLGMNAGGDRPAGVSRAQYSAGFAMTLGAAALFGLVLPVMELSQARHAARPGAAPVTYTLVIEMQLVIGLTATIFTAVGMLVNNDFHSNEKCRQSQKRRGSSGSGGQATTYCSPGRPPRTSASSSAPSAPSSSARRCSPA >KQL25143 pep chromosome:Setaria_italica_v2.0:II:36266394:36266925:-1 gene:SETIT_0301871mg transcript:KQL25143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSAAASAAAGDGGGGGGSKKEESLPPGFRFHPTDEELITYYLRQKIADGSFTARAIAEVDLNKCEPWDLP >KQL23182 pep chromosome:Setaria_italica_v2.0:II:8988359:8993764:1 gene:SETIT_028762mg transcript:KQL23182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLIAGSHNRNELVVIRREPGGGGGGAARRAEAPCQICGDEVGVGFDGEPFVACNECAFPVCRACYEYERREGSQACPQCRTRYKRLKGCPRVAGDEEEDGADDLEGEFGLHGGGAGAGGDDDPQHVAESMLRAHMSYGRGDAAHGFNPVPNVPLLTNGQMVDDIPPEQHALVPSYMGGGPKRIHPLPFADPSLPVQPRPMDPSKALADYGYGSVAWADKMKDWKKQQQERLQHARSDGGGDWEGEDADLPLMDEARQPLSRKVPIPSSRINPYRMIIIIRLVVLGFFFHYRVMHPVNDAFALWLISVICEIWFAMSWILDQFPKWLPIERETYLDRLSLRFDKEGQPSQLAPIDFFVSTVDPSKEPPLVTANTVLSILAVDYPVEKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFNIEPRAPEWYFQQKIDYLKDKVAASFVRDRRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGSPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLSNAPYLLNLDCDHYINNSKAVKEAMCFMMDPLVGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCLSCCCSRNKNKKKTTKPKTEKKKRLFFKKAENPSPAYALGEIEEGAPGADIEKAGIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTDWGKEIGWIYGSITEDILTGFKMHCHGWRSIYCIPKRPAFKGSAPLNLSDRLHQVLRWALGSVEIFFSKHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLFYCTLPAICLLTGKFITPELSNVASIWFMALFICIFVTGILEMRWSGVAIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVFAGIDTSFTVTSKAGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRVDPFLAKNDGPLLEECGLDCN >KQL23080 pep chromosome:Setaria_italica_v2.0:II:8283434:8283735:-1 gene:SETIT_033611mg transcript:KQL23080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQMSKSVSCRGKPLIQEFPTLNSKLHFLSE >KQL23081 pep chromosome:Setaria_italica_v2.0:II:8283555:8284621:-1 gene:SETIT_033611mg transcript:KQL23081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCTSRVKALPIRRPDGALEALRCVLTGFMLLQMSKSVSCRGKPLIQEFPTLNSKLHFLR >KQL22602 pep chromosome:Setaria_italica_v2.0:II:3815770:3816265:1 gene:SETIT_033492mg transcript:KQL22602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSVWRFMIHLPLDLLLRDEGGGFRCFCGAVEMSVSI >KQL25317 pep chromosome:Setaria_italica_v2.0:II:37282795:37286152:1 gene:SETIT_032923mg transcript:KQL25317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQRGNFYQSLPRGGVGIGWEFVLTALLAPGRPFRAQKSDALSTVGLKTCQPNCNIDKQGDGALVPVASISTLSSEYVSVQVGANKEVYHEDEDVVCSQLIMPKVGMEFDTIQEARRVYNEYAMKLGFNIRVASSRNSNVTKELIRKEWECSHARKPALDGEDNGEENTLVSRSTNDTATLIMGVLADFHGGLGNLTFSSKDVSNMRTHLRGGVTYRDMDATLEYFQKQQAESSSLYYATMIDDNNVVRGLFWVDGRTRELYKRFGDCIFFDTTYCTNRYDMPFVPIVGINNHLHSILLGCAMLSDETTETFVWVLERLKGAMGGYFAWLISEEEDFAKEFDYCVNRTKTPEEFEMLWASIEDKYHLQENEFFQSMFGTRRMWASAYFRKYFFPFTGTIGRSESINSLFKKVVHSQDSMLQFITQYDYIMDTRAERENKECCKGEILDAPLWGRYAFEKQAAAFYTGEVFDATSYTCSCNMFDRDGLLCPHILKVFTNRDVKKISEKYLLRRWSKEVTIMIPERLSGTESAFGVPTTNKLRYNTLCRKMTSLAVEACLGHEQYIVASAGIDTLVQAVRTARGSQEMQQNEASNVATSQQSKTPAVMVKNPTRTKGKGRPKEKVERFKSIVAQAKEKAMKKKAKGKKTAQKIPPCSYCFEDDHSVQTCAYMAKTEALAKDLKETELKL >KQL26998 pep chromosome:Setaria_italica_v2.0:II:47315193:47315864:-1 gene:SETIT_033115mg transcript:KQL26998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAVSLLAVAVALLGVLQPAATSPPPSPPAAPATGPPVSCASTLYNLFSCTPFLSTGTPLAGPPASCCATLRATLASPDSICLCHLIDGWFNQFANVNIDAVRLALLPIVCLAIVPPELPYVCSALRDSVASTVPPAPPAATLPRAALKDSVARRG >KQL24280 pep chromosome:Setaria_italica_v2.0:II:28497158:28500338:1 gene:SETIT_029558mg transcript:KQL24280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAGRRTLALVNLAAIMERADEALLPAVYREVGAALHATPMGLGALTLYRSAVQAACYPLAAYAAVRYNRAHVVAVGAVLWAAATFLVAVSGTFAQVAVARGLNGIGLALVTPAIQSLVADCSDDNTRGAAFGWLQLTGNIGSIIGGLFSLMLASTTIMGIAGWRVAFHIVGLISVVVGALVGLFAVDPHFLNCGNGERLLRKSAWEEMKDLVREAKAVVQIPSFQIIVAQGVTGSFPWSALSFAPMWLELMGFTHNKTGLLMTTFALASSLGGLLGGKMGDHFAVRFPDSGRIVLSQISSASAIPLAALLLLGLPDDSSYGFLHGLVMFIMGLSISWNGPATNNPIFAEIVPERSRTSIYALDRSFESVLASFAPPVVGFLAEHVYGYNPISYGAGASSVGRDKSNAGALAKALYTAIAIPMLLCCFIYSLLYRTYPRDRERARMDSLIASELQQIELERCHGVVDCYAGSKDGATVIDMEYSEEDFDPDDDEKALMDQQAEQ >KQL24593 pep chromosome:Setaria_italica_v2.0:II:31597538:31598910:-1 gene:SETIT_030596mg transcript:KQL24593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPPTPTSYLLLAPLAVLLVVFVLPSLRSPPRVGSDGLGVLCSRRAGFPDVVAVAPSVEASPPTQPEPEFSLLVGVLTMPSRRERRDIVRMAYALQPPASRARVDVRFVFCNVTDPVDAALLALEIRRHGDVVVLNCAENMNDGKTYAYLSSVPRLFASRPYDYVMKTDDDTYLRVAELADELRGKPRDDVYLGYGYAMGGQPMPFMHGMGYVVSWDVASWVAGADEILARNDTLGPEDLMVGKWLNLAGRGRNRYDLKPRMYDLNWDMDNFRPDTVAVHMLKDNYRWAAAFRYFNVTAGIEPSDLYHQLP >KQL22480 pep chromosome:Setaria_italica_v2.0:II:2993330:2993855:1 gene:SETIT_033748mg transcript:KQL22480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNPETLARRPHLRRGGARSRPRSGGRPNSPTLPARGSGARGGGSGVGGGGSSWRRRRQARLAAARLGTGVSGARQRACGKRRLCAWFGRAAGSRVRPGRSRASRRRIESAQAAV >KQL25823 pep chromosome:Setaria_italica_v2.0:II:40738393:40740037:-1 gene:SETIT_029654mg transcript:KQL25823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAADMAHVLVFPVPAQGHLNSFLHFSTGLLRAGLHVTFLHTDHNLRRLGAAAREAAAASPRLRFLSVPDGLPDDDPRALDGIPRLMETLRTTGGAAYRDLLASLRRRDGGADDGFPPVTCVVADGVMPFAWEIAEELGVPAIAYRTVSACSVLAYMSVPRLIELGELPFPEGGGDLDEPVRGVPGMESFLRRRDLPIECRSLTETHQDPLLKAVVAATARSRKARALMLNTTASLERSSLTHLAQEMRDVFAVGLLHAMFPAPAAATSLWRHDDGCTAWLDGHAERSVVYISLGSLTVISHEQFTEFLRGLVAAGYPFLWVLRPDMLGASQDDALREAVAAVGEDRALVVPWAPQRDVLRHRAVGCFLTHAGWNSTVEGVAEGVPLVCWPFFVDQQINSRFVGAVWRNGLDMKDVCERDVVERTVREAMESDEIRRSARALAEQVERDIADGGSSAMEFGRLVGFIKELSRTSAAEVGLQIQE >KQL23341 pep chromosome:Setaria_italica_v2.0:II:11021322:11024034:1 gene:SETIT_032477mg transcript:KQL23341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEGAEGGGEQERAGGMAAPPKAWKAEYAKSGRASCKSCRSPIAKDQLRLGKMVQATQFDGFMPTMQSKDYKRNKPTKGSKRKKGENDMQSCKAPKLDESTSEGTVRNKGKLVDPRDSNASSADIQQKLKEQ >KQL25855 pep chromosome:Setaria_italica_v2.0:II:40965646:40968275:-1 gene:SETIT_032656mg transcript:KQL25855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGPLRPHKSPEHSPRPRRPLLSPQPRRPPRQDRRAPRMPATPTIIGALLGLSTQMYSNALRKLPYMRHPWEHVLGMGLGAVFVNQLVKFDDKVKEDLDKMLERAREANERRYIDDDE >KQL27297 pep chromosome:Setaria_italica_v2.0:II:49068759:49071023:-1 gene:SETIT_032140mg transcript:KQL27297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLTHWQMTTMTTAAAWQPVALDAEFSPEVAALLISERGRRQLSSRLRSRNEALRTKVRKHLVALGWTIVSRRNAAMAPRLRYESHDGKTYCSLPDLIVAAGATRSLLDDQHPPPPPPETNKIYNDDDDDPAPTPTQQEDANAIAEYVALMASNHRAMGPTADRLRASAKRQLQASGGGGGPVIKKCTTVQKKKTCQAVEGAADGSCLIKRCTTTTTVSSKRKKKKAAGSSRVLRPSCEAAAATCPRRARTLLSVLIDEGILVPRDKVTYSAAAATSSKVGLITGDGVIRCTCCGKAFTVAEFEAHATRGRRTAGRPWARLFLKDGRSLSQCLVELMRRDDDRNNKGMRRVVRLKEACLDPGGDSVCSICNDGGELLLCDHCPSAFHHDCLGLPAASASPDEEEEWFCPCCRCAACGGSDFDPADPDDTTRRPLTDKTIIYCEQCEHEYHVGCVEGGLQLQQGPWLCSPGCERVFRHLQGLAGTSIPTSAEGVSLAILRRRSDDQQGVSSEEAMAMEHGKLRAALDVLHECFVPLVEPRTQSDLSADVVFNRESELRRLQFRGYYVVGLEKGGELVTVATLRVYGNKVAELPLVGTRFAHRRQGMLRLLMTELEKMLARDLGVHRLVLPAVPELLRMWTGSMGFRAMTHSDKLEVADHTILCFQGTTMCQKSLLAAAAASSSGYLCT >KQL24106 pep chromosome:Setaria_italica_v2.0:II:26224034:26226213:1 gene:SETIT_032081mg transcript:KQL24106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPPGLPRRRSPAAATALLSRLRAAAGPNSPRHLLLRSMALVLTSGLSSSHPALASRLLNSLLPHVPCHRLPALLRLLPRDHLTLLLLSSVKHHHAHSLPAACALHGLAVASGHLPSDLRLANSLLSLYLSLGSPGSARRLLADIPRPDTVTWNTLLRACLRMGILPAARRLFDEMPERDLVSYNSMLAGYAAEGDMVGARELFDEMPERDVVTWNSMLAGYTRRGDMESAKKMFDAMPVRDVVSWNSVLDGYAQAGDVKMARMVFDGMPRRSIVSWNVVLALYAKVKDWCECLRLFDALMAVGENIPNEKTFVSVLTACGNLGDLERGKWVHSLVCERWERLVPDVLLLTALLTMYAKCGVMGTAKEIFDSMSEWSVASWNSMIIGYGLHGHSEKALELFTEMEKSGPQPNETTFICILSSCAHGGLVLEGWWCFDRMVRFYNIEPKAEHFGCMMDLLGRAGLLRDSEELIKNLQAKVSPALWGALIAASRTQDSSKLGEFVGKKLIEMKPTEFSSYVLLSNIYAAEGRWDEVEKVRKVMKENVVEKDVGMSLVGSSEPHQIADDGFSFQKNSVMLSMLGYMGVHVKQPSQLGNCSKSVGSSS >KQL23968 pep chromosome:Setaria_italica_v2.0:II:23754165:23756644:1 gene:SETIT_033023mg transcript:KQL23968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFTMVGEDLFKLDKERDQLTAAIGTTEHSGRVRGLSSTLPWGKAFQNDQASYKKWDHYKKDLEEKMREIAKPAVPHMVSTYDQKAPSIEVDKFLNLLKKKKASSSSEKSVACGASQQMEKDENLNFFASDEVLENYEHGKPFLYRWDLLDGPWELNKLHGWIMKAMKQGIRAITPRIPKKVFLGVLDYEIVIDFEDLHELYRHQHLDVNLNTVWCLMQWNEEELTNGRFKVAYLDPSRISEPEHKFKLMETVKQQMEAAQTQCHKQPPSSVLYEYYVCEFLRNNGRYRTNPEDVTATIDTLDAALEDKGIDNICRDMAWFIQREICHEDGAFFDKNGMLMVDECKGLRRWTK >KQL22550 pep chromosome:Setaria_italica_v2.0:II:3476002:3477064:-1 gene:SETIT_0289991mg transcript:KQL22550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATARKLIVEVVEARDLLPKDGTGTSSPYARADFDGQRRKTRTVARDLNPAWNEALEFDFPAAGVGAEGEPLEVAVLHDVRVGPSRRSNFLGRVRLDARQFVRKGEEALIYFPLQKKGFFNWVRGDIGLKVYYVDVPLAPPEPEPVPEPPAAPDATAAEAEAPPPAADDAAATASADEPPKAEEPVGASPAPPQPEPAEAAAGDGSTTEKPPEADADPAAATATPAPEDAPVMTEEAVAAPEEKPPEEQEPVLSPPPQPTPTPTPMPRQVSMPVRRPQPPPPPPEEPMERSKHDLVDKMPYLFVRV >KQL26988 pep chromosome:Setaria_italica_v2.0:II:47275604:47277655:-1 gene:SETIT_029778mg transcript:KQL26988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPNPIGDPAARPQPHAGPRVELELPLPPGGAAPFDLAAAVCSHGLFMMAPNRWDPAARALVRPLRLASDRSASLLARVSAHPARPGTALLVAVEGADALSSLDRDYILEQVRRMLRLSEEDGAAVAEFQAMHAAAREEGFGRIFRSPTLFEDMVKCILLCNCQWTRTLSMATALCEIQLELKCSSSVEDFQSRTPPIRERKRKRSKRQSVRIKLETRFAEDKLEGPTIASGTSNDLTHPETNEYLSSLASVASETGSACDSLPSLDNSELSLNNAPGLEDCIGDFPTPEELANLDEGFLAKRCNLGYRAKRIVMLARGVVEGKVCLQKLEEMCRISVPAAEEVSTIESACERLNKELSAISGFGPFTRANVLMCMGFNHTIPADTETIRHLKQVHKRASTISSVHQELDKIYGKYAPFQFLAYWFELWGFYNKQFGKICEMEPSNYRLFTASHLKKAKN >KQL26910 pep chromosome:Setaria_italica_v2.0:II:46889884:46891407:-1 gene:SETIT_030773mg transcript:KQL26910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALPVAHKLALTSPFLPRHRRPCPAAHHHGQRRRRHGVVVAYMEPNPNSPAAIAGRLVGALPVVGLVARILSDEGGVGGDIIDFAEFRRRVSKKCTVMDSQAFYDFNERRGKPGDPFYVLLCCWLAAVGAGLLKTEEILEGVARLRISNDIEFEEETFIDMIRTAKEKRAKLKAPAPQIPMETRAEKALEAIYVCCFGQDMVEEEDEKLLRTILNAVFPSVGRPAIERMVASMAKQVASGERKRDGRTVSKEVQQRQLKDLQFLKQNKLDSA >KQL27260 pep chromosome:Setaria_italica_v2.0:II:48864758:48865470:1 gene:SETIT_031526mg transcript:KQL27260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVNDHAVGDLDVLREPVHGAHLHLERRNVVGHLGQQRGERHPPAPGHQPSQRPGLARQQRPRPAAAAGSCGGRAGDEASALERPAPAGEDGAAPARPAPRGHGERAVGRVAHLATAAACAA >KQL23206 pep chromosome:Setaria_italica_v2.0:II:9643427:9644422:-1 gene:SETIT_032897mg transcript:KQL23206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDSPKVTERKVDKDHDGDNDDEKGGFFDKVKDFIQDVGEKIEEVVGFGKPTADVTGIHIPHVSLEKIELIVDVLIANPNPVPIPLVDIEYLIESEERKLVSGTIPDAGTIHAHGSETVKIPFLLIYDDIRSTYKEIKPGSIIPYKVKVVLHIDIPVIGRISIPLDKNGEIPVPYKPDVNIDKIKFEQFSFEESTATLHLNLDNKNDFDLGLNAMDYEVWLADVSIASAELKESTNIKKQEITTMNLPISFRPKDFGSAMWDMIRGKGTGYTIKGHIDVNTPFGHMKIPISKEGGTTRLKKGDDDDDDDKVSVISHFGIPANYRSSLAC >KQL25241 pep chromosome:Setaria_italica_v2.0:II:36878251:36878670:1 gene:SETIT_032810mg transcript:KQL25241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRHWRRLFPVVLGAFLLHVALTALLVNLASRTAVINLDSMLLFYGIDDNSTAPAADTAAMAMAVGAEDEGTQITPLWKLHLTGDFFWRSSFVITMVFLSRACRLQEVAQQKVCYDSSYLILGMISK >KQL22785 pep chromosome:Setaria_italica_v2.0:II:5262640:5264548:-1 gene:SETIT_032651mg transcript:KQL22785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPKTKRGEVADAILSRLPAKPLFRFKCVSKGWCDLIAGRLGRRKFPQTLEGFFFGGGGDGGGVNYGHFINLSGRSVPLVDASFSFLTKLPGIKNIDLLSAHNGLLLFEHFRDRHRYEYIVCNPATEQWVSVPSSGITPYPPVEGNYYSLTLAHNLLIFDPAVSSHFHLLQFRNLCWFETVQVQAVRVFSSETGVWRDIKGEGEDKIQSKLGWANVNGMLHIPVYKHYLQSQQKDIIAVVDLQGKKNMAIHWPDMNEFAAPVFIVHIIELSIWVLEDYGAAQWVLKQSVSCLQLFGEISCGVDDLDVVAIHPDRNLVFFVHRYNWKLVSYDMDSEDEVYDLCTLGHGGGLIIPYVPYFAER >KQL25844 pep chromosome:Setaria_italica_v2.0:II:40853490:40854638:-1 gene:SETIT_033823mg transcript:KQL25844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLKDQKQRMLNELHGAVLQAQAVRKEDG >KQL22806 pep chromosome:Setaria_italica_v2.0:II:5441172:5442332:-1 gene:SETIT_033045mg transcript:KQL22806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSEYKRRRWYVRYVGESNVAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHKFRRWVPPPPNPPRMTDEEKQEAACRRVRDPPMCKCGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVQEFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKLRKQQEIKEKIRKKYDIWCMRLE >KQL24952 pep chromosome:Setaria_italica_v2.0:II:34862781:34863500:-1 gene:SETIT_031933mg transcript:KQL24952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRFAVLFAATSAVLLLAASATSRPPAMYVVGDQMGWAVPPGGATGALNEWAARHRFLVGDVLDFKYSNGDAVLLVSPGDYERCSAASPVSRFADGGGGGGGTRFTLGRPGLLYFISGAPARCEAGQRMAVRVVNARRRSLTSGAPTPAPAPAPGTLTSDTPHRRPLSLAQKQFAAAAIGFGAGFILTYFIVWLCVCCGG >KQL22590 pep chromosome:Setaria_italica_v2.0:II:3707020:3708784:1 gene:SETIT_030745mg transcript:KQL22590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVAAPLAFRRDVRGPLGRPLGCPSGRGMPGVLCWSSGTAGSKRLAAPAWLARARGKNRSAGGRSSTKDEAEEEEEAAEVVIVDAGDEEEFAADELSGFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDQTVSSPSGSFYIPAVLRVPQLLQVVKRRRVKQSLSRKNILYRDEFTCQYCSSRDNLTIDHVIPISRGGKWEWENLVTACSRCNSRKGQKTLEQANMKLRKLPRVCDVLPC >KQL22591 pep chromosome:Setaria_italica_v2.0:II:3706879:3710032:1 gene:SETIT_030745mg transcript:KQL22591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVAAPLAFRRDVRGPLGRPLGCPSGRGMPGVLCWSSGTAGSKRLAAPAWLARARGKNRSAGGRSSTKDEAEEEEEAAEVVIVDAGDEEEFAADELSGFRGLVLDISYRPVNVVCWKRAICLEFMEKADVLEYYDQTVSSPSGSFYIPAVLRVPQLLQVVKRRRVKQSLSRKNILYRDEFTCQYCSSRDNLTIDHVIPISRGGKWEWENLVTACSRCNSRKGQKTLEQANMKLRKLPRAPKDYDILAVPLTKSAFRTLKRSQGLPEVWLQYLARPSP >KQL22714 pep chromosome:Setaria_italica_v2.0:II:4741815:4743719:-1 gene:SETIT_031724mg transcript:KQL22714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLGTSKGILEIAKFGVYVSVPVALTYLVATDSKTLKKLMGLRPYVVYPPEGPRPPPPEELRERAREIARKRQQS >KQL24571 pep chromosome:Setaria_italica_v2.0:II:31364008:31364260:-1 gene:SETIT_0288791mg transcript:KQL24571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERATQTILFLILFVHHSAAQNATKNGEFPIGVILDLDTLVAKIARTSIQMALEDFYAAHKNYNTKLVLHIRDSYSNNIQAASA >KQL24964 pep chromosome:Setaria_italica_v2.0:II:35044008:35047068:-1 gene:SETIT_032223mg transcript:KQL24964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGIVVACETLKLGKPLLGKNSVFQMALPVNMKLIKDELDIINGVLKGIDMKGCNSEIIETWVRQVRRLAYEMKDIVDQFMYVVGGYQQKEPCASLKKIFKKHQSLFSLDEIATKADTISKEVMELSKRLGRWAQPITGMHAPAINYDSEQQLYYPGHDHSTINDNELSYKINDIWRNMLKKIHGNDNKASDAGSMESAELRRYLIILDDVWTAEVLFRIREVLVDNNLGSRVIITTRIEEVASIAEDGCKIKVEPLRLIPIKSFILINLNDRDVWLLFCRKAFPNCINSICPQELHQCGKDVVDKCDGLPLALVATGSSLSLKTRSNKEWRLFYNQLILELHNNENLNQDYLIHRKRLTRLWIAEGFIEHKGGCSLEDVGEVYLTELVQRSMLQVVARNSFNQIQWLCMHDLVRELAIFQSKKENLCAIYDDTYGVVQVGLDPRRVSVLQCNNDGIRSSIDPSRLRTLIAFDTCMASCSWHSFIPRESKYLTMLDFTMLDLSGLPIEKIPNSVGELFNLRLLCLDETNVKELPNSIIKLHNLQTLSLKHATYRSLNNWEPIEPFEGLWGLKELQSLNEVRATKVFIAKLGYLSQLRSISITYVRSSHYAQLCNSLSKMHLLTRLHIRASNEHELLLLEDLTLKNPLEKLELVGRLSEGTLESPFFSTHGNQLLLMELARCQLTNSPVAQLSELSNLTELRLTRAYIGQHLNFHGKLFQKLKKVVLWDLPQVNQICIHEGALVSLEYLHIDSLKELWDIPIGIKFLNSVKEAYFTRMHSEFTRNFQMGKLNHIPKVYWSQKASGSPS >KQL23204 pep chromosome:Setaria_italica_v2.0:II:9504028:9506281:1 gene:SETIT_030949mg transcript:KQL23204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAAGARASLLPSPLAASSSLPRLLALPPRHRRPHGSLASPPAAGRRRRLRVRMARTESTGVAVGFRAPEFELPEPLTGKLWTLDDFEGNPALLVMFICNHCPFVKHLKKDIAKLTSFYMEKGLGAVAISSNSIRTHPQDGPECMAEEAKLFKYPFPYLYDESQEVAKAFRAVCTPEFYLFKKDGRRFFELFYHGQFDDSRPSNNVPVTGRDLSRAIDCALSGQELPFVEKPCVGCSIKWHP >KQL25551 pep chromosome:Setaria_italica_v2.0:II:39022579:39023013:-1 gene:SETIT_032211mg transcript:KQL25551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTKRIALLSKQWQRMAALGRKQLAWRTAKEANECCTSVAGKADGRRFEVPLVYLGTTVFAELLQMSQEEFGFVSDGRITLPCDAAVMEYAMCLLRRGTSAEVEKAFLSTMAVSCHYASFAASSLGISQQVSVCTS >KQL25726 pep chromosome:Setaria_italica_v2.0:II:40030510:40033158:1 gene:SETIT_031616mg transcript:KQL25726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLTLEFGGGLELLLENSTKVHKVDVPIMDGEGKVAMKGLLSWVKANLIKERPEMFVKGDSVRPGVLVLINDCDWELCGGLDAELEEKDVVVFISTLHGG >KQL26090 pep chromosome:Setaria_italica_v2.0:II:42284761:42286092:-1 gene:SETIT_029862mg transcript:KQL26090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKPVLPLLTLAAVHIAGLLPPVTFATSPHGFRATLTRVHRYPFNYSAAARRDGRRLASLSNAAAGGAHTSTSSSRGLLQALVENGAGAYHMTLSIGTPPLAFPAILDTGSDLTWAQCAPCTACFAQPTPLYDPAASSTFSSLPCASPLCQALPGAFRACNATGCVYDYRYTVGFTAGYVAADTLAVGDASLPGVAFGCSTANGGDMDGASGIVGLGRGALSLVSQLGVGRFSYCLRSDADAGASPILFGSLANATAGDRVQSTPLIQNPVARRAPYYYVNLTGITVGATDLPVTSGTFGFTATGAGGVIVDSGTTFTYLAEAGYAMVRQAFVAQTAGLSTVNGAPYDFDLCFAAGAAVVGDVPVPRLVLRFAGGAEYAVPRRIYFDAVDERGSVACLLVLPTRGVSVIGNMMQMDLHVLYDLDGGTFAFAPADCANL >KQL24310 pep chromosome:Setaria_italica_v2.0:II:28745352:28745882:-1 gene:SETIT_033597mg transcript:KQL24310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFAAMVSSRRAAADPAPAAAPGEEEVKESGKRKLTAEDEAYLRIQLEEIVVVKNEDVTRLAAAQGNSNLGGSSARACAPGAAAAAAAPDGSSTATAAAAVAARGALSTTVGWIVGSN >KQL26011 pep chromosome:Setaria_italica_v2.0:II:41815301:41816109:1 gene:SETIT_031613mg transcript:KQL26011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRAPTPFAYAKVEKVDAEEARHLRAQYLIQKVLEEKSPRSRPPALARVKARIGVRLKKLRLAVRSVRVRACRTLQRHLRNLRRLIALGGQQGSGEPS >KQL22517 pep chromosome:Setaria_italica_v2.0:II:3249154:3251975:1 gene:SETIT_030772mg transcript:KQL22517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSLPPPFLYPPSAFVAAASLVTVVSLASLGLAELRGEHVAYSKFWGPQRPGGGGGALLPSRAGMLVGYVPALVAALASFAVPGAANDGARAQLLRAALAVHFLKRVLEVLFVHRYSGSMPLPTVLQISSCYLLYVIMFIYAQHLSRGLPEPAVDTLHPGALVFAVGVAGNFYHHHLLSRLRTDGGGGAAGGDRGYKIPRGGLFELVACPHYLFEIVVFAGLAMIAQTAFALALAVGTAAFLACRSCATRRWYAAKFEEFPAGIRALVPYVL >KQL25058 pep chromosome:Setaria_italica_v2.0:II:35632657:35640776:-1 gene:SETIT_029606mg transcript:KQL25058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGSMREQQQEMNISFGMMSHHGHHHHQPPSSSSSSSMHAAAASFMSGKEASGAYDHLGELDQALFMYLDHGSSHGGATHQEQRQTLNIFPSQPMHVEPSPKGEISLVLSPAPVGSKQPRSPQDHHHHQQAAMEELAGSRRLQQEHHHLQHQPFAAAGAEPAAPGMIKDVKPLAKKDHRRGASTAERDPKTLRRLAQNREAARKSRLRKKAYIQQLESSRIRLAQLEQELHTARAQGVFFPNSGLLADQGVAGKGVPIGGIDGLSSEAAMFDVEYGRWQEEHYRLMYELRAALQQHLPEGELQMYVESCLAHHDEMVGIKESAIKGDVFHLISGVWRSPAERCFLWLGGFRPSEVIKMLLSHVEPLTEQQIVGVYGLQQSALETEEALSQGLDALYQSLSDTVVSDALSCPSNVANYMGQMAAAMNKLSTLEGFVRQAENLRQQTLHRLHQILTTRQMARSLLAVSDYFHRLRTLSSLWVTRPRATQEQQQGHS >KQL25541 pep chromosome:Setaria_italica_v2.0:II:38991704:39000690:-1 gene:SETIT_030292mg transcript:KQL25541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKPAAAVDPEVALAHKFPEVSFDYDERDVALYALGVGACGDDAVDDKELHFVYHRDGQPHIKVLPTFVSLFPNKNSNGLGFFDVPGLNIDARLLLHGQQYIEIYRPIPSHASVVNKVKVAGLHDKGKATILEIETTTSLRESGEILCMNRSTIYLRGAGGFSDSSRPYTYATYPANQVHRISIPNSAPSAVYDDQTKQSQALLYRLSGDYNPLHSDPDIAQVAGFTRPILHGLCTLGFAARAVIKSFCNGEPAAVKSIFGRFLLHVYPGETLSTEMWLDGQKVHYQTKVKERNRAVLSGYVLLQHIPSSLGETENQRGVHDEAGCGANDGETGVGDARMASTASRLVHGTPAA >KQL25543 pep chromosome:Setaria_italica_v2.0:II:38994261:39000690:-1 gene:SETIT_030292mg transcript:KQL25543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSKPAAAVDPEVALAHKFPEVSFDYDERDVALYALGVGACGDDAVDDKELHFVYHRDGQPHIKVLPTFVSLFPNKNSNGLGFFDVPGLNIDARLLLHGQQYIEIYRPIPSHASVVNKVKVAGLHDKGKATILEIETTTSLRESGEILCMNRSTIYLRGAGGFSDSSRPYTYATYPANQVHRISIPNSAPSAVYDDQTKQSQALLYRLSGDYNPLHSDPDIAQVAGFTRPILHGLCTLGFAARAVIKSFCNGEPAAVKSIFGRFLLHVYPGETLSTEMWLDGQKVHYQTKVKERNRAVLSGYVLLQHIPSSL >KQL25542 pep chromosome:Setaria_italica_v2.0:II:38994261:38999172:-1 gene:SETIT_030292mg transcript:KQL25542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLPTFVSLFPNKNSNGLGFFDVPGLNIDARLLLHGQQYIEIYRPIPSHASVVNKVKVAGLHDKGKATILEIETTTSLRESGEILCMNRSTIYLRGAGGFSDSSRPYTYATYPANQVHRISIPNSAPSAVYDDQTKQSQALLYRLSGDYNPLHSDPDIAQVAGFTRPILHGLCTLGFAARAVIKSFCNGEPAAVKSIFGRFLLHVYPGETLSTEMWLDGQKVHYQTKVKERNRAVLSGYVLLQHIPSSL >KQL24808 pep chromosome:Setaria_italica_v2.0:II:33399261:33408803:1 gene:SETIT_029199mg transcript:KQL24808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKPGMSALVTGGASGIGKALCIAFAQKGLFVTVVDFSEENGREVATLVQKENSKFHRDLRVPSSIFVKCDVSNADNLAASFEKHVRMYGGLDICINCAGIANRTLVYDDISDGARTWRHAVNVNLVAVIDGTRIASQIMRSQKKPGVIINIGSAAGLYPMFLDPIYSATKGGVVMFTRSLVPLKRHGVRVNVLCPEFVQTNMAEQLNRKIIDSTGGYMKMEDVVNGAFELIQDESKAGACLWITKRRGMEYWPTPEERRKYMVNPMSKRMLTKNIYPSIRTPEFFEKIVVHTLSHNFRNATRLEHVRLRLPVEPHSALVKIIYVGVNASDVNFSSGRYFSGNPKETASRLPFDAGFEGVGIVASVGDSVNHIKVGTPVALMTFGSYAEFTQVPAKHLLPVPRPDPEVVAMLTSGLTASIGLEKAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKAELLASLGVDRVINYRNESIKDVLKKEFPRGVDIIYESVGGEMFDLCLNALAVHGHLIVIGMISQYQGEDGWKPRNYTGLCEKILAKSQTVAGFFLVQYAHLWQDHLDKLFDLYASGKLKVSLDPKKFLGVASVPDAVEYLHSGKSVGKVVVCIDPSYSQIIAKL >KQL26855 pep chromosome:Setaria_italica_v2.0:II:46634849:46635530:1 gene:SETIT_031144mg transcript:KQL26855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKPSSSKLTLIVASVVFLLGLAGVAHGGRRLISSHDDNKPCKKMTVYYHDILYNGSNNTANATSAAVTKPSAALSRSNWSNGTFFGMLVVFDDLVTEEQALTSEPVARAQGFYLYDKKEAYNVWIAFTLVFDSKAYKGTLNLMGADLMAEETRDLSVVGGTGDFFMSRGVATLSTNATEGYFYFRLKMDIKLYECYVA >KQL26867 pep chromosome:Setaria_italica_v2.0:II:46666070:46669364:1 gene:SETIT_030817mg transcript:KQL26867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDVPMSPELEQVDGEIRDIFRDLQKGFQKMDKIKDSNRQSKQLEDLTGKMRECKRLIKEFDRILKDEEKKNTPDVNKQLNDKKQFMIKELNSYVTLRKTYQSSLGNKRIELFDTGNDQVAEDTPVQMASEMSNQELISAGRKQMDQTDQAIERSKMVVAQTVEVGAQTAATLTQQTEQMKRIGNELDSVHFSLKKASQMVKEIGRQVATDKCIMAFLFLIVCGVIAIIVVKIVNPHNKSLRDIPGLAPPAMNRKLLSIDPFGGL >KQL26829 pep chromosome:Setaria_italica_v2.0:II:46514831:46518162:1 gene:SETIT_031050mg transcript:KQL26829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDGDGEQSQDYLFKIVLIGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSQEQKRSELQSLSNGKAVVLQGETNETSSGGRWCCSS >KQL26828 pep chromosome:Setaria_italica_v2.0:II:46514831:46518162:1 gene:SETIT_031050mg transcript:KQL26828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDGDGEQSQDYLFKIVLIGDSSVGKSNLLARFARNEFYPNSKSTIGVEFQTQKLVIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKTDLKHAREVSTAEGQALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYSILSRKVFQSQEQKRSELQSLSNGKAVVLQGETNETSSGGRWCCSS >KQL25450 pep chromosome:Setaria_italica_v2.0:II:38282047:38282395:1 gene:SETIT_033523mg transcript:KQL25450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKCTQICRQIQVYVAYSCICLSTREISRNPFSTYK >KQL22915 pep chromosome:Setaria_italica_v2.0:II:6322802:6329846:1 gene:SETIT_028976mg transcript:KQL22915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAKSSRSRPAGHSGVFPVGSAAAVGSGGGGGGGGGGGGGGGGDGGVQLADKLKIFKTDNFDPDAYVQSKCQTMNEKEIRHLCSYLQDLKKASAEEMRRSVYANYASFIRTSKEISDLEGELLSIRNMLNTQAALIHGLSEGVQIDSLTSGPEGSAEDNISNVEDQEPSEIQKWSADFPDMLDVLLAERRVDEALDALDEAERVAVDAKRKQTLTAAEVSALRRSISDNRQRLADQLAEAACQSSTRGIELRAAASALKRLGDGPRAHSLLLSAHNQRLQCNMQTIHPSSTSYGGAYTAALAQQVFSVIAQALSDSVDVFGDESCYASELVTWATKQVMSFALLVKRHVLSSCAAAGGLRAAAECVQISLGHCSLLEARGLSVSSVLLKQFKPSLEQALDANLRRIEESTAALAAADNWILTYPPTGIRPLTRSSAANLALQPKLSSSAHRFNSMVQDFFEDVAPLLSLQLGGSTMDGITQIFNSYVNLLVSALPGSMDDEANLDGLGHKIVRMAETEEQQLALLANASLLAEELLPRAAMKLSSINQSSMDDLRKRGTDKQNRVPEQREWKRKLQRMVDRLRDSFCRQHALELIFTDDGDTHLSAEMYINMDNTVEEPDWVPSPIFQELYAKLNRMASIAAEMFVGRERFATLLMMRLTETVILWLSEDQAFWEEIEQGPKPLGPLGLQQFYLDMQFVIIFGQGRFLSRHVHQVILDIIDRAMAAFSATGINPDSVLPGDDWFMDVAQEVASMISGKGRAANGDREVNSPTASVSAHSISSFKSHGSS >KQL23117 pep chromosome:Setaria_italica_v2.0:II:8472793:8475016:-1 gene:SETIT_032311mg transcript:KQL23117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLPSRLGCHGLSYLKTANTHSAGLLLRRGLAAFAAGEAVLDAQPWRASRQGPLPATAQRRRGAELLKIRPYISLDKYTVEDPDISEPIPEEKLTCDLSGLMSSLKA >KQL25505 pep chromosome:Setaria_italica_v2.0:II:38727604:38728228:1 gene:SETIT_031445mg transcript:KQL25505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAAAPAPATRAAARQGRRGRRRRRRRGRRGDGHGELHAPEAVPRDVADEVVVPRHGEVDAVAARFPRPHRLVRRRRLARPERVLRDPVHVVLRRHVVEHCHRLYVYQLSGRQDRTGTAAGRPALTIFWQKCAAYRACRRR >KQL25303 pep chromosome:Setaria_italica_v2.0:II:37190676:37196127:1 gene:SETIT_029330mg transcript:KQL25303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIAQNYLGLVYALPVKPALLITDHPKYKSGTSSIVLFMFVKRFSINHGRPDCHGHSSSERGTDHNLQSQRDVGIASKSANPGLVSKHHRSPDNIIDQICPPIVEKYPEASTPFSHTEDTAVKGCGPSDKMSANAPAVQFSVMSDEGIDLVVDLNSTPASWAKTFMAEMCIAPPEHGNFSSFISSLATKDDHSTVSPSGNIIVDIQSKGAVNIIPSTNSSRASDVGENSRSVPYPADTITVNSVSSTSTLAGAPVELSGYQEGAPVVSSSCLTADVRNNVTSEPSALDNEVLPPESANVFMQPERITVPLDDASMQPTGNKVTMIPGGVVRSVSNEDSCPKSSGKQTADVPARVQLSHNDDVHETLMENGPVEAVAVEEDIGCGDSLSISCQLAGQTVAKLPVTDAQSHASSADHCVAGSFDQAHPTSSSAASDNAINSLTSKYGAESAQSHGSTDKNRVCGAEVLEELESMTPAVYSEPPRNIQLSLRSASAKKKPSTLPRRSARLIPK >KQL25302 pep chromosome:Setaria_italica_v2.0:II:37188721:37196531:1 gene:SETIT_029330mg transcript:KQL25302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEESKNHLLSLSYQELQCLCKRYNLPAKKTHTQLASLLASLLEASPPAALTIPLATVKETATCDHVSNKRGPYNGRDDGRPPAQVKHQKGSQTPVDETTKGGIDTGTSISPVSINHGRPDCHGHSSSERGTDHNLQSQRDVGIASKSANPGLVSKHHRSPDNIIDQICPPIVEKYPEASTPFSHTEDTAVKGCGPSDKMSANAPAVQFSVMSDEGIDLVVDLNSTPASWAKTFMAEMCIAPPEHGNFSSFISSLATKDDHSTVSPSGNIIVDIQSKGAVNIIPSTNSSRASDVGENSRSVPYPADTITVNSVSSTSTLAGAPVELSGYQEGAPVVSSSCLTADVRNNVTSEPSALDNEVLPPESANVFMQPERITVPLDDASMQPTGNKVTMIPGGVVRSVSNEDSCPKSSGKQTADVPARVQLSHNDDVHETLMENGPVEAVAVEEDIGCGDSLSISCQLAGQTVAKLPVTDAQSHASSADHCVAGSFDQAHPTSSSAASDNAINSLTSKYGAESAQSHGSTDKNRVCGAEVLEELESMTPAVYSEPPRNIQLSLRSASAKKKPSTLPRRSARLIPK >KQL25304 pep chromosome:Setaria_italica_v2.0:II:37190676:37196127:1 gene:SETIT_029330mg transcript:KQL25304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIAQNYLGLVYALPVKPALLITDHPKYKSGTSSIVLFMFVKRFSINHGRPDCHGHSSSERGTDHNLQSQRDVGIASKSANPGLVSKHHRSPDNIIDQICPPIVEKYPEASTPFSHTEDTAVKGCGPSDKMSANAPAVQFSVMSDEGIDLVVDLNSTPASWAKTFMAEMCIAPPEHGNFSSFISSLATKDDHSTVSPSGNIIVDIQSKGAVNIIPSTNSSRASDVGENSRSVPYPADTITVNSVSSTSTLAGAPVELSGYQEGAPVVSSSCLTADVRNNVTSEPSALDNEVLPPESANVFMQPERITVPLDDASMQPTGNKVTMIPGGVVRSVSNEDSCPKSSGKQTADVPARVQLSHNDDVHETLMENGPVEAVAVEEDIGCGDSLSISCQLAGQTVAKLPVTDAQSHASSADHCVAGSFDQAHPTSSSAASDNAINSLTSKSAQSHGSTDKNRVCGAEVLEELESMTPAVYSEPPRNIQLSLRSASAKKKPSTLPRRSARLIPK >KQL26372 pep chromosome:Setaria_italica_v2.0:II:44012253:44012708:1 gene:SETIT_033282mg transcript:KQL26372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYVTLSVRDEEYREITRTMRTTDKLHTLIDFYYDMVPAVAYGEGAFLHRGEPVDRMKTPADYGMRDRDELAFSSQIDSSTFLTLTVREEEGLGSFSLTLRTTDQLRDLMDFCYEMVPTVDYGDGVFLFNGRRVKGHRTPEELGMVDGDWI >KQL26525 pep chromosome:Setaria_italica_v2.0:II:44901764:44901925:1 gene:SETIT_033583mg transcript:KQL26525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEELMWSQNIINKLMSIILAWQTLRPCIL >KQL23547 pep chromosome:Setaria_italica_v2.0:II:14795945:14796205:-1 gene:SETIT_031864mg transcript:KQL23547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLNNRTVCTNLYSYKVYLLEMICLYKCNHAALF >KQL26035 pep chromosome:Setaria_italica_v2.0:II:41949151:41951531:1 gene:SETIT_031551mg transcript:KQL26035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYYSKTKFAPFRDAPFALRGALGSSNTNLEQTRGYTSSPLGALRPKVPPSGRRPLHTSGPLSAPVANRPLSPHLPLKKPQLSATFSISHRIFGVALGVAIISVPLATKFSIMFGV >KQL27309 pep chromosome:Setaria_italica_v2.0:II:49101802:49103222:-1 gene:SETIT_033300mg transcript:KQL27309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGKDGGKGRLFTVGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMGACSLFSYAAIAWLRLVPMQLPRSRLQLAKIAALSLVFCASVVSGNISLRYLPVSFNQAVGATTPFFTAVFAYLITVKRESLLTYLALVPVVTGVIIASGGEPSFNLFGFIMCVGATAARALKTVLQGILMSSDGEKINSMNLLMYMAPIAVILLVPATIFMEDNVVAVTMELAKKDFNIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFKNPVSVTGMLGYTLTVIGVVLYSEAKKRSKQ >KQL22628 pep chromosome:Setaria_italica_v2.0:II:3981372:3986318:-1 gene:SETIT_029365mg transcript:KQL22628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEESAKKSKLSWSKSLVRKWFNIRPKAQDFHADSDAGQGRDGGGGSWRPRCSASEASASTAKKSRTDRSSSKRSAERARRGKNNFDVARLTEVQDYRIFAATWNVGGKSPPRGLNLDEWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLIRRTLNKNPGASGCGGYHTPSPVLDPVVELDADFEGSARRQENFPFFHRRSFHNLSRSLRMDGDYMFPQPRLDRRFSVCDPVNLGGRPSDFDGNLRCPGSPDEEIIDMEVSDAAQFSPFPHSYTASAPSEQNDEQSNSSRYCLVASKQMVGIFLTVWVRNEIRDDVRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICCHLTSGEKEGDELRRNSDVLEILRKTRFPRVRGSGDVKSPETILEHDRIIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRMQQRYGRVFQGWKEGRIYFPPTYKYSFNSDRYAGEGMHPKEKRRTPAWCDRILWYGNGLNQLSYVRGESRFSDHRPVYSIFLAEVDIVHQRRRNMGYFSSRIEVEELLPHSQSYREIKFY >KQL22802 pep chromosome:Setaria_italica_v2.0:II:5412703:5414010:-1 gene:SETIT_032007mg transcript:KQL22802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFKLDRERDQLSAAIGTAEHSGCVRGMSSILLWGKAFYNDQASYRKRDRYKKDLEEKMREITKQEFMEFLASQQLQTRADPTVFDGQRQTEPTMQLAHIGFVAPSSAGSIANVRYPVDDIQMDTPLTGHVFPKEPPLEYAWVQIVTVLDESCEIDIPTDEGIEVLEVYKFLNILKKKASSSDEKSVACGASWQKEKDENLNFFALDEIPENYEHDKPFLYRWDLLEGPWELNKLHGWIMKAMKQGIRAITARVSKKIFLGVLDYEIVIDFEDLHRLYRRQHLDVNLITVWCL >KQL24108 pep chromosome:Setaria_italica_v2.0:II:26235854:26239766:-1 gene:SETIT_030953mg transcript:KQL24108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTTMDAIQKLYEVCKVSLSEKGPPSSEAIDKVRVVLDKITPSDVGLECEAQAARVWRSPQALSKKRVFPSSPAIRYRHIYECKSFSIGIFCIPASSIIPLHNHPGMTVLSKVLYGTVHVKSYDWIDKAEPLNLLKVRPAKVVRDGEMSAPCGAMVLHPEEGGNIHAFKAITPCAILDILTPPYSSEAGRHCSYFRRCPKSDPSGVLLNRTKGSEFVWLEEYQPRDSFVIRRDLYTGPALEL >KQL23439 pep chromosome:Setaria_italica_v2.0:II:12516305:12517002:1 gene:SETIT_033630mg transcript:KQL23439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKIETTHTHNSSTKVMDSNNLLSQIRTVVWTTRIEVTPSAAQPRDKMMVAEERGEMVRQLRRHF >KQL23975 pep chromosome:Setaria_italica_v2.0:II:23949068:23961986:1 gene:SETIT_028653mg transcript:KQL23975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLGQQTVELGAVVRRAAEESYLALRELVEKSQAEAEGKGLGTGANGGWQRSDTEKKIDLLKFITRTRQRMLRLHVLAKWCQQVPLVHYCQQLGTTLSIHETCFTQTADSLFFMHEDLVKAQAPMFDVPSAIEVMLTGGYHRLPKCIEDIGSQNRLSPDEEKRALRKLDASVRYKVLVTPRPKEVSNVSVTDGIAVLRVDGEFKVLLTLGYRGNVDLWRILHMELLVGEKKGPIKLDESRRFALGDDIERRMAASENPFTVLYAILHEFCISLAMDTIIRQANALRQGRWKDAIRSELISDSATGQIGNASLMQLVQDGELDSSGFKIPGLKVNYWLDEKSTSTAEPDSSPFIKIEAGQDMQIKCQHSSFVLDPFTDKEANLSLDLSCIDVEQLILRAITCNRHTRLLNIQRQLCKNVQVSQSPKDVILKRDVTAAKDPKKNAEKKGSSDCFGNEVLQVRAYGQAYISLGINIRSGRFLLQSPENILPPAALMDCEEALNKGSTSATEVFSSLRTRSILHLFAAAGRFFGLKVYQQSQGTLKIPKAILDGSDFMIMGFPHCANAYYLLMQLDKDFRPVFHLLETQCDASDKTNANADAKEAIRFNKINVGQMQILKSESTTNPFDVKLQALQSIMSSADIMDSDLPVQNGIEPLPLLPACSPSFSSIVDEVFEYERGSTAAQNHSILPSSLPATPHLSSLSVGIQGVNARAVSPMHDGGLSHTQANNILKVHPSVSLNSYFPSNFRHLHDVNKSLQLVPSSNSNSNQIPVQSSHSGSLGNSPSDHLVRPSTTTGGLEKLITAGSDGASRKRSLSDFLPIIPSLQGLQPSDPIKRRKISESARSPLPLQAYTSNLQSRASLTYGDVLAERNNCVPATIYASVLLHVIRHCSLCIKHAQLTAQMDSLAIPYVEEVGLRTPSSNLWLTLPFAQDDSWKHICLRLGKAGSMSWDVRINDPHFRELWELNGGNTTTQWGVGIRIANTSEMDSHISFDSDGVVLTYNTVEADSVQKLVSDLRRLSNARSFACGMRRLIGVKIDDKLDDNQLSTEMKSQSVNKGNSDASDKLSDQMRKTFRIEAVGLMSLWFSYGTMPMVHIVVEWEIAKGGCTMHVSPDQLWPHTKFLEDFVNGGEVASFLDCIRLTAGPLLALGGAIRPARMPVTVSSGYSSMPKQANNIPTQGPLANGSSSSSIHHAPVPSNAAAAHLSSHNLHAAAMLSAAGRGGPGLVPSSLLPFDVSVVLRGPYWIRIIYRKKFSVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNALEPNFMNAAQASGHLNNNAGAPQTAPSASRLSATPGVSLSRPTSGVANHVAASLSRAGNAMLASSALASGIGGASVRLAPGAGLPVHMKGEINTAFIGLGDDGGYGGGWVPLAALKKVLRGILKYLGVLWLFAQLPELLKEILGSILKDNEGALLNLDQEQPALRFYVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQAQSNAQEELAAAEINEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGFSQAHGDIATAQRARIELCLENHSGSASADNTESTLAKSNIHHDRAHSSVEFALTFVLDHALIPHMNVAGGAAWLPYCVSVRLRYSFGDNNHIAFLAMDGSHGGRACWLQLEEWERCKQKVSRAVETVNVSAVAGEVGQGRLRMVAEMIQKQLQHCLQQLRDGPLSAGSTAS >KQL24570 pep chromosome:Setaria_italica_v2.0:II:31357122:31362151:-1 gene:SETIT_0288792mg transcript:KQL24570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDLLDNHNVQVIIGPQKSSQASFVSDLGNKTQVPVISFTATSPSISSGSLPYFVRATLNDSAQVNTIASLIKAYGWRQVVPIYEDTEYGKGIIPYLIDALQEIDVRIPYRSVIPLSASSEQITLELYKLMTMQTRVFLVHMSSALASKLFTKAKEVGMMNKGFVWIMTDGITNIIDSLNPSVVEAMNGALGIKFYVPKSEELDNFTVKWNRKFQIDNPNDPPLKLSIFGLWGYDTIWAVAQAVEKVWINNRTSFQKPAVPRISTSMDILGASAYGPELLKTILQNKFRGLSGYFDLSDKQLQVSTFQIINVIGKEWREIGFWTTENGIPQQLNHGKTDYRNLSISHPNSVIWPGKSTEIPKGWEIPVSGKKLQVGVHRSMYPEFMTNEKNPITGITKASGFSVDIFEEAVKRLPYALPYEYVAFDDNNDSGRSGYNDFVYQVYLKKYDIAIGDITISSNRTSYVDFTVPYTESGVAMVVPYKNSSNKNTLVLLKPLSSELWIKSSLLVIYTGVVDRVERVLSRIVLIVWVFCFLFLGTSYTANLTTLLTIQQLSTNVTDFNALQKSGEHVGYRTGSYVGNLLEQLGFDKSKIKPYNSREAIEIALSVGSKNGGIAAYVHEVPYIKVFLAEHSQEYTMVGPHYKTAGFGFALPKGSPLLGDISKAILDIVEGDTIIQIRNKWRVSQDKYSNIVPASVPDPLTTDKFMAPFLLSAVVSTSSLLIAVIIYLHEKKNKRMTSMQGDQNKDGVEVKYKTQDGNKRGIVEENEQLEAGRDQNDQKQEETGSAAIYRSEKILHSRVVPI >KQL23370 pep chromosome:Setaria_italica_v2.0:II:11552595:11559854:-1 gene:SETIT_028892mg transcript:KQL23370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVAVVGGGLSGLAAAHELARSGGARVTVYEKEEHLGGGGNKTMAVDDGTGGRVPVDLGCMVFNRMTCPNMMRWFEDLGLEVETSDMSFSASLRLDKGAGFEWGTRNGISGVLVQKSNLLSPRFWLVIREIIKFKNHALKYLEDHGRNPDRNETLGQFIQSHRYSQLFQDAYLIPMCLCIWSSPPQGVLGIPAVSVLSFFRDNHLLELFGRPQWHTVKGGSGSYVNKVREELESMGCQIKTGCEVKSVLKFNEVYRVLEVDGSEEMYDRIIFGLQAPDAIKLLGAEATHEELRILGAFQYIYSDVYFHCDESLMPHNSYAWSARNFLGTSSGVCVTYWLNIVQNIESSRPFLVTFNPPRAPNHVFLKWHTSLPIPSLASAKATVELNNIQGKRGIWFCGPYQGYRCHEDSVKAGKVAASELLQRKCNLLVNPKPMVPSWTEAGARLLVLKHFDQYINIGNVSILEEGGTTFSFGRAGERCHVKSVIQVHDPQFYWKLATEADLGFAYAYINGYISFSDNREGLLNFILITFANRGERKRLMRSSASKSSCIRKGWWSPWLRITGIACAKYILRHASRNNTVSKAAKNISKHYDLSNDFFALYLDPSMTYSSGIFKAEDESLEAAQLRKINSLINKAKVESGHHVLDIGSGWGTLAIELVKKTGCKYTGITLSEEQLKYSKRKVKEAGLEDRISFLLCDYRQIPTDQKFDRIISCEMIEHVGHEYMDDFFGSCEYHLAEHGLFVLQFIAIPEELYDKLRTRPEFLKEYIFPGGCLPSLARVVSAMTNASRLCIQHVENIGDHYYPTLMHWRDNFMANRKKVAALGFDEKFMLTWQYYLTYCAAMFRSRTLMDYQIVFSRSGDAKVPSYVAIA >KQL22448 pep chromosome:Setaria_italica_v2.0:II:2699963:2700494:-1 gene:SETIT_031627mg transcript:KQL22448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSDGSNHESSHFSRTTHNQRTIKMAQITDPWNLKVPIKSRIHPLGEFRMERITMLSDRALQQVILRKISPPQNEYNSRRVKNADGCQSNNDEVLQ >KQL24148 pep chromosome:Setaria_italica_v2.0:II:26747973:26749280:1 gene:SETIT_031959mg transcript:KQL24148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRRRRRGDRPKTRTASRKEASGLAATVHDVPDHLLELIFLRLDSCATVVRAGSACKRWCRVLAGAGFLSRFGSLRAPVLAGHYHTVNPFFDSSPPPAGGMPGFVPSATTAATVNPRGFSLDFLPDSKTWEIADSRGSLLLCKKTTPLASWTGYYYLPDQFIVCEPVTRRHQGILSPPYLQGECIGLFLLDGGDDNIRCSGGRRIGMSNFKILFVLHEFHRWVYGRGVPLACAFSSGSDGGWRVLQSAASENVTVPHNIEQISFAGRAGGSAYWAIGEDGAMLVLDEATEGFSVVMFPEDLLRSYDRYNFQVTGGEDGALRIVRLLNNELKVFARRHGSDDWVLENLVQLPEATRGLPGRQDRFFRQHEALIVAAESTHVLVTPQEKTWPFSVDLETMEVERARERNKYAGTAFPYELPWPPVLMACAERGRRSRR >KQL23596 pep chromosome:Setaria_italica_v2.0:II:15405155:15407270:-1 gene:SETIT_031628mg transcript:KQL23596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLALEVGVYAGCPGGSMRVGLCGGCPLAGFLQVGEHVARPAPLGFVMDGEATSSRTGPEGLAEAEVEEILEQSRWIYSFILFWDQRVVGTWKR >KQL26346 pep chromosome:Setaria_italica_v2.0:II:43863178:43863914:1 gene:SETIT_031783mg transcript:KQL26346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLQSLFSPLKKLWVRMHSAQHKKRGIYILYEDVKSCPCEDVQILWSILVESHSHPPPLRLMH >KQL25097 pep chromosome:Setaria_italica_v2.0:II:35995348:35996153:1 gene:SETIT_033159mg transcript:KQL25097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSAHGSSSSSNLSFTVVTDKKVYEDQLRGIICYRDENGEMICEGYDEGPRLGIRLPEKACFPWPVGIQVTDFIQLATLQVFEDVDAVQLKDDQKRKL >KQL26989 pep chromosome:Setaria_italica_v2.0:II:47279538:47280039:1 gene:SETIT_033744mg transcript:KQL26989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPHTPRRNQACFSCWMLDYYYQLEELGKWWAL >KQL22334 pep chromosome:Setaria_italica_v2.0:II:1809686:1811779:-1 gene:SETIT_032080mg transcript:KQL22334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKDRDAHAAGKKDKPVSVSAMLASIDAPPAKATKPSKPKSSKASTSSYLRDDADLPPSDEEEDEADLAARASRPRPHRPAADLNAAAPSLKEARKKERREHEAAARAEAVRREVLRDDRDAFSVVVGARVPADDGAAAAAADNVRDIVLENFSVSAAGVKLFDGASLRISHGQRYGFVGPNGKGKSTLLKLLAWQRLPVPRNIDVLLVEQEVAGDSRPAIEAVIQADDELTALRSERDNIEASDDSRDNERLAELYEMLNLHGADAARARASKILAGLGFDQAMQARATESFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEQYLSTQWKKTLIVVSHDRDFLNTVCNEIVHLHEKKLDVYRGSFDDFEGGFEQRRARAIREHEKYVKLENAAKRSGNKATHEKVIRQAMSRADKSKGKGKNVSNDHDGQKQITVPQKWHDYSVKFHFPEPTGLARPLLELVEAGFSYPGRPDFKLSGVDVGIDMGTRVAIVGPNGAGKSTLLKLLAGDLAPTEGEVRRSQKLRIGQYSQHFIDVLTMEENAVEYLLRMHPDQEGMSKAEAVRAKLVCDDETKSEIWIVQDGTVYTFDGTFENYKDELMEEIRKEVED >KQL24182 pep chromosome:Setaria_italica_v2.0:II:27207918:27209792:1 gene:SETIT_030531mg transcript:KQL24182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVDGNGGGGGGGRVVMELSHIKDLVRQLEGHLGGGSQLQAQEVCRSLASQISSLTERSITLITSYYCLDAGRKRSAAASLLSDVSDAPFKTTKKRKTTEKVKNQVRVSSAAGGDIPADDGHSWRKYGQKEILGAKYPRSYYRCTHRHSQGCAATKQVQRADEDPTLFDVIYLGAHTCVQSGAVAAAGEAATATQQPPEHNPNAHSLLQSLSSSLTVKTEGLAAAPEPPQGWVATTPFCLSSTPASGCLQAPAELSPFSAPSTTSENWGVSPATSDSNQHAAVSLPPFEVVAGDVEFEFGEVVSALVGIPDDDFDISSFFA >KQL23523 pep chromosome:Setaria_italica_v2.0:II:14547503:14548752:-1 gene:SETIT_033223mg transcript:KQL23523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDSITAVVGDDDGLPPVPFDPAVIEDGGEQQGLGMRRGHRFAASYSSFGTAVSEDDLGGVGGDGGGYGVFGMPPDSNGGAAYRFSGDVVNGVEHVMGALDVMDGAAHGGVGGGIGGLDEDLFSGPADDGLVLPPPESMREEGILRREWRRQNALMLEEKERKERERRSEIIAEADEFKKSFLEKRKLTSDTKRTQNRDREKLSLANQEKFHKEADRQYWKAISELVPHEIPGLEKRGKKKEQDQRKPGIVVVQGPKPGKTTDLSRMRQVLMKLKQNPPAHMVPPPTPAKKEEEKKDGDMGAKKDGNDANKDDKQTAGDAGKKTDASDKADLSASAAGATPAATEAPASRQ >KQL24337 pep chromosome:Setaria_italica_v2.0:II:29042405:29046782:1 gene:SETIT_029475mg transcript:KQL24337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPPPKADELQPHPPKEQLAGVSFCITSPPPWPEAIILGFQHFIVMLGTTVIIPSALVPQMGGGNEEKARVVQTILFVAGINTLFQTFFGTRLPVVMGGSYTFVAPTISIILAGRYSNEADPREKFLRTMRGTQGALIIASTIQIILGFSGLWRNVLKLSSPLSAVPLVSLVGFGLYELGFPGVAKCVEVGLPELLLLVVFSQYLPQVLHFGKDVFGRFGVLFTVPIVWLYAYILTIGGAYKNSPPKTQVHCRVDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMMTSFIVLVESTGAFIGASRYASATMIPPSIISRGIGWQGIGILLDSFFGTANGTSVSVENIGLLGLTRVGSRRVVQISAGFMIFFSVLGKFGALFASIPLPIFAGMYCLFFAYVGGVGLSFLQFCNLNSFRTKFIMGFAFFMGLSVPQYFNEYTAVAGYGPVHTGARWFNDMINVPFSSKPFVAGLVAYVLDNTLQVKDARKDRGYHWWDKFRSYKKDGRSTEFYSLPFNLNKFFPSV >KQL26173 pep chromosome:Setaria_italica_v2.0:II:42773140:42774360:-1 gene:SETIT_032620mg transcript:KQL26173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRTPKPSIPFLPSPPLASRAGRILPCLEATEPPDRTPPALTSGLRADLARRYHRSIQPPLLLGFISAAGFQPAEAPHPSAAAARAVAGAADFSFDFVPRPTSLNHRWHPCDVRDGRVLVDCRRFTEDDDEEERLSLDLAVCDPLSRRYLLLPPMTDDLLASVGLRNQDMFNSGASFIPSEDMEDETSFSVMCWMHSKTKFVVFFFSSCSGHRHWSVGASTSWDDLGLHEQVDSLGSCQCVYGCFYWKLNYTNKLLKLDMSTMELSTCDLPPDHEDGDIVIVESGEGKVAMFSQLGAGRSVDYYNLLQDGTEKSHEWHIKGTIPLPAQYTSEFYISGPAEGCIFLAGTQKEQDAVHSVFFSLEIKSFKIERVSRKTFAFRYALPYFGFPPSMTLRRIQGYEVVRVLS >KQL25668 pep chromosome:Setaria_italica_v2.0:II:39740011:39743757:-1 gene:SETIT_032493mg transcript:KQL25668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHDPINLSAAPPTALHTPPHPKPTPTRMDPDQVAAARVQHDDLGDTATSADAGGDSGGSGDSWGRALLRRGWDLSRKAAIAGVAATAAPVVAPPLLVLSVAGLALSLPFAAYLASVFATERLMGALLPPPRTQPYHTWDVEDDEFLDASEAPGGEATVFDYWSETEDGAIMEMEDDESYASLPLSRECRLLEEPVRASSDDEDTMPEGEFRFQESGHESFVLDNSAQKEEDNEYITMEAVLLPKDFDESISATPALCEEDDMVPKIVEALVQELSVSDSGDKTEDGKRTGMDGVEPSKEMVSPGIDIGTTEVSGFPVPDDNTLQSKVEGDVAVEMLQEEVTINTNPVTEEVVGVQMEATATELPECELLHPSDRVSQEPQAMAEAACVDDILESTLTQDIVLDTGDANSESVEHTGVGDVSSVVSVVTVDDVADLACSMSTPDVSAISDDKMNVESRPDVDHSNQTTGVEYTWANKSAKTEERKSMDSEVSTRSMTPQEIDVSKSPVPDDQSKREDEVTVETVLEEVTSTTDLVTGEVVGVQVDIVASGSESLPLSDLVAQELQAVTEAASVESIQGSTVRGDIVMDTDDTNTEGVEQHGEGGASSFISGASVVTRDDDEDIMSSTKPYVSAISEDIKSVEGKPDVKHPHETTLFENKLTDEGLKGKVVAEDKDNYIEVQLREQLDTLRTITGYRPATSLTLEAELAGLYIFVGVEPPVSSRDSSDLIEINLKLQFLKSIIGVE >KQL23925 pep chromosome:Setaria_italica_v2.0:II:23040559:23042913:-1 gene:SETIT_029017mg transcript:KQL23925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSYHYNLSTACDINVTIFNNLTESYSKQLNDTSTLSTSFIMFVLTALFFNLNLFSGLSHVSAILDPKIRLGLTSALSLFLPVMSYLFSEAKNGSDVGPKSELPLRARFILIWMLLVELLRKKVEVIKMQGYSGTIERAGRVLWLGSLVFSNLQATGRKAMTGILWLLCATKLVQRISFTEVGKRSLAFGKNARVITSYMAQVLEKDQQGHRFRPEDRDELLKGCQYAVMEEDDLVVEAIPSGYRLRDDANVVATVGKIWGLLETDPLLDSLDRDQRLRMLCLSFSLFKLLRRRFERLPAMTPAETRNYREVILKALYDESTSAAEVMFQVTNDELNFLCEYYHSVVPVVLASPFFLLANYFLLPLVVFVMCLVVIVLCSNGDVPFAFRSIKDDDYFTFFGITQMTPCLRQFFKSPVVFFCTVDFSITSLLFLMFIYEEVWEFFVFLFSDWFLVSLLCKYATKPQWHNSRAFGRSIRCILFARSLMSRPGIRFHQFCVLKFCGLAMPAQLSVKVPILPTIPVPREVKHSVMEYLSKLHDRDGNHTSLTLSNGRLALAGHPELSQFCESDSVAEVILTWHIATSLLEVKHPPQGKNNVATSLSKYCAYLVAFHPELLPDNQDSAELVFKGTKAELYDLLGFWDYYLSSCVRTRHRKIMASSPAEAAAAAITVVQKGAALGRILERKAAHPGEGVWKVLANLWVELFVYIAPSSNEECVAGHENVLAKGGEFITVLWAMATHAGISRPADAPPVEVAIERIMGTTRDVSV >KQL25240 pep chromosome:Setaria_italica_v2.0:II:36857140:36859218:-1 gene:SETIT_030505mg transcript:KQL25240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTCAHVEFLRAQPAWALALAAVGLLVALRAAIRLALWVYTAFLRPGKPLRRRYGAWAVVTGATDGIGRAIAFRLAAAGLGLFLVGRNPEKLAAVAAEIKAKHPKVPEVRTFVLDFAGEGLAAGVEALKEAIRGLDVGVLVNNAGVSYPYARYFHEVDEELMRSLIRVNVEGVTRVTHAVLPGMVERKRGAIVNIGSGAASVAPSDPLYSVYAATKAYVDQFSRCLYVEYKSKGIDVQCQVPLYVATKMASIRKSSFTVPSADTYARAAVRHIGYEPRCTPYWPHSVMWFLISILPESLIDSVRLGMCIKIRKKGLAKDAKKKSL >KQL23210 pep chromosome:Setaria_italica_v2.0:II:9673149:9676621:-1 gene:SETIT_029576mg transcript:KQL23210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDKQQQPQQEASASAHPLHVVVFPWLAFGHLIPFLELSKRLAARGHFITFVTTPANAARLPTGLSDARVRIVELALPRVDGLPEGAESTADLPPEKVELLKAAFDGLAAPFDDLLAAACCAGGREQAAEGFGRRPDWIVLDFAHYWLCPIAEKHQVPCAIFFIFTATSIAYAGSRRQNASHPRVTVDDFMPMPRWFPSPPSLAFRRHEAAWMAAAFRPNASGVSDFERIWRTEERCRILVLRSCPEVEPPQLRVFPLLAELFGKPVVPAGLLLPEDARDGGGHGDDGPEVARPSALQWLDGQPPRSVLYVALGSEAPVTAASVHELALGLELSGARFLWALRQPPSAAGEQPLLPEGFEQRVAGRGLVIAGWVPQVRVLAHAAVGAFLTHCGWGSVTESFRFGHPLVMLPFVTDQGLIARMMVERGVGVEVARRDDDGEAFVREDVAAAVRRVMVEEEGKELAVNARRLREVVVGDDGGRQERYVDELVDCMQRHSFTEVL >KQL26994 pep chromosome:Setaria_italica_v2.0:II:47299494:47300639:1 gene:SETIT_032997mg transcript:KQL26994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPAERTIDDLPDHVLTEVMLRVPTPAALVHAAAVSKRWRGVIVTSTGKFLEDYRARHKSSPFLGLYIPREFGGLPSFQMADSIQSADDDDDRDLDLQHAAAKALTFILGGLERHREWRLLDCYNGRLLLARGGESLEVYNPLSRERISVGLPQDFILPDGFSTCLLQGHGDHGSSFRVVSVQSHRRDRMVHVVEYDSCRKSWNHHPDRKILKNIEGTQREVMHAGNLILCKYTGASLLLLDTGEMQFSVLPLPGDNNPKRYAIGEMEDGVCCLAAVEPVGKLNIPHLRVWRLEKLDWKLEKAMKVAQVLGKHAPAGPLYYQARKVTNGMAVLCSTGRNLHFVIDLKTFRVMEKFEFNRDLPAFPMQMHWPPAFSVATIS >KQL23221 pep chromosome:Setaria_italica_v2.0:II:9851147:9851649:1 gene:SETIT_033651mg transcript:KQL23221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSYVRQPFPGPDSRILLGAFVVNLDVFFLRLL >KQL24021 pep chromosome:Setaria_italica_v2.0:II:24796356:24805059:1 gene:SETIT_029634mg transcript:KQL24021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESWIQNWKHTSAPESVSSSVSRHEASTARRSRQRNIFDLLAQREVSPRTKHQAKTQWTKTPRCDTGSTELEFWVTDAQHDLLYWAESQSLHCWCAKYCPLVPASRATIAAAFSPDGRVLASTHGDHTVKIIDYQTGKCLKVLQGHQRTPWVVHSQVHDRDSEDSTMTPALLKNYAFRDIPLLGSSGVDNLISELPYTHNFEHVGASSSVPVNADSFDGSMTSVPGVGGSLLGTHAVSFGVGSERATSLLDNGTELPCAVKLRIWRHNIKDPFIALEPEACLLTVPHAVLCSEMGTHFSPCGRFLVACVACVLPQRDGDHGSQLHDNYDSTGAGTSPTRHTLPSRQIVYELRVYSLEKATFGTVLASRAVKAAHCLTSVQFSPTSEHILLAYGRQHNSLLRTILIDGETRIPLYRVLEVYRVSDMELVRVLPSAGDEVNVACFHPSPGAGLVYGTKEGKLRFLQHNSASMGLNSSAGDNIHDS >KQL24022 pep chromosome:Setaria_italica_v2.0:II:24796356:24805059:1 gene:SETIT_029634mg transcript:KQL24022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESWIQNWKHTSAPESVSSSVSRHEASTARRSRQRNIFDLLAQREVSPRTKHQAKTQWTKTPRCDTGSTELEFWVTDAQHDLLYWAESQSLHCWCAKYCPLVPASRATIAAAFSPDGRVLASTHGDHTVKIIDYQTGKCLKVLQGHQRTPWVVHSQVHDRDSEDSTMTPALLKNYAFRDIPLLGSSGVDNLISELPYTHNFEHVGASSSVPVNADSFDGSMTSVPGVGGSLLGTHAVSFGVGSERATSLLDNGTELPCAVKLRIWRHNIKDPFIALEPEACLLTVPHAVLCSYNYIYCSEMGTHFSPCGRFLVACVACVLPQRDGDHGSQLHDNYDSTGAGTSPTRHTLPSRQIVYELRVYSLEKATFGTVLASRAVKAAHCLTSVQFSPTSEHILLAYGRQHNSLLRTILIDGETRIPLYRVLEVYRVSDMELVRVLPSAGDEVNVACFHPSPGAGLVYGTKEGKLRFLQHNSASMGLNSSAGDNIHDS >KQL24023 pep chromosome:Setaria_italica_v2.0:II:24796356:24805059:1 gene:SETIT_029634mg transcript:KQL24023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESWIQNWKHTSAPESVSSSVSRHEASTARRSRQRNIFDLLAQREVSPRTKHQAKTQWTKTPRCDTGSTELEFWVTDAQHDLLYWAESQSLHCWCAKYCPLVPASRATIAAAFSPDGRVLASTHGDHTVKIIDYQTGKCLKVLQGHQRTPWVVHSQVHDRDSEDSTMTPALLKNYAFRDIPLLGSSGVDNLISELPYTHNFEHVGASSSVPVNADSFDGSMTSVPGVGGSLLGTHAVSFGVGSERATSLLDNGTELPCAVKLRIWRHNIKDPFIALEPEACLLTVPHAVLCRDGDHGSQLHDNYDSTGAGTSPTRHTLPSRQIVYELRVYSLEKATFGTVLASRAVKAAHCLTSVQFSPTSEHILLAYGRQHNSLLRTILIDGETRIPLYRVLEVYRVSDMELVRVLPSAGDEVNVACFHPSPGAGLVYGTKEGKLRFLQHNSASMGLNSSAGDNIHDS >KQL26967 pep chromosome:Setaria_italica_v2.0:II:47169412:47171167:1 gene:SETIT_033260mg transcript:KQL26967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVSPWNHFKGLGGASDRVPVVFIDCHEPCGSRYSYTARAPAMLTRVPPASGKVTSRPVVQMQERGRAAAGVRGQDSDDSSSSVCGSSVAHASRWRSSTVPTSHAGLRSSTVLRGLERAIRRFELAVEAMAAALRSTIQPGDQRGEAPDLLGGRDLRQRSATKAAWRRATLLVARASHLYLTFQFVAARPAPYLICAVGPSGAQLSINYVVRHRSYASAAVDYATGVASNAGGAPSFDARKWHGAMAGLGWGALMPLGAALARYFKRRDPFWFYAHASVQGVGFVLGTAGSSPSSSWTAATATRRSASPSSPSATSRCWRSWCGRARGPRGNVDQWSRMQERGRAVAGVRVQDADDVELLDPWELSGSWWRPSPAPTSHAGLCTSTVYGA >KQL22822 pep chromosome:Setaria_italica_v2.0:II:5581251:5582816:-1 gene:SETIT_033017mg transcript:KQL22822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVSSAIVQETVGQILSGLVQNYEEKEESNKKRNLERLEMAHIRLEAALETSNKWQITDASLLRWHRKLKRAAQECNDTLHKCKQRILDDEEMEWEVKNSSLPNRIVHATKSFALSIFKHNDNDLRRSIAQRFEWYADGASEFLRFIELGGTPRCHMPFESLVKNLFAGKELHHKIVRGNEYPLFQLWLTPSRNPVHGIVVSLTFTQYDGTPEGNICISMMVQLSESIDIVGIAVKCLQLFAPHLMCKFENIRNELTQLPNEDFSWGASFYSDHKEHWDKINSLSYQLVRPNPFCCKEHGRHEVRCFNNMDMAGLSDGFLEPVIQFALHCHVSLPMYRKQKTSLSEDLISLQDYPYLKAGIAFSPHGSLDDMLLANRSSEIAAIVRKEQHFLHTDITLEQLEEIMLPKAIDYFRQNAEAKVYQMIWKSKHGFALIQVEKPCMSAWRSSMRRRSTSGGARKGKLFQGYDEELIRIRIRVCHWLDSWFTYVPVRLQRSLMNWIRKEKEILIAAPQLLHLKF >KQL23267 pep chromosome:Setaria_italica_v2.0:II:10360654:10363409:1 gene:SETIT_032457mg transcript:KQL23267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPQPLHLPDASPPTTSRPPLPTPSTAATLTDTAIPSPASPSPLVYGNPTPTGRSKGSPSFKEVLLRGAASAVTSQIPGAVAVPRAPQVVLQSVGCPSPVRRPDADGWWTAEGRRSRKNHLRHARLPRRLVPNDLRGRCFNYLSPNHRTANCCSGPRCFSCRSVGHCSYGCPRQLSRPRKIINCSASISQREFNLGRALVISVFGTCMDCLASSILTTVSQQFEIDEAQIFIRCFGPASFLLTLPDAKSVRRVYGEGRPIISASYRLHIMCWSRFLNSSTATLPVAVELELRGIPTHAWELETAVQLLNDFCWINGLYLDTHDRRDVFRLATWCSSLEHVPTGLDLEIVEPPVASDELPAKRSLIYRIDISIVVAEQQSLVDHPSSSPLADGGRRRRRRRQQHNSPVAAAAFIDKLSKNTEGLIPQPPTVLKRYSWAPSPTSVPRRSRRMAGLEAELPGILDVSSCKTVMRSLGIELECEHVNQKIMDDYAKLFSTPFSASHVQALAVLFG >KQL23394 pep chromosome:Setaria_italica_v2.0:II:11978177:11979724:1 gene:SETIT_032333mg transcript:KQL23394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLPAPVASRLAAVLADPAGVPLPVFNSLLSALAATAEPSHAHLPLHLFRHLLLPRRRPDAFTLSALTSSLPSGATAAAAEALHAFALRLGLLHADPVLANSLIRLYLRPPAPRPGLARRLFDEMPARTASSYNTLISHSHTPDAADEGAWGLVRRMVADGCAPDRFTVSAMLPACPSARRGRELHCYAVRAGMCGAGDFHVSSGLVSMYCSVGRTDLAHTVLNGMERRNVVSWTAMVGGYTENDMFEGAVEAFRAMWLIDGILPNTIALISVLSAIEALSALAEGKQVHGFAVRMAMYGDVSLNNALIDTYAKCGALHYARRVFEDTSWCKDVISWGAMILGYGIHGMGVEAVDLFNQMLASGVKPDSIVGLGVLSACCRAGLVLKGLNIYNSIVKDHGVQPTEEMCACIVDLLGRSGHLDHALDFIKSMSVEPGPSVWGALLDASVTHSNKEIQDLASKSLLRLGEGKPSNLVAVSNVNASSRRWNLVERVRSTINQGSLKKKTGRSWVNQT >KQL23521 pep chromosome:Setaria_italica_v2.0:II:14527480:14528039:-1 gene:SETIT_032188mg transcript:KQL23521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARWHPPSLTVLPTEVAIEIAIHLVATLEWPMDDLRSRWMTCSFMRHMCSDRAIGRGVALDRFRCAMLWNESDRYNTLVASLIQPPPPCLDDLDRAAAGGHNVAAYVVALSLYRDNGGAGDDDTARQYMRRVEGGRIMGGGGRTRRMRMLCNKGVGYAASRLRT >KQL23972 pep chromosome:Setaria_italica_v2.0:II:23819653:23821925:-1 gene:SETIT_030605mg transcript:KQL23972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAMMMSDDDDAEPQVSAVENYYLVDSLKHPVCFSTLPLRHRDTDDVPECKNRLGLWGTADRIKTVYKEVVAWRLVLEGKQPEIAVLVADGGGWIRLVKPKNSYEEMVRTVLITAQMLHFLRRKPDEPEKNLWSHLRKVFDKFDVRPSEDDFRDHSSLMKHFVEKDPVLAKSEILRVFVEGRSRKNISEVVADNIETKQPFIADDEDMDEMVTEDANNESDEEDEDLFDSICAICDNGGDILCCDGPCMRSFHAKIGSGEDSYCDTLGYTEAQVEAMKIFLCKNCEYKQHQCFICGVLEPSDGAAAKVC >KQL24262 pep chromosome:Setaria_italica_v2.0:II:28213052:28213501:1 gene:SETIT_031971mg transcript:KQL24262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLRSFSIFSFPSACLSFLQPDAAAFAYQETEEPVRSPTEPSPRALTLTPPPPVPAADEEGEDEDEEDQNVMSMDEAYALVLASRQRPEREQEEEARRSEVDAKAEEFIRGFKEDLRQQRLNSIFNYTQMLRQRGLAAGRRQQNAGPDQL >KQL26300 pep chromosome:Setaria_italica_v2.0:II:43635490:43636914:-1 gene:SETIT_030467mg transcript:KQL26300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASSFLVVFLLVAAAATTPARSCAPSDLHALLSVKAALGNPATLSSWSPSSPNCCAWDHLRCDDAGRVNNVFIDGADDVHGQIPSAVGGLSALMSLSLFRLPGLTGTIPPCLTALSNLQFLTISHTNVSGPIPEALARLRSLDSVDLSNNKLCGGIPAAFADLPNLRSLDLRHNQLTGPIPAGLVQGQFRSLILSYNQLSGPIPRDDAQDEINTVDLSHNKLTGDPSHLFVPGRPIGKVDLSWNYLDFDLSKLVFPPELTYLDLSHNRIRGTVPASLERLSTLQKLDLSYNNLCGPLPRGHGVIKHGCKPYAHNECHHGTPLAGCQDLS >KQL22301 pep chromosome:Setaria_italica_v2.0:II:1512780:1516075:-1 gene:SETIT_032541mg transcript:KQL22301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLTLYIFLYGRFYLALSGLDYSISQQARFLGNAALDAALNAQFLVQIGIFTAIPMIMEFILELGLMKAVFSFTTMQLQFCSVFFIFSLGTKTHYFSRTILHGGAKYHATGRGFVVRHIKFAENYRLFSRSHFVKVLEVALLLNVYIAYGYTKGGSSSFILISSWFLAISWLFAPYIFNPSGFEWQKTVEDFDDWKTWLLYKGGAGVKGYNSWESWWDEEQDHIRTFRGRILETILTLRFLIFQYGIMYKLKITVHNTSLAVYGFSWLVLLVMVLLFQLFTATPKKETNLSRFVRFLHGLLAIGIIAGITLLIVFTRFTIVDLFACALAFIATGWFVLCLAITWKRVTKTLGLWDSVREMARMYDAVMGAIIFVPIVLLSWFPFASTFQSRILFNQAFSRGLEISRILAGNKANQKA >KQL25292 pep chromosome:Setaria_italica_v2.0:II:37138779:37142562:1 gene:SETIT_030254mg transcript:KQL25292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWFCCTQFHASYREHENELPISPDEKEGNGFVANSDPNKAPPPIEVPELSFEELKEKTDNFGSKALIGEGSYGRVYYAILDSGKHVAVKKLDASTDPELDNEFLTQVSIASKLKHANFVEMLGYCVEGNQRLVAYEFATMGSLHDILHGRKGVPGAQPGPALDWMQRVKIAIDAAKGLEYLHEKVRPSIVHRDIRSSNVLLFEDYKAKIADFNLSNQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDTVKQCVDPRLKGEYPPKGVAKLAAVAALCVQYESEFRPSMSIVVKALSPLLQHKPHPPPAVAPDATAPSDA >KQL24122 pep chromosome:Setaria_italica_v2.0:II:26480072:26481388:1 gene:SETIT_033176mg transcript:KQL24122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKERARHSKEQMPATKLPTTVNDVPNHLLKLIFGRLDSHVSFLRAAAVCTRWRHIASSHGMRYRDCNYHDFSTTIGHYHVVDPSFSPEPRSSQAQRRHRVVFIPALPSIDVRHFTLDFLPSGPGSRPWQLVDGYGSLLLLTNQRHSSFPDIVVCEPISRRYFKIKPIKDMKYCHCLGVFLNRRYNVSSMSSFTLTCMVYQRSTGIADGVSYVTAHVYTHGPPWSRRWRHGWSTSRHAMSGGIHIRGAESVHYAGRSKGSIFWGIEDDGSVFSGEEGTGVLSHFHLPENVRGSQHRSTFRFIDDGVDNLVRVISLIGKDLRVFLKKEHNNGGSDWVLVRSLHLPEATLGFPGYKECFFSHTAKIVTAGKGYVVLTPVEETWLFSVELGTMQVERDHIRNKLAGEVYPHELWLQPKVSACVLRCKRGRDGPCYDMCKCK >KQL24738 pep chromosome:Setaria_italica_v2.0:II:32769095:32770267:1 gene:SETIT_032527mg transcript:KQL24738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSVRQWWRRAAAALKDRRSLLLARLRPRRAGSWSWHHHRELEAAVIRATSHEDRWMDYRSAARVFAWARTSPSSLRPAMWALARRARRTRCWVVALKALMVAHGLLLCSGLAPPAARAGRVPFELADFRDRSSSSSSPRSLAFSAFVRAYFRFLDYRSLFAAQEDTDDGDYQMALLDRIAKRQFLLELLQQIRPYGDGMEVPLVLEAMDCALVEIFQVYGEICTGITRFLVGSVPGAAKPPMSKAAAAAGMKVLWRAAEQSARLSSYFDLCRGLGVVNARKLPASFERLKDDDVRNLERILLVDSQDDASDEAVVQGTAPAAVTDAAGSPSTIATVVTTEWVAFDEEKPSARVVACSGGSKGHVGNHWNPFLAAPLDVREVGNLIELY >KQL22815 pep chromosome:Setaria_italica_v2.0:II:5522440:5525805:1 gene:SETIT_029368mg transcript:KQL22815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATVPTTSEAACLPCSHGHGRGSAAAAAYAVAACLVAVTFLALAALDPRTQASWFLSSSSSSSSLSSFTSLQPSGGGGGAEHLLVTSSSYSDGGDGGRRNSTGKEVHEELQVQGGGDDLLLSFANSNSGHDVLQLSVTPPAAPEPKPAPAPAPAPAPESSDEAIQATPQLPRRRDVKLERLELGLAKARSAIMEGIRNKDNRPPLADKDYVPMGPIYRNAYAFHKSYLEMEKLFKVYVYEEGEPPVFHDGPCRSIYSTEGRFIYSMEMESRLRTRDPDLAHAFFLPFSVVKMVKMIYEPNSHDMGPLKRTVSDYIGVLSTKYPYWNRSLGADHFMLSCHDWGPYVSSANGHLFGNSIRVLCNANTSEGFNPSKDVSLPEINLRSDVVDRQVGGPSASRRPILAFFAGGNHGPVRPALLAHWKGKGDPDVQVSEYLPRGVSYTDMMRRSRFCLCPGGYEVASPRLAEAIYLECVPVVIDDGEYALPFADVLNWDAFAVRLPTADIPRLREVLSAVSPRQYIRMQRRVRAVRRHFMVHGGAPRRYDAFHMILHSVWLRRLNVRIAAQG >KQL25246 pep chromosome:Setaria_italica_v2.0:II:36891556:36897822:1 gene:SETIT_030212mg transcript:KQL25246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAARRQLGSGPMLGQVLRRLRPAAAEAARGYSAAAKEMTVRDALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYQGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLTPYSAEDARGLLKAAIRDPDPVIFLENELLYGESFPVSAEVLDSSFCLPIGKAKIEREGKDVTITTFSKMVGYALQAAEILSKEGISAEVINLRSIRPLDRAAINASVRKTNRLVTVEEGFPQHGIGAEICMSVVEESFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRAVPMAATA >KQL25986 pep chromosome:Setaria_italica_v2.0:II:41715523:41716463:-1 gene:SETIT_032998mg transcript:KQL25986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVYGQGEEPPGSGGEGQDEVKSLADALHLQRHRSKDKEPEAEEKVEEGEAAQNADVAASADKTEESNVEQAVEEIQAVVAVVQQEQTAPTTETPTKTAATAETSAEGEKPEETNREVEKDDPKKRLDFLGFFTMLFERFCSPATRRKIKWYAGQVWISRCVILDLSCLH >KQL22794 pep chromosome:Setaria_italica_v2.0:II:5328081:5329325:-1 gene:SETIT_032341mg transcript:KQL22794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSKRSSTAVTAGLPDDPLVEILSRVPAKSLCRFKCVSKAWRDLITDPIHRKKLPQAMEGLFFMESWIWCAGAGCEEEQFSFVDLTARSVPLEIDPCFSFLTEMPGLRVLALHDSCNGLLLFEHRSDLDSNDVLGFIVCNPTTKQWVAVPTCGCPPPSSYDRHIYLAFDPAISSHFHLVQFHREDDEDEEEWESHEPVMSVHAYSSESGIWSHSQTDWDEHDRQGLKGWHQPCFETYEGPRHAFVNGMLHLMIWDEDLDQDQVLVVDVKGKTQRVIPVPTVGVVGEGVWRLQHSDYIAQSQGRLHYFSEVSDLYSEQGYQMWVLQDYDLQEWVFKHHNRKLIAYDMESKEVSVFATSDNQILHRSIAAPYVPCFSESPVLTNKH >KQL25475 pep chromosome:Setaria_italica_v2.0:II:38440082:38440654:-1 gene:SETIT_033262mg transcript:KQL25475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVFGGGCAREHYASGRSLLGAAPPVPAPSLEAQRAHLERVLGATAIVLFLASVSYVTLTAIFGFLCAGGGSRRPDGGTGAPEPAAAEETKRALEEIPVVVVAVPVPDPDRGAEGEECAVCLAEYACGEEVRVLPACRHGFHRECVDRWLLTRAPTCPVCRAPVATRPEGHGGKACATGDAALPAIAVGP >KQL23554 pep chromosome:Setaria_italica_v2.0:II:14956816:14960659:1 gene:SETIT_029308mg transcript:KQL23554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNAVSLAAAAAFSSSSQQLLPRPARVAPRRRLVLRADVKVISTGEACRRGLAAGIDKLADAVAVTLGPKGRNVVIDQDDVPKVINDGVTIAKAIELPNALEHAGASLLQEIASKTNSAVGDGTTTAIVLAREIINLGLLAVATGANPVALRRGIDKAVHELIKILKSKCIPVSTKEDIKAVASISSGNDEYVGNLIADALEKIGPDGIIKIESSSSIYTTVEVQEGMKIDKGYVSPHFITNHDKAIVEFENARVLLTDQRVNEVQEILPLLEKTTQLSVPLLIIAEDVSHTVYSTLVLNKLNGLLNVAVVKCPGLGDEKKAILQDIAIMTGADFFASDLGWGLHGITSDQLGMAQKITITSESTTIIAHPSMRPEIEARIMQLKKDVEETTSSYLRERFSARIAKLSRGVGVIKVGAATEAELEDRKLRVEDAKNATFAAISEGITPGGGVTYVHLSKHIPSIMDLVDDPEEKMGVNIVGKALLVPAMTIARNAGADGSAVVEKLLASEWRVGYNAMTDKFEDLVTAGVVDPCRVARCVLQNSASIAGLILMTQAMMFDKIKKKKSPIPEIPGIPPLQISQKTKV >KQL25029 pep chromosome:Setaria_italica_v2.0:II:35436507:35439376:1 gene:SETIT_029816mg transcript:KQL25029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGQGNDHPPCPGAQVTVAPFPLHEESGPEFAVKKYPFYLPVILHSPQQQNSSVILTCKNKRRGESAAEETSERAGRGELSLLTSRRNGIRADSRAPPLLLLLYKRPQRQRAKPSSSSYSPARNTGPAAGGRRSRSRRLEAWRSSSREEVGDLSQQPAAMEKPRQVVRKFLARPQHEGVGAVVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLEGAVTHEDFEGHRGTIKAGDVQWMTAGRGIVHSEMPAGPGTSKGLQLWVNLSSGNKMVEPGYQEIQSKDIACTSADGVTVRVIAGHAMGVRSPVCTRTPTMYLDFTVRPRAAVRQPVLPSWNAFAYVLEGEGVFGTERCAPVGAHHLLLLGQGDGLEVWNKSDRPLRFLLIGGEPIGEPVAQLGPFVMNTEEEIDMTVDDFERYANGFEKARHWKSQAMVALGVE >KQL26608 pep chromosome:Setaria_italica_v2.0:II:45365931:45366968:-1 gene:SETIT_032705mg transcript:KQL26608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPDPPPRPGKPPLPWRARLLVGAASTLHGASLRGDGTVNRFLLSLFDRAAPPTPAPVAGVASTDHAVSDHLRVRLFTPTTTGDGDSKQLPVVVYFHGGGFVFHSAATAQYDELCRRLASAIPAVFASVDYCLAPEHKFPAPYDDGEAALRWVLAGAGDALPSSPAAVFVAGDSAGGNVAHHVAARLPNNVAGLVALQPFFGGEAPTQSELRLRGAPFGAPERLAWLWRAFLPPGATRDHEAANVPAAIRRDADGGEGRWRAFPPTLVCVGGWDVHQDRQRAYAEALHAAGAVEVTVAEYPDAIHAFYMFEELADSKKLVADVAEFVNQRTEQLKKRELDRAKH >KQL24927 pep chromosome:Setaria_italica_v2.0:II:34665463:34672039:-1 gene:SETIT_033358mg transcript:KQL24927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRPRPGAFLRLFATSCRRSSLHPSRPHLPNPTALPPPPVAKKVPFTVSAHGRSWSDPYHWMRDTSDPDFASHLAAENTYADAFVGSAGGGGLRARLAAEMRARLPPSAATPPQPWGPWLYYQYVPEGKEYPVLSRKLKPSLGLARALLDYLSGSEKEQVLLDWNEVAEKNGYVHIGSCRISPDHRFLAYTVDTSGGELFSLEVKDLQSENVIFSSPDKGVISLAWACNSENLFYTVCDETLRPNQVFCKKLQSDDAGFLVFTEEDVNCCVDITSTKDFKYMTVFVMESDNVREGLWPIRKRVDKVQYFLEHHNGFFYILTNAPVNDTEMMTEGYYLARCRAEKSLMDRWQIVAFPGSDCTIQDMDIFHDNLVLFLQKDGTPLFCSINMPIDGDVQEPKELDVLNPWYFPIPSNLCSIIPGSNNDFMSSTYRLVVSSPVIPDLTVDYDMRKRTFTILHQEEITSLSANLGTVGLQSNVSSIQQNLQLVENSQSWSDLSKLFSCKRIEVVSHDGVLIPLVILYSREAHRHGESPGILYGYGAYGEDLDKSWCSERLSLLSRGWVLAFADVRGGGDLSWHLAGTKANKINSIQDFSACGKHLIKEGLVHKNRLCAIGCSAGGLLVGAVINTLPDLFSAAVLKVPFLDICNTMLDPTLPLTVLDYEEFGDPNIPAEFEAICSYSPYDNLSPGVCYPPVLVTASFNDTRVGIWEAAKWVAKVRDITCPSCSHSVILKTNMQSGHFGEGGRFMHCDETAFEYAFLMKALGLDDITMA >KQL27193 pep chromosome:Setaria_italica_v2.0:II:48442020:48443231:-1 gene:SETIT_032323mg transcript:KQL27193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARGRLTMLVVFFLPLLHCVLLEGAEGAPWFWRWPPIGGGGGEDPYCLSWRVMVEANNAKGWRRVPAPCIGYVRGYMAWGQYYRDVGSVADQVAAYAAQIVPTADGLDAWVFDVDDTCLSNQPYYQAKQFGAYDPVAFKMWASRGVCPGIPAMQWLFQTLRGRGFRVFLVTGRDEETLASSTVANLAAAGFFGYDRLIMRSASYRGQSSVAFKSAVRRQLVAEGYRLRGNMGDQWSDLQGECVGDRVFKVPNPMYFVP >KQL22658 pep chromosome:Setaria_italica_v2.0:II:4238457:4238861:-1 gene:SETIT_032814mg transcript:KQL22658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFTPAHAVSHHHPSSAAADGEGHRTPARIHAAGLGISCGGSAVPATPLVASSPASSSASCPPAHNPISVSPASLWCRSCSSELEDLNGGLVWNAMLHQSRGARWCWTSHRCSKVTRRVHGLGRGARCSPCS >KQL24319 pep chromosome:Setaria_italica_v2.0:II:28823797:28824048:-1 gene:SETIT_031867mg transcript:KQL24319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERRRSPCQGRRRRRRRAAETALMDRKVRELRRLVPGGNAVPADRLLLRTTDYIVRLRARIELLRALSDLVAVTNHMAVAMPA >KQL24704 pep chromosome:Setaria_italica_v2.0:II:32387097:32388222:-1 gene:SETIT_031989mg transcript:KQL24704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVLLSPPSRHGGEAIDRRGSPPPPPDAAAEKDEGSQGLQLPEDIRWERLDKARFFVLGAGLFSAVSAALYPAVVLKTRLQVAPVAGPLAFYRGFATSLAGTVPARALYMGALEATRSAVGPVALNLGAPEPAASAAAGAAAGLAAAVAAQVVWTPVDVISQRLMVQGNPCPASRYRGGVDAFRKIVGSDGLRGLYRGFGMSILTYAPSNAVWWATYSLSQKIIWSGIGCYLCEYGVGVQEIDLGDGDSSLQPGCKTIMVVQGVSAAMAGGASALVTMPLDTIKTRMQVMDGEGEPITVGRTVRRLIKEGGWAACYRGLGPRWASMSLSATTMITTYEFLKRLSDKGQESGLA >KQL25515 pep chromosome:Setaria_italica_v2.0:II:38816564:38819949:-1 gene:SETIT_030722mg transcript:KQL25515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNENASSREGARREEEKISRSPVRSPYPGPSGDSASTTRIGELLMARPARAPLLRRLLLLAALAACCSYYLLVLQAQASAPPRYDGFAYGGGAAAAWKDAVLVEAFLDPLCPDSRDAWHPLKLAVERYAPRVSLIVHPFPLPYHTYAFHACRALYIANKLNSSSTYPLLELFFKNQEKFYNSATSSLSSPAVAVEMSKMAAHAVGNSVSEFLSGFSDRRTDSAARVSFKYGCTRGVFGAPFFFVNGFLQPGGGSPIDYSTWIGILDPLVSQQGERIEMFTSM >KQL25514 pep chromosome:Setaria_italica_v2.0:II:38816284:38819949:-1 gene:SETIT_030722mg transcript:KQL25514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNENASSREGARREEEKISRSPVRSPYPGPSGDSASTTRIGELLMARPARAPLLRRLLLLAALAACCSYYLLVLQAQASAPPRYDGFAYGGGAAAAWKDAVLVEAFLDPLCPDSRDAWHPLKLAVERYAPRVSLIVHPFPLPYHTYAFHACRALYIANKLNSSSTYPLLELFFKNQEKFYNSATSSLSSPAVAVEMSKMAAHAVGNSVSEFLSGFSDRRTDSAARVSFKGSFWCAVLLREWLPSAWGRIAYRLQHMDWHPGSPRFPAG >KQL24135 pep chromosome:Setaria_italica_v2.0:II:26627310:26631889:1 gene:SETIT_030876mg transcript:KQL24135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIAAAAARCNSPARRQLLTRRCLPFSVSFSSATPPAAAAGFGWADALRVAGDGGRGDESDLSGYFRKVDTCNRGMDKRGEFVKFLVEDQVVGYIHKGFVEHLRDFHDVFTIVSGNNGSNTLENVSLRSSLRTPEERTNAIGDVIKNLGELIPGIRNELYPVTSSYGMPVYFSLERAAAPYFGIKAYGVHMNGYVEKDGQKFLWIGKRSDVKQTYPGMLDHLVAGGLPYGISCKENIIKECEEEAGIPRSVSTK >KQL22303 pep chromosome:Setaria_italica_v2.0:II:1527427:1528575:1 gene:SETIT_031925mg transcript:KQL22303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPALMEELVEEILLRVPPEEPAHLIRAAMVCKAWCRILSDGGFRRRYSRFHRMPTLMGYICSVFMDACLQFVPTTSFSPPLPPTSCYYRARDCRHDRVLIQDDSPSFIVWDLITGNRQHLSSRPCAHHHQTYFSSNEAVLCARHQHGCDHLDCHGGPFLVVSVKTVHDAGAAEHDATYTCASVYSSETGAWSAETCTTHDYYYDVGGCMKRSLLIGDALYFTQAGLSVIHGLSVIDTPLVSHKQAIPIDVDGCIGIVQYDGNCICTWSRQVDLNGLETVIPTWHRLLYPVDTIRFVEGTNTVLLCLGNNDRDRDLGVFTLNLKSRQVTQVAERWDDDVLPYTSFYAPGTTHLCLS >KQL25987 pep chromosome:Setaria_italica_v2.0:II:41718384:41725245:1 gene:SETIT_032453mg transcript:KQL25987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAASTLRHSLRRFPSSSSAATPLAGAARFLATSSERRRLPAPTSSRLLGSPGARGSCSPGAAVTERERSASAWRGLATMAEANSGFGHVLTSLPKPGGGEYGKYYSLPTLNDPRIERLPYSIRYLLESAICNCDGFQITENDVENIIDWENTAPKLVEIPFKPAHVLLQDFSGVPTIVDLASMRDAMARLGDDPGKIDPLIPVDLVIDHSEQADVVRSENALQANMQREFDRNKERFAFLRWGSMAFNNMLIVPPGSGIVHQVNLEYLGRVVFNTNGIMYPDSVLGTDSHTTMIDGMGVVGWGVGGIEAEATMLGQPMSMVLPSVVGFKLSGKLRDGVTATDLVLTVTQILRKHGVVGKFVEFYGEGMSELAVANRATIANMSPEYGATMGYFPVDHVTLGYLKLTGRSDEKVEMVEAYLRSNNMFVDYNEGFGVPKEQQDKAVKFSFHGQPAETRHGSVVIAAITSCTNTSNPSVMLGAGLVQRRRVNLALSGLQKYLDNLGFNLIGYGCTTCIGNSGELDEDKIKSHSLGMHIIAAAVLSGNRNFEGRIHALVRANYLASPPLVVAYALAGTVDIDFEKEPIGKGKDGKDVYFKDIWPSNEEIAEVEQSSVLPDMFRSTYEAITQGNPMWNQLSVTKAKCFPWDPNSTYIHDPPFFKDITPTPRAGSIPKDSPAGKYLLERGVQPKDFNSYGSRRGNDKVMARGTFANIRIVNRLLNREVGPKTVHVPTGDKLFVFDAAMRYKTDGHHTIVLAGEEYGSGSSRYWAAKGPMLLGVKAVIAKSFERIHRSNQGRIQNGAAPRAELNLVGIGVIPLCFKPGEDADSLGLTGLERHTIRLPSEVSEIQPGQDVQVVTDSGKSFTCKLRIDTMVELAYFDHGGILHYVLRNLVKQQEQQ >KQL23334 pep chromosome:Setaria_italica_v2.0:II:10947386:10950661:-1 gene:SETIT_033066mg transcript:KQL23334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEGNQQRSNNEDVLHSLLGPEDQMNIDSANVDDDNDDVYIPEPEAPSKRPKRHAAEQIQELKAAYKQCDHPDEKARRALGAKIGLEARQVRFWFQNQRAQMQAKAQVQDTKAVQQEHAALMAENASLRHAMLTMSCVACSGGTAPAEPSPEKRRLLAENARLRDEHMRATALLHKILLEAEAPPPSGRPVPAASTHSMLSAAVEGRGALLRHAEASMDQFLMLATKGEPLWVLTPDGEVLNCQVYQKRTFPVLLGTCPDGFVREATREAGVVRGAAAHLVGILTDANRWSETFPGVVAGATAGNVVNVGVFAPHNGLIQLMNANLWVQSPRLRNRSVNFLRYSKLTAEGHWAVMDVSVDAILGLEGSRKVDLNVDSDVMQAWHKGCRLLPSGCLVEDMGNGYCKVTWVVHAEYDETTVPTMFKPLFRSGKAHGAQRWLASLQRQCEYLSALHSSHVPRSSHNTGTISPMGRRGIMELAQRMMASFYSAVSGPVTQPSSSIDEWHGSNGTGAERIAAAVRMVTWKKAGSMDGEPAGLVLSSSTTVWLPNTPPQLVFEYLCNGQCRGEWDTFANGAAVEELGSVATGHLDGNAVSVLCPNVTDGINSKMLILQEARTDASCSIVVYAPVEEERMRAVMNGGDHASVDFLLPSGFAVLPDGHGKARRHAPCTSEAPVGDGNTAGALLTVACQALLPGSPSGDHTARAFDDVGRLLCRAIKKIKAAVKAKIVVASLM >KQL22533 pep chromosome:Setaria_italica_v2.0:II:3370481:3371869:-1 gene:SETIT_030325mg transcript:KQL22533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVSAAACCAAATPANEVVEDLFGFLQVLSDGTVFRSPAEPVFCPTTFPASHPSVQWKETVYDKAKNLRVRMYKPAAAGNKLPVLVHFHGGGFCLGSCTWGNVHAFCLRLAADAGAVVLSAGYRLAPEHRLPAALDDGAGFMRWLREQSANAGEALDAWLAEAADFGRVFVTGDSAGGTIAHHLAVRAGSAAAAEQGETAGDPAFTVRGYVLLMPFLGGVRRTPSEAECPAEAFPNLDLVDRFWRLSLPAGATRDHPAANPFGPDSPDLGSVDLRPVLVVAGGLDLIRDRTVEYAERLAAMGKPVELAEFAGKAHGFYLHEPGSEATGELIRAVAQFLDGCVAATEAGAVL >KQL23600 pep chromosome:Setaria_italica_v2.0:II:15412392:15415364:1 gene:SETIT_030159mg transcript:KQL23600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAARAVSPAAAAPPPVPRRLRPARCTGGVAATETATAGPARVTTVSNRDDSLAICRVLNGMWQTSGGWGRIDRDAAVDAMLAYADAGLSTFDMADHYGPAEDLYGMFINRVRRERPPELLEEIKGLTKWVPPPVKMTRSYVEENINRSRKRMDVAALDMLQFHWWDYTNPGYLDALKHITDLKEEGKIKTVALTNFDTERLQIILENGIPVVSNQVQHSIVDMRPQQRMAELCQLTGVKLITYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQTLLQTLKKVSLKHGVPIATVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEVSKKGRNLMDIIGDCGDEYRA >KQL23599 pep chromosome:Setaria_italica_v2.0:II:15412392:15415364:1 gene:SETIT_030159mg transcript:KQL23599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAARAVSPAAAAPPPVPRRLRPARCTGGVAATETATAGPARVTTVSNRDDSLAICRVLNGMWQTSGGWGRIDRDAAVDAMLAYADAGLSTFDMADHYGPAEDLYGMFINRVRRERPPELLEEIKGLTKWVPPPVKMTRSYVEENINRSRKRMDVAALDMLQFHWYGTVMGGLLSEKFLDTNVSIPFAGPPLNTPSLQKYKRMVDAWGGWSLFQTLLQTLKKVSLKHGVPIATVAVRYILNQTSVAGSMVGVRLGLSEHIKDTNAIFSLELDEEDMNSITEVSKKGRNLMDIIGDCGDEYRA >KQL25853 pep chromosome:Setaria_italica_v2.0:II:40928437:40932513:-1 gene:SETIT_030045mg transcript:KQL25853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPARSPASGPRRRALLLPLLLLPLLLLLINLISSPSPRSLPAPGKPSQACDYSAGEWVRDASPGPALRYDHTCKEIFKGWNCIANGKANGRDLLRWRWRPSGAGCELPRLDPLRFLERHRDSSIGFVGDSLNRNMFVSLVCMLRGVSSEVCKWRPAGADRGFTFLHYNLTVAYHRTNLLVRHGRWSASPNGGPLESLGYKQGYRVDVDIPDQTWVEACSFHDVLIFNTGHWWWAPSKFDPIESPMLFFENGKPVVPPLLPSAGLDLALQHMITFVNKAMRPNALKFFRTQSPRHFEGGDWNEGGSCQRNQPLSSEEVEEFFSLDNNGTNMEARLVNKHLMKALEQSTFRVLDITRMSEFRADAHPSTTGGKKHDDCMHWCLPGLTDTWNDLLAVNLEAVES >KQL24415 pep chromosome:Setaria_italica_v2.0:II:29832431:29834599:-1 gene:SETIT_030007mg transcript:KQL24415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHFRALIHSPSLPVPFSHGVGVSAASPSRAPRLPRARASVEKREQAMEEPGGAAALGWAARDATGVLSPYNFSRRVPRDDDVTIKILYCGICHTDLHIIKNHWGNSMYPVVPGHEIVGVVTGVGGGVTRFKPGDTVGVGYFVGSCRSCDSCGKGNENYCAGVVLTSNGVDRAHGGAATKGGFSDVIVVNEHYVLRVPDSLPLDRTAPLLCAGVTVYSPMMRHGLNEPGKHLGVVGLGGLGHVAVKVGKAFGMKVTVISTSPSKRQEAVEHLGADEFLVSRDPEQMKAATGTMDGIIDTVSAWHPITPLLALLKPLGQMVVVGVPNKPLELPVYAIVPGGKGVAGNSVGSVGDCQAMLEFAGKHGIGAEVEVIKMDYVNTAIERLEKNDVRYRFVIDVAGSSLGSATYV >KQL24647 pep chromosome:Setaria_italica_v2.0:II:31989580:31990550:-1 gene:SETIT_033235mg transcript:KQL24647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRANWDDNTTKIFLDLCIDEKNKLNYNKKGLTKLGWHNLYTNFKQQTGRKYSCKQLQNKFNAFKKQYKDWRKLKDKSARIEENEANKHFRGKAFPFYDELTTLFGTMDTEGGPMLCVGGIGDRTPSCEDNVGRSSVGHVSQRSAKEHVVDSPPPKRSKSMEYYVERIYESMIQRTMNERNLISREEEEVMEMLHLVEQDGVPNGSELYFIATKLFRSPARRASYRSITAAENRIAWLRWTWDNVKRM >KQL23432 pep chromosome:Setaria_italica_v2.0:II:12377973:12378306:1 gene:SETIT_033620mg transcript:KQL23432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSLILLVANSHVISSINRMVARLLWIYVRYHP >KQL27123 pep chromosome:Setaria_italica_v2.0:II:47928790:47931318:1 gene:SETIT_031354mg transcript:KQL27123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGGGDLSDEERRAMRGSKFAPLPPPPSTSRPQPRMAHPGGPLTTNKAAALAKFLERKLQQPDGLDSLNPDLVKLAVKNAKETMKASKGEASTSGRVVRHVSSFEDGSEDSEDEAEVKGIKRKRKNKKVKAHQDDEQQSKKKKKKKNKKKKGKGNAV >KQL23048 pep chromosome:Setaria_italica_v2.0:II:8033022:8033769:1 gene:SETIT_033709mg transcript:KQL23048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQNKRRSWCTPLYDSLMMEALNEPCELKMHCTRIVCEFGYSINGIHGHSSNVDFETNKRLGVILQIKFGLLS >KQL22190 pep chromosome:Setaria_italica_v2.0:II:441710:443179:-1 gene:SETIT_032360mg transcript:KQL22190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLSAVLGELTTRSINFFIKKSLKPKALDVEDRLHMILLRAQVIIDEAMGRQITNQYVLQMLDMLRDAMYRGCYTLDTFRYQVHNEEEAKGQVLSHSFSLSKVNSLQGLCSSSINPHILKNLNKSLDDLSSMILGMKELVMFLASYPRLYRQPYSMLLVLGNCMFGRQMETEFVINFLLHKRHHDSKELDILPIIGPGRVGKTTLVAHVCEDERIRDHFSEILFLRDHDFTAVDLATVRERYAMEYKNRVSNSNKDGRLLVVVELVGDLNEDAWNRLYSTYTRDVPSGTKIIITSRSDKIIKFGTTRELSMKYLSHEAYWYFFKTLMFGSTDPKMHPRLACQAMEMAKMSKRCFIAANMFACLLRDNFDIEMWCKVLAFTRGQNNKNILNFGGHPFDLVNQKRPAYLARMVITPPQYVVLHCENEYSKQEELPNIKFQDVLYGSIKVHGKFEMLGWRSRIPPYHSFVGICEFDQEVKITSAKRKRSV >KQL24236 pep chromosome:Setaria_italica_v2.0:II:27983847:27984680:1 gene:SETIT_032121mg transcript:KQL24236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEEEDVDAMAEESAKQAAACKNRARLQLHKSFLHLSKALKKLHARHGGGHQANASGEGEPPTPSSSAAASFLSGCMHNPRTHSFASGRRHRPAHEDDDLGDALNVNFRSLRIGPSTGAAVAVDGGSSSPRDRYSSSDGASEECDDVAPPLPPTPAAAPANKAVFGGAGVAVVTFSAAPYEDFRRSMREMVDAASDRSSAAAATAGAPAVDWDFMEELLFCYLHLNDRAVHRDILRAFTDTVAALRRRRRTAKSRRTRRRGAAADSSEGGAVAPSFY >KQL25889 pep chromosome:Setaria_italica_v2.0:II:41106779:41111526:1 gene:SETIT_029384mg transcript:KQL25889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSTPSFDLSAGAEPTLGPRPSAVPPPPPTPAGAAAHQHQQPPVSEAAARRLLEAEERLREAIEELHRHQGGSGKGDGEGEGEEQREGDWGCGHEGESCAAHAAGNLCQSFLLSYGVRVGIGILLRAFKLARRRSFGSLLDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRRLRKKETPYNTILAGSVAGLAILALDDSSRRRTLSLYLLARLAQCAYNSAKSKNKFHFWGSHWRHGDALLFSLASAQVMYAFVMRPESLPKSYQEFILKTGPVAEPVYKVVRECCRGGPVDLNALSAYLSNKRNSDLMHLTTNPSIIPCSVIHPDRASCLAQNVHVVSSTFKKTFPLYFSLTFVPFVVLRLQKFLESPAATCWRALVGAVRSTTFLSAFVTLFQAAICLHRKVANKDHKSVYWFAGLMSGLSILLEKKARRAELALYVLPRAGDSLWYILINRQLLPNIKNAEVALFCMCMGGIMYFLEYEPDTMAPFLRGLIRRFLASKISNPSPPPPNRNTSHSYLQTLNALEQSRTQPGVDNGLPTSEKYNLESIPGL >KQL26799 pep chromosome:Setaria_italica_v2.0:II:46385180:46386080:1 gene:SETIT_031189mg transcript:KQL26799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANDGDRAAAGILRLLLGMAAGAPVPSAMQRGGGGGPGVLVVQHFILDGDGDLFSGGVGGGVPPASKAAIAALKEVKAGEVEGGGPLGDCAICLDGVEDAGKEMPCGHRFHGECLERWLGVHGNCPTCRHELPPAKEVDNAAAEGGEERRRPRTAVLVSYLVVGGQREEAQQQQEPEREEPWTIRIEDVD >KQL26192 pep chromosome:Setaria_italica_v2.0:II:42935565:42938039:-1 gene:SETIT_032736mg transcript:KQL26192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFFLLLLLSQILLCAAVDTINSSTPLSGPQKIVSKGNKFTLGFYTPTQGNTTSSSSTSNYYIAIWYSNIPQQTTVWTANSDVPVTDPTTAALTIGNDGNLVLLDRSNNRLLWSTNVSIGSNSTIAVLQDNGSLDLTDANSSIVYWRSIDHPTNTWLPGGKLGLNKTTGVSQRLVPWRNMANPSPGLFSLELDPNGTTQYFIQWKDSKTYWTSGPWNGNIFSLVPEMTAGYNYNFQFINNDTESYFIYSMKDNNVISRFIIDVNGQIKQETWVSASQSWIMFWAQPRTQCEVYAVCGAYGSCNLNALPFCNCIKGFTQKVQSDWDLQDFSGGCKRRVPLQCQTNSSSAQAKPDKFYTMTDISSSQASQTQDSTTTSQTHSATSGGSHFQSI >KQL22852 pep chromosome:Setaria_italica_v2.0:II:5826955:5832413:1 gene:SETIT_032515mg transcript:KQL22852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLLSRWPVRGIEVYMILSLVNLMKMMIPIFFKGTYLKHNILRFLALMILMMNLIILIDDQNDFNIHLYQEVIADYKKFKMLQNKMKITGFAKVIKNLTSNQRKVIENYGFGSLLLFDKCFVPNNFVKWVARLVDYKSGVIVVNGKIISLTKESMHNILGIPLGGRSFPTDIANGKSVVLKKFNKESIPSVEFFTNKLQSKEEVMFDEDTFICFILIALNSFLCSNASLIPSQKYFGIFDDISNYKELDWCGYILSWLLKHIKTFNEGKTKAGKQPGTLGGCLYYLAVMYFDHVDFGFRCVDDTLPRITVWKGNMIQTYSNFDLKSPGVYGFRPILDFSETCYVKSIHLLNSKSSISDDSEFLEKINSVSGCKLPTDLKTSICKAIEKHTFNLGLQESLEIKVLGEKTLTQNVRDMIRKLDEMCNLKFCASGASLRTPIRVVDSDFHGPESSSDKPSARQPYLSRDSPTGGKLPLHGPRRVVKPSTLFHGEFQTAKQKISVSNSKLKNYKSLCSLASSKFSNEDVVCLGKVRCAFLSLGESLKPGGFVNPFIISTYCYSLYVKSTGTSDTSKSHFFANIGENLLKESEQLFFPTLYNNHWFVFVVNIKDHNFVFLDSYTIKIMNFLKYVDSRIYAMMFLEHWKSPRSVLCKVFNSTDIPSIRVKIANDLIFVPGNSGMKSRVLEYDV >KQL23878 pep chromosome:Setaria_italica_v2.0:II:21924044:21927998:-1 gene:SETIT_029453mg transcript:KQL23878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLESVAALMESTSSKIQQLQQAFAELERQSAVSMNLKWKQLEDHFRGLEQSLKKKFDELKEQEKEFQETVAKSEQMLEQREAAVVAKELTSLERLQEKRDAALAMIFSKSKLSLPVPAINPMDKALDNLGVKWPKLASEESVRLQVDCAAVKPRSELVSLCEEMNVKGLHKFISDNRKNLAIIREEIPSALQKASHPYGLVLDSLEDFYAGDNLVLDGKKDGDLLGVRRTCLMLMESLGQLQTDDITRFSLEGHMLTTDIKERAKKIAFEWKSKLDSLDIDASNGNCLEAHAFLQLLATFGISAEYNDDDLCKLLPYVSRRRQTPELCRLLGLSQKMPGVIGVLVESGKPIDAINLAYAFELTEQFEPVQLLKAYLRDVKKVPHAKNAKISPGAQNEMNERELSALKAVIKCIEEHKLEEQYPVDPLQKRVLQLEKAKADKRRAVEAAKPQSKRPRANGSAFAPRATGFADKSFYPATPERLPSYPYERQFVYGAEAHHPPMMSSAPYTIQPAHTPYYGNGYPVQYQVPYIH >KQL24150 pep chromosome:Setaria_italica_v2.0:II:26758966:26765514:1 gene:SETIT_030657mg transcript:KQL24150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVRRAVHAGSWYTNNARKLEEELDGWLGAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATVYSTPIGDLPVDQEVIEELSATGKFEFMDLSVDEAEHSMEMHLPYLSKVFQGHTVKVVPILVGALSSQSEAMFGQLLSKYVDDPNNFFSVSSDFCHWGSRFNYTYYEKKHGAIHKSIEALDRMGMEIIETGDPVAFKQYLQEYENTICGRHPISVFLHMLKHCSTKIKIGFVRYEQSSQCKNMRDSSVSYASAAAKVDPSGEEENKD >KQL24149 pep chromosome:Setaria_italica_v2.0:II:26758966:26765514:1 gene:SETIT_030657mg transcript:KQL24149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVRRAVHAGSWYTNNARKLEEELDGWLGAAGLTKSPDVRAVIAPHAGYSYSGRCAAYAFGNIDPTNISRVFLLGPSHHYYTPKCALTRATVYSTPIGDLPVDQEVIEELSATGKFEFMDLSVDEAEHSMEMHLPYLSKVFQGHTVKVVPILVGALSSQSEAMFGQLLSKYVDDPNNFFSVSSDFCHWGSRFNYTYYEKKHGAIHKSIEALDRMGMEIIETGDPVAFKQYLQEYENTICGRHPISVFLHMLKHCSTKIKIGFVRYEQSSQCKNMRDSSVSYASAAAKVDPSGEEENKD >KQL25496 pep chromosome:Setaria_italica_v2.0:II:38613371:38619116:1 gene:SETIT_028962mg transcript:KQL25496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVRAAAVLVAAAALAILLPGWAAAEWSLTKKGSIVTYDGRSLMIDGKRDLFFSGAIHYPRSPPEMWPKLIERAKEGGLNTIETYVFWNAHEPEPGKYNFEGRLDLIKYIKMIQDHGMYAIVRIGPFIQAEWNHGGLPYWLREIGHIIFRANNEAFKKEMEKFVRFIVQKLKDAELFASQGGPIILTQIENEYGNIKKDHKTEGDKYLEWAAQMALSTQTGVPWIMCKQSSAPGEVIPTCNGRHCGDTWTLLDKDKPMLWTENWTAQFRAYGDQLAMRSAEDIAYAVLRFFAKGGSLVNYYMYHGGTNFGRTGASYVLTGYYDEAPMDEYGMCKEPKFGHLRDLHNVIRSYQKAFLTGEHSSEKLGHGYEAHTFELPEDNLCLSFLSNNNTGEDGTVTFRGEKHYVPSRSVSILAGCKNVVYNTKRVFVQHSERSFHTSEVTSKNKSWEMYSEQIPKFHKTKVRTKEPLEQYNQTKDASDYLWYTTSFRLESDDLPFRGDIRPVLQVKSTAHSMVGFANDAFVGSGRGSKQVKGFMFEKPVDLKVGVNHITLLSSAMGMKDSGGELAEVKGGIQECLIQGLNTGTLDLQVNGWGHKRYFDEPDGDDPVVLDMSSMSKGMIYVNGEGIGRYWVSYRTLDGTPSQALYHIPRPFLKSKDNLLVVFEEEMGKPDGILVQTVTRDDICVLLSEHNPAQIKTWDTDGDKIKLIAEDHSTRGTLSCPPKKTIQEVVFASFGNPEGMCGNFTVGTCHTPNAKQVVEKECLGKPSCVLPVEHTLYGADINCPSTTATLGVQVRCSWEKKGA >KQL22199 pep chromosome:Setaria_italica_v2.0:II:498136:500694:1 gene:SETIT_032442mg transcript:KQL22199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNPPYSKFGALRNPPQEKELFFHLYLHHAHSGADQNQFEILASKRPNILGTTLVNDWLIYDKRGQGAKVVARAQGLHIQSGMKAKNWRSSFSIVFEDANARFKGSSLEVMGPHIRFGQWAIVGGTGEFTLARGIIHKTEVERLRDKNIIELKIHAFYTPMRAEAVSAGQWTLGP >KQL27325 pep chromosome:Setaria_italica_v2.0:II:49147613:49148103:1 gene:SETIT_031465mg transcript:KQL27325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCKQVSCAEDAVQVRRRRCYGPASDAEFLRSIADKTPGRDDDDQRRRQVYLKSYVFATTEEAAKASRWRGGLVDAVLPPMLLRRCRRKTHNDDDRMVGGGSAICSSSSRKASKPGKVLSQLLTRLLCCAPAAATTAA >KQL26965 pep chromosome:Setaria_italica_v2.0:II:47156458:47161605:-1 gene:SETIT_029934mg transcript:KQL26965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRVCRGGPSSSPAGAAAGRPFPPVAASSSSASPSSAPSETASTSVTKTVNGSHHFKIDGYSLSKGIGVGKYIASESFSVGGFDWAIYFYPDGKSGEDGAAYVSLFIALASEGTDVRALFELTLVDQSGKGQDKVHTHFGRSLESGPYTLKYRGSMWGYKRFFKRSALETSDYLKDDCLLVNCTVGVVQSHTEGPKIYTIPVPPSNMAQHIGQLLTSGKRTDITFEVDGEMFPAHKVVLSARSPVFRAQLFGPMKDKNMKCIKIEDMEAPVFKALLHFMYWDELPDIEELTGVNTTWVSTLMAQHLLAAADRYALERLKLLCELKLCEDVAINTVANTLALAEQHHCYQLKTVCLKFVALPENLKAVMQTDGFDYLQQSCPSLLTELLEYVAKVGEHAVTPCLYSTEVLDGGDANGRRVKPRI >KQL22496 pep chromosome:Setaria_italica_v2.0:II:3145882:3146475:1 gene:SETIT_032384mg transcript:KQL22496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEQLAPPVVVAMKGHPGSGKSTAARAIAAALRCPLLDKDDVRDCTLALETVAASGALNELSYAVLWRVAERQVQLGLSVVIDSPLSHRAHLDALTRLPGAVVVIVECHPGDKEEWRRRLEKRGAAVANGGGGDGWHKPKTWADLQRLVEGYQGCTDYEIGDVPRIVVDTTDPTVDAEAIAAKVVGFIRSHLACGQ >KQL26051 pep chromosome:Setaria_italica_v2.0:II:42047996:42050227:-1 gene:SETIT_030200mg transcript:KQL26051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGKVYATVVLIRLIYAGMHILTKASFNEGMSTTVFVFYRHAVAAIFLLPFAFLEIRKRPAPPLNFKLTAKIFAHAFYGMAGTINLYCIGLKYASATSSSAIFNIVPVVAFILAVMFRMETLKLRSVHGIAKASGILLCVGGVIVLALYEGPELKSMNHHQLLKHHTSAAAAHAHSKEEWALGIFLMTTSIVIWSFWTVKQGPLLLEYPSKLLNTTLQCVFASIQSFVIALVLERDFSRWKLAGAVSLASVLFTGIVVAAISYYLQIWVIEKKGPVFLSMSMPLSLVFTMAIASFLLGEDVSLGSIIGSVLLVAGLYNVLWGKSREEKQAAGGRDSGGGGGVDGDVERNAAAVQPADGETKEKEEGDVDAAAAKV >KQL22626 pep chromosome:Setaria_italica_v2.0:II:3963220:3964701:1 gene:SETIT_033256mg transcript:KQL22626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVRHFGHMIPFLELSKRLARRGHAVTFVSTPRNAARLRAVPLELSARVRVVTLDLPAVEGLPAGAESTADVPPEKVDLLKAAFDGLAAPFAALVEERCAAGGDGDADGFVRKPDWIILDFAHIWAWPIAEEHKIACAMFLIFPAAHLAYAGPKHENEAHPRRTTEDYMAQPPWIPFPSTISYRRHEAATRAPACRPNASGVADIDRLWQLHHPSCRLIVSRSCPEAEPRLFPLLAELFAKPVVPAGLLLPGDAADDNDGGGDRSFLEAERWLDGQPRRSVVYVALGSEASVTADNVRELGRGLELSSVPFIWAFRSPSGGAPEVLLPDGFGRRVAGRGVVLVLAHAAVGAFLTHCGWGSVTESLFRFGHPLVMLPFVGDQGLNARAMAARSVGVEVPRDYDDGSFRGADVAAAVRCVMAGEEGEEFARRAKELQEVVGDRVRQEEYVDELVEYLQRYK >KQL27078 pep chromosome:Setaria_italica_v2.0:II:47705135:47706358:-1 gene:SETIT_032070mg transcript:KQL27078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLPDDTIADILLRLPAESVLCAGAVCKAWRSFTTDPSFLAAHARLQQAQVVMYSYLDEGPYELALDVVPFSGDDDEAARRRLIRYPRTTRTRFLLLASCNGVLLFRKSHGSFLSFLLCNPVTRQWAELPRVGRFGEAEYQYAFYSHQPSGEYRLLLRRGLSWLILSTGAAEPRRVDMPPGTSITNSLVTAPPVALGGRLHWPPRVVAGAGMTTTTSEMMVFDTVSETFHRMAGPPTATADMVKLFEMEGRLAAADFGEEEHVDLWFLDDYDVGRWERRHRVATAWEPDGVSRGPPQEAGDLVCVAAAGDGEGNVMLGSHLWLAVYNLRTKTARTVDSVVEAENDVLVSRHVFSASLVRHPSFAARSTADLGLTFSWS >KQL22978 pep chromosome:Setaria_italica_v2.0:II:7016805:7019638:1 gene:SETIT_029000mg transcript:KQL22978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPLITVSDGRLAVRGRTVLTGVPDNVSAAHAAGAGLVEGAFVGAHAGEAKSHHVFTLGTLRGCRFLCLFRFKLWWMTQRMGASGRDVPLETQFMLVEVPPASGDGDDDAAGGDGSEPVYLVVLPLLEGQFRAALQGNDRDELQFCIESGDKAVRTDQGAHAVYLHAGDNPFDTVAAAVKAVEKHLNTFHHREKKKLPSFLDWFGWCTWDAFYTDVTADGVKRGLQSLSEGGAPPRFLIIDDGWQQIASEDKPDPGVTVQEGAQFASRLTGIKENTKFQAKSNDDSGDGEPAAASGGLKQLVREAKDANGVKQVYVWHAMAGYWGGVAPSPGTGMERYEPSLAYPVQSPGVTANQPDIVMDSLSVLGLGLVHPRRVRDFYGELHSYLASCGVDGVKVDVQNIIETVGAGHGGRVAITRAYHRALEASVSRSFPDNGCISCMCHNTDMLYSSRQTAVVRASDDFYPRDPASHTVHVASVAYNSVFLGEFMQPDWDMFHSLHPAAEYHGAARAVGGGAIYVSDKPGNHNFGVLRKLVLPDGSVLRARLPGRPTRDCLFSDPARDGASILKVWNVNACGGVVGAFNCQGAGWCRVTKRTRVHDAAPGTLTGAVRAADVDAIARVAGNGGEGGDGSEWDGEAAVYAHRARELVRLPRGAALPVTLGPLEYEVFHVCPLRRAAPGGAAFAPVGLLDMFNAGGAVEECAVKADDAAGTGATAVATMRVRGCGRFGAYCSRRPARCVLDAAEVEFGYDADTGLVTVDLPVPEEEMYRWTLEIVV >KQL24486 pep chromosome:Setaria_italica_v2.0:II:30649592:30653629:1 gene:SETIT_029500mg transcript:KQL24486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGVAALGVNTERAAQYKGRMTLAVAMTCLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPAVYHRKNSGSQNNYCKYDNQGLAAFTSSLYLAGLVSSLAASPVTRNYGRKASIVCGGISFFVGATLNVAAVNLAMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANLINYGTQNIKPWGWRLSLGLAAAPAMLMTLGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMVEASELANTIEHPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGNASLYSSVLTGAVLFSSTLISIGTVDRLGRRKLLISGGIQMIVCQVIVAVILGVKFGADKQLSRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFAIAQAFLSLLCAFKFGIFLFFAGWITVMTVFVYVFLPETKGVPIEEMVLLWRKHWFWKKVMPDMPLEDGWGAAGGHAGNNSHK >KQL26702 pep chromosome:Setaria_italica_v2.0:II:45856897:45857826:1 gene:SETIT_031898mg transcript:KQL26702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSAAGGGGGRGEPARWLEIAGKLLAARDLVGCKRLAERAVEADPNLPGADELLAVADVLLASQRQLPSGRPDPVAVLQLHPGPDPAAVKRAFSRLSQLVSAPRNPRPAADTALHFVQEAFADLSKNPSSDTPPAAASTPASGGASAAAAADADAFWTACPYCCHVYQYQRALVGRALRCQSAGCRRAFVAAEIPTVPPIVPGTDMYYCAWGFFPMGFPKAADLSTNWRPFCPMFPGNFQSPPQPASAGTANVDSGNFRSPPQPAAAGTANVNLGNFRSPPQPASAGTANVDPGKFRSPPQPAAAGTSH >KQL23905 pep chromosome:Setaria_italica_v2.0:II:22731379:22734436:-1 gene:SETIT_031138mg transcript:KQL23905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKCDLTANKVVSYEAGKALADEMGIPFMETSAKNATNVEQAFMAMAASIKTRMASQPAAANARPPTVQIRGQPVNQKTSCCSS >KQL26754 pep chromosome:Setaria_italica_v2.0:II:46146466:46146960:-1 gene:SETIT_033069mg transcript:KQL26754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YCVVCTHPLEWVAIGRCGHHVVCRKCMVRIRFFHRNKRCCICRTHCPKVIVAKRDAITDILSTLPLFALGEGRIGTLWYHRLTAAYYEDEKEYNAFLALLLRLEPSTCQRK >KQL23130 pep chromosome:Setaria_italica_v2.0:II:8578659:8583729:-1 gene:SETIT_030089mg transcript:KQL23130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFSGGSVEVLAATQTAGESGKKWFQGTADAVRQFLWLFEDARLKCIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKADRNGRITDFLEKPKGESLKSMQVDMDLFGLSPELADTYKYMASMGIYVFKADVLRKLLRGHYPTANDFGSEVIPMAAKDYDVQAYLFDGYWEDIGTIKSFFEANLALTDQTPNFYFYDPVKPIFTSPRFLPPTRVENCKVLNSIVSHGCFLTECSVEHSVIGIRSRLEQGVQLKDTMMMGADYYQTEAERCSELSDGKVPVGVGENTIIRNCIIDKNARIGKNVVIMNSDNVQEADRPAEGFYIRSGITVVLKNAVIPDGTTI >KQL23131 pep chromosome:Setaria_italica_v2.0:II:8580078:8583729:-1 gene:SETIT_030089mg transcript:KQL23131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNCINSKINKIYVLTQFNSQSLNRHIARTYNFGEGVGFSGGSVEVLAATQTAGESGKKWFQGTADAVRQFLWLFEDARLKCIENILILSGDHLYRMDYMDFVQKHVDSGADISVACVPMDESRASDFGLMKADRNGRITDFLEKPKGESLKSMQVDMDLFGLSPELADTYKYMASMGIYVFKADVLRKLLRGHYPTANDFGSEVIPMAAKDYDVQAYLFDGYWEDIGTIKSFFEANLALTDQTPNFYFYDPVKPIFTSPRFLPPTRVENCKVLNSIVSHGCFLTECSVEHSVIGIRSRLEQGVQLKDTMMMGADYYQTEAERCSELSDGKVPVGVGENTIIRNCIIDKNARIGKNVVIMNSDVCPSSSPPPFRT >KQL24680 pep chromosome:Setaria_italica_v2.0:II:32201079:32202075:1 gene:SETIT_033680mg transcript:KQL24680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLMCSLHSFRGLAIILLLNAGYQCLTFQLPTVCASSL >KQL23183 pep chromosome:Setaria_italica_v2.0:II:9002547:9006059:1 gene:SETIT_029413mg transcript:KQL23183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRSGFFPITSSSSPLVPAIPGSVLCSKGIPASKNQVAEASKDTNAKKIGPPGNKIRIRLRKRLAEGVQTTSTVVPEETKNHLAKEVFEHTDNDTPSTIFVDTEAKAEEVCSKATGEGQCQEANSNSLVKTMSKDTLPEEANLIVLSLNQTTATEVQVKEEISYPERKELCEEGHKNIMSKVLPHEDSSNTPRKESYVGAINNTPSKNQSIISVHGEVEKNNSSNITRSKRLLYETNSNPSSKEVTEEAKVNNPRKNVTSAVKGEEANDNSLGDNLFEEARKNIATAKLSTEAINCAPSRRPADPVNDKKTIKKLRTSAVHATGTSHNPSGMKLSTSAAPAVGRSTSAAFLEATKEYKEFEEKVKRTVYLDNLSLLATDAVIRMALNQFGNVKNVNFVTNYTVPFDIPQSALVEMETEKDAEHVVNMLDEFPFMMSGMPRPVRAKRATAEMFNDRPWKPGRKLEFCWVGPTDPDCQNVRKFKIMSKRHEVENLSLIKNQLHEEALLAKHQQENLNCNYRKLESIDSVILTGWVNRLTRIYNLNFDEVY >KQL22796 pep chromosome:Setaria_italica_v2.0:II:5360318:5360509:-1 gene:SETIT_033547mg transcript:KQL22796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDSTDLPAAQEYQNFFRQNKQGRTWISDRQRKINMKVHISFRN >KQL23508 pep chromosome:Setaria_italica_v2.0:II:14321199:14322425:1 gene:SETIT_030016mg transcript:KQL23508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKPKSKMALRKKSPARPTTVNDVPDKLLELILLHLTSPLWIIRAAAACRRWRRILTGRLHQQHPHPIAGYYHNPQPLSGSSPVFVPSSQVIDSVDRRHFSLDFLPAGGSKSWKLVDSRRSLLLLARKKSGWMRHCFPDLVVCEPLTRFYQVVPCPKEMKHHECLGVFLNVFGNRTSDFGVACVLYQSYTGVSGEVGTVRACVFGRGRRSIWRWRVGRRSSAIASLHLSVQGKEALQFVGCAVNASFWWVRDEKPQRLICAHWSTQISLFNLPEHISNLFVDFSAFRVVDGMDDKVRIACLEGAYLKVFSRSYWNDGSSDWMLEKQVNFAMATSWFPAGRKEYFGNVAANIVTASGRCVTLASKEERCLVSVELETMSVERTRIGSKSPAAAYPYQLPWPPRFHAC >KQL24173 pep chromosome:Setaria_italica_v2.0:II:26991032:27003802:-1 gene:SETIT_028818mg transcript:KQL24173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNMQPSGPPQPPRPPMMGSNAQPQNLGPPMPMQFRPVVPSQQPPQFMPPPAQQFRPVGQPMPGANIGMPGQMPHFPQPGQHLSHSSQVPPASQGVPMAYQPARPMSSAPMQPQQQAVYPGGHMPTMGAPMQPPSYTYQPTSIPPVVQPWGQSVPHVTPLVQPGHQPVPATATLPSVNSSEPSSSDWQEHTAAEGKKYYYNKKTRQSSWEKPVELMTPLERADASTEWKEFTTPEGRKYYFNKVTKQSKWTIPDELKAARELAEKASNQQSDRETGTAAALVGSAASEPSTVPANQSSTAVGLIAPSTHDASANPVPPGPVPSHNVDNTSSSSTIGMQNGGTSTAVVPVPTSTEVKLVATDAGTSRNNNESSSVTTGADIEDGTSAEDLEEAKKTMPVAGKINVTPLEEKTSEEEPVVYATKTEAKNAFKSLLESVNVESDWTWEQTMRVIINDKRYGALKTLGERKQAFNEYLNQRKKFEAEEKRIKQRKARDDFLAMLEECKELTSSTRWSKAILMFDDDERFKAVERPREREDLFEGYLVELHKKEKAKAIEEHRRHVAEYKAFLESCDFIKATTQWRKVQERLEDDERCSRLEKIDRLNVFQDYIRYLEKEEEEQKRIQKEHVRRQERKNRDGFRKMLEEHVNDGTLTAKTRWRDYCSQIKESQAYLAVASNTSGSTPKELFDDVIEELDKQYLDDKTCIKEVVKSGKIPMTTSWTLEEFQTAILEDDALKGISTINIKLIYDDQIERLREKEQKDAKKRQRLGENFSDLLYSITEISAASTWDDSKQLFEDSQEFRALDSETYARELFEECVVHLKERLKEKERLREEEKAKREKDREEKEKKKEKERKEKERKEKDREKEREKEKGKDRSRRDETDIDGADVENHGSKDKKRDKQKKHKRRHHDTDDASSERDDKDDAKKSRRHTSDRKKSRKHTHASDSDSENRHKRHRKDRDSSRRNGAHELEDGELGEDGEVH >KQL24174 pep chromosome:Setaria_italica_v2.0:II:26991872:27003802:-1 gene:SETIT_028818mg transcript:KQL24174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNMQPSGPPQPPRPPMMGSNAQPQNLGPPMPMQFRPVVPSQQPPQFMPPPAQQFRPVGQPMPGANIGMPGQMPHFPQPGQHLSHSSQVPPASQGVPMAYQPARPMSSAPMQPQQQAVYPGGHMPTMGAPMQPPSYTYQPTSIPPVVQPWGQSVPHVTPLVQPGHQPVPATATLPSVNSSEPSSSDWQEHTAAEGKKYYYNKKTRQSSWEKPVELMTPLERADASTEWKEFTTPEGRKYYFNKVTKQSKWTIPDELKAARELAEKASNQQSDRETGTAAALVGSAASEPSTVPANQSSTAVGLIAPSTHDASANPVPPGPVPSHNVDNTSSSSTIGMQNGGTSTAVVPVPTSTEVKLVATDAGTSRNNNESSSVTTGADIEDGTSAEDLEEAKKTMPVAGKINVTPLEEKTSEEEPVVYATKTEAKNAFKSLLESVNVESDWTWEQTMRVIINDKRYGALKTLGERKQAFNEYLNQRKKFEAEEKRIKQRKARDDFLAMLEECKELTSSTRWSKAILMFDDDERFKAVERPREREDLFEGYLVELHKKEKAKAIEEHRRHVAEYKAFLESCDFIKATTQWRKVQERLEDDERCSRLEKIDRLNVFQDYIRYLEKEEEEQKRIQKEHVRRQERKNRDGFRKMLEEHVNDGTLTAKTRWRDYCSQIKESQAYLAVASNTSGSTPKELFDDVIEELDKQYLDDKTCIKEVVKSGKIPMTTSWTLEEFQTAILEDDALKGISTINIKLIYDDQIERLREKEQKDAKKRQRLGENFSDLLYSITEISAASTWDDSKQLFEDSQEFRALDSETYARELFEECVVHLKERLKEKERLREEEKAKREKDREEKEKKKEKERKEKERKEKDREKEREKEKGKDRSRRDETDIDGADVENHGSKDKKRDKQKKHKRRHHDTDDASSERDDKDDAKKSRRHTSDRKKSRKHTHASDSDSENRHKRHRKDRDSSRRNGAHELEDGELGEDGEVH >KQL23661 pep chromosome:Setaria_italica_v2.0:II:15868778:15870451:-1 gene:SETIT_031491mg transcript:KQL23661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSMMSPIKEFLPLSFFFCFFSHYSDCCMFFSGNVYVCRQGRSSQGRPGWIVKPLPSQISGFGSQLASSSCSNPLTLDFAEDHESSCLTFVSVLTARARISSMFLHTCRLPMGIMLFLLAGEVRKFSINGD >KQL23418 pep chromosome:Setaria_italica_v2.0:II:12230831:12239560:-1 gene:SETIT_029409mg transcript:KQL23418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKPHFSPHAAFAAAASSPGSAAADAEADLVVFRLLLPPSFSDADTMRLYAAANPLRRRTAALQVRVEPLDPTAAGGRVVAAVLGPAAPVRVAEASSSSGEPLALSPAQEALVAVVDAEGALYRAEEEGPRGRVTCLLLVEPDRLEAAAGRGVLGRIALNAGAHVRVVPLEEAAPPPQGQPPEEVVEITGDRTAVRKALVALSSCLQGDQPVDGSTTSVNKEGSILPWASSEVPEPNVGILCSEASTEFAQGSVPKTGCPEGNTGDVQSKGLQQISFRLLLPTYLAGGLIGKKGLIIKGIEDETGACIDVGAPVSGCRERVITICALEITGELMNVRDALCRVSWKLRNNVFSSDGTDYNNGHIPSSDIAESNATSQANIYSTSQYSMDNAHMVDHGPSLSYGMDSVEKTFSSLELSPPEIQKPDNENGVMIDYSDNGIQKPTEPNGIVINNLNHGIVFPEENNIVREVQHAAITRITYETAVSGSILNLVCGESGNNLAQLTEISGADIAVYDPPSEGNEAMIVVSGPPDQAQSAQRLLVELILQGQ >KQL22621 pep chromosome:Setaria_italica_v2.0:II:3923170:3924453:-1 gene:SETIT_032176mg transcript:KQL22621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRFVNLVVANYRSKMLALHRVDVSKHLFYPSTAEAEAAHAKEKENNNGGGGGKPKPLRIERLRRLPAPITGFESSPTTNPNWGFGSWREDMFVLLHGPSGRGGGEPGRILHANGDGHVTLYDADSHSVISVPDLTEPKGDTPIPFAIAGAAGEEESLYVMRSVPKEPAYNNNKCSLNFEVLDFGGGGDSSRRSYCLGHRSNLQWQPLPPPPMVDGRFAISTVLDGGRVICVSVRSPCLSSVRDGDGTFCFDTARRKWWRSGDWRLPFEGMCEYVPELGTWLGFSPVHPHHLCAADLSGVAMAGCRAEEPALQHVWEDFNPPPVEETSLVLNKRFPGIVHTTRVHWEACQLHLANLGSGRFCIAKVFRAEETISLSCSFDEFPTTKDILTVLIGVEVVRGGEGGLRMVKHKSKRFKFPGNRIKWVL >KQL24884 pep chromosome:Setaria_italica_v2.0:II:34360949:34361959:1 gene:SETIT_032258mg transcript:KQL24884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLKLRRLASSLLSPALASPGSAADAHHAVARATAHHPSTAPPSAHHMDALLAFGRGSRLLAAALAAAFVDRLRAAASGQGDAAVALKCLVALRVLLARGAFILRDQLLAALARHPASGRNPLALAAFPLGRSSFAAASWVRFSARLLELILLLPDASADAEYLVALPNPHLVAELAAFVAVADSVRHAPPPPSSGPQPNALVWEAVRLAEEDRVAAERNIAARVQEMGERLDTLSLADAVELVCVLRRVEDGSAPAPEWKWAGLDEGVVCAARQLRERAEGVVLLRTVEERRLVRRDAGGSASARVLVPGRGGRGSDDIRFGSTRWAGTVPAWR >KQL24399 pep chromosome:Setaria_italica_v2.0:II:29571530:29574773:-1 gene:SETIT_029972mg transcript:KQL24399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGCLHRLLVNKLCFGLVVLLTVPIIVLLLEGAPVLTIFSTRPEQLKVLSHGIPGSASRSDTHKIRGKVNKDCNYAKGKWVADDKRPLYSGNECKQWLSKMWACRMMRRAHFSYESYRWQPHGCEMPEFTGPNFLKRMKNQTLAFVGDSLGRQQFQSMMCIATGGKYSPEVEDVGWKYGLVKAPGALRPDGWAHRFPATNTTILFYWSATLSELEPLNTERAVTSYALHLDRPVTFLKKYLNSFDVLVLNTGHHWNRGKFNGNHWELHADGRPVGKGSRLADLNRAKNLTLHSIARWMDSQLAQHPQMKVFLRTISPRHFVNGDWNTGGTCGNTIPLSNGSEVLQDHSIDIPAESAVKGTRVKLLDITAISKLRDEGHISNSSFKKASTGINDCLHWCLPGIPDMWNELLFAQI >KQL23056 pep chromosome:Setaria_italica_v2.0:II:8110774:8111884:-1 gene:SETIT_033315mg transcript:KQL23056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHEAQRKLVQKVAAGCLGGAPPTKYVLREEDRPTSGVTATDLEFPTVDLRRLADPADVEEASKLRSALQSWGLFAVSCHGIPGALLDELLAVAREFFYRPPEEKLRHTNVVDADGGERFQPEGYGVDRIDSDEQLQVEPAEERRLQFWPSYPPSLRALLDEFTAKSGEQVARPMLGAMGRVLGFREEVFVDRLGGDRAATYARFTYYSPCPRPPHTNNSVVTVLLLDPDVGGLLVLKDGEWVNVPGLGHDLLVIVGDEMEIMSNGVFRAPMHRVVTSDKERVSLVMFYLPEPEKELEPVEELVDEARPAMYRKLKADTFADGFFDAFAAGERAIDFLKLRVQQEAAASTS >KQL25065 pep chromosome:Setaria_italica_v2.0:II:35676131:35676523:-1 gene:SETIT_033531mg transcript:KQL25065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWEYRCCAIVWWRQVDVKFEQVESDQNSKGHRCEHSEYLV >KQL25965 pep chromosome:Setaria_italica_v2.0:II:41624772:41626645:-1 gene:SETIT_032809mg transcript:KQL25965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLLSTDLPLDTERSNVRVTYQAGTVIEAMVLKAFPNCIAVPHKRSEGALEAVESSLADNAVLTIENSSIGSFHQSYDLLLSHNLQIVQEVQMDVQLCLLALHGVQKDDIQTIFSHPQDLAQCEYSLCNLGVSKKNVDHGAAGAEIISKQNLRDAGVIGNARVAELYGLNILECNFQDALPNVTRYLVLARTANIPKEHGQYKCLMMQISYVNVPDKHCFRS >KQL27136 pep chromosome:Setaria_italica_v2.0:II:48022276:48025915:-1 gene:SETIT_029064mg transcript:KQL27136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIAGGGRGRLFAAHRDAIWWLRRRQHPPFSSLVGGGGRGDAPHLPVLIVGAGPVGLVLSFLLTKFGIKCAVIEKNVEFTRHPRAHFINNRTMEIFRKLDGLAGDIERSQPPVDLWRKFVYCTSLSGSILGSVDHMKQEGQSSSSHVEPYFDKVISPISVAHFSQYKLVDLLLKKLEGIGFQTCFPSEIGDSAQDLGLESKILMGHECTSFQQTDEGILVEASVNNGGKIRNRKLHCGLLLGTDGARSTVRELAGISMDGERDLQKLVSVHFLSRDLGRYLSRQRPGMLFFIFNPGAIGVLVAHDLENGEFVLQIPFYPPQQMFEEFSTKVCEQIIVKLVGWEPADIQVLDIKPWAMHAEVAEKYIGCSNRVVLVGDAAHHFPPAGGFGMNTGVQDAHNLAWKLGLMLTGVASPSIVQTYESERRPVAIFNTELSVENFKAAMSIPATLGLDPTVANSVHQVINRSLGSIIPRNVQKAVLEGLFSIGRAQVSDYILNEKNPLGSLRLARLRSILDEGRSLQLQFPAEDLGFCYEEGAIHAENCGEKTQKGVKLKHSRASRKYIPSAKVGSRLPHMLVRALPASSEGVFSTLDLVSRDKLEFVLIIAPLKESYEIARTTLKVADEFKLSAKVCVMWPQGSADAEVEESRSELVPWTNYVDVEEVPRVSGNSWWDMCQISRKNVILVRPDEHIAWRTESDVVSDADSEVTGVFSQILCLNNSHQV >KQL26761 pep chromosome:Setaria_italica_v2.0:II:46184553:46184921:-1 gene:SETIT_032532mg transcript:KQL26761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPTRASVHSQTQLQRLFRAHVAGHQPREAPAALAAVGYSAPLLDPRRPPRTLASSPWGFPAPSSRRGW >KQL23789 pep chromosome:Setaria_italica_v2.0:II:19354269:19364241:1 gene:SETIT_028918mg transcript:KQL23789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKMAGSVQVVKDDTVKRTPMARPSIGREGKPIRLLSNHFAVKLNGVDAVFYQYSVSIKSEDDKVVDGKGIGRKVIDKLLQTYSSELDGKDFAYDGEKCLFTVGPLPQNNFEFTVILEETSSRAVGGSPGHGSPSQADKKRVKRSHLAKKFIVAISYAAKIPLKSVALALRGSESEHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDSRNLVDLTGGVSGCRGLHSSFRTTIGGLSLNMDVSTTMIVTPGPVIDFLLTNQNVRDIRDIDWPRARKMLKNLRVKAKHNNMEFKIIGLSDQPCSRQTFPMKVRNGSIEVQTMDITVQDYFKSKQVELMMPYLPCLDVGKPKRPNYLPIELCHMVSLQRYTKALSSQQRANLVEKSRQKPQDRMRVVTDLVVGNSEDCIPNRGRWNYNNKRLLDPVKIERWAIVNFSARCDMSRISRELINCGRSKGIFIERPHTLVDEDSQSRRCSPVERVERMFEKVKTSLPGPPEFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCIAPSNKMNDQYFTNVLLKINAKLGGMNSKLALEHRQMIPVVTQIPTLILGMDVSHGSPGRADIPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLYDGKDDGIMRELLLDFYQTSQQRKPKQIIIFRDGVSESQFSQVLNVELNQIIKAYQSMGQGDLPKFTVIIAQKNHHTKLFQVDSPDNVPPGTVVDSGIVHPRQYDFYMCAQAGPIGTSRPTHYHVLLDEIGFSADNLQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQVGQFMKFEEFADTSSGSGVNSSSAAAIPELPRLHADVCSSMFFC >KQL24843 pep chromosome:Setaria_italica_v2.0:II:33695741:33699909:-1 gene:SETIT_033024mg transcript:KQL24843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNQISYCIFLAILLAAPLPNAALDQAALLRLFMESQARSVPLPGETHPWVDPVSSFGHLPTHCKNPRGSKEADRITALPGQPPRVNFEQYAGYVTVDEEHGRALFYYFVESPYDAASKPLVLWLNGGPGCSSLGIGAMTELGPFRVNPDGKTLSRNRHAWNNVANVIFLESPAGVGFSYSNTSSDYDKRGDERTAVDSYVFLLHWLERFPEYKGRDFYIAGESYAGHYVPELAAVIVAVRKHTGKDPTNLKGIFVGNPLLDFLKNFKGGLEFLWNHGVMSDEAWANIAEHCSFGPSDGVLCDEAESPFNHFNFFTTVGNIDTFNIYAPICIQAPNGTTYPSGYLPGYDPCTKYYVTNYFNSLDVQEAIHARINTTWSNCTKLPHWNFNEAPILTMVPTISWLVDNGLRVWLYSGDMDDVCPITATRYSVQDLNLTITKPWRPWYAPANEVGGYIQQHEGGFTFASVRGAGHMVPSFQPKRSLVLFHSFLKGVLPPAVSLLQP >KQL25961 pep chromosome:Setaria_italica_v2.0:II:41560842:41561556:1 gene:SETIT_033653mg transcript:KQL25961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACMRHAQWTSFSFQTSVCAALVTTWLNYRWFRRLGVCSVTSPSSKKKKSSILSVSSACDNSCKKKEPIE >KQL24325 pep chromosome:Setaria_italica_v2.0:II:28888863:28889336:1 gene:SETIT_031849mg transcript:KQL24325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQINGKFLDQHNGKRWYSNTEQVMETLLKDALMQGTMVQLRKEHFHHS >KQL24736 pep chromosome:Setaria_italica_v2.0:II:32698974:32701413:1 gene:SETIT_032446mg transcript:KQL24736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANDKAMVISLGSSRRRKRGEMLFRFESFCQPGYPAPLPAGAAFKDNVRALLGLAHLEAGAQGETRCWSFQLELHRHPPTVVRLFVVEEEVGVSPQRQCHLCRHVGWGRHLICSKRFHFVLPKRELSVEADGLHYGINHGSEKPSKGTATSRGHLLHGVVHLNGFGHLVALHGFEGGSDFIAGHQIMDLWDRMCAALNVRKGHMELRLLHGVAYGGTWFGRWGYRFGRPSYGVALPSYQQSLHALQSVPLCVLVPHLSCFSQDLPVVVAKYQAISGHKLLGLGDLLRFMLELQARLPAASVTAMDYRGIMSEASCRWSAKRVDMAARAVVDALRRRAEPSARWVTRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGNYVVRRAMNPVTKVLEYCLEDVSSVLPAAGSVPSGGCKVRVRFQLTRAQLMRDLAHLYRHVLKEPSQALTAGALGAIPVAVRMVLDTKHFVKDYHEGFAPVSSVGAGHVHISLCCTLLVRDGTPELVAPYETVTLPAHATVGELKWEVQRLFREMYLGLRTFTAESVVGVGVSQDACPVLGLIDVGSAVVVEGYVGEQQQQLAAADEGVLPGNEVAAVSEGGCDGERVVDCVCGADDDDGERMACCDICEAWQHTRCAGIKDTDDVPHVFVCSRCDNDVLSFPPLA >KQL25391 pep chromosome:Setaria_italica_v2.0:II:37825782:37827389:-1 gene:SETIT_033194mg transcript:KQL25391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCHCPEPAQCHHRPLLSAADGYPKLHDRPKPAPGTVLAEVAAILCLTGPMVGAGILFYMRSLVSMVFLGRLGQLPLAGGSLALGFANITGYSVLSGLAGGMDPVCGQAFGAGRTDLLGTALSRTTLLLLAASVPIGMLWAAMHRVLVSTGQDPDIAATAYAYILCCLPDLAVQCFLHPIRIYLRAQSVTLPLTYGAAAALLLHVPINFLLVNVLGLGIRGVALGGAWTNLNFLLFLVAYVYFRGMYGGAHDDDSAKKGGAPEAPPAEEEGAAEWWSLVRLCVHSCMSVCLEWWWYEIMVLLCGVLIDPKAAVAAMGVLIQTTSLIYIFPHSLGCAVSTRVGHELGAGRPERARQVARVGLGLGAALGLVACAFAVSVRGVWARMFTADEAILKLAAAALPLLGLAELGNCPQTAGCGVLRGSARPEKAARINVSAFYGVGMPVALALAFWPLGLDFRGMWGGMLAAQLVCAGLMLRAVLGTDWAEQAKRARQLTGGRGDGFDVGGIVDEDKRSHAEAAKAEVGNTLFMVADCV >KQL23355 pep chromosome:Setaria_italica_v2.0:II:11210008:11211640:1 gene:SETIT_029688mg transcript:KQL23355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAVVALNVGGELFQTTTATLSRAGASSPLASLGPSSPSAPHFLDGDPLLFAQLLSFLRHGRLLAHPPPSAALLAEARHFALDGALLASLSPASAFAPLSLRPSALLPLTGRVAPSAVAVCPSPPHPASLVAAHGRVVTCFDAALSTRTSVLTPLPAVDSLLAVSPALALAGARDFPGVHLCRFSDEAPAAAAAVPKVLSWPGSPSATVLSMATTGAPSAPWLFASFESVRRNSSAMVAFDLNSFSPVAEIGRKEVFGADVEAAIPATKLGWLGEHNLLLAAGSHSSPGGVVGDIRLWDIRASATVPVWEVREKEDCFADVAASDTLSAIFKVGAASGEVFMADLRRLGNGGGIGLEPWVCIGNGQRAAAAASSRRKEGNGCKIECCCNWVFVARGADVEVWSQVELAPEAGGKKVMKRNWVGSGPSMEVGAGEEAIKEKAKIVSWAFGGSRMVLTRADQRSVEVWDSAPAAICANT >KQL25093 pep chromosome:Setaria_italica_v2.0:II:35855602:35858837:-1 gene:SETIT_030547mg transcript:KQL25093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSASRRVTGGGSYIASWLVKLLLTRGYAVNATVRDPGDPKNAHLGRLDGAAGNLRLFKADMLDPDALATAVAGCEGVFHVASPVPSVKAVDPESEVLAPAVKGTLNILQACSANNVQKVVVVSSTSAVHFNPNWPQGKPKDESCWSDRDLCLKNKTLAEEKALEYAEKNGLNVVTVCPCLVLGPLLQPAVSASNEFFIYVIKVNVRDVADALLLVYEKAESSGRYLCAPDRVSTKDLLNILKKTHPNYNCVNCDNDSDLKSIITRITSEKLKNLGWKPRTIEETLSDSIEYYEKAGLLQDVEGCPCRLPHPFHLAIDK >KQL22776 pep chromosome:Setaria_italica_v2.0:II:5198746:5201922:-1 gene:SETIT_030414mg transcript:KQL22776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPAAAVLVSNGAVSPRAPPSAAAFLEATPGAYTTARGSLLWWPRHLRRLAESATLLARSHPHLLGLPRPRSLDSFFSETPIHALVNPSVRLAVREMRSRMLTVKEDDLALTALIRGGDSVSSDGLDVFVHVGTYTPPVFGDSGARVAVAGTGRDAAAAKYAPWARMRKSMEKMRPPGVTELLLTNDGDHILEGSVTNFFVVCQKEEHQRKEPFTVQTLENKFEVQTAPISDGILPGIIRQIVIEVCNDIGIPVREISPSWSKHELWQEAFVTSGLRLVQHVESVQVPLLWEDIQSKTWSDVSWAVKQFQGAGCITTRIQTEILKRARTEEYDINNLL >KQL24730 pep chromosome:Setaria_italica_v2.0:II:32649230:32649844:-1 gene:SETIT_032857mg transcript:KQL24730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPDDVLELILLHLASPVNLIRAATTCKRWHGIVAGARFLRRFGSLNGRHLVAGSYHNSRFLDSSELEPEPEHQAPAFVGSYHNGRFLDSSEPEPEPEYAPPAFVASSPPAAGGVDGERFSLDFLWEPDEVVDPYFWRIKDSRGGLLLWALEERYDFSPTWSLHVVVCDPLARRYRAIPPLVKPDRYHIHSGPFLLDGDVAPCSPH >KQL23731 pep chromosome:Setaria_italica_v2.0:II:17079831:17080361:1 gene:SETIT_032903mg transcript:KQL23731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIFHTIITSSHTHDLRYELRKQVALLAEEDIRNTGPEWLLTILDRCWNVRNRVLQAGEQISIAGSVLFLTRYIEALFQIQHQQVGGDTREKKKLDRGRNAHPVVGKPAADGRWVPPSGETLKINVDGAFIKETEGAVRLLRY >KQL22524 pep chromosome:Setaria_italica_v2.0:II:3326481:3327984:1 gene:SETIT_030476mg transcript:KQL22524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDAPPRVVEDCRGALRVLSDGTVVRSTPPIAGDTEGVRADPSVAWKDAVYDAAHGLGLRIYMPAVAAAAGGRDTKLPVVVYFHGGGFCIGSYAWPIFHAACSRLAAGLSAVLVSADYRLAPEHRLPAAIDDAAAVLLWLRDSIAAGADPWLAAHADAGRVLVAGESAGGVLAHHMNVRFSSGAPLHPVRLRGFVPLMPFFTGGGEPTPSELACPDSAFLNRDMSGRYVRLSLPAGATADHPFLNPFSPDAAPGLDRVDVGPTLVVVGGDDMLRDRNVEYVRRMEEMGKPVEAVVFPGQGHAFFSLRPWSEPVEEMIRVVKRFMDKVCSG >KQL22453 pep chromosome:Setaria_italica_v2.0:II:2730261:2732363:-1 gene:SETIT_031035mg transcript:KQL22453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPEVVLLDFWVSPFGQRCRIALAEKGVPYKYREQDLLLSKSELLLRSNPVHKKIPVLLHAGRPVCESLAIVEYIDEVWPQAAPLLPRDDPYGRAQARFWADYIDKKIYGCQSRLWKLKGEAQEQARKDLIEALKTLEFELDDKPYFGGENFGFVDIALVPFTCRFLAYEKLGSFSIEEHCPKIVAWAKLCKERESVAKVLPDPDKVFEFIQFIRISKFGAK >KQL23889 pep chromosome:Setaria_italica_v2.0:II:22455472:22458133:1 gene:SETIT_032165mg transcript:KQL23889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPTGDDGVYSMDILLELEETTPKTDYLHANKYQEGLTPDKLKDVDMSQQVLITDETNESEDAFKIPEAAKKISAEPGREELVLIDDVLVNRNHMECLFCRNAYLYDEVINAYIHLLRTQDNMINRPGGTCYLENTSMTVLMKGNGEERRNMEDMKDHYPSRGHSQVPRLAERVLSYMQHDMLFSPINIKDTHWYLAVVNARRRKIHLKGLRMQMEYTLQCTGLKDHAWPDINESMVKFRTKMAATLLSTIFNERLGKPLLRNEDENIGSPSDFVVIIEPNEFQQIKQKRKSKENALKPKKIDTEIDSDKQDVLLYYKDWPLKRDELAEIFCDYILTIKDPAELDMVWVRSDLPYRSVYKLGDLKVLLKRGSPMPEPFFNLGKMLELTHHEKYRKHHSGKELGDVIGGWEIVKYDIIGCRYFLLPWKHVNTYLLYVLDIKRKKLIVIDTKPIPKYAMDVPYKHYAIQIVGFRLKFMNAFRQLKPDSWEDVHKWEFERAKGIVEDTDG >KQL26100 pep chromosome:Setaria_italica_v2.0:II:42350288:42350781:-1 gene:SETIT_031703mg transcript:KQL26100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYYVPTTLLVLASVMLEPKILAMPKSEILGFNSLSSKMLLAFKSRWIIRTRECLCKYSSPCAMPSIMCSRFFQSSNGPRC >KQL24487 pep chromosome:Setaria_italica_v2.0:II:30650842:30651270:1 gene:SETIT_033726mg transcript:KQL24487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIISNKATRSGGHHCCKEQKENGVVELIR >KQL22452 pep chromosome:Setaria_italica_v2.0:II:2719080:2720671:-1 gene:SETIT_029664mg transcript:KQL22452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTVVLLPVWGAGHLMPMLEAGKRLLASSGGRALSVTVLVMRPPTEQQASEVEGHIRRAEEAAAGLDVRFHRLPAVEPPTDHEGPVEFISRTVELHEPHVRAAISGLLPASPVAALVLDLFCTPFIDVARELAVPAYIYFTCNAAALSFFLRLPALCEEVAGEFEDMDGAADIPGLPPVPPLSLPTPVMERTKPECAWYAYHGRRFADADGIVVNTAAELEQGVLSAIADGRCARGGRPPPTLYPIGPVISFPPPTAPPPHDCVRWLETQPPASVAFLCFGSGGFFTAAQAHEVAGGLERSGHRFLWVLRGPPAPGSRQPTDADLAELLPEGFVERTRGRGLVWPTAVPQKEILAHAAVGGFVTHCGWNSMLESLWFGAPMAAWPLYAEQHLNAFALVAAMGAAVAVEVDRARGNFVEAAEVERAVRELMGGGEEAGRRVREKAAEMKAACRNAVGDGGSSTATLKRLANDICQQLRRDPAK >KQL24074 pep chromosome:Setaria_italica_v2.0:II:25622394:25622595:1 gene:SETIT_033632mg transcript:KQL24074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLALYTCIFPLHYYDRHPGTRKRNSHIKHTCSHTNRENEHGITLQ >KQL27015 pep chromosome:Setaria_italica_v2.0:II:47417767:47421143:-1 gene:SETIT_030696mg transcript:KQL27015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLRSAADRLRPALAAGARGERRLLATAAAEVTVDAGAAAAAAVAAAVARWEIMGAGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGQKYGVDYSEDEILMRYRRAYAQPWGKSRLRYVNDGRPFWQYIVSSSTGCSDLQYFEELYQYYTTEKAWHLCDPDAGRVFQALRRAGVKTAVVSNFDTRLRPLLQALKCDHWFDAVAISAEVAAEKPNPTIFLKACELLGVKPEEAVHIGDDRRNDLWGARDAGCDAWLWGSDVYSFKEVAERIGVEV >KQL27014 pep chromosome:Setaria_italica_v2.0:II:47418617:47420831:-1 gene:SETIT_030696mg transcript:KQL27014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAREYYDYRRAIYGDITHKAILVDAAGTLLAPTEPMAQVYRTIGQKYGVDYSEDEILMRYRRAYAQPWGKSRLRYVNDGRPFWQYIVSSSTGCSDLQYFEELYQYYTTEKAWHLCDPDAGRVFQALRRAGVKTAVVSNFDTRLRPLLQALKCDHWFDAVAISAEVGFHFKTMQT >KQL27077 pep chromosome:Setaria_italica_v2.0:II:47700020:47703211:-1 gene:SETIT_032682mg transcript:KQL27077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHVLLLILFWTSCSSAQPSAPKSNEGTDRDCLLAIKGSYRSGVLASWNATDDFCQWPGVICSHRHKQRVLELNLSSAGLVGTINPSFNNLTFLASLDLSSNALHGGIPSSLGRLRWLRYLNLYNNSLQGDITAELQNCTSLASINLAFNQLSGVLPVWLGGLSKLTSVYMGHNNFTGIIPPSLANLSSLQELYLDTNNLNGPIPKGLGRLGSLAFLALQGNHLSGTIPGTLFNLSSLSRFSVTMNELHGMLPSGVGDNLPKLQYLLLGENHFTGSIPASLANATMIYNLDLSANNFTGSLPPVIGNLCPNIFTVAKNQLEASSEQHWEFMKFSTNCSRLRAIDIGYNHFASDLPSFMSNLSTELQMLHLGHNEISGKIPIDIGNLIGLLQLWLSNNKFTGNLPDSIGRMKMLLDLRFENNLLSGILPSSLGNLTQLGLIYAHNNSFHGPLPSSLGNLQQLTEATFSNNELSGPLPKEIFNLSSLSYALVLSSNNFVGSLPSEVGGLTKLVFLYISGNNLSGSLPDELGNCQSMMELCLDGNSFNNSIPASISKIQGLVLLNLTNNMLHGAIPQQLGLMTGLEKLYLAHNNLSGEIPATLENMGSLYQLDISFNYLTGQVPVHGVFANTTGFLFVGNNRLCGGIQELLLPACPERMDDGPKHHQVIRKFVIPIASTIILCLILVSIIFFFRRKPKTLPSRTTGHHLVGDKNPRVSYADLVKATDGFSSSNLIGAGRYGSVYKGTLWLRNTRTEVAVKVFDLQQSGSSKSFSAECETLSKLRHRNLISVITCCSGFDSDQNGFKALVFDFMPNNNLDTWLHPDSYKVPSVPPLQGLTLMQRLSIASDVVDALDYLHNDCQPPVVHCDLKPSNILLDEDLSAHVGDFGLAKILSDPSGHMLINSKSTIGIRGTVGYLAPEYGEGSEVSPSGDVYSFGIILLEMLTGKVPTHSIFTDGLTLQKHVEMAFPDQLMDIVDPAILSIEDSDLQDKIQGWGEINGIILSIIKLAMMCCKQTPAERICTRDAAVEMHRIRMQYVI >KQL25958 pep chromosome:Setaria_italica_v2.0:II:41535272:41540833:1 gene:SETIT_029411mg transcript:KQL25958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNAGAAAAAAARLVFSFMGLLVPLLVAGDDPYRFFTWTVTYGDIYPLGVKQQGILINNQFPGPQIEAVTNDNLIINVFNKLNEPFLLSWSGLQQRRNSFEDGVAGTTCPIPPGGNFTYIMQAKDQIGTYYYFPSLAFHKAAGGFGGIRVLSRPQIPVPFPPPAADYTVLIGDWYKANHTDLRYMLDSGKALGFPDGLLINGRSWNGYTFTVEPGRTYRFRISNVGLATSLNIRFQGHTMKLVEVEGSHTMQTTYSSLDVHLGQSYSVLLTADQPGFDYAVVVSTRFTTKIISTTAVLHYTNSAGKAPGPLPGGPTIQIDWSLNQARSIRWNLTASGPRPNPQGSYHYGLVPVTRTIRLANSAAIINGKQRYAVNSVSYVSPDTPLKVADYYKIGGVFSVGTIADSPSYGSAYLQTSVMGANYRDYVEVVFENNEDEVQSWHIDGYAFWVVGMDGGKWSPASRQGYNLRDGVSRYTVQVYPRSWTAIYMPLDNVGMWNVRSENWARQYLGQQFYLRVWTPSTSFRDEYPIPKNALLCGRAAGRRTRPL >KQL22257 pep chromosome:Setaria_italica_v2.0:II:1027045:1027582:-1 gene:SETIT_031739mg transcript:KQL22257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYVLGKGSRKDLGGDGTCRPEPQSRTQKDKSPAHPDSDRQETLGQQSFETSHYKHKVYQPKSIEFMANKLF >KQL24217 pep chromosome:Setaria_italica_v2.0:II:27707528:27712832:1 gene:SETIT_029136mg transcript:KQL24217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAAQTPPRPLSSSKSTSPEPACASRFGDRRPRRRQIGASAASLRLRATVAWDMGMEVVGAETAPAEVKVSDGEVILFQEKESKATAKEREEAAVFGSETTANVADLAPPKDAKDEWPEPKQTHAFYFVKVRSFEDPKLRAKLELADKEFQKKIQARSKLIDAVRNKKAKRSNIITELKPLTVENKRYNEVVNEKIKAMEPLRNSLGKFREENNAMRAQGAGLCSSIEELEQTIKMLNDRMVHESISLAEEKQLVKEIKDLEKTRSKVISNAANRAKLQDTVVEKEAIQDQVKIIGEGIDGIKKERQAVRSKIKVLEDELKAVDAEIASLQEDLDAATARKDKAYESLQELRAVRDAKNASFLQSRTVLNKARDYSSRNMLTELQELHKTEVDKFMTQWCESKAFREDYEKRILASLNSRLLTRDGRMRNPDEKPIFIESQAPVPAAEPEPIPVKLPAKQAQEVPAPLADEAPKVEARSKGPVKSLKAKAALDADDDYEVEPPKEKAKPTTADVAKLKEIKRQEEIEKNKLALERKKRQAEKQAAKAAARAQKDAEKKLKKEEKKAKKKSGAADTDEPSESDAKSDEATETQAEEVAAPASTTLKKEQKENARYRNVVSRSKAPPPKALLKRKKAQSYWSWAGPAAAVAAAVLVALLAVLGYYQYYLPASASN >KQL24218 pep chromosome:Setaria_italica_v2.0:II:27707528:27712832:1 gene:SETIT_029136mg transcript:KQL24218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAAQTPPRPLSSSKSTSPEPACASRFGDRRPRRRQIGASAASLRLRATVACCRDMGMEVVGAETAPAEVKVSDGEVILFQEKESKATAKEREEAAVFGSETTANVADLAPPKDAKDEWPEPKQTHAFYFVKVRSFEDPKLRAKLELADKEFQKKIQARSKLIDAVRNKKAKRSNIITELKPLTVENKRYNEVVNEKIKAMEPLRNSLGKFREENNAMRAQGAGLCSSIEELEQTIKMLNDRMVHESISLAEEKQLVKEIKDLEKTRSKVISNAANRAKLQDTVVEKEAIQDQVKIIGEGIDGIKKERQAVRSKIKVLEDELKAVDAEIASLQEDLDAATARKDKAYESLQELRAVRDAKNASFLQSRTVLNKARDYSSRNMLTELQELHKTEVDKFMTQWCESKAFREDYEKRILASLNSRLLTRDGRMRNPDEKPIFIESQAPVPAAEPEPIPVKLPAKQAQEVPAPLADEAPKVEARSKGPVKSLKAKAALDADDDYEVEPPKEKAKPTTADVAKLKEIKRQEEIEKNKLALERKKRQAEKQAAKAAARAQKDAEKKLKKEEKKAKKKSGAADTDEPSESDAKSDEATETQAEEVAAPASTTLKKEQKENARYRNVVSRSKAPPPKALLKRKKAQSYWSWAGPAAAVAAAVLVALLAVLGYYQYYLPASASN >KQL22607 pep chromosome:Setaria_italica_v2.0:II:3837566:3843197:1 gene:SETIT_029517mg transcript:KQL22607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGSAGRDPYDEEAGGRRPLELDGRDAAAASSSDHRPGNAMPRYQVGSTKDDTSSRYAEGSQQPSTDDVRKSKSGSRYYTAFGVDLSPDNMAVAIVYFVQGVLGLARLAVSFYLKDDLHLNPAETAVVSGFSSLPWLIKPLYGFISDSIPLFGYRRRSYLFLSGLLGALSWSLMATVVSSKYSAASSILLGSLSVAFSDVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGIVSAYFSGSLVDTYGVRFVFGVTAFLPLMTSAVAVLVNEHRMPFGERTILLSGSGFVESSKQHVRQLWTSVKQPSIFLPTLFIFLWQATPQSDSAMFFFITNKLGFTPEFLGRVKLVTSIASLLGVGIYNYFLKEIPLRKIFLVTTIIGSALGMTQVLLVTGLNRQFGISDEWFSIGDSLIITVLSQASFMPVLVLAAKLCPPGMEATLFATLMSISNAGSVTGGLVGAGLTKVFGVTRDTFGNLPLLIVVCNLSSLLPLPLLGLLPEESGDTNNGETKHN >KQL22608 pep chromosome:Setaria_italica_v2.0:II:3843358:3843632:-1 gene:SETIT_033815mg transcript:KQL22608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCTHDNLTDPSLLDIQINCRSRSTPSQVKRKEKKVQVHRFLDPFFFSRSSFALH >KQL22843 pep chromosome:Setaria_italica_v2.0:II:5772605:5773900:-1 gene:SETIT_033174mg transcript:KQL22843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSQTTLPSTLSTQKRSRHGWTSLPYDIVRSKAGRFLADDDIDYYMAFRATCPDWRSATKDYPEKADYTDATRFQPSKWVLLHQRDDLVTLVNVDTGRFLCKSIPLLREHFFVGATGGGLILLGDSTEPSRARLLNPFTGSIAHFKVPVPVAGVRAVAVTTAPLMVFVSTHHGDILWADQNSECFKSFRPSYSNRPTCLTPFAGKVYATDQQGSVISSAVAGAAAGEQRLRSALMISMDTTIPGLDTSPDTSYLAWTKTGKYYLVESGGGLLLVTRASCFDTNLPVVHRVDTERKVLEPVSSIGNRAIFAGPVKCLSVDADKFQGIKGGCIYFVEPEIIRGRDYEPSSMTVFHVATRGWQDSITFGWSTPKGCFHPFTLIQALADYCRSAHYSELFEIDAREWGWDISSDSESDESSDDDDAYPSEPDDV >KQL22494 pep chromosome:Setaria_italica_v2.0:II:3121065:3126869:1 gene:SETIT_032419mg transcript:KQL22494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCELPGVWLDSATDFDNAACRWWARVREEEAAGGVRYAATAAASPSYYGLRLLHSFLHPDLVLRLERGDGRAGAGAGGGSGGGGRSYALVPADELSRALARQNSGFGLQNKHSFAGDSAGAYPLVLRISVRETSILNLRISKKDNPVENYKRANKIFNVDSQPVHVWDFSGQTNLILMNEWNRLHHDCCHADQENLLEVQVYAMSDSLTSKIGGTNKENSGDVDDLSADSSYRSFGRAGSMGLIGLENLGNTCFMNSSIQCLAHTPKLVDYFLGDYARNINRTNPLGLNGELALAFGELLRSLWTTDRKPIAPHHFKEKIACFAPQFSGFNQHDSQELLAFLLDGLHEDLNQVKCKPYEEAKDASGRPDEEVADEYWSNHLARNDSVIVDTCHGQYKSTLTCPTCSKTSVTFDPFMYLSLPVPSTAKRTMTVTVFSTDGIREPCSYDVSVPKFGTLSDLVQALSIACLLGNDEILLVTEVYNNCIIRYLEEPSDSVSLLRDGDKLAAYRLPKKYEKSPLVVFTHQHMDEYSSGDNVTPQKKEFEAPLLATLPETVNGLLLQDIYLKLLNPFQLSKGANSLSGFAGSNGDPVDLMDGMPSDSDSNFQNIQLEDDTESSNCSTTDCEIRKGPSELYDMGTADSDKGANVEEFEFYLKNERGDGQQQKIDINEVDLLETIPSRLHVNVHWQQSASRQYDTSMLNNLPEIHKLELIPKGTEDSVALHGCLEAFLKEEPLGPEDMWYCPCCKKHQQAMKKLDLWRLPEVLVIHLKRFSYTQFTRNKLETFVDFPTTDLDLSCYIANKSEQPSSHYHLYAISNHYGNMGGGHYTASIYHEEGKGWYKFDDECVTPISEDGIKTSAAYVLFYRRE >KQL27071 pep chromosome:Setaria_italica_v2.0:II:47686973:47688145:1 gene:SETIT_032431mg transcript:KQL27071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPVVLGGAAASRVSGGRREAAAAAKGKLAAGSPGRDPGRRNRQKKHLYLVLDDAKSGAGIHRVDMDDDPNGDHVTLQRLPKPPLVRIDYSMADDFAVLGRHVIGMGSRIMESMHNGREDGDTVTFDTKTSALSVLPDLPNGLREGNATVAVAVGNMLYVIDNGSTLDRPFIDEDQYCCIGGLHCLRLEEDDEDEKPSRPSKDWYPWYTFKDVDYSPARWLWSDGHRPLPLSPDGVRAHALHPRGRAFLVSVWCHYIRGDCGLGTFSYSTENGRWTRHGDWELPFVGQGHYHTGLRAWIGLHGDSMFRPDGSLCSCDVPHLGRRRAAAPGWKLGKEKLFLEHPERHIDAKIVCMGGAGRFCLAEIVTREGVDREGCVGDGDKCVLRLTTLRV >KQL22627 pep chromosome:Setaria_italica_v2.0:II:3971597:3972753:1 gene:SETIT_031286mg transcript:KQL22627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLAAAGMMMVALLLAGGASAQSPSSQCTSVLVSLSPCLNYISGNESTAPASCCAQLGKVVQSDPQCLCVALSADPASLGLSVNRTRALGLPDACKVKTPDVSNCKGGGAAAGAPTTSPGTPAGQTPGAATGSKTTPSTESVPGAATMQKGSAGLVAALVAAAVLAAAAA >KQL23308 pep chromosome:Setaria_italica_v2.0:II:10699656:10702458:-1 gene:SETIT_031315mg transcript:KQL23308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSAAADLAIAVFSLTIAVAAPLIDGQSVLPGDLYPAPLVELKRWYASEFDDYLMARPPGFFRGIICLELAFQWPLAVATLYGLLTGRRWVATTSLMSGVVTLTSMSAVLGDMLGSGKATPKLLQMYAPFLVFAIIAILRGLCSCSERTTAGSSLRPSARKKRV >KQL23309 pep chromosome:Setaria_italica_v2.0:II:10701209:10702351:-1 gene:SETIT_031315mg transcript:KQL23309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSAAADLAIAVFSLTIAVAAPLIDGQSVLPGDLYPAPLVELKRWYASEFDDYLMARPPGFFRGIICLELAFQWPLAVATLYGLLTGRRWVATTSLMSGVVTLTSMSAVLGDMLGSGKATPKLLQMYAPFLVFAIIAILRGLCSCSERTTAGSSLRPSARKKRV >KQL24296 pep chromosome:Setaria_italica_v2.0:II:28667915:28672511:1 gene:SETIT_030337mg transcript:KQL24296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAHSSSLSFLALLPLLLIPPVVLSAAGVAAVSYETKSMDPGLVVMTLPEPVSGPESLAFDGRGGGPYSGVSDGRVLRWEGGLRGWTEYAYNSKHKNVAMCAPEKKLVVPESVCGRPLGLQFHRQSGDLYVADAYLGLLRVPARGGLAEVVAAEAGGEPFNFLNGLDVDQRTGDVYFTDSSTTYRRSDYLLVVALGDETGRLLRYDRRARRVEVLRAGLSYPNGVAVGAGGDHVVVAHTALCELRRYWVRGPRAGRSETFAELPGYPDNVRADGCGGYWVALSKGVATGGGGAGPAPTVAVRVSPEGNVTEALDGFSFVSVSEVAERGGALWVGSVDTPYAGELRRRIG >KQL23969 pep chromosome:Setaria_italica_v2.0:II:23788106:23794254:1 gene:SETIT_028768mg transcript:KQL23969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGRAKCFFMDDISTGLDSSTTFEIMTFLQQMTHLMDLTMVISLKQPDPETFELFDDIILLCEGRIIYHGPRHNVVGFFDTIGFTCPSRKNVADFLQEVTSKMDQQQYWAGAEREYQYHTIERFEKYFRAYNPPRLLEDKQCQKDDKQDSKASEAADSKNISKWNIFKACFLREVLLVKRNSPVHVFKAVQIILFAFVLATLFFRTEMSHNTVIDGNKFLGSLFIGVAVVNFNGMTELAMTVKRLPTFYKQRELLGLPGWAILTSIFLVNLPMSLTETGLWTCSTYYAIGYAPSPIRFFQQLLVLFAMHQMALSLYRLIASIGRTQVMTNMLGVQALIAMLILGGFVISKDDLQPWMRWGYWASPFTYSLNAVALNEFIDRRWATVFHFEDVNTTGEAVLKFRGLINEWHWYWVCVGVLFGFSLIFNLISIFALEFLNSPQEHHLKVKPQKNQDIEYNDQLVGGSKDPTDQGNLPFQPLTFVFSQINYFVDMPREMRKHGATEERLQLLRDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTVNIEGYPKRQDTFSRISGYCEQTDIHSPYLTVYESLQFSAYLRLPSEVNSHKRDMFVEEVMRLIELTDLRSAMVGIPGVTGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVNTGRTVVCTIHQPSIEIFESFDELLLMNRGGQLIYSGSLGPLSSTMINYFEAIPGVPRIKEGQNPAAWALDISSHAMEYAIGVDYSEIYRNSSLHRENMALVAELSKPRAGKKYLHFPPRYWPNFKAQCIACLWKQHCSFWKNPELNVARLFCTFGVSITFGMVFWHVGSTIKDEQDVLNILGTAYTSALFLGYMNCATLQPTVAMERVVFYREKASGMYSSLPYVIAQIAVEIPYIFIQVFIFSATVYPMAGFELTVTKFLWFVIYMILSFIDFTLFGMMVVALTPNEEIAAVLSFFIFMIWNSFSGFILPRKMIPTWWRWMYWADPAAWTVYGLMLSQLGDRMEFISVPGQPDQSVSEFVKDYLGLQDDHFALITTLHIALSTLFGVVFCLAIKYLKFQRR >KQL23573 pep chromosome:Setaria_italica_v2.0:II:15163839:15172488:-1 gene:SETIT_028851mg transcript:KQL23573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCRMSASKQKKRQINYTNSEQCRPGKKTKFDSSNCLVSLKPHIGLKWDQYLRRVVPEKEQVGILWSDLAPFMENQEHCSGLADVTYVPPETFSLESLRGVLSYEVWSTCLTETERKFLKQFLPSETDAEENVHLLLTGKNHHFGNPFLSWSSSLCYGDIHPDAILNKEKHIKKDEKAYRVNLLNYHSNMVDTLKKWKKRWLNCGDIENLFRDNPGNQKQVAMQQKATKGGMPMKVAQRVDVSKFMSYIKVSRTQLNHIKRLKQSGDGIQTKHVSRVIGGLDKSHVKPYGALLEDEHRRLREHWLNMSCNDLPAAFEVLKDRKALMEKSRKLLGLELEEKNVSVSRKADQLTDITNELGQPGASENDGSPILQNGQVEHSPQSMFQGGDGQSTTLQDQDDEQTKYMETCIFNIDSRNVEDHDLMVVRGTDITSESEQNSDVQDRNGVSCVDESTSCCANNPDKQNEDLTDIKLRKDGLGVNSEDIQEISCKGTTINNNNSSESQGINSINNTSTHIDTLDCENLQLEDLDGVSYKGPSVHAHEQDQDLESISHDVLNHNCDRSANISSEVSHPKMNTVIVDQEETENVMMIPLNSCSLIPKSSGEQMHVEGFLDLNDQVTKGEKNRWRLAGPLQSHYHPPENITFNGSGNLQITQPYLSSGQRSSSVYLDNGVLSQQQDQLTTSAFIMDNPSSVIEPFSNLQSNGQLQMVKDIGAVSYPLQHANSIEQSTGLHSLANNRLEQQLTDQSDNCLYGQLHKDIYADVSFPTKVNPPISGQHSYAAFASMDHRYNWFADGCQSHNNNLSGLESGNCLTEALPSGSNTHGTLFSAISQYKQPSLHVGHGGSSPSQLLEPRNQVCPPQNFLPRSQDTNLPFSDMYGYTQNVASGTSSQVAPVGSLDGSHWTNFIQQSPGMLPDITNRPFRGPWTR >KQL25372 pep chromosome:Setaria_italica_v2.0:II:37660540:37662703:-1 gene:SETIT_029623mg transcript:KQL25372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPLLSLAAAALAVAILVPTPEAWRPTTPATPPPLPVLPIPSAAQLRWQRREVIMFFHFGMNTFTDSEWGTGSEDPSLFRPEALDAAQWMDAARAAGASLAILVAKHHDGFCLWPSAYTAHSVRASPWRAGRGDVVREFVGAARARGVDAGIYLSPWDRHDERYGDEVAYNEYYEAQLHELLTGYGSVSEIWFDGAKGKNATNMTYHFQEWFQTVKQLQRSINIFSDDGPDVRWVGDEKGFAGTTCWSTVNRSMITIGEAGIEKYLNEGDPRGPDWVPPECDVSIRPGWFWHRNETAKPLSQLLEIYYNSVGRNCVLLLNAPPNSTGLVEDADVARLREFGAAVATIFGTDLAAGSAARASSERGGPGGGFAARNVLDGCDDTYWAPTAEDGRRNGYWIELRRPPEAANRPFNVVRIQEHVALGQRVERHEVYVDGAPVANGTTVGHKRLHRLPRAVAGRAVRIWITARRGPPLLSAVGLHHDPFVEAGAM >KQL26839 pep chromosome:Setaria_italica_v2.0:II:46571335:46573381:1 gene:SETIT_029531mg transcript:KQL26839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAWMVAAAVAAVLASWAFNALVHLVWRPHAVTRRLRAQGLRGPAYRFFSGNLGDIKRLRAEGAGVTLDVGDHDFIPMVQPHFRKWISLYGRTFVYWTGARPNVCVADVNVVKQVLFDRTGLYPKNLMNPHISRLLGKGLVLTDGDDWKRHRKVVHPAFSMDKLKMMTATMSDCAQSMMSEWQAQLAKGGDVEVELSRRFEELTADVISHTAFGSSYVQGKKVFLAQRELQFLAFSTVFDVQIPAFRYLPTEKNLTTWKLDRQVRGMLMDIIKSRLAAKDTAGYGNDLLGLMLEACAPEHGEAPLLSMDEIIDECKTFFFAGHDTTSHLLTWATFLLSTHPEWQDRLREEVRRECGDEVPTGDALNKLKEVNMFLLETLRLYGPVSSIQRKAGSDLDLGGVQVPEGAILTIPIATIHRDKDVWGEDAGEFRPERFENGVTRAAKHPNALLSFSSGPRSCIGQNFAMIEAKAVVAMILQKFVLELSPKYVHAPMDVLTLRPRHGLPMILKHL >KQL26520 pep chromosome:Setaria_italica_v2.0:II:44886611:44886942:1 gene:SETIT_031694mg transcript:KQL26520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTVQLCPRLGGASFCRLSGASLLYPEMGKRRHAHAYQRFHCGICFVLSRSAVSNGVDNSGQLSRKLVQQECPNLQLVFTPF >KQL22149 pep chromosome:Setaria_italica_v2.0:II:225967:227810:1 gene:SETIT_029703mg transcript:KQL22149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTKTVCVVGAGVSGLISARELRREGHDVTVMEQSAGVGGQWLYDPGTDAGDPLGIAGVHSGVYASLRLNAPRDSMGFSDFPFYPRHDGTGDSRRYPCHGEFLRYIRHFCDAFGLMDAVRLNTKVLHVGMAARGDDGGVMRWTVRSAKQGEADQATVEVFDAVVVASGQYSQPKLPTINGMENWRRRQLHSHSYRVPDSFRGEVVVVVGCGESGKEIALELREVAREVHVSVKSMDDGAVVPGMRKAVSRHHNLHLHLQIECLCEDGRVMFADGSCVVADAVIYCTGYDLSFPFLDTGGLVTVDDNRVGPLYEHTFPPSLAPSLSFIGLPRTVAVPLFYEVQARWVAQVLSGRRSLPSPEEMMRSVEEYHRARETAGVPKRLSHAIFFDLEYCDEFGEKHCGFPRLPEWKKELLRAAVTRLCDDTETFRDDYHDSDLVLQGLRSEGWLKTEDNDGQDDDVIPEPEPLHPPPKICT >KQL23095 pep chromosome:Setaria_italica_v2.0:II:8357393:8359576:-1 gene:SETIT_033420mg transcript:KQL23095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAARKAAAAAPLSPPPSFSSPSSGGLVPRARDLLGRAKEAALYGTPRERARGCALACLAKSRGFHDARRAFDGTPARSLPAWTAIISGCARGGRHADGMRAFAEMLAGGGGGAAAPNAFVLAGVLRCCAGLGDVESGRRVHGWMLRSGVRQDVVLCNAVLDMYAKCGHHDRARRAFGAMAEKDAVSWNIVLSACLQGGDVLAAMRLFDESPSQDASSWNTIISGLMRNGCAAEALDRLKQMVRAGVVFNHYTYSTAFALAGMLSLLDLGRQLHGRLVMAALEDDAFVCCSLMDMYCKCGEMEAALLIFDCWSWFIGDVKFAWSTMVAGYVQNGREEEAIEFFRRMLREGVPAEQFILTSVAAACGNAGMVEQGRQVHGFVEKLGHRFDAPLASAMIGMYSKCGSLEDALRIFHSAQAKNVAVWTTMLCSYASYGQGRMAIEIFNRMKAETITPNEVTLVAVLSACSHSGLVSDGEHYFKLMQEEYGIVPSTEHYNCMVDLYSRAGLLDKAKNFIEENNIHEAIVWKTLLSACRLYKHMDYAKLASEKLVQLEQCDAGSYVLMSNMYATNSKWLDTFKLRSLMQERKVKKQPGQSWIHLKNAVHTFVAGDVSHPRSAEIYAYLDKLMERLKEMGYTSRTDLVVHDVEEEQRETTLKFHSERLAIAFGIISHPLGMPLRIFKNLRVCEDCHEAIKFISRAADREIVVRDLYRFHHFKSGSCSCEDFW >KQL22471 pep chromosome:Setaria_italica_v2.0:II:2886794:2887397:1 gene:SETIT_031710mg transcript:KQL22471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVVTAVIAIAAVVLGWITIEMACKPCLETGRRAMDRALDPNYDPDSPTNTTTGSGGASANEPLLGDLSASTAPPAKAI >KQL26123 pep chromosome:Setaria_italica_v2.0:II:42478903:42479592:1 gene:SETIT_032330mg transcript:KQL26123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASSGALLAAVAFTALAATAVATNYTVGGSDLWDTYIDYDKWTAGKTFVVGDTLEFKYMPYHNVLEVTEEDYAACNPGSPISTHSGGDTAFELTAEGTRYFICGIPRHCLNGTMHVKITTVPYGAAQAPLNAPPADAFAPGPAAGNSAVGAPSGAPRYQQPAAAVAGLAIAALVALVA >KQL25533 pep chromosome:Setaria_italica_v2.0:II:38952308:38952820:-1 gene:SETIT_031776mg transcript:KQL25533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCRTTCFLTNWDGLSLLLTVLCHQRLLLVNRGCLLLNRALRISRTCLGCCGFTLLACLPTPLR >KQL22501 pep chromosome:Setaria_italica_v2.0:II:3177521:3183948:1 gene:SETIT_032963mg transcript:KQL22501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETRSKAPAFTFINLSRGLLSPGSDNWRAAARPPPLFNVSLRQFLVGHIASDEHQPGMAAPSIPLALAAVQAFSSVYSSRHLLFRSQQLGVRVHSALIAIIYQNGLVLSNRSRKGSSSGELINVVNLDAECVGNFNWSMHDLWLLPVQITLAMVILYSTLGLAAFAALAATVLTMLANIPLGRIEQNYQEKTMNAKDARMNGMSEILQNRRILKLQGWELIFLSKIKELRKVEMNWIKKYVYTSSMLISVFFGAPAFVAMITFGTCILFGIPLETGKLQGPIHSLPDAISSIIQAKVSLNKICSFLRLEELASNAVTKLPSGSTDISIDVSDGCFSWDTSSQVPTLQGLNFRVQQGKRVAICGTVGSGKSSLLSCILGEIPKLFGESPWIQSGTIEQNILFGTQMNMERYKTVLEVCSLKNDLDILPLGDQTIIGERGINLSGGQKQRIQIARALYQDADIFLFDDPFSAVDARTGLHLFKECLLGFLASKTVVYVTHHVEFLPSADLILVMRDGKITQSGDYTEILKSGEELMKLVGSHKDALSTLDILDRRSDSFDSTSHPGGNASTLFIVEDKYDNSEEERVVQNGQLVQEEEREKGRVGFIMYWKYIMMAYKGALVPFILLAQIIFQVLQIGSNLWMAWAAPISRDVNPPVSSLMMVNVYVALAIVSSLCIFMRSHLLVTGGCKTATILFEKMHECIFRAPMSFFDSPSGRILNRASTDQSTVDTRIFDLMGYLLFPAIEILGTIVLMSQVAWSVFIIFIPIIIASLWYQQYYVDAARELLRLIGVCRAPVMQHFSKSIAGSNIIRCFQKERQFISFVGHLMDNLSRPSLYNAAAMEWLCFRLDILSSFIFSFTLTLLVSSPAALINPKTAGLAVTYGLSLNMLQGWAIAVLCSLENTMVSVERMLQYMNIPSEPPLTTSESRPNCQWPTEGKIELRNLRVRYAPQLPFVLKGLTCILPGGKKMGIGGRTGGGKSTLIQVLFRIVDPCVGQVLIDGIDICTIGLHDLRTRLSIIPQDAVMFQGTLRSNIDRLGEYTDEQIWEALDSCHLGDEVRMSLSLIRQKVVKNWSAGQRQLVCLGGVILKRKKILVLDEATSSVDPITDNLIQKTLKHQFAECTVITIAHRITSVLDSDKVLLLDNGEIAEHDTPAKLLEDSSSLFSKLVSEYTMGSDYK >KQL26329 pep chromosome:Setaria_italica_v2.0:II:43789763:43791254:1 gene:SETIT_032108mg transcript:KQL26329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLFTAACFAFLLLNAAGVESRSRSRKCHCDDDDDDDYDSSNASYKLFVFGNSFADTGNVKKGDLKWETRAWYEPYGMSDAGHDNKPTGRFSDGMVQSDFLAKILGQEEAPPPERIRREDGVDLSSGMNFANSGGGVLVGWNLDKQIDVFRKLLRHGIIDKSHLNQSVALVAISDEDYEDFPSEAADQHKYIRNVTDGIIDGVRQLEDLGVDSVLVNLLPPLGCDPWNSRSNNYTKCAKDSITGVHNKHLTDKLGDDDSVLLLDLDTVFKSIIVPKTKKLFYHRHMPCCESLDEDGFCGQVDYDGNPQYTLCDKPDEHFYWDDTNPTQAGWKAVMEQLEGPIKEYLDI >KQL22539 pep chromosome:Setaria_italica_v2.0:II:3406234:3406786:1 gene:SETIT_033445mg transcript:KQL22539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDVASHRPREEEHVRRHPDRARPLPVGFLGPTTMGRVPASGLSTAACSGCSGREGAPPGMTTTGGSWLGCRWRRVPAAAEQTASPRCRRRRPLLAPCCRAHHVPPSPPPTQRPPDTPAAQAHDAGAHR >KQL23288 pep chromosome:Setaria_italica_v2.0:II:10510893:10512860:1 gene:SETIT_030170mg transcript:KQL23288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPAPQAATRVVAGGQLVFGKPEKAKGGRLLVRSCDNGGGRNGRRVTVEAVNGAVKLNGAAVAADVRQVPAPSSMDDGGDAFRLGKFVEGRLVYRQQFVIRSYEIGPDRTATMETLMNLLQETALNHVMCSGLAGDGFGATRQMSLRKLIWVVTRINIQVNKYSRWGDVVEIDTWVASSGKNGMRRDWIIRDRNTKNMIARATSNWVMMNRETRRLSKIPEEVRQEVLPFYLDRSIITADANGGGRKIEKLTDSTAEHIRSGLAPRWSDMDVNQHVNNVKYIGWILESVPLDVLEDYHLTSITLDYRRECRQSQLLESLTSMTTTTSPATDEPPAVPASSRCCSDLNSTHLIRQQDDGAEIVRARAEWRCKGRPGATKQP >KQL25103 pep chromosome:Setaria_italica_v2.0:II:36035248:36041734:1 gene:SETIT_028680mg transcript:KQL25103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEGTACNGAGEAPKAEFSLEKAVAAPPEEKAAGEREGEDVGGPFVIVNGSDSDGHSERGSDLRKGPDYDSPSDVEDVPASNAAPDAAAGGDHGAAGGEVSAPGAALGASSADGGDRAADGSEGGADEGKSEPSSDFVAEVPQLEAAGEEHGGVAAPEPIGSDPAIAGADSEAPAVDSEVEGKEDTVDEIAATDVAESVVHKAASEDQDGEDAAAESCGHDDAVTSAKSSSAVMESEVNGEDSKEEQSATDAPEPVEQGTGGGSTLVENGHLCADVSAGSLEAATEPESQEDVAVESLGHEEAPMSAKSGSAVIELEATGEDSKEEQSAADVAEPVEEGTDGASSLMANGHVCADTRVDSFEASAEPENHANESKLEQNATEIAASVEEDTCEDGIDASQTNGHICAAMGGDSCIVASESEVHAIETEGQETDQQEEGAPTTEAQELTGEEVDAGGHSNAEGSADASGEKEPMDKQAEGEATCGSLLQLEEKLGKDGVDDSCDECMPGVVSSNEEVELPVEKGTNEAVPAVCEPEEVTENTSQETMGGDGLVKDGDSICTLHSLEPESDPSSLEHKVQAEVATVDDTAAESDFKVDNVVEAKTAACEVEEMEVKDEADLGPSLSQQNCESSTETVEYEKIETPGVEIENDVAEVESKEEVERKVVDAVSLQEAAASEASTFHNEPRSIDLVDSDSVNHSSPATELESCDHVHIEESRSQEISKTTVEQVVCGAPLEHGSMVANEAETSPETENGSQEKHSDAAVDQGEPVDLTVDEFPVVDTAVGISKEDESSEIVGGSNPQESQPEICNASGASDECSTRTENEVPSPINKVDETCNGTCPENADVPTKSRDEVETNCLEEHKDDHEHAAGNHAEVIGPQKVYMIKIPRFAGEDLWAKTQAAQAHLDQLTQERDAINRRKQKQKAVCDQYREQLEAARRKEREARAAHGDKKNDLNSLRSVIGKMNQANSIEEIDELIVTKERTMQHETISLRAEKALIKEINDLKAQRKQLSSNIGSKAEINEAFDQKDHIHERHKALKKDSDVLFTNLKSLEENTRKILKSFEDERTALRKLNEEYRAANELRQKAYSEWSELKAEPWKKNQYFFTYRDNRKTAEDFKISGDMNKLKSYCKDQIERFMVMWNENEDFRKQYVEANKFSTLRRLGTLDGRKLGPDEDPPVIPSRRPMNASPLTASSPDVPTLTSVPAPVSAAPASIPAKEESFPVLPSPQISKRAKSKASGSSAQNENNAVSASEAEDIKQTEKEKARLVEEQLELARKAEELAREEEEQRKERAAAEKERLRLEQKAKAKEAEERKRRKAEKEKERAEFKARKEAEEREKKKAKKDKKKGPTPADSSAIGDSNAAALATADTDSNASDNSREVEVPQSTAPKRLSRPAAAIKQLNRVQPMPAPLRNRGRRKMRQYILIAAAVLSVLALFMAGNYIPRLKSLHH >KQL25104 pep chromosome:Setaria_italica_v2.0:II:36035248:36041734:1 gene:SETIT_028680mg transcript:KQL25104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAEGTACNGAGEAPKAEFSLEKAVAAPPEEKAAGEREGEDVGGPFVIVNGSDSDGHSERGSDLRKGPDYDSPSDVEDVPASNAAPDAAAGGDHGAAGGEVSAPGAALGASSADGGDRAADGSEGGADEGKSEPSSDFVAEVPQLEAAGEEHGGVAAPEPIGSDPAIAGADSEAPAVDSEVEGKEDTVDEIAATDVAESVVHKAASEDQDGEDAAAESCGHDDAVTSAKSSSAVMESEVNGEDSKEEQSATDAPEPVEQGTGGGSTLVENGHLCADVSAGSLEAATEPESQEDVAVESLGHEEAPMSAKSGSAVIELEATGEDSKEEQSAADVAEPVEEGTDGASSLMANGHVCADTRVDSFEASAEPENHANESKLEQNATEIAASVEEDTCEDGIDASQTNGHICAAMGGDSCIVASESEVHAIETEGQETDQQEEGAPTTEAQELTGEEVDAGGHSNAEGSADASGEKEPMDKQAEGEATCGSLLQLEEKLGKDGVDDSCDECMPGVVSSNEEVELPVEKGTNEAVPAVCEPEEVTENTSQETMGGDGLVKDGDSICTLHSLEPESDPSSLEHKVQAEVATVDDTAAESDFKVDNVVEAKTAACEVEEMEVKDEADLGPSLSQQNCESSTETVEYEKIETPGVEIENDVAEVESKEEVERKVVDAVSLQEAAASEASTFHNEPRSIDLVDSDSVNHSSPATELESCDHVHIEESRSQEISKTTVEQVVCGAPLEHGSMVANEAETSPETENGSQEKHSDAAVDQGEPVDLTVDEFPVVDTAVGISKEDESSEIVGGSNPQESQPEICNASGASDECSTRTENEVPSPINKVDETCNGTCPENADVPTKSRDEVETNCLEALEPSSIGTVVPAEHKDDHEHAAGNHAEVIGPQKVYMIKIPRFAGEDLWAKTQAAQAHLDQLTQERDAINRRKQKQKAVCDQYREQLEAARRKEREARAAHGDKKNDLNSLRSVIGKMNQANSIEEIDELIVTKERTMQHETISLRAEKALIKEINDLKAQRKQLSSNIGSKAEINEAFDQKDHIHERHKALKKDSDVLFTNLKSLEENTRKILKSFEDERTALRKLNEEYRAANELRQKAYSEWSELKAEPWKKNQYFFTYRDNRKTAEDFKISGDMNKLKSYCKDQIERFMVMWNENEDFRKQYVEANKFSTLRRLGTLDGRKLGPDEDPPVIPSRRPMNASPLTASSPDVPTLTSVPAPVSAAPASIPAKEESFPVLPSPQISKRAKSKASGSSAQNENNAVSASEAEDIKQTEKEKARLVEEQLELARKAEELAREEEEQRKERAAAEKERLRLEQKAKAKEAEERKRRKAEKEKERAEFKARKEAEEREKKKAKKDKKKGPTPADSSAIGDSNAAALATADTDSNASDNSREVEVPQSTAPKRLSRPAAAIKQLNRVQPMPAPLRNRGRRKMRQYILIAAAVLSVLALFMAGNYIPRLKSLHH >KQL26291 pep chromosome:Setaria_italica_v2.0:II:43595572:43596507:1 gene:SETIT_032570mg transcript:KQL26291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKEEGVRASGWRVGRTVARTVSFWQDHWIGGQVLTATFPMLFSFCQRASISVQTAQADSHCDLHLHPRLSTAASAELRVFLPALDHALPTPGVPDRRGIGLRLQPFSSSALYGWHMESTPLNPFTDYIWTNVVVPRCKHFL >KQL22609 pep chromosome:Setaria_italica_v2.0:II:3845929:3846717:1 gene:SETIT_033269mg transcript:KQL22609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EPKPKSTVTTSYFSFPSLPSPLLRFPNAEARRLLHTLTARANSEAPLRSALPTATARLPRVRAPLPRPRTRPRPRTLLLPTRPPARARHAMRVHPAPRKRTIAVQRCGAAAAAAAAGAALGGKKLRRLPHIFAKVLELPFAADADVSVEEDAAALRFVAAAVDGFSPAGARAHAVEIHPGVTKVVVRDLSSGGAHGDDDGAAAFELDRWRFRLPPCTLPAMATATYAEGELVVTVPKGAGPDDGDGDGATVLGGTESVLVLV >KQL26848 pep chromosome:Setaria_italica_v2.0:II:46602116:46602878:1 gene:SETIT_031629mg transcript:KQL26848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYDSMADYFYDVGSLCRCMVGFTQGSPNHQLTDVRRSHYACCCQILLVCSISLLVPVFPLLGSALPQETWEGRGVRKKRKVKQGPIYMRTAFAA >KQL24866 pep chromosome:Setaria_italica_v2.0:II:34110072:34115820:-1 gene:SETIT_029302mg transcript:KQL24866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEAGAYREFKALAEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFGAAAGAEGGGGGRHQALLIRYKELRFIARFLVVAMLMRRAEAVDHLAGRLRALVEETKAAYPKTNFKEWKQVIQELGRFLKADGAYKGSRSLRYDNLFDSYPSNLASIARFHSKRVLKLKEAVLTSYHRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTVSDQSGPSGLIDIHLSTEISDGSLPSNPQKAIIYHPTVSHLLAVLATICEELSQDSILLIYISASGCAEQNMASQKYASSSSSHATAASAFPIDKPNSHMSSDNHLRLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAIHNAEKGEPAALLLSPRISSAMSGVESTGHGSQFTYFLTAPMQAFCQLAGITSDIDTDTYANAENILFSALEEYEGILCTSVGLNNVWGQVVPDPFLRRLILRFIFCRAVLFYFHPEDHEQHLPTCLPSLPDSVSPTAEAIKTPILLLAENLVVSNRFNFRDSRHNKK >KQL24865 pep chromosome:Setaria_italica_v2.0:II:34111941:34115820:-1 gene:SETIT_029302mg transcript:KQL24865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEAGAYREFKALAEAADRKFARARDLPLYGGGDHHSRKAFKAYTRLWRLQQERRRELVAGGLRRWEIGEVASRIGQLYYARYLRTAEPRSLVGAYVFYEAIYSRGYFGAAAGAEGGGGGRHQALLIRYKELRFIARFLVVAMLMRRAEAVDHLAGRLRALVEETKAAYPKTNFKEWKQVIQELGRFLKADGAYKGSRSLRYDNLFDSYPSNLASIARFHSKRVLKLKEAVLTSYHRNEVKFTELTLDTFRMLQCLEWEPTGSYQIAAKELTENGTVSDQSGPSGLIDIHLSTEISDGSLPSNPQKAIIYHPTVSHLLAVLATICEELSQDSILLIYISASGCAEQNMASQKYASSSSSHATAASAFPIDKPNSHMSSDNHLRLGPRGSGGPNNLYPEDLIPFTRYPLFLVIDSENSHAFKAGHT >KQL25811 pep chromosome:Setaria_italica_v2.0:II:40681193:40681926:-1 gene:SETIT_032087mg transcript:KQL25811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIRRELQRRRPKHLAPKSSVAMKPSAPPPPRESARCTGREPRPLPPRLEVSSSTASKSPPGSAATHAPRPRRRSSPRPTVFSPSTPLPASCAPSSGGSACLSTLGADEHLRPGTEVGVRTRTTTLKTGEALVLWLRAMIVSPIHGGYEVVYDGNWPPGDPYGTVQVPRRHIRMRHQPERLRHHRHRGRRAEEGDASPAEADHGGEEPAPHPPRPLAGDGTPGPG >KQL23976 pep chromosome:Setaria_italica_v2.0:II:23962812:23965556:-1 gene:SETIT_031997mg transcript:KQL23976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDQPEPLALAPFELQAGPAMPPARSGGGKMHRLLRSAFKRGDSASPGSGEEADQLSRSASGSSSSAASSGRPPSGRRVGRRGGGGDGSVDGDRSSRESFELDGSKNGKLLSALRDAKIGHAYEPFQWEKKMRELLPVPAASCFLSLLLLPKATDGSNTRYNSLDDTLARADAWLRSSQASGVPVVFMSVQTEALLTKISGETALSTVNTGSLSDLAGMASASLYGFEDYHGVDIGVVRAVRLWYAAVAGEAALELRLRPGDTRLGFAISRTEEGFIYVSSVAEEGTPGVASARSGLLELHRAARAASRLLVVSRVGGEKVLPWMASASGDVKCFDTVSLSQKLSLHRHALRPITLHFLMWDHDLAAALPRGDDADVEKPPPVLLLPSPLAVAETTEADEIDGDGPGLAGKDSRDSSFRFQNIGLPDSWL >KQL25054 pep chromosome:Setaria_italica_v2.0:II:35605680:35608590:1 gene:SETIT_029834mg transcript:KQL25054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAAAGEATPPPELPPSRVSVSSPSPYSRRRCALASRFREPAAPRRHAWVSLQGRLVGAEEASSAASAAPGLPPDEAVAWELFSPLHRVLLVATVAAASSRSHAARRIEQLQRSINLRDEVLEGMQQKLDDLLVEMNCLQQHVKCDSYISTEREKDEIAGSKKIGDEEESGCCMCVRPDAAATPQKAKDLCGMDDARSDVVGRPSLSFVDHEERRMSDLSDFCWSVASSVDNHINGDNQLSFLAADQQLYNLQKECEEKDATIKELAAAAHASSTADAKRIAELQDVLKRKNMVISKLKKDMAALKQMVVELSRAKRASSAISPICTDLPVMSNNVLYDMSSSSPSSSDSESPVTPRYLDERLVDSVPGDDDSKESIAKTSLPSKKPFPHKLRSTSPLKEIRINPKAETNAFGGQKQPTSSIGDFKKIRRQSQQDSRNKATRRWV >KQL24382 pep chromosome:Setaria_italica_v2.0:II:29365638:29368296:-1 gene:SETIT_030079mg transcript:KQL24382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHRKIILPRLVTSKLLHRQQLHGHGSASEVSSVVDENLGQKHLNGHKDTFVYRVFASTWNVGGIAPSDDLDLEDWLDTRASTWDIYVLGFQEIVPLNARNVLGPTKNGISAKWNSLIGEALNKKERKEGAKLTQESTNSSALEGTVQGEGFRCIRSKQMVGIFTSVWVRSSLKQFIHHLDVSCIGSGIMGCLGNKGSVSIRFVLHQTSFCFVCCHLASGGKEGDVLLRNLDVTDILSRTWFPGGSTQELPEKILDHDQVVLLGDLNYRISLEEAETRSLVKAKNWAILLENDQLLFEFSRGRHFEGWQEGLITFSPTYKYHPNSDQYYWCFDAARGEKKRAPAWCDRILWRGKGLKQVQYETCNYKLSDHRPVRAVFHAECDVSEGAEVNGQNPH >KQL25200 pep chromosome:Setaria_italica_v2.0:II:36618838:36619848:1 gene:SETIT_032505mg transcript:KQL25200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGTVACVVLLLQRSPWPCDRVVPAPHVEVATLGNATQEADPSMKNHGKQKAGSSAAEDKDDKLPELLRRAAMEDRTIIMTFTNEAWTAPGSLLDLFLESFRIGVRTEPLLKHLIIVAIDQKAFERCQELHPLCYALPVGGGVNFESEQSYMARDYVDMLWRRNRFQARVLGLGYGFVFTDVDIVWFRNPLLRVPVGVDFAMSCDSFYGDNPYDLNKRANGGFVYARPSARTLAFYGDWYESRNRYRGQHEQFVFDRVKHELVARSGVAAQFVDTDYLGGFCERGKRRDFNKLCTYHANCLVGLKTKLQKLRGVLDEWKRFKDKAGKPGSNITALTD >KQL25457 pep chromosome:Setaria_italica_v2.0:II:38312055:38312667:-1 gene:SETIT_031363mg transcript:KQL25457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGATGKVKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKAAEKAASGGAKEAKSPKKAAKSPKKA >KQL26260 pep chromosome:Setaria_italica_v2.0:II:43380742:43385515:-1 gene:SETIT_030628mg transcript:KQL26260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDSNPFEEEDVNPFSEQARGKAGGQSNFGGGAFYMPNPRNVPPASNSRLSPLPPEPADFSATVDIPLDSSKDLKRREKELQAREAELNKREKELKRREEAAARAGIVIEEKNWPPFLPLIHHDITNEIPSHLQRMQYVAFASFLGLVCCLFWNVIAVTTAWIKGEGVKIWLLAVIYFISGVPGAYVLWYRPLYNAMRTDSALKFGLFFLLYLFHILFCVFSAVAPPVVFEGKSLAGILPAIDLISVNALVGIFYFVGFGLFCLESLLSIWVIQQVYMYFRGSGKAAEMKRDATRGAMRAAF >KQL23399 pep chromosome:Setaria_italica_v2.0:II:12053079:12054247:1 gene:SETIT_0306602mg transcript:KQL23399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFVIGSVGSFPQIIKNEDLEELRELGSGTFGTVYHGKWRGSDVAIKRIKKSCFTGRSSELERLAHEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLTTVTEFMVNGSLRHVLQRKDKYLDRRKRLIIAMDAAFGLEYLHSKNIVHFDLKCDNLLVNLKDQSRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEK >KQL23910 pep chromosome:Setaria_italica_v2.0:II:22759666:22761973:1 gene:SETIT_032714mg transcript:KQL23910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQSVDASPRPSAGFSRWPIGAPGIRYVVRQITKMPKCEFSNKTTSPAAARATSAATPARALGAADSSAWARAGPGDSDGLTDTELNGANEGASVGASSGASMGDGVGASVGDGVGATLGAGDTGAGAGAVTGVATGAMIGAARSGVGAVAGGRVDGGSAAGGIAMGGEATGAGVVGAGAATVGGVAAGGDASGGDVAGGVAETGGAAATGGEATGGDVAASCGAAAVVGGAAEDSGGEVAVVGGAAETCGGEAAVVGGEAETCGGEAAVVGAVAETCGAEAAVVGGAAEACGADADTVVGGCAEACGADADTVVGGCAVACGAEAAVVGGAAEACGAEAAVVGAAAEEAFGAGAAVFGGTTFGTEPPTGGAFNAGGDEALTGGAFDADGDEAGDCAAQDTRRSERKSGSRAIVTRLPPKPQLVLLPVSSVFSLGTEALCSPLCCLACVCSDLSSAVTVRERSSDDALYI >KQL25568 pep chromosome:Setaria_italica_v2.0:II:39094594:39095657:-1 gene:SETIT_031398mg transcript:KQL25568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKRLVQMAKKWQRMAALARKRLTSTPRKEDEGSWGASTSSVAGKGHCVVYSSDGRRFEVPLAYLGTAVFGELLSMAQEEFGFAGDDGRISLPCDAAVMEYVMCLLRREASEEVLRVFLSSIVRPCHHTVSGMAPSMGIMHQPAVCV >KQL24946 pep chromosome:Setaria_italica_v2.0:II:34774073:34776268:-1 gene:SETIT_031292mg transcript:KQL24946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSALRRGGAAARQVAWEGGGSPRDLLRQRVAERERARRRRRDPGRDEFFVPTPESLAWLDSVSLPMVLTAAAVALFTKLLMMEHEATDQERRERKINNSHPDQGKVRMLSREEWEEVQEVRPRTPFESKLARPHARIRTGEPMRLEDVKDWATDVITDAFTRVEESTKQK >KQL22172 pep chromosome:Setaria_italica_v2.0:II:368954:370446:1 gene:SETIT_029662mg transcript:KQL22172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFLSAVLGELASRSINFFTSKSSKPRVLDVEGSLQRALLRAQVIIDEATGRHITNQAMLQQLDMLRNAMYQGCYKLDAFRYQHHDEKYAEDQVVSHSFFVSKVNSMKGICSSKRKTQILEQLEDALDNLSTMIIDMKELVLFLTSYPHLYRQPYSMHLLLGKCMFGRQMEAYLVLNFLLHTQPNGAEELEVLPVVGPIKVGKSTLVAHVCNDERVRDHFSEIMFLSNHDFKYEKLTYLREGCVKKHQNSTPSKYGRMLVVVEATGDFNEDEWKRLYASSKQCMASGSKIIITSRSDKITKLGTTRAVTLKYLSEEAYWYFFKTHVFGSTDPTMHPRMAYVAMEIARMLNRSLNAATTIACLLRDKFGIHFWCKVLTFLRGLIKWHVSSFGEHPGDALRQYKPAHLRSMVRTSEEIVVHHQYNCSSQEEVPKISLASVMYGNVKPPSGKFEALVWRSPIPPCYNYIYTCEVRDLKTTAVKRKRS >KQL23252 pep chromosome:Setaria_italica_v2.0:II:10230474:10231374:-1 gene:SETIT_030667mg transcript:KQL23252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSPSFFNFLKEGLLLPTLNRRLFAAVFAIIVASSYLLPLGNDLAIQPFTDEIGIDSKALNGTDPSSQEFLHLIQEIMDDTRKLLITATVGRLVSMSIGSVTQIVILFAAVATYSGELLTFASLLGKAKAQLKGPLLTLAFVYALEIGYSALLPAMARLLTFLMIKQYLALILIGALLIIIACTFLVYFNFICSLSIVVAVAEPGCHGAGAVGRAWRLMKGKLLRAVLFIVVTVVLDAAIWPVLSKMASGLLLGFLYTILMAAVQVFDVCTKTAFYYECKGAPRHRLPWCLPKTR >KQL24412 pep chromosome:Setaria_italica_v2.0:II:29803290:29809410:1 gene:SETIT_032622mg transcript:KQL24412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRQNLKGKREEINMPVCQRSKRRRCMQRPGKPPQNLEGTPSSLNTTSFCDRTNKIGSGPCNGPQFPSTVTGSPQCLSPRGIVFLNMIQIAFKCYRYDILIGLEVLPMVGNNSGPENVEQFGSEIWEPDDMEIVLEDHDPYDAVYKDLPTTHNVLRKVANCEYCGAIKFPGEGDSFCCRKGKVNIYIPDVPDELCRLKNIRYFNSHFSFTSFGAYVDHRLATAAGTGPGGKGPRHMQLYFYDVDETMAHRAQRSPHLDANFFRTVWDIQIHNNNPYVNTFRHLGQVPNLDEYKIELNTSISVAAIWQDGSDEQRKFQRSIMVYANSGHARFIKAYHGCYDLLAYPLITVISCKYVMGVFTIFFYGGRLFQQWIVDMYIKIESMRLDWVLSGGMLDTLAAGEVDGLKAGKWIVLSKNVLGSDRDVHARFMDATALVARYGRPDYFVTMTCNPYWPEIMEQLVYHAKLLDLHDFLINKGHFGKVAAWAHVAEFQKWGLPHEHFLLIMAKSAKLSGPDDFDTHISEELSDKNKYPLLHQLDGQKVFIRKKWLDKRWVVPYNPILLRHYNCHVNVEVCCSIKSIKYIYKYIYKGHDCSSFSVETCGENGPIEVNEIKQYRKARCITAIEAIYRLYHFPMYSMSPPVLQMQVHLPGMHMVPFNETDKLEDVVQHSQMNREDANAPKYLYREFPEHFRWIKSTKIWMPRKIKCFQIGRLVYAHPKEGERYYLRVLLNHVRGATSFASLRTMRGMLSLSFRDAADMLGLVDIDKSWDDALVQATSFKMPCSLRMMFATITVFCEYTNIRELWDKHFESTVEDYHLTHGSSSSVTQLVLRNLAYIIHSMGKDIRSYGLPELDGSDHTSRDYYRELMEERKIGFEEYDLKIIGSLNAEQRAGFDEIFEHVVNNRGKVFFVDGPAGIGKMYMYRALLAKVRLMNLIAIATATSSIAANIMLGGRTAHSRFKIPIKLDNNSICNFTKQSGTAALLRTASLIIWDEVAMTRRQAVETLDRSLRDIMECPDPFGGKVIVFGGDFRQILLVVPRGTRAQIADTTLQRNSISAEYMRERAILSTRNEHVDGLNARMIDMFPGNEKLKVKKNCPIILLQNLDPHNGLCNGTRLVVRRFEDNAIDVEIVDGQHTRKRVFLPRIPLSPSEDITLPFKFKRKQFPIRLSFAMTINKAQGQMIPNVAIYLPELVSSHGQLYVALSRGVSRKTTWILAKPNNDVDYTGTRTKNIVYSDVLEA >KQL22155 pep chromosome:Setaria_italica_v2.0:II:274670:276467:1 gene:SETIT_032855mg transcript:KQL22155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDAAADPCAICLGDVGRGQAIFTADVAHGHRDCPICKAAWRDLPFSSSPPPARRPSSSHHHHNHGPYDDDDDPPVADQTVEASRDAADHAVVAVKTHCERPAVARGASRGSFAVLVHAVAPGAAAAGARRAPLDLVTVIDVSGSMRGEKLRLVKQAVGFVIDNLGPTDRLSVVSFSNDATRVVRLARMAADGKASAKCAVEALAAGGGTNIGEGLRVATRELDDRRHRNAVTSVILLSDGRDGYVPRRHVDLVPPSFRGRAAPIHTFGFGTDHDAAAMHTVAEETGGTFSFVENQAAIEDSFAQCVGGLLSVAMQDVRVAVACVHPGVRVLGVKSGRYENRVDADRRAASVDVGELYADEERRFLVFVRVPAAEATEEVTQLIKVRCSYRDAVRGCCEDVAGDDAAVLRPLSQVPDGLSMEVVRERVRVTATEDMAAARAAAERGEHAKAARILEDGQEADELSDLAARVASRREYEQTGRAAMLSGMSSHRQQRALSVAVRPPTHFVRPGRGAGRGGILRLGGGAAPRPYATPAMQNMVKISRSARQQQHTSSSPPPAKRMHLRFAE >KQL26874 pep chromosome:Setaria_italica_v2.0:II:46711985:46712654:-1 gene:SETIT_031951mg transcript:KQL26874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKHAALITMLALLAPMACQGFTTFPILCSGEWVRPIKKIVPILGFLGDFVRPDGSRPTPIPSPRPPSPAPTGLGLSVGYYNSSYSNYSCPNAETIVKKAVEDAIYRDGRGISAGLIHLFFHDCFVRHFFPEMAATRRTVTAPRPFSSLATLEASFAAQGLDPADMVTLSGAHHRPRPLRLHLQLLGHEPDPPSVSKCSSLLTFPYNI >KQL23512 pep chromosome:Setaria_italica_v2.0:II:14358014:14358467:-1 gene:SETIT_031805mg transcript:KQL23512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTYCSTYNDIEESKCLGEKECPTPLWALQNNNITNQELCAIYNYYERLQLHQYVGS >KQL24539 pep chromosome:Setaria_italica_v2.0:II:31136306:31138548:1 gene:SETIT_030929mg transcript:KQL24539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTIKYPVVWKPSLYMFLSLALSISTHEGQFYWYTNKTPPNPGFLQEFVGLVHAIGAVASMVGVLIYHKCLKDYPFRSILFCAQLLYGVSGLLDLTFVLRWNLALGVPDAAFVILEECVSRVVGRVRLMPMMVLSTKLCPPGVEGTFFALLMCIDSLGMLAAKAGGAAVLRALHVTRDDFARLWLAVLLRNALRLATLGAICLVPTADQTDVLVPRELLVSSPAAVAGDDEERLQLAMLTAHTDDV >KQL25525 pep chromosome:Setaria_italica_v2.0:II:38890187:38891422:-1 gene:SETIT_032178mg transcript:KQL25525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSPMQAVLVAPGVKDRKVLAFERSGALKDKDAATGLVRAILAANPAQRSAFYVFDLAKVIDLFRAWRRALAGVRPCYAVKCNPDPALLGALAALGAGFDCASRAEIEAVLALGVHPRDIVYANPCKPEPHLEYAAEVGVSLATYDSEEEVAKVKRCHPGCELLLRLKGPDGGDVRVDLGTKYGAHADEVVPLLRAAQRAGVSVAGVSFHVGSGATNLGVYRGAIQAARAAFDAAAALGMPPMRVLDIGGGFVSGPTFDDAAAVINDALAQHFGDLPRVEVIGEPGRYFAETALTLAARVIGKRTRGEVREYWIDDGLYGSLNCIIMDHYVPTPRPLASPRPGEKTYTSTVFGPTCDSLDTVVTGYQLPEMSVGDWLVFDDMGAYTTGAGSNFNGFATSDIKIYVAYSS >KQL25761 pep chromosome:Setaria_italica_v2.0:II:40372513:40376167:1 gene:SETIT_030968mg transcript:KQL25761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMELEILGMNFGCVLAALSDAKIPDKGCLLPLISKLLGYAIVAASTTVKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGAKTWMKALLYCGLAPTVLAGKIDPALFEVLYASQHAIFFFARVPQIWKNFSNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSVIMGSAIGIVMNGTILGQILLYQKPAPKKQKKED >KQL25762 pep chromosome:Setaria_italica_v2.0:II:40373350:40376167:1 gene:SETIT_030968mg transcript:KQL25762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDRMGMNIGCFLLFPLDANILVPLLSKVLGYSIIAASTIAKLPQILKILKHGSVRGLSVASFELEVVGYTIALAYCIHKGLPFSAYGELAFLLIQAIILVAIIYYYSPPMGAKTWMKALLYCGLAPTVLAGKIDPALFEVLYASQHAIFFFARVPQIWKNFSNKGTGELSFLTCFMNFAGSIVRVFTSIQEKTPLSVIMGSAIGIVMNGTILGQILLYQKPAPKKQKKED >KQL22995 pep chromosome:Setaria_italica_v2.0:II:7204623:7205899:-1 gene:SETIT_030690mg transcript:KQL22995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPSVASSSSRSLATTSYRSSSAAQSAAITLGTRLRPPTLTGAVFHRQPASFLPSWSLSRSVDGSVGGLQSAGRSWPPPCPWTTPSSSIYYPTSRSHYPTYANGAMTWPSSSASQGTDAATSTRFQPEDPSLYCYSEYSTPKSSAPPSVDGVTATIPSRWSSTATTSHHESAQPTGGGSSLRPWGSNVARVGPDNRRYMYGHPAVAAVDPAVKIAPQAVHPQLLPAAMRNTLPNQGYSSYVFMESADPTRFGFDHQIMPNITKATTQTEMRGRRRVSRRWCPFMCWGCEVSC >KQL26492 pep chromosome:Setaria_italica_v2.0:II:44734638:44739496:-1 gene:SETIT_032403mg transcript:KQL26492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDDSPAETVEEKPAAPVLRSFASVFMHADAVDVALMVLGLAGAMGDGMSTPVMLAITSRVFDDTGSGPDHLQQFSSKMNVNVRNTLFLAAAHWIAAFLEAYCWTRTAERQSSRMRARYLRAVLRQDVEYFDISAGSTSEVITGVSGDALAVQDALSEKVPNFVMNVTLFVSSYAVAFALLWRLTMVSLPSVLLLVVPGFLYGRVQVGLARRMREQHARPGAIAEQAVSSVRTVYSFVAERATAARFSAALEESVRLGLRQGLAKGVAVGSGGIRIAIFAFNVWYGSRLVMYHGYKGGTVYIVAVVIVVGGGALGSALSNIKYLAEASSAAERIVELIRRVPKIDSESGAGEVLDSVAGEVEFRNVEFCYPSRPKSAVLVSFSLRVPAGRSVALVGASGSGKSTVIALLERFYDPTAGEVILDGVDIRRLRLKWLRAQMGLVSQEPALFATSIRENIRFGKEDATEEEITAAAKAANAHSFISQLPRGYDTQVGERGIQMSGGQKQRIAIARALIKSPKILLLDEATSALDTNSEHVVQEALELVSMVRTTIVVAHRLSTIRNADMIVVMQSGEVKELGSHHELNGNENGLYSSLVRLQQTRDSVDMTEDGEELGKTRSTDILRQSSSQSMSRGFTAASRSSSTRSVGDVKAYGNTENPKLPVPSFRRLLMLNAPEMKQALMGIFSAIVSGSIQPVFAYAMGSVISIYFSTDHQEIKKETRTYALVSVGLAVLTFLISIGQHYNFAAMGEYLTKRIREQMLSKFLTFEIGWFDRDENSSGSICSQLTNDANIVRSLVGDRMSLVTQTVSAVLTAYIMGLVIAWRLALVMIAVQPLDITCFYTRHVLLKRMSKKSMQAQSECSKLAAEAVSNLRTITAFSSQNRILHLFNQAQDGPRKESIRQSWFAGFGLGTSMSILRCTWALTFWYSGILIAGHHITAKAFFQTFLILVSTSLVIADAGSVTADLAKGADAVASVFAVLDRKTEIDPDSPDGYKPEKLKGEVDIREVDFAYPSRPDVIIFKRLSLSIEPGKSTAFVGQSGSGKSTIIGLIERFYDPISGVVEIDGKDIKTYNLRALRQHIGLVSQEPTLFAGTIRENIVYGTETASEEEIENAARSANAHDFISNLKDGYNTRCGEQGVLLSGGQKQRIAIARAILKNPAILLLDEATSALDSQSEMVVQEALDRMLTGRTSVVVAHRLSTIQNCDMIIVLEKGIVVEKGTHASLLGKGPAGTYFGLVNMQQGSNHTNSS >KQL26500 pep chromosome:Setaria_italica_v2.0:II:44784691:44786255:1 gene:SETIT_030532mg transcript:KQL26500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNTAFNRQKPTQVVATRFVRMLRAAQLVFRRETGRAPGALRGLIGSFSTESNSQRLAGKVAIITGAASGIGKATATEFIRNGAKVILTDIQDDAGRAVAAGLGPDASYARCDVTDEAQIAAAVDLAVARHGHLDVLYSNAGVAGAAVPAPLASLDLADFDRVMAANARSVVACLKHAARVMAPRGNGCVLCTGSTTGMLGGVAALPYSLSKATVMSVVRVAAEELARSGVRVNAISPHAIATPLLVRSLARMHPGVADEQLKRMVETGMSELRGAVLELEDVARAAVYLASDEAKFVTGHNLVIDGGFTAGKRIGVPAAR >KQL24083 pep chromosome:Setaria_italica_v2.0:II:25780332:25781474:-1 gene:SETIT_030706mg transcript:KQL24083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSRKMPPMRKVRIFCSDPDATDSSGDENDENPKKEKKIIREVLVPVKKYKTSQPLRPIMPCGIKDLNGPERKVPSSRYRGVRLRDSGRWQAEIRNPLTKKREYSLHDTEEEAAAAYQAKWNQFHVEMLAMKAEPPMSEHAASNSSLVSCVSSSVSCEQKAQEAQNRVGPLMEMHREPMDESLLNFSPKALEISEDVMLNPKDEHQASDSDSPADEFPPDGFTRPEDMFTVRDFITATYKPLDDDYIGLADISHLPLPIKDPEFDLDAELDWSGFDFASMEHELELL >KQL23313 pep chromosome:Setaria_italica_v2.0:II:10727768:10729334:1 gene:SETIT_029705mg transcript:KQL23313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNWVWAALGAAELLVSAVVHLGYAFYIFGTAVAADVTASLVKGLVAGGSGGGVAKDAAVDGEAEAAAVLDGAVPPIVLVHGIFGFGKGRLGGLSYFAGAEEKDDRVLVPDLGSLTSVHDRARELFYYLKGGQVDYGEEHSKEYGHARFGRTYERGHYPVWDEDHPVHFVGHSAGAQVIRLLQQMLHDGAFEGYGGATSERWVLSVTSLSGALNGSTRAYIDGMRPEDGGRTMRPACLLQICRVGSVLYHWLDLPWLKRYYDFGFDHFGMSRRLVGAAGLADVLLRNKRGPFATGDWILPDLTIQGAARINAGVRTFPCTFYFSYASRRTARTRSGAAVPSRPLRIHPLLFLRALQMCRWRYPAAPPPYEGYRDEDWEDNDGALNTFSMTHPRIPVEHPSVPVEEEGDGGADRCRALRPGVWYYRIVEADHMDFVINRRRGGVQFDLVYDSIFHNCRRHVVRNAPPPTQTLPDQS >KQL23663 pep chromosome:Setaria_italica_v2.0:II:15918539:15918931:-1 gene:SETIT_032391mg transcript:KQL23663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEGEPTKYRGVRRRPWGKYAAEIRDSSRHGVRIWLGTFDTAEEAARAYDRSAYSMRGANAVLNFPEDARVYAAAARGSGSSSSSSRQQQQQQQQPGGRDVIEFEYLDDEVLQEMLRNHDDGGPSSARKK >KQL25896 pep chromosome:Setaria_italica_v2.0:II:41143953:41144352:-1 gene:SETIT_032732mg transcript:KQL25896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGTGACGNACVRRAGDSDFQGLLKNEGKERYGVLYKQWQKNAANFSIDGHYPVRELRDRARSCWERIPAHEGKSVLVSEGHWLGWKQVGRCDS >KQL23037 pep chromosome:Setaria_italica_v2.0:II:7826941:7827681:1 gene:SETIT_032189mg transcript:KQL23037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGQKSKGRQKIEIKPIENDQARQVCFSKRRQGLFKKASELSILCGAMVGTVVFSAIGRAFSFGHPSFDEVVNRFLNPVAPDVPAAGDASNDNVGPPVTDTVHKLNMEYLELEQSLESEKKRKERLQEATEKEMGEPMMQWLNANIMELGLDELQAFQKKLEEIHDIVKEKVNKVMVEGRQTPGSLPQPPMEMGSTSQSANPMASTAPSSSIALIDGFEAVNDPLLSGVHGVGGLGNVPNNQTHG >KQL23945 pep chromosome:Setaria_italica_v2.0:II:23305778:23306203:1 gene:SETIT_033617mg transcript:KQL23945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAHNSTPKKVLFGMEQKHISCSSNFNTNYINDQN >KQL24663 pep chromosome:Setaria_italica_v2.0:II:32083440:32086031:1 gene:SETIT_029011mg transcript:KQL24663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMQQPPLLVLLLVFVVSTFALASTADVDADAGAATYIVYLNPALKPSPYATHLHWHHAHLDALSLDPSRHLLYSYTTAAPSAFAARLLPSHVAALRGHPAVASVHEDVLLPLHTTRSPSFLHLPPYDAPKADAAGPDVIIGVLDTGVWPESPSFGDAGLGPVPARWRGSCETNATDFPSSMCNRKLIGARAFFRGYSSGGRNGSRVSSDLMSPRDDDGHGTHTATTAAGAVVADASLLGYASGTARGMAPGARVAAYKVCWRQGCFSSDILAGMEKAIDDGVDVLSLSLGGGAMPLSRDPIAVGALAATRRGIVVSCSAGNSGPSPSSLVNTAPWIITVGAGTLDRNFPAYAVLGNGETHAGMSLYAGDGLGDGKFPLVYNKGIRAGSNASKLCMEGTLDAAEVKGKVVLCDRGANSRVEKGQVVKQAGGVGMVLANTAQSGEEVVADSHLLPAVAVGAKSGDAIRRYVESDANAEVALNFAGTELDVRPAPVVAAFSSRGPNRIVPQLLKPDVIGPGVNILAGWTGSLGPTGLVADDRRSAFNILSGTSMSCPHISGLAAFVKAAHPNWSPSAIKSALMTTAYTVDNTDSPLLDAATNATATPWAFGAGHVDPVRALSPGLVYDATVDDYVAFLCTVGVSPRQVQAVAAAGPNVTCTRKLSSPGDLNYPSFSVVFGRRSSRSTVKYRRELTNVGDTGATYTVKVTGPSDVGVSVKPAMLQFRRPGDKLRYTVTFRSKSAKGPMDPAAFGWLTWSSDEHEVRSPISYTWGV >KQL22390 pep chromosome:Setaria_italica_v2.0:II:2287176:2291918:1 gene:SETIT_0286682mg transcript:KQL22390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATPDRANADLRRRLAVDAPPPPQIAREKQGLDTEIPLSPQWLMKVAENKDPTSQGVRSDVSKTSGSGEDPGYSAKKKDVFRASVLDGETGRRDRWRDDEREPNSTHRWSRWRETDKEHGDPRKVEKWSDDTSKYSVDSRRAPQERWGDSNNKEGNYEQRRENKWTARWGSNDKESENWRDRWGDSGKEGDASREKGFSHFTAHGKDGNNHEKDTERDDNVSRSWKSSYPVGRGRGDLSHHPSQTPQKSSATYGYGRGKPDNDFANFPSRGKFTSGTNAISSGSSRPFQLGLLSDRPGGPSGDRSAFKYSRMKLLDIYRSCDVTDFKIPVDCFEEVSVFLQENALEPLALSAPIAEEAAILKAIDKGDIVNSGVHQATKDGSVGKAGREDQQGGIEDYKGETFGSVRGVPGNADLPARGESLRPGTSTYVVPQRSQFVGEHRLGPSSEFVGHQMPNFLNQETKTVGMPGVDDFASMVQPHPNPESLSLFYKDPQGQIQGPFSGADIIGWFEAGYFGIDLLVRVVNAPPDIPFLMLGDVMPHLRAKRPPPGFATSKSSDMLVQETQPAGKFISSTSMQAGSAGIGMFDSGSSRKDTAVEAQNRFLESLMSNTVRNPSADTISITRGMNELGSSSFGNISVGGGEGGINMNYLLAQKGLLEKQNSLQNPVPYWSGDAVPISQAPNKDIAPEASILHSKLLPQMADPSRQSLQSQNVDLLAMLQSKEKPQVPTGNSGLPLWSNYPEVRNVNPNMHGVDLAQGALNMRQDLQNSQNIGMGVQQHSFMPQTRPALAHLPPEKLAEISQDPQLVNMLQQQYLLSQLQLQSQTPLTPQPQLSMLDKMLLLKQQQQQQQQQLQQLQLEQQQKLLLQQQQLLSHMAPHGHPNQQIDDSFGLKHASLPSGDAMNLGLRRMPEAIEVDRKLPVHGMQVGQQPSQSNMNMRDMDGVALSQSS >KQL23830 pep chromosome:Setaria_italica_v2.0:II:20677323:20680297:1 gene:SETIT_031428mg transcript:KQL23830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTARTVKDVNPHDFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAICRNILQQLQKMGIIDVDPKGGRLITSVGRRDLDQVAGRVAVVP >KQL24560 pep chromosome:Setaria_italica_v2.0:II:31275364:31278476:-1 gene:SETIT_032133mg transcript:KQL24560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKMTETMTGLGIVGFSSLVFIAATVNQCLYYQGVHLGSSSMATAMTNLIPAITFVMAASVGLEKVEARRPRSLAKIFGTCVCVGGAMAMAFFKGPKLLGDLQVLLHLPAGSNRCVVGALFLVGSSSCSSLWLILQVPICKSYVDPLALTRCFLQPDPAAWRTHSLFELSCYLFSGVFGSGVTFYLQSWCISVRGPLYSAMFNPLCTVITTVFAAVVLREELHVGSLLGAFAVIAGLYVVLWGKAGDGVKRGREPEQADDLEKTMTRSDSMLDAGNGIVEPLLLADAAGPVEK >KQL26658 pep chromosome:Setaria_italica_v2.0:II:45612294:45613950:-1 gene:SETIT_032475mg transcript:KQL26658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALALLLCAFIYAAWLSPAATRRRLRQAGFDGPRPCFPLGNLPEITAATTTTTGSSKSSMALQLLPSSGEPASDIHAAVFPYFARWRQAFGKVFVYWLGTEPFLYVADPEFLKAATAGALGRRWGKPDVFRRDRMPMFGRGLIMSEGEEWALHRNIIAPAFSATNLNGMIGLMQETTAKMLARWNDAVAAGQSVVDVESGVVRNAAEIIAKASFGITDAAAGARVFDKLQAMHATLFQSSRPVAVGVPLARLLHARRTYGAWRLGREIDALLMEIIDARRRQQQQGGKDLLSLLLAGAEAEPGAAGAERRKKLTARELVDECKTFFFGGFETTALALSWTLLMLAAHPEWQAALREEVTREVGESGEEALDAAALGRLTKMGWVMSEVLRLYPPAPNVQRQALEDVTVAAEGNNNVVVVPRGTNMWVDVVAMHRDAALWGGDAQEFRPERFERDPVQGGCRHRMGFLPFGFGGRICVGRNLTAMEYRIVLAMLLRRFQVSVAPEYRHAPRFMLSLRPSAGIQLRLTPL >KQL23061 pep chromosome:Setaria_italica_v2.0:II:8150250:8150693:1 gene:SETIT_032397mg transcript:KQL23061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDKWKVFRGDSTSSRDLLFTVKKTSIFQLKTSLGVFLARNTSEQVCDFKIKGSYFERSCAFYLGNSNAMVAQMKRKFTVSNVLLGKDTYCVTVFPNVDHVFIAALAVILDKVHRDRSK >KQL23522 pep chromosome:Setaria_italica_v2.0:II:14539919:14542586:1 gene:SETIT_032034mg transcript:KQL23522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWCPETHTFHMPFGEMTITMQDAAMILGLPLDGQPVTGIIKNENWHDMVEMHIGITPPEPEGGDSSKKTSGVSSTWLREHFDVCPPGANDEVVQRYARVWLWHFVSTFLLPDAAGNTVSWMVLPILGQVWENIATYSWGSAALAWLYRHLCEACRRTARDSNPWHRDNALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQVEWKPYDREQLSHIVFSPTCYRDKRAVEFHMPHRVMRQFVRMQPCRPLELSTSQQLHSIDRRKRYKENDWRLKHAQYVLLWQNKQGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYNMVTRHGTQPERAPLHDYMGQQLARLSNEAGVIMEHAVGEGDSLLRAFAERVHKSCR >KQL26755 pep chromosome:Setaria_italica_v2.0:II:46148575:46154213:-1 gene:SETIT_029056mg transcript:KQL26755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAELKDELQRRNLPVSGLKNDLVKRLFEAIQDKILDDGEKTNGGIPPEELKGGETPGSVDASVNQASIKELVDEGASEVMKQGADLVISVTEAYDESILTTSEVTQEAVVGTPEASQRSLDAVARVESSLVDTAATDETNGNGLESASSGDTIVEEANPRSDNHCDTIEQTPEDDTNKKLAIDDIPSDGTGGDIKLGLNMHIKILEMGDVYAPSDDTVLGADPEDADAVVAAEPEDDTSKKMTIDGVLSDANHTTEKVPALPDAIELNADPKGADVVVAAENMIPKDNFSVNTLMHGNGHGDFKCSNGDTKPFLCREKDQVSEVNPDLDSQTKCVSIFDDNISADKNNDLKGNLNADDCDLELDAKQEMVKPSSTIPSPGDLLEVLDVDKEVHKIGATLQELGSTSDESMDEDVLESKHIDSNIKSDDLGEKTEFTSEHGLKEVSLLDTAAEDSSAHTKEVVVEEKPPSPAEKRKPEDQEVIANNEPIKRQRLRNVNDVDISEQKAPKLTGTGTTKEVFHYAPSHSFGRPGTTASRDYPKERIVPPAQKPATTSLRIDRFVRPFTLKAVQELIGKTGSVCSFWMDHIKTHCYVTYSSVEEAVATRNAIYNLQWPPNNRNYLVAEFVDPEEVELKLEAPPPSQVPISLSTAIAPQAAPFQQPNANQTLPPQPAAALLPTPAPLAKLPPISGPGPAREMLLPPPPRNLEPPRTLDDLFKKTQVHPRIYYMPLSE >KQL24612 pep chromosome:Setaria_italica_v2.0:II:31768846:31770482:-1 gene:SETIT_032434mg transcript:KQL24612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKPNHGRHKRGRKQSAMPPRTHGRRPRTHGRRQRRRGPPRTHGRRRSWPRRRLALAQIGWISISTPPSRRTRPWRKLAMYMEELVQVEDWDLRRFELEDPQLPAGVFAGAEGPAAVRAAPAGQAFHHQFAGGPRVFDSSAAAAAAAQANANRVVLAPPAGGGIDMQELADHVAAMEDLQFFAAAEANANNQLAAPARGGPRAFNQTTRHGQPVGAKRADAVISARQAVLGDGSSPVVLAGMEEEHDNRWYESIRREAQRMEQMEEEPGLYEVSYFQTQLPRPQQEPREEPLNYNSTEGLGFIASDLDPDEPGPSMVRPARVPPLADDEVPKFKCGICMETLPILDLFHGMQCEHRFCVECMATYIEGRIHDCEVPIPCPDPACRELGEDSLVLHPEECKKSIDFAAFVSWGYRLTESAIPQNRRAYCPNRQCGVMLEATGGKTPVMAFCPVCSHPMCATCGVDWSKDDSGQHDCFEGPSAALVKKLAEERRWKQCPRCKMLVEKIYGCNVMRCRYGARSSPVYLPQVNHFIYAN >KQL25872 pep chromosome:Setaria_italica_v2.0:II:41047395:41054544:-1 gene:SETIT_029215mg transcript:KQL25872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISRNLRRSLAPDRLRRLHLLLSQHPREYVTAECHRPVVLHKRGPDILHDPWFNRGTAFSMTERDRLGLRGLLPPNVVSSQQQIDRFMLDLKRLQKYVRDGPSDTYPLAKWRILNRLHDRNETMYYKVLIDNIEEHAPIVYTPTVGLVCQNYSGLFRRPRGMYFSSEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVHGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLEGDEYVSVIDEFMEAVFTRWPNVIVQFEDFQSKWAFRLLQRYRKTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQRIVVAGAGSAGIGVVNAASRTMARMLGNNEVAFESARSQFWIVDAHGLITEDRADIDPDARPFARRKSELGHQGLSEGASLVEVVKKVKPDVILGLSAVGGLFSKEVLEALKDSSSSRPAIFAMSNPTKNAECTPGEAFSILGEKAIFASGSPFHDVDLGDGKIGHSNQGNNMYLFPGIGLGTLLSGARVISDGMLQAAAERLASYMEEEEVLQGIIYPPISRIRDITKEVAAAVVREAVAEDLAEGYRDMDARELARLSEEETVEYVKTNMWSPVYPTIIYKTD >KQL26596 pep chromosome:Setaria_italica_v2.0:II:45314835:45319259:1 gene:SETIT_030663mg transcript:KQL26596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVNAGFIHHISVKDHPVHPMSKSNHGVLSGNDHEMKHLGHKIHDRDSSSGSGQSHQEASAVSETSLNEHTSIQSDNDEGHGKHNQFTVKPVLSMGKQGSAFSPPKLDYNASFACVPYNADAYYGGVLTGYPPHAVVHPQQNQTTNAPVVLPVEPAAEEPIYVNAKQYHAILRRRQTRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLQEQNQQHQASGGSSCSKVIGNTISSQSDPNPTTPSAPASSDTASASRTNQDRTCFPSVGFRPAMNFSEQGGGSAKLVR >KQL26595 pep chromosome:Setaria_italica_v2.0:II:45314835:45319259:1 gene:SETIT_030663mg transcript:KQL26595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSNHGVLSGNDHEMKHLGHKIHDRDSSSGSGQSHQEASAVSETSLNEHTSIQSDNDEGHGKHNQFTVKPVLSMGKQGSAFSPPKLDYNASFACVPYNADAYYGGVLTGYPPHAVVHPQQNQTTNAPVVLPVEPAAEEPIYVNAKQYHAILRRRQTRAKLEAQNKLVKGRKPYLHESRHRHAMKRARGSGGRFLNTKQLQEQNQQHQASGGSSCSKVIGNTISSQSDPNPTTPSAPASSDTASASRTNQDRTCFPSVGFRPAMNFSEQGGGSAKLVR >KQL26343 pep chromosome:Setaria_italica_v2.0:II:43851739:43852867:1 gene:SETIT_030649mg transcript:KQL26343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTALPVPAMLPLVLLAVLSVTAAASSRPHPLDPLSPAEITAVRAAVLASPLVPARPITFHYVGLDEPDKPDVLAYAYGGSTPRRRQLLRLLPRRALVIARAGGQSHELRVEVTTNASSAATVLSHAVHRGAGFPILTLEEQFAAVALPPAYPPFVDSVRRRGLDMGDVLCAVFPVGWFGDTGPPERVAKMLCFLAGATANFYARPIEGVTMTVDLDRMAIVAYRDRVAYPVPKAEGTDYRAGKTGPPLAGPQPAPGVVVQPEGRGFHIDGNIVRYAIGLQKEKTLQTLLHCLLKQLFF >KQL23557 pep chromosome:Setaria_italica_v2.0:II:15028107:15030842:1 gene:SETIT_033374mg transcript:KQL23557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAIFMSNTLTREKCFQASIFGLPLEYEPFVSNVRKGMPLFLFDHTLRKLYGVFEAASDGGLNINNAAFRSTQRSYPAQVRINIIWKCRPLSEDEFSPAIEDNYYLPRKFYFDLSYEQVVRLYELFDDRRVQLPIRDYSKNESSETNRSSKGRQDKESLTPDVPRSIDQSRLLVPNISEVVRRYSTATSMHTDLPLSVEAHPNMSMPMGTEIGAQIASIHRRHDRIEFQSSELFPAPVMTDAVSTQVSAPCSQTFRHYQLVAKQPYPLPQEYPQSILPSGCTTQDPTEGAKFTANQSYPLSSGCLHSGLLTSGYATQNSTYKGRTHLNPTPYGHLYTDLSLSNPQSNYEGHCDICFNQGRASAHNNDIYECERQRFSEGTPAKLSLQCIPTYPEFPERNGKIVPAIDQKRNSADCIQIPDCDQMRYGGPRSDASDSSDLENDVDPRHTQQFIRAESNTKDRCSPPQRSVFSRLSLNKQLTCQEAAGPTLDQLVSSLSQKTEQWSYKNRPIADGLVIPLIGEEAMDHSHAELNLPSQLELQEEEKEEESIEPQVPLYNFKRRSEARKVDANLIKEISGKAKRRKLVRPSLEETNASTNVGELEGTQDRKQHHLEVSENQFDIDLNIPAPSEATDPVEEDYRIAVCPSVINAPFKIDANKPNSDISIDFNVVELNSMDELKLQTILDQASLLLLALGKIKSGKPNNSEARSNVCSEDRK >KQL24670 pep chromosome:Setaria_italica_v2.0:II:32129561:32131142:-1 gene:SETIT_033153mg transcript:KQL24670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QWPIIGNLNLIGELPHRSIHELSKQYGPLMQLRFGSMPVVVGSSAEMARFFLKTNDAAFSDRPTLSIGKYTAYDSSDIMWSQYGAYLRQARRIFAMELFSARRLESFEYIRDEEVRGMLRDLRAASGAGRVVRLRGYLQMMTLGVISRMVLGMKYIQEEAAAEDGSPPRMTPAEFREMVDELFALNGVFNIGDFVPWLDLQGYVGRMKRASSMFDQFLNHILDEHNQRRRLEGEGFVVRDMVDVLLQLADDPNLEVQLSRDNVKALTQWAISELLKNPKSLAKATEALDRVVGRECLVTERDFSHLPYMEAVLKETLRLHPVAPVLAPHLAREDTSVDGYDIPAGTNVFVNVWSIGRDPALWDAPEEFCPAQFIGSEVDVKGQDFELLPFGLGPKVTLLSIANLLRCFTWRLPDGMTVEQLSMEETFLLAMPRKIPLEAVMNPI >KQL22647 pep chromosome:Setaria_italica_v2.0:II:4174878:4177676:1 gene:SETIT_030256mg transcript:KQL22647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPVKEESHGDGGLVPGAAAGDGPSTAAAAAPRPMEGLHDAGPPPFLTKTYDMVDDPATDPIVSWSGTNNSFVVWDPHAFATVLLPRHFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKNIRRRKPPAQNASNQQSLGPYLEVGHFGYDAEIDQLKRDKQLLMAEVLKLRQEQQNTKANLKAMEDRLQGTEQKQQQMMAFLARVMRNPEFLKHLVSQNEMRKELQDAISKKRRRRIDQGPEVDELGAGSSLEQGSPVLFNPQESVEFLVDGIPTDLESPAFDGQGLAEPQDIDLGTSEQQPDMPQEDLTDNFWEQLLNEGLGEENDNPVIEDDMNVLSEKMGYLNSDGPTSRE >KQL24943 pep chromosome:Setaria_italica_v2.0:II:34760527:34764812:-1 gene:SETIT_029066mg transcript:KQL24943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLFATEKLGGRALYKLHATTLFVGICLVLCYRATHVPAAGRAAWLGMLAAELWFGFYWVITQSARWCPSRRCAFKDRLAARYGERLPCVDIFVCTADPQSEPPSLVMATVLSLMAYNYPPEKLNVYLSDDGGSILTFYALWETSAFAKHWLPFCRRYKIEPRSPAAYFAQSDKPSDPRALEEWSFVKGLYEEMTERIDSAVRSGKVPEQIRVNHKGFSEWNTGANSKDHQPIVQILIDGKDRDAVDNEGNVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNSDSIREALCFFLDEETGHKIAFVQYPQNYNNMTKNNIYGNSLNVINKVEMGGMDTWGGPLYIGTGCFHRREALCGRTFTKDYKEDWDRGIKTQQGIDLTEEKAKSLATCTYELNTQWGNEIGLKYGCPVEDVITGLAIHCRGWNSVYNDPPRAAFVGVAPTTMAQTILQHKRWSEGNFSIFLSKYCPFLFGHGKTRLPHQMGYSIYGLWAPNSLPTLYYVVIPSLGLLKGTPLFPEIMSPWITPFIYVSVVENIYSLYEALTSGDTLKGWWNGQRMWMVKRITSYLYGVIDNIRKLLGLSKMGFVVSPKVSDEDESKRYEQEIMEFGTSSPEYVIIATIALLNLVCLVGGLCRILTSGQNMQLNGFFLQVVLCGLLVIINIPIYEAMFLRKDRGRIPFSVTLASLGFVMLALFVPIF >KQL24944 pep chromosome:Setaria_italica_v2.0:II:34760317:34764865:-1 gene:SETIT_029066mg transcript:KQL24944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLFATEKLGGRALYKLHATTLFVGICLVLCYRATHVPAAGRAAWLGMLAAELWFGFYWVITQSARWCPSRRCAFKDRLAARYGERLPCVDIFVCTADPQSEPPSLVMATVLSLMAYNYPPEKLNVYLSDDGGSILTFYALWETSAFAKHWLPFCRRYKIEPRSPAAYFAQSDKPSDPRALEEWSFVKGLYEEMTERIDSAVRSGKVPEQIRVNHKGFSEWNTGANSKDHQPIVQILIDGKDRDAVDNEGNVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISNSPIIMNVDCDMYSNNSDSIREALCFFLDEETGHKIAFVQYPQNYNNMTKNNIYGNSLNVINKVEMGGMDTWGGPLYIGTGCFHRREALCGRTFTKDYKEDWDRGIKTQQGIDLTEEKAKSLATCTYELNTQWGNEIGLKYGCPVEDVITGLAIHCRGWNSVYNDPPRAAFVGVAPTTMAQTILQHKRWSEGCHIKWATPSMVCGHPTRYLLCTMLSSLH >KQL24587 pep chromosome:Setaria_italica_v2.0:II:31477526:31478391:1 gene:SETIT_031730mg transcript:KQL24587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLPISGEYRVSTYVSQIQHIQDLSQNRTKARCRIGSTKEILKDDNNVMKVKRCNITKVKRMIKECSIPCHVD >KQL27318 pep chromosome:Setaria_italica_v2.0:II:49127788:49132918:1 gene:SETIT_033274mg transcript:KQL27318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKPELCYSIFSVIPAENGQQAWSYLEDMQNSIDLVLAEVFMPGVSGISLLSRIMSHNICKNIPVIMMSSNDAMGTVFKCLSKGAVDFFVKPIRKNELKNLWQHVWRRCHSSSGSGSESGIQRQKCAKSKSGDESDNSGSNDNDEDDEASMGLNARDGSDSGSGTQARSSWTKRAVEIDSPQAMSPDQLADPPDSTCAQVIHPKSEICSNRWLPGTNNRNCKKQKDTNDDFKGKDLDIGAPRKLNTDSQCSPNERPMKPADGRREYPPENNSNESMMENLEEPTVRAADLIGSMAKNMDAQQAARGADAPNCSSKVPEGKDMNRGNVLPLLELSLKRSRSSADGANTIQDEQRNVLRRSDPSAFTRYHTSAVSNQGGTGFVGSCSPHDNSSEAMKTDSTYNMKSNSDAAMIKQGSNGSSNNNDMGSTTKNVVTKPTTNKERVMSPSAIKANAHTSAFHPVQHWTVPANAAGKAKADEMVNNATKNGHPGEVQSNLVQHPRPILYVHFDVSRENGGSGAPQCGSSNVFDPPLEGQAANYGMHGSNSGSNNGTNGQNRSTAAANAERTNTEIANGAINKSGPGGGNGSGSVSGNDTYVKRMAPTPREAQLMKYREKKKDRNFGKKVRYQSRKRLADQRPRVRGQFVKQAVQDQGGWEGAGDR >KQL25484 pep chromosome:Setaria_italica_v2.0:II:38499735:38502060:-1 gene:SETIT_030917mg transcript:KQL25484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTIVLSLILGLLAAANAVPFDFFYLILMWPGAYCEDSDNGCCVPKYGYPAEDFFVKSFQTFDLSINKPIVRCRNGAPFDNRKMNKIENGINHYWSNIKCPPTDGMNTWKSEWNSYGVCSGLAQLDYFKAALGLRTQAGILAALADQGIKPDYKLYATAKIKSAITKKLGVAPGLQCKDGPFGKKQLYEIYLCASTDGKSFIECPKLPATLSCPDAVVFHPFYTWMLNSTAAAFDSRILLPTETMLN >KQL27151 pep chromosome:Setaria_italica_v2.0:II:48127710:48130402:1 gene:SETIT_031209mg transcript:KQL27151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSPFNAVILKRLFMSKANRSPLSMRRLVKFMEGKGDQIAVIVGTVTDDKRITEVPAMKVCALRFTETARARIINAGGECLTFDQLALRAPLGQNTVILRGPKNAREAVKHFGPAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >KQL23004 pep chromosome:Setaria_italica_v2.0:II:7296798:7297634:1 gene:SETIT_033336mg transcript:KQL23004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSPSSMPVLSMATTGAELTQAEAVLWCHGFGYIKSMALQCAIKLGIPNAIHRRGGAASLPELHAAVPVAASKRPCLSRIMTFLAASGIFRQEKITDDGAAACYHLTAASRLLVDDDDAGGRSTCVSQFLTLLSTPHFVTASQNLAEWLQKDGAGTGAAAAERTPFAMAHGAGFYDVIRRDAALGACFDAAMGSDTSFVSEIVVREHGEVFAGVASVVDVGGHNGTTARAIAGAFPHVRCSVLDLPRVVEAMPADGTVEFVAGDMREFIPPADAVLFK >KQL25406 pep chromosome:Setaria_italica_v2.0:II:37911341:37913065:-1 gene:SETIT_030094mg transcript:KQL25406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQGGATGEAGGSEPPATAPAPAPPPVAEAAGQRSLPTPFLTKTYQLVDDPAVDDVISWNEDGSTFVVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANDCFRRGEKRLLCDIHRRKVAPAAPAAALATAAAAAASGAVTVAAAPIPMALPVTLPGSPALSSDEQVLSSNSGSAEDHPQAVPSGSGGASASGDTGEENERLRRENARLTRELGQMKKLCNNILLLMTKYASSQQLDASAALSSVVNCSGESSEALPPPPPLPPAILDLMPSCPSLATAAAGLVADADPEQAARLFGVSIGLKRTREDDDTGEEPADHGSAGAEVKTEASDPHQHRGSSSKEPSPDQHPWPIYRPTPVYHSMRCNAPDQGAAGSDQDGSNSR >KQL23805 pep chromosome:Setaria_italica_v2.0:II:20263380:20264720:1 gene:SETIT_032646mg transcript:KQL23805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYVDVGLQDLAPTSEDVIFSAWGVNSGGSATKKGLNFLIALGAWIIWKHRNDCFSGASPSVQPVYAW >KQL22858 pep chromosome:Setaria_italica_v2.0:II:5904660:5906030:-1 gene:SETIT_032021mg transcript:KQL22858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAPVTPGTVERFARPMSVLAGAMFVWKMVRRSCLLSLRQQLNDLAGRFFRHQSGDAYEQAKKYLGDRCARRARQLRAGSTPGGDRFVLSLGDGEEVTDEYRGATVWWYSVPAPLRRQDAGRTYRLVFHRRHRELVVSSYLPHVCREGRAVIVANRRRKLFTNSGERYSGRSIWRHVAFEHPSTFETLAMDPAKKKDIMDDLDAFRNGKEYYARVGKAWKRGYLLHGPPGTGKSTMIAAMANYLDYDIYDVELTSVYANADLRRMFIQTTSKSIIVIEDIDCSLDLTGKRKKKKHPAPSDWKASKVRLSGMLNFIDSLWSACGGERAIVFTTNHAEMLDPALIWRGRMDKHIEMSYCCFEVFRFLAKNYLAVDTHPLFDDVRVLLREVNITPASAPLL >KQL23103 pep chromosome:Setaria_italica_v2.0:II:8413533:8413700:-1 gene:SETIT_032769mg transcript:KQL23103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFRPCRPREGRRRGTVRFLITPCHYYLCFTVFVFSVSAAFVRQGVDMCHGSYC >KQL23696 pep chromosome:Setaria_italica_v2.0:II:16615069:16616018:1 gene:SETIT_0326092mg transcript:KQL23696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSRSATKKPKAAAADRFTALPLELRAQIASFLPYPQVAQLAVLSRPWRHIHLHTPVVDLNLDEFLYFAGAIVDDRTSLRGLLDDDALLGVRHALLRRAKEGSSVDVLRLVYSAGDPRVRRHADRIIALADASDVVLSVPFFGARPSRATAAAWALDVPPSTRYLELNWNDYLAPAIAGPGAAALRELCLENLELRRWPRLPSLRTLTLSTVNVKGPFAPAACYPLLEDLCMCHTNIEHARVDIRLPALKSLDMDDVDVSVPGDHDGSGPCCGDITVDAPMLEAVELKGTAEWTVEYKSFTLRAPRLRCLSWYG >KQL26519 pep chromosome:Setaria_italica_v2.0:II:44879778:44884647:-1 gene:SETIT_029081mg transcript:KQL26519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVASKNAVSVTPAADLSGAIRDRSQPRAHESAAPVPLPVPAAVVSSLRSSSYAARRSEKVKDEAEEPEKAAVVVPAASRSFRLRSLRKSLEGEQVAAGWPPWLSAVAGEAIQGWIPLKADSFEKLQKVGQGTYSSVFRARELETGKIVALKKVRFDNFEPESVRFMAREIQILRRLDHPNVMKLEGLITSRLSCSLYLVFEYMEHDLAGLCSSPDIKFTDAQLKCYMNQLLSGLEHCHSRRVVHRDIKGANLLVNNEGVLKIADFGLANYFDPSKNHPLTSRVVTLWYRPPELLLGSTHYDAAVDLWSVGCVFAEMFRGKPILQGRTEVEQLHKIFKLCGSPADDYWKKSKLPHATIFKPHHPYPSTLRDVFKEVPGNALSLLETLLSVEPYKRGTAAGALSSEFFRTKPYACDPSSLPKYAPNKEMDAKLREDALRRKASSRGHGTEASKKSSRLSRVAREPSAVPKQISNKEESKTSVNGTKDVAIQDRVKLNGDARLFTDIPPVLPVQVRERSRHFKNDTREEVPFSGPLSVSSSGGFAWAKRPQEDRSFARSRTRSSSRGQFPGEADQDRKSQAKENVGLRELPSRDVSISISRTNSKIQDREPHDVAKRAVLKKWSQLERPDSFDSCDTYHSQNFSNAMFLGGTVSSKNSFKGDHDQEEKVEYSGPLLSQSHKVDELLQKHERHIRQVVRTSWFRRGRKLDK >KQL26849 pep chromosome:Setaria_italica_v2.0:II:46604369:46604870:-1 gene:SETIT_033443mg transcript:KQL26849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPATASDGGQPAACDDRQLDLPQDCPSHRLDLALANDDWVLFVKTRRPRGAI >KQL23363 pep chromosome:Setaria_italica_v2.0:II:11259540:11277922:-1 gene:SETIT_028679mg transcript:KQL23363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVRFTVGSQVWVEDADVAWIDGLVEEVQGDELIINCSSGKKVTANVSSVYPKDAEAKRCGVEDMTRLAYLNEPGVLQNLKSRYAMNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELSPHPFAIADHAYRLMINYGKSQAILVSGESGAGKTESTKSLMQYLAFMGGKAQSGGRSVQQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQNGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCSAPPEDRERYKLGDAASFHYLNQSSCIKLDAMDDASEYIITRRAMDIVGISSDEQDAIFRVVAAILHLGNVEFSEGSEADSSVPKDEKSQFHLKTAAELFMCDEKALEESLCKRVMATRGESIVKNLDARAAALSRDALARIVYSRLFDWLVNKINTSIGQDLSSKLLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHEIFAEKLYQKFKGNPHFSRPKFSRSDFTIHHYAGNVTYQTDLFLDKNIDYAVNEHQVLLNASRCSFVSSLFPPSEQSTKSTKFTSIGSSFKQQLQSLLETLSATEPHYIRCIKPNNVLKPAIFENSNVLQQLRCGGVLEAIRISCLGYPTRRRFDEFVDRFGVLLPEVLGESYDEVTATNMLLEKVNLTGYQIGKTKVFLRAGQMAELDALRTEVLGRSATKIQRKVRSYLARKNFIQLRISATQLQAVCRGQIARHYYEDLRREAASLTIQTCYRMHFARKNYRNLCSASTTIQSGLRGMAARKELHFRLQTKAAVIIQSFWRSYAARSRYMSLKKASITTQCAWRGRVARKELRKLKMAAKETGALQAAKNKLEKQVEELTWRLQLEKRIRSDMEEAKTQENRKLQQQLQELQLQSKETKDLLKREQENAKEALEKAALVPEVQVDTTLVDKLTSENENLKALVGSLETKIDETEQKFEEMKKAREEWMNKAADAESKINELTNTVLSFQEKVTTMEAENQLLRQQALLRTPVRTIPENASPKSNLTNGSPRSEQMTPHGTPPARKEYGSFAQPRASFFEKQHESVDALINCVSENIGFSEGKPVAAITIYKCLVHWKIFETEKTSVFDRLIQIFGSAMQKHDSNEDLAYWLSNSSTLLIMLQKSLKAAGTTGTSPQKRPQTQSSFLGRMVFRSSNITVDMDLVRQIEAKYPAFLFKQQLTAFVEGLYGMIRDNVKKDLSSLLSYAIQVPRTVKASMVRGRSFGSSSLPRGRSFSNQASYWQAMVDNLNELLKILQDNCVPSIFIRKIFTQVFSFINAQLFNSNGEYVKQGLAQLEAWCGEVKPEYAGSALDELKHIRQAVGFLVLSVQQLYKICTQYWDDKYNTESVSEEVLEEMRTSMTKESNQDTLENTFLLDDEISMPISLEEIADSMDAKEFQRVTPPQELTAMPAFQFLKS >KQL24546 pep chromosome:Setaria_italica_v2.0:II:31177820:31178319:-1 gene:SETIT_031708mg transcript:KQL24546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLRPYSTLLQVLYYENKRDGEVTAESDGKKILTETGIWCMMKVRCSSLITCSACCNVGEEWHRFYVNPVVRYLTVLML >KQL26039 pep chromosome:Setaria_italica_v2.0:II:41973581:41983679:1 gene:SETIT_028682mg transcript:KQL26039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEIAPSGSRRGWLSAASISQSLRAGGDPDDPFRRSTASRDDGDDEENLRWAALEKLPTYDRMRRGIIRRALDGEGTKLAAGGEVDLTNLDPRDGRELMERVFKAVEDDNERLLRRFRDRLDLVGIELPQIEVRYEHLSVEADVHVGARALPTLLNSAIDVLEVRAGTTPMHAACMHGLISRFGSSNKSTIQILKDVSGIIKPSRMTLLLGPPSSGKSTLMRALTGKPAKNLKVSGKITYCGHEFSEFYPERTSAYVSQYDLHNGEMTVRETMDFSRRCLGIGARYDMLSELARRERNAGIKPDPEIDAFMKATAVEGKETNIMTDIILKVLGLDICADIIVGDEMKRGISGGQKKRVTTGEMLTGPAKALFMDEISTGLDSSSTFQIVKYIRQMVHVMNNTVMISLLQPPPETYNLFDDIILLSEGYVVYHGPRADILEFFESAGFRCPERKGVADFLQEVTSRKDQQQYWCHDQEHYRYVSVPEFVQHFKTFHVGQKLQKELQVPYDKSKTHPAALTTKKYGLSSWESLKAVLSREWLLMRRNSFLYIFKFVQLFMLAFITMTVFFRTKMPSGKFSDNGKFNGALASSLITIMFIGVTEMNMTIKKLPVFYKQRDYLFFPAWTYGLATILLKIPFSFLDSFMWTTVTYYVMGFAPAAGRFFSQFLAYFLTHQMAVAMFRLLGAILKTMVVANTFGMFSLLIVFLFGGFLIPRQDIKPWWIWAYWVSPMMYSNNAISINEFLATRWAGLNTDANINAPTIGKAILKFKGYFGGQWGYWLSIGAMIGFIILFNVLFLCALTFLSPGGSSNAVISDDDDKKKSTDQEQMHQVPHGTDEAANRRTQTGMVLPFQPLSLSFNHMNYYVDMPAAMKEQGFTESRLQLLSDISGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGTIEGDIKLSGYPKKQETFARISGYCEQTDIHSPNVTVYESLIYSAWLRLSSEVDENTRKMFVEEVMSLVELDILRDALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYAGQLGVQSRILVDYFEAIPGVPKITEGYNPATWMLEVSSPLAEARMNVDFAEIYANSALYRSNQELIKELSIPPPGYQDLSFPSKYAQNFLNQCMANTWKQFRSYWKNPPYNAMRYLMTLLYSVVFGTVFWRKGKNVGTEQDLLNLLGATYAAIFFLGAANLLSALPVFSIERTVFYREKAAGMFSPLSYAFALTVVELVYNIAQGILYTVPIYAMIGYDWKADKFFYFLFFITACFLYFTLFGAMLIACTPSQMLASILVSFTLTSWNIFAGFLIPRPALPIWWRWYYWCDPVAWTIYGVIASQFGDIGRSVEVPGNLAGKAVKEVLKETLGMKHDFVGYVLLAHFGYILLFLFLFAYGTKALNFQKR >KQL26765 pep chromosome:Setaria_italica_v2.0:II:46214773:46220562:-1 gene:SETIT_029855mg transcript:KQL26765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMEPSTAPENGSAAGGGGAACNGAGAAPNGGGMERRLRSSAASASWAAHLPLEVGTRVMCRWRDQKLHPVKVIERRKGSSSSSPADYEYYVHYTEFNRRLDEWVKLEQLDLDTVETDVDEKVEDKATSLKMTRHQKRKIDETHVEQGHEELDAASLREHEEFTKVKNIAKIELGRYEIDTWYFSPFPPEYNDCPKLFFCEFCLNFVKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLEILKKHKGNISIKELSDMTAIKADDILSTLQSLDLIQYRKGQHVICADPKVLDRHLKAAGRGGLDVDVSKLIWTPYKEQG >KQL26783 pep chromosome:Setaria_italica_v2.0:II:46303637:46309731:1 gene:SETIT_029748mg transcript:KQL26783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHDEIAVARPFGWVVSSWVDKRSRSAGLLALTLLLAQTPDLLSSCSLFLFPASHRNALPYRPMSAAIIPSNGLPLSSTRYPQSRSSTRGGAFPASAGLFAPTDARLAAVGVSTAASPASSTKQGPMSGPTVTRNRAAAAASPPARASRLPARAAARLPSTRPLACSALAAPVRHPPPRPAPPAPAARAFGRRQLGEIPASRSARRPGAQASEGAFRRRRRTIRPHRRRARGGRRLHRSTPRLTNRVQQQISHPPHPGPSVTTRVLYSEPTSSALLSFATVPTLASSSTSLCSPPPACPYNLRYKRAKTTAKPVRETREKQVQLWKDLILDYCRSQKIHTISLEEDFPLFSNPKIERSLSHEAKEVFLAALVSEGRAEWMDKGHKKCLILWLRIQDWANFLINFVKDNGLEVMTIEEIRSGTDTRGTGET >KQL26782 pep chromosome:Setaria_italica_v2.0:II:46303637:46310047:1 gene:SETIT_029748mg transcript:KQL26782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHDEIAVARPFGWVVSSWVDKRSRSAGLLALTLLLAQTPDLLSSCSLFLFPASHRNALPYRPMSAAIIPSNGLPLSSTRYPQSRSSTRGGAFPASAGLFAPTDARLAAVGVSTAASPASSTKQGPMSGPTVTRNRAAAAASPPARASRLPARAAARLPSTRPLACSALAAPVRHPPPRPAPPAPAARAFGRRQLGEIPASRSARRPGAQASEGAFRRRRRTIRPHRRRARGGRRLHRSTPRLTNRVQQQISHPPHPGPSVTTRVLYSEPTSSALLSFATVPTLASSSTSLCSPPPACPYNLRYKRAKTTAKPVRETREKQVQLWKDLILDYCRSQKIHTISLEEDFPLFSNPKIERSLSHEAKEVFLAALVSEGRAEWMDKGHKKCLILWLRIQDWANFLINFVKDNGLEVMTIEEIRSGTDTRGTELEGIDRSVLMRALRQLEQKGKAAIFKGTSADDEGVKFSV >KQL26784 pep chromosome:Setaria_italica_v2.0:II:46303637:46310047:1 gene:SETIT_029748mg transcript:KQL26784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYHDEIAVARPFGWVVSSWVDKRSRSAGLLALTLLLAQTPDLLSSCSLFLFPASHRNALPYRPMSAAIIPSNGLPLSSTRYPQSRSSTRGGAFPASAGLFAPTDARLAAVGVSTAASPASSTKQGPMSGPTVTRNRAAAAASPPARASRLPARAAARLPSTRPLACSALAAPVRHPPPRPAPPAPAARAFGRRQLGEIPASRSARRPGAQASEGAFRRRRRTIRPHRRRARGGRRLHRSTPRLTNRVQQQISHPPHPGPSVTTRVLYSEPTSSALLSFATVPTLASSSTSLCSPPPACPYNLRYKRAKTTAKHLVFEQAQEWRCRGWGISGCRPSSTTHLTSRSLSHEAKEVFLAALVSEGRAEWMDKGHKKCLILWLRIQDWANFLINFVKDNGLEVMTIEEIRSGTDTRGTELEGIDRSVLMRALRQLEQKGKAAIFKGTSADDEGVKFSV >KQL25666 pep chromosome:Setaria_italica_v2.0:II:39734763:39736936:-1 gene:SETIT_033755mg transcript:KQL25666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGAAEPLPEDAPLFHRVESLERGRRLHLSLIVEVTRADRVLGFICSAWPDELAVRHVLTLRAGGGAASSDGARGGRNFEKLGAGEREAVTRFLKEREVDGELAEFLHDYMANKEKMELLRWLKTVESFLDK >KQL22847 pep chromosome:Setaria_italica_v2.0:II:5784444:5785530:1 gene:SETIT_032811mg transcript:KQL22847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPRPSLPDELVEAILLRIPPDYPARLMRAAVACKRWRRLVTGPAFRRGFRRAHRTPPVLGVLRNSRPRGMPTVSFSPACSFLRTARGDCRAFRAIDSRHGRPCSALVAAVRAAAATTSTATTADPSSWSSSPLTDGSRGGTRY >KQL23132 pep chromosome:Setaria_italica_v2.0:II:8620281:8621211:1 gene:SETIT_033449mg transcript:KQL23132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAPDKMMLSYLLSLVGSLLNYRSHNISNHPFSIFIIIELFIEFCRLLNIVPVTNKSMIYFSSQTRRRTVCHWLIVLRR >KQL26756 pep chromosome:Setaria_italica_v2.0:II:46153352:46154213:1 gene:SETIT_033774mg transcript:KQL26756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFFSKLAPLVPRFRRLSTAAATAAGEDPKLSRIADELLALSPAELDDYAALLRLKLRLSLTSSAAAGGASSAGAGDASAGPEEAAAAVKTAFDVKIEKYEAAAKIKIIKEVRAVTDLGLKEAKELVEKAPVVVRTGLPKEEAEALAAKLKAAGAAVALE >KQL26171 pep chromosome:Setaria_italica_v2.0:II:42752487:42753890:1 gene:SETIT_031920mg transcript:KQL26171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSLLATVCLLLLTLLGGTLVEARPAPRSPHRNAPRRHLWTTDAPEGSADGYPNTWYAHRKLGEKSKPPKNATTKGVQFDVGSFGATGDGQTDDTGAFQNAWAQACSSEQPAVLLVPAGKSYLVKETSLSGPCKSKVTFKLEGTLVAPEDKSGWSKQGHPHWVSFSNVDSLTVTGKGAMDGKGKTSWKNSCRRNHKMPCTFAPAALTFSSCNHLKVENIKLLNAPQIHLWVEFCSDVTLSRLTIASPGDSPENDGIHVAHSDGVRILGAKIKAGDDCISIAMGTTNLYATKIECGPGHGISVGSLGKGSTRAEVSNVTIDGAHVSGTLFGARIKTWQGGTGYARDIKFLNMVMDKVKNPIVIDQNYCTTSDPSKPKACSQKESAAVEISNVEFSNIRGTSVNRDAIRLHCSEAFPCRGVVLRDIELKTRGGDEKNAATSTCENAVLGETSNVSPAPCSSAATKEDL >KQL27219 pep chromosome:Setaria_italica_v2.0:II:48640177:48642143:1 gene:SETIT_030526mg transcript:KQL27219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVSATMNLGEEESPLVLPPGFRFHPTDGELIVDYLTRKALNRRFSCIVITEVDLNKTEPWDLPGKAKMGEKEWYFFCHKDRKYPTGARTNRATPSGYWKATGKDKEIYRGRDLVGMRKTLVFYTGRAPRGGKTPWVMHEYRLEGKLPPNLPRAAKDEWAVCRVFNKDLAAKAGQMAPAAAVMEPTMDFEDIFGAELPPLMDSPFAVDDLLDLKGGAGAGSSGSGAAAGTGSGGGYQVKAEQQNEQPQPIQEPTYFSLPAASNPGGYPHQAIRERCPEQAPALPSPSVGETALDADMSSRPYPELDDLLLDGGYLDYSNMWKF >KQL26751 pep chromosome:Setaria_italica_v2.0:II:46136872:46138126:1 gene:SETIT_031597mg transcript:KQL26751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQMNEEPLGVGARDCAGGLVGWMGLCASAGSASVHSQTQLQRRCRAHVAGHQPREAPSALAAVRSAPRPSSPRKNRPPFYPQPRTLASSPCGFPAPRSRRGW >KQL24889 pep chromosome:Setaria_italica_v2.0:II:34415715:34416349:-1 gene:SETIT_033564mg transcript:KQL24889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCSSTATAYVRARPPPAAHLYASSTSGMKTPYSTAAPRVSAKPSQYWPLVTPAGRSEETCSPSTTAPTVSSATAFMVGAVSRCPTRRNAKSTVNTSCVEMRIAEVETGRNLSPQA >KQL25775 pep chromosome:Setaria_italica_v2.0:II:40468031:40468892:-1 gene:SETIT_032571mg transcript:KQL25775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRNSGEGWAFWVSYGRQKGFEVRKRYTNKRQSDGKIASCKFVCANKGYRLKDKRDHLIKCPQAEIRTDCQVHINFIMNRKKEILKVTDLVLEHDHQLHLPETLHLMVSQRKISDLQAFEIEIADDMGIGPKAAHELASHQVGGPLYFSYTLRDYKNYLRFKRPQEMAYKPCYHSVHYFLKPSNFDKEGGEEAGRGEAQAQAQAPVVDGTDYKDYMGELSFTQMLMVILLV >KQL24176 pep chromosome:Setaria_italica_v2.0:II:27053959:27055334:-1 gene:SETIT_033725mg transcript:KQL24176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDVAFVCLICGRSKCIFVMCTVAIKLMYLCNSLKYANFEICTMSLLL >KQL22436 pep chromosome:Setaria_italica_v2.0:II:2559916:2561973:1 gene:SETIT_031652mg transcript:KQL22436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSLVGKLRIPPALVAASRAFSHKCEHCGKTSSRIGAKDDWSEEFKRFQRKEYMIRIGSGVAAFSILGISGKYTLYLLETGQLPKVRRRK >KQL22464 pep chromosome:Setaria_italica_v2.0:II:2808770:2809315:-1 gene:SETIT_032699mg transcript:KQL22464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQEPGLDLALALAPAAAGRREELDEVAPQPTAYVAGKQVRLFPCLFCNKKFLKSQALGGHQNAHRKDRAAADWNPYVYGHHDAAAGASVPIASHGVAAAELPAGVKLEAPDRSSPLYAGHVLLPAVEGAAGPSTAGAGRGGTVGMLNWRRTSHVSAPPESTAPPPSSSGGEELDLELRI >KQL24767 pep chromosome:Setaria_italica_v2.0:II:32966448:32969149:1 gene:SETIT_030390mg transcript:KQL24767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWLLLPLPSFPWLPPPPPPGSSSGGRGGGGGGGDGGDWRPNVVAAFAGAQVGSALRRRFAGLLRSPEVRHLEALPNMGDICFGGSHSFATHPILGALGNVFPASYVCSFTLFNGNGSGGTYIGKGKVLSRRPRRIDSKKRLWTNVLLAINVLAYIAQVASQGKLLMWGAKINSLIDRGQFWRLATSSLLHANVTHLAFNCFSLNSIGPMVEMLSGPRRFIAVYISSALAGSLMSYRCCESPAVGASGAIFGLVGAHAVYMWRHRSYFGNARESLEHIGRVVVLNMGMGLLSRGIDNWGHLGGLLGGVAVAWFLGPAWQYQYVGKDGRVVFKDRAPVLRLIKG >KQL26558 pep chromosome:Setaria_italica_v2.0:II:45040875:45044254:1 gene:SETIT_031201mg transcript:KQL26558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALVVAVVLLLSSSLVASQWCVCKQDAPQASMQKTIDYACGSGADCNSIHENGGCYNPNTVAAHCSWAANSYYQNNKAKGATCDFTGTATLTTSDPSSSGCSYPTSASAVGTMTPTTGGTMGGTPGTFTPGAGTTSTGMGTGTTTGTTGAGLGLGPAGTGMDTAAASLLPSAGVAAVLTVLLSAIAFA >KQL26185 pep chromosome:Setaria_italica_v2.0:II:42852869:42853913:-1 gene:SETIT_033612mg transcript:KQL26185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVELSVPMSIHAFALFVSSVAFVHLACLTI >KQL27245 pep chromosome:Setaria_italica_v2.0:II:48804253:48805657:-1 gene:SETIT_030827mg transcript:KQL27245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPLSFSWRLPSPPPPPPPDHQQPGAFSKLPFPPLARPPDAQIATAPPLRPAPNPPAAPPASLRSRPTPGVRPALGTTAASFLFPPASAVSPPAQPKALSPPPPPLSLHGRGPPAACQDSPPSITHAWTVSITPTADAAVPTGLLDACLHPVPSFPLVLDSNHPLWPLPQPCPPHPNLSAPARARRRRPPPPGSQLPPALEPPATGPGSPSTGAPRPPSNSSSSICLLAVPLQHIPWVGTASADPSGLGNFDCLHLRLLVSKP >KQL23272 pep chromosome:Setaria_italica_v2.0:II:10413635:10415305:-1 gene:SETIT_033030mg transcript:KQL23272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEALAAFMDVTGCGYEDAFHRLASCGGHLGEAVNRFFNVEAGTSGILRSPPLPSLPQVISDSESDDDAARAPVPAARPDRPARVGGARGRWPSGSAPRRVSRWDSETKGGGGSSKSSPRRRRDMEAGRNRDRVQKKRRRVGEDDGPYRGGRGAGDGGKSSGRGRRFRDIFASSSSDGEEDKVEANSSRNRRRLNPKDDTSDGEEDVQVISSSSSSRRPNRGGKAPTSGATAVKKEDGNSSSLRRRFRETILSDDDDMEVYEAPPPPRASQSEKDELFRVPHGLTYKGGFHDAVAHAARRCRWLLVNVQGRLDLASLAQNRDVWASDLVAQCVREHFVLWQADAGDEEIIGGEGEEATKVLSYYKIPRAKLPVVVVVDPVTGQAVGRLHGTDPNDFLVSMEPYTDKKPAFPVVGAAKKSTASAGAQQNDKIPAATAAPTNWQGQAPTPVATAVAVAPTSQQPASVEMKVCKLRVRLPDGRVVAKEFGIQCAVAELFSYCRSEMGAGAAAARPFRLLRFVGAARVEIGNDDASFESLRLHMSTVCVDLS >KQL25118 pep chromosome:Setaria_italica_v2.0:II:36086633:36089562:-1 gene:SETIT_029088mg transcript:KQL25118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPPRRWPPGFRFSPTDEELVLYFLKRRIVSARPSPYVADVDVYKSHPSHLPERSALQTGDRQWFFFSRTDRKYPNGSRASRTTGDGYWKATGKDRFICGGGRAVGNKKTLVYHHGRAPRGERTDWVMHEYTLLADALPPAAQGRESYALYKLFQKSGAGPKNGEQYGAPFREEDWLDDDEEGVTADASANSVPICPATVEEHAIADRELPIEDLHELLSQIGNDQEEFGEAPLDFSTPATSHGQGQGWPSGGGDKAEVVDASVSDGAVVVAENTCIDLPLGDIEQLLMQISDDQQNAEFFSDFTPSVPQLQLQCDNHQVWLDAHRGQEVCAADPTASGGAVVAAECTDTDLEGLLLQIASDQDMVEPLSDLSPPIPHHNFNQVGIGDFHESHGAPVGNLSCTVQESTFVPQTELRSQFPQSNLTNVPFSGETNSSEGTSVPHSVSGLISYNSQDADDEFLEINDFFDLEDVEQSANCTATEHLISATNGMFDNLEYSDAPTFLPGPFDTAGEVAENQFFDFGSSGIQNQGFHYTTQVRTQNQAALNVRSHMKDNHVVLSSHTSGTLNLHAANEPPSRSSTASQSWFNAALSTLLDSVPSSPALAAEIENTVINRTLQRISSFRSHQASGEENTVINRTLQRISSFRSQQAVREEPSTRRIQVTRGGRLTFISLLVILAAVMWTFTAGSALNFCKGLWKSSSR >KQL26861 pep chromosome:Setaria_italica_v2.0:II:46647916:46648559:-1 gene:SETIT_031630mg transcript:KQL26861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVKFSDSMWLWMIDPIWISTFAAWQRILKCKQTAFKTVMLFAARLVGYLFGVCHSWGKVWSKSKRLLASFFASSHFRWWYASCNADSSQNYGWL >KQL26205 pep chromosome:Setaria_italica_v2.0:II:43019632:43020786:1 gene:SETIT_030141mg transcript:KQL26205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPAQPGAEAEVVDSPTPILRLANDILADIFLRLPALADVGRTATACPAFRRVVADPSFKRRLRRAHRAPLLGFLFCRFHPAEAPHSSAPFARALERAADLCFSYLHSPQPFTRWYPLDARDGRVVLGHTSSTSFVVADPLSRRCLLLPPIPVLAAAPQHPFLFPAMGDEAETSFTVGCMAEGEPGLMLAFVYSSATGEWSDLHMVTTLSEPKPSYACGSFYWKFTADTLLVLDARAMEFSFVEIPTTYGERDFVVAEAGEGRTGIFSIRPGDGWAPSSLICAIKRSAGEGAAGVEWQYKRRIALPPQYRYSFAGASDRHLLLHRAPWNLRATTSGGNSDSGSAYFSVESDTLKIEKVCGLGQLLDAVPYVGFPPSLCLPSI >KQL24499 pep chromosome:Setaria_italica_v2.0:II:30729585:30731008:-1 gene:SETIT_030429mg transcript:KQL24499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMDSARRAGCSPVCLDLSVGLSPSPPKMGAEMAAEPERPDPHAGGCRMASSMTDEQAKTLEARFTQVSEENRRLSEMIAYLYASPFARPSPPDGSDSTRASQAASPPTPPAVSRKRSRGSLEPPSNSGDANNGSGNAEAAHADESPLSDGFEGSCRRIKVRRVCTRIDPSDTTLTVKDGYQWRKYGQKVTRDNPSPRAYFRCAFAPSCPVKKKVQRSAEDSSMLVATYEGEHNHPCPTRAAELPGSAATRTGSVPCSISINASGPTITLDLTKNGAGGVRVLEAAESPDVKKLCQEIASTEFRTALVEEMASSLTRDSKFTDALAAAILKQLPDY >KQL24584 pep chromosome:Setaria_italica_v2.0:II:31456165:31457017:1 gene:SETIT_031685mg transcript:KQL24584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLQIRDTLKKHVLRRIRILSNISSGRISQWWTLKNPSINIMNMYGIEHEKDALLCKVCTKGRPIRNIMKKWKQHHGMVQNIP >KQL26896 pep chromosome:Setaria_italica_v2.0:II:46807142:46808311:-1 gene:SETIT_033206mg transcript:KQL26896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAAVTLLALLCSVTCCQASGYGYGYPGSGGGYPSPAPTPTPSGTGLAVGFYSHTCPNAEAIVRGVVKKAVEQNPGVGAGLIRMLFHDCFVQGCDASVLLDPTAANPQPEKLSPPNFPSLRGFEVIDAAKAALEAACSGTVSCADIVAFAGRDASAVLSGGRADFAMPAGRRDGRVSRSDEALQFLPPPSFNLSELTASFAAKGLDVSDLVVLSGAHTVGRSHCSSFIVTDGRLNASTSDMNPALAASLRRQCPAAPTAANDPTVVQDVVTPARLDSQYYKNVLNRNVLFTSDAALLKSGQTAASVVLNAFVPGLWEQKFARAMVKMASIEVKTGANGEIRRNCRIVN >KQL23958 pep chromosome:Setaria_italica_v2.0:II:23549438:23552556:1 gene:SETIT_029624mg transcript:KQL23958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATVPPSPRADLMPPPPPPPPVPPTTERRPRRRAREVSSRYLSTPVPSTPRLSNASAASSTRSRSPTPSPRGRQRVATPFANENHPPPPPPPTGTVARRRAVQKLFEETGACNPRASVSSVSSGVAAATPRPLPRSTSGPAVPTARRGYPRLPTPARAGSYPSAASAAADSDAASCCSSSDTSSTATDFSEADGGLGVAPAAPCESPPLLGPASCRGGRLSSELRSSVPESGGSARASNPLCYRSLNSALSISTAATGKLATAARPPHPQGPKAAELKKAAIVGGRKVAGKQEDVHQLRLLDNRYLQHRFINARAEAVAKAKASAAEKSLCGLTERLMGLRESVTKKRTEVDTMRREQRLCSVVNVQIPYLDQWSDVEGEHLSCLGGVSTALHNASLRLPVIGNVKANCGEITEVLTSAVQLLEPLSPYVGNFLPKVVEIDDVAKNVAQIIATERTLIEECGNLLYQAHNLQMREYSLRCQLMQLKQTEET >KQL27087 pep chromosome:Setaria_italica_v2.0:II:47747637:47749250:1 gene:SETIT_033355mg transcript:KQL27087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLPLYLLLISLLVAIPLFCFRRSARGHGSALRLPPSPWALPVIGHIHHLALAGALPHRAMRDLARRLGPLMLLRLGELPVLVASSADAAREIMRANDLAFATRPISPTARVLLGEGSYGIVFAPYGDGWRQLRRICTTELFCARRVRSFRAVREEEVRRLLRSVAASASELASPVNLSEMVSAYVADASVRAIIGSRFRDRETFLTLLERRLKNVPAQSLPDLFPSSRLAMLISTTPRRMRSQREEMMAFIDTIIQEHQDNRAAAGVDEEDLLDVLLRIQREDELDPPLTTENIKAVIIDIFGGSSETSATTLQWIMAELMRNPRVMRKAQNEVRRVLAGQEVVTEDNLGELRYLPLVIKEALRLHPPATLLIPRECRSPCQVLGFNVPAGVMVLVNAWAIGRDPSNWDAPEEFMPERFENSDVDFKGSDFEFIPFGAGRRMCPGIGFGLANMDLALASLLYHFDWKLPDGMEPGQLDMTEALGITTRRLSHLMLVPTVRVPLRGE >KQL25204 pep chromosome:Setaria_italica_v2.0:II:36635025:36636423:1 gene:SETIT_032411mg transcript:KQL25204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQYIWALTAATGRSSSQRVCLPSTRSIAYHSIASSLLPLGVRRSFLHGARNNLLCFLVGAAAAAACFVLLLPAAPWPCAAILPAAGTSPDDDNLGELLRRAAMDDKTIIMTFTNEAWTAPGSLQDLFLQSFRLGVRTAPLLKHLVVVAVDVKAYERCQHVHRLCYHLRVEGVDYASERSFMQKDYLDMMWRRNRFVFTDVDIIWLRNPLLRIPLGADMANWFHENLFYGDNPYDLNKRANGGFVYARASPRMVAFYGAGLDFYKVCTVHANCLVGLETKLQKLTEVFDEWKQFREKAALLGSNTTALTD >KQL23108 pep chromosome:Setaria_italica_v2.0:II:8435571:8435970:-1 gene:SETIT_033610mg transcript:KQL23108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKLQRRQLDPSSLYSSELKPSILVSFSSELQLPLLLHIPWHGILREHLFCP >KQL27224 pep chromosome:Setaria_italica_v2.0:II:48679214:48681473:-1 gene:SETIT_030350mg transcript:KQL27224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQQQLHGHNQHLSSRPGLPPEKQFLLQGGDAGLVLSTDAKPRLKWTPELHERFVEAVQQLGGPDKATPKTIMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANATNAKNVLGCRTGTDKPCERNGSPASHLNTEPQINRNLIRSMHISEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQEALAKQNVDLDAGVLGAAAAAETQQLSELISRASATKCAQHEHFHHQHLGGVGDGSVDSCLTACEGSQRDHDMLSIGLSPAPTPRGGGYPFEAARSSGNERGGASTSCCEEFLFLEESGTGRRGSTDEQQELDLNINDRNTRRPRNCEKIDLNGSSWN >KQL27225 pep chromosome:Setaria_italica_v2.0:II:48678842:48681751:-1 gene:SETIT_030350mg transcript:KQL27225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQQQLHGHNQHLSSRPGLPPEKQFLLQGGDAGLVLSTDAKPRLKWTPELHERFVEAVQQLGGPDKATPKTIMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANATNAKNVLGCRTGTDKPCERNGSPASHLNTEPQINRSMHISEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQEALAKQNVDLDAGVLGAAAAAETQQLSELISRASATKCAQHEHFHHQHLGGVGDGSVDSCLTACEGSQRDHDMLSIGLSPAPTPRGGGYPFEAARSSGNERGGASTSCCEEFLFLEESGTGRRGSTDEQQELDLNINDRNTRRPRNCEKIDLNGSSWN >KQL22836 pep chromosome:Setaria_italica_v2.0:II:5721546:5727572:1 gene:SETIT_028836mg transcript:KQL22836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKAANLDAVLKEAVDLENIPIEEVFENLRCSPQGLSTEQAEQRLAIFGPNKLEEKQESKVLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRNGRWTEEESAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIALGMLVELIVMYPIQRRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGAHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFQRGVDQDTVILMAARASRTENQDAIDATIVGMLADPKEARAGVQEIHFLPFNPTDKRTALTYLDGEGRMHRVSKGAPEQILHLAHNKSDIERRVRAVIDKFAERGLRALGVAYQEVPDGRKESPGGPWQFIGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETARRLGMGTNMYPSSALLGQHKDESIVSLPVDELIEQADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLAEIFATGVVLGGYLAMMTVIFFWAAYKTDFFPRVFGVESLEKTAQDDFQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYANWAFAAIKGIGWGWAGVIWLYNVVFYFPLDVIKFLTRYALSGRAWDLVLEQRIAFTRKKDFGREERELKWAHAQRTLHGLQAPEASIFENKTTFNELNQLAEEARRRAEMARLREVNTLKGKMESVVRQKGLDMETIQQSYTV >KQL24639 pep chromosome:Setaria_italica_v2.0:II:31945980:31947026:-1 gene:SETIT_032228mg transcript:KQL24639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHHDALWAKLHELEVQLAAYKLLRAARGGEDAGTAPGGGARRGRQYDAYMRRRDARRVAAAELLLLQQHETAKAQQARGARASVLTVPVSPRALRCTTRDTQEAGVATPRLAVAQAKRTLAASIPSTPRRDAAALPRSKTVSGGGGAASSPARSSHHQRRSSLGALAEFGECATPRPFLKRGTGTGGAAAPARLRTPRVHDLPAIDVAPSTPRPLPLPLQEPAHVHGPRHARSVSELPLDAALASPQARARKRWGSPERPAAMFSAAGAGDSRRDLSKGLRKLLSFVRKGGRSGDQQAFPAPSPRGSGKPVSKGWSDCSLVDVPLDRASLEAHRFPMTRAVGISG >KQL26703 pep chromosome:Setaria_italica_v2.0:II:45857959:45860124:1 gene:SETIT_033721mg transcript:KQL26703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTTARKKVGAVLKKHASSGVESGIEPSMLGSDSWNGNAGSSSGQTVGTREININEVAKPTDGATVLNFGGDEDIGFDLDVDATDAILGNLQHLPFLREDDNTRRMF >KQL23514 pep chromosome:Setaria_italica_v2.0:II:14356785:14361568:1 gene:SETIT_029674mg transcript:KQL23514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKAPRAFSIEELPGHLVGEVLTSGRLAAADLARLEGTCRALRPLAEYAASKLCAARAAFAAMGPAARGELLERCRGSWKKVLRFLQSVEQSSGTVQTSSGSMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCAAFSRVSFPSLSRVINISASHNHAAFLTESGEVFTCGDNSSLCCGHGEVGRTIFRPTQVEALKGVSCKQVATGLSFTVILTRDGQVYTCGSNTHGQLGHGDTVDRATPKIIELFEGPTQVVQIAAGASYTFAVTEDGTVHSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGHVYTWGRGYCGALGHGDENDKTSPELISSLKNQVAVQVCARKRKTFVLTDEGSVYAFGWMGFGSLGFPDRGSSDKVMKPRVLESLRDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGHEYIRVCLKPTEIMFQKSMEDIAIAAPSG >KQL23513 pep chromosome:Setaria_italica_v2.0:II:14356785:14362105:1 gene:SETIT_029674mg transcript:KQL23513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEKAPRAFSIEELPGHLVGEVLTSGRLAAADLARLEGTCRALRPLAEYAASKLCAARAAFAAMGPAARGELLERCRGSWKKVLRFLQSVEQSSGTVQTSSGSMQVATGRYHTLLVHDSSVYSCGSSLCGVLGHGPDTTQCAAFSRVSFPSLSRVINISASHNHAAFLTESGEVFTCGDNSSLCCGHGEVGRTIFRPTQVEALKGVSCKQVATGLSFTVILTRDGQVYTCGSNTHGQLGHGDTVDRATPKIIELFEGPTQVVQIAAGASYTFAVTEDGTVHSFGSCTNFCLGHGDQHDELRPRAIQSFKRRNIHVVRVSAGDEHAVALDALGHVYTWGRGYCGALGHGDENDKTSPELISSLKNQVAVQVCARKRKTFVLTDEGSVYAFGWMGFGSLGFPDRGSSDKVMKPRVLESLRDHYVSQISTGLYHTVAVTNKGIVFGFGDNERAQLGHEYIRVCLKPTEIMFQKSMEDIAIAAPSG >KQL27047 pep chromosome:Setaria_italica_v2.0:II:47575250:47578105:1 gene:SETIT_030871mg transcript:KQL27047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPTERDQFTDEEEDDFLDEELDEQGLGSEDEEGVVGVGGKRKLLGKSLGGFGKRGVCYLSRVPPHMNPSHIRQMLSKYGEVLRIYLVPEGQGHRKHAIVKAKAYTEGWIEFAKKSVAKRVANLLNGEQIGGKKRSSFYYDIWNIKYLRKFKWDDLVGETAEKTHIREQKLTLEIAAAKKQRDHYLSNVQKSHALKHIQERRKKKQKTEGAEPSNVLETRTARPIPQKKPVGETDAKTKSKLSKDILAGVFGGSS >KQL22188 pep chromosome:Setaria_italica_v2.0:II:437410:437849:1 gene:SETIT_031683mg transcript:KQL22188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPANDVYMPWSTKYSSSIVTAMDANFGCSSGSALPNRSALKKYRYASGDKKFKLRACVVPKFMISFDRLVTIILLRVETLLR >KQL25690 pep chromosome:Setaria_italica_v2.0:II:39864395:39865147:-1 gene:SETIT_031420mg transcript:KQL25690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIAIEYSISVYCRGRRKLLYTIRLRFTYIYGTPWTDRRSLVSCVESARFPRGGACLVSDPRTRSLAPPPPPPHRRPDHGRRPRPRRQGCVRQARPGAMQASNGAALYISTCCRANAVASKMRRPATGFSVRLASWKWRGASNTLVF >KQL23703 pep chromosome:Setaria_italica_v2.0:II:16680968:16681791:-1 gene:SETIT_031718mg transcript:KQL23703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNNTNAGSPATSQDAAADGADKQQQQQQDTVKKTVQTVEVRSSAGQPDEEGVLKPVRVVHEIPAKDAKENPGVKQD >KQL24789 pep chromosome:Setaria_italica_v2.0:II:33205085:33206495:-1 gene:SETIT_030067mg transcript:KQL24789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDHRMAGLAPAAAAHAAGLRRLSTRAAAGPASASASPRHGLHSFHAVAAGVLAHLRAAGVAVLPGLSDAELARAEAEFGFTFPPDLRAVLALGVPSGAGFPDWRGRAGLRAAFDLPAAAASLQTARGALWPRCWGRRPADPDRALRLARSAIRRAPLLVPLFDRCYLPCRPCLAGNPVFFVTDDRVLCCGLDLLHFFTRETSFQPMDHVVSSPLASPFSAGANTSSYTRRSLDAVQAPRWIEFWSDAASDRRRRDSSSSEASTASSSSSSSSSGCPSPHRRSTPRWVDNYLDELGSMLKKGGWRDREVDEMVEVTASGIFDGEEAPAPDTEAVLDALVLKTDRCSDSLRRAGWTSEDVSDALGLDFRRGKESSRPAVRIPPEIAARVQRLAQALAGP >KQL25394 pep chromosome:Setaria_italica_v2.0:II:37863016:37863710:1 gene:SETIT_031581mg transcript:KQL25394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWSFSAMNSHQVTSLVLRHKLISPKFNSTSTITRLIFSHTPRTVEANMMCMCLCAIHHQLSISEPSIFKRLEHKMNRQASEQNCYFFDTFPTTPNSKVCKSVTTYEK >KQL24925 pep chromosome:Setaria_italica_v2.0:II:34658218:34660915:-1 gene:SETIT_029631mg transcript:KQL24925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGKAEKARRSPARVRLWVARVSTVLLWTCVVHLAAYRELWAPSVLTRWPGCLTQTHVVEHPSEAVAVADGGQREAVRAVALPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVTIARYLNVTLIVPELDKASFWADPSDFQDIFDVDYFIASLRDEVRVLRQLPPRLKRRVEMGFLRSMPPVSWSDISYYHNQILPLIRKYKVLHLNRTDARLAYNGLPMEIQKLRCRVNYNALRFTPEIEKLGIRLVQALRRNGPFVVLHLRYEMDMLAFSGCTHGCSNKEAEELTKMRYAYPWWKEKVIDSDAKRKDGLCPLTPEETALVLQALGIDRSYQIYIAAGEIYGGQRRMAALTLAYPNVVRKETLLPSDLNLFQNHSSQMAALDYMVSLESDIFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRRLIVELVDQYRNGTLRWADFSSAVKASHTSRMGEPSRRQAIPDKPKEEDYFYANPHECLHQPEDLSAL >KQL22447 pep chromosome:Setaria_italica_v2.0:II:2693481:2694910:1 gene:SETIT_029871mg transcript:KQL22447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAAAAGAAAAPGWRPSLPAAGRGGAASGAGSITRPCVSTASCEPRAARTATARSTRPKGIRDRRVRLSVATAIQFYDLQDRLGYDQPSKAIEWLIKAAAAAIDKLPSLDAAAGFPAHPASAAAKDQHHRTPPDADADAPPDADHHQHQQQQLTRSGCSSTSETSKGSVLSLSRSESRVKARERARERSAAARDKDKDPAADDAATTGRRAAPTTSSAQAASFTELLTGMAAATAAAGSAPADHKQQQSPPWQPMAAPAIADYIGFAQPRKAAGHAIAHSFASPAPHLANIAPIAMAPAQHFGLASGAVTVASGGGEPHAEMTQFSFLQDHYMPVHAAPASGPAGDYNLNFSMSSGLVGVNSRGTLQSNSQPHISGHHHQHHQLQRLSTPLDAPNIPFLFSPAAAVTTPATAESQFAAFQLWNGFQHADMKEKGKN >KQL25389 pep chromosome:Setaria_italica_v2.0:II:37805248:37805928:1 gene:SETIT_032213mg transcript:KQL25389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQESGSRAATATAATACRGRRFVGVRQRPSGRWVAEIKDSAQRVRLWLGTFDTAEEAARAYDEAARALRGESTRTNFAGRARHCGGAARARLSKNLQHVMARAAAAGRATACAGVGEQFALAAVFRRCQQPAAAAPAPPQTPQQAEAVHAAKHAVQPSFVVPRRNEAPPSSALGAGDLWGGAAAAEVLCAEERSFNVSSSVTVPPSFSASSSESLDVEDFLAS >KQL26036 pep chromosome:Setaria_italica_v2.0:II:41954046:41955628:1 gene:SETIT_033191mg transcript:KQL26036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGPIRPIGLGHSRARLISPFFSVKVWRAYCNNKSCGYAVRRECGAADWRVLRALEPVSMGAGVIPASSSGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDRAWLQQPSSHAGHDGLLLDWLRRS >KQL25401 pep chromosome:Setaria_italica_v2.0:II:37887156:37888529:1 gene:SETIT_032383mg transcript:KQL25401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRIAALRPPPPPRAAAPVGAGAALFSSKSTSIPQKQQRVRDHAFDGIMEVQKRVRRFHALYSLILYATAPTAPSRGGGSGAVSVPFTRLGALARRQLRLAPLDAGRFLLRHAHAFHLFLHPVHRMLHARLTPRAAAALHAEAEAVAAALPSASVIRLRKLLLLAPPHHRLRLEHIHLLRRDLGFPEDFAESIIQSNPSLFRLTPDGFVEFLPSPADPPDLTVAAVERARERHYREHRAPDSGEEDARFAFPIRFPPGFKIGKYFRIAVWKWQRLPYASPYADVSSHDLRSLEAQRRMEKRAVAAVHELLSLTVEKRTTLERLALFREALGVPKKIKEFLLKYQGIFYISTRGNQGKLHTVFLREAYYKGELVEPNEVHEARRKLEELLMISPQKANLDRMFTSMGREWDELGGGRRGGAELRDEFLGDGGGRKKHADTDGADSEEDSGVESLYID >KQL26906 pep chromosome:Setaria_italica_v2.0:II:46854593:46856276:1 gene:SETIT_030074mg transcript:KQL26906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQLLVWPLLAAAFLLLVTAASASASASVPATPTYTPATPTNRGGGGCYKRFFAFGDSLIDTGNFIHYSTAPGPVARSPYGETFFHRPTGRWSDGRLIVDFVVERLGFPYWTPYLAGKTKEDFRYGANFAVASATALNQLFFRKKHLNVGAITPYSLGVQMGWFKKVLAMLGSTEHERREIMASSLFLVGEIGVNDYNHPFFQNKTREWVMPLVPRVIRSIGLSLEALINLGAKTLYVPGIFPLGCVPRYFFLFRNSTAAADLDPATGCLRWLNDLTTHHNNLLKAKLAELRAAHPGVSLVYVDYYDEVLGIVGAPARSGFAPATALDACCGGGGFHNANFTVLCTQPGAVQCPDPSKYVSWDGLHMTEAVYRIMARGILDGPFAMPPIMSRCKRGI >KQL24495 pep chromosome:Setaria_italica_v2.0:II:30691086:30694113:1 gene:SETIT_029667mg transcript:KQL24495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARCAHADAGGFRLWPIFSAATLRRKVLEVLTCGGGGGDGGGSCRGRTAYRSPQRMPRPRPRSDRLAELLRAEEPSECGGGGDDDDEAADAAARKVEALEELKGVVAALQAGGGEPCMSRVEAAMAVRRKAKDEAAAREMLAMLGAIPPLVAMLDEGGDGGQEVTAPALYALLNLGIGNDTNKAAIVQAGAVHKMLRIAEGGASSALTEAVVANFLCLSALDANKLVIGASGAAPFLVSAFQGASSTEQARHDALRALLNLSIAPANAPYLLAAGLAPALVASVGDAPVTDRALAALCNLVAACPEGRRAVSRAPDAVPSLVDVLNWADEPGCQEKAAYVLMVLAHRSYGDRAAMAEAGATSALLELTLVGTALAQKRASRILEILRADKGKQVADDASGVVATVSAPQERGCRGGEEALDGEPADACMSAEKRAVRQLVQQSLQSNMRRIVRRARLPQDLAPASAESLKALTASSTSKSLPF >KQL23812 pep chromosome:Setaria_italica_v2.0:II:20423778:20426169:1 gene:SETIT_032695mg transcript:KQL23812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCHLAPLFNAIATVAAISAICTSATLTNKKEVDTCPLRVMKFSMSPIVSVAVECRDNSDLPKLVEESGEHIVAGPGGLHLDMCLRDLEENFMGGAPIIVSLTIVSFCETVLERSCRTVMSKSYNKHNCLYMEARPMEQGLAEAIDEGRIDPRDDPKVRSKILSDEFGWDKDVAKKIRCFRPEATSPNMVVDMCKGVQYLNEIKDSIVAGFQWVSKEGALAEENMCGICFEVCDVVVHADAIHRGGGQIIPTARRAIYASQLTTKPRLMEPMGVPIYLVEIQAPESTLPSIYSLLSQNRGLVFQEILRPGISLYNIKAYLPVYESFLFSSRLTSSTSGQAFHQCVFDHWDVVPSDPLEEYTLAARMVSEVRQRKGLKKQVKI >KQL24976 pep chromosome:Setaria_italica_v2.0:II:35145425:35149999:1 gene:SETIT_029267mg transcript:KQL24976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSHADEHEISLCDPNSEDDRRRRKIGSLRRKAIHALRKKRGRRRVTDFRFPAAISIEDVRDAEEERAVAAFRDRLAAHGLLPYKHDDYHMMLRFLKARKFDSEKATQMWAEMLRWRKEFGADTILEDFEFNELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMQITSVDRYIKYHVQEFERAFREKFPACTLAAKRHIDSTTTILDVHGVGFKNFSKTARELVQRMQRIDSDYYPETLHQMYVVNAGSGFKLIWNSVKGFLDPKTSSKIHVLGSNYQSRLIEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPAILKLIHSMEGGGSMRETKQISDGYERSGSSLRAENLKGMLSDVSNAESESDVDDIGLSVSQKITDHSLLTPVREEVKGSDSSASCSSDGKHLLDMNPGSPQGTQQVERVSIQSICQKHFSTFGWLHCLGNIALILHGTSAVRTLEDLARGLATVLIRISSFFHFFVCRQERMLENVHSCADAEQAKPQPVREEDMSASLQRLEKLESLCNHLMSKPPDMPKDKELVLFQAFDRIKSLEADLERTKTALEAAVAKQMELEDSVEALQHRSASVVRRRLCCS >KQL25344 pep chromosome:Setaria_italica_v2.0:II:37489554:37490244:1 gene:SETIT_032471mg transcript:KQL25344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAESGFPCRSASASTSSEHQTVWTSPPKQPAGRTKFRETRHPVRMPGRRGCRLWLGTFDTAEGAAHARTTPPCSPSPALAPASTSRTRRGCSRCRPPNANLAEVRHAVAETVENFLRREAAPEDNALSASSSTATPSSLPATMAAPPMARTFELDVFNNMSWDLYSASLAQGMLMEPPSAVMEFGDANIVDVPLWSY >KQL23406 pep chromosome:Setaria_italica_v2.0:II:12067646:12072694:1 gene:SETIT_029543mg transcript:KQL23406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRFLPSYLRAARCILAPSILDRAHMAVPRKMAWPPRLPCSRCFLLLCFLLIIAFAASGSGAGRVVTSLPGFDGRLPFHLETGYVEVGEANDNGANLFYYFVQAESEPAAAPFVLWLTGGRRCSVLSGLAYEIGPVRFVLEPYDGTLPRLHYNTNSWTKVSHILFVDSPVGAGFSFSREPKGYDSGDISSSLQLHEFLLKWFNDHPEYLANPFYVGGDSYAGKIVPFLAQIISEGIEAGMKTVPNLKGYLVGNPVTGDSVDSSSKVPFAHGFGLVSDQLYETILEHCQGEEHTDPANVLCTQAMDTVHNLISEVGKGHVLLDRCVSASPVTNVNISSDDRKILREEIGVGQLNHPPARPPFHCFTYRYYLSYFWANDRRTRDALGIKEGTVDEWVRCRDVGELPYAIDIKSAIKYHRNVTSRGYRALVYSGDHDPVVPHLGTQAWVRSLNFSVVDDWRAWHLDGQAAGFTISYSNSMTFATIKGGGHTAPEYEPEKCFAMFSRWILNRPL >KQL22488 pep chromosome:Setaria_italica_v2.0:II:3087299:3087939:-1 gene:SETIT_033683mg transcript:KQL22488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFCRRATTEVQKSEVQSSRQCCHRVFNRAVNRCENETVTQC >KQL23128 pep chromosome:Setaria_italica_v2.0:II:8573290:8576194:-1 gene:SETIT_031695mg transcript:KQL23128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAPTNMQKEQMFGLAEKEMEYRVDLFNRLTQTCFAKCIEKRHKEAELNMGENSCIDRCVSKYWQVTNLVGQLLGNQPKM >KQL25884 pep chromosome:Setaria_italica_v2.0:II:41086065:41092361:-1 gene:SETIT_030617mg transcript:KQL25884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPIRSLFLFVLAASLRCDLPGFTRSVFKRDHALITPESHVFGPLPDWINTLGAYLISPAIGAHFTMYLANMQDGSKSALPPKDVERLVFVLQGSILLSAGTETTHSLSVDSYAYLPANLEHSMISDESTTLVIFERRYSATEDSHPDLIVGSTDKQPLLETPGEVFQLRKLLPTSMPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDTIWMAPFVPQWYAALGKTRTRYLLYKDVNRNPLI >KQL25885 pep chromosome:Setaria_italica_v2.0:II:41086065:41092361:-1 gene:SETIT_030617mg transcript:KQL25885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPIRSLFLFVLAASLRCGAAAGYGDAGEGFCSAEPSSGCSGGPPLYWKATHPTLAPAHLQDLPGFTRSVFKRDHALITPESHVFGPLPDWINTLGAYLISPAIGAHFTMYLANMQDGSKSALPPKDVERLVFVLQGSILLSAGTETTHSLSVDSYAYLPANLEHSMISDESTTLVIFERSATEDSHPDLIVGSTDKQPLLETPGEVFQLRKLLPTSMPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDTIWMAPFVPQWYAALGKTRTRYLLYKDVNRNPLI >KQL25886 pep chromosome:Setaria_italica_v2.0:II:41086450:41092286:-1 gene:SETIT_030617mg transcript:KQL25886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPIRSLFLFVLAASLRCGAAAGYGDAGEGFCSAEPSSGCSGGPPLYWKATHPTLAPAHLQDLPGFTRSVFKRDHALITPESHVFGPLPDWINTLGAYLISPAIGAHFTMYLANMQDGSKSALPPKDVERLVFVLQGSILLSAGTETTHSLSVDSYAYLPANLEHSMISDESTTLVIFERRYSATEDSHPDLIVGSTDKQPLLETPGEVFQLRKLLPTSMPYDFNIHIMDFQPGEYLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQAGDTIWMAPFVPQWYAALGKTRTRYLLYKDVNRNPLI >KQL23102 pep chromosome:Setaria_italica_v2.0:II:8410643:8412456:1 gene:SETIT_029711mg transcript:KQL23102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPVMAGESSMDSVHTSTGGRRRRVLFFPLPYQGHLNPMFQLAGILHSRGFAVTIFHTHFNALDASLHPAYDFVPVPDGCPPADTPGTLQVTLERVLAVNRACEAPFRERLAALLEQQREDDVVACLVADAHLLTLLGVARGLGVPTLVLRTGSAAGFRCFTAFPMLCDKGYQPAQESRLDAPVKELLPYRVRDLLSTTAAGHAVVSEAISRMVTAAATSSGLILNTFDALEAAELAALRRDLAVPVFDVGPLHKLSPAAANSLLRQDHGCLDWLDTQAPASVLYVSFGSLASLSATDLVEIAWGIANSGLPFLWVLRPGLVRGAPASQDPPPLPDGFDAATRGRGTVVSWAPQEEVLAHPAVGGFWTHCGWNSTLEGVCAGVPMLCRPCFGDQMGNARYVDHVWRVGVTLEGELERGKVEAAIAAVMHGGGEPGEGMRRRALELKSRAAESIGEAGSSCLNVDKLVSHIMGL >KQL24302 pep chromosome:Setaria_italica_v2.0:II:28715643:28716340:-1 gene:SETIT_031262mg transcript:KQL24302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHSTSTSFPSRNTLSAAAASGAEAAPEPGPEAKAAMPPRHQRKKVGRLEPEGAALMPSMNSPSLPALAGQGRLRDGGRADRPVRRAMCGARRMRCRLAAGGRGKEPRRWAGGEWRVAASASASAARIRCPALCPAGSCDSLTVTARAASRRGKPSWPEITWAGPFSFCFGVCFRC >KQL22835 pep chromosome:Setaria_italica_v2.0:II:5695201:5699372:-1 gene:SETIT_029026mg transcript:KQL22835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSVSDPAKAMKLFHSKAKCFSLCKRKIDSVKNKYYAMRKRVCHKPCLPADFGHVIAPCSCNPTDGGGCACGGHHLVHTVDPSAAVGSDYGFTGGSYSERKHVHYNGKGQYSFHTEQSDGSMVLDESLHGHSDADQLYGYDDTQKNSQTSGSNIISSDNRSDLSDQFDNGAKGSKALPGIDQDQDGKKHGQFSGNSTGGLPKPGSIKEISPKWRSQEPSVLTWSMVLGVNSPDLLTDMGVLEPKTLMLCDVKKMKTNVSDALASQSQANLDSLIPDSGSGSAVASEGGFMHSHLKGFSQKEDLEVLSGGLFSDSAVDTNQKDSGLHTFDATKYANHIDPVQRKQSVADVSEADTIPASSGMLYPEHNAKCVLNTEDSEIPFSDHIFIPCQSSLEPTSSMDQDSQHGTCLVLAKLINMENAQPSSPSPLVNLESGILEKNTMVSLNEGCIMGNEPRGLHGDFGGNNANMCVSALHSVDGDEEAAYGFVKHEYCDNLHNVTLNKSIQGPGQMNGEFLSDKPKIGCETAIKSCQLSDAFPDTEFDNPIGTISTLSQAEGSDSESSVPNYFDLEALILDQDLIPWDQESDFIQPEVSRFQYPESRKDLIRLEKGACSHINRSIMSKGAFAILYGQHLKCYIRDPEVTLGRQTGEVHVDIDLGKEGKANKISRRQAVIKMDDGGSFCIKNIGKCSIFVNSKEVPFNKRISLISDSLLEIRNMKFIFHVNHDAVKQHIARTRRGRSRGEITAFDWNQNP >KQL24885 pep chromosome:Setaria_italica_v2.0:II:34375337:34378785:-1 gene:SETIT_032706mg transcript:KQL24885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHQSDVPLISELPEKRRGGLAKQVWEESKKLWEIVGPAVFMRLVLYSMNVISQAFAGHLGNRELAAFSIANTVISGLNFGFQYGMASALETLCGQAYGAKQQHMLGVYMQRSWIILLAFSVLLAPTYIFSGQLLVALGMPAELSHDAGLVSLYFLPLHFTTAIVLPLNKFLQCQRKNWVTAVTTAVGFPVHFAATWLLGRYFQLGVVGAALAIDFSWALVAGLQLAYAFCGGCPETWRGFSASAFVDLKDFVKLSAASGVMLCLESWYYRILIFLTGYMKNAELAVDALSICMSLAGWELMIHLGFLAGTGVRVGNELGASNGKGARFATIVSTTTSFIISLFISSLALIFHDKLAIMFTSSEAVITAVDSLSVLLALTILLNGIQPALSGVAVGSGWQALVAYVNIGSYYLIGVPFGVILAWGFHCGVLGIWVGMISGTMVQTLILAYITLRCDWNEEALKASSRMQRWGSSK >KQL22527 pep chromosome:Setaria_italica_v2.0:II:3335424:3336638:-1 gene:SETIT_030397mg transcript:KQL22527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVPASSAAAPHVVEDCLGLVQLLSDGTVRRSTDYSVFPLVGGVPPPDLPVEWKDVVYDGAHGLRLRMYRLSTAGAGGGLEEKKLPVLVYFHGGGFCVASFEVINFHAGALRLAAELPAVVLSADYRLAPEHRLPAALDDAESVFSWLRSQATAAASGAGADLWLAESADFRRVFVTGDSAGGNIAHHISVRHGSGELPLTPLRLAGCVMLWPYFGGEELTPSEAASQPGEPMGMALFDQLWRLALPAGATKDHPIANPLAPGSVPFGDLGGDFPPVLVLDPDQDVLHDRVGEYVARLRAAGKEVELVVFEGQGHAFFVTEPCGEASDELIRVIRRFVHSG >KQL26397 pep chromosome:Setaria_italica_v2.0:II:44110997:44114835:1 gene:SETIT_029628mg transcript:KQL26397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKRLQGVVMLLMLMVLNVSGAFVGITIGNDMSNLPSATDIVAILKAKKIQHVRLIDSDHQMLTALANTGIEVMVGVPNDQLLRVGQSRSTAADWINKNVAAYVPATNITYIAVGDEVLTNIPNAALVLIPALQFLQSALLAANLNTQVKISSPHSMDMISKAFPPSTATFNSTWSSIMSQYLQFLKNTGSSFMLNAQPYYSYVGGQGVFPLEYALFRSLSPNGQISDPNTNLFYTNMFDAIIDATYNSIQAMNFTGIPVLVTASGWPWRGGQNEKAATVDNALAYNTNLIRHVLNNSGTPSQPMNQSSTYIFELFNEDLRSGPVSEKSWGIMFPNATTVYSLSFEDVATTIPESPALRGMFCVANSSAPHGALKHSLDWACGPGSANCSAIQPGQPCYESDNIVAVASYAFNDYYHRTQSSGGTCSFNGTAMITSTDPSHGSCIFAGSTGANGSDGGTASGPVSPDSFASKSQSCWLTHLVAALLAILVM >KQL26706 pep chromosome:Setaria_italica_v2.0:II:45872554:45874235:1 gene:SETIT_033270mg transcript:KQL26706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDRSPLCSQESRSLLCWSSRLTTPRSRQGAAATQQARVRRGKAARRAAQEICLAGKMFDDQDLGFFANFLGIFIFVLVIAYHFVMADPKYEGN >KQL22813 pep chromosome:Setaria_italica_v2.0:II:5510825:5513489:1 gene:SETIT_032584mg transcript:KQL22813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLDCSALFCSAIVWDRFAWALRVLILSFLAKRSELAWPEGKPARCPPAGGRASKIPESEFTSLPNGLKYALAPILLHVGFWVHYVAKWKGITFMTSRQGLGVGGGTPYGFDVGNSERGNVLKGLDLGVEGMKVGGQLDVELLSIKQSPFGTPVKIVEG >KQL23451 pep chromosome:Setaria_italica_v2.0:II:13393002:13396776:1 gene:SETIT_031982mg transcript:KQL23451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASKGKRGVFSKKKKRTRSDGESSISARGFRFPFSGIAIECQNNVTKFVTTGKLVSVLQTCDYEEEIEVYYEGNVATGYLDEYDSDCQLAVVKVLSPLNVYCIHLNPGMESVPCKQLIAVGRVYDEFIATSGEISRGSKDREFLIFSRSPENSLGAAFFDIDGNFVGMNHCYFLPRRIFLERSTSRRVFRYVGMNGWYETKRGELYFHPKAYDVVDKEQFQDLNSLGYPIPSRTMVNRGMILVNTCEDPFGDLYPKGVWGEFRKRVSSEISRNVVALASFKGETRFFACTGVFIDYDDEYPKILTSASLIRDRNDPNKIVEDLRIDVLLPSKKCRVIGTLKHYSLHYNVAVVNVDNHRALCPMNLEKRPVNLHDSLVNNSTVVAVGRIFQSGTLMAASGKLTLGSSSLDCKVLCYSTCKISKVGIGGPLVDVDGNFIGMNFHGMCYNCEKIGTPYMDYEDLCRILECLKTKKTTEFSFGVPVRGDEEPINDTFGCKLLNNRWPVPDPYWFDPSDVEEDDMNDGCPKCVLK >KQL27272 pep chromosome:Setaria_italica_v2.0:II:48948171:48951614:1 gene:SETIT_029911mg transcript:KQL27272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAARETRLLPPPLPLATLIGRELRAAGSERPTLRYGHAGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVYSKEHLLEHVISALPPDIGRDDWLQALPRALVAGFVKADIDFQRKGEVSGTTATLVVIDGFTVTVASVGDSRCILDTQGGELQLLTVDHRLEENAEERERVTASGGEVGRLNLFGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLIIASDGIWDALSNEAAAKACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHLTSPQLSPKKNQNKLKSLFRRRSHSSVGKLGGKSASIGSVEELFEEGSAMLEERLGRNLSLKAASPPFRCAICQVDQEPFEGLMTDNGDGYCSSPYAPWGGPHLCLDCRKKKDAMEGKRSSRSTACR >KQL25185 pep chromosome:Setaria_italica_v2.0:II:36524853:36526740:-1 gene:SETIT_029644mg transcript:KQL25185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSGITAVDAAAKGMKLERYASGGALMLRRATSAKIVSASSHLLFRATVLATLALVFLFTLHYPSLLFRSFHLSAGGGGPGGAHSASHRSLLMSSSSTSASYGGAAWEKEMRQSARPSRDGGISVLVTGAAGFVGTHCSLALKARGDGVVGLDNFNSYYDPSLKRARQALLASRGVAVVDADINDGLLLEKLFDVAAFTHVLHLAAQAGVRYAMEAPQTYVASNVAGLVSVFEVAAKHADPQPAIVWASSSSVYGLNTDAPFSEDHRTDRPASLYAATKKAGEAIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFARSIVAGEPITLFRAADGSDARRDFTYIDDVVKGCLGALDTAGKSTGSKSGKKSGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKANKRIVTMPSNGDVPFTHANVSHAAHDFGYRPTTSLEAGLRHFVDWFVQYYKLDIKIAKGARSAADKPAKKKKAAAAMSAAS >KQL23357 pep chromosome:Setaria_italica_v2.0:II:11228746:11230111:1 gene:SETIT_033057mg transcript:KQL23357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPLRPPLMEELVEEVLLRVSPDDPATLVRAAVGCKRWCRLISGATFRRRFRELHPAAPMLGFLYARRSGTDFVPASSFRPPHAFREDWNAIDARHGRVLVVALESFSPTGTRFIVWDPVTDGAQSLPILEFRPYSWGDAVLCATAGCDHLDRRGGPFLVVVVVTDVSQMRTSAYEYSSDQGTWSEPITVQHPNDWIMIRLHAHVGNALYFNYGLMILKYELGKRELAFIDLPSEFHARHMVLMQAEDGGLGFATIQESKLSLWSREAGADGYAGWAQQRVIDLDKLLPVSDCNISVSPYVYAARPPYVVAVADNVGVIFIGKDDGLFTVHLNSGRAERIVVESNSNFKVVPYKPTRISCAAPTALLFYVLELDKCLHLVMYTFALILLHYLCSPVP >KQL26315 pep chromosome:Setaria_italica_v2.0:II:43693270:43693699:1 gene:SETIT_032250mg transcript:KQL26315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTIVAATTALCLLLLTTCGAEATLCKIRSTGAQWPCWWNMTCANQCVSEGRTGGYCKGIPFFKYCMCTFECGGGGGGGGGGGGGGMVQPPPVPRAWRAGSSA >KQL26137 pep chromosome:Setaria_italica_v2.0:II:42530580:42531694:1 gene:SETIT_031477mg transcript:KQL26137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGKAIGSGAAKKSMSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGTVTIASGGVMPNIHNLLLPKKAGGGSAKAAAGDED >KQL25827 pep chromosome:Setaria_italica_v2.0:II:40758507:40762299:-1 gene:SETIT_031165mg transcript:KQL25827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPAFTGNLKKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVALQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVLRMLPRNRLRDDRDRKLRIFSGNEHPFHDRPIEPFVMPPRQVREMRPRARRALIRAQKKEQAIRAKEEEGTKNAEITA >KQL26480 pep chromosome:Setaria_italica_v2.0:II:44625019:44626125:-1 gene:SETIT_031932mg transcript:KQL26480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASDRGKPSSSASSIVADKSVGYHDLKIDGCALTVGTPTERLIKSCPFTVGGHRWRIWFYPFGGLLGYVSLYLVLDDDIVAKSVTAQVQFSVMLEKRALFFVKWEKKKALSRSADLKTQGAGACREWSVFAKREALGTMASHGGGSVTIRCDVTVFDAFRAEAAVPKPKLAPVPPSELQKHLGDLLQSGRGADVVFEIDGCERFPAHRCVLSARSPVISAELLGARAREGDAAAAAPAGVVRVESVEARVFRALLGFAYTDALPEVEKVEENSVYADLLAAADRFSLERLKVMYANKLCERVDAGTVKTTLALAERHRCDGLKEACLDFLADPLSNTRFFLFSEDLIDMLAS >KQL26935 pep chromosome:Setaria_italica_v2.0:II:46993537:46994020:-1 gene:SETIT_033718mg transcript:KQL26935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGRARRRGGRALVGRPPIPRPPGDGGGRADEAAADAKLVLTGGEADGAGGA >KQL27250 pep chromosome:Setaria_italica_v2.0:II:48823873:48828781:-1 gene:SETIT_029144mg transcript:KQL27250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQRQRARAASLLHALVVGCVLCWTLSARCVEGQSQTGQLSVDASPQNVRKIPDKMFGIFFEEINHAGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGDESSIIVGTDRTSCFERNPVALRMEVLCDSKRTNACPSGGVGVYNPGYWGMNIEKGKVYKVSLHIRSSDAVSLTVSLTSSDGLQKLAAHTITGSKKSFAKWTKIEFHLKSSQNNTNSRLQLTTSKSGVIWLDQVSVMPLDTYMGHGFRKDLSLMLANLKPQFLKFPGGNYAMGNYLRNAFWWSETVGPWEERPGHFNDAWGYWTDDGLGFFEFLQLAEDLGASPVWVVNDGASLNEEVSTATIASLVKDVVNGIEFARGGPKTPWGSVRVAMGHPQPFKLDYVSIGNQECWMLYYRGNYQKFYSAIKAAYPDINIISSCDRSTISPSNPADLYDVHVYTSSSNMFSRTSMFDNTPRSAPKAIVSEYAVTGNDAGKGTLVAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRWNPDAIVFNSWQHYGCPNYWMLHFFKDSSGAALHPTTIQISNYDQLVASAITWQNAKDKSTYLRIKVVNFGSRAVDLNVSVAGLANGIKKSGSKQTVLTSSSPLDENSFQQPEKVVPVSSPLANAKQQMGVSVRPYSLTSFDLLLEPSKHASI >KQL27189 pep chromosome:Setaria_italica_v2.0:II:48391110:48401897:-1 gene:SETIT_028678mg transcript:KQL27189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKTRPVRAGGAAAAAAEADPDAPGPSGGAKRAAKGEARRDVCVEVDMSTWGLADVDHCDVAEVVLRDVTVSGEGEEGLEEAFGASRFSLRLRVRAAPEEGFRLGHWPVVPADCVLLEYVVHGDREEKHGEFVVSGCFDGPDEGVSGLAHLVSLRFVTLRVQSLGAFRDVGEARVESFRVRVEVMQRAFSACESLLEVARHPWRKSLMNMMAWLRPEVTTTAAIYGMDGLGVPIDDDANADFTPKSDSQFDLAAFYEAVKPSINAEQLEGGLPDLIPQLRPYQLRAAHWMVQREKGNTLHQEYVNSAPYCVPIDFIHKNSRMFYNPFNGNISLQPEPCPPYVSGGILADEMGLGKTVELLACIFAHRRPFSMDFSISQNKTEMSQIKRQKVERVECICGAASESFAYKGLWVQCDICDAWQHADCVGYTPKEDIPFDTAEGVASKIKKKNMKPRIGRKKKPQCSIVDTEDKYICALCLELTEAAQTNIFSHATLIVCPAPILAQWHSEITRHTRPGSLKVCIYEGARNLDLATIQKIDTTEISTADVVLTTYDVLKEDLSHDFDRHDGDRRFLRFQKRQLACPKRHIFKDGGSSLFGLDTPLSACSLVSIPYPVIPTVLTRIHWWRLCLDEAQMVESSRNSVTEMAMRLHAQHRWCITGTPIQRRLDDLFGLLRFLRTSPFDTYRWWVDIIRDPYERGDRIAMNYAHNFFREIMWRSSKIHVSDSNASLNVYLSNDDIAKLLVPLLKLRQACCHPQVGSSGLCSLQHNPLSMDEILQVLIGKAKIEGEEELRKIVVALNGLAGLAAIEQRNQEAISFYKEALALAHENIDDFRIDPLLNLHINYNLAELLRTSSEYLQECPLKKQASEVDNSRKRKKNNTVETDLHSTKRSKICENSVSSLTANGLETSEEDENFIGQACTTGEMDTENFAGGHSSLKCFADECLRKTCNAITEKYLSVFTSRLIVVQKDFNASFTENKVSADELLKKIENSSTKSTTGLGSRGISSRYEARLFLVKKSNNDSVIDSVEEAQDLQRRKYELNHFFRNKNSNEGSEPGYDNNNPRSARENVQVYRHPSQTETTLRVIRNHSKTVLGRQYSTIAKKHLLLFEDMRKEFSQARFLSIAQNQLLRAHDEIKMSISRMQLKEKDGEPSAVNIVTREELIPYSVQFTSEKFMSLSSLARVRGQLRYLKVCCLYMTEQAATQFGKAKKWIMCPTCRQRTDLENVAFVVEKHSDKAEKSTEDQESTISVQGSYGTKIEAVTRRILRITSTDGVAKVLVFSSWNDVLDVLEHSLAANKISYVRMKGGRKSQAALSQFKGQLSNISVDKAKRTVSKMQPVQVLLMLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAISRIHRVGQDKSTFVHRFIVKKTIEESIYKLNRSRAVCSTINRKSKNFKDEPVLTLKDVESLFPMTAHDDPLEVSNQDHDDSLRSLPPSVAAGLAAERRRVMEHGNQQ >KQL24706 pep chromosome:Setaria_italica_v2.0:II:32410440:32413379:-1 gene:SETIT_032674mg transcript:KQL24706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYYTGLLMEQIRTSQEEIQDEGNGQIPNPKDDASEAILMSENVEEDSHDNEEDEDGSQELAEEQVEAFIRSEQLAASEGNNVDIDSKYTPQIGMEFKDRDDAHHFFSFYGFLARFEVVVAHVTRTTSKKRNNEVYKQEMKCHRYGKPLKNKEDDVIEDTLVVEVENTDKEKRKTNVQVKTNCKCVMVVKEIVGIWRVIRLDLDHNHKLSPQNRNQLFSGRKYMTYMKKGMIRTLNDNNIPTRKMIAILSYLRGGLTTLPHKTKDKRKVEDPTFYYIFQVDKDMEVKNLFWREGISLQWYAEYGECVSFDTMYMTNRYNLPFTSFVGITGHSNTCLFACAFLSDETTATFEWVFETFLDSMGGKHPKSIITNQGKAMKTTIETVFPSIRHRNCFFHIKLKCYNKNISVLKNTGLVKVFEDTMNFSVTEDEFEMIFKDNVGPTYSLASFLREYQRIVDVIRNKEEIDDNQSKKTTKRNRELQRIRKYIVLTDLTEGREQFSWICGKFNKDGIFCTHILKVIVEEELLRFNLLSRQGALLISKGAKKKEIATQYLSEEFKRIEKHLDMLLAEADRGASSSVTIESGKAISLEESSRNLLEEIVVLRDPDRI >KQL24026 pep chromosome:Setaria_italica_v2.0:II:24893341:24893643:-1 gene:SETIT_033453mg transcript:KQL24026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYGSSSSDWSLTESKKFDLAIAFLVTSWKVAVAFLGP >KQL25892 pep chromosome:Setaria_italica_v2.0:II:41125811:41126682:1 gene:SETIT_033643mg transcript:KQL25892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPPPKRHRRKMTIDDDPHAIAGKYELVDLHLQLYRALPLRCRAPLPAPPPRGPPEGRRPGGSTGGRRSRLRNRLWLL >KQL23216 pep chromosome:Setaria_italica_v2.0:II:9730672:9731923:1 gene:SETIT_031555mg transcript:KQL23216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDTLKCWWPKLRSWRRIRPALATHPAPVTCICPPPRCESRQHTLAPAMMAAADSSSRNSGECLEGEVDVLPVSPLDSWSSAASSNARPAGLAAVAASRRRRTWVRVWVEKGRW >KQL26802 pep chromosome:Setaria_italica_v2.0:II:46400759:46401737:1 gene:SETIT_031134mg transcript:KQL26802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNNAMRPLLRRMLSFREPLLIIPYLLTFLAAAASAFVRSHSSLLHALARALVPAAAAKCAHSASPVSADLPAPRCDGDEADAEAEDYAALSKEEVEAIMGAIGLAAAGRGEGLAAVMGRDEVSGLFEAEEPSFAEVRGAFAVFDADADGFIGAADLQGALARLGVREDAASCRAMIDAAGGDRDGRMSLFQFVGFLENGL >KQL24815 pep chromosome:Setaria_italica_v2.0:II:33501093:33505344:1 gene:SETIT_030064mg transcript:KQL24815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRELFRAEKWAETGWIPPLAGFQRRQLTNPWEFSAWAAGARKWPGTRGILEINCSKDVKVQGIIGPCTSLEKKSPLSSDTVICQGNTSAWKMCGLDRKTSLCFVYDIAKKDGPDSIGQSTSNQFYFQFLTYYQHNEGQMRLRSTTISRRWVSGADNELVAGFDQEAAVDFDPVRWLDRALIRICSKFGDYQKETPSSFSLSPRLSIFPQFIFNLRRSQFVQVFNNSPDETAYFRMMLDREDVANAVVIIQRSIVYLKRPPLISYSFQSGPQPVLLDATAIASDKILLLDSYFTVVIFHGITIAQWRNAGYQDQEGHEAFAQLLKAPHEEAGSIIKERFLVPRLVVCDQCGSQARFLLAKLNPSVTYNSDNPSPGGDVIFTDDVSFQVFMDHLQRLAVQ >KQL22347 pep chromosome:Setaria_italica_v2.0:II:1898337:1899477:-1 gene:SETIT_033087mg transcript:KQL22347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPPQTDLIDGVTAEILLLLPPDEPEHLFRAALANSSTATPPPASPPPRRCLTSPTRAPTAAARARSTAATAAPSSTCSRTMVYWYGSPRLGPRHGRPAPPAGHCRGGPFLVVFVATDDDDLFIKASVDSSETGAWSVPVSLDNGAEYYAQHRQDAIAHEHYCIPYVQPRRVALIGDDIYLTLRRDNAIIKYDRAHHCLSMINPLSPNDGSLGFACVQGSNLCLWSRNVNSKGAEWVVGFAEGLGVIFISTHVGLFTIELKSWQVRKVDRPGVYYSVLPYMSFYT >KQL25602 pep chromosome:Setaria_italica_v2.0:II:39298021:39308076:1 gene:SETIT_028657mg transcript:KQL25602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISIWIAAILLLPTLCASDDRLVPGKPLLLGSTITSDGGDFALGFFSPSNSTPEKVYLGIWYNNIPRLTMVWVANREAPAISSSAPSLVLTNTSNLVLSDVNGRVLWTTNTTTAAASSSPSPRSNATGSVAVLLNTGNLILRSPNGTTLWRSFDHPADTLLPGMKIRRSYKTHDGNRLVSWTGPEDPSAGTFSFSGEADPFAQGFIRNGSQPVWRSPVWTGYMVSSQYFQANTRIGIYIAYVDTVDEVSMVFTVSDGAPHVRYVMSYSGRLEIFSWNRDSSNWTMLTAWPSHECSRYGYCGFSGYCDYTEATPTCQCLEGFEPVDAGEWSTGRFEQGCRRKKPLRCGDSFLALPGMQVPDKFVRIRKKVLSECEAECRNNCSCVAYAYANLNSSIMKDDPTRCLVWIGDQQLIDTQKIGVTPYSTAGADSQETLYLRVSGMPGGRTKKNTNVVKTILPILAGVTVLTSILLIWVCKFRGLFSILYTDCSYLRTNTHQLLGAGRKRNVEIHNKVMLGGSSEELREENATHDFELPFLKFQDILVATNNFSNISMIGQGGFGKVYKGTLEDGQEVAIKRLSRDSDQGIQEFRNEVILIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKSLDAVIFNCEQNAALDWPLRFTIIKGIARGLLYLHHDSRLTIVHRDLKASNVLLDAEMRPKIADFGMARIFGDNQKNANTTRVVGTYGYMAPEYAMEGIFSVKSDVYSFGVLLLEVVSGIKISSVDRIMGYPNLIVYAWNLWKEGKAKDLVDKCIVENCLLDEASLCIHMGLLCVQEDPDDRPFMSKVVFNLENGCTALPKPNHPAYFARRNSDMEQLSEDILNSKNTASSISVCTMALLLLAALCANSDDRLVPGKPLLPGTTIVSDGGDFAFGFFSPSNSTPEKLYLGIWYNKIPRLTVVWVANRATPAISSSAPSLVLTNTSHLVLSDVKGRVLWTTKATKAASSSKLLKLSTTGSAAVLMNTGNLILRSPNGTMLWQSFDHPTDTLLPGMKIWRSYKTDDGNRLVSWKSLEDPSPGTFSYSGETKLFPQSFIWNGSHPVWRSQVWTGYTISTSQYYQLNTSIVVYLACVDTVDKISMVFTVSDGALPMRIVMGYSGRLELRVWNNRVSSEWAMLGMSRPDYDCSRYGYCGLSGYCDYTDATPTCKCLDGFQPVDKEEWSNARFSQGCQRKEPLRCSDGFLALPDMKVPDNFVRIGRKTFKECAAECSGNCSCVAYAYASLNGSTSNGDATRCLLWIGDHQLVDTQKMMAVHPYSTAGAESQETLYLRVAGMSGKRTKRNTLKVALQILAGAVVLTSILLIWVCKFRGRKTNTENHKKLMHGGFTTSDELGEEKTTNDFELPFLKFQDILVTTNNFSSTSMIGQGGFGKVYKGALEGGQEVAIKRLSRDSDQGIQEFRNEVVLIAKLQHRNLVRLLGCCVEGDEKLLIYEYLPNKSLDAIIFNRARNAPLDWPIRFKIIKGVARGLLYLHNDSRLTIVHRDLKASNVLLDAEMRPKIADFGMARIFGDNQENANTKRVVGTYGYMAPEYAMEGIFSAKSDVYSFGVLLLEVVSGIKISSVDRVPGYPNLIVYAWNLWKEGKAKDLVDKCIVENCLLDEASLCIHMGLLCVQENPDDRPLTSSVVFNLENRSTTLPTPNHPAYFVQRNSDMEQMREDIVNSKNTMSLTVMEGR >KQL27081 pep chromosome:Setaria_italica_v2.0:II:47717448:47719362:-1 gene:SETIT_030024mg transcript:KQL27081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTPIRRLPEDTIADILLRLPAKSILRSGATCKAWRRITTAQHFLVARARRRQPASILAHTYLDAAPWACSSDLLAGFSSEDVALDALPVSSPEDDRQRLLRYPATRLTVPAHCGRLLASSDGVLLFKKDMGFYLLCNPITRQWAELPRLPNEYCKGKFYINSDPEYGFYFHQPSGEFRLLCCSLTRGFWYIVSTGAGKPRHLKLKQEPDLITRFIRPLLTTATMPVALDGKLHWPPIWRCATGKTRITAFDTVSEMFCQMPGPTSRTPKMMKLFEMEGMLAAADFGEEKHVDLWFLEDYSSQRWVHRQRVASPWKYGSGGRPRNDWGMQSVAVAGDDEGNIILGNNDGLVVYDVRKGETVRTVNSVMQRKNRVFVSKHVFKGSTVQHTCFFTPSSADSPLIHSWS >KQL24268 pep chromosome:Setaria_italica_v2.0:II:28247554:28248040:1 gene:SETIT_031699mg transcript:KQL24268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEPFGPASLLVGDSGSANATAPPPLLFHPLSPSLLLEQAEGSPPACKEGDDGAFFFLPQAHQTVDGMVRGGCTWLWASGC >KQL27019 pep chromosome:Setaria_italica_v2.0:II:47440511:47445465:1 gene:SETIT_030199mg transcript:KQL27019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGWKRCPKAVSERERERGGGSPLIRTPLPPLSSPSPWRHARAQPPPGDRILCPGILLRSRLPSEDSVPIGIPMASVTYIDDSHAEVIDPPKNEEMLDVTELVGEHIQHSPKPNVTSYGNVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCLGIYPYYCKLKHESQCQYRPYTCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDAEAKNYSYSLEVGGSGRKMTWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KQL22429 pep chromosome:Setaria_italica_v2.0:II:2527669:2528528:1 gene:SETIT_031478mg transcript:KQL22429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLSAAASMSAAVPRFHGYASLRSVAALPPVRAGGRKRAQGIRCDYIGSATNQIMVLSTTLMLFAGRFGLAPSANRKATAGLKLESRDSGLQTGDPAGFTLADTLACGAVGHIIGVGIVLGLKNTGALDQIIG >KQL24937 pep chromosome:Setaria_italica_v2.0:II:34728062:34728391:-1 gene:SETIT_033266mg transcript:KQL24937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALVLSVVILALSCATAAAQSQSCGEQGGGMLCPRNLCSSKSGYCGLGGDYCGQGCQSGACSPLGAAASKPAAQPCPATSAAATMGTAALVLSTAAGTARPEPAAEPG >KQL24982 pep chromosome:Setaria_italica_v2.0:II:35156760:35158277:-1 gene:SETIT_030958mg transcript:KQL24982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATGAGEGGKAAAGSASLHSKTLLKSEPLYQYILESTVFPREPDFLRELRVATASHPMAVMAASPDEVQLFGLLLEMLGARNAIEVGVFTGYSLLATALALPDDGKIVAIDVTRESYDRVGSPVIEKAGVAHKIDFRVGLALPVLDQMVAEEGNKGAFDFAFVDADKVNFLNYHERLLQLVRVGGLIAYDNTLWGGSVAATPDEPLAAATREFNAAIATDSRVHICQLAIADGLMLCRRVA >KQL24981 pep chromosome:Setaria_italica_v2.0:II:35156760:35157957:-1 gene:SETIT_030958mg transcript:KQL24981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPDEVQLFGLLLEMLGARNAIEVGVFTGYSLLATALALPDDGKIVAIDVTRESYDRVGSPVIEKAGVAHKIDFRVGLALPVLDQMVAEEGNKGAFDFAFVDADKVNFLNYHERLLQLVRVGGLIAYDNTLWGGSVAATPDEPLAAATREFNAAIATDSRVHICQLAIADGLMLCRRVA >KQL26824 pep chromosome:Setaria_italica_v2.0:II:46505751:46506897:1 gene:SETIT_033090mg transcript:KQL26824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQTERTPPPLPRTRREHVSTREQSVAGEEEEGEEMSGLEQELGLSLGVLIDVVDEQWMRDTLPADEIPVPPAMAVKTEDAEDPAPANQESQPAQGDVWRDFALENL >KQL22909 pep chromosome:Setaria_italica_v2.0:II:6303073:6304239:-1 gene:SETIT_032684mg transcript:KQL22909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLCAGQVVAETRAILTLAGGDLPPDAVFEILLRLPGRDLCRLRAVCRPWRSLLSDPAFAAAHADRHAEPLVVAGYAKHTSNNGVLYDILDLSGRVVRRVHAAATTPTENEWVASTGPGLVCVAAAGVAFALPRGLAEDHAAHERDISHHTAMVALGQVGSTGERKVLRVLHLFPDMARQLYEIITLDGGDRCRWRKAMDPAHTVGLGTWTAIDGVVYFFSSEFIQGQDVMPDRIASFDLETEEWRPTIRGPVSSSLADDVGGHPINHLDWGEFSLAAMNGCLVMTHRVFSSSMDLWFLMDVEKGLWVKKHVLQLSISYQHGEHAVRPLLVLDDGRIVLVHIGNRGSLKIYDPRTSSSTDVAVLGPCVAVGLFAGSVLSLANGDS >KQL26792 pep chromosome:Setaria_italica_v2.0:II:46363906:46365163:1 gene:SETIT_030914mg transcript:KQL26792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVIAFGLVLSLLAVSAAAERFDFFYFVQQWPGSYCDTWRGCCFPDSGKPAADFGIHGLWPNYAQCHGRYGLARAVLGDDAFFSIVGRRGRCWPQYCGGDYDDNALRPWEIRDLIASLERSWPTLSCKSGRSFEFWSYEWKKHGTCSNLEPHDYFARALALKAAHNLTAILAGAGIVPSATETYSVSSVSDAITQGTGFTANLDCNRDADGESQLYQVFMCVDREAKRLIDCPLHKRSKCSDQVKLPLF >KQL24086 pep chromosome:Setaria_italica_v2.0:II:25962811:25966030:1 gene:SETIT_033393mg transcript:KQL24086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTLIFMQSEWSGSSLCRCCREVNTRCRAANRLEKLNKRLDDLKASSEQNQWARTLQALATDQRPAESSSEVIGPCHGGEPNSVGSIISNDCDDHVRRMRGNSISLFAIVGAPGVGKTTLAQKIYHKMREDPQFQRRMWVHISSSTGTMTIWSGENEDREASNSEPRQQREIIRRHLAGSKLLLVIDNVRDNEGWEFLGELGQGFLERDVRVILTASHRDRTRIIGVNRWFGCHHVRALDEDDGWLLLRRTAQLREHEAVGQFQNAGIRIVSKCSGLPLALKAVGSSLRQRDQLDPWMVKLSDDFFGTQVMQREIQRSIDTSYMELGYRLKLCFLYCSLYPEGSVIQQKSIMQQWIAEGFFEKGSSGTTEEQQGTCPEEVEAQNCYTELVDRSLLLPAADGCATMPNLLRSYAIYRSQDENYVGDPRNIGRAFKVWRLYAADGGKIRDIPNDVTSLRTLLVFGSSSPQGDTSTNATPPVMDIICKRFTSLRVLDLRDGQVDSVGSNLGQLLQLRYLNLSNTSIRRLPHGVSNLVMLQYLILNNCRHLNSLPKGVGRLKNLRTLDISETPGLQEIRFRLRNLKELNCFRGFLPVPNSLVPVSSSSASTGWTFKELSNLSKLTSLQILNLGSGTSRQEAAELRLHEMGHLKELELFCTIPADHEQPPAGGDVESIGDVLGELKPPGHLTSLKLAKFYGNEFPSWVSSSHLTSLEQLTLDGCSQCQRLPPSLCDMMDLNFLTISGCSALCEITHEFQGAPINLVAFRRLEQLIVAEMESLQTWSGLLEGDMPLLRSFHISRCPRLSKLPPWLIHCKALTSMKIHLADKLLEIRGLPALKELQVDSCRALQRISELGRLEDLEVTACPGLDAVEDVPLLRSLRLREDASSRELPRWLPRLAPSSHRRLEILGGEDLLDSCSSEHAPSWPRIKDIAYLVHAKVVHDGSAYFSCITSPPRFHRISQQCRERANACGATVQTLPPPPPQENGGELQEAKAWVWRALYGLAAAFLIGSA >KQL22722 pep chromosome:Setaria_italica_v2.0:II:4775485:4778616:1 gene:SETIT_028808mg transcript:KQL22722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTEGLWADTIDEAAGRILEVLKRSISSRDNVFYFDGWDGLGASAVLRAIATPTSPRVKRALADLEFDQVIHIDCSMWESRRAFQRAVAEQLKLPDKVMKMFDRQDEEDDFRGVAQGSRREVEQVVREMHECMQKLNRRFLVIFHNGSGEEIDLASFCGFLLSGYSTSKVLWTFQGRFRLKTRVKVDMAIKSAGTTDAFLWAGQRNEKQEQLWSYLVLQEAAEVAEACKVTAGSGDIIDQPAQVAECFIYMLELCCRGSHSIDFDLATHGTNYWVCDGIIQQLKQEGRDLSANDDSDWLWRAADALQREIALDVDYHQHVPSSHLSLCVESKPYWISPNYGFNRVPGRAILNGGTFQHYLDKLRVLKLSRCTFNFQSPPFLYCQGLRFLWLDHCQDTGINKDGEGKEDVCRCFQRLWVLDVRYTDCHQILSAQTMDFMTQLRELNVMGTEGWDMGQLQGRLPNIRKLRVTKSTVTCSFSEEDLFSKMNKMEVLEFSGNSIYSFGITVNNSSCLETVNIGKCMGLLRISFKGCTKLKNLIFSGWLFNFLTIDISGTAVKTLDLTALTNLDALFELYLLDCKKLCAILWPPKDNMMKHDIDMLCIDTTRSAPTAQSREEIAKSGTTAATIGTSAATTVLHRSRPTNEFPWYISVRDARLLMSLEAVYSKSRELYLEVSPTPSPTVFAAGCRDEGIKSGSSSELQRQPAPAIYAAAGTTAKCDGDAPGIMWMWSCPDVPDLHEKSCYMHIQETNTAITVPGFVVDCAKILHVRDSMSITVFPGTKYQGSEWYQLEWCRIERCPRLEDVFTPQEKNRGLIYIKDYRMKTFWASQLRKASCIWKWTQPCGLLVFLHVDCCPRLVYVLPLSIYTWILSQLETLEITWCGDLREVFPLETYPMGLAEKQPQPVTTLDFPGLKRIHLHELPRLHSICGLRMSAPNLETIKIRGCWSLKHLPDVGGGDKAVECDCEKEWWDRLEWDDGSQVTRYKPIHSRYYKKTLLRSSVLR >KQL22883 pep chromosome:Setaria_italica_v2.0:II:6089310:6090362:-1 gene:SETIT_032892mg transcript:KQL22883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPHLAAAADAAGRSKGDSLLVTAVYNRADTSRVDVKLVDVASGAIVTQVDKQRTTGNIATTGGLIFLAPTSSTAASIGVLNPATGAVTDIPTGTPTNGGPNSRPAYVFGQVPATGGYKVLRIDTAGGHGQQPYQSCEILSLGSRWRSAPSPPVLVNTTVSRHRAVTQGFAHFLTTSRTAFSGDFDGIASFDLAKEEWRPSLLQGPLPSESRNCCRSNLSLVELNGCLVFVHHDYLSCCIDMWVLTDLEKGTWLRIQSLHLGLILHGWEEPKKDQPAPLIPITQHRKEIFAQPLMVLDDGRIAFWVGVPNGAVRVYDPKTRKCMEVVDMGKSCSMVGLYKGRQLGLAK >KQL24018 pep chromosome:Setaria_italica_v2.0:II:24691995:24696022:-1 gene:SETIT_030234mg transcript:KQL24018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAASKKAYVVAVVVQVIYTGLSVLSKAAFNDGMSIFVFNFYRQATGSLLLLPLALLFQRKNMMSMPLALLLKLFLCALFGNTLSLNMYSVSLKLTSATLVAAAGNAVPAVTLCLALVLRMEVVNLRSSSGIAKVSGAALCLAGVLVMAFYTGPGLSPVNHHHAFAAHAPGSGGHTSTSKAAWIAGTFLMVINNMAYSLTAVWQARILKEFPNRMLVAVSLCVFSALQSLVVAAVAERDFSRWKLRLDVSLLAIAYTGFVVTGVSYYLQAWIVEMKGPVFFAAWLPLYSVFTMFCSSFFLGEIVHLGSILGGILLIGGLYSVLWGKSRDSMSDGSNSEMNKIDGAQDEQERNKPDAPEEAKSAAAGEKV >KQL26696 pep chromosome:Setaria_italica_v2.0:II:45810972:45811904:1 gene:SETIT_033385mg transcript:KQL26696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVPVMAPSAPCSPRTAAIAGGDHLPGYCYFFSSAPTSPSRASYAGDASPGGADEATFDFTLGFSGQLQEATPILAAADELFEGGRIRPLNTPHPSILLVDDTSSSYSSSSSTRPRSLRRAQPEALERGRSGRPAAPASAASSRSRRATRSLSPFRVGGAGIEDDEFPSSPPSPRTSMMRGCGSGSKKWRLKDLFLFRSASEGRATGGGSKDPLFKYTMLSSSTSFSQKLKSGGGDGSASMRKGRGSTASASDMPYAMNRAAAEDMRRRTTTTPLPFHRNSLFGYLRSNPAIHSISRKLGSHSSNRGKP >KQL22934 pep chromosome:Setaria_italica_v2.0:II:6489822:6490730:1 gene:SETIT_033237mg transcript:KQL22934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQFQQQPQSHPQQQCSYQLPVTKETKPKVRTKCGGGGSKFVGVRQRPSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGANTRTNFAAGAHASPPDSLLAARIRGILNHKKLKKNTALPTVTFFPAAYHRAGHDAIATAAATSSTSTSTITTTTSGVSPSSSPSSSINFSMSSNGIRAPILPARSIAEEVYRPYLISGSEELQMASQQYEQSWALNTSSPSTIGCDMANNNACTAVADAELKIKPEKHCSVSPHGMDKVQDKDLFDTGNDPSDSLSLMY >KQL24346 pep chromosome:Setaria_italica_v2.0:II:29118577:29123708:-1 gene:SETIT_029131mg transcript:KQL24346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDDAGGGTGLHDLFDSVRRSISFRPGAAAAGPEAPAGPFGAGGGGIGVRISSCIRKSRGMGLLGLITKNPSPPRRMLPPMPDEAGGGSGGGGGGCGGAGEENPPIRWRKGELIGAGAFGQVYLGMNLDSGELLAVKQVLIGTNNATREKAQAHIRELEEEVKLLKNLSHLNIVRYLGTVREEDSLNILLEFVPGGSIQSLLGKLGSFPEPVIKKYTKQILQGLEYLHNNAIIHRDIKGANILVDNKGCIKLADFGASKQVAKLATVTAAKTMKGTPHWMAPEVIVGSGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVALLFHVGTTKSHPPIPEHLSPEAKDFLLKCLQKEPELRSTASDLLKHPFVTGEPDELQPLNHTAQEDSFNEIPAHDMPNGLGLNHSSNWSTMNSNKSSKIKPLWEGSCDDDDMCEFADKDDYPAVGSSYNPMSEPFDNWESKFDMSLEQNSHQSMEFSGLAKHPESSITENDFTFPVEGSCEDDDVLTESKIKAFLEEKALDLKKLQTPLYEEFYNTANAGSCQEADQTSKGKIPVSPKLPPRRKSPPSKTRGGASPTCDNLNNTSPESCSKQFPKSNVVKSSRILREIASPQLNEFGDKVNLDAQDSPSISFAERQRKWKEELDQELERERVMRLAGCGKTPSPSRGPNVKRERHADH >KQL24118 pep chromosome:Setaria_italica_v2.0:II:26339517:26344107:-1 gene:SETIT_029486mg transcript:KQL24118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKPEDMVHHMPMDQLQGFEYCIDSNPSWGEGIALGFQHYILSLGTAVMIPTMLVPLMGGNDHDKAKVVQTLLFVTGIKTLLQTLFGTRLPTIMGGSYAYVVPVLSIIRDPSIAQIADGHTRFLQTMRAIQGSLIVSSSIQIILGYSQLWAICSRFFSPLGMVPVIALVGLGLFERGFPVVGKCVEIGLPMLILFVALSQYLKHVNVRHVPVLERFSMLMCIALVWVYAHILTASGAYKHTALVTQINCRTDLANLISSSQWISIPYPLQWGAPTFSADHAFGMMAAVVVSLIETTGAFKAAARLASATPPPAYVLSRGIGWQGIGTLLDGLFGTGTGSTVSVENVGLLGSTRVGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAIYCVMFGIVAAVGLSFMQFTNMNSMRNLFIIGVSLFLGLSIPEYFSRYSTSSRQGPAHTKAGWFNDYINTIFSSPPTVALFVAVLLDNTLDVRDAARDRGMPWWARFRTFRGDSRNEEFYTLPFNLNRFFPPS >KQL23697 pep chromosome:Setaria_italica_v2.0:II:16616174:16616465:1 gene:SETIT_0326091mg transcript:KQL23697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDMKYFRAQMLQMLQGLLPDLPPETVANVARPYMTVDAYTVEAEGTGEMIPEERLTCNLTALMST >KQL23922 pep chromosome:Setaria_italica_v2.0:II:22880421:22882234:-1 gene:SETIT_029864mg transcript:KQL23922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSCVPPSLPESAAASGLVLLDRWCYIADLPNNTTAASTTSSGLPIKVTFRAARPPLLSHFCVHCPGLDFRKIGPKIVATDADLVLLRVPIDPNSINRGLDWDYFVYRPRAQWLNLLPNPHPRVLDDSATALISREDGAWYVVAALGVRLPLYDGRALIRWDFDLHLYRSSDSKGWISKRLSVNEFERDKLIPLPRAVDRLYHETEKTITIGGEHGTVAWVDLWRGIFFCDVLKECPLLQDVPLPVPARSAGKGHCNPSYLRDVTISRNKDLIKYVELEFLYREELNATPVSHTDWVCNNSRKSQVIRDGWKSTTWNMAIPVGLGEGWHRDCVIDVKDVNLEASDPCLSDLMAMLSSKTTRTWKELPVACPILSMDDDVVYLLSQTRPRYMDKLEVIFAIDVRKATLRGLAELDVQKSTIFFPNFCTSEICRGT >KQL25593 pep chromosome:Setaria_italica_v2.0:II:39240851:39245481:1 gene:SETIT_028915mg transcript:KQL25593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGGDPQPSFSLQARTPSEGGAAVDLDLLEQLLSGDNGWFDVVSRSPNSLASPPPAAFFSADVTAAAVTTTSSSTQAASSWWIQTGGASPSSVRDRFSQALSYIRETQSDGDVLVQLWVPVSRGDGRLVLTTSGQPFTLDQSSDSLIRFREVSTKYQFSADVKSGDSPGLPGRVFIGRLPEWSPDVRYFTTYEYPRVRDAQYLDVHGTMGLPVFEKGSYNCLGVIELIMTRQKLNFTSELNTICSALQAVNLRSAEVSSVPRVQFSTASYKNALPEILEVLRAACLTHKLPLAQTWVTCAQQGKRGSRHSDENYPYCISTIDEACFVNEPEMQDFHDACSEHHLLRGQGVAGKAFTTNQPCFLPDIGSSAKLDYPLSHHAKIFKLKGAVAIRLRCTRTGTADFVLEFFLPTDCQALEEQKEVLDSLSGTMRSVCQTLRVVTDKEMEDEAMRETNELNSFTPQGKNKAEELSFGGNSADRRGEASWTSLAETSQQESELAALRMHGMFSSGGQGPSLSGVQSAAEGSKAKRRTKAEKTVSLQVLRQYFAGSLKDAARSLGVCPTTLKRICRQHGITRWPSRKIKKVDHSLRKLQQIIDSVHGAETSFQLNTLYKDLTNTSISSENNLSGSMTIPPTNQSNLTDFDKHQHHKSNSIVPSTSPSHSSCSHNSDSSPSCSGGARKHAPQGIIDLMKSGNPVKDSPIQTLQTENTSLYEHFSVHEAPTDLLQDVTEKANGGLHSSRSPSSPKQNAEANMRVKATFGSEKVRFRLNPKCDFQELKHEIAKRLSIVDTSSLILKYLDDDSEWVLMTCDADLQECFHVYKLADIQTIKISVHLAVSPATRVTTGPTGLS >KQL22183 pep chromosome:Setaria_italica_v2.0:II:421849:424369:-1 gene:SETIT_031934mg transcript:KQL22183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTIISTIVDELGTRSLSFLIDRYFKPAPSRRTAYGDYNGCCCRFVLQLRRQRGGACITNQAMLQQLNMLRTVVYRCYYMLDTFIQLLPEEEDKKDHGVSPFLSLSKFSPAKRPYSTYLFMQKCMFGRQLEMERVITFLLHEEPPLGYSFGVLPIVGPGKVGNTTLVEHVCCDERVRNHFSHILFLSDNDFREEKQKILCDFGMDNDHIFLPIAAETTQYCVVHNYYWIVLDNEETPKLTMLEVLSGSVPPNGNFDFLVWKSQLPPYHNHIYSSEILDLECEVTRNKQGQKRKILS >KQL23150 pep chromosome:Setaria_italica_v2.0:II:8738520:8739100:1 gene:SETIT_033076mg transcript:KQL23150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPGAMEAELARMDTRFIFRRILTTRDTGAISLSPEWWGPPDRDIPLPPWLPKEYVDRLAAKFDETGFAGAINFYRCLNLNWELTAPWTGAKVAVPTKYMAGEEAMSCSYTGVQEYIHKGGLKGDVPGLEEVAVVAGAAHCIHLEKPEEVTEHIYDFIKRF >KQL24868 pep chromosome:Setaria_italica_v2.0:II:34125760:34127696:-1 gene:SETIT_030822mg transcript:KQL24868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPRGGSPSLLPVANPDDDGYGAAGMMEAEGDAEEEMMACGGGGEKKRRLSAEQVRALERSFEVENKLEPERKARLARDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDALRHDHDALRRDKDALVAEIKELKAKLGDEEAAASFTSVKAEPAASDGPPAAGVGSSESDSSAVLNDADALVTEAPVPEVQGTLLPTPAAAAGAGAAGNHGGVFFHGNFLKVEEDETGFLDDDEPCGGFFAVEQPPPMAWWTEPTEHWN >KQL24708 pep chromosome:Setaria_italica_v2.0:II:32423273:32424193:-1 gene:SETIT_032914mg transcript:KQL24708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTPRNSIGHILPGAGFLAVGLWHLFNHIRLFSLRPDAYVAPVWFPAPGVRHLELILVIVGSAVEFAMEMFVDHSTFLPFDADGSIPSDRLHNHEHAIICLALLVYAGSALHLDRVRARCRDAISLLLVAVVFAQELLVFHFHSTDHAGFEGQFHWLLQLVVAACLATALLGIGFPRSFAVSLVRSACITFHGVWLMVIGAMVWVPSRVPKGCSLVEEDGRDTVRCHSKASLHRAKALANLQFGWYLSFMTVFVVALYLYVCKRYPEEAAYVRVPEASEQEEQLQERKCGGGEDVHGFTPLEIEV >KQL26612 pep chromosome:Setaria_italica_v2.0:II:45394120:45395327:1 gene:SETIT_030334mg transcript:KQL26612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAANTVVPSGPVPFKDVDDGTVPEHTPKEEFGDLVAALPRRQQSILELRLYQGFWLPEHWVPGTVVFQRRFSPRPDDVVLASYPKCGTTWLKALAFAAAARGAYPPAAPGHPLRRLNPHDCVPFIDEIFAGGEEAKLDALPSPRLMNTHLPYTLLPDRVTNAGGCRVVYVCRDPKDMVVSLWHFLRRAKPDLSFVETFESVCDGTVAAGPVWDHVLSYWRPSVARPDRVLFLKYEDLLRDTAANVRRLAEFMGRPFTAAEEAAGDVAAVVELCSFDEMKGLDVNKAGSGTAGRYCAMQRDAFFRKGVAGDWANHMTPEMAARLDGIIREKLQGTGLDFAQGSSRDVDV >KQL26352 pep chromosome:Setaria_italica_v2.0:II:43913225:43914104:1 gene:SETIT_031390mg transcript:KQL26352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMYGPGKGMSSSVLPYARSAPGWVRSSAAEVEDMIVRAAKKGQQPSQIGTLLRDAHGVPLVHGVTGGKILRVLRARGLAPEVPEDLYFLIKKAVAIRKHLDRNRTDVDAKFRLILVESRVHRLARYYRRAKKIPASWKYESTAASTLVA >KQL24811 pep chromosome:Setaria_italica_v2.0:II:33439709:33442753:1 gene:SETIT_033129mg transcript:KQL24811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVGIVASVGDLVKHIKVGTPVALMTFGSYTEFMLAGQMTSGQVVLVTAAAGGTGQFAVQLAKLAGNKVVATCGGESKSTFLASLGVDRVINYRNEKIKDVLKKEFPRGVDIIYESVGGETFDICLNALAVYGHLIVIGMISQYQEKEGWKPQNYTGLCEKILGKSQTVAGFFLIQYAHLWQDHLEKLFNLYASGKLKVFLDPKKFLGVASVADAVEYLHSGRSVGKVVVCMDPSYGQTLAKL >KQL23482 pep chromosome:Setaria_italica_v2.0:II:14049572:14051734:1 gene:SETIT_031542mg transcript:KQL23482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDYSDNRIQKPTEPNGIVINNLIHGIVFPEENNIVREVQHAAITRITYETAVSGSILNLVCGESGNNLAQLTEISGADIAVYDPPSEGNEAMIVVSGPPDQAQSAQRLLVELILQGQ >KQL25675 pep chromosome:Setaria_italica_v2.0:II:39772292:39777629:-1 gene:SETIT_030263mg transcript:KQL25675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTDKASADGENDRVRFGLSSMQGWRTTMEDAHAALPDLDDCTSFFGVYDGHGGKAVSKFCARHLHKQVLINEANSSGDLPASVYKAFLRMDEMMKGQRGWRELTELGDKGNKISGMLEGIIWSPKGGDAEDLGGGWGTEEGPNSNFPGPTSGSTACVAVIRNDQLIVANAGDSRCVISRKGQAYNLSTDHKPDLEGEKERILNAGGFVVAGRVNGSLNLSRAIGDMELKQNEVLPAEKQIVTAEPELKTVKLSEDDEFIVLACDGIWDCMSSQEVVDFVHKHLETEDKLSDVCEKLLNHCVAPTSGGEGCDNMTVIIVQFKKPLSSAATSSTEKSAATAEEMRPNELNGPDDPLK >KQL25674 pep chromosome:Setaria_italica_v2.0:II:39772608:39777211:-1 gene:SETIT_030263mg transcript:KQL25674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTDKASADGENDRVRFGLSSMQGWRTTMEDAHAALPDLDDCTSFFGVYDGHGGKAVSKFCARHLHKQVLINEANSSGDLPASVYKAFLRMDEMMKGQRGWRELTELGDKGNKISGMLEGIIWSPKGGDAEDLGGGWGTEEGPNSNFPGPTSGSTACVAVIRNDQLIVANAGDSRCVISRKGQAYNLSTDHKPDLEGEKERILNAGGFVVAGRVNGSLNLSRAIGDMELKQNEVLPAEKQIVTAEPELKTVKLSEDDEFIVLACDGIWDCMSSQEVVDFVHKHLETEDKLSDVCEKLLNHCVAPTSGGEGCDNMTVIIVQFKKPLSSAATSSTEKSAATAEEMRPKI >KQL26677 pep chromosome:Setaria_italica_v2.0:II:45713223:45714569:1 gene:SETIT_030625mg transcript:KQL26677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALFLLSALAHPGERRPSLARRASEQLACRARCPRRRLRTRHAHPNPLAPRRGRLLSALLPTWRPCGAVAAAPSTRRAANTSSTDHGSTSTSHRASRPPPGATWIRITTRTNSTLHSPLVPGAPLARARLAAHPHARRVPRPRGRLFLFFSLQKKILRQLCERKLRNRSQPLSASRRAYPPVCWTGTRFLRNASPPSLRQSVVLSYRGGRPQKGRPGNQAISVHVRRGVGHHFRIQRLLLPCLCPRASVCCFQFRCTCLQPHPAFRVKGRRTKAILACAAHDDTRAYANCIYHWQGFARNIQSQI >KQL25716 pep chromosome:Setaria_italica_v2.0:II:39985767:39988327:-1 gene:SETIT_030356mg transcript:KQL25716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMAIHVLQVHKESVTKVPNAKPDRESTDIEIFGMQGIPAHVLAAHYGEEEDPSAKVAKVEVPQVRPVIMPNSLGMAFPPRPTYGVAPPIYNPALNPLMARPPIWPTPPAQAWYPQQVAYPQQPAVSVAPVVAGLPPQQPLFPIQNVPTPMTSAPANVLQSSFPMAPPGVPSPVAPQVSQPLFPVNTSTVNGAASSPFVASVAPGTIPASSPAAVGYGVNSQGTGGLAVGSSPAVSNNKASGNQPATNEVYLVWDDEAMSMEERRLSLPKYQVHDETSQVSCVFYV >KQL25714 pep chromosome:Setaria_italica_v2.0:II:39984154:39988517:-1 gene:SETIT_030356mg transcript:KQL25714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMAIHVLQVHKESVTKVPNAKPDRESTDIEIFGMQGIPAHVLAAHYGEEEDPSAKVAKVEVPQVRPVIMPNSLGMAFPPRPTYGVAPPIYNPALNPLMARPPIWPTPPAQAWYPQQVAYPQQPAVSVAPVVAGLPPQQPLFPIQNVPTPMTSAPANVLQSSFPMAPPGVPSPVAPQVSQPLFPVNTSTVNGAASSPFVASVAPGTIPASSPAAVGYGVNSQGTGGLAVGSSPAVSNNKASGNQPATNEVYLVWDDEAMSMEERRLSLPKYQVHDETSQMNSVDAAIDRRISESRLAGRMAL >KQL25715 pep chromosome:Setaria_italica_v2.0:II:39985767:39988327:-1 gene:SETIT_030356mg transcript:KQL25715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKRVEKVFCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTASGMAIHVLQVHKESVTKVPNAKPDRESTDIEIFGMQGIPAHVLAAHYGEEEDPSAKVAKVEVPQVRPVIMPNSLGMAFPPRPTYGVAPPIYNPALNPLMARPPIWPTPPAQAWYPQQVAYPQQPAVSVAPVVAGLPPQQPLFPIQNVPTPMTSAPANVLQSSFPMAPPGVPSPVAPQVSQPLFPVNTSTVNGAASSPFVASVAPGTIPASSPAAVGYGVNSQGTGGLAVGSSPAVSNNKASGNQPATNEVYLVWDDEAMSMEERRLSLPKYQVHDETSQVSCVFYV >KQL22872 pep chromosome:Setaria_italica_v2.0:II:6005990:6007120:-1 gene:SETIT_032593mg transcript:KQL22872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRFVNLIVGSFTGHHPASTLHRINPWRCFYPTTQEALAAAEDTAAANNRPIMEDARLPPAAISFYKSYEPYGGIHFASLGSSSNDIISTDQDGNTLLYAAAARAIRVMPMPHEPKYAPVSITVGDGLYFLNRNPLQDHPFEALVHHPRDQQYISTDYTDEKWYWRPLPPPPYTLDRNECGKVEVDEEHRRLCYERNGKGPYVIGAYTVVGDQIWISTEGGGTFSFDTTSGLWSKAGNWALPFYGHVEYVPEHALWFGFTSECRQLAACDLGAAMPTRPPVVHTVWDELTPPLPPRWVPIMAFLLPLGGGKLCVARLFDLAEEGWCREKSNRHVDVDSFVVFTGVEIGRGSKGALSMIRHKSRRYSLGRGMAELL >KQL26176 pep chromosome:Setaria_italica_v2.0:II:42791560:42794830:1 gene:SETIT_032634mg transcript:KQL26176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSERRNPDGGTTTDDRISGLPDDLLQTILLRLDSTPEAARTSVLARRWRRVWAALPELSFRYQHASPDRVARWLRFASQRLTGELRLSMAPYCNYYEDENAIIVLPPCARAMAIHLDLCGNTLRFGPATAGTFTALATLRMDLPKTRIVAPMLSEVCWHGYPYDPNRHHLVDVGRHLHGHLTVSIPRRVKQYRRFLKNINNVAKCEILLVRFVGKEHAFMSTMLHLLKTCTCIRKLEVFLGSSASPSCPSCCPCRLPESLITDSITLHSLEEVEIYFFQGSEELLELIELLSNNA >KQL22489 pep chromosome:Setaria_italica_v2.0:II:3096872:3099839:1 gene:SETIT_030183mg transcript:KQL22489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSPMVLSLLLLASLTALLVLAPRLSPPPQPAVAAAAGEDAPPRPARGGGLKGAASGGGVGAAGVGEEADDLRLFRRAALEAAAGEEAAGPPKVAFLFLTNSDLTFAPLWERFFAGNAARFTVYVHADPAAALRLAPTPSFRGRFVAAKPTRRADASLIAASRRLLAAALLDDPANAYFALLSQHCVPLHSFNRLYAALFPPSPPPSAAARHRRLPSYIEVLTGEPQMPSRYAARGEGAMLPEVPYERFRIGSQFFTLARRHAVLVVRERRLWRKFRAPCLPEAAQDECYPEEHYFPTLLDMADPAGVARYTLTRVNWTGSVAGHPHRYAAAEVTPRLIDELRASNHTHPHMFARKFAPDCLGPLLAIADTVIFKD >KQL26560 pep chromosome:Setaria_italica_v2.0:II:45070877:45071326:-1 gene:SETIT_032878mg transcript:KQL26560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVQPNLTFTDVFESACEGVSFNGPIWDHVLGYWNASKESPETVLFLRYEEILRDPVENVRKLALFVGQPFSPAEEDAGVAMDVVRLCSFDKMKGLEVNKKAVSHGLFPNNSYFRRGEAGDWANHMTPEMARRLDAIMEEKLRGSGLSFA >KQL27184 pep chromosome:Setaria_italica_v2.0:II:48348002:48354499:-1 gene:SETIT_028834mg transcript:KQL27184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAAGAAVVAKRCMNPACGAPATAGGGDWRKGWPLRSGGFALLCDKCGLAYEQFVFCDIFHQKESGWRDCSFCGKRLHCGCVASKNSYDLLDSGGVQCVICMRNLAAQSASGQVVPKLFPCQNNQRIFGKSDELLLGRKFEQSPSLMLDSRNDEIAVVNKSNHPFMVKGIEAGQSSSILRQKEMENGSRQIKWEQPTLSIGDMGRPFLTRSQSALESPQYTRRDDNKDPTTDSTTSESISEACLSMSLGIANNGNKMEATSTVERPILSPTTSIAEGREITTTLSPFQHAQRARHFLTRPPRVGEGAVFDPTKDIFPHLRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDSNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGRPLTIQDARGKEWHFQFRFWPNNNSRMYVLEGVTPCIQSLQLQAGDTVTFSRIEPGGKLVMGFRKATNTVSLPDSQISAIANGSLLSETLFSTANENLGVVSGYPGFLQTIKGAADLHPSSLYDHHMNSADGDVSWLKADKFGSRPDEGSLQFLQKRSRNIGSKSRRFLMDAEDAMELKLTWEEAQEWLRPAPSAKPTIVMIEDYEFEEYDEPPVFAKRSIFTIRATGEQDQWIQCDDCSKWRRLPLTVIVASKWTCTDNSWDPKSCSCSAPEELTPKELQSVLQQYEEMRRRKGSYGLKLNVAEMDASSLDALATAAVFGDVGNQGTASVATTTKHPRHRPGCTCIVCIQPPSGKGPKHNPACTCNVCMTVRRRFKTLMMRKKQRQSEREEAEASKKITWANRDEPEGSNLSRSPQTLDTTRDSSDVTMFDKVADPNKGHIDLNFHPAVRDDHQGQHGAQQPRPVSMMGLLEVATRPLDNYMKQNGLTSLAGEQAGGSSSTATVLPAPVESEERTSNEGRVASVERKREPDAMAVDEAGDNQQDKAADDAPLPPPAAT >KQL24934 pep chromosome:Setaria_italica_v2.0:II:34710537:34717679:1 gene:SETIT_028672mg transcript:KQL24934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLFMQAFERGEWLAAQLQQQVDSYSQTLACSLLAAGHRPPEWLLPSATLPQELNGKPIFLTGRHITTPAVNRSVFLPQAVPSTLSRNSEVPNGCAYPDTNCTSQHEEEQQDQTSLNQDISETCTAAKMFSRIQRSRSRQRHIKDRLHGKDQAAKSGSHDGMHKSNLGTLGSNGASASSSSIPCDDVADNAETTSSVPGQGSGFCAIQGKPIDFLKCVDNLENQGVQSDGFPQQIVESKVVSSDSDIRVSNKSSVRDSLSVPLPDLSKPSVADSVCDRIPETHMLVEPKKLQFDGIESVCMNPTSEQMGQQQDSDAKSEHLDIAGRIPSSEDASSTSSQGPHSMGRLFLDQVRLGDLNPDGAPVEQHLKYALECVHPDLTGVHSPTKKPSLTCPAEGPDSIDQPLLQEDTEHVPETNSLGRACPRVSRPLEIDTSNSDKTNCSQRPCSVVNPLLENDILQVIEDTEKLQSSNCHVSSPYSGPLQLPTQLADSSRSLQLPTQLADSRFGAHASSGTSPNSLLGEDGHEHLSNLPINDRNNRCSQGRSALSLELLPPQNFTSNDVCQSSLLPYRTQSNDKHSNGCTAVSNFKSADNELSQKPYLSVRSSLELNGSIPDAETPLGHPPLDMENEMLKANSVSNLVSCYSGKLGDDAHISRAYGGSADNGKHESVVLKVMPSNSSQRTGEMHGTERNSVVLLEKCNESLHQGKEQETPHAEDDLQMNANSCTAENIEKRKSASTSESREKSNNHQEDTRTAQKKSVADDVQINGGTPSKRKRIKRQDIALPSSYDTKPSSSNHQDAIGTHMVTAENFSGKSQPSGRYFLRSSGFSEFMSLKSETKNDIMNCKMSVASDVQQNRNSSPKLRNKSSLSELQNILDVATSPLPSSCIMSLDNMEHCIREENPYLQGEGLSVSNSSVEHKQMALRMDEILSQSVIINPENYSRTDSTNIFPGCASEQHGKQASAPIALFHEKLSYGSGIEVDRKLRSEDLTGCLLSASTIPRQKDNEALDCNDTMPQFECFDFSVPDSPTTKERPFDSLCDTREFATFSSDISEKYKTNTLSGMRQLLATMSGKAANCSFDDDERQHNDSVDGRITDIFGSCGLGHNGSFFTSDVVASYSSNARDKQESSENPLTPAVEKYSLGKLSGTSGSVSEHMGSIPELSCFRIDEDSDIAEENEYQDILPGSVGTQRQSGRKVLQDITRLCQNTGNSASCSIGIMDTSDTNFTTETCSSELNHQPGLRNDGDNKKAKESYASLVKKGGKMSRSFRDRLSKTEARHMSEANTGKRSKPSNIVANVASFIPLVKQKVQPAAACVKKDVRVKALEAAEAAKRLEEKKRNEREMRKAATKLEREKLKQEKELKQKHEEEQKKKRDVDVATRKRQRDEEERREKERKRKCIEEARKQQKQPMEKRHANSEKDAHPKASDNKELQKNLAESVKGQVKPDDMASLGDKATKGNNEKVVVADERPGSFGSQSQENIPKSLEEPYLMTPYKDSDDEDDDFELKEESRRRRKLIPSWARGEKLEKILLSNYALDHREIFARKCSSNLSEICPVHIPQRSFR >KQL26582 pep chromosome:Setaria_italica_v2.0:II:45225096:45225576:1 gene:SETIT_033493mg transcript:KQL26582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAGLPAAQRWAWQATVRWAQAVGGELDGSKSMELADGGEKG >KQL22421 pep chromosome:Setaria_italica_v2.0:II:2466757:2469304:-1 gene:SETIT_028931mg transcript:KQL22421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFRYLLLILAVFLILDSARAQSKPFLINCGSDSSTDVDGRTWVGDFRPDGGNFTVTLPGAIALAPKASGGDDFYEDLYRTARVFNASSTYKFSVPPGSYFLRLHFSQLFSNLSAKESVFDVAGNGLKLLSKFSVPGEVYQRNSKINSTSNVIVKEYLLNVTSGKLEVEFAPDDGSFAFINAMEVVPVPGNSVFDSVNKVGGVGVKGPFSLGDSGIETMYRVCVGGGKIERKEDPRLWRKWDSDEHYIFSLNAAHSIKNTSNISYVSSDDLTSAPLRLYETARVTEETFVVDKKFNVSWRFNVDPGFDYLVRLHFCELEYEKAEQRKFKIYINSKTAAENYDVFAKAGGKNKAFHEDFLDDASSDTLWVQLGSESSATSAAASDALLNGMEIFKVSRDGNLGHPTIRIGGMNGGMVKPKRSPKWVLIGAAAGLVIFISIAGAVYFCFYLRRKNNIPANMTKDNPPATPMATNARASPTLRTTGTFGSCRMGRQFSIAEIKAATMNFDESLVIGIGGFGKVYKGETENGTPVAIKRGHAQSQQGVKEFETEIEMLSRLRHRHLVSLIGYCDEQNEMILVYEHMANGTLRSHLYGSDLPALTWKQRLEICIGAARGLYYLHTGLDRGIIHRDVKTTNILLDDNFVAKMADFGISKDGPLDHTHVSTAVKGSFGYLDPEYFMRQQLTQSSDVYSFGVVLLEVLCARPVINPTLPRDQINLPEWALKWKRQNLLETIIDPRLGGNYTLDSVKQFSEIAEKCLADEGRSRPSMGEVLWHLENALQLHQAYLQTATADGLSGPELKLSDASTNIRMQTGNQLISELRCRRLFELLISIN >KQL26990 pep chromosome:Setaria_italica_v2.0:II:47278836:47285609:-1 gene:SETIT_029038mg transcript:KQL26990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAKNSNGYLGVTEPISLSGPTEKDLVQTAEVEKYLSSAGLYESQEEAVSREEVLGKLDQTVKAWIKKATRISGYGEQFVHEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATRNEYFFRWLHEMLAEMPEVTELHPVPDAHVPVLGFKINGVSIDLLYANLAHAVIPEDLDLSQDSILYNVDEQTVRSLNGCRVTDQILKLVPNIPSFRTTLRFIRYWGKRRGVYSNVMGFLGGINWAILVGRICQLYPNASPSMLISRFFRVYSKWKWPNPVMLCHIEEGPLGLAVWDPRRNYRDRGHQMPIITPAYPCMNSSYNVSVSTRYVMIQEFTRAFEICQAIDEGKADWDALFEPFPFFESYKNYLEVNITARNEDDLRNWKGWVESRLRTLVLKIERYSHETILAHPYPRDFSDKSRPLHCFYFMGLWRKQTVQAQEAEQFDIRGIVNEFKSNICAYQHWKEGMDIEVSHVKRREIPLFVFPGGVRPSRSSRTAHKNSRTVPACDVSAGQVENVLCTVSCSDAQPIPYKGSYTKQPEPDNGCLQLLGSTSVLPSSLPNKEALNGHANFHAESVEREHPEHYQESTFAPVQNNVCHAVKQHNILLPNSNNGWQSYGPGSSLNSSQRECADSAANNLPSLPPAIPAEPDELDELVSYHQVKANQVDINADQRPSLEGSSEDNLEQPCSLRPQNSNNPKRKATEELEPLELAAPSVGAAPASASTAPRKPLRLRLTTLAKPKPAEGSS >KQL25488 pep chromosome:Setaria_italica_v2.0:II:38554258:38556429:1 gene:SETIT_029602mg transcript:KQL25488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQMQQEPWNAAAVGLLRPTKSAPCSPIKPAAAAGMVRTHSDSFHVAHKVPVGDTPYVRAKRVQLVDKDPEKAIALFWSAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRSRCSDQAQESLDNILLDLYKRCGRLDDQISLLKHKLQLIHQGHAFNGKRTKTARSQGRKFQVTLEQEATRLLGNLGWALMQKENYTEAEGAYRRALLIGPDNNKMCNLGICLMKQGRVLEAKDVLKQVRPAAVDGLRGADSHLKAYERAQEMLRDLEAKLVGRPRADQLDTSWLFDALLLGSSSSIWQPQPCIDHLLPPSAPAPVPAPAPARRDHFADENACVSKKLAALQANMLNVDAQPFYSLRMPPLATKPQNTVQQQPQQKPTPVHDPLGNLKRTRSGNCMDKAGAVVDKEQSTDENSGRRKSLSAEDRWPELPDHSAFDEALVAAVLAPVLDDEPAATEGNGHGKLPASCGTSPVVKEKIGKRLRIFQDITQTVNNF >KQL25651 pep chromosome:Setaria_italica_v2.0:II:39592144:39592523:1 gene:SETIT_031785mg transcript:KQL25651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKRIKKSSAQPKIFSRPNTEISPSDAMHVLDLMQLAMHSFHTSETGDDVHQLSYRKYRLVTR >KQL26564 pep chromosome:Setaria_italica_v2.0:II:45090202:45097622:1 gene:SETIT_029091mg transcript:KQL26564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAPPAVAASRAARVGLLYDERMCAHATPDGEEHPENPERLRAIWRKLSAEGVASRCVVLKAKEAEDKYIASVHSQNHIKLMKEISSKKYDSSRNKIARKYNSIYFNKGSSESAVLAAGSVIEVAEKVAAGELSSAIALVRPPGHHAEHDEAMGFCLFNNVAVAANYLLNERPDLGIKKILIVDWDVHHGNGTQKMFYNDPRVLFFSVHRFDYGSFYPAEGDASHCFIGEEAGKGYNINVPWEHGKCGDADYIAAWDHVLLPVTEAFDPDIILVSAGFDAALGDPLGGCCITPNGYALLLTKLLGFAKGRIVMALEGGYNLRSIANSVSACAKVLLGDKFTFSSPEMQPFESTWRVIQAVRNELKTCWPVLSSKLPENLSLRIKPSPSELYASSDSEPDSEDVDELSGAVSSVNVIQFADDAISEHLSKMKLDEDNLAVKTASSCSTAEHHPTDSVQVDKGVSVVLSKRISDLSLAWRSDLSRIYVWYASFGSNMWKPRFLCYIQGGKVEGMSIPCCGSRDTSSPKGIMWKIVPHRLLFGRSSTPCWGTGGVAFLNPEINYNEKSYVCMYKITLEQFNDILFQENRLVLEDGTDGNVVYPDSPLIGSSEVEFMSTNKAIHLEPIKDSWYSNVLYLGDEDELPILTMTCPSSDIERYKSGELPLAPPSKTYAATLIKGLVEGEQLDADGAASYINAAAARGL >KQL24132 pep chromosome:Setaria_italica_v2.0:II:26603569:26608474:1 gene:SETIT_029984mg transcript:KQL24132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQACCDDVHEHVINVAHGETASTSTSHQDMYSDSDEPHQEDRPSISTRTPSSQSSPSTSPTYSSRNLSFPRRDSIYGHGRSPWNSGLWISFEIVMYIAQVVAAIVILIFSRHEHPHAPLFAWIVGYTVGCIACVPLIYWRYVHRNRPLDQEPQQPPTTYPTLTPSQSSEGRTHRTSGIILHLGCTTITCPRLSVLAYHFKTAVDCFFAVWFVVGNVWIFGGRSISSDAQDAPNMYRLCLAFLALSCVGYAIPFIMCAAICCCFPCLISVLRLQEDLGQSRGATQELINALPTYKFKPKRNKNWGMDHASSSENLDEGGILGPGTKKERVVSAEDAVCCICLTKYGDDDELRELPCTHFFHVQCVDKWLKINAVCPLCKTEIGGVVRSFFGLPFGRRRVDRMAGRGVASSRFNV >KQL22898 pep chromosome:Setaria_italica_v2.0:II:6198093:6199382:1 gene:SETIT_031871mg transcript:KQL22898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SAAGRGPTKQHGAAAALPAALVGGVRIGSQTPVEPLDSPPQTHQTVAPPPAAIQPSEAIAMEPQPRQPPALPEELVEEILPRFPPEDPARLVRAALVCRTWCRVVAGPGFRRRFRQFHRTPPMLGFLCNFGDENSDHFDREFARFVLTSSFRPPRAECHNWHVLDSRHGRVLLYSWKCEGLSDNAFAIWDPITDERVELPIVQEYLNCLIWSAAVLCAGDEKWDYLDCCHGPFRVVIVATRLEQFNAYVYSSEAGSWSQQTSQLPPPSDGLHLAVPNAVAENALYFAIGYGSDLLMYDLATQEISAINPHVSLDGQRFALLTMDTGWLGFAIEKDFKLYLWSREVGPEGDAGWTQSRVMDLKKLLLIAYVLGYANGIGVFFVLTHDGLFTIDLKSSQVKKVKKSGKVSGSPIIFPYMSFYSP >KQL24440 pep chromosome:Setaria_italica_v2.0:II:30117626:30117846:-1 gene:SETIT_033506mg transcript:KQL24440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFNRCFKDSSWPQYECLLGNFACRSPPASIFLAALWQVWYEC >KQL25694 pep chromosome:Setaria_italica_v2.0:II:39882470:39882774:-1 gene:SETIT_0322551mg transcript:KQL25694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKVAGFFKDRIILITGSTGFLGKLLVEKILRVQPDVKKLYLLVRVSDNTAAEQRVLHE >KQL23680 pep chromosome:Setaria_italica_v2.0:II:16152680:16159631:-1 gene:SETIT_0294052mg transcript:KQL23680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFSSHFGLIIVLRLFFAVVNLTLIDLPGLTKVAVEGQPESIVQDIENMVLSYVDKPNCIILAISPANQDIATSDAIKLAKEVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQNPWVGIVNRSQADINRKVDMIAAREKEREYFESSPDYAHLASRMGSEYLAKLLSEHLESVIKARIPSITAVINKTIDELESELDTIGRAVASDPGAQLYTILDLCRAFDRVFKEHLDGGRSGGDRIYRVFDHKLPAAFKKLPFDRYLSVENVKKVVSEADGYQPHLIAPEQGYRRLIGTGLTYFRGPAEATVDAVHVVLKDLVRKSIGETEQLRRFPTLQASIATAANEALEGFREDGRSTALRLVEMEATYPTVDFFRNRPQDPAADASTKGGAKNHSADSSVPLDRYGDGHYRNIASNVSQYIRMVGDELLKTIPKAAVHCQVREAKRSLLNHFYVQIGKKEAGEFGHLLDEDPAMMERRQQCWKRLELYKSARDEIDSVAWSSSR >KQL22886 pep chromosome:Setaria_italica_v2.0:II:6122021:6122923:-1 gene:SETIT_032815mg transcript:KQL22886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSFSSSYNGHAISAAYSHEWQPLEQDVDGSLFSLPAAAACYVDSRIDTTYSSTIMAATAFHPSSSATWAASSSGLVPPSHHYQLQQLHFGGGMVDMDQFSALMGAATISSGAPTTTYHHGGVMAAANALPAMMQQEAAEAPTLIGVRRRPWGKYAAEIRDSTRNGERVWIGTFDTPEAAALAYDQAAYSMRGAAAVLNFPVEHVQESLRALGLTGAAGDDSPVLALKRRHCIRKRGPKNKQKPAAGKEGQLPAAAREQMAAATASHGHGKQMQAASCALELEDLGAEYLEELLALSDQ >KQL26047 pep chromosome:Setaria_italica_v2.0:II:42027760:42032188:1 gene:SETIT_0297101mg transcript:KQL26047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CHYIFSLNTSDQVSFISSLPWFIFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDRNLVPTGSEFHPGPIPIVSKHGDDVEEVVDGSVPLSSRNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWEKTATIIRERYDPEMDGRILLGKVDCTEEADLCRRHHIQGYPSIRVFRKGSDVKENQGHHDHESYYGERDTESLVAAMETYVANIPKEAHVLALEDKSNKTVDPAKRPAPVTGGCRIEGFVRVKRVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKKLSPRMFYEFVRLTPYLRGYHDRLAGQSYMVKHGEVNANVTIEHYLQIVKTELVTLRSSKELKVLEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTEVPRSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRMVKKVELGKNI >KQL26048 pep chromosome:Setaria_italica_v2.0:II:42027760:42031914:1 gene:SETIT_0297101mg transcript:KQL26048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CHYIFSLNTSDQVSFISSLPWFIFPALSCEFASVDVSDVLGTNRLNITKTVRKFSIDRNLVPTGSEFHPGPIPIVSKHGDDVEEVVDGSVPLSSRNFDSYSHQYPVLVVNFYAPWCYWSNRLKPSWEKTATIIRERYDPEMDGRILLGKVDCTEEADLCRRHHIQGYPSIRVFRKGSDVKENQGHHDHESYYGERDTESLVAAMETYVANIPKEAHVLALEDKSNKTVDPAKRPAPVTGGCRIEGFVRVKRVPGSVVISARSGSHSFDPSQINVSHYVTQFSFGKKLSPRMFYEFVRLTPYLRGYHDRLAGQSYMVKHGEVNANVTIEHYLQIVKTELVTLRSSKELKVLEEYEYTAHSSLVHSFYVPVVKFHFEPSPMQVLVTEVPRSFSHFITNVCAIIGGVFTVAGILDSIFHNTLRMVKKVELGKNI >KQL22809 pep chromosome:Setaria_italica_v2.0:II:5467240:5468849:-1 gene:SETIT_031910mg transcript:KQL22809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPCPPPDLMADLVGEILLRVPPDDPALLARASLVCKAWRRLLADPAFGRRYRAFHRAPPLLGFLHEHYTIGGLGTVPRFVPTVTPSSFPQRALDDCDGWRILDCRHGRVLFEIPGQSVNLVVWDPATGKRQGLPQPPIPHYWSFTAAVLCAVRGCDHLHLPCKVRIVRSHLYSSEDDAWNASDDQGPGCYTTRKPSALIGDDIYFILAPWDTILRYSLGKNCSSIIPPPEAHDIPEGVALMPMEDGSLGFADVLCSRICLWSWNAGPDVVAGWVRCRDIELQTLTHFRNYVEVVASAEGFGTIFVSTDDGVFTIELKSGRKWKVGERGEYFAIFPFTSFYTPGIVLALYLDQHIAVASRGD >KQL26505 pep chromosome:Setaria_italica_v2.0:II:44817622:44818396:-1 gene:SETIT_033015mg transcript:KQL26505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTTSISLAVADAVWAEIKSASCASDEHLSILETLFGKNMLRACKIVDERGVRRVTGAPSGRSLFLVMGESKRKEEYLCFPEHLCTCYSFFYDIVGRGEQLCCKHQLAARLAEAVGEHQEMEVTDEELAHMLAKL >KQL26354 pep chromosome:Setaria_italica_v2.0:II:43918311:43919220:1 gene:SETIT_032174mg transcript:KQL26354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEVRARSRRHRFLNVSTGWTIPELDDHRLLTLTPEGLLLLLHEATLVVRLLNPLTHQFTDFPRLTELLQARGYESARSLQVYGAGLVADASTVAVCFSCPMVLAVAKPGGKSWTVINDDYMNSVLPFAGRFYCATYRGVMVVNITSDQQPPMLLMVAELSESFYFSKMAHSFHLVDNGGELMLVHRCLDSNYKRKYDVYRVDLEAGLLMPVKSFNGKILGYNIADGSRVPCQCGPIPDGWVCPDSIIDCLCNCIQGIGDRLA >KQL25064 pep chromosome:Setaria_italica_v2.0:II:35672947:35673468:-1 gene:SETIT_032954mg transcript:KQL25064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLAEEDDTTGDERHDRDVLDAGDEAFVRAPPSDDPACSRPADDKVRALMETWVEGVRADAGLPAVSAAMYRRRRATNHRDMALEFAQRRKVAVGLEDDELCRSVRAAMDARVIGSCAYCGCNFSVVAAVDVPGVGGACGVCGRRVQVYDPAPSLVRDPPANAEERWKGWLPW >KQL25295 pep chromosome:Setaria_italica_v2.0:II:37149746:37152423:1 gene:SETIT_030222mg transcript:KQL25295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGNARDVFYADKYHPIQAGSIDGTDVAPHDNAVLRALICSQAGLYDPFGDPKATGDPYCTVFVGRLSRQTDDDTLREAMSRYGKVKSMRLVRDIVTGASRGYAFVEYETDREMRRAYQDAHHSIIDGSEVIVDYYRQQLMPGWIPRRLGGGLGGRKESGQLRFGGRERPFRAPLQPIPYESYDKLKKLGIPPPPEGRYMTRYQVPPPPRWKSSNTDMEDSPPRRRSKDRASDSAYRRQRSSTDDDETPRRRKSGHNVREESQSRRSARSSVAREDSSYSSQRKPTGHDDGHRKRRRSREPGEVSPSDEDGSCKRERTSTESGRSPDRYMHHHRHHTEDAYESRHSEHRDRRRHGDRHHSKRSDSRDGSH >KQL26617 pep chromosome:Setaria_italica_v2.0:II:45427170:45429122:-1 gene:SETIT_030996mg transcript:KQL26617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTHAISKKRKFVADGVFFAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPEGGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGHPVNLYIDAAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPIMPLPDLVTIHPPKEEDELMRPLAPEIPVA >KQL23534 pep chromosome:Setaria_italica_v2.0:II:14617325:14621111:1 gene:SETIT_031442mg transcript:KQL23534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDYNDMDMGYEDEPPEPEIEEGAEEELENNNEDAPDDVVGAEVENKEQEKKARERKTTKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWSVDELIVEDSWKRQVGGE >KQL24448 pep chromosome:Setaria_italica_v2.0:II:30250775:30251575:1 gene:SETIT_033183mg transcript:KQL24448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYPNLHHFGVSQEPPHPNPTGFPIMLPPAAHLDQHYADHFFPSHAHQFNSETLEAVLRPPRAAPGCEAAVTAPQGGGRNGAAAAAAGGGGQGHARARKRPFRTDRHSKIRTAQGVRDRRMRLSLDVARDFFALQDRLGFDKASKTVDWLLTQSKPAIDRLTEPSQRTGGGGGVDAACLSSPTSGAPDGSGKRGGVAEKAGARNGGSAFMEHGCELDRLVSAAPVLGEYYYAGLSEMMSNNGGEEGDDDGEYEEDGDDFLDGMQY >KQL22988 pep chromosome:Setaria_italica_v2.0:II:7171845:7172546:1 gene:SETIT_031806mg transcript:KQL22988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILYLYYISTTQKKPPTSGQIYKGCSCFRLTCNARCALCPRVSKMTKSSKTPNRAAY >KQL24995 pep chromosome:Setaria_italica_v2.0:II:35189881:35191242:-1 gene:SETIT_032043mg transcript:KQL24995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEVDRAAWNSAYEKGLVEILLDYKDNPKYKCQNGWVSEGWRIITSKFNEKFPVFHLSKKQVQEKEKELKANYKALRDAKRDSVAKFRKKPFPLFYQLEALYEGNLNFTSTMQVDPPSTPAPPALSPLVVPPPAPLVAPVVPPLAPTVERSNFEQCSSHLGANPFVSSFDGQETSSAQNERNEAQDSRREEGGSGRKRKQSHIGSALERYAEYKKSQTNKTLQALEERKRSEEEFSVEKCVDQVDAIVELTDEEKSYALDVFESDTHRKIFITSKNHNVRLIWLKRKIR >KQL25132 pep chromosome:Setaria_italica_v2.0:II:36211182:36214638:-1 gene:SETIT_032722mg transcript:KQL25132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPPAGTSSPITGHPPSTAMARAAARPRRLELAERWRGIQEDEEAEDGGEPSAAKHRRLIRAKEEWYGVYALLFFKSCKARAIGLRLARSMGKLR >KQL24134 pep chromosome:Setaria_italica_v2.0:II:26620035:26621113:-1 gene:SETIT_032138mg transcript:KQL24134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEDNEDDKTDRQPTQCLNLEISGSFLTCIRLMLARDRNAFFQSEYNDRIKYEELTAAQNQLTSTKNCKRVSTELPLLTLTIGNKKFIRKGDCNILASFCYVTQLVVCKLCYEKLIRRVDIPFSDITSLLVCFDDIRFDTLRIEARSSLQYFSTDKPLPGKFTRWKVDNSKEDDCFPESKFVFVEIEKGMLEKGLAKLLYIDPRLQRSVEFARASDDQHMYQGRLHAHMQQTNMSALQPLLCVNALPNIGGRM >KQL23344 pep chromosome:Setaria_italica_v2.0:II:11041929:11042234:1 gene:SETIT_032478mg transcript:KQL23344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGFNKAGSPEASGEGSRRAPAAAYYECSFCKRGFTNAQALGGHMNIHRKDRGGKSGAAPPQQDDAGGSRTYGGDVHLGLSLGRKEDVDLELRLGGYPYN >KQL24470 pep chromosome:Setaria_italica_v2.0:II:30413550:30416720:-1 gene:SETIT_029985mg transcript:KQL24470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSASTSGEWLKGALQELRERKGSELDFDADLVSSLVSFCELASPPDAASYLENFIGKEAAQDIIQEYLRRRGHIGSSNGTESSQSSNLQPYVKPSADAPTAQTKKQTRIQKDAASSSNQSSKSQPETGESRLASKRGPKKKAAKAISLAEAAKGSIVFKQGKPCSCQARQHNLVSNCLSCGKIVCEQEGEGPCSFCGALVLKEGSTYAGLSDVGLPLSEAEAAAEAYAKRLVDYDRNAAARTKVYDDQSDYFEMEGNSWLSSKEKSVLQKQQEEAQEAAENQKGKVVVTFDLVGRKVIMNKDGATELETEHPIMRPPEEKDQSHRIQPNPSIREQPVFIETGPVKPKTDRARQSKRLAKNGLCLEVTGRLQHDDKDLQSILSGKVKKGDHLAYSSFGQAREGNDVECSQDFD >KQL23398 pep chromosome:Setaria_italica_v2.0:II:12048505:12048940:-1 gene:SETIT_031812mg transcript:KQL23398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGAWDGASVAQSPPPPEPKSTTMTARKGVTRGGGRRQRDWKRMPRTAEGSDEGCR >KQL23564 pep chromosome:Setaria_italica_v2.0:II:15097033:15098824:-1 gene:SETIT_033293mg transcript:KQL23564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKEKLQKVGLESSNLVIGVDFTRSNEWTGKHCFNGRSLHHLGNAPNPYEQAIGIIGRTLSAYDEDNKIPCFGFGDTTTHDRNVFNFHRDGRACTGVSEALQRYREIAPHVRLSGPTSLVPIIETATRIVEVSRHQYHILLIIADGQVPMITGAHSARYPDETRSVNILEERTLQALIHASHFPLSIVLVGVGDVPWDDRIHRHDNRRLFDNFQFVDFTEIMSREMSQAEKEDQFALEALRKIPAQYSAIIDKWIREQAASAPPGTPLPPPC >KQL26396 pep chromosome:Setaria_italica_v2.0:II:44100696:44103193:1 gene:SETIT_031510mg transcript:KQL26396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETPESTEEGEPSSHRWALDHPVAAPSLTVGRRAGGELPDAREGRAGLGEGLAGGVAAVPGVGDDVGLGAFRRAVMVPVHVALAAGLIFQTWVLEQAKYTKDAISQYYRFIWNLFYAEYILFPLI >KQL23293 pep chromosome:Setaria_italica_v2.0:II:10532177:10537348:1 gene:SETIT_029364mg transcript:KQL23293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAALRTPARLGLNGAAAGEKWLLQRQRGAPAPVLVPAAPCRRKAAVRCQRAVGAAIVEKKDAQEGASSGGAEPELTVVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNKLLMAGEKAVRCGATNVSELDELSFIKELHLGTVDQLGLDSSIVCGLLDELEQLLKGISMMKELTLRTRDYLVSFGECMSTRIFAALLNKIGVKARQYDAFEIGFITTDDFTNADILEATYPAIAKRLHGDWINDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIHPKAKPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNRQAPGTLITKARDMSKSILTSIVLKSNITMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSCELVQQKIELDDVIEELEKIAVVHLLQNRSIISLIGNVQRSSLILEKAFNVLRRNGVNVQMISQGASKVNISLVVHDSEAKQCVQALHSAFFENGFSSEVDKMDVLHNDFTSHSKGTVHTH >KQL25183 pep chromosome:Setaria_italica_v2.0:II:36496405:36497253:1 gene:SETIT_031010mg transcript:KQL25183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKSCPGTMPSDFTGNSMMDSSVLPVDLVPGGKTSMWYTAAAPSLALEQPSTFVLLHGEQDVGADHGVGRDADLRWVAVLKRIEAAREDGRRRRQGPPPGPTRVVSMIQIKDEEPWHEVQPGQRRRGCGRARTACCRAGSGWGAPWSRRGGALRAPSRFWGRRWGSYPGRGPRSGARAASPPRRRTCRAWRRAGGGGRRRRRPRGWSGWAPRLHAPPPGCLARWPGERE >KQL23965 pep chromosome:Setaria_italica_v2.0:II:23585523:23589643:1 gene:SETIT_031604mg transcript:KQL23965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVNLQLAILEKLLEQLTLQSLHANISGKLVLDANGTGPHAFLLKVYECQLQNFLHVPAQQSRIFRHTICKSLSTLVFLGRRHRDKNFTSCTSAQHPTKPLH >KQL26933 pep chromosome:Setaria_italica_v2.0:II:46980144:46984877:1 gene:SETIT_029355mg transcript:KQL26933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSCDATAVPFTLLGALLTAGPAAWPVCVGGGRAFLRDYAQRGTNALLWAGLLAVTWVLLLRVAALLRLWALGSRIPGPHALLADPGLAAVLRTGGDVTGFLSKLHGSYGSVVRLWAGPSQLLVSVRDPTLVKEVLTKAEDKLPLTGRTYNLACGRLGLFVSSSEKVKSTRESLKIFLNEKLTIGVGRSSFKVIDAVMDRIDSIMSKDFLDCRSFSQHMSFNIIGGTLFGDAFFNWSDAVTYEELLMMVAKDGCFWASYAVCPFWKPSYRRYRTLCAKLKTLTEGIIRKSRDQNSSLHHFDQRSYLKSEGMIKGLNRGVLGEMMAGHCLHGAAEGSLSSEEEICGNIIGLMLHGISASANLIGNILTRFILFPKLQDQIHAEIVAVCDESSELEVDDVLRMQLLLATVYESARLLPAGPLLQRCSLKHDLTLGLGITVPAGAILVVPLHLVHMDASVWGNDAGQFNPHRFLKKDVDLGDILAAPRGSNGMNLFTECAKAESFLPFGSGSRACVGQKFAIIAISMLIASLLRNYEVQTHPSLCKEMEPEVDSSHVHHLPNPKIILTKRRI >KQL24671 pep chromosome:Setaria_italica_v2.0:II:32139582:32141512:-1 gene:SETIT_029508mg transcript:KQL24671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPTLAAFLAIVLGLALFLGTLLLHRRRRAYNLPPGPKPWPIIGNMNLMGELPHSSIHELSKRYGPLMQLRFGSLPVVIAASAEMAKHILKINDAAFSDRPRFAVGKYIAYDCSDILWSPYGPYLRQTRRICAAELFSTKRLQSFDHIRDEEVRVMLRDLRRASGRTVRLRDTLQMLTLGVISRVVLGRKYVEEEAAGEGASPPVITPAGYREMVDEFFVLHGAFNIGDFIPWLDWLDLQGYVRRMKKLSAMFDRFLEHVLDVHNERRRLEGERFAAKDMVDVLQDLADDPNLEVQLSRDNVKAITQDLIIGATDTSANTMEWALSELLKNPKILAKATDELNNVIGPDRLATEKDLPHLPYIDAILKETMRVHPAAPMLAPHLAREDTRVDGYDILAGTAVFINVWSIGRDPALWDAPEEFRPERFLERKIDMRGQDFELLPFGSGRRMCPGYSLAMKVMALGLANLLHGFVWRLPEGMTVEDLSMEETFFLAMPRKFPLEATVEPRLPAHLYLGG >KQL27010 pep chromosome:Setaria_italica_v2.0:II:47394217:47396297:-1 gene:SETIT_031383mg transcript:KQL27010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEPAQPTPAAAAATTAEQAQDLIDAARYDDLEDVVTLFSAGVSLDSTDSQGRTALHMASANGHLAVVEYLIQNGANVNATNLEKNTPLHWACLNGHIEVIKALISAGASVSALNSHEKTPMDEAVTKGKMDVIDAIGAAVAQAELDGVTVS >KQL27027 pep chromosome:Setaria_italica_v2.0:II:47461497:47471398:-1 gene:SETIT_0286551mg transcript:KQL27027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSNSGSRADSGGYNLNEKADDEGAYESVRDGDVDSNSRQWNLNEKAEDAYHSEPEQCEAGQPSLYSSDNTFGQHARRGGGPWGTDFLKDSRSNQTSKEVPSNRGMDAASSHDDMDASGEDDELNRANGEVPAEEMLSDDYYEQDGEEQIESLHRGGMKQSSCSTSEDDPDDVDFEPDSETDKAADKDKFMDSENSDGEDDDELELSEDEDDDFAENRRQPKRLKVVATKTSKGRKLPMQAQRRRGMSHTDEEYSSGKESDVPSDTDFDHRSKKPDRVHQKPVARSEVAPINSHNELRTSGRRRTVKKISYAESEESDDSEEKSTKQQKEEPEEEDGETIERVLWHQPKGVAEEAMRNDQSAQPTVSSLPSDFNQQWDELEFYIKWKGQSYLHCQWKTLSELQSVSGYKKVLNYTKRVAEEQRYKRALSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKADGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATAILGKTVDFQRKKTSLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPNMNVVIYVGNRASREMCQQYEFFSDKKGGRHVKFHTLITTYEVILKDKAVLSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPVKFNSKDSFVEKYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVKMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKRNLESLDIDEILERAEKVETKGGEGEEGNELLSAFKVANFSSGEDDATFWSRLIQPDPADMVQETLAPRAARSRKSYVEDPQLDKNNNNRKRRAVEAQEKPRRRSGRIVETVVSLPLVDGAVAQVREWSFGNIPKKDASRFVRA >KQL27028 pep chromosome:Setaria_italica_v2.0:II:47461497:47471413:-1 gene:SETIT_0286551mg transcript:KQL27028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSNSGSRADSGGYNLNEKADDEGAYESVRDGDVDSNSRQWNLNEKAEDAYHSEPEQCEAGQPSLYSSDNTFGQHARRGGGPWGTDFLKDSRSNQTSKEVPSNRGMDAASSHDDMDASGEDDELNRANGEVPAEEMLSDDYYEQDGEEQIESLHRGGMKQSSCSTSGGATKSASRKKKKTTKYNAYADDDDDDEYNDDNADDDGADEDDPDDVDFEPDSETDKAADKDKFMDSENSDGEDDDELELSEDEDDDFAENRRQPKRLKVVATKTSKGRKLPMQAQRRRGMSHTDEEYSSGKESDVPSDTDFDHRSKKPDRVHQKPVARSEVAPINSHNELRTSGRRRTVKKISYAESEESDDSEEKSTKQQKEEPEEEDGETIERVLWHQPKGVAEEAMRNDQSAQPTVSSLPSDFNQQWDELEFYIKWKGQSYLHCQWKTLSELQSVSGYKKVLNYTKRVAEEQRYKRALSREEVEVHDVGKEMELDLIKQYSQVERIFADRVSKADGDDLVPEYLVKWQGLPYAESTWEKDTDIEFAQDAIDEYKAREAATAILGKTVDFQRKKSKASLRRLDDQPEWLKGGKLRDYQLEGLNFLVNGWRNDTNVILADEMGLGKTIQSVSMLGFLHNAQEINGPFLVVVPLSTLSNWAKEFRKWLPNMNVVIYVGNRASREMCQQYEFFSDKKGGRHVKFHTLITTYEVILKDKAVLSKIKWNYLMVDEAHRLKNCEASLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPVKFNSKDSFVEKYKNLSSFNETELANLHKELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQNLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDSIGDRNKVERIVMSSGKLVLLDKLLVRLRETNHRVLIFSQMVKMLDILAEYLSLRGFQFQRLDGSTRADLRHQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQETVNIYRFVTCKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKESKKGGSMFDKNELSAILRFGAEELFKEDKTDEETKRNLESLDIDEILERAEKVETKGGEGEEGNELLSAFKVANFSSGEDDATFWSRLIQPDPADMVQETLAPRAARSRKSYVEDPQLDKNNNNRKRRAVEAQEKPRRRSGRIVETVVSLPLVDGAVAQVREWSFGNIPKKDASRFVRA >KQL22679 pep chromosome:Setaria_italica_v2.0:II:4500616:4504052:-1 gene:SETIT_032940mg transcript:KQL22679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSPSPHRRAPLFRPPELPLPSALVPPKKRRVMRTPPKATTPIPPPPPPVPAAAGDLAVPAPTPPPRADPVGSPPAADQKPTLPPPPRAATKPSLPPPPTAENPSSPPPLTTEKPSSLPPPPAAEDENPSEPPLPATEKPSSPPTPPTDAPAYRAPTRKVRKVVRKVSPKGTIATREAAAAGAASAAAGALQPGEGHAQDKPPTDRNAAEDEVVGAEQSLGETAIKEPAAGCNSIAVGEAQLVKEMVTDCGDAPVVEKLVSRGEGKDEAGMSEWQRRRKTEVFVGGLNRDAKEEDVRAVLAEAGEIAEVRMIMDSMTKKNKGYCFVRYHEAAQARKAIAKFGNVKICGKLCRVVAPDRNDKIFLGNIDKKWKKEDVKAVFVEGVPISWDQAKMKEIFKKYGKIELVVLSRDLRSKRNDFAFVYYTTHEAAVLCLESFDAEQLTENGSKVNIKVSLAKSDQKGKKNIEDHKCCISEKDTTRIPK >KQL24906 pep chromosome:Setaria_italica_v2.0:II:34530444:34531862:1 gene:SETIT_032273mg transcript:KQL24906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPKAAASAVLAMAVGLAMASLVAGTRGFATFYTPSYTPSACYGYEDQGTMIAAASDAFWNGGEACGHRYVVRCKGATNEGVAHPCTGRSVTVKIVDLCPAGCAGTIDLSQEAFAVIADPNAGKASFSVGLVADKRPLAAIASFASFNLKISHPSEAPTRSPAVCPRGR >KQL24737 pep chromosome:Setaria_italica_v2.0:II:32761695:32764260:1 gene:SETIT_029726mg transcript:KQL24737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSMSIHPACIASKQVTTRGHGADPDAEVVSLSPRTLLESDRYVCEICGQGFQRDQNLQMHRRRHKVPWKLLKREAGEAARKRVFVCPEPSCLHHDPSHALGDLVGIKKHFRRKHSGHRQWACARCSKAYAVHSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDTCNAGRARADASPACGGGVAAASAGSQQQQAPPPAMSLSRTASSTSPSSDVVISPSAWPGPPMPSPTTAAAFHRFDQVPSPRTLPSEHHRGGGHNLELQLMPPSSSGAGGGALGMAPFYGAPRSPAVSSRGGAGAATQLLLSIGVGSGDAGERGGEAALAAARARQEEEEAREQLRQAAAEKAAADEARAQARRHAELAEQELASAKRMRRQAQVELSRAHALREHAVRQVNATLLQITCLGCRHKFRARPPTLADAGPEVACSYVSSVVTEGGDPEVDEPPPLEADGMRRRRQHAMAMDIVL >KQL25684 pep chromosome:Setaria_italica_v2.0:II:39808333:39814051:-1 gene:SETIT_029087mg transcript:KQL25684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASAGLKPSALDLLAALLTGRGPHWASSLAEDRHLLVLLTTSLAVLVGCGVALLVRRSAAAPRAASAAAAQAQTRPLAAKPKDEPDPDDGRQRVTVFFGTQTGTAEGFAKALAEEAKARYDRAVFKVVDLDDYAAEDEEYGEKLKKESIALFFLATYGDGEPTDNAARFYKWFSEGNERGEWLSNLQFGVFGLGNRQYEHFNKIGKMVDQLLAEQGGKRIVPVGLGDDDQCIEDDFNAWKELLWPELDKLLRQEDNSSAAATPYTAAIPEYRVLFVKQEDTTNINKSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDIAGTGLKYETGDHVGVYAENCIEIVEEAEKLLGYSPDTLFSIYADQEDGTPLCGGSLPPPFPSPCTVRTALTRYADLLNSPKKSALLALAAHASDPKEAERLRHLASPAGKKEYSQWIVTSQRSLLEVMSEFPSAKPPLGVFFAAISPRLQPRYYSISSSPRMAPTRIHVTCALVYGPTPTGRIHKGVCSTWMKHSTTLEESQECSWAPIFVRQSNFKLPADPTVPIVMVGPGTGLAPFRGFLQERLALKEAGVELGPAILFFGCRNRKMDFIYEDELSNFVDTGALSELIVAFSREGPTKEYVQHKMAQKAGVLWSIISQGGYIYVCGDAKGMARDVHRTLHTIIQEQGSLDNSKTESYVKSLQTEGRYLRDVW >KQL25685 pep chromosome:Setaria_italica_v2.0:II:39810089:39814051:-1 gene:SETIT_029087mg transcript:KQL25685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASAGLKPSALDLLAALLTGRGPHWASSLAEDRHLLVLLTTSLAVLVGCGVALLVRRSAAAPRAASAAAAQAQTRPLAAKPKDEPDPDDGRQRVTVFFGTQTGTAEGFAKALAEEAKARYDRAVFKVVDLDDYAAEDEEYGEKLKKESIALFFLATYGDGEPTDNAARFYKWFSEGNERGEWLSNLQFGVFGLGNRQYEHFNKIGKMVDQLLAEQGGKRIVPVGLGDDDQCIEDDFNAWKELLWPELDKLLRQEDNSSAAATPYTAAIPEYRVLFVKQEDTTNINKSFSLSNGHAVYDIQHPCRANVAVRRELHTPASDRSCIHLEFDIAGTGLKYETGDHVGVYAENCIEIVEEAEKLLGYSPDTLFSIYADQEDGTPLCGGSLPPPFPSPCTVRTALTRYADLLNSPKKSALLALAAHASDPKEAERLRHLASPAGKKEYSQWIVTSQRSLLEVMSEFPSAKPPLGVFFAAISPRLQPRYYSISSSPRMAPTRIHVTCALVYGPTPTGRIHKGVCSTWMKHSTTLEESQECSWAPIFVRQSNFKLPADPTVPIVMVGPGTGLAPFRGFLQERLALKEAGVELGPAILFFGCRNRKMVWLCFNIIHPRQQLARISP >KQL22142 pep chromosome:Setaria_italica_v2.0:II:157221:164236:-1 gene:SETIT_029140mg transcript:KQL22142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDRERDVEEETRNQMMQNLFGDQSEDEEDADDDDDDDVVEVVDDDHRQQPPPQQRHQELDDDVDDDEEDDARSHAHARSGGYHSEEVDGEAENGGEGEGESEGQVGMEEESEGEAHRADLDQGESDGDKVQSSPERELDDQRVEPDARGMDSEDEGYQQRTVSSRRRGVVASESEGSEDNYYADGGQEDEEPRQTRKPSSPMEEERDQEVVRDVFGDSDEDEPGPYRTRHDIDEDSHRSPIEDEGQYEKDMQPDDVVADEDMHYESDENRELNTKEKPVGPPLDLVVPFRQPPARPDKMNVIKVSNIMGIDPKPFDPKTYVEEDVFVTDESGTKKRIRLEDNIVRWRTVRNPDGTTSYESNARFVKWKDGSMQLLIGNEVLDISVHDAHHDQSHLFLRNGKGILQSQGRLLHKMRFMPSSLSSKSHRLLTALVDSQNKKTVKMQKWFETKDPEKVKQENERALGQNIRAHSILQRKREKVNRKYTQPARPRRQLSPGFLEDALDEDDEPEYGSRRVPGRRRFEDELEAEALAERRIINAKKSNMNRNVPRNTSYPPARPPRRQVDEYSESEREESEYETDGEDIEHSPIRGREDELDEDEYEEDAEEAPLSDEEMEAPKRKRESGGGGHRREELVSEEEEEDDDDSPPRKQQAVQHRRKAVVFDDSDED >KQL22633 pep chromosome:Setaria_italica_v2.0:II:4087254:4088739:-1 gene:SETIT_030186mg transcript:KQL22633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHHGMKRKASPALVVLALLLSSAVAAVSGQQAPQPGKQTAANNPRLQRAYVALQALKRAITDDPKNLTRGWCGPDVCAYFGVFCAAAPDDPHALTVAGLDLNHGDLAGTFPEELGMLSDLALLHLNSNRFAGGLPESLPKLHLLHELDVSNNRLSGGFPQHILCLPNVKYVDLRFNNLCGPVPPAIFDKPLDALFLNDNHFDFELPENFGNSPASVVVLANIRLRGCIPQSVGRMAGTLNELVILNSGLRSCIPQEVGWLRELTVLDLSFNQLQGMLPESMAGMHKLEQLDVAHNELWGHIPEGICALPSLRNFTYSYNYFCTEPRRCLDIRRVDDRQNCIAGRPDQRPGDQCLAFLHRPPPHCDEHGCFGPPHH >KQL25070 pep chromosome:Setaria_italica_v2.0:II:35690394:35691392:-1 gene:SETIT_033313mg transcript:KQL25070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRMSLPLLILLLLSGYTAPSHAVMACHPADRAALLRVRAQLGDPARLSAWRPSAANCCAWDPAVVCGAAGRVVSLSLCSLSDVSARVPPALGDLAALEILQVVSVPGMSGPVPASFANLTRLRDLDINGTSISGPVPATLLAGAANLSTLAIANSKLAGPIPASLAALPNLRYLDLSGNLLTGAIPPGLLHGSFRFLLLSNNRLTGEVPSGYGDGIDTIDLSRNQLTGDPSPFLSGITKPAAKIDLSWNGLEFDMTGVRFPHHLRFLDLSHNRITGRVAKSLMDVRLEHFDVSYNELCGEIPAGRFMSGHGAECYAHNKCLCGTPLPPCNT >KQL26490 pep chromosome:Setaria_italica_v2.0:II:44727058:44727888:-1 gene:SETIT_033107mg transcript:KQL26490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAESRAEADGPTSDMADDFEFCILSSGGLVPAGKGVETDMCVADELFCQGKLLPLRPSSAAAGDGASVVTLPRSESAASTVGLVSRSGSRSASSSGSSSGCVSRSQSSKSASSDQGGAPAPPPRRSLSSSLFYAHPSPSPQLRSARPRRSTGSAPPPASWGLFRLGVVGAPDVYPPPRPAEAKIAATAARGGGSRSARFEQVTAAVDKKLGLGALFGDSLGCKCSPDAVEPVRLPEAAKRSRKKDGAKSGQGVRRSRILDWLEELSIIKEKK >KQL26616 pep chromosome:Setaria_italica_v2.0:II:45421370:45425323:1 gene:SETIT_030765mg transcript:KQL26616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGYNPRTVEEVFRDFKGRRAGIIRALTTDVEDFYQQCDPEKENLCLYGFPNENWEVNLPAEEVPPELPEPALGINFARDGMLEKDWLSMIAVHSDAWLLSVAFYFGARFGFDKNDRKRLFGMINELPTTFEVVSGKSKIKAPPTNNNHSNSKSKPNNKTKSSEPKVKQPKPPQPQVKAEDPAPAEEGPAAEEEDDGGSGASEGEHGETLCGACGESYGPDEFWICCDICEKWFHGKCVKITAAKAEHIKQYKCPSCTGGGGGGNSSNKRARPS >KQL22498 pep chromosome:Setaria_italica_v2.0:II:3149576:3152373:-1 gene:SETIT_029642mg transcript:KQL22498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRALPLLLLLLFSLAAAALAAEQPQQQPQKPALPPPSAATGAAAAASSGGGGSSSGSTGVSSNSVLVALLDSRYTELAELVEKALLLQALEDAVGRGNVTIFAPRNEALERDLDPEFHRFLLEPRNLRALQSLLLFHVLPSRVHKLSSSSSQSHQTLAGEPLELATAPDGAVRVGGAAVTHPDAVVRPDGVIHGIERLLVPRSVQEAFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPLGATPILPVWDAMAPGPSIAPAPAPGPGSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPEDILYYHMVPEYQTEESMYNAVRRFGKVRYDTLRLPHKVTAREADGSVKFGAGEGSAYLFDPDIYTDGRISVQGIDAVLFPPVEDGGKSPAAAAAAKPARRAPAVTGATAKPKLRRGKLLEGACQFMGVFVRRSRFASCQ >KQL25168 pep chromosome:Setaria_italica_v2.0:II:36402362:36404337:1 gene:SETIT_032456mg transcript:KQL25168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDILCHVHSLLPLRDAARAACVSHVFLNSWRCRPNLYFNTEALGLEANCGRTSRDFTKIVDHILKKYSDIGLKTFQLAMYGCYYIESCHLDSWLHLALTLGIEELILATNSMVPKYNFPLSLLSGKSGNSIRYLRLSFCIFRPTVRLGCFKSLKKLHLYCVGISGDELGYLLPVSVALEQLELAYCNEIICLKIPHVLQRLSCLDVAACHNLQMIKSEAPNLSSLCFEWFHHHVHTSLGEALHVKKLQMLCFRDFYYVQLPTIMPNLEALTIDSICQIASIPSVHSKFCSLKYLNICVPGEVRGPVYDYLSLASFLGASPCLETFILRIHVPEVRVKYGLFSGDPSHPRQMPEHRYVKLKRAKIIGFYPAKSLLELASHVLETATSLECLTLDTTYCSCGRRHSDPAKCYLVAIKRYFEGKVPSTARLDVEGPCSGALQTCRLSLVTAL >KQL22996 pep chromosome:Setaria_italica_v2.0:II:7220361:7227657:-1 gene:SETIT_028944mg transcript:KQL22996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPAAPPPPPSPDAGSPRVVRAPPRRPPPRAPGPPPWAERRPAVSVDLDRGRRRARVEVDGVGAASLPARHRLRVEGTRWQRDWKVSEAAARVLALPRADARAVDDVLNCWAGRFARRNFPLLIREITISGSLKHAVHVFRWMKNQENYCARNDIYGMMIRLHARHNQVDQARGLFFEMQEWRCKPDADTYNSLIHAHARAGQWRWAINIMEDMQRAAIPPTRTTYNNVINACGAAGNWKKALELCKKMTENGVGPDLVTHNIVLSAFKNGAQYSKAIAYFEIMKSSNVAPDTFTLNIVIHCLVKVGQYGEAIELFNSMREKRTICPPDVVTYTSIMYSYSVCGQAENCKAVFDMMIAEGVKPNIVSYNALLGAYASHGAHKEALETFKLLKQNGLRPDIVSYSSLLNAYGRSAQPEKARDVFNEMRKNACKPNKVSYNALIDAYGSAGMLKEAVSFLHEMERDGIQPDVVSISTLLTACGRCRQLAKIDTILAAAKSRGIELNTVAYNSGIGSYLSLGDYEKALELYTLMRASNVKPDAVTYNILISGSCKLGRYAESLKFFEDMMDLKIHLTKEVYSSVICSYVKQGKLTEAESTFSSMKESGCFPDVLTYTAMIKAYRDDGSWRRAWDLFKEMESNDVQPDAIVCSSLMEALNKGSQPEMVLQLMEFMKQKQIPLNQKAYFEIIASCSMLREWKTASEIIEHLDSSLSSISVGTLNHLLNFLGKCGKTESMMKLFYKMVTSRSTVGLSTYTVLLRNLLVVGKWRKYIEVLHWMEDAGVHPTLYMYQSVLPYIWRDNSMDYVTLMQEKINALREKVT >KQL26845 pep chromosome:Setaria_italica_v2.0:II:46592774:46593312:-1 gene:SETIT_033559mg transcript:KQL26845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGHMRRTKKLAVARMIRCWFGRRVSGGGGAARGEGDWIPLPAWCDRARPRRPAHGGA >KQL22469 pep chromosome:Setaria_italica_v2.0:II:2870243:2872397:1 gene:SETIT_029316mg transcript:KQL22469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVTAPPAAAPATAPAKPKKPSQLKPSTGTGKASRTAAPVRPMRAATPAGPKWNPFQRLAAAALDAVEDGLVAGLLERAHPLPRTADPAVQIAGNYAPVGERPPRRRGEAAPRPLPVSGRVPPCLDGVYVRNGANPLHEPRAGHHLFDGDGMLHAVRLRAGRAESYACRFTETARLRQERAIGRAVFPKAIGELHGHSGVARLLLFGARSLCGVLDASQGIGVANAGLVFHNNRLLAMSEDDLPYHVRVTADGDLETVGRYDFGGQLEGAMIAHPKLDPATGELFALSYNVVSKPYLKYFYFTADGRKSPDVEIPVDAPTMMHDFAVTENYAIIPDQQIVFKLQEMVLGGSPVVYDKTKTSRFGVLPKRAADASELRWVEVPDCFCFHLWNAWEDDATGEIVVIGSCMTPADAVFNESGEGEAFQSVLSEIRLDPTTGTSRRRAVLAADDQVNLEAGMVNRQLLGRKTRYVYLAIAEPWPKVSGFAKVDLETGTAEKFIYGEGRYGGEPCFVPRPGAGAGAEEDDGYVLCYVHDEARGASEMLVVNARDMREEAAVKLPGRVPYGLHGTFISGEDLQRQA >KQL25019 pep chromosome:Setaria_italica_v2.0:II:35287801:35292643:1 gene:SETIT_030061mg transcript:KQL25019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMLAAQFTGIPLSQSPAPQPSFRLGLRPWPAVRARSLAPRAAASTTAVSAKPAAAVVPPVADRTVVRIGLPSKGRMAEQTLNLLKSCQLLVRQLNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDIVSEYGKGNEDLVVVHDALEFGHCRLSLAVPKEGIFENINTLEDLLNMPEWTEERPLRVVTGFGYLGDKFLREKGFKHVRFLSADGALESYPPMGMADAIVDLVSSGTTLRENNLKEVEGGVVVESQATLVASRKSLHKRKGVLEITHELLERLEAHLRATAELMVTANMRGNSAEEVAERVLSQTSICGLQGPTISPVYCRRDGKVDVEYYAINVVVPQKLLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRNLLSELGL >KQL24794 pep chromosome:Setaria_italica_v2.0:II:33279182:33282317:1 gene:SETIT_029874mg transcript:KQL24794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHSAAMCSLLMLVLLGLGSQLAQSQVLFQGFNWESWKKQGGWYNFLRGRVDDIAATGATHVWLPPPSHSVAPQGYMPGRLYDLDASKYGTHAELKSLIAAFHAKGIQCVADIVINHRCADYKDSRGIYCVFEGGTPDSRLDWGPDMICSDDTQYSNGRGHRDTGADFGAAPDIDHLNPRVQQELADWLNWLKTDLGFDGWRLDFAKGYSAAVAKVYVDNTAPTFVVAEIWSSLQYDGNGEPSSIQDKDRQELVNWAQAVGGPAAAFDFTTKGVLQAAVQGELWRMKDGNGKAPGMIGWLPEKAVTFVDNHDTGSTQNSWPFPSDKVMQGYAYILTHPGTPCIFYDHVFDWNLKQEISTLSTVRSRNGIHAGSKLDILAADGDLYVAKIDDKVIVKIGSRYDVGNLIPSDFHPIAHGNNYCVWEKTGLRVPTGRHH >KQL25319 pep chromosome:Setaria_italica_v2.0:II:37340771:37341233:1 gene:SETIT_033743mg transcript:KQL25319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYHKDTAYVHHASARSYRSPLNGPSRCLTSRGMA >KQL25624 pep chromosome:Setaria_italica_v2.0:II:39447298:39449487:1 gene:SETIT_033085mg transcript:KQL25624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVEKRVKLARARESGKETAKGGHPIAQNREGGMTVSASVSAPADAGDREKKLLIRGSLVKAPILSSAQRGAEQARCLWPQHPHHPVACAEGMAPVGLPPGFRFHPTDEELVNYYLKRKIHGLKIELDIIPEVDLYKCEPWELADKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVLHHGGRPIGMKKTLVYYRGRAPQGVRTDWVMHEYRLDDKDAEDTLPIQDTYALCRVFKKNAICTEVDDLQAQCSMALLEGACQQLLTAGSQEYQTPSPDVPVGSTSGGADDDADKDESWMQFISDDAWCSSTADGAEESTSCVALAT >KQL22403 pep chromosome:Setaria_italica_v2.0:II:2370535:2372049:-1 gene:SETIT_032120mg transcript:KQL22403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHCFVTFLAILSINLHLCASSSRRLLAAEGPAAIPNGQPAGPAPAPAPVPPAGVPEIIPANLPANLPANLPANVPVNVPPDVLSKIPPNVLAKLPANVPPDVLASIPPETLANIEASKGQLQTSEILATLPAVQGQLPASLPPEVLAKLPALQSQLPESITPQMVASLAAMQQQQQPGGQPGNAAGGGGLPADIPQLPKMPDFSGLADISFPPMPSGPKMPQLPHNMSLFGRHTAAASADGRSDHATAVRKTGHGVVPVPEVLAGPWTEGRSGAPASHGVQRRRRSSDTVNHWTMWTGPSSDPQKQDAAPAAKLNGQRARRRIWSWRLERGAGDGKCAPAGRE >KQL23630 pep chromosome:Setaria_italica_v2.0:II:15548080:15548734:-1 gene:SETIT_031746mg transcript:KQL23630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAPSASVAGGRGRDETKQPRAPACDVEALRRCLEENKGDRVKCQAHIDAFRSSCSPGPNTTSKTQSS >KQL25145 pep chromosome:Setaria_italica_v2.0:II:36277386:36279039:1 gene:SETIT_031472mg transcript:KQL25145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRIRLARFGCRNRPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLKFDRVKYWLSVGAQPSDPVQRILFRAGLLPPPPMLAMTPKGGPRDRRPIHPMTGKPLDLEGITIVDSDAPEGDAEEHKDEVAS >KQL25573 pep chromosome:Setaria_italica_v2.0:II:39104013:39104438:-1 gene:SETIT_032097mg transcript:KQL25573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKRLVQMAKKWQRMAGLARKRITSAPAKETEGPCSTSTSVAGKGCCVVYSADGRRFEVPLAYLGTAVFGELLNMSQEEFGFAGDDGRITLPCDAAVMEYVLCLLRRDASEEVVRAFLSSMARPCHYGNGLAQSMGVSQQ >KQL26410 pep chromosome:Setaria_italica_v2.0:II:44172944:44174206:-1 gene:SETIT_032177mg transcript:KQL26410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKTAYIVHTDHLTKPFHFATLGHWYTSMVSSLSTAANSSRVFYVYDTTMHGFAAELTDDEARRLSNTTGVASVHKDRLVHLHTTRSAGFLGLDKDFGIWPDTDFGNGVIIGFVDSGIWPESASFDDTGLGPVRPSWKGRCVDGERFNSSMCNNKLVGARFFTAGPSVTRDDDFMSPRDKAGHGTHVASTAAGSEVPDAGLFGFARGVARGVAPRARVAMYKACVLHSCSSAGVVEAIDDAGVYIFVTCSAGNFGPREYTLSNVAPWITTVGAATVDRVFPVSITLGDGQVLTGQSLYAHATNRTEMIPLLPSNCSNDLVSDRITGKIVMCARDFGVYPSYGVAVKMAGGSGLISVAPLDRCMEGLMVQAFTLPAVTVSSREADRLAVYIDSVPDPVASFCFTSSPSV >KQL23022 pep chromosome:Setaria_italica_v2.0:II:7432509:7435112:1 gene:SETIT_031346mg transcript:KQL23022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGAKIYPGKGIRFIRADSQVFLFSNSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAAKKRRRTTKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEMAKSQKTAGKGNAPKPGKGPKLGGGGGKR >KQL25234 pep chromosome:Setaria_italica_v2.0:II:36809618:36811159:-1 gene:SETIT_030734mg transcript:KQL25234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLFSMEHPWASAFGILGNIVSFLVFLAPTPTFLRVYRKKSTEGFSCVPYVVALFSCMLWIFYALVKTNSSPLLTINAFGCVVESVYILLYLLYAPRAARIRALASFLLLDVAAFSLIAVVTLELVAGPHRVKVLGSICLAFSMAVFVAPMSVIFVVIRTKSAEFMPFSLSFFLTLSAVAWFFYGLFTKDLYVTLPNVGGFFFGCVQMVLYCCYRKPKPSASVVLPTTAATAAAQAAEMELPLAALDAMAVMPACAVPVLADLQKLEEAVGSPRKAGTKAI >KQL24611 pep chromosome:Setaria_italica_v2.0:II:31756584:31761316:-1 gene:SETIT_029324mg transcript:KQL24611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEEAGMQDAHNNTQELVAAPNLKEETAVRDASTTVDIVEVGMQDATMQEEEEEEEEDDIERLLADILEADMQDAIMQEEEEVVEHQVIVERLPPVITLEQWMHHFRGNNTDTTTADPYDAEAEQGANGFFESDDEDYINQDTAMALLRRNQTRADPWETRTQAPALLRPTSSNNGQLRAVPWETRTQAPKLLRPTSSNNDHLRAVPWETRTQAPALLRPTSSNNDPLNSTRQALLTSADSRAVFAGMVEGDDNGWYDSIVRDAQRMEEMEGMEGMDLTKGMEGMDMEDPGLDGISSPLLQLSKSEALAKEEPNNHHPSTKEDIPELSLQDISKIWGLHPSEFGPGEPGPSTRQPRVAPLTDDEVAKFTCGICLETLPIFDLFHGMPCPHKFCARCMDTYIEGRTRAGEVPIPCPDPACKEEGNGGGVLHPEDCKKSIDFAVFCSWSDQLTENAIPPSLRIYCPNRECRIMLESTCTNKTPSKASCPACNSIMCKACGLYWSIDSSDQHDCAEGAEAMLVKKLASERKWKQCPRCRMLVEKNMGCDVMTCRCHTVFCYDCGRSMMPLQDGAELCRCRNVH >KQL25428 pep chromosome:Setaria_italica_v2.0:II:38052955:38053654:1 gene:SETIT_032202mg transcript:KQL25428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGDDGVDLTELTLGPPGINARKARRARKNGQPSSSSAMVQAFVKVSMDGTPYLRKVDVAAYDDYGELVEALNEMFCCCSIGLMDGYSEWEHAVVYEDGDGDWMLVGDVPWEMFVSSCKRMRVMRSCEARGLSSNA >KQL27008 pep chromosome:Setaria_italica_v2.0:II:47372111:47373451:1 gene:SETIT_032585mg transcript:KQL27008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRMLCGVALSVLLVLAAGASASHPSHSSCPATPPDAGGTLQVSHAFGPCSPLGPSAAAPSWAGFLADQAARDASRLLYLDSLAVRGRAYAPIASGRQLLQTPTYVVRARLGTPPQPLLLAVDTSNDAAWIPCAGCAGCPTSTPFNPAASASYRPVPCGSALCAQAPNAACPLDSKACGFSLTYADSSLVAALSQDSLAVANDVVKAYTFGCLQRATGTAAPPQGLLGLGRGPLSFLSQTKDIYGATFSYCLPSFKSMNFSGTLRLGRNGQPQRIKTTPLLANPHRSSLYYVNMTGIRVGRKVVPIPAAALAFDPATGAGTVLDSGTMFTRLVAPAYAAVRDEVRRRVGAPVSSLGGFDTCFNDPAVKWPAVTLLFDGMQVTLPEENVVIHSTYGTTSCLAMAAAPDGVNTVLNVIASMQQQNHRVLFDVPNGRVGFARERCTAA >KQL25824 pep chromosome:Setaria_italica_v2.0:II:40742170:40744108:1 gene:SETIT_031176mg transcript:KQL25824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVQLIGLLGVSSPPLAQSHCSCSGGAKKQVCSLRAPRQQSRRRLRVAHAVEMGAPGSAGAPEEEVEEPSVDFAFVSPRLLPDGTPDVHYRTARGGQKLRDIMLEGYIDLYGPYDKFLLNCSGGGVCGTCIVEVVEGKEMLSPKTEKEKEMLKRKPKTWRLACQATVGNADSTGQMVIQQLPEWKIHEWDKQK >KQL24831 pep chromosome:Setaria_italica_v2.0:II:33615251:33615902:-1 gene:SETIT_032246mg transcript:KQL24831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWARPAPTAPAAAASGASWRAPVRRVVSRGTGSAGGCRGLVRHRNLSVDGLLLQLLLVACVVRAALLVLGVAVIPLDGGAQRPPPPRRHPLLLLVVDWICLEQNKRIRPDA >KQL25508 pep chromosome:Setaria_italica_v2.0:II:38777544:38779376:-1 gene:SETIT_031395mg transcript:KQL25508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGRIKHLVKKYGKVAVGVHISVSCASIAGLYVAINNNVDVEAVFRRFGISPGVAVGGEASPAQAPGPAACDEALRDAALPPRPSDVLQEGPERPPRNRTMELVASSGGALTLALLCNKALLPVRVPITIALTPPVARALSRWRLVKS >KQL22820 pep chromosome:Setaria_italica_v2.0:II:5553644:5554118:-1 gene:SETIT_033802mg transcript:KQL22820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVGKLINYVVSLQEERNRRLEICKRAPRQRKWKHVKIKNLLLVIPE >KQL25323 pep chromosome:Setaria_italica_v2.0:II:37358223:37362588:-1 gene:SETIT_029372mg transcript:KQL25323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEAGPTTGKPACAVTFGRSTLLGRHLAAALAASGRWSAVAVVDPSPSPPPPPASPLVRHHAVDLSDPAGLASALAGAAAVFHVDATTAAASGSDGSFLSLHRLAAEGTRRLLAACRASGVGRVVYTGSADVVAAGARDVVNADEDSAPYPDKFGNAVSELRAQVEMMVLGADGVDGMRTCVLRPSNMFGPGDSSLLRFVAGYARSPLGKFVIGGGSNMSDFTYVENVAHANICAEQALCSNAASVAGKPFFVTNDEPMETWEFMNCIMEAMGCQRPRINLPAKMLLSAALFSNMIRHRLGFQMFSTPLLHPDTIYFLSCTRTFNTSKARRLLGYYPIVSLEDGIMRTVRSFSELSDNLGFSRKQRSCGSSKADKLLGSGTAADILLWRDEKRTFSFVTVLFLLFYWFLLSDRTFVSSAAKFLLVTSLALFIHGVLPSQVFGFTVEKVTSDHFEVSHSALRNSLMCLASAWNGGIHKLRVLAEGEDWSTLLKVFAFLYSIKLLLNFQFRFLMGLVLASLFIVFIVYEQCEEEIDSLVSNASFKIKWLMDRVVERMPASLKAYIS >KQL23504 pep chromosome:Setaria_italica_v2.0:II:14308951:14309229:-1 gene:SETIT_032114mg transcript:KQL23504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALYNPKVGANIMSTSFVLTHLGENPLAPTNKTFWIAPCSIIEGVGIMHKVPIWHENIEVALDFHIFEVYDFNILIGHPIEKLFLDAPILGTLN >KQL24379 pep chromosome:Setaria_italica_v2.0:II:29321790:29322535:-1 gene:SETIT_033660mg transcript:KQL24379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGYGRRYPVRVHHRGASIVAHKANNRFIGCMQGFDDWFGRGRANPNVLAGAIVGGPNCRDEFRDDRGNYMQTEACTYNTAPMVGVFARLHRLAAATAAPEGCGRGTADEAAECR >KQL26551 pep chromosome:Setaria_italica_v2.0:II:45019722:45020345:1 gene:SETIT_031167mg transcript:KQL26551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEAGTKNTSSTPCGARNDGTTQAHSLRVARTAQGTNRTRTHDTMDYAACFIALRHGLASGTGKWNSGTVGISGLGGSSTSGNGGRCTSGNVGTSGLGGSSTLGSGGSSCSGTGSSGTGRCGGNSGSSSLGAAASSSVRAALLIWAAHESSSARRSAREGTEVRDAILDRTARTICGFELGCALERRCSICVMDEA >KQL27029 pep chromosome:Setaria_italica_v2.0:II:47478055:47481089:-1 gene:SETIT_029683mg transcript:KQL27029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPATSVASLLPKLWHRRFLPPSLVPRALSSSCPLLTTHSAPRRRSRLSPSTHIAPAATVSTAAAVEAPTTTAYPVYDRLLPCPLQDDPPRIEHLVAREDEVAADFISRSLGLPPLYVADLIKFGAVYYALVAPQPPPYAAPEHVRIFREVTDPSVLRRRASIKGKTVREAQKTFRVTDPNQLLEAGTYLRVHVHPKRFPRCYEIDWKSRVIAVADDYVVLNKPAATSVGGATDNIEESCAVFTSRALGLETPLMTTHQIDNCSEGCVVLSKTKEFCSVFHGLIREKQVKKVYLALTTAPVSTGTITHYMRPVNRAPRLVSEDHIAKWYLCQMEVLDCKKVPWPSSLTRKAYNVNDCGWPQQEAAYECKINLLTGKTHQIRAQLAAIGTPIIGDSAYMTAAMAAKANPSINPFRRERLSYNSEEEKEAAVEAWIAAHGKEPKSVIGLQASEISWDHEGEHHSYKAGVPWWRQDSVESDLV >KQL24389 pep chromosome:Setaria_italica_v2.0:II:29397414:29398501:-1 gene:SETIT_031127mg transcript:KQL24389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPVEDGAAASSSSFSSRSSSSGADEGALQEPLRGYGARAPPVAGVVKPIPRRLWAAVRARAPRLRYACENAAGEFVAWTRQGGAPRALLVVSVGSVALPALTGLLIVVVFVAAAATNAVVFSVLVSMTAAGGFLAVLLALLAAAYACALSAAVFVISATTIATIIAVTIATGWAAFFWVLWFAARECLNLTTKRWTTGATIP >KQL25512 pep chromosome:Setaria_italica_v2.0:II:38792840:38796311:-1 gene:SETIT_029521mg transcript:KQL25512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAWVRVAFLAAVAASAAEALSLDVHHRYSAIVREWAGHHSGPPAGTAEYYAALAGHDLRRRSLASAGPGAGGEVAFADGNDTYRLNDFGFLHYAVVALGTPNVTFLVALDTGSDLFWVPCDCIKCAPLVSPNYGNLKFDVYSPQKSSTSRKVPCSSNLCDQQSACLSTSSSCPYSIEYLSDNTSSSGVLVEDLLYLITEYGQPKIVTAPITFGCGQVQTGSFLGNAAPNGLLGLGMDSKSVPSLLASGGVAANSFSMCFGEDGHGRINFGDTGSSDQQETPLNIYKQNPYYNISITGAMVGSKSINSKFSAIVDSGTSFTALSDPMYTEITSSFDSQVEDKPSQLDSSLPFEFCYSVSSKGSINPPNISLTAIGGSVFPVNDPIITITDTASNPLGYCLAMMKSEGVNLIGENFMSGLKIVFDRERKVLGWKFFDCYSVDNSSRLPANPNPSAVPPKPPMGPNSYTPEAAKGASPNGTQVNVLQPSAGFSLKLHFNTNVFIAAALLFVAIL >KQL23829 pep chromosome:Setaria_italica_v2.0:II:20660388:20661135:-1 gene:SETIT_031341mg transcript:KQL23829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSKVHEHETDLPASDLWAIYGTLRAAELLPELLPHVLAKVELVSGDGGVGTILQLTFPPGIPGLESYKEKFIKVDNENYIKEAQTIDGDILKLGFLSYMIRFEIIAKGPDSSVIRSTIEYEIDDAHPELEAMVNTAPLAATAEKFSEHAKEKKAPQATS >KQL22196 pep chromosome:Setaria_italica_v2.0:II:471173:472389:1 gene:SETIT_033035mg transcript:KQL22196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGEAAPPPANAETLPAKHSALASAKSTPRALLPASSTGSAHGPYAPTFSAAAALAPCDTAGGLRRLRSAVPCDGGEAGPLPARGSARHRPARTARALQISTSRSFRESQCILYAVDALLEISSRSDKVVRFGTTRALTLKHLPQPQEAYWYFFKTLAFGSMDPEFHPRFVQVAMEMARDNFEIHFWCKICTFLRRFIQKHVSIFGEHPIDLLNQDRPILFGRVTTPYGEFLVTHRYQCSSQLDIPKIGLQDLAFGSVRPLGKFDILLYGGLRYTPPPYYSYIYTCEVQKLKIAGAKRKRS >KQL26231 pep chromosome:Setaria_italica_v2.0:II:43195753:43197123:-1 gene:SETIT_030702mg transcript:KQL26231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVAAAASTFLGTRLADPAPQNGRIVARFGFGGGKKTAAPKKAAKTSSVSDRPLWFPGAVAPDYLDGSLVGDYGFDPFGLGKPVEYLQFELDSLDQNLAKNEAGGIIGTRFESSDVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVDGSSYLGQPLPFSISTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSYFDPLGLAADPEKKERLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFGGSS >KQL26154 pep chromosome:Setaria_italica_v2.0:II:42645694:42645882:-1 gene:SETIT_031936mg transcript:KQL26154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVLNKTDFRKRTKSKLVKIMIWAGIVVITFAIAVRIYPIFIFLLKERIKPLVEALYDKLP >KQL26504 pep chromosome:Setaria_italica_v2.0:II:44814789:44815488:1 gene:SETIT_031234mg transcript:KQL26504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVPGVEEQKSAEQVDEAGQADDNTSVPWLKLGLDAPKSEEAQPPAKPPEAKSVATQHRTFSCNYCMRKFFSSQALGGHQNAHKRERCAARKSHRFQMMMGLPPAASFLQPLRVNSHSTVLKEHGERAAVVVARFDGGQMSAWMPFAIEEAGLVWPGSFTTGSQESKKQTEKNLDLTLRL >KQL26980 pep chromosome:Setaria_italica_v2.0:II:47230296:47232318:-1 gene:SETIT_033275mg transcript:KQL26980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLSDAVAVAVAAADVTPRTQPQPRRVSVSMARAPRRESDPKKRVVITGMGVVSVFGNDAGAFYDRLLAGESGAGHIDRFDPTGFTTRFAAQIRGFSSEGHIDSKSDRRLDDCQRYALVAARKALESAGLALGSRAMGKIDLERAGVVVGSGIGGVKEFSAGVESLVTKGPSKISPFSVPLAIPNMASALVAIDAGIGFLGPNYSVSTACATGNHCIHSAADQIRLGRADVMLAGGVEAAIAPVALGGFAALGALSRRNADPATASRPWDRDRDGFVMGEGAGVLVMESLEHAMRRGAPVLAEYLGGAATCDAHHVTNPRPDGRAVSLCIKRSLEDAGVSPEEVNYINAHATSSRAGDLAEAKALKQVFKDTSQIKMNATKSMIGHCLGAAGGLEAIATIKAITTGWVHPTINQFNPDPAVDQFDTVRDVKQWHEVNVGISNSFGFGGHNSVVVFAPPFKR >KQL24191 pep chromosome:Setaria_italica_v2.0:II:27290466:27291117:-1 gene:SETIT_033277mg transcript:KQL24191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLVAVYYGGTVKRNEIGGFDFEKMVELPLLFSARPTFSGIMDQLKERLRWTGHGVDAILQGVIDVGSSKGLRIKRLISIGNKDDEVRALDVFVQKVSRQPSPPRFSLDLNNSPIVGSPLQEAVEVHVVPSAPTEVALTQDLESADDDSGCGGFADVGHDDSRLDAIEVPACGIACEASNCVGVRVSGDDDTYEATRAGRAGARN >KQL22657 pep chromosome:Setaria_italica_v2.0:II:4237029:4238200:1 gene:SETIT_031985mg transcript:KQL22657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIFTNISRARNEEQVSFRVVQWEADKTHSLDELEAQHQYANNLEKQIEALAQKLQSANALQAEAFQVTEERDKVAEITTEFNKLTQKVSKSVELEKKVHDLEQKLPRNSKQNDKSLGADAASPNPIQQEVLPCSTIIPVFFSPLSQQSTIASFFIFLPP >KQL26178 pep chromosome:Setaria_italica_v2.0:II:42801366:42802638:1 gene:SETIT_031924mg transcript:KQL26178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGRRRRCRHGQTAAMDCISGLPDELLHDILLRLDSARAAARTSVLSRRWRYVWAHLPELVSDGNGTDSDSAAPPASFLDSVDGALRAYSAPAIEALDISVPGPRCPAIPARRVGSWLRFASRRRGAQEITLSLGDRWRLGFRPAGVFMALTDLEIRGATMEGRVLEALVCSQCPRLIDLNLVVTLAAKSDVSLRYDSLEALCFNVENTRRVEIVAPRMEELSVPYSDAVEARISAPKLAELEIVAYESFVNDANKLPKCGTLFVTLNGNCHGFAASMLHLLRKCNSVRNFIVQHISCVEFSMK >KQL26459 pep chromosome:Setaria_italica_v2.0:II:44480435:44482138:-1 gene:SETIT_033543mg transcript:KQL26459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGQQPPVGVPPQQGYPGKDGYPPPGYPPAGYPPPAQGYPQQGYPQQGYPPPYAQQPPRQQQSSGPSFMEGCLAALCCCCLLDACF >KQL26878 pep chromosome:Setaria_italica_v2.0:II:46733646:46735019:1 gene:SETIT_030304mg transcript:KQL26878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWLGVGVLILAALLGSATAQAGGYGYGGYPAKVAAAPSTAPEGAPAPPAVPAVPAFGFYRRSCPPAEHLVKLVVGKAIRNNPGVGAGLIRMAFHDCFVQGCDGSVLLDPTPANTRPEKLGPPNFPSLRGFEVIDAAKALLERYCPGVVSCADVVQFAARDAAFFLSGYKVNYRLPAGRFDGSISLENETLAFLPPSSFNLSELVQSFVAKGLDVDDLVVLSGSHSIGRSHCSSFSDRISTPPSDMDPGLATILKGQCPANPNITNDPTVVQDIVTPNRLDNQYYRNVLRRKVLFNSDATLLTSRETARKVVENAVVRGSWERKFARAMVKMSLIEIKNAANGEIRKNCRVVN >KQL22358 pep chromosome:Setaria_italica_v2.0:II:1964557:1965439:1 gene:SETIT_031242mg transcript:KQL22358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHTHDDIQAQTAHLAMEKVACLALAFAMAAAAVFTPCAAQNSPQDFVKLHNAARAAVGVGPVSWNDNVAAFARSWAAKRAGDCRLVHSRGSGYGENLFGGSGADWSASDAVGLWVAEKQWYDHGSNSCAAGKVCGHYTQVVWRASTAIGCARVVCNNGGIFITCNYSPPGNYAGQSPY >KQL26822 pep chromosome:Setaria_italica_v2.0:II:46491431:46495119:-1 gene:SETIT_029560mg transcript:KQL26822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGEARPFRLFSSRAKTKPKLKPEPEVRTRPEPRSPDTGAVEADHAGSDPAPTIVTETGQADDAEAAAGAAPATFSDLGLSQWLVDACSALGMERPTAVQRRCIPRALAGEDVLGIAETGSGKTAAFALPILHRLGEDPYGVAALALAPTRELAAQLTEQFRALGAPLGIRCLAAIGGFESLAQAKGLARRPHVVVATPGRIATLVKDDPDLAKVFARTKFLVLDEADRVLDVNFEEELRVIFNCLPKKRQTFLFSATMSDNLRSLLELSGNKSYFFEAYEGFKTVETLNQQYIHVPHDGKELHLSYLLSKMKDKEDPIHRMGDPIRSAIVFVSKCRTCLFLDLLLEELGHPAVSLHSLKSQAQRLSALNRFKSGQVPVLLATDVGSRGLDIQTVDLVINYDVPWNARDYIHRVGRTARASRGGLAISFITQKDICLLHEIEDIVGKQLEAYECSDKEVTKNITKVFKAKRFAKMKMDDEGHEEKVQARKEQKKRDLARKRKHEE >KQL22929 pep chromosome:Setaria_italica_v2.0:II:6438562:6439935:-1 gene:SETIT_032626mg transcript:KQL22929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQRSGQDKQRVTHQASIHVEEGLKQPEVPMQAAKFASKGGIIMCGHIPILTRWKDYKADDEKQLKIYIGKLVEQFNIDTTSQLVIEACTDMLKSQERQGRYQLKKKYFEDLAANEVPTKTPVTTINDDYQPHRERFTINIDNHEAIRYPQHTESRSYVAQAHAVTTVEAVAAVVQSRIFREVAGIHPPIKKRTRVGTILQVEEIQADIESEKQGGAQLRQKIAEQEIARSFEKQHKVVTLSDF >KQL23263 pep chromosome:Setaria_italica_v2.0:II:10332142:10332453:1 gene:SETIT_032010mg transcript:KQL23263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAQAMGALLTVLVILATSAEMAHGICNLSSTGIRACQPAAAIRHPTDQPSAECCAALAGADLPCLCRYKNAAGVWVRFYRIDINRAMGLPGKCGLAMPANC >KQL24750 pep chromosome:Setaria_italica_v2.0:II:32851358:32853966:1 gene:SETIT_030047mg transcript:KQL24750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPSSLLHLILLLFLAAVPNALSKSTLESCASSTACPALLSYTLYADLKLAELAALFAADPLAILAANAIDFAAPDPADRVLPAGLPLHVPVPCACSDGIRKATSVRYVARAGDTLDSVAGSVYGGLTTADWIRDSNGMPEGAALDAGTTLFVPLHCACFGGADAGVPAVYLTYVVAEGDTVPAIARRFRTTGNDLMSVNDMATADVATGDIIVVPLPACASSFPAYTSDAGLSVANGTYAITANRCVQCSCGPGNLDLFCVPAPLADSTCSSMQCSNSSMMLGNFTLQMTSAGCSVTSCSYGGYVNGTILTTLTTSLKPQCPGPHQFPPLMPPPTTSFFETYLGPSPTPMPSEGGIGPQMAGMAPTSSPPASSGRPASADRRVGDVLALVALCLVANLLW >KQL26024 pep chromosome:Setaria_italica_v2.0:II:41893171:41895195:-1 gene:SETIT_031756mg transcript:KQL26024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVRIKRAKGAVKFKVRCSRYLYTLCVHDADKANKLKQSLPPGLSVQEI >KQL25527 pep chromosome:Setaria_italica_v2.0:II:38916690:38918486:1 gene:SETIT_029849mg transcript:KQL25527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKVLSSKLVRPSYPAGAPRPDTTEHVPSSVFDKATYHIQMAIIYAFSPPGPSTADIERGLAAVLGAYRLFAGQVRAGPDGAPGVLLNDHGARFVEASVDAHLADIAPTKPSPAVLRLHPDLEGEISEVVQVQLTRFACGSLAVGFTANHAVADGHATSDFLVAWGRAARGLPIGHPPPVHHPDLFPPRDPPRVEFEHRGVEYYRPASTTAPASGHGHGHGEAAQQHSSIVIHKAHFTKDFVAGLRAKASEGRGRPFSRFETILAHVWRTMTRARGLGNPLQTSTIRISVDGRPRLAAPPGYFGNLVLWAFPRATVGDLLNRPLRHAAQAIHDAVARVDGAYFRSFVDFASSGAVEKEGLEATAVLKDVLCPDLEVDSWLTFPFYDLDFGGGCPSYFMPSYFPTEGMLFLVPSYLGDGSVDAFVPVFEHNLEAFKQCAYSME >KQL22837 pep chromosome:Setaria_italica_v2.0:II:5729550:5730612:-1 gene:SETIT_031674mg transcript:KQL22837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNRSNELLWNKLIHTHSTYIEFTISPFYFYKVFDFCFELSWNYHLILSIHDRTVDAGRSSSQPAYGEHNHLAQQAKQPPVSYRRP >KQL24050 pep chromosome:Setaria_italica_v2.0:II:25182712:25187625:1 gene:SETIT_032746mg transcript:KQL24050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELGIEAARWMVGKALGPASSGLLEAWAASTELGTNIENLRMELLYAEGMLNNARDRDRGSGPEIQNPALSELLQKLRGLAYRADDVLDELEYFRIQDELDGTYHAADEHGGGCLHNNALNARHIARNIRKMLGFSYGSGGSATRDEPADEDTRGVSCGAWPCLGPKTPDDDNDEQEEDASRGVLCRAVWPCGRASSKPPMPPVDRGDQESHEEKEDASRGVLCGAVWPCARASSSTPPPNQDQEAHDGCMDGLISGVRGTINTVGKHLPSYSVSHAQNVGNSNVASTTGGRFLSSAARPIEAPQREGFVQTPKLKFDRVEMSRKMQEIVEQLKPLCAKVSAILNLEFLAANCRASQRMATIRPITTSEPIEPQLNAREKETSNIIRDITKGEYCDKDLTVLPIVGPGGIGKTTLTQYIYNDEDLKNHFEVKLWVCVSVSFSMHRLIQEIADKLPKDENNSGDKKIEEQLKSKRFLLVLDDMWDCSNEDEWKSFLVPFRKGQTKGSVILVTTRFPAVAQIVKTTDQWIDLNGLDNKEFEKFFFACVFGDMEETERPSELVDIGNIIVGKLKGSPLAAKTVGRLLRKHLDKDHWTRVLESKEWESQNGDHDIMPALKLSFDYLPFHLQQCFIYCALFPEDYKFGKQELIHFWIGLDVLHSRGENKSIEVIGLNYLTELVNHGLFKREEDADGNTYYIIHDLLHELARKVSADECLSICSSNNLRSLQIPQSIRHLSINIDESSVKDRKTFDICKEGFSVLGKKLKFENLHSLMLFGEHQGSFVKTFRGLFSKAKAIRVIFISGGNHSVEDLLHNFSNLVHLRYLRIAGSQTPKDISRFYHLRVVDIKECRSSYDLPRHMGNLLKLRHFLVPDDEMHASIFEVGRLKSLQELRRFEVRKESKGFELTQMGHLLELCGSLSIDSLEKVEGREEADEAKLMQKKHLQELILIWNDTQSNKDDRAREEHVLEGLKPHSNLLKLSITGHGGATCPSWLGVNLSVENLESLCLDYVAWKVFPPIGEFQLVNGAAKEISSNIPGQHFKNLKRIELVHLARLQRWVVGSSDQLLSHLEELIIRICPELVELQFSNSTCSEQEQKTTFPRLQELTISCCPKLVSLPPVPWTSSLRNVRIYRVGLDFGSVSGKDIGQMLSCMPQLSDLSIYRCEKITGFGVVEQLEEGKEEIATDGLLLLPPQLQRLQIRRCPELSLRPDSPHGGEKGGGLQGLTSLVSLRVRECPKFLVSPWPSSSCFPFPTSLQYLNLSRMETLAPLSNLASLTELSIARCGDLGGADLGHLLANGCLRKLSVYQTPNFFSIECSEEPLESEMLQLQSLETDDVASVLAAPICRLFSSSLTSLSILMGDMERFTKEQDEALQFLTSLKRLQLYCRKLQCLPAGLQKLANLETLVIPGTPAIIHSLHRGSLPDSLQELLITGGGFQSLPKDSLPNSLRKLSIYNCSAIRSLPKESLPNSLQELGISCCSAIRSLPKEGLPDSLQELEISDCPAIRALPKGGLPSSLQVLDVCRGNSEDLRR >KQL26395 pep chromosome:Setaria_italica_v2.0:II:44094817:44095083:-1 gene:SETIT_032071mg transcript:KQL26395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PESPETRFFGWWRKTFLAVPKDMRKGLNSLIILVAWEIWKHGNDCVFEKVRPKTQEVLRAISNEGGLWCMAGASKLQELVSRSPPLGV >KQL23505 pep chromosome:Setaria_italica_v2.0:II:14313234:14313720:1 gene:SETIT_033789mg transcript:KQL23505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDHSINRKVMLLIKINTPGIISYPKTYNLSIFISITTYKC >KQL26419 pep chromosome:Setaria_italica_v2.0:II:44231150:44236003:-1 gene:SETIT_030385mg transcript:KQL26419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEKKPPAVSDMGAWAMNVVSSVGIIMANKQLMSSSGYAFAFATTLTGFHFTVTALVGWISNATGYSVSKHVPLWELVWFSLVANTSITGMNLSLMLNSVGFYQISKLSMIPVVCLMEWVLNSKHYTTKVISAVVVVAAGVGICTVTDVEVNAKGFICACVAVFCTSLQQITIGSFQKKYNIGSFELLSKTAPIQAISLIILGPFVDYYLNGRSLLNYNFSGGATFFILLSCSLAVFCNMSQYLCIGRFSATSFQVLGHMKTVCVLILGWILFDSALTVKNILGMLLAVMGMVVYSWAVEAEKKASTPIPRNKSDMLDGEDVPLKARVSGLTPSDLEEGELKS >KQL26672 pep chromosome:Setaria_italica_v2.0:II:45681512:45682582:-1 gene:SETIT_032960mg transcript:KQL26672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNQPGTPPDTPPAASTMEQIRLPSPTLSFETSGISSSPLSDRKVLCTGRCGDAFIFDAGTRHVVTMPTINRPKWKPISIFVPSAGGRDADPDPDGSLFVMERRPKQEGKSSGWQSDQFEAFVYRRSSKTSPSKSWHHELLPLPPFLRDHDSKYRRFQYEISSYGVVGDGSHIFISAEGHKAHKHYNSCSSVAVTYCLDTVKHTWSEVGEWKLPFRGKVEYVPELKLWFGIPDKYKDLGAADLSTMDSEPSLVRAWILELDDTLNKDLEFDETPNKEWQELQEYQLVHLGSGRFCIASSFHTMRIIRTCLGNELTFKRFAVFTGVEVMPPAHGLGEIQMVEHKSKLTPDVTSIDIVF >KQL23035 pep chromosome:Setaria_italica_v2.0:II:7805764:7807133:1 gene:SETIT_030752mg transcript:KQL23035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPAHRRDAEAELNLPPGFRFHPTDEELVAHYLCARAAGRAPPVPIIAEVDLYRFDPWELPERALFGRREWYFFTPRDRKYPNGSRPNRAAGTGYWKATGADKPVEHAGRTAGIKKALVFYHGKPPRGVKTEWIMHEYRLAGAGAKKPGKDGSLRLDDWVLCRLYHKKNEYEKMQGHKEKVVEAEASLTDTRTPESEIDDDAFPAFDDMAPAPAPTTAPAPAGAVQPKEEVQDFGSLGGDDWLAGINLDDLQMDADFNMLVSPVAPKADQDGGFPFF >KQL22890 pep chromosome:Setaria_italica_v2.0:II:6156723:6157889:1 gene:SETIT_032729mg transcript:KQL22890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVIRQKKPALALAADSGTLPLDVLFEVLLRFPANVLCRLRLVCRSWRSLTSDPVFARAHASRHPLLASIHIGRLSHEVRVVDLSGSIVKRVPVTRPSYALNTQLDMVCVSGTFSRSQGYVLNLATGEVVAAYASLLRDEHGGEALISPFLLGHVPSTGEVKVFYSHTRAVHGEEGDFAVQVCSITTLGDDGDCGRWRVTPSPPANVASSTRDRVVIGGVAYFLLSMRCTANVEPDAIAVFDLVTEEWRPATLRGPLSSRLTSDDEELVYHRYRYGVQLAAVDGCLVTVHNNDHCQGSSMDLWFLVERGLWTKRYSIQSASCYDAFTHSYPLLLLDDGKIIIWDDETQVLRAYDPRANKLADLATLRNYFFMNMYQGNLLCPGLQR >KQL23459 pep chromosome:Setaria_italica_v2.0:II:13687396:13688400:1 gene:SETIT_032186mg transcript:KQL23459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVVSSAANGVGTFVGNALGAPFRALFGASCEGVCSGTFDLPCFIEHLCFSSLARLVAVLAVTYVVLFFGYLLCKLGIVKCVAKNAFKMVWKPCSACCRALGGACCHLWRKVRDTKRVYRGRRRGRRRDVELGDLSTSRSNYDTGSSSSSSDYDGDDRRGGVTTAGRSRGKSSSVREKRKERIRQSLRLKRVNSKVEHAARVSQGSGRRHRHSTGPRGTEVPSAMSSLRVHGFGSAARDHSRVHRRT >KQL24547 pep chromosome:Setaria_italica_v2.0:II:31178794:31182177:1 gene:SETIT_030129mg transcript:KQL24547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRKNSAAASSTNSNSTASAAAAAGDVGPRAKPKRTRKSVPRESPSQRSSIYRGVTRHRWTGRFEAHLWDKNSWNESQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPDTILNFPASAYEEELKEMEGQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAMAYDMAAIEYRGLNAVTNFDLSRYIKWLRPGADGVAAAAAAQDPHPMLGGLAQQQLLPPADTAAFQHQGGAEFPLPPRTSLGHTPTTSALSLLLQSPKFKEMIERTSAAESGATTSSSSSPPTPSPSPPPPTKAQHQAAGDGGAASPQCGFPEDIQTFFGCEDVAGVGVGVDVDALFFGDLAAYASPAFHFELDL >KQL26138 pep chromosome:Setaria_italica_v2.0:II:42532803:42537022:-1 gene:SETIT_032289mg transcript:KQL26138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCCRREWLLSLCLISIQLLAPPVFEGLLVGAQGLSPPALTPPLVKQVDEMVEHVWLTCGLDRGSLEDVRKHFNYNHVFDILRMVSGKDTKDNSEDNSKALSPEIKNTLLNCLSKQPLVIAAQESAKNLPIDYIKMLLASLRRDVAQGPPGAAATPAPPEAVKTTPSPSLGEPSSPIPDQKQDPSSETSPKEKTVPPTKISVAKKEDSSGMPTTAIVGLTVSAIALLALLCLCCCMYRANKAFSSNVRDDKPLLGLNHSDSSAASHKFSEGNPIDINKLGALPMKSEAVQNGNVKLSSSEVPNTDVHPAVYSSLTETMASSTGSAPVSKPSPPPVMPPAASTPPKAPSSTPQAPAPPSKPAPVLHAESSPLPSPNNAPPPKNAPPPNTAPPPSAAPPPKAAPPPPLPKSTGPAGPPPPAMPSSSKTRPPPPMKKSGKVDDGADFHEAKTKLKPFFWDKVTANANQSMVWDHIKSGSFQFNEDMIETLFGYNSADKTGSDGKKDLSSKVGPQFVRILDPKKAQNLAISLRALSVSPVEVCSAVKEGNELPSDLIDTLLKWIPSNDEELRLRLYTGELTQLGPAEQFLKAIIDIPYVFQRLDALLFMSNLPEEASNVKQSFATLEVACQELTKSRLFLKLLEAVLKTGNRMNVGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLHFVVQEIIRSEGVRATRAAKEQSTSVSSMDTNDVTDGNDQQTEDDYKQLGLKVVSNLGDELQNVRKAAILDADQLAMSVASLGHKLVKTKEFLNTGMKSLDEDSGFHHKLKHFTEQSQTDVTFLLEEEKKIRSMVRSTVDYFHGSTGKDEGLRLFVVVRDFLTMLDKVCKEVKEASKVAPKKTKTHQPSQTSQSSFNDPRRNLFPAIQDRRADSSSSSSDEDD >KQL25921 pep chromosome:Setaria_italica_v2.0:II:41274521:41277838:-1 gene:SETIT_032170mg transcript:KQL25921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKSSSSPAAASMAALAAAAAAGQSSSGHGQANGALSPKREEEDKKPAMLAAVGGASSSSPPVATRTVAASGGPSCQVERCAADLHDARRYYRRHKVCETHSKALVVLIAGLRQRFCQQCSRFHELLEFDDNKHSCRRRLAGHNERRRKSSADRHGGGGGDQNGRSHPAGNPSRDHFQIG >KQL24381 pep chromosome:Setaria_italica_v2.0:II:29356712:29361917:1 gene:SETIT_029421mg transcript:KQL24381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLAAASAALLVAPASPAPRPRLWPSRRARPAAVRAAALRALPRRLELWPQRLGAVESTPPPSSSSPAPDSSSGLGAGSGGGGGGGDGGGGADLGWLRVFPHVLTASMANFLFGYHIGVMNGPIEDIARELGFQGNPFLQGLVVSIFIVGAFFGSLGSSALVDKFGCKRTLQIDSIPLIIGALLSAQADSLDEMLLGRFLVGIGIGVNTVLVPLYISEVAPTKYRGFLGTLCQIGTCLGIIAALSLGIPSESDPHWWRTMLYAACVPGFLIGAGMQFAVESPRWLAKVGRFDDARKVVESLWEPSEVDKSMEEIKAVVANDDSQSSWSELLVEPHNRVALIGGSLFFLQQFAGINGVLYFSSLTFRDVGITSGALASLYVGITNFGGALVASNLMDKQGRKNLLIGSYLGMAFAMFLVVYGISFPLDEGVAHSLSITGTLLYIFTFALGAGPVTGIIIPELSSARTRSKVMGFSFTVHWICNFLVGLYFLELVKKIGVGAVYAGFGGVSLLTALFAYNFIVETKGRSLEEIEMSMSPATPGKRE >KQL25306 pep chromosome:Setaria_italica_v2.0:II:37207939:37211604:1 gene:SETIT_029209mg transcript:KQL25306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARLLRRVLQEREAATQDPDVAEDEQPEEEEEASPPRAAARNLFDLLDGGDDEEEDKEDEAERSQPLSYTEQKHSVQKKPTNVVPETNKKSKKKKKKSKAEPSSMKSKDEQSLDSILEDLSIEKKPMQQRVHQSDRATGKEIETDEAGATSILTIDPKHLKGENEMRRIFGSKVVDSFESQRNMPSSSRQVRGVRRVAHNPRKTLLVSPPSYWPPWDKSISMDLLETKSGLNYFRYTFDPSVSHVQELFEAAKAANDLNAIAAILGKYPYHPESLLTFAELFKYSGEHQSSADAVEKCLFALECAWHPLFSPLQGNYQLKYSHDINKPFFTALFSHMKNLDRRGCHRSALEVCKFLLSLDSDDPKGALFCIDYFALRSQQYKWLEQFAEEYQCDNSLWLFPNFSFSLAIARFYLERDATSEGSDHADKSTSVDLMKQALMLHPLVLCKIVDKAPLKDSSWTQILRNVFFGSAKPGSPSLEHMINIYVERHYIMWRFPELQNLLKEAALLVIESLKQDNREAQDWACVRKEAFSSEKNEYSHLLVSDFSDTTPSLPPEELRPFMVGPGMVHEMPPVEQEAAGAERLRAPRDVVGRNPALVFLESLLPWVDYGDNHHDANDDNNGD >KQL26077 pep chromosome:Setaria_italica_v2.0:II:42217726:42220508:1 gene:SETIT_032116mg transcript:KQL26077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGAGANPLAAPLLGPPSPAGRRLAPGPVSCALDPSPVLAPAAAEAPGQSDRAPPPRAPDDAASSSSSSSRALKASSQLSRWSRGRALRSGRRLRLVDRAAVSSAPPPPVTKPPPQPQQPPSLLYDDTPAVAPEDDDDVCEAERDAAAGKAIYLVSDGTGWTAEHSVNAALGQFEHCLVDSGCSVSTHLFSGLIKQAAKEGALVLYTLADPSMAETTKKACDFWGVPSTDVLRPTVEAIANHIGVAPSGIPRSSPSRQGKLTEDYFRRIDAIDFTIKQDDGAQPQNLNRADIVLVGVSRTGKTPLSIYLAQKGYKVANVPIVMGINLPKALFEINQDKIFGLTINPVVLQAIRKTRAKTLGFDGHKSNYAEMEHVRQELAHANQIFAQNPVWPVIVVTGKAVEETAAVVVRILHDKKQKCSMPRISKRY >KQL25371 pep chromosome:Setaria_italica_v2.0:II:37654789:37659213:1 gene:SETIT_029100mg transcript:KQL25371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDDSASKKAKRKQLKAAAAAAEAEAAEAASAKKKEKKEKKRKAKEPSPPAPSSDEEEKSSTSSEETAPAAKKAKKEKTKKNVEASPSASEDDGEITASSDEDPADPNALTNFRISEPLRQRLKSKGIKALFPIQATTFDLVLDGSDLVGRARTGQGKTLAFVLPILESLVNGTHKASRKTDYGRLPTVLVLLPTRELANQVHADFEFYGSTYGLSACCVYGGSPYRPQEMALRKGVDIVVGTPGRVKDFVVKGTLNLKSLKFRVLDEADEMLNMGFVDDVELILGKVEDVTRVQTLLFSATLPDWVNKLSMRFLKGDRKTVDLVGNEKLKASASVKHLALPCNKAARAQVIPDIIRCYSHGGRTIIFTETKDSASELSGLIPGSRALHGDVVQAQREVILAGFRGGKFQVLVATNVAARGLDINDVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGVAVMLYEPRYKHSVSRLERESGVKFEHISAPQPTDVAQSAGTEAADAISSVSDSVIPVFRQQAEQLLSSSSLSAADLLAKALAKAVGYTDIKKRSLLSSMEDYTTLHLQTGRPMWSPGFAFTILKRFMPEEKLADVKGATLTVDGTGVVFDVPAADVEDYIQAAESAAQVTIDEVKQLPPLQEREQSRGNSGGGRFGRGGGGSRFGGGGGSRFGGGGGRGGGGSRFGGRGGGGNRFNRRN >KQL24329 pep chromosome:Setaria_italica_v2.0:II:28935709:28938212:1 gene:SETIT_029090mg transcript:KQL24329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSPPPPTGEPKRRRLLSLPAVCPCEGIAPAPLLASLLSLATDLASRGAGDAGAFLVLRRGMRQVVRIAGLLLAFLEEIQDASVAPTLPSAAVLGLTELHVAMQKLRFLLTDCARRGARLWVLVNAGLAASELRAVLGSVAAALDALPKGVVEALVEAGELARLASEQAWRVPVRPDAGDERAARTVRSILEQFKSGVSPDAEDARRVLQHIGIRTWSECSEEIAFLEDELRTRMDGASGDDSSSSDAVLINSLIAFLVYCRVVLFDQIDVSPKADAAARPARCPDWIRPDALQCPITLDLMTDPVTVSTGQTYDRASITRWIKAGCRTCPVTGERLRTADVVPNAALRGIIERMLLSNGVSLPDSSGSGHRHGALANTAAPFGRAAASAARLTVTYIVAQISTGSTEERRKATCEARKLCKHSVFYRACLVEANAVPWLLCLLSSTDASVQENAVASLLNLSKHPRGRAALFEAGGVGLVVDVINVGARAEAKQNAAAVLFYLSSDPEHAEEIGRIPESIPTLVQLIRDGAHRGRKNAMVSLYGLLQCASNYGKAVAAGAVAALAAVLSVDCEDLAGDAVALLARLAEHPTGAQAVLARPGLVVRVVEALATSASRSGKDHCVALLVALCRHGGEKVVALLGRMPGLMASLYTLVADGSPQTCKRARALLNMIHRHYEMGDQAAAPAPAPASEASERVVRVL >KQL25184 pep chromosome:Setaria_italica_v2.0:II:36507050:36509850:1 gene:SETIT_030413mg transcript:KQL25184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKKTMRAFQYDKYGGGAEGLKHVEVPVPSPKKGEVLLKLEAASINPIDWKIQKGMVRPFLPRKFPFIPVGDISGEVVELGTGVTNFKTGDKVISISFPTGGGLAEYAVAPASLTVARPPEVSAAEGASLPTAASTALQQLKALRVTSFDGSGGGNNNAPKNVLVTAASGGVGHYAVQLAKLAGLHVTATCGARNLGFVRGLGADEVLDYKTPEGARLQSPSGVKYDAVAHCATGTPWSAFAPVLADSATVADVTPGIAATARSFLQKVTLAKQRLVPMILIPKKEEMEWLADMTRQGKLKTVIDSRYPLSRAREAWAKSIEGHATGKIVVEMGDEE >KQL25936 pep chromosome:Setaria_italica_v2.0:II:41354667:41359920:-1 gene:SETIT_029230mg transcript:KQL25936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPASEGLLAQQQKANSRRPVGSSQIWTRYGVLLPSQQTRKLKEWVLTDQQQQLVNASGLGHLALTTGFTIDRSLLTSFCERWNNETNTAHFMGFEMAPSLRDVSYILGIPVTGHVVTAEPVGDEAVKRMCLHYLGESPGNGEQLCGLIRLTWLYRKFSQLPENPTINEIAYSTRAYLLYLVGSTLFPDTMRGFVSPRYLPLLADFRKIREYAWGAAALAHLYRGLSVAVTPNATTQFLGSATLLMAWIYEYLPITQPQQKNQSTLLPRACRWNFGGATRGQRKKVMEWRKVFEQLQLSEVNWNPYKDMNPAIVPEYCIAADNICYSRTWLISFNIKEVYVPDRFARQFGREQGRLHGVPMWARRTWSKWKDWRVEYAREIEEFHQLVGCRFTPSAETNINSLPPDEPVAGQNATGCNRNTSHDISSMVEDLKNDLPVIDRYLEGHLLPAEVASFLERVGTMIKNYSPPQGNRRKHQAAQGQTGPVRSKNPRKRGKPSLFQDPSNPHQYPGALVPYQASKCDTVFDGTVPLLNGGEEFKEHEAMEPWQISHLTMTPSSSSLDSSSPESMKQGQQDGDETQIPRDTDDLRRSGRLCVQLKMFKHRDGVDVEAANPIFL >KQL25935 pep chromosome:Setaria_italica_v2.0:II:41354667:41357638:-1 gene:SETIT_029230mg transcript:KQL25935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPASEGLLAQQQKANSRRPVGSSQIWTRYGVLLPSQQTRKLKEWVLTDQQQQLVNASGLGHLALTTGFTIDRSLLTSFCERWNNETNTAHFMGFEMAPSLRDVSYILGIPVTGHVVTAEPVGDEAVKRMCLHYLGESPGNGEQLCGLIRLTWLYRKFSQLPENPTINEIAYSTRAYLLYLVGSTLFPDTMRGFVSPRYLPLLADFRKIREYAWGAAALAHLYRGLSVAVTPNATTQFLGSATLLMAWIYEYLPITQPQQKNQSTLLPRACRWNFGGATRGQRKKVMEWRKVFEQLQLSEVNWNPYKDMNPAIVPEYCIAADNICYSRTWLISFNIKEVYVPDRFARQFGREQGRLHGVPMWARRTWSKWKDWRVEYAREIEEFHQLVGCRFTPSAETNINSLPPDEPVAGQNATGCNRNTSHDISSMVEDLKNDLPVIDRYLEGHLLPAEVASFLERVGTMIKNYSPPQGNRRKHQAAQGQTGPVRSKNPRKRGKPSLFQDPSNPHQYPDSSSPESMKQGQQDGDETQIPRDTDDLRRSGRLCVQLKMFKHRDGVDVEAANPIFL >KQL22746 pep chromosome:Setaria_italica_v2.0:II:5067407:5072072:-1 gene:SETIT_031260mg transcript:KQL22746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGLLTSPCPRAPLLRAALQGPSARSLRRTLAFPARPPAPRVLRLSPPPPRAAAEASAAATALGGLLASPLSTLEAGLRSVNLAPLRAPVAAAMSAAVRWLGVYREVLLVGVLLSWFPNIPWDRQPFSALRDLCDPFLALCREVMPPVFGRKLDLSPLVAFMAIDIIIMILRPQPRM >KQL26645 pep chromosome:Setaria_italica_v2.0:II:45545203:45545952:1 gene:SETIT_032908mg transcript:KQL26645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTITHFSHPGHELVKRHYTGPFGCVMCWERLSGAAYGCRAGCDFAIHDACAGHPQTLTSPSHHPHQLVLVETRRDVTHGCDVCAGRCAAGCFLYRCPPCGFDMHPRCAKLPPAVRSVRHPEHDLALVVAEGRCAACHAMHGAGARAWLYRCNVCNLDLHVSCAAAEGPEDAENGHFPGAGDDGLGLDIRGELLRSRIAAQSHMATAVAMRNAGWSLANLICELLVPLKMRTVLVAQSHNFHRSICL >KQL24027 pep chromosome:Setaria_italica_v2.0:II:24895497:24896014:1 gene:SETIT_031797mg transcript:KQL24027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKCKTDSVHYSVTGFICTTIAELLFARDPKEMELLATRVQGLVLLCKHREKQKVQKY >KQL25895 pep chromosome:Setaria_italica_v2.0:II:41141296:41143571:-1 gene:SETIT_032910mg transcript:KQL25895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKMPPQLIRRRRCLASAIDPAIASKHAPPSASSNPSSSPLGWPPAQAEGSGGDTGGRRLLDWASSPAQDGPCGPGPPSSSPRAPAAGAGGSGGLSGGTPIFNQPSIVARFSHCSDEFSYYSGSSSSSSYSGALVRLCVSDSARRGRPVDPSTCSSSSPPSAASIRRWGIRFLNYLPAHDCRLFLLKFRIDGSACCFQMFAEATGALFHSGAEKKRKGVWIEVDNYEDKSERSNTVASEGSTVTAAASAGSTATSGRCCRPPRASGGRGWGDRGLLEEEPEEEFDREPEPEDQYREPEPPEGFEDGKSNLTL >KQL27164 pep chromosome:Setaria_italica_v2.0:II:48182089:48183766:1 gene:SETIT_030593mg transcript:KQL27164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASFLGLLVLVALASGASAQLSPTFYDTSCPNALSTIRTAVNAAVAQEARMGASLLRLHFHDCFVQGCDASVLLNETSGEQTQAPNFRSLRGFGVIDNIKAQIEALCPQTVSCADILTVAARDSVVALGGPSWTVPLGRRDSTMSFPNEALSDLPPFTDSLQNLITAFRNKNLDATDLVALSGAHTIGQARCTTFRDHITEPNINPTFAASLRANCPATGGDDNLAPLDVTTPNSFDNAYFSNLMSQRGLLHSDQELFNNGSTDNIVRNFASNAAAFSSAFATAMVKMGNLQPLTGTNGQIRRLCWRVNS >KQL22323 pep chromosome:Setaria_italica_v2.0:II:1732475:1734803:1 gene:SETIT_033210mg transcript:KQL22323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPQKHVELARPDACKCNETTTQRSKETRSTTGSRCNRARNVLCFDEQHTKIGISKYKAYRAKREAVKMVLGDHADQFKRIQDYAHALLHVNPGVNPVFERMFISFKAQIDGFKAGCRPFIGVDGTHGILNDVPNVFPRAEHRFCLRHLHANFVSVGYGGGDELKKLMEKASYAYRQYDFDVAMEKIKIKNFEAWVWLKQLPPEHWCRHKFSSRAKTDLVVNNISETYNSSILEARDEPVVTMLEHMRSKIMEGYTTKEEGAQRDKWQIVPNYQKRLEFEKHLASFCRAVCAGRGIWQVSMAEFTFVVDLNSRTCGCRKWDVTGIPCCHAVSAIQAFNHRPEEYVDDLFKKEAYLLAYAGQIMPVPDKTQWLRTPFPGVDPPRFTIQPGRPKKKRRRAPGERHVASIASKRVAIRCSRCKSFGHNVSGCKMRKRGTKTKGSQEKRGRKPKNDATSSSHEQSQQNTQISAPQKRGRKPRHDSTSSSHQQLQMMSNSQPEVQIYSQQPPDAIPMNN >KQL23677 pep chromosome:Setaria_italica_v2.0:II:16125261:16126614:1 gene:SETIT_032000mg transcript:KQL23677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILCCFQSHHAGGESSDHAVPSSSTAASSSVTSNKDRPLPERRPGDYKSIRSNNSVDYSNLVTLVNEIVADSVSYRHKRVAEEILKMGKAGKVTARAFTYAELSEATGGFQPESVLGEGGFGPVYRGRLPPRSSGPEVAVKQLDRNGVQGTREFLVEALMLSLLKHPHLVTLLGFCTDSDHRMLVYEYMPLGSLEDHLLDLPPGRPPLDWATRMRVAQGAARGLEYLHDTARPPVIYRDFKASNILLDTGFRARLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPEYALTGKLTTMSDVYSFGVVFLEIVTGRRAIDTAREPDQHNLVLWAGPRFKDKRRFAEMADPLLQGEYPTKGLHQALAIAAMCLQEDATMRPAISDVVSALEYLVVAGGGAGDDEEAPDPNEQQQTDDDDAQA >KQL22359 pep chromosome:Setaria_italica_v2.0:II:1972614:1973357:1 gene:SETIT_031309mg transcript:KQL22359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVACLALALAMAAAAGVVVTPCAAQNSQQDFVDLHNAARSDVGVGPVSWDDNVAAFARSYAAQRAGDCALQHSSGSGYGENIFVGGAGADWSASDAVGLWVAEKQWYDHGSNSCSAPAGQSCGHYTQVVWRDSTAIGCARTVCNNGGVFITCNYNPPGNFVGQSPY >KQL25214 pep chromosome:Setaria_italica_v2.0:II:36702793:36703167:1 gene:SETIT_033713mg transcript:KQL25214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVCSLYIFFPHIITLQYWRTAHKCFSILFELVASVIFLHCSPYLKTSILLS >KQL24673 pep chromosome:Setaria_italica_v2.0:II:32151788:32154614:1 gene:SETIT_029312mg transcript:KQL24673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWLLKTTRIRVFPRRMMNKQKTASVPVPCPGPGVTFHRESTSVPPTLPVYHHHHSLLCLHHRSVPMELPPWASFLGVVLATVMLLKAIVGRRRRRRAYNLPPGPKPWPIIGNLDLMGALPHRSIHELSRKYGPLMQLQFGSFPVVVGSSVDMAKFFLKTHDVVFTDRPKTAAGKYTTYNYRDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAAEVRALLRDLHAVSGSGRAVMLKDYLSTVSLNVITRMVLGKKYLDKEVAAGGSSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLGKMFDRFLEHVVEEHNQRRLREGKSFVAKDMVDVLLQIADDPTLEVELNRESVKAFTQDLIAGGTESSAVTVEWAISELLKKPEVFAKATEELDRVIGRGRWVTEKDIPQLPYVDAIVKETMRLHPVAPMLVPRLSREDTTVAGYDIPAGTRVLVSVWSIGRDPTLWDTPEQFMPERFLGSKLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFAWSLPDGLTKEELNMEEIFGLSTPRKFPLEAVVEPKLPAHLYAEA >KQL25085 pep chromosome:Setaria_italica_v2.0:II:35789645:35792142:1 gene:SETIT_033078mg transcript:KQL25085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELARLLLIPVVWVLAAAAAARSPGCATRCGNIDVPYPFGLDPQCAIHDGFQLNCSTVGRDTKLFHGTLEVIRFSVHDGKAWLKTFISRQCYDQATSDMSYNNAWVNISNLPYVLSASDNKVIVVGCKSLAYMWSDSYIIGCKSTCDKPLKNGSSCSTGNAGCCQADLPTGVRYYQGFFNELYNTTEIWRKTPCNYVTVMESAAFSFSTAYLTSTVFYDTDDSRTPVVMEWGITRQTCEQARINKTSYACVSDHSDCVNNDAGYRCRCSDGFEGNPYTMDGCTDINECLDNVTYPCAGICKNTPGNFTCSCPRGRSMINGVCASVGLVALVICITCAYLIRERRKLHRIKQRYFRQHGGLLLFEEMKSQQGVAFKIFSEEELQQATNKFDAQQVLGHGGQGTVYKGILKSGIEIAVKRCMTIDEQQKKGFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFDLIHRNHSQHNSLNTRLRIAYESAEALAYLHSCASPPILHGDVKSTNILLDGDYTAKVSDFGASILAPNDKSQFVTVVQGTCGYLDPEYMQTYELTDKSDVYSFGVVLLELLTRKKALNLEGPEDDRSLSMRFLYAMKENKLEDILDDEIKNNENIEYLEEIADLARQCLEMSGMNRPSMKEVADKLGRLRKIVQHPWAHENPEELDSLLGEPSMVNSTGTTGNFSIAKKAAMGLESGR >KQL23346 pep chromosome:Setaria_italica_v2.0:II:11053223:11053885:1 gene:SETIT_032157mg transcript:KQL23346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFVFRNNCKETIYPGVQTNPGRPAFPTTGFQLHPGAEAQYHGVASTWAGRIWPRRHCSPGAPGGLSCASGDCGGRLEWAGAGGQPPCTLAEFTLGGSGGNDFYDVSNVDGFNAPLLIAPVGGAGCTTVTCGADINAACPPELAVKAGDGGTVGCRSACLAFNTDEHCCRGDYGTPDRCGPGRYSKFFKAQCPQAYSYAYDDGSSTFTCATGGNYNIVFCP >KQL26590 pep chromosome:Setaria_italica_v2.0:II:45258432:45258905:1 gene:SETIT_032288mg transcript:KQL26590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPAGTPARKLLLPGISAKAGSVAVGFDLDGAVDFFSGLWQLVKAKAAEILAYLATLFSALAKKVDELLPPDTRSETLRQWLHVAVTVVLPAALGALVLLCVARCCWRCCCARNRAPRGRLMVAPGRGGARMPRDVFEDDPRRYFRDLRARKPLVY >KQL26873 pep chromosome:Setaria_italica_v2.0:II:46706726:46708666:-1 gene:SETIT_030855mg transcript:KQL26873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGLIRLFFHDCFTRGCDASILLDPTSDNQQPEKFGIPNFPSMRGYEVIDAAKAELEAKCPGKVSCADIVAFAARDASYFLSGGGINFDMPAGRYDGNVSLASETLPNLPPPFAGLQQLEKMFADKGLDSFDMVTLSGAHSIGRSHCSSFSRDRLPPSATSDMDPAFAAGLQANCSSANGADNTVVEDHETPDVLDNQYYQNVLDRKVLFTSDAALTSKDMTNNLQKFEEAMVKMSRIEVKTAATGEIRRTCRAVNSKP >KQL25369 pep chromosome:Setaria_italica_v2.0:II:37630343:37631806:-1 gene:SETIT_031324mg transcript:KQL25369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRLVAALAPSPRPPPPTPRREPRRPPSAVRLTSGVALAAAAAAVAAAASPPALAALSEPANALSLPTWAVHVSSVAEWVTAMWLVWDYGERTGIKGWKGLSWGMVPLLGGAMCACTWHFFYNSESLEVLVALQGALTVIGNMTMCIAAYRIFKASQESSKTS >KQL24506 pep chromosome:Setaria_italica_v2.0:II:30886776:30889669:1 gene:SETIT_028959mg transcript:KQL24506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLIYKFARDLVLAEDPQAEEVLRFSTHPERFCAVCKLVIPSLEASWKPDDCDHVICISCLWQYAPETEATGLPSCAVASCESLHKSETHQGVGVNRSTLISTKEMDSGKGKEPLDVMIQEVGQCSRGANVMASSEFYCTICMETVHVREVFPIPGCTHLFCVSCLRQYIMAKVEDNVLSIGCPEPGCKDGTLDPEACQDVIPPQLFQRWGAALCDLALGAFKFHCPFKDCLALLVDERGPREAAIRKAECPHCSRMFCVQCKVAWHHEVTCEDFQRLGTDEPRLDDLLLRKVTQESMAQPDPVGSCESLHKSETHQGINVGPTTLISIKDMDSYKGKKQFDAMLQELAQCSRGANVMADCEFYCTICMETVHIRDLFPISGCTHLFCVNCMNQYITAKVEHTALPIGCPEPGCKDGLLDPEACRDMIPLELFQRWGTALCDSALGAFKLYCPFKDCSALLVDECGSRKAAIRKAECPHCSRMFCAQCKVAWHYRVTCEDFQQLRNDEQVRDDLLLRKVVQESKWQRCLRRVVTTCQSLCKSKVHRGIDLGHSTLISTEDMDSCKGKDPLYDMLQDLGQCSPGSNAMASYEFYCTICMEAVHVGELFPISGCTHLFCVSCVSQYITAKVEDNVLSISCPDPGCKYGALDPEACRDVIPPQLFQRWGAALCDSALGSFKFYCPFNDCSALLVHERGHGEGEAAITNAECPHCRRMFCAQCKVAWHDGITCAEFQRLGKDERSKNDLLLRKVARESRWQRCPKCKMYVERAEGCVYIVCRCQHRFCYLCASPMSNGIHRCSRCKRTW >KQL23869 pep chromosome:Setaria_italica_v2.0:II:21805893:21810224:1 gene:SETIT_028912mg transcript:KQL23869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDRSSRRSRDDDGHHRSRDRDDDRHRRRSRHDTDDHHKHDGGDDDRRRRHRDKDGGGDEEDRRSHRHHRDKEDRRSHRHHDGGDDEDDRRRRGRRSVSSSESPPPSAKRERSSSRPRESIERRDSADREPPSSSRKRKGHEGGGRGDEADRDVGKRARASVDPPPPKEERPRRERRRFEDVDANGKDGDVRSKGSKQEGELAVNGDLQSGAAQNAGSQQPFNAASAVVPSSVPVSSKVSSITTNNENEGVSIRSDEVTGKSSTDGSAISAAGKSSNLSLDALAKAKKALQLKKELSEKLKKLPMLNDKLGTTGSGTQIKKEDAKTLSAVEVQPLPKGEAKSTGAVSSLPTSSVSGTPAAAGAIGIPGLTNISNLDAVKRAQELAAKMGFRQDPQFAPLINLFPGTSTELTVPQRPPKAPVLRLDAQGREIDEQGNVINMTKPTNLSTLKVNINKQKKEAFQIIKPDLDSLAKSSAHFDERMGINQNKLLRPKRPGFQFIEEGRLTRQAELHRIKSQFGEAQAKELKVKQAQLAKAKAEVDMNPNLIEVAPGMRAPKQKQKETIPDIEPWDAKILLSATYEDFTMEKLNMDRITIYVEHPEPLDPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAKEKDRQEMIRQGLLEPPKPKVKMSNLMKVLGSEAVQDPTRLEMEIRTAAAEREQAHVDRNIARKLTPSERREKKERKLFDDPTNTVDTIVCVYKIRDLSHPQTRFKVDVNAQENRLTGAAVITDDVSVVVVEGGKKSIKRYNKLMLNRIDWAAAVGGEDDADEEPDKPVNSCVLVWQGSVAKPTFHRFTVHNCRSEAAAKKVFADASVPHYWDLAVNFSEDSS >KQL27200 pep chromosome:Setaria_italica_v2.0:II:48497336:48497722:1 gene:SETIT_032519mg transcript:KQL27200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDIKGPVRKDLDYDRCHACSKRIKHRRGAQFVAGHNHTRMPCFSMCIFCE >KQL22786 pep chromosome:Setaria_italica_v2.0:II:5267842:5269083:-1 gene:SETIT_033407mg transcript:KQL22786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DMDSPKTKRSSEVAVAACPPDDPLVEILSRLHAKPRFRFKCVSKGWRDLITDRLGCRKSPQTLEGFFFLVDEIQSCSDDRSDYGCFIDLLGKPSPLIDFSFTFLTKVPEIDKMVLTGYCNGLLLYGHRRSSDKYDTLGYVVCNPATEEWVAVPSSGWKPPPSPSLEGIEDEQYQVPILQGEHVLTYMLFDPDTSPHFHLVEFWMKSFVELEGVHAYSSEIGVWRPNEGGSWGKSAIIGSSLGSGCVFRGMLHFIVTCTFNVRWQRMIVAVDGEGKTSRTMRWPEDRGHLLFIGQTQGHLHCISGHVDDSDHMNELSIWVLEDYGGEKWVLKHNVSVLQLFGKASCECDSYGVVEIHPKCNMVFFFQYWNQKLISYDMDSKEVRDLYTLVRDDYESIMPYVPYYGSSLALAKKH >KQL25647 pep chromosome:Setaria_italica_v2.0:II:39560873:39563954:-1 gene:SETIT_029859mg transcript:KQL25647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTSRAVTSPACAAAWRRRRQQHDRWSASDAPGRAGTATFVAKVGRGRRVRGAAPCSVRAAGSDTIGCLEAEPWGAVAPARPPALALPGLQVAAPAPGDALAVPSEQRVHEVVLKQAALAAAAPRAARIGPEPMAGGLKAAFDRCGEVCKEYAKTFYLATQLMTPERRRAIWAIYVWCRRTDELVDGPNASHISALALDRWESRLEDIFAGRPYDMLDAALSDTVANFPVDIQPFRDMIEGMRMDLRKSRYRTFDELYLYCYYVAGTVGLMSVPVMGISPESRAATETVCKGALALGLANQLTNILRDVGEDARRGRIYLPQDELEMAGLSEADIFNGRVTDGWKGFMRDQITRARSFFRQAEEGATELNQESRWPVWASLLLYRQILDEIEANDYDNFTKRAYVPKTKKLMALPKAYLRSLMPPSSLTQSQRHYSSLT >KQL22831 pep chromosome:Setaria_italica_v2.0:II:5664543:5664882:-1 gene:SETIT_032303mg transcript:KQL22831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRTAKPDPIFRNRLVNMVVNRIMKDGKKSLAYQLLYRASIRRVTPNIGVKTRRNKKGSTRKVPIEIGSKQGRALAIRWLLEASKSVRVEISLSN >KQL23815 pep chromosome:Setaria_italica_v2.0:II:20431378:20432664:1 gene:SETIT_030781mg transcript:KQL23815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGHMCADDSTLKSMPVIQFGSTPLTCDMIAQSFADGAIPDSTFITGFVKCLSYDDYWIRPECHGYRIFFDADLSAILNVEWHKRDSSEPKYSQFAAVTAIQRCLPFTDLKKTKMILLPVLHQHHWSVYCVNFGQSRIDVLDSMLYTPESDNNWDNYHLEFGKKIMHRLSDALSIAAPLKFKSFKNWRHVPVKVPVQKATSDSAFFAMKFLEFYDGDGHGSLHTSIAAERSKELCAETLYYLTFHKQNKVVALPNEILQYHRDDHHPFFY >KQL25926 pep chromosome:Setaria_italica_v2.0:II:41298255:41300752:-1 gene:SETIT_0333791mg transcript:KQL25926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVLAKLAQLMGDKCSNLIDLSSDTAFLRDELCTINALLKKLDHEDEDALDPQVKDWSNQVRDVDARAGFFRRIAHFIGTLRACLETAKHIKELKTRLQEINERRKRYRLEHCIASSSSAGIDPRLPALCKEAANLKQLKGVTIVGFGGLGKTTLANEVYHRVKGQFDFHAFVSVSQRPDMARLLNSIRLKLGQQESSYPREVKDLIDNPFLKCSSSESIEELSMLSLYNCNYPNQHRIFDDLWDTISWNTIKCGGLPLAIITVASILASKPTSKKEDWEKIRNSLGSDLGTHPTLAGMRQILRLSYRNLPHHLRTCFLYLGIYPEDHIIRKVDLVRQWVAEGLVSNSGRQDAEDLANSYFDELVNRSMTQPEEIDYNGKVLSCRVHDMMLDLILSKCTEDNFINVLYDSQGMRELQNSKVRRLSLNLNGAEGFTISAVQAVGSQSQIRSLALFGATTCMPACAHLFPESKFLRVLVLELRQLVLLRYLKVEARSSCVKLPTEIQGLRHLETLEMSCGFVGGIPSDVFHLPGLLHLDIASTPGGFPGGINTAHGRSSMNALRSSLGKLGGSNLRCLSVVRYPEIRDDMLSSLAPPPHRLETLDLLAWCLSRVPRWLAELHNLCSLDLC >KQL26305 pep chromosome:Setaria_italica_v2.0:II:43662900:43664966:-1 gene:SETIT_032542mg transcript:KQL26305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSTITGFLALHLFILQSIGHVSPLQFKLNFTESNHNGAATIQFQEDAFYNKAVRLTKEEMDGQITHSVGRAVFTDPVTLWDSTTGQLADFTTRFTFMIKANVTNSSNGEGLAFFLSPYPSVVPNNSGDGNLGLFSSSADQSETSNQIVAIEFDSHKNSWDPDDNHVGININSIVSVTNVTWKSSIKDGKIANAWVTYQASSMNLSVFLTYKDSPIFSGNSTLSYSVDLRKYLPDKVAIGFSAATGKLVETHRILYWEFSSTDVQLKSKKMKRVVVIGLATSISGMVCFSMGLVLCFLRFRKTRRLRKEEEEKLEYDESIDGEFEKGRGPRRFRYNELVAATKNFALERKLGEGGFGAVYQGFLKDQNLNIAIKRVAKGSTQGKKEYISEVKIISRLRHRNLVQLVGWCHEHGEFLLVYEFMPNRSLDTHLYDNSDILTWPLRFKITISVASALLYLHEEWEQCVVHRDVKPSNVMLDSSFNAKLGDFGLARLVDHDRGSQTTVIAGTMGYLAPECVTTGKASKESDVYSFGILALEVACGRRPVVPKEDDEKIKLVQWVWDLYGRNEILNAVDGRLDGALDEREAVRLMVVGLWCAHPDYNLRPSIRQVISVLKFEASLPSLPPKMPVAMYFAPPIHLCKFSYTSSDGTLKELEGSNIYGKTTSSSSATNASSSPPTVHLPQMGY >KQL23240 pep chromosome:Setaria_italica_v2.0:II:10067889:10068653:-1 gene:SETIT_031734mg transcript:KQL23240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPGHIYQAGLSPGDIGSRGSRLQEVPDPSHLDAIKVNRLPDCYYSNAKEDWHVLSSLISWKEFHSPCHCHG >KQL26664 pep chromosome:Setaria_italica_v2.0:II:45648961:45649357:1 gene:SETIT_033778mg transcript:KQL26664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKETSKCRLNLELPHRNMKAQLVSASHLDPVASHLKT >KQL26183 pep chromosome:Setaria_italica_v2.0:II:42850653:42851123:-1 gene:SETIT_033477mg transcript:KQL26183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHCPESGMERPKDAIIESSYLSMSALIIPSFVGT >KQL26462 pep chromosome:Setaria_italica_v2.0:II:44498247:44499850:1 gene:SETIT_030527mg transcript:KQL26462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRSSQRFRHSKLSLFVALATVVAAARAQLSPTFYASSCPAALVTIKTAVRAAVLLDRRTAGSLLRLHFHDCFVQGCDASVLLDDTGNFTGEKGAGPNAGSLRGFGVIDTIKALLEALCPRTVSCADILAVAARDSVVALGGPSWTVPLGRRDSTTASLSTANTDLPSPASSLSTLLAAFARKGLSSTDMVALSGAHTMGQAQCQNYRGRIYNDTDINAAFAASLRAGCPAAGGGGASAPLDATSPNAFDNAYYGNLVAQRGLLHSDQELFNGGSTDALVRSYAASPAQFSSDFAAGMVRMSGIGVLTGSSGQIRRNCRRVN >KQL25122 pep chromosome:Setaria_italica_v2.0:II:36132827:36135239:-1 gene:SETIT_033173mg transcript:KQL25122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGFTMALAMPTTLKCTKAGGNKRLKYAVSAIQGYCEDMEDDLLKIWIERHHSLAFMMDMECLPSNRLMNIKFKTWPAVARYCANHLHIELLKQPAFHRNLAHPIERTFFRMDVMMRDRNAEKELSKYGGNKHWRKFRKNLCMSSIFPCFIQRPPYEGPLVDGCTACVALIRGNQIIIGNAGDSRCVLSRNGQAVALSTDHKQCLPAERQRIEKARNATVRVRGDAPQIDDGISTSRSIGDLKCKQNISLFPQDQILIAFPEVQSEEIIAESEFLVIACKYAMNSGKDCMTNQQVVDYVRIYLKAVSILPSACLLALIIMH >KQL24509 pep chromosome:Setaria_italica_v2.0:II:30927903:30929205:-1 gene:SETIT_031130mg transcript:KQL24509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLSGALAEMAWPAILSKRDTFREVFMDFNPVLVAKLNEKKFLAPGSPASSLLSEHRLRIIIENARELLKVIEEFGSFDSYCWSFVSNKPMVGSYRHTREVPLRTAKADAISQDLMRRGFLGVGPTVVYAFMQAVGMANDHLVTCYRFEECLDIKAAAAAATDGYGDSCKPAAVSEQEVSMLCGLVQCVALEPSRAATVISIS >KQL25554 pep chromosome:Setaria_italica_v2.0:II:39030672:39031502:-1 gene:SETIT_031437mg transcript:KQL25554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAKRVAQLAKKWQRMAALGRKRLSWGAAKEADECCTSVAGKGHCVVYTVDGRRFEVPLAYLTKTVFTELLQMSHEEFGFTSDGRITIPCDAAAMEYVMCLLRRSASAEIERAFLSTMAVPCHYAYCAAPSAGLSQPVVVCSS >KQL22203 pep chromosome:Setaria_italica_v2.0:II:548828:549976:1 gene:SETIT_032268mg transcript:KQL22203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPMPPPPLRVPPHLPDEVVEDILVRVPADDPARLLRSALTCKRWARLMANRGFRRRYRERYRGAPPVLGVLANLTLTGGVARFIPNPTCGFRPARDDLRGYRAHDARHGRVLLNRLPGTPAPHRDQESALAVWDPTTDQLRQLPLLKRPHQVLNWNAAVLCGADAGAACDHLDCHAGPFRVVFVGIDSERIFASVYSSEFGAWSDATSADHPGDDLDIASPGALAGNAIHFVFLRGTRVLKYDLGTRKMYHLRLPRMLAYGSRIVLMAMEDGKLGFAELWLQYTVLRLWSLELSPNGLDGAWVLGRSIELNKHLPADAFLPGSMPCVVAFADGAGIIFLKMIDGLYGLDLKSARATKISMASGFYDIVPFVSFYIPGNTT >KQL23912 pep chromosome:Setaria_italica_v2.0:II:22767244:22771537:1 gene:SETIT_029719mg transcript:KQL23912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPCLGACGGGGLALSVPRRRASSSSCGVAPPRASVSCSAGGGKASPRGKENVWSVDNDRAAKEAGRGPKHRRRRRPGGRRLPPPPPRRKGKDVGSRVLVSGAMLVEVETVLQTQEPVIRPSWDTFASSLSGNWKGVGAVFSPITAEMEPVGVGSKEEYLYDCYTLSHIERSFDGDHGSEIRRKTNWVPINPFGEAEKQIASYDGGSYDASSGKGTADLPSYESFELNRSAVLDEETFAMEPGIVFFEDGSYSRGPVDIAISDYDESKYFLSPTYKFEQCLVKGCHKRLRIVHTIEFNEGGANIQIVRVAVYEEKWASPASIHVEDSDTLVDLKPFSQRRRTKPSELTGSWKVYEVSATPIFSDEMQELEGGAPFVYLCMETVKKRTLPESSVFFGEEEMLDMQDVTVLWLPGGVTAYVDISEDGVLCIGVGWYSEEGINLVMERDYGTDGRLREVRSKTEVKRRWYQSVP >KQL23911 pep chromosome:Setaria_italica_v2.0:II:22767244:22771537:1 gene:SETIT_029719mg transcript:KQL23911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPCLGACGGGGLALSVPRRRASSSSCGVAPPRASVSCSAGGGKASPRGKENVWSVDNDRAAKEAGRGPKHRRRRRPGGRRLPPPPPRRKGKDVGSRVLVSGAMLVEVETVLQTQEPVIRPSWDTFASSLSGNWKGVGAVFSPITAEMEPVGVGSKEEYLYDCYTLSHIERSFDGDHGSEIRRKTNWVPINPFGEAEKQIASYDGGSYDASSGKGTADLPSYESFELNRSAVLDEETFAMEPGIVFFEDGSYSRGPVDIAISDYDESKYFLSPTYKFEQCLVKGCHKRLRIVHTIEFNEGGANIQIVRVAVYEEKWASPASIHVEDDTLVDLKPFSQRRRTKPSELTGSWKVYEVSATPIFSDEMQELEGGAPFVYLCMETVKKRTLPESSVFFGEEEMLDMQDVTVLWLPGGVTAYVDISEDGVLCIGVGWYSEEGINLVMERDYGTDGRLREVRSKTEVKRRWYQSVP >KQL23122 pep chromosome:Setaria_italica_v2.0:II:8507697:8511477:1 gene:SETIT_031992mg transcript:KQL23122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQVTCASLCAGTLLIAWLLHWVYKWMNPPCKGTSPPGSMGLPIVGESIQFFKISYSLDIPDFYKLRLKRYGPIFKTSLVGQPLVVSADPEVNRFIFQQEGKLFRSWYPEAANIIIGEETIDGFHGPPHKFIRNSIYKLFGLEYLKHNLLPELEAAIRDNFAEWATKYVIDVHDSTPDKLVNLDPSESRELTKNYSAFLQGLISFPLYVPGTTFYRCMQGRKNMQKIMSDLLSKRLTRPDVKHGDFLDLIVEELQSGKPTIDEKFATDALVALLFTSFVTLAPILILAFKFLGNNPKVLKALKVVNELTRMSNATPGVFRKTVTDVQLNGYTIPTGWMVMVCPMAVHLNPEVFEDPLTFNPWRWQDESQRSTLLKNFMPFGLGIRTCPAADFSKLFTAIFLHVLVTNYRWKEINDGEVARMGVIIFPNGYKIQLLRSQGPDLPSVY >KQL24631 pep chromosome:Setaria_italica_v2.0:II:31841397:31842968:1 gene:SETIT_031690mg transcript:KQL24631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSSLFYLNLTQHFQATLLRLPGEARCYPSVCFGSPRRIQMLSRLPRAGLQALNRARPGQNSVPSRSHEVEAISTYMIRAVS >KQL26118 pep chromosome:Setaria_italica_v2.0:II:42452869:42455458:1 gene:SETIT_029220mg transcript:KQL26118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAHCYLHLALTAAAVLLVVPLAAAYPWQVCGTTGSFTANSTYQANLAVLAAALPTNASSSPDLFATAVVGAVPDQVSALALCRGDTNATACFACLVTAFMDVQNMCAYDRSAAIYYDPCILYYSNLHFLSSDDNVPAASTDRINLRNVTSEPARFNRLVGALVNATADYAAYNSTRRYAAGEASFDQEYPKVYSWAQCTPDLTPAQCRGCLAGIIARMPSLFTDRVGGRFLGIRCSYRYEVYSFLNSPVTVQLAESGSAGAPAPAMEPTTVTPAVTGGDPTPAAGTENIEIIESMIIDISTLRAATGDFAESNKLGEGGFGAVYKGTLPDGDEIAVKRLSRCSAQGVGELKNELALVAKLQHKNLVSLVGVCLERHERLLVYEFVPNRSLDQILFDGERREQLDWAKRCSIIHGIARGLQYLHEDSQLRVVHRDLKTSNILLDMNMNPKISDFGLARLFGRDQTQGVTNHVVGTYGYMAPEYLTRGSYSVKSDVFSFGVMVLEIVTGKKHNGRHDDDGRRSPAQDILSFVWEHWTAGAVPEAIVDPRMGTGFSRSDVLRCVHIGLLCVQEDPADRPVMSSVVMMLGSDTVSLRNPSSPAFYGRNRISPTSSTSSGEYTAR >KQL22356 pep chromosome:Setaria_italica_v2.0:II:1951845:1955090:-1 gene:SETIT_032804mg transcript:KQL22356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWSGFWGARAVLAGGDAYRGGGTPVVVKMENPNWSISEIDADDEDGFLAGYGAGGRRRRRRGKNAKQITWVLLLKAHRAAGCLAWLASSAVALGGAARRRVAAGRTDADAADAEEEVPATAPAPAPPRRSRLYAFIRALLLLSLFLLAVELAAHANGRRLAAPAAVAFGALHAAWVCFRAAYVAPPLQLLADACVVLFLVQSADRLVQSLGCFYIHLKRLKPRPVSPALPDAEDPDAGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPRSNILVQVLDDSDDPITQALIREEVEKWQQHGARIVYHHRVLREGYKAGNLKSAMSCSYVKDYEYVAIFDADFQPYPDFLKRTVPHFKDNEELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGMFINFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPDWVVCYIPALMSFLNILPSPRSFPFIIPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKSGRSSDGDLALLSPKDHREQQQRSLTSPVAAGARKQPAAAPVEKKKKEKYNRIYKKELALSLLLLTAAARSLLSKQGMHFYFLLFQGVSFLMVGLDLIGEDVK >KQL22499 pep chromosome:Setaria_italica_v2.0:II:3162135:3164209:-1 gene:SETIT_032865mg transcript:KQL22499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDEAEAQPVQTTCAGCRTLRRRCVPGCVFAPYFPAEGDDTSRFAAVHRVFGASNVARMLEDVSLPSDRHRAAETLVEEARARVRDPALGCVSYVAVLQMLNEKAREQVDAVREEIAGELGAGAAAEPVDVAAAEPAARVEASAQAERALAHARERDAELLAVRNAADLEWWRQQRQAEKHAAGGRNTNEQVAETENTAAAAAAKGESSSEQTMVMTQAEAGTELDSTEGNGYPRQQMAETEEPAPAAVAASERAMLTPPAAAVDQRHHLAAPHAGTEPSIPGIGHLQQKVLEDKQSSTAAAEAAREEAMASGQAPAAAPQHHESAATQLAGAGTGFLDGEQWAAAEALAKELDTMRRRYAAAQQHHHPAAAHYASMGLHVTPRLQKPPHQQAAPAAEVASGQDSMATLAQQIAEAEAEAAAEQDMMMQLLAAGAPQYGNLAAQYDVDAELDVTLVHGHQDMRQQMTELGTLGHEPPQEIVQQLAAAAKVAMEQRIMPQIATAELAGEQETIMQQFAAAAELAREKELIVQQARQQEMAMLLQASAAPMAQYSETELGVSLGHQPLVQQLLQEQQLADAVGVVGEPNTTTVVQHVAAYADAEHGRDSGATAAFRPPGLSEAAPFLVEQSLQGQTARVLGLQMGSSLPPLGQPHAQASQQQGTSVF >KQL23543 pep chromosome:Setaria_italica_v2.0:II:14729692:14730261:1 gene:SETIT_032124mg transcript:KQL23543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNKHTLIALLVVFAVVAPSLPPSAAARDGGAAKAAAAPAPSASGKAVHPMDLFDDLIHDLIHFDLPLPQILPCPPVFPKIPFIPCYNYTPPPPVMECRSSLAKYMPPCSGFLTDAGVPFDRSSTNCCGVIQHFFDDRSTSPSCLCHVLNGDADGILHAPVNHTRALSLLDVCGYAMTPELFPKFCDY >KQL24945 pep chromosome:Setaria_italica_v2.0:II:34768795:34771790:-1 gene:SETIT_029057mg transcript:KQL24945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLFATEKLGGRSLYRFQAVTVLVGICLVLCYRATHFPAAGSGAGRVAWLGMLAAELWFGFYWVITQSVRWRPVRRRTFKDRLAARYGEQLPSVDIFICTADPQSEPPSLVVATVLSLMAYNYPPEKLNVYLSDDGGSILTFYALWEASAFAKRWLPFCKRHNIEPRSPAAYFAELEEPRDPSISKEWSFIKGLYDEMTERIDSAVRSDNVPEEIRVNHKGFSEWSTGITSKNHQPIVQVLIDGKDRDAVDEEGNVLPTLVYMAREKRPQYHHNFKAGAMNALIRVSSVISNGPIILNVDCDMYSNNSDAIRDAMCFFLDEEMGHKIAFVQHPQNYNNMTKNNIYGNSFTVLSHVELRGFDGVDGPLYIGTGCFHRRESLCGRRFTNDYKEDWDRGINKEKRELSINKIEEKAKLLTTCTYEHNTQWGNEIGVKYGFPAEDVITGLTIHCRGWKSVCNNPTRAAFVGVGPTTHAQTMLQHKRWSEGNLSIFLSKYCPFIFGNGKISLQHQMAYSVYGLWAMNSLPTLYYVIIPSLGLLKGIRLFPEITSPWIMPYIYVSVVKNIYSAYEALLYGDTLRGWWNGQRMWMIRRITSYLYGTIDTIRKLLGLSKMRFEVSPKVSDEDESKRYEQEIMEFGTWSTVYVIIATVALLNLVCLVGWLCQILTSGGRNMPLNGFCLQVVLCGLLVIINIPIYEAMFLRKDRGRIPFSVTLASVGVVMFALSLYHYFEV >KQL22380 pep chromosome:Setaria_italica_v2.0:II:2153265:2153563:-1 gene:SETIT_031702mg transcript:KQL22380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPQARRPDVPPTSDPPRHRLQTHVRPASAAARRRALVRAAVAAMAPSHRQGRVPRPRLHITAVVSLTTPAAAMPPAAYHG >KQL25042 pep chromosome:Setaria_italica_v2.0:II:35558344:35560937:1 gene:SETIT_030622mg transcript:KQL25042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLNTLSISWRCCTLWNMYSESSQLPGRLTQRVDLWNTSCSQALRHHDRIGSVKKNINSSHLQTTACFDSLGRQSQCRQPTRSHILNVKSDILSHHKFATISWRLGSMPRKIGGIASGQGFAVSGMASAEGPVDNEVDSTQPAESSANLSHGKKVYTDYSVTGIPGDGRCLFRSVAHGACIRSGKPIPSEDLQRKLADELRTMVADEFIKRRAETEWFVEGDFDTYVSQIRKPHVWGGEPELFMASHVLQMPITVYMHDKEAGGLIAIAEYGQEYGTEAPIQVLYHGCGHYEALQIPGKGGPRSRL >KQL24468 pep chromosome:Setaria_italica_v2.0:II:30404707:30405333:-1 gene:SETIT_032782mg transcript:KQL24468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEVEASSQIGGEEAGEGDRATVAYRRDDGGGDSTWTAEGRRYLDGGMGGGGAWTEAGQWGGDASARESGTAAQILEVGRSRPTKKEGIGWSSLANELPRFDWPVTGGTCEPPTRGKRRNRRGLAFPKGPLASQNNLPSITNNTIYFDSEDLYPVVMYSVSSGTSELLSRFSIIHDYKRRIRPSVRPFTLADHLFTFYNHRCW >KQL25518 pep chromosome:Setaria_italica_v2.0:II:38834344:38835654:1 gene:SETIT_032588mg transcript:KQL25518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDRRLYPSTLHPPDLSADDDAGAEENLPWFLLELKAYVAKRDNATTAFSRTWDGKRIQVTLCPRRPPRVSYIHVEPRILAAEDDLAVLAITVGPQKDVDKNLDCYVYQVDDDGSGRPSLTHIPRPPRPYFFGANDTGVLRYRTDDEHTAGRREYIVAALYRAPWGLPAGQFDLCLSDSKRGYWKVHNVNFYHKNCKVVAIGGDAGTMAFVDLWWGIIFCDVLRVEGPLLRYVAVPEPMQNNKTLDDDARLYRDIAVVGDRLKYVELQLHWKACAHFSKSYFSDGWMASVGRPLDTEIMDSTDVKVHGKLVPKVWRDQGMALSPFRDLDACQPVLGLQEDADIVYFTTKLNRWDADAWVVAVDMRKRELLGVDAFAAQRYVGINFYVHARISKHLMNPETARD >KQL24701 pep chromosome:Setaria_italica_v2.0:II:32325640:32332334:-1 gene:SETIT_029118mg transcript:KQL24701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRRRKQPQREQPPPPSDGNGSDHDDDDKGKKASASSSAAPESKEATRRTKAKWSCVDSCCWLVGCVCSAWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLQKEGLRVKHPVVFVPGIVTGGLELWEGHHCAEGLFRKRLWGGTFGDVYKRPLCWVEHMSLDNETGLDKPGIRVRPVTGLVAADYFVPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSFQNTEVRDQTLSRIKSNIELLVATNGGNRVVVIPHSMGVLYFLHFMKWVEAPPPMGGGGGPNWCEKHIKAVVNIGGPFLGVPKAVAGLFSSEAKDVAVARAIAPDVLDSDFLGLQTLRHLMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEDGFECKAKKQKGNDTGVSSDINVEDVEVEPEPINYGRLVSFGKDVAEALSSEIERIEFRDAVKGNNIAHSNSSCRDIWTEYHELGWGGIKAVADYKAYTASSIIDLLRFVAPRMMQRGSVHFSYGIADNLDDPKYQHYKYWSNPLETKLPNAPDMEIFSMYGVGIPTERAYVYKLAPQAECYIPFRIDTSAEGGDENSCLKGGVYLADGDETVPVLSAGYMCAKGWRGKTRFNPAGSKTYVREYNHSPPSTLLEGRGTQSGAHVDIMGNFALIEDIIRIAAGATGEEIGGDQVYSDIFKWSEKIKLKL >KQL22521 pep chromosome:Setaria_italica_v2.0:II:3305203:3307586:1 gene:SETIT_030221mg transcript:KQL22521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSKHHQESNIWGRSYGRGFFDVVPIFLLLVLAVISEVRDIASHMFSSWTKVALMCRLLKQTTSQHSLPKNKWIGLLLRRRCKLMRYWDEKMGQCLVLGLQPGTTPLVFLWRLLRLPDQKTKVKVPEAVKVSIIHELRRSKNGCLSDGTSSLRRSQAGDNLLWACNNKDTSDTILTWHIATSILEVRYPNRHDQEHVSPLTCNSDDKIVATHLSRYCAYLVTWYPELLPDNDAWSKSLYEDITREVEPALTSHIASAAMSTPLAEYEQLVDHLLCANTKHEVLKNGARLGKELAELIEDEETAWKLLASFWSEMILYVAPSDNLKGHSEAIARGGELITLLWALLTHAGIVCRPGEDRCPDAAGATTTV >KQL23120 pep chromosome:Setaria_italica_v2.0:II:8481782:8484925:-1 gene:SETIT_032739mg transcript:KQL23120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPAAAGEEDLLEVRCAGCGETLEVERGLTEFICPDCSTPQALPPELMPPPPRRRRALPIPPALAPVPPPSPAARLPCGSCGSMLAVPPGLARCGCPVCGAELAVDPARLRQYLLSTAAAPLVPVSLPPVFRALEALVEFPDAGTDSDDIDTEMSNEINEMPCHRNGFPVASRTVGAKAHAQQSDHSVHAEHPSDHTIHVGETQNESANHGIHRGLGHVELIKEKPVGRHTNQVTGTAIGPKVVSVEKRQVQTLKQITRDRLQKTSPPKLPSPIEQDPEHSSDNIQAEQDEAEVGHQVTARLARGKEKRKRGDRGPNLCLKVWTMPEGVRIRVSFNDLGQPIGDEARTLSSFLGQIARDGTVAPLTYTDWRFFPEKNKQAMMHLVNHKFVLPPIGQIWSMSALGKKWKDWKVVLKHERYDAHETDAERLADRDFRVPEEQWKLLVAYWGTEKAKALSARCKASQEQHPCRNHPRLGSKSYARIQEEE >KQL26635 pep chromosome:Setaria_italica_v2.0:II:45501434:45501904:-1 gene:SETIT_033767mg transcript:KQL26635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAWQFALLLLNLPHAELSIRAGLLACTTHRIETEFRGQRLVRSRIVARSMSNAD >KQL27263 pep chromosome:Setaria_italica_v2.0:II:48892394:48897674:-1 gene:SETIT_028930mg transcript:KQL27263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKRRAMPPLSDLAKPDKILAGIRIDPSSNTRSRMSFYTGISIHLLMDDGTLGPEKAVNGYNDNAKINFVGWSPDGQHVAFTVRYEDEVDNGSNLALWIADAESGKARPLFKSADIMLNAVFELYVWVNESSLLVCTIPSSRGDPPTKPLVPFGPRIRSNEQRNIIQMRSTKEMLKDLHEEELFDYYATSQIVLVTLDGTVKMIALPAIYISLDPSPDGKYLILTSVHRPYSSIVSYKRFPKKVELWTVEGRFVREVCDLPLAEDIPVAANSVRKGKRLIRWRPDMPSTLFWVEAQDGGDANIEVSPRDIVYMEHAEPLDGEKPQVLLKLDLRCRKTYWCYGSFALVYEYWYKTRITRTWVISPDLKNHRRRLLFERSSEDAYSNPGSPVMCRTPAGTTVIARIKRNCEGSYILLKGRGATPKGSTPFLDLFDVNTGEKERIWESDKEKYYESILALISYHPKCEVQLDQLKLLVSKESRREITQYYIKIWPNKKQVQITNYPHPYPQLALLQKEIIRYQRKDGVKLTATLYLPPGYSPSEDGPLPCLIWSYPGEFKSKEAAGQVRRSPNKFARISNNFPLLWLARGFAILADPTIPIIGEGNQEGNDRYIEQLVASAEAAVNEIVRRGVAHPDKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQKEMRTLWEATDTYIKMSPFMSANKIKKPILLIHGEDDSKVTTAMQSSQFYDALKGHGVPCRLVILPFERHQYAARESIMHIIWETDRWLQKYCATNPGNIKDMETNVDTSQSPNDAVLDHEALTLNFTKISSLIKLIRLAFAQIVFRKSKNNMQ >KQL24777 pep chromosome:Setaria_italica_v2.0:II:33054012:33055773:-1 gene:SETIT_030056mg transcript:KQL24777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMERCGSWECDAAAQAAAQKAVPAPFLTKTYQLVDDPATDHIVSWGDDRVSTFVVWRPPEFARDILPNYFKHNNFSSFVRQLNTYGFRKVVPERWEFANEFFRKGEKQLLCEIHRRKTSASTASPSPPPFFAPPHFPLFHPGAPHHHPFVGGDDGVAVAAAHGMGVAFPHPHWREPHAAAPVATRLLALGAPPAPSPLLPTEGAGGAGSGRAATAAVLMEENERLRRSNTALLQELAHMRKLYNDIIYFVQNHVRPVAPSPAAAAFLQGLGLQARSKKPATPAVAAGLNNSGGSTTSSSSLTIAEEPSPPPQHADKSGGGGEAGSSGTARSAAAAAPTMLFGVRLSADTSGAGTKRPASPEEVDEPATSHARKPRLALEGADLSLSVAAPSAASSPASTS >KQL23088 pep chromosome:Setaria_italica_v2.0:II:8322000:8324755:1 gene:SETIT_032574mg transcript:KQL23088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRASPPLLLLLAAAALAVFFFSHAAAIHTGASLDAAAAAAASDGDGTKVYVVFTERQAAPAELSSERVASFHHDLLTGVIDDSSSAPDRVVYHYSRTLHGFAARLTDDEKNRLAGKDGVISIHEKVVYRPQTTRSWDFLGLPHRNDPTRLPFESDVIIGMVDTGIWPDSASFSDAGLPPPPAKWKGVCSRNFTSCNNKIIGARSYRDGNTTLSVLDNEGHGTHTASTAAGRAVPGASLGGLAGGTARGAVPGARIAVYKVCWEDGCSSEDILAAFDDAVADGVDVISASLGSGIPYDYAADPMAIGAFHAARSGVVVSVSAGNSGPELGTVCNVAPWTISTGAALTDRRIVTEVALGDGRSFEGSAITVFPHLGKPSLLMDPGSCDDDNLAGKRYKGAVLLCGNGDFGSSSAMAATGADGAIAYRFMDHDMDTAFSFDLPLAIVKQKEYEHIADYYNSTRHPVATIKKSVTVKDAAAPAVAQFSSRGPNMITYGVLKPDLSAPGVDILAAWSPEAPVSGSTDDKRRAKYNIISGTSMACPHVTGAAAYLKSAHPGWSHAAVLSALVTTATPMGSGEPEAEHAYGAGQVDPLRARYPGLVYDASEADYVAFLCAQGYNSSQLATVTGRSSASCSDEARGGAVGDLNYPTIAVPVLNYGVGFAAEFPRTVTNVGPADSVYRATVTTVPGVDVTVTPDELAFSAGTKKLSFKVSVSGKLLPANGTMGASAAIVWSDGRHHVRSPIYVFPHKHVM >KQL26082 pep chromosome:Setaria_italica_v2.0:II:42242045:42242914:-1 gene:SETIT_031232mg transcript:KQL26082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGVPHLHLELHRGGEQQPHPDGPSRELAGEPTPRRRVRRVGPRRGELPEHGGVALGRLVEWLHDGALGHEHVAVVHRCHDLLVVDADLLVGVVLADGEAHRQVGQAGLAVGIRDGEVGEVEPGAVRPEAQPQHEEDDAGDEDQRQHHRAQEVDAPDHRALPVVQRQPVRRHRRRVGGAR >KQL22299 pep chromosome:Setaria_italica_v2.0:II:1487660:1489441:1 gene:SETIT_029647mg transcript:KQL22299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMVSSAVVHEAVSQILSDLINRHEGKEKSKANENLERLEMAHIKLEAALETSEKWQITGASLLRWRKKLKRAAQECDDTLHRCKNRILEEEQIEQEVRNSAFPKRIAHATRSLISSAFGHDNQLRTSIVRRFEWYADGAGDFLRFIELGCTPHCHMPFNPFIKNFFAGKKLQHKIDQGNGSSFFILWLPFITAEHRVEVSLVFIQKDGNAPENNFYFSIMLQLSESTDIVGIAMKCLQLFTPLFKSKVEVIRNKIMKLPAQDFSWVPFVDSRQKEHWDNLWLRPDPSCCKKIDQHEAHHCSNTDTSDVSLEPVIEAHLECQVSLDGGEICLQDSEYLIAGLLFMPHGSSENLSPGDKSSAIAVNHSEEQHCMHRDITLTQLEEITLAKAVEYFRQNTDALVYQMLWKSKQGTAYIHVVKGSTKMQSTRRMIRGGKIVQQQERDHVVPQFLNLWVGHAPIRLQGSIVDWIQKEGKNKLAFSPLRLKL >KQL27207 pep chromosome:Setaria_italica_v2.0:II:48551345:48553871:-1 gene:SETIT_029246mg transcript:KQL27207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPEPRLVRSLATTASASPAAPVPPPPPLPASKPPRPAAPFVAVLLRRGRAAAALLLNRRLREAPAPEARSLLTALPGVRDTVSYNTVLAALCRRGGDLPAALSLLRDMSMEPDPGARPNAISYTTVMRGLCAARRANEAVSLLRTMQARGVRPDVVTYGTLIRGLCDAAEVDGAVELLDEMYESGVEPNVVVYSCLLRGYCKSGRWQAVGNVFEEMSRRGVEPDVSMFTGLIDCLCKAGKIGKAAKVKDMMVERGLEPSAVTYNVMINSLCKEGSVREAITLKKEMVEKGVAPDVVTYNTLIAGLSGVLEMDEAIGLLEEMIQGDVLVEPDVITFSSVLHGLCKIGRMFQAVKVREMMAERGCMCDLVTYNCLIGGFLRVHKVKMAMKLMNELASSGLEPDSFTYSILINGFSKMWEVDRAETFLCTMRQHGIEPERVHYIPLLAAMCQQGMMERATILFNEMDKNCGLDVFAYSTMIHGACISGDKKMAKQLLKDMLDEGLTPDAVTYSMLINMFAKLGDLEEAETVLKQMTASGFVPDIAVFDSLIKGYSAEDSLHDGYSANHTLASGDCWDMSAYLCLHSILKIYKYMSSLMVMRMSKLAVQVQHAF >KQL27264 pep chromosome:Setaria_italica_v2.0:II:48898999:48899872:1 gene:SETIT_031791mg transcript:KQL27264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNSSSSQRSSARVGFEGVGAAGLGFGAEVIVTGGEGEEVEREMGGGGERSTPSSPSSSS >KQL22516 pep chromosome:Setaria_italica_v2.0:II:3244340:3245509:-1 gene:SETIT_030493mg transcript:KQL22516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASPEPEPHVVEDCRGVLQLMSDGTVRRSAEPAFPVEIPDGDCGVEWKDVTWEPEHDLNVRLYRPRRHLASGGANDARILPVVAYFHGGGFCIGSGRWPNFHAWCLRLAAELPAAVLSFDYRLAPEHRIPAAQEDGAKAMSWLRATADPWLACAADFARVFVAGDSAGGNIAHHVAAAFGKTGLSPSIRIRGAVLLAPAMAGEARTRAELECPPGAFLTTETCDKYCRLALPGSATRNHPAISPAGPLAPGLEAVEMAPVLVVAGERDVLRDRNAEYARRMVEEWGKDVEYVEVAGVEHGFFQRDPWSEGADEVVRLVRRFVVEHTD >KQL25134 pep chromosome:Setaria_italica_v2.0:II:36240868:36244750:1 gene:SETIT_030184mg transcript:KQL25134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYQDAPGGGGGGKLSLASVGFAGPGAGSGGGGYKELLVMALPKDDGLDGAKVAEVIGVGMPDVAGAVRNILGRREFREFASGALAGAMSKAILAPLETIRTRMVVGVGSRHIFGSFVEIIGQNGWQGLWAGNTINMLRIIPTQAVELGTFECVKRSMAEAQEKWKEDGYPKIQLGNLKIELPLHFLSPVALGGAAAGIVGTLACHPLEVIKDRLTINREVYPTISLAFSRIYRTEGIGGLYSGLCPTLIGMLPYSTCYYFMYDTMKTSYCRLHKKSSLSRPELLLIGALSGLTASTISFPLEVARKRLMVGALQGKCPPNMIAALSEVIQEEGLLGVYRGWGASCLKVMPNSGITWMFYEAWKDILLADKDKSKQRV >KQL23953 pep chromosome:Setaria_italica_v2.0:II:23455299:23456802:-1 gene:SETIT_033036mg transcript:KQL23953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPSRIHKGGMINDCHDITNNDNLMSRKEPSSEEKRKVHNDNGSEGENPTHWKITKRKRKYIEINGDDIGNYSPDGINRLASNDDILNDCLDEVSRPLVADCLKKECYCCSNPIDEPVWSGLLNIGNKEYMPLSGHLSTKSSEKVRNLSKSLSCVVQMAKLSRPDKSHDQLLEELMENDLALPAIIGDTEILMFPSTILLKRCQTFQMKHYLWGVFKPKEVEGKQSAAQHQPDHTTGATAFAANATATGISTDAARVPPGPTGTDASSMGAPPGRMLPFVVKENPRLEQLIQEMRREGALVMQGEMMTTGSAWPGNIATVMQSGQPSKT >KQL25975 pep chromosome:Setaria_italica_v2.0:II:41677877:41678542:-1 gene:SETIT_033196mg transcript:KQL25975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMTVPSAAMRRLRREGLEISRRRAALVPTGGVAVAKKPSPPPQHTYVLALPAPLPRSNPAPCAASSRSHRTAAPTAEPAEVSSSKCIGKGAQVRVRTRVGTARSTGQPIVFWLRAVVDSAADMDGYLHVTYSYTNGKLPRVARVAPRDIIRLHDVPTPAAPSPATALRARHGRIRLLPPPRPTVAGKKLPLLKKFEKEMKSRSKTITGCW >KQL24240 pep chromosome:Setaria_italica_v2.0:II:28056937:28058010:1 gene:SETIT_032051mg transcript:KQL24240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRNLLLPLLRASPQIPSPIHHGDCRLLLSTSAAPFSLEDYLPKASKLSARAFNELSSAHHHPRFDPDAVLVLLSSIGLSRANIADVVAVDPLLLRCKVDRLEPRLLALRDRVGLSVPQIASFLAVGSRAVRSCRDVGSKIQFFVNFYGSFEKLLLVIKKNSSLNHLTSDLGRVCGMSDHDIAHLCSRSVRILSFTLEHLEEVVLRVEELGLTRSSAMFKRAVEALAQTTKEKYTARIEFLKNSLGCTESEVATALSKMPRILEISEQNLLRKIQFLVNEVGLEPQYILERLVLFTYSLEKRLVPRHHVIKVLLSKGLLNNNFSFYTIAKMERRLSI >KQL25951 pep chromosome:Setaria_italica_v2.0:II:41485266:41485580:-1 gene:SETIT_033178mg transcript:KQL25951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPQILHSPHPRLAAAASPSRAFPRAPRLHASASRRGSDRGRLATAAGASGSGPGPSSPEPYPPESDDGLVELPLFPLPLVLFPDATHALHIFELRYRIMMHT >KQL27213 pep chromosome:Setaria_italica_v2.0:II:48576433:48579669:1 gene:SETIT_029093mg transcript:KQL27213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNTAIVTSDPLNWGKAAAELTGSHLDEVRRMVAQSREPIVRVDGSRLHVGKVAAVAAAKDASGVAVELDEEARLRVRSSSEWVLSCIENGGDIYGVTTGFGGNSHRRTKDGHALQVELLRYLNAGIFGTGSDGHTLPSQVSRAAMLVRINALMQGYSGIRFEILEAIAKLINTGVSPCLPLRGSITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIKGGFFKLNPKEGLAMVNGTSVGSALAAMVCFDANVLAVLAVVLSAVFCEVMNGKPEYADHLTHKLKHHPGSIEAAAIMEHILDGSSLMKHAKEVNAMDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKAIEREINSVSDNPVIDVNRGKALHGGNFQGTPIGVSMDNARLAVASIGRLMFAQFTELVIDFYNNGLPSNLAGSRNLSLDFGLKGVEIAMASYCSELQYLANPVTNHVQSAEQHTQDVNSLGLISARKTAEAVEILKLMSSTFMIALCQAVDLRHLEENLKSAVKNCVKTVALKVLTTSPDGEHCSARFSEKTLLAAIDRKAVYSYCDDPCSASSSLMMTIRAVLVDHALANGEAENEARAPIFSKITKFEEELREALPREMEKTRVAFETGTAPIGNRIKESRSYPLYRFIREDLGAVYLTGEKLKSAGEECNKVFLALSEGKLIDPMLGCLKEWNGEPLPIC >KQL23634 pep chromosome:Setaria_italica_v2.0:II:15593265:15597220:1 gene:SETIT_029321mg transcript:KQL23634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASRKSSHVTTKDNAETARDIISTSSQIQSMKVPDAVAAIAQAAAKANDLPGWPLFSPPKVQLDKCTKCSREFCSSINFRRHTRVHRRTLKVDKDFPKNRDHLAAFWNKLTVDDASTILSLSDVVVEGVTGSSILTALSSWMCKPGYASLPMAYARAGSELLDLIQTKVSMQLPVSSNELFSVLDEASEKTFLCTNTAACIQKFLFDGEADKIATELKNVVACASYMLEQKLVEAWCAEKAAEALRCQKLLVEEEEAAQKRQAELMERKRMKKLRQKEQRLKDLKDHDVAIQLPKIMDDATCYPGIQSFKAISDPDLHEQEGSQYIQFPPPVTSETDNGFNANLLVEDVSCDSGPVMDKGAVLRPQVISRHHLGRTEKLAENSIISGSAVASKQLALARSSNYRDPNVCSSPNRNKTWARKVQAEIEKQCPKHGLDVDDEHNMAPSKNSRVLIGSISVAIEDGSEHLKDFRSKDDPVTPSTKTVKHASVKMMRPVTHVENKNEGIPHSDGNSVPAEEKHSCFSGITDEKSYSTCRSADLAEGEHLRRTVFSSKEATAFLSQRWKEAIAADHVKLVLCHDK >KQL27181 pep chromosome:Setaria_italica_v2.0:II:48314022:48318560:1 gene:SETIT_029907mg transcript:KQL27181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVARLPLPLLTVSALLLLLSPPPPAADARVPPPPRIQPLATATLRRLYDTSNYGKLQLNNGLALTPQMGWNSWNFFACNINETVIRETADALVSTGLAELGYNYVNIDDCWSNVKRGKKDQLLPDPKTFPSGIKALADYVHGKGLKLGIYSDAGKFTCQVRPGSLYHENDDAMLFASWGVDYLKYDNCYNLGIKPQKRYPPMRDALNSTGRQIFYSLCEWGEYDPALWAGKVGNSWRTTGDITDTWKSMTDIADKNNKWASYAGPGGWNDPDMLEVGNGGMTFAEYHSHFSIWALMKAPLLIGCDVRNLTSETLEILSNKEVIQVNQDPLGVQGRRILGEGKYGCREVWAGPLSGNRLAVALWNRCSEAANITMKLPAVGLDGSAAYSVRDLWKHETLSENVIGTFGAQVEVHDTKMYIFSPAVSVASI >KQL24043 pep chromosome:Setaria_italica_v2.0:II:25135419:25136741:1 gene:SETIT_032640mg transcript:KQL24043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGCEYKRRRWYVRYVGESNGAGPVPPALPVPLCRCGAQAEVKQSRHPKTAARAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDTRIRLFPYYSTELKPYHQFRRWVPPPPNPPRMIEEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESEKAPWPCVSSPSDRCKCGILATKGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKSRKQQEIKEKIRNKEKIGGSCRRRGSWRNKG >KQL26503 pep chromosome:Setaria_italica_v2.0:II:44802506:44804886:-1 gene:SETIT_029251mg transcript:KQL26503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMAEVSTTTGAALRGPASPAQSPVKDGDAEKLRFIEEMTSDVDAVQERVLEEILTRNADTEYLIKCGLAGATDRATFRAKVPMATYEDLQPYIRRIADGDRTPILSGHPVSEFLTSSGTSAGERKLMPTIEDELNRRQLLYSLQMPVMNLYVPGMDKGKALHFLFVKSETKTPGGLAARPVLTSYYKSNHFKNRPFDAYNNYTSPTAAILCADAFQSMYAQMVCGLCQRHDVLRVGAVFASGLLRAIRFLQLNWEQLAADIEAGALTPRVTDPSVREAVAGILRPDPELAAVIRAECSSGDWAGIITRIWPNTKYLDVIVTGAMAQYIPTLKYYSGGLPMACTMYASSECYFGLNLRPMCDPSEVSYTLMPNMCYFEFLPMDAAASGGDVSQLVDLARVELGREYELVITTYAGLNRYRVGDVLQVTGFHNSAPQFRFVRRKNVLLSIESDKTDEAELQRAVERASALLRPHGATVVEYTSQAYTKSIPGHYVIYWELLAKSPDAGAAVDRETLDRCCLEMEEALNSVYRQSRVADGSIGPLEIRVVRPGTFEELMDYAISRGASINQYKVPRCVTFPPIIELLDSRVVSTHFSPALPHWTPGQRNDD >KQL26385 pep chromosome:Setaria_italica_v2.0:II:44067125:44069128:-1 gene:SETIT_032347mg transcript:KQL26385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVSFVVVLLLLHVAASAAADGGRFVYHGFAAANLTLAGHAAVTLGGLLELTNATRQAKGHAFHPEPLHLLISKPATAANGTAAPRSFSTCFVFAIVSPVHDGLISDQGLAFVVAPTTALSAANGGAQYLGLNVINDGSASDHFLAVELDTIMNPELGDIDSNHVGVDVNSLVSQRASSAGYYNDRAGGAFQELRLNSREPMQVWVDYDGQARQLNVTLGPGRVPKPKRPLLSMNIDLSTVVADPAYIGFSSTSSIMLTSHYVLGWSFCLDGPASPLDFSKLPFLPPVGPKPRSKVFVVALPLAIALLVIAVVAAVFLILRRRRRYAEVREDWEDEFGPHRFSYKDLFHATDGFKDSNLLGVGGFGRVYKGILAPSNLEIAVKKVSHDSRQGIREFVAEVVSIGRIRHRNLVQLLGYCRREGELLLVYDYMANGSLDRHLHDQQSPALSWNVRYRIIKGVAASLLYLHEYCEQVVIHRDVKASNVLLDGEMDSKLSDFGLAKLYDHGTDPQSTHVAGTIGYLAPELIRTGKATPLTDVFAFGVFLLEVACGRRPIDHDDRNSRIVLVDWVIEHHDNGSILDVVDPRLVGKYEREEVILVLKLGLICAHPLPNIRPSMRRVMQYMESSQSIPPDLSLMRNEGFDSYVSLDDLSIASIGSSSVTILLEGR >KQL26877 pep chromosome:Setaria_italica_v2.0:II:46727365:46729334:-1 gene:SETIT_029563mg transcript:KQL26877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAVPITLLLALLGSPEPVELDSTYESSSQSTELTCLSSQPNPPIYPPSSSPSSSTPPTNPPPSPLSLPAYPPSPSPLSPSIPPTYPPNTSPSPTTYPSSTSPTPSANPPAYTSPSPSPASSYAPSTSPIPIPPSHPPSASPPIYPSPSPSPSIPSPSYPTPSPSPSPSSSISSPPNYPPSPSPSSSISSPPRYPPSPSPSTAPSGLSVGYYRYSCPDAESIVRAAVKNATDGNRGTGAGLIRLFFHDCFVEGCDASVLLNTTGSTRPTERASVPNLSLRGFEVIDAAKAALEAACPGVVSCADIVAFAGRDATFFLSGNAVDFDMPAGRYDGHVSLDSAAIANLPPPFASLKELKDMFAAKGLDTEDMVTLSGAHTVGRSQCSSFSDRLPPNASDMNATLAASLTSQCNGTGDPTVPQDFVTPSGLDSQYYRNVLNHEVLFGSDAALLASDQTAGMVRDNAFKPGLWEDKFKAAMVKMGRAGVKNSTDGEIRKKCWMINQVSS >KQL24637 pep chromosome:Setaria_italica_v2.0:II:31917441:31922317:1 gene:SETIT_029394mg transcript:KQL24637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKPPGAAPARAQRAKCQPPPTQKNRSGQRTGGQKRNRMPGPHNDVTPDPAKAKISAVQRPRRPPVGPATAQARAQWDSRARHAVGQEDGPTGSTSDRAKPTWPGPATRRDASGRYIVRPVLGRSVTAPARSFLTFLPPLAFSLYRAGVEGVGETSRECARSMGSEGRGWNGAAAGSGDGVGGREEKPTTTAAVAAGAPADVPTSAASVDITLPLPEMTPRIIGLCKELVKGWSSLDSSCFSIETVSGGITNLLLKVSVKEDTDNEFSVTVRLYGPNTDLVIDRKRELQAIPYLSAAGFGARLLGIFENGVVQSFINARTLSPADMREPRIAAEIAKELHRFHQVDIPGPKEPQLWDDIFKFLKKASALKFKDNEKQKRYETISFTEIQDEVQELKDLSDLLHAPLVFAHNDLLSGNLMLNDSEGKLYFIDFEYGSYSYRGYDIANHFNEYAGFDCDYNI >KQL24638 pep chromosome:Setaria_italica_v2.0:II:31917441:31922317:1 gene:SETIT_029394mg transcript:KQL24638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKPPGAAPARAQRAKCQPPPTQKNRSGQRTGGQKRNRMPGPHNDVTPDPAKAKISAVQRPRRPPVGPATAQARAQWDSRARHAVGQEDGPTGSTSDRAKPTWPGPATRRDASGRYIVRPVLGRSVTAPARSFLTFLPPLAFSLYRAGVEGVGETSRECARSMGSEGRGWNGAAAGSGDGVGGREEKPTTTAAVAAGAPADVPTSAASVDITLPLPEMTPRIIGLCKELVKGWSSLDSSCFSIETVSGGITNLLLKVSVKEDTDNEFSVTVRLYGPNTDLVIDRKRELQAIPYLSAAGFGARLLGIFENGVVQSFINARTLSPADMREPRIAAEIAKELHRFHQVDIPGPKEPQLWDDIFKFLKKASALKFKDNEKQKRYETISFTEIQDEVQELKDLSDLLHAPLVFAHNDLLSGNLMLNDSEGKLYFIDFEYGSYSYRGYDIANHFNEYAGFDCDYNMYPDKDVQYHFFRNYLQPDKPSEVRAQDLEALYVETNTFRLASHIYWALWALIQANVSPIDFDYLGYFFLRYGEYKKQRESCISLAQGFLSELRNG >KQL25196 pep chromosome:Setaria_italica_v2.0:II:36574799:36577527:1 gene:SETIT_030839mg transcript:KQL25196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNSSVVLPAFAKLHGENSVYFMRKYSITLGRNTETSKVDLDLSELGGGRGPRVSRHHARIFYDFEKRHFALEVLGKNGCSIQGVSYLPGSDPVKLNSQDLIEIAGKQFYFLLATRSFSTTPAPGAHALLPQTPTLILPGHPGTHDNDTEDQQLLEEERNAVSALVVLMSDICSPGEWVNMENLHLEILERFGENALVRRYLTREDASVSSTETEGRPWRSLLPLLRKHPEYFVMSTVTRGEVTSEYAALVSLVSMGNEP >KQL24890 pep chromosome:Setaria_italica_v2.0:II:34435680:34439560:1 gene:SETIT_030299mg transcript:KQL24890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEARKDAAPARGKAMRRLLVALNCGMLALGAIGGPLLSRLYFSKGGHRQWLSAWLETAGWPLLLVPVVAAYVARRARDRAAPALLTPPRILLAAVGLGVATGVDDFIYAYGLSYLPVSTSAILISTQLAFTVLFAFLIVRQRLTAASVNAVALLTVGAVVLGLHVSSDRPPGVTRGQYWLGFILTLGAAALYGLVLPLVELAYRRAAGGGRAVTYALVIEMQLVMGFFATAFCTVGMIVNKDFQAIPREAKHFELGEARYYTVLVWSAVLWQCFFLGAVGVIFCVHTLLAGILIAVFIPVTEVAAVIFLHEKFSSEKGVALVLSLWGLASYSYGEWSDSRAKKKAEAAAEGQAS >KQL22825 pep chromosome:Setaria_italica_v2.0:II:5632498:5633659:1 gene:SETIT_033056mg transcript:KQL22825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTECLTPLIGMMPCMNYLTNLTVLAPPVECCDGLKSIIRNAPICLCHGMTGDMNDLMPVPIDPVRMIILPLACGAMLPLQTLFSCNIEAARARSGAAAVASGWVAVAEAGAARAARAKAAWLGLGWGGVGTL >KQL24333 pep chromosome:Setaria_italica_v2.0:II:28988726:28989655:-1 gene:SETIT_033165mg transcript:KQL24333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALNAAIGVTTVLLLLAPLLSLAQALRRGSRARRTPPGPVALPVIGHLHLFKKPLHRTLARLAARHGAVLQLRFGSRRIAVVSSARAAEECLGPHDVAFADRPRLPSGRILSYDWTTMGTASYGPYWRHVRRIAVTEILSVLRVQQFAGVHEREARAMARRLYRAAARGRVRVELKSWLFELLMNAMMGMMCARTYYGGAGEEEVSEEARWFRGMVEETMELSGGASTVWDFLPAWAWWLDVVGVGQQLWRLREGRTKFLQGLIEEQRKEMEKGAPARRTMIGVLLTLQNEDPEACPDHLIRTLCIVS >KQL27208 pep chromosome:Setaria_italica_v2.0:II:48559214:48559755:1 gene:SETIT_033496mg transcript:KQL27208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRETCILSPDLLQGKVSIEIASSDHCKIHSMRATVRQKI >KQL26145 pep chromosome:Setaria_italica_v2.0:II:42591704:42592052:-1 gene:SETIT_033465mg transcript:KQL26145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLKMRPLHCPAGSPGHPPQGCTPVHEQHMAELNLRACDHNAYHDRLSLGITGHLKKIFICNDMHRRLQRHPPNPTVFSACLGRLYMT >KQL26654 pep chromosome:Setaria_italica_v2.0:II:45581672:45584419:-1 gene:SETIT_031560mg transcript:KQL26654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALKFCRECNNMLYPREDKETRTLLYACQSCEHQEVASDTCVYKRVLRKPSGEPKDVLKDVAADPSLPRTRSVRCYNCNHPEAAFFQAPTKGEKAMTLYFICCNPSCGHRWRD >KQL24230 pep chromosome:Setaria_italica_v2.0:II:27921104:27922273:1 gene:SETIT_031459mg transcript:KQL24230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTVTLEVESSDTITNVKAKIQDKEGIPPDQQRLIFAGKQLEDERTLADYNIQKESTLHLVLRLRGGGGKGGSYPKIDLNLLQLALKYRQHKMICRKCYARNSSRAENCRKKKCGHTSELRPKKKLDAKRE >KQL23442 pep chromosome:Setaria_italica_v2.0:II:12714104:12715043:-1 gene:SETIT_031626mg transcript:KQL23442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAVAGTILGPTVNQGKMLLVNHGCHGMRGTSGSPLICHDTGGAIGVFLGTVSQYHQAVATETVIEFLKEWLVANHAIVNNDDGINDTVENCVKLL >KQL24175 pep chromosome:Setaria_italica_v2.0:II:27013698:27017435:1 gene:SETIT_033051mg transcript:KQL24175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEADAKMVVATSREDCQKLKDLVCQKDATTMLVVMSSSKQDPHEVAPATMHPLVAAAACRGNREELNFLLNREGPQEHPIPVSIFGNQVAAYSCADMEQGTNEVSLLNGVTVEGNTALHLVAANGDGEDFLKCADLIHTKNKVLLSMEDKAGLLLSTQNKKGDTPLHCAARAGKTKMVSHLIGLSKDNNIVEELLRKENNSKETALHEAVRIGNKDIVKVLLEADPSLACFPEKGSSALYLAILQEEESIAQTLYDKSEGILSYWGPDGQNALHAAVLRSTEMVKKLLDWNQKLTSQGDCNGSTPLHFMSSRSRLNTTWVIHTILWIRVPFPASLGAQEVRRLLEANPAALYQPDKCGLYPIHIAASVGNKKVVAIFIRYCPSSAGLRDSIGRTFLHVAVEKSRRNIVSFASRTRSLAWILNMQDNDGNTALHLAAQAGSLRMFCSLFGNPQVHINIINKKVQTPLDIARCRIPPGMHYHQAKSEAKIHWILKQTGGRYGGHRWDHFEGKYIQREVESKELEKLKDSTQALCIGSVLIATVAFGATFALPGGYKADDHTNGGTPTLAGRYAFDAFIMANTIAFICSSIATVGFMFSGTSMVDLKTRKVYLGTAVFFMDSSVTSLAAAFALGVYMVLVPVAHKTAVAVVVTSPLVVIFTNIEIWLKNALSARAMCSRIGIIPVFMGTIFIIPVGALFQCWPLVLIFGWAAVARNN >KQL22262 pep chromosome:Setaria_italica_v2.0:II:1085854:1087493:1 gene:SETIT_031965mg transcript:KQL22262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAGAKREKRGRLDEDGEEELVDRISRLPDGVLGDIVSFLPTKDGARTQVLSSRWRPIWRSAPLNLDIRTDDVSRILSAHQGPGRRFCTEFRYFNDDPGDPSATLDRWLRSPALNNLQEIKFHLGITMESHALPASVHRFSSTLRAASFGGCAFPEGNRASPLHLPLLKQLSLGDVRISETSFNALLAACPTLQSLLLITSWAALAIGFRHTYYRDGHTNLQQLIIKDAPYLERLLCIENGSAQVTVVSAPKLHVLALQSVYNLTHDVGSTTFQFGRTVFQRSCIPSSTTVVHSMKVLALIQPDLCLDMVINLLKCFPCLEKLYIETQNGGKKNTWCHKYKNLIGTLEIRLKKIVLTNYRGNTSHFNFAKFFVLNARMLQSMRLELFHQNPSSTWIERQNALLQIKNASRVIQFDFVAKNSFSLMLSFLFAKQVHDLSIADPFVKLRDRTTTTIKTLFS >KQL22235 pep chromosome:Setaria_italica_v2.0:II:809477:811068:1 gene:SETIT_032783mg transcript:KQL22235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMATRAKKRRLEEEEKQELVDDIISGLPDDVLGDIVTLLPTRDGARTQVLSSRWRHIWRSAPLNLDLQEPLRCTPASDISRVLSAHPGPGRRLHVSYLSHYRFDIDTMTLDGWLRSPAIDGLRELEILLGHYRLRRGLIKPLPLPPSVLRFSSTLAVARFEACVLPDCGATHWPHLKKLTLFSVTVSESSLHALLAGCSAIESLLLRDNRGFSRVKIVSPSLRSIGVSSIRGRDDDPRLQQLVIEDAPCLERLLFFDGLDIGISVISAPRLDILGKLKGVGHMLQFGTTALQGSTVASLTTVVPSVREPINIRLRKIVVAYFHFRKLHIEFAKFFVMNASVLESMTLELKHVSVGNNAWIRRQCTLLQVEKRASKGARFHFVSHKGSFGLPRAKQVHEMSKHDPFQKTH >KQL24335 pep chromosome:Setaria_italica_v2.0:II:29004514:29008215:1 gene:SETIT_030800mg transcript:KQL24335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATAAAFLARPHPGLLRIGRWGTGGPALVRGGIVALPPRLRGPRCSISLSIGGGGSASEDRGFSYEHVPVFPRYRLRDPYKLLGVDRDASEEEIRGARNFLVQQYAGHEPSEEAIEGAYEKIIMKSYQQRKKTKINLKTKLKKRVEESPSWVKALIGYFEVPSMDIISRRLFFFAFIAGWSIATSAENGPAFQLAISLFSCIYFLNDKMKNLLRASTTGFGVLVGGWIIGSLLVPLIPTFIIPPSWSLELLTSLVAYVFLFLGCTFLK >KQL25517 pep chromosome:Setaria_italica_v2.0:II:38830995:38832416:-1 gene:SETIT_030964mg transcript:KQL25517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTLTVTHSRLRPAATDSAARRRSPAGGRGLGSLAARVAKSLARGLVTCVFATVGTVLGAITGGLIGLATETGVVRGTGVGGLTGALVSMEVVDSYLAMWRSDEPAIWSAVYVLDVIWSLLTGRLVREKVDPAVLSAVESQMSAVEAPVGHGDGADIFETGGSSGMPRAAIDALPVVRFAVSGNVDAGGELTVCSVCLQEFEAGESARSLPVCRHTFHLPCIDGWLLRHASCPLCRRAV >KQL24862 pep chromosome:Setaria_italica_v2.0:II:34041538:34042470:-1 gene:SETIT_032496mg transcript:KQL24862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDDHDDGDEEMAPMPVSSSYETPAQAAGFGGGVAPPRPPGEPVPRAKAPGGGGGGRYRECLKNHVVGIGGHAVDGCGEFMAAGEEGTLDALRCAACNCHRNFHRKESPTAEGSPISPAALVAYGATPHHQFSPYYRTPAGYFHHHHGQPLHMAAAAAAAAGHAPRPLALPSTSHSGRDEGDDLSGMAGPMSAMGPLSGMSLGGAGPSGSGGSGSGKKRFRTKFTQEQKDRMLAFAERVGWRIQKHDEAAVQQFCDEVGVKRHVLKVWMHNNKHTLERAADPSTAAVASL >KQL23622 pep chromosome:Setaria_italica_v2.0:II:15521556:15524973:-1 gene:SETIT_029788mg transcript:KQL23622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLACGLPLLECVYCLACARWAWKRCLHTGESDSATWGLASTADFEPVPRMCRLVMANYEPDLSATAPLLFAPPGGYGIDPACVLRRRNYADTQGRVTPYLLYLDHAHADIVLALRGLNLVKESDYALLLDNRLGKRRFDGGYVHNGLLRAAGWVLDAECDLLRELLERYPDYTLTFTGHSLGAGIAAMLTMVVVLNLDKLGNVDRSRTRCYAMAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSILCLPCLLCLRCLRDTCIPEDAMLKDPRRLYAPGRIYHIVERKMCRCGRYPPVVKTAVPVDGRFEHIVLSCNATADHAIIWIEREAQKALDLMLEEEETMSVPSEQRMERNETLQREHVEEHRAALRRAVTLSVPDARAPSLYGTFDDDDGDDRRQQQQQPERSESFPPAGARQRMSWNDLIERVFDKDEDGQIVLRSSGFPS >KQL26357 pep chromosome:Setaria_italica_v2.0:II:43946449:43947102:-1 gene:SETIT_032623mg transcript:KQL26357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAHVARENDQSGQPARRRERRPVGLLESWVLALVFPRRTAPPTAAAVKTSRALPSTVPPAHSTPPKLIKTRAQITHHTRQRDGKNQSETSDQPGARGTEPKGFMVFSAALLAAAARAPAELCQPPGRRRVRADEVLRALLVPPARELGRLGDSLFAFFCLPLPEYYVPGSGRGGGWVARAPDAALYHRYRYRYRRSLSVSSSSSSFSSSSTSSSEED >KQL27180 pep chromosome:Setaria_italica_v2.0:II:48313590:48313823:-1 gene:SETIT_033540mg transcript:KQL27180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLHYPIGQGRLLNIIYCPAHPMANLFLIADLTR >KQL27296 pep chromosome:Setaria_italica_v2.0:II:49053741:49061234:1 gene:SETIT_028940mg transcript:KQL27296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPYALHLAVAALVGASFAAASAYYMHRKTLDQLLRFARSLDRDQRRRTRLLPDGEAHDYDLEDAEEDQDHTPAHRDHDRRTMPIPPGLPPLHTGREGRPVISPGSTKRVGAIVRPTTPKSPVPSVSAFERIEDSDEEEDLVPDAKNDAAYLASNGAVASELLPDKTSQNGELKAVPSTQMIRSHSATGSLHVPQLNPIAADILRKEPEHETFSKINITAVETPSSDEIEAYKVLQKCLELRERYIFREEVAPWEKEIITDPSTPKPNPNPFNYEHQAKTEHHFEMVDGVVHVYPNKDSKERLYPVADATTFFTDMHYILRVLAAGDIRTVCHHRLNLLEQKFNLHLMVNADRELLAQKAAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKEVFSDLEASKYQMAEYRISIYGRKKSEWDQMASWIVNNELYSENVVWLIQIPRIYNIYREMGTINSFQNLLDNIFLPLYEVTIDPASHPQLHVFLEQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAYAYYVYYCYANLYTLNKLRESKGMTTIKLRPHCGEAGDIDHLAAAFLTSHNIAHGVNLRKSPVLQYLYYLAQIGLAMSPLSNNSLFIDYHRNPFPTFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHRLKSHWIGRNYYRRGPEGNDIHQTNVPHIRVEFRHTIWKEEMELIHLRNVKLPEEIDR >KQL24364 pep chromosome:Setaria_italica_v2.0:II:29240429:29242915:-1 gene:SETIT_030849mg transcript:KQL24364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVWEPKDKLPAAAAESAGRRKKEAKRDTGRLSVVLLHGFAGDGIFTWTLQVGALAKHYDVYVPDLLFFGGSTSSTPQGGGGHRRSPAFQAECVERCAVVGFSYGGFVAFRLAEAHPGQVASVVCTGSLAGMSRSTGEAAEGRRRVVRRVPPPRRRRGAQERSELLEGLVISDEDAAAAAPCFGQDILLLWGENDSIFTMELASKFKEQLVPKAELRSISKAGHLVMLERPRVFNRCLREFLLQQQQPRTTTTTTSSEASL >KQL25032 pep chromosome:Setaria_italica_v2.0:II:35477000:35481831:1 gene:SETIT_029897mg transcript:KQL25032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPYTSFFKRHPHHHRYYYHPPAPRASGPPPAAAYSSLPFFAAHLQPPAQEAPPAPPSPPLREALPLLSLVPAASRGAAREPERRGRAAALDSDEDGDEEEEEEEGPAASSSIHHGHGQQQRLGGLFADLNAKAAGDPMDVEPGAGSGSAAGDVTVALRIGLPTTSAGAADLSGTRGRRDDGAEEEEDDEEGRNDRENGGGGEEEEEEEEGEAVAAPLGFPSTPIGRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGIQPTAMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGLKPFLCRRCGKAFAVKGDWRTHEKNCGRLWYCLCGSEFKHKRSLKDHARAFGHGHGFVGGAGAGCGGGGLDDDDDGAVSDVEHDGVAAAAARSMCPIDRAPIRS >KQL22814 pep chromosome:Setaria_italica_v2.0:II:5514662:5516252:1 gene:SETIT_033154mg transcript:KQL22814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNKAEGITNLLLKIVFPLAFPLAGAFICDLITSRANRHSSFTDSSESSFQLDQSLGSICNEEEEEEMESTRRASRRLARTGSACSTAGRLVISELARQASNAEEVMVVEATENSSEAAANKQLQDDQRMAANEIASLKLMVSALEDRACSMEAQFNEYCDMKEQESAYQKMQIMCLGMKLELLESQNQRLEAAAVEIRAAAEEFAAMKGKLDMLQSKFKKITKRSKQDSDAFGEKILALNVKQSQMARRCEEFEQAMEEMKQLTLQLQEQKAAANNERSLRNLSSGRDLVDGLEALRDRWATGMEEMIYLGWITAWLQHDLMLIDDDDDGSTVLGGSTYDGGEDVGAVLGGSAYDDDDDRKRKGVHPPEDEKKKGETMVAAAAPSNEVELCKAGSVSSSGSSSGPRRSVEVEPPASSCLGFAAAGGCSSSSRGGGGGGWSIGRPRLLRKLRGWAAAGGKAGDRGGKARCRIAGPCCQK >KQL22430 pep chromosome:Setaria_italica_v2.0:II:2531631:2533196:1 gene:SETIT_032059mg transcript:KQL22430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCRHYGNNVSPPSPPSVNGGAPSLLHYGGGHDDDDLIDGGGSSDRPAKRPRAEDHDCISKMPDDVLIGIVSRLTIRDAVATGAVSARWRDLWKQVTRISLRPRHILPPGGEGDAAAAAAAGALSRDARRLAGAASSVLRQHHGAGVDRLVLALPLAPSVHAAVLDQAMEFAASAGTKELHLSLDNGEHPASGGPPPYDFPHWRFAAAGRLRSLLLCDVSLAAAAPAAQRSFEGLARLTRLGLTRVAVDDAGVASVLSACAALTVLELSKCRQLVHVAASHDGLLVLRVRSCGGLKSVAVASSTLLELAYTGHKVDIVCRRTPAMVRLVLYVTNGCPLDCVATGGGGAALPNLRQLFLQFPSPLHALQHGWRFEGLNKIVLLFKTPWRKHVASVASLLAAAPLVKELRVEAYSHLPVPPPNKQGIQWPNRCLPKKLKSIVIGGFSGEPELMELVDFLLWRSPAMRRLTIDTHRRHAVPSNGVRCWRREETEDTLRCYYARGVLWTHLAPKIPSTVKFTII >KQL25852 pep chromosome:Setaria_italica_v2.0:II:40926322:40927765:-1 gene:SETIT_030295mg transcript:KQL25852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPPAISPFLAVSALLLLLFATSVAANGYGGGDGASSGPKGNGEQEGVYHIPAYEKPVKGLDAGFYRKSCPEMEGIVQKAVRKAIRDDYTLAASLIRLFFHDFAVQGVDASVLIDEPKKSEKYAEASRTLRGFDLIEKIKKEMESKCHATVSCADILTAAARDAATAVGVPYWPLRYGRRDGKNSIAAEADLHVPMGGKSVTDLVRFFESKGLTIFDLVVLSGAHTIGRATCGAVKPGLCKRKDKPALLDRRYGDFLRRKCGAGGDGEYVELDGETPTAFDNQYYKNLMHGRGVLDTDQKLLLDSRTGDHVRAFANQPSQLFVHQFARSMRKIGEALVRTGNEGEVRRKCSAFNY >KQL26660 pep chromosome:Setaria_italica_v2.0:II:45624519:45629482:-1 gene:SETIT_029594mg transcript:KQL26660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTGPLCTWLVAACLSAACDADEHKQKHCCPGGSGAGGGVMFGQGRERRRLGARRRGAARSGMAMSVALQAERNVIEKKKPDIKQRRVVVTGMGVVTPLGHDPDVFYNNLLDGVSGISEIERFDCSNFPTRIAGEIKSFSTDGWVAPKLAKRMDKFMLYLITAGKKALENGGLTEELRNELDKTRCGVLIGSAMGGMKVFNDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRRGEADVMLCGGSDAPLIPIGLGGFVACRALSQRNSDPTKASRPWDMDRDGFVMGEGAGVLVLEELEHAKERGAKIYAEFLGGSFTCDAYHMTEPHPEGRGITLCIEKALADAGVASEEINYVNAHATSTQSGDLKEYEAIVRCFGQNPQLRVNSTKSMTGHLIGAAGGIEAVASIQAIRTGWVHPNLNLENPEDVVDVGVLVGPKKERCEVNVALSNSFGFGGHNSSILFAPFK >KQL23774 pep chromosome:Setaria_italica_v2.0:II:18412119:18415353:-1 gene:SETIT_033259mg transcript:KQL23774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVSIYYGGTVERDEYGCVKFVGMQCEVVIFDEKPSFSELVARAREELHCHENDEITVEGILHLGSPLNIQRKMVPIRCAGQWEKYVRTVMNGHSPSVEVVVRPVFAEGRDDELLEAPEAGPSMVIEEGKVLPLLRSRAHDGFLALQYDDRYTPLLQMAGLDVISYQVRRGMPKFNSAAITALVDRYYYH >KQL24711 pep chromosome:Setaria_italica_v2.0:II:32502564:32504298:1 gene:SETIT_030509mg transcript:KQL24711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHVQGANNEDEQPMLSPIQEALNEDDGTSALEGDERVDDLEVNDPTRFHQHLHLPRGQQYLDYEQGKPFLYWSDLLEGSWELHKLHGWIMNAMKQGIQEITAHVPTKVFLGILPYQIVINFEGWHRLYHRQHLDMNLILMQWSEEELTHGRFKVAYLDPSRISEPEHKLKMTETIKAQIEAAATQAEEDAIKIKAHREEMHKVSVYIAKVMNKKASKDYIMIPYGFEDHWICIIILPKLGEAVVLDSASYHRDSATSNLPALFYVVLHATIDSNYSKIKDKQIDNIYTNMARFILCKICHEDGAFFDKDGVLMANECTNLRRWA >KQL24554 pep chromosome:Setaria_italica_v2.0:II:31252300:31254804:1 gene:SETIT_030779mg transcript:KQL24554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRLSNNLIGILNAVTFLLSIPILGAGIWLGHRADGTECERYLSAPVIALGVFLLVVSIAGLVGACCRVTWLLWVYLLAMFVLIVALFCFTVFAFVVTNRGAGEAVSGRGYKEYRLGDYSNWLQKRVENHKNWNRIRSCLQGSKVCKNLQDKKESVTDFMRSDLSPIESGCCKPPTSCGFTYVSGTDWTKTTATNSSSDPDCNTWSNDALCYDCQSCKAGVVATVKRDWKRTAIVCIVFLVFIIIVYSIGCCAFRNNRRDNAYHGGWKGGYA >KQL22749 pep chromosome:Setaria_italica_v2.0:II:5092411:5099636:1 gene:SETIT_029272mg transcript:KQL22749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKQLCDALAAAGFDGQDPLDPESLEWAFLQGDDSRRVLAWVCARLRPGNALSATDLELYEQLEMEGKLLEGEDLDFAFDSISAFSDNGENQDYTFLSEESLEDIRDSKLALRAEVSDLEKQLASLEWKLDLLTAQATTITQGKKYRSSAKTRANGQLTGLDEKFAKRSLEMNAVLGKLAATTQELSYYHSEADIGVYLSYCDFQSYVRSNLACTKGLSRWFSKKFKKGPLQLVAKDDKPRGDFVNSHHSVVELNRINSIFAKSKRRYIEAQVEYAKEEAIVSMLRIQLASQQSYIHQDSHSLRRKSSELSEELKDLSLHVQKCLSETITGLCADLAELAGANILEGDHNLKLLRQECYISHQKKFINHLVNQLAAHRFLKISCQLEKRAKISSAYSLLKAIELELQSYLSAVDVRLDKYHSINQAASEMFEEGSVDDHDSFLHAVRDILSSHSNSQAMTPTYVSSYGLVEQISELQDELQYLQHEAEIVLPRERGRCTDELCRMIQTLEQTLGVPLSDGQPKLTPWPLAQSLEELEMVSQQVYSSVSEVTLARDEKVENLKQPSRNAQQERQVFVDFFCRPGRLETEVKELFSRVRALSE >KQL23665 pep chromosome:Setaria_italica_v2.0:II:16029255:16030517:1 gene:SETIT_031946mg transcript:KQL23665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSIRCIRDREVWKESERGLEEGRRKQRLEFQGRSDAWGACRIQPPYVTRVVVSRAGRPAFSVDPAGPTVSVHISLGHPERQRLQYASYLCSSSSYDIHHPASGYGGAGTALDAGGGGDDMQRLLNELMLDMAESDYSFSDDMEAASSADSSSSACSANDSHHHHHQQQRQQPDDQNTASDSEKRSSSAAQQAQRPATFIGVRKRPWGKFAAEIRDSTRKGARVWLGTFDSPEAAALAYDQAAFSVRGAAAVLNFPVERVQDSLRALALSSSSSSSSSAAAWGSPVLALKSRHSIRKRSPNKNKKLPQHQRPQQQAAAVVAGAPQPQPAYPGSVVELEDLGADYLDELLRVSSEPLH >KQL24717 pep chromosome:Setaria_italica_v2.0:II:32542871:32543090:-1 gene:SETIT_032433mg transcript:KQL24717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSRASSSPFPRGAVNHDGHHLPLFIVQVTQLTNGVFVAFAYNHALSDGIAFWDFLNVWAGIARARLPLLSG >KQL26567 pep chromosome:Setaria_italica_v2.0:II:45114734:45116030:-1 gene:SETIT_032348mg transcript:KQL26567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVAARPLVGEGGGGAWGDSRAPLQTSRPFNIAHRGSNGEFPEETAAAYARAIDEGADFIEADIEATRDGHLVCFHDTTLDDATDVADHPEFAGRRRTLEVQWANVTGYFITDFTLAELKTLRAKQRWDFRDKSHDGISPIITFEEFIDIALNAKRVVGIYPEMKNPAFMNKHVRWADGKRYEDKFVATLKKYGYGGRYMSPAWRAKPVFVQSFAPTSLVRAAGLTDSPLIFLIDDVTVRTEDTNQSYDEITSGEYLDYMSKYVVGIGPWKDTVVPPTKDNRLATPTDLVAMAHARGLQVHPYTYRNENKFLHFNFRQDPYAEYDYWINDVGVDGLFTDFPASLRRFQEWTAKKKD >KQL23992 pep chromosome:Setaria_italica_v2.0:II:24184045:24188385:1 gene:SETIT_029095mg transcript:KQL23992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKQFIPKEQPGGLEGRTVDVGNVKVHVREAIAEGGFSCVYAARDMVNPARQYALKHVIIQDEESLELVRKEITVMRSLKGHPNVVTLIAHTILDMGRTREALLVMEFCEKSLVSVLEGRGAGYFDEENVALIFRDVCNAVFAMHCQTPPLAHRDLKAENVLLDPDGAWKLCDFGSVSTNHKRFDKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRREVISEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPELPKYSSSITTLIKDMLNSSPDARPDITQVWFRVNELLPLELQKDLPDGSPSGSAFESHPSIDEATRRSPERSAALSSREDIKSTSPNDFSNLVPQGPPKAMENRGPMGAFWSTQHAQELAFADEKGPAFDQEPISQVTSKQSQAKNQNTPVHNSSRKSLSASVDSSPGDFEIRFSANGSESGLEKTKAGKTENKGSIQATAFNSFVADFDNIKMNSQNNAGNVNILSKLKECQLEAEVTLLKEQLKIANLEKEEISLKFDKLSAICSSQRREIQELKQALATASVTPPAKQFRENSKVELSPPSTSLDTPPREKIEGTPPELRQGLFTSRPGTPSPDPKPWSAFPDDPKPQAAVKSAHPRSVRTLRASNSNKASSLGQPNASSSADPFAFGQDSFKAAPSGTVPPKLSNMGNTTQLLNNQNAEEKKDGSYQPAGWTGF >KQL23690 pep chromosome:Setaria_italica_v2.0:II:16349874:16350264:-1 gene:SETIT_033668mg transcript:KQL23690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSTSLVDPALTYLTHSPNTWTDRSSSLHVAAT >KQL27057 pep chromosome:Setaria_italica_v2.0:II:47609205:47617063:1 gene:SETIT_028839mg transcript:KQL27057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKSLNTVTLLFKLPYYTQWGQSLLIAGSEPALGSWNVKQGLSLSPVHQNNELFWCGRVSVAAGFTCEYKYYVVDDSKNVLRWESGEKRKLVLPEGVQDGDIIEIRDWWQDASDALFHRSAFKNVIFNDTEGVKKELQSASLNKSLDPEDVVAQFIISCPRLVSGSTVIVTGSNPQLGRWRAQDGLKMSYVGDSLWKANCVLRKSEFPHFLVIVLNIFSTYKYCQISQAGNPSLELGPNREVDIELSSPKQSRYVVLSDGALRDAPWRGAGVAVPVFSIRSDEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPADVKEEIQQAKKHLDKKDVDYEASLSTKLSIARKIFNLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLEKLISEGTLHHDVIQFHYYVQYHLYMQLSEAAAYARKKKIILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIPLSQEELISEGLWDFDRMSRPYIRQEILEEKFGSFWTVIAANFLNEYQKQCYEFKEDCNTEKKIIAKIKTSPEKSLWLEKEDSIRRGLLDLLQNVVLIRDPEDPTKFYPRFNLEDTSSFSDLDEHSKNVLRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEGRRSRFYKTVVGSNEEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAPEETINDPTNPKHYWRFRVHVTLESLLDDKDIQATIKDLVTGSGRSFPGKKVEGANESGEKLSKVQLNGKA >KQL27056 pep chromosome:Setaria_italica_v2.0:II:47609205:47617063:1 gene:SETIT_028839mg transcript:KQL27056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKSLNTVTLLFKLPYYTQWGQSLLIAGSEPALGSWNVKQGLSLSPVHQNNELFWCGRVSVAAGFTCEYKYYVVDDSKNVLRWESGEKRKLVLPEGVQDGDIIEIRDWWQDASDALFHRSAFKNVIFNDTEGVKKELQSASLNKSLDPEDVVAQFIISCPRLVSGSTVIVTGSNPQLGRWRAQDGLKMSYVGDSLWKANCVLRKSEFPHFLVIVLNIFSTYKYCQISQAGNPSLELGPNREVDIELSSPKQSRYVVLSDGALRDAPWRGAGVAVPVFSIRSDEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDAIPADVKEEIQQAKKHLDKKDVDYEASLSTKLSIARKIFNLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSQFSKEKLEKLISEGTLHHDVIQFHYYVQYHLYMQLSEAAAYARKKKIILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIPLSQEELISEGLWDFDRMSRPYIRQEILEEKFGSFWTVIAANFLNEYQKQCYEFKEDCNTEKKIIAKIKTSPEKSLWLEKEDSIRRGLLDLLQNVVLIRDPEDPTKFYPRFNLEDTSSFSDLDEHSKNVLRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEGRRSRFYKTVVGSNEEPPSRCTPEVVHFIVQQHFDAPSMTFSP >KQL23605 pep chromosome:Setaria_italica_v2.0:II:15440073:15443547:-1 gene:SETIT_030283mg transcript:KQL23605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPVAQAARRHRNDDEAPTSGGGGGCSSSGTPPPAWVFGDSYADTGNLGDLGRELTHAWYDPYGDTFPGRPTGRFSDGRVLTDFIASAMGVPTPVAYKLRRGAAPGLLARGMNFAVGGAGVLDTGNFQRNISAQIDLFQAQHRTPSNRSGGGCDAGGVAVVVVSGNDYSYAADKDNSTSAAIAYIPTVVRQLREQLRRLRDEAGMRRVVVTNLHPLGCTPLFTRALNYTGCDPLANAGAAQHNAALQSVLAALDPANRTFLLLDLNAPFAALVGASPAEAAAAAEGRFAEPRRPCCETFGGAGGGYCGQQDDDGKRMYTLCDDPAKHFYWDDVHPTQAAWAAVAEAFRPKIREFLSST >KQL23775 pep chromosome:Setaria_italica_v2.0:II:18417011:18417519:-1 gene:SETIT_031752mg transcript:KQL23775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRVHLPFGLFPLPWLLVPGTLLCCMPPPLLTLAHQHGRSAACQHVISSAAPAHGRSAACQHQSVELY >KQL22579 pep chromosome:Setaria_italica_v2.0:II:3663557:3664999:1 gene:SETIT_032594mg transcript:KQL22579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAGADEPCSAAQACSMAVVFDAEVLSREESIPAQFVWPPEERAPAAGLGVEEMDIPVVDLAEFLRGGGELPVGMAEACERHGFFQVVGHGVGAELIAEAYRCCDAFYAHPLAEKQRARRLPGESHGYASSFTGRFDSRLPWKETLSFHCPAAAAAPGSAGGRAVADYIVGVLGEEYRHMGEVYQEYCDAMTRLALDVTEVLAAALGLPDRGVLRGFFAGGDSVMRLNHYPPCRQPHLTLGTGPHRDPTSLTLLHQDCVGGLQVRAGGDGGGGGEWLAVRPRADAFVVNIGDTFAALTDGRHASCLHRAVVSGGAARRSLAFFLNPPLDRVVCPPEALLPAGRPRAYPDFKWRELLEFTQKRYRSDASTMDAFVSWIARGRGDGGGGGHDHGGQEEEK >KQL24512 pep chromosome:Setaria_italica_v2.0:II:30940973:30943339:1 gene:SETIT_031765mg transcript:KQL24512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTASVKTEEQPATAPAPETKPKKKICCACPDTKKLRDDCIVQNGEDACGKFIEAHLQCLRAEGFNV >KQL25949 pep chromosome:Setaria_italica_v2.0:II:41474797:41476005:1 gene:SETIT_033064mg transcript:KQL25949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAASALRARHAVRRLGTSSSPSSSEAWDVPFIRLQKQRGQYMLINPRVLDDIVRRAAIRPGDAVLEVGPGTGNLTARLLASPAARVAAVEIDPRMAAAAAARATALGLAHKLTVTTGDAMKVEFPEFDVCVSNIPYVISSPLAAKLLFGAYRFRTATLLVQKEFARRLVGAPGHGERNHLATNARLVADVTLRMDVGKEDFVPVPGVDSSLVEIRMKEDRPAEVEPGIGLDEWLEFTRVCFGQHRLQQQKKKKKRKEEKTLGAIFKREEMAMELFRLSRRAEERDGNAACSGDQSALHDDDDDDVGDGEYEEDCCEVADGLSKEEVVAFKERIAGALQSAGLNNERPSRLSNDDLLRLLRLFIKRG >KQL25105 pep chromosome:Setaria_italica_v2.0:II:36042138:36043032:-1 gene:SETIT_032194mg transcript:KQL25105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRCVSTNTRRLSGRVPSSEHVPDPETSEPEAEDDGEIVHFSHPEHRLARFDFPYLFMCMGCKEYGAGKRFMCQTCGFQLHEFCALAPPLLHDHPFHPKHPHLLFFAKPAGGFLRCKCDICGKAVKGFSFRCASCGFDMHPCCAAMSARMELPAAHEHPLVLAPAAGGGEETSFVCQVCRRARRGHHLVYQCLPCGYCLHARCAKDMVNGLYAHGIVPPERRSALAAAARVTVNALFGVIGGLIEGIGEGIGEAFVENIGRSRRSFR >KQL23843 pep chromosome:Setaria_italica_v2.0:II:20930486:20933424:1 gene:SETIT_029964mg transcript:KQL23843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTGQRGNTGSPRHSCCLAATTDRPVLLTSPMESSILAAASVSRASVPATVFSPLSSSSRPVVLRTDVAGPSPAARAVRCNAAEGSVLYKLDADEMFNSSEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGVGADRAVPRNVLEQIIWDKEVEVSQRKAKTPLQKVMESAERAPPPRDFVGALDAARHRNGVPALIAEVKKASPIKGLLREHFNPVEIAHAYEKNGAACLSILTDEKHFLGSFENLETVRNSGVKCPLLCKDFVIDVWQIYYARSKGADAVLLIAAVLPDLDIKYMLRVCRSLGMTALVEVHDERELDRVLKIDGVQLIGINNRSLGTFEVDTANTNLLLEKRGDIIRKKQIMVVSESGLFTPADVAYVQNAGVSAVLVGESLVTQEDPGRAIVGLFGKELLH >KQL23842 pep chromosome:Setaria_italica_v2.0:II:20930486:20932248:1 gene:SETIT_029964mg transcript:KQL23842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTGQRGNTGSPRHSCCLAATTDRPVLLTSPMESSILAAASVSRASVPATVFSPLSSSSRPVVLRTDVAGPSPAARAVRCNAAEGSVLYKLDADEMFNSSEVIQWESGKSINSIAAAQGIRIRRRCRPRYPSEGVGADRAVPRNVLEQIIWDKEVEVSQRKAKTPLQKVMESAERAPPPRDFVGALDAARHRNGVPALIAEVKKASPIKGLLREHFNPVEIAHAYEKNGAACLSILTDEKHFLGSFENLETVRNSGVKCPLLCKDFVIDVWQIYYARSKGADAVLLIAAVLPDLDIKYMLRVCRSLGMTALVEVHDERELDRVLKIDGVQLIGINNRSLGTYY >KQL26297 pep chromosome:Setaria_italica_v2.0:II:43607048:43608575:1 gene:SETIT_031136mg transcript:KQL26297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLCSKTCSNRPLPFEPLASSQGATRADHRRTPPSSSAPRSSTSSLSERTAGRPHGCKDGGGEASSRASSLSPEPSFPTGNPSAATPSPSPSHLDVAGAGLPYARAAARRAWRRRSPLIRAALAQIWAELGEGKLPAAMSAEAARGRVACGGSGSTGARWPAVTATVRSRARVMACGGSWSGSYRLRSSSFRLLRRRRTCTV >KQL22272 pep chromosome:Setaria_italica_v2.0:II:1172209:1173339:-1 gene:SETIT_032385mg transcript:KQL22272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALVDELIEEILRRLPPSEPACLVRAALVCKRWCGIVSDAGFRRRLREFHGAPPAVAAVYNAVDGDAYVARFTPCASFPPRADRRCKAVLDCRHGRVLLRGMPPVGKDLHLEDGSVVDVWDPVTDEQWEVPVPDVYPHEFDVVVLCAATGGTCDHLDCHGGPFVVVLVGTDLDNVFIYAYSSETAAWSKASTIHLDATLNSYLMLRPGLVSGDSIYFILEDGHKILKYDLVGHVISMINPPSLHAKGNVALVEAKDGRLGVADVEGYNLHIWSRQAAGSNGVEQWVKDQVIKLDMVPSIATLGPSAKFNVVGFGEGTNIIFISANAGIFVVWRNSGRVMKAGEKRAVMARFLNPMFSFQSFYTPGTSLMRIYL >KQL26856 pep chromosome:Setaria_italica_v2.0:II:46638909:46643686:1 gene:SETIT_029846mg transcript:KQL26856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGKYEMGRTLGEGHFGKVRLARHAETGRAFAIKILDRQRILAMKIDEQIKREIATLKLLKHPNVVRLYEVSASKTKIYMVLEYVNGGELFDKIALKGKLTEKEGRKLFQQLIDAVGYCHEKGVYHRDLKPENVLVDAKGNIKVSDFGLSALPQNQRKDGLLHTTCGSPNYIAPEVLLNKGYDGSMADIWSCGVILYVMLTGNLPFDDQNVVVLYQKILKGNAHIPKWLSQGAQDILRKILDPNPITRIDVDGIRAHDWFKQGYTPAVPFTDDEDISMDEGSLNVTEHNGIQDNIAINQINAFQLIGMSSCLDLSGFFEKEDASERKIRFASNHSPAYLFEKIGSIVRKMGFQVHKSNGKLKVIQDCKGSANSRGKESLLISAEVFEINESLYVVELKKSSGDCSLYRKLCETLSEDLGICKSQQLLKQDSIKQEIGRYNSSF >KQL22252 pep chromosome:Setaria_italica_v2.0:II:991942:994528:-1 gene:SETIT_029092mg transcript:KQL22252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWFRSNASVAFHHTIVLGIAFLPKCIVVLCLSTLALNVMPYVGLGISVWRLVRRDYGDAGGDAANRAKLAAALDIFYALVLLQTLVVIYWANLRVMLKYSVLHVLRVTKQQCPQVVVMYCSETTRKFKKDRELPDNWNLMTYAIGLLQSASGDDDHLWGARILDKLFDTKDIISVRQEILSSRSSIQNLIGMIGRSGTAGNIENRECAARILAHLAGDLHVAHFPGTLQCICSLLESCNQFCEACPLLEKNPDEQSRSLETFQRQDVAHMAVPIQDQTDHEQEQVVSPSSDGLAQLAQRIQPTELPKRKDAFLIVTQQIRKAIKESRFTYTFRGPKELVSQGLLILEGLTRDKVNCTEISKQQVLISKITSPLSSPDFLIIVREDTMVVPMLSKSLTALSRILACPGDGATRLRQELASTTEAVSNLMAILETDSGAAQELHGQALEILTELAFDDSFKKLEFNKLLKALLCIFLEEAPSNNTVVQVEQADRENATRLMRGKAGEALARLIPVSTARERDVYVADIISKQEAINLLTKVIDQILSSKMGADAAENFVENQPPEDRKFMAAMLSLAVVICKENVISREDFARSTPEDAALAKKLKDILKVNKQCMAESECLRVVKLTCQMVIAMTQAKPSCIRYFKEHNFKEALTETLGTMSEVDNCMLFAGNDREVIKPARSLASLVKEAQELLKTAQEQGN >KQL24710 pep chromosome:Setaria_italica_v2.0:II:32465790:32466736:-1 gene:SETIT_031977mg transcript:KQL24710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLAGHVAPGASFVIINLWQLFSLFLLRSGSYHVSISLGLLIFDPITIHMDQVRAANCDAVSQLVAAAASAQQLLVFHLHSIVIAVMLATTLLRIPCLRSFRVSLVWSASLVFQGIWFITMGVMLCTPLEEGHNIVRCRTDEALDRAKSLINLQFSWYLTVPLVKADSGRDSDNGRFSIGDEHDNDDDLKAAKCGFGQVVNGTRPMEIER >KQL27125 pep chromosome:Setaria_italica_v2.0:II:47967739:47968850:-1 gene:SETIT_032448mg transcript:KQL27125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLHSCSFVSPSPAVFAPSARTKPPPSAFASVPAGRRESSFPGLTLRQRPTAALRPGVVVRAQSRRGVPPAAGPADRYFVEERKHVMGRYNKIVGVDHGCLDSACLNLGVPNEISLDTIHGTIRAYVKIFVDVADASYSKSVRKDTVMSFLGALTGLASISHILLDTALEALSHTHPRASMSEYAFNCDVKGMRDEFNQQMYDLEDGISNASSAEICKVVIPIILEAMEITGSFVGLM >KQL26606 pep chromosome:Setaria_italica_v2.0:II:45348357:45349364:1 gene:SETIT_031204mg transcript:KQL26606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLSLLHRALHLPRLSPRRRHGLAAAAVRARLSSHEPTPQQQAARLAIAPQESIEAAVSATNESKPRQEPAAGGGRTCALPTWALIGGITAGVGVALALSAGAGPALALGPEGPLVEEFWDNMRRYALYALTVSTGVAYTVLQPIVELLKNPVTALLIVVVIAGSGFLVSQVLNAMVGNSDFIYRYE >KQL25469 pep chromosome:Setaria_italica_v2.0:II:38415603:38417995:-1 gene:SETIT_030759mg transcript:KQL25469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKKRSKNRKAVKFYSTCFGFREPYKVLIDGTFVHHLLTQRLLPADDALRDLLSASRTPALFTSKCIVAELRRLGKSHAESFDSAQLLATTKCEHDKVVSAVNCVLSLIGDKNPEHFFVATQDADLREKLREIPGVPVIYGLKNSLFIEQPSVQQRKFAQLDEEKRLNMDISEYKKLMKAASEGKTTASENGSDGEQHERPISSLVKNALGVADKSKFKRNRAKGPNPLSCKKKKPKPQPSAIQNQGATADGEAKRKRVRKRKRSQKDNKQAEMAS >KQL25470 pep chromosome:Setaria_italica_v2.0:II:38416305:38417907:-1 gene:SETIT_030759mg transcript:KQL25470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKKRSKNRKAVKFYSTCFGFREPYKVLIDGTFVHHLLTQRLLPADDALRDLLSASRTPALFTSKCIVAELRRLGKSHAESFDSAQLLATTKCEHDKVVSAVNCVLSLIGDKNPEHFFVATQDADLREKLREIPGVPVIYGLKNSLFIEQPSVQQRKFAQLDEEKRLNMDISEYKKLMKAASEGKTTASENGSDGEQHERPISSLVKNALGVADKSKFKRNRAKGPNPLSCKKKKPKPQPSAIQNQVFSLPHSFLVL >KQL26832 pep chromosome:Setaria_italica_v2.0:II:46521712:46523099:-1 gene:SETIT_033181mg transcript:KQL26832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYTAGSKFDCLLFDLDETLFPLSIGLYLAYCASIQEYMLNKLYVEESQIPKMCHDLYKEHGTTMAGLKALGYDFDYDDFRSCVHERLPYEKLKPDPVIRQLLLSLPQRKIIFTNSGKNHAATVLEKLGLEGCFDAIICFETLNPATEKDDTGSGSDGPAPPRRGVLCKPSLESMEAVIEIAKLDAKRTMFLDDSARNIAAGKAAGFHTVGSSSLVPGADVALESIHNIKEALQELWVEADGEHADDAVLRAAAVETTVLA >KQL23800 pep chromosome:Setaria_italica_v2.0:II:20060522:20062273:-1 gene:SETIT_029315mg transcript:KQL23800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPLSPAPVLPAAADALAQILHALLPPLLLAAASVKALHSRWRPLQATLLALQSSLASAPASAASHPLFTDLVAALLPALRSLHALSGRCQDPGLPGGRLRLQSDLDMAASSLSLLLHDLSLLLRSGLLSFDSAASSPNAIVLQVPATAASRADKSLFVRDAFARLQIGALDLKLKALVSLLDLLGDDPTAEAAQIVATDGDVAALLRLLDASSHSALRDRAVTAVAHLATACAASRRVVFDEGGLGPLLRVLDSGSAPATRERAAAAVVAITADAGSAWALSAYGGVSILVNACRPGSGSPAVQALAVAALKNVASIDDVRSALVEEGGLPVLVDLLASGTVGTQKSAALCLWSLASMGDDETQSQIVQAGALSPLLQTLHVASDQDLQDSVLRAIHALTSVPAAARTLCSSPLFFAQLTDLICRCSSILLQQMAADMVADLTPTVSEDTKRCMAPCIGTLVKMMEVAKPATVQESAGRALLALLTLKSNRKVFVRDEKNVMRLVQMLDPRNEEIDKKYPVSIFLALAMGGGNGTRRRLMDAGSCQHLQKLADAEVPGAKKALQRISNNRLKSLLSIGWQN >KQL25916 pep chromosome:Setaria_italica_v2.0:II:41252270:41253709:1 gene:SETIT_032839mg transcript:KQL25916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASPTIVLVPLCVPGHLPPLFEAGKRLLSSGAMSLTVLFMQMTMAANLMSDVTDLIRRESESGLDIRFHHLPAVELPTDSHGTEDFIMRFIQLHAPHVKAALSGLASPVAAVVVDYFCTTLFDVTRELALPVYAYLPCSASMLALILRLPALDEEVSGDLGDMEAVDVPGMPPVPAALLPTPLMTRGPNYAWLVYHGKRIMEAAGVIVYTVAELEPNVLAAIAEGRCVPGRRAPTVYLIGPALSVKAPGKQPHECVTWLDAQPSASVVLLCFGSMGGSFPAPQVREIADALERSGHRFLWVLRGPVPAGGAPYPTDANVDELLPEGFLERTKDRGLVWPKWAPQKDIIAHPAVSGFVTHCGWNSVLESLWNGVPLAPWPLFAEQHLKAFELVSVMGVAVAMEVDRKRGNFVEAAELERAVRSLMGGSEEGRKARVKAAEAKALCRNAVEEGGSSYVSLQELAREMLQHCGREAEDSAS >KQL22263 pep chromosome:Setaria_italica_v2.0:II:1095137:1098623:-1 gene:SETIT_032517mg transcript:KQL22263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLDALLAGLLFHAACVGAPTVNGTVLANHDNSNSSTTIVPSAASMASCPKSCGGKTFDYPFGIGVGCFRSPEFELICNTGGLYLSDNDTEVLLDINVSPQQDISTFFRRYFHVISGVDVYNVSWKAPSIIRSFSLEVVIQGCGFDVYLGDDSAYSCTLTCPGETFPDVTSVVCNGIRGCCVKHLYGTNTMQLQFVRHTNGSFPKYREISVISRSMFLGWSVHADHPPCSDELRNDNNYACVSNNSSCDAEPIDENIYWYRCRCESEYAGNPYILDGCSHVDSAYYYNPVPRKVNCSRSCGDINVPFPFGLEESCSARTQFRLNCTDIATSTLQLDRNYQVSHIDIERGIIDIKLLVFYDIPIFELDHRVYSSFMTSESMHWTIDNLSCLQAQKNVSAYGCVSVNSMCLDFNDSTYKVYDVQEQWKYKNNGYRCQCMHGFHGNPYVPNGCQDIDECKTTPGICKELLCNNTVGSYHCTECPDKTKYDTATMQCIKVKRQRGLLLGIVMGLSAGIAILLLTLSAIFLVRKWRRDVQKRLRKKHFQDNQGLLLEQLISSEENAKDMTKIFSLEELEKSTNNFDHTRILGRGGHGMVYKGILSDQRVVAIKKSVIIQQSEIKQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLFQALHSASDSNFTLSWDDCMRIACEAAGALCYLHSAAAVSVFHRDVKSSNILLDANYTAKVSDFGASRLVPIDQTHIDTKVQGTFGYIDPEYYQTTQLNEKSDVYSFGMVLLELLLRKEPIFTDEFGSKQNLFNYFLSELKSRPITEIVDAHIREEATEQEIKSVASLAEMCLKLRGEERPTMKQVEITLHNLRMERLNSLCQVARGNNQEIQPFIYSRANGGDYCCYPCTHVHV >KQL22214 pep chromosome:Setaria_italica_v2.0:II:589555:594286:-1 gene:SETIT_029447mg transcript:KQL22214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEFGRPFIILREQEQKTRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRIASEHLERISHKYEFTADNIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDAGATLVICQWGFDDEANHLLMNRNLPAVRWVGGVELELIAIATGGRIVPRFEELSPEKLGKAGLVREKSFGTTKDRMLYIEQCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLPPIDTLTAVKAQQVKESNPHCGIDCNDVGTNDMKEQNVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >KQL23246 pep chromosome:Setaria_italica_v2.0:II:10122550:10124292:1 gene:SETIT_033177mg transcript:KQL23246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPATQRRRGSHKKAPVAAEVPPEAPVADIRALPDEVQSAIISLLPTDAGVRTRAVASGWTALWRAAPLNLDDRELRLRPSWRNGDRIAARITSILSAHPGPARRLSLMNLTRVSNTTGDDRYATFDAWFRSPVLNRVKEVHFQYLYRYRADELDPLPLPALRFTDLTIASYGRCHFPDNLAGVRFPNLTQLTLHDLTNTERTVHDMISACPQIRSLLLRNNKRFRRVRISSPTLISLGLSVGEHDDEPAMEQLTIVDAPSMERLLIFDTEGGPINIRVIGAPNLRVLGSLPSSLLRLQLGNTVLQEMIAINAITSMHSVKILALGADGFKLDIIVDILRCFPCLEKLYFTSMVIESGETMQNNGALLPIQCLETHLKEIVLRNFTGTREDVRFAKFFILNSRVLELMEFRAPVRQNIKKWEANERRKLPRKSDRASQAARFRFVYHTCLFRNYEDTNRTHALTESDPFKGML >KQL25989 pep chromosome:Setaria_italica_v2.0:II:41728842:41730850:1 gene:SETIT_031799mg transcript:KQL25989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCSVCIHHPSSNPQSYRTRLSFIVHWLGPSFVLWRNGSNETGNSVSLRSKKCSTRWV >KQL26545 pep chromosome:Setaria_italica_v2.0:II:44994149:44995684:-1 gene:SETIT_032394mg transcript:KQL26545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRLRPLVFRRLLSTSTSSPAPATVPRPNDPALLLRLCTILYQHQYAPDDKLHRRLSALPLPTAPTDLRELFLQASARFPLSWRPVHRLLAHLSARHGGAGDGGGFPHSPATAARLLDVLAKSGNIDILHSTLFSLPRTLLSAAALRAAVRGLAPAREVGKVAAIVTLFPECHRARILTFVTDVACSEPCRLPDVAEKVIKRAEHRHSVSRTARCDDLLVVAYCRAGSLADACRVWNGMERRGLEPGAAAYQEIVVTMFKNNRAADAMKVFDGMRRSGVQDDGGGCCRAVVSWLCKDGKVWGAYMVLAEMVKRGVEVDGEALGDLVYGLMARRRVREGYRMFHGVREKDIALYHGLMKGLLRIKRAGEATEVFREMITRGCEPNMHTYIMLLQGHLGKRGRKGKDPSVNFESIFVGGLVKAGRTLEATKFVERTMWGGVNVPRFDYNKFLYYFSNEEGALMFEEVGKRLREVGLFDLADILSTYGERMTTRDRRRRAMNGLLESVQDGCY >KQL25138 pep chromosome:Setaria_italica_v2.0:II:36253321:36256557:-1 gene:SETIT_031025mg transcript:KQL25138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRSDGPIGRLPEHLIVEIFIRLPVSEWVQIACVNKQWANIFEGDCLWQTAIARNWPSAGLQKRWPGPIPRGSPRRRFQALYVSENLVPSGGEIDELVGHTYLYLKEQLERPAMPPSSILHGTIIDQFIACGKTGEKAHDLSSKIWLAVIDGLEENQKTFLLLKHLAREGEFFLPFPYSRSYKVLWRVYDKLFTDFRDCFSGADYHDALSTAKSRFQPVPSTWLGH >KQL26535 pep chromosome:Setaria_italica_v2.0:II:44941713:44953813:1 gene:SETIT_032832mg transcript:KQL26535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQITEEQRRRAEANRLAALEKRKRIAEAAAAAATASTSYATTAFPAAGTPTFPAYDTAASSAEWRLAKCPRIAPPAPQHRSAPLPPRPSPPQPPPTPPQPPVGFKVVLEVCSPDEFLVAVEPAEGKAYPGEADCLGAVQDSLAAASVVQYSETQSLSQSGHLHPVFKLVDYDVVLKCLKKLPGAVVEDIPYNTKRVIQNIPMLPGQKWAYDKEVDELLKKLPQQVKDALLPFQLEGVRFGLQRRGRCLIADEMGLGKTLQAIAIACCFKDEGSILIVCPAVLRYTWAEELERWDPSFMPKDIHLVFGRQDSLEHLSATPRAVIISYQMLSRLRESMANKTWALMIVDESHNIRCTKKKVEKNELQKLSALYCSRGHPLCQGCKDIHQTPLKVPCVNRPFDIYHQINMLWPRMLGNNKFDYAKKYCSLHVARSYQGKSFKIRRLKEHLLNELPPKRRQIIRLKLKAPDIRTAMSSSIKEMDSISCNETLAVDLPCKSNDDENTKDEEDDGCKKSPRHLTPQEIGIAKLSGFSEWFSNHFIVNGLGANHNLDPQSSCQKTIIFAHHLKVLDGIQVFVSENGIKFVRIDGSTLQRERKEAVDSFRLDPEVKVAIIGITAGGVGLNFSSAQNVVFVELPKSASELLQNTLDESHWLQLNQSLFRVSSLMNGKKDAIREIEVDQVCHLEEIRNSEEKIQCQLHPLENHNTEIDDISIECFPGIDDLELDSDFTIRTIPLEFEDESYGTSLKNNSTPTVPEDRSCIDVSLSPAAAFCTAISSCKSMKARRRLSENSGSFSQTAPISDVPIQVESLRFEVSRHTGRIHLYSCVPGHDSRPKPLFENFQPEELNSPCSSSDVKARTLLLKKIPAFCNVFRAFIKEWLALRPIDQSRLLGKPLQLPLSLELCFLKDSINHSTEGLLKGGSKRRAAPLNDVSNPLPENAEWRQVVLRNGTTKERQYTQGWTIDDEPLCKLCQGLCNGKLSKSPEYFEDLFCGLACFQEYRLRTSGRALRQALFQIERGKCSQCKLDCCKLVKHIKPLPMEKREEYIRKAAPNIASRKKLLDKLVREPTDGNAWHADHIIPVYKGGGECKVENMRTLCVACHYEVTRAQHKELKEIRKKAKEHLKNALNQQKDKASEATEEIDDSSLLVTVPGSAYSIEDEVPGNADEIVAE >KQL26094 pep chromosome:Setaria_italica_v2.0:II:42308096:42311661:1 gene:SETIT_029610mg transcript:KQL26094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGQKQDRAFFIIATVYSSAVLCTRLFFWLLSVWRKEKRRKINTIEEPENMDEVLRLWRTEDTSSEFSLYDFSQIADATDNFSPKSKLGEGGFGPVYKGVFPDGQELAIKRLSARSQQGLIEFKNEIQVITKLQHRNLVRLLGCCIHGEEKMLVYEYLTNKSLDHFIFVEGISQGLLYLHNHSRLRIIHRDLKASNILLDSELNPKISDFGMARIFPSDATQATASRLVGTFGYMAPEYASDGLLSIKSDVFSFGVLLLEIISGKRSSGFQYNGEFYNLLEYAWQLWKGRRWSEFIDKSFGDEYEMEELVKYLAVALMCVQEKAMDRPTMRDVVALLSSDGITMPEPKQPAYSYAKLDESVNVTVLSSRNDVTITTINGRYVRHILSMSNFRRHNTANILVGVGVFLMVIFISCLVFHLWIKTQQQREQAILKLRQLSLAIQSVTNLWRMEGSNNLEFSKYDYSYIKEATNNFSIDNKLGQGGFGPVYKVLFMKL >KQL26384 pep chromosome:Setaria_italica_v2.0:II:44054929:44057039:-1 gene:SETIT_029129mg transcript:KQL26384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQTFHLAALLLLLLLLAPAGRCADATAGGDGGRFVYNGFKGANLTLDGAASVTPNGLLMLTNGTIQMKGHAFHPSPLPFQDPGARNATAARSFSTTFVFAIYGPYVDLSSHGLAFFVASDKELLSAALPGQFLGLLNTNNNGNRSSHVLAVEFDTLFNADFGDINSNHVGVDVDSLVSRAAADAGYYDDGTGQFRNLSLVSRKAMQVWVDYDGAATQITVTMAPVGLSRPKKPLLQTTVNLSDVVQDTAYVGFTSATGILFSRHFVLGWSFALDGPAPALNISALPTLPPAGNKPRSKVLEIVLPIASAALVFAVGVAIYALVRRRIKYAEVREDWEVAFGPHRFSYKDLFHATKGFSDKQLLGAGGFGSVYKGVLRKSNTEVAVKKVSHESKQGMKEFIAEVASMGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYDRSKGALLDWPQRFHIIRGVASGLLYLHEDWEQVVIHRDVKASNVLLDAEMNGRLGDFGLARLYDHGTDAHTTHVVGTMGYLAPELGHTGKATPATDVFAFGAFLLEVTCGRRPIEQDEHGNHTVLVDWVTEHWRRGLMVDAADTMIPDGFNPDEVSLVLKLGLLCSHPLPNARPTMRQVMQYLDGDMVLPDLSPEYFGFTGMERMYSREFNKNMMSCVSSTSIGAVSDFTGGR >KQL26016 pep chromosome:Setaria_italica_v2.0:II:41836078:41836630:1 gene:SETIT_033805mg transcript:KQL26016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNFGQILVEMEKSVPSGKAKREMEKLVTEQCAAMKNGLAVLGLQRADNAETFW >KQL25284 pep chromosome:Setaria_italica_v2.0:II:37103844:37104218:1 gene:SETIT_033476mg transcript:KQL25284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMILSVSQCLHWLKFYKFHCMYSEQHMFDNCGGTLRC >KQL25487 pep chromosome:Setaria_italica_v2.0:II:38546914:38548167:-1 gene:SETIT_030943mg transcript:KQL25487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPVTHRPINEHASNITISFEAAAAAATAREEKGAVFRLEEPKAAAIGRDQNAADWGQGKPLKCPDLNLDLCISPPCQEPMMKPVKREAGLCFSCSLGLPKSADCKCSNFLGLRTAMLDFRSLEMK >KQL26744 pep chromosome:Setaria_italica_v2.0:II:46041085:46041753:-1 gene:SETIT_033394mg transcript:KQL26744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASDSPPSSPAAPASAFLDDHHQLFLDPGATSSFLPATSSREPLPPAPASSSAAARKPPRKRPRASRRPPTTVLTTDTSNFRAMVQEFTGIPAPPPFAPHLGGPGVLFGVPHHDPAAAGTRAPLELLIRPSPLKLPAAPHASPPAGSFAHSLFPSSNNPNPAGSSSELFYSGFAPTLSGDVPRYDGGGFEVEAAEDERVGHGHGLFSSLLHSGDRYHSH >KQL24269 pep chromosome:Setaria_italica_v2.0:II:28291331:28291942:1 gene:SETIT_032338mg transcript:KQL24269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRSPHPSLVVSSPPHAPARERAKSLRLHFHGQSLIVCSSRLQSRSVARSSPGLKGRACGERRCDYFLEQEDEQRGAGRKMMVGKRERDCKNPMRRTTSMTEFAPPDVLAAVAEDEEAQLPDNNHRGGAGGQDWLSAFDGGAGGAAAQEDWLAAYRARAAPARAGLRRNSADYSVVETAAFLRACGLCCRRLGPGRDTFMYKYV >KQL23555 pep chromosome:Setaria_italica_v2.0:II:14965108:14967391:1 gene:SETIT_032993mg transcript:KQL23555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAREGGVKKKRHTSEETGEVKVRRLLEGYIKNCQVIFRMEPHIFKELATYLRRKRLVVDTRITVEEKLGFFLCMLSRNASYEDLVVTFGHSNDTFHRHINHFFKKVIPTLSRRWNKMVKEFHLRNKSVSYTKAQIQDKECLKRDYKMLKAARMQSGSKWNEQRNMTFLKIKKFQNNKASFLLFDALGELYDGHLGTYNFTSIESQRVEEPLQQIDVEIHEIRDEEDEEKDARDKEEEARSGQRRMAASRKKPEKEGQRPRKSAKIEAMMERFLEMRTKQAEDEAQQLARENETREKEARDKEAAKGDEYSIKRCISIINTMEVTKQEKAKAYAIFTKSKENKETFICASEEDEESALIWLRNEMA >KQL24085 pep chromosome:Setaria_italica_v2.0:II:25942232:25946159:-1 gene:SETIT_028778mg transcript:KQL24085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVVLEGSLGSPASAVAGMLRQLVDTAAETARLAAGAEAALLVNANADRSAGIRKWLQERWVAMYKLDDALDDYNSSVARQHQQQPAEEARRSIRHWFRSSSSAVHEVETRRLKTTVEVLFKEMNDILQKWHELDLQPINSTRQSLNSEFLGDLTPYHDIIGDVVQLQVTNLISILTDKQSTNQSSSHLVMIIGCRGAGKTTLARKVFDDPRTRSAFSLVLWVRSSKDFNDMGLLSAIASAAGIKAGEGGSSREKIEEMLASILEGKRILLVIDDVWSRQIHGSYLETCFPVQHGSRILMTTGDESVAEHVNSVHTHKVKELSISDRLTLLSRSACLDEKKLDTSMKVIGITLVQKYGKVPLAIKVIGGVLRMKDRPYEELKEVSTKCDGWSSADIPDGTKDIAGPIRMAYNDLPSHLKQCLQYCLHLPEDSTISKLNVTRLWISEGFIEEQEDCSPEDTAAEYYEELVLRNLLEPEIESPDMPRCRMHDCVRTILQSLTKDLWTGNCRLNFTSTQEMVTISRFRTVILYRNPLGDRVFDQVFKEMKHLRVLDLSNTRIRHIPGSLEPLFHLRFLNLSSTDITALPESIGNLKNLKFLVLQWCYRFHSLPDGISKLHNLRTLDLEGTAPLLVLPRLAGLEQLTTLHGFIVNSKAASTEKDTSGWPLEDLIYLNSLQSLQIVKIDRIHEEHLNLQRPLLSRKSYLTQLELCGSTRKVHEVAKEENKRLNDVLNSLRPPQCLESLKIVSFNGQSFPNWIQNLPNLKRLVIADCEFCEWHPALGQLPQLKTLEVSCCSKLRAIERGGTGPTQAFPKLEQLHLDDMGSLESWEGFETGDLPSLVNFHVERCPKLRSLPSCLRCSTLLTSMRVVSADSIEAIHSVSNLKNLFVQYSKRLSCISNLPSLEALTVVDCSGLQDVSGLGHVKHLRIEDGELKSLPDWLGKHGSAPETLAVVGREELLRSLVPGGKDWPAISGIGKVYGYLSDGSPFFTYSKITNELETFGNRKLDIWKAMPSAAVLVAISLVPFLLPTRYMDFVPNTVYFFFLAYMAMLVAFICVLRTL >KQL24233 pep chromosome:Setaria_italica_v2.0:II:27951910:27953580:1 gene:SETIT_032688mg transcript:KQL24233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIDVLTRVDAICKKCERYDADKHCGDGDPFSRLYAAVDAAIEKSARAAKEKNRAASVTMNADVRRTKARLLEEVVKLQKIAAKKVKGLSPEEKPLRADLVAALPHRIQAIPDTHDSGATDQDAAWSARPGIKFDDSSGVLSDKRGIRAVPKRIRDAANQTGRFSQHGNLLTPMHFTLTNVQDEGLDFISEGLDTLKNLAEDMNEELDRQVDKANLEIRRTNVRLKQTVNQFRSTRNFTIDIILICIILGIATYLYK >KQL27274 pep chromosome:Setaria_italica_v2.0:II:48952671:48953029:-1 gene:SETIT_0328981mg transcript:KQL27274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLAAHPFVSCSAVFLLLVAHALAQPAPAPDAGCNGILLTYTLQGRDKIRPFVPNPDSQPYAFRANATVRNAGTRPLRSWALLLTFVHGEILVGVDGAVLTSGADLPYNTTADAGNA >KQL27165 pep chromosome:Setaria_italica_v2.0:II:48185304:48186912:1 gene:SETIT_030597mg transcript:KQL27165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASFLGLLVLVALASGASAQLSPTFYDTSCPNALSTIRTAVNAAVAQEARMGASLLRLHFHDCFVQGCDASVLLNDTNGEQSAGPNVGSLRGFGVIDNIKAQVEALCPQTVSCADILTVAARDSVVALGGPSWTVLLGRRDSTSSFPNQANTDLPAPQSSLRNLIDAFGNKTLSATDMVALSGAHTIGQAQCTNFRDHITESNINPAFAASLRTSCPATGGDTNLAPLDVTTPNTFDNAYYNNLLSQRGLLHSDQELFNNGSTDSTVRNFASNAAAFSSAFTTAMVKMANIQPLTGTQGQIRRLCWRVNS >KQL22522 pep chromosome:Setaria_italica_v2.0:II:3315919:3321065:1 gene:SETIT_031869mg transcript:KQL22522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNSYDALEQCSSMITCDAHMAFSFDKSLREKIWLMNSLLLTSAVLAGVIVGIGIYGQRYRHHRFTRFIFLGATTLFLPVVSTVVSMGAGNSNHSILGRGIRLIAECQPETHAVLVVIGASLVQIIMINTSAVVAVDDREGGNVGPPFELLVQSVWTFYLGISNLIRITDGLFDTEDLIILALEATPFALTCAKMVLKYCAYEKARQSFALGRNPHLIFGYMKQQSLQETSQDGEPMVAEDAPPPLLVMGEEKRHVEKQPLGYVFKDDSWTTSHNNGLVTIDRVWRMDNVLPTSTLKPQKDLCLSFALFKLLRCRFARYKVRTAASKGTLSHPVLHTFIFFWSLLLKDGEHDRVCLVISDELSFLRDYYYSSLPTSYSKYWLPVAGILISLLSIAYCCALMITITFLVVLQYDESLPQIIILLSVLVMMSEVTDIATYIYSNWTKVAVTCHLVNHASSQHSLLKKKWIGLLLRCRCKLMKHWDEKIGQCTMLEIRPSTTLPVLILRRLLHLPDHKRKVKVPAAVKVCIMEVVRSTRNGDLSNGTASLRRRGQVGERLLWACNTKSTSYTILTWHIATCILEVRYPHRLDQRQGSSSPIPNTDYKIVATHLSRYCAYLVTWCPELLPDDDAWSRSLYEDVKKDVERVLAGCTAGDSLTPEANCQQLIEVLSADAKHEVLKEGARLGKQLLALVVEGEDDTAAWKLLAEFWSEMIVYVAPSDNLKGHSEAIARGGELITLLWVLLFHAGILSRP >KQL23801 pep chromosome:Setaria_italica_v2.0:II:20112018:20115370:-1 gene:SETIT_032786mg transcript:KQL23801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTPKKKKLSESGSSPKFISRSNSRTSGCSGEQSSQPEVDEDDVVFIRLASELKDEGTRLFQKRDYEGAAFKFDKAVKLLPEGHHDIAFLHCNIAACYMHMNPEEYHRAIDECNSALEASPTYTKALLKRARCFEALDRLDLACGDVEKVLNLEPNNVTALELHESIREVMEGVFLDKQVASPDKSAINIVKERIQRRVSRKFRNSIVEEEVWEMINDEEDHENIEEGKKDSSKDNHTMNDLIQQGNDGRKIQEKRNQDKHEKHPEENKKNNGHYKPGVANEGQQQQHSSWVMEEMNLKQRHGQDNHEKHLKEILVKGIQLEMGNYTTQSSAVRRKKHFEVGSHSKQEKHTEEKYERYTNLNQGKHYFEEKYERYVPEKPITIRTANHGRDKHTKYTRDNHEDVREGVKKKFKFVHGDDIRIVLIPENCSLLQVMDIARYKYNPNLKSFLLKFMDKEGDLVTITSTEDLRWVEELYPHVPVRLHVKEVSPEREITRDLVMPMSSFAAREQNHYNTSECGSSRKEDERNSCSDDWMVQFARLFKNHAGFDSDACVDLRDLGIRLYYEAMEDTITSEEAQEIFQAAEAKFQEMAALALFNWGNVHMSRARKRLILSEDASKESILAQVKSAYEWACTEYVKAGKKFEDSVDVKPDFYEGLIALGQQQFEQAKLSWRYADTCKVEMGTEVLELFNHAEDNMEKGMEMWEGIEYLRVKGLAKSRKGKIVVDKLGLNEQGKDLSPDEAFEQASNMRSQLNISWGTILYERSVVEFKLGLSSWEESLQEAIEKFKIGGASVADISVMVKNHCVNGNNQEGLSFNIDEIVQAWNEMYDAKKLKNGSSSFRLEPIFRRRPSKLHNILEHIHYT >KQL23553 pep chromosome:Setaria_italica_v2.0:II:14951327:14956374:1 gene:SETIT_029089mg transcript:KQL23553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKHGWQLPAHTLQIVAITVFFLLVVAFYAFFAPFLGTQVLEYVAIGVYTPVALAVFILYIRCTSINPADPGIMSKFEDGFINVPANSAGVEGMNFPQKENNATGTNSPTSTCRSSLDGHSNQRGSSLGETNINLGSQQPKKRSSCWLIGGLLCAIFVKEDCRKPDDSEQQANGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLSLMAISLIWLAIEFGAGIAVIVLCFVDKNASRNIQDKLGNGLTPAPFAVIVGIFTLLSLVACIPLGELFFFHIILIRKGITTYDYVVAMRAMSEAAPEDEEGANIIYSPSNSATTGFSVGSSLGLHHKGSWCTPPRIFIDHDEVIPHLDPGMVPSTVDPDAAGYAERANKAKKAVKISARSLAKLDKNEVMKAAAKARASSSVLRPIDTRRGHEADVVSSGNASIRSSMSVDYSGTKESNSEMRLSPLQNSYPQSLASQDDYETGTPTASSLSSPVHIHKLASHSQFSAAPHPPPPERPAPGMMRPPVPTTQGIPRPPVPTTQITNPMFQSATSYVRENRRASVVWDQEAGRYVSVPAQTRIGPGVEQAARNPRFLANPSGEPSSHGRSLAPGNTSSSAMPSGQPSERLTYSGQSIFFGGPILSTPGINAQRNEAVARARPEGSRDPNAQQRDIRGEKARTGSLPVFAPGTFQRNPAYDK >KQL23478 pep chromosome:Setaria_italica_v2.0:II:13960452:13971751:-1 gene:SETIT_029426mg transcript:KQL23478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQGGSVVSSSEKVDFLKLQNGSDIRGVAVAGVEGEPVNLTEPATEAIAAAFAAWLLNKKEADGLRRLRISVGHDSRISAHKLQNAVTHGITAAGHDILQFGLASTPAMFNSTLTEDERNHLPVDGAIMITASHLPYNRNGLKFFTSDGGLNKADIKDILERASKIYEESAHGKLKEWEDASRGVVNSVDYMSIYASDLVQAVRKSAGDKDKPLEGLHIVVDAGNGAGGFFVDKVLKPLGAVTTGSQFLEPDGLFPNHIPNPEDKTAMKAITQAVLDNKADLGIIFDTDVDRSAAVDSSGREMNRNRLIALMAAIVLEEHPGTTVVTDSVTSDGLTVFIENKLGGKHHRFKRGYKNVIDEAIRLNSIGEESHLAMETSGHGALKENHWLDDGAYLMVKLLNKLAAARTLGSSIGSKVLTDLVEGLEEAAVTVEIRLKIDQNHADLKGGPFRDYGEAVLRHLENAIGKDPNLHKAPKNYEGVRVSGYGGWFLLRLSLHDPVLPLNIEAPSNDDAIKLGLAVLAAVNEFSALDVTALNKFVQQ >KQL24792 pep chromosome:Setaria_italica_v2.0:II:33256783:33259766:-1 gene:SETIT_029603mg transcript:KQL24792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFFALVCILISLAIASYVHYSRRRKGKGQGHGGYGGHEKASLKLPPGSMGWPYLGETLQLYSQDPNVFFASKQKRYGEIFKTHLLGCPCVMLASPEAARFVLVTQAHLFKPTYPRSKERMIGPSALFFHQGDYHLRLRKLVQGALGPDALRALVPEVEAAVRSTLAAWDGRVMSTFQAMKRLSFEVGIVTIFGGRLDERRKAELRKNYSIVEKGYNSFPNSLPGTLYYKAMQARRRLHGVLSDIMRERRERGEPSSDLLGCLMQSRGDDGAPLLTDEQISDNIIGVLFAAQDTTASVLTWMVKYLHDHPKLLEAVRAEQEAVREATDGGRRPLTWAHTRSMALTHRVILESLRMASIISFTFREAVADVEYKGFLIPKGWKVMPLFRNIHHSPDYFQDPHKFDPSRFQVAPRPNTFLPFGNGLHACPGNELAKLEMLVLIHHLVTGYRWEIVGSSDEIEYSPFPVPKHGLPVRLWRENRTVERKGCESGNVEDIIV >KQL25940 pep chromosome:Setaria_italica_v2.0:II:41383716:41384360:1 gene:SETIT_033188mg transcript:KQL25940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTGISVSTVAAAVSATETHMLKIEGYKRLEDMHCTGKFLESSRFQVAGHAWKIHFYPNGDFRENAGFVSLYLNLDDDAAVPAKDVRAEVTFSLVRRPGAPASLLPPHSESFTITYNRAKAVTRRGVAKFIAKQKLDWFSGYLRDDCVAVRCDITVVEKSAAKEGEAVQERDVEVLGLACHCKDELCKRHHARVVGLGLRQAFVKFFLRCFQV >KQL22321 pep chromosome:Setaria_italica_v2.0:II:1723994:1726391:1 gene:SETIT_029701mg transcript:KQL22321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASEPVSPVERLMKDLYVVAAIGLAAPLDPSAFRAGLAAQTARHPHFCSIQVTGKDGAPRWVPTAVNVDDHIVVVPRLDGAEADPDRAVEDYLSSLSTLPMDPATRPPLEFHLIDVRTSEAAATVAMRVHHALADGMALITLLVSSSRSAADPAIPALPPPPPARRAGAIYAPAPPRSGGLITFLVVWVWSYLLLAWHTMVDVAAFVATIFFLTDPPTLFKRADHGGEPRRGMRFVHRTLSLDDVKFVKNAMNCTVNDVLVGVTSAALSRYFFRKTGDTKTRKVVLRSILPVNTRPATSLQMDVDMIESGKSNAVRWGNQLGYIILPFHLAMHDDPLEYVRKAKQIIDRKKSSLEVVIIHMAVEIIYKILGPKAGAYIFNNVLRNTTITFSSLIGPPERIELFGHPVAYVAPSVYGLQQALTVHYQSYSNTIKVILAVDEAQFPDYCQLLDYFAESLNLTKDAAAKTSTKSIKNE >KQL24915 pep chromosome:Setaria_italica_v2.0:II:34582299:34583964:1 gene:SETIT_032483mg transcript:KQL24915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTVPFFLTSTTLATTTKKPQPQAQPPTPPCDAEAQASASTSHASAASASYAARMRLNPHLALPLFDHLLRSGADPDPAALALALACCARGRDRAAAAQLHAHAAKRGIVASHRRVRGRLVHAYAVCGMLPHARRVFDGGTDNDMFAWNCLLRGYAQEGGDADALCDFFARMPFRDSISWNTVLSWCVANGEYDEAIAVFREMLASQECQPDRVTLVSVVSAIAYLGALALGLWAHAYVIRKGVEVEEKLSSALINMYSKCGFIEGAVYVFENAGEKMSLDTWNAMLAGFTANGCSARALELFTRMESKGLVPNKITFNSVLNACSHGGFVQEGIRHFKRMFKVYGIEPDIAHYGCMVDLFSRAGMFEKAEEIIQIMPMEPDASMLKALLAACRTHKNLELGKKAGHRLIEAAPNDHAGYVLLSNIYALDGNWGGVHKVRKLMLDRGVLKIPGSSSVELNGICQQLKNTGFTPDTSQVLLDIDDEDVKESSLALHSKKLAIAFGLTSTAPGTPIRVVNNLRICGDCHNAIKLLSEIYGRSII >KQL22260 pep chromosome:Setaria_italica_v2.0:II:1067343:1068641:1 gene:SETIT_033344mg transcript:KQL22260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMQLDDEEFETAVGTLPELPEDVLMSIFATLEIPDLLRAGSVCSSWRSAYTCLRNLGKYKQSQTPCLLYTSQSAGENVACLFSLAENRTYKITLPEPPIRSRLLMGSSNGWLITADERSDVHLVNPITGEQIALPSVITIEHVKPIFDDGSGTIDKYELSHHTAPNILRKYVNFNKAFVFPDPSTGSYIVVLIHRPNFQLSFIRAGDDKWTWLPHGAGYCDCVYMDGLLYALKSSGEIHAFDLTSSTVTMRVVIGSTRLGICDIMYIIQAPWGDLLQVWRTVDDTEKWGVRTLRVKVYKVDVEAKGLVEINSLPNHVLFLGRNNSLCLSADGYPKLKSNHAYFTDDLDQVTTPVNNYTRDIGVWDFKNRRKKVIVSRIWSSWPCPTWITPSLMKLNLAFSK >KQL23708 pep chromosome:Setaria_italica_v2.0:II:16817753:16818262:-1 gene:SETIT_031832mg transcript:KQL23708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTYGQNNSTKNVSSLATKNVSSLAISTKLSWKLDATFSQQQEAIVYSYIYYN >KQL25096 pep chromosome:Setaria_italica_v2.0:II:35987078:35989665:-1 gene:SETIT_030387mg transcript:KQL25096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATTSQPPRVCVTGGGGYVASWLVKLLLSRGYAVHATVRDPSGPKNAHLRRLEGAPERLLLFKADVLDRAALAAAVAGCQGVFHVASPVPADKVLDPESEVLAPAVKGTLSVLQACSANDVQKVVVVSSTAAVYFNPSWPQGRMKDESCWSDRNLCMKNEDWYSAAKTIAETTALEYREKNGLTVVTVCPCIVLGPLLQPVVNATSEFLIYIIKGGPSVMKNVPWNIVDVRDVADALLLVYEKVESSGRYICAPDWITTKGMVNLLKKAYPNYNYVNCDSDMDPNSIVTPLVSQMNLGWRPRKMEETLLDSIEYYEKAGLVQDVEGSPCRLPHLFHFASDK >KQL25027 pep chromosome:Setaria_italica_v2.0:II:35423538:35425037:1 gene:SETIT_031868mg transcript:KQL25027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKIAAAFKTALEKIGDPHSGIVFNFYRFEYKGRDDTWYRGEIFPMTDPKSSFDKGSGNRVSIWIRAAADERRMRELVHHLLPLEDNALLKPCFAAYNSMLGKTVVSCMPCTEDFSSWISRQTQVQSDGYLHDEAKRMIWSVVSFSKVLWVNGFVSDGLDDPKNYAMMDTHVKVLPFTIRKKAITDSKMTNRNK >KQL27069 pep chromosome:Setaria_italica_v2.0:II:47681165:47684562:1 gene:SETIT_030090mg transcript:KQL27069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSSMIQEMKGEIGAISRRGLLRSRSQSTGRVQRVEPDEAAMRESSWAQVPPELLRVVLAKVEAGEARWPGRGAVVACAGVCRGWRGTVKEIVPVPEASGKLTFPISLKQPGPRDAPVKCFIRRNRATQSYFLCLGVTDALVDDGKFLLAARKYRRPSCTEYLISLDSKGNGTYLGKLRSNFLGTKFTVYDAHPPCAGAVVSKGPSAHMIGSAQVSPMKGGPPAGNYPVSHISYEVNVLGSRGPRKMNCVMDSIPASAIKEGGTAPTQTEFPSSNSSSFASVPFFRSKSGQLDSSGAQNESKVALKNKSPSWHQQLQCWCLNFHGRVTVASVKNFQLVASGESAPTPSNQEDDDVILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFDTKIGCE >KQL23689 pep chromosome:Setaria_italica_v2.0:II:16308661:16313279:1 gene:SETIT_031268mg transcript:KQL23689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHSRDSNMQQLVPIAPPGKASGSDTGKELVLVDPAGKGSGGVKLREDEEDLEVKLRRIMENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQKRKEMALFEMRKEERLKAAEERTAKKRLKRQKKQRKKEKRTKTSNGGEESNRMESSDDDEGSDDDEKLKQ >KQL22936 pep chromosome:Setaria_italica_v2.0:II:6503062:6504821:1 gene:SETIT_030977mg transcript:KQL22936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGAGIPMDAGQDLWDWEVLPDERTFSMGHGSVHLNDQETEEHILPPPSGDAAEAEPDVQCKDIGVVPDESKPVLSVADLMASDKNEEEKVFQSPDDLMASDKNEEEKVFQSPDAKEADDDKFSEEEEDVKKDNNKARPECVVFSVGKLKVNGIGALCSFGVAAATVCIFLIGGRVQHHHRQQQQQKIQLQFYGDDKRIQQVVQQTSRLNQAMSSVMGAGGASTRANISFGGFYDGF >KQL23055 pep chromosome:Setaria_italica_v2.0:II:8102668:8103096:1 gene:SETIT_033413mg transcript:KQL23055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKQEGPKLFSSRILSRDRSNVANASFRVYYSLGAGTVPFLWESKPGTPKSSVTPASAASSMPPISPPPSYQSRSQSKDNKCRGKSSSIWSAGGWISWLNQNIRRRSPPSSPTDHQQRWLSQDHGVGDHERRPWRPTLCF >KQL26071 pep chromosome:Setaria_italica_v2.0:II:42196054:42196686:-1 gene:SETIT_033272mg transcript:KQL26071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQIPTAFDPFAEANAGDSGAAAGSKDYVHVRIQQRNGRKSLTTVQGLKKEFSYSKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSNFLVQAGIVKKEHIKIHGF >KQL23365 pep chromosome:Setaria_italica_v2.0:II:11346106:11349476:-1 gene:SETIT_0302162mg transcript:KQL23365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKGTKSKKQVDDVLDQQENKLPDHLELQRTRVVCNADAPIHTQGYQYSGAFTVMGVDNSVSVEKFCKNFKIDIKRLTEDDMEFDMIGVDASIANAFRRILISEVPTMAIEKIFMADNTSVIADEVLSHRLGLIPLDADPRLFDYISENDVPNERNTIVYKLHVSCPKKGSPRITVKSGELEWLPEGSQLSMASPGQSGDKPKTFTSFSQSQKDILQKPLGVKFKDITIARLGPGQAIELEAHAVKGVGKVHAKWSPVATAWYRMLPEVEILKQIEDVNAEELVKKCPVNVFDIEDLGDGRKRAVVAKPRACTLCRECVMGPSGDKIQLRRVRDHFIFTIESTGALPPEVLFTEAVKILEEKCEKVISELS >KQL24596 pep chromosome:Setaria_italica_v2.0:II:31627538:31627942:-1 gene:SETIT_032618mg transcript:KQL24596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPFAEAVSTTRALLGASHEDLRLRAHQLSRGLSIVFFPCAAAAGAFAERGRAWFPEGGLYVCADLPPLSPAVRAVLRVLMQVVVKEASYDVCVYFYDTVRDMLWQLVGDARDGRGPVVFDREKFEAAFALEGVE >KQL25237 pep chromosome:Setaria_italica_v2.0:II:36828928:36832011:-1 gene:SETIT_033261mg transcript:KQL25237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASRALLLARLRPLPAYRVVRPLAAAGSLLPARPWLSPAVAPGTAPLSPKHPWKTNYGHRPPKETTVPDGCDFEHWLAVMEPPPGDRSNPDVPRDEIVDGCIATLAQVVGSVEKARKKIYSVSTRHYFAFGARISEELSYKLKGLPKVLLVLPDSYMDAENKDCGGEPFINGKAVPYDPKYHEEWMRNNNARVQRNHRPHNSDRKMRPPVQQTVEPHDVPPVVHHAKGNMPPPPAPLANNGDPPTTYQHHVQSPQACDTPGSGQNFQQCGALVHQVDDNQDRQDNPGGESQGYPNNHDDNLHTYQANAYNKSNNNGRQGGCSCYQNGSAAGQPPLHGANAPSHQGCYRGQAVHHHYNCHVHYHALLLLAKLFSFIEVQI >KQL23601 pep chromosome:Setaria_italica_v2.0:II:15417800:15420897:1 gene:SETIT_031430mg transcript:KQL23601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALREQLNALLSSMFASGLVDDQFQQLQMLQDDGGTPGFVAEVVTLFCDDADRIISELAALLEQPVVDFDKVDAYVHQLKGSSASVGAQKVKFTCMQFRQLCQDKNRDGCIMALAVVRNEFYDLRNKFQNMLQLEQQIQAQQ >KQL26424 pep chromosome:Setaria_italica_v2.0:II:44258463:44261464:1 gene:SETIT_0289061mg transcript:KQL26424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDAEVIALSPGTLLATNRFVCEVCGKGFQRDQNLQLHRRGHNLPWRLRQRGPGAAPPRRRVYVCPEPGCVHHSPARALGDLTGIKKHFCRKHGEKRWACPRCGKRYAVQADLKAHAKTCGTREYRCDCGTLFTRRDSFVTHRAFCGALVEETGRVLAPPAPPSPRPPDLEAEENVDKDKGQEEEETEDSAGAEVEQPKPVEAPAPEEPQRIPSPPPLPQEPPRRPSPPPLPQEPPRRPSPPPLPKEPPRRPSPPPLPKEPQLFPSPLRFPLEQRPVVAVVPNVDEPEVVAETTVTAKLEDEADTCFKEADQYKEAELEVSNLLDKDTPMLPCFLPSPSEAIGTDGSSTTCGAGGSVSNSIAPSTTTNTFAGLFASATTSTTSQSRSLRDLIGVDPTFLCLAIGAPSSLFPQTSASNPGTFAPPPAPHMSATALLQKAAEVGASQSSSSFLKEFGLASSSSSSPPSKQLPQGRFAENSMQPWHHRSNQQMERHRNHQQREMESSSQPWHHRSHQQMEMERHRNRQQMEMESSSQPWHHRSTQQMEMERHRNHQQREMESSSQRWHHHRSDQQMDMERHRSHQQMEMESSTQRWPHNRSSQQMEVMERHHRTSQQMERESRAMLSGGLGLGLAYESGNAGLPDLMMGPSPLFGPKPATLDFLGLGIGGTMGGSTTNGGLPALMVGGELDVGSSAQVPAPWEDAKRKTNGRTIL >KQL26897 pep chromosome:Setaria_italica_v2.0:II:46816709:46817878:1 gene:SETIT_031937mg transcript:KQL26897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAAVTLLALLCSVTCCQASGYGYGYPGSGGGYPSPAPTPTPSGTGLAVGFYSHTCPNAEAIVRGVVKKAVEQNPGVGAGLIRMLFHDCFVQGCDASVLLDPTAANPQPEKLSPPNFPSLRGFEVIDAAKAALEAACSGTVSCADIVAFAGRDASAVLSGGRADFAMPAGRRDGRVSRSDEALQFLPPPSFNLSELTASFAAKGLDVSDLVVLSGAHTVGRSHCSSFIVTDGRLNASTSDMNPALAASLRRQCPAAPTAANDPTVVQDVVTPARLDSQYYKNVLNRNVLFTSDAALLKSGQTAASVVLNAFVPGLWEQKFARAMVKMASIEVKTGANGEIRRNCRIVN >KQL23942 pep chromosome:Setaria_italica_v2.0:II:23264061:23265166:1 gene:SETIT_031143mg transcript:KQL23942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEIVAEALYVFSIGTNDFILNYFVLPLRPAQYTAPEYVTYLIGLADAAVRDAYDLGARKIEFTGLAPFGCVPSARTLNHDEPGECNEEHNQLAMRFNAELQEAVRKLNGDLAGAQVVYAETYSVVSTIIANPSFYGFENVAQGCCGTGLIETSVLCGLDRPLTCQDADKYVFFDSVHPSQRTYRIVAKHILNTKLQVFL >KQL23491 pep chromosome:Setaria_italica_v2.0:II:14157709:14162176:1 gene:SETIT_029117mg transcript:KQL23491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLATFAISVTYVSGLSTPGGFWDGSQPGRRAGDAILEDYNRARLVAFFLGNTTAFVASLVIILLLLDKKLRFTASVRSVELYGCIVVALVGLVVAYAAGSCRDAETTAYVVVLVTLVLGSMVFLLGLTLPVALAARVLAAIRNGCQRLVGIYAAASKCLPCLRHQARERHADNGREEQLNESMEKARSLVLLLGTLATSVTYQAGLDPPGGVWQDDGDGHMAGDPILLTTNPRRYKAFYYFNSTAFMASLAAIVLVQKRSALKHGTLEAAMILDLFALIGAYATGSCRDVGTSIYVMAMAAGVVVYVAIHVVVFFTLGRHKERSGGGDDDDMVEKRRKRLLVFAILAATITYQAGLTPPSGFWPEDDGVLGHRAGEPVLLSNYPRRYRAFFYSNSLSFMSAIAHILLLVHPRLYRPAIRSYALSVCTAAGLLGLMAAYAAGSTQHLKTSIYIFVLAFLVVVFLAVLLFVGKKMMTGDVGDEPATESGGAGGGGEETGTTDAAIEAGGDGDKKCKDEKHAKSKYLMLLGILMASVTYAAGLEPPGGVWQSDGEGHTAGDSVLRTNRRLRYLFFFHCNSTSFVASILVVVLLLPQRLKQSGWWLTVTNMTVVVNLFGLLGAHAAGSSRGWETSGYAVALVVAALAFAVVHALMACFTRRGGTTSNPQPDTKEQGSVGHQPLGASV >KQL23427 pep chromosome:Setaria_italica_v2.0:II:12352952:12354434:1 gene:SETIT_031169mg transcript:KQL23427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTVFTPSLEGMKHVKSESGVILTKPFLQVCKHILPVLEKFGSAMSIVKTDIGGNITRLETKYASDPTKYEQLHSMVKVEVSAKTAKSSSSCTNGLLWLTRAMDFLVALFHNLVQHPDWQMSQVCSDAYSKTLKKWHGWLVAIKLAPDRKKFMEVISGSGNINEDIEKFCSTFSPLLAENHKFLASVGMDDLKAS >KQL24393 pep chromosome:Setaria_italica_v2.0:II:29532426:29535982:1 gene:SETIT_033054mg transcript:KQL24393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPFIHQRDASNKGDVSQNEMDQIASQMPSWEDEANIDPHPLPPREGPSTVDFDLDYNPNPRVNATADPPPLEELEDSGSSAPEAETTTFGSQSKWRVDVVSLVGELIEPPMVRSKFRNTIGVIIRTKEILDPYISDWLLRNRELALSNIYEVHLGSGGYLGKIDKWRQDREAAIAAGQPDHFEGLDEHGWQWLVARKPTIVDVNTPVYASSSVASMIAQPYLIDSICISTPCSLHVTVGRAGKIKEVAKGLVIPVGGLFEGKPIPHYYACVTVLEINSNYGVHCLGQSISNTILRHKQDIILSSVPSEHAVVDSTPPGPASPTAASEEQRVATPMVAAYEEQRPKDHPPPAQASPQQQQVDLPKEPQQQHVDPLEEPQQQHWTHNKFLKMQENDVHIVKEKVRYLDPYAICEVRHNFPSQWGDNHDKLAKHKKKKDKRVKRVQQHKKAMRRVSDYTAYMMLKWQDRHYIWAPYNFQGHWIAFMIQPKNGVVTVFDSLDYDQSTYKEFIFILKNLVNFLLVYQHYITNGGICNPERPKEMVRPKEMVVRTNFSCHKQPSGSVHCGYYVCEHIRMLRRYTIDPERVRGYLSYIGVHCILFRISNTCLIFMWILIAD >KQL24679 pep chromosome:Setaria_italica_v2.0:II:32196595:32197963:1 gene:SETIT_033511mg transcript:KQL24679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLGPTDTAGHDPAGSAPRSPLPLFAALCVVFSAVLHAEPLAAAAADLHLQPGVVAGAVLSVVAFFNLAILSLNFIVVRRPAAAGPTTATAGAAPAPAASASRSPVAFFMGLCLLMGAALHAEQLADAAKEYQIHPVVVVSVVLAASALFHLAILTIYKSLARHQAEDEPKQLRRPRGLPLLPFVVLALAIPTAASADTVMAAGATDLPQDASVWALLALAASFNIAIGSLYCFVIAGAPPPAATDVQPRLWSKAAGAMVSCTIAAGVLVSCMLLASGGAAGAIIAAPI >KQL22698 pep chromosome:Setaria_italica_v2.0:II:4666152:4666298:1 gene:SETIT_033686mg transcript:KQL22698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFMPVLCGITVTCNSADSCVCVCEALEILDSSGIKLTERVEI >KQL24172 pep chromosome:Setaria_italica_v2.0:II:26990957:26991822:1 gene:SETIT_031508mg transcript:KQL24172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWKGARCLGVKTVRESRPTLKEGVKKDLRLAIGKPESDSIEYINIPGVPCLGYCNCHVHAPPAAVSEAAEQYPGARKIQCKATGKKWQQIHVKQDWISMLQVTRLWLSQLSVINFIRTQAYCWKIIN >KQL25020 pep chromosome:Setaria_italica_v2.0:II:35293425:35294596:-1 gene:SETIT_030463mg transcript:KQL25020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAVRELWAESRDLLGLGSPSPDDTAAKASAVPRADLPPTPLAFLRDHVSPGRPLIVSAAATRHWPAASLWPTASYLTDALRSTTVSLHLTPDGRADALAPHPGRHESSSKCFASAHVRRVDFPAAVRLIRGSDPAAGLVAYAQQQDDCLRGEYAAVAGDVDAHVPWASEALGCLPEAVNLWIGNAHSVTSFHKDHYDNIYAVVSGEKHFLLLPPTEHHRLYVRDYPAAHYVAEEKDAGGEHQMRLKLELDEPERIVPWSSVDPCPASPEELDAQASSFPLYSDGPAPIRCTVRAGEMLYLPSMWFHHVSQSPGPNGLTIAVNYWQVWFFGR >KQL25893 pep chromosome:Setaria_italica_v2.0:II:41127111:41128395:-1 gene:SETIT_032912mg transcript:KQL25893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAPAATAGEIRRAQRADGPAAVLAIGTANPPTSMTQDEYPDYYFRVTNSEHLTDLKAKLTRICKKSGIKQRFMHLNEELLAANPDFTDRTLPSLDARVDIASAAVPELAAAAAAKAIAEWGRPATEITHLIFSTYSGARAPSADRRLASLLGLSPTVSRTMLNLHGCYGGGRSLQLAKELAENNRGARVLVACSEITLIAFYGPEGGCPDNILGQALFGDGAGAVIIGADPVGPVERPLFEMAFASQTTIPGTEDDISMEINKGGMEYHISNKVPRLLGCNVERCLIDAFGALGVSAKWNDLFWAIHPGGRAILDHIEGVLGLDDGKLAASRHVLSEFGNMSGTTVIFVLDELRRRRATKQEGVEAPEWGVMMAFGPGITIETMVLHAPAILDEN >KQL24380 pep chromosome:Setaria_italica_v2.0:II:29328090:29329801:-1 gene:SETIT_030488mg transcript:KQL24380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGRARRPAPAGSVRAAAIQALLLVAAALQAAYAVAEAAGGEEEPAAAVDYGVALSKSLLYFEAQRSGRLPHNQRVPWRGHSGLTDGLQQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWGAIEFGDDVDAAGEWGHTLEAIKWGTDYFVKAHTEPFVYWAEVGDGDTDHYCWQRPEDMTTSRQAYRIDRDNPGSDLAGETAASLAAASIVFRRSNPHYSHLLLHHAQQLFEFGDRYQGTYDSSIAEVRSYYASVSGYRDELLWAALWLHRATGRAEYLRYAVDRAESFGGVGWAMTEFSWDVKYAGVQVLAAKVPRVHPLHLSSFFY >KQL24402 pep chromosome:Setaria_italica_v2.0:II:29579226:29580016:-1 gene:SETIT_031444mg transcript:KQL24402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVPTKAEEVVVHAGGCHCRRVRWRVEAPASVVAWVCNCSNCSMRGNTHTVVPASKFNLQAGSDEFITTYTFGTHTAKHTFCRVCGITSFYTPRSNPDGVAVTVACVDPGTLRHVEYRKADGRNWEEWFENQAKAKAAEK >KQL24445 pep chromosome:Setaria_italica_v2.0:II:30193853:30195039:1 gene:SETIT_033754mg transcript:KQL24445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLDVDSRYLLHQHLKVVKCRAIPLSPHPC >KQL22960 pep chromosome:Setaria_italica_v2.0:II:6889918:6890250:-1 gene:SETIT_032326mg transcript:KQL22960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDIPWCMLFADDVVLVDESQAGVNRKLELWQETLESKGFRLSRIKTEYMRCDFGTATHEEGDVSLESQVVPWKDTFRYLDQCYNEMGILMRMLAIESKQGG >KQL25363 pep chromosome:Setaria_italica_v2.0:II:37610848:37611627:-1 gene:SETIT_033255mg transcript:KQL25363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGMKDFYRQKKKGGVTKASSSSKKKTQQYTGGASVGAPDTAQTSALVSHGSWDLKDDFGDQEEQLRQFDMDTKFGPCIGVSRLQRWERASAMGLQPPAHLRDLLLRIPSTKNRSDSTPSVECLWEGKVG >KQL27023 pep chromosome:Setaria_italica_v2.0:II:47455646:47456511:1 gene:SETIT_031488mg transcript:KQL27023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLSLQENQHSKVRRRRGGASAPALRASARNPVLQNYNYFGTQIPTNELHLASSLQLSALKPVTKDAQLPLHKNKIESGGKGEGEQDNTECGLSATPPFERIERMKDIQEHTLAMQIYPILSHLRRDAYARE >KQL22901 pep chromosome:Setaria_italica_v2.0:II:6233101:6233529:1 gene:SETIT_032198mg transcript:KQL22901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIESHRSSAEVVTGDAICRKKSVELLEELGLPKGLLPMEDIQEFGYNRTTGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAYAEKGKLRKITGVKTKELMLWLNVVEVYVPEASPDKVTFKTGTGLSDSFDATAFAHGE >KQL23239 pep chromosome:Setaria_italica_v2.0:II:10060397:10070503:1 gene:SETIT_028685mg transcript:KQL23239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPELGAATTPPRARGSFGAFLFRRRPRLLLPGLPPQPNSAILEVGIGTKFPLSVPTLRDSSRPRHYQIWSGCGACVILIAKYNPTTDEVTEFSASSCLTLIYSLNFCSVITTEGLGNTRDGFHAIQKRMSGFHASQCGFCTPGMCMSIFSSLVGADNSKRPQPRNGFSKLTVSEAEKAFSGNLCRCTGYRPIVDACKSFASDVDLEDLGLNIFWRKGDKKPDVSKLPSYTFGGGICTFPDFLKSELKSLQHLDDANITTSKGGRYHPRLQNTLCSGIFTFLDFLKSGLKLPQYHLNDANNTVSKEGWYHPRSIKQYYELINSTLFSASSIKVVVGNTSVGVYKDYDLYNKYIDIGGIPELSSIVRKGEGIEIGAAITISRSIEILENESKLMSSPNGSVVFRKLAEHMSKVASPFVRNTASLGGNIILAQKYPFPSDIATILLGAGSTVCVQVVGEQRHITLEEFLEQPPLDCMCLLLSIFIPHWISDSKTEKSLVFQTYRAASRPLGNAISYVNSAFLGHVSFDESSGDHVFSNLHLAFGAYGTEHAIRARKVEKFLTGKSLTASTVHEAIHLLKETVVPMKGTSHPEYRTSVAVGFLFSFLSAHVKGIAGPGKTFSSSSANSVDVIDVCDWPLSSRQEAISGDEYKPIGEPMKKYGVELQASGEAVYVDDIPAPKHCLHGEFIYSTQPLAFVKNIKFKSSLASQKIITVVSAKDIPKEGQNIGSMTMFGDEPLFGGPIAEFAGQALGVVIAETQRHADMAAKQAVVEYDTEDLKPPILTVEQAVENNSYFNVPDVFYPKQVGDFSKGMAEADHKILSTEVKLASQYYFYMETQTALAIPDEDKTMVVYSSSQYPELAQTVIAKCLGIPFGNVRVITRRVGGGFGGKGYRSFPVATAAALCAYKLQCPVRMCLNRNTDMIMVGSRHPIKSHYSVGFKSDGKITALHLDLLIDAGISEDLSPIIPNGVISGLKKYNWGALSFDIKLCKTNNTSKSTMRAPGDTQGSLIAEAIIEHVASVLSLDSSRVREINFHTYDSLVSFYPASAGEASTYTLHSIYSRLALTSSYLHRTDTIKQFNNCNKWRKRGISCVPLIFNVSPRPAPGRVSLLKDGSIVVEVGGVEIGQGLWTKVQQMTAFALGQLWPEGCEGLLERVRVLQADTLNLIQGGVTGGSSTSESSCAATLQACKLLINRLNPVMNKLRLQSATVSWDDLISEAYQENVNLSASVYWVPEGSSSYLNYGAGISEVEIDLLTGAIAVLRSDLVYDCGMSLNPAVDLGQIEGSFIQGVGFFIYEEHQTNSDGLVVSNSTWDYKIPSVDTIPKQFNVEVLNTGYHKNRVLSSKASGEPAVVLASSVHCALREAIRAARKDFANSVEYGTSPLTFQLNVPAPMTVVKELCGFDIVEKYLENQSAHEATTRA >KQL23943 pep chromosome:Setaria_italica_v2.0:II:23296349:23297372:1 gene:SETIT_033180mg transcript:KQL23943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPYFEIASAPCPLQRNEFYMHLYLRQTGSGSDRTQAEIVPPKEPNSFGVTHVIDWPIAVVPEPAATIVARAQGLLMQAGLINPRTYTSFNIVFEDDRRKSNNQWAISSGTGGFALAHGIIRQKVMPLDGRRDTNIKELHIHAFYTPMNNSVVPGATDGKS >KQL25434 pep chromosome:Setaria_italica_v2.0:II:38121119:38122670:-1 gene:SETIT_032440mg transcript:KQL25434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQSRRLDASAPPYVRKVSIVAPPATLELYMGPPVAALPPVGFYYPAPVLVAPPAPGFPPATSLACWGFPQSPCVGMPGASPSPAGWAPVTPVAMGMPAAPAEAAFPPQPQIPGVAATRRGGRHHHSRRGARPLPRLDVPSRQRGAGRAAPQPSSSGAEVAGAGKGEPAIEPSPRSVLVRSSPPDTPSALPTTFPYPELGSPSPPPSAGNKHVYPPRRRRSGGRATGRHRQAAGGTVRRAEPKARRLFDSSCNSTTVMIRNIPNDFRRTRLMQIIDQHCSIENDDIKSGGVKSEYDFLYLPMDFRVLLVIHPDLIARCVLPSTGANKGYAFVNMTTPEAAQRLHHHLHGHRWKVNRSGKTCEVDHGAIEVVRRPLPPALRMRRPVLGSFVSVWPMKLKLQGLDNLVKRFSDSRFDCADEEFLPVWFEPARDGSRTTVPHLVGRMLRIAPRD >KQL26306 pep chromosome:Setaria_italica_v2.0:II:43665985:43667375:-1 gene:SETIT_031109mg transcript:KQL26306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAQAAAVAAPVVAAAAAAHTAACLPTACRRGTSRWALMPSRAPVGMVGGFRWLRRRAQTRARTRRGRARATGRARGLVVVAEFGGTYEDGFEDVHKNIINYFTYKATHTVLHQLYEMNPPSYTWLYNYITVCDPLDGDYFLRLLAKERQDLAERVMITRLHLYGKWIKKCDHAMMYERISKENLDIMRQRLLETVVWPTDDTSTGD >KQL22603 pep chromosome:Setaria_italica_v2.0:II:3816378:3816918:1 gene:SETIT_033758mg transcript:KQL22603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVYLSKITKIGTPRNHKCRDGAVCTPLQGGSNFLWFIKMLPCVYRTYF >KQL26538 pep chromosome:Setaria_italica_v2.0:II:44966545:44967819:1 gene:SETIT_033303mg transcript:KQL26538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVGMELAAARHGCKVCGKCFSSGRSLGGHMRSHLPLGEAAAAEGDAADELVRASANGGRSSDGVVGYGLRENPRKTRRLSDFADDDDEEGGGDGGGDGDGQRKACRECGKLFSSWRSLFGHMRSHASGGRDRDEEEDVDVEGELVPEEAEAEEAEMAVATVEAPVLAPAAVTALAAAPRRRRRSMRVAAPPPAAPPPVPCGFEKEPEDVALCLLMLSRDTGVRSSAVREEPFESAKKRAGLPRSGYARNSDDDAKIKGRVPKGRKRSSLKQQLDAVAPKRTRYECPGCGKVFSSYQALGGHRASHKRINTSCSAPKVAPTAAASPAPEPSSETTLSPSASPDSVAIGFGKLNAQAAAEAAVVFSSGKAPSGHKLSHTMPADGGELYAGGADQEQEQHSPAAVGFLDLNFPPAPPEEA >KQL25131 pep chromosome:Setaria_italica_v2.0:II:36208706:36211587:-1 gene:SETIT_033763mg transcript:KQL25131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKYINFLEAEVLPSTSEHSRPRVQLKRADIWLGFKSLLGFLEAPAFEDGILEKYPVFLNIVLNHVSDDTSDLSCAVSCLKASFEMLGCKLWLRTTLSPSVMRNTLLGHCFHTRDEKSHKEIFDLFLPFLQSLEALQDGEHEKQRRNILYFLLHQVTRSSNFSALMRKNATKVAGP >KQL25435 pep chromosome:Setaria_italica_v2.0:II:38144913:38148030:-1 gene:SETIT_030480mg transcript:KQL25435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAATAASTSLRPPSASQRRLASVPRRWCWGIPVRSAGGSAFHAERRRRSTLLCAADKAPEESLKKTIEVDRLIDMLREANHRELDQIVVENVLAFDAGFWVRLAARIDLCKSDDDKKDYEELAENVMNIVDRLVHKTDQKIEQSTDVLKAILSPVMHEGDVKWPPRDPETVKLMEKEISRREQEGQLDEGFLSEVSAQLRQAKQDGDKPGLQAMLQKVLQLYASKSLQKRSYAYKGGQVVVPEKFLESIIQAPENEWNKVLLDGLTVGKGDVSPDDFYAVINKRIERVLIRTEGGSYQQRILVEYLKEIQARAEEVVKVLQGPTI >KQL22357 pep chromosome:Setaria_italica_v2.0:II:1959524:1962558:-1 gene:SETIT_030975mg transcript:KQL22357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRREGEHPASPWRPGVPGEEGDSGPFPSAIILVALVGATATTAAVGQLRRTVRWFYTQLSRSEPYVYWEDVPRTRRPNRSGEAWEQYYQRMRERNEDQRERVERIRCMQDVFKKERSKCRDYRTWESHGPNFYQHSQRDDRYWDAEAFYANQRTNFRSMPRETMSYAMSRHYSILGLDRSRSEPFSDAEIKNAFRRKAMEYHPDQNQNNKEVAEAKFKEVMDSYEAIKSERRNANC >KQL23323 pep chromosome:Setaria_italica_v2.0:II:10817506:10818846:1 gene:SETIT_031712mg transcript:KQL23323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALITTSTGCGKLPVRCCIDAGWPPGGVTSSCPGLRVSMEKKVNLYSIQKANFPRYEWRLSKESGSDPMIYQRSSNIYC >KQL27236 pep chromosome:Setaria_italica_v2.0:II:48752415:48754831:1 gene:SETIT_030375mg transcript:KQL27236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKSRFLLPLPIFVCLGASTALPDATKLTLLHRLDAVRHDRAEVIPERLAVVTGGNKGVGLEVCHQLALQGVTVILTARDEKRGTYAAESLCREYELPNIIFHQLDVRDDNSVTSLAQYIESRYGKLDILVNNAAITGIVADEEGLKALNIDSETWTSGRAANLLKEVFQNTYDEAFNCLNTNYYGCKRVTEAFLPLLKLSTSGARIVNASSLASELKRMPNEKLRNDLSNIMIWDEDRIEAVLNTFLDDLKNGRLEEAGWPMMLPAYSVSKMVINLYTRIMARRYPEMRINCVRPGFVKTGINWNLGVLTPEQGARGPVMLSLLPDDGPTGCYFDQTEMVNVW >KQL25670 pep chromosome:Setaria_italica_v2.0:II:39760541:39763204:-1 gene:SETIT_028877mg transcript:KQL25670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPLLPLLAGALLLLVATPAAGAAASCPHTNLTANFSADLTMLQHQLRGTVRLAANGTCALELARFDLLAASPSARFWAADGPSMADLAAGRAFSPLPLNRTFRNASLELPFARPLPRLLALYDPDTSSDFGHVFLPNGTGPELGTSAAVAAERAPTMFDNCIPLSETETYRLRWTLNASAGTVDIGLEAAIGSEYYMAFGWADPKANSPAMIHADVVVAGFTEEGTPFAEDYYISDYTECTLGKDDSPVSGVCPDKVYEDGKNDSILVYGHRRDGVSFVRYVRKLDSEDAKYDVPVGAAEEMDVLWAFGKLRPPDTLRQHYLPQSHGGPRDTTYGFVRLNLSETVDTCLGPLDADNKEDQERIIADRKTPLVVTSAPAVRYPNPPNPDKVLYINKKEAPLLKVERGVPVKFSVQAGHDVALYITSDPIGGNATLRNKTEVIYAGGPNAHGVLATPKVLVWLPDRNTPDLVYYQSLYEQKMGWKVQVVDGGLSDMYNNSVLLDDQQVTLFWSLSPDSISIAARGEKKSGYLAIGFGSGMVNSYAYVAWVGNDGVGRVKSYWIDGKSAAGIHPTSENITFVRCKSENGIITFEFTRPLKPSCTGKVECKNIIDPTTPLKVVWAMGASWSGDDLTDSNMHSVTSSRPIRVLLLRGSAEAEQDLRPVLAVHGFMMFVAWGILLPGGIMAARYLKSLKGDGWYQIHVYLQYSGIAIMFLGVLFAAAELRGFFVSSVHVKFGVLALLLAVLQPLNAKFRPSKPANGEVPSRNRILWEYLHVITGRSAIIVGIVALFTGMKHLGHRYDSENVEELTWALMLWVLSVIVIVLSLEYKEVKRMSSNRSVRGHWVLGNTEEDDSVDLLHPDGTARNSDSSTSGVMEVQLEPLNR >KQL25920 pep chromosome:Setaria_italica_v2.0:II:41267005:41267286:-1 gene:SETIT_032270mg transcript:KQL25920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENVVLEVDCHSLKISLESIDDSCSLIGGLWHDIMELSRSFSSFKFVWVRREANSVVHSCASVVSSTEHSCFWLDAIPDWLTCLAVGDCTPTSD >KQL22623 pep chromosome:Setaria_italica_v2.0:II:3947435:3948738:1 gene:SETIT_032592mg transcript:KQL22623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRFVNLVLEEYYDSRKYSLHRLDVAKYLFYPSTAQAEAANAKESNNGGGGAAALPEPSMRFSPFPPADDGEDKRYRGSSFNDDTFILLSPGSSEGRILHATEGGATVVYDADAHAVSATAPSFPACMERWPIAFSVPGAGGFMEEKESLYVMRSTIRPPPPPKYNRYDPAPKEQDPCSGDFVVLDFNKPFEWQRLPRPPFVPDGDRPGSGSYSDIRIGSSTVVDGGRTICVSVSPSFNVERTYCFDTATRQWRHAGDWALPFYGRAEYVPELGTWIGLSSSPPHHLCASDLAAAMDAHRAPTLQHVWDDFTPPPDKDSSIVLNRRFPLYVLSRWTEWSLLGVSLVNLCSGRFCILKVFNMSRSESVGFSSYDWSEEKEFTVLTGVEVVRCHDGEGGLRMVKHKSRRCEFDSNDHWLL >KQL24093 pep chromosome:Setaria_italica_v2.0:II:25995007:25995502:1 gene:SETIT_032930mg transcript:KQL24093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPKRGIALADSVLIEFDTRIKNGEQEDDDDPQLIDGAVVFNGLRMLGVPFKGRIQGNCGAIDMSATLVENAVEATVEVVIFEVQSGFDLSLISSFFWFVIAVEMNTVMRLKFKAGRGSNSDNVEHHCSFKAKLHGFINHA >KQL24507 pep chromosome:Setaria_italica_v2.0:II:30909953:30912350:1 gene:SETIT_030573mg transcript:KQL24507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAAAAAADGDPYVPIYISSDEEDGYAQAYFARSYSPEEIEIQEAILLSLDRSRAPAATASSSASPSSSRPDGVSDPREPPPDRKGKRQISSEDGSGDRKKKRSKRGRFNCAICFEMVEVSEKFAVSHCAHAFCNSCVGRYVAGKIAENVAVVGCPDPECEDGVVEMDLCRDIVPPELFDRWNVALCENLLGDDKMYCPFKDCSALLINDGLVKIRETECPHCHRLFCARCRVAWHDGIKCKEFRKLGDDEKGEDDLTLKKLANKKKWQRCPKCKMYVSRTSGCLLIKCRCKQYFCYHCAAPMDKTTHYCENCKR >KQL26610 pep chromosome:Setaria_italica_v2.0:II:45382379:45391051:-1 gene:SETIT_028796mg transcript:KQL26610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEQEGREVQVRALDARSTAVRLAPGASVRDLKAALRSSFPPAQVAPSFHLFLKGAKLRLDAKVDSLAIGDGEFVVLVPFARSSQQGSSVSVPSEEQGVSAPKQPEVSAAANSAWQDIMDDLSAMPSSPRSDVASKYFHSSCDPCSGRFTEDMPPCQSSSIGSSRKRKKTCKENGNGSPETLSSRENGTAEKRNMGKKSGVTKSAATSCHVRLPYIFLSAHVNFNFHHPLPIQETQLAACLIQGNTFNMSYTHWPARVTFHRKQPFDYMVGMQDMHPLEPAEMAEHLKQGLGKEGQIVHMQEIPSREASFTELPCHLSEAMREALTSIGISRLYSHQALAQDQLRTLLEMKNAFHTDIDVKIYDGDTPREDRLWIRDNARLLITNPDMLHVSILPYHGQFQRILSNLRYIVIDEAHSYKGAFGCHTALIIRRLKRICSNVYGSHPTFIFCTATSANPCEHVMELANLDEVELIQNDGSPCGSKYFLLWNPPIYITEGSSKASSIPRRSSSIVEVSYLFAEMIQHGLRCIAFCKTKKLCELVLAYTREVLQESAKELVDSICVYRGGYIAEDRRKIESDLFGGKLRGVAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRAKQSLAIYVAFEGPLDQYFMKFPHKLFGKPIEHCHVDSHNLKVLGQHLACAAYEHPLCLQYDERYFGSSLDSAMTTLKDKGYIINKTSGPFSSSMWNYIGPEKSPSQAVSIRAIEHDKYKVINKLNNRLLEEIEESKAFFQVYEGAVYMHQGVSYLVEELDLSSRTAFCRKVDLKYYTKTRDYTDINVLGGEFAYIPTSTCRTNRVKTTAQANDCTVTTKWFGFYRISKSSNKISDSVELNLPPYSFVSQAFWVRIPHSVKILVEERKLQFRGGSHAASHALLNIVPLHMMCSTSDLGTECANPHETRGIPDRILLYDRHPGGIGIASQAQMLFGELLLAALELVSTCNCTSAAGCPNCIQSLTCSEYNEVLDKEAAILILKGVIDHERAYFEAEHACQQS >KQL24477 pep chromosome:Setaria_italica_v2.0:II:30482364:30489897:1 gene:SETIT_030259mg transcript:KQL24477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAAVAFLSPAVAVSSRALPLRRARHLAVRAVASPPASKAKPAPPPSKTGKWEWRFEDKPINIYYEEHEQDTYENVKNILMIPTISDVSTVEEWRVVAKDIVGRKGELGYRATIVDWPGLGYSDRPSLNYNADVMENFLVQLVNSPNSPVANADDELVIVGGGHAATIAVRAAGKGLIRPSAVAAVAPTWAGPLPIVFGRGSDMETRYGLLRGTLRAPAIGWMMYNVLVSNEKSIQSQYKSHVYANPENVTPDIIESRYELTKRKGARYVPAAFLTGLLDPVQSREEFLQLFAKLDGDTHVLIVSTLNAPKRSKAEMEALKGAKGVTKFVEVPGALLPQEEYPLAVAEELYSFLQESFAARR >KQL22525 pep chromosome:Setaria_italica_v2.0:II:3328861:3331803:1 gene:SETIT_028973mg transcript:KQL22525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNSTIDCSYDALEQCSSMITCDASSWDKSFGEKIWLMNSLQLISAVLAGVIVGIGIYGQRYRHHRFTRFIFLGATTLFLPVVSTVVSMGAGNSNHSIVRGDIRFIAECQPRAQSILIVIWASLVQIIMINTSAVVAVDDREGGNVGPPFELLVQGVWIFYLGISNIIPMADGLFEIELILFALEATPFALTCAKMVLKYYAYEKARQSFALGRNPHLIFGYMKQQSLQETSHDGEPMVAEDAPPPLLVMGEEKRHVEKQPLGYVVKDDSWTTSHNNGLVTIDRVWRMDNVLPTSTLKPQKDLCLSFALFKLLRCRFARYKVRTAASKGTFSFFWSLLLKDGEHDRVFLVISDEVSFLHDYYYSSLPISYSKHWLPVAGILISLLSIAYCCASMITVTLVVVQLLLDVGFGPQSFACYFFCIRGKLRSDMYIQGYGNGYLGPVLLILLSVLVLMSEVRDIATYIYSNWTKVAVTCHLVNHASSQHSLLKKKWIGLLLRCRCKLMKHWDEKIGQCTMLEIRPRTTLPVLLRRLLHLPDHKRKVKVPAAVKVCIMEVVRSTRNGDLSNGTASLRCRGQVGEVLLWACNNKSTSYTILTWHIATSILEVRYPHRLDQQQGSSSPIPNTDYKIVATHLSRYCAYLVTWCPELLPDDDAWSRSLYEDVKKDVERVLAGCTAGDSLTPEANCQQLIEVLSADAKHEVLKEGARLGKQLLALVVEGEDDTAAWKLLAEFWSEMIVYVAPSDNLKGHSEAIARGGELITLLWVLLFHAGILSRPGEDDGVAPTSAGVV >KQL25448 pep chromosome:Setaria_italica_v2.0:II:38263827:38269209:1 gene:SETIT_029085mg transcript:KQL25448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLALRLGPLLPAPPHRRRGLRNRCQGRIVASNATTPVRDGGAAAVVWFKHDLRIDDHPGLTAAVAEPRRPVVPLYVFDRRILAGYSDKMLELLLFALKDLKMALKSQESDLLIGLGNAEDVVLKLVNEVQAGLIFTEEEVEYRVRSVLVNVESSLSNGSFSWGSPPKIVAWSAPLYGYKNLKEVSTSHDQFLKTKLPVATPLAAATLPALNLELDTGFLPTLEELKGFLKDSRTPKDNWVPLKNTSARSILKKTLSQRKIKSNTTLSTSNGEDIEDFTMDSGTSGRRIMNSMFASENSLEVRGGTDITLDALAAYLRYLEGTGNASWQELHDKLRLAETRDGASFYTLFGPAIQLGVISRRKAYNDTIQYEKDRNAGFLSPFGYSTPTVKAAVDAICSMEWYWLLASKSQVSVGGNYPIRIWRWKGYLVQYTFVGNEGPAALLVHGFGAFLEHFRDNIDNIADMGQRVWAITLVGFGKSEKPNVNYSELFWSELLRDFIIDVVREPVHLVGNSIGGYICAIAAGLWPSLAKSLVLLNTAGSVVPNYSFVPLSEEKQTSWLSKLQAQLLLLFLRSRVEGILKEYYPTRTERVDKPLVDQIIRASYDPGAATVLESVFNFNLSIPLNFLFDSFGGKILVIQGMKDPLTKSEAFVTMLREHCSKVQIRELNAGHAPHDEVPDEVNTLLFEWMKQIEVKPALEKTKAI >KQL25813 pep chromosome:Setaria_italica_v2.0:II:40686712:40692435:-1 gene:SETIT_030098mg transcript:KQL25813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEAQKGFACILELLAEPLYIISQKKKYYNIRVYAEPAATLFRCLTTFILVKGHIKVHKLVLISLSQVVYGAFIFFGYCSYFLIFTDMKIYDLLPLRLSNLMDYDKQLLHMCMLFTGQTFRKLILQEGEKFVLVSFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYTTFARLAGETPLNISNLEGSLLGALKLIMLIGLVIISFGPSYSYTLLKLLYGDRHSDREAPVILRYYCFYIISLAMNGTSEAFLHAVANENQLKQSNDMLLLFSVIYIILNVVLIKSAGALGLIAANSINMLLRITYSAMFIKNYFKGSFSFRRCVPAGWGILLISAVTTVFSERVFLNRTRFKQTVPIHIAIGIMCLSISSFEIYRGEKQFLRQIFGTLKHHDKSQ >KQL26837 pep chromosome:Setaria_italica_v2.0:II:46557869:46558611:-1 gene:SETIT_033012mg transcript:KQL26837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFWNQDRLIAAAPGREHTHMGLPLEPCCAGASPAPASRQRPCGAVGKEPAAAIGCRTPPRRQGGVVAGGGGDGEAGVLVCPPAPRKKRRPAAPAAGAQRATPEFYTGADLEAFFAAHNV >KQL22810 pep chromosome:Setaria_italica_v2.0:II:5469803:5471026:1 gene:SETIT_032939mg transcript:KQL22810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPKKSGAVAGLPDDPLVEVLSRVPAKDLHRSKCVSKGWRDLIADPLHRKKLPRTLQGFFHAWDFINLLGGSRPPVDPSFAFLKKLPGIGNICLRDSCNGLLLFRHATSRTISYVVCNPATEQWAAVPSEHTPADYHMRVRNTYLVFDPAVSSHFQLVTICAEEGSLATVHIYSSETGVWKHSQTDWAEEEKQLGLWKGPNPQINGRVRGAPFFNGLLYVMLNNYQIAKVDVEGKTRGIIPAPSSMNNLGAYYGPPFIGQSQGHLYCIHEPPSQVYTRDFNDDRDLLSIWVLDYDTQKWALKHRVSRTHLFGSRFYTSDCDYNVVAIHPDSNMVFIFLNWKRQLISYSLDSKEVRALGTLEQDPGWFVPYVPCFLDFLSVVEHEEKLKGPGEQSAEDVARVGGGGQ >KQL22761 pep chromosome:Setaria_italica_v2.0:II:5135985:5136610:-1 gene:SETIT_031736mg transcript:KQL22761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYVELLDMGVRIAARFHSHCPQTARLYYHPPAGAPTAAGDGRKGESAAAAVMAQKRQQGFEPAEIILYTVV >KQL22316 pep chromosome:Setaria_italica_v2.0:II:1589685:1590749:-1 gene:SETIT_032413mg transcript:KQL22316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRQPPELVADIVGEILLLLPPADPASLVRASLVCKLWRRLLSNPAFRRRYRAFHGAPPLLGFLHDHYAHGAVAEPRFVPTVAPSPIPQPALGCRDWSVIDCRHGRVLFDIFGYRVSLVVWDAVTGGRHFLPDPPISRLLYGAAVICAVRGCDHLDCHGGPFLVLLTALDVTGSVMQVQMYSSAAGAWKLSAHQDLRHHVARKPSTLIGDDIYFRLDSASTALLRYDLGKNRLSTIDAPASHSYEGGFAIMPMVDGSLGFADIRGSELCLWSKNVDTEVSAGWEQRAVIELETLIPESFNQAYVVGFEEGGDVMFVSNDVGVFTIELNSGRVEKISEPGHYDAVFPFMSYYTK >KQL27035 pep chromosome:Setaria_italica_v2.0:II:47512043:47517914:-1 gene:SETIT_029936mg transcript:KQL27035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRRPGGAAAGDPPTRPQPADAVAASGEPAKDPVLRPPNLSVAAAAAAIFLAPFSYLAFVHYPLDADLRGSILKCGAMSLGGFFVVLKLIPVAARYHLRRRMFGYDINKKGLPTGEIKVPEALGLVVGIVYLVTAIIFQQFHFTEDSIWLVEYNAALASVCFMILLGFVDDVLDIPWRVKLALPTIAALPLLMAYAGGTSIIIPKPLTPYVGLTVLELGVFYKLFMLLLAVFCTNSINIHAGINGLEVGQTVVISAAVLIHNVMRIGSSTDIETQQAHEFSIYLVLPFLTTSLALLAFNWYPSIVFVGDTYTYFAGMALAVVGILGHFSETLLLFFLPQVLNFLCSVPQLFHFVPCPRHRLPRFDPQTGLLTGTKDGNLVNIFLRLFGKCSEKALCIRLLIFQALCCVFCFWLRYVLTGWYK >KQL25636 pep chromosome:Setaria_italica_v2.0:II:39513858:39516010:-1 gene:SETIT_032307mg transcript:KQL25636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAEAAAGAGRGGGAAAGMRVFSPEYYALCAGGGMLAAGATHLAITPLDVLKVNMQVNPMKYNSIFAGLNVLVKEEGPSSLWRGWGGKFFGYGVQGGCKFGLYEYFKKRYSDVLPDSNKSTIYFLSSASAQIIADVGLCPFESVKVRVQTQPMFAKGLVDGFPRVYATEGLSGFYRGLLPLWGRNLPFSMLMFSTFEHTVDFLYQKVIQKKKEDCSTMQQLGATCLAGYISGAVGTVVSNPADNIVSSLYNKKAENIIHAVKSIGFRNLFTRSLPIRITLVGPVITMQWFFYDTIKILTGL >KQL27012 pep chromosome:Setaria_italica_v2.0:II:47406785:47407634:1 gene:SETIT_031693mg transcript:KQL27012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKYWAKKIQKSLLASKVDDVCCVPYTLYALSHTIETPDGDKNAVRNFLLSCRMFYLKRSRSQLSTSLNISPSFMHIIQPYL >KQL25689 pep chromosome:Setaria_italica_v2.0:II:39859243:39863232:-1 gene:SETIT_029635mg transcript:KQL25689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGVSTYTVDEALVFMGFGKFHAFVLAYSGMAKISEAMEMMLLSFVGQSVQAEWELSAQAESLITSVVFVGMLVGAYAWGIVSDNYGRRVGFNFTAIVTGGAGLLSAFAPNYLSLIVLRFMVGVGLGGGPVLGSWFLEFIPAPNRGTWMVMFSAFWTVGTIMEASLAWAVMPAFGWRWLLALSSLPSFALLLFYPVTLESPRYLCMKGRIAEAVHVLETMARVNRVTLPSGRLVSGHRTELHEMGDSSETAQLVTTKKNNTGDLASKSEIGGLNAILKLLSPNLIRSSLLLWTVFLGHAFLYYGLVLLTSELNHGNRICGSEGGAEVTTTAHINDENLYRNVFITSFGEVPGLLLSAAIVDKFGRKLSMSSMLYISCLCIAPLMFAQTEALTTVFLFGARVCISASFTVLHIYAPEIYPTAVRATGVGFASSIARFGGILCPLVAVGLVHACHQTAAIVIFITVMLVSGVAVSYFPLETSGRKLSDHITA >KQL23101 pep chromosome:Setaria_italica_v2.0:II:8406599:8407182:1 gene:SETIT_033706mg transcript:KQL23101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRPFFADQMGNARYVDHVWRTGVTIDGELQRGKVEAAISTLMGAGEPGAGMRRRALELKNSAAASIGEAGSSSVNVDMLVSLIQSM >KQL25510 pep chromosome:Setaria_italica_v2.0:II:38784982:38788110:-1 gene:SETIT_029643mg transcript:KQL25510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDVEMNDSAATAAAADAPAPAQSTLHHLKEIASVIEAGSLSKEVRRISRAFRLTIALRRRLAARDVAAFLAFALPPSSEAFARLAALVPKEDGSEMDVDAAAPTAQVSIKHGLPEIEIYCYLLVLIFLIDNKKYDEAKTCASASIARLKSLNRRTVDVLASRLYSYYSDVHELTNTLAEIRGTLLALHRMATLHHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTTAQGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKEALTPYFELTNAVRVGDLELFRSVADKFSSTFSADRTCNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKALRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >KQL25356 pep chromosome:Setaria_italica_v2.0:II:37537532:37537894:-1 gene:SETIT_033361mg transcript:KQL25356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGLISFVFGTIKKRRRERRAMDYERLSSGGAPSTQGPLERLTSAAYGGVSRSQSCRFVARPPADDLDLSHGERLLLGGRAPPEGLPENELLPAGRRRAGPRVSRSRRFSSMRLFGCGA >KQL25691 pep chromosome:Setaria_italica_v2.0:II:39870218:39870798:-1 gene:SETIT_032354mg transcript:KQL25691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAKLYAPFAFFKACFDDTNLRKLGRTSGASQGDGYICNFDPNCVNWELYLLDTHIPAVLKISRGEKDGRA >KQL24930 pep chromosome:Setaria_italica_v2.0:II:34690733:34691572:1 gene:SETIT_033251mg transcript:KQL24930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPALIAAILLAVIATAVGVHAHAPAPSPEASSESTTSPSKAPAGAPDATEMETPWMSPANAPAPDDANSPAAASPEDEEAPTMAPGPGANAPDAASLEEEEEEQEEEKEEEDEEAPTMAPAHSPSMIGESPEEAPAGAPEFESASIAAPPLEDAPAMAPAFAPFGISEAPEAAPAGAPEAEALGPSSEDMAAAPSSEDYDVLEEEVLAPEGAAGSGGASTGPETEENPDKAAVSKTPAAAPGPSGDDADSGATIVPTRRSLVASVFFAAVFAVAAS >KQL26119 pep chromosome:Setaria_italica_v2.0:II:42465592:42468797:-1 gene:SETIT_029197mg transcript:KQL26119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVPVVDLAALALVMVIPYAAAQPWAVCDGQSGNYSAGSTYADNLLRLVSVLRANASNSPALFASGSAGAGADAVYGLVLCRGDVSASDCFDCGTRTGEDVQRVCNRTRDAALVYNQCYVRVAVTDFLSSPNNTGMVPLISGTSIPAGVNVSAYDGAVTRLLNATAAHAVDRSSPRLYFATGQLVGLDPRVPNIWSMAQCAGDLSPAQCRGCLHDLVTLWWNGSGFKPNGEGARLAGSRCNLRSELGDKFYTGAPMVKLQMNGEAAVPAPAPSVTVPGTTGGKNNSAGKLLGIILPIVLISVVAAITLYICNMRKKRRSPGTKLPRRIEDFESIKSTLLSLSSLQVATNNFDESNKLGEGGFGSVYKGYLSGQEVAVKRLSKGSNQGLEELRNELVLVAKLHHKNLVRLEDPEEKRRLDWRKRFNIIEGIARGLQYLHEDSQQKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIIGTFGYMSPEYVLGGQYSTKLDVFSFGVLVIEIVTGLRRNTGHYLDEQYEDIISIVWRHWSEGTIAEMIDDSLGRNYSETELLKCVNIGLLCLQQNPMDRPTMSDVMVMLNGDATSSLPPAARPAFSLDPSSGYSNASCTVSYPSAR >KQL26120 pep chromosome:Setaria_italica_v2.0:II:42466332:42468797:-1 gene:SETIT_029197mg transcript:KQL26120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVPVVDLAALALVMVIPYAAAQPWAVCDGQSGNYSAGSTYADNLLRLVSVLRANASNSPALFASGSAGAGADAVYGLVLCRGDVSASDCFDCGTRTGEDVQRVCNRTRDAALVYNQCYVRVAVTDFLSSPNNTGMVPLISGTSIPAGVNVSAYDGAVTRLLNATAAHAVDRSSPRLYFATGQLVGLDPRVPNIWSMAQCAGDLSPAQCRGCLHDLVTLWWNGSGFKPNGEGARLAGSRCNLRSELGDKFYTGAPMVKLQMNGEAAVPAPAPSVTVPGTTGGKNNSAGKLLGIILPIVLISVVAAITLYICNMRKKRRSPGTKLPRRIEDFESIKSTLLSLSSLQVATNNFDESNKLGEGGFGSVYKGYLSGQEVAVKRLSKGSNQGLEELRNELVLVAKLHHKNLVRLEGFCLEEGERLLVYEYMANKSLDTILFDPEEKRRLDWRKRFNIIEGIARGLQYLHEDSQQKIVHRDMKASNVLLDADMNPKIGDFGLARLFGQDQTRDVTNRIIGTL >KQL24699 pep chromosome:Setaria_italica_v2.0:II:32320880:32322153:1 gene:SETIT_030382mg transcript:KQL24699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGGHCHGAKHPPPLPPPRRARGERRQASASSGSFSASLLDAIYRSLDEGDGGADVFDAAARGSVEEKAAATATATAQFWWAKDVAKPRHSSSSDRDRRRREAVAVARPRHSGYASSTTSSSDSSASYSSFSCSSASTTDTESAHRRHSGDPPPPRMSEESVVATDAEEATPPPKSKGKKKKSRPCFPVARIRPRASVPPSSGPQPPSPATFACALKALFSSARLQRKTKTPAAAPQPPASPPLLQPQRVSSTSTAKAADAPQPSEPRTVRFGPDAGASVVVRRRVEELVRSLEELEEDEEGSDASSDLFELESLRRAGADELPVYGTTSLVANRAIAQGAAC >KQL23460 pep chromosome:Setaria_italica_v2.0:II:13691126:13692293:1 gene:SETIT_031291mg transcript:KQL23460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQASAKPEVTMGGGSRRDGHGEERKERSGAAKGGGRVVPVDDDDAEEVVEPCVIAVDDSSVDRAIVTALLRRSKYRVTAVDSGKRALEILGSEPNVSMIITDYWMPEMTGYDLLKKVKESSELKQIPVVIMSSENVPTRISRCLEEGAEDFLLKPVRPSDISRITTRMLLH >KQL24127 pep chromosome:Setaria_italica_v2.0:II:26557415:26559280:1 gene:SETIT_029490mg transcript:KQL24127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPQLLLGALLFLLPAALLVRTRGKQRPRLPPGPPSLPLLGSVVWLTNTPSEIEPLLRRLFQRHGPVVALRMGVRLNVFVADRRLAHAALVEAGAALADRPALASAGFLGEGDATISRAGYGPVWRLLRRNLVAETLHPSRVRQFAPARAWVRRVLAEKLGEHSGGPDAPPPRVVETFQYAMFCLLVLMCFGERLDEPAVRDIAAAQREALIYRSRNMPVFAFLPAVTKHLFRARLDKARALRRRVDELFLPLIDARREYKNMKPGGQPRKETTFEHSYVDTLLDIKLHEDDGDRPLTDNEIILLCSEFLDAGTDTTSTGLQWIMAELVKNPAIQERLYNEIKAAADDDDKEEVSEEDVHKMPYLKAVVLEGLRKHPPAHFVLPHKAAEDMEIGGYLIPKGASVNFMVAEMSRDEREWRNPTEFSPERFLPGGDGEGVDVTGTKGIRMMPFGVGRRICAGIGVAMLHLEYFVANMVREFEWQEVPGHEVDFAEKNEFTVVMKKPLRPRLVPRRAHSTRTSTH >KQL25744 pep chromosome:Setaria_italica_v2.0:II:40183621:40184114:-1 gene:SETIT_033486mg transcript:KQL25744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHGDRGLSPGRFALEMTYRTCLKRSHSKIRSWDAGSFKSVNDICTGGFLCNVCRHYIVSSSGFCLFRLFLLPLFSNWCCGLC >KQL23618 pep chromosome:Setaria_italica_v2.0:II:15505319:15505945:1 gene:SETIT_032980mg transcript:KQL23618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQQVLEPVAAVTSMFQFHNDIAAAARVTDWLPEGLPPVLPAGVDADLVRLALAGHSRGGHTTFALAVGARRQNHHPQVLRAHRARPRRRHRPVLPAPAGDPTNPPPCPSPSPPRRWSSAPGWAATGRTRCSLSLPAPPGSSSRRARYHVVAGDFVHLDMLDDDDAPRLETCLCKEGDGCKGVMRRTVAGIMWSPS >KQL25219 pep chromosome:Setaria_italica_v2.0:II:36742934:36743306:1 gene:SETIT_033518mg transcript:KQL25219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMKTTSAFLILRNKSFPGLFKVMKNSRQVGLDLFLFLSAFHYRPSLYFQ >KQL24309 pep chromosome:Setaria_italica_v2.0:II:28743385:28744146:-1 gene:SETIT_032858mg transcript:KQL24309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGTTPSTGGAAGGGCTSAPAVSTTPPGTPRASAPAVPPQPPSSVAVGYYYAVELYFDPALENQVLKAWNALARRQLGTRLIDAAARPHLALLHLPAAALPPPGTGAGGDPLIRLGPSLRALASRLDPLPLALSSLAALPASASSPNDNVLFLAPTPSAALLGLHAQLCELLRKDAGVEVPDAFRPDHWVPRCAVAVDVPRGRMAEAFCVLRELKLLPVSGYGMDIALVEVGAVVRELVSYPLGGSGGAGAD >KQL25290 pep chromosome:Setaria_italica_v2.0:II:37118714:37122427:-1 gene:SETIT_030332mg transcript:KQL25290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRWLRREVFVGLALGQFVSLLITSTGFSSSELARRGVNAPTSQSLLNYILLALVYGGTLIYRRQHLMIKWYYYLLFGIIDVEANYIVVKAYQYTSLTSVMLLDCWSIPCVIVLTWIFLKTKYGLRKFVGVGVCVAGLVLVVFSDVHASDRAKGPNPLKGDLLVIGGSMLYAVSNVTEEYFVKKSSRVEVMAMLGVFGAIISGIQISILERHELRSTHWNAGAILPFIGFALAMFLFYSAVPIILKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWMYFVAFAGTAAGLVIYSYKSSKQTAEETAQVTGARDEEAATGNGTAQVPGVGDDGPASNKEFSSAATASR >KQL26948 pep chromosome:Setaria_italica_v2.0:II:47079410:47082452:-1 gene:SETIT_032899mg transcript:KQL26948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWPWSWQGTALAAAAWLCLQLAVARLMEALWWRPRRLERHFARHGVRGPGYRFFFGSSIELIRLMVDASSRPAPPEAPHDVLPRVLAFYHHWRKLYGPMHLIWFGTTPRLIVSEPELIREVLLSRAEHFDRYEANPLIRQFEGLGLSNLHGDEWARRRKILTPAFHVENLKPLVPFVTETVQRMLEERVLSPAAAVGGGEVEVDVAEWYQRLPQDVITLATFGRNYEEGSVVFRLQGEHASYATEAHSKVFIPGYRFLPTRKNRRVWQLDREIRRLLGKFVTGLQSGGDHRGARDHGRAGGMKDFMSFMAPTMTADEIVEESKNFFFAGKETLTSLLTWATVALAMHPEWQDRARREVVAVCGRRGAPTKDHLPRLKALGMVVNETLRLYPPAVAMIRKAKRDVDLGGCAVPAGTEIMMPVMAVHHDVGVWGADAAEFNPARFADGGDRPRQQMAFMPFGGGGRVCIGQNLALIEAKVALALVLQRCEFRLSPAYVHAPRVLMILNPQHGAPVIFRPL >KQL22965 pep chromosome:Setaria_italica_v2.0:II:6926214:6927893:1 gene:SETIT_031957mg transcript:KQL22965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAVLPDDVPPSQRQRLTAGQILPHSKKLRSPRVGSEKRAREEEDFQATFVESGESEVVSKDKARPLTAPTGVLVLMFLMRRRDNQFRGIRRRPRGKWAAEIRDPHKGVRVWLGTYNSPEDAARAYDSEARRVRGKKAKVNFPDETPVASDKRLAEPTSVKVAKTGTQEKLTINNMTNSNVYQHPVVDHTVPEPSVQTQNISLENSVASVQEPLVNLSSYQGRSFNSCSEFRLENDTRTTDIPSVLAPVPTLTEVDESAFLQGTADAVVPPVTGDASVDLFEWQPYLENFLIDSSDESFNHSLVCECDGSQCPDVGSNMNLWNFDDMPVPDDFF >KQL22622 pep chromosome:Setaria_italica_v2.0:II:3936235:3943197:-1 gene:SETIT_032738mg transcript:KQL22622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRALLCAVLLLATAGSAGGTEVLSKSRLERCKRDSDAGGSLSCAQKLVLDLAVPAGSSGGEASLVTKVVDVVNGTEATRRIRDPPVITVSKSAVSAVYALTYLMDVVYKPEEHFVETRKCEPYAGSNVVGECERLWHENGTVIEHTEPVCCPCGPNQRAPTSCGNFFDKLVKGKRNTAHCLRFPGDRSHVWGIGPWSLGFSIRVQVKKGSSVTEVVVGPENRTVISSDNFLKVNLVGDYAGYTSIPTFEDVYLVTPRKGAGSGQPQDLGDEHIKWMLLERVRFGPECNQIGVGYKAFQNQPSFCSSPVSSCLNEQLWNFWESDKSRIDSNQVPLYIVEGRFQRINQHPNAGAHSFSVGVTEALSSNLLLELSADDIEYSYQRSPGKIMGIDVATFEALSQVGTAKVTTMNIGKLEASYRLTFNCLSGISKLEEQYYVMKPGEVFIKSFDLHSSSNEAEKYQCAAILKASDFTEVDRVECQFSTTATVFNNGTQIGSTNDHKEEGGIWGLLEAIRTFCFNFWDFVTNFLTGKSCSWTKCSSLFDIGCHFQYICIGWVIIICLVVAMLPIGAVVLWLLHQKGFFDPVYDWWEDLLGLEPHGRAHLRHRKGHRRRHHHHHRHSHHHGDYHQHRHHHVHLQRHHHVLLHRHGVEQPEAAAEEGHRRHRHDPTLGVQHRGGAGHKHGHGKAVAAAVHFDGPSRLREMEDAVEFREWRRDEVRHAEHGMHGHDRRQYSRF >KQL24109 pep chromosome:Setaria_italica_v2.0:II:26263180:26264965:-1 gene:SETIT_029444mg transcript:KQL24109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLPSGFSNCKSYPNGPPGMPNPANFHQPQFPQHVSFSHPSYAMSVPHQQFPQQHLYPPNIQYVVVQPQYAPFSLHQPPPQPAGAMSAPPPPTTGTMPLPALPLQPPPAGVVPFMSVSYSGTPHSVTVPDVQDTVSVDNDENAEPERTARRLNWSEVEDLRLIHAWLNTSKPNGSKKNDAHWGNVAKLYNSSTPKDRRRARNQLKLHWHKINKKTSHFYDCWCRVEEKYSSLQSGKLKQMDKTWSKYDEEARAMYLEEAKHRFTLSHLWKAVWDQPKWKNYISSLYSKGTKLSESGDGTSSSEDASDTSEKEMDEQESMPAKKKWEGECKVASPPLELQQDIRSSVDPQNMFEKNPLMADTSRIHEFQHGKEKLMPDTSRFNEFHNENAVRDNMPEKERHPQGCNASTVRNSAPEKETRQQGSKMAKSKRKRKGNASIPSSEVQEDIKRAMDLQNMLQKDREKMSEVQLRLSKEKLELARLKQQEAKDKKETTLYEKYTELLMADTQRFNDFQNEEHKKAVKRMGEMLFGKDGM >KQL26496 pep chromosome:Setaria_italica_v2.0:II:44755014:44757164:-1 gene:SETIT_029502mg transcript:KQL26496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKERKQGFFAALKEEVVRGLSPARSRGKSPAPPRSASPARMLIPRRRKSAAPPQPPPEKVLQQYLGEQLIARSGSLRPGGEALAPLIEGPDAERLAAGDPDAEDSGRREGFGNWVRGHLTRTPSMASAAAGPGGSGASFRRSDLRLLLGVMGAPLAPIPSKLAEPLPLLSIKGTPIESSSAQYILHQYTAASGGYKLLQSVRNAYAMGKVRMVASEFETATRVVKNRGPTGRGAAAVEQGGFVLWQMAPDMWYVELAVGGSKVHAGSNGRLVWRHTPWLGAHAAKGPVRPLRRVLQGLDPLTTAGLFAEARCVGEKKVNGEDCFILKLTADPQTLKLRSEGPAEIIRHVLFGYFSQRTGLIVQIEDSHLTRIQPHAGGDAVYWETTISSALEDYRPVEGVMIAHSGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSVDCFIPPADIRSGSVGEACELPSQSHGERARAGAVHPSRVAAVERAHAHYPHSANAGAAGAGGRGEKVMWRVEV >KQL25564 pep chromosome:Setaria_italica_v2.0:II:39074743:39075379:-1 gene:SETIT_031412mg transcript:KQL25564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKRLIQMAKKWQRMAALARKRITSAPAKETEGSPCSTSSVAGKGHCVVYSADGRRFEVPLAYLGTAIFGELLSLSQEEFGFAGDDGRITLPCEAAVVEYVMCLLRRNASEEVEAAFLSSIARPCHYGSGWAQSMGVSQQLAVSIF >KQL24220 pep chromosome:Setaria_italica_v2.0:II:27760757:27763819:-1 gene:SETIT_030274mg transcript:KQL24220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREALRMVCSPQFWRMAVVWTLSLLHSYLLVFLRGRVAATPRRRRPRPGGGRRPICVVTGATSGLGRAAAAALAREGYHVVLAGRSTQLLFETVQDIRRQQPDAHLETFQLNLASYKSIKKFGTSLKQWIQETSSEPAIQLLVNSAGILAKSHRVTEDRLDEMIQTNYIGPFILTNILLPLLKNSSVPSRVVNLTSFTHRCVSGIDVSEDALRGMKFGQCSAGENYPLACTYEYTKLCLLMFSYELHRQVHMSSGVSVIAADPGVVETKIMRELPECVSWFAFLVLRFLKLLQEPDTGVGAVLDAALAPPEESGKYFFGGKGRTIGSSRLSYDTEVAKKLWAESSAVFKELLLREGDFRDS >KQL25575 pep chromosome:Setaria_italica_v2.0:II:39106401:39109365:-1 gene:SETIT_029694mg transcript:KQL25575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMMMTAGESKSPARALRRLAGAAVAAVLLRRTFSASNCKTEARMATARMKLLRNRREAQVRQMRRDIAALLRDKQEDTARIRVEHVIREQNFMAANEIIELFCELIVTRLPIIAKQKECPADLKEGICSLIFAAPRCSELPELGRIRDIFEKKYGKDFVSAAVDLRPDAAVNNLLIEKLSVKKPSGQTKLKVLKDIAKEHQIDWDTTESEQELLKPPEELIKGPSTFVEACNMPVKTTLTPHVVQPIPTNFSSRYSDDEYDNGDTMQFKDAASAARAAAESAERAASAAKAAADFANKNNHPFDEVEDCKDSAHEYTHPRKRQSMSNSSGPSRKEDADAFDELKPHGGKASSTGSFSGTNHVEDRDNYPADLDTRKTRRRNSRAARKVHSEIKFDDSEGLYSESEDENDVEIQSVERPLPPTREPFSENRHSEEEGPDNDFPELPKANHQSRVHPNMPLDYETLTARFEALKTGKLP >KQL26758 pep chromosome:Setaria_italica_v2.0:II:46163262:46164804:1 gene:SETIT_031300mg transcript:KQL26758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGLGLLAAVAVLALASGAASQALAPAPSSSMDCGAAVAGLLPCLSYVQQGSPQGKPAMECCAGVKGALKSPATVACLCAAFKQKYPVAINMTRAATLPAACGEDQAAFSKCNIKVPGAPTEGPAPASGSAPAANSPGASKSAAARSPVSAFAVVAAVAAPLLSYYYL >KQL23358 pep chromosome:Setaria_italica_v2.0:II:11235956:11236711:1 gene:SETIT_032564mg transcript:KQL23358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKAVQFTFRNNCPETVWPATLTSSGPAFPTTGFALAPGASVSFPGVGATWSGRVWGRHRCTTTTGARFSCESGDCGTGQVACGGAGGAPPATLAEFTLGGGANKDFYDVSNVDGFNLPVDIEPAAAGDGRCQRTSCPADINQVCPGELAVRAAASSARNGGDAAVVGCKSACLAFGTDEYCCRGRFASPATCKPSGYSRLFKAQCPQAYSYAYDDRSSTFTCNGSVDYHVTFCPGSGATRSHAVLSPDGNN >KQL22532 pep chromosome:Setaria_italica_v2.0:II:3364719:3366372:1 gene:SETIT_030352mg transcript:KQL22532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMPAVSAATGAAPPPASAVVEDIYGFLRVLEDGTVLRSAAGPAFCPATFPDSHPSVQWKEAVYDKAKNLRVRMYKPSSAAAGGSKLPVLVHFHGGGFCLGSCTWANVHAFCLRLAAEAGAVVLSAGYRLAPEHRLPAAVDDAGGFLRWLREQSANAADDDSWLAEAADFGRVFVTGDSAGGTIAHHLAVRAATTKRGELDLDPVTVRGYVLLMPFFGGVRRTRSEAECPAEVLLNLDLFDRFWRLSLPAGATRDHPAANPFGPESPDLAAVDFRRPVLVVAGGLDMMHDRAVDYAERLAAMGKPVELAEFAGEPHGFYTLDPGSEATGELIGLVSRFVHTCAAPSDS >KQL26464 pep chromosome:Setaria_italica_v2.0:II:44509575:44510060:-1 gene:SETIT_032247mg transcript:KQL26464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSRGAAHGGRRRRRGAKPNPIAFPPKPVRRLLSTSLRRLLPRPRPLTVVVRGGGGWFKLGRRRKTPAEDLAAVALSLALGGDRLAALAEAWNASGLGQALGILAAVLGRSRRTRGGGFRRLVAFLLGVAFCALVCHLRGAALLDGLQKSGGGRRLLRIFLH >KQL26683 pep chromosome:Setaria_italica_v2.0:II:45753323:45754571:1 gene:SETIT_032195mg transcript:KQL26683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAITRREGLLVEERVDDAKADGWWNWKKTDMVLLGKVATALHLKKIDELDQVNRTRKMAARDLEDQGLVSSDADDEQLPNFARAGSLDGPAPTATRRGVQWPLHVFGMVAVRDSVDRNRNIIFYRTRDDCQTLTEKVELKVKGNVETEDKDLSLLAVPLTCSGGSGSSPFEYTSKLSTMEFMLGEIASSVEATVFVRVTDGSWPDGFRGQFAARTSSIGQEKVILLDFGDGNVTVNADGIMKLSRHVVSVDVSGKLKVSFKAWQDGCKVVEGEVVFTPAKAGRSYGTLRFGSCRMEVLVAWSLIS >KQL27249 pep chromosome:Setaria_italica_v2.0:II:48818757:48821736:-1 gene:SETIT_029831mg transcript:KQL27249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAKRAASLKVKRRVGKYELGRAIGEGTFAKVRIAKNMETEEHVAIKIIDKAKVKKHKLFEQIRREICTMKLIQHPNVVRLYEVMGSRTKIYIVLEFVMGGELHDIVATSGRLKEDEACRYFQQLINAVDYCHSRGVYHRDLKLENLLLDIAGNLKISDFGLSAISDQVKQNDGLLHTICGTPNYVAPEVIDDKGYDGALADLWSCGVILFVLLAGYLPFEDDNIASLYKKISGAQFTCPSWFSAGAKKLITRILDPNPSTRITVPQVLKDPWFKKGYKPPAFDEKCQASLDDVDAAFGDSEELLVTEEMEGQPASMNAFEFISMNKGLNLDNFFESDEKYKRETRFASQCPPKEIINRIEEAAKPLGFDIQKKNYKMLMENPKAGRKGNLNVATEVFQVAPSLHVVELKKAKGDTLEFQNFYRTLSTELKDVVWVCNDEDRTSTP >KQL23235 pep chromosome:Setaria_italica_v2.0:II:10030764:10032339:1 gene:SETIT_033233mg transcript:KQL23235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKEPVAIPERCRLPYLPREAGATSHGRSETPHLITISPSIGVTASSNTISSPTHVPHSCSPADAMKQFVSPSTPTSSSTPSRCLSPTGSPLCIERSSGTSSSMSCSHSTVGHHFLVALLRYIEAAQSSCYDGASALGSHSDAADLNPPSPADGCHRAAKGNSTCSQPPPAVGPASSTPAPSSPHKKLTLTMDSSDDRPRGYCTPFPRDDALCIFHHADNTFTCLVKDHVLGMATSASLRGENKKKWSHHHVVAWNEGMVT >KQL24558 pep chromosome:Setaria_italica_v2.0:II:31259916:31260337:1 gene:SETIT_033582mg transcript:KQL24558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAQCTCRASTLQFIADKSYQAATCSSRSSSNFHGKERLQS >KQL23567 pep chromosome:Setaria_italica_v2.0:II:15106360:15106678:-1 gene:SETIT_033442mg transcript:KQL23567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTNLISSNHNHPKLVLLNLANKQTKLI >KQL25562 pep chromosome:Setaria_italica_v2.0:II:39048230:39048680:1 gene:SETIT_031714mg transcript:KQL25562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSCWSSAHTHVCWFSGISHMVRVDMHFISKLSFLLRIYHTSKLKQRIRVAVLAVRSVSMHVPCYHSFITVSRTLIN >KQL26530 pep chromosome:Setaria_italica_v2.0:II:44922269:44922664:-1 gene:SETIT_032942mg transcript:KQL26530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYPSTLKPPQKISPSSTTCTLDTTRTRTPRTKVIVKKFWKGQKLTDEIFTIKKSNHSLTRTTLLEGDITSAPKKPPTARKSSESIGESRNRLGVTSLDCSWGTHASRGRERVVCAAADG >KQL24056 pep chromosome:Setaria_italica_v2.0:II:25301750:25301869:-1 gene:SETIT_032436mg transcript:KQL24056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGARDLKLKGLKRALEEQKARLYIIRQCVAILMTWHD >KQL22165 pep chromosome:Setaria_italica_v2.0:II:336067:336613:-1 gene:SETIT_032482mg transcript:KQL22165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASGSTQALAPPAHRGGGGDPAAAEHPRCLHHLLESVAAEGAPEADSRRLTRLLVNVTVDRSLWPVHLVLGADATVADLVRAAVAAYVREGRHPPIHQHPGGAADGCELHFSKYSLESLRPEEKVLDLGSRNFFLCARRSADAA >KQL25865 pep chromosome:Setaria_italica_v2.0:II:41020281:41020571:1 gene:SETIT_033458mg transcript:KQL25865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPPRPGRAPLRPARVSSPGEARGLTSARGSSTPEGRR >KQL24038 pep chromosome:Setaria_italica_v2.0:II:25094455:25099996:-1 gene:SETIT_029473mg transcript:KQL24038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGCAGGDGAAEGTLARWRRAAAKRIGLSCASFFSYATAPSPPSSKTISCSALNAPADGSDGEQQKMEEPTSTRVADKNLCAICLELLSTSSSDVDNVERPAIFTAQCSHSFHFLCIASNIRHGNITCPICRAQWSELPRDLKIPPLLHNQSDPILRILDDNIASSRVNRRSSIRAARYNDDDPVEPYTSTEHVDPCLRFALIPAPVAAHHHVLGHYPCGRMLPLRQHCQYSSSSMLSPPQIASPSGQRRAYLSVSLAPQPAMDLVLVASPNGPHLRLLKQAMALVVFSMRAIDRLAIVTNATTATRAFPLRRMTSHGKRIALQVIEHLCCVGGTDPVGAIHKGLKILEDRAHQNPSNCILHLSDHPVRSCVGVDMNHSNIPVHQFHVGHGFGVQSGFIMHEFEELLARLLGGVIGDTQLRIGEHGGMVRLGELRGGEERRIPLDLVADCGFILVGYSYLEGGREEQLRTGETAVGFEEKGDSRYCGVREMGLSIGGERRSCSAERRDYHDPFMARRWAKHFNVYRA >KQL23154 pep chromosome:Setaria_italica_v2.0:II:8775061:8777658:-1 gene:SETIT_033422mg transcript:KQL23154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRTPAVRRPLLAASTLLRTCRHLSSTSPPPPPHHPNPVASELLRLLSAAPSWTPDLAGAVSSSLSSAPASAADVVIPVLRVLKNPSIAAPFFLLASSASSPHPLPADAYNAVLPFLSHDLAALEKILEEMSVLGYGVPNPACADLVATLVRARRLTTPSARLGPMRRLKFRPAFSAYTVLIGALAEARRPERALELLRQMQEVGYEVGVPLFTTLVRALAREGRVGGALELVDEVKGSCLEPDIVLYNVCIDCFGKAGNVDMAWKFFHELKAQGLKPDDVSYTSMIWVLCKAGRLSEAEELFGQMEAERAVPCAYAYNTMIMGYGSAGQFEDAYKLLDQLKERGCIPSVVSFNSILTCLGKKRKVEEALTLFEAMKMDAEPNSSTYNIIIDMLCMAGKVEEAYKLRDEMEHAGLFPNLLTVNIMVDRFCKAKKLDEAYKIFETASQRGCNPDSVTYCSLIDGLGKKGMVDDAYRLFENMLDAGHNANPVVYTSLIRNFFMHGRKEDGHKIFKEMNRRGCHPDLTLLNTYMDCIFKAGDIERGRAIFDDIKGYGFLPDVRSYSILIHGLTKAGHARETSNIFHAMKQQGFILDARAYNAVVDGFCKSGKVDKAYEVLEEMKVKRVPPTVATYGSIIDGLAKIDRLDEAYMLFEEAKSKGIELNVIVYSSLIDGFGKVGRIDEAYLILEEMMKKGLTPNVYTWNSLLDALVKAEEINEALICFQSMKEMKCSPNTYTYSILINGLCRVQKYNKAFVFWQEMQKQGLVPNVVTYTTMISGLAKVGNITDACSLFERFKANGGIPDAASFNALIEGMSHANRAIEAYQVFEETRLRGCRINVKACISLLDALNKAECLEQAAVVGA >KQL24682 pep chromosome:Setaria_italica_v2.0:II:32207705:32210571:-1 gene:SETIT_029783mg transcript:KQL24682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQNWRSYLCCVGGSAAVEDDDSTPRRRQVRGKDSPRSSSRMSFTSLSSSEMLSPEDLSLTLSGSNLHAFTYAELRAATANFSRANYLGCGGFGPVYRGAVDEALRPGLRAQDVAIKYLDLEGGTQGHKEWLAEVFFLGQLRHKNLVKLIGYCYEAEHRMLVYEYMSFGSLENHLFKSINGALPWMTRMKIAVGAAKGLAFLHDADPPVIYRDFKASNILLDSDYNTKLSDFGLAKDGPQGDETHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLSGRQSVDRARRPREQNLVDWARPYLKHPDRLYRVMDPALECQYSCRGAEVAAIVAYKCLSQNPKSRPTMREVVKALEPVLGMDDFFPAGPFVFTISVEDDKVVGVKVEVEEKKPHRPQQHQSHQDRHRQKYPNSSIHAGIVLHSRDGMVGGDDYTGAIRRQRRASSHRQERGA >KQL25606 pep chromosome:Setaria_italica_v2.0:II:39325997:39337540:1 gene:SETIT_032441mg transcript:KQL25606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVNKGAPIEQPVLPLMLASSRGDLFSLFVRLIWVWFCAAARGAGGGEGAGGEALFCMTALPRSRSRNCNILSSETSVCSLRCLNQQFVLSGCLMHTSICLQKRKKKKKLKAKQKEAARLQAQAASDGTKKTEKKQRKKAAEDENPENFIDLDTPNGGKKLLAPQMAKQYSPSAIEKSWYAWWESSGYFGADSASTKPPFVIVLPPPNMTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLVRERKLTRHDIGRKNFVSEVLKWKDQYGGTILNQLHRLGASLDWSHEAFTMDEQRSKAVTEAFVRLHKEGLIFKDYRLVNWDCILRTAISDIEVDHIDLKDETMLKVPGYANEVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYKHLHGRYAVHPFNGRKLKIICDAELVDPTFCTGAVKITPAHDPNDFEVGKRHNLDFINIFTDDGKINSNGGAQFEGMPRFTARVAVIEALKKKLYKDTKKNEMSLGICSRTNGVVEPMIKPQWFVNCNSMAKAGLDAVRSKKIEIIPQQYEQDWQLSWGHRVPAWYVTLEDDQDKTLGSDNDRWIVARNESDANLEAQKKYPGKKLWLDQDPDVLDTWFSSGLFPLTVLGWPSDTADLRAFYPTSVLETRLDILFFWVARMVMMGLQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGMSLEGLLKRLEEGNLDPNELNIAKEGKKKDFPDGIAECGTDALRFPLIAYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYIPPSTVDVSSMPPICKWILSVLNKAIGKTVTSLEAYKLSDATSTIYSWWHYIKPYFFSDSQEFESARAASRDTLWICLETGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMISDYPSLVEGRTNDRLENQMDIVLDAVNRIRSLKPPTDSNERRPAFALCRGQEIAATIQCYQSLIVSLSSVSHLKILTENDETPADCATAVVNKDLSVYLQLQGALDADAEREKLRKKKDEIQKLQHALSQQMDASGYREKAPQNVQEEDMRKLTALLEQLEVISEAEKKLDANI >KQL23342 pep chromosome:Setaria_italica_v2.0:II:11027730:11033059:1 gene:SETIT_029380mg transcript:KQL23342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGNICIADVDCLISCGELDNENAEVRKARRLKIPIVREDYIGECIRKNRTLPFDLYKVENTLESKGGTVTVKVKGRSAVHESSGLQDTAHILEDGKSIYNTTLNMSDLARGVNSYYVLQVIEEDDGSECYVFRKWGRVGNERIGSQKLEEMSKTDAIQEFKRLFLEKTGNPWEAWERKTNFQKQPGRFYPLDIDYGVKQAPKRKDISETKSSLAPQLLELMKMLFNVETYRAAMTEFEINMSEMPLGKLSKENIQKGFEALTEIQNLLKNTADQALAVRESLIVAASNRFFTLIPSIHPHIIRDEDEIMIKAKMLEALQDIEIASKLVGFDSDNDESLDDKYMKLHCNIAPLPHDSEDYKLVERYLLNTHAPTHKDWSLELEEVFSLDRDGELNKYSRYKNNLHNKMLLWHGSRLTNFVGILSQGLRIAPPEAPVTGYMFGKGLYFADLVSKSAQYCYVDRGNPVGLMLLSEVALGDMYELKKATSMDKPPRGKHSTKGLGKTVPLESEFVNWRDDVVVPCGKPVPSSVRSSELLYNEYIVYNTSQVKMQFLLKVRFHHKR >KQL26216 pep chromosome:Setaria_italica_v2.0:II:43110804:43113082:-1 gene:SETIT_030151mg transcript:KQL26216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIEDYYMYLFPKYHPTRVITLTYQPFVLATTAIFTYHEAKVNTRLRNLAGYTLFFLSSFAAIIMDVATSGRGGIAPFLGICIIAAVFGIADGHVQGGMTGDLSLMCPEFIQSFFAGLAASGAITSALRFVTKAAFENSRDGLRRGVMLFSSISCFFELLCVLLYAFIFPKLPIVKYYRSKAASEGSLTVSADLAAGGIQNRPNPKSEEDPACVERLSTKQLLLQNIDYALDMFLIYILTLSIFPGFLAEDTGSHSLGSWYALVLIASYNVWDLIGRYVPLIEQIKLRSRKGLLVAVVSRLLLIPAFYYTAKYGDQGWMIMLTSLLGLSNGYLTVCILTEAPKGYKGPEQNALGNLLVLCLLGGIFCGAVLDWVWLIGKGW >KQL27060 pep chromosome:Setaria_italica_v2.0:II:47640259:47641356:1 gene:SETIT_030639mg transcript:KQL27060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAVRSFLVGKSHAEPAMSSFLSRFSTASGSQRLAGKVAVITGGASGIGKATAAEFVRNGARVILADVQDDLGHAAAAELGAGDAAIYTRCDVTDEAQVAAAVDLAVARHGRLDVVFNNAGVSGILAPSPVGALDLADFDRVMAVNARAVAVGVKHAARVMVPAGRGGSIICTASTAGVVGGVAMAPYSVSKAAVIGLVRAVAGELARSGVRVNAISPHYIPTPLVMGFMAECFPGMSVDERRRVVEREMNEMDGPVLGVEDIARAALYLASDESKYVNGHNLVVDGGFTVGKAPNMPKPEH >KQL25821 pep chromosome:Setaria_italica_v2.0:II:40732853:40733238:1 gene:SETIT_032611mg transcript:KQL25821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRTRTTTLKTGEALVLWLKAMVVSPTQEGYEIVYDGNWPPSDPYGTVHVPRRHVRMMNPSPTTPPPPPSFAAIPCASATTAAVPAAQKKETQPAPRPTRAGKSLRLIRRSLLPEMERQARADSHGYY >KQL27243 pep chromosome:Setaria_italica_v2.0:II:48798792:48800461:1 gene:SETIT_030025mg transcript:KQL27243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGGGGAAHLVSNSSAPPARRLKVLRFLVVVAAVAAAVSSQLLPPSVVIVPSSWPFTSAPDFKKQQPLAPPECVVFNFGDSNSDTGNLVAGAGFRLHQPVGRRFFGKPSGRFSDGRLYIVFICERLGLDHLSPYMESSGVNFRHGANFAVAGAMVAGAAGTFELATQVRQFRHFKARTEDLRPRGLGSGITSQEFQNAVYTFDIGQNDLQAAFSAGLSYERVLERIPAIVTRIKNAVTMLHEAGGRKFVLYNTGPVGCLPSMLARRRRGGELDRAGCLVDHNGVAGAFNAQLGRLCGELRAELANSTVVCVDMHAIKYGLVANHTAHGFSEPLMTCCGSGGPPYNYRPGKACGSPKVKACADGDHRISWDGLHYTEAANRVVADEVLSAEYSDPPLRLQTLCTSPS >KQL23632 pep chromosome:Setaria_italica_v2.0:II:15581091:15585838:1 gene:SETIT_029006mg transcript:KQL23632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATAGSSSPPTPHADDSPEPSLAGEKVYVAVGTEVAESRATLLWALHKFPRGAGAGAASFVLIHVYSPPKFLPCLGARVPAAQVEEQELAAYKEMELQRVNDSLDQYLHLCAQGKIHAEKLVVESDDVAQGLVELISEHHVTTLVMGAAADKHYTKKMKTLKSRKARFVEQQAHPLCKVWFICKGTLIYRRKAVQLSHEEMQECRQSSGVRHYSVEKSASLSEMWCVANTWLCKSIGEPQIERTKSDPLYISGKDNIEESCEPYYNFQNILRELENARQEAYEEKCRHVKAERELFEALQKAQASENSYFREMKQKNELEEKLTTIMEEFESLTVRVDELCAELQGEREQRMVLEKRGEHADRIIKDLMLQRDKALRETETLRARKGESTATEEGMMHITEFPYSEIKEATNDFDHSMKIGESVYGSVYKGFLRHTNVAIKKLNPETTQTQSQFSQEVEILSRVRHPNLVTLIGACKDAQALVYEYMPNGSLDDRLACKDNSKPLSWQLRTRIASNICSALIFLHSNKPHSIVHSDLKASNILLDGNNVAKLSGFGVSQILTDQFKATTTLYRHTHPKGSFVYIDPEYLISGDLTPLSDVYSFGIVLLRLLTGRSGFGLLKEVQQAMEKGCLQAILDSSAGEWPAMYAEQLAGLGLRCCKIRRKNRPDLQTEVWTVLEPMYRSASVMLCSLSFKSVSEDLGGVPSYFICPILQDVMRDPLIAADGFTYEAEAIREWLDSGHGTSPMTNLELPHRDLLPNHALRSAIQEWLHTYGD >KQL24668 pep chromosome:Setaria_italica_v2.0:II:32117325:32119641:-1 gene:SETIT_032340mg transcript:KQL24668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMSTERATWSYTYEKGLVDILKELANVPMFKGQNGWTAEGWRNITNKFNDMFPTTHFTKQQVQEKEKELKGNYKIIKEARKSGVGWNDTLGMIIAEPKGWEKLIKDNHKVAKFRKKPFPLFNSLELLYEGSVATGDLNFTSIQPPPQRTEPTPHNSELPTEPTPQTKSIEVQSAPASRNSEDQDVTGGKKRKQSQMAAKLGDYIDFRKDQIGKTLEKLEEKRKREEDYSIEKCIDIVDAMEGLSDEQKADANEVFQSETNRKILVGTKNPNVRLIWLKKKIAQNQ >KQL26491 pep chromosome:Setaria_italica_v2.0:II:44733234:44733797:1 gene:SETIT_032711mg transcript:KQL26491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDEYLSLCLMALAAACQQAGAAPSSSMAAASTDLPLHFRCPLCGKAFASYQALGGHKASHRKPPAAAGVAPLFHQKEVSAEASASGSGCGGTGRHVCTVCRRGFETGQALGGHKRFHYLHGPSVSASLPGAAEPSAGGGFDLNLAPLVAPEIGFPGVRRRSEDEEVQSPLPLPAKKPRRRPSNSA >KQL26801 pep chromosome:Setaria_italica_v2.0:II:46394547:46395793:-1 gene:SETIT_031643mg transcript:KQL26801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARLTAGRLLVILLALHLLVASTHAARFTRRFSARMVELEAPPLKGAEDTAEDNWRRNAVVEEMFGRMALQITDYPGSGPNDRHTPKAPGP >KQL23269 pep chromosome:Setaria_italica_v2.0:II:10385387:10389122:-1 gene:SETIT_029496mg transcript:KQL23269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQQPLLPLVVVPLLVVLPLLYLLLSWRRKKGAADDGRRAPPPGPPEQLPVLGNLLQIGSRPHRYFHAVARRYGPVVEVRLGRVRTVVVSSPEAAKDLLRTNDQHCCSRPNSPGKVLSYDFLDVAFSPYSDYWREMRKLFILELLSMRRVQSFAYARAAEVDRLVASLAAAAGSSSSPHHPGAPVVDLSEKLYALFDGIVGTVAFGKMYGSAQFERSSFQRVMEDTLRVLGSFTFEDFFPASRLARCADALTGAAARRRRIFRQIDRFFDSVIDKHLEPERLQAGVQEDMVDALVKMWREKDDEAVGLTRAHIKGILLDTFSGGIDTCSVTMIWIMSELMRNPKVMRKAQAEVRGLVENKTRVDEEDVKKLRYLKMVVKENFRLHPPGTLLIPRETMQRCVIGGYDVLPGTRVFVNVWAMGRDPSIWDDPEEFRPERFEGSHVDFRGSDFELLPFGSGRRSCPAVAMGVANVELALANLLYCFDWELPQGMMEEDIDMEETGQLVFRKMVPLCLVPIKRV >KQL24757 pep chromosome:Setaria_italica_v2.0:II:32875144:32876758:-1 gene:SETIT_030553mg transcript:KQL24757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGFEEACREIRGARGHPRRLGQLLATRSPAERQQIRAAYRASFGEDLVAALQGILVAGAGGQEDELRKLLYLWALDPAERDAVVAREAVEGGVTVAGYRALVEVFTRRKQDQLFFTKQAYLTRFRRNLDQDMVTEPSHPYQRLLVALAASRKSHHDEPSQHIAKCDARRLHDAKNGGAGSVVDEAVILEMFSKRSIPQLRLAFCSYKHIYGHDYTKALKKNGSGEFEEALRAVVKCIYSPSKYYSKLLQRSMLCAGTDKRLLTRAILGSDDVGIDEIRSAFKSCYGRNLADFIHESLPQSDYRDFLVAVARGSAAS >KQL23684 pep chromosome:Setaria_italica_v2.0:II:16240965:16247234:1 gene:SETIT_032918mg transcript:KQL23684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRTAPLLFRRRAAAAPKPLKQHVAGLCAAAGHSALENGSRSGGGEGSRKRWVELPPFAPLDANAAARAISRRDGGEGACSNDTAIRWVRRCCPHMPASLVQKLFRLRKVKRNLLTADTSSTDGIADQLRLRRVSAKDELVPGDILFLPFNIQESSVSEKTKKFGSKNEIDFLRSLEIYKDRAIIVLNKPPGMPVQGGVGIKNSIDILAPLFEDGSSEAPRLVHRLDRDCSGVLVLGRTQLSASIMHAIFREKTADALADGTQQVLQRKYVALVIGRPRHPKGLLSAPLAKIVLQDGKSERLTVCAGSNPASVQDALTEYRVIESCPQ >KQL25722 pep chromosome:Setaria_italica_v2.0:II:39996340:40002222:-1 gene:SETIT_032297mg transcript:KQL25722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYDPKDDVDRLFACFKCGVSPPQSAFRERPLRRGKRSRVSPAAGTAGSGGGSSSYDPTPDAGADKHGAPSSGAIKFTGRKQMSPIVFYGSPQGVPVKKPLSLLRLLREIRIDLKKQTDSIPRDVVWATFPRQEEAIRFSKAHTHTNVFSYQDHRTGTRRFLVSTYDEFWRRYNDMDSKIRHHYEVIQEGSPCHIYFDLEFDTRLNKKRDADEMVDILVAVIFSALHDKYSIEAQEEWITELDSSTEEKFSRHLIIRIPNTAFKDNSHVGAFVSEVCSQIAAQRAANPNLDKLYITKESSSTGPVDQLFMDTAVYSRNRCFRLAFSSKSGKNSFLVPSRRFKCKEMNDKDVFMESLICRLDDNCDKLLICKLDLECKKTLHFDSEFSMPQIHGRSKNSISTYRSDFPQESTYGKSPFPALDGFIESIASFGNVSGKIRSWYWFSQYGLMIYSMLRSRYCENIGREHKSNHVMYIVDFQRAAYYQKCYDPDCQGYRSPLRPVPWDVMPELSSVAESAQAEYQGEVVEINFDDSSRNGCYLSGGKSVMESYEDPDWWEEAVKFADSIENIERASDPFNLEDDDDADFWMKAERIMEQIEGQTGSQSNA >KQL25781 pep chromosome:Setaria_italica_v2.0:II:40528782:40529999:-1 gene:SETIT_032876mg transcript:KQL25781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATPRARQPPSWANIPRDLAGMVLRLLPARADRARFAAVCSQWCAAARQLPLPPPLPLLALPDGTFYSLPHCQRFRFPGFGCAGYKSACCGRWLVFPRDDGCFLVDPFAGATVALPPLSRVRLRPPNATAKYVQLPGVSMFYPYATWMHIQDPKKMPVINKIIMCSPNLVAAFAGSTLTGAGQNSQIIVCQPGASSWSVRAKDPCKLFEDMAFYQGKLYALANDENLLIVSISQDPTTGDPQISRFGQVISGDPWYSTIMPGDATGKKKLYLVESGGSLLMVRRKVCCRLEGETVMAEQSEFEVFKADFEHSRWVNVTTLGNDQMLFLGRPCSRVMSASQYGVPGDQIFFLDDVLENYCKEYSFDEETTSVSVYNMKSGKVSSPLPMVWKHEMILATWLFPWD >KQL25278 pep chromosome:Setaria_italica_v2.0:II:37096173:37097167:1 gene:SETIT_033544mg transcript:KQL25278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSNVASNLASEIGRREPWPVGKRWSMSLRRWGRSRTSSSAVAVSSVRVSAWPRAMAVEGILRACAPAQKKSFTIAGD >KQL24920 pep chromosome:Setaria_italica_v2.0:II:34608980:34609329:1 gene:SETIT_033576mg transcript:KQL24920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSEVTGMVSFGCNVLVWRASVISHQHF >KQL23232 pep chromosome:Setaria_italica_v2.0:II:9949713:9951024:-1 gene:SETIT_033117mg transcript:KQL23232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAMEASNPELAAKKKRTSHSQEPAPPPGAGGERGAGDGPHLASRWRLLSCFAPLNLDQSDLPSNREAFAGVVSRILSVHWGPCRRFCVPAGYLEDRPAAMDAWLWSPALDNLEEIDFILPPASTLRFLATLRVAALRKCHIPDGLAQSLQLPQLKELALEEECLLLSNCTGFGCARITSSSLRGIGVRAECYQDSELRFSELIIDDAPCLERLLYAEYFGLNVSAVTAPKLLTGDIMLFCWSVQFLQTCGLNAVSLMTVLHSVKILAVNSWVTELDLAIGLMKCFPCLEKLYIQSCGSVGQNLWHS >KQL24755 pep chromosome:Setaria_italica_v2.0:II:32870236:32872853:-1 gene:SETIT_031452mg transcript:KQL24755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLALLWGIIGPGVAGAIFGAGWWFWVDAVVCSAVSVSFLHYLPGIFASLAALMFNCVNKDEIGYDYYSPYGDDSEWRVKLWLFVAYVVSFVCLAGSVGLLVQDALTDKGPSVWTGVAGVLQCVLVLISGLTYWTCHSED >KQL22466 pep chromosome:Setaria_italica_v2.0:II:2841279:2842129:-1 gene:SETIT_031273mg transcript:KQL22466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPRGAPPSSGDASSSGGGGDDNSNGNGARLFPCLFCSKTFLKSQALGGHQNAHKKERVAGGGGWNPYDTSYAAALELDELAAGSVVVLPATTAADDAAALRLELERWTGAHAPPQQAALLRDAGAAGHDRGAGLIDDEVLNWTRGTQVMSAAASMAAPDTSAAGEEPDLELRL >KQL24259 pep chromosome:Setaria_italica_v2.0:II:28187486:28192203:-1 gene:SETIT_029318mg transcript:KQL24259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSVFIKLLACLLCFFELSQGKQQFTLNNLPPLRKASSYPTGCPTTYDYIVVGGGTAGCPLAATLSLKYRVLLLERGGSPYGNRNVSYMENFHIGLMNMAPDSSSQAFISTDGVINARARVLGGGTCINAGFYSRASSSFIQEVGWDEDLVNKSFPWVEEKIVQWPKIAPWQAALRDGLLQAGVAPFNGYTYDHVSGTKVGGTIFDETGYRHTAADLLAAGDPNNLRVLLHASVHKIVFDSRQGRLKPRAIGVQFTDENGRHHQAFLNNNKNSEIIVSAGAIGTPQLLLLSGIGPKNHLKSHNIPVVLHNKYVGKGMADNPMNSIFIPTRSPPRQSLIETVGITEEGVFIEASSGFGQSSESVHCHHGIMSAEIGQLSTIPPKQRTLEAAQKYAHNKLNLPKEVFHGGFILEKIDGPLSTGHLVLTDTDVRNNPAVTFNYFSHPQDLDRCVYGIKTIERILKTNRFSELAADGTGFSMERVLNMSVQANVNLIPKHTNDTESLGQFCKDTVITIWHYHGGCHVGKVVDQHYRVLGVSGIRVVDGSTFSKSPGTNPQATVMMMGRYMGVKILRERLGRAAGV >KQL26749 pep chromosome:Setaria_italica_v2.0:II:46101417:46102387:1 gene:SETIT_032396mg transcript:KQL26749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQGEKAAEKGAAAAEKGEKGATAADAEKGVVAEGKGVTAVEKGDKGKGKKRETAAGEKGATAEWGRKGNGVTVSGEEKAATVEEKGPDPRRERCRRRSTRREKGAAVDKEQGDAAAASEAAGEKATFFFSATAPAGKTITLVSSEGKPFKVSEEAVRLSTDLADMVDNGCAGGNIPLSNVTSRALATVIKYCDKHAAAAAMADSDHGAAEGSSSSVNAAASETTLAEGDRKLVDKLTMGALLDLLLAANFLDIKGLLGAASDKVADMIKSKTPAQVRTIFGIANDFTPEEEAEIRKESPWAYEDEEP >KQL23533 pep chromosome:Setaria_italica_v2.0:II:14620009:14620486:1 gene:SETIT_033688mg transcript:KQL23533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFEKVYCLYCLPLKPERLEPSFGAIVGRLAKGLVTSIFNPPYDKTRVCSILWKQILSGFI >KQL25547 pep chromosome:Setaria_italica_v2.0:II:39007329:39008113:1 gene:SETIT_033123mg transcript:KQL25547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STKRTTARRTDSFLATRQARATASRSSGPPRKTAATWVVTFSSPWFPAASVLVDILVGSWKLVPHLRNGRSARMAVVSWSGSAMCSSVWWLRSYASFSFRNTTANTGPAMM >KQL24158 pep chromosome:Setaria_italica_v2.0:II:26846867:26849753:1 gene:SETIT_030127mg transcript:KQL24158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAVAVLAAAATRHNRAPLASLIYRVARGLHDASAAAAAAEEEKAGTQSRRRRRRSSSSLLLGPDFPDTWDTPPRAASRTPPPRDTGADYDCTATIIDGKSIAEDIRLHIAEEVRQMKSVVGHVPGLAVVLVGNRRDSESYVRYKTKGCEEVGIKSLLAKLPGNCTEDQVMDSVSRFNEDPSVHGILVQLPLPQHMDEERILSAISLEKDVDGFHPLNVGNLALRSRKPLFLPCAAKACIELLLQSGIEIMGKHVTVIGRSKVVGLPTSLLLQRHHATVSIIHAFTTNPEAITRESDIVISAAGVANLVRGSWLKQGAVVIDVGTNPIEDPTSDYGYRLTGDVCFEEAVKVASAITPVPGGVGPVTIAMLLSNTLDSAKRVYGLSD >KQL26348 pep chromosome:Setaria_italica_v2.0:II:43881309:43884228:1 gene:SETIT_0329242mg transcript:KQL26348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVAPETVKKPTAAISKTLPSWAALETAMMPLGMILVQVFTMVTLLICKLALNAGMRPFVFLVYRNLIAAAAIAPLALIFEREMWKKVSLVVWGWISINAALGIVLAMGLYYHGLRATSAAYSVNFLNLIPIITFIIAIMLRAEKLILTKRPGKMKLLGTLLCVCGAMVVSLFKGRRLHLWATRLLRSQAAATSPTGLHHGMVAGTLFLCGSCLSYALWFIVQ >KQL24535 pep chromosome:Setaria_italica_v2.0:II:31083835:31084282:-1 gene:SETIT_031679mg transcript:KQL24535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTHTVWLNLLLVSEFSEEPFFYSPIDASSMCTTLGATNFVSLVDFAEENFRQLQVKSGILSSTMSTVIFFPCLYRSGHILDACA >KQL23003 pep chromosome:Setaria_italica_v2.0:II:7265531:7269404:-1 gene:SETIT_029863mg transcript:KQL23003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHDLTALLAAQMDRHLVFPLLEFLQERQFYSEPEILEAKIRLLSGTNMVDYAMDIHKSLHGTDDVPEDMVRRRTEVVSRLRSLEEAAAPLVTFLQNPQLVQELRPDKQYNIHMLQDRYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSVSALWGKLAAEILMQNWDVALEELNRLKEIIDSKNFSSPLNQLQNRIWLMHWALFIFFNHENGRNGIIDLFFQDRYLNAIQTNAHHLLRYLATAVVVNKRRRNMLKELIKVIQQEQHSYKDPITEFLECLYVNYDFDGAQLKLMECEQVILNDPFLGKRIEEGNFVTVPLRDEFLENARLFIFETYCRIHRCIDISMLSQKLNMSYDEAELWIMNLVRSSKLDARIDSVSGTLIMTTNHVNVHEQIIESLKGLNMRTYMLAKNIVEPAQATQQATR >KQL24511 pep chromosome:Setaria_italica_v2.0:II:30937073:30940716:-1 gene:SETIT_031093mg transcript:KQL24511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPDLKAETMKLMDRRGALEAEMDAIIARLTAPGGPGITGGLVDAEGFPRSDIDIPNVLAQRRRLAELRNDHKDVTNKIDKNLEILHSSKLSRNEQSASRGSDTTASSHIGLSQIEPMEEDPVTRLPFAMIDEITDGSPAAVDGLQLGDEIVKFGNVEAGDRLQERLMSEAISNEDHQVSLAIIRQGSAMNLTITPRRWHGRGLLGCHFRML >KQL22696 pep chromosome:Setaria_italica_v2.0:II:4662341:4664549:1 gene:SETIT_033430mg transcript:KQL22696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFFRPLSVTFQTFWSSHPILTCAAAAPPPLPPCVAAAPPPLPPCVTAGTPTTPPTTPCN >KQL24709 pep chromosome:Setaria_italica_v2.0:II:32434236:32435734:1 gene:SETIT_033354mg transcript:KQL24709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRIQFDGVSCGLFMVAFIKYWTGDHLCATVDQESMVKFRTKMAATLLSTIFNERLGKPLLRNEDENIGSPTDFAEIIEPNEFQQIKQKGKSINSHENALKPKKIDTEIDSDKQDVLLYYKDWPLKRDELAEIFCDYILTIKDPAELDMVWVRSDLPYKSVYKLGDRKKMLELTRHEKYRKHHSGKELGDVIGGWEIVKYDILGCRYFLLPWKHVNIYLLYVLDIKRKKLIVIDTKPIPKYAMDVPYKHYVIQIVGFRLKFMNAFRQLKPDSWEDVHKWEFERAKGIVEDTDG >KQL23392 pep chromosome:Setaria_italica_v2.0:II:11916128:11921000:-1 gene:SETIT_029167mg transcript:KQL23392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVDAARYAHSPAHHAVAARDHAALRRVLDALPRGRRPEEIRTEADSVAEEARAEAVSAVVDRRDVPGRETPLHLAVRLGDVAAAEMLMAAGADWSLQNEQGWSALQEAICAREESLARVIVRHYQPLAWAKWCRRLPRVVAAMRRMRDFYMEITFHFESSVIPFISRIAPSDTYRVWKRGANLRADMTLAGFDGFKIQRSDQTILFLGEGSEDGKVPPGSLLMINHKDKEIMNALEGAGAPASEAEVQQEVTAMSQTNIFRPGIDVTQAVLLPQLTWRRQERAEAVGPWKAKVYDMHHVVVSVKSRRVPGAMTDEEFFSACNDNDTESEGFEDVLTEEEKKQLEAALKMESPDAGSEDQSDSFAGPRHSCFEPREREIPIEDISVSGNGESKHDKKGWFSNWGKRSQVSKPEGMKKMAPPRSSLCVDEKVSDLLVESPSNVQTRPGRHSVDVVRPNDNRRIRERDNRRHAAFAENEHRRKEGSKESEYKKGLRPVLWLSPNFPLRTEELLPLLDILANKVKAIRRLRDLLTTKLPPGTFPVKVAIPVVPTIRVLVTFTKFEELQPLEEFTTPPSSPDNSKSPAVQPSSSSWIQWIKAPYRQNFSTAPGPSSRVEDIQDPFAIPSDYVWTTPEEKKKKTQENKNKSKKGRNGS >KQL26880 pep chromosome:Setaria_italica_v2.0:II:46738604:46739135:-1 gene:SETIT_031838mg transcript:KQL26880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAHVYGLVSFDSPCGLRLVRQPVSDFGENGIARGVQRTRNMLLACSYGLKI >KQL26639 pep chromosome:Setaria_italica_v2.0:II:45520026:45520836:1 gene:SETIT_031425mg transcript:KQL26639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPAVNVERLWSMVPAEKAAEAGGDKAPQIDVTQFGYFKVLGKGKLPSKPIVVKAKLISKVAEKKIKAAGGAVVLTA >KQL22808 pep chromosome:Setaria_italica_v2.0:II:5462123:5463395:1 gene:SETIT_032062mg transcript:KQL22808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPDPKKSAASAAGFPDDVLLEILSRLPVKPLRRSKCVSKGWRDLLADPLHRKKLPQTLECLFYGRGDFYTCGDDFGGLGYGHFINLLGTSATPIVDPSFPLLKDQLPGVISTISLVGSCNGHGLLLFEHEVEPDMMGHIVCNPATGQLVTVPVDSTQAEPYEESSGTYLIFDPAISSHFPLGQVLWEILSRAGLAGAFVNGMPYFISKDAKDLMIVQDVMVAVDVEGNKRKIIPVPLQVGAENYYRVSDYVGQSQGRLHYIYHIEDTYIMLNMHAPSELSSNINTTGVDDKQGVIYGLLLIWVLEDYDTQRWVLKHTISLLKLFGKKVSFQMGSDYSVVTIHPDRNLVFFAQHWNQKLISYDMDSREVCDICTLPHGYESITPYVPYFSELASLENKH >KQL23941 pep chromosome:Setaria_italica_v2.0:II:23221258:23221798:-1 gene:SETIT_031307mg transcript:KQL23941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRSASAVESAKEAAANVGASAWAGKEKTKAVVQEKAAKARARDPAEKAAADARMEERVREVEAVKQGAMRRNAAAKERASAAEHHPTPLGVGGAAAPPVPAGPGVHVLDRPAATDGRTAGVPPVGTETDAAARQPAGAAPHDAAGGFAGTDGVPPASGTAGGRYT >KQL26691 pep chromosome:Setaria_italica_v2.0:II:45785793:45786003:-1 gene:SETIT_031877mg transcript:KQL26691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSICTEFVCLTSIEFFSSAQVHNYIVSLWLVSFQIKIIHVYVSKHVLLN >KQL25519 pep chromosome:Setaria_italica_v2.0:II:38838117:38840373:-1 gene:SETIT_033382mg transcript:KQL25519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAAEVVAPRPPSGKRRSTTLRFLFELEKPDGLLPGTAKLPPPSPEPEADSLIDKIASCHRSFTFAGAGECEAERDAKRERLVEVLGAVRSVGTAGSNKGLPLDHRVMAALLRMVAANIFRSMPPSAYPPLPPDGLDEDAPAAVLAPAWPHLQVVYDILLSVVAAADARALRHHVDRAFLSSLLALFASEDPRERDRLKTAYHQLYSKLTCERAFMRRSMATALLRLAYEAPPGERPCGAAELLEICGSIINGFAVPLKEEHREFLTRVLMPLHRTRWAHTYHRQLAYCVLQFVHKEPGLAGAVVTGILRHWPVTNCQKEVLLIEELEDILQVLEPEQFQKLAVPVCSRIARCVSSCSSQVAERALYVWNNVRFLDLATSSPGVMEKILPAFVASVEGNLERHWSKCVQQVTASVKALLEEVAPDLYARCAADLAARRSEAEAAAAVRAARWRKLDAAAAAAK >KQL22284 pep chromosome:Setaria_italica_v2.0:II:1322130:1323694:1 gene:SETIT_031883mg transcript:KQL22284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVSVAIVQETVGQILSGLVEKYEDKEESNEKRNLERLEMAHIRLEAALETSNKWQITDASLLHWRRKLKRAAQECDDTLHKCKQRILEDEQMEREVKNSSLPNRIVHATKSFALSIFKRNDNDLRRSIAQRFEWYADGASEFLRFIELGGTPHRHMPFESLVKNLFAGKELHHKIVRGNEYPLYQLWLTPMRNPVHGIDVSLTFIQYDGTPEGNICFSLAVQLSESIDIVGVAVKCLQLFAPHFKKYFSWGPTFYSDHKEHWDKLNRLFSQFVRPNPFCCKEYGRHEVRHFSNMDMAGLSDGLLEPVILFALHCHVSLPMYRKQKTSLSEDLISLQDYPYLKAGITFSPHGSLEDMLPASRSFEIAAIVRKEQHFLDTDITLEQLEEIMLPMAIDYFRQNAEAMVYQMLWKSKHGFALILVEKPCMSTWRSIMKRRSTSRGAWKRKLFQGDDEELIRNRIRLCHWLDLWFTHVPVRLQRSFMNWIWKERELLIAAPQLHLKF >KQL26351 pep chromosome:Setaria_italica_v2.0:II:43907396:43910350:1 gene:SETIT_029157mg transcript:KQL26351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAWNQVKLHDFLQSPEDLERLYCEIHLLKTLKHRNIMKFYTSWVDVSRRNINFITEMFTSGTLRQYRQRHKRVNIWAVKHWCRQILSGLLYLHSHNPPIIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAVHCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECTHPVQIYKKVISGTKPEALYKLKDPMVRRFVEKCLASASQRLSARELLEDPFLRIDDMAFSSEDGDYNVTTRYIRQPSSLGHTYSNGSMMSNGFSDSIDEDALTEDRWDCEDDDMKGQDGIDLFNEHEDEPLGNVDITIKGRKSEDGGIFLRLRIADNDGRVRNIYFPFDVEADTALSVATEMVAELDIIDHEVTRIADMIDGEVSALVPDWRPGPGIEEAPDTSYCHNCGSNVSSCGSLYAYMSSARRGCQCAELHGRFEEITFQADGEQCDLQESAGSSDDGGGQTEHYVKSKDSTHTNGFVQMGRRNHSNQLCFSSFQEQSCSSNHYENDTNPHMNGFDMKHEVKIAKYKARKMAQLKRAIHPSLDFDNAYGVSRMKPSLNKLQSFHVGKNHNFRVPTCDRSPDKGSSDYHSNMIKQAWQSKHPDPGAQRARHCEVDTAGSNPDCTFTARRYYTGAQLPPNLPRTRSVPLNAVDA >KQL26166 pep chromosome:Setaria_italica_v2.0:II:42721989:42723890:-1 gene:SETIT_033390mg transcript:KQL26166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRPHLARLLLHLRRRPRALPLPFSPSSSSAHGPHPGPSLWPPPAPLAPPAGAWGRAFHDGRPRGPLWRSKKLIGKEALFAIQGLKRFKGDEEKQADFVRRHVARLLKADKLAVLGELERQEEVDLAVKMFRIIQKEDWYKPDIYIYKDLIIALAKCKKMEEAMVIWGNMRDENLFPDSQTYAEVIRGFLRYGSPSDAMNIYEDMKKSPDPPEELPFRVLLKGLLPHPLLRNRVKQDFEELFPERHIYDPPEEIFGMR >KQL22237 pep chromosome:Setaria_italica_v2.0:II:835458:837573:1 gene:SETIT_032691mg transcript:KQL22237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETRIIPRPEKLMINRFLGLLAVTAKIIRALRFLAVSWSAVVLLGGFVVHDLPPKEFWLLTALTFVVASRPSLFSLYYIVIYLNGEYMQLLTNRHSGLEEEWDYRQQAVRMYYSETEKKLSKDGKLPCNWDLITYAIGLLQSASGDDDYLWGARVLDKLFDDTDASIRRQLLSSRISIQNLIGMIGRRGAAHDIIESRERAARIVAHLATSINITHFPGIVQCICSLLERSNYCEPQVTTCRPSENPQNRLLPDDKKDQHETPQHHQDDAYMAVPIKDQTDGHEHQQASLAKRKGIALMVWDFINDCREAWRQVIEFIREACEERTAVFPNEFRGAKELISQGLLILERLTQDEGNCTEISRHQRLVAKITSPLSSHDFLSNARDKKMVGMLNKSLTVVSRLLSSPGDGATRLRQELASKTEAASNLMAILELADSSESAQELHEQALEILAELAFDDSFKKLDFEKLLKAL >KQL22198 pep chromosome:Setaria_italica_v2.0:II:481046:481496:-1 gene:SETIT_033641mg transcript:KQL22198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTAWCNCEGTGWSRIGQARPHESSSRRRPWIGGGL >KQL27002 pep chromosome:Setaria_italica_v2.0:II:47328882:47329343:1 gene:SETIT_0300422mg transcript:KQL27002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNK >KQL22108 pep chromosome:Setaria_italica_v2.0:II:8866:9722:-1 gene:SETIT_031096mg transcript:KQL22108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIYIVYYSTWGHVATLAEEIKKGAESVDGVEATVWRVPETLPEEVLGKMHAAPVREEHPVMVAAGQLAEADGLLLGFPTRFGMMAAQMKAFLDSTGGLWQAQALAGKPAGLFFATGTQGGGQETTALTAVTQLAHHGMLFVPIGATFGAGMFGMDEVRGGSPYGAGTFAGADGSRTPSDTELAMAQHQGKHLATIAKNLKAGASAAAN >KQL24816 pep chromosome:Setaria_italica_v2.0:II:33507969:33509447:1 gene:SETIT_030599mg transcript:KQL24816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAADEIAIESPAHFRLYKSGRIERLNRPPVLPAGLDEATGVTSKDVVLDPETGLSVRLYLPKVGQEPSKKLPVLVFFHGGGFMLESAGSATYHTYVNPLAAAAGVLVVSVCYRLAPEHPLPAAYEDSWAALQWAASARDEWIAEHGDVARLFLAGDSAGANIVHDMLLRASGNGGPSIEGAIMLHPWFGGSTLIEGESEVAAAITAGLWVYACPDAVGGVDDPRMNPLAPGAPSLEKLGCARMLVCAGNKDGLYARGRAYYEAVAASAWPGDVAWHESDGEEHVFFLPKPECENAKQLMDRVVAFIAGA >KQL24828 pep chromosome:Setaria_italica_v2.0:II:33583126:33584529:-1 gene:SETIT_030298mg transcript:KQL24828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPDLSRRVFFLALFVAPLLRLPIRSCLLKPHTTMDSDSELEFEMPGVLRMYKTGRVERFEGTETVPPSPDGDTANGVASKDVVLDPAAGISARLYLPAGVEPGKRLPVIVFFHGGAFMVHTAASPLYHIYAASLAAAVPALVVSADYRLAPEHRLPAAYEDAFAALKAVVAACRADGAGAEPWLAAHGDASRVVLAGDSAGANMAHNAAIRLRKEPIDGYGDTVSGVALLHPYFWGKEPLGAEPTDPGYRAMFDPTWGFICGGKFGPDHPYINPTAAPEEWRQLGCPRVLVTTAEQCWFVERARAYAEGIKKCGWDGELEFYESKGEGHVFFLPKHGSDNALKELAVVADFVRRC >KQL25057 pep chromosome:Setaria_italica_v2.0:II:35626613:35630755:-1 gene:SETIT_029989mg transcript:KQL25057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGVEGEADRVAALLREITGEGGFAFVASAEKAAAGAGDLRAAEAAREMAWEQLHSGPWNEVGAAWRDAYALACLHVARLRAGGDRPAAVKALDMGLIMGGNLLRADLEAALARISSAEPCGCGGGGREEGAGAVDEEDRRWREGLDRNRDIADALKILPAKSLSSKKVERRSHISLEEFICNYFLCESPVIIGGTIDHWPARTKWKDIKYLKKIAGDRTVPVEVGKNYVCSDWKQELITFSQFLERMWSTGCPSNLTYLAQHPLFEQIKELSEDLMVPDYCYAGGGELQSLNAWFGPHGTVTPLHHDPHHNILAQVLGRKYIRLYPASISEDLYPHTETMLSNTSQVDLDNMDLKEFPRAENLEFMDCVLEEGELLYIPPKWWHYVRSLSTSFSVSFWWRATVEPSGGS >KQL24210 pep chromosome:Setaria_italica_v2.0:II:27566056:27566641:-1 gene:SETIT_033470mg transcript:KQL24210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKEDAVNLKSKRRLKPQAPVHPNLRNTPPSLLADLNASDTFRAVFSTL >KQL24485 pep chromosome:Setaria_italica_v2.0:II:30627673:30632530:-1 gene:SETIT_029471mg transcript:KQL24485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSGMAVVLKASTSSATQQQWWHPTRDQCQYGFTSLSKRKCRKRSVLLHVRAISGKLDLDFSDPSWKQKYQEDWDRRFSLPHITDIYDMEPRTTTFSLKKNRTPLGDGDVSSTDMRNGYVNKDDRALLKVIKYASPTSAGAECIDPDCSWVEHWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRDVVENINLSGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVIGGNGSHAGANAIHEECRKRKLKVSVVAVPKTIDNDILFMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQIDVCLIPEVSFTLDGEHGVLQHLEHLLNTKGFCMICVAEGAGQDLLQKSNATDASGNVILSDFGVHMQQKIKKHFKDIGVPADLKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSGVCNTHYVYLPIPEVITTPKHVNPNSRMWHRCLTSTGQPDFH >KQL27153 pep chromosome:Setaria_italica_v2.0:II:48145862:48150526:-1 gene:SETIT_029287mg transcript:KQL27153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTVRPKLRPAAAKLPLTATVLSLALLLLPLGFVATHRAFSGGVAVSTSATGDERHREERVLLAGNKDDGNADAAAAAEHAAAVDRHCAGTLHREVCASTLASIPNLARKPLRDVISDVVARAAAAVRASSSNCSSYLRRPRGLRVRDRLALSDCLELFGRTLGQLGTAADELSAGNRTAEESIAGVQTVLSAALTNQYTCLEGFAGPSASEDGRVRPYIQGRIYHVAHLVSNSLAMLRRLPQRRRRRGREALEGYGRVRRGFPSWVSAGDRRRLLEPSAGAADLVVAKDGSGNFTTVGEAVAAAPNNSESRFVIYIKAGGYFENVEVGPEKTNLMFVGDGMWKTVIKASRNVVDNSTTYRSATLAVVGTGFLARDLTVENAAGPSKHQAVALRVNADLSAFYRCSFAGYQDTLYAHSLRQFYRDCDVYGTVDFVFGDAAAVLQGCSLYARRPDPRQKNVFTAQGREDPNQNTGIVVQGGKVAAAADLIPVLANFTSYLGRPWKLYSRTVFIQTKMEELIHPRGWLEWNGSFALDTLYYAEYMNRGPGANTSARVDWPGYHVLTNATDATNFTVLNFIQGDLWLNSTAFPYTLGLS >KQL23724 pep chromosome:Setaria_italica_v2.0:II:17011538:17013579:1 gene:SETIT_033113mg transcript:KQL23724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPAAGSSYQRFPRVRIRELKDDYAKFELRDTDASIANALRRVMIAEVPTVAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSAAAMQMRFSRDCDACDGDGSCEYCSVEFNLSARATDSDQTLEVTSNDLRSADPKVCPVDQARAYQLALSGNDYDPNAERGILIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPDILINEELMDTLTFDEKRSWVESSPTK >KQL24780 pep chromosome:Setaria_italica_v2.0:II:33118424:33119569:-1 gene:SETIT_030549mg transcript:KQL24780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSSTEIAFECSSFRLYKDGRVERSAQRLETVPAGFDPDNTGVASKDVVIDAATGATVRLYLPPVQDQEAAKTKLPILVFFHGGYFIVGSAAEPMYHRYVNSLVARAGAVAVSVQYRLAPEHPLPAAYDDSWAALEWAVSGADPWLADHGDLGRVFLVGVSAGGNIVHNMAIDVGVSGLPSAVEPPRVEAVIQLHPSFSGERRMEEEDEAFWRANNDRWAIIFPSARGGVEDPRINPTAAGAPNLTKLAGQRLLVCTASEDPRALRAKACCDAVRDSGWGGEAEWFESEGEDHGFFVLRPGSSTAAALMDRVVAFLAGH >KQL24904 pep chromosome:Setaria_italica_v2.0:II:34512495:34517176:1 gene:SETIT_029062mg transcript:KQL24904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQQQQRVPAVPRWTPSPPRRLDRGGDAHDDDAADSDVGASSMRSTDGFPFGSGRSFAPPPFPLPQPSLEITSASGNGNGVASGGPVAREKSLRRTDEGVVISWEDLWVSASGGGKGGGRLPILCGLNGYARPGEVLAIMGPSGCGKSTLLDALAGRLGSNMSQKGDILINGRRQKLSYGTSAYVTQDDVLMTTLTVREAVHYSAQLQLPSAMSASAKRERAEETLREMGLEGAADTRIGGWMHKGISGGQRRRVSICMEILTRPALLFLDEPTSGLDSAASYHVVGRIARLARREGMTVVAAVHQPSTEVYGLFAGLCLLAYGRTVFFGPAADTNQFFALSGFPCPSLMNPSDHFLRTINKDFDKDIEEGLDGEKMTTAQAIDTLVNSYKSSAYMEKVTRQIAEIREIGGAVVKKEWQPSFVTQSLVLTKRSFVNMYRDLGYYWLRFAIYIMLCICVGTIFYDVGNSYGSIQARGSMLNFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVASFAIANTVSAAPYLALISVVPGAMAYYMVSLQSSFGHFAYFALVLFAAMMVVEGLMMIVASTVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPFWRYPMYYVAFHKYANQGFYKNEFLGLTFPSNQAAAGAGATISGREILRDYWQVEMGYSKWVDLAILCGMVVLYRLLFLAIVKLAENVKPMIKGFRFSKAAPSVHVAEKGSSSP >KQL24407 pep chromosome:Setaria_italica_v2.0:II:29669279:29672158:-1 gene:SETIT_032455mg transcript:KQL24407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYSSLQHQLELGKVNLHLLGIRYRLHGWEGSATDSRALRSAMSKGFQELFNHHHTLLRNHVERTLGVLKKLFPILKVSTFHTLENQVKISEATTIFHNLIRLLHGDKEWLDHQPDNIDPTHFISLPNGDQINDLGTAQGNALRDTITQEIDGWKRLPKLNVMVRGSPKLLKQRADWNPTLEKSLVEILHEYKDSGHRSDNGWNFEGWNKMVKEFHLRNKFVSYIKAPIQDKEGQRKRDYKMLKVARMQSGSKWNKKRNIVEGSPAMWENLMVKFQNNKASFPLYDALGELYDGHLAKETYNFTSIESQHEEELLHQIDDVEDGAQADEEALQEIHEVHDEDDEKKEARDEEEETRKKDARDAEIVTKSKENRETFIYTSEEDQESALIWLRNEIA >KQL24916 pep chromosome:Setaria_italica_v2.0:II:34589328:34592248:1 gene:SETIT_029882mg transcript:KQL24916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNESGEKGMEGNASSAGAGIPVEWQTQFSAAAFACPQAQQHQGPMMDSAFATAGMWASTSQAMVLSDVGGAMSATRGGGGFLAPVPGFLPQGLGHFPVDSGFIERAARASCFGGGGGGGVMGGAGFGAADQHMNGAFSGSSEALLDHQRKDGNEKGEPELGRNGHDGVPSSEAAGGDCSSKGTSDSKKRRRPNEVMGGDQVQSSNLPADSANESVHSKDKGEESSPATTTGKSKGKGAKETSESQKEDYIHVRARRGQATNSHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLSKDLLRFPGVSSSSLGFSPEMIHPQLQLSQPGLIQGGAAGMANPDVFRRIIQAQLSAKDGSQMPHALNGPFSDVAQMGYPSLGSSAQDLSIRPSQDGFQM >KQL26712 pep chromosome:Setaria_italica_v2.0:II:45899611:45901210:-1 gene:SETIT_033241mg transcript:KQL26712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILVRLRCAGAAARTSVLSRRWRGLWRHLPELSFRGVAPGAVEAALAQVALPKLSLLDIDTSWLYTLRADAVASLFRTAARLDPVELSMMLRVHPGDELVPFVVPSFARAKSIRLDVDMLHLTPPALDGGFPALERLSITNSRFDTGADRFDIGALISRCPQLRVLELINRWGLDTVTINSATIEELLVMDVQFSISGVDIVAPVLKKFTFSTCFPSSPNAVGIDGIGMWCLGHLKLGTEENGFVLGLNLERAHSVTDMRNLQEMFQLPNISALELCVGTRGHVYGAMALNLLRICNATQRLKLFVRPVFWRTNDEACAFDCPCNQPQNWRSQNISLTSLEELEIENFEGSDHEVDFLKLLFRCAPLVNVTLKLASKVVPSNRGCKETYNIFKDNPAVKCHVHVYRKRGKEVIYA >KQL24142 pep chromosome:Setaria_italica_v2.0:II:26687866:26689898:1 gene:SETIT_029758mg transcript:KQL24142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVETLVAIAVAKIVAQKLASCSLPPLKVYCCSLFCNFRDDLRDLEKELLCLEAQLKEKDKWLFGIEVADEAQTVLAQSWHRDAKQLAFEIEDTIDEFVCSEEFYHESTCAHKASLLCSYSNPIAARVWTVKRMISETKKLNSAIKLNERYGTGPAGTGLESRKFAEKETTSYDGPDEVIGRATDLEAIVDTIKEQAKRLSIIAIVGPVGVGKTCLARLVFNHLDSGSRFHRRIWVYVHKSCSRVDIKRIGRQVVSQGLLVGEERPSADYTMQEITTKVHEILKRERCLIVLDGLWGTDDDVHSLKQMFTSCSEDTESVIMVTTHNEQIAQHMSTLPLCEGVPLVADFLGSIVRSGGWNQRAVWENARDKDLWKLEEDYAATLSKELTLFAPFRLMFYNIPHGLRLCFAYCSVFPKGSRIHKRKLIQQWISLNMVEPATHGSVTAEMNAENIIKQLKAIHLLQV >KQL25220 pep chromosome:Setaria_italica_v2.0:II:36740892:36743764:1 gene:SETIT_031193mg transcript:KQL25220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFKRGHKQHETAHPTKKSRKETRRDARQTHFGVPRSPPPPSPSLPSETPGTAGMRPVATAAEDAHQSRLLYELCALLLTVLRASPEDGAGAGPRPLLPRQVTPAGVASMLLGASMALMLCGSVTFMLGFFLMPWVVGLGCVFLFVGFVTNLSGIWRAILLWPAAACSASPKEASSSPWHIFSKPPFMPM >KQL22391 pep chromosome:Setaria_italica_v2.0:II:2292148:2295192:1 gene:SETIT_0286681mg transcript:KQL22391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSHAPKAENALSNISRQVQEMKLSSENTSSDIATAVATEVKVTDAQETKKSEKKKKQKKKQAAADANKGASKAVSSQQPRHEAEVDPSELGGNKHDLPDDTEELFWGAPASVQNEEYDTNKAESEFSSLSSDPHTAANQRAWKQPTQGLRPKSLLEIQAEEQQRAQKGLAMESAKPAASIPSIPWNGMATSSEQHYGGSSKSLGGMETAGERNKRSQLHDLLAEEVLARSSIADNENIGNANDAFFPPLSPAPAQSDAPALDDNDFIEAKDKKNKKKATKTKASTVKAPSPVGSFDPSAISMPTEKGKAAKQAQQESEILPAPPSGPSFGDFVLWKSDQASSVPAPAWSNDSAKVQKPLSLRDIQREQERRSAAMQQQAPSPIPAKVAMNQKNHGNASSWQASGSSPSKAVAPVQMSSNTPGRSKSSAEDDLFWGPSEHSKQDKRQTEFPTLSSQSRSSVMKDQSPANRQKSQAGRLPVSSAAPANQSGKGKAEASNKQTEAMDFRDWCEGEWVRLTGTNDISLLEFCIKQSTVEAETLLREIIGSLDRNHQFIDKFLNYKAFLSSEVIDMAFQAPSTRGTRGDGAARANPATAARGGTGVDMELDGGGKKKGKKGKKVSAAVLGFNVVSNRIMMGEIQNVE >KQL23725 pep chromosome:Setaria_italica_v2.0:II:17016652:17017177:1 gene:SETIT_031633mg transcript:KQL23725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVYYKLIDSMKIEERLSLVGETSCRLSALSSGFDLLVFLVVYSPLLSLCSHCFGSLYSFLFNSFGFNKILHSGGLPRCIYVQKNHRTCFSYLGMH >KQL24760 pep chromosome:Setaria_italica_v2.0:II:32890431:32895696:-1 gene:SETIT_032412mg transcript:KQL24760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRLDLLPRLLFRRHAHRRSKPSSPPPPPPHPNPPRPPQKPDPVSIHGETWHDNYAWMGALSDAAAMRHMDVHMEAEEKYAEACLAACGADRLARKIQLEMASRLASDACTPPVRWGPWLYYRRTDEGKQYPVLCRRSAALHSEFVSYSDPSAGFDFTAGKRIEQKLVDYNKEAERFGGYSYEELSEVSPDHRFIAYTMYDKDKDSFTLMVRDLVTGTLCDKPRADRVSNISWAMDGKALVYIVTNEERRPHRLFCSMIGSNKDDILILEEPDENIFLNIRHTKDFRYITLNVFSDIHSKVYLIKASDPLSQMKLVWEGESQVHCIVEHHRGCLYLFTDAAREGVPVDSHYLMQSDVESSGPKSWKNVFIEEPGVILEDVDFCDTHMVLTLRQGRKLRLCAVNLPLTEGINVPAHLSDFHPFDLPLPSDVCQIVSGPNYDYCSSTMRFTISSPVMPDAVVDYNLLNGKWQIVQQQNMLHERTKALYGTAFAANMGRLSSDRADFSSEDSEDCAWNELSEYYACEYYDVPSKDGVSVPLTLVYSQKHKQDGNPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAYADVRGGGGYGKKWHQEGSRTKKMNSVSDFVSCGEFLLDKGIIQENKLAGWGYSAGGLLVASAINTRPDLFRAAVLKVPFLDVCNTLLHPILPLTAIDYEEFGFPVDHEEFLAIRKYSPYDNIQRDVPYPAVFVTSSFNTRFGVWEAAKWVAKVREITQYDPERPVILNLTTDVVEESKYLQTKELALETAFLIKMVNNV >KQL25420 pep chromosome:Setaria_italica_v2.0:II:37960089:37963517:1 gene:SETIT_030829mg transcript:KQL25420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKVFHWLNRRMHPNTEYCTIHGNKAMEDKEDSVRQSVAEKDTEALLLRDVLLNGILAIGTLGHHVDSLCPDACIEEDDFLIMDGEEVVEEEKDEEEPRDDKVKEDAASTTAPSEPVVPVVEPAKMHSSSMKEDNFTCFVTEEILMHEMEDGGAANIQERPLLMVEKVEKVRTTLADLFAAEAFSSSAPGEKNCQDIVIVAGASTSKPTLCTEKMHQKKPRKPTPKPLKATRKLSRVMRKMLGKKIHPEQLNGRSNAEGPLTA >KQL24211 pep chromosome:Setaria_italica_v2.0:II:27623347:27626027:-1 gene:SETIT_031112mg transcript:KQL24211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHEMYVKVKGNSFKNKRVLMESIHKSKAEKAREKTLSDQFEARRAKSKASRDRKLARREERLAQGPREPAAPSAAAPAPPAVAPKKAKK >KQL24369 pep chromosome:Setaria_italica_v2.0:II:29270556:29270714:-1 gene:SETIT_032376mg transcript:KQL24369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDISAYGVRKSCVTDRRHRTLSREGKTLAGWCTNKNAMDMLGIYPHHTKHN >KQL23311 pep chromosome:Setaria_italica_v2.0:II:10705830:10709479:-1 gene:SETIT_028751mg transcript:KQL23311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNREEASRAKDLAVIKLQEADYAGAKRIALKAQKLFPGLENISQLLTVCEVHCCAAVKINGETDWYGILQVETTADDVLLKKQYRKLALLLHPDKNKFVGAEAAFKLIGEAHMILTDQVKRSSHDFKRKQVIATSVPVPKKRGRSSKKTDHVAKRANKENTDAGYSTFWTVCLACGTKYHYPCNLLMRLLRCQICSKSFLAYDLYKKPSVGVEASNPWSGIWMQQQMFPPSQKTHVSNRQHNYQSVPGQQNPVNGHQTPVSNQQQQPQNVSDKQTPDINQQQRPQKFPFSSGPKNVDSHPCPSQQANVTNQKLYNQRAPGQQNPDNGSQAPVTDNHQQSLKVAGKQSPIINQQQQSQKSPFNSGSKNVVNSQGAACPNSYATGSTNLTAEAVACNSTTVTRPSLNDQNVEDRTKPPVVNSDKVPLANEQMRAKEVATGSSYHVAVNGRRVANECVLTAATASADKTSGQNPSISRQQGDTVISEDQSDGSRKGSDSPAKKRIRIENSSCTAGKSDHIAENEGAKVSSEHKLSTPSKEKITNENGEVINGNQDALQGTGIKQETPSSGSGSAAAARSVNNSIPDNITVSCPDSDFYDFEKNRDADRFAVDQIWAIYDDHDGMPRYYARIKQVYSPNFMLRFTWLEHDPLYDAEKAWSSKELPVACGSFRVGKTLLTEDTKMFSHVISWTKGRKRNSYQIYPKKGEVWAVFRGWDINWSSDSNDHRPYDYDVVEITSDFATGSGTYVIPLVKIKGFVSLFVRSSNEAPFLIPGGDMLRFSHSIPFHRLAQTDRQHIPNGALELDTASLPTDLEKAFTPVNLDSWEMPVGNTEQSQDGTGTNVQNEVEKLNQNTKSAQDNGSEASVIDDHGGDGWNDSSQPESPTSFDYPDPEFCNFTSLRSFDKFKKGQVWALYSDTDKFPKYYGFIKGVDPDDHTIHIKWLEHCPCAQMEKCLVQDGLPIGCGAFRVSRQSDIYDCTSVFSHNMEVTLMRKGKKYEILPRVGQVWAIYKNWSHAWNFEDYCRCEYFLAEVLEISNGNVTVSWLTKVEGFIAVFKPEQTAESRSAMRVAKSDMMMFSHQIPAFRLTNENLCGCWELDPASVPEVLLVGKNM >KQL26199 pep chromosome:Setaria_italica_v2.0:II:42987644:42988870:1 gene:SETIT_032816mg transcript:KQL26199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPLLTLTDELLEEIFLRLPGPTDLARASTACASFHRVITDRAFLRRFRGIHPPPLLGFVPRGQDGFYPAQPPYHSAPLARAVADAADFSYYFVPIGRWLKPWRPRDLRQGRVLLECLPKYDERYHFHSVVFLSDLDLAVCDPLHRRYVLLPPVPHKITAQHGLLVDFDAFLAPTGQDEEGTSFQVICTARNETNLFAIVFSSVTGHWCIAASPSWSCLGTEVAFDKLAYPDYANGCFYWTQDWFGKLLMLDATKMEFSIVNSVPSSNLIEGNINSGIVTGAEGPPLMFFFGTHSEDDSSDLLHITKLNSSEPSGEQHLERIIPLARKYRYSICGAVEGFLFLHGFPEGQHSVRRRRHSWNVPNREYLLLDVKTSELKKVCEMKHDFFSVHAYFGFPRSLSKPCI >KQL22545 pep chromosome:Setaria_italica_v2.0:II:3447139:3451167:-1 gene:SETIT_030643mg transcript:KQL22545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLLSDSFELPRRDSSRDADIEMGMHQADASDNLKDFLKKVDAIESLIAKLTNLLTKLQTANEESKAVTKASAMKAIKQRMEKDIDEVGKFARQAKTKVDELEKDNLSNRQKPGCGKGSAVDRSREQTTGAVKKKLKERMDDFQALREAIRQEYREVVERRVFTVTGNRPDEETIDDMIETGKSEQIFKDAIQQGRGQILDTVAEIQERHDAVRDLERKLLELQQIFMDMAVLVEAQGDMINNIETHVSNATNHIQQGVSALQNAKKLQKNSRKWMCYAIIILLVIVVIIVVAVIQPWKKGA >KQL22952 pep chromosome:Setaria_italica_v2.0:II:6624149:6625661:1 gene:SETIT_030086mg transcript:KQL22952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMRSSSSSMALALLPLLVAAAFFIPSLLASSASRTLDDHGLDGEALLMLGRFHGWMAAHGRSYATEEEKLRRFEVYRSNMAFIEAANRDSRMSYRLGETPFTDLTHDEFMAMYGGNNGDPTSEKEEMMMITTRAGPVHEGGGVHGGDLHQLAAVDEEEPPRRANLTALLPPSVDWRAEGVVTPVGFNAWCSSCWAFVAAATIESAKAISTGDPPPVLSEQQLVDCDTLDKGCGGGWMDRAFKWVIQNGGITSAAAYPYTDKNGTCQEGKPAEATLSSYKLLPRGDEEAIMEAVARQPVAVAFDHNDPCFQHYIDGVYDAGCSISGVYTKGACKTAQNHALALVGYGTKPDGTKYWIGKNSWSAKWGENGFVYVLRDSPPLGLCGLAVRPSFPII >KQL22266 pep chromosome:Setaria_italica_v2.0:II:1117165:1118656:-1 gene:SETIT_032231mg transcript:KQL22266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFISAILGELTTRSINFFISKLSKPTTLDVEDRLRRVLLRAQVIIDEAKGRHITNQAMLLQLVMLRDAVHWSHYVLDTFRCQLHQDEEGANNQGVSRSSSLSKVNSAMRLYSRGAKTLKDLQGALDNLSSMILDVNELVLFLTNYPCLYRQPYSMHLQLANFINFLLHVQPHGADEELEVLPIVGPSYVGKSTLVAHVCKDERVRARFSEILFFHIQTFTDDELATFRYECELKHQNRVSESNLEARLLVVIELIGDLINEEAWGRLYSASKRYAPRGSKIIVTSRFDSIVKFGTTRALTLKFLPHEAYWYFFKTLTFGSMDPEMHPRLTHLAMEIAKMLYCYFIGANIMASLLRDNFDVQFWCKIVAFLRGFFHKHVSRFGEHPFDLLNQNRPAHLRRLATPSQVFVIYHQYQCSRQDKVPKIRFQDVMYGTFKTSGKFEFLSWRSSIPPYHSFAGTCEILELKAGGAKRKRSMKDGDTLR >KQL25442 pep chromosome:Setaria_italica_v2.0:II:38237433:38238104:-1 gene:SETIT_033150mg transcript:KQL25442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSKNKHQLCDMHRTRRRLRILATVLLAALLAGVVALTVYFTYRPVKPQASVARAAVYQLESAGNSSSAAAAPYAIAARAQFTLLLHNPSDRVSVRYGDLIAYVTYRGEPVAAPVELPAVVQERGADVSLSLLFGGLGGGAEPVPVSEGTVRALAGDCAARQVLLRLVILGQVRYRSGLFRTAWRDLFMRCDVTTGLGMDAAAGGGAGGVPLIEYPQCFVDA >KQL25832 pep chromosome:Setaria_italica_v2.0:II:40800473:40805586:1 gene:SETIT_028981mg transcript:KQL25832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSRRTTGRGGGGAGDGGEGDERVPPFMGNNRDHNPRELRSWARRTGFHSSAFFSGESNSSAAPQPPPPPPPPATSRRPPPERRRDPYPDTEDDLDPAPPLDLERGPAAPGRGRGGRPRRRIDLRGELEIPPGFGREEAEPDAGRRGGGGGGGRGDAMRRNGGVERDQAAPNAGRNGNGALADAEARKKAEEAEAKRKAEEAEARRKKEEEERDAELAAYYQEQWANEEEEGAADAAAAETAPLYGASGLRCGITENPGWAPLIFYGIQHYLSIAGSLVFVPLILVPTMGGSDEDTATVISTMLLVSGLTTILHTFLGSRLPLVQGSSFVYLAPALVIANSEEFRNLSDNKFKHVMRELQGAILIGSVFQILLGYTGLMSLFLRLINPVVVAPTIAAVGLAFFSYGFPQAGSCVEISLPLILLVLLCTLYMRKISLFGNRIFLVYAVPLSVAIVWAYAFFLTAGGAYNFKGCSSNIPSSNILLDSCRRHLETMKRCRTDVSTAWKTAAWVRVPYPFQWGPPTFHFKTGIIMIIVSLVASVDSLSSYHAASLLVNLSPPTRGVVSRGIGLEGISTFIAGVWGTGTGSTTLTENIHTLETTKMASRRALQLGAALLVVFSFFGKIGALLASIPVALAASVLCFTWALIVALGLSTLRYTQAASSRNMIIVGFTLFISLSIPAYFQQYEPSSTLILPSYLLPYAAASSGPVRTASSGLNYAVNALLSINVVVALLVAVLLDNTVPGSRQERGVYIWSDPKSLEVDPATLEPYRLPEKVSCWFRWAKCVGI >KQL25672 pep chromosome:Setaria_italica_v2.0:II:39767967:39768072:-1 gene:SETIT_033462mg transcript:KQL25672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPPQEILLYDVLAPPLVLMSRYSYSNVL >KQL25847 pep chromosome:Setaria_italica_v2.0:II:40879612:40881589:1 gene:SETIT_033114mg transcript:KQL25847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAYHTLALTEGTAPEAKLEWATFDRRVIQDRFPFSSTDAFSKTSNDRAVRVSLRLAPPPMASYVQLHTDDVVYGGTPKVVSADGDLLLIHMVVAVPGESPSDFPGNFLVYKASPESPQLYALPTLGDDCGGDDFFAANLQSLVGEDREEVAQLFRYSSLSKEWKLFHLDCPEDLENGFYPICWHTDSVFCYGAYMCWADYHQGIVYCDVSADDIDLRFVRFPGIETRLGIMCDGRELPEKIRTVAVNKGKIWFINVDDGRFVSGGRSECSVSMWTLRGGASSRSHRGLAPPLWTLKTLELKWEKEHTFVLSDLWLVVKYQQSPLPRSLTLHHEPGEELVLPFSLCFPNRLRVEALDYDLYVNTIEELNTRRDLKNKFYNTVLLASKVC >KQL25210 pep chromosome:Setaria_italica_v2.0:II:36679192:36680971:1 gene:SETIT_033365mg transcript:KQL25210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLNGPGQIVLGPGRTEPPVWRTVLGFLDVSLCHEMTKMLMRDIYAVDEYFDGHTCLLLRKGSLSLCRLVIYFSFPFFGFDLTGRPGSFAASYRVLAADVACKALVVLALAGWAAASRWSSGKRGGGSCSYLWCITGFSLAALNNALLMGFPLLDAMYGAWAHDIAVQMSMMQIVVWFPLMLVVFEARQAWLEMPLPVPAVVAPADGGGAVEEEEGGHATAADLDGDGEESGDGRKATVAAGWRSFWAPLLRTVALKLAFNPNAYASLLGLAWSSIANRWHLELPSIVEGSVTIIFTALSLVLRFVAGPAAGASVLGLRGDLVRFAIGRRRCPNLSRHFNFAREYDLHADVLSTAIIIGKLVSLPVLIAYYLVLAS >KQL24538 pep chromosome:Setaria_italica_v2.0:II:31119595:31123039:1 gene:SETIT_028750mg transcript:KQL24538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRKAILLLIHLVLFLAASTSLTSADKPGMGMRRQVLLREKATLLSLKQGLTLPSASAAALADWNESNGHVCSFTGVTCDWRREHVVGLDLSNMDISGAIPPVIGELSHLRSLDVSNNSFSGVPASIGNLTRLQRLIMNYNRISDAIPPVISDLSQLRYLDISHNRISGAIPPALGSLSQLQSLNISNNNISGAVPPSIGNLTLLTDLIMYENIISGGIPLAICNLTVLYNLDMSSNNLTGQIPAELSNLRKIGALDLSSNQLTGGIPPSLSELTVMYYFSLHHNSLSGHIPEAIFLNCTIMGVFDLGNNDLSGEIPRMASSDTLAEKFAVLNLYSNRLTGTLPRWLANCSRLYMLDVENNLLADELPTSIVSGKIYLMYLHLSGNQFRSQDGNRNLEPFFAALSNCSALQEVEASGVGLGGRLPSRLGSMLPRDMWHLNLELNSIEGPIPADIGDVINMTLMNLSSNMLNGTIPTSLCWLPFLQQLVLSNNALTGEIPACIGNATHLGELDLSGNALSGSIPGSIGTLVQLTHLFLQRNRLSGVIPASIGRHAGLQHLDVSSNHFTGVIPDVATSILTLNLSRNQLGGKLPGGLISNMPQVQTIDLSWNNFTGAIVAGLADCVELTVLDLSHNSLSGFLPSSLGNLQSLENLDVSNNSLTGEIQSLAKCTKLRYLNLSYNDFTGVVPTAGPFSNFTILSFLGNQRLCGPVVRRLCGRRRSWYQSRKFLVVLCVCSAVLAFALTIICAVSVRKIRERLAAMREDIFMGRRSGGSSPVMKYKYPRITYRELVEATEEFSADRLVGTGSYGRVYRGTLRDGTMVAVKVLQLQTGNSTKSFNRECQVLKRIRHRNLMRIVTACSLPDFKALVLPFMANGSLERCLYAGPPAELSLVQRVNICSDVAEGMAYLHHHSPVKVIHCDLKPSNILINDDMTALVSDFGISRLVMSIGGVANTADVGASTANMLCGSIGYIPPEYGYGSNPTTKGDVYSFGVLVLEMVTRRKPTDDMFEAGLSLHKWVKIHYHGRADAVVDPALVRMVRDQTPEVRRMSDVAISELLELGILCTQEQPSARPTMMDAADDLDRLKRYLGGDTTVTFASSLGFSSTTFEDID >KQL26594 pep chromosome:Setaria_italica_v2.0:II:45295583:45295795:1 gene:SETIT_032253mg transcript:KQL26594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWTPAVVFRTAAGVAVGAACAYFLWPAAAPVALMKAPGAAGLLISRAAFLANPQLYFELLRTARVQAAVA >KQL22829 pep chromosome:Setaria_italica_v2.0:II:5660936:5662142:1 gene:SETIT_031102mg transcript:KQL22829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPLLLPLLAAAASAKITPPPPPAPSPTTPWPEQFHAVVITNLTSKSGRLQVIDSYYDWPRGRSVNVIRDQLSAAGEPPLRNVEWANGTSFLFDAASCWTFHFAVGLLPPDWKKARGAAYLGRGRLDGFDCHVWSNFLFARYYEDVATGRPVGWNFNGMERHVLSFEAGAVLPDSGKWQAPAYCFNGSNADAPAPSPVDQLIRRGSSCS >KQL22230 pep chromosome:Setaria_italica_v2.0:II:745074:747283:1 gene:SETIT_032697mg transcript:KQL22230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTFHFINPSTRYLIVLDDIDQEQWDSINSTLPEKTGSRIIVTTRTQALAAVCCNHGNNGYAYNMRSLDDKHSKELLQSFTETDYKIFWSDLGSHMAKEYAFRKLQQILLSNYRSLRGRPVDLKTCLLYVCVFPNGHPIKRSSLMRRWDKSLEELIDRNIIWQIDPSKNAKAKTRRAQGIMHEVMLHMSISNYRHLFLDGRPATSSARVSHQPCTCGDPGDEKLREAVVEFANLSCFEDHHMDGIHKLWHLRYLSLGATVSHLPSKIEKLHCLETLDMRKAMIEILPVEVLKLPHLAHMLGKFKLGKRDWKMGELDKFLPKESNLQTLAGFVTDSNRGFPLLLVRMKKLRKVKIWCNEDDCVGLAELSTGIKKFVEDELDTSIGACCLSLNFMNSSGNILHSLQNSFGYLSSLKLHGALSGLTQFATSLCGLTELCLSSTNNLMSNEILNLRKLIHLEYLKLVKISLGGFTIRRQDLPRLLRLCLVQSPTLPTIEEGALRNIISLQLLSEDLGDVSGIEIRRSVHLQEVALDSEISQLEAKTVWEDAAKKHPKRPRVLYLKRVDPQGTGSMVKYVTARGSAHETDCAITQEMKSQETYEVFSEGRGRDRGNSSL >KQL24202 pep chromosome:Setaria_italica_v2.0:II:27489468:27491299:1 gene:SETIT_032964mg transcript:KQL24202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTATQEEGGEASGSRSRAGRRHTPTPAVTDSPSGAFIAAGQEEKIKATPEESERERWKRKRARAAADNSRADEEVEKKGARSTEAAAAADSASTGEWHPSSAVSSPLRWPCLGKRETRIDPAIELFTIDLVTIDPYVPRSCMVTKHLVAVRESATEIVLEGAKVIFSFSSNVVIVSTNGELMAQSSGFLIDWDEDSKMGTVLTSALLICTKYPSLDKWLATGEYLPNAELSSHTNCVCVHLLDKDETTVPARLLHYDKHFNIDLFKVHVDSCAKIPSFNSEVRYGQEVSVLGRDKDLNLNINHGRVQFNSPTIYEIHHYMFMGCIINQFGIGGPVIDFNGQVFGVVSIPGMGFIHSSIILKCLDMWKKFDCVPWLHIRMKFSAMKFLDPARVENIYHKCNISSGLIVAK >KQL22307 pep chromosome:Setaria_italica_v2.0:II:1544289:1546114:1 gene:SETIT_031907mg transcript:KQL22307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSATGLQAVGYAAVHGERGPRRVTVGRMGSGRDGAPQVGASAVGRAADRRRHREEEEVGASPPELIDDAVAEILLRIPPDEPADLFRASLVCKPWLRVASDPAFLRRYRAFHRGAPLLGFFYCAPAYDDHNWSVRGCRHCGVVLMKSFRKFAVWDLITGHQEELPKLDIRWMFDITRAAVIGDEIYCIVDLGSGILKYDLVEHCFSFMNLPAVYEKRPLLMQNEDGSLGFAGVSHSRLYLWSRMKVIKLKILPKANVFGFAEGAGVFLMSTNAGAFMFELKSGQVKKVGERMDYRTFFPFNPFSTAAQNDRWC >KQL25242 pep chromosome:Setaria_italica_v2.0:II:36878869:36879438:1 gene:SETIT_032470mg transcript:KQL25242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HGGVAQGVPGASAWRVWMSWACWVVLSFIADAIEEAMVFLTFEALEEAWDDLDLEGSLFELADSFSCVYLLAVIVSSEGGINVFSAISRAWQLVARNLKDTYLLIITCWLLLEALTSVLRTVISQHESKEEGSAAVIWEDTAADVLKFSLVAALMAVTTQTFLCSVLLAFYRQINEQPHQQINGQPHQN >KQL26972 pep chromosome:Setaria_italica_v2.0:II:47187926:47190747:1 gene:SETIT_029536mg transcript:KQL26972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTMKKLTAPAVLLAAAAHVLLLTLRTAQCFNPEIYNTGGLSRRAFPAGFVFGTAASAYQVEGMAKQGGRGPSIWDAFIKVPGTIPDNATADVAVDEYHRYKEDVNIMKNMGFDAYRFSISWSRIFPNGTGEVNQEGVDYYNRLIDYMIQQGITPYANLYHYDLPLALHEQYLGWLSPKIVEAFADYAEFCFQMFGDRVKNWFTFNEPRCVAALGYDNGLHAPGRCSGCAAGGNSTTEPYLVAHHLILSHAAAVKRYRDKYQLYQKGRIGILLDFVWYEPFSDSNADRAAAQRARDFHLGWFLDPIVNGRYPYSMQEIVEDRLPLFSDEESRMVKGSIDYVGINHYTSFYMKDPGTWNLMPISYQYDWHASFVYERNGVPIGAHANSYWLYIVPWGINKAVNYVKETYENPIMILAENGMDQPGNISISQGVHDTIRIRYYRDYITELKKAIDDGARVIGYFAWSLLDNFEWRLGYTSRFGLVYVDYKTLKRYPKDSAFWFKHMLSKKRS >KQL26243 pep chromosome:Setaria_italica_v2.0:II:43274446:43275702:1 gene:SETIT_030808mg transcript:KQL26243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIMAAATSRVLAAKTLFLGQGRAAANASPLRDVAAAASGRITMSNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFARNRALEVIHGRWAMLGALGCITPEVLEKWVRVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVILMGLVEGFRINGLDGVGEGNNLYPGGQYFDPLGLADDPVTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDDPVANNAWVYATKFAPGS >KQL26373 pep chromosome:Setaria_italica_v2.0:II:44015073:44015246:1 gene:SETIT_033569mg transcript:KQL26373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIKETYYTKTTKRIIVLLYTVENGGFRNLCYFSSHVLKRWFSKTLL >KQL22216 pep chromosome:Setaria_italica_v2.0:II:605238:606329:1 gene:SETIT_032957mg transcript:KQL22216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPLELMEELIEEILLRLPPSDPASLVRAALVSTPWCRIVSGAAFRRRFRAFHRTAPLLGFLCDPWVYGFRWDGVLVPTSSAFRPREPFAWRRPLDARHGRVLFHDSSSYYHLHVWNPITDAWIALPMMPNDDPDRDDWTAAVLCAAAATGECDHLDCHDGPFTVVIVGSGEDDEEMFSYVYSSESGQWSELTYADYCPDDSLNCQHSMLMGNALYFMFGSSSMILKYDLGTREMTMMDLPRYDGNDYDASSVSSVQLMTTEGGRRLGFVRLEDTRLCLWSRDDEADVGWAPNRVIELEKLLPFDRSLAWRTFLLGFAEGVGVIFLCVGDGVFTVDLKSSKVMKVYEGRISSVVPYMSFRTP >KQL23028 pep chromosome:Setaria_italica_v2.0:II:7578392:7578613:-1 gene:SETIT_033532mg transcript:KQL23028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQNLNRFFLQGYTSSEQPICGWGQPLRHRRVHQGSVTCGSTCQVASMPDFL >KQL22833 pep chromosome:Setaria_italica_v2.0:II:5683517:5686604:1 gene:SETIT_029067mg transcript:KQL22833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPMALQASTPSAFRASPATAHASCRRQVRRQFQVRASAAAKSSSVGADDGKMMVPKEEPSSSPWKVDFSGEKPATPLLDTVNYPAHMKNLTTLELEQLAAELRAEVVHTVSKTGGHLSSSLGVVELAVALHHVFDTPDDKIIWDVGHQAYPHKILTGRRSRMHTLRQTSGLAGFPKRDESPHDAFGAGHSSTSISAALGMAVARDLLGRNNHVISVIGDGAMTAGQAYEAMNNSGYLDANMIVVLNDNKQVSLPTATLDGPSKPVGALSRALTRLQSSTKLRRLREAAKAVTKQIGGPAHDVAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHNVEDLVTIFEKVKAMPAPGPVLIHIVTEKGKGYPPAEAAADRMHGVVKFDPATGRQFKSKSPTLPYTQYFAESLIREAEADDKVVAIHAAMGGGTGLNHFQKRFPERCFDVGIAEQHAVTFAAGLAAEGLKPFCAIYSSFLQRGYDQVVHDVDLQRLPVRFALDRAGLVGADGPTHCGAFDVAYMACLPNMVVMAPADESELMHMVATATAIDDRPSCFRFPRGNGIGAALPPGNKGSPLEIGKGRVLVGGNRVALLGYGSMVQACLKAAEALKEHNVYITVADARFCKPLDTGLIRELAAEHEVLITAEEGSIGGFGSHVAHYLSLAGLLDGNLKLRSMFLPDRYIDHGAPQDQMEEAGLTPRHIAATVLSLLGRPLEAMQLK >KQL24098 pep chromosome:Setaria_italica_v2.0:II:26011019:26011580:1 gene:SETIT_031860mg transcript:KQL24098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDSLLIGHIETNKTKVSKKKEKQTKQEFHVQLSSVQTLFVVS >KQL24867 pep chromosome:Setaria_italica_v2.0:II:34125023:34125570:1 gene:SETIT_033521mg transcript:KQL24867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEVYRTIETLGTRRLQVLFYSVLVIIPTAEGHEYHWMEQVLEYDLHVVMPCHLIA >KQL23500 pep chromosome:Setaria_italica_v2.0:II:14252218:14255818:-1 gene:SETIT_032560mg transcript:KQL23500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIEQLLRRNSKTKISRNIVDGVHDQKEEQCVQSLRELLLASNQLPEKFDDYYLLLRFLRMRGFNILKAKEMFLNMLKWREDCSVDAIANDFKFEEYDAVKRCYPHGFHGVDKFGRPLYIERIGLVDLSKLMQVTSIDRYVKYHISEQEKTMSLRYPSCSLAAKKHIASTTAILDVKGLGMNNFSKSAREMFVEIQKIDSNYYPETLNQLYIINAGTGFRALWKVLKAFMEARTLAKIQVLGTNYLNTLLEAVDPSNLPDFLGGTCTCPATGGCLLQDKGPWTDPQMVRASKAAFGKGQKSFNELTATIACESFTGCQEPSAKQVDSTSRRKRTLGMLLKDDQDGTDTSGNILQKQVGEQISVKIQELEDCAAQTKETLQTLICKQQELTSHIEQLRKILR >KQL22385 pep chromosome:Setaria_italica_v2.0:II:2195417:2199338:1 gene:SETIT_032196mg transcript:KQL22385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNEMGNLIDVVILYLDGNKISGSIPATFGNLTNMRNLSLFDNQLSGTVPREFANLTGMVKFSIGNNSISGSFPSDVCKGGRLQMFVVCMNNLTGPVPASLRTYFLDPKNANRTMVRGVPKRLGDREAILLCQCFNNVPNSPPPPFRLGVPIPYGTYPHLIKANLRSNNLHGELTPNWAASTTMIMFNAGENMITGRIPPELSKLTNLESLKLYSNFLTGEIPPEIGDLTNLYTLHLTNNQLSGPIPSQFGQLSNLQLLHLEELGRCNKLLSLYLNNNSLTRNLPGAIGNLVNLQIMLGVNSNKLSGRLPRQLGNLAILRTLDVSYNNLEGPLPTGLHLQNLSIAWFVHNKGLCGNLRGFLPLCPTTPDHHKGKEKFHSLMIAVLVPVGAVIALTIVAAVMFFYKKEKQQERVTTEIREVFSVWNFDGKLAFEDIVRATENFSDKYIIGAQLQDGHQVAVKKLHPTEEEIDDERRLHDEIEILVKIRQRSIVKLYGFCSHPHYKCLVYDYMERGSLCAAQQHEDVAKEMDWTRRIALVKDVAHAISYLHHDCSPPIIHRDITSNNIWLGRNLKAHVSDFGTARILKPDSSNWSALAGKYGYIAPEMSYTSVVTEKCDVFSFGVVVLEVLLGKHPGDLLQSHLEDHMLVKEFLDQRPPQPTKIEENDVTELAQVALACLQASPQARPTMKEVYQGLNKSGS >KQL25611 pep chromosome:Setaria_italica_v2.0:II:39369616:39370245:1 gene:SETIT_032540mg transcript:KQL25611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSERDLARDAIIAAVTGSSPAVSRRQVAHAFAFRFQIAEEDVEVSLNSYAGDFFVYFKDPIYRTEALRFPPYLWMHISKSALEITPWTRQSQATAVVGQLHYSVRLCIEGVPRHAWQEETVRGLLHSSTHSSTLIELEGIDRSNLSDKESACVCIWVWTDDPRCLSTQGRLEIEELPETERAVDDDEPWHYSELGFADQRRRQPRFQP >KQL27032 pep chromosome:Setaria_italica_v2.0:II:47494285:47495126:1 gene:SETIT_031321mg transcript:KQL27032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAQHAVVEFCDLTNSDGNHVKEVVHIKDWYKSRLARRLKELRYWLAPTDDPLQEFECYMPTYTCLAVLAAVSPVLFTNSAENWPDNMLLVFYLLGGLCCFVSLLPVVRIMCRKPTARTQLRILRLICLTSVAIGVAFLTIHAVYGHQALMASRQKARSVTIAG >KQL25631 pep chromosome:Setaria_italica_v2.0:II:39496783:39496962:1 gene:SETIT_0303662mg transcript:KQL25631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIPAAPDCFLLARRHRLAFTSPSPSSNHQRLHPTRLLRLPARPRAAPMAAEARAPAPP >KQL26010 pep chromosome:Setaria_italica_v2.0:II:41810423:41812702:1 gene:SETIT_032358mg transcript:KQL26010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAALEVRLLAPLAAPAVAVYMLAMATTSSTQIFCGHLGNVQLAAASLGNNGIQLFAYGLMLGMGSAVETLCGQAYGAEKYEMLGVYLQRATVLLTAAGVPLAVAYAFSEPLLLLLGQSPEIAGAAAKFLQAQSIVAPSAYILAASLALHVALSWLATSSTSCGAPSAGFTWAAFADLPGFAKLSAASAVMLVLEVWYCQVLILLDGKLPSPSGERPACRVGNELGAGNPRSAAFSAWMVTAVSAFVDKLSYIFTGGEAVSRAVADLCPLLVGTIAVCGIQPVLSGVAVGCGWQATVAYINIGCYYLIGIPLGVLLGFKFDFGVKGLWGGMIGGKLMQTLILIWITFRTDWNKEVEEARKRLDKWDDTRQPLLANKE >KQL22799 pep chromosome:Setaria_italica_v2.0:II:5377356:5378309:-1 gene:SETIT_031184mg transcript:KQL22799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSKRSAGAVASLPDDPLVEILSRVPVKSLCRFKCVSKAWRDLIADPLHRKKLPQTLEGFFFLGDSEWSSTGKLTYLIFDTAASSHFHLVQIEDEDMIPVHVYSSKSGVWSPVRCDLDDLDLYLVPGLRRACANGMLYVVMSGGMHQIAVMDVEGNIRKIIPVPLRVGDEFWPFSDYVGQSQGHLHYIYHLT >KQL23109 pep chromosome:Setaria_italica_v2.0:II:8437809:8439600:-1 gene:SETIT_032090mg transcript:KQL23109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVQEARAGGLDDCQGTHRRRRVLVFPLPFQGHINPMLQLADALHARGLAVTVLHTHFNAPDPARRPEFRFVPVPDGVPAELAASGNVIGILDAMNAAMEAEDSAALLDVLASVLADDEQAPVACMVFDANLIAVPRAAAAVGVKTLVLRTTTAACFGVSMAYPMLHQKGYLPPQELPPLRVRDLFYSSWSDQEKMRNLLARAIEAVNDSSGLVINTFDALEPAELERIREELHIPMVLAPGPLHKLSSKSTGSSLLDEDYDSIKWLDMQAPESVLYVSFGSLASLDANEFLEVAWGLETSGHPFLWVVRPDSVRGLDRPGFPNGFEAAIEGRGKVIRWAPQQEVLAHRAVGGFWTHNGWNSTLESISEGIPMICRPQFADQMMNTRYVEKTWGVGFELEGELERGKIEKAIRKLMKEREGAEMRERAKELKKNVADCLRTGGSSQIAIDKLVQHILCL >KQL26479 pep chromosome:Setaria_italica_v2.0:II:44619679:44622087:-1 gene:SETIT_032758mg transcript:KQL26479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPPPPAVTSLPYQCSVLLRRLVASRSLASSTSFLRALRRLHARLLTAGLLHAQSHPHLTLRLTHLYTLSLDLPAAALLFRSNPCPVAATSLVAAHAAAGRLPAAVSFFDAVPPARRDTVLHNAVISAYARASHAAPAVAVFRSLLASGSLRPDDYSFTALLSAAAHLPNLSVRHCAQLHCSVLKSGAGGALSVCNALIALYMKCEAPVATREARKVLDEMPAKDELTWTTMVVGYVRRGDVGAARSVFEEVDGKFDVVWNAMISGYVQSGMVEEAFELFRRMVLARALLDEFTFTSVLSACANAGFFVLGKSVHGQIIRLQPDFVPEAALPVNNALVTFYSKAGKIAVAKRIFDSMKSKDIVSWNTMLSGYVESSCLDKAVEVFKEMPYKNELSWMVMVSGYVQGGRAEDALKLFNWMRADDVKPCDYTYAGAIAACGELGALKHGKQLHGHLVQLGFEGSNSAGNALITMYAKCGAVKEAHLVFLVMPNVDSVSWNAMISALGQHGHGREALDLFDQMVSEGIYPDRISFLTVLTACNHAGLVDEGFRYFESMKRDFGIIPGEDHYARLIDLLGRAGRIGEARDLIKTIPFEPTPSIWEAILSGCRINGDMELGAYAADQLFKMTPQHDGTYILLSNTYSAAGRWVDAAKVRKLMRDRGVKKEPGCSWIEVGNKVHVFLVGDTKHPEAHEVYHFLEMVGAKMRKLGYLPDTKVVLHDMEPHQKEHILFAHSERLAVGFGLLKLPPGATVTVLKNLKICADCHAAIMFMSKAVGREIVVRDVRRFHHFKDGECSCGNYW >KQL23222 pep chromosome:Setaria_italica_v2.0:II:9849710:9853368:1 gene:SETIT_029550mg transcript:KQL23222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIFGRRKASKSADKELIGGRRHAVSNQQSGSGVADQSGQQPILSSTGHACGSGNRMGFQESRINDGLFSSHFRPLPSIKDMPNAEKQNLLIVKLNMCCTQFDFTDPTRNMREKKIKGETMMDILDYVKTANTKFPEIVVEGITKMISENLFRTLVIPPREKKVLQAFDLEEDEPLMDPAWPHLHIVYELLLNFVQSPETDAKLAKRYVDHSFILRLLELFDSEDLRERDYLKTVLHRIYGKFMVYRPFIRKAINNVFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKPRCISMYHRQLSYCITQFVEKDEKLADTIIRGIIKCWPVTNSPKEVLFLGELEEILEATQPSEFQKCMVPIFSQVARCFNSSHFQVAERALFLWNNDRVYNLISQNSKVILPIILPALEKNINGHWNLAVRSLSLNVQKLFSEREAELFAECMLTYEEDKVREEARKLKQEAAWKRLDEIASDKVTSGEAVLISPTLPRQPSV >KQL25239 pep chromosome:Setaria_italica_v2.0:II:36855866:36856361:-1 gene:SETIT_033423mg transcript:KQL25239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKKQQKLTACTCLGSLEMRKATAMTCCRGRGAACRCATHAATEEDGAGLVTRRESHWDKVMGGGGGAQTSKRKR >KQL23528 pep chromosome:Setaria_italica_v2.0:II:14584531:14585723:1 gene:SETIT_032552mg transcript:KQL23528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERATWSYTYEKGLVDILKELANVPMFKGQNGWTTEGWRNITNKFNDMFPTTHFTKQQVQEKEKELKGNYKIIKEARKSGVGWNDTLGMIIAEPKGWEKLIKDNHKVAKFRKKLFPLFNSLELLYEGSVATGDLNFTSIQPPPQRTEPTPHNSELPTEPTPQTKSIEVQSAPASRNSEDQDVTGGKKRKQSQMAAKLGDYIDFRKDQIGKTLEKLEEKRRREEDYSIEKCIDIVDAMEGLSDEQKADANEVFQSETNRKILVGTKNPNVRLIWLKKKIAQ >KQL23248 pep chromosome:Setaria_italica_v2.0:II:10181936:10183388:1 gene:SETIT_033081mg transcript:KQL23248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVPASPIGDAAGGGCDGEYPDLISLLPDCILTTILSLLHLPAAARTQILSRRWRRLWASAPLHLLDSHIPVPTSSLSAAVSRILASHIGNAARFHLLLARPSAADHDSWLRSLAAKHLQELVLRPPSDEPLRLPPSLLSCRSLRSAELTNCSLPEDAVGGEVCFPHLAELTLRLSRVPSAAALHGLLAGGPELASLSLDRVFGCRTLRVRSGSLRSLTVSVSLTRRRVLEEADELEHLVVEDAPALERLLAHDINWGPSINVVHAPRLQMLGYLGVGILELQLGSALFRSMCAVRLAAEFRCVRVLALEMADPQLKPVADFLRCFPCLETLYVTSHMVIPQSMKILNYEMTDPIECLNDHLKTVVLKGYRGRKHELQLAMFLVRSARVLQVIKFLCENDCKPSWLANQKRQLLLDNRASLGAQFVFQKFTKSYIRFLKQARNISLVDPFDT >KQL23237 pep chromosome:Setaria_italica_v2.0:II:10057514:10057681:1 gene:SETIT_032986mg transcript:KQL23237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSGASSLLVERLVLALNGRRYEVAAAELEPSTTLLEFIRTRTPFRGPKLGCGE >KQL23550 pep chromosome:Setaria_italica_v2.0:II:14870280:14871008:-1 gene:SETIT_031751mg transcript:KQL23550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVAIVAALLEEYTAAVARAVERLLSAAAPRRVLPPRVRFLVLRSLPFAAPPAAAPPPPHAVVPVLLAR >KQL22128 pep chromosome:Setaria_italica_v2.0:II:89843:98954:1 gene:SETIT_028805mg transcript:KQL22128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSERAELARLCSIRNWSKAIRLLDSILARSPSSIHDLCNRAFCYSHLELHKHVVKDCDRALQLDPALLQAYVLKGKALSALDKKEDALVAWKQGYEVAVRDTTDLKQLLELEELVSSVKICETTEPADSVMDASPCDTKVVISEDRVVDTSSAATTMADTKTVVCEEAIGNSKVSSNGDTKLPNHNNKVDNNKVSSSPVKDSTRTQAPKKAPKPDKKNKAKAVKEINGRAEDVTDRTSSDDCKTISLDQTLFATKISKSSKSISLDFRLSRGIAQVNEGRYDQAISIFDQILRETPTYPEALIGRGTAYAFQRELDSAISDFTKAIQSNPSAGEAWKRRGQARAALGEFKEAIEDLTKALEFEPNSSDILHERGIVNFKFKDYNCALEDLSTCVKRDKKNSSAHTYLGLTLSALGEYKRAEDEHLVGIKYDESFLDCWAHLAQLYLDLAYPEKLLNCLEKAIQIDSRFAKAYHLRGILYHGMGRHRSAIKELSIALTYESSSIECLYLRASCHHAVGEYKLAIKDYDDVLDLELDSMDKFVLQCLAFYQKEMALYIASKANLEFSQFNIDDDVDPLFKEYWCKRLHPKNVAEKVYRQPPLRISLRSGRLNKQDFKFTKQQTTLLLAADSIGKKIQYNCRGFLPNQRQYRMAGLAAIEIAQKVSKAWRFLRNPKNIAKLVRRRDKLNMSQNRGGYCSTSTLSGSPTSSPNEERVFSGISLSWLDVYNIAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMLLGQAKVVRYYPYYQRVLETAKTIMLDLKYVNNAEDRAIFLTDIEKLKKIEVASSCSDLYHIVGETYWVATRCDSMASQGRRLEGTRITTQNMGKTGFDFAIRTPCTPSRWEEYDEEMTAAWEAICEAYCNDTNPTRDPGTLDAVKDAILRMTYYWYNFMPLSRGSAVVGYIVLLGLFLAANMDVTASIPPDVQVDWEAILSPDPDAFVDAVKPWLYPSIKISRCLKDYTDVSCAFSKTGSVVAALTSVDP >KQL26200 pep chromosome:Setaria_italica_v2.0:II:42995607:42996010:-1 gene:SETIT_033606mg transcript:KQL26200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNSNTTTIVLLHATHTTLNVVSSSSSPVGARKSPKPMRCLC >KQL25735 pep chromosome:Setaria_italica_v2.0:II:40082253:40085884:1 gene:SETIT_030019mg transcript:KQL25735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAAAGGIVHLPPPQSAAPRFGAPRAPPLRSRWPGRYRPRRHRAGRLFARPVAVEEAAYAEPEAALLEALLGVQGRGRAVAPRQLQARNLRRATDGFLCDTRVQEVESAVQALEALGGVPDPTSSSLIEGSWQLIFTTRPGTASPIQRTFVGVDSFRIFQEVYLRTDDPRVVNVVRFSESVGDLKVEAEATIEDGKRILFRFDRAAFTFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSHAGKIRISRGNKGTTFVLQKSADARQTLLSTISAGTGVEEVIDDFISSQNRAEVDLDILVGEWQLLWRSQTEGESWSSVASAGLKDFQNSVSPFPGVNLSARGNICKTGKSNTFSVSMNEGAVQVGGVQFPLDTKGEFVMEILYIDSKIRISRLNQHMLVHLRIRT >KQL26313 pep chromosome:Setaria_italica_v2.0:II:43687453:43687946:1 gene:SETIT_033659mg transcript:KQL26313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAAPDPAAVAARCHGQGPGGTRQAHDVRSPAGAAASSHPRRCGSGCPEPRRAP >KQL24690 pep chromosome:Setaria_italica_v2.0:II:32246780:32248413:1 gene:SETIT_030632mg transcript:KQL24690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHKIETGHQDVVHDVAMDYYGKRIATASSDNTIKIVGVSGTSHQQLATLSGHQGPVWQAAWAHPKFGSMLASCGYDGRVIIWKEGGKPDEWVQAHTFTEHKSSVSSIAWAPHELGLCLACGSSDGNISVFTARADGVWDTTRIDQAHPVGVTSVSWAPAMAPGALITAGPSGQFEYVQKLASGGCDNTVKVWKLQNGSWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTIASASQDGTVVIWTEAKEGEQWVGRILHDFKTPVWRLSWSLTGNILAASDGNNNVTLWKEAVDGEWQQVTTVEP >KQL25556 pep chromosome:Setaria_italica_v2.0:II:39034242:39034846:1 gene:SETIT_031400mg transcript:KQL25556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAKRLVQMAKKWQRMAALARKRITSAPAKETEGSPCSTSSVAGKGHCVVYSADGRRFEVPLAYLGTAIFGELLSLSLEEFGFAGDDGRITLPCDAAVMEYVLCLLRRDASEEVVRAFLLSSMARPCHYGNGLVKSVGVSQEVAVSSF >KQL26186 pep chromosome:Setaria_italica_v2.0:II:42852876:42854163:1 gene:SETIT_031779mg transcript:KQL26186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVYMTITSALVNPSLHIIAWINDISMLPRATIVSYSQTSQVKVNTSFKLHNLACTSTYITSS >KQL22497 pep chromosome:Setaria_italica_v2.0:II:3148314:3148415:1 gene:SETIT_032148mg transcript:KQL22497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGLKPGVPVILGELEPSSEMFKQGASLRVTG >KQL23096 pep chromosome:Setaria_italica_v2.0:II:8363751:8365640:1 gene:SETIT_033013mg transcript:KQL23096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSWQGHAAAAVLSAFLLVIAPYAAAIGVNYGTKGDNLPPPAKVASFLANRTRIDRVKLFDTNADMVRAFAGTGIALTVTAANGDIPKLATKDGAAAWVSANVAPYYPATDISLVAVGNEIMATADKTLINSLVPAMRALKAALVAAGFPKIRVSTPHSLGVLSVSEPPSASRFRDGFDRAVFAPMLEFHRQTGSPFMVNPYPYFGYNGGTLAYALARPGAVGVADPGTGITYTSMFEAQLDAVFSAMKRLGFGDVEIAVGETGWPSKAEDGQAGVSAAEAAEYNRYLIGEAAGGSGTPLMPKRTFETYIFSLFNENLKPGPVAERNFGLFEADLTPVYDAGLMKDGAKAAAEPAPAATLTSAGSGAPEPAKARNGGKAAKKHVTEAAAPAETDASAPAETPASAPAPSSSEDAASPMATGPSPSGVAPAEGDSTDDEKTPKSLTNVVMSNAFRFVDETTGQDGDGAEGSTEKKADTSPAPAGAASAAADILVPISSILTAALSLALHI >KQL23029 pep chromosome:Setaria_italica_v2.0:II:7644749:7645485:1 gene:SETIT_032636mg transcript:KQL23029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQPLPYSTGQPPATGGAPVPGVPGAAGPPPVPHHHLLQQQQAQLQAFWGYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPRDEAKEEPGSALGFAAAGPGAVGGGAAPAARMPYYYPPMGQPAPMMLAWQSASYCEEGQGFAAAGHGGAASFPPPAPPSSE >KQL23694 pep chromosome:Setaria_italica_v2.0:II:16499929:16500992:-1 gene:SETIT_032789mg transcript:KQL23694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAGWVAFRSNVLWEKWKKPRQGGRWRGPRSGVELNRHRSSRSGSTAARDATRPTGGSSGGAWGAAPRQATRVPPRQLYPIHLQLHPAPPVWNHTTTHARTRIHPPRRCRLPVRSANSLHLINQSSQINQSVTDKHTSTSNQPLISSLAMASSSSSPSCRAGGAGGKPDVDRIKGPWSPEEDEALQRLVARHGARNWSLISRSIPGRSGKSCRLRWCNQLSPQVEHRPFTPDEDDTILRAHARFGNKWATIARLLSGRTDNAIKNHWNSTLKR >KQL24522 pep chromosome:Setaria_italica_v2.0:II:31006636:31009581:-1 gene:SETIT_030258mg transcript:KQL24522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFACRGRPAADQDGGDSRRRFPDPPPPHGDAMLVIRDALLLQLQKDRLRQEIIMTELATLERAMALSSAARHGIDAAYVEQPKPLFLSSEEFMPHHRWMEQFSVVNEVHDLKKNDVKHGNVQLKSVNPAAEDRFSVCLRPCCSNSKAEENEAFDEQKLQESNEPTKMSPSLKWELTGITIPVKKPKQPQSWSCVICQVETPDTEHNIKEHCAGKKHRSNVASLESRNKTIIQKAETTAESSSCAAQKTSSIIWSCSTCQANGTSEADLKEHLSGKTHQQNIEGQCQEGDGMTKNAEPQEAMCQESKLPQLSEKPPYHCNGEEHLEKQKLLNFCEVCNLQCNSRKMLDHHRTGKKHQKNLDANK >KQL25971 pep chromosome:Setaria_italica_v2.0:II:41662985:41663443:-1 gene:SETIT_033339mg transcript:KQL25971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVREEEFDEGDVWDVLLGDHHQGSSAAAEAEALVATPPRNRRVSGGGKDKKKGAAAAERDGVVVSGCRPGKPRSSAPVAIPAAGSRGGGEEEEEDGAEMLLLPPHEWLARKMERMGVASPPDQACRGRSKGRELTKVRDAVLPKTAFSDQ >KQL26218 pep chromosome:Setaria_italica_v2.0:II:43118099:43120132:-1 gene:SETIT_030163mg transcript:KQL26218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIEDYYTYLFPKYHPTRIITLTYQPFVLATTAIFTYHEAKVNTRVRNLAGYVLFFLSSFGVIILDVLSSGGGGIAPFIGVCIIAAALGVADGHVQGGMTGDLSLMCPEFVQSFFAGIAASGAITSALRFFTKAVFENSRDGLRKGAMLFSSISCFFELLCVLLYAFVFPKLPIVKFYRSRAASEGSLTVTADLAAGGIKSQPNSLAEEAPAHAERLSNKQLLHQNMDYALDIFLIYILTLSIFPGFLAEDTGSHSLGSWYVLVLIASFNVSDLIGRYLPLLEPIKLTSRKGLLIAVISRFLLIPAFYFTAKYGNQGWMIMLTSLLGLSNGHLTVCVLTEAPKGYKGPEQNALGNLLVLFLLAGIFVGAVSDWLWLIGKGW >KQL27159 pep chromosome:Setaria_italica_v2.0:II:48147563:48147802:1 gene:SETIT_031767mg transcript:KQL27159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPTEKERRGSTKKRHDAGDHRHIAARRRFWLAFVGCSCVAERWSRSRSRNLLRLSPLPRRPQPAR >KQL23664 pep chromosome:Setaria_italica_v2.0:II:16004447:16007400:-1 gene:SETIT_032526mg transcript:KQL23664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPSQNEYKREREGTIKKNLRRMEELGISGLSSSLNSFSRKKTTSNGESSGKKGKGSDLSDYILEGEEHDDGDDDTDLEEEPIPLAIESPVRNGRRKVYTNKRKTSGAMAPGGRHSKRVRSIPPTEVTPGASVRLLKRLQTSGPHEKPVQKELPPVAENTSGDDNVVQEELLTVAENTSGDDNVNNHSSPLRDWSYPYDADHDDAPDLNEDETGPNTDSRQSESTTEGGPSKVRPATRGVLLDKMTKAMGRRMPISGAEGNTRPHESLQAAKFASEAGVIVKSQVPIFPHWKDYKEGIEHFEGFLKRLSGRLAIGRRDKATSDACVSVFKSRIRQRWYKLKQEHFVGVPTNEILTTSHNKRNRAKVKWPQATGSHYYVAQLHSSRTKNKDAELAEVNEDEPQEQKGMSDVAREAFVSMKTMRAEPVADGQPPLSSANMISKVLSQNRSNTTFLNNTSIPTSSSKSQSAGEEALSQELASEKQGSAILHQQVEELKKKTEATEEVLARTQRQYEELKKQHDESNVILMKILNLNTHGTSSQL >KQL23772 pep chromosome:Setaria_italica_v2.0:II:18407074:18407541:-1 gene:SETIT_033799mg transcript:KQL23772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTRACRRRPVSDSRHRARRPERSCCRAASGAASPRSRSPCGGGKEGKGTREEGDDTWIHASVSGEEELKDGILVHTKIRRHVCGPIVLKT >KQL23054 pep chromosome:Setaria_italica_v2.0:II:8070949:8075729:1 gene:SETIT_029537mg transcript:KQL23054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEILRAELSSRTPPFGLRLWIVIGICIWVVILFILGFLCFWSVHRRKPSKSFDKIPVSQIPDVSKEIAVDEVREHAVVQSFQVQESHALAVAVQEKHYEKDSGKMLAHLVRSKSSDADNMSQCSSAYHCERAGSSYSGDEGSSGNARRQYPQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGVVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGAMRQHGVLTWEARMKIILGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNERSDVYSFGVLLLEAVTGRDPVDYARPANEVHLVEWLKMMVGTRRAEEAVDPDMELKPATRALKRALLVALRCVDPDAEKRPTMGQVVRMLEAEDVPSREDRRSRRGHSSNADSESKASSSEFEISSDRRESGLSARSQS >KQL25061 pep chromosome:Setaria_italica_v2.0:II:35653544:35656412:-1 gene:SETIT_029542mg transcript:KQL25061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGTGMAPKRVLSALLLAALACNVAHAKFSRYSFPEGFVFGTGSASYQYEGAYKEGGKGPSIWDTFSHIPGKIKNNDTGDVAEDFYHRYKEDVKLLKDMNMDAFRFSIAWTRILPTGSLSGGVNKEGVAFYNNLINEVIANGLKPFVTLFHWDTPQALETKYQGFLSENIIKDYVDFAEVCFREFGDRVKFWTTFNEPWTYASQGYGTGAHAPGRCSPFISRSCTPGDSGREPYVVTHHILLAHARAVRLYQAKYQPSQRGQIGLTAVSHWFVPTTDSAADKRAVQRSLDFMYGWFLDPIVRGEYPGTMRAYLGGRLPRFTAEEAVMVKGSYDFIGVNYYTSYFTSDRPAPANALAQSYDGDIRANTSGFRDGVSVGEPEFVPIFFNSPAGLRELLLYTARRYNNPVIYVTENGIAEENSPRIPLSEALKDGHRIKFHSQHLQFVNHAIKNGVNVKGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKQSSKWVESFLRRKKTHY >KQL23587 pep chromosome:Setaria_italica_v2.0:II:15326281:15328087:1 gene:SETIT_029812mg transcript:KQL23587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAPVLDAFFAGPRHHHHQLRPTAAAGGGCRSARLQAQPSRAAAAPYARADWQAACAILASNSSGGNGGSTSSADASNSNSSSKQPAPRVNGQEPPRPPALEATPAPVPAELDLVPVSNLPRPLSISDLSPAPMHGSQLRVAYQGVPGAYSEAAAAKAYPGCDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRRELLTRVISHPQALAQCELTLNAMGLNVAREAFDDTAGAAEHVAAGGLRDTAAIASARAAELYGLQVLADGIQDDASNVTRFVMLAREPIIPRTDRPFKTSIVFAHDNDGTSILFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYIDFQASMADVRAQNALAEIQEFTSFLRVLGSYPMDMTPWDAAPSSWSSRVDNSSSQHL >KQL27070 pep chromosome:Setaria_italica_v2.0:II:47684786:47685680:1 gene:SETIT_032847mg transcript:KQL27070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTFDTKTSALAVLPDLPIGICNSTVIVAVAVGNMLYVIENGSEIDFIDDDDEYCVGGLRRLRLEEEDGKPSRSDNKDEWDWYTSLDYFPFPTRWSWSAGHRALPLSPYGVSVNALHPRGRAFFVSVHCNFIRGHRGRDTFSYDTERGRWGAPRRLGAAAHYDGDLRAWVGLHGGEGYEPDGYLCSCDVPHLGRRRAAAPGWKLGKERLFLEDPERHVDAKLVHMGGGGRFCLVEILTREGVSWEERLGDGDRCVLRLTTFRVEYGDDGELTTTARSYKMSSHHDRFHWQAFWA >KQL23960 pep chromosome:Setaria_italica_v2.0:II:23581314:23582223:1 gene:SETIT_033006mg transcript:KQL23960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYFLSHRGSPMLAARPRAIPILVVSAHWETAAPAVSVVRGSNGTIHDFYGFPAPMYQLKYPAPGAPELAKRTKVLLEQAGLGPVEEDHGAWVPLMLMYPAADIPVCQLYVQPGRDEAHHHVVGRALAPLREEGVLVLGSGSATHNLRQRGTPRSRRRRDGADMATEHLYQLHVALGAANANGGAVRAELIHHSWTGSLSYASYKFTTTS >KQL25918 pep chromosome:Setaria_italica_v2.0:II:41263879:41264355:1 gene:SETIT_033785mg transcript:KQL25918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLKKKRCYHSLVTTRTVSSTDPFFECVRVCDVRTI >KQL26248 pep chromosome:Setaria_italica_v2.0:II:43301175:43305513:1 gene:SETIT_029538mg transcript:KQL26248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPQPQAEALTEPARINGAAAEATLSGGELAWRPAAAGGGGGEGQERRLELESEVLGCRVEGRKLRVATFAASGGGDGERPSAVACGGGGKGGGGGEVVLEMESEDAAARWGHAIRDRLASLGRPKRLFIIVNPYGGKRSGRSIFQNEVRPLLEAAGILYTMQETKHRLHAQEIAHSLDIRKYDGIICVSGDGVMVEVVNGFLQREDWETAIKVPLGIIPAGTGNGMAQSLLHAAGEPFSIPNAVFAIIRGHKRALDVTSVVQGKTRFFSVLMLTWGLVADVDIESEKYRWMGSARLEFYFLLRVMNLRRYNGRVLFVPAPGYEEVGEPVEQTATSCKQNGVSTGSQEDKADDRNGETSGYPGPSIEGADLEWRSLSGPFVSVWLGNVPFASEDAMAAPKAEFADGYLDAAIIRDCPRWDVLGLMFQMKDGAYVNSPYVEYFKVKAIQIEPGLRVGSSNKGGIIDSDGEVIARGDGSRGGGEPEHLMAYGPPIQLTVDRGLATIFSPRSR >KQL25099 pep chromosome:Setaria_italica_v2.0:II:36002303:36002736:-1 gene:SETIT_032901mg transcript:KQL25099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYVHDAATGRGAAGEMVSSQPRVCVTGAGGFIASWLVKLLLSRGYAVHATVRDPSDPKNAFLKQLDGASENLRLFQADVLDYGTLAAAFAGCEGVFHPATPVPGDKHVDPEV >KQL22724 pep chromosome:Setaria_italica_v2.0:II:4788346:4789616:1 gene:SETIT_030681mg transcript:KQL22724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARWRAAASVMALAAIVIGQAAAAGNKTGQVTVFWGRNKDEGTLREACDSDLYTMVIISFLDVYGHGRYHLDLSGHPLAGIGDDIKHCQYKGIPVSLSVGGFGSGYSLPSKKAALDLFDHLWNAYFGGNKPGVSRPFGDAWLDGVDLFLERGTAADRYDVLALELAKHNIRGGPGKPLHLTATPRCGFPPAGYLKRAVDTGIFERVHVRIYDDPDCEAYWHREWDEWVAAYPATRFYVGMTASEMTHGWVHPKNVYYDVAPSVQKADNYGGFMIWDRYYDKLTNYTSIVKYYA >KQL23166 pep chromosome:Setaria_italica_v2.0:II:8898442:8899044:-1 gene:SETIT_032851mg transcript:KQL23166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKCCIASWTAAVIAAGIIAVLVAAYGVLRHVDIAVEEASLTRFALAETTTTSSPAAAAVLAYNLSLTLAVRNPNWAITVKNRKKLEAACTFDGQPFDRVLLAGKGDKQGPRKTRVYHLAVSSNGAAVPALGNAGAAEFRKQNATGFFEVEVKVTGKFSYTGRLTKCDLDATCPLKLQLAPPGTKAVVFQKVKCKLAKPK >KQL25439 pep chromosome:Setaria_italica_v2.0:II:38205674:38207311:-1 gene:SETIT_030156mg transcript:KQL25439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRCFCCVAGDDEPEPAAAPAGRRRRTNPSRTPKNRSMEYPWEIYSLKELLQATNNFNDSNKLGEGGFGTVYWGRTSKGVEIAVKRLKAMTAKAEMEFAIEVEILGRVRHKNLLSLRGFYAGGDERLIVYDYMPNHSLLTHLHPHRGAPSSQQHQPLDWARRVAIAIGAAEGLAYLHHEANPHIIHRDIKASNVLLDADFVPKVADFGFAKLIPDGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELVSARRPLEKLPGGVKREIVQWAAPLVERRKWDRIADPRLAGRFDAQQLRAVVEAAMLCAQSNAESRPAMAEVVEMLRFSGERRPTKEIVPVAAASSEETTDLDDVTGSSEPLDRRSSWKLTKLR >KQL25094 pep chromosome:Setaria_italica_v2.0:II:35914079:35920566:-1 gene:SETIT_029721mg transcript:KQL25094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQYAEMARSVVNDIIIQDPTPEWVCNTFFDKYDQMEPILEKDSAQCFLQFFKKCAGRGMTWNFTITSQTLTHMISFNALRCAEVVLEGKAPELSGLHANPNCINNNGYFPLHEAAERFSVEMIELLLRHGASANVRTVGNVVIENLLPLHVAVENTCLHKYLADNLSSCRNYLDYIYKLIHLLYLPEMKIFLDTTRLLAEKTNNLLEEVWIYIEGGKLIQSAVLLLAAQEHIRGSCSSNINGSSKKDGFEIINKRLLRQSIALRWGKGSNEMTQKLLEEKRTLNDCARLLVDVISHVVEPLSAYIQAHSEVSRMEVLEHVSSILKDYGFLPTEEVMDTINLQPYDCKMSDKKSCSKGANRAVMETAILHAAEEKAARTEVGGGWDPTYARRSYFPYWRSLLRTRCPVRVYPPYATAEAKFPMVNGCTPITDHKLSSVGRITALTSNQPKRSFSTAAIGAFRLLKLLKHA >KQL26478 pep chromosome:Setaria_italica_v2.0:II:44613106:44617964:1 gene:SETIT_029901mg transcript:KQL26478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKKSKARGGGDDLLDSSDADSMGSSSTALSDLSISYATEHVNSQEFVLDKYIDDLYEKRGSTREAALVKLVDAFESFMLHGLLENKYATMLSQFNNSVKKGSAKEVFLASRAIGLLAITLGAGSSSHEIMEESHPQLCRVLQAWSDASKMISALDCLAVITFVGATDLAETELALKAMWDVIHPKSGSNVGTVRKPKPPVLAAAISAWTFLLTTIGSWRINTDSWKEPIAFLSTLLEAEDRAVRMAAGEALALCFELNLLDVSCEDGDANTGGTGGSKNKLFLDMQALKAKISGLASNLSAEAGGKGADKKNLTDQRDLFQRILDFVKYGDCPEESIKIAGKRDVLRVSSWSELIQLNFLKRFLGKGFLKHVKENGLLQDIFDIKVDTTETLSSTDKKIFRSEEEKERALKLNKERRLAQARKNAAMLVE >KQL24128 pep chromosome:Setaria_italica_v2.0:II:26580768:26581600:1 gene:SETIT_030536mg transcript:KQL24128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPFPDVVVCEPLTRRCRGILSPEEHATCLGVFLLDSGGRIGMSDFRVVAVLHEYHESEEGRAMPAACVFSLGSDGGGWRVLPTASTNSAAVSLPGEIERVSFADHANGSLYWVVGGEEDGAAMLVFDEAAMEFARVPLPADDDDDGGSLSSYDRWSFRVIGGGEDGGALRVVRLVRNELRVLARRRGSDGNEWVWVVERLVRLREATLGLPGRDERFFQRNAMVVAAHEGYVLVTPQEKTWLFSVELETMRVERESTRGTSTPGRRSRLSCLGRRA >KQL27315 pep chromosome:Setaria_italica_v2.0:II:49108041:49108936:1 gene:SETIT_031790mg transcript:KQL27315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAELLNKDNNPAHLHVNYAGAVGRQRIRSACLNPSKISGSWWVGFGQEHDLCDDKRKKEYV >KQL22536 pep chromosome:Setaria_italica_v2.0:II:3389235:3389604:1 gene:SETIT_033509mg transcript:KQL22536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVILFFLFLFMTACWKNSVLLSPSTAHSFLALCFVVFRDLI >KQL24196 pep chromosome:Setaria_italica_v2.0:II:27335795:27336363:-1 gene:SETIT_031709mg transcript:KQL24196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQLRPLLDTIFFYKLHCTVQFLLLTVATFLAPRYHNFGKFPDIVLQAATFLFRRIVNRDFPGCPFRQVMSTTSSHGSR >KQL23229 pep chromosome:Setaria_italica_v2.0:II:9926098:9927654:1 gene:SETIT_0308781mg transcript:KQL23229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADAIQRNYGGACVQMRLSFSSLAPFFLYLIQWLDCGCCYALPSYLGLFHILICKVYADGDSSVSTYERRASLREFYAIIYPILQQLESSLIERDLKGKGRCKDIVSRRRMDDWKKLSGKDVEREDECGICMETCTKMVLPNCSHAMCIKCYRDWYKRSESCPFCRGSLKRIRSRDLWVLTNYNDVIDPANLERENVRHFYSYIDSLPLILPDNIFFFYYDYLL >KQL23488 pep chromosome:Setaria_italica_v2.0:II:14101214:14102723:-1 gene:SETIT_031689mg transcript:KQL23488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEIRAHEVAIAELDNLHPSRAVYQKAGNIFFRKSVKSVVTTEQKQLDLAKARLSKLNQA >KQL23072 pep chromosome:Setaria_italica_v2.0:II:8211639:8215084:1 gene:SETIT_028881mg transcript:KQL23072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGAERPAKAPGAAELEERLLRPPAAARSRDLDGGGRRKRGKWEKTYLDVLGVCCSAEVALVERLLAPIDGIRGVTVVVPSRTVIVEHDPAAVSLSHIELAHLCRDPRPMKALNRAGQEASERAYGSSGGVVGRWPSPYILASGGLLLASSLAPLLPPLRWLALAAACAGAPPVLLRALASATRLALDINVLMLAAAAGAAALGDFAEAGAIVFLFTTAEWLETLACTKATAGMSSLMSMIPPRVVLADTGEVVSLRDVKVGAVVAVRAGEVVPIDGVVVDGQSEVDESSLTGESFPVPKQPPSEVWAGTMNLDGYIAVRTTALAENSTVAKMERLVEAAQNSRSKTQRLIDSCSKYYTPAVVALAASVVLVPLLLRAQDMRRWFRLALVLLVSACPCALVLSTPVATFCALLRAARMGVLIKGGDILETLGGIRVAAFDKTGTITKGEFSIDGFHVVGDKVELSQLLYWVSSIESKSSHPMATALVEYAQSKSIQPKPENATEFRIYPGEGIYGEISGRHVYIGNRRIMARSSCYTEMDDRKGASIGYVICDGDLVGAFSLSDDCRTGAAEAIRELRSMGIKSVMLTGDSRSAAMRAQEQLRGAMDELHPELLPADKVRLVGELKARDGPTMMVGDGMNDAPALAMADVGVSMGLSGSAAAMETSHATLMSSDILRVPAAVGLGRRARRTIAVNVVVSVAAKAAVVALAVAWRPVLWAAVLADVGTCLVVVLHSMTLLREPGTRRGGKDEVCRATARSLAMRSSQLAAASNGATASVQGPDVGGTRSCRCCQKPGKSSEQEHSVVIDIPAASSSSAEHREIQIPRATAKGSGGGCCGAGKACGASTVTSAADVPRKCCARKEDSRVSVKTACCNNGGGARGSPR >KQL24567 pep chromosome:Setaria_italica_v2.0:II:31336466:31341637:1 gene:SETIT_028927mg transcript:KQL24567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSAESGVSMLLHGDLDIQIIEAKCLPNMDLMTERMRKCFTGYGACSTDCGKADPHPDMRKIITSDPYVSVCLSGATVAQTRVIANSENPKWEEHFYVQVAHSVSRVEFHVKDNDVFGAELIGVASIPVEHITPGDMVSGWFPISGQYSNPMKPSPELHLSIQYKPIDMNPLYKDGVGADGHQSVGVPNAYFPIRKGGMVTLYQDAHVPDNFRPQIEIDGGRTYEQNRCWEDICHAIIEAHHLIYIVGWSLYHPVRLVRESTKPVPNGNPLTIGELLKRKVQEGVRVIVLLWDDKTSHDKFLLKTDGLMNTHDEEARKYFRHSGVHCVLSPRYASNKLSIFKQQVVGTLFTHHQKCVIVDTQATGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTVFKDDFHNPTFPVNKLGPRQPWHDLHCKIEGPAAYDVLTNFEQRWRKSAKWKVSVRRAVSWHHDTLVKIDRMSWIVSPSADELNAHVCEENDPENWHVQVFRSIDSGSVKGFPKLVQEAESQNLVCAKNVQIDKSIHNAYVKAIRSAQHFVYIENQYFIGSSYYWSAHRSAGAENLIPIELAIKIARKIKAKERFAAYIVIPMWPEGNPTTAAMQEILYWQGHTMSLMYKIVADALRKEGLHERHPQEYLNFYCLGKREVLSDVLATNNSNENSALRLAQKFRRFMIYVHSKGMIVDDEYVLIGSANINQRSMDGSRDTEIAMGAYQPHYSWAGRGSPPKGQVYGYRMSLWAEHLGTVEECFRRPESEECVRRVNQMADDNWAGYVSPQMVDMKGHLMRYPVRVEQDGRVGPLPGQEIFPDVGGKVLGTHSSLPNALTT >KQL22803 pep chromosome:Setaria_italica_v2.0:II:5418184:5419916:1 gene:SETIT_030178mg transcript:KQL22803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTMMRLRQHLASSPIYTSAIARIGNNRAHRLLPVAPPQKHRPTSTTNKLLLLIVLLLFFFFFLRLVPTVLLPPARVMSSSSSASDAAAAVPFEKPRAVVKKLLAESQSEGQGATVRRSIGSHELRNLDPFLMLDEVSVSKPAGFPDHPHRGFETVTYMLEGACIHQDFAGHKGTIRAGDVQWMTAGRGIVHSEMPAGDEVNKGLQLWINLSSKDKMIEPRYQELQSKNISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDFTMRPGSQLHQPIPEGWNAFVYIVDGEGVFSRDKSAPVSAHHCIVLGAGDGISVWNKSGAPLRFALVAGQPLGEPVVQHGPFVMNSRAEIQQAMEDYYYGKNGFERASQWSSSA >KQL22888 pep chromosome:Setaria_italica_v2.0:II:6144075:6145784:-1 gene:SETIT_032192mg transcript:KQL22888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERATWSYTYEKGLVDILKELVNIPMFRGQNGKSGVGLNDTLGMIIAEPTGWKRLIKDNHKVVKFRKKSFPLYNSLKLLCKGSVATGDLNFTSIEPPPQRIKLRAEPTPQRSISEQSNHSMASIDRNPLNFGLGGVQNIEVQSAPVSRNSEDQDVTGGKKRKQS >KQL27216 pep chromosome:Setaria_italica_v2.0:II:48616561:48618920:1 gene:SETIT_029858mg transcript:KQL27216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGHHHHGHEHGGNQPPPQDQTLFKIFCRVDDGYCLTVRHDAVVLAPINPRDEFQHWYKDMRHSTKVKDEEGHPAFALVNKATGLAIKHSLGQSHPVKLAPYNPEYEDASVLWTESKDVGKGFRCIRMVNNIHLNFDAFHGDKDHGGVHDGTTVVLWEWCKGHNQSWKILPWGDEAYAPPPPPPAYGAGSGYAYPGGSRGPYPPGHQEPGYGYPPPPSGPEGYGAPPAHQEPGYGYRPPPGGPEGYYAPPHHHQEPGYGGYRPPPPGNTAPGYGGEYGYSNLPRALASESTVRVYCKAGDGYSLTVRNGNVCLAPTNPRDDFQHWVKDMRHSTSIKDEEGYPAFALVNKVTGEAIKHSLGQSHPVRLVPYNPEYVDESVLWTESRDVGQGFRCVRMVNNIYLNFDAFHGDKDHGGVHDGTTVVLWEWSKGDNQRWKILPW >KQL25265 pep chromosome:Setaria_italica_v2.0:II:36978732:36979298:1 gene:SETIT_033391mg transcript:KQL25265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISKSAPNLVKKAVTSFKSKTDALRTKLMILASLRRRMAMVCAMSRQIHALVASGGQEKKARVEHSSKALALGMATATSEEPAGDDGGRAHLGMFEVAMYEEGYHGYPEWTNSLFDDDNIYSDDEDVQDDDEHDDLDVVDAFDDEPSVIEIIRSNREAEGLEFMEDDIDGACDMFIRRCRSRMNLSF >KQL22966 pep chromosome:Setaria_italica_v2.0:II:6928432:6929574:-1 gene:SETIT_032447mg transcript:KQL22966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQRPTTRGDDGVLPPDALYEILLRVPAGPLCGFRAVCQSWRSLLSDPPFVAAHAARHRGDPLFAIAVAGGSHGETAEIKLLDTSGRVAKRVAAGPSALLRQMRPHLDLVFIRRLQPFGVQDVPPLRVLDPATGTVSLLPNDDGVCDRSYVFGRAATSTGGDGEYKVLSLCSCKILTVDGYHGRHGAWRTAPAPPVDDIETLHTGTVVAKGVVYLLVDNADGGWTMAAFDLEAEQWRPSLLRGPVAVPSIGDRTQRSLAEVNGRLAAVSSTVSTMDLWLLVGSGEQALWSERCRVLTSSVPRRREVYAYEEPLWVLDDGRVAFWACSYFARTGALWMYDPRTETCTRVAAMENCPKVGVGVYTGNLLRQVQRPHGMVLI >KQL22955 pep chromosome:Setaria_italica_v2.0:II:6806317:6806410:-1 gene:SETIT_033157mg transcript:KQL22955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLPCVARLPVRMGASTILLRRRQEADKFA >KQL26160 pep chromosome:Setaria_italica_v2.0:II:42678634:42682038:-1 gene:SETIT_029147mg transcript:KQL26160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASLLPSTFLPHRLRRLGPAGCTTSPAASSAPAPLSRYDFEPLLSYLSSPSVSASLTSSSPPASVPAPERRLAASYSAVPSHEWHALLRELAASDASLPLAFALLPFLHRHRLCFPLDLLLSSLLHSLSVSGRLLPHSLLLSFPPSLTDPPSPLLLNSLLAASAAASRPAVALRLLGLLREHDFLPDLASYSHLLASLLNTKDPPDAALLERLLGDLRESRLEPDAPLFSDLISAFARAALPDAALELLASAQAIGLTPRSNAATALISALGTAGRVAEAEALFLEFFLAGEIKPRTRAYNALLKGYVRIGSLKNAEQVLDEMSQCGVAPDEATYSLLVDAYTRAGRWESARILLKEMEADGVKPSSYVFSRILAGFRDRGDWQKAFAVLREMHASGVRPDRHFYNVMIDTFGKYNCLGHAMDAFDRMREEGIEPDVVTWNTLVDAHRKGGRHDRAMELFEQMRESNCPPGTTTYNIMINLLGEQERWEGVEVMLSEMKEQGLVPNIITYTTLVDVYGRSGRYKEAIDCIEAMKADGLKPSPTMYHALVNAYAQRGLADHALNVVKAMKADGLEVSILVLNSLINAFGEDRRVVEAFSVLQFMKENGLRPDVITYTTLMKALIRVEQFDKVPVIYEEMITSGCAPDRKARAMLRSALRYMKHMRVA >KQL24031 pep chromosome:Setaria_italica_v2.0:II:24959581:24962123:1 gene:SETIT_029998mg transcript:KQL24031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAKQPLRCRIPALLAAVLATALLLFSPAAGAVEKGSNRSSLFGQPRQWATGKDEGEILAEAEARGSGGGEESVTGDQGREFDSLDGMLQWAIGNSDPDKLREKAAELERLSADELLKRQMEIKELMEKLKMPSDADLMKIAIADLNNSSVSLEDRQRALQELLVLVEPIDNANDLDKLGGLLPLIQELNNTDEGIRTTSAWVLGKASQNNALVQNQILGYGALERLVNMGYSSSAAEAAKSLYAISSLIRDNEHGQELFLSENGYAMLQHILSTASTNIRLQKKVVSLLAYVADFQLSAGKSQAPFLSNHLFIKSVVDMISAPDLDLEEKALLAVRSLLQLTSADASDLQKFSGLDGTLDALRVQLDELTSQEERREYALEVEILRREVQIMFQQKFNQVLQHQMKNDK >KQL23226 pep chromosome:Setaria_italica_v2.0:II:9912452:9913519:1 gene:SETIT_031082mg transcript:KQL23226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLNSHSEHADQPQGQQANGLPLDGINPVPFGFSLEQHRLQLDQVLQLYNEQVRVSLQQEMSIQNLTLLNLRTTDALIQKVEEVASLKEEVASLHVELQRKQGDIEAAQQFAVMVLETNESLIHRPPPMQQEMNLHISSNQSDAPGSGNEASSVVRTAAETTKIDLICKVCNFGLACMLLLPCQHLCACNPCGVRLAACPICGAVKGGAVEARFV >KQL26308 pep chromosome:Setaria_italica_v2.0:II:43674219:43675478:1 gene:SETIT_033094mg transcript:KQL26308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSPPDPMIPCASWRDWANLSDGPAGLIAERILADDVADYVSFRASCRPWRLCSTDPREHGVLDRRFHPCQWTMLRTKEGGPRYRRGFMNVTTGCCRYVDLPELRGHDVFGPTTEGLLVLLDRATFVVRLLNPITRQAADLPPATTVLSQSDLELTWLRKEDLLQVSGAGLADASTIAAHFPEIDTVAVAKPGDAQWTVVDRGECFLPAMSFAGRFYCATTRAVMVVETGADQPPRLAIAAELTRPFARIMWDTVHLVDNGGELTLVDRECKGSRSPRRYKVYRVDLAAREMVPVRGLGGRAVFIGSELALSVSPPAFPSISADTIYLGFDDLLTSFMDDSPIHLMDGTSEPRRFHHGTDNDYGPRRVDECLSWCVTGYCASTADNDDDEAAKQDRAAGPRRTPRQRRPNTKLAVSEW >KQL25628 pep chromosome:Setaria_italica_v2.0:II:39466464:39468705:-1 gene:SETIT_031879mg transcript:KQL25628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDNGSQELTKYQIKEFIRSEQLAAAKGNNANIDSKYTPQMGMQFKDRYVAHHFFCFYTFLARFEVVTTHTTRTTSRKRNNGVFKVEMKCHRYGKEPKINEKEEEAEEEWMQPRKQKKLLTGRKYMSDMEKAMIRTLNGNKIPTRKMVAILSYLRGGMLVLPYKNKDVANYRTKINREVTGNDMTKALEYFRKRKSEDPTFFYEFSFDEDKKVKNIFWREGCSLKYYAEYGDCVSFDATYMTNRYNLLFAPFVGVTGHGHTCMFGWKHPKTIITDQDKAMKVAIKLVFPNTIHKNCFFHIKYKCYNKNGVCFAKKKGLVDEFEDIVNNLLTKQEFDILWQKMIIDHGLQENKYFKKMWENRANFIPVWFKNNFYPFLQSIGRSEGSNARLKENVGSTYSIINFLKEFQRMVDATNIKEDAEDKQSKEKTAKQLMFAYNVEKQASDLYNKNIFKKYTNHTIKKYIVLTDLTEGREDFYCICGKFNKDGILCAHILKVILEEEINQLTEKYIIDRRRKKDNKMNLQLPEVVPKTNDMLRFNKLSRRSVEINSKAVEEAMQYLSEELDRINCNLDLILLNSKIRAPSCGSVQGVDNDEGQSSAANLDEITEQNDPRKVKQKGRPALTKRMKPLMEEIKQKIIR >KQL26700 pep chromosome:Setaria_italica_v2.0:II:45850656:45854562:1 gene:SETIT_032001mg transcript:KQL26700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDSEGDIAGGCRGSGTDHFSGLPDHLLHSILLRLPGTADAARTSVLARRWHDVWAQLPELSLSTAQGHAAVDATLAAHSAPTVRRLEIKTPPQHNSSWWRRADIPTDRVSSWLDFASLHLAGELRLDIPYCTKATDEALIQTMMQFRLPPAGVFSALATLGVANVCVDGQELEDVLCSRCPWLKQLVLYWITLRDGAHVPSIRSDSLERLEITASQFQVCLQIATPELQTFYTLSVSDFHIAAPKFSEVNCKTSTGYDPSRHHFTESGHHLQRLVIAVNYGGLQLMQRFDNVKELELDVHITQDDYPCKSWSGCPCSRLENRTTNNIVLDSLEEAEIRDYGAAADKVELVRLFTKLSARCEKRVSITVLEWAWRKDMHEKIRSICPPNDKVEIVVRSA >KQL24823 pep chromosome:Setaria_italica_v2.0:II:33527427:33528758:1 gene:SETIT_030607mg transcript:KQL24823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHADEVVIGCDAFRIYRSGKMDRLHLHLPARVPAGLDPATGVTSKDVVLDASTGLSARLFLPTSRGARGSSSEKLPILVFFHGGAFLIESAVSPLYHGYVASLAAAAGVLAVSVEYRLAPEHPVPAAYDDAWGALQWAASARDEWIAEHGDVARLFLAGDSAGGNMVHNVLVKLASSSHPAAPRIEGAILLHPWFGGNTPVEGEDATKAKEMAMIWEFRSLRCERMLVCAGEKDWAAARDLAYYAGVAASAWPGSTAWFESEGEGHVFFLEKPECTKARELMDRIVTFVHGYQAPSLSAARNGDSFEV >KQL25181 pep chromosome:Setaria_italica_v2.0:II:36490048:36491787:-1 gene:SETIT_032143mg transcript:KQL25181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQHDDVVVAADGSGNYTTRHVIHIKRGVYKEFVVLGQEKRNVVLVGDGMDATVISGSRCCAGERGVGETRENAACSSLLQFQFGPDSFSFCFALCCMFKFTWAVVLKKVYMGRDPPFRVQGKGFIARDLCIENTAGPRKEKGQAVALLSRSDQSVLYRCALRGYQDTLWCARSSKQLSRECTITGTVDFIFGEAAAFCTVAADEDLAAHAAKGTVQTYLGRPWKPFSRVVFMQSTISDVPPDTLYYAEYKNGGPGAAVSGRVNWRGVHANLDASTARSFTVEKLINGNDWLPSTGVEYKPGL >KQL27257 pep chromosome:Setaria_italica_v2.0:II:48855972:48856418:-1 gene:SETIT_033502mg transcript:KQL27257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLDVWCLVGRMWTSVWLEERVRDEIDGIFVPLTVGPNYHSLKNCGAHC >KQL24980 pep chromosome:Setaria_italica_v2.0:II:35153264:35156533:-1 gene:SETIT_029416mg transcript:KQL24980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGANSVGESVGESPAPAPEPEPEPETRPSEPVTKGRGLRRWRRIPREQQHHEGSPASPGAVGAGVGAGANAAEDLAAQLHKRRLFPTADAPKGKEDAAVEEVESSVASVESSFVPLVASPPPAPTRLDPNLGHLIATAGFSLGAGGADSDNSDDRTSKFSTAASAPRHDFSSGGFGRDRDRPRSRAPGGAAHGKNLRAARGRGASARAAASPVEAENSRSSVESNLRSSNAAHARRSSAGITSNGVHKVLFPDDHQSDDEAPSEEVRYTTGGFYKENGSVVGRLGNCDSDANNHIFDEASVGKFENGGTHSGLDPYIESIALLQSAQEALENEIQKFVEIRKESDENSTTHHSETEWSSSPHPDESVEELSEMIKVLESKLEEATMLINEQDSKILELGALSQKQPQDTAPCNNDLLSLQSDVDQLFLEKMETEIQCFILTRASQDWMPLTKDQFALYEAQKSLTGDYESLETKLRHTENRAMMLEEMVDKLESQCKELSETSEVLKLQARASRASLFCSIQFVLLCIAMGTLLVRFLPSSPEIVPT >KQL24979 pep chromosome:Setaria_italica_v2.0:II:35153264:35156328:-1 gene:SETIT_029416mg transcript:KQL24979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGANSVGESVGESPAPAPEPEPEPETRPSEPVTKGRGLRRWRRIPREQQHHEGSPASPGAVGAGVGAGANAAEDLAAQLHKRRLFPTADAPKGKEDAAVEEVESSVASVESSFVPLVASPPPAPTRLDPNLGHLIATAGFSLGAGGADSDNSDDRTSKFSTAASAPRHDFSSGGFGRDRDRPRSRAPGGAAHGKNLRAARGRGASARAAASPVEAENSRSSVESNLRSSNAAHARRSSAGITSNGVHKVLFPDDHQSDDEAPSEEVRYTTGGFYKENGSVVGRLGNCDSDANNHIFDEASVGKFENGGTHSGLDPYIESIALLQSAQEALENEIQKFVEIRKESDENSTTHHSETEWSSSPHPDESVEELSEMIKSDVDQLFLEKMETEIQCFILTRASQDWMPLTKDQFALYEAQKSLTGDYESLETKLRHTENRAMMLEEMVDKLESQCKELSETSEVLKLQARASRASLFCSIQFVLLCIAMGTLLVRFLPSSPEIVPT >KQL22967 pep chromosome:Setaria_italica_v2.0:II:6933475:6935116:1 gene:SETIT_033388mg transcript:KQL22967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAVVPNVVQPSRRPQVTTGNLLRDSKKSRGTGVGNRKRAREEEDITAFMESEKSVVVSKDEAKHFTAPSGMVARDGLNTTAARTDVPDVMKRKNQFRGIRRRPWGKWAAEFRDPYKGARVWLGTYNSPEEAARAYDAEARRVHGKKAKLNFPYEVPVASEKRLAEPTSVKVAKAGTQKKLIVNNMTNSTVYHLPVVDHSIPEPFMQTQNISLENSAASVQEPLVNSAAFVQEPLVNSFSSSNFSLENDTRNEVDESAFLQGTADAMVPPLTGDASVDLFEWEPYMNFVMGSSDESNNTLLGCDESQDIGSNMNLWNFDDMPMP >KQL24152 pep chromosome:Setaria_italica_v2.0:II:26789983:26791445:1 gene:SETIT_030198mg transcript:KQL24152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMAQVCCDSAAAAVAAAVAGPEAEARARARAERRRRAGEAGRWKHAAAAAAVAPGSAEAVAATRKRRVDAGELLVARKHGAASVAGRRREMEDAVSVREAFVAPSAAVDEGRPAVGSGRCDFYGVFDGHGCSHVAEACRDRMHDLLAEELSTGGDGAALPREPAAWTEAMERCFARMDAEVASAGGRAAATASASCRCDAHKRDHVGSTAVVAVVEERRVVVAHCGDSRAVLCRGGGGAAPVPLSSDHKPDRPDEQERIESAGGRVIFWEGARVLGVLAMSRAIGDGYLKPYVSSVPEVTVTDLVEDDECLILASDGLWDVVSNEAACEVARACLRRGREKWCAEAAALLTKLALARRSADNVSVVVVDLRRKNH >KQL27093 pep chromosome:Setaria_italica_v2.0:II:47781757:47782569:1 gene:SETIT_032182mg transcript:KQL27093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRYRRWAAASCGADPSDPTTWYAWAHRGHRLWAAMPDAFWIYMYKVHRCPQLSSHDWTRCPYAHNGERARRRNPRRFSYLAVTCPAFRESQQHQHLARTGAAASCMHGLQCRYAHGVFELWLHPARFRTTMCQGGLACPRRVCFFAHFPAELRAENDPVPLAGLPPLPPLRVPPAPEPLSFPRRVDLAMQAMLGKVRLYDGDDASSSSPTAPAVAAFATATPVLALPLASVPDDDEDPAAGKHGSVYGDYPHFDLIRDMVDDDERES >KQL22306 pep chromosome:Setaria_italica_v2.0:II:1540537:1541601:1 gene:SETIT_032781mg transcript:KQL22306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRPPPELIDDVVTEILLRLPPGEPRHLFRASLVCKLWRRLLTDAAFLRQYRRFHRTPPLLGFFSRSGCDGAIPRFVPTTAASPFPRPVFDCHPWSAIDCRHGRVLLQKMQGGRNLTVWDPITGGRTELGGGDFMYRSLSAAVLCAAAGCHHDDCHGGPFLVVWIGRDSAEGPVHVSVYSSRVGSWGASISANVAVDDFINPSRGVLVGDAIFFMLTMSARILKYDLVKHHLSVIDPPAMYDKGIYLVPTEDNLLGIAGISGSSLYLWSRKANAEGVEGWVQCRVLELRTLLPVGNNPFTKAVVIGFAESTRVMFMFTDVGIFIIELNSGLAKKISEPEIYYPIVPFMSFYTP >KQL25583 pep chromosome:Setaria_italica_v2.0:II:39160558:39161337:1 gene:SETIT_033104mg transcript:KQL25583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQQLRSTSLPVRPHALVQELEDELQRLRSGAAASAPSPSPAALVGRLGDAYGRIEELVGLPGGRDVLSSARWRGAVEAALDASVAVLDLCERARDAAASAKQHARAARRALRRGDAALARSAVRGYVRCLGKAGKQAAGAKKFMSKRAPADAEAPAAVKVLSEAVAVTVAVLQRAMASLSARAVDTRRSRWCAVSRLLGGELSRVVCRDLDGEDGAMSAQETLQELEDNVEAVESGLEHLFRQIVQSRVALLNVLTL >KQL24349 pep chromosome:Setaria_italica_v2.0:II:29141009:29142028:1 gene:SETIT_033116mg transcript:KQL24349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVEKQELPPPPLLDSSLAPVLLFNCGRDGADPEDGDGGARLAYSIPKKQLLLAGELDRLIDDVNWITAQGWMLTLDPATRATSLLDPFTSRTIGLPPDTDSLLVAGSDESTCVMSTRRPTDPGCVVLVIHLTDPVLCYCRPGGSRWLRHQYRPELMVTDDSYGRDEIIDAIGNRTMGVLGRFHTYWADTVATLEFSPDPALSTTQIVATTAPPACYCFKPRLVESCGDLFMVVFWGTAFTVNFEILCVQVQKLEWSRSAWVKVAGLGSNRVFFVGEDQFGASLPADEFGFKANCIYFWNNCDKGLYVYDMEQGTTAMHNPGPEIPDSQDPIFFMPAT >KQL24575 pep chromosome:Setaria_italica_v2.0:II:31419280:31420975:1 gene:SETIT_0330112mg transcript:KQL24575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRARKPPFRLVVLLLHGLASLLPPTSWAQPPPPPPVAASVTVGLIINADSPVGRIARTTIPMALDDFYAAFPNASARVRVLQHDSGGDVVAAASAALQLMTTQGARAILGPQSSVESAFVADLATRAEVPVVSFSATSPSVSASAAPFFARAALSDAAQAGAIAALATYFGWRRVVPVYQDDDYGAAFVPYLVDALTAARAEVPYRCALPGGASRDAIAAAMYRLESEQTRAFVVHTRPGLAELVFAAAAEAGMMAKGYAWVITDGLTGLLGSIDPPQGIIGLAPHAPATPRLRDVRRRWARRFMREHRDADPAQAEMGCYALWAYDAAWAVASAAERLGPGDLSSPQGLLGGKSGPTDFSGLGKSSSGEKFLAAITNTTFDGLSGRFELVNGELAVPAFRVVNIMDNTKDRTLGFWTSKDGLHRKLGGGASASNSGLAPVIWPAESTVVPIGWVQPTSGRKLRVAMPGFVDPGYRPIMHLYVDPATNRTVAGGFVVEVFEAAVRLLPYALPFEYVLVGSMPYDSLVEKVGSG >KQL23197 pep chromosome:Setaria_italica_v2.0:II:9329914:9331547:1 gene:SETIT_030057mg transcript:KQL23197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGPSSPLASRSMRQRTPAASNPGALPPDVLFDVLLRLPAKELCRLRAVCRSWRSLTVDPLFTGAHAARHPGPSLLAKFRDDEASIHVVDLSGTVVKRIAGPDGHELLCTRLDLACVATKGNSCRVLNPATGAAYALPESPALEHANCENLRDPYTFFASGRVASTGECKVLRVFNRTEFDAFDQQQLFEVFTINGGASNARWRARESHYPFVEANNAAVAGGVVYFLTDCAYDLMLFFGVNTGIHPDCIASFDLVTEEWRRDIQGPISSSLSMDNADATEEYRSIWHKITLTELKGSLVLAYHRRNLSLDLWFLSDFENGLWLKEYSIQTESAIPADEYFVKPLLVSDGGRLVILLASTGILLIYDPRTNTFAEVEMRRLDAVGIYTGNLLSLQCGDMV >KQL23507 pep chromosome:Setaria_italica_v2.0:II:14319827:14320651:1 gene:SETIT_033060mg transcript:KQL23507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITDVNSLGAKIDITKIMHSTKRGKRSARQRVKSSEPWIQQLAALKSRNVARRVRRNDRGVGSKNMKRTRRATKSWRVNNRAAKGKKHVGREDDASTQSMGRAAQTNAIEHLSRPKSDSSSASISSEVKGSNGPSEISLEQAAILMNNTFLGFTKSKGAVADDLMATTDVISLYQDREKRCTKFDPAIIPGLDLNNGAEKFDTLTTESALESLCSLCGVSVPDSCVEFAVKVLKNETPLPAEVSPVDGFFRRMEYHQKSTIAGPSQCSQGRKG >KQL22500 pep chromosome:Setaria_italica_v2.0:II:3173578:3176578:-1 gene:SETIT_032088mg transcript:KQL22500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNIESPSGVDLDDRLLKKSKNRNILESDLLVKIDDIFVKQKELLCLLDQSKYVDDDIQVLDSLCWKFHQDDLTNMVSYYSIYHPLFIILEKQEELIDHNWKNLQVTKWTITEQLEEPIQKDNSSRGLFMVKFMEYRIGETLSHAITHHSRNAEMATKPVQNHGTEKNSNDVVILESQDDRMKSKDLESLSIHEMKSKELESLSYQNKYQSLMSILSTMSAQELLGGLCDYFKLLLLDIFLVFKYNLENLWIQNSKPYSITLTVKKLQQMLNEDVPMNHDCLNLVIRKIMFDNIEIVKKTKGTVSKHCLGMQFWVITDFGQHPNYHKNLDVEWLAKNVRSWPSITYSVSRCKTILMPIEFNGGFILIVLNKDTRTVYILDPTPLNPIYQYNPNARYVNKLLWIAEYLPKAMSKTCPGSIWNEDIFLWRQIIIPDVPIYDRELSGYLVSLFMSAWKDEELQLLVLKLRKRILGQLLIYKDNECKYNMPSGVLGFINCISNIQK >KQL25383 pep chromosome:Setaria_italica_v2.0:II:37729410:37730363:-1 gene:SETIT_030998mg transcript:KQL25383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPIKKEMSAESTSPCSWASASTSTSSEHHQTVWTSPPKRPAGRTKFRETRHPVFRGVRRRGNAGRWVCEVRVPGRRGCRLWLGTFDTAEGAARAHDAAMLAIAGAGACLNFADSAWLLAVPASYASLAEVRHAVAEAVEDFLRREALPEEEEDARSAASSAPSSPAASVKDEVSTDGEESSPFELDVFDDMSWDLYYASMAQAMLMEPPSAVPAFGDDGYANAGDVALWSY >KQL22455 pep chromosome:Setaria_italica_v2.0:II:2736899:2737523:-1 gene:SETIT_031691mg transcript:KQL22455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANLEKEGVEIDGKIASIIDDEVARIKAEAAREKLRMNKLKRKGVMLLISIISVAYGFLLGVDWYEDQLYTKVARIICYGEE >KQL22572 pep chromosome:Setaria_italica_v2.0:II:3588711:3593407:-1 gene:SETIT_029852mg transcript:KQL22572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDAGDASPPPAPPPLAHAHAHAHHPHAPAPAPQPPAPSQRDMSSSPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFSVGGFQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIQVPDSDIGYHFGSLLDNEEGVDVILNVGGERFHAHKLVLAARSHVFRSQFFDDESDGEKSEVDESDELREFFIDDMEPKVFKAMLHFLYRDTLVDENELGASSSDGSVFDTLAAKLLAAADKYELGRLRLLCESYLCKGVTVASVSSTLELADRHRAMELKAVCLKFAAENLSAVMRSDGFNRLRENCLALQAELLKTVAGCEEPCSSGGKSQSVCAQLSDGGDTSGRRVRPRI >KQL22571 pep chromosome:Setaria_italica_v2.0:II:3589141:3593356:-1 gene:SETIT_029852mg transcript:KQL22571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDAGDASPPPAPPPLAHAHAHAHHPHAPAPAPQPPAPSQRDMSSSPTSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASETFSVGGFQWAVYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTIDYSRPHSIQVPDSDIGYHFGSLLDNEEGVDVILNVGGERFHAHKLVLAARSHVFRSQFFDDESDGEKSEVDESDELREFFIDDMEPKVFKAMLHFLYRDTLVDENELGASSSDGSVFDTLAAKLLAAADKYELGRLRLLCESYLCKGVTVASVSSTLELADRHRAMELKAVCLKFAAENLSDTLMSLQL >KQL25976 pep chromosome:Setaria_italica_v2.0:II:41688585:41689238:-1 gene:SETIT_031857mg transcript:KQL25976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSSEGGVGDCFKQECVKSDARQPRVWQGDRNTLDLVYYLRASVGH >KQL22656 pep chromosome:Setaria_italica_v2.0:II:4228935:4233491:1 gene:SETIT_028781mg transcript:KQL22656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKDRLHNLLVLLLFIGCLFVDAAAKLNTTAPCLPDQASSLLRLKASFIGDNLPSWQAATDCCHWEGVTCDMAFGRVISLDLSEFQLMSRRLDPALFNLTSLRYLSLAFVDFSGVSLPASGFERLTDIINLDLSYTRFTGKIPIGIACLKNLVIIHLLDNYELYFERPSFQTIMANMSNLRELYLDGVDLHNIGSDWSTVLADSVPQLQILSLSGCRISGSIHPSFSSLRTLDLSWNPMLSVRLTYFPAGNNLEVLNLEGTNFSYDTPSSFANLESLKTLRLSTMGIDNELASLISELPALDDLRLIGSNLEKPVLSWVSNLTQLTVLRLYGYDFSKSVPTWIGKLTRLESLMIWYCSFSVPIPYQIRNLTKLAALDFSRCDFEQRMPSWIGNLTELTSLTIDDCSLSGPIPSTIGNLIQLEYLEFCDTNISGKIPKSLFALPVLQCLRLAENQLVGSLEDIPAPLSSPLWEIDLQGNQLTGPIPKSLFQLTNLEYLNLGSNKLIGTIELGSIWRLKNLTYLDLGNNMISLVEKEGDTIFSYSLKIQTLYLASCNLTKFPEPLKYLDTIQYLDLSKNQIEGAIPSWVWEKPLRQLNLSHNMFTTLEKSPTVQMTHLNSLDLSSNRIQGSIPIPSTPSDLILLDYSNNNFSTIEPHFGRYLRNAIYINLSKNKLSGHVPLSFCSLNKLELMDLSYNNFSGPIPSCLMERVYLSILKLRGNKLHGVLPENIREGCKLQTIDFNENQIEGALPRSLANCQDLEVLDVGSNHIVDSFPSWMGTLPKLRILVLRSNQLYGTIRDLRSGYQHFTSLQIVDLASNYFSGDLHSEWFENFISMMNNNNDEGQILEHQTTALEAPLYQDTVTITFKDAALSITKILTTFKVIDFSNNSFEGSIPSSIGRLASLHGLNMSHNNFTGEIPSQLGKLTRLESIDLSCNHLSGEIPQEFTSLTSLSWLNLSYNNLTGRIPQANQFLSFPSSSFEGNGGLCGIQLSKECDTRPDSTTPSTLAPERNTLWQDRLDAIILFLCAGLGFGVGFALAIIFGPFYHIEEWLCKHL >KQL22655 pep chromosome:Setaria_italica_v2.0:II:4228930:4233491:1 gene:SETIT_028781mg transcript:KQL22655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKDRLHNLLVLLLFIGCLFVDAAAKLNTTAPCLPDQASSLLRLKASFIGDNLPSWQAATDCCHWEGVTCDMAFGRVISLDLSEFQLMSRRLDPALFNLTSLRYLSLAFVDFSGVSLPASGFERLTDIINLDLSYTRFTGKIPIGIACLKNLVIIHLLDNYELYFERPSFQTIMANMSNLRELYLDGVDLHNIGSDWSTVLADSVPQLQILSLSGCRISGSIHPSFSSLRTLDLSWNPMLSVRLTYFPAGNNLEVLNLEGTNFSYDTPSSFANLESLKTLRLSTMGIDNELASLISELPALDDLRLIGSNLEKPVLSWVSNLTQLTVLRLYGYDFSKSVPTWIGKLTRLESLMIWYCSFSVPIPYQIRNLTKLAALDFSRCDFEQRMPSWIGNLTELTSLTIDDCSLSGPIPSTIGNLIQLEYLEFCDTNISGKIPKSLFALPVLQCLRLAENQLVGSLEDIPAPLSSPLWEIDLQGNQLTGPIPKSLFQLTNLEYLNLGSNKLIGTIELGSIWRLKNLTYLDLGNNMISLVEKEGDTIFSYSLKIQTLYLASCNLTKFPEPLKYLDTIQYLDLSKNQIEGAIPSWVWEKPLRQLNLSHNMFTTLEKSPTVQMTHLNSLDLSSNRIQGSIPIPSTPSDLILLDYSNNNFSTIEPHFGRYLRNAIYINLSKNKLSGHVPLSFCSLNKLELMDLSYNNFSGPIPSCLMERVYLSILKLRGNKLHGVLPENIREGCKLQTIDFNENQIEGALPRSLANCQDLEVLDVGSNHIVDSFPSWMGTLPKLRILVLRSNQLYGTIRDLRSGYQHFTSLQIVDLASNYFSGDLHSEWFENFISMMNNNNDEGQILEHQTTALEAPLYQDTVTITFKDAALSITKILTTFKVIDFSNNSFEGSIPSSIGRLASLHGLNMSHNNFTGEIPSQLGKLTRQTNSCHFPAVRLKAMVAYVEFSFPRNVTLVQIQPPQAHWLQNAILCGRIDLMPSFCSSVLAWALAWVLHWQSYSVPFTT >KQL25125 pep chromosome:Setaria_italica_v2.0:II:36161283:36162321:1 gene:SETIT_031254mg transcript:KQL25125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGVFSPVPGMGGRAAAARVPAAGLAVRGCAASKRSTPPHSAAVFPAFLAVRRRARVVSKAGEGSPEQTAAQEPPPPKGSIRKFLLWVFKRIKEKLLNKTGVTLILLLISLALALLTSRNVQPYSGHATCVINICDKAEKVFKFASHFVPIAIALWEWFWDWYVNTSYLVSAFFIK >KQL26017 pep chromosome:Setaria_italica_v2.0:II:41841288:41847381:1 gene:SETIT_029135mg transcript:KQL26017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGGGGDGGSSGGRFPILQANRDPESNWELDVAKSLEEYLLKICSGEVTGEDGAHSVNFAEAALLLQGSVQVYSRKVEYLYSLVLHALEFLSQKKQDQQENGSAHTNENDPSTIHNEEDDIFMGLDDVPAEARTSLDNNVDRDDLKRKTVRPPANLLVFEGDCLDSEASELDSYLLATCDFYGDFLLLDPCDAPAVFEFLQGKCSGEENSVAHPGSSVPSKSRPYVFTSPNGRSGGTGRKSAPGKVQGDLDPTQENPSQSSANKTPDNLNADYRDWSDPHDPGFPGEHIPDPDDLEDPMDPVGEDSDDEDPWKPLNPHEPGNLKIRPYKRVKGSARQVMGTAKKKTLTSLFPMAKMDSVIIPEHAKPFEAQQSQQEELHPSQSPPPYEKHMRSFQYGEQGNPDVFGDSTYDTGPDTDVGFGGIDDPGSPMCGGMGDEFESPTCPAEKKEEPPYETQVSQENIDTHESLDDLCRSHLNKLLASIAEVEQQSEMDARVSTWKERIELALEEQDKNPPFDISSYGEQILDTLSSRTDGMGIATFSEIVNGRPKYEVARTFSAMLQLVNGRSVDLDKGQATNELVCYTAENPFHVKLIGPNRRPEMEARFARKRAKSPLQNPDKGVESSLAQQESHKKPSHKNGKIPVKTAIRLTPDGKRRRRSAAHLMQPINLESSG >KQL26339 pep chromosome:Setaria_italica_v2.0:II:43832250:43835340:-1 gene:SETIT_029857mg transcript:KQL26339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKRELEKKRKSASADFGGKSFVRRSELEQKQLQKRRDEQRQLLAKASAPAASSDSAAGTAGSDPSNPDAAAQAAAGNPNPSSSTAAAAASSSASVPPALAPKKTTQEEALLSEERRIDELDLPRHEVVRRLRVLREPVTLFGEDDDARLARFKLVLKSGVIDDIDDLDMTEGQTNDFLRDMIEMRKRQKSGRDTYAKGKGKRVGGGDGGDGGAAGDSADDGDGKGSGDDADADKDSKRMRTKFEELCNEDKILVFFKKLLNEWNQELDDMTELEKRTAKGKSMVATFKQCARYLSPLFEFCRKKVLPDDIRQALLVIVECCMKRDYLAAMDQYIKLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSIKRLMTLCQRRYPALPSKSVEFNSLANGSDLQALLSEENGSGKASEERLRLMPASKE >KQL22373 pep chromosome:Setaria_italica_v2.0:II:2077512:2079530:-1 gene:SETIT_033108mg transcript:KQL22373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGINGITTPLKLIFFLCLNFATTLTAGDDHQFIYSGFAGSDIIVNGLAEVTPNGLLHLTNGTAQLKGHAFHPTPLNFHEPLNGTVQSFSAAFVFAIRSIAPGVSAHGLTFFISPTKNMSSAFSNQFLGLLSKQKNGNSSNHIFAVELDTVLSSDMLDVDDNHVGIDINDLRSVKSHYAGYYDDMSGNFHNLTLASFEAMQVWVDYDGGRKQISVTLAPLQMAKPMKPLLSINYDLSRVLKDKSYVGFSASTGILDSHHYVLGWSFGLNKPAPVINISKLPKLPRIGPKPRSKLLEIILPVASSTFILALVTVIFLVIRRKIRYAELREDWEVEFGPHRFSYKDLFHATEGFKNKHLLGIGGFGRVYKGVLRKSKSEVAVKRVSHESRQGMKEFIAEVVSMGRLRHKNIVQLLGYCRRKGELLLVYDYMPNGSLDKYLHGNTIQTLDWAQRFRIIQGVASGLLYLHEDWEQVVIHRDIKASNVLLDGEMNGRLGDFGLARLYDHGTDPQTTHVVGTMGYIAPELTRMGRASTLTDVFAFGVFLLEVTCGRRPITQQDGQDVPFMLVDWVLEHWQKGSLPNVVDTRLLNNYNVDEACLALKLGLLCSHPLPNARPNMRQVVQYLDGNAIFPEQILTEITRNGGVEMGANYVVSPSPPSSTSFGTITVDLSGGR >KQL24909 pep chromosome:Setaria_italica_v2.0:II:34555463:34555891:1 gene:SETIT_033198mg transcript:KQL24909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSQINGDGGEECNSNESGWTMYLGSPVDSDDAETNGSEGSNVSSGRSNGRSKNTDADYDSLASDASTGPAQVKMRDGKEKKSQDKNDSIRYEHGNDRQEEIHTKLTISCDKKAGKMNKREEKTARRGHNKRRSSSRTGLF >KQL26444 pep chromosome:Setaria_italica_v2.0:II:44404603:44405109:1 gene:SETIT_033541mg transcript:KQL26444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSQLVFKAMMHLIFPCSIVGLKYSTVHTFICV >KQL27085 pep chromosome:Setaria_italica_v2.0:II:47741052:47742833:-1 gene:SETIT_030233mg transcript:KQL27085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAAAMAAAVVAVLCVVARGEVVDEFGGGASFIFGDSLVDAGNNNFIPSLSKANMTPNGIDFAASGGMPTGRFTNGRTIADIIGEMLGQADYSPPFLAPNTTGGAILNGVNYASGGAGILNATGKIFVNRIGMDIQVDYFNITRKQLDDLLGRDKAKEFLRRKAIFSVTVGSNDFLNNYLMPVLSTGTRISESPDGFVNDLIFHLRDQLTRLYTLDARKFVVANVGPLGCIPYQKTINRVGEDECVKLPNQLAAQYNGRLRELLIELNGNLPGARFCLANVYDLVMELITNYPNYGFETASVACCGNGGSYDGMVPCGPTTSMCDARDKHVFWDPYHPSEAANVLLAKYIIDGDSKYISPMNLRKLYSL >KQL27135 pep chromosome:Setaria_italica_v2.0:II:48008454:48011476:-1 gene:SETIT_030542mg transcript:KQL27135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFAKKYATYMKGMEAELPAVGLKRLKKMLKKCRSHDGAAAGSPAAADRCPGHCSVCDGSFFPSLLNEMSAVVGCFNEKAKKLLELHLASGFKKYAMWFTSKGDESHGKLIQQGKDLVTYAIINAVAMRKILKKYDKIHYSKQGQEFKAQAQSLHIEILQSPWLCELMAFYMNLRRSKKNKAAIELFSDCSLIFDDDRPTLSCNLFDSMHVDISLTCSICLDTVFDPVSLSCGHIFCYLCCCSAASVTIVDGLKSANHKSKCPLCRQQGVFPDAVHLDELNMLLSHSCPEYWEKRTQSERVERVRLAKEHWESQCRAFLGI >KQL23872 pep chromosome:Setaria_italica_v2.0:II:21823888:21825459:-1 gene:SETIT_032365mg transcript:KQL23872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDILGSPGTWSGLALRVSQFVCAAASLAAMASAFGFTNYSAFCFMALSMSLQLIWSFILACIDIHSLKTNWDLHNTRHVWKYLIGDWVLGIASMAAASSSAGVAILLVRDSQFCRVHDYQYLSCSRYEVSVILAFMAWSFIAASAGSTFWLLVSLVE >KQL26659 pep chromosome:Setaria_italica_v2.0:II:45623195:45623768:1 gene:SETIT_032214mg transcript:KQL26659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HCHLLPPPPFVREPRYWHGGRNPPEIGSYAVVGGGTHVCISVDGVGTYCLDTASHTWSEVGEWTLPFHGRVEYVPELKLWFGLSAETRQMAALVGAWKELCLPEQWKECKDPQLVNLGSGRFCIARFFPTTTADGLGDGSSDVDGNGGDGKVELGVIPHKSRRVNGASIEALF >KQL22395 pep chromosome:Setaria_italica_v2.0:II:2325374:2329477:1 gene:SETIT_029593mg transcript:KQL22395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAGGLADDGSSAARLFSQGVSYTYDDVIVLPGYIDFPADAVDLSTRLSRRVPLATPCVASPMDTVSEASMAAAMAALGGAAVVHSNADPATQASILRAAKSRRVPFVSGTPFLAPSSVPSAADFAGCAYALVTERGDARSRLLGVAAAADRRPGVPVSGYMTAARGTASASFDFEQAAAFLADEGLEFAPIVSDDGAGEAVDLITAQDVERIRSYPKLGKPSIGADGRFVVAAAIGTREEDKRRLELLVQEGANAIVIDSSQGNSVYQLDMIKYAKRMYPEVDLIGGNVVTIAQAQNLIGAGADGLRVGMGSGSICTTQEVCAVGRGQATAVYKVASYAKDHDVPVIADGGISNSGHIVKALTLGASTVMMGSFLAGSLEAPGVYEYKDGRRLKKYRGMGSLEAMTKGSDARYLGDKLKLKVAQGVAGAVSDKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHDLLQSESLRLEVRTGAAQVEGGIHGLVSYEKKAF >KQL25742 pep chromosome:Setaria_italica_v2.0:II:40145343:40150854:1 gene:SETIT_028876mg transcript:KQL25742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGRRGGRGRRRGKSNPSGAEEGNCNGHHHDDRGDAGLPGEGGGGGDNEVCRNGVGNHHDGGGVGADCFGDDRGRADPSKPADLRQAKPPLAASDDEPEDPAALLLEEFEALSIHVRRAEPVLPPRPGYGAAGTPCVVRANRFLARLVDEGLHHYDVTIWPEPTPKGGYREVMSKLVSENQRTELGGRFPVYDGRDSLFTAGALPFDSKEFEVTLSASVEKRRTRGRMYKVVIKHAAAISLVQLRMLLAGYPTDIPAQALQVLDIVLSDVVFNERNDMEYSMVGALGVEGWKGLYQSIRPMQNSLSVLVDVSSSVFIQPLLLIDFVEKRLKIDVSNRKLTKPEYAKLLKAVRSVRIEVTHRGDERRKHRISGLSVKPTKELSFKSPTGATKTVIGYFKERYNLELKFNYLPCLNVGSEQKPVYLPIEVCKIVPRQRYQKKLDGSQVSILRESTCQFQPEPKKSIPQVAERKQYNSTKRANEFAIAVDDNLTIVNARVLLPPNLKYHDSGSQKTWFPTNGIWNMKDKKVVNGAKISNWACVNFCEDLSKNVVEQFCFKLAEMSRIIGVDLADLKLPIFTARPDQVEDDIRTCYQKVQNKLRDQKIDLLLAILPDENGSLYGNVKRICETDIGLLSQCCRRSNVFTKSNQILANIAIKINAKVVASQDWHEVAKYNGVVRSQGREDIISGLEDIVKDGICESQSKQVLEKEIPEIEKAWKALYDNEKPQVTFIVVQKRHSLRLFPNDNKYKHHVAKKKSVEPGTVVDSEICHPAEFDFFLCSHLETKGPSRPVQYLVLRDDNNFTADELQALTNNLCYTYASCTQSVSIAPPAYYAHKLAQRAHLYLAQGSGAATAASSSSSAAATAGGPKQLPEIKDELKRSMFYC >KQL26973 pep chromosome:Setaria_italica_v2.0:II:47190896:47193627:-1 gene:SETIT_030723mg transcript:KQL26973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSCETLPVDLTPTPPKLFEIPQQNPSPGNRCLHPPVRRPLLLLCQRGGGMGAEYPTFADVSGARALLFLADSSPAPPPPPPPPALSDEFSCYSGSSSSSSYSGASARSCVSDSARRGRPVDPLRVLSVVASLRRINPKMLAEATGALFHSGAEKKRKGVWIEVDSYEDQSERSSTVASEGSTVTAAASAGSTATSGRCRRPPRASGGGDGGGEKAPRRAEVIMQWFSRSQAGPATENDIRAAVGDNSGTSKAIRWLLKQEGGLRRAGTGGLLDPYVYMVAG >KQL23778 pep chromosome:Setaria_italica_v2.0:II:18637952:18638350:-1 gene:SETIT_033279mg transcript:KQL23778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLMNRLDYYTKKKAAMSNIVQAMDSHMTCEVCGNTGHSGNNCPETQEDVLYMNDNNNGYHPQGGQGWNQQCPYQGDNYAKINESFTKKLAANEKTLESLNIKLDGLSSAFKN >KQL25984 pep chromosome:Setaria_italica_v2.0:II:41696706:41705358:-1 gene:SETIT_028833mg transcript:KQL25984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAATLRSRAAVAATACLAVLAAAALLHRRRRRKRAPASPRRLGVAGRRGRPRRACEKEEKPQARFKRVLADNSYAPFKHLRRQSAQPGGAEGEASLLPSQESSQRVHPFEEEITSLLNNPPGFRSFMLGDQCPEMHTPYNWVDTEAQLEHLARLLGEEKAFAVDTEQHSVRSFLGYTALMQISTQKEDYLIDTIALHDVMGILRPVFANPSICKIFHGADNDVLWLQRDFHIYVVNMFDTAKACEILSKPQKSLAYLLELYCEVTTDKTMQREDWRLRPLTPEMIEYARTDAHYLLYIANCLASELHAKARDTSSDKINFFFEASHRSNMVSMQLYAKEIECPPGASSAASILTRNLQTHGLDSKRSSEVKDLVWKFCAWRDLMARMHDESLRYVLSDQAIAALAVSLPKGPTEVFAVLAETDLNISSMYPSLSSPSPIVVAHVEELCYLLENTTTSMDDIFKSLLEKYKDPSGLCRLSVYNYNLITELSLKQTNMFSFAPSGEKLLTAPPNKKASRDLFIKKFSCKSPVYHNCRIYASDGRLLCYCDRKKLEWYVQRNLAKLIEDSPPAIMLLFEPKGRPEDEDNEFYIQSKKNICVGCGEKSHYIRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEIAHSAAEKYKRRVAEEFGIPLFVQKIVNSGDISLITHTSVSEDKLNGTGVSPLQLRTAAMALLRHGSTMPLKRCEELMQIVKSYYGGRDVTPEDLEMALLVGMSPHERRRLEKKKGYSFKAQAQNIITKSSSSNISENSGHGSENSHALSEQFPEDGTESNGQQEFDETESENQLEDLTLSQGGSSLPVSMEDTTFGHDTATFETDTKQQASGACIPANGYLNRDPSIRDNSNQAISKNAEKKISLLGHGHHGKQVVELLLSNGGEEAINQFCQRWRQVFVEAVHPRYLPSGWNINHSGRRDFGDFSVYKPSKNATPP >KQL22167 pep chromosome:Setaria_italica_v2.0:II:343436:345394:1 gene:SETIT_033373mg transcript:KQL22167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAFFVFCGCVDQASVAVVEKWGRFVRLAEPGLHFFNPFAGECVAGSLTTRVQSLDDRVETKTKDNVFVQLICTNSLQNPQQQIQAYVFDVVRAIVPRMNLDDLFEQKNDVAKAVLEELEKVMADYGYSIEHILMVDIIPDAARLQGEAEKILLVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTSDISEQIRDGMMQAASSSNV >KQL24874 pep chromosome:Setaria_italica_v2.0:II:34201044:34201866:-1 gene:SETIT_031190mg transcript:KQL24874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNALLARVAVLFAAGYTAAALAAATTTFVVGDDQGWTIGVDYIAWVKGKTFKAGDKLGECRSAAESAAAHALLARREKKNIAVFNYPSEEHTVTEVGKNDYFACAGGSALSNDRSGSTNVTLTGAGTRYFICNIPGHCTIGMRLAVTVAGGGSPEVVTTPTGGVATGGRVRPTMGSVVAAAAGALIKLALS >KQL22493 pep chromosome:Setaria_italica_v2.0:II:3116972:3119428:-1 gene:SETIT_032350mg transcript:KQL22493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATTAAAAAMSAVLPKLAALLQEEDSKLQRGRQQDVAFLGDELQQLQGSLEAAAESQGGDPHLEECSRKLRDLFFDVEDSVHGFLRRAGREQPGPKTKPPPRGFGRFADKAMDLTAGAMRRRRFAEQLRGLRSRLEEVRQQWWCACRLSTTPRPCWRTRIGAPRPAPGSAAEASRLAGLSRHRDELVARLLLVGEGREVDDGSAKWLRVVSVLGDGGPGALRLLGLAYSARLRSYAATAGTTAAAKGTTSSDASENACMLSASRNSMYLVVLDGMWNPEAWIIIGASLPQNDLGSRVITTTCSTIVAKSCSSNCNSRIYNIKTLSLGDCRNLVHGRIFGSVESCPPDLADVADRILIGCAGFPLAIAAISSLLACKPRARSVREDVCNCITASPRTAEGMRRILSLGYHDVSHHLKVCLWHLSIFPADYPIDHNRVIRSWMVQGLIIRSESVKENFVTVLENGKPASVSSSKIRRLSIISFGEGHDIDIPASSVTMSRIRSLYIFGNAGKKLTFKNLTFLRVLDLQGCKDLKNHNVKEIAGIRDLRYSSIRDTPISEIPDQIAQLQNLTTLDLRGTEVQELPASVLQLQRQRLEHLGLVYLPNLGKMAALSCLSQYEIL >KQL25004 pep chromosome:Setaria_italica_v2.0:II:35233393:35233746:-1 gene:SETIT_033227mg transcript:KQL25004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKERRERKAAAAGAKEGADTVQLPTETSPYVQYDGLEDYKMRGYGAQGHLPVSDVPHGGSGTDAPTVPGTAVPVAKPKRQDDVQPQRDLGGGKVDAGRRHGDASTDVVNRHGVP >KQL27026 pep chromosome:Setaria_italica_v2.0:II:47459615:47459822:-1 gene:SETIT_033504mg transcript:KQL27026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLCLFVPYKLVWIFTVSQVTVMQITMQLLQCVIG >KQL26853 pep chromosome:Setaria_italica_v2.0:II:46625240:46625842:-1 gene:SETIT_031257mg transcript:KQL26853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLGQLAGVAHGGGRQRNPPCKEMTVYYHNILYDGTNTANATAAFATQPTLLSRSASINDTYFGEIVVFDDPVTAGPALASEPVARAQGFFFYDKKESPNAWFAFSLVFNSTAHRGTLNLMGADLMAEKTRDISVVGGTGDFFMARGVATLRTDSYEGLYYFRLQMDIKLYDCYDV >KQL22502 pep chromosome:Setaria_italica_v2.0:II:3188285:3189005:1 gene:SETIT_032895mg transcript:KQL22502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRSLSPGAILPEGTRFAGDAVAPAPPRFVSSAAADAIPVGYKQLDTILGMFRIPRGSRTADQVAATLRTCEEPSSEPHTCTTSQQAAAAFTAGALGTSAPRAVVTIVHGEEAAGARYTVALDGVARIGGGGGGKAVVPCHPRCPTRTWEEICHFMPRDYVLWLPAGIGL >KQL23422 pep chromosome:Setaria_italica_v2.0:II:12326557:12326725:-1 gene:SETIT_032281mg transcript:KQL23422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSNETEQASGLPEFTENLSPIGGTASATVCHQQRK >KQL26139 pep chromosome:Setaria_italica_v2.0:II:42542796:42544326:1 gene:SETIT_029895mg transcript:KQL26139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDQSDDVGGGVDRISGLPDDILRCILVGLPSTADAARTSVLSRRWRHVWAHLPELALRYGNRVDRLRAHELIDAAMGAYSAPTVRRLEIATPFGSRDVTAERASSWLRFASRRLAGRLKLSLPHPDLAPDKEGKILLPVCERVTAIDMEYVGRALRFQPLPAGGSAFAALATLRITDARVDGRELEHVLSSRCPRLKEVVLEWVSFSLRDGDDDAAAVLSIRSGSLQRLQMASGRELGGVLRVDTPELRVLTLRRISCNDAYIAAPKLSELYWFPLFYVPSRHRFAEAGRHLRRMEVTTGSPSMALMNRFNIVDELKVTLSVPQGARQYEKLLEDTNRLSKCEVLVLKFEAVKHDLKPIMVHLLQQCAGIRELVVEFPFKMARAYLNNNSISIRCPLDYLICCSTTASRKDMKPCHTNTVHQTRACLYTSIG >KQL26484 pep chromosome:Setaria_italica_v2.0:II:44663976:44665285:-1 gene:SETIT_032445mg transcript:KQL26484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDMMAQFLGADDHCFTYEHVDESMEALVSLFLPSLDTDSNSSSSCLNYDVSPQCWPQPGHSSSVTSLLDPAQNFESFEFPVMDPLPPTDFNSPSDVPYLSEDLSPLHGNLSSSIEEEVANVAPATKKRKSSATIKASKKTKKVGKKDSVINEDGSNAYVDAQSSSSCTSEEGNLEGNANSSSKKMSTRASRGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAAQYVKFLQIQIKLLSSDDMWMYAPIAYNGINISNVDLNISALQK >KQL24137 pep chromosome:Setaria_italica_v2.0:II:26666625:26668834:-1 gene:SETIT_030853mg transcript:KQL24137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKERLLVYPYMVNGSVASRLKERPGSEPPLDWQTRRRIALGSARGLSYLHDNCDPKIIHGDVKAANILLNEDFEAVVGDFGLARLVDYKDADVNTAVSGTIGHIAPEYLSKGKASEKTDVFGYGITLLELITGERAFDRARFAKGEDAMLLGRVKGLIKEKKLDLIPDPDLGNNYYEVEVESLVQIALLCTQRDPIERPKMSEVVTMLESGGGLVERWEEWLKVADDQDVEIGPHRTAELFVGLSFTTLNAVELSGPR >KQL26210 pep chromosome:Setaria_italica_v2.0:II:43065497:43067196:-1 gene:SETIT_030219mg transcript:KQL26210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSFGGRILPRPGDRQLRYVGGETRIVSFPRAAASFATLVAALAKVAPALFAPGVPRPSLKYQLPPDDLDSLISVSSDDDVDHLMDELDRLHDETAAVARPPRLRVFLFAPAPDAAFGSVLSGTAGDAASTDQWFVDALNAPAPHPIERGRSEASSIISEVPDYLFGLDTASDEPSPGPAASRTKSDAAETPRQHGDEDDALAPARQAPYVAEGASPWPAPPPPYMAQPVYYFPVPPPVHYLDPSAQGGYMPRPVYHIVGGGGSEVPGGDLHTAGGVYGVPQHMQPFPPMMYAPPRAVVYTAEGKPLQPPEGGAQSS >KQL23227 pep chromosome:Setaria_italica_v2.0:II:9913533:9913689:-1 gene:SETIT_033705mg transcript:KQL23227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLIRRQQQNGLQERITEQGYNTLHGERKHTCSY >KQL24100 pep chromosome:Setaria_italica_v2.0:II:26062079:26063097:-1 gene:SETIT_032123mg transcript:KQL24100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLDSDDDRPAGEMTESDIEMFRRIFPGRHDLIVHEFSDLTLFDQAFAEGRDDELLEAPEASPSMVIEEGRVFKDLPALKRWLQAFAVIWKRPYKVLHSYAERRYTVKWKITKVVGPHNCDDHELTMRHPQLTSIIIAKRLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWKVIYEDWESGYEQLPVLFNAIKAVNPSMHYEYIPKPNAWKDGRQIFERAFWCFPQCVEAFRHCRPVFSIDTNNKLVPLAFALVERENNDGWGWFLRLVWIHVVGPGREVGVISDRH >KQL22920 pep chromosome:Setaria_italica_v2.0:II:6362445:6369789:1 gene:SETIT_028874mg transcript:KQL22920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLVVKKDDDLDEEEYYSPFLGIEKGAVLQEARVFHDPQLDARRCCQVITKLLYLLNQGDTFTKVEATEVFFATTKLFQSKDAGLRRMVYLMIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDSTLLTQIERYLKQAIVDKNPVVASAALVSGIYLLQTSPEVVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTRGSVRSPLAQCLLIRYTSQVIRESGTNQSGDRPFFDFLESCLRNKAEMVILEAARAITELNGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVASTHPLAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQMTNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIIILIRDIPDAKESGLFHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRAGAVSTLAKFGALVDSLKPRIFVLLRRCLFDGDDEVRDRATLYLKLLGGEATVGETEKDVNEFLFGSLDVPLVNLETSLRNYEPSDVPFDISSVPKETKSQPLAEKKSTGKKPTGPASALSGPVSTVDASYEKLLSSIPEFADFGKLFKSSAPVELTEAETEYSVNVVKHIYDGHIVLQYNCTNTIPEQLLEQVIVFVDASEADEFLEVTSKPLESLPYDSPGQTFVAFEKPEGVIATGKFSNILKFIVKEVDPSTGEAEDDGVEDEYQLEDLEIVSADYMLKVGVSNFRNAWESMDPESERVDEYGLGVREGLAEAVSAVISILGMQPCEGTDVVPSNSRSHTCLLSGVFIGNVKVLVRLSFGITSSKEVAMKLAVRSDDPEISDKIHEIVANG >KQL26310 pep chromosome:Setaria_italica_v2.0:II:43680954:43682440:1 gene:SETIT_033301mg transcript:KQL26310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAAASQARIPSPQAKRPRTLTTWHAIGVPSPPVPPSPDTTPSASSRDWANLSDGPAGLISERLLAADVADYVSFRAACRPWRLCSTDPREHGVLDRRFHPRQWTMLRTKRGSPYRRCFMNVSTGCCRYVHLPELRGHDVFGPTTEGLLVLLDRATCVVRLLNPFTRQAADLPPATALMTQRDLERRRDGGDLAEISGTFLADDCTIAVCFAGITTLAVAKPGDAQWAVVDRGTYLSPAMSFAGRFYCATNRVVMVVETSADDHPPRLAVAAELSRPKLVPVRGLGGRAVFIGWERALSVSPSVFPSISADTIYLGFNSLFGYMDDSPVHLMEVTSEPPFLGSEAYVQLLR >KQL24163 pep chromosome:Setaria_italica_v2.0:II:26882756:26885683:-1 gene:SETIT_030513mg transcript:KQL24163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPDFFGNICSLQFLNLSKCSKLELLPQSFGQLAYLKGLNLSFCSDLKLPECFEYLTSLQFLDLSHCSSVEYLPSSFDKLSNLEYLNLSQCVGLKALPKSLSNRKKLQVEVFGCQDYIVQSCSLSSGSCQSHQCSQQIEEVGTSTAISDITPKEPANGDKAEGISASDADEVDYPQNNLKQKMEFAYHMDEQKSEEPKFINKPNSNGEIVQVIPGNQFSSSPPRFSSVASSSSAAFASGFSSDVSVADHPLSNDETAGLQPDKKFKEPQALAEDDRISEQQASSSHMLAHPHEVTAAKRSNVDHITEYSGEYLFSVQCNGSNQGIA >KQL27174 pep chromosome:Setaria_italica_v2.0:II:48252681:48255599:-1 gene:SETIT_029821mg transcript:KQL27174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQKGNVLMQKYEMGKMLGQGTFAKVYRARNTKTSESVAIKVIDKEKVMKVGLIDQIKREISVMKLVRHPNIVQLYEVMATKTKIYFVLEHVRGGELFNKVQRGRLKEDAARKYFQQLICAVDFCHSRGVYHRDLKPENLLLDENSNLKVSDFGLSALAECKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILFVLLAGYLPFHDKNLMDMYKKIGKAEFKCPSWFSTDVRRLLQRILDPNPSTRISMEKIMENPWFRKGLNAKLLRYNLQTKDAPPLDMNADFDSVSTIANTESKQQEAKKPINMNAFDIISLSTGLDLSGMFEESDKKRESKFTSTNTSSTIISKIEDVAKSLRLKLTKKDGGLLKMEGSKPGRKGVMGIDAEIFEVSPNFHLVEIKKANGDTLEYQKVLNQEMRPALKDIVWAWQGEQPKQQQQPCC >KQL24278 pep chromosome:Setaria_italica_v2.0:II:28469652:28470203:1 gene:SETIT_032716mg transcript:KQL24278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGTEFFPDLGAQCDDPECNQLDFLPFECGGCGGFFCAAHRTYLDHGCAKAADQGRTVVLCPDCGDSIERTAPGQDEREILDAHVRSRRCDPARKNKPQCPARRCKEALTFCNTTQCKGCGLKVCLKHRFPADHDCAASARAAAGAAAARRAAGGQCGRDAQKKEGGRRPLAVSIRSFKIC >KQL25999 pep chromosome:Setaria_italica_v2.0:II:41755539:41759742:1 gene:SETIT_029094mg transcript:KQL25999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPNICCSLSKQHKWKIAACVMMFSVLLVAFDSPFRTLISKYLSSIVPSSGSSHDTTLTQENRNLSSERATNLSNSKPGQQIEHGTHNMNTSSTNATSSWSIVKEEFTFPAAGRSFNNCHASTIVEIEKDNFLVSYFGGSIEGAPDVKIWTQRYSDGYWHPPVVADEENATAMWNPVLFQLPSRELLLFYKIGEHPQNWSGAMKRSLNGGMSWSEREQLPPGILGPIKNKPFLLDDGRLLCGSSVESWNSWGAWLEVTDDAGWTWRKYGPIFVESEKLGVIQPVPYQTTNGTIRMLLRSYQTIGRVCIADSSDGGLTWGYARKTELPNPNSGIDGIKMKDGRVALAYNTESRGILKVAVSLDDGISWGEVLTLENTEGVEFSYPAVIQTMDELVHVTYTYNRTQIKAAQAQAVRETGRGKSRASSGSRKVTYGFHLVEGRMPHGMEDRHVAEFRQLDDGNEVGLFAVFDGHSGADVATYLSEHLFDNILNEPDLDFWTDPMESIRRAYHRTDQKVLKMKKSDDDGGGGEGRKGRRQRGGSTAVTVILINGETLVVANVGDSRAVLRDAAGAARQLSVDHEPLRERSAVESRGGFVTEIHGDVPRVDAQLAMSRAFGDGAIKEHISSDPDVCIEDVGEGAELVVLASDGLWKVMSNQEAVDEAGREAAGDARDAAVRLVDEAVRRGSKDDISCIVVRLH >KQL24332 pep chromosome:Setaria_italica_v2.0:II:28983922:28984759:-1 gene:SETIT_031200mg transcript:KQL24332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLNNPPVLEKARKEIDACIGQPMRLIEATDLPKLHYLRCIIMETFRLYPPAPLLVPHESSTDCTVDGFHIPKGTMLLVNTFAIHRDPELWDEPEKFIPERFENGKSTEGKMFIPFGMGRRRCPAENLGMQMVGLALGTMIQCFDWERVGEDLMDMTEGSGLTLPKEVPLEAFYEPRASLINLLSEI >KQL25733 pep chromosome:Setaria_italica_v2.0:II:40067489:40068443:1 gene:SETIT_032169mg transcript:KQL25733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLLKWDVGEAKAKAILTIFEDENQEPMGLCIPAIPDQLTAQHGHLFAAGVLLAPTGEDEQTSFRVICMSWNKTKLFLFVFSSITGQWGIAASPCWGTLGTGVPSGHFCDDDFSFLADARGCLYIILPWSHKLIILDTLRMEFSVINNVPSLNVEHRIVVSADGSLRMVSLSQHDEDDSIQLENNIPLPRQYTSSVLGVTEGFLFLGGTPRAHNSVESLDEYFSLDVKTSELKKVCGMKTGPMYYVEGAYFGFPPSLSEPCL >KQL25507 pep chromosome:Setaria_italica_v2.0:II:38775249:38777318:-1 gene:SETIT_031438mg transcript:KQL25507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVCVAVVGHQNNPLYLQSFTEADDALKLHHIVHCSLDVIDERVNNPKRSAPTLNETFLGLLYPTENYKVYGYLTNTKVKFIMVTTDLDVKDADARNFFRKFHAAYVDAVSNPFHVPGKKIASRSFGARVSTIVKSFGSGTTG >KQL24443 pep chromosome:Setaria_italica_v2.0:II:30158114:30158542:-1 gene:SETIT_032740mg transcript:KQL24443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRSSSSATSHICGYCKREFRSAQGLGGHMNVHRLDRARLIHHQCSSHHHLALAAPPPNPNPSRAVVELMSSGCRAHGAASDGGSAVPPAAKPGVCRFSLASSSSALTKDIEAIKNLELRMGACSHGDDAEERLDLELRLGHS >KQL25667 pep chromosome:Setaria_italica_v2.0:II:39734763:39736339:-1 gene:SETIT_033484mg transcript:KQL25667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYECLLAAAEVLFFSSHSSSVLMEIDDLNTLLYYHFFVVKIVLHRVSVDISAV >KQL25396 pep chromosome:Setaria_italica_v2.0:II:37867064:37870140:-1 gene:SETIT_031267mg transcript:KQL25396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTTYSVRISSETHKIETWLSSDEALARQLQEEENTRDAAADTREFTGNVSLEPSSPAVEYRPAQNAAQVAREDNVDPDNMSYEQLQALGEAVGTQSRGLSDELISYLEPFRHKCTLFSRNKNNEECVICKTTYKSRQKMIRLPCSHCYHANCITRWLKINKACPVCNEEVFG >KQL24314 pep chromosome:Setaria_italica_v2.0:II:28765622:28768231:1 gene:SETIT_033240mg transcript:KQL24314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPHGTGPVGKFGTTSTRTAFPRCLQRLSTLGSQSNGPDTFSSTTQERWRQPPDPNEAQRRRCFRRLFFSSTTGPATLMEKSSGAAAGGTAPPSPFQMEDFQLEGKKPVKNPFVPIGALVTAGVLTAGLISFRYGNSQLGQKLMRARVVAQGATVALMIGSAYYYGDQIKLFKKGSSP >KQL24256 pep chromosome:Setaria_italica_v2.0:II:28176304:28180014:-1 gene:SETIT_033130mg transcript:KQL24256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAQANPASMDARGWDEVSYRRGILRARDLSSRTLFRAVFFDHSDDPEPDVLLAAASSDGSLASFSLSSCISASSQAEAAVALVDPVCIVQAHSGPAYDVRFYPDPQQPLLFSCGDDGRIRGWRWHEMQSCLVPLSLQGDHLEPALDLVNPQHEGPWGARSPIPENNAIAINKQDGSIFAAAGDACAYCWDVETGKCKITFKGHADYLHSVAIREANRQVVTGSEDGTARIWDCRSGKCTQVVRPVKNKAFQSSWVSCVAIDASESWLACGTSSGISVWSLLSNECIFNLDCHAPVQDLLFDKNQILAVGAEPVLSRFTINGTVLSQIKCAPQSAFSVSIHSSGMASVAGYGGLVDVISELGSHLCTFGSRGLDK >KQL22781 pep chromosome:Setaria_italica_v2.0:II:5239561:5241327:-1 gene:SETIT_031919mg transcript:KQL22781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEPLLKHRRSNVVVEGSGDRSNITVDGSGSGSGSGSRGSGTAAPAPAAGLPDDSIVDILSRVPVRSIHRFKCVSKAWRDLIANPLHRKRLPQTLEGFICSDAEIRHGAGGAGAPWNSRRHVNRSFISLPGRLSPLGDPSFSILTKQPTVRNEIRLLGCCNGHLLFANGRISEAYGTLGYIVCNPATKQWVGVPSAGRSCSHAVSETEATIFLIVDPAMSPHFHVVHIWQNGFMGEIEVRTYSSETGVWTHRSDEDRQQWQEGGGWEEWVNGGAMIVRPMPGSALLNGMLRFIIFDSQKNDYVIAAVDGEGKSCRSICLPGNCGRFALLIGQSQGRLHCVSEDVEMEGSSRLQRKSGLCVWVLEDYDTDEWVLKHKVSFLELFGKRCCTDGYEYNVLAIHPDRDMLFFVQHFNNKLVSYDMDSKELHAFHTPRSSHGLFTPYVPCYLDSSVLANKY >KQL23443 pep chromosome:Setaria_italica_v2.0:II:12757501:12760347:1 gene:SETIT_030268mg transcript:KQL23443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNFIFCLSLLSLTGGPTGLPCRFLLPQRGKKGRGGVVHGGAGGTVLALTQCCVASPRERREAFCSSPAVGASPSRRGRLYLTRLWRSVTSMNVGHDPPPDHIGHGPPPPDPMKIATDAQLDRHSEAIVMIVEQKIKGSGRREKKHTVFVASGFIIGKKQDKRTLMVITCAHVISSIIGVSTNPEILKVRLFGSTTESEATILHIDNKRDLALLSVVVPNVNYFPVVRLSSAIDKPPETIVVLVGYYHPANALAKELGERNLLPTMPSAVAGTILGPTVNQGKMLLVNHGCHGMRGTSGSPLICHDTGGAIGVFLGTVSQYHQAIATETVIEFLKEWLVANHAIVNNDDGINDTVENCVKLL >KQL22121 pep chromosome:Setaria_italica_v2.0:II:70269:73116:1 gene:SETIT_029429mg transcript:KQL22121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKASASKNAAGKDEAKPKKIRSSRNHRHRRNHSSSSGSESPPRKRSKKHSKRVADKKSRRSKVSSSSRRRRRRSLSPSHSLSSSSSPSSIARHHSTCSGSASESLESPPPRSQSRDVHKKKGRGRDRERDRKRRKARRSASSSSTSASSGSSRSRSKSKHRKRRTDAGTTRDKIEIDYDNRHASRSEKNMAEDDDRDEEALTVIAKKRDGDIDSYKKNLELESQHSKKANQTQDMEPAGGGNSDAEDLELILRQKALENFRKFRAAAAGKTDTNGATGKEALIDGSQNTGTEIAEARSSAVNHFQWQGSSLVMKNSAGSPRSEDCGNATSHSWKQEGSAGMSHGAASPGILEAGEIGGATQQKGRTVEATHSNCQFRSPQDGRNSLSVMQRLESMPGSCAGVLGSSAGVSHVNGAPRVRSVVSIPAREGLDGSTYTTPPRPSENSAPVESSSDIGRPLIDINKAERTNGDGRKTSEASASNGSILSPAEGKSQIRTEDKDGAQFQKKTFSRMHDGETVEVSYKVYIPKKTPALARRKLQR >KQL22222 pep chromosome:Setaria_italica_v2.0:II:666900:668258:-1 gene:SETIT_033316mg transcript:KQL22222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVKSSKAVKPAATANANAPRPDVVVVPLSVFDKVNIDMYVSGIYVFRPPTPPNAALEAGLARALAEYPEWAGRLGVDGRGNRAILLNGAGARLVEATADVALDAVLPLSPAPEVRSLHPSADGAEEVRLVQLTRFACGGLAIGSTAHHLISDGRAAYNFFLAWSQATRGVPVDPVPVHDRASFFKPRDPPRVEFDHRGVEFKKPEPPAAAAPHSEAGNNIDDEVLVHRAHCSREFIARLKSQASAPGGRPCSTLLCVVAHLWRCITAARGLHAAGAATTSVCIAVDGRARMIHPVPDGYTGNVVLWARPTAAARDLVARPLRHAVELINRELARVDGSYFGSFVDFSASGAVEAEGLVPAADAAEMVLSPNIEVNSWLQTPFYNLDFGGCRPCFFMPSYLPMEGLLILVPSYYRDGSIDAYVALFSRHMDTFKTLWTHGLSASWNRTRY >KQL22992 pep chromosome:Setaria_italica_v2.0:II:7183896:7185825:1 gene:SETIT_030078mg transcript:KQL22992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAAAGATTATAFASGRRLSVSSPCPSASASLPSFLAGAFPCAVAARRRAAPAARAAVSVRAEAKKSVLIVNTNSGGHAVIGFYFAKELLAAGHAVTVLTVGDEGSDKMKKPPFSRFSELTGAGGKTVWGDPADVGAAVSGASFDVVLDNNGKDLDAVKPVADWAKASGVGQFLFISSAGIYKPTDEPPHVEGDAVKESAGHVGVEKYIAEQFSSWASFRPQYMIGSGNNKDCEEWFFDRIVRGRPVPIPGNGMQLTNIAHVRDLSSMLALAVENPGAAAGKIFNCVSDRAVTLDGMARLCAAAAGAAVEIVHYDPAAVGVDAKKAFPFRNMHFYAEPRAAKEALGWASTTNLPEDLKERYAEYAASGRGDKDMAFDLDDKILGAVGIVPASATV >KQL24510 pep chromosome:Setaria_italica_v2.0:II:30932365:30933869:1 gene:SETIT_030666mg transcript:KQL24510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGANATHGSSGGAVEYTDDDGRATQGREHRAAAHVLTEEKEATGVGEERDRGGLWTIYVNNGSTAWRHGAGGSLPGRGRPRRRRPRRARRPPPRSSNGLPRRRAAADPPRRRAAAEGLGGRLRRARDAERGRRVHVAAPSPTRAGAGAAQQHGRRGAPGRGRRQQPPPGLVGCRRRGVLAPGRGSERERRPESEREQVMSRLSSSARRSRGSSEPGAARIVRAYARGGGWRVQREGKGGVMVMRTRARGGGGGGECGFVRFRARAARVGGAEPRAVGAASSKPSPSRGARKPLLQS >KQL23879 pep chromosome:Setaria_italica_v2.0:II:22071625:22075709:1 gene:SETIT_032563mg transcript:KQL23879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNGGFASYELTRSCTWLEIINPAETFGDIVIDYPYVECTSAAIQALSSFRKLHPGHLRKEVDNCISKAANFIETIQKGLIAAGRTFKNSPAIRKACDFLMSKELPSGGWGESYFSSQDQVYTDLQDKHAHAVNTDWAMLALIDAEQAARDPTPLHRAAKVLINFQSEDGEFPQQEIIGVFNKNCMISNSQYRNIFPIWALGEYRCRFLAAGN >KQL25687 pep chromosome:Setaria_italica_v2.0:II:39847853:39853694:1 gene:SETIT_028926mg transcript:KQL25687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKSSAVTSKGKAAFELKHRLVQAVNKIADRDTYQIGLDELEKMADTLAPDMIGPFLSCVIDTDAEQKSAVRKECIKVIGTLARLHGNLLAPHMAKMVSSIVKRLKDTDSVVRDACVDTCGTLAMCARSYGDGGAALVALVRPLFESLGEQNRYVQAGAALCLAKVIDESNYFPGPVLPQMLVRVVKLLKNPHFMAKPAAIELIRSIVQAEGASTEQALSSALTSIMDALKSSDWNTRKAASLALSSIAVSSGYLVASFRTSCLRSLERSKFDKVKPVRDAIIHAIQLWKAIPGSHTPEPSEAGSSTKENFFGDHHDARSIHDGGSRDTSFRRTDHGPSVSVTSGSSITSAKRRSPLSINKIALNNAANMHRLKSGDWRVEVSVPKQNMMPLVDIDEKGSSKVCILKDAKRNAYEIADEDSKFDYDILDDKQECSSVSEVASRSCETKHVTTAQECIEDCESTQVTERCPRGQESKSIDSTVTDVTAHGTHSCCLNTMNELALIRKQLQEMERKQANLFDLLQEFMSTSVENMTVLNLKVHNLENAVDKTVYTITQSESRYHLPGSKFFKNQSVSSSPRLSTSTPRSSVDANYKPPPISHLKHEKKWMHDLPSKGSNVCTKEGAEFPKDHVRSRFRKPETVSSESNLGRYVPSSARSQASMVKGALPVSFTSSCEQPEMQNALCASNQSGEFQGTGEIEPAYMEALNCGDYDDLIDLMDRTGPVLEKLSCETANELLRVITCQFLNKKFFDLALPWLQQLADLSTIYKPGQLFASVRAQKEFLSALEEVATSGSTEPAIRIAIAQLAFKLTKAFEVGPCRKISTRVRRGNETIMATAM >KQL25555 pep chromosome:Setaria_italica_v2.0:II:39031931:39033121:1 gene:SETIT_031436mg transcript:KQL25555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAKRLVQMARKWQRMAALATKRLTSTPATETDGSSCSMSSVAGKGHCVVYSADGRRFEVPLAYLGTTVFVELLSMSQEEFGFAGDDGRITLPCDAAVMEYVMCLLRREASEEVERAFLSSMAKSCHYGNSLTQPMGVAVTSF >KQL26208 pep chromosome:Setaria_italica_v2.0:II:43029877:43030393:1 gene:SETIT_031827mg transcript:KQL26208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARRQCLGPRGGHDAAQLELVPDEERDLRGRSRRIATHTGYDSALERRLREDNT >KQL22662 pep chromosome:Setaria_italica_v2.0:II:4260458:4261260:-1 gene:SETIT_031233mg transcript:KQL22662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVMSSMGSIAFAAAGARARAGGFPVRVPASALAPRRRALVVRAQAEDAEPTTEEPKAAKKPASPGLWDALAFSGPAPERINGRLAMVGFVSALAVEASRGGGLLSQAGSGSGLAWFAATAAVLSVASLVPLLKGDSAEARSGGFMSADAELWNGRFAMLGLVALAFTEYLTGAPFINA >KQL26197 pep chromosome:Setaria_italica_v2.0:II:42976533:42980126:1 gene:SETIT_030033mg transcript:KQL26197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPKQPILPSPEAAALPQQLKDLADELWEEIFLRVASPSDLARASTACSSFRRLIADPTFLRRHRSIHPPLVGLIISHRDRFRFQPVEAPHPNAPAASALAAAAGFTFDYLPHGPWGPFDVRDGRLLLGRPPQGKKDGYWFPDLTVCDPLSRRSLLLPRIPGDLISSAAEKKRCILPALLPCGHEEEDTSSFRVISTMYCVEKLVVFIFSSSSGCWNIGKSTTWDALGLAIPIPPGRNIVPLSLHSDYACGCVYWKLLGMNKLLQFNINSLEFCTVDFPLDHNMTTKPVIVETAEARLGMFNVTSNQESLYYATRQNGGLRSNEWKTEDIISLPEGYRFLIAGALGGYIILLASPVTRPHSAYFSLEVKTMKIERIGRAERCNGRIYPYIGFPPSISPRGHEMD >KQL22900 pep chromosome:Setaria_italica_v2.0:II:6230916:6231344:1 gene:SETIT_031921mg transcript:KQL22900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIETHRSGAEVVSGDAICRKKSVDLLEELGLPKGLLPMEDIQEFGYNRTTGFMWLVQRKKKVEHTFKKIKQTVSYAFEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVPEASPEKVTFKTGTGLSDSFDATAFALGE >KQL25015 pep chromosome:Setaria_italica_v2.0:II:35263541:35263887:-1 gene:SETIT_033710mg transcript:KQL25015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEEEGARRSAPSSPARCRRRLPCMAATTARRRRRLREAVPGGGR >KQL24861 pep chromosome:Setaria_italica_v2.0:II:34025724:34026696:1 gene:SETIT_033331mg transcript:KQL24861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAARSWSSPPAATEASPYAMPSPVQPASSNVRRFLHSFAVPRSGTKEAVKNALSRWGRKVGEATRKAEDLSRNTWQHLRTAPSIGEAAVARIAQGTKVLAEGGHDKIFRRAFSAPPDEQLRKSYACYLSTAAGPVMGILYLSTARVAFCSDSPLSYDAGGGDRTEWSYYKTANACVQVAIPLHRLRAASASASELKPAEKFIQLVSADKHEFWFMGFVNYDGAVAHLQEALSGFHNLQAL >KQL22322 pep chromosome:Setaria_italica_v2.0:II:1728484:1730467:1 gene:SETIT_030087mg transcript:KQL22322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTLAPVREIRRAQRADGPAAVLGIGTANPAFCVLQDGFPDYYFRVTKKEHLVDLKDTFKTLCRLTGLERRFFQHTEQLLNAHPGFINGTPSSSLDARLDIVAEAAPKLAAAAATKAIARWGRPATDITHLVVATSSEARAPGADLGLAALLGLRPDVRRTVLQLNGCSAGCAALRLAKDLAENNRGARVLVACVELTITSFRAPREGDTFDTLIPQALFGDGAGAVVVGADAVHAAGERPLFEMVSASQALIPGTEHLLNMRLGEGGIDGDVSAKLPIFAARHLERCLLDALAPLAIGGGEGKWNDLFWAVHPGSRGIMDHIDSVLRLEPRKLAASRAVVRDYGNMLSATVIFVLDELRRRMDEERDEASEWGVMVGFGPGFTVETMVLHATKY >KQL24871 pep chromosome:Setaria_italica_v2.0:II:34155179:34165555:1 gene:SETIT_029005mg transcript:KQL24871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVGTNRTQLRSVAAAPATRSSALPRPHRHHALSNRLAATKLGIAPPCGGGWYFGKVQRPDVARSTAAKAQSRKVGRSVIKEMGHISSDSEVPLKYSSGKAFPLGVSQVEVGLNFAIFSQHASSVTLCLKLPERGTQDDVQVVEFALDLQKNKTGDIWHVSVEGLPASGVLYGYRINGPQGWQQGHRFDDRVILLDPYAKLVSGRKYFGVEEEKPSQLFGTYDFDSSPFDWGDDYKLPNLPETDLVIYEMNVRAFTADESSRIGPAVRGSYLGVIDKIPHLLELGVNAVELLPVFEFDELEFKRFPNPRDHMVNTWGYSTINFFAPMSRYASAGGGPVAACKELKQMVKALHNAGIEVILDVVYNHTNEADDANPYMTSFRGIDNKVYYMLDLNNNAELLNFSGCGNTLNCNHPVVKELVLDSLRHWVKEYHIDGFRFDLASVLCRGPDGSPLDAPPLIKEIAKDSILSRCKIIAEPWDCGGLYLVGRFPNWDRWAEWNGQYRDDIRRFIKGDPGMKGVFATRVSGSADLYQVNNRKPYHGVNFIIAHDGFTLCDLVSYNSKHNDANGESGRDGCNDNYSWNCGVEGETDDLNVLSLRSRQMKNFHVALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTHINNFQWGQLEERKDGHFRFFSEMIKFRHNHPILRRDRFLSKNDVTWHEDCWENQESKFLAFTIHDHNSGGDIYLAFNAHEYFVDAVIPPPPHHKSWSRVVDTNLESPNDIIPEGVPLTSSRYRIAPYSSILLKAKP >KQL25810 pep chromosome:Setaria_italica_v2.0:II:40669979:40679418:1 gene:SETIT_029133mg transcript:KQL25810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNLSARDPSALGLDTYCKQYYLCLAAASATIRWIESERGVFITNHSLSVTFNGSFDHMNIDSTSVQTLEIIDPLHTELWGTSNKKKSLFQMLKTTKTTGGARLLRANLLQPLKDIQTINARLDCLDELVTNEELFFGLTQGLRKFPKESDKVLCHFCFKPKPVTDEVLKPANGRKSQMLISDIIILKTALDAIPFFSKVLKGAKSFLLRNIYQTVCENPKYENMRKRIGDIIDEDVVHSRAPFVACTQQCFAIKAGVDGLLDVARRSFCDTSEAIHNLANKYREEYNMPTLKIPYNIRQGFYFIIPQKDITDRLPNKFIQVVRHGKNVHCSSLELASLNVRNKSAAAECFFRTELCLEGLIDKIREDIGILTLLAEVLCLLDMIVNSFAHTISTKPVDRYTRPEFTDDGPMAINAGRHPILETLHTDFVPNNTFLSEASNMVLVMGPNMSGKSTYLHQICLIVILAQIGCYVPAQFASLRVVDRIFTRIGNGDNVENNSSTFMTEMKETAFIMQNASSKATSSADGLAIAWSCCEHLLSVKAYTVFATHMESLSELATMYPNVNILHFEVDLRNDRLDFKFRLKDGVREVPHYGLLLARVAGLPASVIDTATSITSQITEQEMTRMDANCEEFQSLQMAYQVAQRLVCLKYSNQGDDYIREALRNLKEGYTAGRLT >KQL22327 pep chromosome:Setaria_italica_v2.0:II:1763485:1766055:-1 gene:SETIT_030685mg transcript:KQL22327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEIGLVLARASDLRSRISACAAAARPTEGAVKRLGAAGGGDGGEDEDEQGEVESLVGIGDALESLERQLASLQDLQHQQRYERETILSQIDRSRRSLLCKLKEYKGQDCEVIHEAAAFAGEKIENDDGLILPPYSNHVTNSFVLDDLYPLNYVSKPKKSLHNGLGSDGATQDGTRTNGTENRNTSTPSCGLRGGITSFIGWMAKTAVMVVGAVSIMKAAGYEPVIGTNSIKLDIAGLFGKAGATANEEERRCPPGKVMVLEGGRAHCVVKERVEVPFDTNLAAPDASYGLG >KQL23376 pep chromosome:Setaria_italica_v2.0:II:11643844:11645998:-1 gene:SETIT_032569mg transcript:KQL23376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTKPYVVAIIIQVIYTGMFVILKAAFNQGFSTYVFNFYCQAAASVLLLPIAFLRERNTFCINLINVALRLTSATVQSAIGNSKPVITFCFALLLRMESVKLSNPYSIAKVTGVVICLAGVFVIAFYAGPALSPVNHHRAFHASQTSSNPMRRVTWIKGTFLKLLGDIIWCLWIIAQAALLKEFPNKMLVTVTQCVFSTVQSLVVAAVAERDSSRWKLGLDVSLLAIIYTGFIVTGVCNYLQVWCLEMKGPVFVNTWFPLCFVLTMFCSSFFLGEIVHLGSILGGILLIGGLYSVLWAKSKETVIEPCGDADMTFSAQDEIEERKSEENMKGREQHKEVSAYAV >KQL23196 pep chromosome:Setaria_italica_v2.0:II:9326902:9328999:-1 gene:SETIT_032423mg transcript:KQL23196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELLRILRSLKSPRHLLQTHAQLLARGLAASPRLLPALVSAALSAAPSSSSPRQAAATAAAILRAAGAAASTVAHNTLIERLAGRGGGGGRGCSPEDALAAYTAMRSAGVAPNGFTFTFLLRACKSLRRMPPCRCVHGQIVRCGFGPDVVVQNALLNLYYKCGDPGEVGAVRKVFDGMPERDVVSWNSIVGVHMASGDAAGAMDLFEAMPERNVVSWNTVVAGFTRVGDMVSARSVFDRMPIRDAISWNLMISGYATRGDVEAARSLFDEMDRKDVVSWTAMVSAYAKTGDIDSAKVLFDRMPVKNLVSWNAMITAYNHNSRYDEALRTFQQMMIEGGFMPDEATLVSVVSACAQLGSTEYCNWVSSYISKYNTHITVALGNALIDMFAKCGDVGRARSIFDNMKTRCIITWTTMISGFAYNGRFREALLIYNEMCRQGVELDDTVFIAALAACAHGGLLQEGWSIFKQMVEHYGIVPRMEHYGCIVDLLGRAELTDYVSTKIVEIEPFNSSYQVLVSNCSALEGKWGSVMDARKTMRDWGVKKTPGSSLIQVGSEVHEFLAKDTRHQKRNEIYEALDVEDKS >KQL22599 pep chromosome:Setaria_italica_v2.0:II:3774294:3775195:1 gene:SETIT_032962mg transcript:KQL22599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHMPKVQFSGSLNESLLMPHSTCNTNLTPTPLSKPAPEIGSDVVAVTNPATPKEEDETWNKWQLMYIFVLTTTVGLLFLMYQLLPPVYGRFILLVVAAVWGVGIVGLPCGLYGTSRCEKECSRHVGWLISTLFALFMIYCFYLVALRVNGSSAPAPSSSRLELG >KQL23255 pep chromosome:Setaria_italica_v2.0:II:10248316:10249981:-1 gene:SETIT_031845mg transcript:KQL23255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVQLLFLRSTLGLVSRGRPTLKLVRAQALTQILTPAEDHRYSPCMFIYFL >KQL22634 pep chromosome:Setaria_italica_v2.0:II:4098786:4102480:1 gene:SETIT_032633mg transcript:KQL22634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEVLEQIGKGSFGSALLVRHKVEKKRYVLKKIRLARQTDRCRRSAHQEMELIAKVRNPYIVEYKEAWVEKGCYVCIVIGYCEGGDMSEAIKRAKSNHFSEECSNIFLTKEQNIRLGDFGLAKVLTSDDLACSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAALKPAFKAFDMQALINKINKSVVAPLPTMYSGAFRGLVKSMLRKSPDHRPSASELLKHPHLQHYVFKLQLKSTLPHNLFSAKLPTKHNTNKTALSDTEDNSKLKYSKSHSFKLARAVKLDQSTDAHDPPSSTRTGKDCPELLSEQMEGLSIQVTKNVVDEVKHEKYSKATRPPAPTPRRSSSTPRRRLQPSKTFHARTAHKEQPPQSGSSTDQMGQATRRESLPLRMIKTPEKRQATNILTRLKSPDVSVNSPRIDRIAEFPLASSENPLHHITKLALPSIIDQSITKDKCTFQVLRSDSENYSDSPDIDLLGADNSPRSSSDWRQRRFDTRSYQQRAEALEGLLEFSAQLLQQERFEELGILLKPFGPGKASPRETAIWLSRSLKEVGL >KQL23050 pep chromosome:Setaria_italica_v2.0:II:8046825:8050953:-1 gene:SETIT_029916mg transcript:KQL23050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATTTFLPPIPTPRPRLAAAVRRPPPIFTGAADAVPMPDDEDSSDDDDGDAAAPRRSARKDRRRAVRIAWEKLVRWSRFWRRRNRSDVLESTRKLVVLGGGSFGTAMAAHVAAKKADLEVSMLVRDDFVCRSINHSHINCKYLPEHRLPENIVATTSAADALAGADFCFHAVPVQFSSSFLESISTHVDPKLPFISLSKGLELNTLRTMSKIIPRALGNRRQPFIVLSGPSFAVELMNKLPTAMVVASKDKKLASSVQQLLASPNLRISTSSDVTGVEIAGALKNVLAIAAGIVEGMNLGNNCMAALVAQGCSEIRWLATKMGAKPITLSGLSGSGDIMLTCFVNLSRNRNVGLRLGSGEKLDQIMSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVMELMNLPQVEEV >KQL25679 pep chromosome:Setaria_italica_v2.0:II:39790646:39796150:1 gene:SETIT_029078mg transcript:KQL25679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSRVQDEVEMQRRPNNRIFPDERQNQSKPLYQAARADRFGANRIDVKNPEKLKMLNEGSKPWHQRILDPGSNIVLSWNRVFLVACLFALFIDPFFYYLPLVREHGNGSSCVAKDQGLSIRITVLRSLADLFYMLNIAIKFHTAYVDPKSRVLGKGELVVDIKKIQQRYIRTDFFVDILAAVPLPQVTVWLIMPAIKNSDYNVRNTAFALIIVIQYVIRMYLIVPLSNQIIKAVGVVAKSAWGGAAYNLLLYMLASHITGAIYYLLSIERQITCWDQQCLAESNVTSCNLRFISCESDGSNSYSEWQKKTQIFVNCNANDNSIQFKYGMFSSALSKGAVSASFLEKYFFCLWWGLLQLSSSGNPLQTSAFIVENAFAIAIGAISLILFAQLIGNMQTYLQSISKRLEEWRLRQRDMDEWMRHHQLPAHLQERVRRFVQVKWLATRGVEEESILQALPADIRRDVQRHLCLDLVRRVPFFSEMDDQLLDAICERLVSFLCPENTYISREGDPVNEMLFIIRGKLESSTTNGGRSNFFNSIILRPGDFAGEELLTWALLPKTNVHFPLSTRTVRSLTEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRQHQRRKLAESLSRLESYSWWSEDHLAADKPRQEGTSSGGRTIAEGAIAHMHKLASASRRFRAKDTAIRRLQKPDEPDFSEDHFD >KQL23224 pep chromosome:Setaria_italica_v2.0:II:9871481:9872053:-1 gene:SETIT_031289mg transcript:KQL23224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVSPSKLAWIPLAFAVAAAAAVAPCAAQNTAQDFVNLHNSPRADVGVVIVTWNATVAAYAQNYATQRAAGDCRLVHSGGPYGENLFWGSAGYAWAASDAVGSWVAEKQYYNHATNTCSAPPGKSCGHYTQVVWRASTALGCARAVCSNNAGVFIVCNYSPRGNIVGQSPY >KQL22707 pep chromosome:Setaria_italica_v2.0:II:4697361:4700798:1 gene:SETIT_032206mg transcript:KQL22707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGPLLSMVKEKASSYLLDQYQVMEGMEEQHEVLKRKLLAILDVITDAEEQAAKHREGAKAWLEAVRKVAYKANDVLDEFKYEALRRKAKAEGHYKALGMDVIKLFPSHNRVVFRYKMAKRLCMILREIDVLVAEMNAFRFKFKPQPQMPMQWRQTDACIPTESVGIASKSRAQETKVLVDRLLAGASSKDLMVLPIVGMGGLGKTTLAQLVYNDPEIQKHFELRLWVCVSNDFDVDSLANRIVKENGCQASGSSRALHNLKKAVSWKRYLLVLDDVWNRDEPSKWERLKSYLLHGGCGSSVLTTTRDEAVAKLMMGTTEGAYKLGSLDEESIGKIIKARAFGSKQEKEWPGELVDMVGEVAQRCAGSPLAATALGSLLGTKTNKKEWEDVLNGRTICDEENGILPVLELSYHCLPSYMRQCFAFCAMFPKDYEIDVQMLIHLWVANGFIPEQSGVCPETIGENTFNELKSRSFFQVLKNVPFEKIYSYEEGYKDMSCSRITCKIHHLMHDVAQSVVGKECAAIATHQSERADVLRSARHLYLSIRRPETLLNASQEKGSPAFQTLICDGYVQGDLKILSKYNSIRALKIDCGSILRPKYLHHLRYLDLSRSGIRALPKDISILYYLQTLNLSYCEWLERLPKQLKYLTGLRHLYTHGCWELKSMPPELGRLTSLQALTYFVAGADDSGCSNLGELQNLDLGGTLKLSKLENVTGADAQAAGLGNKKKLTELELFWTDSDQEAQNNNHKEVVEGLKPHDELKALRINHCRSRTFPTWMNTLKGMVNLKLFHCKKLEELPALWELPALQILSLEGLESLHCLCSGGTTPVRFPKLKVLTLLDMAKFEAWWETYGVQGEEPIFPEVEKLVIGRCKSLTALPKAASVITELSGRVDTKCRSAFPALRKMTLGELTVFERWEAAEGTPGEEVTFPLLEYVEIYGCPKLTDLPEAPKLSELSITKGLGQQQQQISLEAASRCIPSLSRLDLEVSPDDTETTLLHVKKKLNRTLALPHMRLGWCDVFFSSRSSALALWTCFAHLVDLEICDCDALVYWPENVFQVLVCLRNLVISRCSKLTGRTQASDEQSAPAPERGGLLPCLESLKIEECESLASLHMWNCSGLSEVANLPPSIKILYISNCGKLQSLS >KQL22409 pep chromosome:Setaria_italica_v2.0:II:2389781:2390973:-1 gene:SETIT_032465mg transcript:KQL22409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDYAEGGGAKNGIGTLGMDGIGGSVTLGTTGIGGKVTLGTVGIGGSVTFGAGTVGTAGMGGKVAAGTAGTAGIGGTVAAGIAGTAPTAGTAGIGGRATAGTVGIGGRATAGTVGTVGTGGFGTAGIPGTMAAGAAAGAVSSSARRRAAWLVPLSMRSAMTSAVAKRPEAEAMGGLF >KQL24458 pep chromosome:Setaria_italica_v2.0:II:30324290:30325694:-1 gene:SETIT_030629mg transcript:KQL24458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDGRRRRSSLRPQRDVASHLPRREASRKTPGAPPRTHATVPPVPHTTTDAPAPRTSIPSHHRTTASAWLLPRSCPRFANHLSSLHTRASLLSPAAFAFSSRRTGAKDPKHQGDRDQVKRGSRQRRCCNGDGLRVRAQHVLLPQHLPVPGAGRADTLRPRRHRLPHRRQKSYSASSSRRHEAAPAPAAIAAPSPTTKPAAASAIDMDVVLGVMGAGGAAVSVGFEEAAALFEEEAATVEEARAAFAVFDRDGDGFIDAAELRSVLGSLGFRAAAECQRMIDAYDEDKDGRIDFHEFVKLMETSS >KQL23770 pep chromosome:Setaria_italica_v2.0:II:18351763:18352868:1 gene:SETIT_032788mg transcript:KQL23770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPRRDDGLLRLHTIKRNWKAATQASSWSSNGHNKIQNSCPAKDKLCVTCSEKGHNSHDCPMKDREEKLICTICNKVGHYYLRCCRLNVSENHACHRCGEKGHYASKGPMHCGDKFIYTGLSCSSCDTNHLLGRCPMSNITCFLSEGKDCVPAQCHLSPILTAVNQHCRESFRGTLKEGRTVTRLDPPSGIELYDDSRECQLNGNNEMAPKVSSCSLGEPVNRDGGSPIKTHVLAADKNNPATTTDEPLTP >KQL23046 pep chromosome:Setaria_italica_v2.0:II:8023192:8027489:1 gene:SETIT_032846mg transcript:KQL23046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYSQGFSPARTLSPQIRSNPDADSQYLAELLAEHQKLGPFMQVLPICSRLLNQEIMRVSSMVHDHGFSDFDRRRFRSPSPMSSPIVRPNLHGNGFGPWNGMPQERLGFPPPGTSMDWQGAPPSPGSYIVKKIIRLEVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDTEKEEKLKGKPGYEHLNEPLHILIEAELPANIIDTRLRQAQEVMEELLKPV >KQL22977 pep chromosome:Setaria_italica_v2.0:II:7008480:7011255:1 gene:SETIT_033727mg transcript:KQL22977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSRSKAKLDWISKTWRPSSPGLVAVERCWRA >KQL26627 pep chromosome:Setaria_italica_v2.0:II:45468191:45471564:1 gene:SETIT_031021mg transcript:KQL26627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSFANVNSDAGLKKLDEYLLTRSYITGYQASKDDLAVYSSFSAAPSSKYINVARWFSHIDALVRLCGITAEGQGVKVESSAVPSASTPDVADAAAPADDDDDDDVDLFGEETEEEKKAAEERAAAVKASGKKKESGKSSVLLDVKPWDDETDMAKLEEAVRNVKMEGLLWGASKLVPVGYGIKKLQIMLTIVDDLVSVDTLIEDYLCAEPVNEHVQSCDIVAFNKI >KQL26544 pep chromosome:Setaria_italica_v2.0:II:44989700:44993307:-1 gene:SETIT_031978mg transcript:KQL26544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAVVSAAEGAIHTLLGKLGAVVVQEAQLLGSVKVELQYLKDELESMTAFLQDLAERNEHRKQVKIWMKQVRELAYDVEDCIDEFKHHLGDSRDGRGSGPVFIHRITHILRTTRVRHRLAKQIQELKMRATNVTNRNSRYSGNHFIFGAAGNSMAYDTPTNLLTLDVRITALVPERKQLVGVEARQESLLRWLTDRHVQKRRVISIFGFGGLGKTTLAMTTYQSLSATSGSFQCQAFVTVSQRFDVKVLIRDILLQIIQPVHRQGHRASTEAGEASREGMLKGMETWDVGVIASMLRQQLENKRYLIVLDDIWSIAAWEAFRFSLPDSNNGSRVLVTTRIRAVAHSCCFHEYDRAYEIEPLTNYESRDLFFNRIFGSTVNCPENLREISEKILGKCGGSPLAIVSIAGLLTSKPVHSKDQWQKIYSSLGTELETSPSLERLKKILELSYNDLPYHLKTCFLYLSIYPEDHKIRRKSVLRRWVAERFVTERRGLSVFEVAESYFDEFINRSIIQPVEISFTGKVKTFRVHDVMLEIIVTKSIEENFITLVGEQHTLVPQEKIRRLSVHSGDVRDIGMSRMLSHVRSLSIFANGEILQFGCMKLIRILDLEGHESLTSRDLKNVCRLFQLEYLSLRGTRVMELPTKIGKLKKLETLDIRGTAIKRLPPGITNLLHLENLLGGKRHYHHNGSWPISEFWGIHIPKKLGNMDALKTLAQVEFTESTSHCINELGKLSRLKKLGVMMFVDDDNSWASLISALENLSGNLCSLLLWRPDGAMNFDSLDALSRPPMFMKSINFRGQLRKLPKWIPLLSNLTDLTLRATELSAKEDLKVLARLPSLLYLRLHHSSYVENKFVVAASEFPCLKLLVIHLALPEAWKARFHEGALPRLEKLELSLFEGTYIQEIAGIEFLLNLKEVLIRACPSNATKGIVQSLKVYASNNFDKPTIIFKEKQWELTESRTDPPLDHRGNPFGPQF >KQL23883 pep chromosome:Setaria_italica_v2.0:II:22262207:22264272:1 gene:SETIT_032305mg transcript:KQL23883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLAISLLVRGGAADRVPAVIVHSLGGNYTPYGRDFAGGVANDRFSNGRLTMDYVSQALGLPPVVPAYLDPSHSMHRLGSGVSFTSAGSGLDDITAQIFSAVTLTQQIEHFKVYKEKLARTKGDAATSYVIARSLYLLSVGASDLLANYLLFPIRCYRFTLLEYEEYLIGTTEAVVRAIYSLGARRVRLPRLPPLGCLPLQRTINHARPRDYNKWHNMVARSFNRMLRAMVRRLNRDLPEVQVVYVDQYRLVGDMIAKPWVYGFENSVRGCCGTGYVETGVLCNLDNALTCENADKYMFFDALHPSERAYKIIANAIVHSASHGLK >KQL24854 pep chromosome:Setaria_italica_v2.0:II:33898481:33898930:-1 gene:SETIT_032118mg transcript:KQL24854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDYLIAVNPALWDIVEVGITFPCGDATLTQDQGIDIQRNYQALRLIKSSLCAEEFDKIDGLQSAKEVWDTLFINHQGRRRVREGRIRALESELNRFIIRENETPQDMYNRLNKIINKIKSLGSDKWGRREVVDKILLAYMARDVQLPI >KQL22378 pep chromosome:Setaria_italica_v2.0:II:2127350:2131421:-1 gene:SETIT_029675mg transcript:KQL22378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEKHEQAAGKVSAFNLAEAGYGDRPDLDDDGRERRTGTLVTASAHIITAVIGSGVLSLAWAIAQLGWVIGPVVLVAFSVITWFCSSLLADCYRAPDPVHGKRNYTYGQAVRAYLGVSKYRLCSLAQYINLVGVTIGYTITTAISMGAIKRSNCFHRNGHSADCEASNTTNMIIFAGIQILLSQLPNFHKLWWLSIVAAVMSLAYSSIGLGLSIAKIAGGVHAKTTLTGATVGVDVSATEKIWKTFQSLGDIAFAYSYSNVLIEIQDTLRSSPPENVVMKKASFIGVSTTTMFYMLCGVLGYAAFGNQAPGNFLTGFGFYDPFWLIDVGNVCIAIHLIGAYQVFCQPIFAFVEAWARDRWPDSGFLNAERVVRVPLAGDFPLSPFRLVWRTAYVVITALVAMIFPFFNDFLGLIGAVSFWPLTVYFPVQMYMAQAKTRRFSPTWTWMNVLSFSCLVVSLLAAAGSVQGLITDLKGYKPFKVS >KQL25486 pep chromosome:Setaria_italica_v2.0:II:38534313:38537418:1 gene:SETIT_030916mg transcript:KQL25486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTDKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEVAVMTKKDGLRELEEAEVDEYVAEIEAEKAAAEAAKKGAPKDT >KQL22605 pep chromosome:Setaria_italica_v2.0:II:3822379:3824982:-1 gene:SETIT_028899mg transcript:KQL22605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISTSSPSSPHPLHLPSPPPPFLPFPPAPSPPTPSHGPPPRLPVRAHAADPRAAHAVAVKSGALGTSTSPDARAANAVMCAYLRAGRLADARGVFDRMPARDAASYSALISGHARLGSPASAAAALLARMRLADALDPTEYTFVGLLTACARRGNPRLGAQVHALAAKSGHCSCSGPGSLLVDNALLGMYVKCGRLGDALRAFDGMERRDVSSWNAVLAGLVELGRHEEAFGLFGEMRASGVRADSFSLSALLAAAGEGFGLPEGEAVHALSLKSGLETDLSVGNALIGFYAEHGSSVEDVVSVFQGMPVKDVISWTGLLNGYMEFGLVDMALDVFDRMPERNFVTYNAVLTGFCQNKEGVRVTFAKKAGLRGLGLFRQMVEDGLEVSDVTVTSALNACAIAADRKVSEQVHAFVIKCGCGSTPWIDAALIDMCIKCGRSGDAHLLFEQWRHQESFHIAWNSLLLASVRDGEYEKALSTFLQMFRSSGVGFIDEFMLTAALGVCGALGFAELGKQMHSFAAKSGLLYACGVGNAIISMYGKCGELDDAVNFFERMTCRDLVSWNAMITAHLLHRQGEEILDIWAQMERLGIRPDSITFLLVMSACSHTSSDSTDQCRELFLSMSSSYGIEPAMEHYAAFVNVLGCWGHFDEAEQLIGSMPFKPGALVWRSLLDSCSKRSNMTVRRRAMKHLLALEPQDPSTYVLTSNLFSESARWHSSETTRLEMREKGMRKIPARSWTFNDNTVHSFFARDRSHPQSKDIYAGLDVLILECIKAGYEPDTTFVLHDVEEYQKRHFLMYHSAKLAAMYGILTAGTGRAVRVVKNIRMCGDCHSFLEHASAATGKVISVRDSSGFHIFRGGKCTCRE >KQL26539 pep chromosome:Setaria_italica_v2.0:II:44968490:44968917:1 gene:SETIT_031766mg transcript:KQL26539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHMGYCRRKRFGMHVCEGMWAQSVQCAVCRGVVHLPTRTLDKSSCSAFRSLSLSLSLLCCFSKLAAR >KQL27021 pep chromosome:Setaria_italica_v2.0:II:47446961:47448257:-1 gene:SETIT_031107mg transcript:KQL27021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCSGVAAGVGGGSCGKKPFQLARSLTYHHHQGHRPAGASAAKWRRSQLADEPRAQRPQAVVLYTTSLRGVRRTFTDCSAVRAILRGFRVAVDERDVSMDAAFRRDLQALLAVRGRAFSLPQLLIGGRLVGGADEVRQLHETGQLRRLLEGAAGQDPAYVCDACGGVRFVPCIGCGGSRKVFVEEEDRVVRCGECNENGLVRCANCCS >KQL25003 pep chromosome:Setaria_italica_v2.0:II:35229520:35232612:1 gene:SETIT_029722mg transcript:KQL25003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVARRAALLRGSCLAVVLALAALASAGESSGAPDWHVVSVGSLLPSSICAAATAASNSSALSVVHRHGPCSPLLARVGGAPSHAEILDRDQDRVDSIHHRHRGSGAAPAINPARASKGVSLPAHRGLSLGTGNYIVSVGLGTPRKDFSVVFDTGSDLSWVQCKPCKDCYEQQDPLFDPAQSSTYAAVPCGDPHCRGLDSSTCASGNRCRYEVVYGDQSQTDGNLVRDTLVLGPSDALQGFVFGCGDDDSGLFGKADGLVGLGRAKVSLTSQAAAKYGAGFSYCLPSSSSSAGYLSLGGAAPSNAQFTAMVARSDTPSFYYLDLVAIKVAGRTVSIPAAVLKAPGTVIDSGTVITRLPDTAYRALRSSFAGFMRRYKRAPALSLLDTCYDFTGHRTVQIPSVALVFSGGVTVSLDFSGVLYVSKVSQACLAFASNGDDTSIGILGNTQQKTFAVVYDVANQKIGFGAKGCT >KQL25002 pep chromosome:Setaria_italica_v2.0:II:35229520:35232612:1 gene:SETIT_029722mg transcript:KQL25002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVARRAALLRGSCLAVVLALAALASAGESSGAPDWHVVSVGSLLPSSICAAATASNSSALSVVHRHGPCSPLLARVGGAPSHAEILDRDQDRVDSIHHRHRGSGAAPAINPARASKGVSLPAHRGLSLGTGNYIVSVGLGTPRKDFSVVFDTGSDLSWVQCKPCKDCYEQQDPLFDPAQSSTYAAVPCGDPHCRGLDSSTCASGNRCRYEVVYGDQSQTDGNLVRDTLVLGPSDALQGFVFGCGDDDSGLFGKADGLVGLGRAKVSLTSQAAAKYGAGFSYCLPSSSSSAGYLSLGGAAPSNAQFTAMVARSDTPSFYYLDLVAIKVAGRTVSIPAAVLKAPGTVIDSGTVITRLPDTAYRALRSSFAGFMRRYKRAPALSLLDTCYDFTGHRTVQIPSVALVFSGGVTVSLDFSGVLYVSKVSQACLAFASNGDDTSIGILGNTQQKTFAVVYDVANQKIGFGAKGCT >KQL22577 pep chromosome:Setaria_italica_v2.0:II:3644125:3646700:-1 gene:SETIT_031330mg transcript:KQL22577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQESWKQESEETGVHAPEAPILCINNCGFFGSSMTNNMCSKCYRDFIKLMEAPVVEKKVITAASSSAVPLETAKHDDAHAAAVTEAVAEKQAEQEPPKPPSNRCLTCRKKVGLTGFQCRCGGTFCSTHRYTDSHQCTFDYKKVAREQIAKQNPVVMAEKINKI >KQL22576 pep chromosome:Setaria_italica_v2.0:II:3644125:3646255:-1 gene:SETIT_031330mg transcript:KQL22576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQESWKQESEETGVHAPEAPILCINNCGFFGSSMTNNMCSKCYRDFIKLMEAPVVEKKVITAASSSAVPLETAKHDDAHAAAVTEAVAEKQAEQEPPKPPSNRCLTCRKKVGLTGFQCRCGGTFCSTHRYTDSHQCTFDYKKVAREQIAKQNPVVMAEKINKI >KQL26546 pep chromosome:Setaria_italica_v2.0:II:44997506:44998228:-1 gene:SETIT_032203mg transcript:KQL26546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSSSSTTMSSLLLMALLLSCSGMSGAARLLEEAPPKEEYPHPAVPELPKPQLPPHPTVPELPKPEMPKPEAPHPVPELPKPELPPHPTEPELPKPEEPHPVPELPKPELPPHPTEPEVPHPVPEIPKPELPPHPTEPELPKHEEPHPVAPEQPKPELPPHPTVPEHPTVPELPKPELPPHPTVPELPKPELPPHPTVPELPHPEVPEEPKHELPPKPEEHYPEPAEAKP >KQL22135 pep chromosome:Setaria_italica_v2.0:II:116743:124427:-1 gene:SETIT_030802mg transcript:KQL22135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVQLKRIENKINRQVTFSKRRNGLLKKAHEISVLCDAEVAVIVFSPKGKLYEYATDSRMDKILERYERYSYAEKALISAESESEGNWCHEYRKLKAKIETIQKCHKHLMGEDLESLNLKELQQLEQQLESSLKHIRSRKSHLMVESISELQKKERSLQEENKALQKELAERQKAAASRQQQQVQWDQQTQQAQAQTSSSSSSFLMRQDPQALPPPQNIRFPPVTIGERGEEAAAAAQQQQQQPGQAQPQLRIGGLPPWMISHLNA >KQL22624 pep chromosome:Setaria_italica_v2.0:II:3949919:3951034:1 gene:SETIT_031116mg transcript:KQL22624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRALRLLAMAMAVVALASPAPVSGQVVAGPLQCTASLISSFTPCLNFIINSTASPTADCCRSLGALMKASTGCACLILTGSVPLGVPVNRTMAVTLPRACNNASVPLQCRDATTSAQSPAPGPVADAPAPFLAPLPPVTPAPVATPEPDTPAPAAPVVEPTATAPVSQGQTRPTVVPSAAWRASADVSMGPAIVLLLAVAGAAMV >KQL23818 pep chromosome:Setaria_italica_v2.0:II:20446463:20447533:-1 gene:SETIT_032946mg transcript:KQL23818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRASVAARRLTRRFLSSFFSSPSAGSASASRTLLGHFHHPTSTARPVLVRSASAPVFQPLSAGSPRLSLDFLSADAATSEFSLFDSHVGLLLLLKATDAGPRFLVCDPVSRRHALLPSLPAAAFSDGEFLSAALLSRAAGSGLEFRAVCLTVQADRLRLWLAWSGDGEVSWLGLPPSRDFRVKWPLSWSEKRCVRAAGSLYWHISNNDSVLALDPNTLRLSYLRAPAVIWDDLGFPNYRIGETPGDGRLCFAALDSQVLRLCARGAGGDDGWVLEREVSLVEEFDALTNLPMACPWLGNIDPGRTGKVFIRTFGHGHFSYDMDTGKLDRLTTDDGQEYGHPIFAYFSAPDGGSS >KQL24578 pep chromosome:Setaria_italica_v2.0:II:31439391:31444872:1 gene:SETIT_028880mg transcript:KQL24578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELALEDFYADARYASYSTRVRLHLRDTGPSAVDAASAGVDLLKNVRVQAIVGPQTSTQAKFLAELGNKSSVPIISFSADSPSWSPSQTPYFIRMAWNDSSQAEAIASLVQKFNWREVVPVIEDDGTNTRFIPDLVDALRHVGTRVSYRCKIHSSAGDDEIKGAISSLKGNWTSVFVVRMSYQLALKFFRFAKDEGMMGQGFVWITAYGLTDIFDVIGSPALDVMNGVVGVEPYVEDTERLQDFKQRWHRKYKRENPGTKLNGPILSGLYAYDTVWALALAAEKAGYVSSDIMVSETNNGSTDFDRLSTSKAAEKLHGALLKTSFIGMTGTFHIQDWKLLSTTYRIINAVDEDRKVVGFWTPGLNISGNLKKRVDLYNIIWPGGSDKAPRGWLLPANKTLKIGVPFKPGFSNFIQFANGKATGFCVRVFEEVIRALPYEVPIHYEEFGDGNGESNGTYDSLVYKVYLNEFDAVVGDITILANRSLYVDFTLPYTESGVRMLVPVRDQRQKTAWTFLKPLSAGLWLGAGAFFVFTGFIVWFMEHRTNHEFRGPPTSQIGSTFYFSFSTLVFAHRESIVNNLSRIAVVVWLFVVLILQQSYTASLSSILTVEQLQPTVANLDEVIRRGDYVGYLADSFMPGLLKRLKINESKLIPFNSPEEYNDALTTGRVAVIVDEIPYLKLFLSKYCHNYTMVGPTYKFDGFGYAFPQGSPLAPEISRGILELASNDTMAELEKELYRDTMCPDKLDSQTSSSLTLHSFLGLFMITGASSLLALVLHVGITLYNNRSHLISACSQGSWRALLAILFKIFHEHDNISNTPDKDETRIANIDPTVESPWGMSNHIIENFDSDTDSGSPAEGEGTPGREVSNQDPGPPSFAYMHSEGVME >KQL24204 pep chromosome:Setaria_italica_v2.0:II:27551606:27552882:1 gene:SETIT_031120mg transcript:KQL24204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYLLTTFTYFNEWMCIICWGDIDQTGPADCSTFVWGTKSIIATCHCTPVPAWPWLLVVSLCPCEARARSRKKGMASYRWPLRDARELRACLGDGHSLLRPKSKATLVARFCAGKARGCCSFWLNQVAAYTSFCFVARRVLGVNHGGEPNTPCSIIDSQGSEIRIPPNSTNEGSGCQFLGSNSELSFSLVIVMHAHVSEDIEVVHT >KQL26882 pep chromosome:Setaria_italica_v2.0:II:46748533:46749709:1 gene:SETIT_032112mg transcript:KQL26882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAVVALLVLLGSVVCQADYGNPGFGSGSGSGFHIPIQIPFPHRTPTPTPSPNAGGLAVGFYDSTCQNAEEIVRGVVENAVRQNPGIGAGLIRMLFHDCFVEGCDGSVLLDPTPANPQPEKLAVPPNFPSLRGFEVIDAAKAALEDACPGNVSCADILAFAARDASAVLSNGNINFTVPAGRRDGRVSNSSDALQFLPPPSFNLSELTASFAAKGLDVDDLVVLSGAHTVGRSHCSPSVSDGRLNASTSDMNPGLAAQLRRQCPANPNATNDPTVAQDVVTPVRLDNQYYRNVLNHSVLFTSDAVLLRSVQTTLAVVMNAFVPGMWEQKFSRAMVKMANIEVKTGANGEIRRNCRVVN >KQL23287 pep chromosome:Setaria_italica_v2.0:II:10492867:10494267:-1 gene:SETIT_031972mg transcript:KQL23287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHYGTYALGTKPEGGDGTAPKAAGEEVVIRAPVHCDGCGRKLRRSLERLDWVGEVSVDSNTNTVVMRGPKVVENPAETVKIVEKRTRRKAVLLSPAPEKLPPPAVKETKKDDGNKDMYELPEINMKMVVILRINLHCDACCEEIKRRILRIKGVEDAVPHLKSSQVMVKGVVEPATLVGFIRNCTGRKAAIFRAEPLDPLPAPKSPPPEAETKKDGPTDNTGEKKDAQENGKNEEPREEEEKGGGEPEEDKKRTGDDDRAAAEELHQEAHAGEGEKHAATGDGVVLEDQKKDDRLFAAPLPAGVFTVAPETMAISNVTPYHHYSYPSSYYAYAHPSYYYQCQYPQPYYPAYSYACGHGMYGYPPEAFTEENPNACAIV >KQL22317 pep chromosome:Setaria_italica_v2.0:II:1600766:1603057:-1 gene:SETIT_032775mg transcript:KQL22317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEQLWTMLLHDGCYLLCCMVDYKGGNDVNNDTSYGMPASSNNAVASTPAGNDQESGGNNVNSGTTPHGTPTSSNNTTALTPTGNDQETSGNNVNSGAPHGMPASSHNAAVSTPTGSDQENSGASDHGTPASSNNTTASTETGNDQETIENNVNNGTPHGTNNAIESSTQTPNRIITSYCGVHNNTVVRDTVFLADNQIPFFVLQKIHERVTGDTTTSSVLKPIAGYVQEVLQAQLYISEHRRPAPPLTPLPSHLLHLLHFYLQPTSPPPAMEENAARPRTSRWRRATEYCKYGNVRFKRRVFKDNEKWTFLDVRLQGGTLWVPRLRVDGMTWTVLRNLMALEELTPNKPVTAYCVFMSQVACKVEDVEFLQDQKILEQFLVNDEEVAQGFANLCKGVVLDIDNDDRNYLKPYWHELETLCNYPRNFMGSFYHKYCRDPVYLAAFIIAGFLFLFELAQVILPLPVHSRRHTWSPPFHAATPLLYWLIASYPAASPDAVRFPCHCRRSRADKMGKP >KQL22290 pep chromosome:Setaria_italica_v2.0:II:1393629:1395676:1 gene:SETIT_032630mg transcript:KQL22290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMVGSAIVQETVSRITSYLFSKCDHGRRTASTGHHIGRLEMAHTELELALERSARMPITDVSLLRRRKLLERAFKDCGDLLHSCSDVRRNEWFAACANRFLRDVESGCSPLRCVFSNPLEYKMAQGSILRAWCGGDDRKTPMRSFSLMLMLRLLESTDIVGTAIRCLQSFTSSMNDVAEAVMRELIQLPQQDISHSHAASCFTIKDLCSYDTNFWRPDPLCCKPDRCATSCIPSELSCKFPEQVILIRIECYVSAFECSSLHNTADATARNLVADLPPLKLGVGFAPHFFNERTQGRTAVEIIEGKEELINDMGSLQQMVETVRSNAIKHYICQPDLAYYKMAWYPGHGGACFMVQKSGTEIARAHKLHSSRHGPFRRK >KQL24291 pep chromosome:Setaria_italica_v2.0:II:28628211:28631351:1 gene:SETIT_030590mg transcript:KQL24291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVELFLGSTSAPVDWEAEAYPIYGDFAALPLLVAFFPAVRFLLDRLVFEVLARRLIFGKGYDKLAETDDSRKKINKFKESAWKFVYFLSGELLSLSVTYNEPWFKNTRYFWVGPGDQIWPDQKIKLKLKAVYMYAAGFYTYSIFALLFWETRRSDFGVSMSHHVATVVLIILSYIFRFARVGSVVLALHDASDIFLEIGKMAKYSSCEWLAVVAFLLFVASWILLRLIIFPFWILRSTSYEVLLTLDKEKHRFYGPIYYYVFNCLLFSLLVLHIYWWVLIWRMLVRQVQSKGRVGDDVRSDSEGEEEHED >KQL23810 pep chromosome:Setaria_italica_v2.0:II:20362930:20373500:-1 gene:SETIT_028754mg transcript:KQL23810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGFRGSCRVGAVLLFSAWVALAALSRLLRPVPNGCVMTYMYPTYIPIAGAPRNVSSDRYGLFLYHEGWKQIDFAKHIRGLRGVPVLFIPGNGGSYKQVRSLAAESFKAYQNGPLEPTFYREASSTFAGDEPKDFSIPSRYGRMLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYKESHLERTKGGAQSSHNLPSNVILVGHSMGGFVARAALVHPNLRKSAVETILTLSSPHQYPPIALQPSLGHFFSHVNEEWRKGYKTGVSHASSPKLSNVVVVSISGGIHDYQIRSRLASLDGIVPSTHGFMVGSSSMKNVWLSMEHQSILWCNQLAVQVAHTLLSIIDPVNGQPFLSTQKRVFVFTKMLQSAVPQSLSSMTHVPGSLSSNLPASDNWDAGEVQQKDSLSCPPSTQWTSDGLEKDLYIQSNSVTVLAMDGRRRWLDIKKLGSNGRGHFVFVTNLAPCSGVRIHLWPEKHHLPVENELPASKKILEVTSKMVQIPAGPAPKQVEPGSQTEQPSPSAFLLLSPEEMNGFSFMTISVAPRPTISGRPPPAASMAVGQFFNPEEGASALSIGRIIGSSFAPEEIFLSEDHPLALNLSFSASLGLLPVTLSLKTAGCGIKDAGDQMEAERNNLCKLRCFPPVALAWDSVSGLHIIPNIYSETVVVDSSPAFWDSPEGTDRTTVMILADPHCSYKVTARASLSAAASRFFLLYSSEILGFMVAIMFFGLMRQSSAWECDSSVPSILSAIESNLGLPKPFMFLCFMPILLFLAFLFFTTEQKPPFGTFLLVTVICYIVANGFTILLILSSKLFLYAVAILHVFIKRRWQSWGDGVQSAFLRQFFTFSFSLQSLKIVQMLKNNPNIIVAIATLPLVCLVHPAIGLGLLLLSHTFHAHSNLCSFLAASFRSITQKKDLYKSKMGDGPVLLSKSKSDGLQQLLPMGDSPTAPKSFTDSQLELFDCRHGIMILHLLTTLMFAPSLVAWLQRIGMGQNFPWFIDSAICVGVILHGLFGSQPNVSCCISFKLPGRRRREVGLSFLYLLAGYYSFVSSMALAPYRALYAMAIIGYICFASRVIERRNMVRGDISSRRSRKHSHRH >KQL22606 pep chromosome:Setaria_italica_v2.0:II:3834179:3837258:1 gene:SETIT_031474mg transcript:KQL22606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSYCESNCAPPLCYLPCLPKSKDDAGGDLASGSPSPAAVTEDKPPVVQKIEEAAAAPGGDDDDEKGCKEVAVASKSCLKRADCADSSKNVVKGNVKWKDLLGKDLTQVKEFEPSESGDSDDDEDTGACTCVIQ >KQL26069 pep chromosome:Setaria_italica_v2.0:II:42176434:42177701:1 gene:SETIT_030346mg transcript:KQL26069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASTLHKSSFGGARLPSAPRAPSSAAAVATPRAAGVPIRASISNPPYDLTSFKFSPIKESIVSREMTRRYMTDMITHADTDVVVVGAGSAGLSCAYELSKDPSVSVAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGVAYDEAEDYVVVSHAALFTSTVMSRLLARPNVKLFNAVAAEDLIVKQGRVAGVVTNWALVAMNHGTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLQDIGMISAVPGMKALDMNTAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTTQTASQAWREELVMASKDDEVVDA >KQL24383 pep chromosome:Setaria_italica_v2.0:II:29369551:29370025:-1 gene:SETIT_033720mg transcript:KQL24383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVLFLISKKFCTLYLLVCDKYATVVQYYGTILLWCRD >KQL24212 pep chromosome:Setaria_italica_v2.0:II:27644066:27646749:-1 gene:SETIT_031110mg transcript:KQL24212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLAASVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHEMYVKVKGNSFKNKRVLMESIHKSKAEKAREKTLSDQFEARRAKSKASRDRKLARREERLAQGPREPAAPSAAAPAPSAVAPKKAKK >KQL24625 pep chromosome:Setaria_italica_v2.0:II:31817562:31818984:-1 gene:SETIT_031255mg transcript:KQL24625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDGATKAATGMKQIARLRELLHKWQTMALGANKQQREDEVPDVDEEMVASAIPPFVLRRLRRADTADSVLSDDESCHSPEPPPDVPRGYCPVYVGPEQRRFVIPTTYLAHPVFRLLLEKAEEEFGFRHEGALAIPCETEAFKYILQCVERHDKGLAADEASHPGMALEQEPAMHHA >KQL23628 pep chromosome:Setaria_italica_v2.0:II:15537132:15537673:1 gene:SETIT_031583mg transcript:KQL23628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWSSHCPPPPYLASTKHKPPCRRQLGGRRERRRCWPPSSLPSAPRKTGSRRRGGPPSTAPRPSPTTSLRRRRRRRPPPSSCSPTWTLRRQSTPPRPHLIYGFLWTT >KQL26812 pep chromosome:Setaria_italica_v2.0:II:46436782:46441019:-1 gene:SETIT_029601mg transcript:KQL26812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSHSLTSSSDNETRALNTLLDVFGCAFSLDDIADAYVKSMGDVNKAGDILTNLQLSLPHNNDFEPSVKTNLSQTDKAVEENYMKNSSQPRTLSQIEQAVEAKHMENLAQTRMPEKLQKSSAAFGTVSSMLGKESSRATTAVNGASKKDKPLKVELPEYMRDDFKVKADDSNSAPRRETLNNSDVEEFLFCMLGEGFKLSMEVIRDVLGSCGYDIKKSMEELISSSEKHLDKKVENKHNAIQDVAVECSVSKRRCLGSQSTHSSYLLGMQRSKPQISPGELIEAIFTVPGRLEEEPKLKRNELGTNRRRVSYQKPISKPLEDLSTYSTDFPMKVIVEPAVNEEDYQNYRRAAKQHWDMMKQYYEKAADAFREGNQKEVDYLIQEGKRCYQMARLADEKSAGEIIMSKKIESRNEFCLDLRTQDPANVSNLLRLHLKQLANIPSFDYLKVIIGVDDGSFKMGQRRRKVMKYLEKNSIQWTEEEPHSGNILVGINQLAN >KQL24261 pep chromosome:Setaria_italica_v2.0:II:28208984:28210247:1 gene:SETIT_030262mg transcript:KQL24261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSSSPKPPPPQHLRLHVDTATAATTTNGSAASPGSASSSHSSRSPRPSASAAAAAGPGQNQACAACKYQRRKCNPNCPLAPHFPADQQRRFLNAHRLFGVSKIQKTLRRIDPERSADAIKTLIFQSDARAADPVGGCVTIIENLRRQIDQTELELAYVRQQIAIYRRAAAAGGADPAMILPAAASAATAVAGQDNAGVAVGALYAGQGPVPAGAGVIFQDQQGYHVLKVDDQNGHPPPQQLYNYFCYGMAGDEATSPDGSVQQQYGFADAAGVKMGSPAGLGEQLEQQCRLEAVPFVDAFDVKPQAMPATIEHHGPAGVVRREDKLAAAVLKHDVDQHMEEPAETAAGAPCELELGFSSF >KQL22163 pep chromosome:Setaria_italica_v2.0:II:309284:310952:-1 gene:SETIT_033408mg transcript:KQL22163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPATMTGYHYLVECTVDPISTYIGKYETRFRIRGPSDPIIIDLDKVWRNDDLSPDLKDACLSFSLFHLLRRRFFGFECGESSQPKTRDLVFKGLLAKKKKENDDGGASAAIDYDWIFKVIEIELAFMYDFFFTKYAAIYYGNLCTQYVLPLVSATLTVVTAFLTARGLLRPPSEGMEGSVIVDTTAADVYLTVAILVCISFLQVVQVMYYPTTIWGRRRCMGLKEILVTIGMWVSTCRWSVHEQKLEQYSLLESVSNFSSQSKLTKFMVKPWNVFLKGLFSNPFKLNPFTERMVRRPAVPRHVWSVVWKPGEPAELLAAVKKALVQSLERTHRHGNKLTNGALSLSSSGAYELLWACSLELRQGLELGSVSLQQKENQVCVILTWHIATCYCDKELSASGTNEHYEVATRLSKYCAYLVAFAPKLLPGHHYDTWLAFTVVAQEALRDAGDEETIYRKGLKLGEQLKNVHADRCWEVLAGFWAEMLLYLAPSDNVKEHVECLAKGGEFITHLWALLTHAGILDRGQSDIADIENNGGNQPASRPT >KQL24614 pep chromosome:Setaria_italica_v2.0:II:31779569:31788526:1 gene:SETIT_033330mg transcript:KQL24614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSRPPPPPYLPRGGAAPTPTAPFVLVLQRRQRRRRHKHRPHSIVPRASISDLLASLPSSLALVGPAALAAAAALATSFSAWSSSSSRNSLPPPSQDPEDYRACGDVAGEWILFTSPTPFNRCVLLRCPSVSFEDGGLLLDGVNERLLTEERHYVNLSRGRIPAARGGDGACDISYQRICVALEDGGVIALDWPDNLDLDKEHGLDSTVLVVPGTPEGSMERSIKVFVVDALKNGYFPIVMNPRGCGGSPLTTARLFTAADSDDICTAVRFINSKRPWTTLMGVGWGYGANMLTKYLVEVGESTPLTAAVCIDNPFDLQEATRSFPHHIALDQKLTAGLVDILRANKELFQGKAKDFDVQKALSARSLRDFDGAISMVSHGFYTLDDFYSENSTRLSIARVKIPLLFIQSDDGTVPLLSVPRSSISENPFTSLLLCSCAHSSIFTFQRYTVFWCQNLALEWLSAVEFALLKGRHPLIKDVDITINPSKGLAFVEPQVNERKAQKGSSFRPPSELILYNNVPHGINGLLIDSAKEYSGAENKEKGQLKNIGDIESVNIDPEEESEESSEDVEKGQALQSASLVMNMLDATMPGTLDDDQKKKVLVAVEQGETLVKALEEAVPEDVRGKLTASVTEILHSKRENFSLDALKRLGWNNVRPTTTKAVAQEKLKDSDHESGLKDAKMADQNRSSATAGDGGQKDINITNDDNPGESIDLSQGKPSQTSGSVGTARETGNEQTQPNTSDKSNSGTNDSSEEQHRTEQGSETTPKKPSQTSGPVETATETGSEQTQPNTSDKSNLGTNDSSEEQHRTEQGSETTTKKASNDHSAANSNGAPTERGHPVDPTTDQNPQSHAIEKEGDTIRTSEDKAAHNMDDQSTQVSKTEESKPSPITMTQALDALTGFDDSTQMAVTSVFGVLENMIDQFQKQQDSENGENSDENDGDPSVDETESHGKEDMKNASSGEDKIQSSQQPEDSSPGLSHSIMSKHDYAFAEENPNLSIVSSGRGKMRYYRGHEAGDHVDTDGMKQVGSLPDYLLDIAVNSYLKAQYTMYLHEFLNTQLQLKSPEPNSATDLVLDPQEGKWKIADQMHNVHNDISKSGRYNGAMEEVSYAGSTEEPSKVDNVVEPPYFIPGKFPDPAYKSNAFKNTVAAKSKPGDDLREALACFIRDELLSALKIEVGRKIGITDTSQLERGLANDLEHVAAEVSKLIVLNCELYSAAHVQRSPTTVKFGSTYGKHVVQAVATAVQQSQHLRIILPVGVIVGVTLACLRNYFHVDVSKHDDHMKANVKSNILSEDLIVQDISRANIQDSGEANTDNNIENAREDNHQEVTRTKGQGMMVGAVTAALGASALVAHHQENKDENNDGKDEIQNAKHEETTQEKGQNNLMRSFTEKALSVAAPVVPTKGDGEVDHERIVAVLAELGQKGGILRFVGKFALLWGGIRGAMSLTDRLISFLRISERPLYQRIMGFSLMVLVLWSPVVIPLLPTLVQSWTISASTGIIGYACIVGLYVSIMILVMLWGKRIRGYEDPVEQYGMNVWSASRLQEFFQGLVGGVIIVGLVHSISILLGFATYRTGLPSSLSRPLDLIKSSSNVFMLALRGFATATSIAVVEEMVFRSWLPEEIAVDLGYYNAILISGLVFSLIHRSLPSVPGFLLLSLVLFGLKQRTQGKLAAPIGLRSGIMTANYLIQSSRVIISKPETPFWIIGTYHLHPFD >KQL24363 pep chromosome:Setaria_italica_v2.0:II:29235272:29238880:1 gene:SETIT_031076mg transcript:KQL24363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKQGKRDVDAYTIKGTNKVVRVGDCVLMRPADTDKPPYVARVERMESDGRGGVRVRCRWYYRPEEAKGGRRPFHGAKELFLSDHFDTQSAHTIEGKCVVHSFKNYTKLDNVGPEDFYCRFDYKAGSGAFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEQAKKIDHYMCSDCAKENGAKRPSNSYPVAPNSDSKIESKRRKR >KQL23814 pep chromosome:Setaria_italica_v2.0:II:20428585:20431026:1 gene:SETIT_032207mg transcript:KQL23814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDQLQSEGVQQGSPRICSTRRTDTCQRLVNRMPINPKLAVFESTQERFEVLLRPELRSSYPVGGGPGSSFQQLPNRNVPQQSYVPNDPGADFIAVDHDPGCNKSVRRMRNASDDRTGVVDHRRKKFRSSHRSSKEKNSAADNSRRLTDYFLAQDGTEFA >KQL26695 pep chromosome:Setaria_italica_v2.0:II:45795642:45796430:-1 gene:SETIT_031429mg transcript:KQL26695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRRRRRGHHHAAERLWTGSGRIRGDPEAQLPLGELDEALDLGVVHEPVVVAVGAPNGEQRPQAGEPRAAAADRLTELLPADAAVAVGVELLQPALELRHRDRRPVLLAREQAPRRWGHRCHGHRFRRRRLLAGALALLVVLGS >KQL26441 pep chromosome:Setaria_italica_v2.0:II:44383782:44385670:-1 gene:SETIT_032408mg transcript:KQL26441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WHDGTRWTHGNFVARRKRSGCLSFLPAPRTLFFFELMHSPEFTGVVTCTPLDEPVTEGYSILGFPIWWVDIICKTCYRHFKSPDCLTSETLACGHKNAERVCEMCDCRSCVLHPFPDQENDQCG >KQL26941 pep chromosome:Setaria_italica_v2.0:II:47036014:47037516:1 gene:SETIT_033389mg transcript:KQL26941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRKSGRKGDGKLASCCSSKQKKKASVPEAQNSRAMDAFGTMKTGRPERLLPGISILCTEGDDEDWGARWEASCNEPLICPEAEREESTTDDWEFLWSPDYGSFEDITRIPPMRFTFKKAPSDAMHENALQIFSAMVTATSGGLPIDVFEHPYLELTGPTRAVLLESRPDFVFIEVDLTVKGTADSEDEKLSPLVVPISSGNTMYSHMWKCAYTSKLSTVEFTLGHIIRSVVATIFVRVTRGSWPDGFHGQFSAIASGKCAEPPSTVYHTSVNDEEIALLDSGGEKVPVTSDGDIKLSRRVVSVDTTGELKVYVKARGGDNNVMETWKVFKPLDAGRSNSMLDIGFCKMDITVAWSLISYNHVYADTLL >KQL22762 pep chromosome:Setaria_italica_v2.0:II:5141904:5142334:-1 gene:SETIT_031538mg transcript:KQL22762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLICATPSYTAANFGAFVNFFAPQVVIDFTASWCGPCRIIAPVFAELAKSYPHVVFLKVDVDELKEVAVEYKVEAMPTFLLIKEGKEVHKIVGARKDDLLAKVAEHGGGTASA >KQL22763 pep chromosome:Setaria_italica_v2.0:II:5141531:5143434:-1 gene:SETIT_031538mg transcript:KQL22763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKGQVIACHTKEEFDNQMKAAEEAKTLVVIDFTASWCGPCRIIAPVFAELAKSYPHVVFLKVDVDELKEVAVEYKVEAMPTFLLIKEGKEVHKIVGARKDDLLAKVAEHGGGTASA >KQL26252 pep chromosome:Setaria_italica_v2.0:II:43346064:43346550:1 gene:SETIT_033690mg transcript:KQL26252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLAQVVAEGIRNCWRFIHLVGLLMKKSQIL >KQL27307 pep chromosome:Setaria_italica_v2.0:II:49097331:49098500:-1 gene:SETIT_030381mg transcript:KQL27307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKHNPTTCKQIKKKQRPLVLRRAMLHSCACFLVGLVTGLAPSDWADAASRAAADANTNLLSRAARAMNHTEALLTTHYQLLYQQPPQQQQQGQEQQQRQVQVVVIVITTTAGFSSSDRERRSAGLTRTAHALRLASPPVVWLVVEAAREAAPTAALLRRTGVLYRHLTYADNFTSSDSDDAWEEERHHQRNVALAHIEQHRLRGVVIFAGLADVYDIRLLENLRHIRTLGAWAVATVWQQQRRVAVEGPVCVRNTTTAAAAAWFSSSTSSTSDSVHGFAFASHLLWDPARWDRFPTSEPDQSQDSIKFVQRLLVEDYNETIPAGCSSPTIMAWRLVDTTLLL >KQL26833 pep chromosome:Setaria_italica_v2.0:II:46524479:46525863:-1 gene:SETIT_033050mg transcript:KQL26833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYTAGAKFDCLLFDIDDTLYPLSLGINQACRDNIQEYMLNKLHIEESQVPKMCLDLYKEHGTTMAGLKVSGYDFDYDDFHACVHGRLPYEKLKPDPVLRQLLLSLPQRKIIFTNSDKNHASTVLKKLGLEGCFDAIICFETLNPPTEQDDINGGSDDSAPPRRGVLCKPSLESMEAVIEIAKLDAKRTVFFDDSARNIAAGKAAGFHTVVVGTSALVAGADVALESIHNIKEALPELWDAAGEHVQAVLRPAAVETTVLA >KQL23511 pep chromosome:Setaria_italica_v2.0:II:14344562:14354957:-1 gene:SETIT_029069mg transcript:KQL23511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPTSRLLLLARRADRRRSLPLLLPRAIHAAAGTGAPSPTAPPPRLPASAPVRSYSSAFTSVHGERPSSEYAKIRKESLETQFGRILGSSSRRLFADRGFGPFLALYRAATISFHVVKLTIWHLLLNDMHKRAEKFRETLIRLGPFYIKLGQALSTRPDILPSAYCQELAKLQDQIPPFPTRIALRTIESQLGARISELFADISPEPIAAASLGQVYKAHLRSGELVAVKVQRPGMAPLLTLDALLFHMIGGQLKRFAKARKDLLVAVNEIVRHMFDEIDYILEGKNAERFATLYSHGSDGDNFDGNTSIMVPKVYWNYTRKTILTLEWIDGIKLTDAERISKANLNRKRMIDEGLYCSLRQLLEEGFFHADPHPGNLVATEGGSLAYFDFGMMGDIPRHYRVGLIQMLVHYVNRDSLGLANDFHSLGFVPEGTDLHAVADALRFSFGDARRQSNDFQGLMNHLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVIESAYPFVIGRLLADPSPDMRKILRELLICDDGSIRWNRLERLIAAISAQSAESSNGSGAGSGESANGSSKWRSFDMHSVVAATEDLFDFILSRKGWRVRVFLVQDIIKASDAFLQEATFPYIFEEEGKMGKLNPERSKMIRRLVNAVQSFRQAINLAPDAWSAMLIRTLLKHESQKFVLDVFLSLASHSSYKIPETFWLCISRFLNYLDKKDTL >KQL24949 pep chromosome:Setaria_italica_v2.0:II:34808955:34812888:1 gene:SETIT_030135mg transcript:KQL24949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAWRLSQRAVASPLLATGRSQIQSPFPTMASLSPAAASPKRLRVYSSAAAADGDGAGSGKRVGTHNGSFHCDEALGCFLIRLTSQFAGADVVRTRDAQILDTLDAVLDVGGVYDPSRHCYDHHQKGFSEVFGHGFNTKLSSAGLVYKHFGKEIIAKELGVNEDHEDVHRLYLAIYKSFVEALDAIDNGINQYDTDQPPKYVNNTHLSSRVGRLNPDWTDPDQSPEKENAAFQQAMMLAGSEFMESVRFHVKSWLPARSIVLECLLSRGKVDPSGEIMVLDRFCPWKLHLFELEEELKIDPLTKYVLYQDERSQSWRVQAVGVAPDRFESRKALPEKWRGMRDDELSAETGIPGCVFVHMSGFIGGNKTYEGALEMARAALKC >KQL23743 pep chromosome:Setaria_italica_v2.0:II:17263030:17263841:-1 gene:SETIT_031631mg transcript:KQL23743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYFRSAAPLTRGLRLFCHLQARSHPSFSTHAQATGAMTSKVSGAQSEASSQASSGELSTSSSTLEAALLSFANQSSTPKSREMGFSLLLFLDLEL >KQL25919 pep chromosome:Setaria_italica_v2.0:II:41261418:41265086:-1 gene:SETIT_031154mg transcript:KQL25919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKDKSRRGSSSSASASSMAALAAAAAAGEGSSSGSAGEALTPNGEEEQKPAKLAAVGGASSSSPVPARRGAAAGGGGGPRCQAERCNADLSDAATYNRRHKVCQTHSKAPVVLVAGLRQRFCQQCSRFHELSEFDETRRSCRLRLAGHNERRRKSSADTHGGGSGGSNSGGGDGCRHADQDGRGHQGNPPPNHFQIR >KQL24950 pep chromosome:Setaria_italica_v2.0:II:34828150:34828938:-1 gene:SETIT_032825mg transcript:KQL24950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGDEEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVVREAKRIIEESEIMKEDDVNWPKPDHIGRQELEIVMGNEHISFATSKIGSLVDIQTSNDPEGLRIFYYLVQCDR >KQL26529 pep chromosome:Setaria_italica_v2.0:II:44920963:44921553:1 gene:SETIT_033670mg transcript:KQL26529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVDLWQPRRRQPDAMAVRVVKQRGRVNLTRARYTWRLGQRRRRARLAGGQFRRRGTFTRDPLVWIGIFSINP >KQL27190 pep chromosome:Setaria_italica_v2.0:II:48419141:48420327:1 gene:SETIT_033147mg transcript:KQL27190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSESSTTIIRGRGKNKRKWTVAEDDELVKALYEISLDPRWKGEGGFKNGYCSVLETHLAEKLPNCGISAVPHIESRVRHFRTKFGALEVMLNKSGFNWDENRKMLQCEKTQYEAHCKYHPEAKGLYGVAFPYYDSLAAVYGSDIATGEGAEGLSEAVENIEKELAVEGGNHQEEEEDRMSRETPRRSTDSASSSLKRRKTDRKGKNHVSATSSDPILDMLHEVQGDLKGVSTNIGKMAAVMEREVAVQEKNSKEDHQQKLREKATAELRKLGFTGSEQVKAASVFVRIPDQMSMLLTLDETLRREFILNMLDANLCSILLVLAIFKLSFAASICLSFLVL >KQL23977 pep chromosome:Setaria_italica_v2.0:II:23979047:23982395:-1 gene:SETIT_031044mg transcript:KQL23977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGELGQKIDYVFKVVLIGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTRTLTIDKRTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVVRWLEELRGHADKNIVIMLIGNKSDLGTLRAVPTEDAKEFAERENLFFMETSALEATNVESAFMTVLTEIYRIVSKKNLVANEESDSSGSSSLLKGTKIVIPGQEPPPASKATCCMSS >KQL23331 pep chromosome:Setaria_italica_v2.0:II:10930361:10930876:1 gene:SETIT_033821mg transcript:KQL23331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQIRSLANDSKLKYLLKTNRKRKSEANKNTVYLVFVGTLESNLFSSNNNHPRET >KQL22748 pep chromosome:Setaria_italica_v2.0:II:5081209:5087181:1 gene:SETIT_029611mg transcript:KQL22748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNPISTWPVKITPQPGPTRPNLPASPSTAPPTRPPLASPPWPGGSLTAGPTSLPPRPVVGAGGGSEAGRGRGRLRGRRGGARPRRGGELTACRAGTEQPGTTAAAAEANGGGDPGTAARRRWDLPGKAAENIAMGKEVVDMSTDEESDCVVICPPNGNVDHGEVVSGSHDEDSPERQETPYAIDSHMDSNGQEDVPVNQDSPKLIHEQESSLTSSPAKPAIAGQQGSSRTVAEPCTVAAERRSSRAGNCAPVSHPTSSGEKLSDKSSSSPRSMAKKSPSVTPRKPLQSDNTSHSQEEDSYSVTSSTVTSARAGKTKKTTVAVAPTFVCDNRAEKRGEFYTKLEEKRKALEEEKLQAEARKKEDEEEALRQLRKNLVVRAKPMPSFYQEGPPPKVELKKVPPTRARSPKLTRRKSCSDTPHTPEGGNGSAVCCRLHRHSIGNSKDVGSKAQCSPKGSSKTGSATKSRATKSREDLKATMKKVGQQSATNVAVKT >KQL22744 pep chromosome:Setaria_italica_v2.0:II:5054227:5055510:-1 gene:SETIT_033202mg transcript:KQL22744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPPGQLLDDMAVKVLFETPSGFAIFHYDGYKLCHQVDSEEIWADFVDPDSAADEVDLLEFKTFENKVHVISQSTGVSKELATMIRDNLKPRQRLAVGNEDYKSIIEKELNISCVCDSATAELMWGLKIQMQSLLPPENSDLRNEDYFPMSTGMYRFLKGHKIDVEPDMM >KQL22286 pep chromosome:Setaria_italica_v2.0:II:1349319:1350812:1 gene:SETIT_033004mg transcript:KQL22286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMVGSAVVQETVNRITSYLFSKCDHDERTTSTRHHIERLEMAHTELELALERSARMPITDVSLLRRRKLLERAFKDCGDLLYRCIKQQTMDVIELEQPVRHSFSKWVAHVAQSSVSSYFAGFHKDNISCSDVRRNEWFAECANRFLRDVESGCSPLRCVFSNPLVRQLLEGKTLEYKMLQGSILRCLHIQSMCVEGRGVEATLEFRYEDRKTPMRSFSLMLILRLSESMDIVGTAIRCLQSFTSSMKDVAEAVMRELIQLPQQDISHSHAASCFAIKDLGSYDTHFWRPDPLCCKPDRCATSCIPSELSCKFPEQVILIRIECYVSAFECSSLHNTADATARNLVAELPPLKLGVGFAPHFFDERTQGRTAVEIIGGKEELINDSLQQMDETVRSKAIKHYICQPDLAYYKMGWYPGHGGAYFMVQKSGTEIAKLPLK >KQL22632 pep chromosome:Setaria_italica_v2.0:II:4086608:4087189:1 gene:SETIT_033689mg transcript:KQL22632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCTSACKLEPARVMCTCGGRKVGTSPPFAAGGHGWASAAFI >KQL25411 pep chromosome:Setaria_italica_v2.0:II:37929920:37931491:1 gene:SETIT_031762mg transcript:KQL25411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYREVRLVNKGSSRHLICFVDFATPAHAFLAMRTLQGYKFDEQDHHSRNLNLQFSHSPRMVGSHGRC >KQL25783 pep chromosome:Setaria_italica_v2.0:II:40534670:40537009:1 gene:SETIT_031984mg transcript:KQL25783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLKALLQVREWQGRLRNERASASTAASKAPREEKKVEKAIREAAKRNDMASVKTLAKELVRSRRALSRLYENKAHISSVFMRLGEIIGTKRTTECLSKSAEVMNIVNDLMKAPELAGTMQQFGKEMLKVEVMEEMVNEIVDSALDSEDLEDEIEEEVDKVLAALGAEIASRLPAAQRIKQGSMSRVPGQQQQTIDEGINGGQEDIEEKRARFAKVRT >KQL26041 pep chromosome:Setaria_italica_v2.0:II:42000028:42003450:1 gene:SETIT_030589mg transcript:KQL26041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTAAAAMNVAAVAQLCGGGGRRGRKAQGPRVDLRWARLLRLAVVSRVLRIVRDQLLACSSCGGGGGAGGGGGRGGGRYRRLGPPAHAGGAMLAPVHRGDECVPGAAGDAAPCDAAAADGENVVSLKVSLLGDCQIGKTSFMVKYVGDDGEEQNGLQMTGLNLMDKTMAVRGARIAYSIWDVAGDVQSVDHIPIACKDAVAILYMFDLTSRCTLNNIIDWYERARKWNKTAIPILIGTKFDDFAQLPLEMQWAIVNQARAYARAMKATLFFSSATHNINVNKIFKFITAKLFNLPWTVERNLTIGEPIIDF >KQL22371 pep chromosome:Setaria_italica_v2.0:II:2065364:2067352:-1 gene:SETIT_032438mg transcript:KQL22371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFLPCLLVSFGLHLATISADGNPLLYLGFSSTNLSMDGTATITPSGLLELTNGIVNRKGHAFYPDPLSFRKSHNGKVQSFSVSFVFGIRSSYLSMTQHGLAFVVAPSKNFSDALANQYLGLTNTVKNGNPKNHFFAVELDTALSIEFKDINANHVGININDLNSIESSSAGYYDDKSDSFQNLSLNSGDAMQVWVDYGGEAKQINVTIAPLEKEKPVRPLISKTHDLSMVLQEPAYIGFSSSTGAVNSRHYVLGWSFSMNRSAPKIDTTKLPKLPPKGQKPQSKLLDIILPIGTATSIIVMGAIIILLLRRRLRYAELKEDWETEFGPHRFSYKDLYHATEGFQNKNILGAGGFGKVYKGILPSSKLNVAVKRVSHESRQGMKEFIAEVVSIGRIRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDNYLYCEENNPTLNWAQRFWVIKGIASGLLYLHEKWEKVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGTDLQTTHVVGTMGYLAPELVSTGKASPLTDVFAFGTFLLEVTCGKRPVSNDTDYNQEVLVDWVLEHWRKGSLIETVDTRLRGYYNVDEACLVLKLGLLCSHPFTNVRPNMHKVMQYLDCDLPLPELTHAEMSFSMLTLMQGEGFDPYTLSSSIGTGSGISGGR >KQL24413 pep chromosome:Setaria_italica_v2.0:II:29826314:29828093:1 gene:SETIT_032845mg transcript:KQL24413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRRSTVRSMMIVPSRYRGRGWRRGGDGDGRRRPTGVLDLPPRLGSEDMASPGRNRSGTTCCLQIPAPVAGEDEQHPLEPRTHTKHSRTLMNASNTAQFWVLRDGDVTIKVLFCGICHTDLHVIKNEWGNAMYPVVPGHEVVGVVTDVGPGVTKFEAGDTVGVGYFVDSCRSCESCSTGHENYCPDVVLASNGVDGDGATTQGGFSDVVVVDQDYVVRVPRSLPPDGAAPLLCAGVTVYSPMVQYGLNAPGKRLGVVGLGGLGHIAVKFGKAFGMTVTVISSSPGKREEAIERLGADEFLVSSDPEQMKAEAGTMDGIIDTVSAWHPLAALLELLKPMGQMVLVGVPSKPLELPAFAVCPSGKRVAGNGVGSVGDCQAMLDFAGEHGITADVEVVGMDYVNKAIERLERNDVRYRFVVDVAGSLGADA >KQL23021 pep chromosome:Setaria_italica_v2.0:II:7422829:7423958:-1 gene:SETIT_031882mg transcript:KQL23021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVIQLLQAEALLWCHGFGYLKSMALQCAIKLRIPNAIHRSGGTASLPELHAAIPVAASKRPCLSRIMTFLAASGIFGVETPANGEVTGDVRYSLTAASRLFINDDDDGDASSGRTCLSPLILLFFTPLHFMASQRLAEWLIKGEEDAVAASAAETTPFAMAHGAGFYDFVSRDAAFGACFDAAMRSDSRFVSEILVRECGEVFAGVASLVDAGGGDGTTAMAIARAFPHVRCSVLELPHVVDAAAAAGSTVEFVAGDMLEFIPPAELVLKTLG >KQL22645 pep chromosome:Setaria_italica_v2.0:II:4152620:4153995:-1 gene:SETIT_0309231mg transcript:KQL22645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAFGYQKGGDLEAGTSGAPGPRALYPGMQESPEMRWALIRKIYVILSLQLLLTAAVAAVVVKVRAIPHFFTTTHAGLGLYIFLIILPFIVLCPLYYYHERHPVNLILLGLFTLAISFAVGMTCAFTS >KQL24030 pep chromosome:Setaria_italica_v2.0:II:24920040:24924124:-1 gene:SETIT_030085mg transcript:KQL24030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAAIGLVRPCAARPLVACPSHRRGGAVAGEGIRPVLPQRGIRLSARAGLVPASPLEEEEKRRCRAERDVSAAAAAAQEAGEEAGGGFAKTLQLGALFGLWYLFNIYFNIYNKQVLKVLPYPINITTVQFAVGTAIAMFMWITGILKRPKISGAQLLAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGELPTPWVVLSLLPIVGGVALASLTEASFNWAGFWSAMASNVTFQSRNVLSKKLMVKKEESLDNINLFSIITVMSFCLLAPVTLLTEGVKVSPAVLQSAGLNLKQIYTRSLIAAFCFHAYQQVSYMILARVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPVNSLGTGVALAGVFLYSQLKRLKPKAKAA >KQL22949 pep chromosome:Setaria_italica_v2.0:II:6554789:6558194:1 gene:SETIT_029978mg transcript:KQL22949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARGGGPASWVVAVAAAWVLWMATAAEARSPAGRVHRHLKRLNKPAVKSIESPDGDIIDCVPISHQPAFDHPLLKNHTIQFRPAYHPEGLYDDVKSSIGSNNAREKPMLQMWHRNGRCPEGTVPIRRTKKDDLLRASSMKRYGRKRHTAPNPLSVDPNMLSEGGHQHAIAYVEGDKYYGAKATINVWEPKIQQANEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFIQINSEIAMGASIFPISNYAGSQYDISILIWKDPKEGNWWMQFGKEYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGAHTTTQMGSGHFPEEGFSKASYFKNIQVVDSSNQLSAPKGVGTFTEQSNCYDVQNGNNGDWGTYFYYGGPGKNSNCP >KQL24190 pep chromosome:Setaria_italica_v2.0:II:27272293:27274203:1 gene:SETIT_029771mg transcript:KQL24190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSADAMPAPGDAAGADDVRMISSKELRAHASPDDLWISISGDVYDVTPWLPHHPGGDLPLITLAGQDATDAFAAYHPPSARPLLRRFFVGRLSDYTVSPASADYRRLLAQLSSAGLFERVGPTPKVQLLLMATLFCAALYLVLACASACAHLLAGGLIGFIWIQSGWMGHDSGHHRITGHPLLDRVVQVLSGNCLTGLSIAWWKCNHNTHHIACNSLDHDPDLQHMPLFAVSPKLFGNIWSYFYRRTLAFDAASKFFISYQHWTFYPVMCVARINLLTQSALFVLTEKRVPQRLLEIAGVAAFWAWYPLLVSCLPNWWERVAFVLSSFTICGIQHVQFCLNHFSSEVYVGPPKGNDWFEKQTAGTLDILCSPWMDWFHGGLQFQIEHHLFPRLPRCHLRKVAPYVRDLCKKHGLTYSAASFWDANVLTWKTLRAAALQARNATSGAAPKNLVWEALNTHG >KQL24697 pep chromosome:Setaria_italica_v2.0:II:32312548:32317390:1 gene:SETIT_030369mg transcript:KQL24697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAMPAEALPREVTGNGNGYGAKHLHLQLPAPPKQQQPAEAECEWYEEEIDGDLKFCYALNSVLHRGTSKYQEIALLDTKHFGKALIIDGKMQSTEMDEFIYHESLIHPPLLFHPNPKTVFIMGGGEGSAAREVQRHKTVQRVVMCDIDQEVVDFCRTYLTVNREAFSSNKLCLIINDARVELEKSREKFDVIVGDLADPVEGGPCYQLYTKSFYEHIVKPKLNDHGIFITQAGPAGVLTHKEVFSSIYNTLKHVFKYVQAYTAHVPSFADTWGWVMASDHPFNLNAQKINERIKDRILGELDYLSGESLISSTTLNKSVHKSLLNETHVYTEDDARFIYGHGRAR >KQL26486 pep chromosome:Setaria_italica_v2.0:II:44695723:44696845:1 gene:SETIT_031011mg transcript:KQL26486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGPLDTAVVPAMSCNGCRVLRKGCSEACVLRPCLQWIEGAEAQGHATVFVAKFFGRAGLMSFLTAVPEPQRPAVFQSLLYEAAGRTINPVSGAVGLLGAGSWHLCQAAVETVLRGGGIRPLPELDGGVPAADGSFAFTARRAAGCSTFSAAKRATGRVLNVGAPVAVAGGAPEPSCDLGLCLSPGSPPAPGERRPRRPGTPSMTSEESVTTTSGGGGGREPELLNLFV >KQL25809 pep chromosome:Setaria_italica_v2.0:II:40664263:40665491:-1 gene:SETIT_031217mg transcript:KQL25809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNMKQLQETLVDIETDAEQVLLARHQLVENDKVRNGNREALTALRKQARTTKTSVPSPFEVIMKEMEGSSGKQLIKEICPTCGDHDPKEHTWLMFPGSDIFARVPFHVAHTVLEKDQERLDIDTKKLQSFVKEKALVIAEKGALAGRFGADTVKSLVNLTDTRKSTREGGESVQGPDVKYQLG >KQL24143 pep chromosome:Setaria_italica_v2.0:II:26693343:26694169:-1 gene:SETIT_031677mg transcript:KQL24143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRLEKPESHRHDPQPRIRERAGLAPIQARGHDGSNVILVRVPGGSPPLFNSLFCCMSSGFSTERCCSSSMPPSGRVPYPETGD >KQL25427 pep chromosome:Setaria_italica_v2.0:II:38046351:38050753:1 gene:SETIT_031264mg transcript:KQL25427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNKLASRHVRVGLANSNKLARCDICESFPAFFHCEIDGTSLCLSCDMTVHVGGKRTHGRYLLLRQSVEFPGDKLGHMDDVAMQSKDPETQIDQKPPHSVTKEQMANHHNGSDDPASDGNCEDQGNINSKMIDLNMRPVRTNGQGSNSQTQGVDHSVNNHDSPGVVPTSNYEGDANK >KQL26601 pep chromosome:Setaria_italica_v2.0:II:45327810:45328407:-1 gene:SETIT_033526mg transcript:KQL26601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKYTSLTMHPCKFLFLQDEDTEYLVQPIAQPQAMAIGSDFDAADPDDADEDRDEVDDDDEGATDQPSSSQGTKRKRDDDPSGSGDDSEDDGVEDLRPFKHH >KQL27281 pep chromosome:Setaria_italica_v2.0:II:48988831:48989424:1 gene:SETIT_033059mg transcript:KQL27281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APPCLPSNLPRRLGLLAQQDTKTIQSRALGSKELAASAKLEEEPRVLGATAAGESEEQRNKFLVLRLYEALNGRDHAGVQALLAADLEWWFHGPPKHQHMMRVLTGGGSNSSSSFRFAPRSVDAFGSTVIAEGGDQERGVYWVHAWTVGADGVITQLREYFNTDLTVTRLAAATKCIWQSRRPDRATNSLPGLVLAL >KQL27276 pep chromosome:Setaria_italica_v2.0:II:48968117:48968470:-1 gene:SETIT_032648mg transcript:KQL27276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSYPLPHRALDYEPAVLCHCRKKVALWISWSNDNPGRRYLKCYRCDFICWYEGPVDEFICGLLVDLRDVVWSLQCERREHKCAHL >KQL23706 pep chromosome:Setaria_italica_v2.0:II:16813079:16813487:1 gene:SETIT_033711mg transcript:KQL23706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVNVLGFLSRLTLLAWDQGFVAAVVVRLLIFLPRHI >KQL24151 pep chromosome:Setaria_italica_v2.0:II:26765717:26774327:1 gene:SETIT_029203mg transcript:KQL24151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDPEGIFRDDSDEDDDNVQEREANKEMVVYLVDASPKMFTPATTQADEKQETHFHTIVNCITQSLKTQIIGRSYDEVAICFFNTKEKKNLQDLAGVYVYNVGDRDPLDRPTAKLIKDFSCIEDSFMSNIGSRYGITAGSRENTLYNALWVAQALLRKGSVKTVSKRMLIFTNEDDPFSAITGAVKTDMIRTTIQRAKDAQDLGLSIELLPLSRPDEEFNVSLFYADLIGLDGAEITEYLPSAGEKLEDMTDQLRKRMMKKRRVKTLSFAITNDVCIEVNTYALTRPTTPGTITWLDSVSNIPLKTERSFICNDTGALLQDPQMRFQMYNDTVVKFSVRELSEVKRVSSHHLRLIGFKPLDCLKDYHNLRPSTFIYPSDEHIFGSTRVFVALHSSMLRLGRFALAFYGNPTRPQLVALVAQEEVTSSAGQVEPPGMHMIYLPYSDDIRYPEEVHVTSDEAPRATDEQIKKASSLLKRIDLKNFSVCQFANPALQRHYGILEALALGEDEMPDIKDETLPDEEGLARPGVVKAIDEFKASVYGENYDQEEAEAAAAKASRGDASKKRKAITDAASLKSAAYDWAELADNGKLKDMTVVELKSYLTAHDLPISGKKEALISRILTHLGK >KQL25820 pep chromosome:Setaria_italica_v2.0:II:40730876:40731320:1 gene:SETIT_031731mg transcript:KQL25820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGRESREMAPLPHPSLLAELPVYSSSGGGGRERRWRGWRCGTGPPESPRSGNAARHLMAYTTCRKTPSDLFD >KQL27108 pep chromosome:Setaria_italica_v2.0:II:47842854:47843338:1 gene:SETIT_031823mg transcript:KQL27108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYLSVLPTFLVASAFCILADGVHSLDVLLVALVVVRQLSLSLHLHLFLTSVTM >KQL25215 pep chromosome:Setaria_italica_v2.0:II:36705234:36705865:1 gene:SETIT_033810mg transcript:KQL25215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLMDGPFIESVHRPVLIRAVIRGFVDRSGLERGSSWWWREMSDLD >KQL25697 pep chromosome:Setaria_italica_v2.0:II:39895958:39897327:1 gene:SETIT_030230mg transcript:KQL25697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKKPTAPAPAVASTGCSALMSCLSRHRRGPPQPVPRGSDSLPRSSDADGGGRAADAAERYWKRLQLLEEEIRRLSVWLGQEEMLAPATECVVRGSAKATEEGASAVTECVRNGARAREESNRAATATVTATNRCVGAGQATVGVEEIVRLEDGSYLREVRRVCRPWERLAVQVLDKMAAMRAEDLCKFLSQMMPLKDITGQHNPGEPVRRTARLRSGDDLLEALVVRAMDKLESLVLEGLKIQMASPATEPTADRRRDEAAVGKDCMVHVVLMQARDPNERYGAIGDTMIGLIEASLQRKDGAVKLEMQALHVAGISCFLSKKPSDGRYMMWSASLRQRKGSHDGDGGAHDNGCRCTCVRNPNRVFEP >KQL26940 pep chromosome:Setaria_italica_v2.0:II:47028239:47032081:-1 gene:SETIT_029810mg transcript:KQL26940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVLDGFDCLHPRRRRRHGGGAGGAPPSKARVAVRRFGSSKATPCSSNGGCGDGGGAAGGGGGREVTIRVATFNAAMFSMAPAVAAETPAAGAGAGAGPERGAGAGVPLPGSPGGARRPKGILKAQAASLARSPSKARVSINLQDNEISLERSRLWRGKRTPQQQQQRREAAAEAAPRRRSVEEVLREARADIIGLQNVRAEEERGMRPLSELAEGLGMRYVFAESWAPEYGNAVLSRWPIKRWKAHRVADQSDFRNVLRATIEVPEAGEINFHCTHLDHLDEGWRMKQVDAIIRSGDGPHILAGGLNALDGTDYSAERWADIVKYYEEIGKPTPKVEVMKYLKGKQYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPSSPYKFVPGSYSVISSKGTSDHHIVRVDVTIPQVKETDTETVNRKQRVLRVNKKSSRKGIWGAK >KQL26280 pep chromosome:Setaria_italica_v2.0:II:43509280:43509952:-1 gene:SETIT_033264mg transcript:KQL26280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGARAAAYDVFINHRGVDTKHNVARLLYDRLLQLSGGRVRSFLDNKSMRPGDRLEERINAGIGQCKVGVAIFSRHYFDSDFCLHELASLVEARKAIIPIFYGIKPSELVLPQDVVDSNAYAPRDIERFRLALREAKYTVGITYDPATGDLAELVSRAADAVMERIKETEMSVPRRQQMIASRL >KQL25409 pep chromosome:Setaria_italica_v2.0:II:37923381:37926148:-1 gene:SETIT_030812mg transcript:KQL25409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSNRVERSEIRPGDHIYTWRAVYAYSHHGIYVGGSKVVHFTRKKEIESSDSSDSASSLISEVPSECPTFPDCGFQLPNSGVVLTCLDCFLRNGSLYCFEYGVPSAVFLAKLRGGTCTIAESDPPEVVVHRAMYLLQNGFGNYDMFEKNCEDFALYCKTGLLPVEEPGIGTSGQASSAIGVPLAALLSTPFKLLAAGPLGMATVTAGMYCAGRYITDIGVRKDVAKVEVEKLSSHPGFHLVEDEESVNKRSEKPKTLLPMKRKRER >KQL26830 pep chromosome:Setaria_italica_v2.0:II:46519609:46521276:-1 gene:SETIT_030534mg transcript:KQL26830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRNRKPRNFATFRLCPRPGAADASDRVFVRVDDNPYSVPGFADDGCGGPSSSTAVGGDDEPSSSSADDGGGALPEHVRREILELGLPDDGYDYLAHLRELRPSLSSTGGGGSSAVFLPSRRRPARSGLPVDVMAYDLSRAPIGSGEVAVATRRVEEAIDLDVAKLLDGSDLPAVESGDEDLEEDFVILANQSDEEQQNEEEEKNIGEGKGKSQQLHHEQFDSLALEECAGGEDAVKYCATATAVSRSYLSIHSQKTLVPENAKKKLPKFCTGETSMKKAIIKKGIENLPGEYLPQRKTLSGETLNQGPYKELQEEAKET >KQL26831 pep chromosome:Setaria_italica_v2.0:II:46519377:46521276:-1 gene:SETIT_030534mg transcript:KQL26831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRNRKPRNFATFRLCPRPGAADASDRVFVRVDDNPYSVPGFADDGCGGPSSSTAVGGDDEPSSSSADDGGGALPEHVRREILELGLPDDGYDYLAHLRELRPSLSSTGGGGSSAVFLPSRRRPARSGLPVDVMAYDLSRAPIGSGEVAVATRRVEEAIDLDVAKLLDGSDLPAVESGDEDLEEDFVILANQSDEEQQNEEEEKNIGEGKGKSQQLHHEQFDSLALEECAGGEDAVKYCATATAVSRSYLSIHSQKTLVPENAKKKLPKFCTGETSMKKAIIKKGIENLPGEYLPQRKTLSGETLNQGPYKELQEEAKET >KQL22422 pep chromosome:Setaria_italica_v2.0:II:2473182:2475465:1 gene:SETIT_030347mg transcript:KQL22422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRHTGRDMWDVEECQSPRMGSVILGVDGGASNTVCVCIPAAMPFNDPLPVLSRTVAGCSNHNSVGEDRARETLERVMSQALLKARRRRSNVCAVCLAVAGVNHPIDQERMLDWLREIFPSHVKLFVENDAVAALASGTMGKLHGCVLIAGTGTIAYGFTSDGREARAAGAGPVLGDWGSGYGISAQAMTAVVRAYDGRGPETVLTNNILDFLGLASPDELIGWTYEDQSWARIADLLPVVVESAESGDEVANKILHNSVGELASSVKAVVQRLQLGGEDGKHPFPLVMVGKVLEANKRWDIGKEVIDCVTKNYPGAYPIHPKVEPAVGAALLAWNAIASELDGDIRNVQ >KQL25443 pep chromosome:Setaria_italica_v2.0:II:38243261:38244045:1 gene:SETIT_032132mg transcript:KQL25443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDAASVLSATSAEGSLELRQQQPVHGAASSSPPPPCGTPAGGGGGSGGIIRCQDCWLLAKAGCAHRRCRSCCGSRGFVCPAHVRPSAHVPASSQCSERQQILAAASASTAAVAAPTRKRPLDAVATPTTTTSSSVGQPAAATVLERFAREVTLDAVFRRVRLGPDDAEVAYHATVTIGGHVFRGVLYDVGPHSRRSTASSDTGGSSDGSWRSTGGGGGLDLTLRL >KQL23515 pep chromosome:Setaria_italica_v2.0:II:14367316:14368044:1 gene:SETIT_032748mg transcript:KQL23515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSMSKGATLPTIQGSHFKCGRKSGCPARKQVQQSDADPSKLEITYFDAHTCDNPPPSSSQVVPDPRIISSGTQRNTVQLVPVAAVPSAQRYVARPSPLPHPVADMMPRTTGVLLPVIAVAPAALSEQAELLFIPSPACSQSELLPTEVARLNRTVHRVRMHDGGT >KQL24834 pep chromosome:Setaria_italica_v2.0:II:33621750:33622196:-1 gene:SETIT_033487mg transcript:KQL24834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRRSIHSGVSNYAQLKRGITPCPGWQHVRYSFMRKCLHLSASVQVNCLKYC >KQL22801 pep chromosome:Setaria_italica_v2.0:II:5387934:5392273:1 gene:SETIT_030073mg transcript:KQL22801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGEEASAAGGGKVACAAWIRRRDEKAAAARVFAAHARAGAAGSLPAVEVLGFDSKECSLSPEPLARAVLGEGGAGDAPRGIAVHPAGDELVCATAKGCRLFKLIFEEFAVRIIPRDAPPLESVGPQKCLAFSTDGAKFAIGGEDGHLRIFHWPTMNVLLDEPKAHKSFRDMDISLDSEFLVSTSIDGSARIWKIDEGTPLVNLTRSSDEKIECCRFSRDGMKPFLFCTVAKGSKVVTVVWNISDWKRIGYKRLLGKPISTLSVSLDGKYLALGSHDGDFCAVDVKKMEVSHWSKKAHLGSPVTSIEFCPTERVVISTSNQWGAELTKLNVPADWKEWQVWLVLLALFLASAVLFYMFYERSDSFWKFPMGRHQPAKPWSVLKESPPVPEDQTPW >KQL22375 pep chromosome:Setaria_italica_v2.0:II:2089968:2092349:-1 gene:SETIT_032415mg transcript:KQL22375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPSPTRICSNAALQEQTRCPSVAVAAFYDAMGRQIWLGNTLLLVSAAITGVMVGIGGYGRRYRHHRFTRFIFLGANTLFLPIISYVVSTLGDNSNDYVHLHKDSTTTLSALCDSVFHPCMIITWAFLVQIAAINTTSVVAIDSREGSKLRPPPELLLRGIWIFYLSVSITKNRFFHGLFRFSPNDNHGPLTLICSKIMFTPFALLCAKIWLKCYSFEKARKSFALGRNPSLVFGYMQQLQQQERSQYGGPVAGEDSVPPPLLVMGEDSRKVEKQPWGYVFSDSWAPPIDSIGLVTLDTVWQLDKMLPTSTPRPKDLCLSFALFKLLRCRFARYDLTNVGSRGLKFYWNLLLKDGEHDRVFRIVADELSFLNDYYYSSLAVSYAKCWLPVLSILISLLSIGYCIVAGYFIVVFGAQVHKQGRSQIYCEFWCNKLQAVSEWRHKRFGSLYFDVVPEFVLLVLVLIAEVREVSSYICSNWTKVALICECVKSATLQHPLGMQKWIVSLLMQCRWKIINHWDEKIGLCSVLVLPPTSRTTLLGLIRRLFHLPDEKRKVKLPAAVKVCIMDVLQSAARSNGFHLGNGKTSLLRSQVGKSFLWACNSKGTSDIILTWHIATSILEVRHPYSSPVSNQHKIAATHLSRYCAYLMTWSPDLLPDEVAWSKGLYEDVKRDAVRVIAGRSMAGRPLTPEAEYQDLVKLLSEGSNHLVLKNGVWLGKQFAELAEGEETAWAILAGFWAEMILYVAPSDNLKGHKKAIARGGELITLLWALLFHAGIVSRPGETGGAATAGFV >KQL22937 pep chromosome:Setaria_italica_v2.0:II:6523451:6523963:1 gene:SETIT_033353mg transcript:KQL22937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRHPDGYPVSTPPPAYFPPGVFGVGARQTQRFLVVFCSMGWLHSLFSPLRRMWVRAHSERRNRRGMHLLYKDVQSCQDEDVQVLWSILIDSHRHPALLKLKL >KQL24250 pep chromosome:Setaria_italica_v2.0:II:28138227:28140219:-1 gene:SETIT_030284mg transcript:KQL24250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCLHIKRTMGDHHMQQPDGNLELPPGFRFHPSDEEIITFYLTPKVLQRSFTCVAIGEVNLNRTEPWELPVKAKMGREKEWYFFYQKDRKYPTGMRANRATEAGYWKATGKDKEIYGTKVGVPLPVLIGMKKTLVFYKGRAPRGEKTNWVMHEFRLQHSSKLPCPTSSSNSDATMESPLLSKDDWVVCRVFHKNTGIKKASVPTPSLYDMAMTSGGMEQSSITMPMPMQFPIPPAFTMDPVASYYSTAGASSSSVPPLMPPMAGMGSAGLQMNDTLFGDPMAHLPPMSFYHQMGVGALGAASFMATPPSLPMVSQKDVGLNNPDHVNTVELSSTVSTAPESMATMDDVDGLWKQVLKK >KQL25966 pep chromosome:Setaria_italica_v2.0:II:41640200:41640916:1 gene:SETIT_031484mg transcript:KQL25966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPANAKPWKQQALILMAALLLGAASGAPGGPDPLGACSAGLEQLRSSDRSCFGTVLFGATSEEDVRKCCGRLGGVISESSGNCACGLVKAFAQRNIDVARVCELDMTKEKCQPKEDADKAKADAGSSVSFPAN >KQL24921 pep chromosome:Setaria_italica_v2.0:II:34612983:34617209:-1 gene:SETIT_029174mg transcript:KQL24921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDLDCPVQTQMAVAVLDRSFSSEYPGGSRTEGRQLSWKRVFVQTDNGSVLGIELERGENVQAVKKKLQIALNVPTDESSLTFGDLVLNNDLTSIRNDSPLLLKRNQIHRSNSTPCLSPTGKDVWQRDRSGPIEILGCSSPSSRMKQLAKDVIKAIRNGVDPVAVNSGMGGAYYFKNIWGERVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTMLVKITHTVFNVNDCVGCKSKIFCNKSEAVSKIASLQQFIPHDFDASDHGTSSFPVSAVHRIGILDIRIFNTDRHAGNLLVRKLGPGVDNFGEQTELIPIDHGLCLPECLEDPYFEWIHWPQASVPFSEEELDYIARLDPVKDAEMLRMELPMIREACIRVLVLSTIFLKEAAAFGLCLSEIGEMMSRQFTGKEEEPSELEILCMEARKWVEEREFFLPDEAGVEDDDDDFTQFPLDGEDDSDAFELPAFSNFGSMKASSRNPLSKLDECDEEDENEDEDNTYTTKEDADALTSALPQKISSVLKLSSSMKRLGFVAKSKAYHTGVPKGKVSKTNYSGKASEHQSGSRSANELLPPSASFVKVSDMGPREWSAFLDMFQELLPNAFRARKHTTGGGPRQMPRLGTSCQF >KQL24912 pep chromosome:Setaria_italica_v2.0:II:34559287:34566759:-1 gene:SETIT_028988mg transcript:KQL24912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQADIVNALRRGDRQRASIILSNFQNTNWALNKEDFSYILEYCAEAPDPLFVMETLELMEEKAIGMSKGIYRYVIRALSRGGYVKEALHWLMLLGEKESTHATLPFFNIFLNACGSSANLKDVECCLETMENYLLGKSEITYCELLKIAVLQQNLPAVYDIWKDCTRYYSPSIITQRRILRALTAFGDLQSAYHILQHMVTSAAQRSEHLRLSSKRRYQSSRLDIPVLALSESEDLKLLPDFSLQPSQGKLATGKNSADVQPELLFAGNNLADKVELDNGTVRKTLRLAQSAVRRILIWSFNDLMHACVQFNNCQLAEQLFLEMQILGLRWSKFTYDGFVKTLIAGKGIAYAMKVIETMERRGIKPYNDTLSALSEGYSKNLQLDLAEDLLERISEIRPKHIHAINALLSGCDIMNEPERAVRILAKMKRVNMKATLRTYELLFSLFGNVNVPYEEGNVLSHVDVSKRISIIEMDMLNNEIQHSFVSMKNLIRAFGDEGMIEEMLRYLNVAEKVLWNINPYQKSDLYSVALHALVKAKESHKAIRIFKIMRSCGLPTDVSIYTTMIECCKWLPCFKSASALLSLMLQDGFHPTVVTYTSLLKVVLAKDDFEGALDLLDICKTERIEPDIQIFNTVLSRAYARGQIHVIEYIVERIHRAKIQPNPSTLVYTFCAYEEHELYNTAIEALQVLSTRMISEDANILSEKITVFEDLILSEEPDAELRIIRAFEAAEEFLATALLNLRWCAIMGATISWSPEESLWARRLASSYNANKRPHIIPLDVPQSS >KQL22150 pep chromosome:Setaria_italica_v2.0:II:227828:229641:-1 gene:SETIT_032984mg transcript:KQL22150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFAWLLAAVALLFSGTARALLDHEDYEPRRHRSYPLGTVIAVDLGNTNSCVAGYGPGGHAESMFHRCIPSWIAFPDDGGVLVGDDARNYAAVNPQAAFSGFKRLLGQRVSRLYEGGFVQRVIENLPYKVVEKDVRPHIQMETKDGVVRHLSIEAMTATVFAKLKDMAEAHLGRKVQHAVFTLPQHYCTEAARDAVLDTGNYAGLHAVRILDEPIAAAVAYGLHGKLRDEGNVLVLHVGGGTAEASVLTFADGVFEFLGAHLEPFFGGDDFDRRVMDHFIGLVRDKYGRDISNDRAALRKLRAACEDAKKTLSGEDLALVNVESLVDGVDLSEPLTRAKFEELNHDLFPKVIELVDTAMSQAELGKEKRELIDEVILIGGSTMIPKVRELIKDYFEGKKELNTKLKPDEAVAFGATLLSHPSASG >KQL23349 pep chromosome:Setaria_italica_v2.0:II:11057144:11060642:-1 gene:SETIT_030578mg transcript:KQL23349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRFLGTAPGRLERVVGPIPAAGSLRGRPNLPIGRVRTYSIRSIPSPGGAERRGARSARPSRAAMGGDLFALDFDGAAKVRWPWVFEQVDSAMEEWIVEQMYTLRPVVETGYENLLLVEIQIPSVQKSSVADGLSIQEILENWLKLKPILMDEWQEDRESLVDLFGRVRDDWIENDLSGWIGANRFYPGTADALKLSSSDTYIVTTKQSRFAEALLKELAGIDFPSERIYGLSTGPKVKVLQQLQQMPQHQGLTLHFIEDRLATLKNVIKEPALDKWNLYLVTWGYNTQKEREEAQGISRIQLFDLPDFSKKLK >KQL25077 pep chromosome:Setaria_italica_v2.0:II:35741445:35744810:-1 gene:SETIT_032149mg transcript:KQL25077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLELFVTACVPVFNMLLVTGVGSFLASDFAGILNKEARKHLNNLVLYVFNPSLIATYLAKTVTMESLGKLWFMPVNILLSFIFGLFFGWILIQVTRAPAKLKGLILGCCSAGNVGNIFLIIVPALCKEKGSPFGAPDACQTYGLAYSSLSLAIGAVFLWSFAYNIIRVTSQVSEGDGDALTNQTAVFIPRSATGPVSEKFSTSIMNECTLPLISTDIPPNKSKVPLLGRARQFLPSIAGGVDFRKLFAPSTIAVKMPLFAPFKNPLTHRVIPAFSLTSWTHILHVFLISLALFCCCFSTKHVANITVDGAAVPAVTLIMGGNLLKAGLQPNMPVLLPLLGTGLVRGAVRLGLVQPDPLYQFILLLPQYAVPPAMNIGTMTQLFGVGEGECSVIFVWAYALASGAVTACSAFFMWTLSP >KQL24975 pep chromosome:Setaria_italica_v2.0:II:35138987:35140109:1 gene:SETIT_030758mg transcript:KQL24975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLLLVLVVAAAVLTAPPGKLTHAARTPTSPEAFWRAALPGAPMPESIRELLRPSAEVVKAPSADADVRDDDPPPPMNFNYDDYRASPSPRNQLVVATFAKAPEHVGARNAATGDDDRARASPPAVFFLEDAVRVGGSLPFPRGLLPRAASGDDEEAPAARQPPLELYTVRAVRAVEGSSFVVCRGEARPDGGGAVYGCRGVGPARAYAVDVAGERGDAVTATVVCHGDDGASNGNLEHAASRLLGVGGGVGAAVCYAVPDAQILLVKSEKVPSSA >KQL24615 pep chromosome:Setaria_italica_v2.0:II:31788753:31789441:1 gene:SETIT_031862mg transcript:KQL24615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDMLLEHSGVYAWQVCILLFSGLSKRVWFLPCVVRQG >KQL22976 pep chromosome:Setaria_italica_v2.0:II:6996595:7002558:-1 gene:SETIT_028770mg transcript:KQL22976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDADAVKSGRRGGGQVCQICGDGVGTTAEGDVFAACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPAIRGEEGDDTDADDASDFNYPASGNDDQKQKIADRMRSWRMNAGGSGDVGRPKYDSGEIGLTKYDSGEIPRGYIPSVTNSQISGEIPGASPDHHMMSPTGNIGKRAPFPYVNHSPNPSREFSGSIGNVAWKERVDGWKMKQDKGTIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPLPSSRINPYRMVIVLRLVVLSIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWFPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPIVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFVKKYNIEPRAPEWYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKVRVNGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYMLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKQKKKGGFLSSLCGGRKKTSKSKKKGSDKKKSQKHVDSSVPVFNLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAAFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKSEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPLWYGYGGRLKFLERFAYINTTIYPLTSIPLLIYCVLPAICLLTGKFIIPEISNFASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTNFTVTSKANDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRVDPFTTRVTGPNTQTCGINC >KQL25963 pep chromosome:Setaria_italica_v2.0:II:41595090:41602578:1 gene:SETIT_028722mg transcript:KQL25963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSPSPSPSPSPERHHHQPARRRVGATSSQMLHHHGGRSPSPPPRRSLRPRRAAAVSSRPLVDDFFPFPSSPSSSPSRPRQRRPSPEPSSSDSGADGGGGGSSASDRRRRKLKLVVKLSQLPPEQQHRRAPPPPSYSDDSDGAGEVGGDGSDDDEQVKPPKKRRIEPRADRSRHREVGGGGRSDPASAPRTKRLPVPGTARTTPLPDRKALEMILEKLQKKDTYGVFAEPVDLEELPDYHDVIEHPMDFGTVRRKLARNAYRSFEQFEDDVFLICSNAMQYNAPDTIYFRQAHSIQELARKKFQELRDEGIPIENHHIKIEQKARPNSCNREPIKKPILRYQDDDLDFLSRKEQVKRSNPKNSEDDISFKDQVKKPVPRNLHDESSLFHKERVKKPISRNSENDLSSSFHKDRPKKLLSRSSEDDLSSSFGKEQVRKVIPKNSENDESITFHKQQVKKTTSQSSKSDFSSQKKHIKKPVCSTREGPDLSSRKEPVEDPICTNVDDAGFLSTKRLEEKPISRNSEDLGHCHQESPKKPSCRDGQDDLGNSCSEEAAKKPARMNSQDAMGSDISAATIASVGDGSNGLSMSQANATEPAGCTVANGFLDKDISSPLDEIRSEKTDDIFAKPNYKSIVVDETRRKTYDTYEEQPAVESDLVFDIFSTEPKELVNVGLDAEHSYAYARSLARFAGSLGAQGWRIASERIRQALPAEVKYGRGWVGEYEPPLPSILVVNDQSRYLKSSEANVRRNASLPRDNDRLRPTESNNPKDMSLSLNRITTSTNVVGVPGPLESPEFKPRLFGVTAEPQHRSTDALSPHENHRVPGNVAKTKRTANEQTRKGNSSSGARPIEMKPQKGASGAPDMPALNKTAGQPRPFFQPAESTRTQQMRKVDSLKSNVPIEMAPQRLECAKGAASGVYDTPSSNGQPKHFFPSQAAAASGVHDIPSPNGQPKRLFQSKAVASSGAHDAPSNGQPKHFFQSQGPASSGVHDMPSTNGQPKPFFQPQEATVPQPRNEATWVYHGRPGDGKVGTSNKSRPSTSVGFVNKNQAVNAATFAMNLNGQKNVSDHAKSVGSTAMPGLANIPNRGVDASRNMFSAFPAAVRENQSIPPAPSAQSWISFGAATENKPAIVSPTFLDNNSSWKMPFANVRPSDDTKIGAVPQFFRQPVQVVRESPVQNNGLVIFPQLVQPDFMRSQGQPQWQGLVPHMQQKPSKDVLRPDLNIGFPSPGSPPARQSSGINLEAQQPDLALQL >KQL26656 pep chromosome:Setaria_italica_v2.0:II:45605420:45606759:1 gene:SETIT_032831mg transcript:KQL26656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTTIPHDHHRPQTQQEQAEQPPVAMKPLVKKQARRRTHASRPYQERLLNMAEARREIVNALKIHRANMRHQPCTYQHQADTLPPLRQSPLQQLQQQEQQRQEVQVVFQDRSQAVEEGGALLAPASYASYSDHQLRNPLAHWISSAAPAGSCYSSPILPYYDTPLEAPMRTAMGDLEQLARSLPAQPLGLNLSFQGFGGSVVDGSRDCEGLFGVPVIQSTSPAASSYSSPATEMASGTYGSPVLISTAEKYSSSVDAPAALIAPVLDDGGTQSAGETQGVEWWGEATTAWWSKALLESMEIGGEVAEGGAVGCTPEDVAAAAAGLPAAEWRWLCDDSVGEQGTVTGTDDKPPDFIEMLADGDYYACCYDDGRCRSDGCDGITLPCMDGIGDIEGSDGEWFSCS >KQL25126 pep chromosome:Setaria_italica_v2.0:II:36163449:36165344:-1 gene:SETIT_030748mg transcript:KQL25126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAASGLVFPGARAPVIRTVSCSPLRPAAPSPARLPAAAAAAATAPARAPLRSATRPAAGVRLRCAPSSGNTTSGPDGGVADEDDFPDPPWWGPSFEELKEFEETDFSPEAIKKRYVRESKEAAAAVKGAAAGLLARPLRDLFDDVRKLKTVYDVEEFHICLPIGALMSCVAAYHLCKAAPSAFVDFVLHYAFYRLCVMAADVRRRGFETDFIIRLKLFITVATLAKGFIDRVTFLNFIARRLGTLSEIDFAWTKRINGGREFFLSQVCALVPLLL >KQL25699 pep chromosome:Setaria_italica_v2.0:II:39927857:39928495:1 gene:SETIT_032712mg transcript:KQL25699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCAIMACIVAVEGMHRKVYEASHGKGKFAWKAAEVWPEILRAMCKGQNIWKEGEGANTGRVLDEIIRLRGVGIASDEVPITMPLRAWEQHVGDELLTPERVAALLDQGPCVGRLWVCPWYYWFDTAKNNDDWIYRGCGRDKRLRDESRKLYGKKATGSHAVVCFGYRFCKTGNGEETMCVLVMDNHDNEGPQRWIDVEELDAIYTLSVDCLA >KQL26387 pep chromosome:Setaria_italica_v2.0:II:44073826:44075812:-1 gene:SETIT_032402mg transcript:KQL26387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSKSCLPCVPMGTAALPIEKHFVPPVALPSWPASVSDGGFAKGSIDLGGLEVRQITTFAKVWSTTQGGEDGVGATFFKPSPVPAGFSVLGHYAQPNSRPLFGHVLVARDTSGTGALLAAPVDYNLVWSSPDGAGHFWLPTAPDGYKAVGAVVTATSDKPSPDEVRCVRADFTDACETEKPELSNSDKDGFSAATLRPAVRGIDARGVHAGTFLAQSSVTPANASSLACLKNNSASYTSAMPDLAQVNSLLAAYAPHVYLHPNESYFPSSATWFFENGALLYQKGSQIPTPVAADGSNLPQGGGNDGGYWLDLPADNKQREKVKKSDLASAKVYVQAKPMLGGTVTDLAVWIFYPFNGPARAKVGFLTIPLGEIGEHVGDWEHVTLRVSNFSGELRRMYFSQHSAGTWVEASQLEYLDAGDGGNRPVAYASRHGHALYPKAGLVLQGDSRLGVGIRNDTSRGSRLDTGGAGRCEVVSAEYLGVAEPAWLGFERGWGPKEEYVIGRVINRVAWILPRSVRERLAKLVEKVFVGDGPTGPKMHGNWRNDEREAR >KQL22250 pep chromosome:Setaria_italica_v2.0:II:966385:968264:-1 gene:SETIT_032868mg transcript:KQL22250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPKELVSQGLLILEGLTQGEENCTEISKHPRLLSKITSPLSSPDFLNNVREDTMVVRMLSKSLTALSRILACPGDGATRLRQELASTTEATDSGAAQELHGQALEILTELALNDSFKKLEFNKLLKALLCIFLEEAPSNNTVVQVEQADRENATRLMRGKAGEALARLLPVSTARERDVIISKQEAINLLTKVLDQILSSKMGTSADAAENFAENQPPGEADSTQPHTQGDEKQSEERKFMAAMLSLTVVICNENVISREDFAQSTPEDAALAKKLKDILKVNKQCTVESECLRVVKLTCQMVIAMIQAKPSCIQYFNEHNFKEALTETLGTMSEVDNCMLFAGNDREVIKPARSLASLVKEGQGLLKTAQEQGN >KQL23544 pep chromosome:Setaria_italica_v2.0:II:14734843:14735295:-1 gene:SETIT_033075mg transcript:KQL23544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNNCTLIALLLVAFAVVLQPSSAIRVVEGALARATASSATEAAAPSSPDGGGIPPLPPQPRECRPWLMRMMPCSGFITNSSVYAPEPTCCDGFNSMFSYDTVTCLCHVVNGDVGRLLPAPMIHMRMVELFSVCGHDVPVEILAAACNM >KQL27187 pep chromosome:Setaria_italica_v2.0:II:48374929:48380620:-1 gene:SETIT_029249mg transcript:KQL27187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPAAARFVVEKNSLRVTSPAALRGVYECAIGNFGMPQYGGTMHGVVVYPKANGKACKPFADFGLSFKPKPGGLPVFLLVDRGDCYFTTKGWNAQNSGAAAVLVADDKVEPLITMDSPESSGTEHMENITIPSALVTKRFGDDLRKALQNGDMVNVLLDWRESLPHPDERVEYELWTNSNDECGAKCDMQMNFVRSFRGIAQALEKRGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFSIGYDGKDVVLQNLIQICVFKVANESRKPWMWWDYVHDFAVRCPMKEKKYTRECANGVIKSLGLEIEKINKCIGDPEADKENSVLKAEQDAQIGHGSRGDVTILPTLVVNSRQYRGKLEKSSVLKAVCSGFEETTEPDICLREDIETNECLEHNGGCWLDKATNVSACKDTFRGRVCECPSVNGVKFVGDGYTHCEASGLGRCQINNGGCWKETRNGKTVSACSNEEAKGCKCPTGFKGDGVNSCEDVDECKENLYCKCKNCACENIWGSYECSCGGSNMLYIREHDTCISKHSTSTIGWGFLWVIFFGLALAGAGAYAVYKYRLRSYMDSEIRAIMAQYMPLESHEMPSQQRPVEHADI >KQL26259 pep chromosome:Setaria_italica_v2.0:II:43378035:43380130:1 gene:SETIT_032193mg transcript:KQL26259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWSRIGRCAQLSLSQSLSRIVSEGGGGASPAEAASAMRGAALSRGRGHHASSLHSLAFPGLAGRSACAGGHLLQTPPSSRGIGTTPAMLHPASAAAVAAELSDAETREPEAAPAPPPRMPSLGPTKPAEKPRLVVLGTGWAACRLLKDVDTSAYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVSRIQSALATHPGSYFFLANCTGVDTKAHEVYCTAAAAGDGLPSDPYHFKIAYDKLVIASGAEPLTFNIKGVKENAIFLREVSHAQEIRRKLLTNLMLSENPGLSEEEKARLLHCVVVGGGPTGVEFSGELSDFIMRDVRERYAHVKDHVKVTLIEANEILSSFDVGLRQYATNHLSKYGVKLVRGIVKEVKPTEITLSDGTLVPYGLLVWSTGVGPSEFVKSLDLPKSPGGRIGVDEWLRVPSAPDVFALGDCAGFLEQTGKPVLPALAQVAEREGRYLARLLGKVAAQGGSKAHCAGKANLGEPFVYKHIGSMASVGRYKALVDLRENKDAKGVSMAGFLSWVMWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNTRIG >KQL25642 pep chromosome:Setaria_italica_v2.0:II:39547283:39549488:-1 gene:SETIT_029072mg transcript:KQL25642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSLQDMVSASVAAFSRPIRLHLCSDLAKDPEHLHGVDPVPADGERRVGVHGRVRVRRRLLPLERGEVELEQLPHHLLPAAHRPVAVAPRDAEHEQPRRAARQRRGVVPEPRRRAGRLDDGPVALVQVVGDERVGLAVHVEHPTADGAGARQTDVGGEPGDLDPLVGQGIVYLPGHQRLVPVPAPADGDNGEPRPAFLALLPDGFLMRRSELRGVSVLVQHVLEPEAPEGEPVRVLVHGGGASQPGLAPPDILLGAGVENAAAGGHLEHPQHRPRPRGTHGRRLAPRASERAEHQDPLVGLHLAGARAAGPAADDIEVVTDGSDGEHEPPLEPARPDAVPPARGDVERVDGRGRRGAPPEVAVGPEQEQPRRPARPPRNTLALAARPQELRGGGEVPPAPAHAARGQERGQRQPAQHHLHHVVREHRQGRRLLHHHRRVRASPRRPVRHGGGGAFLPREALQRAVLVVVFVVSVRRATARGRGGRSGVHLVAVADAAPAGRAKGQPEAAPPPRAASAAIALAQLPGVRDHHIVRGLGAEQGGQAVAARSLERPRHRRKRALGGEEVVRGGREGRRGVEVEEDAPEQDCEELGEGGDREHRVASRRGGEEDAGYCWRRWWVEWELGKVDEAPTSRRRVGDATGRGRGWEWWDGMEWIGCSERTLPAGERSGDGRSGPMGCVRASGVRAAVRAFHGAPVWAVAPGADDGPARVSG >KQL26195 pep chromosome:Setaria_italica_v2.0:II:42961871:42962238:-1 gene:SETIT_032776mg transcript:KQL26195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFSISYVLAVRCARTSNAADEHEPLVPSAQPEQV >KQL24336 pep chromosome:Setaria_italica_v2.0:II:29008569:29009565:1 gene:SETIT_033405mg transcript:KQL24336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHGGGLAESAPTVAYPCLVRGQKAFSLPDQKLHQNISMPELSGNAFFATPQGWILVVLGSGSDRSSPRETYLLHPQSRSRLDLPPLEDEHDELPERGRCLLSGNDPGGPGCSVRIFDLQSPALWFCRVSGPRWSNHVYDIGSYDLPEEYCPVPKRSGTSSTSPPLAGAVPSLDDDLYGDRQRSCIVASHLLESCGDLYLATVTFHDFCFDLPGTVRVYRMYFSVLAFRRTDDIGDRAFLLGASNFGASCSASDHGLKANCLYWVNRFSEDHGNLHVYNVKDGSLEIIQTFGSASTGQKPFWILPAAT >KQL22219 pep chromosome:Setaria_italica_v2.0:II:621739:622776:1 gene:SETIT_032608mg transcript:KQL22219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNAMGLFHLSESKVRVAKAAEDFAPRLHTERQSLYTLIRSSLAGGGAALAMLIHTLGLARSSPSVAASELLRASYSVDDNKQYTRRSVQQLLCVLSLVAMWKIDTDFRTYASMAANCSLDKESRNVQQVHMKSEHMESTNYDPVTSAGYEYEKIDDSSSKTGRQIEITRRFFGLSCPESKLQKTLKGLLLDYDAACRINEAELAFAHDHFFTGAASAKAVVYPVTTLASVKDLFIHTKNKSNNSSGMALTAVLIMLVLLALELLQLYLY >KQL25574 pep chromosome:Setaria_italica_v2.0:II:39105530:39106000:1 gene:SETIT_032098mg transcript:KQL25574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKRRAKSPTMISAKRIAQLAKKWQRMAALGRKRLAWGTAASKEAGECSTSVSVASKGHCAVYSADGARFEVPLACLGTAVFAELLRMSREEFGFAGGDGGRITLPCDAAVVEYAMCLLRRGASAELEQAFLSTMATSCRYASRVAPCVGAGQQVAV >KQL25967 pep chromosome:Setaria_italica_v2.0:II:41647263:41650342:-1 gene:SETIT_029248mg transcript:KQL25967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQDPSSSPSAAGGGARPKRTSSAPIRPADYAHSPAHHCVALRDAAGLQAILAGLPPLAHPSRVLTAADAAREARLASSVAAALDRRDVPGGDTALHLAVRLRLPSLVSALAAAGADPTLQNHAGWTPLQEALCLGCRDIAACLLRAHRLAAWAKLRRRAPALSAALRRVQDFYLEVDFHFESSVVPLLSRAAPSDTYRIWKRGADLRADTTLAGFDGLRIRRADHSFLFFGEEANAGGRRLPPGSLLVLHRGRREVHDAFAAAAAAGDEDAATSDAAAYRPGLNISSARLVPRTTWLRKEKTENVGEWKARVFDVHNVVFSFRTLKAANAGRNDFTFEFAGDEDGGDDDEFLPLEIRDDDEDGDFLVADIPPPPARRSCYVPGRRSVAGPPSHLGTPQRRRNSVDVPRRLPACASVGRGEDGIFGRHSGTTTTGGAKWKEEETVKTLRPSVWLTEDFPLSVDEFLPLLDILSSRVRAVRRLRELLTTKFPPGTFPVKVAIPVVPTVRVVITFTKFVPLIEPEEFFTPMSSPSLLASPGPGSIMAKADTHKSSYLKWSSKSSRSKPANLSQVADNADPFTVPSDYTWVNSLGSKNHDKKSSKSKKGKAKET >KQL22798 pep chromosome:Setaria_italica_v2.0:II:5374337:5374954:-1 gene:SETIT_031509mg transcript:KQL22798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGLPDDPLAEILSRVPAKSLCRFKCVSKSWRDLIAGRLRCRRFPQTLQGFIYGDGEAHVGYIVCNPATEQWVAVPSSGWSPWPDSKAEEDEDYFTEEDVLTHLISIQLSPRTFSWSSYGRKVTGNW >KQL23023 pep chromosome:Setaria_italica_v2.0:II:7446824:7447231:1 gene:SETIT_032649mg transcript:KQL23023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCECKAFVKIKWNLKKDYWFFERIRLEHNHPLHPSPTVTQFLRIQKDKDPIVMGIVDQMHRCDASHNTTINVLAELCGGQQNFTFTEMDLRNRKATTAREEREK >KQL26163 pep chromosome:Setaria_italica_v2.0:II:42705415:42707949:1 gene:SETIT_031125mg transcript:KQL26163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLPPLPSPLAALPILCLLLLAAPLPALSRDAPRDAVSGAPRAGSTIHQLLKDHGLPGGLLPRGVKSYTLDESSGLLEARLSAPCYAKYDNGDLAYFDNVVRGNLSKGALRGVEGLSQEELFVWLPVKGILVAGEQPGVIVFDIGYAHKSLSRSLFEDPPECKPSAAAGMSAAAAAARWKDRKGVPHLRLRREVAGDGQQLQEQR >KQL24034 pep chromosome:Setaria_italica_v2.0:II:25013286:25020421:-1 gene:SETIT_030260mg transcript:KQL24034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPADNEAATVGKAQRKDKKQKRKKRNDATDDHCGATMAKEGTPHEKKKQKQRKDGGEVEEKMKMLKPTVSIAIAGSIIDNAQSLELATLLAGQIARAATVFRIDEVVVFDSTPTSENGDTGDGEESGARFLVRILEYLETPQYLRRRLFPMHKNLKFVGLLPPLDAPHHVRKHEWSEFREGVTLEGDRSKGTLVDVGLSKNILVEQILEPGKRVTVAMGTNRDLTTACIREVVPPSTPREEMGSYWGYKVRYASNLSGVLKNSPYKEEYDHIIGTSEHGQIINSSELTLPSFRHLLIAFGGLAGLEESIEEDTNLKGKHANDVFTSYLNTCPNQGSRTIRTEEALLISLQYFQDPIRRVEQQM >KQL24887 pep chromosome:Setaria_italica_v2.0:II:34388433:34391294:-1 gene:SETIT_029641mg transcript:KQL24887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQNAAAAPLLSELPLPEKWGGGGKIQQGRRLGLAKEVWEESRKLGEVVGPAVFMNLVFSTMNVVSQSFAGHLGDLELAAFSMANTVVDGFNFAILLGMASALETLCGQAYGAKQYHMLGIYLQRSWLILLAFAVLLSPMYVFSGPLLAALGQPADLSREAGSASVYFLPSHFMYAVLLPVMTFLQCQLKNWVTAAAAAAVFAVHVAATWLLVKCLGLGIFGVAMAFNLSWVVFAALLVAYALGGGCPETWSGFSTSAFVDLKEFVTLSASSGVMVCLENWYYRILLFLTGFLRNAELSVDALSICMSLTGWEMMIHMGFLAGTGVRVANELGAANGQGAKFATIVSTTTSFLISLFASLLALIFHDKLAMIFSSSQAVIDAVDGISILLALTILLNGIQPVLSGVAIGSGWQGLVAYVNIGSYYLIGVPLGVLLGWGFNYGVPGIWAGMIGGTMMQTLILALITLRCDWNEEALKAGNRVRQWSSAK >KQL24071 pep chromosome:Setaria_italica_v2.0:II:25551045:25553529:1 gene:SETIT_029061mg transcript:KQL24071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWMKRVFGDHHPHGQGLRVLFVLSRPAVEGGLSPRDNFSAKELGRRAYSHPLAVEKFESRVCIDANIYTQRKERLHRLLDGLLPNSPAGGADLLSLSEAELESKIQQHLRGRKFLIVLNDPHDDSAWEHIRQALPAPSDGFSDGSAVIVTPSMEHQEDRADGWITARMLFSHYPGTLPYKVYVCSLDTEALRKKVSELCDPNLAGTVSKVLMKCRSDSFSTKMVFHALHADPHRSKGEWEKLLSSLDDFSTVSNARHIIRFSYDGLPSSYKSCLLYLRIFPPDCKIRRTSLVRRWAAERLITKRGGISAIDEADHCFQELIDRRLVLPGDINPQGKAKSCTVHVPVLSFIARITGDDDPAASNSDLVPELAHHLSIRNGIQLSRMKRKATRSNNCWRILNPSSTTHLKNICNKIFQLKYLSLRNTDVNELPKEINKLQDLETFDIRQTKIHAFPAKAIILRKLVCLLAGRIGPQGKGAMGSGEESFSTLQRLSHVEVSETADVDALNNVGRLQKLKKLGIVINGKQDFLDCLLQVIGKLNEVICSFSICIKDGTEITEMKSSKLPTPRSLAKLNISGKISGLSTWISELEQLSKITLSHTFLEDIDIRILGNLFNLRFLRLGSESYTDKNLTFRNGFSKLEVLVIESSHISDIHFEQKAAPKLEKIVWTSTVNCKIETLAIEKLPSLKEIELNGVCERSRVEKSVRENPKNPKLKYNTDPMCEDTSAME >KQL25795 pep chromosome:Setaria_italica_v2.0:II:40596929:40599952:-1 gene:SETIT_032917mg transcript:KQL25795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSNVKLGVEVVSAHDLLPKEQGTANAFVEVEFDDQKFRTAIKDRDLNPVWNEQFYFNISDPSRLSELHLEAYVYHANRANNSKACLGMVRISGTSFVSQPDASPLHYPLEKKNILSRARGELGLRVFLTNDPSVRVSAPGPEFDMLSTPTTAQEQAAANSIPNPFQETRSNPVRQFQHLPREQQRPAPMTGQTYYPEGAYGDQQQRSFAAVANKAGAPPPQISRMYAPGPQQPIDFQLKETSPTLGGGRVIGGRVYPGEKVGAYDLVEKMQYLFVRVVKARDLPNMDITGSLDPYVEVHLGNYKMKTKYFEKNQRPEWDEVFAFPKEVMQSSTLEVIVKDKDVIRDDYVGRVSLDLNEVPVRVPPDSPLAPEWYRLVGKDGMRDRGELMLAVWYGTQADECFPSAIHAGSTPVDSHLHNYIRGKVYPAPRMWYVRVNVIEAQDIFPMENHIPDVFVKVRLGNQLLKTRQVRSPTKNFMWNEEMMFVAAEPFEEDLIIRIEDRVAQNKDEIIGETIIPLTRIPKRADHKPVRPAWFDLRRPGLIDVNQLKEDKFYAKVNLRVCLEGGYHVLDESTQYCSDLRPTMKQLWKPPIGMLEVGILSANGLNPTKTRNDRGSCDAYCVAKYGSKWVRTRTIVDNLSPRFNEQYTWEVYDHGTVLTIGLFDNCHISGDSNHGSPGEMDKPIGKVRIRLSTLESGRVYTHTYPLLVLHPSGVKKMGELHLAIRFSATSLINVLFTYSRPLLPKMHYSQPLSIVQQEMLRHQAVHLVAERLGRMEPPVRREVVEFMSDARSHLWSMRRSKANFFRLMQVFSGVIAAGKWFGDVCQWKNPVTTVLVHVLFVMLVFYPDLILPTIFLYMFLIGLWNYRFRPRFPPHMNTRISYADVAHPDELDEEFDTFPTSKSPDLVRMRYDRLRHVAGRIQTVVGDIATQGERLQSLLSWRDPRATAMFLIFCLITAIILYVTPFQVIALCLGFFWMRHPRFRHKVPAAPVNFFRRLPAKTDSLL >KQL26005 pep chromosome:Setaria_italica_v2.0:II:41792828:41793832:-1 gene:SETIT_031991mg transcript:KQL26005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGRRRRRLPRSSPPIRFERQLRWLLLSLAICAQRAEASRDRFRRRPPAASPIRLLRQWRRRPPHLSLIPHRLLFRRRISMRPTGARAAAHQSLGVPRQRRHPGVW >KQL26443 pep chromosome:Setaria_italica_v2.0:II:44394118:44396716:1 gene:SETIT_029126mg transcript:KQL26443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLMGMDLETEVELSPVAKAEAAVFSPYSSPSTALLLQRRVVAWAKETGSPATVRVRVADRSFDLHKDPVVSKCGYFSEALVESGDVELPASFPGGCEAFEVIALFCYGDAVALDPFNVAAVRCAAEFLGVGGLGARCDLYINQVVLQSWDDALIVLQRCQPLLPVAEELLIVSRCVESLAFMACMEILDPEQRRDQPGAVAARALAGRRWDAELVKELAARDLWIKDLIALPFEFFGRIVRALRRQGMKEKYVSPVVLFYANKWVLSKKTHKFWASTDDEAVDGETDANRRAAAIMEGVIALLPVEAAAVAASGAIPVAFYFALLSRSLALELSDESQMRLREQVASNLQFARVDDLPLPEQETGRPIADSREVRAVESIVSSHVSAQRKGAEVVAELWDRYLLQIASDPKLRPERLSELIGVIPAGDRKNHNHLYEAINTYLVEHRGLSGEEKATLCGHLDCRKLSHDACIQAVQNDRMPLRLIVQALFVQQLHTHRAFTECSDSFRCMHSGELVPGAGAYTPSPGCPAIPTSQSQPLSSGSPYESHRAPPPRDAKLRARDDASDYETASFRIQALEQEIISLKQTLQRHNTLKGSARRDGAGKEPSFRVATDANAPAAIKRRATVSGSCIGSMRWGSQRRCASRILRVFARLAVFGRGRSRGKQSKCRTATEQLNCM >KQL25072 pep chromosome:Setaria_italica_v2.0:II:35716688:35719645:-1 gene:SETIT_029222mg transcript:KQL25072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSDGTDVSPESEATAAAAEKGGEIWGTLEELLLACAVTRHGTASWDSVAMEVQTRSPLATRPGLTPHSCRLRFRHLHRRFSTAGSCGEEDEVEEDPDASAAEGWVDELRRLRVAELRRDVERCDLSIGTLQSKVKRLREERERSVSGEAKPDEASGNDRLSGEEPGRSCRESNSTDLKPPKHPGHQGGGAKEEEVAKQEASGESAAASKESSDVRSSASLCRRRRGTGSGKADEEEEAASAPRAPPARSPPLAALLEAVAAKLGSVLQRLHEHEGEEAAAYRGTIRRHVDLDTVRRRMATSAGSRAADDDHYPAHELYRDLLLLCTNAVVFFRRSTPEHSAAVEARALVTGHASAALREPKQERAAVPAPAPAPAGTDIVGSLIEKGKPLIVCRKRSSIAKAAAAARKEESSTKAEADAAEEEEESEDEKKAAAAVTATKDKAWGLRTKKGRGGAVRNPAGLGPRPTKGADGDAATTTTGGAKKADKKGAGGAAAGGPAKKRNAVDFLKRLNQSPSRKRGSPLGNTTRKRSASAAAAAAEQESTTTTRRRGAGRKEGTGRGGSRRGGRGTGTKRGVGRPLKRGPAPATPPPSKRAKTNTRSEKPSGTGKRGGRRPVG >KQL24602 pep chromosome:Setaria_italica_v2.0:II:31714995:31715135:1 gene:SETIT_032320mg transcript:KQL24602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQQQPPYGGGVQGQQVGVVAAQIAQQLTATCGMHQQPPYGGVPY >KQL25790 pep chromosome:Setaria_italica_v2.0:II:40585756:40587506:-1 gene:SETIT_033070mg transcript:KQL25790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSCEKCREDQVSAPCCRWGLLRRSRRLRRSADDGEEDRISGLPDDVLRLFLVGLGCARGAAHTGLVSRRWRNLWTGLPELTFHNTAPDQIEAALAQVTRTSMFLLDIDVARHHPLEPPAVTSLLRSAARLAPAELKAVFSGATQYRSNPSYHVDVELPCFDRTTSISITMFIPAVNLVMPPAGDFLKLESLSLRSCDVSVDSLLPRCPRLRKLCIQRTRILSITGHSPSLEELDVTTHGVLLRVDIEAPLLKKLSIYAVVGISNEFSISYSAPKLEELSWMCGHNSKSGVGLGIWRMSSLTLETRKLLGDKQLADDKESTCLQPQHCPRVDTAHYKDATRSFRQHMSQLPVANFSILELDILTRGHAYGGVVLDLLMICSTVQSLKMKLREVGPRVEECLVDCTCDHPNNWRSQTVPMTDLKEVEIQGFKGEAQEIDMLKLIFRSAIMLERVTIEFYSKVSPRDNRYMETLGILKAHPSVESTVFLRKRVLKFEGMVID >KQL27048 pep chromosome:Setaria_italica_v2.0:II:47588465:47588719:-1 gene:SETIT_033343mg transcript:KQL27048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGDVDVPRPRAQESTGQRRRHRQAASLPLDVIADIAARSDPATLVRCAATCSDLRGRVDDPGFRLRLRHADRFVPSLTYA >KQL22248 pep chromosome:Setaria_italica_v2.0:II:938104:940281:-1 gene:SETIT_033208mg transcript:KQL22248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISNNELSKGIYWEEDDIIDTLRQQKWFEAHKVVIVLRVVQVLKWIFIVCFTFLYVLSPFVNLGVAVWRLVRRDYDDVGGDIGNRSKLYAALDIFYGLILFQSLFASYYIVIFSVKGRLQSRTEKHCGLEERRKEIVSLYYSETARKFRKDGELPDNWNLIAYGVEKLQSASGDDDHLWGARVLDQLFSEDKSVRQKLLSSRISVQNLIGMIGLRGTADNVENRERAARIVAHLASDLNIAHFPGTLQCICSLLESCNKQSCEPQAADTVLQIKDQTEHESGGARGMVSQGLLILEGLTQGEENCAEISKHPRLLSKITSPLSSPDFLNTVREDTMVVRMLSKSLTALSRILACHGDGATRLRQELASTTEAVSNLMATLENDSGAAQELHGQALEILTELAFDDSFKKLEFNKLLKALLCIFLEEASSNNTVVQVEQADRENATRLMRGKAGEALARLLRVSTARERDVNVADIISKQEVINLLTKVLDQILSSKMGTSADAAENFEENQPTEERKFMAAMLSLAVVICNENVISREDFARSTPEDAALAKKLKDILEANKQCTAESECLRVVKLTCQMVIAMIQAKPSCIQRFNEHNFKEALTETLGTMSEVDNCMLFAGNDGEVIKPARSLASLVKEAQELVKTAQEQGN >KQL24251 pep chromosome:Setaria_italica_v2.0:II:28151597:28156452:1 gene:SETIT_028728mg transcript:KQL24251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVGVEPPAEAAAGLSPGRLRPSARRSWPLGCGRSPSPSPSPPPPPAAAGGDGLKGAVDARVVGCGVGVEPPAEAAAGLSPGRLRPSARRSWPLGCGRSPSPSPPPPPAAAGGDGLKGADDGAGVLGGRADEAVAAPAAVSPPAQNGSLLQQQGSDKAAAPAATSPVVQNGALPQQQGLDNVEAALAPAQNGAPPRHGHNKGTLPESGLEKAGEDGDKVGNGEAQLLGDAGVPPLDGLEGNGVVKVVASAVAVPDSCGVVGSAQNDGERGGLLVAEGEVGREEVADGDVMEMGNRAGDGELERKQNGVAGSRMKRWLTSAVNSPPKKRVVADGRTVTTKEEGNGVLEISPVCTSPPGCGRNAVTTTDSRVLEVSPIRTFPPGSGKSALTTTGSGDEEGLPLEATPIINVDAAAAIPVSGGATSLTSALDVSNEKLEGKRMTNEGHSKAHIRVQVPDDFVGTEQDGNLQQNVDEKSTPRNSSDEKMKGKLSQSEGKQLARVVVGDKMKNKIEGSLHRSTHKTPLSDPIDAKTKGKRLESGKMNATLLGNAGGKMQSKTLSTKKELACSNMNVKQNKSAHKPSKFGKHVATNQMRESDDMKLVPDQLIVLALMAPDKCPWTRGRKSRASASKPLAPRNKLNGMDVTPRRLLINDETMEDNDDSNPEDDGNSKVLVMCGEKQEICVTVPPSVPSGSHQRQLGDHDVDAQRKVRKLLQLFQVACRKITRLVEQGNRNIGRVDTEAFKALKRDPIYNKPGAMVGSIPGVKVGDEFHFRVELSIVGLHRPHQGGIDTSTVNGVPVAISIVASGGYPDELSSSDELIYTGSGGKAGGNKQGDDQKLERGNLALKNCIDTKTPVRVIHGFKGQNRSEIGHSKGKKTSTFIYDGLYEVMECWQEGLKGEMVFKYKLHRIAGQPELALHAVKATRKSKVREGLCLPDISQGSERMPISVINTIDDTRPAPFKYTTKVIYPSWYEKEPPKGCDCTNGCTDSIKCACAVKNGGEIPFNSDGAIIEARPLIYECGPSCRCPPTCHNRVSQHGVKIPLEIFKTGSTGWGVRSLSSISSGSFICEYVGELLEDKEADKTQNDEYLFDIGSNYHDEELWVGLKSVVGVQSSTSSSKTMEGFTIDAAEYANVGRFINHSCSPNLYAQHVLWDHDDMRMPHVVLFAVENIPPLQELTYHYNYTVGQVLDENGKEKVKHCYCGASDCCGRLY >KQL24303 pep chromosome:Setaria_italica_v2.0:II:28720007:28724491:-1 gene:SETIT_029698mg transcript:KQL24303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPHLHLPFPKPLLPAAATPPRRAVAVAVRAALSTASTPAKAAPGAEAVRSIRARQIVDSRGNPTVEVDLVSGDGRLHRSAVPSGASTGIYEALELRDGDKAVYGGKGVLQAVRNINDVIAPKLVGVDVRNQSDVDAIMLDIDGTPNKSKLGANAILGVSLSLCRAGAGAKGVPLYKHIQELAGIKELVMPVPAFNVINGGSHAGNNLAMQEFMLLPVGASSFAEALRMGSEVYHVLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDGSYDLNFKNQPNDGAHVLSAQRLGDLYRDFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQIVGDDLLVTNPKRIAEAIDKKACNALLLKVNQIGSVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLAVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSP >KQL22157 pep chromosome:Setaria_italica_v2.0:II:283897:284763:1 gene:SETIT_031855mg transcript:KQL22157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSKTSSLQCKICMQTFICTTSEAKCKEHAEARHPKNDLFQCFPHLKN >KQL24208 pep chromosome:Setaria_italica_v2.0:II:27561072:27562849:1 gene:SETIT_030921mg transcript:KQL24208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSRRPDGSYGPEYGPLPPEHEYAMYRHLSSRRRAPWPLQHGDGDYPGRILEQRLRREPFGLSRHPLQPYAPFRIRHANGGGGPRHRREDPGLTDEEFREAMDQLRKQEYRPSNPQKKRHHWTGSARAGAPPAVTEEEKACTICLETFLVEEQVVVTPCNHMFHQGCIAPWVKSHGTCPVCRSALCERRNAVTGNINSSRRNAVTGNINSSSSNGEDGEVDLDLVAMMRAMEEAFSRFRLSDFMSYHH >KQL23238 pep chromosome:Setaria_italica_v2.0:II:10059154:10059663:-1 gene:SETIT_033672mg transcript:KQL23238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIWTLIHTDNILTICTPTCMPRGTSRVTRVLAMEVGRRN >KQL22310 pep chromosome:Setaria_italica_v2.0:II:1561365:1563045:1 gene:SETIT_032190mg transcript:KQL22310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRLPELIDGATEEILLRIAPEEPAHLVRASLVCKLWRRLLVDPAFVRRYREFHRTPPMLGFFQNQLAAADRVHAPWFVPTTSAPPLPQLAFEYSTGWEVLSSCHGRVLVGHGSIGMNLVVWDPVTRLRQRLPMPRMRLCFNYSYAVLCAVGSCNHLDCHGGPFLVVSVGSCPVCSSLHLWSRKLDPKGSAGWVQCRVIDLEKLFPINNPRSQPRVIGFAEGLGVIFLWTDVGVFMMELKSGRKRKERN >KQL26934 pep chromosome:Setaria_italica_v2.0:II:46985863:46987050:1 gene:SETIT_030495mg transcript:KQL26934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAPRGSNGSGGGTKLLLCLLLVACTGAAGQGLRVGFYQQSCPDAESIVRNVTWPAAAADPSLAAKLLRLFFHDCFPQGCDASVLLDGRGTEKAAGPNLSLGGLEVIDAAKAALERSCPGTVSCADAVALATRDAVSFQFGRLLWQVETGRRDSRFSDEADGTKLPNPEYVFPILRDSFARRGLGVADLVALSGAHTLGRTTCMFVSPRLYTFQGNGGVDPFIEPRYARELMGQCTSMASANRVVMDPGSEFAFDTSYYRAIKANRGVLLTDSVLTHDDEAARLVDEMQDLGKFLAAFAASIQKMGAMDVLTGSQGEIRRNCRVVNY >KQL25703 pep chromosome:Setaria_italica_v2.0:II:39949520:39950020:1 gene:SETIT_033674mg transcript:KQL25703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLYGIKMHTAVLSSIKRWGTKKPFEQLKTYPTWYK >KQL24696 pep chromosome:Setaria_italica_v2.0:II:32305228:32307369:-1 gene:SETIT_031026mg transcript:KQL24696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVKWLMHWHPSPGATLNTQILAEACGCAESLGGAKDGRWKTSIIFYRAMTRDGGAAGPAGQQGQQHGDLPRELLGVALHERPGLYFSIVRLAKLVLQADATFPQVMEKLQSYKARVALNFEGFQYQLGDFCLRIGKCVPNNSEVLRGIMMEVEYYPLSSIEKSRAIMEDFFDVWQETVAKKSLPGHFIHVESNFSEYGLSDQYSFQHTAVQYATCLQQLMAVVRG >KQL26457 pep chromosome:Setaria_italica_v2.0:II:44468103:44469728:-1 gene:SETIT_029889mg transcript:KQL26457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTPASQCPRPSRRNAPRGTRRPRQRGTAKARGKPKRPSDPHAGPRRHLTRPDALLSSVLPLEPTQPKPPLQSARPATRLRLRVGRVPARSPTVSLEWSSTLTTDPDPEPPLLAMAMVQPADPAVKANQILARFRPIAPKPALAAASPVAQAAAEGVVAANRVLCQLQNRPCRARKRGRPTVVPVSPKSPAQPAAKRKRAEAPYPPLRCAAATATRAHVSVVVPDSACLPLASLPPATTVAGDLVKVAAEERDVPVERDLLRKLLEPKVISPRAVRPVCSTIYVERIHRTDATCTAVVSKTAAEVEVELEADALPAVVSDSSNRVRLVNDAYKEMVGQSECPWLDAVAAASRRISGEVALVVGEPASLPEPHGVFTCTARIEWEYGGKCTSILAPCDVSRLQCESRDYLFTWRFRTVDADASVGRRSGETSDS >KQL26159 pep chromosome:Setaria_italica_v2.0:II:42674578:42675487:-1 gene:SETIT_033368mg transcript:KQL26159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAGADPFPKPMRGTDQGDVGGCCRGGGADRISSLSDDLLHIILLHLTNAAEAARTSILCRRWRRVWAYLPELSFCYQNEPRTFASTPPWPRAHSAATVTRLEITIPDGSPGIPTDSVSPWLRFASERLTGELSLSLPYNSAEQEEEELLLPPCERLTAIRFDLNRTLRFQLPPTGGAFPALATHKITNARVDGRELERFLSTRCPRLEELVLEWITLRDGAPVLSIRSDSLRRLETSGMGFEGQLQVAAPQLRWLCPESLCGLHVAAPNLSELLWESPNYDPTRHRFAEAGRHLLRL >KQL23835 pep chromosome:Setaria_italica_v2.0:II:20756724:20759684:1 gene:SETIT_031030mg transcript:KQL23835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLRNVCRAENRGAAARLLEFAAPVATQPAAAQSSSAIQYLRPYGFSRPTGSQTIPRDVIPAASAFCTRALTMRGFSMVGNAEVASDEDDSSSPAVEHPPRIKFKRLDKTARHIMNILNKEAVEKVRSEREVPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGIHTTFRLRRLVAGVGVESVFPLYSPNIKEIKILDRKNVRRAKLYYLRDRMNALRK >KQL24419 pep chromosome:Setaria_italica_v2.0:II:29895281:29896084:1 gene:SETIT_032498mg transcript:KQL24419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTAEEDQKLVSFLVNNGQCCWGAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSPEEEETVINLHAELGNRWSKIASQLPGRTDNDIKNHWNTHIKKKLRKMGIDPATHKPLQPAPPQPNEEEKAAAASAIVSGVELGNEAFSISEVPMVHLLDDVVLPYDLVAGAPPASNSGIDTAYSPEPSSSSSSCSGSATASSCASSVVDGECRNWLEWAESMLLDDVVTGPAPWTFEDPFVTYQRIALFDHQETW >KQL25018 pep chromosome:Setaria_italica_v2.0:II:35286021:35286948:1 gene:SETIT_031461mg transcript:KQL25018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEILDGRTVQSFVEDERAFNSCVDARFAALDADRDGRLSYAEMARELAALRVREAHFGADAPAPAAELAELYGSLFARFDRDGDGAVGPDEFRAEMRELMLAVASGLGALPVQMVVEEGSLLRRAVDREMAAAATAA >KQL24355 pep chromosome:Setaria_italica_v2.0:II:29158647:29159090:-1 gene:SETIT_033777mg transcript:KQL24355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYLLLWSRKIRSQLTSPYPEYILSIQGYLSIPRVHIKHTSTY >KQL24126 pep chromosome:Setaria_italica_v2.0:II:26555614:26556430:-1 gene:SETIT_031676mg transcript:KQL24126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLDDFAEIEKMEMASDDLKGNVPRAYLKKADMAPVTPEKSGNDPADSVNCAKEATWLLATADTLFLVLHLSFDLCRNCYRNHGL >KQL23686 pep chromosome:Setaria_italica_v2.0:II:16291564:16292900:1 gene:SETIT_030297mg transcript:KQL23686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKQQPGRPSSSVSANAAAAAANDANAAAAAAAASGSGSGDGNAYTSFQGLLALARITGSNSDETRGACKKCGRVGHLTFQCRNFLSVKNLDLDDADAQAAAQAAAQAKFEEIKKKAAAGGNADEVSDEEEEEDEDSDSSDSDIDPELEKIIAERERARNGGRRSREEEKKSSHRHRSSSSKRRSRHTKSRKSYDSEDEEEEGRRGRDRKRTSRSKKHERSDEDSSDDSESDRKRHRKSRKDRKRLRSHCRSDASSDEEDVSGSEERRRQRHRKQRHHRREASDGDNGGSESADDKKSSRRRRHRRSESSGSDEDE >KQL24044 pep chromosome:Setaria_italica_v2.0:II:25138687:25140856:-1 gene:SETIT_031927mg transcript:KQL24044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLTPLKARVHSPLKWDERYAQYLQRAGFLDIVVQVVGGLPPMDGPLLTAMVNRWRPETHMFHLPFGEMTITMQDAAMILGLPLDGQPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKMSGVSSAWLREHFDVCPQGANDEVVQRYARVWLWHFVSTFLLPDAAGNTVSWMVLPILGQVWENIAVYSWGSAALAWLYRQLCEACRRTARDSNVGGCTYMLQIWIWERMPVGRPSRLRVDPWHRDDARPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQVEWKPYDREQLSHIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRLKHGQYLLLWQNKQGCDPKGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEYAPSDDDADIADAYDTVTRHGTQPERAPLHDYMGQQLARLLNEAGVIMEHAVGEGDGPLRAFAERVRKSCRRMAMRMNCMMSSDAHDGGNVQGTSLGSRRTALATTPRAATPSTAAGPSRRSRGKEPASPQESKDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSQ >KQL26527 pep chromosome:Setaria_italica_v2.0:II:44910828:44911525:1 gene:SETIT_032791mg transcript:KQL26527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPGPPPKGSLIEGHNPLGMWAMKLPSADTVVVKRTVSTFIEHPEGLPGAEETPGYAKHRKNFWFCVKPAHFGVNIASRSLMIPMCFLIIGLLIGLLAKFPLGRYLLLKYPESFSAGLFSRAGPTDEEVKSAPPRSKCGLSGMATVMRPMHRSVSGPDVGYITTSIILVQCTLILLSQRGNLPKGGVYTPGAVFGPTDLQWRLQENGLSFDVHMTRSMR >KQL22896 pep chromosome:Setaria_italica_v2.0:II:6193063:6194115:-1 gene:SETIT_032325mg transcript:KQL22896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAPHLAAAADAAGRSKGDSLLVTAVYNRADTSRVDVKLVDVASGAIVTQVDKQRTTGNIATTGGLIFLAPTSSTAASIGVLNPATGAVTDIPTGTPTNGGPNSRPAYVFGQVPATGGYKVLRIDTAGGHGQQPYQSCEILSLGSRWRSAPSPPVLVNTTVSRHRAVTQGFAHFLTTSRTAFGGDFDGIASFDLAKEEWRPSLLQGPLPSESRNCCRSNLSLVELNGCLVFVHHDYLSCCIDMWMLTDLEKGTWLRIQSLHLGSILHGWEEPKKDQPAPLIPITHHRKEIFAQPLMVLDDGRIAFWVGVPNGAVRVYDPKTRKCMEVVDMGKSCSMVGLYKGRQLGLAK >KQL22582 pep chromosome:Setaria_italica_v2.0:II:3689435:3690774:1 gene:SETIT_032989mg transcript:KQL22582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGRSLGQDGPVRQEFRSAGALAAAGQESLACAGCGKIRWPVIRKTFIIAKWPDPPGHPTLPSRSVLRFRGVCKAWPDLFSDPNFVREHHRLQPDLPLVSFRRNASEELGEVDCRAEALDLHTATSGPSRENSLYVCNPATHQLTCLGTPAYSSNLVAFYRHDLTGEYRALLYRGYGSWKDYSYLLVAGSEGRRIGRLPSEEDGAMGQPQNTGVDTAKEVFRWMRPPPVIREHMPVLEMEGKLAVFSCAEDVRVAEIWHLQDYKNQMWACKYHIELPALDISTLPDLDGGRKSCGGRPQQRLLLSDMNGYLQERFRWDGCLLKITPCTFKESLVRMHSLRHRTM >KQL22860 pep chromosome:Setaria_italica_v2.0:II:5915522:5921198:-1 gene:SETIT_029724mg transcript:KQL22860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAANYSGKLFVGGISWETDEDRLREYFGGFGEVTEAVIMRDRSTGRARGFGFVVFSDASVAERVTMDKHMIDGRMVEAKKAVPRDDHSIVSKSNASSIGSPGPGRTRKIFVGGLPSNVTEADFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKSFHELNGKMVEVKRAVPKEQSPGPVARSPAGAGQSYAMNRFLNGFNQGYNPNPIGGYGMRVDGRYGLLSGARNGFSSFGPGFGMGMNVEGGMSGTFGANSGFINSSNGRQMGSYYNGSSNRLGSPIGYLGLNDDSGSMLSSMSRNVWGNGSLNYPSNPTNMNAFASPGNGGQVGITGDNWGGLPSAHAMGNISSLGSGNLGRGAGDNNFGLPSGSYGRSNSTGTIGEPFSASGNTYEVNNPDTYGSSSIYGGTAWRFASSEVDIPSFGHDLGNIDPNIKSDVSASYMGNYTVNNNQPSRGITS >KQL24353 pep chromosome:Setaria_italica_v2.0:II:29146819:29147174:-1 gene:SETIT_032974mg transcript:KQL24353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLIPKCSGISRSLPCKVDAWSNVPPRQQIAARSLAAACYARLGKIIGKLGPYISFLAYPICNFYSNSDMLLIFRRPGHGKRRPSIPIIPISTTVINPKFQIHHC >KQL24967 pep chromosome:Setaria_italica_v2.0:II:35087673:35088498:-1 gene:SETIT_031725mg transcript:KQL24967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGVAHGRPRRRALRLEHGAHGGRRGARFCFPFLIFYFFFLFFNLLPGHHLPLENSLQGRIMASPAPEDTISRDG >KQL23852 pep chromosome:Setaria_italica_v2.0:II:21253186:21255463:-1 gene:SETIT_032550mg transcript:KQL23852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPLNQSIAPSIKPSKPIPLFLFLRPLSFRWCASTPSPPQKRIAMAAEKGSPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGNSQGQDVPTKNSQPSGQGLYAAKGPSSSKKWFCCMQPTAAES >KQL26382 pep chromosome:Setaria_italica_v2.0:II:44048527:44050443:-1 gene:SETIT_029311mg transcript:KQL26382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLLRLLLLLGWPAMLLMTRAAADASFPVNVWPKPVSMSWAEPHAAVPLSPSFRIVAPSGNPYLASAAERYAKLLVTERYRPVVRPAVNVTAGSALARLTLAVSDLAAPLQHGVDESYTLEVPATGGAATVTAVTAWGAMRGLETFSQLAWRAGHGRDRGRDMLVLAAGVHVEDRPLYPHRGLMLDTGRTYFPVADILRTIDAMAANKMNVFHWHITDSQSFPIELPSEPQLAEKGAYGEDMRYTVENVKHIVEFAMSRGVRVVPEIDSPGHTASWAGAYPEAVSCAGKFWLPGGDWNNRLAAEPGAGQLNPLAPKTYEVITNVVNDLTSLFPDGFYHAGADEVTPGCWHADPTIQADLDRGATLSQLLERYVSAVHPLVVSRNRTAVYWEDVLLDAAVNVSASAIPPATTILQTWNNGPNNTKLIVRAGYRAIVSSASFYYLDCGHGDFLGNNSIYDDPNSDFNSSGGSWCGPYKTWQRVYDYDIAYGLTPEEARLVIGGEVAMWTEQVDATVLDGRVWPRTSAMAEALWSGNRDASGRKRYAEATDRLTDWRNRMVGRGVRAEPIQPLWCRTRPGMCNAVQ >KQL26563 pep chromosome:Setaria_italica_v2.0:II:45084943:45085590:1 gene:SETIT_032880mg transcript:KQL26563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSHRQVLAAAREEVREEMVELAELKVSELEAKRKQEECRERAEEVARVANDARVSAEASARRLQKILDSRREILDLREVELLILQSAQVSARIERDGWAKAATEALQALLKMNALGDLGFKGITATSPGPVDSLGLTFHWLRKAAQATPKVAERYGSICARAAMNLALILLHSGGCTHLGSVARPDMSFLTDERFTAEVREASKGFYRNVWECF >KQL25829 pep chromosome:Setaria_italica_v2.0:II:40767795:40777307:-1 gene:SETIT_028862mg transcript:KQL25829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAGARDPLVASEIHGFLTCADLNFDKLMAEAGTRWFRPNEIYAVLANHARFKVHAQPIDKPASGTVVLYDRKVVRNFRKDGHNWKKKKDGKTVQEAHEKLKIGNEEKVHVYYARGEDDPNFFRRCYWLLDKELERIVLVHYRQTSEENAIPQPHIEAEVAEVPPINIIHYTSPLTSTDSVSARTELSSCAAAAAPEEINSHGGRAISCETDDHDSSLESFWADLLESSMKNDTSVRGGSLTPNQQTNYGMMDSGNNIFNTNATSNAIFPSPANVVSEAYATNPGLNQISESYYGALKHQANQSPSLLTSDLDSQSKPLANSLMRTPVDGNMPSYAPTRQNSLGLWKYLDDDITSLGDNPSSAIPTTRPVNDEIPFHIIEISSEWAYCTEDTKVLVVGYFHENYKHLAGTNLYCVIGDQCVNTDIVQTGVYRFMARPHVPGRVNLYLTLDGKTPISKVLSFDYREIPGSSDDDEPKKSKLQMQMRLARLLFSTSKKKIAPKFLAEGSRVSNLLSASTEKEWMDMFKYVTDSKGTNIPATEGLLELVLRNRLQEWLVEKIIEGQKSTDRDDLGRGPIHLCSFLGYTWAIRLFSLSGFSLDFRDSSGWTALHWAAYYGREKMVAALLSAGANPSLVTDPTHDDPGGHTAADLAARQGFDGLAAYLAEKGLTAHFEAMSLSKDKRSTSRTQSIKQHSKEVENLSEQELCLRESLAAYRNAADAASNIQAALRERTLKLQTKAIQLANPEIEAATIVAAMRIQHAFRNYNRKKEMRAAARIQSHFRTWQMRRNFINMRRQAIKIQAAYRGHQVRRQYRKVIWSVGVVEKAILRWRKKRKGLRGIATGMPVAMATDAEAASTAEEDYYQVGRQQAEDRFNRSVVRVQALFRSHRAQQEYRRMKVAHEEAKVEFSQK >KQL24707 pep chromosome:Setaria_italica_v2.0:II:32419211:32419573:1 gene:SETIT_032057mg transcript:KQL24707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPGSRIRRGVLDERRPEITEGLARGIRLVLLPLLFDQGLNARHLVEKKIAVEVARDEEDGSFTAENVAVALRRVMVEGEGEEFGAEVRDLAEVLGSDEVNDQCVRDFLRCLSDYSRQQQG >KQL24705 pep chromosome:Setaria_italica_v2.0:II:32403402:32409262:1 gene:SETIT_032794mg transcript:KQL24705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKCSSRAADVSNAEFEDPNFDDVQCSQPRSFDSDSPDVVSNNEDKSNSKRSKVIKNSDDGFTRFSAAAFSNVIDCLTPHQRAVTEGYGFGSLLLFSKCSVISLTKESVNLVLGLPLGPKPFPAGSSDGKTIVLSKFGKEHLPQVTFFANKIIKQAEMSDEDISVCFMVVSLSTFLCSNTSLIPSQKYFSVFEDIDNAKDYDWCGLVLCWLLDRVKVFNSVNSGVGSSKYRQCLGGCIYYLAVMYLDHIDFRQRQVKADIPRISVWKDSMIQFYSNLDKKSLGVYGHRPLLDYDSTYYAQYRVFRSAEVDVTLDEDFCKQLEEVAGCTIPHSLKVKISVLVQKHCLMSGLSINLDLTSLGNVPDDLKRLFTKILNYASNVSARTKDLVVELMKAIAETERNDSEDRVPSPSHSDPGGGSPSNPPPSSFRDPPDPPPEGPSSGKSTDVGKVFRIFLPSPQFKSADVGKGRMLYLHCTLHLSESRHVWQMKCNLEESFDQIPLTHKDSVQKPVFDLLKFARSSHQQSKSVTINHSNSGDKNDIHIVNDYVPDSVSPLRSRHRNSYKSPQDYITMQSLCFTQDDYPCITPNLSKKTPIFASVRSSAKKDAYVVSQKNVQSSPDIQIVGSRTLAENVHDMAQKADALYNGNSNNLKSSMKTPSVREFHFSDFKGRNSSTGGKDPIRGPRRLVVPSRFLADEFITQKNKYRVSKFEIDNYKAIYYLASSSSSSENAVLFGGVRCMFWSLGEYLKSGGCVNNFVIAAFCYHLFCKPKGQPNDSKRHYFSSNISLYFSIFYDDHWFLFIVDIKDSKFVFLDSYYTENDEYHVYVKEQMIPLFVFWWNKFVCVSKTFEEYELLYPRIPRQVDSGVFVMIFLEYWESPRSLLANLFEEKDIPNIRIKIANDLVFSHKNSGNKDLVITFGHK >KQL26386 pep chromosome:Setaria_italica_v2.0:II:44070000:44072015:-1 gene:SETIT_032127mg transcript:KQL26386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQLCAALVLLLVPAHRGAAATGGGSDGHQFVYNGFAGASMSLDGSAEVTPNGLLMLTNGTIQMKGHAFHTDPLPFGGAAQKPRSFSTTFVFAIYGPYVDLSSHGLAFFVSPDKELLSTALPGQFLGLLNTTYNGNRSAHIFAVEFDTLLNADFHDINSNHVGIDVNSLRSIAAADAGYYDDGTGRFRNLSLVSRKAIQVWVDYDGEATQVTVTMAPLGVPRPKKPLLQTAVDLSGVMRGTAYVGFTSATGVLFSRHFVAGWSFALDGPAPPLNVAALPGLPPAGSKPRSKVLEIVLPIASVTLLLAVGVSIFTLVRRRIKYTEVREDWETALGTHRFSYKELFHATKGFSEKRLLGKGGFGSVYKGALHKSGMEVAVKKVSHEPKHGMKELVTEVSSIGRLRHRNLVQLLGYCRRKEVTCGRRPVGEDEHKNCVVLVDWVAEHWRRGLIIDAADTMIPSGFDPDEITLVLKLGLMCSHPLPNARPSMRQVIQYLDGDMPLPNLSSTSLNFTMLGRMYGTDFNQNMMSSMSSASSGAVSDFSPGR >KQL25277 pep chromosome:Setaria_italica_v2.0:II:37092213:37096036:1 gene:SETIT_030020mg transcript:KQL25277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKARAHSSKRPTPHLLLAVSLSVSVLLPLLLPAAVAVAEGDGEIKSALGAGRQWATGKDKGELVAEGDTAGGGSVEEDEFAGGFGSLDSMLQWAIGNSDPEKLKEEAADVQKLSADELLKRRQEIKELMEKLKMPSDADLMKIAIADLKNSSISLEDRQRALQELLVLVEPIDNANDLDKLGGLLPVIQELNNANEEIRTTSAWVLGTASQNNAHVQNQILGYGALARLVKMGYSTSSEEAAKALYAISALIRNNVNGQEAFRSENGSAMLQHILVSNSIDVRLQKKAVFLVTDLADFQLNSGNPQLPFLSDRLFLKSIIDMLSRFDLDLHEKVLLAIKSLLKLSSTDADDFEFYDLGGVLLRLGVQLEDLTPEDQKEFAGEVDALRREVEALFQQKLKQGKASAT >KQL22616 pep chromosome:Setaria_italica_v2.0:II:3887745:3888322:-1 gene:SETIT_031947mg transcript:KQL22616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRVWMYGIQRHSPTFMPEVAKSVEVAKKHARICKTKQICCPCFDCSNKFTWEDTNIIKRHLIKRGFVDGYTIWSHHGEARGTSNNTDINTGCDEVGGDDANDNNHVMMDDDYDRRDQTTDQTSPASTDRYLCWFKIIVSQHKLCPESLRSEPSPLLCEARGGSELPKRCALPRGLPN >KQL22979 pep chromosome:Setaria_italica_v2.0:II:7020103:7024886:-1 gene:SETIT_029854mg transcript:KQL22979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRHLLLLLALALAPLLAVAAAEGAAEEGPRGRRLLVLLDDLAVRSSHSAFFGSLQARGFDLDFRLADDPKLSLHRYGQYLYDGLVLFAPSTPRFGGSVDQNAVLEFIDAGHDMILAADSSASDLIRGIATECGVDFDEDPEAMVVDHINYAVTDVDGDHTLIAGDDLIQSDVILGSKKIEAPVLFRGIGHAVNPSNNLVLKVLSASPSAYSANPKTKLASPPSLTGSAISLVSVMQARNNARVLVSGSLDLFSNRFLKSGVQKAGSKKRYERAGNEQFVTETSKWVFHERGHLKAVNVKHHKVGETNEPGMYRINDDLEYSVEIYEWSGTSWKPYVADDVQLQFFMMSPYVLKTMSTDKKGLYSISFKVPDVYGVFQFKVEYQRLGYTGLSFTKQIPVRPYRHNEYERFITSAYPYYTASFSSMGAFFIFSFVYLYHK >KQL26207 pep chromosome:Setaria_italica_v2.0:II:43026721:43027454:1 gene:SETIT_033589mg transcript:KQL26207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLFHFEPSNLVFFHVNMQFQTIVLKRMSAGTAVQSSMACYLMA >KQL24860 pep chromosome:Setaria_italica_v2.0:II:33985287:33988423:1 gene:SETIT_029818mg transcript:KQL24860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGSLVRAPRRDAKVSRRRRPLPSQAVPPKATPSSPALSADRGCWSWSRNTKRISFQPEEEHEQDEEEETNQRYQYQSQITPSVPSVPVSDLPKPCRGGMAPSSYEMAASILLCAEDSSSILGLGAECEEEEVMARSRTRGEPCVDFPVPSEECVASFVEAETAHMPREDYAERLRLRGGGLDLRIRMDAIDWIWKVHSYYNFRPLTACLAVNYLDRFLSLYQLPEDKAWMTQLLSVACLSLAAKMEETYVLPSLDLQVGDARYVFEAKTIQRMELLVLSTLKWRMQAVTPFSYIDYFLHRLNGGDAPNRRAVRRSAELILCIARGTHCLDFRPSEIAAAVAATVAGEEHTVDIDKACTHRVHKERVSQCLEAIQATVALRLPAKTDGPSGGGGRSSSSVSVPRSPTGVLDAGCLSYRSDDTTVASHASSWCDENDSSPVVCSKRRKISR >KQL26066 pep chromosome:Setaria_italica_v2.0:II:42165365:42166203:1 gene:SETIT_032428mg transcript:KQL26066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPRRWRRRSYDGRRRLHVLANDCDRGFSVYELNVDHFDTDVSDSDDDMDSSARRLGNRRLVVRLADGSGNKFLAAGTRIFDPSSPFSSSRPAIVFDTRTRLVTTTPPFQAPNDGPAFWALGRTVYALGCHPVCRRFREGKQPGCFERLGPEPRPGRGKWQWEVLPSPPKGTVEPACKYGKDLLFSKGLKRHLEANLTYLGNAEFCLQETLAPEGESMASTFGLKVRMLLRVVTFRVKYSGDGELCA >KQL24161 pep chromosome:Setaria_italica_v2.0:II:26854723:26855997:-1 gene:SETIT_030294mg transcript:KQL24161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQRPPSSLPPQPQPFRFWLPYRSNVGSWRQQPRPPAQPITSSPRPQAPPTPPPPTPAGPAPERSAPHAVVEDIPLQAESVGESDTIPVRSAGSSQLRGGRPSAADLELTLSGAPPTGQEQGSGGADGNRGGDTKIAISGFPRSRLFDGALAPYRREIEDGLKSLAAREAAASRPEGGQGYRVVTLAGHNVGASMVLGSAPPPGAAEAEPPGSAPRVATNVNSNVQSVNNSSMEGSTLSAGNPGVHVDVKNAPEEPTTVAPTPPPTPKEEDKPKEPVRRPPLVVTPREKSAAAGGGDAARPARRRRCLRALMMENGSDTEAARKPRPGACRFQCVSDHVPPPATASHGGGAGDHKD >KQL26414 pep chromosome:Setaria_italica_v2.0:II:44197322:44198177:1 gene:SETIT_032701mg transcript:KQL26414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCMRAQPVANNRLHPRSTCKSVVFIWATSLAAATVLRPTHIYLGHISWSNMNLCPIQDYGKSVNGNFEAGDILVRVGHVRFNPKLEDHKLTDTRCVNDYKKLADIVKKLFITSTGKNTMYLNHLLTAMNSYPTNKYDSKEYKAYLANHWALKSYMDRMKQYTTLERGYNCGDSNSRLSFVQATFWNYAWILYAEKSTVLKECIDHKIPPKYGGSKHTNTTVQRLRFYTREQSEGCYGCY >KQL26675 pep chromosome:Setaria_italica_v2.0:II:45702274:45705743:-1 gene:SETIT_029762mg transcript:KQL26675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTGAPATTAPSSSFLSSSSLPLNSRSLETIHRRPAGRMSVTVRCVSSPPAVDTSYKTSVPRNANMAKLQAGYLFPEIARRRAAHLLKYPDAKIISLGIGDTTEPIPDVITNAMAERAHALSTIDGYSGYGAEQGEKKLRAAIAATYYADLGIEDSDIFVSDGAKCDISRLQVLFGSNLTIAVQDPSYPAYVDSSVIMGQTGLYQQDVQKYGNIEYMRCNPENGFFPDLSTVPRTDIIFFCSPNNPTGAAASRDQLTQLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNIAQAGGLACLSPEGLKAMHDVVGFYKENTEIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKANIVTTPGSGFGPGGEGFVRVSAFGHRDNIIEAARRLKQLYK >KQL26653 pep chromosome:Setaria_italica_v2.0:II:45578088:45578573:-1 gene:SETIT_033703mg transcript:KQL26653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTCIRRRQIGAPGPSSSSPTTPWHSLETQLHRFRRIYAVKAAVSCFNVVKREYHRSMVYVSLCGVVHVSA >KQL24676 pep chromosome:Setaria_italica_v2.0:II:32169429:32169566:-1 gene:SETIT_032002mg transcript:KQL24676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTFNPWPVFFRREWSRSWPFLTGLAITGYLIAKMTANFTEEDLNQ >KQL22343 pep chromosome:Setaria_italica_v2.0:II:1864961:1865831:-1 gene:SETIT_031256mg transcript:KQL22343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAKRPGGHAAPGVKGWRRDFHASSVVSAPGYKYRSPLSVRSARHFCPRNRRARLSRLPSAPRTASGRQASAHDLLLRRLGFELSIRSTTAMARSATVMVLAAALAVLLLASSSAPVASAGRADPAAAVVSHGHQAQGSTAAGERGCEGANDEDECMMRRTLAAHTDYIYTQEHHN >KQL24675 pep chromosome:Setaria_italica_v2.0:II:32158860:32162245:1 gene:SETIT_029504mg transcript:KQL24675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPWASFLGVVLATVMLLKAIVGRHRRRRAYNLPPGPKPWPIIGNLDLVGALPHRSIHELSRKYGPLMQLQFGSFPVVVGSSVDMAKFFLKTHDVVFTDRPKTAAGKYTTYNYRDITWSPYGAYWRQARKMCLTELFSAKRLESYEYIRAAEVRALLRDLHAVSGSGCAVMLKDYLSTVSLNVITRMVLGKKYLDKEVAAGGSSVTTPEEFKWMLDELFLLNGVLNIGDSIPWLDWMDLQGYIKRMKKLGKMFDRFLEHVVEEHNQRRLREGKSFVAKDMVDVLLQIADDPTLEVELNRESVKAFTQDLIAGGTESSAVTVEWAISELLKKPEVFAKATEELDRVIGRGRWVTEKDIPQLPYVDAIVKETMRLHPVAPMLVPRLSREDTTVAGYDIPAGTRVLVSVWSIGRDPTLWDAPEEFMPERFLGSKLDVKGQDYELLPFGSGRRMCPGYSLGLKVIQVSLANLLHGFSWSLPDGLTKEELSMEEIFGLSTPRKFPLEAVVEPKLPAHLYAEA >KQL24880 pep chromosome:Setaria_italica_v2.0:II:34236727:34237873:1 gene:SETIT_031005mg transcript:KQL24880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASTSATADGFDPEASSPSAHSRLVASAGACSGAAAARIEYCGKPPGPPDRRFPRTPPVAQRRPGCYPAPGTHAAASTTSTRPRPSSRRQRHSTPSPPPLHQAGHSKALLPWRHTRSDRLAAGTRPAPRPPMPCLRRFSPPKEPQHAGHTRIREKLGPSHGDHRPAGELIGAGRAVARWLRFLVASSATGRSWTELRGAGGHVNAAACGAAARSGIGNGNEKERGAAGGI >KQL25438 pep chromosome:Setaria_italica_v2.0:II:38188156:38188873:-1 gene:SETIT_032473mg transcript:KQL25438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HAGTAAAPTSKSTWVPAAKRRERQQQLAALAASAAATTAGAGPSRDPTKRPRARLSVATPTTSSGDQQMVTVAERFPREVSSEAVFRCVRLGPVDQAEAEVAYQTTVSIGGHVFKGILHDVGPHSLGNPGGSGGAIEYHFRHAGDGSPPSTAAAGDVGGGGVANVIVSSAVVMDPYPTPGPYGVFPAGAAFFHGHPRQ >KQL24410 pep chromosome:Setaria_italica_v2.0:II:29734467:29736353:-1 gene:SETIT_030289mg transcript:KQL24410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGMEAHGWAARDASGHLSPFNFSRRVQRDGDVTIKVLFCGLCHTDLHVIKNEWGNAMYPLVPGHEVVGVVTDVASGVTKFKAGDTVGVGYFVDSCRTCESCSKGYESYCPQLVQTSNGIDLLDGSTTQGGFSDVLIVSQGYVVRVPETLSLDGAAPLLCAGITVFSPMVQYGLNAPGKHLGVVGLGGLGHMGVKFGKAFGMTVTVISTSPDKREEALDRLGADAFLVSRDPEQMKAAASTMDGIIDTVSAEHPVEALLELLKPMGQMVIVGLPAKPLEVPAFSLVAGGKRVAGSGGGGIAECQAMLDFAGEHGITADVEVVGMDYVNTAIQRLERNDVRYRFVVDVAGSNLGVSD >KQL24875 pep chromosome:Setaria_italica_v2.0:II:34204501:34205076:-1 gene:SETIT_031347mg transcript:KQL24875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANALSLVAVLLCVASYGALSSARTFTVGDDQGWMSGIDYTDWTSGKTFAVGDKLLFSYRSQEHTVTEVSKSGYYTCSGSGALSDDTSGWTVVTLTGPGTRYFICNVTGLCSSGMKLAVTVAESGGGTVPSGASGGAPTPGVGSAVVVVATGVLIKLALF >KQL24279 pep chromosome:Setaria_italica_v2.0:II:28470712:28471635:-1 gene:SETIT_032812mg transcript:KQL24279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRTLPALRSVKDGVMDAARQAAKGDAHFPSLRGHPAARVNARESAEGQARLDAAEDERRRGARPEATTVKEFQVYRWNPDSPGRRPFLQSYFVDLAACRPMVLDVLQKIKAEHDPTLTFRRSCREGICGSCSMCIDGVNTVACLKPVDTDASRASMITPLPHMFVVKDLVVDLTGFYQQYKSVEPWLKTKRPPPGGREHPQSPAQRKKLDGLYECILCACCSTACPSYWWNSEAFLGPAALLHAYRWVSDSRDDYGQERVQSLAEGWDKLYRCRMIKSCTATCPKSLDPAAAISSMKTLHQLRKA >KQL22918 pep chromosome:Setaria_italica_v2.0:II:6348935:6349452:1 gene:SETIT_033481mg transcript:KQL22918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLRRSSCSRSPSMASPRLSPVSSPRPAGRRPGKGTAGGLTESSSTSRTTGSRPSPTSIGCRARCSSSSRQRSWRSSAPRS >KQL25756 pep chromosome:Setaria_italica_v2.0:II:40289009:40291816:-1 gene:SETIT_033204mg transcript:KQL25756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPPGKFNLVDGGYANTPSFLAPYRGVRYHLKEFGARHQRPQNSKELFNHHHALLRNRVERTLGVLKKRFPILKVATFHMLENQVKIPVAATIFHNIIRLLHGDEEWFLPTTSATKKKPSPKCSGAKRSGGSPRGTIADWNPTLEKSLVEILHEYKDSGYRSDNGWNTKGWNKMVKEFHLRNKSVSYTKAQIQDKECHPAKMTYNFTSIESQRVEEPLHQINDVEEEALQEIKIRDEEDEEKDARDEEARSGERRMAALRKKLEKEGQRPRKSAKIEAMMERFLEMRTKQAEDEAKQLARENEARDKEAAKGDEYSIKRCISIINTMEVTKQEKAKAYAIFTKSKENRETFICASEEDEESALIWLRNEMA >KQL23517 pep chromosome:Setaria_italica_v2.0:II:14415499:14417624:1 gene:SETIT_029922mg transcript:KQL23517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLALLLLVLPPPLREYFSASHLPKDAGVGSELHPVVLVPGLLCSDLEARLTEAYKPSAPRCGAMKGNGWFGLWKNASDLAANDYVDCFLEQMRLVYDPAINDYRNLPGVETRVPNFGSARGFHCKDPLHPKQCVDYVREGLERVGYRDGDTLFGAPYDWRYAPPVPGQQSQVYSRYFRQLKSLVETASKKHHKKVIIFGHSYGGMVVLDFVRNTPLAWRNEYIKHLILVAPVLSLGILIQAQIIAFGPNMKFVGATQSSLRTMWRSFETGIVDLPSPKVFGHMPLVITEQRNYSAYDMEDFLVAIGFGDSVEPFRRRMVPKMRYFKVPMVPVTCINGVGIRTAKQLVYWKSDYDRSPEIAYGDGDGTVNLISMLTFDKEMRRQPAQKKQFKSVKIHGAEHCGLITEEWAVKRVVQEFLEANRISS >KQL25274 pep chromosome:Setaria_italica_v2.0:II:37076553:37079054:-1 gene:SETIT_032721mg transcript:KQL25274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEGAVAEDGRKPSIWDTFTHGGYSVDNATGDVTADQYHKYKEDVKILHEMGVDAYRMSIAWPRLIPDGRGAINPKGLEYYNNLIDELLSYGIQPHVTIYHFDFPQALQDEYNGLLSPRFIEDFTAYADVCFKNFGDRVKYWSTVNEPNIEPIGGYDQGMLPPRRCSFPFGLFACEEGNSTTEPYVVAHHLLLAHASAVSLYREKYQAEQGGRIGLTLLGWWYEPATETPDDVAAAARMNDFHIGWFMHPMVYGDYPPVMRKNVGARLPSFTDEERKRVKGSFDFVGFNHYVAVYVKADLSRLDQKLRDYMCDAAVAYDMPFLKSNNQFPFGLTNDFMKSTPWALKKMLNHLQVKYKNPAVMIHENGAAGQPDPSGANTYDDEFRTQFLQDYIEATLHSIRNGSNVQGYFVWSFLDVFEYLFGYRVRFGVYGVEFNSPARTRYQRHSAKWYSSFLHGGELRPVALPNGAYSQ >KQL26104 pep chromosome:Setaria_italica_v2.0:II:42384643:42385839:1 gene:SETIT_031075mg transcript:KQL26104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACARAITLFALTLLPAVPLTTAAAAPGTEYRRPAPSPGHKCGAQGTYAPGSAYEANLRLLAAAIPAEANASGCRCSPGNHAGERPDNVAASAYCYWRPDAGWPSDCAACIARAFEEAQRLCPYHRQAMVVVDGGECSVSFHDVQQREQSMGLGSAGESVAADGDHASYLDLLQYMNKELARYMSEDELMEFKNFTERATQASKSPECLTMQRIYL >KQL26206 pep chromosome:Setaria_italica_v2.0:II:43023919:43025088:1 gene:SETIT_031995mg transcript:KQL26206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDHPSAKRIDAPAAPPPLLCLNDDLLAEIFLRLPALADVGRAATACAAFRRVVADRAFLRRLRSVHASPVLGLLLFSSIHPAEPPHSNAPFARALQRAADLSFSFVPSAGRWIPVDSRDGRVLLEREAMSGDFALCDPLSRRYLFLPQIPGRPAAQRRGRLEPFLVPASDEDSETSFRVVCVVECKPGQLVAFVFSSATGQWESLTVDAGLQPSCKFSWSSYACGCFYWKVVTGINNFLVLDPRSMEFSSVDIPSGLGQQDSVIVEAGEGSIGMFTLYNSIISAASYLVYTVRDIDEEGNSMWQFKRRVRLPAQYVFSFADAMDRHLLLRGIPWNLHLGSSTDEVDIGYFSVEFESMQIEKMCDLKHLLYAKLYTGFPPSLCVPSI >KQL23739 pep chromosome:Setaria_italica_v2.0:II:17178679:17185223:1 gene:SETIT_032744mg transcript:KQL23739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPTYPFARRPGATEKQPAAGMPPLALVSALPRAAHSKDLLLPSRPAPAPRTTTCDNVPEPFGRRNESRHGFGVICGPKREAMLSIGEDEYRIDFVSVRGSYVVIFAEPMAQVCYDGKGKPTPDTGTGGPKSLDGTTFTWSLEGTPFTFSKSNKLVNFGCNRTLMANFFNRPGDLSPLPQHPSCTTTCTTPNISGSCLGEACCEAPMDQVHGAKALSLSFERTTANGTGDGEEDGTCRAAFFLDKDEAVFTFGGEQVRPLKKALLPQGERRMILDWAIGSTTCDQAQSYTFEPLCKYGTCVDAPSGSGYLCKCPDGYDGNAYVSDGCQDINECRNYNSNNCTYLNLCNNTLGGYTCSCPKNNIGDGYRTGTGCNTTLVTPGISVCDHPEKNPCTYIKYCIDSEGVVSCACPEGMSGDGRKNGSGCCFSCQKHFPLETVLGVGLALMVTVTTAASCYCWAIKKRELGRKRAELFRKNGGLPLQQRFSTITSQGEDRYSAKIFSAEELKAATDNYSESRILGRGGHGTVYKGILPDQTIVAIKKSKVFDESQVEQFVNEIAILSQID >KQL27000 pep chromosome:Setaria_italica_v2.0:II:47320957:47321432:-1 gene:SETIT_032040mg transcript:KQL27000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGAKKPDAAGDPAPAPSAAAANATQPPQQALFEAKHAELLSQARDVAREFGVDVHAIVFRPDDGTAVRNEFLGAGREAQLKDLIGRAVARDVSAMGAPELAAHEQHLLRLRALVARELQVFGTAVMAAAAATGSSDKIRRIE >KQL24168 pep chromosome:Setaria_italica_v2.0:II:26917119:26917631:1 gene:SETIT_031385mg transcript:KQL24168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIARGMHHRLGFISKSIVSMFWPAPTSPPPRRSLALSSPALDLAQAGFGTLARAELACAAQATRRSPSSDSAPRRSSCASRRPSAPVPSPMGIRARACARRCFTPWMGDDPVARSGEVGGPRRTGGGESAFQGGGHPWLCRQSHGCRRGR >KQL26445 pep chromosome:Setaria_italica_v2.0:II:44402343:44407199:1 gene:SETIT_029725mg transcript:KQL26445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADSGKLFVGGISWETDEDRLREYFGRFGEVTEAVIMRDRNTGRARGFGFVVFADSAVAERVTTDKHMIDGRMVEAKKAVPRDDQSIASKNNGSSIGSPGPGRTRKIFVGGLASNVTEVEFRRYFEQFGVITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALHKNFHELNGKMVEVKRAVPKEQSPGPIARSPAGGQNYAMSRVHNILNGFNHGYNPNPIGGYGMRVDGRYGLLTGARNGFSSFGPGFGMGMNMEGGMSGNFGASSGFINSSNGRQLGSYYNGSSNRLGSPIGYVGLNDDSGSILSSMSRNVWSNGNLNYTGNPTNMNAFAPPGNGGGIPGDGISWGGLNSAHGMGNLSSLGLGNLGRGTGDSNYGLPSGNYVRSNSTGTIGEPFSASANAYESNNPGAYGSSSIYGDSTWRFTSSEVEMPPFGHDLGNVDPDIKSEISAGYMGNYTVNNNQTSRGITS >KQL25192 pep chromosome:Setaria_italica_v2.0:II:36553325:36555436:1 gene:SETIT_030770mg transcript:KQL25192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATATAGDRRRRSRAPGGGAAAAAGNDDGEEQHVNPFLDVAPSASSRVQFRNVASRARWVEEAGAAEVVESKGKLWLTTGVTRGGKLCYNVEEIGFLVERGALILLNDKDETIGIEGIYEKIVGGKYGCSWDAFQAYKHLKSLGYIVGRYGVPWTMKSSGTCDTTVPPTSVVHTDQSFNRVDGTCSDITLKEMHIDGISPSFEVYLPNSKFKKSSPGTPSFLLCLLRNKPPTRVELEMVENNFGGIPLKYCHVDNGRVSFLSFDKVALPSLP >KQL23111 pep chromosome:Setaria_italica_v2.0:II:8445084:8446675:1 gene:SETIT_032401mg transcript:KQL23111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGGGADGRSAATATRVALFPLPFQGHIISMLQLAGALHARRLAVIVLHTAFNAPDPARHPPGFAFVAVPDAVPDAAGGGIAKILALNAAMEASGHVRDALVSLLRSSEEGEPRLACLVIDSTLTAPQKAAAGLGLPTLVLHTGGAACFRLFRSYDMIHDKGYLAATESNLHMPIKELPPLQVRDLFDPSKLPIKEIGQKILNLATETTTNSNGAILNTFEALEPHELGMIGDDLAPKGIPPFAVGPLHKLIASNDGAETSLLNQDRSCIEWLDMRKLPCKPFLWVVRRGLVLGVEKQELPEGFESAVEGRGKVIEWAPQQEVLAHPAVGGFWTHNGRNSTLESTTRDEGGIEKAVRKLMEEDEGAEIRGRAKELKEKVRMCLESSGSSQQAVDKLVDHTLSL >KQL23193 pep chromosome:Setaria_italica_v2.0:II:9132971:9133542:1 gene:SETIT_032091mg transcript:KQL23193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASGSSSQLSASSVPSAGDDGICWSPVAYQEGPLDYEPAIYCRCKKKATRWISWSILNPGRRYFACMRRWAGGCKFWKWYDDDNTSPFVWGLAKENAKLRASISEARAQIDQQLVQRETDWRTVAEKEDQVIALSDKVKKFQRYRVLLL >KQL23903 pep chromosome:Setaria_italica_v2.0:II:22725060:22725910:1 gene:SETIT_031516mg transcript:KQL23903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAQRYGYGSAGFFEVVQGLHPRGIPKSEARGGAGVAVNKVKKYLAQMDRAVDYDFYDDDDLRYVRFKSPFNRRPLIGRRPSLGKNAGKRTLRLVGGSSPDYLRQCEEAAFGDFDDSDDWEDEV >KQL25842 pep chromosome:Setaria_italica_v2.0:II:40847110:40849117:-1 gene:SETIT_031502mg transcript:KQL25842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KQL23119 pep chromosome:Setaria_italica_v2.0:II:8481111:8481742:-1 gene:SETIT_033790mg transcript:KQL23119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQKSSVQTSDLLVMDVCSPPVSFVERQLLRCLRQAQEAELKGFSIHRTLEDAGNGRK >KQL24341 pep chromosome:Setaria_italica_v2.0:II:29084644:29086728:-1 gene:SETIT_032006mg transcript:KQL24341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVHENANKGINEQEESTDSNPSPLYSDTKLNKKLRSKVWDTFVPCFVDGKLARAECKHCHQVLKCIGANGTGSLLRHQANCSTRTQKSISADKNTEIQSHKEIALSEQVIPTGANWKNQEVKHNYSREEIIRVSSMYGHHPTMMELDRFKKLVAYLNPTVKIPSFIDLNVHSWKSFEEEESKLKEKLVTLRSRVCLSAYVWHYNPCLAFLCLSVHYIDDEWKQQQKIIRFRHVDPSCNAKELSNVIFVAIEEWHLGGKVFSIILDDAFIDDTVASEVKTSLQKWNKLAANHSLFVVRYATHLLDQVIQLWVVKKEVHEADRYRHSREEEAFSKVREKMKRKFMECWTVCFMHFFMPMVMDPNYRLKHIMSHLDFNAFDDDRKDYLQQVHDTLASLFNEYSNLKEDPNSASGAKTSKEAVVDGDMLMEYYFHSKYPYGARPLSEFDQYLQEPCLTTGESSVLQWWKEHHLTYPTISQMARDILALPCSTDCKEATRTARMAITESGYKYWVERLVCVQNWLKTAGMTCSLHVSLFHPVAYLH >KQL23419 pep chromosome:Setaria_italica_v2.0:II:12242137:12244318:1 gene:SETIT_031955mg transcript:KQL23419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADASAGSGALPNWVMLERFIFRRDDAQSFREDKRTSATGETSVGAHFRISFILAEPPTPSRLYLSWPGGPKHEMMCHLAAAHRNLVLLRLDSFVDPSYPSPFGEMAHDYFIYYVAADPRSQAQSTPALRRLPGCTVHNAYFGRPIPRPFEPWGVGLLCCGEEFAVAYMSVSRRDPEAEALEVELWVLRSTVRDDSTDGGEKWEAKYLPLQGQDVEHINLLDFTTSEVVPFKNSLCWVDYRRGILYCEDVCGDSPKAVFVGFPPGYSSYHPSVRPELYRPELYRGLCVTEGGRTLAFLDVVRRDGIDIGPMVPAGFTIISMALTETQSANSFVVKADDLWATHSTKDLPHEVMMLPLLSMDDINVAHFVLYDWADLSGKFKISLVTIDLSTKRVVGSVVPYINEEDLSTDDADLVKVKPNFFMPFLPAEFPKFLNLQRTRKNPA >KQL25454 pep chromosome:Setaria_italica_v2.0:II:38296885:38298003:-1 gene:SETIT_031068mg transcript:KQL25454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYNNQFYAPSLVPATWNLHAALAAMGLDGRIKVSSAHASSVLAASYPPSAGAFDAASLPVLRPMLRFLADTGAPFMVNTYPFISHVNDPANVQLAYALFGAGARRRAGVHEPVRRHGGRAGGRAGEGGVRRRAGRGDRDWVVHCRASGRDAAAFNAEIVGKAARGVGTPRRPSVPVEVFLFDMYDDDGKPGAEFERHFGILRADGNGSKAYDINSA >KQL23230 pep chromosome:Setaria_italica_v2.0:II:9929443:9931245:1 gene:SETIT_030362mg transcript:KQL23230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVARRKKRKSKDRDSQGPPDRISGLPDCVLGHIVYLLPTVDGARTQILSSRWRRLWCSAPLNLDCHGFGAGARAACVDLMSRVRRAHGGAVGRLVLSTPRRPAVQPYLDRFLGSPALDGLQELEFFYHRSLPTALQQPTQPPFPPEARRFASTLSVASFGFCHFSEETARSLVFPVLKHLELKEACTSVGVGEMVLEELVVEDTPCLERLLFPMLLCRLHVRVIAAPKLEVLGWLPDFRPRLNLGIDVPREPNTNTFSLMMVMRSVKIVALRTQYLSLDVVINLMTCFPCLTSLYISSVNRGDEDNNMWHAEQLGRMECINQHLKKIILSGYVMNCMSQVKSSSSY >KQL24010 pep chromosome:Setaria_italica_v2.0:II:24518733:24519030:-1 gene:SETIT_033451mg transcript:KQL24010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAMEEGHDMIACAGSAPIPISGTLNPAWPICTQLLPQVPVQSYTSM >KQL26786 pep chromosome:Setaria_italica_v2.0:II:46318966:46319474:1 gene:SETIT_032886mg transcript:KQL26786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHETVRSKSKLRKGVNGLRYPNTAQRALLPSSSNGWFFSSRHSDRSSAAATVTLANLRQLYGDVVETATIARSLLAPVASQLSGDPSGLHSRCVLGYCLGFFREPGDVHADAAVAVCDLMQKAVVVFRDEASVAVALRRQAETSAGLFRPRPLRP >KQL25882 pep chromosome:Setaria_italica_v2.0:II:41088663:41089107:-1 gene:SETIT_033625mg transcript:KQL25882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYKLCTESYQCSDARLMQSTSSSRNIYERS >KQL25863 pep chromosome:Setaria_italica_v2.0:II:41016662:41018725:1 gene:SETIT_033404mg transcript:KQL25863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESEPEHGPEGPEPEPIPPWPSPSSVSSVLENDDLITVILRHLPPRPSSLPRASLVCKRWRSSATSESFLRDFRAFHRAAPPLLGLFHNSYLGGPDRRFVAAVDPPDRVPASPFRVPFGRDHRRWRFLDCRHGRALLLGPAGPRHEVLVWDPMTGERRRAPLPPDAADVRHGAVLCSCGHERDCRSSHFQVVLVWFKLLPSTHHRRALAAVYSSESGTWSPIITVQVPFMAAAGIATKPGTLAGNGAVYWLLPGSRILEFDAVTRNLAAISVPACAAGCLYWQCQLVLTEAKELGFAMATEVGIMLWKRDSENACGWSMYRSVQLDGRLPPRKPMQEQASLLGFHEEGNAIFVWAEAGGVFMIQLESMQSRLLCQGVRHFEIYPFAGFYTGDATARAHGDDDVDARRPMPRLSMDTLNMWHYEDPQGDLHGPYPMVMLWRWSIDPGFVAGEDFRVWRTDETKEQAVLLTDAMRTTAQLLGPSLEQS >KQL26350 pep chromosome:Setaria_italica_v2.0:II:43891943:43895777:1 gene:SETIT_029376mg transcript:KQL26350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAPSVSDEDDDLETLVPQNHTKPPSPSSRSRSPPSSFGVAALPSSSSSSSAASLGRALWSRRYLVLFVSLPLLSLALFLSLGGASSLRLPASIRLPSAAPAADPAASRMREAELRALYLLRSQRSGLLSLFNRTAAGATNGSASAAVSLSDLQAALESQIKINREIQVALLSAHRSGAGNATEDGLDLDLPATGCRRRELPAGRRTIEWNPKKDRFLFAICLSGQMSNHLICLEKHMFMAAILGRILVVPSQKVDYQYDRVLDIHHINDCIGRKVVITYEEFVDKRKKVSIDQFICYAASPPCFLDEDHIKKLKGLGISLGKIEAAWPEDAKLKEPKKRYVGDITPKFSTDAEVLAIGDMFYADIEDEWVNQPGGPLAHKCKTLIQPSRLIMLTAQRFVQTFLGGNYIALHFRRHGFLKFCNVKKESCFFPIPQAAECILRIVEKANAPVIYLSTDAADSETNLLQSLVVFNDRQVPLVKRPEHHSSEKWDALLYRNHMGGDNQVEAMLDKTICALSNVFIGSSGSTFTEDIIRLRRGWGSASHCDEYLCRGELPNYIAEQD >KQL22220 pep chromosome:Setaria_italica_v2.0:II:631362:633611:1 gene:SETIT_032356mg transcript:KQL22220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLWKSPRGTVIRIEVLVVVVVFMQLFLVAYGSRRRRSRNFFIQKGVLAAYTLSPSLVSYTLGSMQSSAIKSGMYSMWAISLYILFGSACRMASQSWFLNKMVADYMYQEHTMRGEDYDPATMTGYHYLVECNPGSTYIGDGKYVTRVPSDSIDLDKVWRNEDLSPDLKDACLSFSLFHLLRRRFFGFECGESSQPKTRDLVFKGLLAKKKEEKENDDGGASAAIDYDWIFKVIDIELAFMYDFFFTKYAAIYYGNLRTQYVLPLVSATLTVVTAFLTAGGLLRPPSKGMEGSVIVDTTAADVYLTVAILVCISFLQVVQVMYYWTTIWGRVSFACQRIRRKGCCMRLKEILVTIGLWVSPCRWSVHEQKLEQYSLLESVSNFSSQSKLTKFMVKPWNVFLKGLFSNPFELNPFTERMVRRPAVPRHVWSVVWKPGEPAELLAEVKKALVLSLERTHRHGNKLTNGALSLSSSGAYELLWACSLELRQGLELGSVSLQQKENQVCVILTWHIATCYCDKELSASGTNEHYEVATRLSKYCAYLVAFAPKLLPGHHYDTWLAFTVVAQEALRDAGDEETIYRKGLKLGKQLKNVHADRCWEVLAGFWAEMLLYLAPSDNVKEHVECLAKGGEFITHLWALLTHAGILDRGQSDVADIENNGGNQPASRPT >KQL25988 pep chromosome:Setaria_italica_v2.0:II:41726235:41728508:1 gene:SETIT_032264mg transcript:KQL25988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRVAAAAAAAKRSSSLTPARALLHEGAAGRGHATASSPPRRRGHRRAPTAESEPLTATASPRPFPDYHPPRPDSPEDDFLARRLAAVVVASPRPGTLPPLPFLPLLRPIHLLLALQLLASDPDHAGLLLPLLLLFPSRRNQQPHPHLLRCFAVAAHLAAARGDAVTARAILVRAVRFPSPHRHFVEHFITTYKAFSSDPASFDLLLQCLPSAPLLRRLRQYGISPSLEACNAVLSRLPLDEAIKLFQELPDKNVCSYNILLKALCGAGHLEDARQLFDEMALPPDVVTYGILVHGYCALGELESAVKLLDEMVARGMEPNAIVYTSIVALLCDNGQVSDALRVVEDMVQRKVILDEAVYTTVLSGFCNKGDLAAARRWFDEMQKSGLATDGVTYTTLINGLCRAGELKEAEMLLQQMLARQLDADEVTYTVLIDGYCKRGKMAEAFRVHNTMVQRGVTPNVVTYTALSDGLCKQGDAQAANELLHEMCNRGLELNACTYNSLINGLCKSGNLEQAMRTMADMDTAGLKPDVYTYTTLIDALCKSGELDRAHTLLQEMLDKGIKPTIVTYNVLMNGFCMSGRVEGGKKLLEWMLEKNIRPNAATYNSLMKQYCIGNNMKSATEIYKGMNSLEVAPNENTYNILVKGHCKARNMKEALYFHKEMIEKGFRLTATSYSSLIRLLNKKKKFIEARKLFDEMRKEGLTAEPDVYNFYIDFNFNEDNLESTLALCDELVEASIVKSRAEMDQDVVKEHIH >KQL23302 pep chromosome:Setaria_italica_v2.0:II:10649568:10650825:1 gene:SETIT_032877mg transcript:KQL23302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSIVLAVPTVQWCELPTELWGEIISRIDVLDVMSFSSTCKSLESICKTLEATVLKSGSAILVTSQLDQDGWEVEDDLKTGKFGLHDVSNALSLCCGDWLVTTNTSLDLELLNPITRTKVPLPSFGNNLSGIELPSYMELSVIFPPFARDVRRVVLPRTPSHADGNEAITLFSDGLLTYTAQGEHVWRVLKNPTDHNDNAYNYYAEIFLDVIVYHGWVIAVEEDGDIFAWDMSSLDLKPVQLPTPKTTPSEKELERVFYLAIFPSDQLILACMYGHDFGHNNKASRMVWNEHDRFEQLDSISIFEFDDADLTWRRILSIGKDRVYVADVGNFDVGICSMGKEGQVSITNQDFSVDEKAHLLQGWIIRTPMWFRPSAHAKGNS >KQL23692 pep chromosome:Setaria_italica_v2.0:II:16392796:16393686:-1 gene:SETIT_032322mg transcript:KQL23692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLAIRFHFGGDFFSPGGKLNYVGGSTTMSYVEIAKFSLPEITGHLTDHVVGTDVMRLHWLRLGWSFSNGLMFPVDDVSCKAIFDHITYGEVIEIYVDSGTVEKLILEPIKLDSSLLASYPFAFSSAVLSMFSFAKSWLNSLSS >KQL27223 pep chromosome:Setaria_italica_v2.0:II:48671660:48675151:-1 gene:SETIT_029673mg transcript:KQL27223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGAASPRSPESHVAPPRPPPQPPEKDACEDTGDMSMAVEKPCTRQEVDLGQTNRSSLNSSSECENQAASNDEMTGSESNLETAKTEGDVSNGEKVLKKPDKILPCPRCNSMDTKFCYYNNYNIKQPRHFCKSCQRYWTAGGSMRNIPVGAGRRKSKSSSANCRSILIPGSSVATPAGEAALFPLSINGNQAAVNFGPDSPLCNSMASVLKIGGEQSKNANPASTAQPRNGETQTCPASTTASDGPRSESHKGAVSAHQNGVVGHGNGVTSIHPIPFFPGPPFVYPWSPAWNGIPALAAPVCPAPAEAANSSENGNSSCNAQWNVPPMVPVLPPGFCGPPFPVPVMPSSVWPFITPWPNGAWSTPWLGPSSSVPASSPTSSSTCSDSSSPVLGKHSRDSRPQGDEKAERCLWIPKTLRIDDPDEAAKSSIWTTLGIEPGERGMFRPFQSKPEGREQISSSAKVLQANPAALSRSQSFQEKT >KQL23436 pep chromosome:Setaria_italica_v2.0:II:12408980:12409880:-1 gene:SETIT_032035mg transcript:KQL23436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQQHPFRGSIPSLTRNTVAIGNRISVRSIAAPAVALIFLVLPLVAGAVETLHQNGNSTLLSRKMLTVKAVQPKKEPTSNSGGANQDGEPLLPRGLAHDMINLEMESSLVGDPGRKHQAAAKPKSLLVIPVGIKNKEVVDKLVSKFHADDFTIMLFHYDGAVEQWGNMEWSERAVHMSAKGQTKWWFAKRFLQLDVVAEYNYIFVWDKDIEVDAFDPVQYLDVVRSTSSRENGVRHGPPLVPVVMASSGVSVA >KQL22298 pep chromosome:Setaria_italica_v2.0:II:1482423:1483958:1 gene:SETIT_032410mg transcript:KQL22298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVVSSVVVQETVSKIISDLVHKCEGKEKSNSKEDMERLEMAHIKLEAALEISNKWLITDAPLLRWRKKLKLTAQECDEALQKCRQRILEEEQIEQEVRNSTFPKRMAHATTSFIFSAFSSNKNELSRSIVQRFEWLSDGASEFLRFVELGGTPRRQMTVNSMIKHLFAGSELHHKIDGGNKYPLFLLSVVPCRNAEHGIEASLMFIRKDGNAPENDFFLTVMLQISESTDIIGITIKCLQLYTLHFKSTVESIRNELTQLPTQDLSWVPYADSCKKEHWGKKEHWDNLYSFSTRWFRPNPLCCKQHEQHKFCHSSKADSSGLQDVSLESVIEVNLQCQVSFSKYNKQRTSMLEGKCSLQDSPYLKVGLLFTPHDYSEDLLPADRSSAVVMVNGEKQHCLHTDMTLEQVEEIMLPKAIGYFSQNTEATVYQMLWKSRSGTAYIQVEKASIFMPRTRRTFLGGRKRKLFRRSDVELGNLTNVVSHFVDLWVKHAPIQLQASIMDWLQKEKEK >KQL26449 pep chromosome:Setaria_italica_v2.0:II:44435023:44435476:-1 gene:SETIT_033704mg transcript:KQL26449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYTSASPPLTQIRCKTMPGAPWAARWPRRLVRQPPSSLWQRRDMGGEPSSYDAFEDWTRR >KQL25120 pep chromosome:Setaria_italica_v2.0:II:36105794:36107523:1 gene:SETIT_030486mg transcript:KQL25120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKMRNKIILWSLAVTAVAVLVGGTIALVLTAGTWKAKIKKSQEKICNKGWECSGSKYCCNDTITDFFKVYQFENLFAKRNTPVAHAVGFWDYQAFITAAALFEPQGFCTTGGKQMQMMELCAFLGHVGAKTSCGYGVATGGPTAWGLCYNHEMSPDQTYCDKTYTQYPCVEGAEYYGRGAIPVYWNYNYGAAGDGIKADLLHHPEYLEQNATLAFMAAMWRWMTPIKKSQPSAHEAFVGTWKPTKNDTLSKRLPGFGATMNILYGESICGRGFIDAMNVIISHYQYYLDLMGVGREHSGDNRDCAEQAPFNPSSKPDDQQQQQQSGS >KQL24636 pep chromosome:Setaria_italica_v2.0:II:31906804:31907704:-1 gene:SETIT_032251mg transcript:KQL24636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLSQLLNGNSSGIIIKSSRQSSLHDGPSAALLFQEEDLRPGKKMTVQFTSTAAGARFLPRREAEATPFSSEKLPDILGRFSLSPGSVAAAEMARTLRDCEAPAAEGERKACATSLESMVDFATSSLGTSDVRAVSTLVALEGSPRQEYTMTGVERAAGGGGRLVACHAEPYAAHAVFACHLARRARAYSVSMLGGDGTAVAAVAVCHADTSGWNPRHVAFRVLGVKPGTAPVCHFLPQDHVVWIP >KQL22350 pep chromosome:Setaria_italica_v2.0:II:1908770:1909672:-1 gene:SETIT_032555mg transcript:KQL22350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSSSVAQGWGGTGKRGCSGPAARAAVAVSPSAEPVQSTANVPAEPTVVLQPMPLANVSSMSTASARANLSGQSSSAPPAHDDAMEIAVAGAATAANPPTEAVIHHQPIAPQPPPAREQHVHQQPPVAPPAAGAAGRQDPNGYTCKKCGMWFRNHQGLGGHMVGHKNRELAAAAAPLPADGDAAPAGRRNPRPEKVHVCNECGAEFRTGVQLGGHKRKHWTGPPIVPKKKPRVLVVRPLPPPAEAVADLTLALSSVEADEAPPAPPAVEAARPAVERTPASRPPAAPGRVLLFGVDIGAGM >KQL23306 pep chromosome:Setaria_italica_v2.0:II:10694774:10695842:-1 gene:SETIT_032948mg transcript:KQL23306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVDATTTESGGKGAAAAAPAPARGGFPGADLALRALLFAVTLAGLVVLATAKQTVLIPVPLLRTVLAMDAKFKDSPALIYLLVALCVTCLYSLLTALGSMRLISGSASAAKTVFLLLLLDVFYAAVMASATGSAGGVAWIGLKGNSHTRWAKICDTYGKFCRHIGASVFLGLVASIVLVLLAVLNAYSLYRRSR >KQL24563 pep chromosome:Setaria_italica_v2.0:II:31304064:31304330:-1 gene:SETIT_032872mg transcript:KQL24563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVTERLLWGDMRRKAMFTVGRKKKGGVLTVSRRPFQHTVLRRLRELKKIVPDAQDADVDMLLRRTANYICILEFKVTVLRRLSAIYGV >KQL25588 pep chromosome:Setaria_italica_v2.0:II:39212662:39214092:1 gene:SETIT_032673mg transcript:KQL25588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRRRWRVAAHHGRCPSVPRARPGAPRRLCPRDPTLRDVRFRPRGWVALCDGDGVRPADARQVNFFHTSTSRRLRFRLPELHGHRIVGFTDGLLILLNKSTTVVRVLHPFTRAFLDLPPLAPVFHLLVKDSWSRAWMDAAVCWSYASIAVVAWFPNVPVVVYTKPTHARWLVIHRDLKLWTALPFQGRLFGIRKGTGQIVQVYPRHLQHPVVACVPNNFGRPQ >KQL26623 pep chromosome:Setaria_italica_v2.0:II:45452665:45453892:-1 gene:SETIT_030674mg transcript:KQL26623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRHFADPHHPLLETQYSHDQTGRCSICLLKLAGHRGYGCYFCNIHLHGACAGYFEETISFFAHQSHALKLSRSSPGRVCDICRGDCPEGSFVYRCFGCRFDAHPLCGMLPERVGNPFHPEHELCMVSSESPGSCSACHHPLPKWRYTCSSFELHVGCAIDPPPTAAGGQGSNGHAAAFKGSYAYGGAQGSHGAAGQHSLGGPAGQGWWYPYHGPVTPGYYHVIQGGYGYGHPVQQGSSYASFMPGAGHGATGSSGQSTPHHPGGLMSAIARFLLRVAINATVNEFASQLVFGG >KQL22187 pep chromosome:Setaria_italica_v2.0:II:435587:436055:-1 gene:SETIT_033427mg transcript:KQL22187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSPFFSLLHCSTNSCGVQLPACNVEKLLSATSGELVTNSQ >KQL25730 pep chromosome:Setaria_italica_v2.0:II:40048690:40050243:1 gene:SETIT_032463mg transcript:KQL25730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTMTTDQEDFVLLILLPTTSPLPPLAAALLWLSPGGQAWALSRSRRPPSGPPGVLTALSSPVAHRMLAALSRAVDGGAALMFFSVGVTRLVVSSQPGTAHEILASPAFGDRPVKDAARHLLFHRPATRTGAGSAASPPRTSSDRAACPTPRVAAAMARHGEVPLKRVLHAVSLKHIMATVFGKHFDDLSSQEGAMLEEMVTEGYDLLGSFNWADHLPLLKWLDLQGVRRRCDRVVRKVEVFVGKIIQERRERRANGGVADEFTGDFVDVLLGLEGEDKLGTDTVAILMEWIMARMVLHPDIQVKAQAELDAVVGRGVAVADTDVASLPYIQCIVKETLRMHPPGPLLSWAHLAIHDAHVGGHLVPAGTTAMVNMWAIAHDPAIWSQPEAFRAERFQEEDVSVLGSDVRLAPFGAGRRVCPGRCSRTPPPTSGSPSCCTSSSGPPRRPAAASTCRSA >KQL27273 pep chromosome:Setaria_italica_v2.0:II:48951918:48952470:-1 gene:SETIT_0328982mg transcript:KQL27273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAKEALSWADQKRFAVPNPMPCGDFCGVSINWHVATDFAGGWSARLTLFNWGDADMQEWFTAVVMDKAYAGFEQAYSFNATAVGNSTIFIKGREGFNFLLRETNMSGVDYPVPGKLQSVFSFTKKTTPGIDVLAGDGFPSKVFFNGDECAMPLRIPSQGAKTNRGVVITMLLCLLASALLLLL >KQL26592 pep chromosome:Setaria_italica_v2.0:II:45278659:45279402:1 gene:SETIT_032920mg transcript:KQL26592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAPGSHPTAVEAPPPTGTAPRRVTLVLPVRGGGRRSGRRCGAEEPAPKPTEMATAEAVVGNHESLGAKLDRLKEHAKDVASRHPVAGAAAVIAVGAVGAYLLWPVAAPAVAMMKAPGAGGALISRATFLAKKKLYFKLLHSAGAAAAVAAMV >KQL26636 pep chromosome:Setaria_italica_v2.0:II:45507703:45508382:-1 gene:SETIT_031599mg transcript:KQL26636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCNYTKEIAHLSWCGLSHVKLNSSMPGHILFSINLSCQRGSCSCRTTQLEREPWPRETPIQTWDHPPCKFQPSNGTWHTNKCQRVENRGSCTEGRQDKPKNPN >KQL24051 pep chromosome:Setaria_italica_v2.0:II:25204711:25209408:1 gene:SETIT_028674mg transcript:KQL24051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGIEAARWVVGRALVPASGGVLEAWAASSELGPNIRDLRMELLYAQGMLNNARSHGYGHGPEIKNPALGELLQELRDLAYRADDVMDELDYFRIQDELDGTYHAADEHAGGCLRNYALNARHTARAIASMLGFSKCSRGSAGHDEPDEEDTRGRGISCGVCPCLGPKTHVDDNEQEEDASLKVRCGAVWPCGRASSTSSPTNQQGDQEVVQSSDEGGNGCIGRLATGASDTINTVGKHLPCFSVSPVQNDANPNTASSGRRFLCCARPNKAPRRECVGQTPKLKFDRVEMSRTMKEIVEQLKPLCAKVSAILNLEFLAANSSTAQRMATSRPITTSQSIEPELYGRKKETSNIIHDITKGEYCDKDLTVLPIVGPGGIGKTTLMQYIYSDEKLQNHFEVKLWVCVSVNFNVHRLTQEVADKLPKDEKNSGDKKIEEQLKSKRFLLVLDDMWDCRDEDEWKKFLVPFTKGQKGSVILVTTRFPAIAQMVKTTDRWKDLEGLDRESFEELFIACAFGDNQSRNEHRELIETGDKILGKLKGSPLAAKTVGRLLRNHLDLGHWTRVLESKEWELQHGDHDIMPALKLSFDYLPFHLQQCFTYCALFPEDYKFGAAELIHLWIGLDVLHSRGENKSIEDIGLRYLIELVNHGFFKKEEDEYGRTYYIIHDLLHDLAMKVSADECLSICSSDNVRSLQIPQSIRHLSINMDESSVKDRKTFDICNICKEDFSVLGKKLKFENLHSLMLFGEDQGSFVKTFRGLFRKAKAIRVIFISGGNYSVEDLLHNFSNLVHLRYLRIAGSQTPKDISRFYHLRVVDIKKCRSSYDLPRHMGNLLKLRHFLVPDDEMHASIFEVGRLKSLQELRRFEVRKESKGFELMQMGHLLELCGSLRIDSLENVEGREEADEAKLMHKKHLHELMLNWNFERANEDPGREEQVLQGLKPHSILLKLSIRGHGGATCPSWLGVNLSVENLESLCLDGVAWKMFPPIGEFQLVNGAAEEISSNIPGQHFKNLKRIELANLARLQRWVVGSSGQLLSHLEELIIRDCPELVELPFSNSTCIEQEQKTTFPRLQKLAIYSCPKLVSLPPVPWTSSLRSVHIYRVGLDFESVNYGKDQREGKGPMLYIEGKGRAQDMTASFWTVLGFDKLTGLESLVISRNCPLLPLDVLQKLSSSIKFLNLIECNMLSGKELGQMLSCMPQLSELYISGCEKITGLGVVEQLEEGKEEIATDELLLLPPQLQRLQILECPELSLRPDSGGLQGLAFLVSLCVHQCPKFLASYLPWPSSSCFPFPTSLQYLNLSRMETLAPLSNLASLTKLSIEGCGDFGGVDLGHLLANGCLRELSVYETPNIFFSTECSEEPLELEMLQLQSLRTDDVASVLAAPICRLFSSSLTSLSILMGDMERFTKEQDEALQFLTSLKRLQLYCRKLQCLPAGLQKLANLETLVIPGTPGIIHSLHRGSLPDSLQELLITGGGFQSLPKDSLPNSLRKLSIYNCSAIRSLPKESLPNSLQELEIDSCPAIRALPKGGLPSSLQILDVRDGNSEDLRRQCRKLIGTVPVVRA >KQL25659 pep chromosome:Setaria_italica_v2.0:II:39712423:39717912:-1 gene:SETIT_029360mg transcript:KQL25659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARRAAGLLPLLSSPSGARIPLRRALSLISPPRSNRLFSHPARPFSTSPFSASASASNGAAAERTRELHLYNTKSRKKEHFRPRAPDREVGMYVCGVTPYDDSHIGHARAYVAFDVLYRYLRYLDYEVRYVRNFTDIDDKIIARANQLGEDPFSLSKRFSDDFLSDMANLQCLPPSMEPRVSDHIDQIINMIKQILDNNCAYVVGGDVYFSVDNFPEYGELSGRKLDDNRAGERVAVDERKRNPADFALWKAAKDGEPWWDSPWGPGRPGWHIECSAMSAHYLGHSFDIHGGGEDLIFPHHENEIAQSRAACCDSSISYWIHNGFVNVNSQKMSKSLGNFVTIRKVIEMYHPLALRMFLLGTHYRSPINYTIEQLNVASDRLYYTYQTLHDCEESCQQQQDNSGDSLPANTLNYIQKLHDEFETSMSDDLHTSVALAAISEPLKVMNDLLHTRKGKKQEKRLESLAALEEKIRVVLSVLGLLPSSYHEALQQLREKALRRASITEEHVLQKIEERTAARKAKQYGKSDEIRKELAAVGIALMDGPDGTTWRPSVPLSEEEGVVVKT >KQL25362 pep chromosome:Setaria_italica_v2.0:II:37597877:37598719:-1 gene:SETIT_031900mg transcript:KQL25362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLRLSPCNSFSPPSPPPFFSHAGHPIIEFTSCEVPEQWLLGDVVVAKNEGYDDGDDLWPVGSTLSTDSELSVQPAPPPQPAPQQQEPGATVPAQRPGKRRGRKPGTRPDGPTVSHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRARVARLEAESRRAAAARWEPPVAASSCGPPGAPGHGDEAVEVRMLGPDAAAVRATSAAPHAAARLMVALRSLELHVQHACVTRVHGMTVQDVVVDVPAQLHDDDGLRAALLQMLQDSG >KQL27286 pep chromosome:Setaria_italica_v2.0:II:49009925:49010518:-1 gene:SETIT_033380mg transcript:KQL27286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVITPKGGSSSPAYVAKPPPPPPGFHKTAAGYDSYNGRATTTAAAAASESDERRALDGIVLALHAAAALLAFVGVALVASCRHGDWMEFARYQEYRYLLGASVVACLYSAVQALRNFRRRTSGGLLDFAGDQLVAYLLITASSAALPITIRMRSAVINIFTDAMVAAISLAFAAFAALALSATISGFRISSISY >KQL27075 pep chromosome:Setaria_italica_v2.0:II:47695578:47698962:-1 gene:SETIT_030391mg transcript:KQL27075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSSASAARLRLLTVPALLLLLSSAALLVFLVLPSLSPSSSATSGHLCACSPPSTHTTTTVTTTTTTASPAPVTTSPADVAWLKAQLESNSLLAGGAAASHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEASNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPTDQVLEIGCGTLRVGLHFIRYLDAARFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSKFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRQLAKLGLEYVGKHTHDSLLFNHYEIWFEFRRPKV >KQL27074 pep chromosome:Setaria_italica_v2.0:II:47696715:47698962:-1 gene:SETIT_030391mg transcript:KQL27074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSSASAARLRLLTVPALLLLLSSAALLVFLVLPSLSPSSSATSGHLCACSPPSTHTTTTVTTTTTTASPAPVTTSPADVAWLKAQLESNSLLAGGAAASHDAWHRLRKGINPRTREQQLFDINRHHGISHYPDEEASNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLANASALTPTDQVLEIGCGTLRVGLHFIRYLDAARFHCLERDELSLMAALRYELPAQGLLYKRPMIVRGEDMDFSKFGDTVMYDLIYASAVFLHIPDKLVWTGLERLAGKLRPQRGRIFVSHNIKFCSRLGGDECTRQLAKLGLEYVGKHTHDSLLFNHYEIWFEFRRPKV >KQL23845 pep chromosome:Setaria_italica_v2.0:II:21043095:21043829:-1 gene:SETIT_033292mg transcript:KQL23845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYQGIERNLGIIVTTNTFPLLHLDDKLIVKPHHKFIILKADINLKYFTNIQRHTGEDISLQTIIDHGLVRDIYGTLEEILQSDLGKAIKEACKRLACVQRKYKIKYFSNPPKFTLPLRPASHDIYIIKGSYKFPTIWSSETWHNYEEIRAKNNHDNWRIFSEAKEIEGNTKFNTEYHMMYQNKITKIFLREYYGRNSIISKELGRLLKPNYGIECQLRKEYRELLSWYELWQPEEPDIEEEE >KQL27278 pep chromosome:Setaria_italica_v2.0:II:48973079:48973475:-1 gene:SETIT_033516mg transcript:KQL27278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAVNHSCMSASPQLKSVSRALQPPAKSVATCQLMLTPQKSPQGMGLGTAKRFWSAHGSASLARLPNGMSSAKGGSSIAGAVVLQDADTAG >KQL24774 pep chromosome:Setaria_italica_v2.0:II:33030902:33033607:-1 gene:SETIT_028869mg transcript:KQL24774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLRPAPPRSLAFLVSTLILLLLSARTADAGPLATEFAYPDLVASSILYVDTGGAFLESRNRAFRAAVTNPGKQQDRFYLAVLHTPSATVVWSANRAAPTTSSGSVNLTAQGITVSDPNGTVLWSTPSPLRSPVTALRLQDSGNLQLLGAGNATLWQSFDAATDTLLPGQPLRAGACLAAAASAAGLAEGDYRLAVTAADVVLTWQGSTYWRLSNDLRSYKDRNVAVASVSVNASGLFAVAADGGPVFRVDLAAAAFPVLKLGYDGRLRITGYPMVNSSASLGGDFLAPANDCDLPLQCPSLGLCSTAGNSSTCTCPPLFAASPSTPGACIPGDGSTLASPASCQSNNSTVPVSYLALKSQAAYFATKFDPPTMAGLNYTACRGLCTGICTCLAYFYDTSSRSCYLVREKLLGSLYLSSSASALGYIKTVHSPQNGTRNSKSSSANRTVPIVLPSIAAFLLVAVIAWYACWRRMRKNGKKKKAKSSGVKQAYVGRKKPPSWDTGNADADDDDIVVPGMPTRFTYAEIATITGNFGTKIGSGGFGSVYKGELPGGEGLIAVKKLEAVGVQAKREFCTEITVIASIRHVNLVRLRGFCAEGSRRLLVYEYMNRGSLDRSLFGRTGPVLEWGERMEVALGAARGLAYLHTGCDQKIVHCDVKPENILLADGGQVKIADFGLAKLMSPEQSELFTTMRGTRGYLAPEWLSNAAISDRADVYSFGMVMLELIHGRKNRGEQTDNNVAIIGGSGEQLSEWPSGWSSAAAASTPSGASCSGDEYFPMVALELHEQGRHLDIIDARLEGRADEAEVARAVRIALCCLHEDPAQRPSMAAVVRMLEGTVSPPEPRVEALGFLRLYGRGYAVPTTSLVAMAGTSGSAGTPSSTAGASQLTDSLQGVSEPR >KQL23375 pep chromosome:Setaria_italica_v2.0:II:11638005:11639998:-1 gene:SETIT_030405mg transcript:KQL23375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKKPYVVAILIQVIYTGMFVVLKATFNQGFNTFVFTFYCQAAASVLLVPIAFFRERNTFAINMLNVALRFTSATVQSAISNSKAVSTFCLALLLRMEVVNLKSPYGVAKVTGVVLCLAGVLVIAFFTGPPFSPVNHHRAFQTGRAYSSTGHAAWIKGTFLKLSGDLAWSLWIVFQAALLKEFPNKMLVTVIQCVFSTVQALVVAAVAERDITRWKLRPDISLLAIIYTGFVVSGLSYFLQVWCMEMKGPVFFAVWMPLGFIFTMFCSSFFLGEIIHLGSILGGILLIGGLYSVLWAKSKETTIEPACSVESAQDSKEHMKPVGNQEKEQGRARGGNISI >KQL26679 pep chromosome:Setaria_italica_v2.0:II:45727152:45731880:1 gene:SETIT_029070mg transcript:KQL26679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAAIGVVVLFLAAAPFGANANTDSNDVNALNVFYTTMNSPPQLTNWVSQNGDPCGQSWLGVTCSGSRVTTIKLPGMRLNGTLGYNMNQMTALIQLDMSNNNLGGSDIPYNLPPNMEILNLASNNFTGTVPYSISQMVALKNLNLGHNQLSNINDMFNQLTNLTAMDLSYNNFSGNIPQSFNSLKSLKALYLQNNKFSGTVDVLADLPLTDLNIENNQFTGWVPDKLKGINNLQTSGNSFNDGPAPPPPPSPPSSYTPPPSWRPPVPSTDGNNIPAEDGGKGKHSKLGGGAVAGIVICLLVVGALVAFLLIKRKSWRLSRGQDPEQNEPLSPLASGLKQMKSIKSIKIISTIGKEELQKTVSMSLKPPTKIDLHKSFDETDTTSKSITRKVSLSSVTIPAYTVADLQVATGSFSPDSLIGEGSFGRVYRAKFSDQKVLAVKKIIFSAFSSHPSDLFTELVANISRLNHPHLAELAGYCSEHGQCLLAYEFYRNGSLHDFLHMKDEHSKPLSWNSRVKIALGSARALEYLHETCSPSMIHKNFKSSNILLDSELNPHVSDSGFADLTPNHEFQESDENSGYRAPEVTMSGQYSLKSDVYSFGVVMLELLTGRKPFDRTRPRPEQSLVRWATPQLHDIDALDQMVDPALQGLYPSKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRANMTRTHESHSRRHGESGGDYEF >KQL22756 pep chromosome:Setaria_italica_v2.0:II:5115641:5116091:-1 gene:SETIT_033008mg transcript:KQL22756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LASSKAILALPVPKFSETAAEQGCAVCGQRFQEGDKVRMMPCSHSFHQSCIFKRLLGNRVCPCCRFTMPAADEQDDDMSKKRRRSCTPGSYVG >KQL25230 pep chromosome:Setaria_italica_v2.0:II:36786576:36786797:-1 gene:SETIT_0310711mg transcript:KQL25230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVVRRGLGSGVFLVLLWLVAFLRDAAAIRFVIDREECFSHNVDYEGDTVHVSFVVIKADTPWHYTQDGVDLV >KQL24479 pep chromosome:Setaria_italica_v2.0:II:30549079:30549769:1 gene:SETIT_031576mg transcript:KQL24479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINIYAKLGWLERGTVGKLTMKRLLIVRRCEPIVRFSCCSVRYGECRRNHAASTGGYAIDGCREFIAEGEEGTGGALKCAACGCHRSFHRRVQVYEFAWDYGSYTSSTE >KQL26076 pep chromosome:Setaria_italica_v2.0:II:42213175:42213956:1 gene:SETIT_032549mg transcript:KQL26076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTAKLQAALVPMSNGNLEFSWINARYNGINLLPDEAFSAGAEMAVRQDAAMEGKTCDVKFSSSSLVRDYEEHTGRKISEESTANLPSDVRQETIRSLFRNKGALATSANWEGERRIKLTSCLKQASAHFHRIADFIRQGKPVVGCFRVDEEFHTLEPEEIYHCEAETEAETHGQIRFAHYVLFVGYGYDIYDEAYLVFLNSLGTGFGNNGFGRVYFDEIYKDWFYVLKAKAPAVAETGASTSSNIAQ >KQL23860 pep chromosome:Setaria_italica_v2.0:II:21519848:21521478:-1 gene:SETIT_032601mg transcript:KQL23860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTAKNRSLPLEVTLDASKGRASASSSGVELAGNSIGAVNLWNGNGVGSWVIYDVRRAHLEVFLSHASVRPQTPTLAYDVVGLGACFAEFMFVSLEVSSDNNASSEGGFIVESWTFLTSGLPAIDPMSQIVHSTPDSVRSMPALLPGVTARKDGRRRKLVMVLGLAISLPIVFLVAVMVFVVISLKKWRRDTAEVNEGVGAGKPRQFMYQDIFSATKGFYPSMVVGSGGFGTVYKAVCPHSGVTYAVKRSKQSRESYNEFSAKLRIIADLKHPNLVQLQGWCTERDELLLVYEFMSNVSLDEALHPCSGGELYVILNWSRRYNVAVGIASAVIHRDIKSSNILLDSIFSPRLGDFGLARLKDHDTSPRSTLAAGTVGYLAPEYLQMGKATEKSDIYSYGVVLLEICTGKRPIEREEPSSMNMLNVVDWVWNFHSKGRLLDAANPCLSGQYDNEQMKRLLLLGLSYVNPFLEERPVMSTVLGILQGKNELLPVPRKKPLLVFVPNVPIDLDGIVSECNQSTISSDLYELKIDVN >KQL23991 pep chromosome:Setaria_italica_v2.0:II:24161526:24161999:1 gene:SETIT_033192mg transcript:KQL23991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLLSKKAGAVELRRNKIKRKQRGRNPPCICGCVEETHLNLLPTTMSSSSSPPWPETTAVMEPLEVGFYYYYYDPSWNTVIPAELQLPPIARYLEWPDEEHQMEDDDEDDGEEDGGGCNEIDSLAERFIARCHERFMLEKQESYRRYQEMLARSL >KQL26110 pep chromosome:Setaria_italica_v2.0:II:42401061:42404891:1 gene:SETIT_029121mg transcript:KQL26110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAHHHHLPTYLAAALFLAFALAPLAAGDPLGQRCGDSGNYTLNGTYQGNIRRLAATLPKNTSSSPTLFAKDSTGDVPDIVYALALCRGDTNASACGDCVATAFTDAQQVCPYNKDATVFYDPCLLRYSNQNFLASTAGDGRGNALILMNTQNVTAPFSVFDNAVAVLLNAIANYAADNSTKRFGTGVEGFRTFDSNNPRIYGLAQCTPDTTPADCRTCLSGIIQSGPKYFSGKQGGRILGLRCNYRYEQYSFFTSTPLLQLPEPTVGAPPPVNGTPPTTGGGRRNKTGRILAIALPIFAGVLAIVVICSCLWRSKKKTSGKSSLPDTTNPEDIHSIDSLIIDLSTLRAATENFDEANKLGEGGFGAVYKGILPDNQEIAVKRLSQSSRQGIEELKNELVLVAKLQHKNLVRLVGVCLEDHERLLVYEYMPNKSIDTILFDSERCGELDWGKRFKIVNGIARGLQYLHEDSQVKIIHRDLKASNVLLDYDFNPKISDFGLARLFENDQTQDVTNRVVGTYGYMAPEYAMRGHYSIKSDVFSFGVLILEIVMGRRNGGSYSTDESADLLSLVWEHWTTGTLVEIMDSSLSSLAPRDQMLKCIHIGLLCVQDNPAERPMMSTVNVMLSSSTVTLQAPSRPAFCIPKSGFNSKMYSEGYPGGLYSASRSPMSLNDVSITELDPR >KQL23783 pep chromosome:Setaria_italica_v2.0:II:18742193:18747244:-1 gene:SETIT_029503mg transcript:KQL23783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLPHLGKLRREVKEEVADAADGPAAAAEASPFHKRTRFGHQKQQWSTRCASVSNQQSSQQGFLDEPSPLGLRLRKSPSLLDLVQMKLAQANKGTEARQAINTGASEKLKASNFPGSVLRIGSWEWVSRYEGDLVAKCYFAKHKLVWEVLDGGLKSKIEIQWSDICGLKMFCPENEPGTLEIVLSRPPLFFKETNPQPRKHTLWQATSDFTGGQARMYRVHLIQCPQGMMNKHMEKLFHCDPRLQSLSQQNDFTVDNPYFETKCSIFEEPEDIKCQKYEHKDDDNQLAPQSSNALLSPQSSASRMDAEVRQQAGKSDVLPGHYPSSVASAHLIKQDGTSVECEPHTSILNWNGFRVPGISRSMSKSEIANHIGYHLYKQMYSGNLPATDAVTASLGNGDGSNSDVSFDELTRQLLNDTQISNAADERMLMSRVNSLCCLIQRDSGSGHATPGVSGTNEIYERKSQTSVPLVRGDGSNKPLPPEESFGDLLTKPLPPRQESFGDLLTNLPRISSFPHFL >KQL24648 pep chromosome:Setaria_italica_v2.0:II:31994459:31998598:1 gene:SETIT_029141mg transcript:KQL24648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSCSSARARGCPSDRTNLSIVVIPAIRSCTWTKPSAPRTRAGSNRCAWARPNRIHHTTGSGAHGRQTTITRRRAHHPTPRFLKLRPEPVLREPPNPPPPPGRASLPLPVFPHGPPRPSRDRSTHRPVRAPRIDPTPVRRPDPMLETAAVSSPRAAAAAVTAAGAAAAAAAAASTAVSSPRRGGGGAAHHHRRWAPAPYRACLVALWLVGFALVFLWQSTSVGRVRLYTRPPMPKRAASSSMGQWVASPPVYDLREFGAFGDGRTVNTAAFEAAVAAIAERGGGRLTVPVGRWLTAPFNLTSRMTLFLAAGAEILGVQDERYWPLMSPLPSYGYGREHKGPRYGSLIHGQDLKDVTITGNNGTINGQGQSWWVKFRRKQLNHTRGPLVQLMRSSNIIISNITLRDSPFWTLHTYDCKNVTISEATILAPIAGAPNTDGIDPDSCENVVIKNCYISVGDDGIAIKSGWDQYGIAYGRPSANIIIQNVVIRSMVSAGVSIGSEMSGGVSNVLVENVHVWDSRRGVRIKTAPGRGAYVSNISYRNISLEHVRVGIVIKTDYNEHPDEGFNPKAMPIIENISYTSIHGQGVRVPVRIQGSAEIPVKNVTFQDMSVGIVDRKHHVFQCSFVQGQVIGYVFPVPCKNLDVYNERRELVKQSTFQNISDIDYSF >KQL23192 pep chromosome:Setaria_italica_v2.0:II:9130410:9132193:-1 gene:SETIT_031056mg transcript:KQL23192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLGHERMMPSNNEMADIKVSLRHQGTSDSNNGTSTWDIYGRYSIGNNQEGGLEMLAGDQRRKSTLNCCTDGPPQQNFNIRNMTCSQPSLCGSRVMFGYRYSTQASDNENLSGPFDNAKVLHSSKKLKSDATLPDGYGETTNSRNMNTELDGMSEQFNGQDASIREPIEHQQRNFIAVDAGSEYATTTSGSLINPQKALPVASLGGWTDDQISELFADY >KQL26461 pep chromosome:Setaria_italica_v2.0:II:44493073:44497658:1 gene:SETIT_029151mg transcript:KQL26461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAPFLRSHPHRRALFPSLPRHRPAAAATSLLGFPPRKPGSPRRLPYTVVRSSSTASPSPPVEEAAAAPTNDAEEQERVVLPTNESSERLLRIRHTCAHVMAMAVQKLFPNSKVTIGPWIDNGFYYDFDMEPLTDKDLKKIKKEMDRIIRKNLPLVREEVSREEAQKRIEALNEPYKLEILESIKEEPITIYHIGEEWWDLCAGPHVESTGKIDRKAVELESVAGAYWRGNEKNQMLQRIYGTAWENEDQLKTYIHFKEEAKRRDHRRLGQDLDLFSIQEDAGGGLVFWHPKGAIIRHILEDSWKHIHLQHGYDLLYTPHVAKADLWKISGHIDFYKENMYNQMDVEDEMYQLRPMNCPYHILVYKRKLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIQDEIRGVLDLTEQILGQFGFKYYEINLSTRPEKSVGSDDIWEKATIALKNALDDKGWEYKVDEGGGAFYGPKIDLKIEDALGRKWQCSTVQVDFNLPERFDITYVDSNSEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLAPTQARILPVTDNELQYCNEVASELKSRGFRVEVCHGERLPKLIRNAETQKVPLMAVVGPKEVQARTLTIRSRHNGEIGTMPVDEFITRLQLAVANKSSL >KQL22905 pep chromosome:Setaria_italica_v2.0:II:6270644:6271138:1 gene:SETIT_031471mg transcript:KQL22905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIESHRSSAEVVSGDAICRKKSVELLEELGLPKGLLPMEDIQEFGYNRTTGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAYAEKGKLRKITGVKTKELMLWLSVVEASPDKVTFKTGTGLSDSFDAAAFALGE >KQL26977 pep chromosome:Setaria_italica_v2.0:II:47210630:47213957:1 gene:SETIT_030848mg transcript:KQL26977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPPPDDGEGSDGNTGRSLSYGEAEYWDARYVEEGGAPYDWYQRYDALRPFVRRFAPPASRLLMIGCGSALMSEDMVSDGYLEIVNIDISSVVIEMMRKKYFNIPQLQYLRMDVRDMSMFPDESFDCAIDKGTLDSLMCGVDAPLSAAQMVSEVDRLLRPGGVFILITYGDPSVRVPHLNQPACNWKIVLYILPRPGFTGKIRRQVLDPVPLTERGRLPDGFVPEDPDSHYVYVCEKMQGLTGAGSPTVNHIESQGEE >KQL26227 pep chromosome:Setaria_italica_v2.0:II:43157670:43160144:-1 gene:SETIT_030418mg transcript:KQL26227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHSHLAVALLLALLAGSACASDVPSFPLSQAQSPSNSSSPSNASSPPCHLDLSAELFGGVAAACGAGGGPGSLDRGRCCPVLAAWLFAAHARTALSVPPAPAPSALSGEEGLGPGDDGPMVPYDNQRCVDALGAALEKRGVALPSPNRTCDTVLCFCGIRLHQIGSLRCPAAFAVGAAAKNATPTAAVKDLEKSCRNASYSGCSRCVQSLQKLKGNVSREVTGGDRARRMLGRDCQLMGLTWLLAKNKTAYIPTVSAVLRAMLYTAHPTESGSGGAAPRCSPDQENMPLAVDSLQFEHTGSTSSAVAASTPRILHVLLGLLLCLMMISSSRDAAFL >KQL22759 pep chromosome:Setaria_italica_v2.0:II:5124227:5124709:-1 gene:SETIT_033372mg transcript:KQL22759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLTSPPLVFVVVVMFFTLLLQLPRYLRLRDPRKQPRAYGLKVYPLLGTLPHLVRNRHRFLEWSTGLQRSPTYTISFEAVGFGGGVITANTANVEHFLKTNFGNYPRDLLGGGIFNSDGDRWLWQRKAACHDFSTSSLRGFVGDAV >KQL24287 pep chromosome:Setaria_italica_v2.0:II:28528445:28533298:1 gene:SETIT_029186mg transcript:KQL24287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQPRDRGSRASRKGRSARAGPAAAPPPASDPDPAAGEDAAPWLRATADELEERLLKRLDEAYAAALARLADLGHSEEASLEAVLRAGHCYGKLNDPVSNIVANARTYLSDPGHAGGGGFADLRRLEEYSLAGLVCLLQSSRPTLTRAEALWCLLSSDLRLEEAIAIGCSLNGKPVPAAAPAESEELPPPVAETPQRGHIHYNNTTAAAAQDPALFDPETFMRLAIHQGPAAATMSCLKAAGWSRSSGAAVEGQPKESFAKKLSTEELIESVVVELEALDIDKKDPTDANPDPKNQMVRDLIKQTREMEAQLKERREWAQGKAIQAARKLGADLTELRVLRMEHDENQRRKKEKQVMEDDTIKRLAHLENELKKKSGQLDRSNATVQRLEMENAEIRAEMEAAKLSASETERQCQGLLRKEKKDTKKLEVWERQKAKLKEDIAECKTKITQAERELSEVKKAIKNMEIKIREDTRAKEENVALLEEERRKKEAAKADSDRRLEELRRKKEVESQCYKDDLRRLQDELNRLQKSTGTNQPAVPSTNPPGMTNRSTARTSKQQPIQRPPAASNRPLPQPAQKPSRRRDCVVCKKEAAVVILLQCAHQVLCVGCNKLQEDKGVVRCPSCSAKIEERIRVFGASTN >KQL26149 pep chromosome:Setaria_italica_v2.0:II:42629310:42631470:1 gene:SETIT_032279mg transcript:KQL26149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNRKVAVGGRGDDRLSDLPDGILEHVLSVLPAADAVRSSVLSRRWLRAWAHAPALNLSDERLQDRFLGFAREVLARYGAPDVPALNVTLGCESNLGPAAATAWLRDAMERVVSSVSVSVMAPGPLCPLTLPRGLRAKSITLVPSGISFQHGPLVLPGPDAPTSFGALTELSLSRVRLQERVRPLGEFLSSCCPRLRKLLLSKVSGGLVAGGGLRLWPLVLHLDMLEELVVDRVESFNKLQVVSANLRVLGVHSCFGSVSQWGIYTVVEISAPRLEHLSFLNGSHCIRCLSGLLFYLPGNEFGSTSAELDHVPQLPNIRVLSLRLVAILRFINCPIAPIIFSFLKRCPNLTRLHIDLSMLHQFSRLDPEYLTGSGWKACRDQLELGSLREIRISGFTGTDCEMELADVLFGVGVARPALERISIALFPQVRQGMNGSPVCCVGATSPAFKRMPMSFPQLLRHMDSIGTKMKAQFPLVGGYWETVPRKELTWTRTC >KQL24360 pep chromosome:Setaria_italica_v2.0:II:29184696:29186885:-1 gene:SETIT_029391mg transcript:KQL24360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARPRRWKLPFHRAAGGTGSAPCSPSPSSRSSAAPAPASPARSEAWAAEAVPEEFLCPISGALMADPVILASGRTYERACLQACAELEFLPPGVEPGGAETAAIGTWCARTGRAVPASPSAQAAREAVLRAMPQAAKSVRTTARRPAVMAASSSNSSYSSPASTSSYGSSSEIVPAEEEENGGSARPVKEAAAQAQVVREVEVEAAPVDPLEDEVVAKVMDADEDGMVAAAMGALREATRESAERRRALCTPRLLGALRRVLLLPRHAAARVDAAAALVNLSLEPANKVRIVRAGAVPALVEVLRSGNSAPEAREHAAGALFGLALNEDNRAAIGVLGAVPPLLELLTSPAHPPRARRDAGMALYHLSLAAVNQSKVARFPGAPKALLAVASGAAEPGPIRRLALMVVCNVAACSEGRAALMDAGAVASVAGILDDADGGGSTADLEEWCVSAMYAMSRGSLRFRGLARAAGADRALRRVVADEGGGVRREMARKTLRAMRGDLDEDGEYNDLTGSSLECGDGEDCGGSIVSDGLMSFRRRQRELGVSSCGNTAEF >KQL24803 pep chromosome:Setaria_italica_v2.0:II:33334141:33334695:1 gene:SETIT_031904mg transcript:KQL24803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLSILSFKYNLAKLRSKAGRPVGRPLSARDRQFSDLSTYKPDDEEMKKVFNMIASHPHRGINKKDLQVLLERLGKADAAGEARRMMCVADHNKDGYMDLEEFMEVHSNGVQLGDIRRAFFVFDRNADGRICAEEIMTVLRKLGESCSLEDCRKMVREIDRNGDGFVDMDDFMAMMTRPRRKP >KQL26489 pep chromosome:Setaria_italica_v2.0:II:44716463:44722703:1 gene:SETIT_029217mg transcript:KQL26489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYHRVYKYFTANPTYIINYPGEVTCTDFLMRCCVNGKADCTSADSRGHKQKRHRPKHAVLLSGLGLEFPPRRMDALGRVSSRARGLLTCPLRRSLPARVVLYYMGRCDHRASGLRVPDVSVVQEYNLYTVEIGEFLQGPTRWHPGVGGRIRTGRSPSLDLGLLTLGFCSAGLFLPHPAMASAAAATQVGTYFIRNYYNLLQQNPDVVHQFYSDASTMVRVDDLTGANTTVNTMMDIHSLIMSLNFTQIEIKTANFVSSWDDGVLVMVSGLVQTKEFSHQRKFIQTFFLAPQEKGYFILNDYFHFVDQEQVQPAPLISQDDYESNLASNTVVETVPEYIHEEETQTTQITSEGRDVVDSYAYSEAPQQVVSSDNWGDEPLPEEPPSSFSNEIAVAPEEPVQPPPVPTPHVEEPVGEPVKKTYASILKTAKAPPAFPVAQQVPVSKPSHPATESNQAQHLVMASSMVADKPRSDVYGEVAAHDDEESKSVYVGNVPSSVSEADLENEFKKFGRLIPDGVAIRSRKETGGYYAFVEFEELSGVHNALKASPIEINGRQIYVEERKPNSGIRGGRRGGRGRFGGGGRGYARGGGDEYNGGNRGRSNGYGRVPHQERGILGSHAQRN >KQL22589 pep chromosome:Setaria_italica_v2.0:II:3708676:3713589:-1 gene:SETIT_029206mg transcript:KQL22589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATAAADQATDLLQKLALDTTADAGDVLTTKEKAPAKGVAAAVGGLQPGVETLQVQDYKDASMYYGAYPAYAYGAYGGWGDYSTYLSHDGAQTPTSGAYADMYYGYAPYGVLGHDGQIYGSQNYQYPSTYNKQQNSTAKLSSNVKSEKLAPSPQADVSTNGIDGAKSLKNSNPSLKSDRPVSNGSYGRSNGRSGSYQNQTNWSAYPYYSSEMFSDKQQKLPSNRNSTASNAKTKGQSRNQNTRQYPHLMGLQTPTSPMGSPSIYSANGIYGYDGSSYGGLWYGSHMYNSGLYGGWNALYDGKYRPRGRDNGYYYYGNGSFDGFNELKRGPRSGLYKTQQGLGATTEVPAKEQDVSATNGSQAAKDQYNRADFAETYSEAKFFIIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAKEKSSESPVFLLFSVNASGQFVGLAEMVGRVDFNKTVEHWQQDKWTGCFPVKWHIVKDVPNSLLKHIILENNENKPVTNSRDTHEVKLEPGLQVLKIFKDHVCKTSILDDFGFYDNREKMMQERKAKQQQSLKKVIDVKLPNAADAEKKSLKGETGSTELTKVEVDVLNKEPSLDKAGEKNDAIGNGVAPEDLKSATEKLADANGC >KQL23401 pep chromosome:Setaria_italica_v2.0:II:12058186:12059879:1 gene:SETIT_031511mg transcript:KQL23401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETKRPRAAAAAFLLVLLISAAVATAAAGREGKHGQQQASVYMVMVRPPAQGVDCEAYQMGILAAALGSEARAKAALVYSYKTVVSGFAAKLTPAQVAALQKHPEVLQALPDVQYTLQRDSNHLN >KQL26235 pep chromosome:Setaria_italica_v2.0:II:43216489:43216674:1 gene:SETIT_031902mg transcript:KQL26235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMFRSMRSLDNNCNICVRPRRAPNPGTIVFLVGCSSKAQARAKLQAYNQADMYISNKMNL >KQL23711 pep chromosome:Setaria_italica_v2.0:II:16871976:16872674:1 gene:SETIT_033038mg transcript:KQL23711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRIDEESPEPESSEEVITEENAKVATPTRDVPPSDGSSAYGIGLDSNIDFDDVTSFSNVNLHPTLVVGVIMETVSIAEGIRACKVQASSREDFLVWKKTLESFELLGMNVAFLLKRVNAPLDLATQSRESWEWQEKHKKLNLERACAGKKMKVLELNLSNVKDVLQKVDAEMEELESSLKKSDVALQELASAPW >KQL23973 pep chromosome:Setaria_italica_v2.0:II:23866476:23867341:-1 gene:SETIT_032312mg transcript:KQL23973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTRRHSHTFMSEVSKFVEAAEIKQIRYLCFDCSNNIIWEDTYIIKRYFINRGGTFNNTNIDTGSDKVGGDDANENDHFMMDDDYDRGDQNSDKKDARVEPQVDEECDVDMVDMLCHIELEVLLASDKGLENFETLKKAAKDRMYEGCRKEWTVLRFVLHLLILKAKFSWSDNSFNDFLTLLGKLLPKPNLVPKNTYEAKKIINPLKMRVQKIHACRNHCILYRDEYANCDASRYKSNADFYKDCASSSIGNKTKKGAKKSAGAQLEDESWY >KQL26059 pep chromosome:Setaria_italica_v2.0:II:42108106:42109395:-1 gene:SETIT_032603mg transcript:KQL26059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDVFADSGPKHMMERAGMHVCLMKVINWDSDEHRRCVAACIVNGTYIMERDESGLHSGKPLAPAWWESFGFRRLQTLRYECECVFCKTKTKLFTLGAHRPCSIYGAILEHVGARRHPSAPRYVVAFRGTMPGHPSYLGDMHLNLRILVNKQHACGRFRHARVHVAKLLDSIAPDGRATGSAAVWLAGHSLGASIALDVGRDVVARMKGWNLPAFLFNPPQVSLAPSMLPQAMRRVAKRVIYPTSYAVKAALGTTVLRPHERDMETLFETLAPWVPELYVHERDIICQGFIDYFEQRHKMLNRLRPVAEVAMKLSLRDMLISIHSTDPESGEDQRVRPHLLPSARLWKNASYHDAHGLEQWWRADSELRLSSRRYSDHGAEAELFYG >KQL22743 pep chromosome:Setaria_italica_v2.0:II:5052379:5054022:-1 gene:SETIT_030439mg transcript:KQL22743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFECDCRVNESRNTLHSVAAHIKKISRINTEDWDLHKIAVALKIICCPEENNAATQQWFSTQHLRKLKFDAPKYKMFLKEASLALYDEVHHHCDLRFKAGQELTRLIKLAKKVDVEQPREAAIDQDDLDGKKIYPDIDPAILDELKEYGTVISARRLERQVPPTLARVDELERYTQISSHPLHMMNKPGILSMDIHPLKPRLLRSRTMPLPSAAARAPPSGTPPWPYREVAEARGAELLVREVRKGVEHEGLDQKAADLAKGRQPLAREPDPRRRSRGGRPPRSPPTRSACFAWGPTAPISAVLQRLMRAFLRAGHLEDALHLFVEMLDEASIWPD >KQL22268 pep chromosome:Setaria_italica_v2.0:II:1143962:1146260:-1 gene:SETIT_029293mg transcript:KQL22268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEMSSGGVAGAVPPKLQEEDPAAAAAVAEPWPPARRCRVMLVAGVMALLLLAFFVLGQESASTVWEIASAKLTAMNNGRGGFMDDASRHGHGAAGNATEGKADELLGGLLAPGMDRRSCRSRYESWRYFKHFPYAPSRHLLRALRAYEARHRRCAPGTPLYAEAVEMLRSGRRGSAAAECRYVVWLPFDGLGNRMLSMASGFLYALLTGRVFLVALPPDSADLFCEPFPGTTWLLPHQDFPVANLFGLGVSPEASYTTLLNNKKIAAVVDDDDDTAASNATAAPAYVYLSLGWQLTDRLFFCGEHQRAIGKVSWLLLYSDLYFAPSLYAVTVEFRDELRRLFPEKEAASHLLLRYLLHPSNPVWGLVTRYYHSYLAPATRRIGVQIRMFGFGSIPVDDMYNQILACSRQERILPETDDGDGDAAAAVADTDTGGKTTAILIASLYADYYERLRARYYEHAAASGKSGGVWVGVFQPTHEERQATESLAHNQRALAEVYLLSFSEELLTSGMSTFGYVSSSLAGVRPTILLTAHGHKVPKPPCRRAASMEPCNLTPPRGVECRARPVDGDGEEDDLARHVRTCEDDGDGVKFFD >KQL22329 pep chromosome:Setaria_italica_v2.0:II:1780297:1783735:-1 gene:SETIT_030576mg transcript:KQL22329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQKPAAASACASSGPARPEPPEEEGNRAPLERAAEAAEELYRLRDTFFPRDPAEKAAALRARADAALALLDALPPEQKKSPQQRGVFEYLRGKILDVFPDYHKEAEDHLSKAVKLNPSLVDAWLCLGSCIWKKGDLSAAKNCFMSALRKGSDKKILCQLSMLERSMAQGSEDPAVLVEESIQHAKEAVMLDIKDGNSWYNMGNAYLTSFFVGGAWDHTKLHHSVKAYQNAEKDKTMSLNPDLCYNCATADKYLENYERALRGFEAAALKDPGLGADREVQKIVSLLDKLENAMKVSKPIELSQYITMLFRLQSST >KQL25938 pep chromosome:Setaria_italica_v2.0:II:41370679:41371738:1 gene:SETIT_031585mg transcript:KQL25938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMKREKERKAARLALHMMKKTVDIDNSDFLKDLENLCQKWQLNPPSKLIVDFVHGIELPQGLGSPLEALGLFIKKDLEEEVEQELEDSLSTSLNADVEEGEISCCQ >KQL22866 pep chromosome:Setaria_italica_v2.0:II:5956683:5957183:1 gene:SETIT_033527mg transcript:KQL22866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETSCCENAALKISRSSSCNFLSDDSALLLLR >KQL26328 pep chromosome:Setaria_italica_v2.0:II:43783469:43786377:-1 gene:SETIT_030761mg transcript:KQL26328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRFPTAAVPRLPPTPGPNGAAIAATLAAAAAAAVAAASLTLTAKSAGRPVPHPAPSAPLWASLSLVDGAAPGSVEPRTGVAFPTEASAGRRLLGVGLRKTSVLGLKSIDVYAFGVYADGNDLKQQLEEKYQKFSGSELKENAELINDALEHDIRMTVKLQIVYGRLSIRSVRSAFEKSVGSRLQKFGGQDTKELLQSFVAIFKDEYKLPKGSVIELSRESNHVLKISIEGEEVGNIQSKLLCKSLFDLYIGDEPFDKNAKDNVQENIASILKS >KQL26256 pep chromosome:Setaria_italica_v2.0:II:43363192:43366785:1 gene:SETIT_030483mg transcript:KQL26256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQGAHRWAGEIRGGGGSNYIAKHVGIQNQVLKWLQHFSDRVEERAKGAAAEVNGLLEEAGALELDMKTAVVAFDHLTRQRFTEHKVSDDDNIDLKTRDGVRSSTLPQVRAQDYERDILPRYKDALHIGLASCKDHFRKKGRSTTSVFRAMSTYNPLPHIIGSEEYNHDNSCGLADDAQAITDDFSWLREFQGESSDLGPYDLFGSQMLGVQEGFEKDPALSTRMDGAADEKSTEADNAEEAELLASLQNPDINVHDIYSALVREGLFDAGDEILAVDPASDSANPAVEDSAERASPVNETVLSEEEKSIEGDNTASPPEKNDGVPESS >KQL27259 pep chromosome:Setaria_italica_v2.0:II:48858363:48858640:-1 gene:SETIT_033469mg transcript:KQL27259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPLEPPPEHERRPVPQPEVKQQLRRTNSATF >KQL23579 pep chromosome:Setaria_italica_v2.0:II:15243185:15244984:-1 gene:SETIT_030412mg transcript:KQL23579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLPSSSAFRPADPAARGAMASQQQRQSFPHASTAARGMLSFSSAVPSGPADPAAQQQDFRMREFDYFVVVDFEATCEKDSRIYPQEIIEFPAVLVDAATGGLLSSFRTYVKPRHHPVLTAFCSELTGIQQEQVDGGVDLATALALHDKWLAAAGVAKNRLAIVTWGDWDCRTMLKFECNFKNISKPSYFNQWVNLRIPFETAFGAGRRNLQEAVREAGLQWDGRLHCGLDDARNTARLLVELMRRGVRISITGSLVPPPLPEPEPEPEPEPELQHQRRCGDHTRTNARTILLRLWELDADMSFLPLGGLIAGHLDSIASSALRLASYYSLIHTHGVHA >KQL22784 pep chromosome:Setaria_italica_v2.0:II:5256771:5257915:-1 gene:SETIT_032093mg transcript:KQL22784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCPRGSAVAGLYDDILVEILSRVPVKDLRRSKCVSKPWRDLISDPLHRKKLPQTLEGFFHGGRRSFGHFTCLTGTGESVPPVDLDPSFSFLTEKLPGVERLVLLDSCNGLLLFGCNREDRFGYIVCNPATEECVDVPASSCSCPPPPPFGESNDVYNGERYAHTFLIFDPAASVHFHLVQFWNDVSMEEIEAVHSASKWKRGEKGGEWGQWGQAMLKFTFGRAFINGLLYFIVYHVQKSEALILACISMDLQLKGFRIYFTQLSVWVLEDNDTQEWILKHNVSCSQLFGFLSCPAHDLDIVAIHPDHNSVIFVQRWNRKLVLYNMDTKELHPLRSL >KQL24229 pep chromosome:Setaria_italica_v2.0:II:27891886:27898517:-1 gene:SETIT_032290mg transcript:KQL24229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLLFAAFVLAQADHVVGQPPGFLSIDCGLDPDYSGYTDKFTGVVYVSDGPYVDAGENRVVAVEPKAGAWRTRHRTLRSFPSGVRNCYALPTVAGTKYLLRGEFFYGNYDGRNSSTVEFNLHLGPNSWDTVTANADDDIGVTYEALFVAWASWAPACLVNTGRGTPFVSVLELRPLAASLYPPVAPGRSMSMYNRRNVGANGPQWANLSTTRTIQPDPSFVEPLPVLQTAVTLANNSTTFNYTWPEYRAADSLMLFLHFADFQSTQLREFDIYFNGNRLRQSGKLFSPPYLSGSCVYSPVWYKPVDNKYNITLVGAETSVLPPMLNAFEIYKQISNDNPTTLPEDFDAIMAIKLEYGVKKNWMGDPCSPTKYAWEGVTCINTSDNTTRITSLDLSNSHLRGVISDKFALLTALQNLNVSGNHLSGDFLCKNHAGSLVFRYYQTGRLTESSDVYSFGVVLLEVATGEPPIVPGHGHIVQRVKQLIATGDVSLIADGRLGGAYDVSSMWKVVDIAMMCVVDAAAQRPTMATVVAQLKESLALEEAREREYSSNNRASPGSDIASLVSTFGPVAR >KQL24408 pep chromosome:Setaria_italica_v2.0:II:29677280:29677497:1 gene:SETIT_032008mg transcript:KQL24408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKPNPCTHDPKKPGNGCQVPAPGKQGAAAHTEAQSRRLISVSKASAGSSNCTNDQNAPPGKKCPTPPAKAP >KQL25385 pep chromosome:Setaria_italica_v2.0:II:37734276:37734781:1 gene:SETIT_031773mg transcript:KQL25385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTLLLLPLPVSVSRHGATSGLVKCHSSSPFYVRIKTVTLVRRLRKRKLLQFSASIVGGEYIKKS >KQL22384 pep chromosome:Setaria_italica_v2.0:II:2189373:2190049:1 gene:SETIT_032538mg transcript:KQL22384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLRHYATPSSEAVGSELDAPKVKRNRSSISKKSGTAMMLPLHLHYEDVLRQDLLLKQNHANIMQVPGLYEIRLVPKAGSDLRVPIGKLAMEILSGQRFKEAQVDPFAKARNGSVFARQSVLRGHAMYNFLVRMLTVMSMLDSQAEIRENTINFFMETEFLFNVTIVTLADTKDETSLLWSGFMLNDEGETK >KQL22564 pep chromosome:Setaria_italica_v2.0:II:3537755:3541801:1 gene:SETIT_029807mg transcript:KQL22564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDELAPSSKKRVAGTQINKDNPEPDDDGPEQEMGTFKKATEEVMATRRIVKVRRQQPSSAPSSNPFSAIRFTPTDSSAQASAPVPEPQASNAKADEGSNGSGKDTLSVPDKNAGSGELPESAVNTDSGATTEAPHQPVETSDKAEDTKDGSGGDKLVVGEPNNGNNMSSEVEGKTKDGDAEEKGGADVAENKDKISKDDTEKKDGGESETKNGLSDKQKDADNKGQTASATPLFSFKNLSSGQNAFTGLSGTGFSSSSFSFGSVSKDGSSAGTLFGLKTDGSSVPSFNLGTNNNSALATSAETPKKFAMTEGPVETGEENEKAVFTADSALYEYLGGGWKERGKGELKLNVPVSGGERARLVMRTKGNYRLVLNASLYDDMSLKDMDKKGATFACVNSIGESQSGLTTFALKFKDTATREEFKGTVEAHKTNKAPDAPLKTPEGSPKAAEV >KQL24588 pep chromosome:Setaria_italica_v2.0:II:31509069:31509556:1 gene:SETIT_033795mg transcript:KQL24588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTTEETASQTYQSLAWHMHNLESPEEADAC >KQL25760 pep chromosome:Setaria_italica_v2.0:II:40367127:40370181:1 gene:SETIT_031244mg transcript:KQL25760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSTESGMLRGAGVGAVSGAVFSIEAVESCIEIWRSSHSGKYSILFVLDIISSLFSGRIVWEKVSPALQRAVQSQMSLLSTPFMDNNDLFETGSTGGMSRELIDRIPKMRFVAASNCNQETDSSCCSVCLQDFGAQQFVRALPQCQHVFHVRCIDNWLLRQASCPLCRSGVHIDDIHM >KQL25603 pep chromosome:Setaria_italica_v2.0:II:39315712:39317155:1 gene:SETIT_032664mg transcript:KQL25603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKANAVRIILPALASLIVLTCIILIWIYKFREELLEENTAHDFELPFLKFQDSLVATNNFSNRFMIGQGGFGKVYKVTLEGGQEVAIKRLSRDLDQGIQEFRNEVVLIAKLQHRNLVRLLGCCVEGDERLLIYEYLPNKSLDIVLFKMHRLIGDNQKNANTRRVVGTYGYMAPEYAMEGIFSVKFDVYSFGVLLLEVAWNLWKEGKTRDLVDLCIVENCLLDEASLCIHMGLLFVFNLENGCTTLPAPNHPAYFAQRNCDMEQMRQDITNSKNTMIITVIEGR >KQL22271 pep chromosome:Setaria_italica_v2.0:II:1165915:1167459:-1 gene:SETIT_031944mg transcript:KQL22271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALMDELIEEILRRLPPSEPGCLVHAALVCKRWCSIVSDAGFRRRFGEFHRAPPTLGVVYNAVDGDAYVASFRACASFPHRADRRGEAVLDCRHGRVLLRCMPPVGENLNLPSASTAIHVWDPATNEQWQVPLPYLYPYKFSDVVVLCAATASGTCDHLDCHGGPFLVVIVGTDLKDMFVYTYSSGTAAWSEPSSIHLDAALNSHSMLRPGLVIGDAIYFMHGEQHMILKYDLGGHVISMIDPPFSLHAQGKVSLVATKDGGLGVAYVKGCNLHLWSWRAAGSNGVKRWVNEQVIKLDLAASDTTGGPSTDELDVVGFGEGTDIIFGTAKDGIFVVWRNTGRVMKECGRTAMPFRSLKSMFCYQNFYTPADCV >KQL24409 pep chromosome:Setaria_italica_v2.0:II:29729962:29732080:-1 gene:SETIT_030293mg transcript:KQL24409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGGKPVLGWAARDASGHLSPYRFSRRVQRDGDVTIKVLFCGICHTDLHVIKNEWGNAMYPVVPGHEVVGVVTDVGTGVTKFKAGDTVGVGYFVDSCGACESCGTGHENYCPNLVLASNGVDSDGATTKGGFSDVVVVSKDYVVRVPESLPPDGAAPLLCAGVTVYSPMMQYGLNEPGKHLGVVGLGGLGHMAVKFGKAFGMTVTVISSSPGKREEALGRLGADAFLVSHDAAQMKAAAGSMDGIIDTVSAGHQIVPLLELLKPMGQMVVVGAPSKPLELPAYAIIAGGKRVAGNGVGSIADCQAMLDFAGEHGIAADVEVVGMDYVNTAIQRLERNDVRYRFVVDVAGSQLDATA >KQL24900 pep chromosome:Setaria_italica_v2.0:II:34476472:34478364:1 gene:SETIT_032241mg transcript:KQL24900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQHTQRHGRARGRGEEPGLPFAGVGRPAPLPGAPATNTNHAIVKTALCLLPPLLLAVVFYLHFQTQLSIFSPVCRCASQPAAAGAADDHVDRLRASATFLPLKDTRQGAETWFISTLNATAEPEGEARNLTALCLLPPLLLAVVFYLHFQTQLSIFSPVCRCASQPAAAGAADDHVDRLRASATFLPLKDTRQGAETWFISTLNATAEPEGEARNLVFPSPASAGRLLCLAAPSRRDGAKNAYALAWRDALPRGAALLPGLAFVSETAYDHTNIWHGLTTLVPFASWHARSGCRARPARWALFHHGEVRTEMSGWLATLAEATTGAAVAIETFDAPGPVCFEEAVVFRANVAGMNKERMLRAADFMRCKARAYCGVDASKAGGGGDPAVLRVTLLFRTGARAFKDEAAVTRVFQKECKRVAGCAVAAAHPTNLTFCEQVRLLSATDVLISSHGAQMTNLLFMDRNSSIMEFYPLGWKQRAGGGQYVFRWMASWAEMRHEGSWWEPVGEPCPNNPDILDCWKDRQIGHNETYFAQWAARVFAAAKERKTGNAVGDSEGGPPREATVCRCS >KQL25974 pep chromosome:Setaria_italica_v2.0:II:41672871:41673380:1 gene:SETIT_032236mg transcript:KQL25974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTMTVPSAAMRRLRREGLEISRRRAALAPTGGVAVAKKPSPPPPYTYVLALPAPLPRRLSLERVPPPPPCAASSRSLHAAVEVTRSMCIGKGAQVRVRTRVGVARSTGQPIVSWLRAVVDSAADEDGYLHVTYTYTNGKLPRIACVAPSDVRLHDVAPTDARGAALSTA >KQL22747 pep chromosome:Setaria_italica_v2.0:II:5085761:5086107:-1 gene:SETIT_031715mg transcript:KQL22747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYASEKQRNNFIWGTFSLHGIGTNVRHPKASGIQMNNAAKIPPTDLCPDTQRKATYQAKMKIIAMKMMEQHKARNVRL >KQL25632 pep chromosome:Setaria_italica_v2.0:II:39497086:39499896:1 gene:SETIT_0303661mg transcript:KQL25632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLGDVSCLATIPSDVEAEATFIAKADGVIAGISLADMIFNQVDPSLKVEWFESDGNYVHKGLQFGKVYGCARSIIVAERVVLNFMQRMSGIATLTKAMSDAARPASILETRKTAPGLRLVDKWAVLIGGGKNHRLGLFDMVMIKDNHISVAGGIANAMRSVDQFLEKEKLTIPVEVETRTIEEVKDVLKYAAENKTSLTRIMLDNMVVPLTNGDVDVSMLKDAVQLINGRFETEASGNVTIDTVKKIGETGVTYISSGALTHSVKALDISLKIDTELALQVGRRTNRA >KQL24553 pep chromosome:Setaria_italica_v2.0:II:31244391:31245164:-1 gene:SETIT_033080mg transcript:KQL24553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQTMPILFGIAMLAALVLTSEGRISRKDLSVDLGGGGGGSIGIGTGISIGIGGGVSGSGSGSGSGSGSASGSGSGSGSASGSGSGAASGSYAGSGTGSGAGSGAGSGAGSGAGSGSGYGQGQGAGEGQGQGSGYGEGHGSGHGQGSGSGSGYGEGYGEGHGQGSGSGQGSGYGEGHGSGHGQGSGQGSGSGYGEGYGSGHGQGSGYGEGYGEGSGRGYGDGSGSGYGEGHGYGYGSGHGK >KQL23621 pep chromosome:Setaria_italica_v2.0:II:15516601:15521488:1 gene:SETIT_028957mg transcript:KQL23621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGKGRGACEHVCVRPWPLGTGREAPNHMRPMCGANPPPRPARPFRDGGEGEEATRRRSQACAPPGHRGRPSLHTCRPRAATVPTISLQARRRTPRTRERPRWSPPLVPLPCISLPPFPRGARSGMQQAAGPAEDEDEEMGVKEEDGDEEEEEEDDGYYMDPCPAAVASPPGVGGGRANPASRRRAREEKERTKLRERQRRAITGRILAGLRQHGNYSLRARADINEVIAALAREAGWVVLPDGTTFPSSAAAAAQPGPRPVMVAAAPLALPTSPALPLRGISPVAARPISHRPAPASSLLLSPPRAAGAAAASSRPPADDVPDGGSSSHLLAVPVNVPMDPAVAEDAAVAKQVPEVAPRPPERDFAGTPYVPVYVMLPLGVVNVSGEVVDADELVGQLRVLKAAGVDGVMVDCWWGNVEAHRPQEYNWTGYKRLFQMIRELKLKLQVVMSFHECGGNVGDDVSIPLPHWVTEIGRSNPDIYFTDRAGRRNTECLSWGIDKERVLQGRTAVEVYFDFMRSFRVEFDEYFEDAIISEIEIGLGACGELRYPSYPAKHGWKYPGIGEFQCYDRYLQKNLRRAAEERGHTIWARGPDNAGHYNSEPNLTGFFCDGGDYDSYYGRFFLNWYSQMLVDHADRVLMLARLAFEGLNIAVKVSGVHWWYKTASHAAELTAGFYNPCNRDGYAPIAAVLKKYDAALNFTCVELRTMDQHEVYPEAFADPEGLVWQVLNAAWDAGLQVASENALPCYDREGFNKILENAKPLNDPDGRHLFGFTYLRLSKVLFERPNFFEFERFVKRMHGEAVLDLQA >KQL25710 pep chromosome:Setaria_italica_v2.0:II:39967083:39969190:1 gene:SETIT_030379mg transcript:KQL25710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVNSNAAGPSPTQDGPADTTKKRNKPQYNQFTRQELPACKPVLTLPVTIAMIAPVGIIFILIGLGCIATSNKVVELANRYETACVPENMRNNPVAYIQNPSEDKSCTRVLKVPRDMKKPIYIYYQLDRFYQNHRRYARSRSIRQLSDPKMASADSRLCKPEATADGGPIVPCGLVAWSLFNDTYSFARGNETLEVDKRGISWRSDRNRLFGKHVYPRNFQSGGLIGGGTLDPRTPLSEQEDLMVWMRTAAMPKFRKLYGRIEVDLRAGDLIQVTVMNNYNTYSFGGRKAVVLSTAGVLGGKSTFLGRAYLAGGAACLGLALVLALLWLLVPIKEEPYLGPARR >KQL22595 pep chromosome:Setaria_italica_v2.0:II:3756262:3761138:-1 gene:SETIT_030317mg transcript:KQL22595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASRTSEARRDAVFGRWVVFSPARSRRPTDLKSHNPTNPSPAPGAEAPKPSCPFCAGRESECAPQIFRVPPDGSLPWRIRVIENLYPALRRDVEPPPAREGGDGGQAEEEEEPGERALSGFGFHDVVIETPRHDVRLWDLDAEGVRDVLLAYAARVRQLGEHLAVKYVQVFKNHGASAGASMAHSHSQMLGTPFVPPSVKSRLNCMKEVFDKSGNCSLCEIRSKDILISETPNFSAIVPFAASYPFEIWIIPRQHLSYFHEIDQNKALDLGSLLKTMLQKLSKQLNDPPFNFMVHSAPFGLSSSCLPYAHWFLQIVPQLSVIGGFELGSGCYINPVFPEDAAKILRELDCST >KQL26187 pep chromosome:Setaria_italica_v2.0:II:42863247:42867798:-1 gene:SETIT_028873mg transcript:KQL26187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPAAGDDAGLTDPLLANGGGTGRWAGASGKDNRKYWVPADEEETVAAGEERGGEDSRPLLYRTFKVKGIILHPYRLINLARLIVITQFFIWRVRHPDSDTMVLWWVTVVGDFWFGVSWLLNQASKLNPIRRVPDLALLNQRFDPPGGGGGGSSSSSQLPAVDVFINTVDPVDEPVLCTMNSVLSILATDYPVDRHATYFSDDGGSLVHYEALLETARFAALWAPFCRKHRVEPRAPESYFAAKAEDAPYAGDAPREFVGDRRHLRREYEEFKARVDALFTVIPRRSEAYGRDSAKGATPTYMADGTYWPGTWIEPAENHKKGQHAAIVQVVLNHPGDEPQLGVPASSGSPLDLSGVDVRLPMLVYIAREKRPGHDHQKKAGAMNVQLRVSALLSNAPFIINFDGDHYINNSAAFRAAMCFMLDPRHGENTAFVQFPQRFDDVDPTDRYCNHNRVFFDATSLGLNGIQGPSYVGTGCMFRRAALYGVDPPRWQTDGSKLPDNPCRQFGSSMPFINSIPLATHQERPVAAAPPAPLDGRLEAEVAGVATCAYEDGTGWGDGVGWVYNIATEDVVTGFRIHRKGWRSMYCAMEPDAFRGTAPINLTERLYQILRWSGGSLDMFFSRNCPLLAGRRLHPMQRVAYTNMTAYPISAAFIFAYDLLPLMWLSGCGEFYIQKPFATYAAYLFAGIAMMEVSGVVEITWAGLTLLDWIRNEQFYMIGATGVYPAAVLHSLLRLVGLRGLPFKLTSKPPGGGGARERFAELYDVQWAPLLVPTVVVIAVNVAAIGAAVGRAAAGGWTLAQLAGAAGGLLFNAWVLLLLYPFALGIMGRWSKRPYLLFVLLVVALAAIASAYVAVLAVLAPGSVSPFWLGLWSSVGGISSLQRAGGGFS >KQL27251 pep chromosome:Setaria_italica_v2.0:II:48831587:48832997:-1 gene:SETIT_032235mg transcript:KQL27251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCTGSAKCANASTPFESKVTRSTASNNSAAGLGLGLATAKLASRRSSGRSSAFSGQLPGGGGGGGSDVVDGLKSFSMSDLRAATKNFGSSSYLGEGGFGCVYKGWMDEATLAPTKPGVGRMVAIKKLKKESFQGHREWLAEVTYLGELHHENLVRLVGYCSDSDSNKLLVYEYMVRGNLENHLFRRGTQPLSWPMRVSIAVDVARGMSFLHGQDNPVIFRDLKSSNVLLDADYRAKLSDFGLARDGPTGDRSHVSTRVVGTRGYAAPEYIATGHLSVKSDVYSFGVVLLELLTGRRSLPQSTTGATSATTLVDWAKPQLGERRKVIRIMDTRLGGQYPKKQANEVAALALRCLDNDPKNRPTMADGILPELEQLQQQSHHRSSYASSTTPVHRSSGRHSKSPTCP >KQL24112 pep chromosome:Setaria_italica_v2.0:II:26270492:26270845:-1 gene:SETIT_032328mg transcript:KQL24112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVVSIYYGGTVERDDYGCVKFVAMQCEVVIFDEKPSFSELLARAREELHCHGDDDIIVEGIFHLGSPLNIQRKMVPIRCAGQWEKYVRMVMNGHSSSVEVVVRRVLVDPNPRRFS >KQL22598 pep chromosome:Setaria_italica_v2.0:II:3767812:3771908:1 gene:SETIT_033217mg transcript:KQL22598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSSTRQARLRSKKLDEPQPGEPPVKPASPAPPRAGKRAPPRAARGRKVAAGRRAPPASKPRRKGVEIVDLEADPAFEDSPKAVAGLEVAGAAKNNLALNKVAGVGVNKGLKMDGESAEKIVGVEDDSAATPVPERVQVGNSPEYVTDRKLGKGGFGQVYVGRRVSGGAARTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYNALNGCYGIPSVHYKGRQGDYYILVSSLIITLDFFAAQQILFTYLFSLQVMDMLGPSLWDVWNSMGQAMSANMAACIAVEGISILEKLHSKGFVHGDVKPENFLLGQAGSPDDKKLYLIDLGLASKWRETNGGHVDYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDTKSFLVCKKKMATSPEMLCCFCPAPFKQFLEIVTNMKFDEEPNYAKLISLFDGLIESPASRPIRIDGALKVGQKRGRLLVNLEEDEQPKKKVRLGSPAAQWISVYNARRPMKQRYHYNVADSRLSQHIEKGNEDGLYISCVASSANLWALIMDAGTGFCSQVYELSPVFLHKDWIMEQWEKSYYITAIAGSTNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYSNQVVELDFLYPSEGIHRRWETGYRITSTAATNDQAAFILSMPKRKPMDETQETLRTSAFPSGHVKEKWAKNLYIASICYGRTVC >KQL27143 pep chromosome:Setaria_italica_v2.0:II:48046896:48050224:1 gene:SETIT_031612mg transcript:KQL27143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKSALQSAREWVVDHKLRAVGTLWLSGIVGSIAYNWSRPGMKTSVKIIHARLHAQALTLAALAGSALVEYYDHQQGSGSKVHQYAKQFISSDGSSQNK >KQL25464 pep chromosome:Setaria_italica_v2.0:II:38402559:38406117:1 gene:SETIT_030782mg transcript:KQL25464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTKRPLGAVTSWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVAAEAAHALGIGVLIYKLTKERTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTVLDTATLVATLFVIYMIRFKLRSTYMVDKDNFALYYVVLPCAGLALLIHPSTSHNIVNRISWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSLVGGQLVLRLPSGVV >KQL26981 pep chromosome:Setaria_italica_v2.0:II:47243754:47244362:-1 gene:SETIT_032185mg transcript:KQL26981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEECKEAAGGAGAGRAAVEGPVDPADSAKLYEDVPPMPLMALNHISRLCKSVDDSVRFYVKALGFVLIHRPPALDFSGAWLFNYGVGIHLVQRDDARRAPDVSPAGELDPMDNHISFQCEDMGMMERRLREMRIRYMKRTINEEEGSPIDQLFFKDPDGFMIEICNCENLELVPAGALGRLRLPRDRHNPPVRMVDGGGGE >KQL23123 pep chromosome:Setaria_italica_v2.0:II:8514486:8515804:-1 gene:SETIT_031899mg transcript:KQL23123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCRRSNCNCKKARTDAGDGSGSSGDRITALPLELRVRIVSLLPYWQIVQLSVLSRPWRHIHHHTPDVKINLYDFLADGDSGSILAARFALARRAEDTSASSVDTLRLAFAVGDLRMRRHADRIVALADARDIRIHGGGPVRDAAWTLDLPPLARDLEALARNHVAHAITGPGAAALRKLCLQNVVIHEWPPHLPSLRSLDLDAVTVEAPFAPGTWCPRLEELDTSSPPRSSTPAPPGRSPPSFGEITVDAPELVELDVDCCASGSTVDYKSFKLRAPRLHLLCRRNPFAERGPGSVKVGVIQLRSVYTREMKDYQEQMMRMLEGLLPDLPRESIAGVARPYMTLEECDDSDDDEDEPKDKRLTCDITALMSGI >KQL26181 pep chromosome:Setaria_italica_v2.0:II:42830013:42830447:-1 gene:SETIT_028894mg transcript:KQL26181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAATTAAALFFFFFLLHAAVRADAAATLSQGQSLGANDRLVSPGGTFALAFFTPTEGDPSRRYLGVMYARAKEQTVPWVANRDAPVSASSAYSAAVTASGELRVMEGDRVAWSTNTSSPLGNVTLAIADNGNIQLTAGRRRRR >KQL23333 pep chromosome:Setaria_italica_v2.0:II:10942376:10943693:-1 gene:SETIT_031885mg transcript:KQL23333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNDLDTAAAKQASSMAPNPGKATILALGHAFPQQLVMQDYVVDGFMKNTNCDDPELKEKLTRLCKTTTVKTRYVVMSDEILKSYPELAQEGLPTMKQRLDISNKAVTQMATEASLACVRSWGGALSSITHLVYVSSSEARFPGGDLHLARAMGLSPDVRRVMLAFTGCSGGVAGLRVAKGLAESCPGARVLLATSETTVVGFRPPSADRPYDLVGVALFGDGAGAAVVGADPAPHERPLFELHSALQCFLPGTDKTIEGRLTEEGIKFQLGRELPHIIEAHVEEFCRKLMAEREGGGGEEEMGYDDMFWAVHPGGPAILTKMEGRLGLGGDKLRASRCALRDFGNASSNTIVYVLENMVEETRRRKAAAAAGDSDGDDCEWGLILAFGPGITFEGILARNLQAPPRA >KQL22675 pep chromosome:Setaria_italica_v2.0:II:4348400:4352391:-1 gene:SETIT_030272mg transcript:KQL22675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLKSRRLPFYEDDHPHLVAQVKSTSKNMKEGVKHHQPVQLRRSRWVLGDVTEVLDHNSWRLGKITEVLKNDYFVIRLVDFIQPREFHISCLRIPHAYHSKQLTVADRVSELSKPVRLVNHSSHHSKFVMEQDHRAYEDDDHNTKRKAANFCASTSARTVKRKLEASTMPPSDLVRRTGKKQKVAAYEVRQVTKNVLPLKMSARNDIGGDRFCRPLSGKCNDLAKSNNTKRKPDCKVLPSSEIPLHIREENECSVASCSVNYMEYCTNDDEQSVRICGCFPDDAMSACPSMSAQENNDDNGSGLHMNIHELELQAYQSTVRAFHAAGPLTWEQESLLTNLRLSLNISNEEHLLQLRHLLSL >KQL25745 pep chromosome:Setaria_italica_v2.0:II:40184881:40187670:-1 gene:SETIT_028890mg transcript:KQL25745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVTHCPPPGGGAVTGAGAPPLGGVRSGPFPPPPPPAASRIHLPRLPRPPPSLSSSSTPASPVPSPAASALRPSDEALSAMSPREHTSLLSRQRCWRRARDLFDRLRAIPGYAPNPVHDAVLLRHLARARRWDELRRAWLGMALPPSNPAYAALADALAKAGLARGALLLLRHMRARGVAPDEVSMNTFVRVLKDQGRYADAVAFFRNWCDGSFEVDFLDLDGIAIDSDGPMQFLLADSCDSKFASAAALAIGEGPRKPKLVATYNTLIDLYGKAGRLKDVLDMFLDMPTHGVMPDTCTFNTLINVFGLSGNMAQAEALFANMVVRGINPDTKTFNVMMTVFASIGDLDGILKYYRQIGKAGLHVDAVSSRIMLRALCERKMVHEAEDVIEGILNSGGSVHEQSLPVVMKMYVDLGLLDEANTFFERHCRGKGVSSKNFAAIIDAFAVKGLWEEAEHIFFSIRGDGNNKDIMEYNVMVKAYGRAKQYDQVSYLLESMEESGVSPDECTYNSLIQMFSVGGFPQRAKKLLVKMKDAGFEPKCETYSAVIRSYSRHCLVPEAIGLFNEMKSSGVEPNIVVYGLLIDMFAETGNVKEALYYSNLLEESGISPNQVVLTSLIKAYSKYNFWKEAQDLYSRMKNMDDGPDIIASNAMLNLYANLGMVTEAKEIFDSLRRNSNADGVSYTTMVYLYKGMGLLSESIKIACELQKSGLLSDCASYNAVMACYVAKGNLRDCAELVQEMVVANIPPDASTFGMIFSLLQNGHVSSEEVFKLESAYSDGKSSAKQAIIAFLFSIAGMHAAALEICEQLLRPDWTIDACAYNVCFKVYASCGKVEKAFSLFMRMNDLGLKPDTVTCIRLATCYGKPGLILHKEMLI >KQL25431 pep chromosome:Setaria_italica_v2.0:II:38094400:38097216:1 gene:SETIT_031072mg transcript:KQL25431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASPESGAAAAGGAAGPAPPRKGKSCKGCLYYSSVLKSRGYNPICVGIPRSIPQVPNYVVDEPKEEAMSQGHDLRQFRYGCAGYSMYVDNRDSRGGESEGKTLLPYCRGLELLVDSRLVEKQPSTAQQAPAHVSKDAATTRSHQEGQQRPAHLARQEFLGRKATFYISLFLVPTLFKRSAGLVASGVAKNLNKTANYIKENIQDIFYPDRRPPK >KQL23266 pep chromosome:Setaria_italica_v2.0:II:10355748:10356641:-1 gene:SETIT_033231mg transcript:KQL23266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSSLAQLLLIAVAAAQIVGSLAGGISIYWGQNGGEGTLADTCATGNYKFVNLAFLAAFGNGQPPVLNLAGHCDPTSGGCTSLSADIKSCQSNGVKVMLSIGGGAGSYYLSSADDAKNVATYLWNNFLGGQSSSRPLGDAVLDGIDFDIEGGTNQHWDDLARYLKGYSNSARRVYLTAAPQCPFPDAWVGGALNTGLFDYVWVQFYNNPPCQYSSGSTTDLASAWKQWLSIPAKQIFLGLPASPQAAGSGFIPADDLKSQVLPLIKSSGKYGGIMLWSKYYDDQDGYSSSVKSDV >KQL22541 pep chromosome:Setaria_italica_v2.0:II:3425348:3427204:-1 gene:SETIT_032861mg transcript:KQL22541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFETPPPAGRRAPTFQANPAADARQLLGSLLPPRPALRHVLQAHARLAVLGLATARALPQLLAALPRLPPGGAGASYSYPLSLYRSSSSASAFASNHLLRVLPHPLPLRLFPRLPRRNPHSFTFLLASLSNHLDVDPTAGSSASRFLGSHVHALALKAGAAGDLYARNALVHFYGVCGDVAAMRRVFDELPLVRDVVTWNAVLAGYVRAGMMADARELFDGMVVRDEVSWSTVVGGYVKEGGLEVALGVFRNMVVQGVKPNEAAIVTALSAAAQMGLLEQGRFVHEVVQRAGMPVSVNVGAALVDMYSKCGNIATAREVFDAMPRRDVFAWNSMICGLAAHGLGHDAVELFEKFVAEGFCPTSITFVGVLNACSRTGLVDKGRWYFRLMSDKYGIESEMEHYGCMVDLLSRAGLVEEAIELIEGMRIAPDPVLWGTILSACKRHGLVDLGITVGNKLIELDPAHDGHYVLLASIYASAKKWDEVRRVRKLMSSRGTTKSAGWSLMEAHGIVHRFLVGDMDHKDSVHIYNMLGMIDRRLAEAGYVPDVSSVLHDIGHEEKVHAIKVHSERLAIAYGFIVVEAGSPIRIVKNLSVCGDCHEFSKMVTKVFSREIIVRDGS >KQL25030 pep chromosome:Setaria_italica_v2.0:II:35449179:35449691:-1 gene:SETIT_031532mg transcript:KQL25030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVHTVLWIHMLMNTVVPQMPSSSRCGDPVKGRDLRVELHNCSTASARMKLPRTRAMTSSMYALAMSSADEMPNAGNRNSGAMEATASGTASVIHQRNTQASTPSMLRAAGCPPSSPERR >KQL24941 pep chromosome:Setaria_italica_v2.0:II:34757479:34759217:-1 gene:SETIT_033086mg transcript:KQL24941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPQTEPPSLVISTVLSVMAYNYPAEKLSMYLSDDGCSILTFYALWEASVFAEHWLPFCKRCNIEPRSPAAYFSESDNSNDLYISKECSFIKILINVKDINAADSEENVLPTLVYMAHALCFFLDEEMGHKIGFVQYPQNYYN >KQL22370 pep chromosome:Setaria_italica_v2.0:II:2063142:2064331:1 gene:SETIT_031603mg transcript:KQL22370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSNSFPLGPFRPTARRRPTSSAARRRRHRQRSPLATSPGSVPCPDLPPPAPKQQSTRGLISSAAAGVEPSIHCVSIHLGCGGDAACHCQAPEGSYLLPGGR >KQL22467 pep chromosome:Setaria_italica_v2.0:II:2850683:2852248:-1 gene:SETIT_032424mg transcript:KQL22467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTLSPPSPAAAAHLTLSLAPAPGRREELELDDEVAAPAAYVVAGKEVRMFPCLLCNKKFLKSQALGGHQNAHKKERAAGCWNPYVYAAAGIGIAAAAAMSLPLRDGTAAEPLAGVKLERPDTLAMINWRRISCASAPPESANANTAASGAVEELDLDLELRL >KQL24017 pep chromosome:Setaria_italica_v2.0:II:24664587:24665486:1 gene:SETIT_032239mg transcript:KQL24017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEEFDGSIAIPTVYPHVVPAWVQIHKVPHLYHMESILKQLASKIGGLVTVEMRAIATDGGDFHRARVNLEMSRPLLRFVTLTPEGWDSILIQVKYEKIPRFCSHCGLMGYVHLECGTGEYTEEDHYASNPKKSVELARRGAEAEAKVAGAHIHGEESGWRRRWDRMMEAGLDKGSDVELSDAATSHVEPMEEKHVMSREVLAKKQLSMTAELSNKVEIGVPPPHPMYISLREKKKQKKLIESEKDVPNTSMVDSEKEDHREQ >KQL22526 pep chromosome:Setaria_italica_v2.0:II:3333743:3334377:-1 gene:SETIT_033473mg transcript:KQL22526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASSSWAAMAQAKLTLGGYGPGKLKLLSILGLSLGDDARPMLEDTVEAKRKKETIGTNNDNWDPLMDMVMEIKNISSINSHIRRLRR >KQL23758 pep chromosome:Setaria_italica_v2.0:II:17374616:17375098:1 gene:SETIT_033482mg transcript:KQL23758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHNLNCTYFTRCNLVKNRHKFVLISGTRLWLYLPQHKI >KQL23113 pep chromosome:Setaria_italica_v2.0:II:8449118:8450737:-1 gene:SETIT_029645mg transcript:KQL23113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFISAVLGDLVSRSVSFVVERYYRRHKGAAENLQRLRRLLLRIQLIVEEAEGRRITNQAMLRQLQMLREAMYEGSYLLDTVMYRMLQQESTNYKSRGQSSSALPKLGPAKHVCFCSRRVNTPCQGDGVKEVQEMLGSLRGVIDDMAEFIVFLKSYPPISREPYSKYMFLEKCMFGRQVEMEKITNFLLQQDPPGTESLQVLPIAGPARVGKSTLVEHVCYDERVRNHFSSIILCNGYPAAPEGGRVMKEQTHDSHGRSLVIVELADDFVLDEQCKKLCSAGRHMPPESKVIITSRSENIAKLGTAGAIRLTFLPQEAYWYFFKVLAFGSTDPEEHPELASIAMEVAEMLGGSFLGANTVSGMLRANLDARFWRKILALQRNHVERNTLRFGEHPHSLLQKNRTVYLWTMSNRAMWFKVHYREKRYHDHEVPKITLLDVQTGSAETHGKFEVVVWKSRIPPYHSYLMSCEMEAPNLMVKKKRPHSMM >KQL26240 pep chromosome:Setaria_italica_v2.0:II:43258962:43260748:-1 gene:SETIT_031299mg transcript:KQL26240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGQGDAKKGSLATSLSFSNCRSSTRILGRKRVAVSPTPGPRSPHSSVRTLRKQRSVRFHMDDAVSLLESLPQDVLIKVLCKVNHSDLRQLLLVSKPVNEATVVARELHFKFATPSSKAGFRGDEDGEDEDGPGAPMQRRVARSRLRNKNLDSIAINLSASFETLLSEV >KQL23919 pep chromosome:Setaria_italica_v2.0:II:22837517:22837959:1 gene:SETIT_033639mg transcript:KQL23919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIMRAQQRKYAHPVPSIQPSQPQMHWGPKNIG >KQL23390 pep chromosome:Setaria_italica_v2.0:II:11912881:11913313:-1 gene:SETIT_031755mg transcript:KQL23390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLGGHKNQKQKKNRAQNITEPVSTWFLWLLTKGNRNILFIKYSIRVQQQQKTTTPTIIYSSLSRGGG >KQL24450 pep chromosome:Setaria_italica_v2.0:II:30268907:30271802:-1 gene:SETIT_031097mg transcript:KQL24450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAPRPCPRSEPPVPLPLMETTASWRLLSSASSSPPTAPQLPRRQATTLAASSPQRSAKFRLLCHLHDKAPCKLAASSPSVSQLQRLAAALHCGAAWAAVEAPAALATVTGEEDIDLLAILPPLAVFAFFYFLIAPPVIMNWMRLRWYKRDFVETYLQFMFTYLFFPALMVWAPFVNFRKFPRDATMKYPWSKPKEGTPLFKDRYPPIDS >KQL26276 pep chromosome:Setaria_italica_v2.0:II:43470214:43471374:1 gene:SETIT_033122mg transcript:KQL26276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGTTPATYLPDELVVEILARLPAKSLCRFKCVSHRWRRLISDPAHRARFVQTLSGFFFLSDEGPRFRFTALPSSVTPLEEDGDGPPLVDAALSFLPPRCGEIEMLDSCNGLLLLRCYNELSSSPPPPFYVVCNPATREWVALPQPRYNGYGQGARTWYAAVGFDPAISSHFYVFQVVEVHDAMPLLPIAAVEVYSSETGTWVLRECKPEWIYFYGRMTYFNGSLHLPIEHEKVVSVDPNGQSWKVTQVRHCEDHGRREGRGYVGHSQGRLIYANNDRKYDVLSIYVREDQASREWIMKHNVSKSDLLFEPWKHMLKPTYYIAGFHPDGDLVFFYDRTRNMLISYDMNHGDWHVVCTLEDFRHAHHPFFPYVPWYSRGLASPNIN >KQL24467 pep chromosome:Setaria_italica_v2.0:II:30398782:30400302:1 gene:SETIT_033103mg transcript:KQL24467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IATPPAAIHALIAAATTVRHLRQIHGHLLTSGRVGSLGPALLRRVISLPSSPPHLHLPFAHRLLLSLPSPPLDLFNLLLPPLASSPDPSAAASLFARLRRGGLRPDAHTLPHVLKALARLAPDSLPLVACTHGETVKSGLARAVVYVPNALMSAYSACGHLGRAMQVFDEMPRRTVVSWNTALTACADNDRHDWCAGMFAEMVEAGFEPDQTTFVVMLSAAAELGNLALGKWAHGQVVARRLEMTLQLGTAAVNMYAKCGAVSYASRLFGRMTVRNVWTWSAMIVGFSQNGMAREALELFDKMKDASITPNYVTFLGLLCACSHAGLVDEGHQFFHEMQHVYGIKPMITHYSAMVDVLGRNGHLQEAYDFVMDVPVKPDPVVWRTLLSACQLHSSKDCIDIVDKVQKRLLELEPRRSGNYVMVANIYSDIGSWDKAAMARRVMREGGMKKMAGESCVEIGGQIQRFISGDDSCPGFDGACRILHDLNINMRKWEPVDNILLADADI >KQL22416 pep chromosome:Setaria_italica_v2.0:II:2431398:2434920:-1 gene:SETIT_029337mg transcript:KQL22416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLLRRTVSSSAPAAARWRRPLLAALLSPPPAPPGPTRRAPALEPPRRAFHGSRAPLGFRATPASWAGPGPGAGVAAGEDGGLEIARLGISGRIVEKLAARGITRLFPIQRAVLEPAMQGKDMIGRARTGTGKTLAFGIPIMDRIISYNEKNGSGRNPLAIVLAPTRELARQVEKEFRESAPLDTLCVYGGVPINQQMRVLNYGVDIVVGTPGRVIDLLRRGVLNLSEIQFVVLDEADQMLAVGFDEDVEVIMEQLPQNRQSMLFSATMPSWIRKISNKYLKDPVIIDLVGDSDQKLPEGISLYSIASDNFGKPSILGPLIKEHANGGKCIVFTQTKREADRLAYVMGRSYPCQALHGDISQNQRERTLSGFRDGRFNILVATDVAARGLDIPNVDLVVHYEIPNTSELFVHRSGRTARAGKKGSAILIYTYEQTRAVRVIEQDIGCRFTEKNGWDFGRESYGGFGDHRSGGFGDFDSFGGSFDRGGGSRDSGSGYRGGSGGFRRPSNDFGRSSFGRSDRFGDFGEGDFSRRGSPDFGRSRSSDDSGSSRYGRGSSGVGTPGFGNFGGYGDSKR >KQL24474 pep chromosome:Setaria_italica_v2.0:II:30435241:30437709:-1 gene:SETIT_032416mg transcript:KQL24474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPAPLPHRNAMPPPRPRLPASAPARAIYASSASPAARAHHPLDGMPRRGAADRLSHLPAALADFIRTRAAGAGGRGPCRYSYGSSIAACATSAAPLAFAEQLYCAAWKDGLSRDAYVCSAAVDLLAKHGRLEDALRAFEDGDRGSVVCWNAAISGTARNGEHALGIEMFCDMVRGSSCGPNSFTYSGVLSACAAGAVLGVGRAVHGMVVRCDPGYDVFVGTSIVNMYVKCSEMGAAMNEFWRMPIRNVVSWTTAIAGFVQEEDPTSAMLLLREMVRSGVAINKYTATSILLACAQMSMIQEANQVHGMIMKTELYLDHVVKEALISTYAYVGAIELCEKVFQEVGTVSNRSIWSAFISGVSSHSLQRSILLLRRMLSQGLRPNDKCYASLFSFVDSTELGKQLHSLVIKDGFVQAVLVGSALSTMYSRCNDLKDSYKVFEEMQERDEVSWTSMVAGFATHGHSGEAFQVFRDMIVDGFTPDDVSLRPILSACNKPEYLLKGKEVHGHVFRVYGGTTSINHFLVSMYSKCRDLKSAQRIFYATQCKDQDMLSSMISGYATNGYSEEAISLFQLMFTTGFRIDRFVCSSIISVCADMARPFYGKLLHGYAAKVGILSDLSVGSSLVKLYSKSGNLDDSRKVFDEISAPDLVTWTSIIDGYAQHGSSQDALVMFDLMIRHGVKPDPVVLVSVLSACVRNGLVEEGFKHFNSMRTVYGVEPVLHHYCCMVDLLGRSGRLAEAKSFIESMPVKPDFMVWSTLLAACRVHDDAVLGRFVENKIREENYDSGCFATLSNIRANSGDWDGVMEIRKSVKDGKKEPGWSML >KQL24698 pep chromosome:Setaria_italica_v2.0:II:32317461:32317920:1 gene:SETIT_033517mg transcript:KQL24698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIRPVGYASLSGSFGLNFPSATPSAICKTLLIVSIDD >KQL24005 pep chromosome:Setaria_italica_v2.0:II:24387836:24390081:-1 gene:SETIT_031160mg transcript:KQL24005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQRGAPPCAPRAPSSGLCGGGSSPAAQCCIPLSWACFLRPTKENSRGALSYQMKNCRLRPAFALETGGPSNTDGQDFDEDSGFLGRTRLGRLIQAAGRELLEKLNSGSTNSPTKIFLVLLGFYTANALATILGQTGDWDVLVAAVVVAAIEGIGMLMYRKPIARPPGRLQKLISMVNYWKAGVCLGLFVDAFKVGS >KQL25815 pep chromosome:Setaria_italica_v2.0:II:40699210:40699705:1 gene:SETIT_031768mg transcript:KQL25815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRVVPITASSSSRTPALAAAARVDAGAWQNDLRVLLGVMGAALCSGARLRQRANTHFLPLLACVF >KQL22775 pep chromosome:Setaria_italica_v2.0:II:5192799:5196663:-1 gene:SETIT_030621mg transcript:KQL22775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKVGRIFVGGLSWDTTEGTLTRTFGQYGKVIDAQVVVERDTGRSRGFGFVTFSEPRAVDAAIRGMHNGELDGRNISVNKAQPRNADDGYGYGGGGGGGGGGGGGYSSGARGGYRSGGDVVPAASDDCFKCGRPGHWARECPYSDGGGRTGRYSPASRYGGGTGGRGDRFGGSDRFARYDDDRYDGGRYMDSRDTYYGAGRDRYASDRYAPAADRYSGDRYGGGADRYASSGFARERSYERDGGRSSGGYYRDDSRSSGGYGRGGSRVGGGAAGPARFGGSYRDRPAPYDRPSRGAGARAYDDRY >KQL24656 pep chromosome:Setaria_italica_v2.0:II:32042114:32045928:1 gene:SETIT_029423mg transcript:KQL24656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGVEVVASRGCARLVLPGMHHNPSSAASVASSSASRGGAAAAAADGPFSGLVICVTGLSKEARAQVKEATERLGGEYSGSLHPKCTHLVVQNFAGRKFEHALKHGPRNGLFLVTLGWFVDCVRRSMRLDESLYAIKNIGENGLPLGEFNRFIAVTVDEKSCLPPMIFQDKACSDATRKHPPQTPMKESGNDELVFMNDTIYIDPGISGEMKKKISDAATREGAKLLEHWFIGCPATYVVCEDASINRYAGHSDNIVTPLWILKTVKEKNLQRLVHFSSDLARRVAMVLQNAQTSDKNRKPGSVPSINSSGRPSTQEEIDEVHQERQQFVEVAKKEVRDRRARRMQSCEVPIHPITPATLLDSICWTISEPTSSASIYTDSSWSDDTNEQQGTTYFDANGDARDPDQPTDNFSRPLKESERSELIFKNHFLTILFPIDRFGELGPSSKTFYNHGGFTRIQVLDHIYNFYQENMSMDEIDMALHTDSRHADRLRSLYSSAESAEKGLVTFKRIEFLGSRRSFEALKRLNRENNSNVYELVIRA >KQL23655 pep chromosome:Setaria_italica_v2.0:II:15771124:15771894:-1 gene:SETIT_032606mg transcript:KQL23655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGKDGEQSQSGTGGHIDGRDDVDGEPKEGAVVPPVNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIARFARRRQRGVCVLSGAGTVADVALRQPAAPGAVVALRGLFEILSLTGTFLPGPSPPGATGLTVYLAGGQGQVVGGIVVGSLTAAGPVMVMASTFANATYERLPLDEEVDEEAMEAGRPGGGGVPPMMGGGLPDPLAMPMYGAVPPNLLLPGGGQLGHGAEGSAWPHARPPY >KQL24574 pep chromosome:Setaria_italica_v2.0:II:31410586:31410927:1 gene:SETIT_033501mg transcript:KQL24574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVVAEIILSDGSTLRCQRKIIRTVINSFAIRLL >KQL22987 pep chromosome:Setaria_italica_v2.0:II:7163355:7171715:-1 gene:SETIT_030361mg transcript:KQL22987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPASTSWFSGLARASSSASSMAGGVASAPASSASLPDAPAAASRSVVAAGVGAGAGVVKRKQLRGALFKYGPKSAQVAFRTGDFNHQVIFIGGLTDGLLATDYLEPLSLALEVEKWSLVQPLLSSSYTGYGISSLEQDALELDQLISYLINKENSEGVILLGHSTGCQDIVHYMRTNFACSKAVSGVILQAPVSDREYRATLPETAEMIDLAAKMISEGRGMDLMPREANPDAPITAYRYHSLCSYMGDDDMFSSDLSEDQLRQRLGHMSTTQCQVIFSMGDEYVPEYVDKEALVDRLCRALGGAEKVEIEWGNHALSNRVQEAVRAIVDFVKREGPKGWDDPWS >KQL27098 pep chromosome:Setaria_italica_v2.0:II:47795607:47796347:1 gene:SETIT_032830mg transcript:KQL27098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRVHSSPSSSPPATCAGEQKKVFTVWMKSLVLNGHGCTVYDSGGGIVYRVDNYGSRCSGSVCLMDLDGSVVLNVVKKKLAFGRWEGYKWRGQKQEPRPWFTVTRPVIRPFQWSHGRPASSSSCEFRCDTTGRVMRYTIADECRAGSGKQGCRIVDEASGLVVAEVKRKVTASGVALGEDVLSLVVEPGTDLSLVVGLVLVYGLMNRTM >KQL24551 pep chromosome:Setaria_italica_v2.0:II:31214471:31217747:-1 gene:SETIT_030103mg transcript:KQL24551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPSADSWGSSPASPIGFEGYEKRLEIKFSDAPVFEDPCGRGLRALSREQIDSFLDLARCTIVSQLSNKDFDSYVLSESSLFVYPHKVVLKTCGTTKLLLSIPRILELAAELSLPVLSVKYSRGTFIFPGAQPAPHRSFSEEVSVLNGFFGGLKSGGNAYVIGDAFNPKKKWHVYYATEEPEQPMVTLEMCMTGLDAKKAAVFFKNSADGHCSSAKEMTKLSGISDIIPEMEICDFEFDPCGYSMNGVFGPAASTIHVTPEEGFSYASYEVMNFDPSSLVYSDVIKRVLSGFAPSDFSVAVTIFGGHGFAKSWAKGADIDSYMCDDLVEQELPGGGLLMYQSFTAVVPGTVSPRSTLEMDGWSSDGMETAAHSDEMCICWDAEKKVVKKDVDA >KQL22383 pep chromosome:Setaria_italica_v2.0:II:2186814:2187462:1 gene:SETIT_032554mg transcript:KQL22383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVEGRLDAIEAANRHQHSSKAILTKRSSIRWVPPEAGWGQDKRGRLLRGRVRRGHDRGGDPRRARRGDPVGDAGAPIPAVLEELPARSSKEEARLAACRRGLALALEHAQATRAIMESDSAVCIDALTRTCRDPSRLLAINREIMELKGRIRGAQMIN >KQL25355 pep chromosome:Setaria_italica_v2.0:II:37536661:37537075:-1 gene:SETIT_033776mg transcript:KQL25355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAADPGKFSWLHTCTAAPITILASEYNFIHVC >KQL25833 pep chromosome:Setaria_italica_v2.0:II:40805693:40810049:-1 gene:SETIT_030144mg transcript:KQL25833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRIVAEEVVEQDAAPEIDPAHGAGLAADHGGGDVGLSRPTLTGIFTGRPAAARPATPTSGPTSRPQSRTGGACTCPEWNRQSAWQSGSSLLPLLLPPPPPPLPLPCSVSSPMASAKFGAVAQVENRGALSKRSRNDVSVREGDWNCPQCGNVNFSFRNVCNRGACGAPRPSPSPSPRMMPAPPPAGYDRSPLFYGGGGGAPSPIPLGSGSYGAPYPHLGMRYGYGPPVGAPGSYGLFSSYGQPGPMGGMGYGPGPDLGRYSYGFRGSPMPVSSPWSGGALVENSDSIASRKRRGGPDGLSEGDWTCPKCDNINFSFRNTCNMKKCGAPRPTPGAPMGSSRKDNKDAPEGSWNCPECNNLNYPFRTVCNRKGCSYSKPAPTNN >KQL25621 pep chromosome:Setaria_italica_v2.0:II:39427584:39430891:1 gene:SETIT_032533mg transcript:KQL25621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDDDDSVISRTTGKLRDGRMFAVIHARNCVKKYYNARGSRAVHITVCPVAGLVFTVRLPRGRGPPPSASQQLAPAHVSLRASVRRHRRDPPADLSVRAAALVNQPHSRFNSSLNYAKHNPMAPSQPGHRLPLLPPPLPAPARRHPASSSSSSAPSHDTWSGTAKDRSAKKKDDRLLSAFVCPITMQVMRDPVVIETGHAYERDAIARWFSECRDLSRAPCCPITMQEVRRADLRPVLALRVAIEEWADRLQSDELRRACQWLTKDATEKEAVRALGCAVRGWSGGRAGRRVVRGEGMIQMVGSMLRSGSSVVRLKALEAIQEFAKETDQDREAVSEGDTIRTIVKFIDCEDCQERELAVSALCDLSKSEVVCGKISELNGAVLILGKVAGSKSDNPTVAEKAEKTLQNLDRCEKNAVQMAENGRLEPLLNLLIEGSPEQQLLMASSLEKIVLSNDLKTLVAQRVGSLFADVVEKGSLEAKEVAFKVLEHISTNAESAKVLIEENVLLPLFRVLSINRANLLPPRLQEAAAAVLANLVASGIDFGTVPLDGNRTLVSEDIVHSLLHLISNTSPPTQCKLLEFFDTLSSSSRTVLSIVSAIKSSGAITNLVQFVESDHQESRLASLKLIYKVSPHMDREIAQVFRASPTLLGCLVEVAFLNDGNTDEQHAALQILANLPKRDKNLTRELMEQGAFKIVARKVLSICRREAGSDIYDNILVEGLVKVLARITYVLRDEPRCISLAREYNLAALFTSLLRFNGLEEVQLVSAKALMNLSLESKYLTSTLKFDEPEQKSKLALFGRKPPSFQFCRVHSGVCSIRDSFCILEGKTVERLVHCLNHGNKKVVEAALAALCTLLEDEVEIAEGVLVLHRANGIAPIFDILKENPTGSLQHWVTWAVERILRAEEIAKDASTDRSLGSALVHAFQHGDSRTLRIAEAALKHIEKLPIFSQIIDKRPSIRGSSMGSMERFYKFDR >KQL23335 pep chromosome:Setaria_italica_v2.0:II:10963774:10964079:-1 gene:SETIT_032567mg transcript:KQL23335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEFLRNNGRYRTNPQDMLWIEAHQSSLLEGQINNICRDMAKFIQREICHEDGDFFDPNGVLAADECKGLCRWMK >KQL26944 pep chromosome:Setaria_italica_v2.0:II:47059864:47062903:1 gene:SETIT_031020mg transcript:KQL26944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTAQAWFTGGTAASSATAGESQPSLLADWNSYAATRSDASSSSPLPFDIEAAVRSANDTVSGTFSSVTKGVRELPGSFQSATSSFPSGKALMYFGLFLATGIFFVFIAFALFLPVMVLMPQKFAISFTLGCALIIASLFALKGPANQLAHMTSKERLPFSVGFVGCMVGTIYVSMVLHSYFLSVIFSVLQVLALAYYTISYFPGGSSGLKFISSGLLSSATSCFGR >KQL23236 pep chromosome:Setaria_italica_v2.0:II:10051883:10052251:1 gene:SETIT_032687mg transcript:KQL23236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRAVLLVVVVVAAAIVVALLTSASAAEESGGDKPSVVTPVAHTPVGSFEGADGPVADDATEDERAAPVGSPIGTTMTEPTPELAPPGAPTSGATAAPVLGGGRAAAVAATVAAAGIFAF >KQL27117 pep chromosome:Setaria_italica_v2.0:II:47894405:47896657:1 gene:SETIT_029034mg transcript:KQL27117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRRLPCPARATPKSHALSTAAACHPFAETPRWPTASSATPLAALRGRLLAGLPVSPTAFSAVVARSDPNTLPALHGLAVASGLHAFAPVTNSLAARYAKAGSFPAASRVFATALERDASSYNTILSAIPDPEDALAFAARMLRSGDVRPDAVTFTVALSLSASRGELGFVRQLHALASRAGQAADVFVCNALVTAYSRGGSLDAARKMFDQMPARDLVSWNAMVCGLTQDGDCPDEVIRVFLRMLKDGGARPDRISVCSVIPACGSEGELELGRQIHGFAMKLGVEGHVSIGNVLVAMYYKCGSPGCARKLFELMGERDVISWTTMISMDGDDAVALFNGMRRDRVAPNEVTFVAMLSAMPGDCPAREGQMIHAVCLKTGVSNKAAAANSLITMYAKLQCMDDARMVFNLTPHPEIIAWNALISGYAQNEKCEDALEVFSSMVKSMKPNETTFASVLSAVTSVETVPMAYGQMYHCQTLKLGLGTSEYVSGTLIDLYAKRGSLEESWKAFSETIHRSLIAWTAIISANSKHGNSDAVISLFNDMVRSGIAPDGVVLLSVLTACRYSGFVSLGREIFNSMAAKHGAGLWPEHYACVVDMLGRAGRLEEAEELMLQMPSGPSISALQSLLGACRIHGNTDIGERIAGVLTETEPTESGAYVLLSNIYAEKGDWGAVATVRRQMRERGVKKEVGFSWVDAGGVGDSLHLHKFSSDDTSHPRTEEIYRVAEGLGWEMKFLKNCLQVEMECLV >KQL25943 pep chromosome:Setaria_italica_v2.0:II:41395769:41401405:1 gene:SETIT_029417mg transcript:KQL25943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILARTPGGASSPLRRSSPRVPRETASPPSDPILPYLRSISRAMDELGTGSQCDAAALERLKCYLTECIKKYGDEYQYSTDPRLLNIWILYADVTEAFPKVYKQLEDKRMFLEHALLYESYALHLCAEGKVQEADKVYATGISRKAEPLDHLKKTHLTFLKHLEHFVEEEDVDAQPSKKQKNEPSVVDPWSASTRNTLLEKINSDLRKFAGYYKSNKVYYGKVALTSSLNILRNKVIELGGRKYQIKGSPGTGAFAKVYKATVDGNAEEMVALKIQNPPFPWEFYMYRQLDMRISEVERPSFGYAHEIHIFSDVSVLVCDYLPYGTLLDVINSHLVVGRYMDEVLCMYYTIEMLKMLETLHSVGIIHGDFKPDNMLVCYLSGHITEETFRSETRDEQNQGLCLVDWGRGIDLNLFRAGTEFHGDCGTSGFRCVEMQEDRNWTYQVDTYGLCAIVHMMLHGAEMSVQKVPRAGGGYEYQPRLPFRRYWNVELWRKLFTMLLNAPSNGSDVTALRGLRASFREHMCSDRQLVGKLNQQLAKQKASLCSS >KQL27172 pep chromosome:Setaria_italica_v2.0:II:48215447:48217624:1 gene:SETIT_029338mg transcript:KQL27172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEPEAQDLAPPAAGGVLEVRAEVDTSAPFKSVREAVDHFGGSAAWSSHLIKRMFVPPKKQVGTEELANLEEQTRQLEKVLSIKERETLDVLKELESTKKVIAYLKLQVQSEEACTFSISEERDQAEATTEEGPPEKQSENAEPEVRMPGQDAQLQQAPGSSVLKGLEQAKANLNKTSSDLEAIRACIESLRNEIAKEKVLVERSREKVCANTTLISSLEDELDRTTSQKLQTLRDLQRRCQDPSDIFIEINNMTSELEQLRNAANASKSEAVMLAAEIEKMRASIGTAEVRCLAAKKIEEAARAAEALALAEIKILLSNEASSAEGLQGADGVNLSLEEYSELVAKAQEADECSRKKIEAAMVQVAEANQSESCSIRKLEEAQLQVDECKKALQEAQKRVDAANRGKIAVEEALRRCRSATGYKRRSFHDHPKFKHAAPRCRDSQNLDIVDLSKGPLKPTLSIGQILSRKLMGPDGYDKSVWENTSEASNVSLGQILNRRRAVVYSSDATAHASGQWDKSKHAKKFAGKRKKFAFTGLSVFLARQAKSKKNKNHISTTNVNLAGKKNCCVPS >KQL25939 pep chromosome:Setaria_italica_v2.0:II:41372155:41380619:-1 gene:SETIT_028718mg transcript:KQL25939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLGPEDNTAQGLILPSKVMYRPQTGKSVLGLDDLARRKRGSEGSNVFKPPPPKVAVAADSVDEDEKPAPGENDTTSLSTAGRSNSSRRYRGSGSDDKTSSNVADEDERIPTPSRRDEARRQEAHISRSSQGSRAHDTPRSYDYYDDRGSRDNRGDRERSASIGYSSSGRRRYHDDRESHTRRDERERSTSIDYANKRSRHGHGSRSSRTPARSDWDDGRWEWEDTPRRDYRDDRPGSRRQHPTRSPMLAAASPDARLVSPWLGGNTPRSAASPWDNVSPSPAPIRASGSSKGSSYSGSGGRSHQLTFSSTNGSTVIDADRSPSNPDRNHELTEEMMQEMDYNADRAWYDCEEHTTMFDGDNAMYHDDSSYKKKEAEMPKKLTRRDGSLMTLAQSKKMSQMTADNAQWEDRQLLRSGAVKGTEVQTEFDDEDERKVILLVHDTKPPFLDGRVVFTKQAEPVMPLKDPTSDMAIISRKGSTLVREIREKQSMNKSRQRFWELAGSKLGNILGVEKTAEQVDADTAVVGDQGEINFKEEAKFSQHMKEKVEAVSDFAKSKSLAQQRQYLPIFTVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTTTGVVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDADLDKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNADKFSKFFGGVPVFHIPGRTFPVNIMFSKTPCEDYVEGAVKQAMTIHITSGPGDILIFMTGQEEIEATCYALAERMEQLISSSTKTVPKLEILPIYSQLPADLQAKIFQKAEEGARKCIVATNIAETSLTVDGIFYVIDTGYGKMKVYNPRMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLFTESAYQNEMLPNPVPEIQRTNLGNVVLLLKSLRVENLLDFDFMDPPPQENILNSMYQLWVLGALNNVGGLTEIGWKMVEFPLDPTLAKMLLMGEQLGCLDEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYLQWKSNQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCHMEWDVVRKAICSAYFHNSARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELVLTTKEYMQCVTAVDPQWLAEMGPMFFSVKETDTSLLDHKKRQKEEKTAMEEEMEKLRQEQAEAARIEKEKEREKRAKQQQQVAMPGLKKGATYLRPRKMGL >KQL23859 pep chromosome:Setaria_italica_v2.0:II:21489187:21490902:-1 gene:SETIT_031119mg transcript:KQL23859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISQPLHSQISLGKQESTAFMTMGEALGITDRGSVKKINATHFDSNQPLDKLLEDKRFRMSFMSFADSCLAGESVHFYEEVYDLKKIHLDDSIRRIYMARHIIEKYIDAGAEMEINISHRTRQEILGTPDLTHPNLFDSAVSEILQLIKMNLAKDYWSSLHFAKLKEDIERGPNGPELMPLDYSPRVTFVRCTDDPFYEEHAATCN >KQL24289 pep chromosome:Setaria_italica_v2.0:II:28595612:28596646:1 gene:SETIT_032349mg transcript:KQL24289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGADWARYCVDDQEEDDAPPEHLRAFEAFLETVVPADMVLAFGREEAAHRGEGRRRSHREDVEEKLKLWAKAVAREAKRGALGSRQQATSHLASDMSVCVCGAIAVVVKY >KQL23709 pep chromosome:Setaria_italica_v2.0:II:16841587:16841955:1 gene:SETIT_031884mg transcript:KQL23709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENSDEENEEAATEAKKRKWAEAAVVWAAEAVLAEVLKEERAKMRAAKKRRKEERAKKRAAKKRRGEEEVDSEMAKKRRRVDFYVDAGPSNASPATLAIVGPAAPAIVGPALESSGNSSEGSS >KQL27091 pep chromosome:Setaria_italica_v2.0:II:47759859:47764333:-1 gene:SETIT_029761mg transcript:KQL27091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLPCSGSSGKEAKSLDALSPSPRPAAKSAPVRSKSRASGSRKEDSVPVRRGGNTAHGPTQIFTFRELAIATKNFRKDCLLGEGGFGRVYKGRMENGQVIAVKQLDRNGFQGNREFLVEVLMLSLLHHPNLVRLIGYCADGDQRLLVYEYMVLGSLEDHLYDCPPDKEPLDWNTRMKIAAGAARGLEYLHDKANPPVIYRDFKSSNILLGEDYYPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDHTQPSGEQNLVAWARPLFRDRRKFCQLADPLLQGHYPKRGLYQALAVAAMCLQEQAASRPLIGDVVTALSYLASHPYDPNAPTTKDSRTCPSTPRAKTHRRTTSVPDAQHAAESLMLNFPDLRKDTTRGGEFEQDHTEGSGSSSSSGRNDGLDVPQLLAVPNGKAYSEADSIQKSTVKVGGREK >KQL23110 pep chromosome:Setaria_italica_v2.0:II:8441449:8443036:1 gene:SETIT_029717mg transcript:KQL23110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDDGVQGVRDGGGDPRPRRVLVFPLPFQGHIDPMMHLAGALHARAGLAVTVLHTRFNALDPARHPEFRFVEVPDGVPAGVAATGRIIDVILAMNAAMEASPEAVRGALASAMIAEDEDEEAPHAACLVIDSNLLAVQRAAEKLGLPTMVLRTGSAACLRCFLAYPMLHDKGYLPFQESQLYMPVPELPPLRVRDLFQTKISSHEMVREVIARITETVRNCSGLIINTFEALEPDELQKLRGELDLPLLLAAGPLHKLSSKTTGSSLLDQDYSCIEWLDTQPPGSVLYVSFGSLAAMDSGEFVEVAWGLANSGHPFLWVVRPNLVQDTDIAQLPDGFEDAVKGRGMVIQWAPQQEVLAHRAVGGFWTHCGWNSTLESVGEGVPMICRPNAVDQMMNARYVEEVWGVGFELEGELERGEVEGAVRKLMGGGREGAEMTERAEVLRRKVAECLDGSGSSRTAIDMLVDYMLSL >KQL23847 pep chromosome:Setaria_italica_v2.0:II:21077702:21078784:1 gene:SETIT_032813mg transcript:KQL23847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILSRYIVYYQDPGKRATCSLCIKQACMSCLEQQNDKSIVNIKYEKNKIIPKKDCFNDKRKNKYLVNTPQEFLIPRISFKTEQVLAYFTQDIIDLIWKKYTERQYKTFHDIQNYFMKLYQGVERNLGIIVNTNIFPLLHLDDKLIVKPHHKFIILKADINLKYFRNIQRYTGEDISLETIIDHGLVQDIYGTLEEILQSDLGKAIKEACKRLACVQGKYKIKYYSNPPKFTLPLRPASHDIYIIKGSYKFPTIWSSESWHNYEEIFAKNNHDNWRIFSEAKEIEGNTKFDPEYHMMYQNKKTKIFLREYYGKNSIISKEVGRLLKTNYGMECQLRKEYRELLSWYELWQPEEPDIEEDE >KQL25276 pep chromosome:Setaria_italica_v2.0:II:37087236:37091513:-1 gene:SETIT_029509mg transcript:KQL25276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSSSGVLRRLRAQATPRLLTVLLAVVVGVAPRSASAITRRDFPEGFVFGAGSSAFQVEGAAAEDGRAPSIWDTFTHEGYSYDGSTADVSADQYHHYKEDVKLMHAMGLDAYRFSIAWPRLIPDGRGEINAKGLEYYNNLVDELILHGIQPHATIYHFDLPQVLQDEYGGLLSPRFIEDYTAFAEVCFKHFGDRVKHWVTLNEPNIEPIGSYDQGSQPPRRCSYPFGKNCTGGDSSTEPYIAAHHLLLAHASAVSLYRNKYQPIQGGQIGITLLGWWHEPATNTSEDAAAASRMNDFHIGWFMHPLVYGDYPPVMRSRVGDRLPRLSAEESARARGSFDFVGFNHYLILRVRSSAEEEELKDYYVDAGVQNPLLAITEGRVESPPWALGKLLEHLKVNYGNPPVVIHENGLGDSPESRGPIEYDDEYRSEFLQNYLEVLYQSIRNGSDARGYFVWSFLDVFEFIFAYRLRFGLCGVDMRAAARTRYARSSARWYAGFLRGGELRPPARSDRAYYVA >KQL25665 pep chromosome:Setaria_italica_v2.0:II:39732500:39734304:-1 gene:SETIT_029809mg transcript:KQL25665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTYTPTYYSGLQDTIASLCKSIIPSFRGIVPASDVAAFRGPMLAALVAPPPPQHPEQPAVLRDKLLFLQELLYSKCISATEYNASKAPLVQRLAALGVVVDCPDAEASAEEWSEIDLQDPPPPASAAASDKPKHKAFITPWKSRSKKEQDANGASRPPLAPVDQNNARKASVLMAESSPSQAVPSSGKAEKGKRRHLAAMFSSGGNGCENKDPAAEEGTDEKETVKGKKKSSWGFDGLKKWKKAGCSSGDAAAIGEQPERALPRSSYSECRLEASPMAASGPDAKRAKTKLHTATGDDSASELLHDKVLVENTKKELSRIQAELSSTNRNLNFSDQQIEAISTKLPVDKSELKPFFPKAWCDQHGDGVITAAKKEFKEHVEEMEKQRDISDSEGWATFDDINLDDNFNPRAFAQHQSDSEAKGNKVNESLTSSFTNPFYNDKNPFLNTNYD >KQL26279 pep chromosome:Setaria_italica_v2.0:II:43499057:43500626:-1 gene:SETIT_032676mg transcript:KQL26279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMESTDSSSGSAPPQQQKQTSSAPDLPPGFRFHPTDEELVVHYLKKKAASAPLPVAIIAEVDLYKFDPWELPDKASFGEHEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILASTGNREKVGVKKALVFYRGKPPKGLKTNWIMHEYRLADAASSAAANRPPPGAGGGKATSLRLDDWVLCRIYKKTTKLGAGDQQRSMECEGSVEDAVMAAYPRHAAAAAMAAAAGGGGAHGGNYTSLLHHHEGHEDNFLDGLLTPEDAAGLSAGATSLSHLAAAARAAPSATKQLFVSSSTPFNWLDASALAILPPTKRFHGYSGRDATDGGMSLSSPSERNQLGAVGAGAVDNDTGGGGANAIPTFLTPLGVQAAATYHHHAILGTPVPPEAAAAACSFQHPYQQLSGVNWNP >KQL24140 pep chromosome:Setaria_italica_v2.0:II:26678363:26678718:1 gene:SETIT_032715mg transcript:KQL24140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLPLSTRTRRRNMALRNMMISIIVIYYYMNVRLSNLIRNSNVACISQLRMDRRTFYSLCEMLSDVGGLKATRNMSLEEIVAQFLYTLSHH >KQL22381 pep chromosome:Setaria_italica_v2.0:II:2157495:2161200:-1 gene:SETIT_028794mg transcript:KQL22381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPMRLHYLLLPILLAAAAAAAAAATVNADADALLAAKAELADPAGALASWTANATASPCAWSGVTCNARGAVIGVDLSGRNLSGPVPAALSRLPHLARLDLAANAFSGPIPTPLARLRYLTHLNLSNNVLNGTFPPPLARLRALRVVDLYNNNLTGPLPLGVAALPALRHLHLGGNFFSGEIPPEYGTWGRLQYLAVSGNELSGRIPPELGNLTSLRELYIGYYNSYSGGIPPELGNMTELVRLDAANCGLSGEIPPELGNLANLDTLFLQVNGLAGGIPPELGRLRSLSSLDLSNNALTGEIPATFAALKNLTLLNLFRNKLRGSIPELVGDLPSLEVLQLWENNFTGGIPRRLGRNGRLQLVDLSSNRLTGTLPPDLCAGGKLETLIALGNFLFGSIPESLGKCEALSRIRLGENYLNGSIPEGLFELPNLVQVELQDNLLSGGFPAVAGAAASNLGSITLSNNQLTGALPASIGNFSGLQKLLLDQNAFNGAVPPEIGRLQQLSKADLSGNSLDGGVPPEIGKCRLLTYLDLSRNNLSGEIPPAISGMRILNYLNLSRNHLDGEIPATIAAMQSLTAVDFSYNNLSGLVPATGQFSYFNATSFVGNPGLCGPYLGPCHSGGAGTDHGARSHGGISNTFKLLIVLGLLVCSIAFAAMAILKARSLKKASEARAWRLTAFQRLDFTCDDVLDSLKEENIIGKGGAGIVYKGTMPDGEHVAVKRLSAMSRGSSHDHGFSAEIQTLGRIRHRYIVRLLGFCSNNETNLLVYEYMPNGSLGELLHGKKGGHLHWDTRYKIAVEAAKGLSYLHHDCSPPILHRDVKSNNILLDSDFEAHVADFGLAKFLQDSGASQCMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGKKPVGEFGDGVDIVQWVKTMTDSNKEQVIKIMDPRLSTVPVHEVMHIFYVALLCVEEQSVQRPTMREVVQMLSELPKPTSRQGDELPSGDDGAAPNPPVSADSVEALNDEAKEHQQQKQPSSSQSSPTRDLISI >KQL23143 pep chromosome:Setaria_italica_v2.0:II:8702874:8705785:-1 gene:SETIT_030646mg transcript:KQL23143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKIELDHKDMVHDSAVDYYGKRLATASSDSTVKIVSIGAATAPSQVLATLTGHYGPVWRVAWAHPKYGTILASCGYDGRVVIWKEDARGNWSQVHAFMDHKSSVNSIAWAPYEVGLCLACASSDGRISIFTMRADGGWDTATIERAHPVGATAISWAPATALGSLAGSGELVYKLVSGGFDSVVKVWGFVDGSWKLEGALISDMHTDCVRDVSWAPVLGMAKSTIASGSQDGKVVIWTKGKDGDKWEGKLMRDFGAPVWRVSWSLTGNILSIAAGENNITLWKEGSDGQWEEVMKVEP >KQL24716 pep chromosome:Setaria_italica_v2.0:II:32539777:32541318:1 gene:SETIT_031870mg transcript:KQL24716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDEVTSSGMIMDAARVAAICAKIEEYAAGGAPMSGRRVAAICAMFDDRAAAGRTRRNKRRKKLCVDSTRSYKQIGEIGSGSFGAVVKARHRRTGQTVAIKTFRRRDGKKAPAVSELLREACFLAACGGHPHLAGLHAVARDPRTKRYSLVMEYVGPSLRRALKDHLRVHGGPFPEAEARRVMAQLLAGAEAMHAHRIVHRDIKPGNVLVSEDGGGVVKICDYGLAMSTAKAGPPYARVGTSWYMAPEVLTRRTDYDERVDLWSLGCVMAEMLSGEVLFKVDDDDDDYIHQLDRMFDVLGAPGVDALQTFAPPFVEGKLLRRLARQPRSSHQGRLRELFPGETLSQDAFDVLKGLLTFNPKERLTAAAALRLPWFTAAVDAPASEIGAMASSTQTAASTFVRVRTSALAFLRRMALELIRLPRRCSGIMRRLLQSSNALYEIEPPFEAGVVSSARVTASKTEKDDSRY >KQL22386 pep chromosome:Setaria_italica_v2.0:II:2200730:2202035:-1 gene:SETIT_033200mg transcript:KQL22386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FCHGGATAAAAGHRDVAVRQKALVARRQLLGDKEAGQWQVPQVHRGGRRRPLLAHRLLPQREARRHHRLRICLPPSGRRRSRTRRRRRRRPRGVEVRAAQGGLRGAAPRHNRFVIRCDFTVFPTAGATPAVARPSPPQPSVQQAAPPAAGASRAPPPSSPLPAAPPAVRALRSMSGLPADLGRLLETEAGSDVDFEVCGRVFAAHKVVLAARSPVFMADFFGPDKEKTTGYIRICDMHPDAFEALLHYIYTDTLPATATAREEAAALAQDLLVAADRFNLKDLKSLTENKLCRHSVSVSTVLPMLALAEHHQCLNLKKKCLEFIASGRNTRAVMATDDVEHLARSCPSVVREILGKILDAREATPSNPLMVSVDASFYFYACIFILLFGLWALFCVYCV >KQL25202 pep chromosome:Setaria_italica_v2.0:II:36628281:36629680:-1 gene:SETIT_030349mg transcript:KQL25202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRCSKRDLLGFFLGAAVTAACVVLLQPAAPCPCGLVPLAETELAAFGNETAYHAPPRPCSLVGVAPADRQELVALGNGTAAHADPCTTKPGDMDATSPDDNLPELLRRAAMEDNTVIMTFTNGAFASPNSLLDLFLHSFRVGVRTAPLLRHLIIVAVDDKAYGRCRHRFMARDYLDMMWRRNRFQARVLRLGYSFVFTDVDIVWFRNPLLRIPVGADLAMSCDKFYGDNPYDLDKWANGGFVYARASARTVAFYESWYQARGAHPGKNEQDLFDMLKRELSARHGVAAQFVDTAYLGGFCDRRKGRDFNKLCTYHANCLVGMKLKLRRLTQVFAEWNKFRASAALTD >KQL24300 pep chromosome:Setaria_italica_v2.0:II:28714213:28714500:1 gene:SETIT_033524mg transcript:KQL24300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGSHHHDIPLRTQIWQVEETNHDVRIFSGVSGHKEKRAL >KQL24514 pep chromosome:Setaria_italica_v2.0:II:30949900:30952470:-1 gene:SETIT_029465mg transcript:KQL24514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTLSPHNVVATRCAAEYLEMTEDMEKGNLIFKIEVFINSSILRSWKDSIIVLQSTKAMLPWSEELKVVGRCIDAIASKTSVDPANVTWSYSHNSKKGVACAEIVESTGKASLAPKDWWVEDLCELDVDLYKRVMVAIKSKGRMSPELIGEALKAYAVRWLPDSYDALIAEDYMRRNQCLVETIIWLLPSDKSSGCSCRFLLKLLKVAILVGAGEHVKEELMRRISFQLHKATVKDLLLPAASPNDGTYDVQLVHNLVQRFVARTALSHNGGFVEKSDEKMIELNFEQESTLSVGELVDGYLSEVASDPDLSLSTFVEVATAVPEAARPIHDGLYYAVDAYLKEHPDISKTDKKKICSLVDVKKLSTDASMHATQNDRLPLRLVVQVLFFQQLRAGASKELALTDDGGHACTRPMPDQCDPCERRIPKHPNSLNKQVTSLSARESDHHRISEHRGSRNSFKDQLGGLLLQSRSRRIFDKLWSSKGQGEHGGGKGSETSGSSQSPPLSAKPAEVKPSPLPPLRNRRYSVS >KQL22360 pep chromosome:Setaria_italica_v2.0:II:1977136:1977915:1 gene:SETIT_031297mg transcript:KQL22360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYSSKLACLAFVAVAVAVLAATPCAAQNSPQDYVDPHNAARSDVGVGPVTWDDTVAAYAQSYAAQRQGDCALVHSGGPYGENIFWGSAGADWSAADAVGSWVSEKQWYDHGSNSCSAPEGQSCGHYTQVVWRDSTAVGCARVVCDNDGGVFITCNYNPPGNVVGQSPY >KQL23066 pep chromosome:Setaria_italica_v2.0:II:8166610:8167421:1 gene:SETIT_033342mg transcript:KQL23066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAPLLVVGPQFCPPHVLPLTLAMKYNGRCTVDDASGAAVLRMEEVPFFSFRRHYVLVDAAGVPVVSLKAKAKHFGGLSWQVFRGDSHDAGDLLFTATAFYLGNSDKMIASVCSISAANQHIPVNRMKSSGRPVFGVTVFPHADHVHHSSCFDLTLCFYQH >KQL22337 pep chromosome:Setaria_italica_v2.0:II:1824764:1825989:-1 gene:SETIT_0300711mg transcript:KQL22337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEATNKIGLPPVQSFFTILLIICPLFFSHFKPCTCSSSNQNRIARYSWNITFEQMNQGLLFRRGDGSSVTLQPGSLGYYMRNSSQLGFNLTDPGWFVIPKWSDPWKTSRRGDERMDLHEASFSIVFTLTVESLSTLLFDILPRLEPPSGDPGYFTRFKPMSFEPVKIDTLENTAFHSGRTIRVTFQPPENDDPNNNAGRRYGVRIDYDRVAHSLSTVPDEATLQLDARDALSPDGLVLALSSTMGQLLQLHTWSFTIEFPETVHSQGPNTVTILSSVLGSAAAASAIAAAVYLYLNSKYRRWKKDLDQLSKNMQCLPGVPMQVDFADIRKATNNFHESTRLGQGGFGAVYRCRLPAAKKGEFMEVAVKKFTRADNRGYEDFLAEVPPSPQEYRSSR >KQL23036 pep chromosome:Setaria_italica_v2.0:II:7812339:7814381:-1 gene:SETIT_032645mg transcript:KQL23036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLAAFLLLVLAPPPAAAAARAAAAPGPTNDAPSCPYDLATAARMIPRECHANSTAAPGATGCCWYVFAAYIFAAADHANRTGEAFLPRDPAAACSDAFAAALLAGGLVSRSLLAADGSCDLTGDPGKLAAGSRPCQLATIGGVRALAPGALPNATRLCAEPGQSVGPGTPGCAACRGAVIATTYEMLASERTKEFVPCGMAATVAIWSAAPPQLERYSAYALCMLQVLENVNSLGTGDLVPSPPPPAAASATLSPPAPTASSSSSSGRNTVRIAASSAAAGVAAVVCIALAALAITRIRRRRRRTAGNSECSDDDDSVASLPPLPREGLYIFTKAELMQATNGYDKKLLLGTGGAGKVYLGHLPSGQRVAIKKIYRAKKVSEFYAEVAVLAKLRHRNLTTLVGYCLGGGDHALVYEYMAGGNLWRALFQGELPWRRRLEVAVDVAEGLAYLHGFRDGAVVHRDVKPTNVLLSESGAAKLSDFGVSRIVPEGGTHVSTEIRGTRGYVDPESFSAGHVSEAADVYSFGVVLLELATGMRAVVPTPSGGAESIVQAAHWAVTEAGGEAGPAAEAMVDRRLGPAWDRPTVRAVFALACRCVRPYKHERPEITEVLALLKGMLADYNARLVNGEGDALLPDGEGSEVTASSSAATPEPASMPSTSSSTVNTEVMSTPSLQGVA >KQL23431 pep chromosome:Setaria_italica_v2.0:II:12375943:12381154:-1 gene:SETIT_029529mg transcript:KQL23431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQQSKEELLYQQVNYGNVDGIRTLRAQGAGLEWIDKEGKTPLMVACMRPDLLGVAKVLIELGANVNAYRPGSHCGTALHHAAKKGLEQTVHLLLTHGANPFIPNDDCNTALELAREKGHVNVVRAIEGRISLFCGWMRENYAPAFLDAIAPQFMTRKIWAVVLPREVRTPTRLLKLELAIYPELQASKPRAVVKLWKSQIEEPKLNLADPSVVIFDKGTKTRYKILPAYEGDKQQLQRFYHACCGMVQVSNTAPAPPANLPVPNPVPANSSVAPSEVSAPTKEDVELAMAINASIQSAIAEGVPNVQPNASTPNTNGWGIPPSNSHNGWGPPSAPAPSKTSGQSQARVDAPSSSSTYNGWNVPGTSSSQSSSKPSKTQTNPPVVIPQEALPALPTPTAPPFAEETFYSGPVQYPSIDSTPVDVIMPATTEGGTVAASVGPGEDEANASGSGSGNTPSGTCVICLDAPVEGACIPCGHMAGCMSCLKDIESKKWGCPICRATINQVVRLYAV >KQL26407 pep chromosome:Setaria_italica_v2.0:II:44147055:44153102:1 gene:SETIT_029800mg transcript:KQL26407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMRDNESSYEAQMEEPLGRVRILSYGSGHMLNDITSSCWFTYLLVFLTEMGLSPRDAAVVMLSGQLADGFTTIFVGELMDRFGHFKLWHAGGSILVAISFSSVFGSCVPCKLMGTNSSTLETVGYSTFASIFNVGWAVTQVAHMSMVNCMTSSPTSRVSLVSCRNAFTMVANLSLYGIALLIFTLLHSVSVIVQYRWIAYVSISIGSCFVVIFLVGTKEPGSIQHPMDKNLSRISWAYWFKRVLYYQVALVYTLTRLVTNVSQAFLAFYVIYDLEMPQSSKALVPAIIYVCSLIVSVILQETRWSSWRLKLYFSAGAVLWILSGLGIVLLPSRLNNVMYAISIVIGAANALMTVTSISMEGVLVGEDLNGCAFVYGSLSFVDKISCGIALYILESYQGNTHITPNVGTAFGYSVTRLGLGLVPAACSLLSAIVAYTMDLPDTRRRPLVEPLLA >KQL22144 pep chromosome:Setaria_italica_v2.0:II:187221:188633:1 gene:SETIT_032829mg transcript:KQL22144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPSMAVMALKCPSIEVAVVDVSRPRIDAWNSDRLPVLEPGLDAVVRECRGRNLSFSADVDRHVADADIVFVSVNTPTKARGLGAGRAADLAYWESAARVVAEASRPGRSKIVVEKSAVPVRTAEAMERILLAHGGGGGAAFQVLSNPEFFAEGTAVRDLLCPDRVLIGGPDTDAGRAAARALRDVYANWVPEDRIVATDLCSAEISKLTANALLAQRVSSVNAISALCEAIGADVSDVARAVGGDGRVGGAFLNAGVGFGGPNLQKDVLQLAYACECHGLAEAAEYWRQVVAVNEYQKGRFVRRVVASMFGTVAGKKVAVLGFAFKEGVADSRESPAADVCRGLLGDRAHVSVYDPAVGEKQIRRDTAAPAAKERQVRVARDAYEAAEGAHGLCVLTEWDEFRTLDYRRIFDGMRRPAFVFDSRGVVDVGKLREIGFVVYSVGKPLDPWLKGLPAGA >KQL22677 pep chromosome:Setaria_italica_v2.0:II:4398861:4399874:-1 gene:SETIT_032205mg transcript:KQL22677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPASPGRRAPPALRLTEEPPLPFALLPPKKRWAWLWRNQSEATDPVPTHTPVLSQIHATPAAAGAATTNPLAAPALLADAAASSPAAGQKRSSPLPPLSGDHKLHAPPPPDADGKPSTPPPCKRERKVLRRVRKVVRKMVPKGTSSAAARKETIAADAAAWAAGASQLQAVDEAVTVAEEELEPGEFIPEKTANDCNNSDAARQPLLGEEEAAGEEAAVVAGEPEAAEKKLVSSNQGEERGVTTGRQNRMREVFVGALHTDAKEEDVRAALAEAGEITEVRMIMDAGTTTKNRGYCFVRYREPAQARKAIQELGSVKVVRASIVYAWIHLLFD >KQL24376 pep chromosome:Setaria_italica_v2.0:II:29306451:29306953:-1 gene:SETIT_033772mg transcript:KQL24376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESCYPLKHIKFMENLQHNDPLSFCCLSWAVGFQPCSSHCIL >KQL27244 pep chromosome:Setaria_italica_v2.0:II:48801326:48801768:-1 gene:SETIT_031938mg transcript:KQL27244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKTPAERCFLWLGGFRPSELLKLLANHLEPLTEQQLLGLTNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQASIFSVSL >KQL25037 pep chromosome:Setaria_italica_v2.0:II:35527276:35528601:1 gene:SETIT_029856mg transcript:KQL25037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDLDAVRAARVLGRGALGTVFLVGDGDGGGGEAYALKVFDKRSPAAPSRPAAGADAARRARWEVSVLSRLAHPHLPSLLGCAETPGLLAWALPYCPGGDLNELRHAQPDRVFSPAAIRFYVAEVVSALAELHAAGIAYRDLKPENVLLRADGHVTLTDFDLSRQLPPRSPSASTSSSSSCSASATSSPPPQMPGHGRGQYRHLKRIFKRSESAVTASTSGQEEEPRNLAWYLSRSVDGAGGGDQVKKAKSARVSPADRRKKLSGLCSAAAAAGERSFSFVGTEEYVAPEVVRGDGHEFAVDWWALGVLVYEMSHGRTPFRGRNRKETFRNVLHREPEFSAEARRRWPELTDLISRLLEKDPARRLGFAGGADEVRAHPFFAGVAWELLGEVSRPPYIPPPADDIVSCEGFSVAEYFDKLHQPPPSPAEHTPEEELLPEF >KQL22876 pep chromosome:Setaria_italica_v2.0:II:6029048:6029744:1 gene:SETIT_033616mg transcript:KQL22876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTIEIYSHANIINEKCKLCITTLSKLSIPNDHYLQYEGSCKPVKK >KQL23832 pep chromosome:Setaria_italica_v2.0:II:20700795:20701007:-1 gene:SETIT_033074mg transcript:KQL23832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PITRSRARKLQQEVNSLLTKFDYHTNENFILPKCSTFVLLRFTHIGAAAGLKETSYTEKETSHAEAEPSH >KQL24067 pep chromosome:Setaria_italica_v2.0:II:25419953:25433088:-1 gene:SETIT_029239mg transcript:KQL24067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLPLPQPPAQTTECEYAHPGPAGHIFRQRSRAGLSPDEKLAAEKDLALYCKPVELYNIIQRRAIKNPPFMQRCLLYNILAKRKKRIQITISLSGSANTELQAQNIFPLYVLLARPTSNVLLEGHSPIYRFSRVCLLTSFSEFGNKDNTEATFIIPDVKNLSTSRSCNLDIILISCGQVGQSTGEDYCSGNHVESSSLQKLEGKCSWGKIPTNLLASSLESCVTLSLGTIVELASKVTMRPSFLEAKFLEQDSCLTFCSHKVDATGSYQLQLCMSAQKAGAKNMSLSPYKSYSYNDPPPALRAGNVIFNYKYYYDTMHETEVTEDFSCPFCYVRCGSFKGLGCHLNSSHDQFCYEFWISEEYQVVNVRLKADASRTEFLAEGVDPRHQTFSYRSRFKKRRRLKTTTEKIRHVHSHIMESGSPEDAQAGSEEEYVQGKNGTSVANASIDPAQSLHGSNLSPPTVLQFGKTRKLSERADPRNRQLLQKRQFFHSHRAQPMALEQVFSDRDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRVLADGHIPWACEAFSQSHGQQLVQNPALLWGWRFFMIKLWNHSLLDARTMDTCNTILQGFQDKK >KQL26471 pep chromosome:Setaria_italica_v2.0:II:44574339:44580227:-1 gene:SETIT_029398mg transcript:KQL26471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMSRGWQELGVVDTIYEDDHEDEDEDEEEEEEERFDSPTMSSSAATSRSCSPEVEEEEAAAAAHTSLPPALRRAVQAWSRANGSRKPNVIVRVQEHRLPLHRDVITSQSSYLRRLLSESSDVTVALPSGLEFDAFVHAVASCYGSDEAAAALSPASLAAAWAAAGWLELGAGRSSYGLARAAEDYFFQEVATDHGRTAEVLRSCAAFLSGEAAGPAADLLVRCVEALAASGGGSGDGGRWLDDVAALPVEEFLVAVEAMRARFAHDHDLMYTVVDHYLENHKGKLTEEDKGRLCYNINCTKLSQHLFMHLVQNPRLPLRFVVQAMLVEQLHSHHSILLSNHHYAAAPAPAPGPILKPSLSGAFAGGAAVDAASMTLGDILQRDAALRQSAHIRASMQATSLRIETLERELASLRTRLRRSEQQQAEAEAEAAAGAPAIDRAPGKSASFRIPRSRLWDGEELAPVGPRRAAARDGNARGFKSRLVHGFKNLFGRRQEAAGAPSACGEDAGTRTRCFGDKGAAAAAPELEIDDDDVLCMEERWRPHRRNHSLV >KQL24559 pep chromosome:Setaria_italica_v2.0:II:31261304:31264203:-1 gene:SETIT_031098mg transcript:KQL24559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGHIRAVVEGYRPCAAMVATQCIFAAMTLWVKAAFAGGMSPTVFVVYRQAVATLVLAPIAIVSNRSMLKDMRLGMKGFFLVFMAALFGATVNQNLCYHGLHLGTSSLATTMTNLIPAITFAMAVAVGQERVNIKEVASIAKVLGTAVCIGGAITIAFFKGPKLLKLSLHDSYMLTPSSSDWVMGALFLIGSSSCWSLWLILQQLVRTAM >KQL24565 pep chromosome:Setaria_italica_v2.0:II:31329448:31330074:-1 gene:SETIT_032763mg transcript:KQL24565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQAWITMLLFLASSSAAAQTITESEVEEFHIGVVLDLGTMVGKVSRTSISIAVEDFYAVHPNYTTRLILHIRDSMNDDVQAASADITSQIVILETMSVF >KQL24012 pep chromosome:Setaria_italica_v2.0:II:24563271:24566152:1 gene:SETIT_030059mg transcript:KQL24012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGVEVKPGKPYTHTYQADHGRLRVCQATLSNCDAAGRTVLQCNVGNKIPIKLCSLNPKLAEMCRLEIELEEVDDVVFSVIGQSSIHLSGYYVRASSRESYGEDIGQSDTDEEHDANEDSYESDFIDDRDVIDVSDDEFSSPHGRKQKACEKKTCKAERRRHLKKKYQVDSTDDNDDGSPVMKPAVKHNAPSIFDSSSDEEDNVPISVALGNKDGAKVAVKRNASSIFDSCSDEDNDVALAKQDSAKVAEETNPQNGQVNDGTKKKSNYDRKRKSSAISEDPASPMDIADANAPSVPKQGAEIKKKSKKKMRNQSGEKDEKQSNIRTLEDGLMVEDLSTGNVDAKVASDGCKVYIKYVGMLKDGKIIESNLNEKPYKFKLGAGKVIRGWDVGICGNHLRA >KQL24802 pep chromosome:Setaria_italica_v2.0:II:33330876:33333863:1 gene:SETIT_033737mg transcript:KQL24802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPGTEPISREELDRRLSCDVEIEDCKTVSYEWTGKCRSCQGTGLVSYFRKKGKETICKCVPCAGIGYVRKITLREEIQKMDELDNGKPP >KQL22470 pep chromosome:Setaria_italica_v2.0:II:2875103:2876088:-1 gene:SETIT_032819mg transcript:KQL22470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKVAPWAIQAIKKLIRGFLWCGMEVVAGVETMDHLLTGCVHSRETWFQILRYLGLQWLTPHVELPFFDWWIQVRKRVAKVQRKGFDSLIWLVAWSLWNERNH >KQL23560 pep chromosome:Setaria_italica_v2.0:II:15052343:15055206:1 gene:SETIT_030719mg transcript:KQL23560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILPRTVCRAAEAALSLRSVPPRGAGHHVRSLGGVSAACCKAGAASVEFVPWHNGGGILHRAASVDPTAVVEAGAVVHSGAVLGKEVVVGSGAVVGPSVSIGNSTRIGYNVVLSNCSVGEFCTIHNGACIGQDGFGFFVDEDGQVKKKPQMLYARIGDHVEIGANTCVDRGSWRETMIGDHTKIDNLVQIGHNVVIGKCCMICGQVGIAGSATLGDYVTLGGRVAIRDHVSIVSKVRLAANSSVTKDIQKPGDYGGFPAVPINEWRRQTANLRLFSKKDGVKR >KQL26368 pep chromosome:Setaria_italica_v2.0:II:43996031:43998066:-1 gene:SETIT_029250mg transcript:KQL26368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFHHSTFLLLAVLVYHLSVPSVHGEVGNGGHQDLPALLSFKSYNPNATALATWHGPNPCSSTWFGIRCSRGRVVGVFLDGASLAGEVAPLLRLSHIRALAVRNNSLSGALPPLDDATASPWLRHLLVARNRLTGSLNISLGALLTLRAEHNGFRGGLEALRAPSLRSLNVSGNKLAGEISGDLSRFPRSAFGGNLALCGTPLPRCVRAYNALGSDSSSNATTSIAAQSPGAAVNVSGSVPSSSSNGGFSKLSLTALMATGIGNAVLITVSLAISVAMFVYMRRKLRSAKDASDAALCFEEQEKRANGDDKCQKSGGLVCFEGGEELRLESLLKASAEVLGKGVSGSTYKAVLDDGIVVAVKRLSALQFPASRSKAFDRHMRLVGRLRHRHVVSLRGYCNSNGERLLVYDFLPNGSLQSLLQASGGGARSLDWAGRKSILFGAAQGLNYIHTFPARPALVHANVKPSNILLDERGGACVSECGLMRYATNIQQSVVPQAARCPPDLFLGRAATATSSAAPPAASVGWHGYAAPELASGAGARATQESDVYSFGMVLLEVVTDHKAADGEEGGEGEETMGMVKIGVLCTAEAPEERPTMAQVLAMMSEFM >KQL25268 pep chromosome:Setaria_italica_v2.0:II:36998196:36998723:1 gene:SETIT_031665mg transcript:KQL25268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSLPLLRASCCCGVQIRMRTRLRDPQSSPPQPMRYRQNLCMYSLRKSMNGTLDLICIFFWLVRDVYFLFFISFSESKDSFPTFY >KQL22989 pep chromosome:Setaria_italica_v2.0:II:7172566:7176658:-1 gene:SETIT_029050mg transcript:KQL22989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGPSKEDAEGGAASRCRERKHLLRAAVQARHALAGAHAGHAAALRNVGAALSDYAAGEADRHDAAVVPRSASAAAALGAAAHPGAAAAPAALKALPPPPLDAVLPPPPPPLPPGAEDAAAPLQRSMSAPDIPLQPNIRKARSGEAPIMEEEEGEGEGGDDDAPRRRRGEDDPQLQPPPPPPPANAPPPSRSPPPVPDRKPAPQEGDSFTQYIFGPSDAVPVPRPTLDPGAETSWAAERRDPAPPPPPPETDEQPPLPPETVAEGKKLAVEPVARRAAKASRKAEGKKARIAMVAPQPVRLGDVLRKLDEHFLKASEGAHEVSKMLEAARMHYHSNFADTRGFVDHSARVMQVITWNRSFKGIPQPENVKNELDDDEWETHATVLDKLLAWEKKLCHEVKEFEVIKVTYQRKLAVLNRKKQRGVSSSSIEKTKSIVSHLHTKYIVDSQTIESTVAEINRLRDQQLYPKLLELVKGLSHMWDIMYAHHKAQLRIITELKSSDIMVAARETSEQHHERTVQLWSIVQEWHAQFDKFMTYQKEYVGSLYSWIKLNVIPIDADLKPNSSQPHETTPPIKRLLHAWHDILEKLPDNDAKKAIHTFAEVVHTILVQQEDELKLRIKIEETRRDLEKKRRQFDDWAQKNWDRGASIPDGNNPGRADPAGERKAVVDRVENALKDLEDQYKTQCKVVRDKSLNLLRSNLPELFRAVSDFSLQSAGYFKGLWSIAQTNDQLDD >KQL26141 pep chromosome:Setaria_italica_v2.0:II:42558608:42560918:1 gene:SETIT_030927mg transcript:KQL26141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAFALRLAPRLAAVPPGRGKGGGGASRGAGSRALVTKKPNKEHHLWIRKETAGSGKKALRLIDTVSKLPNEKEAIYGALDKWSAFEPEFPIIAAAKALGMLKRRRKWLRIIQVTKWLMTKGQVLTWTTYDTLLLALFMDGRVDEAESIWTTVIQTHTRSVPKRLFSRMILMYDIHHHPDKVLEIYADMEELGVRPDEDTARRIGKAFVAFGQEEKEKYVLDRYLKKWKYIHFNGERVRVRRDGPLA >KQL22800 pep chromosome:Setaria_italica_v2.0:II:5379231:5383360:-1 gene:SETIT_029105mg transcript:KQL22800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRALNPTPLRARPACRASTRRRPWARPRAAAVPQQPPVRRPSGDRMRAPLRGAAPAPAVQAPGASEMAAGPRGELEAFLEVVPARMRSGLAQHPEVRELVEVVMDLGRRPIARFPAGDWVISDQPVTADDLRQAVSKVGDFSEDNRSGINHSLHRISAIRNRKAQIIGLTCRVGRAISGSAEMIRDLVVSGGSILVIGPPGVGKTTLIREIARILADEGKKRVVIVDTSNEIGGDGDVPHSGIGRARRMQVPKVTMQHNVMIEAVENHMPEVIVIDEIGTELEAMAASTIAQRGVQLVGTAHGVTIESIIKNPCLQMLVGGIESVTLGDEEAKKRKVQKTILERKGPPTFSCAVEIISKTECRVHHKLETTVDAILAGKPPKFEARKMHNKSTEPQMPLLIPDREYEIEPLPLYQEHMVAKTMSSEDTIRDDFAPSRQTKSKSMPSDGNFGDDFVYTRKTKGKKSAPGKSLVRVYTYQISEADILQVATVMGFDDELDVTDDIGAADVILASSSEMKQNPWIHNVAKYHKLPIFVVKSNTMAQIVKAVRMIVGRDNSPSNKQPTVMEGEIEIEDDAPKRKPSLEEIDALEEARLAIEYIVIPGGEPVELLPRCSEIVARQLELVESYQLLAETFGTDSNSRLQILPVKITKKSSSKDNRGSKPTKQTGSDLIVSENGGGSSFSRLPFLPK >KQL23986 pep chromosome:Setaria_italica_v2.0:II:24103426:24109451:-1 gene:SETIT_029103mg transcript:KQL23986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGDEAAGAAPETKKKPPAAAAKGKAAGKGKAAGAKASASAKESSLLKQKSPAEFFAENKNIAGFDNPGKSLYTTMRELVENALDSAESISELPDIEITIEEITKSKFNTMIGLVDRERVDEALYDDFESEKAREKRLAKEARFQETQAKNAALGKKVKEAPSARGKGRGEAAFFKVTCKDNGRGMPHDDIPNMLGRVLSGTKYGLRQTRGKFGLGAKMALIWSKMSTGLPIEINSSMKGQNYVSFCRLDIDIHKNVPHVHLHEKRENKTHWHGAEIQVIIEGNWTTHRSKILHYMRQMAVITPYAQFLFRFLSDAADKNLTIKFARRTDVMPPVPLQTKHHPSAVDLLLIKRLIVETTKQNLLQFLQHEFVNISKSHAERLIGEMGPDFSPKMTVKSLTPQQLVRIHQLFRQAKFDDPSGNCLSPAGEYNLRLGIIKELHPDMVATHASSPQVFEGHPFIVEAGISIGGKDVKQGLNIFRFANRIPLLFEQGADVITRTALKRINWSSYKINQQQDKIGVFVSIVSTKIPFKGTGKEYIGDDITEISSAVKSALKQCCLQLKSKIVKKLQARERQDRKKNLNRYIPDVARAIMETLVEIADESPPKRPRYDKEDEELLEKINSEEVTEMTFKDCLTQHVEQVDYEMALDYAMQSGVSEEPREAIYLNSLEGSYKFVDFQSPVFVFRFIP >KQL24354 pep chromosome:Setaria_italica_v2.0:II:29157160:29157530:1 gene:SETIT_031721mg transcript:KQL24354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYYVRQFWTNYELAHGLYILAARVLQKIMGVHLYTHVPLLRPPLDLQELPHAGGDLRVWRPVGKVLCRRKLQLERD >KQL22561 pep chromosome:Setaria_italica_v2.0:II:3513239:3515777:-1 gene:SETIT_030618mg transcript:KQL22561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATISNPSIKRIALVTGGNKGVGLETCRQLATKGLKVVLTARNEARGLEALEAIRRSGGVAEVFFHQLDVTDPSSAARLADFVRGQFGRLDILINNAGISGVDRDPVLVAKVKDQVESMDVNQRVEWMRENSKETYEEAKQCMRTNYYGAKIVTEALLPLLQLSSSGRIVNVSSGFGLLRNFNSEELRKEFDDIDNLTEKRLEELLDLFLEDFKANLLEAHGWPTGGSSAYKVAKAALNAYTRILAKYPTLRINCLTPGYVKTDMSMHMGVLTLEEGARNPVKVALLPDDGPTGAYFDLNGEASFV >KQL24265 pep chromosome:Setaria_italica_v2.0:II:28229330:28230178:1 gene:SETIT_031357mg transcript:KQL24265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLEYLSDLLGGGGGSRRRYKKRKQFQTVELRVRMDCDGCEMKVRNALSSMKGVQSVEINRKQYKVTVQGYVEPHKVVKRVQATGKKAEIWPYVPYSHVAHPYAAPAYDKKAPPGYVRRVDAVMPVSSYGGPTAAGPQEERLVTMFSDDNPNACSIM >KQL22667 pep chromosome:Setaria_italica_v2.0:II:4303761:4305962:1 gene:SETIT_029086mg transcript:KQL22667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPPAGRRRKQTIYHGHRRASPHRPTVRGGLFTDLHSPSPRPRASPSPSTTAAPFRLPDWDPHSPSSSSSVPSPSSPSAAASRWGPPVVADLTKLRRVPPSLVAEVLTARPPPPPPLALPFFLWAGRQKGFRHCFPAFHALASLLSAAGLPAAADQLPDLMRAHGRPVSHPQLTLLVRLHTAARRPLRALHALRRFHHEFDVKPEVHACNRVLGALAAAGHVDDALKLFDEMSEAGTRPMPVTFAIMVRALARAGMTERLLEMIGRMRDEVCRPDIFVYTALVKTMVRRGYMDGCIRVWKEMEKDGVEVDTMAYATMIGGLCKAGMVEEAAELFKEMRSKGLLVDRMVYASLIDGYVSAGSVGDGCRVLKEMIDAGYQADLEIYNTLISGLCGIGREDKAHKMFQIVLQEELMPSSDTVSPLLACYAEKGELVMFFGLVDKLAGLDLPVVEFLVDFMKLFAGKDGREVKALEVFDALRQKQYCSVGIYNIVIENLLKIQDRKKALLLFEEMQGSVHFKPDSCTYSHMIQCFVDEGNVEEACSCYNSMMKENWIPSMPAYCALVKGLCKIGEINAAISLVKDCLGNVENGPTEFKYTLTILEACRSKSPEKVISVVEEIIEVGCSMDEIIYSAIMYGFCKYASSTEARQVFTIMRDRNILSEANFIVYEDMLNEHLKKVTADLVISGLKLFDLESKLKWRSRID >KQL25576 pep chromosome:Setaria_italica_v2.0:II:39123747:39124291:1 gene:SETIT_031525mg transcript:KQL25576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGCLPDPAPPPPPPRHGSGKPLRSVPFPSRPRRGHPPPALARCHRHFSPPGEEEPEEHAGGFSPRAARKGRRRRRTCCCCCCCACAARIATSRPALAPTPKGEDSRAPPSPPPPPAVAGRRR >KQL23836 pep chromosome:Setaria_italica_v2.0:II:20803976:20804249:-1 gene:SETIT_033536mg transcript:KQL23836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTPGPNKSPNLQLKHLHQGQRCSGTRQHPGPLSSAHVQIK >KQL26303 pep chromosome:Setaria_italica_v2.0:II:43650421:43652127:-1 gene:SETIT_033645mg transcript:KQL26303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAQTAASSSSSGGVEQERSVVVEQERSVVVEERRRTEPVGEEDEEEEEEEPEFRDIHALSPPPSQPSSYRRGRGESWGSAAGGSRHTSIRSVGSETAPSEPFPTMSREFSAMVAAAATANAANAAAANGAGSDTERDVDVMGRIGEGDELEETNPLAIVPDSNPIPSPRRRGPPTPGADGALAASGAQGHGHAGGGGEGGVSVGQVKKEEVESKIAAWQIAEVAKLNNRFKREEVVINGWEGDQVEKASAWLKKYEVIDHQPIHYSTDQTPNSSDAIPDPALKFLVRACRGSWRRSVPRRWRRRRTRWPRRGGRRRRSARRRRPSGAPRWRACWSSPTS >KQL22261 pep chromosome:Setaria_italica_v2.0:II:1078388:1079473:-1 gene:SETIT_032426mg transcript:KQL22261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPLGPPLMEELVEEVLLRVPPDDPATLVRAAVGCKRWCRLISGATFRRRFRELHPAAPMLGFLYARRSGTDFVPASSFRPPHAFREDWNAIDARHGRVLATALESFSPTGTRFIVWDPVTDGAQSLPILEFRPYSWGAAVLCATAGCDHLDCRGGPFLVVVVVTDVSQMRTSAYEYSSDLGTWSEPITVQHPNDWIMIRLHAHVGNALYFNYGLMILKYELGKRELAFIDLPSEFHARHIVLMQAEDGGLGFATIQESKLSLWSRDQAGADGYAGWAQQRVIDLDKLLPVSDCNISVSPYVYAARPPYVVAVADSVGVIFIGKDDGLFTVHLNSGRAERIVVESNSNFKVVPYVSSCTP >KQL25498 pep chromosome:Setaria_italica_v2.0:II:38627596:38630046:-1 gene:SETIT_031276mg transcript:KQL25498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAGKDKMLMLVSCDKENFEVEESVARESRTILHMIEDGCTDNGIPIPNVNGKILAKVIEYCKKHVEARRGADGDGDAAEPTAATNKASEDELKTFDADFVKVDQGTLFDLILAANYLDIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >KQL22729 pep chromosome:Setaria_italica_v2.0:II:4894808:4896675:-1 gene:SETIT_030248mg transcript:KQL22729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGCGRCPARVPGPYLPCLLRSTPHHAPTTTKAVSSVPEYTRSRQSLQSRPALPSTARPPTDERNSKKAGSCRRVGKPRSTPPHLPRPFLVRSNLTNRNCSPTPAITHTPPWPGWPAGSHAQSGRNPPVSPSFHANFLLNPHRLPPLSLSSRYLFIPFSLSLSLPLPRNTSRHLLFFTPMEIDADNLSATELRLGLPGTSSGDDRPKKASPSVGAKRALDDTRSEDSGTSPAATGDDHDAAAPAKAQVVGWPPVRAYRKNTFQAAAVKKAEQQQQGGGLYVKVSMDGAPYLRKVDLRMYKGYRELREALDALFTKSFAAAEGGGDHQHALAYEDKDGDLMLVGDVPWDMFISSCKKLRIMKGSEAR >KQL24813 pep chromosome:Setaria_italica_v2.0:II:33470116:33470739:1 gene:SETIT_031296mg transcript:KQL24813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSIQKTLYEVLSVSEDATYGEIRAAYKSAALNTHPDKGHTTLESSVPSSELQEFLSVQRAWEILRHPASRADYDKQLQSSRQNIEIIASEIEIRDMIVESTADTVELLYPCRCGDYFSITSCELGDMGILVSGDGEVEQQASDSSSASVVLGCGSCSLKVRLIINETL >KQL26014 pep chromosome:Setaria_italica_v2.0:II:41825330:41828909:-1 gene:SETIT_029025mg transcript:KQL26014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPKRRGAGKQTRLREEDEIALLDEWIEAGKPRPGTKPPPPSKSAGAGPAPPAAGEYPEYGACTRFDELPLSQKTKDALRKKKFMEMSEIQRAALPHALCGRDVLGAAKTGSGKTLAFVIPVIEKLYRERWGPEDGVGCIILSPTNDLAQQIFEVIKDVEEFHNFSAGAIVGKRKGIELEKEHINSLNILVCTPGRLVQHFNETPNFDCSQLQMLVLDEADQILDHGFKSQVDAIISQIPRVRQTLLFSATQTKSVKDLARVSLKNPEYISVHDEAKTATPDTLEQYAMIVPLEQKLNMLWSFIKRHLNSKTIVFLSSVKQVKFVFEIFKKLRPGIPLKCIHGRMTHVVQQAIVADFNESTSVLFSTDLTSRGLDINNVDWVVQVDCPESIDIYIHRVGRTARYNKKGKSLMFLCPEEEAMLEKLKATESKIPIHIRKPKAEQLEQISQNIASVLVKFPNLQQLGKRAFVTYLKSVYLQKDKKVFDLSRFSAEKFAAYAASLGLPVTPTIRFISHKKNVSKKDMEDIDKKQIKSSSKPEVIINPQVNSDLTMDDGDDDILYPKKPIADANMDDGLDDVLRPKEPTTDTDTKPEKVTELGKPLKKKKLKINVHRPVGTRVKYDDEGNIIPPLASLAEEVALEPVVHKDKISQRYAEMLREMREHDKEDKLEHKKSLREKKLQKKMKLKRKRQEETEVGSEEDSGSESDRGRDVASKGKKRYFKDDDEDDNTVKDGDVLAQQEALALKLLSKMHS >KQL25392 pep chromosome:Setaria_italica_v2.0:II:37849173:37851433:-1 gene:SETIT_030433mg transcript:KQL25392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTRPKGTFADAMRESSPPAAPAPGSAAAAVKEDEWEVRPGGMLVQKRSSDADAPAGAPVPTIRVKVKFNGVYHEIYINSQASFGELKKLLSTRTGLHPEDQKLVYKDKERDSKAFLDMAGVRDRSKMVLLEDPAAQAKRLLEQRRTDKAERAAKSISRVSLDVDKLATKVSALETIVGKGGKVVDADVVTLTEALMNELVKLDSIAAEGEVKVQRRMQEKRVQKYVETLDAIRAKNAAAPRANGNGAANANGHAKARAQHLPPRPPPVSQRRNFKEPAAAAAAAPPTQRWETFDLLSSAPSTSSAAVTTTMAAATTTSPAAATASPIPRFDWELF >KQL25589 pep chromosome:Setaria_italica_v2.0:II:39215921:39219415:1 gene:SETIT_029549mg transcript:KQL25589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTARARVDPRPPAAAGSLPVRNRRVGGGAIGIGWPRRAAAPKLSLPPAVARRAVSAAGGGHLLPRRVVVRSAGGGDGGFRGEDAEGDRPSPARASPPDAAEGAASERNSVGDHPGGIRRELMNLAVPAIVGQAIDPVAQLLETAYIGRLGPVELASAAVGVSVFNIISKLFNIPLLSITTSFVAEDVSKHDSSQPASGNISSEIGERKRLPSISSALLLAAAIGVIEASALILGSGILLNIMGVSQASSMHNPARLFLSVRALGAPAVVVSLAIQGVFRGLKDTKTPLLYSGLGNISAVVLLPFFVYSLKLGLTGAALATIASQYLGMFLLLWSLSRRAVLLPPKIEDLEFVGYIKSGGMLLGRTLSVLITMTLGTAMAARQGALAMAAHQICLQVWLAVSLLSDALAVSAQALIASSFAKLDYEKVKETGVIVGIALAVLLSASFGRLAEVFSKDPMVIQIVRSGVLFVSASQPINALAFIFDGLHYGVSDFSYSAFSMVLLFSFLQ >KQL23868 pep chromosome:Setaria_italica_v2.0:II:21796379:21799736:1 gene:SETIT_029801mg transcript:KQL23868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGANERSDPLLPTKREGDEDDAGASAFHEFNGASFAGAVFNLSTTIVGAGIMALPATMKVLGLVPGLVMIVLAALLTDASIELLVRFSRAVGARSYGAAMGDAFGWWGRRLLQVCVVINNVGVMIVYMIIIGDVLSGTTSSGEHHYGVLEGWFGMHWWNGRFFVLLVTTLCVFTPLACLKRIDSLSYTSTISVALAVVFVIITAGIAIVKLIGGQIPMPKLFPAVPDLASVWELFTAVPILVTAYVCHYNVHPIHNELKDSSQIKPIVHTSLTLCSTIYITTSFFGYLLFGESTLSDVLANFDSNLGIPYSSVLNDAVRMSYAVHLMLVFPMIFHALRLNLDGLLFASARPLSSDNRRFGIMTAVLLLVIFGCANFIPSIWDAFQFTGATAAVCIAFIFPAAITLRDPQGIAKKWDKILAVFMIVLAVVSNVVAVYSDAYKIFHKKTAPSKA >KQL24826 pep chromosome:Setaria_italica_v2.0:II:33578544:33580712:1 gene:SETIT_030193mg transcript:KQL24826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNNPMGWNCVIESFYGVFRVSNWTGQQFATQETLDNQLVSYHCSPRATTPPILAMDPSSEIIVDTPFFRLYSDRRIDRLMGTSTVPPGLDASTGVTSKDVVIDGDTGLYVRLYLPDTASRSDDSKKLPVLVYFHGGGFVTQSAASPAYQPFLNSLAARAGLLVVSVNYRLAPEHPLPAGYEDSFRTLKWAVSGNGDPWLSQHADHGRLFLAGDSAGGNIVHNVLMMAASEGEAGTGTAAAEARVEGAVLLHAGFGGREPVDGETPEAMEMVGKMWGFVCPEGTDGADDPRLNPLTATAPSLRNFPCKRVLVCVAEKDFTRPRGRAYYEALAASGWSGTAELFESEGQEHVFFLFKPGCDEAAALMERLVSFFAGN >KQL26262 pep chromosome:Setaria_italica_v2.0:II:43401153:43403776:-1 gene:SETIT_033096mg transcript:KQL26262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVFSKSAWQCLWHLIQNDLIHGWGNDYKFGYCAQDGARGKKAKQLHQKDAEVKKTRIRRKSRSELRDFQKRWDRATREDRTWVDPFSRSRRKRRNRNPQLIGSLHSYTISHLDH >KQL23672 pep chromosome:Setaria_italica_v2.0:II:16101751:16102552:-1 gene:SETIT_032672mg transcript:KQL23672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKVLMHNDRYDVLFVNIFIDGLKLDIRATIILLHKPQTIDAAAPLAFMQAELLEVSQWRYFARNARNHNKFNDKSSTSISSPSPGVLGSSPIVEVKNNGKLKYKDKLQALMSQRRKMGQCMKCADKWRQDHKCLAHVLLHVMEELLEVMHMEVNDVESDGESGSDEDLLAFSLCATNGIQGNKTIRMHGKIQNKEVLILIDSGSLSTFLHIEEALTMQVTVASGGKLASTQQVCDLTWWVEGNTFTTFSRVLELP >KQL24007 pep chromosome:Setaria_italica_v2.0:II:24474367:24475805:-1 gene:SETIT_030147mg transcript:KQL24007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAETMVGYRSAMASTALCLLVVSSSVLVTTAAAAGARPPAMFVFGDSTLDVGNNNYLSGPDVPRANKPYYGIDFPGSVSTGRFSNGYNIADYLAKSLGFPWSPPPYLSLAPSSGRLVQAAVAGGVSYASGGAGILDSTNAGNNIPLSKQVQYFKTTKSQMVAKLGSRAANLLLTKSVFLFSVGSNDLFVFAVAEQNKSAAGQQRDAAALYASLISGYSAAVRDLHALGASKFAVINVGLLGCVPAARLHDASGACSGALNQLASGFDDVLASMFSSLASRLPGFAYSLADYYGLSEATFADPRAVGYTDIAGACCGGGRLGAEAPCLPNATVCADRDRHAFWDAVHPSQRGAMLTAQNFYDSRPGRYTAPFNFKELAQTSL >KQL25565 pep chromosome:Setaria_italica_v2.0:II:39077922:39078481:1 gene:SETIT_033091mg transcript:KQL25565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFAASNAYAATSCTTSSVADKGHCAMYTADGRRFEVPLAYLGTMVFSELLRMSQEEFGFTRDGRITLPCDASVLEYVMCLLRRNASEEVEKAFLSSVVIPCQHSNYTSPPVAVHQQFAVCSS >KQL26693 pep chromosome:Setaria_italica_v2.0:II:45790435:45791077:1 gene:SETIT_031651mg transcript:KQL26693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRGVASSREDMTLEEFKEWLKQFDADGDGKISRNELREALRRRGGWFTTWRSGRALRQADKNNSGFLDDSEIENLVAFAQKDLGMKVSTW >KQL23873 pep chromosome:Setaria_italica_v2.0:II:21830001:21830240:-1 gene:SETIT_032221mg transcript:KQL23873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTRVEMMTLFQSLLRSTNQFSNYNIREYTRRGRLPHGPRPRRCAGLAGGVRGRGEEAARGLEAADGGALRPPRPRA >KQL24321 pep chromosome:Setaria_italica_v2.0:II:28871874:28873239:1 gene:SETIT_030068mg transcript:KQL24321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGVRRAGGRFRAREAGTGGASGHYYFLGGGGGGGGDGFSRVLEEASAPAEDAPATAGRESPPAAAAAASAMAARITPAVLFVTVVLAVVLLVSGLLHVLRRLFLKTHHASAGGGERQLQHLFFPGHEDGAGGSGGGGLGQAAIDALPEFAYAELSGAASAAAAAIRKGKEKAARPFDCAVCLSEFADHDRLRLLPACGHAFHVACIDVWLRSSATCPLCRTKLSAHHLAAADAPSAGPDAEEHKQQASDEAAASSVVLPVRLGRFTSADGDGDAEASTSDSSRIDARRCYSMGSYQYVLADEHLLVSVHMRHGNAGGAGTACGAGTAGPAVASGGDGQRQGKKVFARGDSFSVSKIWQWRGSKRLPVSLCADDGLPWAPAAKDPAGTRTRQQQRSDT >KQL26974 pep chromosome:Setaria_italica_v2.0:II:47198479:47200906:1 gene:SETIT_029053mg transcript:KQL26974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRVSPTSASKRVVYVLLAALASAPFLLLLLYGGASPSSFCPASFRAPRRLPYPSVLWSRVPPLPALPTSPHPALRATRWIVFSASPHAPRHRPLRAVPGWQLLAVADEATPEDWSHPGAALLTLADQARLGFRSVAFLPARGPARKAAAYLFAVQRGARVIYDADARNAVLGGNLTRHFDVDLDQRQGGAVLLQYSHADPNRTVVNPFVHFGQPSVWPRGLPLEKAGEVDAEEFYTEVFSGGQFIQQGMCNGLPDVDALFYFTRKSLEMEAFDFQFDLDAPKVALPQGMMMPVNSINTLFHSPAFWGLALPVSVSPMASDVIRGYWAQRILWEIGGYLVIYPPTVHRVDNVHAHPFDDEKDIHVNIGRLIKFLMEWRSSKRTLFERILDLSYAMTEEGFWGEKDLHFMAGWLQDLVAIGYRQPRLLSLDIDRPRATIGHGDKKEFIPKKLPSVHLGVEEIGEVSTEIGNLIKWRKHFGDIVLIVHCTEPVDRTALEWRLLYGRIFRAVVILSEQSNSDLAVEFSNLAQAYKYLPKVFDRFAGAQGFLFLQDHVVLNYWNLLNADKAKLWITNQVKESWSDVPLQGNKIERFVNQGDMVKKAVSNFPPHYQTNYRRSVGEHKIIHCSSEIFYIPQRHIGDFSYLVKAIGTLDIHHSFAVPMIFLAMDSPSNFESKALTKFVYRADLPSNTTFASIYSAEAHAVYPLKVRNEMEFVMLIRVMASGDPFLMELV >KQL27287 pep chromosome:Setaria_italica_v2.0:II:49011355:49019097:1 gene:SETIT_033019mg transcript:KQL27287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSRCSCSARALLNRALLLAVAALALRLLYGAFLAVSAGANAWSLYPATAVAATAARRTADVPSPEAWRTRQWRRAVEYHAALLADHLAQGILAPASRAVCLGGAQEALAMRELGVAAAVAVHKKRSPPLVVAGHDTRLPFNTNSVDFVFAGRALDTAKRPADLATEAARILKPQAHLVLLTSSATDAYSLRSLQALLPALRLLRSRQINAQDDASTLRELVFQKITSSSTGGTSGNNNCSIGDHKLQLLKHAEPLIQEEPLKPWITLKRNINNIRYLPALADISFKRRYVYIDVGARSYGSSIGGWFRKHYPKQNHTFQVYAIEADPAFHSEYAAKKGVTLLPYAAWVKNDTLKFEINGDPGKEDEAKANGRGMGRIRPAAGNRMSGEVRSVPAFDFAEWLKQTVTEEDYVVMKMDVEGTEFDLIPRLFDTGAICLVDELFLECHYNRWQKCCPGERSPKYENTEAMQALTFLEMEKKMVRTICMTCSHYSGLEQALLCLTCILHNTVYPYACGNGSGSCPTVIKEGPAMVVNSHLDRNVWPFLSHPPFAPVLVWLFTHACSSELANTGSKSKCSFLEEGRLWLARPPTVSLLAFEAFHNGSWHGVNSIRIRNGSLFVKFVHSGSAVEHNIDGDYLRLHSRKATCLDCSHVLRPGADVCVKQASSREETKSSVPLYRDARLIKIKKKHHTDHCLCLFTVIFYKDQCPGSKGKVTTGTIAKVVTAGDVFILQKLHSGELQDGSVQWSSAEDCLHHNRSKLLSARFSTEVTHLVVLSSLRGMEFNIKVVEGKIIYQIIKGDQERYSDDSMSIPPGFGKSMDIISFKPRDEALRPRIITVQVTQVKKHNFTEDMCTTVMDELDSAQDVEVLYEHVDLRRSKRMKTQPDRFTCYDAPNFNRTYKKKEGNASSTKNENSQSDSSWDSPVQGESSDEEVLGNPWVKQTVFGPFMVKEDPSSMEVQRKNPMKRTKCSFPVKEKPTCVEIEKNAAEQRSPDSHIPHTPAKNKEKNSRPPLSFRLKPFTSSHSQGGNSEPAFCQKRGRKRKKHMREREYKEMIDQCIGNIQYEMERDSDFKLDVQIMNCNGHAYQEGDFTWPPSTDSQEEKDEFEELWKEMDYALASIALLEQKQFNSPIRKGEHCHHDCILDEQLGLTCRLCNVVCTEAKDIFPPMFAGKDYERSGRSGFCQDDHVLDSSFLEICAPEFSKFKGSGNVWASITDLEPKLHAHQRKAFEFIWKNLAGSLQLEEMDDSTASRGGCVVAHTPGAGKTLLLISFLVSYLKVHPRSRPLVLTPKAAIHTWRREFETWGISLPLHVLHYSNGRGKAMGTLSSKTQAILKNFHQPSLKMMRMMDSLDKLCKWHESPSILLMTYPSFLALTKEDTKLQHRAFMAKVLMNNPGLLILDEGHNPRSNKSKLRKLLMKVKTEFRILLSGTVFQNNFEEYFNTLSLARPRFVSDVMTALVPEAERETRNRTGKHQEAVARRIFVEKVGQKIESSSMHDRVDGISLLNKLTCGFIDIFEGTKENNLPGIRVYTLFMKPTDIQEEVLAKVTMPVPGIARYPLEVELLITIASIHPWLIKTTKCASTYFTPKEVARVEKYKQKYTVGCKTKFVIDLLHKSSFRGERVLIFCHNVSPINFLVKLIEIVFGWRLGEEVLVLQGDQELPVRSDVMDKFNGDRKGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTMQAIARAFRPGQERMVYVYLLVASGTWEEDKYNSNRRKARIAKMVFLGRYVDEPLQNNVTEIDDEVLKELADEDQTKAFHKVVKQD >KQL25476 pep chromosome:Setaria_italica_v2.0:II:38443054:38444838:-1 gene:SETIT_030437mg transcript:KQL25476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNSSGKNGTNPLEAMGAFFAKQVDRRKLVTTEKQALATRLSSSGDTFPGSDHRPADRKKWMAELGADRVRVHQVVWPGTHDSATNKIGVPLVTRPFARCQSMSVYEQLSMGTRVIDVRVQEERRVCHGILATYPVDVVLDDVRRFLGETEQEVVILEVRTEFGHEDPPEFAKFLVEKLGEENLIPQDEAVFHKTIAELLPRRVICVWKPRKSPAPKPGEPLWSAGYLKDNWIDTDLPETKFESNVKFLGQQPPVADRRFFYRVENTVTPKADNPVLCVWPVTKRIHGYARLFIAEVFAKGLGDKLQVFSTDFIDGDFVDACAGVTKARVEGTA >KQL22315 pep chromosome:Setaria_italica_v2.0:II:1585987:1587209:-1 gene:SETIT_033167mg transcript:KQL22315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVEETILLRVPPDNPACLTRASLVSKPWCRLLSDPTFGRCYCTFHRAPPCSASFTITYARLMIVPVGAYSTDAMGGNLVVWDPTTGKQQRLPEPPVELGAYNAAVLCAVRGCDHLDCRGDPFFVILVGYNQVLWLHLYSSEAGAWIASSHLVKFELQVFGIIE >KQL26302 pep chromosome:Setaria_italica_v2.0:II:43643331:43648513:1 gene:SETIT_028743mg transcript:KQL26302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGSGGNGPGGRPWTATSTWAPAPGGGVVEDAISFETSDEDAEDSPAGVLLSRPLPDGDGNAPPCEITVSFRGKYEIHWVYVRSTARIYELYHSTDAKGTSKDYLCTVRCGLAAKEPQPCGEESMSQGSGGAPTSDKREHETKSVSSSSDEDSWVDVKIPESPMGNNTPKAQERNTIRICQENTLAHYEATAEMTDVSPCVSLTIRLLSLQSKTSVHIEEICIFADPVESTNDNSVTGPGNMGGSSLLAMLVPGLMQMPKSRNLKIDDSYFSDGSRTQLTQDRAMKESNPSVKIMQETGLSSTDNYKPSGIESGINSADSGIVSNEKRNQGDLQLKDHSLPLPVQTTESTQVPSVKDQRASDADHLVNPFANEKFTPHNRNLERKMDILLTKVEKMELYCSRFEDSMIKPLSSIDARLQRLEEQFSSFSVEIQSLRGSSAVRSASDSMSNTTNSQEEAHDYANDRTPAPITDRKPGLAVRAPDFSSDDSCCYNVTSENQFDFRGPNVVPRLLVKVPDFIAQPGGNLHDGPSSPVSVYCAPSSEKERKISPGLVVKVPEFPDDDDDDDKVEEEKEAEVGDHDDFNAQYDDTLSKSIGDNTKSKKPVSINGALASALEALLTSTKGTSSSTPVVCTASDLSAENTNDSVSCSLSPEKMDEMSAKDRSADQYLGTSGNANLVGTFRSSQEINATPHTSLSKEMLDGKVQINEQNDNLNEEKVPFVANSELLDIPSQPDRVLQSIDNGSQVDGQDNCPSFDTMPYAISTGPLVPPQPPTVFEAVDNGVQVNENRPAISLAEFLAARNASSGKNVTSEVCSGNDGAKKLSFERTSADKNSKNISQLLVKKALEVDADKGKHFSSVSIGANFAGSSSVPPGNAASGHNIITKEDVSDKSCGLKNAESGFRLSVGMDSIFSQYHATDSKKDWIENSSSVWSPDDSFSKPNVMHSWSDFSSMESFSGAPAKGPVVSANATSGNYVEDLEDNGDRPTATRISGEEIQKVCDLLYEFKDDMLGMTSMAKGTCKSSPSLEVLLAESSDSEAQISDPEYIDNGAGIGSARLFRTFSSSDDDASTGNEPLVDVADLTTPSEPYASAKPLVDLADLTNPSGTDASAGNEPSADVVDLPTPSETCL >KQL25123 pep chromosome:Setaria_italica_v2.0:II:36142753:36144835:-1 gene:SETIT_030552mg transcript:KQL25123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAPVLKRKGADAAPEPWVHVPATKIRRLDAEVPPVETGVGGPLAGPGAGVPPQQAFGVGEARVIGDVAAPAVDATALLKRKGAEAPQPWLDVDGVPAPATKIRRLDAEVPPVEPAVGAPLDQPGASVPPRPFVVVEEVGMSGDMAPQAAAVGVGAPVVNDERAIVVYQPAEAARNLLQGPLRPGTSLHVCPDWIHGLKSTMLHEASNHRALFEELAARDENLHLAMVPWAPAQIHAHTHAGSSSAAAAAEMMEADQDSDGASMDVEHDVEGQPTPAAGGALQGEAFHHQQWPPQHCVAPQQLQLPAASYQPSPVTWSW >KQL26029 pep chromosome:Setaria_italica_v2.0:II:41919948:41921365:1 gene:SETIT_032731mg transcript:KQL26029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGSGAPLRAHHRDAVEREEEEEASAHCYGAKNIAPPSPPKHQALAARCATMAAAIAVALLATFLTPLIVYWLTTRTKKPLPANLPPGSLGLPVIGQSLGLLRAMRSNTGERWLRDRVDRYGPVSKLSLFGAPTVFVTGAAANKLVFGSDALAPKQPRCLPLILGRRNILELAGDDYRRVRGAMMQFLKPDSLRRYVGAIDAEVSRHLDAEWAGRRNVAVLPLMKLLTFDIIATLLFGLERGAVRARLAAAFSAMLEGMWSVPLDLPFTAFRRSLRASARARRVLEATLAEKKARLERGESSPADDLVSCLASLRAEAATASGC >KQL26618 pep chromosome:Setaria_italica_v2.0:II:45429914:45432365:-1 gene:SETIT_031706mg transcript:KQL26618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKNDIGMVVIRGNSVVMIEALEPVAKAAQ >KQL26619 pep chromosome:Setaria_italica_v2.0:II:45437008:45439424:-1 gene:SETIT_031168mg transcript:KQL26619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRGRGRGGRGRGGGFGGFDHAAKHVPHEDFPASKEEKALIVSTLKLEEFWRTSCYHLEEDALKKKNEDKQIERFSDRKRKTQAKREALASYLKLSSSNFPAELVQGSRRGQVSNKKLRWDKDSDENAFEVFEKLEEKHKDGSKVEKEGDEEDEQEEEEAQEEEENSDDDYNQNIEFDDDDDDWNQAEEAHEDYYD >KQL22542 pep chromosome:Setaria_italica_v2.0:II:3429991:3434807:-1 gene:SETIT_028850mg transcript:KQL22542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAPTPKAVLHQRFGAKVRYTVEEVKEAVGGCPGLAPQTRSVYRCALELPGLSVATPGTFVRKKDAEQAAAQIALDKLGIQPIANIPSTPEEAWNELITRISGFFIDENFLSSTHPLVGHLSVTLRRTGDLIGRVPLSAIAACDVKVNTLCKVIDPKAEFDPLLILSLVYNAAKQSPGVSVSDSNFWIQSQKPYSPEAVDLALKRWSGTSDPVEVEAILVPCVLEDELKIVRINLRDNEHYMSYVAEKLTASDSSHVLVSRTIGKASSEIRLYFAAPDVHFVSDLSKNVLACHGDGDINCQVNKRASYISGQTIYGDALLANIGYTRRDSELHTEDVNLCTYYRILLGKLPDGNCKMSRDAILAAELPSVYSRFSWKGLSPRDLLCSFCRNQRLSEPLFAVSRVSCDMLTSAVSSEERGAPAKSVENQFNDVRTDKENSDSFKCEVKICSRKQEILLEYSASDTWSKESDAIQNSALKVLIWFNNYFKQINTKRDKLYLSECTDGFKIHPNIFLQEFVMCLSVYGNTGCNDSGMCSAVGPFSMDTLKKHFESTTTLTHIEGPDSGVFPSHGSLTCISYTASLVMKDKAKKYLLESHNEFEFEIGTGAVGNQLESCVTQLSVNQSACFVAELPPRDLILAAASEFSHDLSNVSRESCFLEFSVKVLQVTEPLEDRMEKALFSPPLSKQRVEFAVQHINELHATTLVDFGCGSGSLLDSLLEHPTTLEKLVGVDISRKGLTRAAKSLHQKLSKKLLVQTTVPIAVLYDGSITDYDSRLYGFDIGTCLEVIEHMEEDQASLFGNVVLSSFRPTVLIVSTPNYEYNPILQRSAMPNKDDEADENAGPCKFRNHDHKFEWTRAQFQCWATDLAVKHNYSVEFCGVGGSGEEPGYASQIAVFRRMARDLETMCLNTDQDQPYELLWEWPNASIPV >KQL23207 pep chromosome:Setaria_italica_v2.0:II:9655623:9659409:1 gene:SETIT_029612mg transcript:KQL23207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARREVVSYHPLPAPGAVNSFKDEIQSKVMETVGNAINSFDPKSLPQHIERGLGTAGNIINSFEPKWSGQKEFDFGGEADPLDGYQCPDEYWGSAPAKAQKPVNLKNLLGGAIAIIGRSLKNTEVEQPKETKTSVSFLGSSDDGNTFLHSSVYMPSAPPVLDEEALNYNIYRAVLEAEPPEWLPDSYARSCMQCAAPFTAVTRGRHHCRFCGGIFCTVCSKGRCLLPVKFRERNPQRVCDACYDRLDPLQNLLINSVSNASQTAKHDVMDWTCARGWLNLPIGLTMEHEIYKAANTLKSYSQVARINPEKSIPHAVLNGASGLAILTVVKVGAILTYKLGTGLVVARRSDGSWSAPSAILSGGFGWGAQVGGELMDFIIVLRGPEAVQTFCSRMHFSLGAGVSAAAGPVGRVLEADMRAGDKGSGVCYTYSCSKGAFIGVSLEGNLVATRIDANLRFYGDPYLTTSDILMGHVERPNAAKFLYTALDDLYSGLEC >KQL23057 pep chromosome:Setaria_italica_v2.0:II:8134661:8135493:-1 gene:SETIT_032382mg transcript:KQL23057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLAVVDTRFCVPRTLPLTLTMSLTLGGAVTDASGAAVLRVDAPLFGFLHRFVLAGVAGRPILSIQKKARHACLASLKFSLSGRPAANSAFRGDSRDARDLLFTARRSPIFQVRTQMGVFLAPNTAWQGAACGFTMKCSYLDRSCDVYLGKSSTKIAQVRRQFSAAGVLLGKEKFSVTVFPNVDYVFIAALVVHRDPSR >KQL23374 pep chromosome:Setaria_italica_v2.0:II:11628471:11628803:-1 gene:SETIT_032543mg transcript:KQL23374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSQTSFSERTNTLFSMAKDLSQEVGAHVTVIVFSPTGEPKAYGAPIANSILRTYLPEIHSSPSPACYETAEEAAARVDGMKWEVEETAFLAEAERVRQAVAWSNILTA >KQL23082 pep chromosome:Setaria_italica_v2.0:II:8292764:8293748:-1 gene:SETIT_032154mg transcript:KQL23082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCTGDPSLPCENNRVLVKGAEMMQPETTMKRFGGLTSTVDRLSALPDALLHAILSFLPAPQVVRTCLLSQRRMTHSALGERWARFEDFATNLLLSLDNNWIRRGIEYCPAALHVLIPDYGHSFKLPPMASSSFHRLKRLRLVNVDLDGQFADLLSACPVMEDLDLAFCKFPGNINCSQGITSSTLKKLVWNYCENSTSHPMVITAPSLSCLNLTYGCYQAGITLSKMESLVEAMIEITETLTLSQNAQRGLLCSLFNVTSLQLMGFEAKL >KQL25601 pep chromosome:Setaria_italica_v2.0:II:39287363:39291373:1 gene:SETIT_032557mg transcript:KQL25601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPASSYVAAVLLFLLLRPLLCASDDRLVLGKALSPGTPLVSDGGAFALGFFSPPNSDSSSLYLGIWYNNIPKLTVVWVADQAAPITGDHLSSTPPGTLALTNASNLVLSDATGRVLWTTNVTADDAVASPSSSSSPMAVLQNTGNLVVRSPNGTALWQSFEHPGDAYLPNMKIGIVYRTHYGVRLVSWKGPADPSPGSFTFGADPDRPLELVIWNGTRVHWRNSPWEGYMVDSNYQKGGGRSAIYRAVYNSDEEIYAAYTLSDGAPPMQYRLSYSGDLELQSWSNDSSAWVTIIRYPTRACSAFGYCGAFGYCDNSTDAAVPACRCVEGFEPASGAGWSRSDFSRGCRRKEPVRCADGFAAVPNMKLPYGYTLVANRSLEECAAGCSRDCSCVAYAYANLSTSATKRDPTRCLVWTGELIDMEKVVGTWGDFGETLYLRLAGVGADSRLTIIHRDLKSSNILLDATMNPKISDFGMARIFGDNQEQANTKRVVGTYGYMAPEYAMEGIFSVKSDVYSFGVLLLEIIRDDPVPSKRANGGIPLRSRGEGGGGAAWRACQQGCRRSRGKGGEGRGGWGRPSEPEGLVAGATLCGPRAGRRQRGAV >KQL22126 pep chromosome:Setaria_italica_v2.0:II:82716:87444:-1 gene:SETIT_029700mg transcript:KQL22126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKPLDYEELNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLMFPADAIARAKHYLSLATGGLGAYSDSRGIPGIRKEVADFIQRRDGYPSDPELIYLTDGASKGVMQMLNTIIRNERDGILVPVPQYPLYSATISLFGGSLVPYYLEEEANWGLDFVNIRKTVAEARSKGITVRAMVIINPGNPTGQCLSEANIKEVLQFCYHENLVLLADEVYQQNVYQDERPFISAKKVLFDMGPPLSREVQLVSFHTVSKGYWGECGQRGGYFEMTNLPPQTVDEIYKVASIALSPNVPGQIFMGVMVNPPKPGDISYLKFAAESKSILDSLRRRARMMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPRAIEAAKRAGKAPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDFPAIMSSFKNFNDSFMAQYEGYSRM >KQL25891 pep chromosome:Setaria_italica_v2.0:II:41121443:41125765:1 gene:SETIT_030038mg transcript:KQL25891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMLLVIEVMNHEFEFPLLGNKAVQNFLLCGKYLLEKRAVVDVDNGKLLNEDSDIRSVLQFLMDDVSEFLSTHFSSTMESSKTEEKGCASTLQAFIDYISLRETENFRSRKEENKNSITLTTIHQSKGLEWDVVFIVQANDSEIPLLHEYNGTVKEAGSTLEEERRLFYVAMTRARKKLYILHVTVDSNRQLLQPSRFLREIPVHLLEVQGEETLRKIPEQPSGNVPFDGPEGDTSIGKPIMEQNETSPCPELAQSCLANDFLRRFDIDDRSVVSHIFHHWGKKQAFQNPNRLLDKISFVIDERLRGKGYKRKDVLRKLKSFLSGDEAFGYAQYVIKWEQIPIEKRSQLTRERQEHFQKQRIENSMGSSEPTAKQISYLRNLGCTITPTSRLHASHLIEKYKSL >KQL25953 pep chromosome:Setaria_italica_v2.0:II:41501744:41506685:1 gene:SETIT_030331mg transcript:KQL25953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGTGLGARPSAAFEASWFRPLASPLRPRSGKLIVPKRSFTVSSKLAWVEDELMEISKSQEQSSVKSKKRPPLRRGKVSPQLPVPEHIPKPSYVGSKGLPELCKGELHDAQGIAGMRAACKLAARVLDFAGTLVKPSVTTNEIDAAVHNMIIEAGAYPSPLGYRGFPKSICTSVNECVCHGIPDSTQLQNGDIINIDVNVFLNGYHGGTSKTFVCGQVDDSIKHFLKAAEECLEKGISVCRDGVNYRKIGKKISKLAYFYGYYVVERFVGHGIGTMYHSEPLILHHANENSGRMVEGQIFTIEPILTMEKTECVTWEDGWTTVTADGSWAAQFEHTILVTRNGAEILTKL >KQL22735 pep chromosome:Setaria_italica_v2.0:II:4983334:4983978:1 gene:SETIT_0307351mg transcript:KQL22735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERPADAGDEGRRIFTAVLALLTIVATAMGAILPDLKDTVGGWSAAPRAAYGVAAVAAVALMAVGVMAAVAGGYGNRAMLSVSALCARLGAMLSGILLSSALLVVSVSCKLGPWGCAAGVPAAAVVAGAMAAVWKLGWHGRAVAQAVSRCRRQVERLRRRCLRRRGVLPVTTRSSELLEGR >KQL25441 pep chromosome:Setaria_italica_v2.0:II:38218911:38221080:-1 gene:SETIT_030710mg transcript:KQL25441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSASTMSLLPISHLKQQQQLGAGAVVVFRRRPRDARRRRYVVPTARLFGPAIFEASKLKVLFLGVDEESGGKHAAHPGTKPPPLPLLPRTYTLTHSDVTASLTLAVSHTINRAQLQGWYNRLQRDEVVAEWKKVRGRMSLHVHCHISGGHFLLDLIAGLRYYIFRKELPVVLKAFVHGDGDLFSRHPELEEATVWVYFHSNLPRFNRVEYWGPLRDAAAPAEDDDPAAAASGEAGRTMPVGEWPHRCPQQCDCCFPPHSLIPWPHEHDMAADATAAGQAQQQ >KQL25561 pep chromosome:Setaria_italica_v2.0:II:39045839:39046494:1 gene:SETIT_032597mg transcript:KQL25561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCWFARLLLDWLLPCKIRKPSHHNFLKVLGRNNAASSLCLIEMYNCLLSF >KQL25545 pep chromosome:Setaria_italica_v2.0:II:39005699:39005867:1 gene:SETIT_033781mg transcript:KQL25545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCEIIWYLHKLGSINYGNQSILRSVDVHCTYQSGFSY >KQL24586 pep chromosome:Setaria_italica_v2.0:II:31459963:31468187:1 gene:SETIT_029244mg transcript:KQL24586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLIRPPRFLSPSPAPGPRLRRPLYASRIFSSPRPPGRRPRPRTRAAPPSTDLRRLTARIVDLTRRRQLAQIMEEVEAARRRVRGGGALNTIVMNAVLDACVRCGDVDRALRLFEDMRGPRGCGIDGVSYGILLKGLGIARRIDEAFEILESIEKDSSIGSPRLSPHLICGFLNALIEGGDMRRANALVARFRKVLYEGHSVLLYNLLMKGYIKSNFPLGALTVKDEILRQGLKPDRLTYNTIISACVKSAEIDKAVQFLEDMKEEANRESNPELLPDAVTYTTLLKGLGNSRDLYSVVKIVVEMKSSLLSIDRTAYTAMIDALLACGSIDGALCIFGDIIKQAGKNKDLRPKPHLYLSIMRAFATRGDVDMVMRLNKRMWPDSVGSISRAAKEEAHELLMEAAINDNQIDLARGLLRRIVNEKECFSWTSRVGLVAVKVESLSGFTNSLLRPHVFPQIILNDPVEKYMVPFQESRPLPADLILRKVVMRFLKDRAVPLVDDWGGCVGIVHRDDCTKLDAPLLSMLRGPPLCVPTSTTVEHVIDLLLREKSEMVVVVKSGNMYEGSYTSSSRPLGVFSLAILWNFTGDYSPDIHDAGVSRVTTLKQDAEACNCG >KQL23645 pep chromosome:Setaria_italica_v2.0:II:15694392:15695288:-1 gene:SETIT_032430mg transcript:KQL23645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRRSSGKVVGSVVKTKVVQETVEVTTAIVADGEPEQQLAPGALALAPRTGEVSRSKVVHVEITTPDSDNTTGRSSAKQQPTAKRGRGGRREEEKPPAPAEEAAQEPPPQSLETQEPNEEEEEEDVDVSKKRRKPPPQQRRRDEEEPETPRVASERKTAGTKTTPQKQKKRGGGGGGGGKAKTGRRRRLGEASPGGDAGMGGMGGYKRYVWRVLKQVHPELGVSGHAMRVLDMMMADMFERLADEAARLSKAAGRATLSSREVQSAVRLVLPGELGRHAMSEGTKAISKYMSYDDA >KQL23212 pep chromosome:Setaria_italica_v2.0:II:9687316:9691241:1 gene:SETIT_032201mg transcript:KQL23212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAMLDVGRHRKKAVSNVSGTSSPEELAILSITDKLEGLQLGSPGLSGAAIDIHNEMLSLPSLVMRCILLCRGILGILVLSCCHELRSLPVSLCQLSKLRLLDLAGCFSLEYLPDPPVNLGHLENLNLSDCKQLKVLPQPFVDLHELKYLNLSGNHGVDLDAEYLCTLANLKCVTLSPITNVQGFPDSFLGLAIRLDSNQMIVDTFNLTIWVYMCDKKRLLAKIVEFTSCAYCIDAPISALEEIVIEELTGKRLLLVLEDSDSKSQYLWSYVQRLLNVCAKGSALIVTSKSNEVANLVGGMQTYYLSPLSKEECFMIFKGHVLGGLDMSSYPELESIGWKVVEKSGGNPMCIKALSGLLCPSEIDLSETDMLVDSTLPALLRLCYDLLPAHLQHCFKFCSLFPKGHIFIKHHIIRLWISQGFVLPEEDIVELPRSIGNMKHLRLLSLNNTNIKGLPFEIGQVDTPQTLELKDCCQLTDLPETTSNLVKLRHLDIQKEPGNIKVGEPYLIKLVSITLDNCYGCSELPYLGDLPCINEGDFPRICHLSISRCPKLTNLPCLVSL >KQL26191 pep chromosome:Setaria_italica_v2.0:II:42918402:42922326:-1 gene:SETIT_032230mg transcript:KQL26191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAPAAGAVYVANGGLTDPLLASANGHGAAAKKAGHGAKGKYWVASDKAERRAAKESGGEDGRALLFRRYKVKGALLQPYRLLIIIRLIAVLLFFAWRIRHNKSDVMWFWTMSIVGDVWFGFSWLLNQLPKFNPVKTIPDLVALKRHFDLPDGTSRLPGIDVFVTTANPVDEPILYTMNCVLSILAVDYPVNRLACYLSDDSGALVLYEALVEVGKFAPLWVPFCRKYCIEPRAPESYFEMVAPPQAGRESQEFLNDYRRVQMEYDEFKVRLDKLPDTIRKRSDMYNSMRTAEGDAKATWMANGTQWPGTWIDSTETHGKGHHDPIAKVVLDHPSRGHSQPNAEGIPSIGATDERLPMLVYVSREKNPSYDHNKKAGALNAQLRASALLTNAQLIINFDCDHYINNSQALRSAVCFMLDQRDGDNTAFVQFPQRFDNVDPTDRYGNHNRVFFDGTMLALNGLQGPSYLGTGCMFRRLALYGIDPPHCRAENVTAEASRFGDSALFLDSVSEALKQERSATPPPLDDTFLTELERVVTCSFDKGTDWGKGVGYIYDIATEDIVTGFRIHGQGWRSMYCTMEHDAFCGIAPINLTERLHQIVRWSGGSLEMFFSHNNPFIGGRRIQPLQRVSYLNMTIYPVTSVFILIYALSPVMWLIPDEVYIQRPFTRYVVYLLVIIVMIHMIGWLEIKWAGVTWLDYWRNEQFFMIGSTSAYPAAVLHMAVNLLTKKGIHFRVTSKQTAADDNDRFADLYDFRWVPMLIPTMLVLFCNVSAIGVALGKTVVYIGTWTAAKKMHAALGLLFNIWIMFLLYPFALAIMGRWAKRPIILVVLLPVIFVLVALLYRRRIQRLTCGKENTKVDGDVGGRGADIVGDRATLPETNATSRLCV >KQL26553 pep chromosome:Setaria_italica_v2.0:II:45025379:45026424:1 gene:SETIT_033000mg transcript:KQL26553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPLVRDKDRATTLADPLDLENDGSGALPADVLYEILLRIPAKALCRLRLACRSWRMDASSSACTHGHVPSTGEYKVLHVGASIPPANRQPCQVITLVGSQRWKTRPDPSLPVSIYGHTAVAGVVYFLLDDFSIRDFPDAKHDDIVAFDLATEEGHWTKRYTIPCPPHLNSPYSYPLVILDDGRIVLYFRVSRVLRAYDPRLGTWTDLTIRKDYFAVSLYEGT >KQL14367 pep chromosome:Setaria_italica_v2.0:III:10788716:10789220:-1 gene:SETIT_025504mg transcript:KQL14367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPGTTLLFGVAVGLSAGCVQVLKIPQEKSARGDALSLAAPPPPAPVCAARLISRPPPPSCCARKRIAEGLLGAG >KQL13822 pep chromosome:Setaria_italica_v2.0:III:6973342:6979936:1 gene:SETIT_021973mg transcript:KQL13822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAATLRYIIISSTTIYNRCGLCELTRYVNVDEKNGAELFYYFIESEDDPRRDPLLLWLTGGDHCTVFSGLAFEIGPVKFIREPYNGSVPRLKYNPYSWTKAANIIFVDSPVGAGFSFSQDTKGYAVGDVSSSLQLKIFLYKWFEGHPDFLANPFYVGGDSYGGKIVPYLVQKITEDIEAQVRPALNLKGYLVGNPVTGESIDTDSRVPYAHGFGIISDQLYEATLEHCKGESYSNPTNMICDQLLKRVHELLGECSKPHILYKKCFYVSPGQNDESPRRIILKKEIGGLKRPPPRPPMDCQNYVNYLSYFWANSMATRDALGIKKGSKDEWVRCHDGDLPYSKDIKSSIKYHRNVTLKGYRALVYRPG >KQL13823 pep chromosome:Setaria_italica_v2.0:III:6973342:6979936:1 gene:SETIT_021973mg transcript:KQL13823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAATLRYIIISSTTIYNRCGLCELTRYVNVDEKNGAELFYYFIESEDDPRRDPLLLWLTGGDHCTVFSGLAFEIGPVKFIREPYNGSVPRLKYNPYSWTKAANIIFVDSPVGAGFSFSQDTKGYAVGDVSSSLQLKIFLYKWFEGHPDFLANPFYVGGDSYGGKIVPYLVQKITEDIEAQVRPALNLKGYLVGNPVTGESIDTDSRVPYAHGFGIISDQLYELLGECSKPHILYKKCFYVSPGQNDESPRRIILKKEIGGLKRPPPRPPMDCQNYVNYLSYFWANSMATRDALGIKKGSKDEWVRCHDGDLPYSKDIKSSIKYHRNVTLKGYRALVYSGDHDSVIPFLGTQAWVRSLNFPIVDEWRAWHVYGQSAGFSIVYSNNLTFATVKGGGHTAPEYQPERCLAMFKRWISNKPL >KQL13821 pep chromosome:Setaria_italica_v2.0:III:6973342:6979936:1 gene:SETIT_021973mg transcript:KQL13821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPLMAILLVFLLCLFNIASAAERNLVDSLPGYEGALPFRLETGYVNVDEKNGAELFYYFIESEDDPRRDPLLLWLTGGDHCTVFSGLAFEIGPVKFIREPYNGSVPRLKYNPYSWTKAANIIFVDSPVGAGFSFSQDTKGYAVGDVSSSLQLKIFLYKWFEGHPDFLANPFYVGGDSYGGKIVPYLVQKITEDIEAQVRPALNLKGYLVGNPVTGESIDTDSRVPYAHGFGIISDQLYEATLEHCKGESYSNPTNMICDQLLKRVHELLGECSKPHILYKKCFYVSPGQNDESPRRIILKKEIGGLKRPPPRPPMDCQNYVNYLSYFWANSMATRDALGIKKGSKDEWVRCHDGDLPYSKDIKSSIKYHRNVTLKGYRALVYSGDHDSVIPFLGTQAWVRSLNFPIVDEWRAWHVYGQSAGFSIVYSNNLTFATVKGGGHTAPEYQPERCLAMFKRWISNKPL >KQL13824 pep chromosome:Setaria_italica_v2.0:III:6973549:6979636:1 gene:SETIT_021973mg transcript:KQL13824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAATLRYIIISSTTIYNRCGLCELTRYVNVDEKNGAELFYYFIESEDDPRRDPLLLWLTGGDHCTVFSGLAFEIGPVKFIREPYNGSVPRLKYNPYSWTKAANIIFVDSPVGAGFSFSQDTKGYAVGDVSSSLQLKIFLYKWFEGHPDFLANPFYVGGDSYGGKIVPYLVQKITEDIEAQVRPALNLKGYLVGNPVTGESIDTDSRVPYAHGFGIISDQLYEATLEHCKGESYSNPTNMICDQLLKRVHELLGECSKPHILYKKCFYVSPGQNDESPRRIILKKEIGGLKRPPPRPPMDCQNYVNYLSYFWANSMATRDALGIKKGSKDEWVRCHDGDLPYSKDIKSSIKYHRNVTLKGYRALVYSGDHDSVIPFLGTQAWVRSLNFPIVDEWRAWHVYGQSAGFSIVYSNNLTFATVKGGGHTAPEYQPERCLAMFKRWISNKPL >KQL16304 pep chromosome:Setaria_italica_v2.0:III:36906242:36911136:1 gene:SETIT_021716mg transcript:KQL16304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELAALDMCQKRAIFKPMTAHERELFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTASGAVYTFGSNNSGQLGHGNLEEEWRPRVIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGGQGSRVVTTPQMVESLKDTYIVQAAIGNFFTAVLSREGRVYTFSWGNDTRLGHQTEPNDVQPHLLTGALENIPVVQIAAGYCYLLALACQPTGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFRSLNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSGVKAVHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTITEGNNRHTNVLSPELVTSLKGVNERMVQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGNPERVEVDLN >KQL16305 pep chromosome:Setaria_italica_v2.0:III:36906242:36911136:1 gene:SETIT_021716mg transcript:KQL16305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTSRGASSSLPLHLIVDDTLALVSPLQQSFQRSQRLCFGDSAPGEFPLAANPSIVLHVLTSCNLDPEDLAHLEATCTFFRKPANFPPDFDLSMSELAALDMCQKRAIFKPMTAHERELFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTASGAVYTFGSNNSGQLGHGNLEEEWRPRVIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGGQGSRVVTTPQMVESLKDTYIVQAAIGNFFTAVLSREGRVYTFSWGNDTRLGHQTEPNDVQPHLLTGALENIPVVQIAAGYCYLLALACQPTGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFRSLNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSGVKAVHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTITEGNNRHTNVLSPELVTSLKGVNERMVQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGNPERVEVDLN >KQL16303 pep chromosome:Setaria_italica_v2.0:III:36906242:36911136:1 gene:SETIT_021716mg transcript:KQL16303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQKRAIFKPMTAHERELFKQRCGGSWKLVLRFIMAGEACCRREKSQAIAGPGHSIAVTASGAVYTFGSNNSGQLGHGNLEEEWRPRVIRSLQGIRIIQAAAGAGRTMLVSDAGRVYAFGKDSFGEVEYGGQGSRVVTTPQMVESLKDTYIVQAAIGNFFTAVLSREGRVYTFSWGNDTRLGHQTEPNDVQPHLLTGALENIPVVQIAAGYCYLLALACQPTGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFRSLNIQPVVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSGVKAVHVATGDYTTFVVSDKGDVYSFGCGESSSLGHNTITEGNNRHTNVLSPELVTSLKGVNERMVQISLTNSIYWNAHTFALTDSGKLYAFGAGDKGQLGTELVAQQSERGNPERVEVDLN >KQL16133 pep chromosome:Setaria_italica_v2.0:III:30484591:30497882:1 gene:SETIT_021531mg transcript:KQL16133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKDLLGILKSIRGKKCLIIDPKLAGTLSLIVQTSLLKEYGAELRILSSDPLQTECLKIVYLVRSQLSLMKFIASQIRNDESKGLQREYFLYFVPRRIVACEKILEEEKVHQKLTLGEYPLYLVPLDDDVLSFELDHSLQECLIEGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNNMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGTQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYVEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREMLHSYGFEHMNLLYNLEKAGLFKKQESRSNWVGITRALQLIVDVNDTANPSDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLDLKRGGLTIDSSLEVHPGSGAQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIIASSKSGMM >KQL15503 pep chromosome:Setaria_italica_v2.0:III:20948399:20949960:-1 gene:SETIT_024132mg transcript:KQL15503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVGLRWRASVTRWRRRRRGQQPGRLTAHSRATRNADTLRQLGSAHRPEEDKLASARRAPCQHPAARSRSADGSDRITRRCRPHANLPPPVPHPARFPHLPKQKREHEHEQSRSGRAAAKRHTPTRTNQAAGRLASSGSEEMLAATMTPRRASLSAAVLLLASAAAVSGFHLGGDESGLVQGVLAALRERAEAEEAARFAVAHHNKNQGSALEFTRVLKSKRQVVTGTLHDLVLEAADAGKKSLYRAKVWVKPWEDFKSVVEFRLVGDSDAESESSVASDESSRQAIAKVSLEADIVQEEARLHTIENEGLSRDFTSSS >KQL15130 pep chromosome:Setaria_italica_v2.0:III:17304934:17308188:1 gene:SETIT_023400mg transcript:KQL15130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPASPGGGGGSHESGSPRGGGGGGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREMEGDSKLTAKAGDGSIKKDVLGHGGASSSATQGMGQQGAYNQGMGYMQPQYHNGDISN >KQL15129 pep chromosome:Setaria_italica_v2.0:III:17304934:17308188:1 gene:SETIT_023400mg transcript:KQL15129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPASPGGGGGSHESGSPRGGGGGGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREMEGDSKLTAKAGDGSIKKDVLGHGGASSSATQGMGQQGAYNQGMGYMQPQYHNGDISN >KQL15131 pep chromosome:Setaria_italica_v2.0:III:17304934:17308188:1 gene:SETIT_023400mg transcript:KQL15131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPASPGGGGGSHESGSPRGGGGGGGGGVREQDRFLPIANISRIMKKAIPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLQKYREMEGDSKLTAKAGDGSIKKDVLGHGGASSSATQGMGQQGAYNQGMGYMQPQYHNGDISN >KQL16223 pep chromosome:Setaria_italica_v2.0:III:34567388:34568136:-1 gene:SETIT_024451mg transcript:KQL16223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRLSGMEISDLTLELSDLSHEIADGVNKSAKVAQAVEAGIGQMQNIARQQAKSMVEERANLRTIPTVGQDKESHKSSSRLRQ >KQL17079 pep chromosome:Setaria_italica_v2.0:III:48112106:48115120:1 gene:SETIT_024675mg transcript:KQL17079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNPCGIAIQAAFDGNLRLLKKMAGKVDLREVSDRNGGNVLHFAAAKGRVDVCAFLVEESGLDVNSTTAQGETPVAHAAIAGKVDVLRYLLDRGGDPAMPDSMGATPLHDAADHDAFVMESEWHHEAARLLLSRGVDVDPIDFRGTPLHLAAGKAHDQVVKVLLEHCADPNRVFNSVFSPLMLACCECSLECVKLLVEAGADVNFRNPYVPTALTKAAAAGLTGIVKFLLEAGANPNISDELGKNAIIYAAEGGLGDVVEILFPWTKSIVFLPDWSVDGIINAMKCFKSIYVLADAKLRGNEAFAKGDYRAAIYLYDVAMSRDPFDATLFANRSLCWLRLRDGNRALLDAQLCKNIRPRWSKAWYRAVHAFAEALKLDPASDEIKKSLRQCS >KQL12742 pep chromosome:Setaria_italica_v2.0:III:655107:663660:1 gene:SETIT_021065mg transcript:KQL12742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQYSPSAVEKSWYAWWESSGYFGADSASPKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERKLTRHDIGRENFVSEVLKWKDQYGGTILNQLRRLGASLDWSREAFTMDEQRSKAVTEAFVRLHKEGLIYRDYRLVNWDCTLRTAISDIEVDHIDLKDETMLKVPGYSNEVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYKHLHGRYAVHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLDFINIFTDDGKINSNGGAQFEGMPRFTARVSIIEALKKKGLYKDTKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNSMAKAGLDAVRSKKIEIIPQQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDQDKTLGSDNDRWIVARNESDANLEAQKKYPGKRMWLDQDPDVLDTWFSSGLFPLTVLGWPSDTADLRAFYPTSVLETGLDILFFWVARMVMMGLQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGMSLEGLLKRLGEGNLDPNELNIAKEGKKKDFPDGIAECGTDALRFALIAYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYIPPSTVDVSSMPPICKWILSVLNKAIGKTVSSLEAYKLSDATSTIYSWWQYQLCDVFIEAIKPYFFSDSQEFESARAASRDTLWICLETGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMISEYPSLVEGWTNDRLENQMDIVLDAVNRIRSLKPPTDSNERRPAFALCRGQEIAATIQCYQSLIVSLSSVSHLKILTENDETPADCATAVVNKDLSVYLQLQGALDADAEREKLRKKKDEIQKMQHALSQKMDASGYREKAPQNVQEEDMRKLTALLEQLEVISEAEKKLDAKI >KQL12741 pep chromosome:Setaria_italica_v2.0:III:653981:663454:1 gene:SETIT_021065mg transcript:KQL12741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQGAEKVPEEKAKEKEEKKLKAKQKEAARLQAQAASDGTKKTEKKQRKKAAEDENPENFIDPDTPNGGKKLLAPQMAKQYSPSAVEKSWYAWWESSGYFGADSASPKPPFVIVLPPPNVTGALHIGHALTVAIEDAMIRWRRMSGYNALWVPGVDHAGIATQVVVEKKLMRERKLTRHDIGRENFVSEVLKWKDQYGGTILNQLRRLGASLDWSREAFTMDEQRSKAVTEAFVRLHKEGLIYRDYRLVNWDCTLRTAISDIEVDHIDLKDETMLKVPGYSNEVQFGVLISFAYPLEEGLGEIVVATTRIETMLGDTAIAVHPEDKRYKHLHGRYAVHPFNGRKLKIICDAELVDPTFGTGAVKITPAHDPNDFEVGKRHNLDFINIFTDDGKINSNGGAQFEGMPRFTARVSIIEALKKKGLYKDTKKNEMSLGVCSRTNDVVEPMIKPQWFVNCNSMAKAGLDAVRSKKIEIIPQQYEQDWYRWLENIRDWCVSRQLWWGHRVPAWYVTLEDDQDKTLGSDNDRWIVARNESDANLEAQKKYPGKRMWLDQDPDVLDTWFSSGLFPLTVLGWPSDTADLRAFYPTSVLETGLDILFFWVARMVMMGLQLGGDVPFQKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGMSLEGLLKRLGEGNLDPNELNIAKEGKKKDFPDGIAECGTDALRFALIAYTSQSDRINLDIKRVVGYRQWCNKLWNAIRFAMGKLGDHYIPPSTVDVSSMPPICKWILSVLNKAIGKTVSSLEAYKLSDATSTIYSWWQYQLCDVFIEAIKPYFFSDSQEFESARAASRDTLWICLETGLRLLHPFMPYVTEELWQRLPQPKDSCRKDSIMISEYPSLVEGWTNDRLENQMDIVLDAVNRIRSLKPPTDSNERRPAFALCRGQEIAATIQCYQSLIVSLSSVSHLKILTENDETPADCATAVVNKDLSVYLQLQGALDADAEREKLRKKKDEIQKMQHALSQKMDASGYREKAPQNVQEEDMRKLTALLEQLEVISEAEKKLDAKI >KQL14177 pep chromosome:Setaria_italica_v2.0:III:9368587:9372520:1 gene:SETIT_021744mg transcript:KQL14177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLELKGLTFLLLLVLLVLCSSVHLCDARSGKHWRHSRAPSTSLLRGKGKAKSSSSHKQNGKGNQSPYQQSPIVPVSPSGSPAQGRGGQGPTMPAPSSGTGYTLPPPPPPLQPPPPPSLPAAPSQDTVYNVVDFGAKGDGVTDDTQAFEAAWAAACKVEASTVLVPSELEFVVGPVSFSGPYCKPNILFQLDGTILAQTSARVWGSGLLQWLEFTKLTGIAIQGSGVINGRGQGWWTYSDPNDDDDNDAFRVELDKMPQIKPTALRFYGSSNVTVTGITIVNSSQCHLKFDSCQGVMVHDLTISSPENSPNTDGIHLQNSREVNIHHTNMACGDDCVSIQTGCSDINIHNVNCGPGHGISIGGLGRYNTKACVSNVTVRDVNMVKTTNGVRIKTWQGGSGLVQGIRFSNIQVSEVQTPIIIDQFYCDKTTCRNQTSAVAVSGVQYENIRGTFTIKPAHFACSDSSPCSEITLTGIQLKPLIVPQFHLYNPFCWQAFGELYTPTLPPIPCLQIGKPAGNSVLSDGDLC >KQL15364 pep chromosome:Setaria_italica_v2.0:III:19277759:19282097:1 gene:SETIT_021329mg transcript:KQL15364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISQCSAAIHGPRALWIEQSKLVLLCSPDSSLCLCLLRHLPPSLPLSLYKSLPLLSPPVHLALQISPNSAPRLCRLSARAVRFDRFDRPGMADETKQETAAAAVAAEVVVTEPEKKAEEPAAEKAVEAAEEKAAEAAVEKAVEAEEKAAEADSEEEKKAEEALEAAAGDEAAVIDAAGSFKEESNLVSELPDPEQTALAQLKELVAAALANGEFDLPPPPPPKEEPKKEEPAKEEAKDEAKPAKDEEPKAEAAAAEEPAKEEAKAEEPKTEAPAEAAVEEVKAEAPAPEEPKAEAAPAEEPKAEEPAKEEPMAEAATEEPKAEAAAEEAKPSEPEPEEKTVVVAEEEATKTVEAIEETVVPAASETAASAEAEAAPGPAAERKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIDELLGADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKELYEKAFGDEEKRERFLKWRIQLLERGIREQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQALALLQDNYPEFVAKKVFINVPWWYLAANKVMSPFLTQRTKSKIVFCSPGKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELTVKPSSKETIEIPATENSTVVWELRVLGWEVSYGAEFTPDAEGGYTVIVQKTRKVPAHEEPIMKGSFKVSEPGKVVLIVNNPASKKKKLLYRFKVKSTAESA >KQL15365 pep chromosome:Setaria_italica_v2.0:III:19277759:19281136:1 gene:SETIT_021329mg transcript:KQL15365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISQCSAAIHGPRALWIEQSKLVLLCSPDSSLCLCLLRHLPPSLPLSLYKSLPLLSPPVHLALQISPNSAPRLCRLSARAVRFDRFDRPGMADETKQETAAAAVAAEVVVTEPEKKAEEPAAEKAVEAAEEKAAEAAVEKAVEAEEKAAEADSEEEKKAEEALEAAAGDEAAVIDAAGSFKEESNLVSELPDPEQTALAQLKELVAAALANGEFDLPPPPPPKEEPKKEEPAKEEAKDEAKPAKDEEPKAEAAAAEEPAKEEAKAEEPKTEAPAEAAVEEVKAEAPAPEEPKAEAAPAEEPKAEEPAKEEPMAEAATEEPKAEAAAEEAKPSEPEPEEKTVVVAEEEATKTVEAIEETVVPAASETAASAEAEAAPGPAAERKEELIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSAVLWRKRFGIDELLGADLGLPELENVVFYRGADREGHPVCYNVYGEFQDKELYEKAFGDEEKRERFLKWRIQLLERGIREQLDFSPSGICSMVQVTDLKNSPPMLGKHRAVTRQALALLQDNYPEFVAKKVFINVPWWYLAANKVMSPFLTQRTKSKIVFCSPGKSAETLFRYIAPEQVPVQFGGLYKEDDTEFSTSDAVTELTVKPSSKETIEIPATEV >KQL15902 pep chromosome:Setaria_italica_v2.0:III:25178165:25180117:-1 gene:SETIT_025343mg transcript:KQL15902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKPIPTTAAAAAAALHGDQQVAAALLAAAGALRGDGDAVPVPGTLPPRPHHRLHRPHCPLPPLLPHPSRAPHLPPPVPPPHLTSGSSGGSRYRGTGAVPLPSCAIPQLSARSRSLPGSPLLAPPACWAADALSGFPAARCLSTSVASDDDDDEGGSSEQEAAAASGHPEHVGRVCAAIADVIAAGADANLEAALSALAPPLSEALVLAVLDRFKHAHRPSHRFFRWAAASGCFVHTTTTYCKMVHILGKARQFESMVALVQEMGKAGALSMDAFKIAIKSFAAAGEIKNAVGVFELMRKNGFDDGVESFNCLLVALAQEGLGREARQVFDKMHDRYTPDLRSYTALMLAWCNAKNLVEAGRVWNEMLEKGMKPDVVVHNTMIEGLLRGQRRPEAVKMFELMKAKGPPPNARTYTMLIRDHCKRGKMDMAMRCFEEMQEARCQPDVATYTCLLVGYGNAKRMDRVTAVLEEMTQKGCPPDAQTYNALIKLLTNRHMPDDAARIYKKMIKKGLEPTIHTYNMMMKSYFLGGRNFAMGCAVWEEMHRKGICPDVNSYTVFINGHIRHGRPEEACKYIEEMINKGMKAPQIDYNKFAADFAKAGKPDILYELAQKVEFAGKVDVSNVFHQWAERMKSRVKRTAPNQTGNRMF >KQL13001 pep chromosome:Setaria_italica_v2.0:III:2033412:2039764:-1 gene:SETIT_021372mg transcript:KQL13001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALEAARAWAASVIPPELAAAAGGDPLAALAATAAALVAGLLILAVWFRSGNGAPAKPAPTPVRPPPVKIDADADVDDGRKRVTIFFGTQTGTAEGFAKSMAEEARARYEKAVFKVVDLDDYAQEDEEYEEKLKKETVVLFFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKFGVFGLGNRQYEHFNKVAKVVDELLQEQGGKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDEDDTTGASTPYTAAIPEYRVVFIDRSDLSFQDRSWTLANGTGVIDIHHPCRSNVAVRKELHKPASDRSCIHLEFDISGTGVVYETGDHVGVYSENSVETVEEAEKLLDLSPDTVFTIHADAEDGSPRRGGGSLAPPFPSPCTLRTALLRYADLLNPPKKAALLALASHASDPAEAERLRFLASPAGKDEYSQWITASQRSLLEVMAAFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPSPTGRIHQGVCSTWMKNTIPLEYSEECSWAPIFVRQSNFKLPADPSTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGTSILFFGCRNCNVDYIYEDELQNFLQEGALSELIVAFSREGPTKEYVQHKMVEKATEIWNIISQGGYLYVCGDAKGMARDVHRVLHTIVQEQGSLDSSKTESYVKSLQMEGRYLRDVW >KQL13000 pep chromosome:Setaria_italica_v2.0:III:2033006:2039900:-1 gene:SETIT_021372mg transcript:KQL13000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALEAARAWAASVIPPELAAAAGGDPLAALAATAAALVAGLLILAVWFRSGNGAPAKPAPTPVRPPPVKIDADADVDDGRKRVTIFFGTQTGTAEGFAKSMAEEARARYEKAVFKVVDLDDYAQEDEEYEEKLKKETVVLFFLATYGDGEPTDNAARFYKWFTEGKEKEVWLKDLKFGVFGLGNRQYEHFNKVAKVVDELLQEQGGKRLVPVGLGDDDQCIEDDFTAWKELVWPELDQLLRDEDDTTGASTPYTAAIPEYRVVFIDRSDLSFQDRSWTLANGTGVIDIHHPCRSNVAVRKELHKPASDRSCIHLEFDISGTGVVYETGDHVGVYSENSVETVEEAEKLLDLSPDTVFTIHADAEDGSPRRGGGSLAPPFPSPCTLRTALLRYADLLNPPKKAALLALASHASDPAEAERLRFLASPAGKDEYSQWITASQRSLLEVMAAFPSAKPPLGVFFAAIAPRLQPRYYSISSSPKMAPSRIHVTCALVYGPSPTGRIHQGVCSTWMKNTIPLEYSEECSWAPIFVRQSNFKLPADPSTPIIMIGPGTGLAPFRGFLQERLALKQSGVELGTSILFFGCRNCNVDYIYEDELQNFLQEGALSELIVAFSREGPTKEYVQHKMVEKGMARDVHRVLHTIVQEQGSLDSSKTESYVKSLQMEGRYLRDVW >KQL13599 pep chromosome:Setaria_italica_v2.0:III:5458431:5459268:-1 gene:SETIT_025599mg transcript:KQL13599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTLTVVAELDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDVLLKTPQAQACAEDIAIWIKKYISLRGHELSY >KQL14592 pep chromosome:Setaria_italica_v2.0:III:12208887:12209621:-1 gene:SETIT_023674mg transcript:KQL14592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAILRPLIFALALTMLVALSHGSFQVAKILVFKNCMDVIKKHPPQDTIPGKKCINTVLKNNLVGICLVLTQEDEDKVSVERLVSLGRRFGQVFTAGARCGTTYIIPELPGPPL >KQL15994 pep chromosome:Setaria_italica_v2.0:III:26649229:26650517:1 gene:SETIT_024367mg transcript:KQL15994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLITLAVSLKSLHPQCTPDGGCAPATQQQVAFFYGALYTMAIGAGGTKPNISTFGADQSDDFDAREREVKASFFNWWMFSSFTGGLVAVLVLVFVQENVGTPFYRHKPVRRDTAAGLARQVGSVFRGAFANRSRPLPADAGELHEHDTAWYAAARKRRLHRTPALRFLDRPALRPPTDYEGTSRRSCTVTEVEQVKLIVGMIVVWLTTLVPCTIWAQVNTLFVKQGTTLDRTIGGVRVPEASLGSFITVSMLLSIPVHDRVLVPLARRRTGDPRGITLLQRLGVGCALQVLVVACAYGVEVRRMRTVPMSILWMLPQYVLMGVGDVFNSVGILEFFYDQSPDGMRRLGTTFFTSGLGVGNILNSLLVTLVDRATRGGGRSWIGDNLNDSHLDYY >KQL16662 pep chromosome:Setaria_italica_v2.0:III:43482572:43483061:-1 gene:SETIT_023827mg transcript:KQL16662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGKRATGVVALVAMMVVLHQLMAAPMAMARSLQDTTSVLSLNRSAREFAWQGGISCGETCLMLPCFIQAIGCRCKNKICYK >KQL13842 pep chromosome:Setaria_italica_v2.0:III:7161606:7161893:1 gene:SETIT_025454mg transcript:KQL13842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSEYIFLNFHLKSSSHIRQTLVLHYRMMQKSLIRSPDFAHWAQKVKKKNREAYS >KQL14340 pep chromosome:Setaria_italica_v2.0:III:10666446:10667887:-1 gene:SETIT_025268mg transcript:KQL14340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPAPRRPPPQPANRPPHPVARLLVLATAASFASSLSASTLRLRVEGHGGRGNPLRHRGRARIGAVGGLWVAQTGFSLYVDACVPAGCHRLLDTGGTKTPAMRCEVEEARLKAIAVMDLALSVCCVVVAAVAALVLLLGVPWHGLASGRKKKGLTSGTRSAPAILPPCLAAGLQ >KQL12795 pep chromosome:Setaria_italica_v2.0:III:913066:914705:-1 gene:SETIT_023425mg transcript:KQL12795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSTTASTLAPSLRSPFSSVPRGTRLPATLRLSPAQPASAGCRAAPGRLTCPRTRVRCAAAAKFIAESEFAAEVLESELPVLVDFVADWCGPCRLIAPVVDWASEEYDGRLKIVKIDHDANPKLIEEYKVYGLPTLILFKNGQEVPGSRREGAMIKDKFKQYLEPLLATTVA >KQL15771 pep chromosome:Setaria_italica_v2.0:III:23636414:23638891:1 gene:SETIT_022969mg transcript:KQL15771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRWLAAAVLMCLLVLCGGRELKTKHAPIYDPALARTLAEYTSAVYTADLTQLFTWTCERCGDLTEAYVGFARDMNAVIVVFRGTQENSIQNWIEDLFWKQLDLNYPGMPEAKVHSGFYSAYHNTTLRDGVVRGIQKTREVYGNIPIMVAGHSMGGAMASFCALDLVVNFGLKDVILMTFGQPRIGNAVFASNFKGYLPNAIRVTNAHDIVPHLPPYYRYFPQKTYHHFPREVCFLFVSLLSYITVSS >KQL15772 pep chromosome:Setaria_italica_v2.0:III:23636414:23638891:1 gene:SETIT_022969mg transcript:KQL15772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRWLAAAVLMCLLVLCGGRELKTKHAPIYDPALARTLAEYTSAVYTADLTQLFTWTCERCGDLTEGFEVIELIVDVKNCLQAYVGFARDMNAVIVVFRGTQENSIQNWIEDLFWKQLDLNYPGMPEAKVHSGFYSAYHNTTLRDGVVRGIQKTREVYGNIPIMVAGHSMGGAMASFCALDLVVNFGLKDVILMTFGQPRIGNAVFASNFKGYLPNAIRVTNAHDIVPHLPPYYRYFPQKTYHHFPREVCFLFVSLLSYITVSS >KQL17119 pep chromosome:Setaria_italica_v2.0:III:48403877:48404116:1 gene:SETIT_023934mg transcript:KQL17119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTLCHRDRTHFWASKYIRCCMLKDYLYQQFQISDVICFDVALFAQSVGCKLQEAYIFLKLL >KQL16152 pep chromosome:Setaria_italica_v2.0:III:31813452:31814467:-1 gene:SETIT_025203mg transcript:KQL16152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDVKKLLQGLFQHAVACHTRENPAPPNLLVSSTSCSAVPKAPTAGNINNSSCAPLRNLLTISQKRASQELDLPMEVLIIIADDVAATSFKPMEDLGNLRVVCRVMEHAWGDLSIGQHVVMLRIYTEGLEWLDPDRYYNLLALLVGVANPSAGPKKLRNNGCRVCCEEAAYLVNSVTWRMHVEPLPPALVHDDFPCARGDCGKVKGWEQATLFCNEDCRIRHEIVEFEKRMGIDQ >KQL13519 pep chromosome:Setaria_italica_v2.0:III:4954881:4958307:1 gene:SETIT_023072mg transcript:KQL13519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSGEESSFKSCERPKLFLVHGLDRKKKLGLPTGLASGLSIVQPQQARAHTPPRFSLSPSSSASRRTPETRVPPPALRVSPRKEFFADSTPPVLESSAMASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVSIHFPPDYPFKPPKVAFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKVDRSKYETTARSWTQKYAMG >KQL16750 pep chromosome:Setaria_italica_v2.0:III:44698475:44699014:1 gene:SETIT_025668mg transcript:KQL16750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAGVLRSLLLQCDSRRMGRCRRTARPWCRGRQSVVL >KQL14585 pep chromosome:Setaria_italica_v2.0:III:12179098:12184144:1 gene:SETIT_021608mg transcript:KQL14585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGWRLGRGVAAVVRMASSSSSLPSLPRLFIPPHRAHHEAAMAASLGASGTLRNGGGRQMQDLVMQKWAEMVLCCGADWGCVPQLWNRVVLRKWLNIGAGSGDSDFSADERSDGETDREDMTGWKHELCNEERILGGLGASTTGDEMNDVPYRLRRHRSEILRSQYVDVNELRICVGTWNVGGRFPPSDLNIEEWLDMEEPADIYVIGFQEIVPLNAGNIFGAEDNRPVAVWEHIIRETLNKNGSDKSRFKCHSDPSSPSRFNPSDVALSMEHELLSGSDNDSDGELHPLIEQDHNRRLQDKTDEKFEAFPEEDLACDAIIDKSVKRKRPEFVRIISKQMVGIFLSIWVRRSLRKHIQNLRVSTVGVGAMGYIGNKGSISVSMSIHQTPFCFVCCHLAAGEKDGDDLKRNSNVEEIIRRTVFNPVHTVGMPMRIHDHERIIWLGDLNYRINLSYERTHELISKQDWDGLFERDQLKRELRKGCTFDGWVEGAISFPPTYKYEFDSENYVSDESKSGRRTPAWCDRILSYGKGIRLLLYKRGELTLSDHRPVTAVYMAEIEVFRRRKLQRALSL >KQL13352 pep chromosome:Setaria_italica_v2.0:III:3982653:3986950:1 gene:SETIT_025245mg transcript:KQL13352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSSSWPKTKTVMKKWLNLKNSEFHSDCINESFGGQQQEMRRKSCSDRDGSLLTRTDLSGGWLVESSENLRPPPTRMFVGTWNVGGRAPHRGLDLSDWLIDGPASSSPHIYVLGFQEIVPLNAGNVLGAEDKGPACQWLDLIRRALNPSSSSPEISRGSHGLFPSESLQKGRVSFSDLLAAEDNSRLSTASEPDDDASEPSTSNPESSSEEEAGDFGGAARRLRGRGYRLAASKQMVGIFLCVWVRADLLPCVTGLRVSCVGRGIMGYMGNKGSISVSLTLEGGAALCFVCTHLASGEKDGDEVRRNSDVSEILKRTRFTRTAASPETILEHDKVIWLGDLNYRLTSGGGKTRELLERKDWQALLERDQLRTEQRAGRVFAGWEEGRIRFPPTYKYLAESDAYAMSLGSSGSREKKRTPAWCDRILWRGEGMDQHWYARGESRFSDHRPVSSLFSARLQSGKPAVARGNAAAQAPAR >KQL17321 pep chromosome:Setaria_italica_v2.0:III:49764943:49767544:1 gene:SETIT_021481mg transcript:KQL17321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSSTGVGGDKHGCSTSASSGTSAGKGAAAAVHGSDAAHLAKRKSRPEDEHGCWPKGKQDSSNTMWREMMMSLVTRSKQCLNISNKEIELNLNIGVEVLYMGGNLQICELGETSSESSRTGHANQPSRFSELGRRTGSGLDIQPGAFWVGLVPRAPPLLPNRRRRPPGARLAGAEPIEMLSNKAAASGRSSGRSGGGIRIGRLQLRPAIPIVPGGRGKRQRRAAAVPLLPDDALVEILSRVPAKSLCRFKCVSRAWRDLIADRLRCKKLPQTLAGFFVNDGDEIHGGDSDDDGSDRVVGRFINTLGRFVPLASVSFLGNQPGIEEFGFLRSCNGLLLFGHRRAGDTYDSLGYIVCNPATEQWVAVPSSGFKPLPLFDEGEDPGSDSDNEIGCAFTYLIFDPAVSLHFQLVEFISDDCVCVEEVRAYSSETGVWCDSDWSSDCGISFFAGSAIVNGMLHLSVTSFDTHQDLILAVNGEGKDCRSLSGPGKRFDVAFVGESQGLVHCVSQHKDNTRQMTELSIWVLRDYDAEEWVLKHSVDFFKLFGRMNCRVEFDYSVVAIHPDRNLIFFVQHWDLQLKSYDMDSKEVCTLHTLGVGPQNIFPLVPYYAESSALANKH >KQL13363 pep chromosome:Setaria_italica_v2.0:III:4036442:4036919:-1 gene:SETIT_025476mg transcript:KQL13363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYDGNMGYNCKEFLTSTVTPAMQIKASSVT >KQL16820 pep chromosome:Setaria_italica_v2.0:III:45503604:45504090:-1 gene:SETIT_025630mg transcript:KQL16820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCGLFIFEFLTSREFLLNLLPTVLWVFVDVINATKGTTL >KQL16451 pep chromosome:Setaria_italica_v2.0:III:40200644:40201204:-1 gene:SETIT_0251345mg transcript:KQL16451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKEDDKQSYEQAADMYFTDFLFRGFISPVDHGAAGKVKSCKMREQVKGFISDISKSENFEGSQLPTHLDNQLRIRHMVAKQLKKLDQQKEPDQAQDQDHDVICGFPLPGKISMANTKNLEKSIDKLLNHLRSLPDTYRLNVVDLGGCRGLKKRHLKSICKMRTIKYLSLRNTDVSGLPNQIQALQL >KQL13814 pep chromosome:Setaria_italica_v2.0:III:6934317:6937270:1 gene:SETIT_023393mg transcript:KQL13814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTWKPLTVLPLGEDPPAITSLCFNHNGKILAASATDGMIHMFDMSAGLQITGWPAHDSPVSSVLFGPAETSIFSLGSDGKIFEWSLHNQGQILWSRDCSRFCNPESFNKRMHEIALDSNGKRLLVTSGLVRAPIYQVQGHESGLRTLPHSSSITSVDWHPTLPMYITGSADHSVRVTSIL >KQL15500 pep chromosome:Setaria_italica_v2.0:III:20914332:20915537:-1 gene:SETIT_024927mg transcript:KQL15500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVPATTLASCNALLASLARSGRPAQALRTFRDLLARGVQPDHFTLPPVRRSCALTGAAGFAASSHALAVKLSAQDNLFVASALVLCYAGLSNLADARRMFDGMREWDAVLWTSMLSAYAQRGEPDAALRFFGGMVAAGMELDAVVMVSLLLACGQLGWRRHGRSVHACCVRRFLGMPLSLGNALVDMYVKCGDFALAERVFAGIPRRDVISWSALILGHGLNGRSDVALGLFDRMATEGIQPNSVTFLGALSACAHSGMVDKAYAIFEGMKRWGIEPELKHYSCMADTLGRAGRVVEAVKMIEEMPFEPDEAMLGGALAACRVHGEMEAAEQVSKRLMDMSPGKSGYYMSLANIYSDAGRYSDAERIRDFMKEVKVSKLPGYSSVELDVDRFHRTSNGV >KQL15003 pep chromosome:Setaria_italica_v2.0:III:15497262:15501538:1 gene:SETIT_021593mg transcript:KQL15003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLLGSEIGKNDYDWLLTPPGTPRVPALEVAEKTPSPNILPKRTTTRSSSTTRASRLSVSQTENGHSTVPTRPARSNSVSRPSIQSTLMNGNNRTSVLNTSISSVTSRPTTPSRRNTTAAAPKQSVPASRPVPARSSTPVKTRPSTPAKTRPSTPTRTRPTAPNSSTESAAAKTTSAHNSRPSTPNSRSRIIPSSSSGPTHAISRPSASSGTMSRPGSSSGNVPGISRATSLSSSSVPSMSRSSSRSSTPTRQPAIRSSAPAIGRSPSVGRSSSISSFTSVSRSAISNGRNSAPSSAPSSRPSSPNPRPRAPVRPLDIPDFPNETPPNLRTKLPERPLSAGRSRPGMALGIRSTPNTEPSATSAHVKKVSVPAVTRSKFSDASSKTPSLTNGHQNRQAERSVIDSQPNRHSRPVTGTDNGFGRSISKRSLDMAIKHMDIRQNLGGIRGASLFPQSIRSAAAKARPARASDPGLGISNGDRHYTDNGSSVNGHLSGDSNGAPSRNGGSSTDSPDRASVGTKETLSELDIYGSSRYEAMLLREDVRNTSWLHGFDDKPDQSPLFDHRFEPLPEPFSPL >KQL16427 pep chromosome:Setaria_italica_v2.0:III:39844426:39844865:-1 gene:SETIT_025383mg transcript:KQL16427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLTKIEMQANKDGGQIVEVAATTNMGEENVTTESEEISHQQLV >KQL16392 pep chromosome:Setaria_italica_v2.0:III:39063176:39064181:1 gene:SETIT_022964mg transcript:KQL16392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFSSSCTLEHSYRLHKLQLYNSHDHIVMDVQSCMKATLLAIIIISVVTWLSHMYSCIKNSMESLPSVVSVVIAPKCLFIFSNIIVMYLVSESKLSRRRSKLQKAGDDTAARDEDMIQDSQKQEEVVLAEVLLPTIIGESKQEQENKMAMVVYDEKGALLGNGDVPMLVVMDEERETSAVNDSLRMNQIVLHNADEVHEEIEEGDFELEQGDEMSEDVEQQFAVEDELGERDLPPADELNRRVEDFIARFNMERQLEEARMLVCCY >KQL14862 pep chromosome:Setaria_italica_v2.0:III:14397086:14397910:1 gene:SETIT_023413mg transcript:KQL14862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFVVAAATAALCLAALVAMAAGQSGFERQRLRDLRCQREVEENPLWACRQVLDRQLTGGMRYGVGPFRWGTGLRMRCCQQLQDVSRECRCSAVRRMVRGYEEAMPPLEEGPYGYGGEQGEGYYGGGEGGEGYLPFPPRRIGRVRLTKARQYAAGLPMMCRLEPQECSVFSGDQYK >KQL14815 pep chromosome:Setaria_italica_v2.0:III:14009923:14013627:-1 gene:SETIT_022011mg transcript:KQL14815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTSCLLLPLLLLLLAAAAAAGGGLSAHEALFDAWCAEHGKAYATPEERAARLAVFADNAAFVAAHNARANAVGGSPPSYTLALNAFADLTHEEFRAARLGRLAVGRVGATLRSAGAPVFGGLDGGVAAVPDAVDWRKKGAVTKVKNQGSCGACWSFSATGAIEGINKIKTGSLVSLSEQELIDCDRSYNNGCGGGLMDYAFKFVIKNGGIDTEDDYPYRQADGTCNKNKLKRRVVTIDGYSDVPSNKENLLLQAVAQQPVSVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGERWGMKGYMHMHRNTGASSGICGINMMPSFPTKTSPNPPPSPGPGPTKCNLLTYCPEGSTCCCSWRVLGLCLSWSCCGLDNAICCKDNRYCCPHDYPICDTVRAQCLRESRRSSLSLKFPLGMVCWN >KQL14816 pep chromosome:Setaria_italica_v2.0:III:14010531:14013435:-1 gene:SETIT_022011mg transcript:KQL14816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTSCLLLPLLLLLLAAAAAAGGGLSAHEALFDAWCAEHGKAYATPEERAARLAVFADNAAFVAAHNARANAVGGSPPSYTLALNAFADLTHEEFRAARLGRLAVGRVGATLRSAGAPVFGGLDGGVAAVPDAVDWRKKGAVTKVKNQGSCGACWSFSATGAIEGINKIKTGSLVSLSEQELIDCDRSYNNGCGGGLMDYAFKFVIKNGGIDTEDDYPYRQADGTCNKNKLKRRVVTIDGYSDVPSNKENLLLQAVAQQPVSVGICGSARAFQLYSQGIFDGPCPTSLDHAVLIVGYGSEGGKDYWIVKNSWGERWGMKGYMHMHRNTGASSGICGINMMPSFPTKTSPNPPPSPGPGPTKCNLLTYCPEGSTCCCSWRVLGLCLSWSCCGLDNAICCKDNRYCCPHDYPICDTVRAQCLRANGNFSGIEGIKKKQSFSKVPSWNGLLELMDQ >KQL14901 pep chromosome:Setaria_italica_v2.0:III:14732772:14734613:-1 gene:SETIT_022489mg transcript:KQL14901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDGGGGGMSESVLRKVLLSYCYVAVWIFLSFSVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRVLRVVDLPTSPAMTPQLYTSSVVPIGALYAMSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKETFRSSSMLNMLSISFGVAIAAYGEARFDLRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLCFLVVPWAFVELPRLRAVGTFQPDFFIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVAYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDGHGDRKSDNQA >KQL14925 pep chromosome:Setaria_italica_v2.0:III:14924442:14924884:-1 gene:SETIT_025586mg transcript:KQL14925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFSSLRCVSMPMTSIHQSNYNKLIVAGKIGKKKTLLGRKAT >KQL13547 pep chromosome:Setaria_italica_v2.0:III:5179085:5180468:1 gene:SETIT_023069mg transcript:KQL13547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLELDYIGLSPPPAAAAADATDDLKGTELRLGLPGSESPDRRVAAAAATTLELLPAKGAKRGFSDEAPPPSPAATAGKGKKVADEEEDDKKVAATPQPAAKAQVVGWPPIRSYRKNTMATTQQKSSKEDAEAKQGQGFLYVKVSMDGAPYLRKIDLKTYKNYKDLSTALEKMFSGFSTGEMSRVTLHFYQTQYVIVFHFDVDSVRSTS >KQL13546 pep chromosome:Setaria_italica_v2.0:III:5178877:5182339:1 gene:SETIT_023069mg transcript:KQL13546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLELDYIGLSPPPAAAAADATDDLKGTELRLGLPGSESPDRRVAAAAATTLELLPAKGAKRGFSDEAPPPSPAATAGKGKKVADEEEDDKKVAATPQPAAKAQVVGWPPIRSYRKNTMATTQQKSSKEDAEAKQGQGFLYVKVSMDGAPYLRKIDLKTYKNYKDLSTALEKMFSGFSTGKDGLSEYCKDGEYVLTYEDKDGDWMLVGDVPWEMFADSCRRLRIMKGSDAIGLAPRAADKSKNRN >KQL14305 pep chromosome:Setaria_italica_v2.0:III:10396314:10396758:1 gene:SETIT_025046mg transcript:KQL14305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSSNKDGPTIHVFHYSLIVTQVDDQATPNNVNQGPGTRSHAKKLQQEVNSLLAEFKLHTNENCLLPKCCTLIILRFTHEVMDNTRWRKVM >KQL16323 pep chromosome:Setaria_italica_v2.0:III:37473425:37477856:-1 gene:SETIT_024294mg transcript:KQL16323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WEDMEVEIKENESTVPEDSNINDPYDIVYSNIPDNTHMLKPVENCKYCDAKKFHHEPEGLCCRKGQIKLTNLETPHQLMRLWMSNDSDAIHFRKNIRFFNGHFSFTSLYCRLDRDTTTMRNSGIYTFRAHGQIYHNIRSFAKDGSDPKHLELYFYDDNPTLEHRYRYCRKEMYEQDKHVLLIITNTLRNNPYSEQFRSLGQEENLEDYRVMLNLDQRLDQRTYNAPITSEVAAVWIEGNEWRNTFDRNVILHGNNNEIQGIRSYTGCYPLFFPRGELGWHADIPKVGITTEDVKKARANQNNKNNDPDSSGRMWVTMREYYCYKFHVRANIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRVDLYQGLLDSIHAGQDRGDAVGKRTVLSSSFIGGPRDKMRRYLDAMALEEITNELEFGQTPQDRPDLVVRVFRAKLEQMKKQLLEEHILGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISVELPNKQKYPELYKMVIKHMMHGPCGTLNKNCPCTKNRKSCKDYYPRQFNATTIQGKDSYPLYRRRDDGHNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYIDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVEKSMLTAYFEANRTHEKARGILYRDFPEHYTWQTQGKFWQQRKRKTLLFVTILVFCEPHDIRALWNNHIEAMSEDYRRNCKNARMIEQMVLINIRDMLQSMGKDIRSFPLPEIDEQNDTKDNTPREITEESNIEVDPEDMELPKHLNDEQKAAYNEILTAVDRDGGGLFFVDGPGGTGKTFLYRALLATVRGQGKIALATATSGVAASIMPGGRTTHSRFKIPLRIDDGAICSFTKQSGTAKLLQVASLIIWDEASMTKRQAIEALDKSMRDIMDIPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNCMCHMKLVRNMRAQNDPWFAEYLLRIGNGTEQTNDKGEIRLPKNICIPCTMDDSELDRLIDSVYQMNSACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDTVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKINCPIIILRNIDPANGLCNGTRLVVRGFQKNAIDAEIMFPFHFKRKQFPVRLSFAMTVNKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTAKNIKILTAENDDEDENQKQDNKIKPSEKNKKKKKRKSKSDMSDKKEVNQKDTTDRYTKNIVYSEVLTK >KQL17210 pep chromosome:Setaria_italica_v2.0:III:48974643:48981259:-1 gene:SETIT_0211453mg transcript:KQL17210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WWKEAQEGAAIEAAGLPYAAAPAGPSSYGMRVLSIFISDQTYTLRRADDPLNQDQQQERQQQAPDASAGRSYALVAADLFTKARDWHIDSAKSAGNTSLTEDGGSVNIYPVMLRVSVTRDNALTVKIGKKDNSAENFKRANKILTADSEPVHIWDFSGRTTYILMNAWNRMSHDSRSADHEMPLEIHIYDLSEPVVNGADGKRDGLALTLGGSVFSNGSNTDMALDSSSGSFKQVGSGLTGLDNLGNTCFMNSAVQCLAHTPKLVDYFLGDFCKEINTHNPLGMKGELAYAFGDLLRKLWAIDRSPVAPRHFKAKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKCKPYSEAKDSDGRPDEEVADEYWGNHLARNDSIIVDICQGQYKSTLVCPICKKVSVTFDPFMYLSLPLPSTTMRTMTITVFSTDGTTGPSPYTVSVPKSGDTRTLINALSNACSLRDDERLLVAEVYNNSIIRYLDEPSEVISLIRDGDRLVAYRLPKDSEDAPIVVFRNQRMESSLSSFGRKSWKSFGTPLVSSLPDTVTGSTISNLFLKVMTPFRVSNNDVSDADQTIGESNLANETADTDMSIDASEHTSLNNNNLKDETGSEDAMQFFLTNERFPDQRMKIEMDQPITVKGPQKRLHVAVCWQDNGLEQYNLASLDSLPEVYKAVLFSRRPQDTCSLYACLEAFIKEEPLGPEDMWYCPGCKEHRQASKKLDLWRLPEILIIHLKRFSYSRYTKNKLETCVDFPIHDLDLSKYIGHRRQQIPHNYRLYAISNHYGSMGGGHYTAYVY >KQL16028 pep chromosome:Setaria_italica_v2.0:III:27181814:27184820:-1 gene:SETIT_025307mg transcript:KQL16028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVLSQIHEGFRLATELMAEIPATQNDQAYLAERCHGIAQAYLAAMRMLGYPHGADDLSPPAPAPPHPFGGGGGDGSHGHLQQLDLLRPFLGGGSSLPAQFPQHLGRLLESSPFGTPGADAFGAGTSGGPVRRQASSSRSSPPVQPRQHRRRRESGERTTMMVPVQRTGNTDQPPDDGYTWRKYGQKDILGSRYPRSYYRCTHKNYYGCEAKKKVQRLDDDPFMYEVTYCGNHTCLTSMTPLLTLPAPNTAAAVSTAIANMLTNSPPTGSAAILAGQDLVMAPAAAEHPTPPALSTAIQLGISWMPSELVSSSAGQGSSSAQVNASAASGRDLEYPVMDLADAMFNSGSSGGSSMDAIFPAHHHDQRDS >KQL14099 pep chromosome:Setaria_italica_v2.0:III:8813056:8813548:1 gene:SETIT_025567mg transcript:KQL14099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRRNDCTAAAYGRDYVSPTNLQLSPSTNLPHVLTIGAPHAPINLCIFLFGPQGPALRERRKKSCMYSCMRARISLMIPFTCNAVSRF >KQL15668 pep chromosome:Setaria_italica_v2.0:III:22343944:22344438:1 gene:SETIT_025114mg transcript:KQL15668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGGSLGDDAQLATLRDVLAALGATAPRLVYRKTLHRADVNMSQTRLLIPCRSDDGDASALTAFLTEGEKERVREHHEVREGHLYGIEVPVYDRHGQRFGMRLNWIEASRAYRFSGTGWELFLRDNQLPEAMAAAEEIGWKLEIELWAFRLTELQLRERRADI >KQL17282 pep chromosome:Setaria_italica_v2.0:III:49503745:49508423:1 gene:SETIT_020998mg transcript:KQL17282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEKLMVTMALASLRWVASPIANKLLADASTYLGMDMSRELQELESTILPQFELVIEAAEKSPHRGKLEKWLQDLKAAFYNAEDVLDEHEYNNLKRNAKQSKWAAMACGGSWPPGRLANLHPGNRRLLRQVNELKDILVKAKSFRQQLGVLPAVGDSSQSQGTATATTSFPTSKVLGRDTERDRIIQFLLNDEASSGSGSARISSLAIVGLGGMGKSTLAQYVYNDKRVEEYFGKRMWVCISRKLDVRRHTREIIESAEKGECPIVDNIDTLQYKLRDILQKSERFLLVLDDVWFDESNVERDWEQLLAPLASKQRGSKILVTSRRNVFPAALCCHEVFDLQDMEDSAFLTLLREHAFSGAEIRDAQLRMNLEEIAKKIARRLGQSPLAAKTVGSQLSRKKDVTTWTAALRSDNLSEPMRALLWSYEKLDPRLQRCFLYCSLFPKGHKYNDRELVHLWTAEGFIDLSSQSRRMKDIGSNYLNELIACSFLQPGSDRFGFGCYIMHDLLHDLAEKLSRDDCFRLEDDDMAEIPCTVRHLSVHVKSMKQHKQSICKLRHLRTVICIGRLVDDADDVFHQVLQNLKRLRVLYMCFYNKEKLPESVGELKHLRYLNVIQTTISEFPASLCTLYHLQILLFSYRVQSLPKKLCNLSKLLSFELYHDGEFYVKFPFAELPQIPYIGKLTSLQNLDEFRVQKQKGYEPRQLRDMNGLGGRLSITHLENVTRKDEAAEMMLHKKRYLKHLDLIWSSESDSHAEDSLHLDILEGLRPPAQLEGLAIEGYKSHRYPSWLLEGSYFDNLDFFRLHDCTALEGLPLNTEVFRHCSRLVISDVPNLKTLPRLPEGITYVSIKGCPLLMFISSNEMREHDKREKIVMPEQLVSQLCLIWELDSGSYPVIKRDLHEEHSFLKQLTPLVDADISQHLQTISRTLEQEKDEEYDKETIIKAWLCCHEQRIELLYGTNIGQQLLLPSTLNHLCLSSCSITNGALGVCLGSLTLLRRLSLTRIMTLTALPSEEVFQHLTALDDLRIDSCWCLRSLGGLRAATFVTEVSIECCPSLELTCGAESMPLSLETLSIDGCVLAADFLSNGLTHLKHLHMYRCRSSASLSIGHLTSLESLQLHNVPDLCMLEGLSSLQLQDVGLVDVPKLSAGSISQCCVQKSLCVSRSDIFNHLLSAEGCTGPEQVQIQSCNETSIFFEASANFTSVKELVISECRIQSLPKNMKDLSCMEKLEIAECPNISSLPDLPSSLKQITIYNCKLLSKNCREPDGISWPKIAHIPWRYIN >KQL17283 pep chromosome:Setaria_italica_v2.0:III:49503652:49508423:1 gene:SETIT_020998mg transcript:KQL17283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEKLMVTMALASLRWVASPIANKLLADASTYLGMDMSRELQELESTILPQFELVIEAAEKSPHRGKLEKWLQDLKAAFYNAEDVLDEHEYNNLKRNAKQSKWAAMACGGSWPPGRLANLHPGNRRLLRQVNELKDILVKAKSFRQQLGVLPAVGDSSQSQGTATATTSFPTSKVLGRDTERDRIIQFLLNDEASSGSGSARISSLAIVGLGGMGKSTLAQYVYNDKRVEEYFGKRMWVCISRKLDVRRHTREIIESAEKGECPIVDNIDTLQYKLRDILQKSERFLLVLDDVWFDESNVERDWEQLLAPLASKQRGSKILVTSRRNVFPAALCCHEVFDLQDMEDSAFLTLLREHAFSGAEIRDAQLRMNLEEIAKKIARRLGQSPLAAKTVGSQLSRKKDVTTWTAALRSDNLSEPMRALLWSYEKLDPRLQRCFLYCSLFPKGHKYNDRELVHLWTAEGFIDLSSQSRRMKDIGSNYLNELIACSFLQPGSDRFGFGCYIMHDLLHDLAEKLSRDDCFRLEDDDMAEIPCTVRHLSVHVKSMKQHKQSICKLRHLRTVICIGRLVDDADDVFHQVLQNLKRLRVLYMCFYNKEKLPESVGELKHLRYLNVIQTTISEFPASLCTLYHLQILLFSYRVQSLPKKLCNLSKLLSFELYHDGEFYVKFPFAELPQIPYIGKLTSLQNLDEFRVQKQKGYEPRQLRDMNGLGGRLSITHLENVTRKDEAAEMMLHKKRYLKHLDLIWSSESDSHAEDSLHLDILEGLRPPAQLEGLAIEGYKSHRYPSWLLEGSYFDNLDFFRLHDCTALEGLPLNTEVFRHCSRLVISDVPNLKTLPRLPEGITYVSIKGCPLLMFISSNEMREHDKREKIVMPEQLVSQLCLIWELDSGSYPVIKRDLHEEHSFLKQLTPLVDADISQHLQTISRTLEQEKDEEYDKETIIKAWLCCHEQRIELLYGTNIGQQLLLPSTLNHLCLSSCSITNGALGVCLGSLTLLRRLSLTRIMTLTALPSEEVFQHLTALDDLRIDSCWCLRSLGGLRAATFVTEVSIECCPSLELTCGAESMPLSLETLSIDGCVLAADFLSNGLTHLKHLHMYRCRSSASLSIGHLTSLESLQLHNVPDLCMLEGLSSLQLQDVGLVDVPKLSAGSISQCCVQKSLCVSRSDIFNHLLSAEGCTGPEQVQIQSCNETSIFFEASANFTSVKELVISECRIQSLPKNMKDLSCMEKLEIAECPNISSLPDLPSSLKQITIYNCKLLSKNCREPDGISWPKIAHIPWRYIN >KQL17284 pep chromosome:Setaria_italica_v2.0:III:49503745:49508423:1 gene:SETIT_020998mg transcript:KQL17284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEKLMVTMALASLRWVASPIANKLLADASTYLGMDMSRELQELESTILPQFELVIEAAEKSPHRGKLEKWLQDLKAAFYNAEDVLDEHEYNNLKRNAKQSKWAAMACGGSWPPGRLANLHPGNRRLLRQVNELKDILVKAKSFRQQLGVLPAVGDSSQSQGTATATTSFPTSKVLGRDTERDRIIQFLLNDEASSGSGSARISSLAIVGLGGMGKSTLAQYVYNDKRVEEYFGKRMWVCISRKLDVRRHTREIIESAEKGECPIVDNIDTLQYKLRDILQKSERFLLVLDDVWFDESNVERDWEQLLAPLASKQRGSKILVTSRRNVFPAALCCHEVFDLQDMEDSAFLTLLREHAFSGAEIRDAQLRMNLEEIAKKIARRLGQSPLAAKTVGSQLSRKKDVTTWTAALRSDNLSEPMRALLWSYEKLDPRLQRCFLYCSLFPKGHKYNDRELVHLWTAEGFIDLSSQSRRMKDIGSNYLNELIACSFLQPGSDRFGFGCYIMHDLLHDLAEKLSRDDCFRLEDDDMAEIPCTVRHLSVHVKSMKQHKQSICKLRHLRTVICIGRLVDDADDVFHQVLQNLKRLRVLYMCFYNKEKLPESVGELKHLRYLNVIQTTISEFPASLCTLYHLQILLFSYRVQSLPKKLCNLSKLLSFELYHDGEFYVKFPFAELPQIPYIGKLTSLQNLDEFRVQKQKGYEPRQLRDMNGLGGRLSITHLENVTRKDEAAEMMLHKKRYLKHLDLIWSSESDSHAEDSLHLDILEGLRPPAQLEGLAIEGYKSHRYPSWLLEGSYFDNLDFFRLHDCTALEGLPLNTEVFRHCSRLVISDVPNLKTLPRLPEGITYVSIKGCPLLMFISSNEMREHDKREKIVMPEQLVSQLCLIWELDSGSYPVIKRDLHEEHSFLKQLTPLVDADISQHLQTISRTLEQEKDEEYDKETIIKAWLCCHEQRIELLYGTNIGQQLLLPSTLNHLCLSSCSITNGALGVCLGSLTLLRRLSLTRIMTLTALPSEEVFQHLTALDDLRIDSCWCLRSLGGLRAATFVTEVSIECCPSLELTCGAESMPLSLETLSIDGCVLAADFLSNGLTHLKHLHMYRCRSSASLSIGHLTSLESLQLHNVPDLCMLEGLSSLQLQDVGLVDVPKLSAGSISQCCVQKSLCVSRSDIFNHLLSAEGCTGPEQVQIQSCNETSIFFEASANFTSVKELVISECRIQSLPKNMKDLSCMEKLEIAECPNISSLPDLPSSLKQITIYNCKLLSKNCREPDGISWPKIAHIPWRYIN >KQL16633 pep chromosome:Setaria_italica_v2.0:III:43182697:43186337:-1 gene:SETIT_021596mg transcript:KQL16633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNKRELEETGGGTPSLVPVLKKRCRSFDLEIRGCRHLQELAAAVKVNLEAALESAVARIPEEVAKALTSFLSRAPSLCRTLVDQNRPPRYKLTFLNGLGTEVFTKKNIFDTNGEPLKICIIANYQEESDPRFVSAKIRVVVLDGDFNRHNQECWTLEEFSNSIVRPRDKVGAVLTGDLELSLTNGIACLRDATFIDNSKFVRSGKFRLGVMVIDNLGERVQEGITKPFTVKERRGEGYRKHDIPSLNDDVWRLKNISKDGPLYDALRGSGILCVKDFLRLYYKDQQALRTDLAIQWSKVAYKNMTYNQPDYEMDDDCKPRPINQGMFHGINMLESKFTDLIMQGHIGENTRNICQADNQQGTSGSHSQQCALKRLGSIRLTQNYDDESLDFNVYLDSSSEHCANTSATDITGLVTLHCPATVANGTTMSSIVLTQASLTMDDEVYNIPLTENDAAVPQFCEEQQPEGAHFGASLCAVGALSDSPLYSRHSSFKEPGCHDTPELGAEPAV >KQL16634 pep chromosome:Setaria_italica_v2.0:III:43182697:43186425:-1 gene:SETIT_021596mg transcript:KQL16634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNKRELEETGGGTPSLVPVLKKRCRSFDLEIRGCRHLQELAAAVKVNLEAALESAVARIPEEVAKALTSFLSRAPSLCRTLVDQNRPPRYKLTFLNGLGTEVFTKKNIFDTNGEPLKICIIANYQEESDPRFVSAKIRVVVLDGDFNRHNQECWTLEEFSNSIVRPRDKVGAVLTGDLELSLTNGIACLRDATFIDNSKFVRSGKFRLGVMVIDNLGERVQEGITKPFTVKERRGEGYRKHDIPSLNDDVWRLKNISKDGPLYDALRGSGILCVKDFLRLYYKDQQALRTILIKAKESAWTTIVEHAKKCDPGRELYSFLVEGYSVLLFFNSVYQIVGAKFDDNYSPFDDLENARKDLAIQWSKVAYKNMTYNQPDYEMDDDCKPRPINQGMFHGINMLESKFTDLIMQGHIGENTRNICQADNQQGTSGSHSQQCALKRLGSIRLTQNYDDESLDFNVYLDSSSEHCANTSATDITGLVTLHCPATVANGTTMSSIVLTQASLTMDDEVYNIPLTENDAAVPQFCEEQQPEGAHFGASLCAVGALSDSPLYSRHSSFKEPGCHDTPELGAEPAV >KQL17077 pep chromosome:Setaria_italica_v2.0:III:48098847:48102854:1 gene:SETIT_024838mg transcript:KQL17077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTSDSGVALQAAIDGNVRLLKKMASKVNLQEAKDAKGRNAHFAAAKGHLEVCRFLVEESGFDVNSTTPHGSARALSVRFFPCRPSVLRYLLDHGGDPAVPDCRRSVPLHDAVEEGHCEVVRLLLSKGVDVDPINYVGTPLHLAASKDQDLAVKILLEHGADPNRVVNHVFSPLFMAACCGQSLKCTKLLAEVKLFLNLIRFAVDDGLTEIVKFLLESGADPNIANEDGKIPIMWAGGHGNRELVEILFPWTKPIPSIPDWSVDGILRAMKYLHLEAQDAALVRERIADAKSHGKEAFAKGEYFAAVHYYGLALDKDPLDATLFANLSLCWLRMSEGERALADARKCKMMRPGWSKAWYREGAALSFLMNYKGAVIAFMQAQKLDPTSDEIKKALREAMEAMRSAARSEQ >KQL15146 pep chromosome:Setaria_italica_v2.0:III:17417253:17417656:1 gene:SETIT_024436mg transcript:KQL15146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSGSNGVHASAGGGGGGGGSSQYGGSGYGGGSGAGSGSGTYNQGRYSGYGESSNAGGTGGGGGGGQAGGYWGSSGQGSGSGTGSGSSYSNRYWYGPSYAGANANGNGGGNGNSQNGGSGGGAGGGSGYGNANP >KQL16821 pep chromosome:Setaria_italica_v2.0:III:45516522:45517367:-1 gene:SETIT_024861mg transcript:KQL16821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADGLHATTTMSSSSSITSTQRQSKKKPKPKHVKSHKAAAAAAGDHCQAREREATDGAMMCAEDEERNSGGASSGEHAASASCRSRKRGAAGRHPSYCGVRRRKWGVWVSEIREPRKASRIWLGTFPTAEMAARAHDVAALAVRGRAARLNFPQLAHQLPRPASASPADIQAAAAMAAAAGGVDAAALVGECEDDVVSPSAAETSSPPSSSSSSSCAAAGPPAGSRDDDDNAMTAALFDLPDDLLLDLRDGLWSSEYFWAAAPVAAGLEYHDGEEDVHGLY >KQL13817 pep chromosome:Setaria_italica_v2.0:III:6947904:6949748:-1 gene:SETIT_022441mg transcript:KQL13817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRVAAADDGAGAGAGVGRPRLFAVPRLLVGLGAARCGAAAPDCDSPTAARSPTSPLDLRPFAALGGSMLRSPRSPRSWDSHRVGLGGLVDDDALAEPAAGARNRLLGTQMRQPFKLPQRLSKSFTTQPRDCGQAAPPVLGNVGTAAGAGASGKPVLCSRSYGDVKSGPEVTVPGGAQPGASSHPADLGKLRASGSLPASIGGPRRYIGSVSATEVEQSEDYTCIIAHGPNPKTTRIYGDCILEPCTVRVSGGESMNAMEVKEGAESYWLVKCFDDGEAGEEILSSCVSCKKKLDGNDSCIYRGEKAFCSGNCRDNEILTEENSIAISSLSSASSSSSFNDDIFMAEMVVLTAPVDAYLP >KQL14968 pep chromosome:Setaria_italica_v2.0:III:15188922:15191407:1 gene:SETIT_023657mg transcript:KQL14968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGRSMLLSLLLFAVTLSLLEMYRAKFASSELMTIAGGFICSLLFLLLLTFIGNYQEASGDRTGWGAVVVAQLVALIVAGTVHRVCITTCFLFSAGLLYEVDKLSGMILARSESKVRRH >KQL14967 pep chromosome:Setaria_italica_v2.0:III:15188924:15191407:1 gene:SETIT_023657mg transcript:KQL14967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTGRSMLLSLLLFAVTLSLLEMYRAKFASSELMTIAGGFICSLLFLLLLTFIGNYQEASGDRTGWGAVVVAQLVALIVAGTVHRVCITTCFLFSAGLLYEVDKLSGMILARSESKVRRH >KQL16935 pep chromosome:Setaria_italica_v2.0:III:46841031:46841546:-1 gene:SETIT_024404mg transcript:KQL16935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPEKRRRRMMAATIPDDLLIQKSVGRCRCVCRSWRAGIASAAFVRRHLELSRASPPSVLAIPRKTDRFDERATSSEISFHRLPLPPPGQAQGILHWELLLEKAWPEGITCLILPAHCDGLVAIATVTDRVFVCNPATREFLALPLGSHNAELDHTEDLVPQ >KQL13230 pep chromosome:Setaria_italica_v2.0:III:3304567:3307188:-1 gene:SETIT_021318mg transcript:KQL13230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPAAAAGPAATTRTVASAVLRVQMALLDGAAASNEPLLHAAASALLSRADYDDVVTERTIADACGNPACPNPLPAATTAGGPRFHISLREHRVYDLEEARKFCSERCLVASAALAASLPADRPFGVPPERLDAVVALVECGGAGEGQGLGFRDADGKKDEGRKLEIKEKEVAGAGEVTLQDWVGPSDAIEGYVPRRDRTTEGQKPAKKNKVAGPELSGIENVDCRNAAPGEDGMAGSSPSAETHVSSEVIAEKMGNMVLSENTKTPRKMTTKTPSKMLKQEDDNNMLSSCISDSIEKQLEDVVLEEKRGAKKTKASKASSRSQKSKSRKRPGGSDGHEVDFTSTIIIGDASTNMEQGTMNQYNYFSSSILTDNYASSSQSGAKGPMQGYAEQLYREFSEAVSIGKDETSDEKMKPALKSSMKAPGSKSGSQSVTWADENGSVLETSKLYESPSSSIKQSEEGMDISLRRASAEACAAAFIEAAEAISSGTSEVDDAVSKAGIIILPDTLHPKQYSNEKSSGADEESEIDRDVLKWPKKTVLLDTDMFEVDDSWHDTPPEGFSLTVSILEEKKKN >KQL13231 pep chromosome:Setaria_italica_v2.0:III:3303292:3307188:-1 gene:SETIT_021318mg transcript:KQL13231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPAAAAGPAATTRTVASAVLRVQMALLDGAAASNEPLLHAAASALLSRADYDDVVTERTIADACGNPACPNPLPAATTAGGPRFHISLREHRVYDLEEARKFCSERCLVASAALAASLPADRPFGVPPERLDAVVALVECGGAGEGQGLGFRDADGKKDEGRKLEIKEKEVAGAGEVTLQDWVGPSDAIEGYVPRRDRTTEGQKPAKKNKVAGPELSGIENVDCRNAAPGEDGMAGSSPSAETHVSSEVIAEKMGNMVLSENTKTPRKMTTKTPSKMLKQEDDNNMLSSCISDSIEKQLEDVVLEEKRGAKKTKASKASSRSQKSKSRKRPGGSDGHEVDFTSTIIIGDASTNMEQGTMNQYNYFSSSILTDNYASSSQSGAKGPMQGYAEQLYREFSEAVSIGKDETSDEKMKPALKSSMKAPGSKSGSQSVTWADENGSVLETSKLYESPSSSIKQSEEGMDISLRRASAEACAAAFIEAAEAISSGTSEVDDAVSKAGIIILPDTLHPKQYSNEKSSGADEESEIDRDVLKWPKKTVLLDTDMFEVDDSWHDTPPEGFSLTLSGFATMWAALFGWISRASLAYVYGLDGCSVEDLLIANGREYPEKIVLKDGHSAEIRRALDTCVCNALPVLVSNLRLRIPVSKLEITLGYLIDTMSFFDPLPSLRSRQWQLVVLVMLDVLSIHQLPALAPVVSNSKLVQKMLNAAQVSREEYESMVDLFLPFGRSIQTFMQI >KQL14569 pep chromosome:Setaria_italica_v2.0:III:12049269:12050267:1 gene:SETIT_022343mg transcript:KQL14569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAICVEDEASCGAAECAGIEKLDLAGGGGGADGRAGAAGGKRSVYLMDCAPVWGCASTRGRSAEMEDACAAAPRFADVPVRLLASRRDLDGLGLDADALRLPAHLFGVFDGHGGAEVANYCRERLQVLLSQELGLLGDDLGEVGEADMKEHWDELFRKCFQRVDDEVSGRASRLVGGVPESRPVAAENVGSTAVVAVVCSSHLVVANCGDSRVVLCRGKEPVALSIDHKPDRKDERGRIEALGGKVIQWNGYRVSGILAMSRSIGMNAHSCA >KQL14570 pep chromosome:Setaria_italica_v2.0:III:12048991:12051829:1 gene:SETIT_022343mg transcript:KQL14570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAICVEDEASCGAAECAGIEKLDLAGGGGGADGRAGAAGGKRSVYLMDCAPVWGCASTRGRSAEMEDACAAAPRFADVPVRLLASRRDLDGLGLDADALRLPAHLFGVFDGHGGAEVANYCRERLQVLLSQELGLLGDDLGEVGEADMKEHWDELFRKCFQRVDDEVSGRASRLVGGVPESRPVAAENVGSTAVVAVVCSSHLVVANCGDSRVVLCRGKEPVALSIDHKPDRKDERGRIEALGGKVIQWNGYRVSGILAMSRSIGDRYLKPFVIPKPEVTVIPRAKDDDCLVLASDGLWDVVSNEEACKVARRQIQLWHKNNGVTTSLCDEGDESTDPAAQSAADYLMRLALKKGTEDNITVIVVDLKPRKKLKNNS >KQL15888 pep chromosome:Setaria_italica_v2.0:III:25015594:25017459:1 gene:SETIT_021965mg transcript:KQL15888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPPLSSSSGSTLCSSIAKKARFSPLLLALALFLLCFSFLYGEDLKELLGRQAQVASSHLIDVNTNSSRNVNNGGDGQPAAPPPEVADGRKTKRRWKGRLAFALNDEDEDEDEECDVFSGSWVRDDAGHPLYREEDCPYIHPQVTCQAHGRPDAAYQRWRWQPHDCTLPAFDAARLLESLRGKRMLFVGDSLGRGQFASMVCLLQAAIPDAGARSFWMSPDQQHTVFTARDYDNATVEFYWAPFLLESNADNAAVHRISERMVRRGSIGYHGRHWKGVDIVVFNTYLWWCTGLRFRILDGPWESARTKEAVSWVSTEEAYGMAFRDMLQWVRDNMDLNTTRVFFTSMSPTHGKSQDWGDAPGGNCYNETAMIEDEGYWGSDGRRSVMRVIREILDGDGADVPLTFLNITQLSMYRKDAHTSIYKRQWSEPTPEQRADPKTYADCVHWCLPGLPDTWNELLYSKLFYP >KQL16588 pep chromosome:Setaria_italica_v2.0:III:42731226:42733181:1 gene:SETIT_022831mg transcript:KQL16588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASQRSRSSACSPGAPVELDDDHGGAPEQADQLRRGPWTVDEDLTLVNYIADHGEGRWNSLARAAGLKRTGKSCRLRWLNYLRPDVKRGNFTADEQLLILDLHTRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLNCDANSKRFKDAMRYLWMPHHVDADDDHRRRLLQAQHQQHAADVAAAAAAAGGMVTSSSDSFATAESYDDVGALYDASVHAGEILVSGGGDWAQETAANQGLWPAAPDQSAAVQAAVGGGCQFQDPELSGWVQGFSEGITENFWALEDIWKIQ >KQL15742 pep chromosome:Setaria_italica_v2.0:III:23253950:23258981:-1 gene:SETIT_022010mg transcript:KQL15742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGGDRDQQQQAAGGAGAGVAAAEGRGRESVKLFVGQVPKQMTESELAAMFSRVALVDEVTVIRDRATRVSRGCCFLICPSREEADKAVTAYHNKCTLTGASSPLQVKYADGELERLEHKLFVGMLPKNVTDAEMTDLFSQYGNIKDLQILRGSQQASKAGCAFLKYETKEQAVAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQFQSSNMLNANAMQQTSLFGALQMGYVPQYNGFGYQPQGTYGLMQYPPLSPMQNQAAFQNMVQPVNQGSSIRGANSELSPNSAPRSFNSTQLGSPYSPLPGMQYPGAYPGGAINNRPFANSHNPIKVQNSNANSPTSSGASSNPGPQIEGPPGANLFIYHIPQEFGDHDLASAFQSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQTAISMMNGFQLGGKKLKVQLKRDNSKHSKPF >KQL12903 pep chromosome:Setaria_italica_v2.0:III:1552526:1558332:-1 gene:SETIT_022488mg transcript:KQL12903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRGKKRTAAQAAATDSSKPGAAAAGDSTKPEAAAKEPAARGRGRRPKAPPKPKAETEYFPEKRNLEDLWLSAFPIGTEWENIDKIKEFNWNFENLEKTLEEGGELYGKTVYLFGSTEPQLLDVNGESKIVLIPVVVAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALKHLKTERVKKFDYCMPYYMPLNPPEDEDDTVVNIIYPLEPPIVCDFDWEMDDYEDFIEEKVKDEVLPEDEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKTREAFENIKFYKFYPVKTPDTPDVSNVKAKYINRYYRNAHYLM >KQL13413 pep chromosome:Setaria_italica_v2.0:III:4329729:4333633:-1 gene:SETIT_022363mg transcript:KQL13413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYPAAAAGSGGYKYYYPPQHPQPQAVRRPPRPAARWVKQWIPQDLASTGGKCSLFKWVREDGHRSSKETPKVLEVDAPKPEPTTEILFLCSYENCGKTFVDVSALRKHAHVHNEKQYICSEPNCGKKFVDSSKLKRHYLTHTGQKDFVCPHPGCGKAFSLDFNLRSHLKTHALENYHICPFPACGKRFTSDSKLRVHVKSHEKTGTPVTVQHTPPAEKPHSTPKPSTPATTSFADRPYVCPYEGCDKAYIHSYKLNLHLKTQHPEHGQEENGKLSASAGHHGVNEPTYQYSYAEIGETASHPKRSKTHKSHSSKVYNAKISSVMPSNISGVKNQWSGKAGYEDDSEETEEDGGNNIEDDWRYGNNVDDEETQDED >KQL13259 pep chromosome:Setaria_italica_v2.0:III:3461488:3463424:1 gene:SETIT_022184mg transcript:KQL13259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTGAALRRSLPAASPAAARWRGKGRRRAPARVSCVGGGGFAEEGHLRYYEAAAPRRKAVEAVARDLAKLRAMGLVAGDAAKEKVLSEATDLLLQELNQMKDEEYKMKKAQKEEEKAAMKALKQQQKEAKQAVTAAMMNCEDESSSESSESDCEDEQAMNVELDMVISAAVPEVVAPGVSTVSAMECEKAAMKAMKKMEKERMKAMKKMEKEQKKAAKKAMKMEKEAKKMAMATLNGCRDEDDSSCSSESSDSECEGEVVRMSRCATITAPQMPSPSTVFPIIVPQIPPSLPSEPSQASEPATAMQVNSISSIAVAETSTTNRIEVCMGGKCKKSGALALLQEFEKTVGTGGAVVGCKCLGKCGLGPNVRLRSEVSAEGSSKRNPLCISVGLEDVGTIVAGLFGDVELGMTST >KQL13570 pep chromosome:Setaria_italica_v2.0:III:5297336:5300986:1 gene:SETIT_021930mg transcript:KQL13570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCWAAGRRSSAENAGAGGANNKPVAARAGKRVALGDITNVFRGRGRSSGAASSAPEAKLSSTKTVDVKNKGSFAILRDVKTEQIPVRKPTSDQFDWALSHHDSVLQKENASFPSVPSSPGLSEDSVSMEDAMSTCNSIESPDLEFLDDMDSSMAASLHCWTNDKLHISDSMEVAAFNWRKHSPSPMKAESISDLDNNYEDPQLCATLAYEIYENLREAETSKMPSTNFVETTQTDMSTTMRAVLIDWLVEVTEEYRLVPETLYLTVNYIDRYLSVKEISRNRLQLLGVACLLIAAKYEEICPPQVEELCYVTDDSYTKEEVLEMEASILNYLKFEMTVPTPKCFLRGFVDAAQVFDKDPTMHLEFLANYICELSLLEYSLLCYLPSLVAASSVFLARFILKPAKNPWNSSLSYYTQYTPSELRCCVRVLHRLFCFGPGRDLPAIREKYSQHKYKFVAKKYCPPSIPTEFFQDATS >KQL16789 pep chromosome:Setaria_italica_v2.0:III:45088565:45092788:1 gene:SETIT_021545mg transcript:KQL16789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGANFHQQPPPAQGMLPPRHGPRPSGLQTSLSLASSEQVGSPDMQEPGSNSDPGHDSATESASSRDTWPAEPNQSNGGTAATGIVSKAVEKEKEVANGVAKLQVIRGPSSRVGGMLLQEVARERVDLVAEKMKVMPEEHLEEIKNELRSILEGTGGSQHIEEFLYLQKLVQDRTDLTPSMLSVAHHVQLEILVAIKTGIQAFLHPSVTIPQGRLVEVFLYKRCRNIACQSALPAEDCRCNVCASRNGFCNLCMCVICNKFDFEVNTCRWIGCDFCSHWTHTDCAIHNGQIGMGQSVKSSIGHAEMLFRCRACQRTSELLGWVKDVFQQCAPGWDRDALLRELEFVCKIFRLSEDPKGRVLFRKCLDLIERLRNAPADSINPRVILQALQELEMDSPKISENEDSGRLITPQEACNRIAEVVQEAVRKMELVAEEKMRLYKKARLAVEACDRELEEKVREAQELKAERLRKKQQVEELESIVRLKQAEAEMFQLKASEARQEAERLQSIALAKSKTAEQDYASMYLKRRLEEAEAEKQFLFEKIKLQENQKPPPPHQASSSGAGGDPAQAMMLSKIQDLLKNVRSLPAKSEGH >KQL12745 pep chromosome:Setaria_italica_v2.0:III:670427:671997:-1 gene:SETIT_025101mg transcript:KQL12745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAAAVFLSSVIALLCLYHLLLPVPDPAAGPVGALRRAHRRAGRHQHHHVSSLESSNTTPLGSSSGEHPGLPDIETFRDALDRLPADWSGFDAELGPLGRYFGPAAPLGVRERLVYLFAILDRSPRDGGVSLAELEAWLRRHAAARLEAATRREMAKHDRNGDGAVALSEYREPGKPWGWLHKFATADGDGDGSLNAAELNDFLHPEDSSHETMQLWLLKDKLSFAVNFVWLGNSEMDHDGDRRLSLEEFVHLSHALDHISIAHHLGDHGLARAQAEKKFQDLDADMDNYLTVEEARPVIQSLLTGEFSYATSQAKLLMKADSDGDGKLSLDEMLNDYTSFYNIVYMDDHYDSEEVDGDYRDEL >KQL15410 pep chromosome:Setaria_italica_v2.0:III:19818701:19822755:1 gene:SETIT_021161mg transcript:KQL15410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRSSRLDAVDVSPAAALCRERRDLLRAAADRRAHLAAAHAAYFRALPRVADALARFASHHHAATPPGSPVLTLPRPSDHGGDDGDEPKKQRSASASGSATPHTDSGHSHIHFHSDDASDSDPGSSPDPCAAPGGCGGGGHGEIPPQPVPVLRSGVPLPDHGHGDPQERQIHQPGLAMPEMPWEYASYNPYPSFPNTAFQNATFPGYYYMKASSTPANTVYQEPNGYGNFATSSSYMGYNYGYTNPMYGVPLPPEGDQPVEDRGREPEPPAAPPPMPMPETSPWDFFNPFDSYDQELPQCKAKGYDSNGSFTSSPNSSEVRAREGIPELEEETEMESMRESVKARKAVESTASNRIDHVDVSAKVKVSMEHKEVDIESVDSASVLESGEESVCSCDCDHVNARTGGPVPPGDDQGKVKKVSSEDHSSIVLEDVRPPENIGTRDVAEVVQEIKEQFNSVAACGEDVARILEVGRMQYRSRNKVLRLIFSRMMGTFALLFSSLSEPPAKNLEQSAMNSSKRSQNLSKRFDFSSDVELNTLSATMDRLYVWEKRLHKEIMEEERLRITYDKEWKRLKELDASGAEPYKIDTTRASIRTLLTRINISIRSAKVISRRIHILRDDELHPHLVTLIQGLVRMWKFILECHRKQFHTILETKSHILIPKNGPERSSSKVTLELEMELLNWCFCFRNWILSQKAYIETLNGWLVKWLPQEKEETPDGIAPFSPGRLGAPAVFITANDWCQAMKRTSEASIVDTMEAFAVNVHILWERQDEEQQQKLKAEYLSRDFAKRLKSLQKEHGLQGQLEADNTVLPIADNGRAVDNRMVALDTLHKRLDEQRARHEETVKQIREASATDLKSGLAPIFEALESFTQETLRGYENVRITVGSGGA >KQL15944 pep chromosome:Setaria_italica_v2.0:III:25883372:25884615:1 gene:SETIT_022545mg transcript:KQL15944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDSARRKPPSLPWTVRIQTTAFALVHRVDGSIRRSLFSLGDRKKRATPRPDPSEFVRSADVGIDASLGIWARVFSFSPSAVAAADIPYPVVVFFHGGGFTLLSAASRTYDSFCRRLCREVGAVVVSVNYRLAPQHRFPAAYDDGVAALRYLDGTDLPADLVPVPVDLSSCFLAGDSSGGNMVHHVAQRWASMSAAASPPLRLRLAGAILIQPFFGGEERTVAELAFDKACRTLSMARADHYWREFLPEGATRDHPAARVCGEGVELAGTFPPAMVAVGGFDLLKDWHARYVDTLRRKGKMVRVVEYPDAFHGFYAFPELADSGKFMDDMKLFVNEHRCKRPV >KQL16615 pep chromosome:Setaria_italica_v2.0:III:42954346:42956142:-1 gene:SETIT_024827mg transcript:KQL16615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAREALQGGLELRVTVPEGASVTVEHEAAGGAAARAWAWLLACVAAAWGRVAGFAREVWRIGADDPRKVVHGLKVGLSLALVSIFYYTRPLYDGVGGAATWAIMTVVAVFEYTVGGSVQKSFNRAVATASAGVLALGVHWVADKTGEFEPYILTGSLFLLAAAATFSRFIPTVKAQFDYGVTIFILTYNLVAVSGYRVESVDELAALVQQRISTIAIGILTCLAVAIFVCPVWAGQELHLLTTRNMDKLAAALQGCVEHYFAEGPAAQPQARSDCDRCVLNSMAYEDEQVNLALWEPAHGRFGFCHSYDQYGKVGAAMRACACCVEALSSCASAETQAPEHVKRLLRDACTRAGARCAQVLREASRSVATMTASSRALDVAVADMNTAVHELQGDMRSLPSMLAETSLVMDTMPVFTVGSLMVEIAVRVQGIVDVVNKLAACF >KQL14998 pep chromosome:Setaria_italica_v2.0:III:15468170:15474299:-1 gene:SETIT_021116mg transcript:KQL14998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNNLTGSIPKEIGNVTTLKLILLNGNQLSGILPDEIGNLQNLNRLQVDQNQLFGPIPKSFANLRSVKHLHMNNNSLSGSIPSELSRLPLLLHLLVDNNNLSGPLPPEFAKAPALKIFQADNNNFSGSSIPTTYSNISTLLKLSLRNCSLQGAIPDLSSIPQLGYLDISWNQLTGSIPTNKLASNITTIDLSHNMLDGTIPKNFSGLPDLQILSLEDNNLNGSVPSIIWNDINLAGNRSLVLDFQNNSLNTIPATFDPPPNVTVMLYGNPVCRNTNGALVTNLCKPMSVNQQTSKKEQGSTFSCQPCPTDKNYEYNPSSPIPCFCAVPLGVGLRLKSPGITDFHPHEEAFGINLTSLLQLFLYQLNIEHYIWEVGPRLNMHMKLFPSNSSLFNISEIVRLRHVLAGWEITLSDVFGPYELLNFTLGSYADEFPNAASTGLSKAALGSILAGSIAGAIALSVVATTLMLRRRSRHRTVSKRSMSRFSVKIDGVRCFAFEEMAIATNNFDISAQVGQGGYGKVYKGFLADGAIVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMSNGTLRDHLSAKSKRPLSFGLRLKIALGASKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVEGTLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVNSACQSGNISGIIDGRMGLCPPECVSRFLSLATKCCQDETDDRPSMWEIVRELELILRMMPKEDLVLLETSETDSTDVSKSLSASASGTLFISSQVSGSLDASSGMISGRVTPR >KQL14999 pep chromosome:Setaria_italica_v2.0:III:15468170:15474899:-1 gene:SETIT_021116mg transcript:KQL14999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGGVFSAVILVVLLPCLDVALGQSTDTSEVNALRAIKGRLVDPMNNLKNWNRGDPCRSNWTGVFCHKVNDDTYLHVTELQLFNRNLSGSLAPEVSLLSRLKTLDFMWNNLTGSIPKEIGNVTTLKLILLNGNQLSGILPDEIGNLQNLNRLQVDQNQLFGPIPKSFANLRSVKHLHMNNNSLSGSIPSELSRLPLLLHLLVDNNNLSGPLPPEFAKAPALKIFQADNNNFSGSSIPTTYSNISTLLKLSLRNCSLQGAIPDLSSIPQLGYLDISWNQLTGSIPTNKLASNITTIDLSHNMLDGTIPKNFSGLPDLQILSLEDNNLNGSVPSIIWNDINLAGNRSLVLDFQNNSLNTIPATFDPPPNVTVMLYGNPVCRNTNGALVTNLCKPMSVNQQTSKKEQGSTFSCQPCPTDKNYEYNPSSPIPCFCAVPLGVGLRLKSPGITDFHPHEEAFGINLTSLLQLFLYQLNIEHYIWEVGPRLNMHMKLFPSNSSLFNISEIVRLRHVLAGWEITLSDVFGPYELLNFTLGSYADEFPNAASTGLSKAALGSILAGSIAGAIALSVVATTLMLRRRSRHRTVSKRSMSRFSVKIDGVRCFAFEEMAIATNNFDISAQVGQGGYGKVYKGFLADGAIVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMSNGTLRDHLSAKSKRPLSFGLRLKIALGASKGILYLHTEADPPIFHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVEGTLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLEMLTGMKPIEHGKNIVREVNSACQSGNISGIIDGRMGLCPPECVSRFLSLATKCCQDETDDRPSMWEIVRELELILRMMPKEDLVLLETSETDSTDVSKSLSASASGTLFISSQVSGSLDASSGMISGRVTPR >KQL13204 pep chromosome:Setaria_italica_v2.0:III:3166976:3167521:-1 gene:SETIT_023651mg transcript:KQL13204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAELMYQLLQCKFDSTNLISFSNQIRRSALNLMMYNVDKSTAVPAAAAMVGLAREAKIAHYVLAREDFEHYLDYEVCDMIREGTTKVLTKLEREFASDTSGHSGVLGASTTHKSEEPEGAV >KQL13520 pep chromosome:Setaria_italica_v2.0:III:4959166:4959528:-1 gene:SETIT_024887mg transcript:KQL13520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTTPFGLAVAAALVFAIAMPALAAAQAPAPAPTSDGTSIDQGIAYLLMIVALVLTYLIHPLDASSPYKLF >KQL13928 pep chromosome:Setaria_italica_v2.0:III:7764950:7765254:1 gene:SETIT_023864mg transcript:KQL13928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPISYGKLKNNILKQVMFLKDGEAAYLLWEVNKQQINIGNEKILHLSKQHWFAVTDLSKHGINTTSSSSSLENMCR >KQL16165 pep chromosome:Setaria_italica_v2.0:III:32626287:32627345:1 gene:SETIT_024654mg transcript:KQL16165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDFYKKRQEKDSGVTKYILKHPSLNYDDRKRLEVFIGNRLPVFGGVTGYIGIALICSVITPWIFHEIKFHHLVLLFISIPVFTFSNTYGTGLTDWSVAPTYAKFVLFLVAAWFSKPGAVIAGIAACAVAMMCLNISSQAVQDHRTGYMTLTSPRVVFAGHIYGILIGSVINPLIFLFFELNAKKTAPIGTKKSEYPCPSAAMYRAIGLLGMGGVKELPKHCLTFCFITVLITIALEIVRLVSQRKDWKLQYYIPCMTAIALPFLSGPTFTVDMTLGSILLIIWTKVNRQSAEILSSAVAAGLVSGDGIWYLPSALLGLFNVEPPMCMKFLASGKEVQIADAFLNTLGHKE >KQL13324 pep chromosome:Setaria_italica_v2.0:III:3805787:3808895:1 gene:SETIT_021424mg transcript:KQL13324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLRSLLRAASPSLRRSSGRLAASGEAVAAAADLATPSAWLLASGAGADGVWRRAMSTKGRSMRSKVEKRMARETGRTQRELRRAVKLRKKLMTEDEKLIYNLRRAKKKVALLLQKLKKYELPELPAPRHDPELLTAEQLQAYKKIGFRNRNYVPVGVRGVFGGVVQNMHMHWKFHETVQVCCDNFPKEKIKEMAAMLTRLSGGIVINIHNTKTIIMFRGRNYRQPKNLIPFNTLTKRKALFKARFEQALESQKLNIKKIETQLRRKGINPEDPVAMASIQRVASTFFRAIDEQQGTPYVFRGDTDHSAGTTEIKEPHDQPSEDSDQEELDRFISEIESAAEKQWEEEEAAEKEESSRMRYLERDEVGERRGFNRSYENSDYEDRGQGRYRRENNNKRTSDARRWDDDSEVEASGEEWDSGDDGDDRNVLGFDNDRDSPDEHPRRFESMRNGKSRSSGREDFVPRGEVGERRAFNSRYDNSDVQDRGQGRYRRDNNKRTSGARKWDDDSEIDASGEEWDSGDDTDNFLGFNNDSGAPDDHPQRFKSTRNEKSRSSGRQNSIPGGFRSSNWTPGNSLAASDSTTFRDSNDDGLGTEDDDLWDSDYKGGETNSRAPKVNFPNFHSSSDEGSNDDFKHGDSIGKTKKNTDESWDSD >KQL13068 pep chromosome:Setaria_italica_v2.0:III:2376233:2378727:-1 gene:SETIT_025529mg transcript:KQL13068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVGGLRRLLAAAATAGAAEARAAIFGHALNPTGKRAATKLLRKKMVGDQVAQWYPYDIKRDDPLVMAREEKERLSKLEMLKRRGKGPPKKGQGRRAVKRSK >KQL15218 pep chromosome:Setaria_italica_v2.0:III:17834325:17836468:-1 gene:SETIT_023133mg transcript:KQL15218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGQAGGWLLDYGLVEEEIQGSEFMYMVDDPAVSSVILGFDAPRKEDGVQDNPGAKKRSRPESSAPPGTKACREKLRRDRLNERFNELCAILEPGKPPKADKVAILSDAARLLSQLRAEAQKLKQSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVSAAAAPAPFVPHPAATGPSFHPAAFVQAGKFVTYPSYAPPPAFWQWIPPTSLDTSKDPAHWPPVA >KQL15216 pep chromosome:Setaria_italica_v2.0:III:17834325:17835169:-1 gene:SETIT_023133mg transcript:KQL15216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYSRFNELCAILEPGKPPKADKVAILSDAARLLSQLRAEAQKLKQSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVSAAAAPAPFVPHPAATGPSFHPAAFVQAGKFVTYPSYAPPPAFWQWIPPTSLDTSKDPAHWPPVA >KQL15217 pep chromosome:Setaria_italica_v2.0:III:17834596:17836082:-1 gene:SETIT_023133mg transcript:KQL15217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVILGFDAPRKEDGVQDNPGAKKRSRPESSAPPGTKACREKLRRDRLNERFNELCAILEPGKPPKADKVAILSDAARLLSQLRAEAQKLKQSNESLQDSIKSLKAEKSELRDEKTRLKAERERLEQMLKGVSAAAAPAPFVPHPAATGPSFHPAAFVQAGKFVTYPSYAPPPAFWQWIPPTSLDTSKDPAHWPPVA >KQL16706 pep chromosome:Setaria_italica_v2.0:III:44268657:44274671:1 gene:SETIT_021152mg transcript:KQL16706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFVFGNEGPESKEDSYVEKYLDRISNGTIPDDRRSAMTELQSLVAESRSAQMSFGAMGFPILLNVLKEGREDVELVRGALETFVSALTPIETSQGPKTEVQPASVNSDLLSRETENISLLLSLLSEEDFYVRYYTIQLLTALLTNSLKRLQEAILLIPRGITVLMDMLMDREVIRNEALLLLTYLTRDAEEIQKIVVFEGVFEKIFSIIREEGYSDGGVVVQDCLELLNNLIRHSSSNQMLLKETMGFDPLISILKIRRGSAFNFTQQKTVNLLGALNTVELLLMGGPSGESGKDANKNANQAALAQKNILDHLLLLGVESQWAPVALRCMALRCIGNLVLRNPQNLDSLAKKQVGEEPHVQPALNAILSIVLRTSIAQEFVAADYVFKCFCEKNPNGQALLASTIVPHPNQGDTHGPASDMPFGSILLQALVSSDANGDMEACCRASSVLSHIIKENLQSKDRVLQIQLETPTPSLGRTEPLLHRIVTCLSIAASADGENDQSSHPEESYIQPVILRLLIVWLVDCSNAVNCLLESAVHLNYVLELASSKRYTACVRGLAAVVLGACILYNASREKGRDAFAVADAISQKIGLTTYFLRFDELRKSLAHPSSEQQHRKELSRSSLNSMSDFQEIEEDETNKDDQHPVLSEIFDPQFVNFLSKLEADIRENIMDIFSRTKTATAVLPAELEQKNGEVDGEYIKRLKSFVEKQCNEMQELLARNAMLAEELVKTGGGNTADTAQKPSSGRERVQIEALRQELEGAKRQIEALKIEKSQIEAEANNQRNLAVKVESDLKSLSDAYNSLEQANYRLDAEVKTLRQGGSVPYPDVEAIKAQAKEEAEKDSEAELNDLLVCLGQEQTKVEKLSARLAELGEDADTLLQGIGDDTAIPDDDDEDEEDE >KQL16208 pep chromosome:Setaria_italica_v2.0:III:34327628:34327792:-1 gene:SETIT_0242491mg transcript:KQL16208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPPVHPSNSSSSPFRLFSFDPTRARARHHRTQSTPLPCFLPVRRPQDHRTISLPS >KQL16536 pep chromosome:Setaria_italica_v2.0:III:42172862:42173418:1 gene:SETIT_025439mg transcript:KQL16536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTPKRRHVEAAVERDMLVSPSPQSPSPPIPYLPVPTDSSTFLGRRK >KQL13789 pep chromosome:Setaria_italica_v2.0:III:6743348:6745887:-1 gene:SETIT_024089mg transcript:KQL13789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAAAGDGEDSRWRRSSTDCVSFVASRFACTKGANCEFRHCEGARFNPSCWYWFQGNCVNPSCTFRHPPLESLNQTKPLADPLLPYASASLKAASPCYFYYNSYCKKGGNCPFLHEPPTFNSVVGTCSGATTSNIAAHGNSAGDEKIESSKFALANPCQGSPERIRNHHSKGVSESTSTLFNGAASNAPETSIDAVGYMKSSTPSDQSSGDPAMEHAEQDESRDSSPGFDVLVDDGLPNKIDLEHQLAQERGTDVLNVENYIGDPVVYGLDYHNAEYQEQGLSDFERGCYLEGVHGHSCLTTLRHIPHIRSDHVNSNSEEHGKKFFNPRSLMGSRADFDHQNTQIGHISKQRPERRRSAKGKNGRTKRHRTHEPRNGSEEIEQRPTHDMHNSLMGDCSRSLVCATFRGQKKKSRRKQHHAHSARSSNYTNANAKHIDDPENFTGPKSLAQIKEEKCRSRPSFSHPTVHVAHGRSFSNDFEGPKSLSELLKAKGRTPVG >KQL15068 pep chromosome:Setaria_italica_v2.0:III:16272560:16276321:-1 gene:SETIT_024505mg transcript:KQL15068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDLKLSAVFCLLAVASLPLLAVADCECEASTDEDSDKARALTLKIVAIFCILVASSVGCAIPSLGRRFPALRPDTDLFIAVKAFAAGVILATAFVHILPDAFEKLGSPCLVDGPWQKFPFTGLVAMLAAIATLVVDTIATGYFQRAHSAKTAAAPVIGDVEASDHAHGGHGHAHGVVSVMASTSNADGGGAQLIRHRVIAQVLELGIIVHSVIIGMSVGASESPSTIRPLVAALTFHQFFEGIGLGGCIVQAKFRLKSMLTMALFFSLTTPVGVVIGIGISSTYNENSPRALIVEGVLNAAAAGILNYMALVDLLAEDFMNPRVQNNGRLQVIVSVSLLVGAALMSMLAIWA >KQL15202 pep chromosome:Setaria_italica_v2.0:III:17779125:17782403:1 gene:SETIT_022734mg transcript:KQL15202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESFVLNTGATIPSVGLGVWQIKPEAVGDAIYAAVKAGYRHIDCAAAYSNEKEVGLALKKLFEDGVVKREDMFITSKLWSAYHAPEDVPEAIGTTLQDLQLDYLDLYLIHGPFRIKKGTTMGPENLIPTDIPATWGAMEELYHSGKARAIGVSNFSCKKLEDLFAVACVPPAVNQVECHPVWQQDKLRKLCQSKGVHLSAFSPLGSPGSPGVNGPSVLNDPIVVSVAEKLQKTPAQVVLRWGIQMGHSVLPKSTNEARIKENINIFDWSIPEDLMAKFSEIKQVRLLRAEFAVHPRSGCNTLEDLWDGEI >KQL15201 pep chromosome:Setaria_italica_v2.0:III:17779125:17782403:1 gene:SETIT_022734mg transcript:KQL15201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWLSVKICLSPLSCGPLIMHLKMCQKQLIHGPFRIKKGTTMGPENLIPTDIPATWGAMEELYHSGKARAIGVSNFSCKKLEDLFAVACVPPAVNQVECHPVWQQDKLRKLCQSKGVHLSAFSPLGSPGSPGVNGPSVLNDPIVVSVAEKLQKTPAQVVLRWGIQMGHSVLPKSTNEARIKENINIFDWSIPEDLMAKFSEIKQVRLLRAEFAVHPRSGCNTLEDLWDGEI >KQL16432 pep chromosome:Setaria_italica_v2.0:III:39902632:39904816:-1 gene:SETIT_022840mg transcript:KQL16432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKDGKHDTAATAPAAPAGAPPAAPAGAPQPTAPSAAGQPQPPEAVRWGTRQMGPPAAPGAHPENQEAARWTAARGDQELPPYVIMGEPVAAPAQQQRGKGDSPMEHILDFFNTWSRKAEELASNIWFNLKTAPSMSDAAMGKLSLGAKALSEGGFDKLYKQTFSSGPEEHLKKTFACYLSTATGPVAGTLYLTNMNVAFCSDRPLSFAAPSGQTAWSYYKVMIPLAKVAAVEPVTMKQNPPEKYVHVVTVDSHDFWFMGFVSYDKAVHHLTEAVSHRSQHGVAGATAAAGTK >KQL15436 pep chromosome:Setaria_italica_v2.0:III:20146089:20146637:1 gene:SETIT_025611mg transcript:KQL15436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTIYKNVRMCRQNCLFQIPESISLKKNYKLLIVQFFNHII >KQL16213 pep chromosome:Setaria_italica_v2.0:III:34436933:34440864:1 gene:SETIT_024467mg transcript:KQL16213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKACSTSCVDADDSISIIRSVLFWFSVFRFCHLKAATDNFSFENEIGHGGSATVYKGKIHGRLVAIKRYSTSGSISYRKGQARCFEAEIYCLLKFQHINIVKLLGYCTERREMILVYEYIPNGGLDKYISGAGTGMLLDWTRRFSIILGIAQGVAYLHKYCGVTILHRDLKPSNVLLDSDMNPKITDFGIARLLGSALNEEVIEGTLGYVAPEYLLVGRASTKSDIYSFGVILIEMIMGKRSFKPSCQRPLHGATEHYAWELWTAGRSLELVDPSLRDEDRVAEILRCIQIGLLCVEPRPEDRPTMPDVIVMLSSESTILPSPKRIGYEVAEGGRRSYLI >KQL16318 pep chromosome:Setaria_italica_v2.0:III:37232706:37235854:-1 gene:SETIT_021151mg transcript:KQL16318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGGAGDSWEYSLRKYLLLLASLVATVTYGAAFNPPGGVWQDDDPAKGRIAGDPIIQQTNHPRYLVFFYGNATAFASSLVVIVLILILSILHDRGGISLAPVLAILRLVMVLDLLSLMVAYAAGTFRDVFTAVYSLLLLAGVVAYLLLHLAPGKGEDESPEHAGESEAERADKSALLRLRKVLMLLATFAVSVTYVAGLSAPGGFWDNDDGGQRPGRAILKGGRHDARLKAFFVFNTTAFVASLLIIIILLDKKLTFSKNLRSVELYVFIAVTLIGLVGAYSAGSCRQIDTTIYVNSLVGAVIGFILVQAAIVKFCKDAITNSCLWKQLKRIRDKVSGCLCGTEQSCLEQNTQRQPNTGNSNGSAKQQEHQVLEKARSLVLLLSTLAAAITYQAGLNPPGGLWQDDDAGGGYKNGDPILLTVNPRRYKAFYYCNSIAFVASLVAIILARTNALHHHNALEAAMILDLFGLIGAYAAGSCRDVSTSIYAMALAGAVLVYVVIHVVFLTLGNKDGSTKGNDEASLEKEKTAEELMEKRRKRLLLFAILAATITYQAGLTPPGGFLDNDDQVRKRLAGDPVLLNNDPRRYTAFFYCNSVSFMLSIALIILLVNPNLYRPAIRSNALSVCTAAGLIGIMGGYAAGCTQHLKTSIYIFVLAAVVLGSVVLLVAVFFVKNLIKQGEDKPSNSSHIASLPSAEEGGGANNATRAEQAPSQVRGNSSNTAAQVRREKKLHAKRKYLMLLGILAASVTYQAGLAPPGGFWQSNGSGHAAGDPVMHDNRRSRYLAFFYSNSTSFVASVVVIVLLLPPSLQNKPLWLWVMNATIVLDLLGLLIAYAAGSSRTLKTSMIVSTLVFGVLVYFAIHVLLSHFSRRRKTAPAASASGAEPNEEANGGLQAQMGPGHERS >KQL16855 pep chromosome:Setaria_italica_v2.0:III:45963627:45965847:1 gene:SETIT_025345mg transcript:KQL16855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPLRSFCLHRIRSAGGGAASTAAPPSICGANKEVSSSDDGGDSKSVMDEEVKKGGNGNEAAAVVVGRKVMVAADGGSEESRTALQWALSHAVRPCDTLVLLEVVRGGGGNGKNRRDPRGCQHLEAMRSICQAKRPEVRVEVSVVEGKDRGPAIVEAARKLGVSLLVVGQKKRSVTWRLLSMWMAGAAAGAGGSAADYCVQHAACMALAVRRKSRRGGGYLITTRRQRDFWLLA >KQL17417 pep chromosome:Setaria_italica_v2.0:III:50321936:50328527:1 gene:SETIT_022082mg transcript:KQL17417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRHVSLPDAFKLVLGKDQDQWPPAATLIVAAYYGDIRRLKEIAKSMDVEGKGLQETLAHANFLGTYALHAVCDSGNMPTLQYLVEDLNMDVNKPDTMGDLTPAMHAVLYGKLPALRFLADHGANLHQQPKGITLLHAAAEGGRPEIFKFLLSRGVRADVESTSPLTSFTPLFLATCGGYASILEILLEQNADPNVRMGDQVTPISFALRHSSVPCLKLLVQAGADVNGFGSYNPLAKAAKKGLTEAIKCLLEAGADPNVPDSDDEFVKKKKSELKRQGTDAFRNEDYLKALEFYTQALKVDQFDATLFSNRSICWLRLGDGMKALYDAIECKNLRPKWAKAYYRKGAALMFMKDYDSAYDALSRGLELDPESEEMETLLWEAMELK >KQL17418 pep chromosome:Setaria_italica_v2.0:III:50321936:50328527:1 gene:SETIT_022082mg transcript:KQL17418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRHVSLPDAFKLVLGKDQDQWPPAATLIVAAYYGDIRRLKEIAKSMDVEGKGLQETLAHANFLGTYALHAVCDSGNMPTLQYLVEDLNMDVNKPDTMGDLTPAMHAVLYGKLPALRFLADHGANLHQQPKGITLLHAAAEGGRPEIFKFLLSRGVRADVESTSPLTSFTPLFLATCGGYASILEILLEQNADPNVRMGDQVTPISFALRHSSVPCLKLLVQAGADVNGFGSYNPLAKAAKKGLTEAIKCLLEAGADPNVPDSFGRLPIELAAEYGTWEDVELLFPVTSKIPTVADWSVNGIISHVYLEVMQLQDDEFVKKKKSELKRQGTDAFRNEDYLKALEFYTQALKVDQFDATLFSNRSICWLRLGDGMKALYDAIECKNLRPKWAKAYYRKGAALMFMKDYDSAYDALSRGLELDPESEEMETLLWEAMELK >KQL12607 pep chromosome:Setaria_italica_v2.0:III:32481:34154:1 gene:SETIT_022853mg transcript:KQL12607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNTQDKTHIRSNHGWMGGGGGRHATRTRSWRSSRSREQCQARERRSVATTRRGPCEWAWAWKSTGRRGRASASFSGLASPKTAAGIVMPGGAAISAGKASLYFQSSAFRAGSIRHRRGKRRNLVIGGMASGSQDKSLETARLLLEETSPAGAEAEADLPSGFYDAFVLRGIRVAQALQPGTLLCHFTVPSRLLNSGGFLHGGATASLVDLVASAAFTTAGLRTRGSPLEMNISYLDAAFADEEIDIEAKVLRAGKAVGVAVVELKKKSGKIIAQARYSKYLGAASSKL >KQL12608 pep chromosome:Setaria_italica_v2.0:III:32481:34257:1 gene:SETIT_022853mg transcript:KQL12608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNTQDKTHIRSNHGWMGGGGGRHATRTRSWRSSRSREQCQARERRSVATTRRGPCEWAWAWKSTGRRGRASASFSGLASPKTAAGIVMPGGAAISAGKASLYFQSSAFRAGSIRHRRGKRRNLVIGGMASGSQDKSLETARLLLEETSPAGAEAEADLPSGFYDAFVLRGIRVAQALQPGTLLCHFTVPSRLLNSGGFLHGGATASLVDLVASAAFTTAGLRTRGSPLEMNISYLDAAFADEEIDIEAKVLRAGKAVGVAVVELKKKSGKIIAQARYSKYLGAASSKL >KQL15549 pep chromosome:Setaria_italica_v2.0:III:21262351:21262791:-1 gene:SETIT_025693mg transcript:KQL15549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALGGKHWTNFRQNNCRKLARVPFSIFVWCLPYARICP >KQL16774 pep chromosome:Setaria_italica_v2.0:III:44993283:44996978:1 gene:SETIT_021494mg transcript:KQL16774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKAKGFMTARWVASEVCEAGKAKRTPALGFGPFAGPKTRSTESQHRLVQMKCQEKRKKKKGKEKSYKDTASPRPRRRFPKPPPSSPDPAAAAALAPSLLAVDRLPPRHSPRRASVQIQVRFSPTRPRPSYYFSSSLPSSAPPGVLLACAAAARRGAERRSVRPPSGRASVILPFASAPSREMFPLPVPSEPRSPGEEAVEFSVEKVAVDAKQSAVDVGGKTYVIGRSDDDSKPSIIVKILDKLTQTWVVPTVLGTQPTLTKSQSAVPVNDEKILIIEKGVPLNESIWFLEIDTPFVKQQRKIKGTEVVSWNKGVIGVGQKPVVISGPSGVGKGTLIAKLMKDYPSKFGFSVSHTTRSPREKEIDGIHYHFTERSKIDKDISEGKFLEFAHVHGNVYGTSIEAVESVTDEGKRCILDIDVQGARSVRASSLEAIFIFVCPPSFEELEKRLRARGTETEEQIQKRLRNARAELDQSNSPGLFDHLLVNDDLETCYENLKKLLSLDDDQEDTDLCKFHELCCVHFLLMLWCTFSDSKFHYRQLSRMANKPQVTLLCPKMTQKSCFNLKLMILKMELQACWRLICLLSRAVHQDEQGALRYAQLTPFDNSLFGCFR >KQL15111 pep chromosome:Setaria_italica_v2.0:III:17130036:17132910:1 gene:SETIT_021193mg transcript:KQL15111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTTQSKWLETTVGEMEDRVQAMLKLIGADADSFGKKAELYFRSRPELINHVEEMFRSYQALADRFDRISSELHKANHTIATVFPDQVQFSMQEGDGEGFPKAIGGIDLSNFKFPALEGLSMGSQSASRGTSPVPKRGTQSHRRAISNMTKEKAQEEIDKLQKQILALQTEKEFLKTSYDSALGKYLEIEKQVSELQEEVCSLQDAFSTGAAIEDNEARALMAAQAIVSCEDTLVNLQDQQKRSTDEAKVEFRRANEAIEKLKTFKNECGLPHAQMDGHDHHDTELSHALLSEDADDSAVNESKLDLQEICQNVKEIIERYPELSVAELADKVDRLVEKVINLELATTSQNAQIDRMRTEIDGLQERLHALEQEKAALVVDSSNLADRLKKVEEMLQEVQQIWKSVQNGTENICKQMTEASHELTEFVETLHAPEPEISDFVDSMRDSKDIASLEDDSGLASLSIKKGQSSALHGSSEIEKCDEISDGTVAQKQLVPKEPEGEEKILLEDYASVFQSYKDTEQKLSEMERRNQEYHIEAISELKELKSANATKDEEIHSLRRMLSSLQKKMTASITESIEKSEETSKISTSPATEDKEVAEIEEYIKQCQEKFRTEIDRVLGENLDFWLRFSTSYHQIQNFQTSFDKLKTEMRKLTDEQEQGGTYGFAASYQVAKLESAVLEKKFRDLNTDLQVWMEKNVLLKGELENRFSSLCSIQEDISKITTLDKSDEVHFNPFQAAKFQGEVLNMKQENNKVAKELEAGLDHVRGFQVEVGRVLLKLRENLELSIARSHRAQQNFRNLSTKAGVPLRTFLFGSKPKRPSLFSCMGPGVHKQHGGSKAGRR >KQL15198 pep chromosome:Setaria_italica_v2.0:III:17754366:17759752:-1 gene:SETIT_025131mg transcript:KQL15198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIEFFEGPPSFTDLVDRVMRKYGCRVDEMSLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVVCLEVIVEIVRMPGPNVVLREEVTVVNRNGETECAFDLAIANDDFPNNIFERDEANIDDDNVSMGFEDYEFEEDGVVGVEDISMVHKAICESSMVNSEGTSFGESPMIKKGMKFNSLEELKPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTRQWRISNPKRVHVQCTTKYLGRHILGIIRKDSEASVPSLVESIFTFSGYRVKYSKAWRAKQHAVALLWGDSKESYGMVPRVLTAIAYYNPGHILQRVFLCFPQCSEAFQHCRPVILVDGTFLTEKYKGTLMMAVGVDPEHQLVPLAFALAESENNESCSWFMKLVRQHVLGPSRLVCMISDRHHGLLNCVKEHMDGFPPLVHRWCTRHFAANMLRCQKRDRVIGKLKTLCKVHTEREFSEKLEDLVTELNDDAKEWLKGEMEDKDKWAQAFHEGGRRWGIMTANYSESLNVVFKGIRSRPISGIIEYSFEKCNTYFVDRWQKARAMLDEGYRIGKVADDYLSQAELRSVHHLAEPYGPERMVYSIRSCGTTNVRGESHGGRHYRVDLNEVSCTYNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTVRIWESSFQPYLDPSQWSAYEGVEYVPNPNLMRNKIGRRQKKRFTGDMHVSQGRLSADYGTGDFDVDKMAAPAYPLLESVYDLQHRAHHLADQHEDLKPLRARVHSPLRWDERYAEYLQRVGFLDIAVQVVTGVPPMDGPLLTAMVDRWRPETHTFHLMFGEMTITMQDVAKILGLPLEGHPMTGIIQNENWRDMVAMHIGIRPPEPEDRDNSKKTSGPWHRNDAHLTFYHVWKHVQPVRGNPDRCYRAYTDELDVVTQHQVICSPYQIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHRIDRRKRYKENDCRVKHAQYLILWENRQRCDPEGGPYWRAGPNNEYIRWYCALMRTKVKPSWSNVPIEDAPSDSSDDIADVYDTVTCYGTQPERAPLHDYMGQQLARLANEAGVVMERAVGSGDGVLRQFAEANEDSEGEQSEDDNPTYGEELEISGMIDAPPATQTQGESSQ >KQL15415 pep chromosome:Setaria_italica_v2.0:III:19861079:19863296:-1 gene:SETIT_021862mg transcript:KQL15415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENVVPKHCYPAAAAAAMEVTSVELGHTAASKCYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPTVMLLFSFVTYYTSALLADCYRSGDPCTGKRNYTYMDAVNANLSGIKVQICGFLQYANIVGVAIGYTIAASISMLAIKKANCFHVEGHGDPCSISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGIVQVVANKGVQGSLTGISIGAVTPIDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATVVSVAVTTLFYMLCGCMGYAAFGDNAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAKQKWPKSKYITGEVDVPLSLSGSAGRCYKLNLFRLTWRTAFVVATTVISMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVQKKVPRWSTRWVCLQMLSLACLIITVASAAGSVAGIISDLKVYKPFVTTY >KQL15414 pep chromosome:Setaria_italica_v2.0:III:19861079:19863290:-1 gene:SETIT_021862mg transcript:KQL15414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENVVPKHCYPAAAAAAMEVTSVELGHTAASKCYDDDGRLKRTGTMWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPTVMLLFSFVTYYTSALLADCYRSGDPCTGKRNYTYMDAVNANLSGIKVQICGFLQYANIVGVAIGYTIAASISMLAIKKANCFHVEGHGDPCSISSTPYMIIFGVAEIFFSQIPDFDQISWLSILAAVMSFTYSTIGLGLGIVQVVANKGVQGSLTGISIGAVTPIDKVWRSLQAFGDIAFAYSYSLILIEIQDTIRAPPPSESKVMRRATVVSVAVTTLFYMLCGCMGYAAFGDNAPGNLLTGFGFYEPFWLLDVANAAIVVHLVGAYQVYCQPLFAFVEKWAKQKWPKSKYITGEVDVPLSLSGSAGRCYKLNLFRLTWRTAFVVATTVISMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIVQKKVPRWSTRWVCLQMLSLACLIITVASAAGSVAGIISDLKVYKPFVTTY >KQL13645 pep chromosome:Setaria_italica_v2.0:III:5759881:5765274:-1 gene:SETIT_021907mg transcript:KQL13645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGTGEEAPPTPPATSLYVYGCPGCAIERKKESNKGIPYKELFYVAITTIASALPISSLFPFLYYMIEDLHVAKTEQDIGLYAGFLGASYFVGRFLSSLFWGLVADRIGRKPIIVFSVLSVVIFNTLFGVSTKYWMAITTRLVLGALNGMLAPIKAYSIEICRPEHHALGLSVVSTAWGIGLVVGPSIGGYLARPAQQYPNIFSDNSIFGRFPYLLPCLCISLFAAVVLVSCIWLPETLHKHKNIGSNEIEMSSDPRTPQTTDTHGGKSLYKNWPLMSSIIAYCVFTVHDTAYSEILSLWTISDRKYGGLSFSSKEVGQLLAVAGAGLMVYQLFIYRPLHKFMGCVNSCRASSALSIPLLAVFPFMTHLSGYRLGLALYFATIVKAALGITILTGTSLLQNYAVPQSQRGAANGIATTAMSFFKAIGPAGAGALFSWTQKRQHAAFFPGDQMLFLVLNVVQCIGLVMTFKPFLAVPQHYDLK >KQL13644 pep chromosome:Setaria_italica_v2.0:III:5759637:5765274:-1 gene:SETIT_021907mg transcript:KQL13644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGTGEEAPPTPPATSLYVYGCPGCAIERKKESNKGIPYKELFYVAITTIASALPISSLFPFLYYMIEDLHVAKTEQDIGLYAGFLGASYFVGRFLSSLFWGLVADRIGRKPIIVFSVLSVVIFNTLFGVSTKYWMAITTRLVLGALNGMLAPIKAYSIEICRPEHHALGLSVVSTAWGIGLVVGPSIGGYLARPAQQYPNIFSDNSIFGRFPYLLPCLCISLFAAVVLVSCIWLPETLHKHKNIGSNEIEMSSDPRTPQTTDTHGGKSLYKNWPLMSSIIAYCVFTVHDTAYSEILSLWTISDRKYGGLSFSSKEVGQLLAVAGAGLMVYQLFIYRPLHKFMGCVNSCRASSALSIPLLAVFPFMTHLSGYRLGLALYFATIVKAALGPQSQRGAANGIATTAMSFFKAIGPAGAGALFSWTQKRQHAAFFPGDQMLFLVLNVVQCIGLVMTFKPFLAVPQHYDLK >KQL14234 pep chromosome:Setaria_italica_v2.0:III:9797294:9805458:1 gene:SETIT_021027mg transcript:KQL14234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTTAAEVGGAADGAAGDVQIKGSKENGQPEQQQQQQPSGSEALEMPATLLPRDIDWSEHFSFFTSLGGFGGSSDGARGLTSIGLSNSESRPDSVTQRGLDNGAEERVEELTLKNCINTDVQPEVSAGGSSSSGDRPTAIKGLWGNFTRMAWRTSELASRENAAVSYGDVANLRAGDAFSRENMGMSLANNMISWNNDVSGKETPTSRVGNVNNEFMMPFRSQQLLLSARPNQNEHRPERDNAIKVSSFSNRILEQMRSKTVTPPSGVLGSPPNGKSKGKGVAYQGAREEVQAQANARPRVPSDKIPTIPTSMHDSMARVDPLLNGAGGNVSKSHCEGTSLRELIKPGRQTLSKFEKMNLFKQILDLVDKCHAQGYTLQHLRPSYFTIPSSNQVKYIGSYTAQDLPTSIKQDVTREDLGNRKRCLGQKIDHQESNGHRNSMLKYQKVGDQGSVAVRRPTHTFWTDQRGDNQNEDVNPGVLRPENYSYTVRERFKFVEPYGSNTSCAQHVSSSGNQQPAFELRNLEESWYMSPEELSQFKGTFPSNIYSLGVLLFELFCCSETWEVHCAAMSNLRQRILPPNFLSESPKEAGFCLWLLHPDPCSRPKARDILGCDLINEGRDLSLLEQTPVSISEDDTESSLLLNFLSQLKEEKEMQAAKLSADLGSLQTDITEVERRHSARMGFSLVDTDVLASSSALSGASVSASQDALLSGLVPSLCKSSIYEERVMRNLEQLENAYYSMRSTVDTCETNAIKRPDKEALRVRENFYQVCSDSDAMGEQTDRLGSFFDGLCKYARHSRFEVRGIMKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNNYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKVWSINQKNCIDTIRNVANVCCVQFSPYSSHMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNRTNCSGLSTDSCSLTLNGHTNEKGYLFTMDT >KQL14233 pep chromosome:Setaria_italica_v2.0:III:9797294:9805458:1 gene:SETIT_021027mg transcript:KQL14233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTTAAEVGGAADGAAGDVQIKGSKENGQPEQQQQQQPSGSEALEMPATLLPRDIDWSEHFSFFTSLGGFGGSSDGARGLTSIGLSNSESRPDSVTQRGLDNGAEERVEELTLKNCINTDVQPEVSAGGSSSSGDRPTAIKGLWGNFTRMAWRTSELASRENAAVSYGDVANLRAGDAFSRENMGMSLANNMISWNNDVSGKETPTSRVGNVNNEFMMPFRSQQLLLSARPNQNEHRPERDNAIKVSSFSNRILEQMRSKTVTPPSGVLGSPPNGKSKGKGVAYQGAREEVQAQANARPRVPSDKIPTIPTSMHDSMARVDPLLNGAGGNVSKSHCEGTSLRELIKPGRQTLSKFEKMNLFKQILDLVDKCHAQGYTLQHLRPSYFTIPSSNQVKYIGSYTAQDLPTSIKQDVTREDLGNRKRCLGQKIDHQESNGHRNSMLKYQKVGDQGSVAVRRPTHTFWTDQRGDNQNEDVNPGVLRPENYSYTVRERFKFVEPYGSNTSCAQHVSSSGNQQPAFELRNLEESWYMSPEELSQFKGTFPSNIYSLGVLLFELFCCSETWEVHCAAMSNLRQRILPPNFLSESPKEAGFCLWLLHPDPCSRPKARDILGCDLINEGRDLSLLEQTPVSISEDDTESSLLLNFLSQLKEEKEMQAAKLSADLGSLQTDITEVERRHSARMGFSLVDTDVLASSSALSGASVSASQDALLSGLVPSLCKSSIYEERVMRNLEQLENAYYSMRSTVDTCETNAIKRPDKEALRVRENFYQVCSDSDAMGEQTDRLGSFFDGLCKYARHSRFEVRGIMKNADILNSPNVICSLSFDRDEEYFAAAGVSKKIKIFEFDALLNDRVDIHYPLIEMPSKSKLSCVCWNNYIKNYLASTDYDGTVQLWDASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKVWSINQKNCIDTIRNVANVCCVQFSPYSSHMLAFGSADYKIYCYDLRNTRIPWCTISGHGKAVSYVRFLDPETLISASTDNTLKIWDLNRTNCSGLSTDSCSLTLNGHTNEKNFVGLSVHDGYITCGSETNEVFSYYKSFPMPITSHKFGSIDPITGQVTNEDSQQFVSSVCWRGKSNMVVAASSSGSIKVLELV >KQL14594 pep chromosome:Setaria_italica_v2.0:III:12243742:12249220:1 gene:SETIT_021385mg transcript:KQL14594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADREKAEAEAGEEYESDLDDAPLAALRRRDAASDDEGEEDDEDGGTPLRRIKAGSDADSDGQGAPEVYDEGAYEEGEEYEEYEEEVYGEFEEGGGGGRRVASEAVAAVGQEGEDGDVEKADEAGPGEEQEKKGNEPYAVPTTGAFYMHDDRFQEGRGRGRGRQRRIVNSRKLWSPKEDQAWVHDRFDEMDLHDFHGDNPKRNQGGRFRGRGGGPGGRTRGMGRGNFRGNRSRTYYHESSKNYSYVPKESHSYNDNTKNARHALPDNGKNRVSKPSRAHYDDVKNHDIVPKESRTYYGDAKSQKNTPRVVRGRGSKRYQPRLRSNADISSGQNNKSQGLEATSSNTNLGQNQAQTSNSQPEPVHPIKQTIASNLNSASPPFYPSRSSNQEFPVSQGGNAQLSSTLLRGKAFVPSVGHVEAAMKGMNRPALSSNGPFPAFPVSSNQANRDYVQPAHPVVQQNPVQSPSQAVPRMPAQMFGARFSNSNKISPVQPTISSDDTELSSPSGSKKFDSRLTVGQPGDQGEERTSFTYGGTHVLGATGAMGLTLGDQNFHGTPALLPVMRFGGQRPVGPGVPSIGMALPGFVSQQQLGLSNSEMTWLPILTGASGALGAPYGSPYISMDGSYYSRPSEQASSSGSIGEPSANNASSLLKPQEITEVASGEPSQRQNKPRRYSEMNFGQ >KQL14595 pep chromosome:Setaria_italica_v2.0:III:12243742:12249220:1 gene:SETIT_021385mg transcript:KQL14595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADREKAEAEAGEEYESDLDDAPLAALRRRDAASDDEGEEDDEDGGTPLRRIKAGSDADSDGQGAPEVYDEGAYEEGEEYEEYEEEVYGEFEEGGGGGRRVASEAVAAVGQEGEDGDVEKADEAGPGEEQEKKGNEPYAVPTTGAFYMHDDRFQEGRGRGRGRQRRIVNSRKLWSPKEDQAWVHDRFDEMDLHDFHGDNPKRNQGGRFRGRGGGPGGRTRGMGRGNFRGNRSRTYYHESSKNYSYVPKESHSYNDNTKNARHALPDNGKNRVSKPSRAHYDDVKNHDIVPKESRTYYGDAKSQKNTPRVVRGRGSKRYQPRLRSNADISSGQNNKSQGLEATSSNTNLGQNQAQTSNSQPEPVHPIKQTIASNLNSASPPFYPSRSSNQEFPVSQGGNAQLSSTLLRGKAFVPSVGHVEAAMKGMNRPALSSNGPFPAFPVSSNQANRDYVQPAHPVVQQNPVQSPSQAVPRMPAQMFGARFSNSNKISPVQPTISSDDTELSSPSGSKKFDSRLTVGQPGDQGEERTSFTYGGTHVLGATGAMGLTLGDQNFHGTPALLPVMRFGGQRPVGPGVPSIGMALPGFVSQQQLGLSNSEMTWLPILTGASGALGAPYGSPYISMDGSYYSRPSEQASSSGSIGEPSANNASSLLKPQEITEVASGEPSQRQNKPRRYSEMNFGQ >KQL13005 pep chromosome:Setaria_italica_v2.0:III:2059435:2061680:1 gene:SETIT_024047mg transcript:KQL13005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEAPPAPTADINQDAPVLPPEVITEIFARLPAKSVGRFRCLSRAWCAMLSTDYFVDLHLRCANRPDHPRLLLTAVGSDYDDHLHSWRPGGAVEKLMPDDFADAITTPVTKPCRGLILIGDAGYGGYFVCNPSTGDVLALPDSEAPKKMTWRVNKLFQPQPPPLFVDVSYGIGYCTMRKEFKLVRFFCNSETENGLAESTNCEVFVLDRAAYWRPTTEQPPLRWVEKRSQLISDETFGSLPPPSGFEDAASVMTELDGCLSLGYGEPDSGDLYHVFVLRDYKEARWEMLCCVNRSLWMAPLGMYYSDGAQKIMFGTGACKVFAVDVDGTAPQVLFTPDDTIIGSCEDDHIPALGLYEESLVPAGRTIEEIICSSPTAEAWFDILKWLPVRSVLELSLVCREKFTDGNRPPLISDLVSQPVRVSCAFWGFICNPAIGYCEHIEFDNSNDTFFAGRIGLGYDSEIDKHLLVHITYKEDNLETRYYELQCKMRYVNHEQWRPLDPPPRPVAAMPPTFVNGNIYWMVEPNLGPVSATCEIVAFDIQTQEFEVLQGPPCSHDSGHMTILQLQGALYRGVWLMKYHIELEKFLPDYLLENTTPLVVDPKDGRILLNAGWSLGYYDPKTAEIETIYTQDVPEHGWKFCPIICHESLVWPFGPS >KQL14839 pep chromosome:Setaria_italica_v2.0:III:14249017:14250532:-1 gene:SETIT_024243mg transcript:KQL14839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWGGRGAARHGWWEKNGWPTMAAEASVADGLARERSWGGGSGGGGAAGDELGCGVGCGAGNDPRGADDAGDDGAAGGVPAR >KQL12871 pep chromosome:Setaria_italica_v2.0:III:1395600:1398974:1 gene:SETIT_024865mg transcript:KQL12871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTEEEGAIAPTPKKAKQEEAQAGQRAEGRGVGCNPAAEGSARGDVGCNSRRGFQWLSCRTPSSVPEIPAIPEDWQDDLNYSIPTLCAPKDPGTTRAVQSSKDKVVVLRAARSIVSIAYIMDDGKRLPRCTGMIIRQWSDAPGHHHAIIVTYSRVVCKDGRKLDPLPKLSVCLPDKKTILDAELIYFNDHYDIALLDISLDFTLGLPSIGRGAEYGQEVFVLARDGEASMRVRRGNIKWLEESDILGRDYYMFLSSDIPEGGNGGMVIDHNGELRGMAVYRVARPVLGFSLRTIALLDVQLQEDISDIGIDGGFVVDEVFLNNDAEKLGIKPSNVIISINGQDALTLPELRVCDLKSGVKRDVTLPVTFYDKAEK >KQL15518 pep chromosome:Setaria_italica_v2.0:III:21085681:21086022:1 gene:SETIT_023802mg transcript:KQL15518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPATSCYPFSLGFFFSLLTLGSLTPDQQESITARDQQITANQIPWFMGVCHETNGCNIHEPSTLPTVTATRTLYLASFSLTRSQLC >KQL15266 pep chromosome:Setaria_italica_v2.0:III:18459083:18460606:-1 gene:SETIT_024923mg transcript:KQL15266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADATALHVAVHALASSLQPPVVAAVFFASAACTVALAVLLASLRLRPPWWCACAVCEAYVTASWAGEFDNLCDWYAHLLRRAPGRTVHVHVLGNVLTANPPTVDHMLRGRFDNYPKGAPFSAILADFLGCGIFNVDGDSWLFQRKLAAAELAAPALRGYATGVVASELRCRLIPLLHSASSGGEKLLDLQDVFRRFAFDCICRISFGLDPGCLELSLPMSAFADAFDTASMLSARRATAPMHVFWKLKRLLNVGEERELRDAIRLVDTLAAEVIRQRRKLGTAASGDDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASGLTAFFLLLSDHPDVATAIRDEVSGVAGGRGDDPAAAATSEKLKDMHYVHAALYECMRLFPPVQFDSKFAAGDDTLPDGTFVARGTRVTYHAYAMGRMESVWGPDCAEFRPERWLRDGRFVPESPYRYPVFQGGVRVCVGKELAIMEMKSVIVSVVQSFDIEAVGRSSHRPKFAPGLTATFAGGVP >KQL16484 pep chromosome:Setaria_italica_v2.0:III:40887579:40888426:1 gene:SETIT_025777mg transcript:KQL16484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTCSCDKWSASTRFGFVEPLNFDDGASLSCITIHTCYNLCSLSVSGLDSSAPCSQLTACNKDNA >KQL15748 pep chromosome:Setaria_italica_v2.0:III:23339680:23342241:-1 gene:SETIT_023300mg transcript:KQL15748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDREECTRIGSVGRVSENVEVKIVDHITGKPLSVGQRGELFVRGPAVMTGYVGDDEANASTFDSEGWLKTGDLCYIDQDGFLFVVDRLKELIKYKGYQVPPAELELVLQTLPEVVEAAVMPVLGRNKSLTVFAGILMRRQGRYR >KQL15749 pep chromosome:Setaria_italica_v2.0:III:23339254:23342277:-1 gene:SETIT_023300mg transcript:KQL15749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDREECTRIGSVGRVSENVEVKIVDHITGKPLSVGQRGELFVRGPAVMTGYVGDDEANASTFDSEGWLKTGDLCYIDQDGFLFVVDRLKELIKYKGYQVPPAELELVLQTLPEVVEAAVMPYPDEEAGQIPIALVVRQPGSKVTEAQVMDHVAKRVASYKKIRKVLFVDSIPKSPAGKILRRQLTNYLQFGAVSRL >KQL15194 pep chromosome:Setaria_italica_v2.0:III:17740029:17740843:1 gene:SETIT_023736mg transcript:KQL15194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFIYRAVVQYRKEGQVSFTDLIFDEPSPTSYFRLPGDSGRYQVTASDLFSRSAVDSGSAGAMRQCPARLPMQRRRPLDRDNGSASWDWEPRKEKQAKDGS >KQL13839 pep chromosome:Setaria_italica_v2.0:III:7093245:7098100:-1 gene:SETIT_020987mg transcript:KQL13839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGPLVSMVKEKASSYLLEQYQVVEGLEKQHKLLKRKLPAILDVITDAEEQAAAKREGAKAWLEEVRQVAYQANDVLDEFKYEALRRKAREEGHYKELGMDVIKLFPSHNRFVFRIKMGNKLRIILQELDVLIAEMNCFGFKFRPGPPVPVNHLRENSSKIIDPVDIAGRSRAGDKEKIIKSLLDKASNVNLTVFPIVGMGGMGKTTLAQLVYNDPEIQKHFQLRLWACVSDNFDVDSLAERIVEEAKKNFETKEAKKNGCQANGSSALDKLQNAVSGKRYLLVLDDVWNRDEAHKWEKLKSYLQHGGSGSSVLTTTRDQAVAKLMMGTAKGAYELGRLGENFIEEIIKSRAFSSKQEKDWPRELVNMVGDVAKRCAGSPLAAKALGSVLCTKTTVREWKDVLRRKKICDDRNGILPVLKLSYNCLPSHMRQCFAFCAMFPKDYEIDVEMLIQLWMANDFIPVLQGEEHPEISGKNIFIELASRSFFQDVKGIPFEFTDIEVYRVTCKIHDLMHDVALDSMGKECAAIATEQSKSGDFPHSARHLLLSVNEPETLLNASQEKGSPVIQTLICEEYVDRDLQHLSKYRSARALKIKGGSTSFLKSVWLHHLRYLDLSRSYFIKSLPEDISILYHLQTLNLSDCDDLERLPKGMKYMTALRHLYTHGCWKLKSMPADLRYLTSLQTLTCFVAGAGSDCSRVGELRRLDDLGGQLELKRLENVKEADAKEAKLRNKKKLARLTLRWSDCDKEAHNSDKEVLEGLQPHDGLKVLRIYCCSMDTCPTWMNKLQGIVELEFSDCKRLEKLPAFWQLSALQILCLRGLENIRYLCSSDTAFTFQKLKILKILKLPNFEIWWGTGEVRGERPIFPLLEKLLIKECKSLAALPKASTEYRSAFPVLKVMELDDLEMFQRWEAGERNSGEELAFHRLEKLIIRSCPELTTLPEAPKLSVLRVDGASQQISSLHAASRYITSLSNLELCGYNTETESVAEQNSSELVHGREKWEHRSPLTHMDLLRYNLSFSHSSALPLWTCFAQLVDLKIQYCDTLVYWPENVFQALVSLRTLYIRACSKLTGRTQETSEQSAPERSGLLPCLESLYLNECQSLVEVPNLPAKTLCIYDCLMLGSIIFGQQEDTSSLIPGSSSEARASTAVRKLSSSTSHPFLPCLESLDIMLCRGLSEVANLPPSIKTLEIRCCYNLRSLSGQLDALQTLCIGNCSNLKSLESCLGRLPLLEDLRLWNCESLQSLPNGPQAYSYLRALMIESCPCITLLPLSLLQRLDHLEEKTLDACYEGREYSIRRRLACLK >KQL13858 pep chromosome:Setaria_italica_v2.0:III:7273269:7275222:-1 gene:SETIT_023590mg transcript:KQL13858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSLFDQGYLDEQFNHLEDLQDDSSPNFVEEVVALFFKDSSRLLTNIEQALEKYPQDFYRLDSLVHQFKGSGSSIGALRMKNACSMFKAHCDGRNLEGCRRSLQKMKREHATLKQKMETYFQLLRQVGPRERAVNSCK >KQL16716 pep chromosome:Setaria_italica_v2.0:III:44402979:44407646:-1 gene:SETIT_021515mg transcript:KQL16716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLDAGHRHAAPAADMDGAVASALPLAGAAYQPYVSELLSFSIERLHKEPELLRVDAERVRRQMQEVAVENYAAFIAASEALSFVRAQLEGFDSHLEALIEEIPNLTSGCTEFVESAQQILEERKLNQTLLANHSTLLDLLEIPQLMDTCIRNGNYDEALDLEAFVSKISKLHPDLPVIQGLAAEVKKTVQSLISQLLQKLRSNIQLPECLRIVAHLRRIGVFSESELRLQFLRCREAWLSGILEDLDQRNVYDYLKGMVTCHRVHLFDVVNQYRAIFNNDKSGNEENYDGGLLFSWAMQQVSNHLTTLQVMLPNITEGGSLSNILDQCMYCAMGLGLVGLDFRGLLPPIFENAVLNLFSKNMSTAVENFQVVLDSHRWVPMPSVGFVANGVVDETSDDVTPPSVLMEHPPLAVFVNGVSAAMNELRPCAPLSLKHVLAQEVVKGLQAVSDSLVRYNAMRMLRGNESALFLSLCQAFIEVVHPYCAACFGRCYPNGATLITECQSTFNGVSQLLTVPARSNSSSIERRQSGGIDRKQSGNIERKQSGSIERRQSGGIERKQSIESAGSTVTDNGLPTDGSVSEVNSDAATPASAVQDGAQTSPPSSK >KQL14970 pep chromosome:Setaria_italica_v2.0:III:15212816:15213143:1 gene:SETIT_025457mg transcript:KQL14970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNTINTFPLLFTRASNNTSLCYFTLSHCN >KQL14203 pep chromosome:Setaria_italica_v2.0:III:9532376:9533860:-1 gene:SETIT_024429mg transcript:KQL14203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIPFSWLPMEPAAAATFTGGIPPPFPAAADNGAAYCIDPTTLLASLLPPGRQPAVPAAAEAARRRQEEEEAAAIRLVHLLVTCTGAIQAGDYSAAHGNLAEARSILAVIANSTGMGRVATHFADALAQRLFPAYPNAAPPAPPHTATAEQYSHFYDAGPYLNFAYSTANQAILDAFEGCDRVHVVDFALMQGLQWPSLIHALSKREGGPPRLRITGIGPHPTGAGDELREVGIRLADFARSLGVPFCFRGVCVDQLDGLSKWMLKIVPGEALAFNSIMQLHRLLVDPDADPAVPAPIDILLDLVTELQPRIFTVVEQEADHNRPPLLERFTNALFHYAAMFDSMVAAGNHAAGGSSTCSLAEAYLRSEIFDIVCGEGSARAERHELLGRWRERLARAGLTQVLFGPNEVRLATAQLISATSFSGDGYSILECAGSLALAWHDRPLYAATAWRAAGGGSAASAVAHDEWRDDGRRRARNGGRESYGFGNLAIV >KQL15683 pep chromosome:Setaria_italica_v2.0:III:22534670:22537962:-1 gene:SETIT_022588mg transcript:KQL15683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHQRPHQKPQAAGDSLPVSSPSAAAAPSRPLPLLTLPYLFSLLALLFFAALLLPWGPARPSSAPASPWRAYTLQEAAAFAAAAGNGTVLLAAVSGPYLPFLSNWLISVRRAGRADQVLVIAEDYETLDRINAAWPGHAVLVPPAPDAQAAHKFGSQILELGYSVMYNDVDMVWLADPFPYIVRDHDVYFMDDMTPVKPLDHSHELPPPGKKGRTYICSCMIFLRPTEGAKLLLRKWIEELKEQPWSKQKKANDQPGFNWALNKTAGQVDVYLLPQSAFPTGGLYFKNKTWVKETKGKHVIIHNNYITGFEKKIKRFRDHGLWLVDEHSDESPLGRI >KQL15676 pep chromosome:Setaria_italica_v2.0:III:22418539:22421994:-1 gene:SETIT_022558mg transcript:KQL15676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATAASASTSLLAPAASTAPAAPNALLFPSSVPSLRAYPRLLLAFRRPAAAAVADPQGAVLEEEVEADQGGRYDDDDGYEGGRGPAFTPPTRPRTGKAALPLKRDRTRSKRFLEIQKLRESKKEYDVPTAISLMKQMASAKFKESAEAHFRMNLDPKYNDQQLRATVNLPKGTGQTVKIAVLTQGEKIDEARAAGADIVGGDELIEQIKGGFMEFDKLIASPDMMPKVAGLGKILGPRGLMPNPKAGTVSPNITQAIEEFKKGKVEYRVDKTGIVHIPFGKVDFPEEDLITNFMAVVRSVERNKPSGAKGIYWKTAYLCSSMGPSIKLNIKEMLDYGTDSSN >KQL15053 pep chromosome:Setaria_italica_v2.0:III:16103644:16104147:-1 gene:SETIT_025313mg transcript:KQL15053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACMLPCGALDVVRVVHLSGHVDEFTCPLAAADVLAAHPNHALTDAWSAGAARKIVILSPDSELKRGRIYFLIPSACSAPAAEMKKKRRSRAAASNKKRHGHRKGGAAVAASSTAEQDNYLRELLSEKRVASHRRRRSSARPGVWRPRLESIAEEEPSE >KQL16673 pep chromosome:Setaria_italica_v2.0:III:43679881:43686952:1 gene:SETIT_021150mg transcript:KQL16673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFQGLLARDFGLRPQGKAAPMSAARSSGPSGSAWTGSARSASASAAPSAPSYDDLFGPAASAPPPPTTKPAPSPSPSFDSIFDSYKEPSSAAPPPPKPKHSSMPVYDKPVYDDDIFDGVPGVKSSSARYEDVFGGTQSHAPPPAFDDLLGGFGKKSQGREEVEEKRKPKPAAASAGFDDLIPGFGGRSSPRQRETVGAKEKKVSMSTSKPAASMASDPFVVLETTSSSAHPFTDPLDELAKPAKYQGKSHEGTSADSSLFEDPSTFNQASKSEPLFTSEVNNVSKDRNDSSRARNSNPVQSFPKRNSAQQPSVEDFENIFPKSQSARYSDVHVDIGSEKYSGNGINDQSPRSDESEDEIWLTVSEIPLFTQPTSAPPPSRPPPPLAIKQKHGSKSKRKDGDNLRRSRKNYDHQRSSSNQAGVSSVDELEDFAMGRSQNAHAFNEEEFERSTAAAASAAAMKEAMDKAEAKFKHAKEVRERERDAKLRNREHQEQDTEPRSYAQDREDKERKERLEQEREMRQKEEKEREQRRLEEEREHERQRERERARQAVERATKEARERAATEARAKAEREARQRAERVAVQRAQQEARERAAVEAKERAERATAEAKERAAAEAKEKATSQARDRAAAERAAVERAQQEARKRAERAAVERAAAEARERQAAEARERQAAATAAAAAREKQSKPDDLESFFGMGARANSAPKQRAPTVDSMFDTQTQNRGTSTSASMKKASSTTNIADDLSAIFGGAPTSSDEFQEIDGESEERRRARLERHQRTRERAAKALAEKNERDMQQQREQAERHRIAETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >KQL13668 pep chromosome:Setaria_italica_v2.0:III:5905082:5907040:1 gene:SETIT_025063mg transcript:KQL13668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRPFPAPAATAPHPRPAWNTNRNLVVTHPLLSLLERCASFRRLLQLQALLTVTGLAAHRFPASRLLAFCALSDPPRIAHAAAVLAQCAEGPNAYMLATMMRGFLRAGLPARALALFRRVIRDRLPADARTIVFALKAAAAAASASSPGEAVHCVALKRGFGQSMLVGNALVHFYASSMSFPDAQKVFNEMPDRDVVSWTTLVDGYARGGLPDEAWRVFCRMVVSEGMWPNGVTLVAAASAVGQMGLLGLGRTVHCCVAESGIGTSVNLENALVDMFGKCGCLASAKEVFDGMAAKDVYSWTSMVSAYAKCGDLESAVQLFEEMPRRNTVSWTCMIAAYAQANQPGEAVRMFNDMVAAGVEPIDATLVSVLSACAQLGCLDLGIWLYETYIVTHKVGLTVNLGNAFIDMFAKCGDVGSASRLFGDMEERNLVSWNSVIMAHALHGQSEEVLHLFQQFKGTGILPDEITYIGVLSACSHGGLVSEGKHHFKEMKVVYGIEPRAEHYACMIDLLGKVGLVEEAFEVARSMPIGADVAGWGALLNACRMHSNVEIGECAADKLAELDPSDSGIYVLMSQIYASKSKWDQVKMLRTVMRDRGIKKNPGCSSIKVDGKFHEFLAADVSHVHSEDIYTALENVYIHLKTEGYIPPA >KQL14104 pep chromosome:Setaria_italica_v2.0:III:8837942:8838655:-1 gene:SETIT_025327mg transcript:KQL14104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKPSKPKGFAFADAAKAKAVDASAGKADDDAHGATPAGRGRWLRWACCGLAATGALAALVMLALSLTVLKVRDPTLSMDSVSVRWFNVRFDPRAARPLRINVTLEGSIVIRNPNYASMRFGASDTEIFVDGVAGPVGVGRAPPGEVPARGASRVTAGLDVFVDRVGPAVVGEVLFGSGEVRLASHTAVDGRVSVLGGLYGRRTVRVAMRCRVVLRVSAAVVVAGNPACVADFGR >KQL16620 pep chromosome:Setaria_italica_v2.0:III:43086088:43086990:-1 gene:SETIT_025218mg transcript:KQL16620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTSAVLLSSAARRLSRSASSIITREVTGHHNLTIAGFAPSRKFPTDWTASSQAFDAAGHGWRITYHPNSNSWSEYVSLYLEPVDGGGRRFTLLDPAGNPVPRYTRSSRGVNYFDGEEMSKGDLEKSGCLEDNSFTVRCDITVIKNWTENAADGASNAALAATRVVLLPSDLHRDLSNLLWKKQGADVVIDVGGEATYDAHGCLLAARSPVFEAELFAVAKEKVPGGTVRRRMEVKGMEPRVFEALLCFVYTDALPEAEEGGPGRCRRHGAGPAHGGAE >KQL14221 pep chromosome:Setaria_italica_v2.0:III:9680213:9686336:1 gene:SETIT_021485mg transcript:KQL14221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVLLKGCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTEEPADVCVIELGGTIGDKIFSPHNNSGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEQVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCVGKVPREPKLDEWTDRASKCDTLETPVRIAMVGKYTGLSDSYLSVLKALLHASVALKRKLVVEWVPSCDLEDSTAEETPEAYEKAWKLLKGADGVLVPGGFGDRGVQGKILAAKYARENNIPYLGICLGMQIAVIEFARSIMKLHGANSTEFDPTTKTPCVVFMPEGSKTHMGATMRLGSRRTFFQVDNCKSAKLYEVNPDMVPEFEKAGLSFVGRDESGRRMEILELPTHRFFVGAQFHPEFKSRPGKPSPLFLGLIAASSGQLDHLLQPQTCRYICNVPTKPKMYPNGHVMKPLKGLVNGHYSATSNGPIPI >KQL14222 pep chromosome:Setaria_italica_v2.0:III:9680213:9686336:1 gene:SETIT_021485mg transcript:KQL14222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVLLKGCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVIDKERRGDYLGKTVQVVPHITDEIQEWIERVAMNPVDGTEEPADVCVIELGGTIGDKIFSPHNNSGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLIPDILACRSTQPLEEQVKVKLAQFCHVPIPNIINLHDVTNIWHIPLLLRDQKAHEAILKVLDLQCVGKVPREPKLDEWTDRASKCDTLETPVRIAMVGKYTGLSDSYLSVLKALLHASVALKRKLVVEWVPSCDLEDSTAEETPEAYEKAWKLLKGADGVLVPGGFGDRGVQGKILAAKYARENNIPYLGICLGMQIAVIEFARSIMKLHGANSTEFDPTTKTPCVVFMPEGSKTHMGATMRLGSRRTFFQVDNCKSAKLYCNVSYVDERHRHRYEVNPDMVPEFEKAGLSFVGRDESGRRMEILELPTHRFFVGAQFHPEFKSRPGKPSPLFLGLIAASSGQLDHLLQPQTCRYICNVPTKPKMYPNGHVMKPLKGLVNGHYSATSNGPIPI >KQL14619 pep chromosome:Setaria_italica_v2.0:III:12427604:12431809:1 gene:SETIT_021825mg transcript:KQL14619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGRDANPLSGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIRSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLHEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPSARDLIPRMLVVDPMKRITIREIREHVWFKIRLPRYLAVPPPDTAQQVKKLDEETLNDVIKMGFDKNLLIESVQNRLQNEATVAYYLLLDNRLRTTSGYLGAEFQESMDSSFSQVLAETPTSATELRQHGFTESPGSGLRQHFAAERKWALGLQSRAHPREIITEVLKALQELNVYWKKIGHYNMKCRWSPGCLESMMHGNDGFGAESAIIETDDLIQKSTPTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >KQL14621 pep chromosome:Setaria_italica_v2.0:III:12427045:12431809:1 gene:SETIT_021825mg transcript:KQL14621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGRDANPLSGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIRSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLHEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPSARDLIPRMLVVDPMKRITIREIREHVWFKIRLPRYLAVPPPDTAQQVKKLDEETLNDVIKMGFDKNLLIESVQNRLQNEATVAYYLLLDNRLRTTSGYLGAEFQESMDSSFSQVLAETPTSATELRQHGFTESPGSGLRQHFAAERKWALGLQSRAHPREIITEVLKALQELNVYWKKIGHYNMKCRWSPGCLESMMHGNDGFGAESAIIETDDLIQKSTPTVKFEIQLYKTRDEKYLLDLQRVSGPQLLFLDLCSAFLTQLRVL >KQL14620 pep chromosome:Setaria_italica_v2.0:III:12427604:12430634:1 gene:SETIT_021825mg transcript:KQL14620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGRDANPLSGYRIGKTLGIGSFGKVKIAEHILTGHKVAIKILNRRKIRSMEMEEKVKREIKILRLFMHPHIIRLYEVIDTPADIYVVMEYVKSGELFDYIVEKGRLHEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPSARDLIPRMLVVDPMKRITIREIREHVWFKIRLPRYLAVPPPDTAQQVKKLDEETLNDVIKMGFDKNLLIESVQNRLQNEATVAYYLLLDNRLRTTSGYLGAEFQESMVSLIFCLLYFLLL >KQL14418 pep chromosome:Setaria_italica_v2.0:III:11138373:11140757:-1 gene:SETIT_022385mg transcript:KQL14418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKGGRAKKAAGGGELSRFLDSHLQTINDTFQMMAEAVPGSLERTEWSEVVKLGDQVSRQATVAGMLWSGDLPNIDTLKENIVAYFNILQGFLLACHGSMVGAGPTLHKSICGSAKNVVDSSFLLFKHAVSAYESRSPDRNITIPQVTGTVWEACAALKKVPTSNCTAIGRAMTQIGVCLKDVLREMNELPISDSGDATAEKSSNGVADTTSCSDRDEILSDLDMDDDDDFTEEEIAVAKLIVTVVSDSLVVVKEAIRFVTGLLKSSGNKNGANEDKVEPMERLLGHCKEIADQVNDLGACVYPPQDASEMKLAVKRLYGGINGMRKEIGHLGGSPANAFAALEGFEKCLGSLEAELADDVVNEMENLTISH >KQL13351 pep chromosome:Setaria_italica_v2.0:III:3969722:3970708:1 gene:SETIT_023353mg transcript:KQL13351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFAVQESEMARVLMLMSHGQEPALPLLPVAAGRGDRAPERVFVCKTCNRAFPSFQALGGHRASHKKPRLDGDGDPSLAKPKLHGCSICGLEFAIGQALGGHMRRHRAMTGGMPPAATTTIVVDKKPDVAIIHDDDGVKRGLWLDLNHPPCDDGCDAEAECGHNAAAGITFHQFLDTGTMAVDCLGY >KQL13588 pep chromosome:Setaria_italica_v2.0:III:5378556:5381974:-1 gene:SETIT_021671mg transcript:KQL13588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRGGTRLPLVRRLCFVVLLCASCLCSILPHANAKRTPPPAVKPKAPPSPSPSQVVPAPVPPYPALPVRAVCLGGWLVTEGWILPPLFDGIPNKDLLDGTQVQFKSALRKTYITADQGGGGAVLANRTQASDWETFKLWRINETTFNFRTSGGQFVGIGASDGIIVATATAPALPETFQIVRCPFDKNRVRIKAANGYFVQGIATGEVIADYGEPTRWSDWDASVFLMTKVGQQLQGEYQLCNGYGTDKAAPVLRDHWSTYIVEDDFKFIASSGLTAVRIPVGWWIASDPNPPAPYVGGSLQALDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGTTDANIAQTVQVIDFLASRYATSPSLFAVELMNEPLAPRATLDSLTRYYRDGYNAVRKHSPTAYVVMSNRLGISSANSTELLPFAGGFQGAVIDVHYYTMFNKMFDNFTVQQNIDFVRTNFSGELAAVTTQNGPLTFVGEWVAEWKVPNATKEDYQKYATAQMNAYGQATFGWSYWTVKNANNHWDLEWMIKNGYINLKG >KQL13587 pep chromosome:Setaria_italica_v2.0:III:5378556:5381863:-1 gene:SETIT_021671mg transcript:KQL13587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRGGTRLPLVRRLCFVVLLCASCLCSILPHANAKRTPPPAVKPKAPPSPSPSQVVPAPVPPYPALPVRAVCLGGWLVTEGWILPPLFDGIPNKDLLDGTQVQFKSALRKTYITADQGGGGAVLANRTQASDWETFKLWRINETTFNFRTSGGQFVGIGASDGIIVATATAPALPETFQIVRCPFDKNRVRIKAANGYFVQGIATGEVIADYGEPTRWSDWDASVFLMTKVGQQLQGEYQLCNGYGTDKAAPVLRDHWSTYIVEDDFKFIASSGLTAVRIPVGWWIASDPNPPAPYVGGSLQALDNAFKWAEKYKLGVIIDLHAAPGSQNPWEHSSSRDGTQEWGTTDANIAQTVQVIDFLASRYATSPSLFAVELMNEPLAPRATLDSLTRYYRDGYNAVRKHSPTAYVVMSNRLGISSANSTELLPFAGGFQGAVIDVHYYTMFNKMFDNFTVQQNIDFVRTNFSGELAAVTTQNGPLTFVEWKVPNATKEDYQKYATAQMNAYGQATFGWSYWTVKNANNHWDLEWMIKNGYINLKG >KQL16742 pep chromosome:Setaria_italica_v2.0:III:44609380:44612846:-1 gene:SETIT_022756mg transcript:KQL16742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLLTDSFELGKRELAPGNVDIELGLQGDLTSSAQPGFEGFFEQVKDIENLLSTLTKLLKDLQNSNEESKVVTKASAMKEVKKRMEKDVNEVTKVARLAKSKVEELNKDNAANRQKPGFGKGSGVDRSRTTTTVALTKRLRERILEFQTLREEIQKEYRGVVERRVFTVTGERADEETIDKLIETGDGEQIFQRAIQEQGRGRVLDTLQEIQERHDTVKEIEKKLLDLHQIFLDLAVLVEAQGEMLDNIETQVTGAAEHIQTGTNLLQKAKKLQKNTRKWTCIAIIILLIIVLVIILSLKPWSWGK >KQL15238 pep chromosome:Setaria_italica_v2.0:III:18083077:18087298:-1 gene:SETIT_021523mg transcript:KQL15238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATSASTATTCSSSSTSPGPHRRRQLNDIERDAPHDDCCTSSSSNCSCCCGAGGGCAHDDAECGGLHGHAHAHGHAASATPCLGRAPLLARRKRAAGGAPGRAAWMRAIVLCLLGLVAVIGFLGSHRGGGGGRAATGGDGGADDGGGGLVHKVEVTDADVMGWTEENLTALARRPPVPEIWMKPESEGYRQCIERPKNHRRTNNATVGYLIVDANGGLNQMRMGISDMVAVAKIMNASLVIPTLDHQSFWTDPSDFKDIFDVDRFKETLKEDIVIVDSLPPDFRRVKHYVRAPTSWSRASFYRDFAKILRKFKVVRFTHTDSRIVNNGLAPSLQKLRCRANYKALQYRKEIEALGNTLVDRLRNGSEHYIALHLRYEKDMLAFTGCNHNLTLHEAAELTDMRLKVRHWKEKDINSEEKRLQGGCPMTPREAAVFLKAMGYPATTKIYIVAGEIFGAHSLDALKAEYPNIYTHYSLATVEELEPLELYQNRLAAVDYIVALQSDVFVYTYDGNMARAVQGHRRFEGFRKTINPDRLKFVELIDKLDEGSMTWGEFQTAVRKHHENRLGGPYDRLRGESPRQEEYFYSNPIPGCLCKKVQRSR >KQL16735 pep chromosome:Setaria_italica_v2.0:III:44507509:44510788:1 gene:SETIT_022797mg transcript:KQL16735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAGQRGNLVGMGTIFTQLVEREGPRSLYLGLAPALTRSVVYGGLRLGLYEPCKHVCSYAFASTNFAFKFASGVIAGALATALTNPMEVLKVRLQMSTSNTSAIGEMRKILAQEGLKALWKGVGPAMARAGCLTASQMATYDEAKQALMKWTPLEEGFHLHLISSCIAGTAGTLVTTPVDMIKTRLMLQRESKGVRVYRNGFHCAYQVVVTEGVKSLYKGGFATFARLGPQTTITFIVCEKLRELAGMTAI >KQL16734 pep chromosome:Setaria_italica_v2.0:III:44507509:44510788:1 gene:SETIT_022797mg transcript:KQL16734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGSGDARQPAPAPWAYALYHFGTSGAAVAAATAVTHPLDVIKVRLQMQLAGQRGNLVGMGTIFTQLVEREGPRSLYLGLAPALTRSVVYGGLRLGLYEPCKHVCSYAFASTNFAFKFASGVIAGALATALTNPMEVLKVRLQMSTSNTSAIGEMRKILAQEGLKALWKGVGPAMARAGCLTASQMATYDEAKQALMKWTPLEEGFHLHLISSCIAGTAGTLVTTPVDMIKTRLMLQRESKGVRVYRNGFHCAYQVVVTEGVKSLYKGGFATFARLGPQTTITFIVCEKLRELAGMTAI >KQL13776 pep chromosome:Setaria_italica_v2.0:III:6676233:6678314:-1 gene:SETIT_024670mg transcript:KQL13776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLGHLKHYKFKEMRNATNNFSPRNILGEGGYGIVYKGDLPDGTPVAVKRLKNPDSVVGDDQFHTEVEVISLAVHRNLLHLIGFCIANNERLLVYPYMPNGTVASKLKECVNGEPTLDWPRRMRIALGASRGLLYLHEQCDPKIIHRDIKASNVLLDEYLEAIVADFGLAKLVDHGISHVVTTVRGTVGRIPPEYFMSGHASEKTDVFCFGLLLIELVTGRETLELRQNEYQKGGILEWARDLLEQNQLSSFADKKLRNSYDTVELVKMIQIALLCTMYSPKHRPRMSEVVTMLEEGDGVAEKWEAMKNVEEADPDESAYRAINYDEDQSTSIELQAVELSGPR >KQL16029 pep chromosome:Setaria_italica_v2.0:III:27198595:27200051:1 gene:SETIT_024298mg transcript:KQL16029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEIFRAIANLLRAENLLRDTRGVKIEEQLGMFLFMLSCNASIETLKKAFQHSGETIHRKVNEIFNIIPTLTHRFIKLPNPNQTQAKILSNPRFMPFFQSIWGVEKQFLILKIKIPAAAAVFHNIIRAHNGQDNWLDHRPQYINPTTFVDMLEGDNSYPTISTSQGTRAAWSYTYEKGLVNVMKEHVNISITGHKMAGQLKQQVQDKEKGLKESYKIIKEARKSGVGWNDILGMIIAEPN >KQL15548 pep chromosome:Setaria_italica_v2.0:III:21258980:21259749:1 gene:SETIT_023677mg transcript:KQL15548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSRAVWSLQRPVSNGTMTREAPSGRRSVAAPRSRRAKAVVVRSESGSGRGEHCAPARAVADVAPIQATKKVTACNSYKLNCWKQPIGEFTWFGRKLLLGAYISTHTSTPAHVK >KQL16440 pep chromosome:Setaria_italica_v2.0:III:40119713:40128080:-1 gene:SETIT_021885mg transcript:KQL16440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARKDGVAREVIRMEREAVIPILKPKLFMRLAYLIEHEVDRNEFLKLCKKVEYTIRAWYLLQFEDLMQLYSLFDPVSGEKRLEQQNLTPDEIETLEFNFMTYLFQVMEKSNFKLLSDEEYDIAQSGKYLLNLPIKVDESKLDKKLLTKYFKEHPHENLPEFADKYIIFRRGVGIDRTTDYFFMEKVDVMISRAWRSLLRFTRIDRLFSKKQHLQPKKDTKKTDEINEDEEEPELFVERIRLEKIELSMKNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLVGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIICYYILMEQGKATVQDLDLRCEELIKDEFGAECNFDVHDAIQKLEKLSIVHRRC >KQL16443 pep chromosome:Setaria_italica_v2.0:III:40123460:40128080:-1 gene:SETIT_021885mg transcript:KQL16443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARKDGVAREVIRMEREAVIPILKPKLFMRLAYLIEHEVDRNEFLKLCKKVEYTIRAWYLLQFEDLMQLYSLFDPVSGEKRLEQQNLTPDEIETLEFNFMTYLFQVMEKSNFKLLSDEEYDIAQSGKYLLNLPIKVDESKLDKKLLTKYFKEHPHENLPEFADKYIIFRRGVGIDRTTDYFFMEKVDVMISRAWRSLLRFTRIDRLFSKKQHLQPKKDTKKTDEINEDEEEPELFVERIRLEKIELSMKNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLVGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIICYYILMEQGKATVQDLDLRCEELIKDEFGAECNFDVHDAIQKLEKLSIVHRVNLSRFF >KQL16442 pep chromosome:Setaria_italica_v2.0:III:40120624:40128080:-1 gene:SETIT_021885mg transcript:KQL16442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARKDGVAREVIRMEREAVIPILKPKLFMRLAYLIEHEVDRNEFLKLCKKVEYTIRAWYLLQFEDLMQLYSLFDPVSGEKRLEQQNLTPDEIETLEFNFMTYLFQVMEKSNFKLLSDEEYDIAQSGKYLLNLPIKVDESKLDKKLLTKYFKEHPHENLPEFADKYIIFRRGVGIDRTTDYFFMEKVDVMISRAWRSLLRFTRIDRLFSKKQHLQPKKDTKKTDEINEDEEEPELFVERIRLEKIELSMKNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLVGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIICYYILMEQGKATVQDLDLRCEELIKDEFGAECNFDVHDAIQKLEKLSIVHRDSIGRILCVPLKRANEIIGTTTEELVMRAQSPTS >KQL16441 pep chromosome:Setaria_italica_v2.0:III:40120624:40126560:-1 gene:SETIT_021885mg transcript:KQL16441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSNFKLLSDEEYDIAQSGKYLLNLPIKVDESKLDKKLLTKYFKEHPHENLPEFADKYIIFRRGVGIDRTTDYFFMEKVDVMISRAWRSLLRFTRIDRLFSKKQHLQPKKDTKKTDEINEDEEEPELFVERIRLEKIELSMKNLMSKMTIQEPTFDRMIVVYRRAGTKTKPDRGIFVKHFKNIPMADMEIVLPEKKNPTLTPMDWVKFLISAVIGLVTLVGSLEMPKADVWVVIAILSGVIGYCAKIYFTFQQNMTIYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIICYYILMEQGKATVQDLDLRCEELIKDEFGAECNFDVHDAIQKLEKLSIVHRDSIGRILCVPLKRANEIIGTTTEELVMRAQSPTS >KQL14671 pep chromosome:Setaria_italica_v2.0:III:12835109:12836502:-1 gene:SETIT_024410mg transcript:KQL14671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTMPKRMVAPSWLLLIGLAAAATAGVLQARAQPDSIGFISIDCGLPGTANSVDDDTKLSYAPDAAFTEAGTNHNISVEYIKPTLSKRYLNVRSFPDGTRNCYMLQSLVAGLKYNLRAEFMYGNYDGLNRPPIFDLYAGVNFWSRVNVSTLDVVGRLEVVVVVPDDYVHLRPLKSSLYLQVNATQGLVLLSRRNFGATDDSNIVRYPDDPHDRIWLPFVNTAKWEEISTNNKVRNLDEDKFEVPLLEKAPLPNPL >KQL15541 pep chromosome:Setaria_italica_v2.0:III:21223400:21229680:-1 gene:SETIT_021084mg transcript:KQL15541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVSSEGAEGFRASHPMLLVVAGDETNGLGAVQGGRLSALIRDTNSEPQAGNCISTPTVVRFYSLRSHTYVHVLRFRSAVYLVRCSPRIVAVALAAQIYCFDAVTLENKLSVLSYPLQGAPGVNIGYGPMAVGPRWLAYATNSPLLSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQLATGIINLGDMGYKTLSKYCQELLPDGSNSPLSSSPGRRSGKIPSTVHPVEADNAGMVVIKDFTSKVVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTCIANGSGTTRYDCTASHVHLYKLYRGMTSAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLQPQKSHSDGPPLAPCQSRPWWSKPSFLMDQQLHQVPSTVTNSVVSRIKNSTSGWLNTVSNVAASASGKLSVPSGSVTAVFHNSIYQGSLPVPSKANALEHLLVYSPSGHVIQHELLPSGSESSGNSPRVGSGPNSQLQDDEMHVTAEPIQWWDVCRRTNWPERDENIANIVLYNQRNCMMAMDASDCEDSEHSDSTPSNDGSSGKEITRVRERSSWYLSNAEVQINSWRIPIWQKSKICFYVMDHPAAESGETVSSSGGEIEIEKLPLHEVEIRRRELLPVFKQFHYTERNSSDRNIANGGFQNGLSHIGDAHYSSVKDNGEYEPKPVAPISGFYTGMRKTANMNGVASQPLSGPSSTVNLQQVGKCNSIESPDAASLSAHHKAENKSNGYVSMPPETNASIRPLNSYSLLDGPLDGVLSPANSACKPETTNNSVLSNGASTDIPNGCLATVNSGQQEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGGVFAFSEEG >KQL15542 pep chromosome:Setaria_italica_v2.0:III:21222851:21230011:-1 gene:SETIT_021084mg transcript:KQL15542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGKGGRNGLLPSSLRIISSCLKTVSSNAGSVASTVRSAGASVAASIAPQAEDEKDQVLWAGFDKLELHPSSFKHVLLVGYSNGFQVLDVEDAANVCELVSKRDGPVTFLQMQPTPVSSEGAEGFRASHPMLLVVAGDETNGLGAVQGGRLSALIRDTNSEPQAGNCISTPTVVRFYSLRSHTYVHVLRFRSAVYLVRCSPRIVAVALAAQIYCFDAVTLENKLSVLSYPLQGAPGVNIGYGPMAVGPRWLAYATNSPLLSNTGRLSPQNLTPSPGVSPSTSPSSGSLVARYAMESSKQLATGIINLGDMGYKTLSKYCQELLPDGSNSPLSSSPGRRSGKIPSTVHPVEADNAGMVVIKDFTSKVVISQFRAHTSPISALCFDPSGTLLVTASVHGHNINVFRIMPTCIANGSGTTRYDCTASHVHLYKLYRGMTSAVIQDISFSHFSQWISIVSSRGTCHIFTLSPFGGDASLQPQKSHSDGPPLAPCQSRPWWSKPSFLMDQQLHQVPSTVTNSVVSRIKNSTSGWLNTVSNVAASASGKLSVPSGSVTAVFHNSIYQGSLPVPSKANALEHLLVYSPSGHVIQHELLPSGSESSGNSPRVGSGPNSQLQDDEMHVTAEPIQWWDVCRRTNWPERDENIANIVLYNQRNCMMAMDASDCEDSEHSDSTPSNDGSSGKEITRVRERSSWYLSNAEVQINSWRIPIWQKSKICFYVMDHPAAESGETVSSSGGEIEIEKLPLHEVEIRRRELLPVFKQFHYTERNSSDRNIANGGFQNGLSHIGDAHYSSVKDNGEYEPKPVAPISGFYTGMRKTANMNGVASQPLSGPSSTVNLQQVGKCNSIESPDAASLSAHHKAENKSNGYVSMPPETNASIRPLNSYSLLDGPLDGVLSPANSACKPETTNNSVLSNGASTDIPNGCLATVNSGQQEASDSHNSVEFTQYFQEGYCKISELDDCRELTEAVTDADSSSSHCEREKPEEDGDNDDMLGGVFAFSEEG >KQL15665 pep chromosome:Setaria_italica_v2.0:III:22317249:22320610:-1 gene:SETIT_022075mg transcript:KQL15665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEQKVAVEGAAAAARRRLVIGVGFWVQGFRLFPWLGVSFFLKDGMGVDASSLQILQASANLPMVAKPLVGLLSDAVPIRGHRRLPYVAIGALLQAVSWLAIALWPAISLPVLNIFLLLSNFGASICEVANDAIVAEAGKQATSSSGSGQLQSFAWMFGSSAGALGNLLGGIALSYFSPRIMFLFFAILLTLQFFTTVTIPESSLKLPKANTNLSALTSIRKQVKELSCALCMPEMFRSIIWFTLSYTVIPFLLGTMFFYQTEVLRLDSSVIGLSKVFGQVALLAWSMSYNKYFKTMSARKVLSVLQFALALVMLSDVLFVQGIYRKIGIPDSIYTIVFSGLFEGLMFFKVLPFSVHIAKLCPAGCEGSVMAFVMSALALATIISGYFGVALAAFMGVSGDDFSALPACLLIEAACTMLPLCCSSLIKERREKVKKEE >KQL15914 pep chromosome:Setaria_italica_v2.0:III:25281885:25282269:-1 gene:SETIT_024515mg transcript:KQL15914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGQESRQERDRKAREGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRREQLGQEGYSEMGKKGGLSSNDASGGERAAAEGVDIDESKFTTKS >KQL16624 pep chromosome:Setaria_italica_v2.0:III:43135049:43136248:1 gene:SETIT_024365mg transcript:KQL16624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRIPSAPTAQPVLPDEILEEIFLRLDAAADLARTSAACSSFRRLISARRFLRRFRSLHSPPVLGLLDDEGYGGSRLPVERFIPIEPPHRSAPAARALARAADFTFSFLPDACSWRPRDSRDGRVVLSRRVANAAAFDDLVVCDPLHRRYVMIPPIPDDLTASITKLGKNFEPFLAPAGDGKDEKLKDEEESSLRVICTVRFENKFIAFFFSSANQKWGLITYHSSSSFGYRRCYAHGCFFWVVDSNGYALMLDTRQMKFSIIDLPPNNDVSNIFAFVEAGEGRLGLLTLVGSTIDLSCKIWRNNGAGAEGWQHCKMIPLPKDCGGINYIWYIMGAAERYLNLLALHMVHRESPAQYFILDIKTLLLERLCTLNARAFLVSHLYASFPPLLAPPSL >KQL15248 pep chromosome:Setaria_italica_v2.0:III:18185202:18187064:1 gene:SETIT_021876mg transcript:KQL15248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPIPAADAVVEAASAVGAAPAIAPNGMARKDVPAPPPISVVSKQTVRPAGTSAVGDLRLSVSDMPMLSCHYIQKGLFFRPPGVVMASLVPSLVAALSRALGVFPALAGRLVTLDDDSIVIRCGGDAAVEFYHAVAPALSLGDFLVPGADVPIRLTNGLFPMDRTVSYGGHGRPLTSFQLTVLGDGAVFVGFVANHAVVDGTSFWHFFNTWAGLCRGAPVREPDFRRNFFGDSTAVLRFPGGVGPAVTFDAEAPLRERILHFSAAAIRELKATANRWKRTGQDSEVNGKVTHDSKVQCGCREISSFQSLCAHVWRAVTRARRLLAADKTTTFRMAVNCRHRLRPAISPLYFGNAIQSVATKATVAELASNDLGWAAAKLHATVAAHEDGAIRLAAAEWEAAPRCFPLGNPDGAALTMGSSPRFPMYDGNDFGWGRALAVRSGRANKFDGKMSAFPGQAGDGSVDVEVCLAPDTMACLLRDEAFLQYVTE >KQL17374 pep chromosome:Setaria_italica_v2.0:III:50095856:50100568:-1 gene:SETIT_021799mg transcript:KQL17374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERIPEAGAGNSRGEDDFRSCCGDEEEWEDTEESFTAGVTKGELDETSVRLFFKGVSSPEAEGKKLSGIGVVMERSPGVPVLKVQKKLDFYVEELVAEHLALMDGLLVALQNGIRKIFAFTNSEKLYFQIAEAEILEDQLLVALGHRILELVDKLEDFDLILLPSFELERPLQLAKEAIGIRYLSPYEVGTCPICCEEKLGSQMIKTGCSHTFCYNCLAAYVEEKLLTSKRPIRCPQLRCKYLISASECKSFLPVSSYDSLERAFAEAGTSDMERFYCPFPNCSVLLDLSQHFSRASSSSQSDLSCVECPECHRDICINCGVPWHIMMGCDEYQSLPVEERDAGDLSLHRLAQNNRWRRCQRCRRMIELTQGCFHMTCWCGHEFCYSCGADFASGVQTCQCVFWDDEAIEPSSAARSSQAASEIWAWDTFDCMPTAAVEGYSEQERAQLALIQRFLAGGFSLGDNPCQSPPRCADSYIVDTMKDLHQLPWLERFVSVISDSYNDDYIQ >KQL14154 pep chromosome:Setaria_italica_v2.0:III:9201876:9209108:-1 gene:SETIT_021264mg transcript:KQL14154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGRGGGGRQPFAAPDGADAAAIPTASRKMVQSLKGILADRSEGEIYATLCDCGMDPDIAVERLISQDTFHEVRRKRDKKKETKASQESRPRSFQKPMNRGYKAGSDRSGRDSMGVSKGPIKKEPELHAPLNSSALDVRTSNPTETISATGNVAQADAKNTTQPPSQVQHGWGGIPGRPSLAEIVKMGRPQAKSGSRSAASSTAMPAVGDSVISNTPSHTPKEYNRSAFASEPNGAVEVHSVPKDASSIDMLPPAEGADVPAPSIEDSSTPDVNEDGIEKSTNLEGNTDKSDYTEVATHQGDDSIEKTDDFQSNGLSFEPNQNLNGDMSTTTKQFDQLTLHEEPKSSDDNPAVIIPDHLQVSNADCAHLTFGSFVSGTLDASLTTKPLECHGDVVAAPDDHSIDQTVVRIHEDESKAAATPAANECVASATNSNMENLDVTSVQQSEVTIANFLDVTNNTEYNLSATSDYATSSAALQDSASHNYLQENRQFQNLSPLSSFMQIQNGLLPPAIPPLREFDPAFSLLLTNPPMMHGTSSSSMNNATVSTQPQESANSVGLPNPQLTQSQPSTSIASGPPLPQHLLHPYAQATLPLGYAGMIGYPSLPPSYAYIQPAAFQQPYMSNMFHQAAAAVPNSSVKYPLPQYKALASLPQPASLLSSYVGGFGTANNMPGNFPVNQSTASATTTLGFDGSVPPHYKEGNQFISLQQQNENPAMWMHGAGSRGMPPLAASTLYGYQGQSHQAGLRQGQLPSQFGTALGQSQPGLGPEHRNPSDGNLSAAAQANPMWPSY >KQL14525 pep chromosome:Setaria_italica_v2.0:III:11754514:11756057:-1 gene:SETIT_022730mg transcript:KQL14525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLSCGGGGVQPKLRKGLWSPEEDEKLYNHIIRHGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDLIVALHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPIASAEAGTALPDAGDHDHKPAVAESDGLATKQPAVFDPFPVADFAFDLGAAGVAALYGAPYDDVGGKASPDDAAGFVADYSSVLDVSENLGYGESSSNSSNWTCAEMGGAVLDSEVLHWASSGAAATAKQEPYTDLERQHSGGYGGGGQAADDDDALEHKFALPCGQEQSLAHFDFNLEYF >KQL12634 pep chromosome:Setaria_italica_v2.0:III:137168:137646:-1 gene:SETIT_025380mg transcript:KQL12634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTGGVAVLSPVNYHQPQLASLPCCGYLHQHAVLEQEQNASW >KQL14155 pep chromosome:Setaria_italica_v2.0:III:9213948:9215263:1 gene:SETIT_022804mg transcript:KQL14155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFAQGRSTATSTLFDDGEGAAGEGRGGAAVAASPAAGHHGHGLLAVEPRLLRDERLHRAPELVRVVHAPTRLRVARRHGRPPPSPVLRLGAPQLGRHGAPRQPRAHLLGPAPPRRARQLAHAHRRLVLERRGRVRRLGRRRGCCYHLRRLHGCRRWSRRRGEGGDFFQQGAGRRRSWRGEGREAGAGGGLRGLRWRWGGGRAGRARLLLVGRGGEVVSRQREEEEHDGVAEHEDEAGVEEERPGEPQHRSGRGRHAGGRPGEEGHECEELPHAKHPVEEAQPQRCSGGHHGCLAPCL >KQL14861 pep chromosome:Setaria_italica_v2.0:III:14383482:14384962:-1 gene:SETIT_022656mg transcript:KQL14861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAAVVVLLLSAFAAAAASAQLDEKFYSQSCPSVEDVVRKEMVRALSAAPSLAGPLLRMHFHDCFVRGCDGSVLLDSTANTTAEKDAKPNLTLRGFGFIERVKAAVEKACPDTVSCADVLALMARDAVWLSKGPFWAVPLGRRDGRVSISNETDQLPPPTGNFTELTQIFATKNLDAKDLVVLSAGHTLGTSHCFSFSDRLYNFTGMDNAHDTDPTLDPMYMARLRGKCPSLDDNTTLVEMDPGSFKTFDLSYFGHVAKRRGLFHSDGALLTDPFTRAYVLRHATGAYREEFFADFAASMVKMGSVEVLTGSQGEIRKKCSVVN >KQL16847 pep chromosome:Setaria_italica_v2.0:III:45937163:45939958:-1 gene:SETIT_022210mg transcript:KQL16847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFSPARLGQGDRRRSPQDAGDRGQGRRHRADAGVAPVPGHLAGLLTLLERRGRLPQHTYLDYSLTNFLAAVLIALTFGQLGGGKPNFIPQLSQDNWPSVLFAMAGGVALSVGNLSTQYAWAYVGLSVTEVISCSMVVVIGTTMNYFLDNRINRAEILFPGVACFLVAVILGSAVHASNAADNEEKLRASENAPKDLENGASGTKHVTKAEAGTAEFLIELEERRSIKVSRSSTFFGLGIVFFSGVCLSLFSPAFNLATNDQWDALPAGVPHLAVYTAFFYFSISCFVINVVLNILLLYRPMAGAPKSSFRAYINDWKGRQWALLAGLIGGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVVLFGEYRRSSRRTYILLGFMLFMFITAVAVLMASSGHRGNE >KQL15951 pep chromosome:Setaria_italica_v2.0:III:25995493:25995918:-1 gene:SETIT_025789mg transcript:KQL15951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSFVRVWACRIQWGTGACTWIGVLGWSISWGLVRFSGGGFLIRVLDW >KQL17172 pep chromosome:Setaria_italica_v2.0:III:48697796:48698355:-1 gene:SETIT_025591mg transcript:KQL17172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSYSAHVRVAPVLNVVPVCSLRGSRKSVSVFGIDRLFAPSGAASSSGNTSASSSSSSGGGTKRSKAAKKDATAAGQ >KQL15247 pep chromosome:Setaria_italica_v2.0:III:18170131:18173668:-1 gene:SETIT_021541mg transcript:KQL15247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEAPEPRRLTRALSIGGGDGGWVPEEMLHLVMGFVEDPRDREAASLVCRRWHRIDALSRKHVTVPFCYAVSPARLLARFPRLESLAVKGKPRAAMYGLIPEDWGAYARPWVTELAAPLECLKALQLRRMVVTDDDLAELVRARGHMLQELKLDKCTGFSTDGLRLVARSCRSLRTLFLEECQIIDQGSEWIRDLAASCPVLATLNFHMTDLEVMPSDLELLARNCKSLISLKISDCDLSDLIGFFQSATALEEFAGGTFNEQGELAKYGNVKFPSRLCSLGLTFMGTNEMPIIFPFSAILKKLDLQYTVLTTEDHCQLISKCPNLLVLAVRNVIGDRGLGVVADTCKKLQRLRIERGDDEGGAQEEQGGVSQVGLTAIAVGCRELEYIAAYVSDITNGALESIGTFCKKLYDFRLVLLDREERITELPLDNGVRALLRGCTKLRRFALYLRPGGLSDVGLEYIGQCSGNIQYMLLGNVGETDDGLIGFALGCVNLRKLELRSCCFSERALALAMLHMRSLRYVWVQGYKASQTGRDLMLMARPFWNIEFTPPNPENVQLNEDGEPCVDSQAQILAYYSLAGKRLDCPQSVVPLYPA >KQL16867 pep chromosome:Setaria_italica_v2.0:III:46109305:46112487:1 gene:SETIT_024541mg transcript:KQL16867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAAAGAVLCASCSVRGKTKPPDLIWDERRSDGPQHQAVDADDGGVAASEGAAAVAEVAEDPNAGTDVAGGAATSTRPPYSLISSDNVQKAMRGLAITDADHYGRLGITRLASTDEVKAAYEKRCEELNSKELEEEELNKEHDLLKESFTILSTEEERRLYDWSLSRSGQPERYVWPFQVDPLEMAPDPPKEPEDEFPTTLVGYFFLAWFILSVAFSVTLNR >KQL17036 pep chromosome:Setaria_italica_v2.0:III:47773212:47776603:-1 gene:SETIT_022783mg transcript:KQL17036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPSSYSSCHHRASPFAPATPCSAQSRPRKGTLRLAAAGARSGPTAAPRRARPAAVERRRCECFDLHQQLVPYAEAYAWQKAIIKRRIGLLDRGEDHSDTLIALQHPPVYTLGSVSKEEYLHFKKEDAPFEVHKINRGGEVTYHGPGQLVMYPIINLKYHKEDVHWYFRSLEELIIRALKSAFSIKASRVEGLTGVWVGDQKVAALGIHGSRMIVYHGLALNVTTDLTPFQMIDPCGIKDRGVGSIKQILQEASHGTEIDDTLLMDMAYNSMIKEFAELFQLDLDTSLDCSFQEISKLHR >KQL14990 pep chromosome:Setaria_italica_v2.0:III:15387063:15389278:-1 gene:SETIT_023932mg transcript:KQL14990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLHWLEAILPLGIIGGMLCIMGNAQYFIHKAAHGRPKHIGNDMWDVAMERRDKKLAEQSSGN >KQL14991 pep chromosome:Setaria_italica_v2.0:III:15388816:15389120:-1 gene:SETIT_023932mg transcript:KQL14991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLHWLEAILPLGIIGGMLCIMGNAQYFIHKAAHGRPKHIGNDMWDVAMERRDKKLAEQSSGN >KQL14992 pep chromosome:Setaria_italica_v2.0:III:15388816:15389120:-1 gene:SETIT_023932mg transcript:KQL14992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLHWLEAILPLGIIGGMLCIMGNAQYFIHKAAHGRPKHIGNDMWDVAMERRDKKLAEQSSGN >KQL13379 pep chromosome:Setaria_italica_v2.0:III:4159524:4163978:-1 gene:SETIT_024444mg transcript:KQL13379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAQLTPGGVVAVSNHADGEGTLQPVLQVVDVRRVTQKNQNPSASERYRMVLSDGVHALQSMLATAENHHIRDGTIQKGSIIHLQEFTCSTIHNRRIIIIIKLEVLQSECDIIGNSKPYEVNVPTEQPPNLLANAAQVNSGPYSSGPGVLGSSVAPRAAQVANNVNLPTEQPPNLLANAAQVNSGPYSSGPGMLGSSVAPRPAQIANNENLPTEHSPNFLANAAQVNSGPYSSGTVMLGSSVAPRAAQVANNVNLPTEQSPNFPANAAQVNSGLYSSGTGMLGSSVAPRAAQVANNVSHGGSYGGYPGTVGPSIGRAVEPVPNVSSGGSYGFYGTASAHNTMHADMMQSNLQQPSLNSHQNQRFAVPAMAGGSGTPGNTYGRPAQSFYQQPPPGHMNRGPVTKNEATRAAVPVAQLNPYQERWTIKARVASKTDLRHFNNPRGPGKVFSFDLLDASGEIRATCFNAQADQFFDLIEVDKVYLISRGSLKPAQKKFNPLNHEYEITVDFRTSIEVCPSDDSSIPRQQYNFRQISEIENIEVGAIVDLVGIVTSVNPSATITRKDGSEAQKRTLQLKDMSGRSVEITFWGKFCDAEGQQLQLQCDSGLNPVLALKSVRVTEFSGRSVSSISSTQLKINPDFPEAEKLQRWYVTEGKTATCVSLSVASMGKTDVRKTVVQIKDENLGRSEKPDWITVKGTVSHLNTDNFCYPACTMEVNGRQCNKKVINNGDGTWHCDRCDQSLPNCEYRYLLMCQIQDHTGLTYANAFQEAGVEIMGCSAEELYNMKEEDTERFADIIQGVRWQQFLFKLKVYEETFNDEQRVKCSIVKAEKLDLSRECSYLLKGIGALLQDDTGSPSEVQGAMAYSAGLNTSGAGQGMPASNSAYATSMGTPRYGETGNQLGQQANTYGGVSTPLSATRNVETCMGCGSSGHNAQSCPASMYRQQQPAANTASSYVSSPGDAGSGRCFRCNQPGHFANACPGVGAASGGY >KQL14034 pep chromosome:Setaria_italica_v2.0:III:8319468:8321219:-1 gene:SETIT_023247mg transcript:KQL14034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKTEVAPRLDEADRTLHSTFCSAANSLSQLYSQAIAQQKQSFHAGELHALDKLYHWILRKYEEESRLTIADIMAHIQHEMDYRCSDALVAQGVQQQYPPSARQHLGNSSSQLSATSHGSASGLAPRAINTEQSKNSIFSNALSSPVRRSLQSYHPTQAQVQGGGNGGHNSRGSASPGAHNRETNSAGSNDTAMDMVSDSAANEYY >KQL13150 pep chromosome:Setaria_italica_v2.0:III:2849411:2862090:1 gene:SETIT_021164mg transcript:KQL13150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLASTCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEQGQRHLGWGRKNALTREAVAKVVDDTYSRKMQVQCAPDLASRSHSGSDFSHFRPKEEANDYYHVESKVRCLCSSTMLNDNMIKCEDAKCQVWQHMTCVLIPDRPTEGVSPEVPPHFYCELCRLSRADPFWLTIGNPLLPVKFMSSGVGNDGTSVSQSVEKTFQLSRSDRETIQRPEFELQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSLREGINKISLSRVDTRTFCFGVRIVRRRTIAQVLSLIPKEGEGESFMDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSIENLMIDPYFNRITSLLRNCSEDVNELDVKPDGSWRVKGDAATRELSQWHMPDGTLCDSQEDTNPGVESLNEFKREGTSDGHRSLKLGIKRNPNGIWQFSSKADDKKPSVVGNHIQNNTGFPAPNAMISSPTGSYKDGEDASVNQEGGGIQFDISLNQEFDSFPRNFGQAYNTEDRQQQQQQQNAADVIVLSDSDEENDAIVRPPAVYENTATNGNNFPFATNGAKSGYPERYQEDAGVGTSGLGLLSSNAGDFEINNWQMHSYPQPEQGFQFFGTDTDVANPFVASHNSFNIAPEDYSLDCNVGIEEPSAAHDISIIRNSNEMHGSLVGNPLALAGDDPSLQILFPSQPSTVPLQEELSERANAPNGVHPDDWRISLTLAAGGGGNEESTSVDGLQSQPKVTSKEAGVEPLIDAGLSPFFEFDSFLFCYCFVPKDNGLLHFLFFLVARQPFSGSSWSTFQGRHLSFCSPKHEQ >KQL13151 pep chromosome:Setaria_italica_v2.0:III:2849411:2859430:1 gene:SETIT_021164mg transcript:KQL13151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLASTCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEQGQRHLGWGRKNALTREAVAKVVDDTYSRKMQVQCAPDLASRSHSGSDFSHFRPKEEANDYYHVESKVRCLCSSTMLNDNMIKCEDAKCQVWQHMTCVLIPDRPTEGVSPEVPPHFYCELCRLSRADPFWLTIGNPLLPVKFMSSGVGNDGTSVSQSVEKTFQLSRSDRETIQRPEFELQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSLREGINKISLSRVDTRTFCFGVRIVRRRTIAQVLSLIPKEGEGESFMDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSIENLMIDPYFNRITSLLRNCSEDVNELDVKPDGSWRVKGDAATRELSQWHMPDGTLCDSQEDTNPGVESLNEFKREGTSDGHRSLKLGIKRNPNGIWQFSSKADDKKPSVVGNHIQNNTGFPAPNAMISSPTGSYKDGEDASVNQEGGGIQFDISLNQEFDSFPRNFGQAYNTEDRQQQQQQQNAADVIVLSDSDEENDAIVRPPAVYENTATNGNNFPFATNGAKSGYPERYQEDAGVGTSGLGLLSSNAGDFEINNWQMHSYPQPEQGFQFFGTDTDVANPFVASHNSFNIAPEDYSLDCNVGIEEPSAAHDISIIRNSNEMHGSLVGNPLALAGDDPSLQILFPSQPSTVPLQEELSERANAPNGVHPDDWRISLTLAAGGGGNEESTSVDGLQSQPKVTSKEAGVEPLIDAARQPFSGSSWSTFQGRHLSFCSPKHEQ >KQL13149 pep chromosome:Setaria_italica_v2.0:III:2849411:2862090:1 gene:SETIT_021164mg transcript:KQL13149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLASTCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEQGQRHLGWGRKNALTREAVAKVVDDTYSRKMQVQCAPDLASRSHSGSDFSHFRPKEEANDYYHVESKVRCLCSSTMLNDNMIKCEDAKCQVWQHMTCVLIPDRPTEGVSPEVPPHFYCELCRLSRADPFWLTIGNPLLPVKFMSSGVGNDGTSVSQSVEKTFQLSRSDRETIQRPEFELQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSLREGINKISLSRVDTRTFCFGVRIVRRRTIAQVLSLIPKEGEGESFMDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKWQCPICLKNYSIENLMIDPYFNRITSLLRNCSEDVNELDVKPDGSWRVKGDAATRELSQWHMPDGTLCDSQEDTNPGVESLNEFKREGTSDGHRSLKLGIKRNPNGIWQFSSKADDKKPSVVGNHIQNNTGFPAPNAMISSPTGSYKDGEDASVNQEGGGIQFDISLNQEFDSFPRNFGQAYNTEDRQQQQQQQNAADVIVLSDSDEENDAIVRPPAVYENTATNGNNFPFATNGAKSGYPERYQEDAGVGTSGLGLLSSNAGDFEINNWQMHSYPQPEQGFQFFGTDTDVANPFVASHNSFNIAPEDYSLDCNVGIEEPSAAHDISIIRNSNEMHGSLVGNPLALAGDDPSLQILFPSQPSTVPLQEELSERANAPNGVHPDDWRISLTLAAGGGGNEESTSVDGLQSQPKVTSKEAGVEPLIDAASVLPSTNNDRCNGANLNPRRIENIFSHPRQPRSVRPRLCLSLDTDSE >KQL13152 pep chromosome:Setaria_italica_v2.0:III:2849411:2862090:1 gene:SETIT_021164mg transcript:KQL13152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLASTCKDKLAYFRIKELKDILNQLGLPKQGKKQDLVDRVLALLSDEQGQRHLGWGRKNALTREAVAKVVDDTYSRKMQVQCAPDLASRSHSGSDFSHFRPKEEANDYYHVESKVRCLCSSTMLNDNMIKCEDAKCQVWQHMTCVLIPDRPTEGVSPEVPPHFYCELCRLSRADPFWLTIGNPLLPVKFMSSGVGNDGTSVSQSVEKTFQLSRSDRETIQRPEFELQVWCILINDKVQFRMQWPQYAELQVNGIPVRVVTRPGSQLLGINGRDDGPLVTTCSLREGINKISLSRVDTRTFCFGVRIVRRRTIAQVLSLIPKEGEGESFMDALARVRRCLGGGGATDNADSDSDLEVVTESVTVNLRCPNSGSRMRIAGRFKPCVHMGCFDLETFVELNQRSRKCPICLKNYSIENLMIDPYFNRITSLLRNCSEDVNELDVKPDGSWRVKGDAATRELSQWHMPDGTLCDSQEDTNPGVESLNEFKREGTSDGHRSLKLGIKRNPNGIWQFSSKADDKKPSVVGNHIQNNTGFPAPNAMISSPTGSYKDGEDASVNQEGGGIQFDISLNQEFDSFPRNFGQAYNTEDRQQQQQQQNAADVIVLSDSDEENDAIVRPPAVYENTATNGNNFPFATNGAKSGYPERYQEDAGVGTSGLGLLSSNAGDFEINNWQMHSYPQPEQGFQFFGTDTDVANPFVASHNSFNIAPEDYSLDCNVGIEEPSAAHDISIIRNSNEMHGSLVGNPLALAGDDPSLQILFPSQPSTVPLQEELSERANAPNGVHPDDWRISLTLAAGGGGNEESTSVDGLQSQPKVTSKEAGVEPLIDAGLSPFFEFDSFLFCYCFVPKDNGLLHFLFFLVARQPFSGSSWSTFQGRHLSFCSPKHEQ >KQL15357 pep chromosome:Setaria_italica_v2.0:III:19165289:19167355:1 gene:SETIT_022893mg transcript:KQL15357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAPPNAGGDLFAANLTGALLAVASSAFIGVSFIVKKKGLRRAGAAGTRAGVGGYGYLLEPLWWVGMVTMLIGEIANFVAYMFAPAVLVTPLGALSIIVSAVLAHFILNEKLQRMGVLGCVLCIVGSTVIILHAPEEETPSSVAQIWHLATQPAFLCYAASALVISMILMLHCAPRYGQTNIVVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWLKLKNINVFSWMGIDNLYTPLPPIYWHIQGNSETGGKQKEDDLLSGDFITVVRQDYFV >KQL13766 pep chromosome:Setaria_italica_v2.0:III:6610388:6611843:-1 gene:SETIT_024465mg transcript:KQL13766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAKVGFPAHKCRPNGMAGRGDLLARDLQDEERGARQGTSRNQMGIPIWKEYWKIRIPHKVLLFGWKVMKNGLATQDNKRIRQQQDCFDSKGKTNKLGMDEGAKLLLLLWRTWQVRNNTTHESEKLSIEGSIRFLQKYWTELCSIRQQQDCFDSKGKNVICESLCTGSSKKKTKKAARWESPEQGWLKINVDGAFDYNAGTIQLTTWKCIPKGRDAEEPEVMADKEGLMLAAEWCDQKAILESDCSIVAGMLAKRDGGRSNLKFILEEAMAAGGRLPAWKILAERTKHSAVWRFMTPMCVEQIIARDCNLTPE >KQL16943 pep chromosome:Setaria_italica_v2.0:III:46921625:46923175:1 gene:SETIT_025671mg transcript:KQL16943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANSLPAKAIAFQKVFQKKVPQFLHLSFVCSE >KQL16401 pep chromosome:Setaria_italica_v2.0:III:39226973:39228341:-1 gene:SETIT_024606mg transcript:KQL16401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKLVALFFAFAVVAATTVQPSEARIGGDQLLHPSTFHNTPPQSPSSSGGAVPPHLLSPPPPSPPAQPTECLTLLIGMMPCMNYLTNLTVLAPPAECCDGLKSIIRDTPICLCHGMTGDMNNLMPLPIDPVRMIILPLTCGAMLSLQTLFSCNIEAARARSGGAAVAGGWAAVAKAGAARVAGAEAARPGPGWGGVGTL >KQL17222 pep chromosome:Setaria_italica_v2.0:III:49042669:49046959:1 gene:SETIT_021276mg transcript:KQL17222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRWPRPPPARDFRVRLVVRRAEGLPPPPAPLSPEGSPEAEAKVFVEVCWKGPKMSPLSSLRRAQRPPRNQTRKEALPAAGTAATPADVEDGATAPAPRMVAVAWEEEFERDAALTAMSHREATAFQPWDISFSVVSESNKMSKNKLVLGTASLNLADYASAAEEEIEIILPLSATSGSTDLSPSLHLTLSLAELKVSPQSPGASQRSVVVAPLSPSSGDSVPSGKDEGSVIKVGLRNLKILRDLVSTRRFKKTNCDGTEEKYYVHSDGAEFSCDTDSLDDDLDDREQDDDLEGSTVRKSFSYGSLQTMNVGALLYAPRIDGDDEGWIHYSHRNSDASYHVEQVPSSTAEEHASIPVRRKRSILPVRWRKTKLPKAKGEPLLKPYGEEGGDDIDYDRRLLTPSDGSVSEGSNGSTNSMVSVFGDDDFVVGNWELKEVFSRDGHLKLSTQVFFASIDQRSERAAGESACTALVAVIADWFQANQDLMPIRSQFDNLIREGSLEWRKLCENETYRERFPDKHFDLETVLHAKIRPLTVAPSKSFIGFFHPEGTEDVSGFDFLDGAMSFDNIWDEISRAAECSTGKSTLYIVSWNDHFFVLKVDADAYYIIDTLGERLSEGCNQAYILKFDDNTMIHKVPAEKKEANPDSSGRLKDSSESSSSTEQDSGTDTEECELVLKGKDACKEYIKSFLAAIPIRELQADIKKGLMASTPLHHRLQIEFHYTESCPAEITMPAQFPTFEFCWPEPPSPTPAMEAVVTHPPPMEVAVTRAVAVV >KQL14851 pep chromosome:Setaria_italica_v2.0:III:14344575:14346087:-1 gene:SETIT_022117mg transcript:KQL14851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEMRTAASGGGSGLRAHSSVCFSGALIDGPRIQQLLLHCAAALESNDVTLAQQAMWVLNNIASSQGDPNQRLTSWLLRALVARACRQLCAPAGTTQEAAAVRPRERAVSVTELAEYVDLTPWHRFGFTASNSAILRAVAGSAAVHVVDLGVTRCMQWPTLIDMLSKRPGGPPALLRITVPSARPTVPPLLGVPDEELGVRLANFAKSKGVRLEFNVAGKGTGTSPASSPEKAAAAAPCQNLASVLSDPPSLALRDGEALVVNCQSWIRHVAPGSRDAFLDAVRALNPCLVTVTDEDADLDSPSLASRIEGCLNFHWILFDALDTSAPRDSPRRAEHEAAVGRKIESVVGADDAGGGAERSESGARLAERMRRNGFAGVAFDEETAGEVRRLLSEHATGWGVKREEDMLVLNWKGHGAVYTSAWMPN >KQL17045 pep chromosome:Setaria_italica_v2.0:III:47800268:47800634:-1 gene:SETIT_025806mg transcript:KQL17045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHRKLLCWAILMHNNRRYMLATPFESCNFNNV >KQL15959 pep chromosome:Setaria_italica_v2.0:III:25993222:25994010:-1 gene:SETIT_022619mg transcript:KQL15959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKGGPENTHCGYRGVRQRTWGKWVAEIREPNRANRLWLGTFPTAEDAARAYDQAARAMYGEVARTNFPRQNAVASSQVAWAATPAQVAPSVVEGVVHSTSCESTTTSNHSDIASTLHKPEVSDLSSSVKVECPEVVEAGSRRSEMVSGTSHQHEDSHPSTQASTPNVGDKEVFEPLEPIANLPEGDFDGFDIDEMLRMMEADPQNEGGAGAGMEQPFYFDGLDSSLLESMLQSEPEPYSLSEEQDMFLAGFESPGFFEGL >KQL15956 pep chromosome:Setaria_italica_v2.0:III:25993222:25994010:-1 gene:SETIT_022619mg transcript:KQL15956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKGGPENTHCGYRGVRQRTWGKWVAEIREPNRANRLWLGTFPTAEDAARAYDQAARAMYGEVARTNFPRQNAVASSQVAWAATPAQVAPSVVEGVVHSTSCESTTTSNHSDIASTLHKPEVSDLSSSVKVECPEVVEAGSRRSEMVSGTSHQHEDSHPSTQASTPNVGDKEVFEPLEPIANLPEGDFDGFDIDEMLRMMEADPQNEGGAGAGMEQPFYFDGLDSSLLESMLQSEPEPYSLSEEQDMFLAGFESPGFFEGL >KQL15952 pep chromosome:Setaria_italica_v2.0:III:25992889:25994892:-1 gene:SETIT_022619mg transcript:KQL15952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKGGPENTHCGYRGVRQRTWGKWVAEIREPNRANRLWLGTFPTAEDAARAYDQAARAMYGEVARTNFPRQNAVASSQVAWAATPAQVAPSVVEGVVHSTSCESTTTSNHSDIASTLHKPEVSDLSSSVKVECPEVVEAGSRRSEMVSGTSHQHEDSHPSTQASTPNVGDKEVFEPLEPIANLPEGDFDGFDIDEMLRMMEADPQNEGGAGAGMEQPFYFDGLDSSLLESMLQSEPEPYSLSEEQDMFLAGFESPGFFEGL >KQL15960 pep chromosome:Setaria_italica_v2.0:III:25993222:25994010:-1 gene:SETIT_022619mg transcript:KQL15960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKGGPENTHCGYRGVRQRTWGKWVAEIREPNRANRLWLGTFPTAEDAARAYDQAARAMYGEVARTNFPRQNAVASSQVAWAATPAQVAPSVVEGVVHSTSCESTTTSNHSDIASTLHKPEVSDLSSSVKVECPEVVEAGSRRSEMVSGTSHQHEDSHPSTQASTPNVGDKEVFEPLEPIANLPEGDFDGFDIDEMLRMMEADPQNEGGAGAGMEQPFYFDGLDSSLLESMLQSEPEPYSLSEEQDMFLAGFESPGFFEGL >KQL15955 pep chromosome:Setaria_italica_v2.0:III:25992889:25996132:-1 gene:SETIT_022619mg transcript:KQL15955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDQKQAMPMQAQAMQPGRKKRPRRLRDGPTSVAAVIQRWAEHNKQLEHDSEGAKRPRKAPAKGSKKGCMKGKGGPENTHCGYRGVRQRTWGKWVAEIREPNRANRLWLGTFPTAEDAARAYDQAARAMYGEVARTNFPRQNAVASSQVAWAATPAQVAPSVVEGVVHSTSCESTTTSNHSDIASTLHKPEVSDLSSSVKVECPEVVEAGSRRSEMVSGTSHQHEDSHPSTQASTPNVGDKEVFEPLEPIANLPEGDFDGFDIDEMLRMMEADPQNEGGAGAGMEQPFYFDGLDSSLLESMLQSEPEPYSLSEEQDMFLAGFESPGFFEGL >KQL15958 pep chromosome:Setaria_italica_v2.0:III:25992889:25996132:-1 gene:SETIT_022619mg transcript:KQL15958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKGGPENTHCGYRGVRQRTWGKWVAEIREPNRANRLWLGTFPTAEDAARAYDQAARAMYGEVARTNFPRQNAVASSQVAWAATPAQVAPSVVEGVVHSTSCESTTTSNHSDIASTLHKPEVSDLSSSVKVECPEVVEAGSRRSEMVSGTSHQHEDSHPSTQASTPNVGDKEVFEPLEPIANLPEGDFDGFDIDEMLRMMEADPQNEGGAGAGMEQPFYFDGLDSSLLESMLQSEPEPYSLSEEQDMFLAGFESPGFFEGL >KQL15953 pep chromosome:Setaria_italica_v2.0:III:25993222:25994010:-1 gene:SETIT_022619mg transcript:KQL15953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKGGPENTHCGYRGVRQRTWGKWVAEIREPNRANRLWLGTFPTAEDAARAYDQAARAMYGEVARTNFPRQNAVASSQVAWAATPAQVAPSVVEGVVHSTSCESTTTSNHSDIASTLHKPEVSDLSSSVKVECPEVVEAGSRRSEMVSGTSHQHEDSHPSTQASTPNVGDKEVFEPLEPIANLPEGDFDGFDIDEMLRMMEADPQNEGGAGAGMEQPFYFDGLDSSLLESMLQSEPEPYSLSEEQDMFLAGFESPGFFEGL >KQL15957 pep chromosome:Setaria_italica_v2.0:III:25992889:25994863:-1 gene:SETIT_022619mg transcript:KQL15957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKGGPENTHCGYRGVRQRTWGKWVAEIREPNRANRLWLGTFPTAEDAARAYDQAARAMYGEVARTNFPRQNAVASSQVAWAATPAQVAPSVVEGVVHSTSCESTTTSNHSDIASTLHKPEVSDLSSSVKVECPEVVEAGSRRSEMVSGTSHQHEDSHPSTQASTPNVGDKEVFEPLEPIANLPEGDFDGFDIDEMLRMMEADPQNEGGAGAGMEQPFYFDGLDSSLLESMLQSEPEPYSLSEEQDMFLAGFESPGFFEGL >KQL15954 pep chromosome:Setaria_italica_v2.0:III:25993222:25996000:-1 gene:SETIT_022619mg transcript:KQL15954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDQKQAMPMQAQAMQPGSRKKRPRRLRDGPTSVAAVIQRWAEHNKQLEHDSEGAKRPRKAPAKGSKKGCMKGKGGPENTHCGYRGVRQRTWGKWVAEIREPNRANRLWLGTFPTAEDAARAYDQAARAMYGEVARTNFPRQNAVASSQVAWAATPAQVAPSVVEGVVHSTSCESTTTSNHSDIASTLHKPEVSDLSSSVKVECPEVVEAGSRRSEMVSGTSHQHEDSHPSTQASTPNVGDKEVFEPLEPIANLPEGDFDGFDIDEMLRMMEADPQNEGGAGAGMEQPFYFDGLDSSLLESMLQSEPEPYSLSEEQDMFLAGFESPGFFEGL >KQL16473 pep chromosome:Setaria_italica_v2.0:III:40628330:40633630:-1 gene:SETIT_024157mg transcript:KQL16473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPRFSDLVGRVMMKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMLGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSKDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIEKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHFRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCTIHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPFGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVLQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTLKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKHFTGEMDVSEGRLSADYDTDLHHRAHHLADQNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGQPVTSIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTYGFGSECPWPWHRDDALPTFYHMWKHVRPVRGNPDRRYRAYTNEFDVLTQHQGDAAVWEDATVPSFGLSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQPERAPLHDYMRVRKSCRRMAQRMNCMTSSDAHDGGNVQGTSSGSRRTALVTTPRAATPSTAAGPSRRSRGKEPASPQESEDSEGEQSENDDPTYGEELEISHDAPPVTQTQGESSQ >KQL16869 pep chromosome:Setaria_italica_v2.0:III:46113454:46116616:1 gene:SETIT_023142mg transcript:KQL16869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPISGAGEARALLAPSAYSIRPPLRRGAAAKAHFCTFPHGASSSHMLTNSRLIIERQSCSHSSTQTYALSRKDFSPITQEVEGFLHNVVNMGFLDRLKLAWKIIFPAPTIKENSNANIAKQRLKMILFSDRCEVSDEAKKKIVENVIEALSEFVEIESRDNVQVDISTDAGLGTVYSVTVPVRRVKPEYQESEEQYRGKIVGVDFKDTGETSGSVDVTFDFFVPNENY >KQL13479 pep chromosome:Setaria_italica_v2.0:III:4704645:4706131:1 gene:SETIT_025085mg transcript:KQL13479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGSGEHGCANESTGAHVIYTRGLFTSSRVLHCLVKGLCQRGEVDAAVRLKDEMAGKAELVQNSAVYGVLVRALFRVGRKGEVDGLLEGMKGRGIVPHRDRVVYNAMIAGFCEDERDPSAAFAVLDDMQKCGCKPNAVTYNMRGCPPDVVTYRMLFDGLCAAGEFHEANRVLIQMVVKGFAPSKDGARKFVEAIEKEGDGGLLESVLCQLAKVNALERSGWEKAVSIVLNDPAELRIEKQLASIRFA >KQL14807 pep chromosome:Setaria_italica_v2.0:III:13976373:13978620:-1 gene:SETIT_023517mg transcript:KQL14807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDVDTEVAAAGQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKRLRKAKKEAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KQL17054 pep chromosome:Setaria_italica_v2.0:III:47834797:47836808:-1 gene:SETIT_023211mg transcript:KQL17054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAPFKLDIDELVADYGKPYKPQFKIYLSLEECRQLKDFISMAKQNGVHLVPALVKRMLDKGMFLFGYMNLLRDNGEKQVEELTAMQNKRVKFACDKLFANTQAESYMHLDLGAEFELDSIKKLSKEYAEAKELALAEASQTVDIEDAKHILQSDKLLGDKIDEVVKDWDAQKEEFYERTGLSRGNELAVIDNGESGVQHHDYDDFDELSQLLE >KQL14088 pep chromosome:Setaria_italica_v2.0:III:8719795:8723996:-1 gene:SETIT_021279mg transcript:KQL14088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPKEMKYRRRGRVPEPVQYGQCSDRSGALDWGALKQDPLELLRKLDEIRDQITRSCELTGQPPERHRMSRRTVSLRPSHAEPPPHAGRGPEYYRSRYAGRYRTSLLQPSPYDQLQRSVSDETYTRQSSGRFRQYPDGRRENYGFGQGSRHHSTCQCAQCLPVQRVVAPEENIPMARYFAGQQGSFRFDRSQPFSSELDRRSVASSLYSDPSMSKRRVEYFRKKAENFCRPLRGAAPFVVCSSCSHLLQMPQGKFTGCKKNQVQCGSCSEIINLKPKEAKVHPVIPQSSFPVPKSVRSSNHRDPKSSGWYQHQDDDNFNFYKLQAHDSHRQKEDFSDNMSPSSTGSYDRTDSERGSSRSIQLKSVPASRSRFSNDPKDILCQGDTGSPQGPILEDKQIDPFSSQRKDYSGGDQIRRKEYDINNKADYEANGGDESLGRKCTEKSKEGHRGVLGDECSNRRTHELKGKHGNVGSPEDRIVGNKYKQKTSNAVTSSLEDEGMSIKYERNGSFRVQSISKRYEKCNKKDDSNTLEVESITKRYEQENIKGDSGKLLHSDNINGDTPAKNNSLVNECTNSSSRVSSEAEVDEMQSSIGKNGDSSFFTGFLKKGFKDLSLFNQSADSAKVSINGHPISERALRKAEKKAGPVGPGSYWYDYRAGFWGVFGQECRGIIPPFIKEFNYPMPKNCAGGNTGVFVNGRELHQKDFDLLVGRGLPRISGKSYSVEISGTVVDDTTGMKLRGLGKLAPTIEKMKRGFGMHIPEETS >KQL13426 pep chromosome:Setaria_italica_v2.0:III:4409090:4413696:-1 gene:SETIT_025230mg transcript:KQL13426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSFYLTEPYLQSIGHAVGNVMESGKTNRLDFTIWTDVAHPEYEQCLLFGERLMSFSQSYPAAFKWLTRLTLQNITFGDSDIHNLLSICNKLELLSLTCCDAVINPVTGEDAILTIDAPHSELLALEVNICRYARIDLTQVPQGMFGSTPFTLSHCLANTPSLSTLYLNFYDQMIWIEPEGPKHLSPIFSNLRAVYLYNIFYDCDLNGLFLLSIPPVERGRREDSAKKVNVLWDQASPDSKHHRLSLLHIIGFAVDEKLMKYIRLVMELASGLKRICLLDQQPCARCDAMDDTQSPSRNRWRFPVEEEEKEVMRHQLVDGLSSSSVEISIG >KQL14351 pep chromosome:Setaria_italica_v2.0:III:10722742:10724061:-1 gene:SETIT_022554mg transcript:KQL14351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKIGWRGAPEHSSVAARAVLEPELPEADLHVGGGDEQLGRLRRLQDLHRALHRAQPQRVRPVQHCPEPAHQPAHVQLGFPRRRRRRRHHHARVLLRHHAPLQLVDLRQLRRAEVAQVPRPHAQEEVRQLRLEPAAGLRVEQVDQRGGAPPGEPVRGAALRGAGPRRRQRPHRVQLRARRAVGLQVGHEAAHQCLQLGRIVGPAGRAEVAAKRAQPAEPEMEPLGRGLQLHSFPKRGHRQHRARGAAGGSREVVCVKCQRPRVGGGIYRVRPRHRSRGAEANGRLRARAGRAYEVAKGGAHAGAHVCPEHWLSGSGRRRRHAGQAGRVVPSDMWPDVPVGLWVW >KQL14214 pep chromosome:Setaria_italica_v2.0:III:9606706:9607581:-1 gene:SETIT_0223512mg transcript:KQL14214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHIVKAGLLKKLPQLMDDKDLSRSQQLALLLLSISSLANTDFPLSASELLPFLVATLGADDVPADTKLPCLAALRNLSTKLEHVRDVVSSGAVHALLSLVPDKKSSEAALSILGELAATSAAGKKAMEEDEVAPRALLEAMMRHESARCQEHATYLVMVLAHGSRALRQRMRQLGVVQALLEVSLLGSPLAQKRAAKILQWFKEEGQSRIRAHSGPRMEGTSCHDDDDVGDGSGGDGDGQEAKECRDTVDKIVKQSLDRNMKSILRRATASVDLTNVKLLVTSSSSKSLPC >KQL16749 pep chromosome:Setaria_italica_v2.0:III:44683020:44683925:-1 gene:SETIT_024299mg transcript:KQL16749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YYWYREALALSRRVSTLLSNVRELSRQKTMLSNENSELRGKAWGLEREKTRMFNENRELQSQVSTLGCKARELQGQNTKLSDELAKQREDTRKAGLLFMDAADTYQQAAKKQIKTKVEELQDTRKASLLLMNAADAYQDVAKKQTKAKVEELEDMKAAVLVLMSAADSYQQEAKRQIKEKAEELKILRVQKAEMDARAASLESELNAALYKNQELEVGYDSVKGENNELRSEIERLMMELGALMDAGEAATKAFDGERTEIMKEFEDLRMMKVEETQANKDLTKVKNDKLPSEVLIADQND >KQL16340 pep chromosome:Setaria_italica_v2.0:III:37889082:37908992:1 gene:SETIT_020945mg transcript:KQL16340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSSRPQIDQFFPAKKRRPSSRKDDPPRLGSQHGSPGGAKGSLEGYLVRSPSTRATVAASAAPAGSPRGGDAGARRSLSAAMDVDVASSATAAGDGADLELKRFTTDFLSHCCSAIPPMRDDSGYGEQLEKKQRRSASQSFLVPCDNASAKKQCIVHCGGLEALKESVEGVKVSCMGFSALQRCSFTPNTTQHCKVGFSLAPGETPKSVSRNSLTSPGEEFWNAAIELADGISARADKVRGRPEFDAAEDKSSCAVAVSSKTLPRSGKDELACQNTVGSTDTHQMEKLSNKVDLLVANSQHIDSSPLPVKHLDFFHMDDIQVSGSKCEEKGSNEAGNVQTNHVQLKESGLQRKENLIDPGNEMKTGVLDLQTDSDSAAMIQCQGVFKSTTEGKLHSIQEGDKDSHQKKSLAAYSNGCKPKKDSKSKFVSQEVEASTPTSSVPLKDHSKLSSWLPPELCAVYMKKGISELYPWQVECLLVDGVLEKRNLVYCASTSAGKSFVAEVLMLRRILSSGNMAILVLPYVSICAEKAEHLEQLLEPLGRHVRSFYGNQGGGSLPKDTAVAVCTIEKANSLVNKLLEDGRLSELGVIVIDELHMVGDQHRGYLLELMLTKLRYAAGEGNSESSSGETSGSSSGKMSTHGLQIIGMSATMPNVAAVADWLQAALYQTDFRPVPLEEFIKVGNQIFDKAMNVVRILPKVADLGGKDPDHIVEMCNEVVLQGHSVLLFCSSRKGCESTARHVAKFLKITSVGPSDVSSEFSDAASAIDALRRCPSGLDPVLEETLPFGVAYHHAGLTVEEREIVESCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPKIGRDFIDGTRYRQMSGRAGRTGIDTKGESILVCKPEEVKRITGIIRSNCPPLESCLSEDKNGMTHAIMEVVAGGIVQTANDINRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKRFLEWNNETKIYSSTPLGRAAFGSSLNPEESLVVLDDLSRAREGFVLASDLHLVYLVTPINVDLEPDWELYYERFMQLSSLEQSVGNRVGVIEPFLMHMAHGAAMPVRGMPQGNTGLRNKTPQAGGNSLINEQTLRVSKRFYVALMLSRLAQEVPVADVCEAFKVARGMIQALQENAGRFASMVSAFCQRLGWSDLEGLVAKFQNRVSFGVRAEIAELTSIPFVKGSRARALYKSGLRTPVAIAEASIPEIAKALFESSNWSGQDDSGLRRMQFGIAKKIKNGARKIVLEEAEAARVAAFSAFKSLGVEVPQFTAPSLPAIEDSPMRDTVVSPCGDQARSNKVALGINAGDDKNKNNSSDYAAPRISTYSLREEHPGSYIQMKENLANNAKITTQEAASPLSTDFIAGSSSTNLADKGPVNAYNFLGGFDCFLDQWSAVSEFCFDVHFIKKAMKPSSNLFEVFGLAVCWENSPIYYCNFPKDLVTTGAKDSSEMWGNFQRRWKKIADIMQQKSVKKMTWNLKVQIQALKSAYISCQRLARFHLDHKVLENIEVLDNSYVLLSPISVYSGLDICLMAWVLWPDEESRTVPNLEKLVKRRLHSEGASAANRDGRWRNQMHKAAHNGCCRRAAQTKALYTVLNKLLVSQNLYDLVHTIEGPLVNVLADMELWGIGADMDACLRARHIIIKKLKELEKEAYRLAGKSFSLNATADIADILYTHLKLPVPKGCEKGKLHPSTDKQSLDHLRDQHPIIPIIKEHRTLAKLLNGTLGSICSRAQLCTQSQRYIIHGNWLQTSTATGRLSMEEPNLQCVEHVVEFNTGKTDKEYSSVSEVDHHQINAREFFIPTQENWLLVTADYSQIELRLMAHFSKDPMLIELLSKPDGDVFTMIASRWVGKEEALISSKERENTKRFIYGILYGMGANSLAEQLECSTEEAAQKIQSFKRYFPGVSSWLHEAVASCRQKGYVETLMGRRRFLTKIMAGNGKEKAKAQRQAVNSICQGSAADIIKVAMIRVHSLITNRMKEDDSTDEVTRNFSEIGGKCHLILQVHDELVLEVDPCMVAQAGRLLQICMEEAASLLVPLRAKIKVGKTWGSLEPFYPEPR >KQL16552 pep chromosome:Setaria_italica_v2.0:III:42364409:42369393:-1 gene:SETIT_022462mg transcript:KQL16552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAAAAATTTTSFAAAATVSSPAAPSRGCVRLSLRGVPCAPRRAVASMAVSTPRSAAAASFLERRESGRALHFVKYQGLGNDFIMVDNRDSSVPKVTPEEAAKLCDRNFGIGADGVIFVMPGSNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFTIHTGAGLIIPEIQSDGQVKVDMGEPILSGPDIPTKLLATKNKVVVQAELEVEGLTWHVTCVSMGNPHCVTFGAKDLKVLQVDDLKLSEIGPKFEHHEMFPARTNTEFVQVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERVCVSFFFLFLIFAH >KQL16550 pep chromosome:Setaria_italica_v2.0:III:42362273:42369393:-1 gene:SETIT_022462mg transcript:KQL16550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAAAAATTTTSFAAAATVSSPAAPSRGCVRLSLRGVPCAPRRAVASMAVSTPRSAAAASFLERRESGRALHFVKYQGLGNDFIMVDNRDSSVPKVTPEEAAKLCDRNFGIGADGVIFVMPGSNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFTIHTGAGLIIPEIQSDGQVKVDMGEPILSGPDIPTKLLATKNKVVVQAELEVEGLTWHVTCVSMGNPHCVTFGAKDLKVLQVDDLKLSEIGPKFEHHEMFPARTNTEFVQVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLEIEWREDDNHVYMTGPAEAVFYGSVVH >KQL16551 pep chromosome:Setaria_italica_v2.0:III:42364235:42369376:-1 gene:SETIT_022462mg transcript:KQL16551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAAAAATTTTSFAAAATVSSPAAPSRGCVRLSLRGVPCAPRRAVASMAVSTPRSAAAASFLERRESGRALHFVKYQGLGNDFIMVDNRDSSVPKVTPEEAAKLCDRNFGIGADGVIFVMPGSNGADYTMRIFNSDGSEPEMCGNGVRCFARFIAELENLQGTHSFTIHTGAGLIIPEIQSDGQVKVDMGEPILSGPDIPTKLLATKNKVVVQAELEVEGLTWHVTCVSMGNPHCVTFGAKDLKQVLQVDDLKLSEIGPKFEHHEMFPARTNTEFVQVLSRSHLKMRVWERGAGATLACGTGACAVVVAAVLEGRAERKCVVDLPGGPLEIEWREDDNHVYMTGPAEAVFYGSVVH >KQL16730 pep chromosome:Setaria_italica_v2.0:III:44492642:44493777:1 gene:SETIT_023415mg transcript:KQL16730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTFAALLLHGRLHSPSPPSKPQPFLALPSNPSRPPASASVSTRPSPARPLLPPAAAASGGERDNRVQELRVPDSWLTPEGAAQESEWLRETLHKWLDDEYCPEAANVDISRTAARSYRESLAAGRSDLGEILLKMAGDLETLSYRDSFHGAFSAANAAVRLITQRMEALSEDGL >KQL13381 pep chromosome:Setaria_italica_v2.0:III:4171800:4175819:-1 gene:SETIT_021256mg transcript:KQL13381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGLSRGAVAAMSRQPGAEVLRPVLQLADAPRLLLAASPPASRRYRLALSDGAHLQLGVLAASLNHLVAGGALRRGTVVRVLEYLTGVIQNQRVIIVIQLEILRSEFALIGSPAIYEANPTQHIGVSCSGGLESHEPCFMPDAEQVVSNSSCFSSHGLLDSLITPSAEPAVNNMPFGGCLSPMQAQSTVNAKMQQLSLNDHQNQRFVVTATSDASGPSGNTYGNSILHSTPMHMDRSHIARNDAPLCITPIDALNPYQARWKIKARVTAKADLKRFTNSKGAGKVFSFDLLDAQGGEVRAICFNLQADQYFDLIEVDKVYLISKGLLKPAQKKFNPLNNDYEILVDHTTSIEICCGDESSLPRQQYNFRQIREIENMEVGAFVDLVGIVTSVGPSAIVMRKDGTEAQKRTLQLKDMSGRSVDIIFWGKFFDAEGQQLQLLCDSDSNPILALKGGRISDFKGRSVVTISSTQLKVNPDVPVAERLKQWYMVEGKNAPCVSLSQDISSLSRICVQKTIAQIKDENLGQSDKPDFITVRAVISYVAVDKFCYPACTLELDGKRCNRKVTSSGDGTWYCDRCNQCSEKCEYRYLLLCQIKDHTGSTYATASQEAAEEIFGHTAQELFMIRNVEQDDAKLAAIMRKILWRECLFKLRIKAQTYNGELRVKCCIVGVEKLDVLGIDHHLLEEIDNLLNDITHPILMDDSSYIPDVGYAKLEARQTMLSSSSACGPLKGLADLAGYGRLNRMPPPLPASSKGFIPNDGLMDWSSQPAGRGGTSLCFRCNRPGHWAKDCFVRAT >KQL13900 pep chromosome:Setaria_italica_v2.0:III:7607948:7608406:-1 gene:SETIT_024885mg transcript:KQL13900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GERWRRGEGMQAAEVAAVVGKVAERLYAEDYDEHEDIAEESVAEVMRWLELEIKLAAGAASPPLPVALHATVGGGSWESCGPSMSKPASTVMASVDGRAGAPPPPAVPWPWPWPFPEPAARNEEEDDDDAADEWIAQLLTDGPAVEGQCGSK >KQL14648 pep chromosome:Setaria_italica_v2.0:III:12636910:12638791:1 gene:SETIT_025306mg transcript:KQL14648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSFEHILVASILPPSFDIDHIDWSEITQKELECSQLNACVINFLRSNLCEDLQDIIFDIEEVRNDAHLIWKLLIATYATPECINEDQVEEELPKECSKSCQISMHTQVSLLAEKKGQDDQDMVPLQELVRLVGQTDQTGSSRETSSVSATTTYEGPSQASFPTSSITSNDEADLCLMAKKKEEEASLEEVNEVLIAQLDMLTSKHKALQATHKELECSHERLVESYAILDIAHEVMVTSLKTTQPLTHTCSCSQVEINSFSTNPCCSQTSQSSIEHVFVESCDDLVARENDELTQEVERLKKDLSELRGKSQVQPSQDNHEDMVKKLEKGSTDTCSTPQLHLKNNKSKIQEENNFKHIKCFNCSKMGHFASTCPNKLKEKKTFSNKQRICYKCKEKGHIGATCSIEANGGKTDPDRLDRSSGKCKQEKQEDTVSRKDKIHICYTCWQKGQMGKDCPNGNVLNSNLVHYDFSNLRNDKVGTYAIKVIDSPQTSVRAIWVPKNLVTNLRGPNKKSYVVKFT >KQL16321 pep chromosome:Setaria_italica_v2.0:III:37334972:37338736:1 gene:SETIT_024992mg transcript:KQL16321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPREVRPPDPTFCVAHMFGELHRGSRMDAEAGNPATPPSGSDSGSGRGHSWEYSLRKYLLLLATLVATVTYTAGFNPPGGVWQDADPTSGRIAGDPIIRDTMRARYLVFFYSNATAFASSLVVIVLILILSVLHDKRRIRLPPLLAVLRFFMVLDLLSLMAAYAAGTFRDVLTAVYSLLLLTGVVVYLVDGVATVATAPEDSALLRLRKVLMLLATFAVSVTYVAGLSAPGDFWDNEENGHKPGDAILKGGPHDARLKAFFVCNTTAFVASLLILIMLLQKKLCFSKKVRSYELYGFIAITLLGLVAAYSAGSCREIDTTVYVNALIGAVVLCIIVQLKDIYRRMSTWLREIRASGGLEEQTQGPQPSRASASRTLEKARSLVLLLATLAAAITYQAGLDPSGGLWQDDDSEAGHIAGDPILLTANPRRYKTFFYCNSVAFVASLVAIVLVRMKTLHHHNALEAAMVLDLFGLIGAYAAGSCRDVGTSIYAIALAGVVLVYVVIHVVFLTLDHSNRRIGDDEVVEKRRKRLLLFAILAATITYQAGLTPPSGFLQKDYESGHHAGDPVLLYNDPRRYKAFFYCNSVSFMLSIALIILLVNPNLYRPAIGSNALSVCTAAGMFGLIGPYAAGSTQHLKTSIYIFALAPLVLSFVIVLVAVFAMIYRKQEDETPETIDEEKLLHAKRKYLMLLGILVASVTYQAGLEPPGAVWQGGPDAGDPVMHDNQRPRYLAFFYCNSTSFVASIVVIILLLPEWLHKEKWWLRVMNTTIVLDLLGLLIAYAAGSSRSWKTTGYVSALVIAVLAYSAVHVLLSCLSRRRAFPAADVCLHPSPP >KQL12615 pep chromosome:Setaria_italica_v2.0:III:44337:51576:1 gene:SETIT_021066mg transcript:KQL12615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPFEICDDLDDDIDEPATAVPADRHHPAAAPTPNGLNDRLLRLARSRQDPNPNPNPIPPPEAAAAEEEGRKVKLAGRRRLCKLAATATHQLLQDEEEDHDDCESIRDIMDDLTTRLDSLSVRKPNPTARPTQQQLAPLPCAITADPDAEDGASSPLRISSSDDEATAITRRAELKPQPTSVASAFTDYACGAVRGKGKGKGTKDAGRINRVSKASSFVDSDSDSDYDDGDEGERTATAYAAKDVARKRRPPKASTFRNNDDSSDDGLGQEKENRGVVENDAEDVGWEKTEDFKMEPTGTAATSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSHLIKRVLIVAPKTLLAHWTKELSVVGLKQKIRDYSGPSTNIRSYELQYAFKEGGVLLTTYDIVRNNYKLIRGNSYNNDDDDEEGTLWNYVILDEGHLIKNNNTQRAKSLFEIPCAHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYESAILRGNDKSATAREKHVGSNVAKELRERIKPYFLRRMKSEVFLDSGASEEKTLAKKNELIVWLKLTPCQRKLYEAFLKSELVHLAIQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGMVEKMAMNLADMAHDDNVLEVGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKVSERERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKATRVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKLQVFKGALFRTATEQKEQTRYFSKSEIHELFSLPQQGFDVSLTQKQLQEEHGQQVVMDESLRQHIQFLEQQGIAGVSHHSLMFSKTATLPTLSENDALDSKPRGMPMMPQHYYKGSSSDYVASGAAFALKPKDEKFTAPRYSPSNRHAESPEEIKARINRLSQTLSNAVLVSKLPDGGEKIRRQISELDEKLTSAEKEKKDKATEVICLDDLSADMESNVLSV >KQL12614 pep chromosome:Setaria_italica_v2.0:III:44337:50661:1 gene:SETIT_021066mg transcript:KQL12614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPFEICDDLDDDIDEPATAVPADRHHPAAAPTPNGLNDRLLRLARSRQDPNPNPNPIPPPEAAAAEEEGRKVKLAGRRRLCKLAATATHQLLQDEEEDHDDCESIRDIMDDLTTRLDSLSVRKPNPTARPTQQQLAPLPCAITADPDAEDGASSPLRISSSDDEATAITRRAELKPQPTSVASAFTDYACGAVRGKGKGKGTKDAGRINRVSKASSFVDSDSDSDYDDGDEGERTATAYAAKDVARKRRPPKASTFRNNDDSSDDGLGQEKENRGVVENDAEDVGWEKTEDFKMEPTGTAATSKPYKLPGRIFKMLYPHQREGLRWLWVLHCRGTGGILGDDMGLGKTMQVSAFLAGLFHSHLIKRVLIVAPKTLLAHWTKELSVVGLKQKIRDYSGPSTNIRSYELQYAFKEGGVLLTTYDIVRNNYKLIRGNSYNNDDDDEEGTLWNYVILDEGHLIKNNNTQRAKSLFEIPCAHRIVISGTPIQNNLKEMWALFNFCCPDVLGDKQQFKTRYESAILRGNDKSATAREKHVGSNVAKELRERIKPYFLRRMKSEVFLDSGASEEKTLAKKNELIVWLKLTPCQRKLYEAFLKSELVHLAIQPKGSPLAAITILKKICDHPLLLTKKAAEGVLEGMDEMLNDQDIGMVEKMAMNLADMAHDDNVLEVGQDVSCKLSFIMSLLRNLVKEGHHVLIFSQTRKMLNLIQEAILLEGYKFLRIDGTTKVSERERIVKDFQEGPGAPIFLLTTQVGGLGLTLTKATRVIVVDPAWNPSTDNQSVDRAYRIGQTKDVIVYRLMTSATIEEKIYKLQVFKGALFRTATEQKEQTRYFSKSEIHELFSLPQQGFDVSLTQKQLQEEHGQQVVMDESLRQHIQFLEQQGIAGVSHHSLMFSKTATLPTLSENDALDRLALLLSTHSIACASFG >KQL13429 pep chromosome:Setaria_italica_v2.0:III:4419828:4428600:1 gene:SETIT_021013mg transcript:KQL13429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSFREGAGPGDAHAPPPTPTPPLSQYISLDSPAWGPDPKQQQQQHWRHPELRRALAADDQADELRRIRASVQDSTGKAKEKVRSLHDAIQKLDKYKNIVTRKRQRTADAGPDKLGSSSGALRMGAQNSSAVMSKRVRSSLADGRVEGRTSVPTRQGPLVSNEKNSPVEKEKSCTRMSATVSVLSEDKLRGLSTGGEGWEKKMKRKRSVGTMLGRGSDADRDVKSVGQHRPANEVRPRSSDGLAYRHGASAGALAGNKLDGTSQQNNIVSRIQSKTDVDYATQPNERRERHTGVDKERTTVKGNKSNTSEDMQNGSLSPLPKAKACRAPRTSSLVMNSSSNFQRSTGGNDEWEEAAPYTNKASPLGGMTNRKRSTHSNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSEGSPLDTAARSTPIESGSVLTKNTPTTKMDSISSPAGLSESEGSVATESKSKEKAMHSGEVGNEGANAAHNAMGLIFSSNKNRIPLKEELEDGGVRRQGRSGRGTMHVKGCSSIPKEKLDTAETRKPIKGGRPGSEKNESKLGRPPMKKGSDRKASSWHSQALNCEPTDITGEPEDDQEELLAAVNAARSAIVGAYSGPFWKKMEPMLTFISSENLSFLKNQINLVEELEMSMSCMSDGEHDIIALSDYRRMQKMEEHSSQVLAPSNFSPPSQQSKTNGVGAKGSIGCFSPGDENHTVPQKLEADKWFNEMAPMAHRLLSALIIEDDLPDSNGVQRDILVEFPNSHNPYTINRYLENELQASAITSNFGLSVDFTHSNSTSMVHQSMCNGFTASSNFINSNSESSVHSEHLSDGVNFTVYPESGSLHDLMPQISRQCQNPVKDFPCSPYEYQYGQMSVEDKILIELQSIGICPETVPKLEDGEDEDINKMISELRKRLHDQVNQKKCRLHKLDKSIQDTKDLEERSLERHAMNKLVERAYRKLKGGRVGSSHKAGVSKSANKAAKQLALAFAKRTLARCQKFDETGKSCFSEPSLWSVLSAPLPSSDAKSTEGVERLKHQKLDRTPFDQAGGTKWKKGERERDHNRDASAKGSGLKSGRHSSGGSGRSGERKNKTKPKQKLAQLSTSGNVLGRVVEPLSSPAVQEPPPEPPSERKTQHPTRNTSSNAAQRGTTDAALPVLPGLDDILDVPGGLDGQGNDISSWFTDGLDDSLQDIDLSGALEIPDDDLTQLGFI >KQL13428 pep chromosome:Setaria_italica_v2.0:III:4419828:4428600:1 gene:SETIT_021013mg transcript:KQL13428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSFREGAGPGDAHAPPPTPTPPLSQYISLDSPAWGPDPKQQQQQHWRHPELRRALAADDQADELRRIRASVQDSTGKAKEKVRSLHDAIQKLDKYKNIVTRKRQRTADAGPDKLGSSSGALRMGAQNSSAVMSKRVRSSLADGRVEGRTSVPTRQGPLVSNEKNSPVEKEKSCTRMSATVSVLSEDKLRGLSTGGEGWEKKMKRKRSVGTMLGRGSDADRDVKSVGQHRPANEVRPRSSDGLAYRHGASAGALAGNKLDGTSQQNNIVSRIQSKTDVDYATQPNERRERHTGVDKERTTVKGNKSNTSEDMQNGSLSPLPKAKACRAPRTSSLVMNSSSNFQRSTGGNDEWEEAAPYTNKASPLGGMTNRKRSTHSNASSPPIAWVGQRPQKMSRTRRANVVSPVSNFDEVLSEGSPLDTAARSTPIESGSVLTKNTPTTKMDSISSPAGLSESEGSVATESKSKEKAMHSGEVGNEGANAAHNAMGLIFSSNKNRIPLKEELEDGGVRRQGRSGRGTMHVKGCSSIPKEKLDTAETRKPIKGGRPGSEKNESKLGRPPMKKGSDRKASSWHSQALNCEPTDITGEPEDDQEELLAAVNAARSAIVGAYSGPFWKKMEPMLTFISSENLSFLKNQINLVEELEMSMSCMSDGEHDIIALSDYRRMQKMEEHSSQVLAPSNFSPPSQQSKTNGVGAKGSIGCFSPGDENHTVPQKLEADKWFNEMAPMAHRLLSALIIEDDLPDSNGVQRDILVEFPNSHNPYTINRYLENELQASAITSNFGLSVDFTHSNSTSMVHQSMCNGFTASSNFINSNSESSVHSEHLSDGVNFTVYPESGSLHDLMPQISRQCQNPVKDFPCSPYEYQYGQMSVEDKILIELQSIGICPETVPKLEDGEDEDINKMISELRKRLHDQVNQKKCRLHKLDKSIQDTKDLEERSLERHAMNKLVERAYRKLKGGRVGSSHKAGVSKSANKAAKQLALAFAKRTLARCQKFDETGKSCFSEPSLWSVLSAPLPSSDAKSTEGVERLKHQKLDRTPFDQGGTKWKKGERERDHNRDASAKGSGLKSGRHSSGGSGRSGERKNKTKPKQKLAQLSTSGNVLGRVVEPLSSPAVQEPPPEPPSERKTQHPTRNTSSNAAQRGTTDAALPVLPGLDDILDVPGGLDGQGNDISSWFTDGLDDSLQDIDLSGALEIPDDDLTQLGFI >KQL13294 pep chromosome:Setaria_italica_v2.0:III:3675256:3675891:-1 gene:SETIT_023801mg transcript:KQL13294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNMNPFVADGEVSVQKVEKIEPVYNLVRRPSVYTNPTAVTVVAKPHADVAVSGQKTMFRGPLKAVLSRKEIDDYITMKKKQFAEPDP >KQL16137 pep chromosome:Setaria_italica_v2.0:III:30514542:30517548:1 gene:SETIT_023610mg transcript:KQL16137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLVLGGPRGSIGKKVRVKERATAALLFSAARASSSSSSCFCPANKTAAAEHPAPHSRRAQLGLCPGKLLTGASSTTPCDLLPLRQAVAARTPTTLLAMTLPSSPTTRSTKCASSVISYSTPSCTCPLGAWHRC >KQL15617 pep chromosome:Setaria_italica_v2.0:III:21769068:21769587:-1 gene:SETIT_025412mg transcript:KQL15617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVTERYFDFHCPSKPQQLACELFDNLLGLIAYACFNICYFTSMSAKFHSCHLSVSIYCLLNIFSTLRKTKFNLISSCYTRSSAVMLPR >KQL16940 pep chromosome:Setaria_italica_v2.0:III:46864504:46865489:-1 gene:SETIT_023357mg transcript:KQL16940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMMMSSYTLPSLVPAAPPRAPEKLFSPAALQLRKQRAAAVASPGAALPWAPWKLPRALRLACHAQPHTYDIPPSALVTPKVGQHGHWKINDDDDRITLEFNVGDKTEEGNLEVATTKDQALLVIRYTGDRSDDSPATSLDARLLMPPGCNKVMKAEILPNGWLEVIIAKPKQEPVNIKVTKQNKIDS >KQL12816 pep chromosome:Setaria_italica_v2.0:III:1011270:1014376:-1 gene:SETIT_022985mg transcript:KQL12816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAVTDEVALPIRAVGDLAAAADVSREEVAVITQCASLGGKLPFDDGSVGAVLAVIKNVESLREQLVAEINRVLQAGGRNSLIYECLCQPNTDIERKLLMGGFVEVQASAASSLDNVQSVTVKAKKASWSVGSSFPLKKATKALPKIQIDDDSELIDEDSLLTEEDLKKPQLPVVGDCEVGATRKACKNCTCGRAEAEAQVEKLELTAEQINNPQSACGSCGLGDAFRCGTCPYRGLPPFKPGEKVSLSGNFLAADI >KQL12815 pep chromosome:Setaria_italica_v2.0:III:1012267:1014089:-1 gene:SETIT_022985mg transcript:KQL12815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAVTDEVALPIRAVGDLAAAADVSREEVAVITQCASLGGKLPFDDGSVGAVLAVIKNVESLREQLVAEINRVLQAGGRVLIHSSAPSSSQKPNTDIERKLLMGGFVEVQASAASSLDNVQSVTVKAKKASWSVGSSFPLKKATKALPKIQIDDDSELIDEDSLLTEEDLKKPQLPVGTVIKSINLF >KQL12817 pep chromosome:Setaria_italica_v2.0:III:1011270:1014224:-1 gene:SETIT_022985mg transcript:KQL12817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAVTDEVALPIRAVGDLAAAADVSREEVAVITQCASLGGKLPFDDGSVGAVLAVIKNVESLREQLVAEINRVLQAGGRVLIHSSAPSSSQKPNTDIERKLLMGGFVEVQASAASSLDNVQSVTVKAKKASWSVGSSFPLKKATKALPKIQIDDDSELIDEDSLLTEEDLKKPQLPVVGDCEVGATRKACKNCTCGRAEAEAQVEKLELTAEQINNPQSACGSCGLGDAFRCGTCPYRGLPPFKPGEKVSLSGNFLAADI >KQL12814 pep chromosome:Setaria_italica_v2.0:III:1011270:1014376:-1 gene:SETIT_022985mg transcript:KQL12814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAVTDEVALPIRAVGDLAAAADVSREEVAVITQCASLGGKLPFDDGSVGAVLAVIKNVESLREQLVAEINRVLQAGGRVLIHSSAPSSSQKPNTDIERKLLMGGFVEVQASAASSLDNVQSVTVKAKKASWSVGSSFPLKKATKALPKIQIDDDSELIDEDSLLTEEDLKKPQLPVVGDCEVGATRKACKNCTCGRAEAEAQVEKLELTAEQINNPQSACGSCGLGDAFRCGTCPYRGLPPFKPGEKVSLSGNFLAADI >KQL15550 pep chromosome:Setaria_italica_v2.0:III:21265605:21266130:1 gene:SETIT_025555mg transcript:KQL15550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAHKKKQIVGWSIIAHNKSTVTLAQAMDTSWLSFLCRYGTERGTVVLVPCTYASVTCSTNVSHPSS >KQL14498 pep chromosome:Setaria_italica_v2.0:III:11578126:11582983:1 gene:SETIT_021841mg transcript:KQL14498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLDSRAADLDKEERPEILSLLPSYKGKSVLELGAGIGRFTGDLAKEAGHVLALDFIESVIKKNESINGHHKNITFMCADVTSPNLKIEDNSFDLIFSNWLLMYLSDEEVEKLVGKMVKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHSYDKNGDSFELSLVTYKCIGAYVKNKKNQNQICWLWEKVKSTEDKDFQRFLDNVQYKTNGILRYERIFGEGYVSTGGVETTKEFVDMLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSVNMVSFAMERAIGRKCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKNPGKPSEEFAAYIKQRGYDLHDVKAYGKMLEGAGFHDVIAEDRTEQFLSVLRRELAEVEKNKDAFVADFTQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >KQL14494 pep chromosome:Setaria_italica_v2.0:III:11578493:11582158:1 gene:SETIT_021841mg transcript:KQL14494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPVVAVANGIGEVERKVQKSYWEEHSKSLTVESMMLDSRAADLDKEERPEILSLLPSYKGKSVLELGAGIGRFTGDLAKEAGHVLALDFIESVIKKNESINGHHKNITFMCADVTSPNLKIEDNSFDLIFSNWLLMYLSDEEVEKLVGKMVKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHSYDKNGDSFELSLVTYKCIGAYVKNKKNQNQICWLWEKVKSTEDKDFQRFLDNVQYKTNGILRYERIFGEGYVSTGGVETTKEFVDMLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSVNMVSFAMERAIGRKCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKNPGKPSEEFAAYIKQRGYDLHDVKAYGKMLEGAGFHDVIAEDRTEQVISSECPLVVEQLLILFLYNACRI >KQL14495 pep chromosome:Setaria_italica_v2.0:III:11578493:11582362:1 gene:SETIT_021841mg transcript:KQL14495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPVVAVANGIGEVERKVQKSYWEEHSKSLTVESMMLDSRAADLDKEERPEILSLLPSYKGKSVLELGAGIGRFTGDLAKEAGHVLALDFIESVIKKNESINGHHKNITFMCADVTSPNLKIEDNSFDLIFSNWLLMYLSDEEVEKLVGKMVKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHSYDKNGDSFELSLVTYKCIGAYVKNKKNQNQICWLWEKVKSTEDKDFQRFLDNVQYKTNGILRYERIFGEGYVSTGGVETTKEFVDMLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSVNMVSFAMERAIGRKCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKNPGKPSEEFAAYIKQRGYDLHDVKAYGKMLEGAGFHDVIAEDRTEQFLSVLRRELAEVEKNKDAFVADFTQVMMHRRAY >KQL14497 pep chromosome:Setaria_italica_v2.0:III:11578126:11582983:1 gene:SETIT_021841mg transcript:KQL14497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPVVAVANGIGEVERKVQKSYWEEHSKSLTVESMMLDSRAADLDKEERPEILSLLPSYKGKSVLELGAGIGRFTGDLAKEAGHVLALDFIESVIKKNESINGHHKNITFMCADVTSPNLKIEDNSFDLIFSNWLLMYLSDEEVEKLVGKMVKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHSYDKNGDSFELSLVTYKCIGAYVKNKKNQNQICWLWEKVKSTEDKDFQRFLDNVQYKTNGILRYERIFGEGYVSTGGVETTKEFVDMLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSVNMVSFAMERAIGRKCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKNPGKPSEEFAAYIKQRGYDLHDVKAYGKMLEGAGFHDVIAEDRTEQFLSVLRRELAEVEKNKDAFVADFTQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >KQL14499 pep chromosome:Setaria_italica_v2.0:III:11579674:11582983:1 gene:SETIT_021841mg transcript:KQL14499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCADVTSPNLKIEDNSFDLIFSNWLLMYLSDEEVEKLVGKMVKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHSYDKNGDSFELSLVTYKCIGAYVKNKKNQNQICWLWEKVKSTEDKDFQRFLDNVQYKTNGILRYERIFGEGYVSTGGVETTKEFVDMLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSVNMVSFAMERAIGRKCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKNPGKPSEEFAAYIKQRGYDLHDVKAYGKMLEGAGFHDVIAEDRTEQFLSVLRRELAEVEKNKDAFVADFTQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >KQL14500 pep chromosome:Setaria_italica_v2.0:III:11578656:11582983:1 gene:SETIT_021841mg transcript:KQL14500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCADVTSPNLKIEDNSFDLIFSNWLLMYLSDEEVEKLVGKMVKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHSYDKNGDSFELSLVTYKCIGAYVKNKKNQNQICWLWEKVKSTEDKDFQRFLDNVQYKTNGILRYERIFGEGYVSTGGVETTKEFVDMLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSVNMVSFAMERAIGRKCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKNPGKPSEEFAAYIKQRGYDLHDVKAYGKMLEGAGFHDVIAEDRTEQFLSVLRRELAEVEKNKDAFVADFTQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >KQL14496 pep chromosome:Setaria_italica_v2.0:III:11578126:11582983:1 gene:SETIT_021841mg transcript:KQL14496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPVVAVANGIGEVERKVQKSYWEEHSKSLTVESMMLDSRAADLDKEERPEILSLLPSYKGKSVLELGAGIGRFTGDLAKEAGHVLALDFIESVIKKNESINGHHKNITFMCADVTSPNLKIEDNSFDLIFSNWLLMYLSDEEVEKLVGKMVKWLKVGGHIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKEGHSYDKNGDSFELSLVTYKCIGAYVKNKKNQNQICWLWEKVKSTEDKDFQRFLDNVQYKTNGILRYERIFGEETTKEFVDMLDLKPGQKVLDVGCGIGGGDFYMAENYDVHVLGIDLSVNMVSFAMERAIGRKCSVEFEVADCTTKDYPENSFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKNPGKPSEEFAAYIKQRGYDLHDVKAYGKMLEGAGFHDVIAEDRTEQFLSVLRRELAEVEKNKDAFVADFTQEDYDDIVNGWNAKLKRSSAGEQRWGLFIATK >KQL17002 pep chromosome:Setaria_italica_v2.0:III:47482601:47484062:1 gene:SETIT_024210mg transcript:KQL17002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRESISNIIITEDRSMYSYSEDCIKNYGVRPSSRWITTEFGGQLGMIFIFFLPCLNMLRVRSVLAFCTLISLCYSRCQSKIESFNQVWRETLR >KQL15761 pep chromosome:Setaria_italica_v2.0:III:23510418:23512595:-1 gene:SETIT_022034mg transcript:KQL15761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQL15759 pep chromosome:Setaria_italica_v2.0:III:23510114:23513157:-1 gene:SETIT_022034mg transcript:KQL15759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQL15760 pep chromosome:Setaria_italica_v2.0:III:23510418:23512595:-1 gene:SETIT_022034mg transcript:KQL15760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQL15900 pep chromosome:Setaria_italica_v2.0:III:25165418:25166268:-1 gene:SETIT_025437mg transcript:KQL15900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPLTWPNHHHRHRSGKTPPVPLGSAGMEFFPTPNPNPNPNSAILHRRRPLRPPTAEDGGDRWRRRGARWRKCYFLLLICYFSHSFPFSVFLTFFDYQIGRVLVISLN >KQL17255 pep chromosome:Setaria_italica_v2.0:III:49279212:49280321:1 gene:SETIT_023873mg transcript:KQL17255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYDRLFVYTNEYSSWREWFLNPDSLSHVTCRSVMFRFPLPSSVSRCFELTLRYVLLWQFLSLTPFMDDEEEISF >KQL16423 pep chromosome:Setaria_italica_v2.0:III:39753642:39754202:-1 gene:SETIT_025525mg transcript:KQL16423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAVVGCLESQRTARRRTTQQARHATSNGGRGPDRFKVFNESEPVCPGPI >KQL12803 pep chromosome:Setaria_italica_v2.0:III:964936:965590:1 gene:SETIT_023553mg transcript:KQL12803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPSQQLCLAAAVDIGSSSTVGCGGGGGLPPPLFLSFSLPPLFLHSQALQWCSAGDDGAAEGGGSLIRYVAACCWPVEAGSAPCFSMATCGMPATGGSLLWGLLLIGGSRIPAVDDGLWIQAMVIAAVEVNGVWDGRDAPRKLC >KQL16248 pep chromosome:Setaria_italica_v2.0:III:35153322:35154526:-1 gene:SETIT_022632mg transcript:KQL16248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYERLGKIAEGASGTVYRARDRRTGETVAIKRLRAGGGNGDGDAFAETFLREARCLEACRGHPCLVELRAAHRDGDGGGAFLVMEYAGRSLSEVVREREGRGAGRRTFPEAEARRVMRRLLEGAAAMHARGVLHRDLKPDNSVKICDFGLSRAAADAGGAPLTPGVATLWYRAPELILGSRDYDAGVDTWALGCIMAELLAGAPLFPGRSEMDQLNRVFDTLGMQDMASWPGFARLPRAGSGLCHRARPPSRLREMFPALSAAGFDVLSGLLSCRPDRRLAAADALRCPWFADAPAAAPEAMPADQLRASCAAAMAASVPGVAEAIIA >KQL17125 pep chromosome:Setaria_italica_v2.0:III:48451588:48460981:1 gene:SETIT_021299mg transcript:KQL17125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLRLHLRRRGGGRSPGSPVPPLPLLLAARLLSSSSSSSAPTSPAAAASPGAGIEKVLVANRGEIACRVMRTARRLGVATVAVYSDADRKALHVRAADEAVRIGPPPARESYLDARAIIDAAHRTGAQAIHPGYGFLSESADFAQLCEAEGLKFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEADKIGYPVLIKPTHGGGGKGMRIVQRPEEFVDSVLSAQREAAASFGVNTLLIEKYITQPRHVEVQVFGDQHGNAIYLYERDCSLQRRHQKIIEEAPAPNVTAEFRSHIGGAAVSAAKAVGYYNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSASTVRVETGVEEGDTVSMHYDPMIAKLVVWGESRSAALVKLKKCLSNFQIAGLPTNVGFLQELASHSSFEEGIVDTHFIERYKDDLLSTSTKASGESRDVAELGAILAAACICKKDHITSKESIRADKKLSVWYNNTPFRMHHFARQPLELEFEEHDGFSEKLLKLFITYKPDGSYFIETEDGTSGLDVKVDHKGDHDFRVDAAGLQTDVTLAYYSKDNSEHIHIWHGKHHHHYRQTLRTEHVVDDTSQPSHASEGKSHPKGSVLAPMAGLVVKVLLEDGAQVEAGQPVMVMEAMKMEHVVKAPRAGYVEGLKVTAGQQVFDSSVLFTIKDNTAN >KQL17126 pep chromosome:Setaria_italica_v2.0:III:48451588:48460981:1 gene:SETIT_021299mg transcript:KQL17126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLRLHLRRRGGGRSPGSPVPPLPLLLAARLLSSSSSSSAPTSPAAAASPGAGIEKVLVANRGEIACRVMRTARRLGVATVAVYSDADRKALHVRAADEAVRIGPPPARESYLDARAIIDAAHRTGAQAIHPGYGFLSESADFAQLCEAEGLKFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEADKIGYPVLIKPTHGGGGKGMRIVQRPEEFVDSVLSAQREAAASFGVNTLLIEKYITQPRHVEVQVFGDQHGNAIYLYERDCSLQRRHQKIIEEAPAPNVTAEFRSHIGGAAVSAAKAVGYYNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSASTVRVETGVEEGDTVSMHYDPMIAKLVVWGESRSAALVKLKKCLSNFQIAGLPTNVGFLQELASHSSFEEGIVDTHFIERYKDDLLSTSTKASGESRDVAELGAILAAACICKKDHITSKESIRADKKLSVWYNNTPFRMHHFARQPLELEFEEHDGFSEKLLKLFITYKPDGSYFIETEDGTSGLDVKVDHKGDHDFRVDAAGLQTDVTLAYYSKDNSEHIHIWHGKHHHHYRQTLRTEHVVDDTSQPSHASEGKSHPKGSVLAPMAGLVVKVLLEDGAQVEAGQPVMVMEAMKMEHVVKAPRAGYVEGLKVTAGQQVFDSSVLFTIKDNTAN >KQL17124 pep chromosome:Setaria_italica_v2.0:III:48451588:48460981:1 gene:SETIT_021299mg transcript:KQL17124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLRLHLRRRGGGRSPGSPVPPLPLLLAARLLSSSSSSSAPTSPAAAASPGAGIEKVLVANRGEIACRVMRTARRLGVATVAVYSDADRKALHVRAADEAVRIGPPPARESYLDARAIIDAAHRTGAQAIHPGYGFLSESADFAQLCEAEGLKFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGAEQDIELLKLEADKIGYPVLIKPTHGGGGKGMRIVQRPEEFVDSVLSAQREAAASFGVNTLLIEKYITQPRHVEVQVFGDQHGNAIYLYERDCSLQRRHQKIIEEAPAPNVTAEFRSHIGGAAVSAAKAVGYYNAGTVEFIVDTLSGEFYFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGERLPLSQEQVPLNGHAFEARIYAENVPRGFLPATGTLHHYRPVPSASTVRVETGVEEGDTVSMHYDPMIAKLVVWGESRSAALVKLKKCLSNFQIAGLPTNVGFLQELASHSSFEEGIVDTHFIERYKDDLLSTSTKASGESRDVAELGAILAAACICKKDHITSKESIRADKKLSVWYNNTPFRMHHFARQPLELEFEEHDGFSEKLLKLFITYKPDGSYFIETEDGTSGLDVKVDHKGDHDFRVDAAGLQTDVTLAYYSKDNSEHIHIWHGKHHHHYRQTLRTEHVVDDTSQPSHASEGKSHPKGSVLAPMAGLVVKVLLEDGAQVEAGQPVMVMEAMKMEHVVKAPRAGYVEGLKVTAGQQVFDSSVLFTIKDNTAN >KQL17151 pep chromosome:Setaria_italica_v2.0:III:48601466:48602546:-1 gene:SETIT_023016mg transcript:KQL17151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKATNQSSNGGARLFRGVPVDDIELEGVTGPHPFSYDELAAATGNFSDDRRLGRGGFGSVYAGFLTDGNHRDVAVKRVSETSRQGWKEFVSEVRIISRLRHRNLVQLLGWCHGGAGDGDLLLVYELMHNGSLDAHLYDPEGVLAWPARYATALGVGDALLYLHQEAERRVVHRDVKPSNVMLDASFTAKLGDFGLARLIDDGRRSHTTGVAGTMGYTKSDKGKFVLRMGWSRNLPLLTLAIVICSSQQHCSEQLD >KQL16799 pep chromosome:Setaria_italica_v2.0:III:45253545:45259113:-1 gene:SETIT_022028mg transcript:KQL16799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSREGHEPDHHPTTTILHRGGGAGDASAGDSGAPPTRQVWVPGPVIVGAGPSGLATAACLKARGVPSLVLEKDPCVAASWRHRTYERLRLHLPRCFCELPLAPFPPGTPPYPTRDQFVAYLDGYARAFGIEPRLGARVRSAAYDAAIGFWRVTVDEDDAEGGGAAATTTEFVSRWLVVATGENAEPAWPEGVEGMDGYRGVAMHTSSYKKGDEFRGKNVLVVGCGNSGMEVSLDLCNNGARASMVVRDKLHVLPREILGISTFGLSVFLLRWLPIKRVDSLLLFFSRLILGDTEKYGLPRPKIGPFQVKSSTGKTPVLDIGALRKIKCGEIKVVPAINRFTGNNGVEFTDGHREDFDAVIFATGYKSNVPSWLKEEEFFSHADGFPRKPFPHSWRGKNGLYAAGFTRKGLMGTSYDAVRIAGDIADQWTEAFASPTAAHRSSDHGA >KQL14746 pep chromosome:Setaria_italica_v2.0:III:13600296:13602041:1 gene:SETIT_022380mg transcript:KQL14746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAPAFDQISLVRSPEPGGASSSFFPGVPAVDLSGPGAAMAVVDACERFGFFKVVNHGVPMGVVDRLEAEAVRFFAKPQAEKDASGPANPLGYGNKRIGRNGDMGWLEYLLLAVDQASVSKASPVPSSSLRDAMNEYVGAVRGVAASVLEAVAEGLGVAPRDALSRMVTGAASDGVFRVNHYPPCPLLQRLRTRAASRLRRAHGPAAGVRAPLQRHGRAAARAPRRPVGARAARPRRPLRHRRRLTGGSDEWEAEKCAAPGGGQQPEAAGVHDLLRGAGAGAADRAPAGAAGARRAGPLQGLHMGRLQEGRLPLAPRGRPPGPLPHLDDDTTCRRLQRTARGLRAPRDHWRTPGSQYDRLVIAHGPGREVGG >KQL13433 pep chromosome:Setaria_italica_v2.0:III:4450176:4451377:1 gene:SETIT_022658mg transcript:KQL13433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQQLALPPLFSFTVKRATSFPRLSNQKPLATATHATLIHHSIPSPRIQAPSIAFPVPGAQLETGGVPRRGCRRRSGDQEASGLADGDVEGLRRAATGTGGLRCSSVGACAAAASGCRSIGGGNGGAWGARRHGASRGHRRLQRGVAACSPAPPPEHFRQGPCPPSPPAVAPSSPPAPRPAPGHAGSLQLTVPRHRRRRNASPSTSLPPPSRRPSSSSLEAFLRRRGHPRRRHAARRRRSQEPDARRCLRQGAGQGPVDRVHAAGRAGAGGGSAGLGRVPVRAAHHGVLRRRVHAGAVPRPGSGGGAGADGGEGLALWLLSRR >KQL15670 pep chromosome:Setaria_italica_v2.0:III:22370257:22371249:-1 gene:SETIT_024250mg transcript:KQL15670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLPPPTATCAALRPDCEFDSMGKRTLALVVYDPAAAQQRDAKRARPSAGAGVLVPYDAAMPADVEPINAVPLNAIAPRLWQAPPAPINREEPPCLRNHVLRELGLQEDLPVHFIHEKRVTDTDLDAHQNRFRIPSDGALRRLRPILTVDELDSANLLYDPASLPKQQPEPELENGDATADQEGKKKRKRRGKVHGGLPVKLVDLAAGASGELLLSRWSSSHGTIVKGGGYMDYVRRCSFKEHDVVEIWAFKQRAFRLLGTTIFDGSPLHVLIVKRDGKQHDCRNCLE >KQL16092 pep chromosome:Setaria_italica_v2.0:III:29067145:29068161:1 gene:SETIT_023096mg transcript:KQL16092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPTIAPIWARALIPNPPKLPPGPPPSFQSFEFQHFTIDVTSDLIVHIKAEYFQATGQYCSTFDVAVAKVWQARAKAIKYNLESEVHVCFFANTRHLLTQVLPKDRGFYGNCFYPVTVTAIAGDVITAGLIDVIRMIRDGKARLPLEFSKWAAGDVKVDPYQLAFEHNVLFVSDWTRLGFFEVDYGWGAPNHIIPFTYADYMAVAVLGAPPSPKKGTRIMTQCVEEKHLMDFKDQMKTFF >KQL14412 pep chromosome:Setaria_italica_v2.0:III:11077293:11078925:-1 gene:SETIT_023690mg transcript:KQL14412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRQGQRVRMYVRGTILGYKRSKSNQYENTSLLQIEGVSTKQDVAWYGGKRIAYVYKAKTKSDGTSIRCIWGKVTRPHGNSGVVRAKFRSNLPPSSMGKKVRVFMYPSSI >KQL13227 pep chromosome:Setaria_italica_v2.0:III:3280280:3286052:1 gene:SETIT_021418mg transcript:KQL13227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIEDLTEDSSADADARVPREAAVGLLPGSVHASLKEEDQPSSSSSSLRLQFIGMGFSPKLVDKVLKRHGDDDSNTILESLLSYSDLQQSGSESSGSLGSLFDSDNEENNSPLESMKGIGQDIKPEPDSFSEKWSYLLQTMKFSQQEVDLAFKKLGDEAPLEQLVNCIVNAQLGGSSGGLENGDTTNEGKAEALFGIMEKTLSLLQKGYTEEEVSSAIDSFGHRATVQVLEDSILARRIANSIEQKEIKVESDFLGEAETDYSTYQTSYSAVRCYDDDVNNTRVKRAKHIFMNDRGASSSNPANPWSMGRCAGTSDMPVKVELEAVTPGCRAKVQGDLAKPPYFLYGNVVEIPKDTWHQLKQFLYNVEPEFVSSQFFSALTRKEGYIHNLPVEGRHVVVPKSPMTIEEALPFTRQWWPSWDTRKHISVVTIEAAGIDQTCERLGRMVRESRGVLSQERQMQIMHQCTVSNLIWVGRDKLSPLEPRQLERILGYPHNHTNLFELNQSDRFAAMRYAFQTDTLSYFLSVLKDQFPHGIRVLSIYSGIGGAEVTLHRLGIPLKCVVSVEESEVNRKILKRWWLKTEQTGELRQLPGIWKLKIQVIEDFITEFGGFDLIIGGNYTSCKGGTTVNTTMGMDSNRFYEYARVVKRVRAAVGVN >KQL14478 pep chromosome:Setaria_italica_v2.0:III:11469293:11470438:-1 gene:SETIT_024576mg transcript:KQL14478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSCLVDDSSSAASTGKKQAPASAPSSRPLQRVGSGASAVMDAAEPGAEADSGGAARAGGGGGGAAVGGKLPSSKYKGVVPQPNGRWGAQIYERHQRVWLGTFTGESEAARAYDVAAQRFRGRDAVTNFRPLAESDPEAAAELRFLASRSKAEVVDMLRKHTYLEELAQNRRAFAAASPSPPPKNNPSSPAAASSAAAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPAAAAVTGECKGVLLNFEDAAGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLHAGDAVGFYRSAGKQLFIDCKVRPKTTAATTPPPVKAVRLFGVDLLTAARPAAALPKQEEMVAVTNKRARDAIAASTPHMVFKKQCIDFALT >KQL16915 pep chromosome:Setaria_italica_v2.0:III:46655506:46658558:-1 gene:SETIT_021558mg transcript:KQL16915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVALWVVLAHVLTCAGVLDAGEQPLSRIAIRKATAAVVDSASVEAHPTVLGLKGQSSDWVVVEFSHPNPSNDDWIGVFSPSGFSSEICQPENWMDLPPFLCTAPIKFQYANFTNDAYNKSGKGSLRLQLINQRADFAFALFSGGLSAPKLIAVSNKVTFENPKAPVYPRLAQGKSWNEMTVTWTSGYNIKEAMPFVEWGPKGGHQMLSPAETFTFGRNSMCGPPARTVGWRDPGYIHTSFLKELWPDALYTYRLGHRLSDGTHIWSKSYSFRASPYPGQDSLQRVVIFGDMGKAEEDGSDEYGSYEKASLNTTKQIINDLENIDMVIHIGDLSYANGYLSQWDQFTAQIEPIASTVPYMIGSGNHERDWPDTGSFYGYNDSGGECGVPAQTTFYVPAENRAKFWYSTDYGMFRFCIAHTEEDWRPGTEQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSASWYEIMMGSYGEPMGREGLQDLWQKYKNRCVQDGSNHYSGRFNATTHVVVGGGGASLSPFRATVPYWSLFRDMDFGFVKLTALNSSFLLFEYKKSRDGNVYDHFTISRNYPDVMACSVDNCPRTTMAV >KQL13372 pep chromosome:Setaria_italica_v2.0:III:4105865:4110536:-1 gene:SETIT_022068mg transcript:KQL13372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSPPSSASAPASHHLRLWWRRRGRAGAAGATFAVALLAAALLLTLSYYASAPLASDSSAAGGRSPALVGLTLVRRAQEKGALCLDGSVPGYHLRRGFGSGSESWLIHLEGGGWCRNLKSCASRQRSILGSSRYMERQVEFTGILSNDKSQNPDFYNWNKVKIRYCDGASFSGNVKDELQNGTRFFFRGQRIWEAVMNELVFKGLRNAKQAFLTGCSAGGLATYIHCDAFHALLPKDSRVKCLADGGFFLDVEDISGKRTMQSFYSDVVRLQHLGEQFSHCNSNLEPGQCFFPREVVKHIVTPVFILNPAYDAWQVQHALAPEASDPQHSWLDCRLDIAKCSSEQLEILQGFRKELHDAISEVKQKRDWGFYINSCFVHCQSLNSLTWHSPTSPRVNNKSIAEAVGDWFFDRREVKEIDCEYPCNPTCHNLVFTRPFKI >KQL15840 pep chromosome:Setaria_italica_v2.0:III:24377609:24380171:1 gene:SETIT_022256mg transcript:KQL15840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTTSPALEPEPAPLPDNDDIHREIFLRLPPLPSSVPRASLVCKRWRRLLSDPAFLRRFRAHHRAPPLLGFFADEDGDIEFVPTLRRPDRIPAARFSAPRKDDGDHLSFLGCRHGLALLVDRAQLEAVVWNPVTGTRRRVPFPPGFNQGHVYKGAVLSSSGDGHVHGDCRLIPFKLVLVHHAELHGSVATACLYESESREWGNVCSTAIPRLSLHQPGVLVGNQIYWMLSGTSDILEFDLDGQSLSVIQKPEDAHVTNNSGLQALRTQDNKLGLATVSKLGIQLWERKTNSDGFGRWVPLKTVELDKLLSISPSIRIRSATILGFDEDSNAFFICTNMGIYMIQLESLQFTKLFDGDCFTAYYPYTSFYTAGLGIGGGDSRAEMLNNTLSQYIL >KQL12664 pep chromosome:Setaria_italica_v2.0:III:254532:256100:-1 gene:SETIT_022179mg transcript:KQL12664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDSSSSPATPLASIGRSIRSLRRDHNQIHSFPTPHPHSDLDAADAFQRRAAKLLSDLLAPDGDLLSLAWTTRLLDAFLLCLVEFRALLFGPGADAASRPPLDRLVADFFDRAVKALDLCNAVRDGLDLVRQWRKHLAIAAAVLSASTDPTAPLGEAQIRRARKALTDLTILMLDDRDGGGGVVGQRNRSFGRASKDARPHGHGQGHHRRSSSGGSSGSGSGSHLRSLSWSVSRAWSAARQLQAIGGGLPVPRPQDITATGGLASAVYTMGAVLFIVSFALVAAIPCQDRGLQAHFSVPRNFPWSDPATTLYDRIVEESKKKDRKNSCGLLKEIHQIELCSRHLMHVTDTAEFPLPKDKDAYAQEAAQELVQVCQSLKDGLDPLERQVREMFHLIVRTRTEILDCLSRPHGAE >KQL15774 pep chromosome:Setaria_italica_v2.0:III:23647781:23648270:1 gene:SETIT_025381mg transcript:KQL15774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTYIKYLVMDKHKYSLFVPHLVTVTCKYVLY >KQL16873 pep chromosome:Setaria_italica_v2.0:III:46134955:46137418:-1 gene:SETIT_025052mg transcript:KQL16873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSAPVESVAYSPRKDLLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHALRLEIIQITTGSRELDQILDGGIETGSITEIYGEFRSGKTQLSHTLCVTCQLPLDQGGGEGKALYIDAEGKFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMVVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGAAMFAGPQIKPIGGNIMAHASTTRLFLRKGRGEERICKVVSSPCLAEAEARFQISSEGVTDVKD >KQL16973 pep chromosome:Setaria_italica_v2.0:III:47197550:47201521:-1 gene:SETIT_021990mg transcript:KQL16973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILAGLAAVFSVLLAAALRRLRLHLGRQPTPAAGFFHPYTNDGGGGERVLWCAVRAVQELRPGLPCAVFTGDADASPYGLAARALDRFGVRLLCPPQVVHLNKRKWIEASTYPHFTMIGQSLGSVYLAWEALTNFTPQFYFDTSGYAFTYPLALLFGCKVISYTHYPTISCDMIGRVKQRSSMYNNNSRIAGSIWLSRCKILYYTIFSWLYGLVGSCAHLVMVNSSWTKSHIINIWKIPERTKRVYPPCDTSALQMLPLERSTTPPVFISVAQFRPEKAHGLQLEAFALALTRLDPEFPKPKLQFVGSCRNKEDLERLQKLKDRAIELHINELVEFHKDISYMDLVQLLGGAIAGLHSMTDEHFGIVVVEYMAAGAIPIAHKSAGPMMDIVLDEDGHRTGFLASEKEEFADAIIKVLRMSEQERQEMAAASRKRAQRFSGQRFHEDFTEAVRPILLLRES >KQL14443 pep chromosome:Setaria_italica_v2.0:III:11259411:11262611:1 gene:SETIT_0217551mg transcript:KQL14443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPACRNYKPHYEKVAKLFNGPDAAHPGIIVMARVDCASKVNLDLCNKFSVDHYPFLVWGPPAKFNSPQWKPKQENSELELIDDGRTAERLLKWINKKMGSSFNLDDKRYENESALPKNASDPEQIVRAIYDVEEATAHAWQIILELKMIKPETRDSLIRFLQILVSHHPSKRCRRGSANVLINFDDHWHTNQSLSSQESSTLLTSVTGDNICGEGVPRGYWMFCRGSKKETRGFSCGLWVLLHSLTVRSGDGESQSTFTSICDFIHNFFICEECRKHFYEMCSSVSVPFKSARDLTLWLWRAHNKVNERLMKEEKDLDTADPSFPKVIWPPKQLCPSCYRSSSRTADGVTQVEWDEDEVFHFLVGYYGKKLVSSYRETSMDSHLQVTKQVGSISDDSSASSAATVPIGAALGVAVASCTFGALACFWRTQQKNRKYYHLRSLKKI >KQL14442 pep chromosome:Setaria_italica_v2.0:III:11259411:11262611:1 gene:SETIT_0217551mg transcript:KQL14442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPACRNYKPHYEKVAKLFNGPDAAHPGIIVMARVDCASKVNLDLCNKFSVDHYPFLVWGPPAKFNSPQWKPKQENSELELIDDGRTAERLLKWINKKMGSSFNLDDKRYENESALPKNASDPEQIVRAIYDVEEATAHAWQIILELKMIKPETRDSLIRFLQILVSHHPSKRCRRGSANVLINFDDHWHTNQSLSSQESSTLLTSVTGDNICGEGVPRGYWMFCRGSKKETRGFSCGLWVLLHSLTVRSGDGESQSTFTSICDFIHNFFICEECRKHFYEMCSSVSVPFKSARDLTLWLWRAHNKVNERLMKEEKDLDTADPSFPKVIWPPKQLCPSCYRSSSRTADGVTQVEWDEDEVFHFLVGYYGKKLVSSYRETSMDSHLQVTKQVGSISDDSSASSAATVPIGAALGVAVASCTFGALACFWRTQQKNRKQRKNWN >KQL14444 pep chromosome:Setaria_italica_v2.0:III:11259411:11262611:1 gene:SETIT_0217551mg transcript:KQL14444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPACRNYKPHYEKVAKLFNGPDAAHPGIIVMARVDCASKVNLDLCNKFSVDHYPFLVWGPPAKFNSPQWKPKQENSELELIDDGRTAERLLKWINKKMGSSFNLDDKRYENESALPKNASDPEQMIKPETRDSLIRFLQILVSHHPSKRCRRGSANVLINFDDHWHTNQSLSSQESSTLLTSVTGDNICGEGVPRGYWMFCRGSKKETRGFSCGLWVLLHSLTVRSGDGESQSTFTSICDFIHNFFICEECRKHFYEMCSSVSVPFKSARDLTLWLWRAHNKVNERLMKEEKDLDTADPSFPKVIWPPKQLCPSCYRSSSRTADGVTQVEWDEDEVFHFLVGYYGKKLVSSYRETSMDSHLQVTKQVGSISDDSSASSAATVPIGAALGVAVASCTFGALACFWRTQQKNRKQRKNWN >KQL15034 pep chromosome:Setaria_italica_v2.0:III:15930581:15935557:-1 gene:SETIT_021313mg transcript:KQL15034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNEEAMAAHKRAFLDFLDQDVGKGVYMQAVRDMVQNKRHRLIIGMDDLRNHNLDLARRVIRSPGEYMQPASDAVSEVARNLDPKFLKEGERVMVGFSGPFGFHRVTPRDLMSSFIGTMVCVEGIVTKCSLVRPKVVKSVHFCPVTGDFLSREYRDISSFVGLPTGSVYPTRDDNGNLLVTEYGMCEYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDCCKPGDRVSIVGVYKALPGKSKGSVSGVFRTVLIGNNVSLLNKEANAPVYTREDLKRMKEISRRNDTFDLLGNSLAPSIYGHLWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAVMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVIAAANPIYGTYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPEIDRQISEHVARMHRYCTDDGGARSLDKQGYTEEDDGDANAGIFVKYDRMLHGQDRRRGKKAKQDRLTVKFLKKYIHYAKNLIQPKLTDEASDHIATSYAELRDGSANAKSGGGTLPITARTLETIIRLSTAHAKMKLRHEVLKSDVEAALQVLNFAIYHKELTEMEEREQREMEMKQQADHDAGVNDGTADGHGSSGNDPMDVDVGNASNDQDVPTERIEAFEAILGQHVLANHVDQMSINDIEQTVIKSQLHLTPDVK >KQL17375 pep chromosome:Setaria_italica_v2.0:III:50108793:50111853:1 gene:SETIT_022436mg transcript:KQL17375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDQESCSDDWVVLDSCTSSDDDDRVLALSSGCGTPSSGSATDSDSDDDTTDIPNPILAAAAAIAATAAADDAEGVYALSDAEDDDAYPPPSPPLPKPLAGLFHHTLARSVSYVAFDPVPGAADAHHGAKQLVPDPTFSALISGDAGVAALASNRGLVCLRGAARGVYYVANPLTFTVARLPRPNLDHFAKGDPAVEDEENSATAADDGRRFYRHYHVVVAFHLGDGIYAFDSFSSRTWGWTVGTGIVAAETVVSSSGVGALGCAFWRTTMGFFLCYEPVSGCADLVPAPMEVLQWPNWELGEMEGKLCATCIGERVSAVVIHLPRLRAPQLRRRRRLDSGRTLRGRLPLGTPGRHAASIAG >KQL16253 pep chromosome:Setaria_italica_v2.0:III:35165431:35167938:-1 gene:SETIT_024370mg transcript:KQL16253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEVSMIPYLAYSLLESPCLFSSILRRVSGFITSDGGAVRCAKGGDEHAMVLRDLLWDTVVDMEGVRAANHHCIHADAGCAPDVMSRKELISCASTKYSNVHSDNMLVVLFL >KQL12777 pep chromosome:Setaria_italica_v2.0:III:800388:802955:-1 gene:SETIT_023309mg transcript:KQL12777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIIIAVVFVLDVLAFVLAIGAERRRSYRGHVREGLLRLQLRRVHGVRRQRAAAAARRPGGRHGRHPLLLLRPRPLAGTLAGMVRHLLRRLLAHIRDRGVVLAGGIGPERIPHQVHPEAQRQPACLRDAPQGRLRRRRRLHLPHGALHRAPLPLLRQITRHRRRAAAHRRRHRHDPHVIHPWSPLSFRLGVVLD >KQL15404 pep chromosome:Setaria_italica_v2.0:III:19652135:19655249:-1 gene:SETIT_021507mg transcript:KQL15404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKLRQALGAVKDQTSIGLAKVGSGGSVAADLDVAIVKATCHSESFPADERHIREILALTCLSRGYVGACVSSLSRRLGRTRSWAVALKTLMIVHRVLADGDPAFERELFYATRRGTRMLNMFDFCDRSRADAWDFSALVRTYAAYLDDRLEHRMQGRHGGGAAPPRGGRPLREEMYYASPGNRYTCDLTFNGRQQDDAVADAEADRALALVARDPPTSEMTVDQLLIKANQLHHLLDRFIACRPVGAAKANRVVAVSLYPLVKESVQLYCELTEAMAALIEQFAEMETADCERVHALFCGLAKQMEELGAFYSWCKDACVCRQSDVPEVEVVTQKKLELMDEFIRDRHAAASQQDFPPPSPSLVLVEEDMNATKALPAPEEPAAAVPEEDTVQAEPEKPLVVADLADEEADFLNLKADAMPADEHGQQLALALFDGNPAGSAPRADAFDHSAADWETALVQSASALASQRAELGGGLNMMVLDGMYSHAKANAAVADAQAFSGSASSVALRPPGAPMLALPAPPGASGAAAGADPFAASALVPPPTYVQMSDMQTKQQFLTQEQVVWQQYGKNGMQGQGALAMLEQRPQQQLQILPHGGYNYPGYPTS >KQL14787 pep chromosome:Setaria_italica_v2.0:III:13848365:13850722:1 gene:SETIT_022410mg transcript:KQL14787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSFAARPSPPLVRVRPASLSCVPPPRSAVVVRCQVDGEAAGKSVGWAPPGPYTGRDPDVKKPAWLRQRAPQGEKYARLRESLGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMLLGDTCTRGCRFCAVKTSNKPPPPDALEPLKTAMAVASWGVDYVVLTSVDRDDLPDGGSGHFAQTVSALKELKPGILVECLTSDFRGDMEAVSSLANSGLDVYAHNIETVKSLQRIVRDPRAGYDQSLAVLKHAKTSKVGMVTKSSIMLGLGETDEEVKQTMADLRAVDVDILTLGQYLQPTERHLTVREYVTPEKFDFWKEYGETLGFLYVASGPLVRSSYRAGELFVQNLVRRKKEKLASIAA >KQL16814 pep chromosome:Setaria_italica_v2.0:III:45472055:45473260:1 gene:SETIT_024440mg transcript:KQL16814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALLRKRKLDGDAPPAVATTNDGQLLPRDMLREVLLRLPAADLCRLRLVCRSWRSLTSDPGFAAAHASRHPPHLAALHSGTGEAHVLDLAGGIVKRVRVYQLGIDRSNDFHLDCVDGCWWQAFVLGVPVGEIATDIAYEEEIRYGKDVPEYVLGHVPSTGEYKVLAINFSLELEGEGDINQGWCLARPVMTCDVMTLGSGSDRRRRRVRPGSPVHVAFALWHRAVVDGAAYFLIDGCDDKTVDPDCIASFDMAAEEWRPMTLHGPQISLLGSTSTKERMHYIRHAEGFWLAGLNGSLVAVHRNDQDWSMDLWELVDMERSLWTKQYSMPRIPFWDSYGFVCPLVVLDDGRIVFWMHNAGVITAYDPKTSSWDYLFGVEQYYDVTMHQGSLLCSHRPLG >KQL15180 pep chromosome:Setaria_italica_v2.0:III:17663559:17665983:1 gene:SETIT_021592mg transcript:KQL15180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRRLRCLSPACLFLAVAVALLAMPGLAAARTRRYTFNVTMATVTRLCATRSIPTVNGRFPGPKIVVREGDRLIVQVHNNINNNVTFHWHGVRQVRSGWADGPSFITQCPIRPGQSYAYNFRIIGQRGTLWWHAHFSWLRATLYGPLVILPPLGVPYPFPKPDREVPLMLGEWFNADPEAVIRQALQTGGGPNVSDAYTFNGLPGPTYNACSAGDTFRLRVRPGRTYMLRLVNAALNDELFFAVANHTLTVVGADASYVKPFTAATLVISPGQTMDVLLTAAAATPPSPAYAIAVAPYTNTVGTFDNTTAVAALEYAPQAALRGLPLPALPLYNDTGAVANFSANFRSLASAQYPARVPRTVDRKFFFAVGLGADPCPSRVNGTCQGPNGTRFAASMNNVSFTMPKTSLLQAHYQRRYSGVLTANFPAMPPMTFNYTGTPPNNTFVTHGTRVVPLRYNTTVEVVLQDTSILGAESHPLHLHGYDFYVVGTGFGNYDANNDTAKYNLVDPVQRNTISVPTAGWVAIRFVADNPGVWIMHCHLDVHLSWGLAMAWLVNDGPLPNQKLPPPPSDIPKC >KQL13190 pep chromosome:Setaria_italica_v2.0:III:3072683:3073780:1 gene:SETIT_023535mg transcript:KQL13190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAALTIRSTAQRPAAAAAAPAHGHRRAAAAVARRRRAPCGSRLRALPPELSEILSPKLVPGSPSDTGDVSSLIPVSVLMLLFYFVSNWVVPELLMKRLQSKAEDQEASAAASMSFSGDDTDGQTDGDASRPKIRLKVKKKKNRKASVEV >KQL17468 pep chromosome:Setaria_italica_v2.0:III:50590178:50597016:1 gene:SETIT_021670mg transcript:KQL17468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRIDGEYCESHLSSALPLLLFRSSSIQERAPTQTTKSDSRVGAGGGGMPRTAAAAPPARKVPLRKLLRAASVACGVQFGWALQLSLLTPYVQELGIPHAFASLVWLCGPLSGLLVQPLVGHLSDRIAPAASPLGRRRPFIAAGAASIAAAVLTVGFSADLGRLFGDDITPGTTRLGAICVYLLGFWLLDVGNNATQGPCRAFLADLTENDPRRTRIANAYFSLFMALGNILGYATGAYSGWYRIFPFTVTPSCSISCANLKSAFLLDIIILVITTYITVSSAQEPQTFGGDEAEHPGTEQEAFLWELFGSFRYFTLPVWMVLIVTALTWIGWFPFILFDTDWMGREIYRGSPDNPEQSQSYHDGVRMGSFGLMMNSVLLGFTSVVLEKLCRKWGAGLVWGVSNIIMALCFVAMLVITYVAKNMDYPPSGVPPTGIVIASLVVFTILGAPLAITYSIPYAMAASRVENLGLGQGLAMGILNLAIVIPQIIVSLGSGPWDELFGGGNAPAFAVAAGASFIGGLVAILGLPRARIASSRRRGGGTHR >KQL15284 pep chromosome:Setaria_italica_v2.0:III:18577451:18583217:1 gene:SETIT_021311mg transcript:KQL15284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRATVSRFPATPEALESCAVQWGVAVTPFAAADERGQPPTTGAGGDRVPRCEHCWAYFSSHCDLERWGWSCALCGTLNGFDDDTARRFQRPDACPELNASFVDLEIPVDEAEGGGDGVQARPVYVAAVDLACSEEFLELIKSSLLAALEALIPGSLFGLMTFSHKIGVYDLQGPIPVVKNVFIPPDLEEDGLPVALEDAMPLLSFLAPVDTCKDRIAAALEILRPTSSWERGAASGQEEDTVLLGGRGFGTAMSALIDYLSSEYGSTFALARVFAFLSGAPDYGAGLLDTRRYGEQYASKGVDADLALLPEQIPFYRDLAAVAVQAGVCIDIFAVTDEYTDLASLKFLSIESGGSLFLYANTDDSTLPQDIYRLLSRPYAFGCVLRLRTSSDFEPGNSYGHFFPDPQYENVQHIICCDSFATYAYDFDFAHNDGFSRHTDPAVVQIAFQYSVIEPVEETSGNESRSSPSYKFCLKRRLRIRTLQYRPARNISEIYDSVDPEVVLHILVHKVILESLDKGVREGRQQVHAWLALLAARYNQALSSDSRTPLSSVDIDFSQCPQLQMVPQLVFALLRSPLLRLHEEGVHPDYRIYLQCLFSALEPSSLAKAIYPVLISYSSPDKQAFPRHTLSRAALIMSESPIFLLDAFTNLIVYYSSTADPSIPFPPPRDCLLRTTINKLKQDRCITPKLTFIHGAKDDSTLFESYLIEEQDVDGSGFTTGSGFVAFRESVRNVAAEIVQEEIGS >KQL17395 pep chromosome:Setaria_italica_v2.0:III:50225525:50225764:-1 gene:SETIT_024201mg transcript:KQL17395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSTAFHSSVLVLPRSTDPSALAANGDPAHLSTTSSTKPAKSARFLSCEMNALASIISLMNLLSKRAMPCSSTVPMS >KQL14212 pep chromosome:Setaria_italica_v2.0:III:9583484:9588288:1 gene:SETIT_021432mg transcript:KQL14212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGRVKAAMGFQRSPATPRPSSSSSARKAPAPAPAPLQLPGSAAAGAGQPETPRRRSSGSPAPSGSGSKAGPFARYFPRSSAQVQPARAASEPGELVRLVEELQERESRLRTELLEQKILKETVAIVPFLETELAAKSSELGRCWAALSRLEAENARLRAELDAAVAAARSSEQRVREVEKEMAEMRKRRREPDDCSSSASSDNSERSNAGSKPAKVVAGLSVLPPPAPPPPPPPPMPAPYKSRSYFSGSSRASPANSSSSDSLSAPSTPTYSSDTAASRSRVPELSKLPPIPAPPPPPPLPPPSSMPTRGRRSASSSPSTSSSNSGSGGAGPPAPPPPPPPAARKTSRASSPATSASTPAPAPCVRRVPEVVEFYHSLMRRDSRWRDGGAAGEAGPGGAAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVQGAAFVDIEDVVTFVKWLDVELSRLVDERAVLKHFDWPEGKADALREAAFGYRDLKKIELEASSFCDDPRQPCSSALKKMQALFEKLEHGVYSLVRVRDGAMSRYRGYQIPWEWMQDSGIVSQIKLQSMKLAMKYLRRVSSELEAIQGGPDEEELMLQGVRFAFRVHQFAGGFDGDTMRAFQEIKEKASTFQSQRESQNQHLHQQRLAGRS >KQL17293 pep chromosome:Setaria_italica_v2.0:III:49567025:49570112:-1 gene:SETIT_022719mg transcript:KQL17293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGSTGFVGRRIVAASLAQGYPTFVLMRPEIGLDVDKLQALLSFKAQGARLVEASLDDRAGLVAAVRQVDVVVSALSGTHRSQTILLQHKLVEAIKEAGNIKRFIPSEFGMDPSRMGHALQPGRAIFDEKMELRRAIEAAKIPHTYISANCFAAYFCPNLAQMGTLLPPKDEVRVYGDGNVKVIFVDEDDVASYTIKSLDDPRTLNKTVYLRPPENILTQNELIAKWEKLSGNVIEKIYIPVDEFLASMKADMDIGGQIAVGHFYHIFYEGCLTNFDIGEDGAEATLLYPEVEYTRMDEYMKRYL >KQL17294 pep chromosome:Setaria_italica_v2.0:III:49566854:49570259:-1 gene:SETIT_022719mg transcript:KQL17294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGSTGFVGRRIVAASLAQGYPTFVLMRPEIGLDVDKLQALLSFKAQGARLVEASLDDRAGLVAAVRQVDVVVSALSGTHRSQTILLQHKLVEAIKEAGNIKRFIPSEFGMDPSRMGHALQPGRAIFDEKMELRRAIEAAKIPHTYISANCFAAYFCPNLAQMGTLLPPKDEVRVYGDGNVKVIFVDEDDVASYTIKSLDDPRTLNKTVYLRPPENILTQNELIAKWEKLSGNVIEKIYIPVDEFLASMKDMDIGGQIAVGHFYHIFYEGCLTNFDIGEDGAEATLLYPEVEYTRMDEYMKRYL >KQL14651 pep chromosome:Setaria_italica_v2.0:III:12689797:12691503:-1 gene:SETIT_021912mg transcript:KQL14651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNPNPAPTVVLYACLGVGHLIPMVELAKLLLSRGLTVVIAVPTPPASTADFFASSASAIAALAAANPAVSFHHLPPPDYPIPDPDPFLQMLDALRLTVPALAAFLRSLPSVAALVLDLFCVDALDAAASTGVPAYLYYTSCAGDLAAFLHLPHYFAATDGGASFKDMGKALLRFPGVPPIPASDMPHTVLDRADRTCAMRLRHYGRIPEARGVLINTYEWLEARVVRALRNGVCVPGRPTPPVYPIGPLIVKGQDATAAGGEQRHACLAWLDSQPERSVVFLCFGSLGAVSAAQLKEIARGLESSGHRFLWVVRSPPDDPSKFFLSRPEPNLDALLPEGFLERTKDRGMVVKMWTPQVEVLRHAATGAFVTHCGWNSVLEAASAGVPMLCWPQYAEQRLNKVFVVDEMKVGVVVDGYDEVMVTAEEVEKKVRLVMESEEGEKLRERLALAKEKVAEALAEGGQSWVAFEEFLNDLKLAK >KQL15484 pep chromosome:Setaria_italica_v2.0:III:20725015:20731752:1 gene:SETIT_021394mg transcript:KQL15484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFATAAASASASGLRLAPFSLPPPHPLRTSPSRGLLHAAGTSFPRPRRCGCGPVVRCAKRTGKRRYPSEKKRLDKRHKELLRQAAPEEGSEGRESGYWRLSKLAVPASDDPGKDFTGISLPLLQAIAKAIKFPVASMLPDEAFTVIRKSFDARKVLKEPQFSYTVDMDVKKLLDMEPRAWDFIARLEPKLGAVEYMPKEKLASDLVSMLNVSNEGYNNELGIRDTHNGSICPQDKKPRVAVIGSGPSGLFASLVLGELGAKVTLLERGQPVEQRGRDIGALAVRRILQSESNFCFGEGGAGTWSDGKLVTRIGRNTDGVQAVMKTFVHFGAPRNILVDGKPHLGTDKLVPLLRNFRHYLKELGVTIRFNARVDDLIVEEGQVKGIVVSDSELRPGSGSQKLSFDAVVLAVGHSARDTYNMLQQHNVDISPKSFAVGLRIEHPQELINSIQVVLTSTDPSELCINGMSFSRRASKWANSALVVTVSSHDFKPFESHGPLAGVEFQREFERRAAMMGGGNFVIPAQRVTDFINNRLSVTTLPSSSYRLGVRPSKLHELFPPYITEALQQSIIMIDREMPGFVSSEALLHGVETRTSSPLQISRHGETYESTSLRGLYPVGEGAGYAGGILSAAVDGMYCGFALAKQLSLFHGDIESFLGKAQKQTGFVKY >KQL14564 pep chromosome:Setaria_italica_v2.0:III:12006319:12008362:-1 gene:SETIT_022942mg transcript:KQL14564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGISAFRFDFSGNGESEGEFQYGSYRKEAADLRSVVLYFSKQKYDIIALIGHSKGGNAVLLYASKYHDVPIIVNISGRFALERGIDGRLGKNFMQRINKDGYIDVKNKKGEFEYRVSKASLEDRLSTDTLLSSRAISKDCRVLTVHGARDEIVPAEDARQFAAYIPNHELRILAEANHRYAGHEQELTSLVLGFVRRSHLQSTSPLRPKL >KQL14563 pep chromosome:Setaria_italica_v2.0:III:12006129:12009958:-1 gene:SETIT_022942mg transcript:KQL14563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEKHPQSPVLYEQRVLISNQHGEKLVGLLHQTCSKKLVILCHGFRATKDDSILVDLAVAITMEGISAFRFDFSGNGESEGEFQYGSYRKEAADLRSVVLYFSKQKYDIIALIGHSKGGNAVLLYASKYHDVPIIVNISGRFALERGIDGRLGKNFMQRINKDGYIDVKNKKGEFEYRVSKASLEDRLSTDTLLSSRAISKDCRVLTVHGARDEIVPAEDARQFAAYIPNHELRILAEANHRYAGHEQELTSLVLGFVRRSHLQSTSPLRPKL >KQL13078 pep chromosome:Setaria_italica_v2.0:III:2424890:2430066:1 gene:SETIT_021018mg transcript:KQL13078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTAGNGGGGGGDTQVLDGGTPPLGSPASDSDSDATQSGGDDGDLYDETQPVDEAETQLVDGVDEEEEEEEDVAGDWGETQLVESGEEDDCDDGDQVKTQLEVENGDDGGGAEDNAGNWTRTQLIQECEVDGVNNGVGGMVETQLVEDSEEEEEEDGVNGGDELSVDEWGKTQLVEDSDEEIGDDELSDRTQVLSDDESLSGDERDAKSGMDKMDVELGMEGNIEGLNGGVEKLGGDENLVESDASTDEEGDTGSGHIQMKLPSVRVASVRTCGISEARGTMSVNGMQQGKQKFSSSAIHPLPKILDESTSFSTSFGGIDNDSRGYVQNHDKDGTKSRDKCSTAKKLFADTTAEDGESNIRCLAGLSYIGSQEPGDLSQANAFDVVDKLISINGGLSSQETTPNKLEMAKPRVSTKRGTLMLAEKVDIGRSSNGKAEIFEWVDSREDDGGGDFFSKNKDILLQKPAGRGKQRSHSTRAKMSSKNSPGENKIGESKNKRSLKLPGRSETLPLSDSRLLKSDVKSKRASGNRTKKNLFKDLDDLSNGKPLEEEQEKADVALHDVGPDTQMAVEAMEALVQCSPAKGQPLSDRDTRAEKSRIAKSHSKNDSPQKRTSSIQGVTTHSKRRKVTASNTNPQKEKMQENSERIVKIKHKQTKSVPLKSKVSKKFIDENKYCGTPVAHRTRHCGRNDPSEFTDLCSNKQLKRGKKLTGDGSTVGEVQKKHIKNNPEKPLISEKTTESGSSHFEKESAEHTCANDDQDLQQSRNGSTQRTGVNNVQNLVACRVEPTTDVPCRGSPSHPKQRRTPTAMVRSKPTTAAKHEIPTEVARPSKKRRIFVRSVSDLLKYAKREPSNGRSASILSSIIASPILNSSVRDDGKTSDLSSSAQRLKESSHVEDTSKSPKSNAQVQNSVIRTPSKVVKELSPTFSPVNPSTGSNRSLSKSSVARELLKLDPESALSNQQRNDSRRRMDMATVSILFSHHLDDDVIKRQKKILARLGVCEAFSMADATHFVADSFFRTRNMLEAITLGKPVVTSMWLENCGQAGCFIDERKYILRDAKKEKELGFSMPMSLASAVKHPLLLGKRVFVTSNVKPSQVVVTSLVKASSGQPLERVGRSIMKENDVPDDLLVISCEEDYQTCAPLLEKGAIIFSTELLLNGIVIQKLEYERHRLFTDRVRQTRSSRWLKDTVRDRFVHVPKRPRG >KQL14932 pep chromosome:Setaria_italica_v2.0:III:14946760:14948685:1 gene:SETIT_024112mg transcript:KQL14932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGVEAKQDASCSIKKTLRCAVRTTWRCAFEYRALFSLLLLLYLLYKYSPGFFAFLLSNSPVIGCTAILLGVLISYGGAHLPEIDEDRKAPEGIAAPKFEISSRNVNIEADQRFSVPAMKENIIREASFGRRDGNKCIDLDESVPLLKGPDLQDERVDAAGGRPVKILTTVPSMGDMKTYAEKRSEGAFLSRDKSDGYANLFEDNVRQDAEIVATPNYEGKVCTDSQSGEVVDASEHKAVDGATSKCRWGRAFSVRRRKKLADIKIEAVNSIVDNQLENPLCSPLTGVGSHDSSSGFDPDNAERDTPDVSMTGTSAVLDETEPLLGADCSHPHHITNDESGNHSSIASHDSQVESDSNVVADNSKAKDDGEEKEDAGTEPALLWTADNEKNVMDLGYSEIERNRRLETLMVRRKSRKNIRFELDSMGDIADDLSHSRLQPISISSRQMNPFTDDAELPGSAPPILHPQKSPFNFLTEQSAETGDLAHHNLEAQESMAVSHQDTLFKRHESFNIGRQPQRHGSRFKPCFVLEEFSFEEAGASSFQRQFSDRSVSRLSIVSECDTVSSVGDQEHNELIRNYIRGVRESPSLLRQDSDLACAGNECSDGISFVDNETLNAVIC >KQL12877 pep chromosome:Setaria_italica_v2.0:III:1425125:1425896:-1 gene:SETIT_024739mg transcript:KQL12877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPPAARSPPPLPPPLAVDVAVIAGVLTAVLLALFLFLIYAKHCKHRGGAGAGGGGRPGLGLGFAPSSCERCRSGLSGSAVGALPAVRFGDMAGAGAGAGRATECAVCLGAFDAAELLRVLPGCRHAFHAECVDTWLLAHSTCPVCRRRPEPTTASGDPAPPVAGMVVPGRRSAGDAEEVQVAAVHDRRRDQRWSTDGLVDRVAYLEAARDRRDLGILVVSSAHGSRGSRSVVVTPRSC >KQL15001 pep chromosome:Setaria_italica_v2.0:III:15483157:15484421:-1 gene:SETIT_024533mg transcript:KQL15001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDADVGKQVQQMVRFILQEADEKASEITVAAEEEFNIEKLQLVESEKRRVRQEYERKEKQVDVRRKIEYSTELNAARIKLLQAQDDVVTGMKESAGDALLRVTKDANTYKRILKGLIVQSLLRLREPSLVLRCREADRSLVEAVLEVAKKEYAEKAKVNLPKVIIDGKVYLPPHRNSRDVHAPSCSGGVVLASQDGKIVCENTLDARLNVSFRQKLPEIRKKLFSKQVS >KQL15948 pep chromosome:Setaria_italica_v2.0:III:25935306:25936723:1 gene:SETIT_024142mg transcript:KQL15948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIGGNKPRITAALMLLAVITMMGHIFVEVEARDMSAGGYSEEAMKARHHKWMAEHGRTYNDEAEKVHRFQVFKENAAFVDRSNAIGGKKYRLAVNKFADMTNDEFLAIYTGFKPVPTGAKKMPGFKYENFTLSDDQQAVDWRKQGAVTGVKNQGTCGSCWAFSAVAAVEGIHQITTGNLISLSEQQVLDCSTGNNGCNGGSMDKAFQYIINNGGLTTEDTYPYTAAQGMCQSVQPTVTISSYQDVPSNNEDALATAVANQPVSVAVDAHNFQFYNGGIMTGESCGNNLNHAVTAVGYGTAEDGSQYWLLKNQWGQNWGEGGYMRLERGTGACGVAQQASYPVASY >KQL14350 pep chromosome:Setaria_italica_v2.0:III:10719625:10721419:1 gene:SETIT_021954mg transcript:KQL14350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTSFVLAVLTLHSVSAMGGSSSVYLPAAQASHRRLLQETNLAARSEHYIPVSIAPRVPSHGSGSFPASSSRKGGNDPKKVAPPPAAVPHRPRASKFHDLSKSMRWLYWIVLPAVGLLLLTGLACWVLPCRKSAVATIGPWKTGLSGQLQKAFVTGVPKLQLSELERACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTTITSSKEWSQHSESCFRKKIDSLSRINHKNFINMLGFCEEEEPFTRMMVLEYAPNGTLYESLHGEDFEHIGWRGRMRIIMGLAYCIQHMHELNPPVVHPDLQSSSILLSEDGAAKIVDMSVWHEVISKGKSPTNGELDRHHEQVSAALAGNVYSFGVILLEIISGKLPEPARERSLVSLVMILTKEHPVN >KQL14349 pep chromosome:Setaria_italica_v2.0:III:10719473:10722203:1 gene:SETIT_021954mg transcript:KQL14349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASTSFVLAVLTLHSVSAMGGSSSVYLPAAQASHRRLLQETNLAARSEHYIPVSIAPRVPSHGSGSFPASSSRKGGNDPKKVAPPPAAVPHRPRASKFHDLSKSMRWLYWIVLPAVGLLLLTGLACWVLPCRKSAVATIGPWKTGLSGQLQKAFVTGVPKLQLSELERACEDFSNIVASYPHYTVYKGTLSSGVEIAVVSTTITSSKEWSQHSESCFRKKIDSLSRINHKNFINMLGFCEEEEPFTRMMVLEYAPNGTLYESLHGEDFEHIGWRGRMRIIMGLAYCIQHMHELNPPVVHPDLQSSSILLSEDGAAKIVDMSVWHEVISKGKSPTNGELDRHHEQVSAALAGNVYSFGVILLEIISGKLPEPARERSLVSLALECINNGDRSMVSLLDPTLKDHKEEELVVIGKVIHACIQSDPMNRPSMREITARLREAIGISPVAATPRLSPLWWAELEVLSTAEGS >KQL13276 pep chromosome:Setaria_italica_v2.0:III:3550809:3551947:1 gene:SETIT_024086mg transcript:KQL13276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSMFMQWAMDTLLNEHPEPAIGDGCGETTFPSLQAMRDASLAVEMVRELMADEANAANSWSSGDGDITDDGSTVPAPDATRDCYGSFRRAPPPLPSSSSTNLPVVSWNFVTGSAQPGTGNGGMLEGTAAPRSLPELVHGSPPTKRTSPKSSGAASSASYAPDHIVAERKRREKINKRLIELSTVIPGLKKMDKATILSDAAKYVKELQQRLKALEEAAAGSNAARRSNETVVLLKKPRNAAVAAPDENGSPSSTTSASSGPPKPALPEIQAWFSEKSAMVRVHCVSGKGVAVTVLAEVEELGLSIVHANVMPFSACTMIITITAKASLLSF >KQL15029 pep chromosome:Setaria_italica_v2.0:III:15835525:15839224:-1 gene:SETIT_024986mg transcript:KQL15029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPFIHQRDASNEGSGDEDNDGSEIEAGAWVEGVWQDPLDPHPLPLREGRSTVDFDPDYNPNPREVMPNIISAQYLLHIFISAPEAETTTSGSQSKKRRGERGRNQYLEGKWRVNVVSLVGECIEAPMVRSKFRNAIGAIIRTKEILDPSISDWLLVPEGRKEAMWKLLKQTFILPRLEELRKQLGEVFHRWRGELNHKYVKKGLSPLMNKGASHHPNGIFHRQVPQDREAAIAAGQPDPFEGLDEHGWQWLAARKPTIVDGKPTFSTVETNQMAEKIYDFSERQRKGESVPNRDKDVLSSALGTKEHGGRVRGVSSKLSIKDGFERDRASYKSHSRYKEDLREAAEKALQTRFKTSVGSTIAQPYPIDSICINTPCSLHISVGRAGKTKEVAKGLAIPVDCLFEGKPIPRYYACVTVLEINSNYGDHEIDIPTAEGVHCLGQSVGNTILRHKRDIILSSEKAKYLDPCAIYKVRHSFLNQWGDNHDKLAKYKTKKDKRAKRGRQHKKAMRRVSSYITYMILWQDRHYIWALYNFQGHWIAFMIQPKSRVVTIFDSLDYDQSTYKEFIFLLQKLVNFLLCTLTLCKFSTTLPMEEFITPRDQKKWLYAQTSMPQATIWFCGYYVCEHIRMLGRYTTDPERASTSRLHEQQLLNIGVDLCRFILREAVNPMGTYYHPEHELAQEDKYVSLREWENQEYRQG >KQL14007 pep chromosome:Setaria_italica_v2.0:III:8160451:8161290:-1 gene:SETIT_025208mg transcript:KQL14007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVSAYIAYMMLKWQDRHYIWTPYNLRAYQHYITNGGIHNPKKPKEMVVRTNFPCHKQPSGSVHCGYDVCEHIRMLGRYATDPEHQQLLNIGADLCRFILREVVNPMGTYYRPEYKLAQEDKYVSLREWENQEYR >KQL13293 pep chromosome:Setaria_italica_v2.0:III:3670634:3671539:-1 gene:SETIT_023792mg transcript:KQL13293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRAKTTMAPPLEGEVRIQKVEKIDLVYNLLTKPSIYANKPMVATGKYPTSGHDVTGWKKTGNTSKGIVSVEDINKRSERYITEMKKRFLG >KQL13099 pep chromosome:Setaria_italica_v2.0:III:2592811:2595460:-1 gene:SETIT_021702mg transcript:KQL13099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRQQSLLRRLPQLRTVAHSPSPWPSGGLGCYYGSAPEGRKGKTAPLQARGMVDKFRMRAKGGDGGSGCVSLRRSRSDRQGRPDGGNGGKGGDVILECSRSIWDFSGLQHHMRGGRGGNGVSKNQIGTRGSDKIAQVPVGTVIHLVEGEQPSFAVNKPTRALDPWDTPGVAEHSSASSNQIENTVTKGFEGGVSHRHIALKHNTEGNETEKESSGRSEDRKYLHACSEPGFSNADCDVRTYPHQEEIDEKDQIESEDEDFWEDEDEFDTDEEEEEEEEEREEQDVQYSVAEMTKPGQRLIIARGGEGGLGNAFIVKEMRPSKAYRQEKIARLSTGKPGTETFLVLELKSIADVGLVGLPNAGKSTLLSALSRAQPEIADYEFTTLRPNIGSLTYEDYFSVKVADIPGLIKGAHKNRGLGHAFLRHIERTKVLAYVLDLAATLNGRKGIPPWEQLQDLVMELEHYQEGLTRRPSLIVANKIDEEGADEMYEELKRRVQGVPIFPVCAILQEGVPDLRVGLRNLMDDSGPQGIDLRKITV >KQL14894 pep chromosome:Setaria_italica_v2.0:III:14684497:14688860:-1 gene:SETIT_022440mg transcript:KQL14894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRKAIFRAKLRETKDKQQRRIDPSLVRYNEFDQPICRVCNITLKSEALWPAHQVSRKHHEAKAAAAAKVAPAAGAGPRLSTKQEQPVESHKQKSSTLPTNFFDNQGTKRQSDDTGSEGRSVRREVAVTQLKAKEASTDKPSVRLDQMAKKESQANTKGILPGNFFDYADEEEAPAPNELSTSGNIANSNHMQVKGVPDGFFDNNKTGNGTQSSEPTSFSKEAKSSETTQVKASLPEGFFDNKDADLRARGIQPQKVDMNDAYKEFEKEIQEDLQEVDDRLEEEEIDAAAEREEYLTLEQQEYRQRVDMLKKQLVESKAARTAKVNSKPVGMDTESSSDSSSEEEDDNTDFAVDWRAQHLK >KQL14027 pep chromosome:Setaria_italica_v2.0:III:8276444:8277820:-1 gene:SETIT_022520mg transcript:KQL14027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRQGTKTQQPAEQEFDPKYEWQESATSFILRLHLSGFRKEDFRVQVDGTGRLTVRGQRAVGGGKQSSFKKIFQLPEASNLDGITGRFDTGVLTLTVPKKVVEDAKPKEDATKAAPPPQEQGEPKEHEAKKPQAAEHKEAAEVTAAKKPKDDAKPKEDATITKKPPAPEQPVDAKRGKPEPELRRPPAPAPPATVSKEEAKPKPTAEVAAPAADKKQATAEAAAPAADKKQATAEAAAPAADKKQATPTPPQADAERKKAVDPESLAAVTAKRRAEEEKAAVAAEEAERQRTRRGLRERVQEELEGLAGSEWAEGLLETVKKNKEVIAAAVAAFSLGLFASRLFSRN >KQL16911 pep chromosome:Setaria_italica_v2.0:III:46621341:46623504:-1 gene:SETIT_024184mg transcript:KQL16911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AQNGLGVGELQHDGTTHPQHRVPRRGGLVRGAAHEPIGLSSPRRPGSVLGRPPGDHVEDVRGRPEVLQGGAQPPQRVGGMAGGDVAALLPRRPPRPGVGVAPVPRPQAEARGAEREDVPRRVVERGEALDLAEGGVRRRGSSRVASGAEGGVEEDRWEFVGKEQIDKLLDKAGGSSDSARSLLAAALPHLESSSASHTGDAKGGLNLITLAEGELEDASIDLTGTVACLKAGILLILDLRNSLYSAASSLAASIARSEDALRAIDRCRGLLSAAKLLLGHPSVPGVDGFIEAERAAAVRALEAALGAIRGGGDVDDEADRRLARAVGLRDEVRRLQHRFTELHGAVELLGEAGALLLRRWPPAPSSPSCTRVASSAAFSSLSSAHHTAGSPARSSTESSGPLGQGASPRSARCRAAPMLAMARARPSSVEAPSSARCVSSAQGPSWRRRFRTS >KQL14323 pep chromosome:Setaria_italica_v2.0:III:10552698:10553501:-1 gene:SETIT_023322mg transcript:KQL14323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTQQDTQLNSQLNNSFGSGYTVFAPTDNAFTSLKPGTLNKLSQQEQVSLVQYHILPQFYSLDSFETASNPVRTQASGSDGPYTLNITADSNNQVNVSTGLVATRVGTALRDTQPLAVYSVDKVLLPNDLFGVKPPSSAPPAPNKKPSKGGSVAEAPAGSADSAPTGAAAGGARVAWWSVLAAVVLAAASSLL >KQL13430 pep chromosome:Setaria_italica_v2.0:III:4430117:4441987:-1 gene:SETIT_020984mg transcript:KQL13430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLLQLTDRGRGLLASRRRTLAVVSGALIAGGALAYARSSQSQRSRRSEANYGSEASELATNGDGLSQNCRLAATKQKKSGLKSLHFLTAILLKKIGPNGTRYLLGLVLTAVLRTAVGHRLAKVQGFLFKAAFLRRVPTFTRLIIENLILCFLQSTLYQTSKYLTGSLSLRFKKILTDIAHADYFENMVYYKMSHVDHRVSNPEQRIASDIPKFSSELSELVQDDLAAVAEGLIYTWRLCSYASPKYVFWIMAYVLVAGGAIRKFSPAFGKLKSTEQQLEGDYRQLHSRLRTHAESVAFYGGENREASHIMQRFDALVGHLNLVRHENWWFGMIQDFFLKYFGATVAVVLIIEPFFSGNLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGILSISSRRLNILSGYADRIRELLDVSRELSGIRDKSLNHNSSSGNYISEANHIEFSDVKVVTPAGNILVNDLTLRVETGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLREQLIYPLTEDQEIERLTYDGMVDLLKNVDLEYLLERYPLDKEINWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCKKVRAMGTSCITISHRPALVAFHDIVLSLDGEGGWNVQHRREDSSFSTEESDFSSLETDRKSDALTVQRAFMSRAKSNASLGSKDHSYSTEVIATSPKVEIEHAVRTSRVPHLRCHPRPLPLRVAAMLKILVPKLLDKQGGQLLAVAVLVFSRTWISDRIASLNGTTVKFVLEQDKVAFIRLIGVSILQSAANSFVAPSLRTLTAKLALGWRIRMTNHLLRYYLKRNAFYKVFNMSGKSIDADQRLTLDVDKLTTDLAGLVTGMVKPVVDILWFTWRMKLLSGRRGVAILYAYMLLGLGFLRAVSPDFGRLSGQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMVDAKFVKLLNHSKILLRKQWLYGIVDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEEIICAAQRNTVVSSNAISASSEDIISFHEVDIVTPSQKLLASKLSCNVVQGKSLLLTGPNGSGKSSIFRVLRDLWPTFSGRVIKPSEGMFHVPQRPYTSLGTLRDQIIYPLSREEAEMKVLSLHQAGNRSSASILLDDHLKTILENVRLVYLLEREGWDSTPNWEDVLSLGEQQRLGMARLFFHHPKYGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHALELKLIDGEGNWELCSIRQ >KQL14753 pep chromosome:Setaria_italica_v2.0:III:13670268:13670998:-1 gene:SETIT_023939mg transcript:KQL14753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSGALFSSVYFVNNEFKLASAVNSSPTWCIMTKIHTLIVNEMWKLLELFGGRYQIPARY >KQL16768 pep chromosome:Setaria_italica_v2.0:III:44902751:44904431:-1 gene:SETIT_025358mg transcript:KQL16768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARRLASPFAAAAARLLSTAAPAASSGGGGGRGAGRGDTLGKRLLQLIYPKRSAVVVLRRWAEEGRTVQKYQLNRVVRELRKYGRYKHALEIMEWMRTQTEMRLLPGDHAVHLDLVAKVRGLPSAEKFFEDMPERAKAPSTCNALLHAYVQNGAREKAEAMLAEMARAGYLTCALPFNHMMSLYMASGELERVPEMIKELRRYTVPDLVTYNIWLTYCSKKNSVKSAEKVFDLMKDDRVVPDWMTFSLLASIYINAGLHVKGRDALVEMEKRASRKERAAYSSLLTQYANLSDRGNLDRVWNKMKQTFRKFSDTEYKCMLTSLTRFDDIAEAMNIYREWELASGTRDSRIPNTILSHYIKNGMIEKAESFLSHIVEKRVKPSYSTWELFVWGYLGNNRTDKVLECLKKALSSLEKWEPNHKLATAIFSQVEKMGDIETAEKLLVMFRDAGYVTTEMYNSVLRTYAKAELMPLIVEERMEQDKVAMDEETRRLLRLTSKYPIGEVSTLMS >KQL13448 pep chromosome:Setaria_italica_v2.0:III:4515662:4522278:1 gene:SETIT_021042mg transcript:KQL13448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAVEDKDVDAFLADCRTSGDAAYGAAKAVLERLHASATRPAARRLLGAVRRRFAADPAAGEDCFRTFHFRIHDVVLDPHVQGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPLKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIITENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPSGIMVFNMGGRPGQGVCQRLFERRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPICARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKSNPCEPPAGCLNFRKLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALQLFSPALAIVDEHLTRHLPKQWLTSLAIEGRADCNHAEGTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLNATKDVGSRLFLDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYKALSQTIELLEGHTSLISQHYYGCLFHELLAFQIADRHPQQEGTLLFPLGTNGHYISAAKFVNASTLTIPTTFSSGFKIEPKVLADTLKNVSRPWVYISGPTINPTGFLYSDSDIQELLSVCAEYGARVVIDTSFSGLEYQTDGWSRWNLEGCLSSLKCSKPSFSVVLLGELSFELIAAGHDFGFVILNDPSLVDAFHSFPSLSRPHSTLKYTFKKLLGLKNQKDQHFSDLMAEQKDELKNRANQLIKTLQSCGWDVASGCAGISMLAKPTAYIGKPFKADGFEGKLDASNIREAILRATGLCINSSSWTGIPDYCRFSFALESGEFERAMGCITRFKELVLGGNAQTQMNGN >KQL13447 pep chromosome:Setaria_italica_v2.0:III:4515662:4521980:1 gene:SETIT_021042mg transcript:KQL13447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAVEDKDVDAFLADCRTSGDAAYGAAKAVLERLHASATRPAARRLLGAVRRRFAADPAAGEDCFRTFHFRIHDVVLDPHVQGFQQRKKLTMMEIPSIFIPEDWSFTFYEGLNRHPDSIFRDKTVAELGCGNGWISIALAEKWSPLKVYGLDINPRAVKIAWINLYLNALDDDGLPIYDGEGKTLLDRVEFYESDLLSYCRDNKIELDRIVGCIPQILNPNPEAMSKIITENSSEEFLYSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPSGIMVFNMGGRPGQGVCQRLFERRGFRITKLWQTKIMQAADTDISALVEIEKNSRHRFEFFMDLVGDQPICARTAWAYMKSGGRISHALSVYSCQLRQPNQVKKIFEFLKDGFHEVSSSLDLSFDDDSVADEKIPFLAYLASFLKENKSNPCEPPAGCLNFRKLVAGFMKSYHHIPLTPDNVVVFPSRAVAIENALQLFSPALAIVDEHLTRHLPKQWLTSLAIEGRADCNHAEGTVTVIEAPRQSDLLIELIRKLKPQVVVTGMAQFEAITSAAFENLLNATKDVGSRLFLDISEHLELSSLPSSNGVLKYLAGKTLPSHAAILCGLVKNQVYSDLEVAFAISEDAAVYKALSQTIELLEGHTSLISQHYYGCLFHELLAFQIADRHPQQERQPAEVIPQQMIGFSDPAMSTLKAAEFFIPDSNESSVIHMDLDRSFLPVPSAVNASVFESFVRQNITDSETDVHSSIQQLVKDSYGLSVDGCSEIIYGNASLALFNKLVLCCMQEQGTLLFPLGTNGHYISAAKFVNASTLTIPTTFSSGFKIEPKVLADTLKNVSRPWVYISGPTINPTGFLYSDSDIQELLSVCAEYGARVVIDTSFSGLEYQTDGWSRWNLEGCLSSLKCSKPSFSVVLLGELSFELIAAGHDFGFVILNDPSLVDAFHSFPSLSRPHSTLKYTFKKLLGLKNQKDQHFSDLMAEQKDELKNRANQLIKTLQSCGWDVASGCAGISMLAKPTAYIGKPFKADGFEGKLDASNIREAILRATGLCINSSSWTGIPDYCRFSFALESGEFERAMGCITRFKELVLGGNAQTQMNGN >KQL14708 pep chromosome:Setaria_italica_v2.0:III:13044098:13044698:1 gene:SETIT_025206mg transcript:KQL14708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLLPAAAPFLPRPCHHAPLLSPSARPFQPSSVLMVQWTPPPPGWFKMNFDASAARSATAAAVVLAFAERTEHSTVGIVEARAMIRGLRLALGLGLRRVVAEGDDLVLVQLLRGEETQTRIPIAMQEELHGLLRCFAGRDVRHVYREGNQVAHVLCRQAYHSPGVWVGIVPSTVFEKAEDDMAAGLA >KQL15592 pep chromosome:Setaria_italica_v2.0:III:21503856:21504755:1 gene:SETIT_023152mg transcript:KQL15592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLAAVLVAACAVLLALAAPLLAGDPDMLQDICVADYKSIQGPLRVNGFPCKREANVTAEDFFFGGLAKAADVYTGNPVGSAVTAADVEVLPGLNTLGVSMARTDFAPSGGVSPPHAHPRATEILFVVEGALEVGFVTAANRRLLGRTVRAGEVFVFPRGLLHFQRSVGAVPAVAISAFNSQLPGTQAAAGALFGAAPAVPTDVLARAFQIDGGVVESIKSKFTPK >KQL15241 pep chromosome:Setaria_italica_v2.0:III:18102332:18105839:-1 gene:SETIT_021709mg transcript:KQL15241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRSCVSVKTAVSPVRYRSTRVGAAGLGAPGRLRICSSSVASAADARGDGGCAASSSGRGVVVNGGLGVLGGDGPRIRRRDVVATCSASFDGVRPVPAAAAAVASAVQPAVASSSAFPERAKVVALVAAIMLLCNADRVVMSVAVVPMAAQYGWSSSFVGIVQSSFLWGYVCSSMVGGALADKYGGKKVMAGAAALWSLATILTPWAASRSTTMLLAVRALFGLAEGVAFPTMSTFLPKWFPTQERATAVGISMGGFHLGNVISFLATPIIMSHIGLTGTFAFFASLGYLWLSVWMFNVESDPIDSRTISKSELQFILDGRSGSKVKGSKGPSLRELFSKFEFLAVTIANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMAMSGYVAGASADFLIKSGFSIGLVRKIMQSIGFMGPGVSLLCLRFAQTPSVAAVLMTIALSLSSFSQAGYFCNIQVMFPSCPDQ >KQL15240 pep chromosome:Setaria_italica_v2.0:III:18101833:18105839:-1 gene:SETIT_021709mg transcript:KQL15240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRSCVSVKTAVSPVRYRSTRVGAAGLGAPGRLRICSSSVASAADARGDGGCAASSSGRGVVVNGGLGVLGGDGPRIRRRDVVATCSASFDGVRPVPAAAAAVASAVQPAVASSSAFPERAKVVALVAAIMLLCNADRVVMSVAVVPMAAQYGWSSSFVGIVQSSFLWGYVCSSMVGGALADKYGGKKVMAGAAALWSLATILTPWAASRSTTMLLAVRALFGLAEGVAFPTMSTFLPKWFPTQERATAVGISMGGFHLGNVISFLATPIIMSHIGLTGTFAFFASLGYLWLSVWMFNVESDPIDSRTISKSELQFILDGRSGSKVKGSKGPSLRELFSKFEFLAVTIANVVNNWGYFVLLSWMPVYFKTVYNVNLKQAAWFSAIPWAVMAMSGYVAGASADFLIKSGFSIGLVRKIMQSIGFMGPGVSLLCLRFAQTPSVAAVLMTIALSLSSFSQAGYFCNIQDIAPKYAGSLHGLTNGIGTVAAIVSTIGTGYFVQWLGSFQAFLTLTAALYFSATIFYNVYATGDLIFD >KQL16151 pep chromosome:Setaria_italica_v2.0:III:31792840:31793199:1 gene:SETIT_024212mg transcript:KQL16151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATVVAPPVAIASRAGLPARSSRFLAANAAPVVYDCSKKSASVCLAPGSPGATCCGGQCVDTVFSPYHCGGCNKVCKNRHGTCCGGNCVDLDSDKDNCGRCGNQCSKKCSYGFCDYA >KQL16916 pep chromosome:Setaria_italica_v2.0:III:46659222:46664106:-1 gene:SETIT_021348mg transcript:KQL16916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTSWSAVSAYVSEYWSVIIATAVFACVGAATIYYTVNQLNKNISLSLIKALKVRAKRYKKWKDKVPAASHVWRKEVVPRSKGLKCCVCLKSVSPRQYSGGTIHQCDICGAAAHPSCSGNAHEDCKCVSMAGLNHVLHQWAVQWIDTADHSEEDSFCCYCDESCNGAFLAGYPIWYCMWCQRLVHVDCHTSLAKETGDICDLGPLKRLILSPLCVKELHWTGAGIFSSITSGANELASTVRETIMIRRKRYKKGTASADSDSSGAIELPSDAEGDSQEANSAAKRRDDQANGKLNEVHQSSESEKDKQPVPDNTAATSRSNGQRKNSHVQNSQKYEIVNVPSDSRPLLVFINKRSGAQSGDSLRQRLQILLNPVQVFELSKHQGPEVGLALFRKVTHFRVLVCGGDGTAGWVLDAIEKQKFEAPPPVAILPAGTGNDLARVLCWGGGLGVIEKRGGLFSVLQDVEHAAVTVLDRWKITIKDNQGKLMAPPKFMNNYFGVGCDAKVALDIHNLREENPERFYSQFMNKVLYAREGAKNIMDNTFDYFPWDVKLEIDGSKIDIPQDSEGILVANIRSYMGGVDLWKNEDDCSDAYLPQSMHDKKLEVVSFTGMLHLGRLQVGLSRAKRLAQGHHIKIEISIKMPIQVDGEPWSQEPCTIEVSHHNQAFMLKRVSEEPLGHAASVMADILENAENSGIISALQKRTLLQEIASRLL >KQL16834 pep chromosome:Setaria_italica_v2.0:III:45710111:45712788:1 gene:SETIT_023694mg transcript:KQL16834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTCRRIASTIFQTSCDFDAKPMVMLLGQYSTGKTTFIKHLLKTRYPGAHVGPEPTTDKFVVVMLMRVYGALMWSLGKVLNTPEVVRVYNGLSRKYDTTFWLVLRRGCKI >KQL16833 pep chromosome:Setaria_italica_v2.0:III:45707229:45712788:1 gene:SETIT_023694mg transcript:KQL16833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLLGQYSTGKTTFIKHLLKTRYPGAHVGPEPTTDKFVVVMLMRVYGALMWSLGKVLNTPEVVRVYNGLSRKYDTTFWLVLRRGCKI >KQL14953 pep chromosome:Setaria_italica_v2.0:III:15046386:15051351:-1 gene:SETIT_021683mg transcript:KQL14953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPDDGKMKGCQPKLFGTKDKKAAKRTDRASCSTAKCGSSNSKSPSSSPFRKLSEVRSIRISHFLSHSANATKYEHIRIFVSTWNVGGKAPTAELKLDDFLPADDHSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLALINRVLNRQVDTDADIFQHKPSPSLDSTSSQSTPGLDASFSNRSRTASGSVIFQKSLKSIRKSYMPSRRKQLKFCNCPVEMAKKSYKDACFRCPQAYANEMDSSEEDELDDKLNNIFGLNDDGVTSSATASRDQLKYNLISCKQMVGIFVTVWAKKELVQHIGHLRTSCVGRGIMGYLGNKGCISVSMTLYQTSFCFICSHLASGEKEGDELRRNLDVLEILRLTQFRRICRRAGRRIPEKILDHDRAIWLGDLNYRISLSYEDTKKLLTENNWDALFEKDQLNIQRASGRAFKGWSEEKIYFAPTYKYSCNSDSYAGEAATSKKKRRTPAWCDRILWHGDGISQLSYFRGESKFSDHRPVCGTFILEVEMLDGKSKRRSSNTNIRIGAEELLPTSKHNKGAGT >KQL14680 pep chromosome:Setaria_italica_v2.0:III:12931867:12932648:-1 gene:SETIT_025640mg transcript:KQL14680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPTLRNRVGVSFLGLFRRRLLRGRRQCLRLQILILSAMIWPMAILVCLAFNDPSALRSVRCAN >KQL14554 pep chromosome:Setaria_italica_v2.0:III:11984870:11988921:-1 gene:SETIT_021307mg transcript:KQL14554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAARRGGAMRPPGRSMRAAVARSPAASFLLAVAASATVVGGLYFWVVVSSFRLPDSGAAGCRPDGEGSWSVGMFYGSSPLELRPIELEGRSNGNSSAWPVANPVLTCATPTEAGYPSNFVADPFLYVEGDTLFLFFETKTTASMQGDIGVARSFDKGATWEFLGIALDEAWHLSYPFVFKYENEIYMMPEGNKKKELRLYRATKFPLEWTLEKVLVNKPLIDASLVQFEGYWWLFASDFTRYGVEKNAELEIWYSNSPLGPWTEHKKNPIYKSDKSLGARNGGRLFIFEGSLYRPGQDCSGTYGRRVKLYRVEKLTKEEYKEVPVKLGIEEPKKGRNAWNGMRYHHMDAQQLASGGWIAVMDGDRVPSGDSTRRSLFGYIGFLLAIALVFFVGFVKGAISCYIPPNFWVALTRGELSRILPGNRFNQKVRRYSTNIGRYISATKTRLNEKTWSNMLFFWVVALIGIVNVCIAVHFLCGGNGAEEAYTYQGQHSQFTMVTMTYEARLWNLKLFVEHYSRCESVREIVVVWNKGNPPSSDAFDSTVPVRIRVEEINSLNNRFRVDPLIKTRAVFELDDDIMMTCTDLEKGFKVWREHPERMVGFYPRMIDGNPLQYRNERYARGKKGYNLILTGAAFMDSEFAFKKYWSEEAREGRDYVHKNFNCEDVLMNFLYANASSSRTTDSFRTVEYVHPAWAIDTSKLSSAAISRNTQKHYDIRTNCLSKFSSIYGPLPQKWEFGMREDGWDK >KQL16444 pep chromosome:Setaria_italica_v2.0:III:40126613:40127014:-1 gene:SETIT_025605mg transcript:KQL16444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGLSSCRKLVAWHTVNSSLMQENLHLILQVFFLKEIVFINANGWTMVFKFSA >KQL13879 pep chromosome:Setaria_italica_v2.0:III:7406143:7409151:-1 gene:SETIT_022345mg transcript:KQL13879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPSPASRRPTGPLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAQHHLVHLLPLLRAALAEAGIAPSDLACVCYTKGPGMGGPLQVAAAAARALSLLWSKPLVAVNHCVAHIEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSFIEATAIEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGETTPLEESTFTQRFRTDEVHAIWREKEMPVMTNIHTDAMAEVSKDEASMPTPIIVDS >KQL13880 pep chromosome:Setaria_italica_v2.0:III:7405418:7409151:-1 gene:SETIT_022345mg transcript:KQL13880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPSPASRRPTGPLALGLESSANKIGIGVVSLSGEILSNPRHTYVTPPGHGFLPRETAQHHLVHLLPLLRAALAEAGIAPSDLACVCYTKGPGMGGPLQVAAAAARALSLLWSKPLVAVNHCVAHIEMGRAVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLELSNDPSPGYNIEQLAKKGEKFIDLPYVVKGMDVSFSGILSFIEATAIEKLKNNECTPADLCYSLQETLFAMLVEITERAMAHCDSKDVLIVGGVGCNERLQEMMRIMCSERGGRLFATDDRYCIDNGAMIAYTGLLAYAHGETTPLEESTFTQRFRTDEVHAIWREKEMPVMTNIHTDAMAEVSKDEASMPTPIIVDS >KQL12717 pep chromosome:Setaria_italica_v2.0:III:575166:576276:-1 gene:SETIT_024728mg transcript:KQL12717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QAKMETPPPSRVRLACLAFNRGRYSDAPILFSLSERKAVPSDMDDLAGNTTWATPHGWFLIQLPPVQDDVPADCTYLLSDKPTDISGGSGCVVLLLDKMDPILWYCHVVGAGGGGWARHEYDIGTQILYPDETLHEKLFSTGFEELGVLEFRPAPAFSSIAMREVVTGAHGVAHSALVFMVESKGRVHMVNLLFEGSCSNVVYEMSVYRMDFAKQEWCRAHDLEGQAFLISAASFGASRPAGECGLEEDCVYVAYPWDKGLMIYNTLEEAPESGTPLWMLPADP >KQL14358 pep chromosome:Setaria_italica_v2.0:III:10748701:10756172:1 gene:SETIT_021064mg transcript:KQL14358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEASGSRTSNSDRMGFFNPVMAFMGQNGEEDGTDVSEKPQSPKNSSPEEENHSTSTKQRTSEVDASEVSGTIKSPKQPSKLEEAHSSISTESPVSEQSMTPQTSTHPSAAEEKLDGSAESPISKEEDFEASETSQSLSHPSPPEETHSGSIEDISSVMNENQDNQDRKHSGPSDEALPNQLGESAGDVPDGTASSSPTKIDQSGDTETGESIHTGQEDASDGSPSQSQPAESTLASSDDIIEAEDKIAQKSDAPKEMSSPQDGSNKVDKTTNLEVKVRDGSINTEKNKEESNKIVAGTASVVGQEENVSEQPVDFKSKSIIAEHDSHSQNESVVNSTDMPAGLVEDSPANDFKKEEKIQESVGSTNSPTPEFAGSVAELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKATIDDLKSKSSEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEELSKKQAAQEATIRKLRAQIRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNSEAKVELESLLREAGEKENMLIKTIEELRHSLTRQEQEAAFREERLKRDYDDLQKRYQASELRYNELVTQVPESTRPLLRQIEAMQETAARREEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAIQEGRAKQLEEEIKELRARHKKEMQEAAEHRELLEKDLEREKAARAEIEKTSSREAPKVPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSSESNMSYYLRTMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKMTEQVNPGIPIRVLLLYLYVCKLN >KQL14359 pep chromosome:Setaria_italica_v2.0:III:10748439:10757923:1 gene:SETIT_021064mg transcript:KQL14359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEASGSRTSNSDRMGFFNPVMAFMGQNGEEDGTDVSEKPQSPKNSSPEEENHSTSTKQRTSEVDASEVSGTIKSPKQPSKLEEAHSSISTESPVSEQSMTPQTSTHPSAAEEKLDGSAESPISKEEDFEASETSQSLSHPSPPEETHSGSIEDISSVMNENQDNQDRKHSGPSDEALPNQLGESAGDVPDGTASSSPTKIDQSGDTETGESIHTGQEDASDGSPSQSQPAESTLASSDDIIEAEDKIAQKSDAPKEMSSPQDGSNKVDKTTNLEVKVRDGSINTEKNKEESNKIVAGTASVVGQEENVSEQPVDFKSKSIIAEHDSHSQNESVVNSTDMPAGLVEDSPANDFKKEEKIQESVGSTNSPTPEFAGSVAELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKATIDDLKSKSSEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEELSKKQAAQEATIRKLRAQIRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNSEAKVELESLLREAGEKENMLIKTIEELRHSLTRQEQEAAFREERLKRDYDDLQKRYQASELRYNELVTQVPESTRPLLRQIEAMQETAARREEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAIQEGRAKQLEEEIKELRARHKKEMQEAAEHRELLEKDLEREKAARAEIEKTSSREAPKVPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSSESNMSYYLRTMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKMTEQCEKLRTEAAALPGLRAELEALKQRHFQALELMGERDEELEELRNDIVDLKEMYREQVDLLVSQLQALGARV >KQL14357 pep chromosome:Setaria_italica_v2.0:III:10748439:10756344:1 gene:SETIT_021064mg transcript:KQL14357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWWSGKVSLGGLQDIAGAVNKISESVKNIEKNFDSALGLEEKRDDEEASGSRTSNSDRMGFFNPVMAFMGQNGEEDGTDVSEKPQSPKNSSPEEENHSTSTKQRTSEVDASEVSGTIKSPKQPSKLEEAHSSISTESPVSEQSMTPQTSTHPSAAEEKLDGSAESPISKEEDFEASETSQSLSHPSPPEETHSGSIEDISSVMNENQDNQDRKHSGPSDEALPNQLGESAGDVPDGTASSSPTKIDQSGDTETGESIHTGQEDASDGSPSQSQPAESTLASSDDIIEAEDKIAQKSDAPKEMSSPQDGSNKVDKTTNLEVKVRDGSINTEKNKEESNKIVAGTASVVGQEENVSEQPVDFKSKSIIAEHDSHSQNESVVNSTDMPAGLVEDSPANDFKKEEKIQESVGSTNSPTPEFAGSVAELEKLRREMKMMEAALQGAARQSQSKADEIARLMNENEQLKATIDDLKSKSSEAEMDALKDEYHQRVATLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIITQVMAEGEELSKKQAAQEATIRKLRAQIRELEEEKQRLNSKIQVEETKVESIKRDKAATEKLLQETIERNQTELAAQKEFYTNALNAAKEAEALAEARVNSEAKVELESLLREAGEKENMLIKTIEELRHSLTRQEQEAAFREERLKRDYDDLQKRYQASELRYNELVTQVPESTRPLLRQIEAMQETAARREEAWAGVERTLNSRLQEAEAKAAAAEEKERSVNERLSQSLSRITVLETQITILRTEQTQLSRSLEKERQRASESRQEYLAIKEEAAIQEGRAKQLEEEIKELRARHKKEMQEAAEHRELLEKDLEREKAARAEIEKTSSREAPKVPLPDQTRNAPLRKLSSSGSINSLEESHFLQASLDLSDNASLERRMSSESNMSYYLRTMTPSAFESALRQKDGELASYMSRLASLESIRNSLAEELVKMTEQCEKLRTEAAALPGLRAELEALKQRHFQALELMGERDEEVSLSLPCNAS >KQL15843 pep chromosome:Setaria_italica_v2.0:III:24390031:24390447:1 gene:SETIT_024083mg transcript:KQL15843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPRASPAPAFLADNEDLLLEILLRLLPLLSLLPRASLRRLLSDPQFLRRFRAYHRKRPMLGFFSVDFHNEDGPIPVFAPTLAAPDHIPPMRFFFPNHPCEVLFFFGCHHGLALLFNRRKLEAVVWDPITHL >KQL14664 pep chromosome:Setaria_italica_v2.0:III:12753722:12763150:-1 gene:SETIT_024615mg transcript:KQL14664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAGSHLRSLKHHGATRLASTSVVKQSQGGLFGWLLGGKSSQLPPLDVPLPGITIPPPLPDFVEPSKTKITTLPNGVKIASETSASPAVSVGLYIDCGSIYETPASSGVSHLLERMAFKSTVNRSHLRLVREVEAIGGNVSASASREQMSYTYDALKSYTPEMVEVLIDSVRNPAFLDWEVKEQLQKIKSEIAEVSANPQGLLLEALHSAGYSGALAKPLMASESAVNRLDVSILEEFVAEHYTAPRMVLAASGVEHDTLVSVVEPLLSDLPSVKRPEEPKSVYVGGDYRCQADSPSTHIALAFEVPGGWNQEKTAMVVTVLQMLMGGGGSFSAGGPGKGMHSRLYLRILTNYQQIESFSAFNSVYNNSGLFGIYAVTSPDFSSKAVDLAAGELLEIATPGKVSQEQLDRAKEATKSAVLMNLESRSIASEDIGRQVLTYGERKPIEYFLKTVEEITLNDISSTAKKIMSSPLTMASWGDVIHVPSYESVSRKFHSKPRPRTMASHIILPPDDADENHHHPQEDGEEREEELGRDDGDEEREHASPERPPKAALPFSATCVRISRDSYPNLRALRNASSVSLADAAYVKISEGDFGYVLDDVPHLTDYVPDIPTYPNPLQDHPAYSTVKQYFVNEDDTVPQKVVVQKNSRRGVHFRRAGPRQRVYFGPDEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNNIYGIQNGYKGFYSSNYLPLTPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIFKEIRKRGLKVSVAGVPKTIDNDIAIIDKSFGFDTAVEEAQRAIDSAHVEACSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYMDGEGGLLQYIERRLKENRHMVIVVAEGAGQDLIAQSIAKSDQQDASGNKLLLDIGLWLTHKIKDYFKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHTFIPFYRVTSTRNKVRITDRMWARLLSSTNQPSFLSQKDIDEASEADRLANRPPLPTGASHRVASSFEQSASTSSNGEI >KQL17169 pep chromosome:Setaria_italica_v2.0:III:48687342:48690089:1 gene:SETIT_022196mg transcript:KQL17169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYGPDWSSSMGDAFAELNGDDDGLIELMWCNGHVVMQSQAPRKPPRPEKAPAAAVQDDEAAAWFQYPVAAEDPLERDLFTELFGEAQAADDAGSGRACKEEAECAGDAAPQSSGLMPPPRPREKACFGDLGDVEDGTAATATATEAGESSMLTIGSSFCGSNHVQTPRARAPFDGAPPPGGAGKAGGKARDAATVTSSSMRPTSCTTKAGQPSASANRSGKRKQSDSTDAEDAEFESADVTCEPAQKLTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGGGMAAAAAAPVMFPAGVHQYMQRMVAPPHVASMPRMTFMAPPAVQSPPVADPYARYLAVDHLQPPPSTMHYLQGMGFYQQQQQSPALPAPPTR >KQL17171 pep chromosome:Setaria_italica_v2.0:III:48686318:48689895:1 gene:SETIT_022196mg transcript:KQL17171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYGPDWSSSMGDAFAELNGDDDGLIELMWCNGHVVMQSQAPRKPPRPEKAPAAAVQDDEAAAWFQYPVAAEDPLERDLFTELFGEAQAADDAGSGRACKEEAECAGDAAPQSSGLMPPPRPREKACFGDLGDVEDGTAATATATEAGESSMLTIGSSFCGSNHVQTPRARAPFDGAPPPGGAGKAGGKARDAATVTSSSMRPTSCTTKAGQPSASANRSGKRKQSDSTDAEDAEFESADVTCEPAQKLTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGGGMAAAAAAPVMFPAGVHQYMQRMVAPPHVASMPRMTFMAPPAVQSPPVADPYARYLAVDHLQPPPSTMHYLQGMGFYQQQQQSPALPAPPTR >KQL17170 pep chromosome:Setaria_italica_v2.0:III:48687342:48690089:1 gene:SETIT_022196mg transcript:KQL17170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYGPDWSSSMGDAFAELNGDDDGLIELMWCNGHVVMQSQAPRKPPRPEKAPAAAVQDDEAAAWFQYPVAAEDPLERDLFTELFGEAQAADDAGSGRACKEEAECAGDAAPQSSGLMPPPRPREKACFGDLGDVEDGTAATATATEAGESSMLTIGSSFCGSNHVQTPRARAPFDGAPPPGGAGKAGGKARDAATVTSSSMRPTSCTTKAGQPSASANRSGKRKQSDSTDAEDAEFESADVTCEPAQKLTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGGGMAAAAAAPVMFPAGVHQYMQRMVAPPHVASMPRMTFMAPPAVQSPPVADPYARYLAVDHLQPPPSTMGMGFYQQQQQSPALPAPPTR >KQL17168 pep chromosome:Setaria_italica_v2.0:III:48686318:48690089:1 gene:SETIT_022196mg transcript:KQL17168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQYGPDWSSSMGDAFAELNGDDDGLIELMWCNGHVVMQSQAPRKPPRPEKAPAAAVQDDEAAAWFQYPVAAEDPLERDLFTELFGEAQAADDAGSGRACKEEAECAGDAAPQSSGLMPPPRPREKACFGDLGDVEDGTAATATATEAGESSMLTIGSSFCGSNHVQTPRARAPFDGAPPPGGAGKAGGKARDAATVTSSSMRPTSCTTKAGQPSASANRSGKRKQSDSTDAEDAEFESADVTCEPAQKLTTAKRRRAAEVHNLSERRRRDRINEKMKALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQMMWMGGGMAAAAAAPVMFPAGVHQYMQRMVAPPHVASMPRMTFMAPPAVQSPPVADPYARYLAVDHLQPPPSTMHYLQGMGFYQQQQQSPALPAPPTR >KQL14539 pep chromosome:Setaria_italica_v2.0:III:11861793:11862483:-1 gene:SETIT_024207mg transcript:KQL14539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSKKLMKVGPWGGTGGHPWDDGGHSGIRSITVSYDRFIDSISVEYDHDGLAVPGERHGGAGGNHTTQIRLGFPDEHLTGVSGHYSPIAAGGSPVIRSLAFRSNQRAYGPFGVAEGAAFTFPVDGGVIVGFCGRSGWQLDAVGLYVAPLRPERMYDRVQKLGLSAYRAVMHRIGPPPPQQQQEQAKQENGKAQKQITHKT >KQL16167 pep chromosome:Setaria_italica_v2.0:III:32911472:32911912:1 gene:SETIT_025609mg transcript:KQL16167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRATTVCVVGVKNYPSIFWYSLFLRINVCTLLRYSC >KQL13033 pep chromosome:Setaria_italica_v2.0:III:2226063:2227221:-1 gene:SETIT_024682mg transcript:KQL13033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARDAALLLLASVLLPLAAATIVPSAPAAAPEDPRCRATAPRRGALAVYPSDMEQLQFLLNAKFVEAEWFLHAALGRGVDFLDRNLSAGGPRPSGARKAALDFRTTEVAAELGYQEVGHIRAIRQAVGGFPRPAIDLGADRFAMVMDDAMGVRLDPPFDPYASSVNFLLASYVFPHITAAATMGISSSLMGFLSKRLQASILAVEAGQDAVIRLLLYQRADEAVPPYQGHTVADFTRRISEWRNRMSGCGAKDEGVKVLDRQQGAERRTISNILGAGDDSLGFQRTPAEVLRILYGSRNEQIPGGFLPRGANGTIARGFFQLA >KQL17450 pep chromosome:Setaria_italica_v2.0:III:50515201:50516971:-1 gene:SETIT_021840mg transcript:KQL17450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDKWTGFGSALASFLFLWSVVQRHIPATLTLRLATWSNKLASYFNPYLEVTISEYGAERFRRSDFYLAVEAYLSDACARRARKLRAELGKDSKNLQVSVDDYDEVTDTFHGATIWWHASKKIARSNVISIYPGEDERRFYRVIFHSRHRDLVVDSYLPFVLEEGRAVIVRNRERRLFTNNPSSSWSSYRGGKKQWSHVPFEHPATFDTLAMDPDDKEAIIDDLEAFREAKDYYTKVGKPWKRGYLLYGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSVDLTGKRKDKKAEKKTDGEGDDKPKLPVDPDKDDSTKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDPALIRRGRMDKHIEMSYCSFEAFKVLAKNYLDITEHDLFGEVRRLLEETQISPADVAENLMPMSKKKKRDTNACLAALVEALNKAKEEAAAAKAKEEAEAKEKAEAEAKEAKEKAAKETKG >KQL13881 pep chromosome:Setaria_italica_v2.0:III:7414814:7417085:1 gene:SETIT_021760mg transcript:KQL13881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATVVVAPAPVAITPPPQHKLLELKSSFAPAAKSSPPARKAKPAAKKKLAGGCGGYVLEDVPHLTDYLPELKYFVNPDDTVAKKIVVHKSSARGTHFRRAGPRQRVYFQPGEVTAAIVTCGGLCPGLNTVIRELVCGLHDMYGVTSVLGIEGGYKGFYARNTVELTPRSVNDIHKRGGTVLGTSRGGQDTAKIVDSIQDRGINQVYIIGGDGTQKGAASIHEEVRRRGLRCAVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGVGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLDGKGGLLEFVEKRLRDNGHMVIVVAEGAGQDLIAKSINLADTHDASGNKVLLDVGLWLSQKIKEHFKRKANNFPITLKYIDPTYMIRAVPSNASDNVYCTLLAHSALHGAMAGYTGITVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLTHEDVEGARQDDEEPHLPLVEGENALVRSPSTMCNGNGHLCSGAA >KQL14224 pep chromosome:Setaria_italica_v2.0:III:9692377:9695270:1 gene:SETIT_022657mg transcript:KQL14224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGGALLLVAVFAVVAVAKDSADTLPVETNGDGSSAQSGVENLEHHDEPDPNKEHVAHENGGVKNDNSGNNKKDNSTEGTNIRRDDSIPQPKNKDNSGTKSSQAKDFLQDPLIMGCDPSHRCIIENKKFIGCLKVPGEDSLALSLLMDNKGVDPLDVSITAPDYVSLAEDTVHVEANGHNETQVRVSISDAANSTAIILKVAGESCTVNIHSAITRETGRVIRMPFTSTYALVPVFLLLAVVGVCIKLRRMRKQDGGPAYQKLDTADLPVSTGGKKEHKQSDKWDDNWGDDWDDEEAPMTPSKPMPNPSSKGLAPRRSTKDGWKD >KQL12813 pep chromosome:Setaria_italica_v2.0:III:1005721:1008926:-1 gene:SETIT_022500mg transcript:KQL12813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPEQRPLLEVEQCVTSIPEDHEATCWGCGLRLVFASYSPVYKCGWCGAITQSNQTARKPDSICFSHWRRLRDRFFVTVLVFFMLFVICGGVWAVYPIVFSISKFCGILHCMVTAMLAVFTISSYCLASFKSAGAPTNIRWGSYPMVGKNDLENYTFCTYCNKPKPPRAHHCRSCKMCVVDMDHHCPFIGNCVGASNHQFFVIFLISVVISCAYAAGMTIYASYQMWPSVDFPNLASSHQSMSYMKILLEIITTVAGSAFFLSARGLVLVYLAFASLSVNAGISVLLCQQLSYIYEGNTYLNHLSSPNAMHGERGLQNIVRFFGCPYLISRVFLRYSNAGKLQDNSGSKLL >KQL12812 pep chromosome:Setaria_italica_v2.0:III:1006495:1008791:-1 gene:SETIT_022500mg transcript:KQL12812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPEQRPLLEVEQCVTSIPEDHEATCWGCGLRLVFASYSPVYKCGWCGAITQSNQTARKPDSICFSHWRRLRDRFFVTVLVFFMLFVICGGVWAVYPIVFSISKFCGILHCMVTAMLAVFTISSYCLASFKSAGAPTNIRWGSYPMVGKNDLENYTFCTYCNKPKPPRAHHCRSCKMCVVDMDHHCPFIGNCVGASNHQFFVIFLISVVISCAYAAGMTIYASYQMWPSVDFPNLASSHQSMSYMKILLEIITTVAGSAFFLSARGLVLVYLAFASLSVNAGISVLLCQQLSYIYEGNTYLNHLSSPNAMHGERGLQNIVRFFGCPYLISRVFLRYSNAGKLQDNSGSKLL >KQL15417 pep chromosome:Setaria_italica_v2.0:III:19897386:19899691:-1 gene:SETIT_023214mg transcript:KQL15417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENSTNRQVTFSKRRAGLVKKAREIGVLCDAEVGVVIFSSGGKLYDYCSPRTSLSRILEKYQTNSGKILWDEKHKSLSAEIDRVKKENDNMQIELRHLKGEDLNSLQPRELIAIEEALQNGQTNLRDKQMEHWKMHKRNGKMLEDEHKLLSFRMAYQHQQDVELSGGMRELEIGYHQVQHDRDFTSQMPFTFRVQPSHPNLQEDE >KQL17271 pep chromosome:Setaria_italica_v2.0:III:49363626:49364194:1 gene:SETIT_025515mg transcript:KQL17271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYGRSIITQCVEMLVLEKDCSNDLSSLT >KQL12625 pep chromosome:Setaria_italica_v2.0:III:89697:93295:-1 gene:SETIT_021073mg transcript:KQL12625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAVEISDASDLAPKDGAASCNPYVEVDFDDQRQRTATKPADRNPYWNQTLVFDVRDPARFPSLPIDVSVLHDRRLQDHNALRPHTFLGRVRINAAASVARSPEEAVLQRYPLEKRGLFSRVSGDIALRIYLLQQQNDDAFAATNQQQQDSVAAAAASGDPERMVRSAFAPQDDQPAAAASQAQHKPNGDHHHQEPRPPRIFRSVPTAAQEQQQQRRTLHAVAAPPPPPGQMVAMPRPAGPPAAAPPGSGYSLVETKPPLPAKMGPRAAAAAAAKIASTYDMVEPMSYLYVTVVKARDLPTMDVTGALDPFVEVKLGNFKGVTKHLEKNPNPVWRQTFAFSSEHLQSNQVEVVVKDKDMIKDDFVGRVLFDMTDIPARVPPDSPLAPQWYRLADRSGEKLRHGEIMLALWKGTQADEAFPEAWHSDAHSLPPEGLANTRSNVYYSPKLAYLKVVVIAAQDLVPAEKGRALAPTIAKIQLGGQIRRTRPQGSPNPVWNEEFMFVAGEPFDEPLVVTVEEKVAAGRDEPVGRVVIPVAAPYVYRNDLAKAVDSKWLPLSRALSADEAAAGNPHNKERQFSSKIHLRLSLETAYHVLDESTHYASDLQPSAKKLRKGSIGILELGILSARNLAGPTKHPYCVAKYGAKWVRTRTALNTLSPQWNEQYTWEVFDPCTVLTVAAFDNAFVHGAGDGSKKDQRIGKVRVRLSTLEIDRVYTHYYPLMALAPSGLKKTGELHLAVRFTCTAWANMLGMYGRPLLPKMHYTHPISVLQLDYLRFQAMQQVAARLGRAEPPLRREVVEYMLDVDSHMFSLRRSKANFYRITSLFSGAVAVGKWMDGICKWKNPLTTVLVHVLFLILVCYPELILPTVFLYLFMIGVWNYRRRPRKPPHMDTVLSHAEPGLVHPDELDEEFDTFPTSKPGDVVRMRYDRLRSVAGRVQTVVGDLATQGERAQSLLSWRDPRATAIFLILSLVVAVVLYVTPFQVVAVVVGLYLLRHPRFRSKQPSVPFNFYKRLPAKTDMLL >KQL14031 pep chromosome:Setaria_italica_v2.0:III:8282581:8286116:1 gene:SETIT_022299mg transcript:KQL14031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLCCCVQVDQSTVAIREQFGKFDSVLEPGCHCMPWFIGKRVAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKASDAFYKLSNTRSQIQAYVFDVIRASVPKLILDDAFEQKDEIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGSAVSHH >KQL14028 pep chromosome:Setaria_italica_v2.0:III:8280585:8286116:1 gene:SETIT_022299mg transcript:KQL14028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESVGPGCSAICPGKLRCARPGSCAAGQGAPPSAWGAPPLILRVAPVWWTLDWTDYISNQFKARQSAHKPLLLRRLPRLFSDRSKPRHSVTSRSDPWTAAMGNLCCCVQVDQSTVAIREQFGKFDSVLEPGCHCMPWFIGKRVAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKASDAFYKLSNTRSQIQAYVFDVIRASVPKLILDDAFEQKDEIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGSAVSHH >KQL14029 pep chromosome:Setaria_italica_v2.0:III:8282209:8286116:1 gene:SETIT_022299mg transcript:KQL14029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLCCCVQVDQSTVAIREQFGKFDSVLEPGCHCMPWFIGKRVAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKASDAFYKLSNTRSQIQAYVFDVIRASVPKLILDDAFEQKDEIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGSAVSHH >KQL14030 pep chromosome:Setaria_italica_v2.0:III:8281382:8286116:1 gene:SETIT_022299mg transcript:KQL14030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLCCCVQVDQSTVAIREQFGKFDSVLEPGCHCMPWFIGKRVAGHLTLRLQQLDVRCETKTKDNVFVNVVASIQYRALAGKASDAFYKLSNTRSQIQAYVFDVIRASVPKLILDDAFEQKDEIAKAVEEELEKAMSAYGFEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKIVQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLITQYFDTMKEIGASSKASSVFIPHGPGAVRDIATQIRDGLLQGSAVSHH >KQL16506 pep chromosome:Setaria_italica_v2.0:III:41112955:41113474:-1 gene:SETIT_023600mg transcript:KQL16506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLELEFGRPKGGLDQPSDHNGLDVIAFGIISWLGALAMGDVGRLAPGHLVPTTCCVAVQVGMLFSRVEPERSCRTDLVWCCVAWACRCCSTVAVACPTDSLKLLLLVLACSVCVIQSKREGGVSGQYLHCLGIEAS >KQL12946 pep chromosome:Setaria_italica_v2.0:III:1797220:1797840:1 gene:SETIT_024849mg transcript:KQL12946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLPSSISPKISTILQSHVYPRVGRVLRALARFKSLVLDAVGKTKRDARRKQQQHAIGCRSRSSSRKGSKQLVTSGFIMKPPLAWSGRLSPARAEALDSNYHVYPSLESAWNAAVPVPAPAGEDDGMAAAEYCGYLRWLEEEMPDVEVLVVEEEEEEEDVVGAGGGNEIDRLAEKFIARCRARFLLEKQESYDRRCQEMIARSM >KQL14806 pep chromosome:Setaria_italica_v2.0:III:13974955:13976060:-1 gene:SETIT_023619mg transcript:KQL14806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISAAGSAALFAGASVARPAQAHGLPQLRARADKVRCSACSRQQDGSGKGAAAPAAGASSLLAVASAMTTSSPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSTLDEDEESGGLSL >KQL17337 pep chromosome:Setaria_italica_v2.0:III:49830993:49834133:1 gene:SETIT_021888mg transcript:KQL17337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDASTSASKSTDQTATPSSPSPASQPFKTQGTRERPSPPNTTSTGTARSRTTRPSPPLSHRIHPPPTTIAARSLPPLAGMAGSSAVWTAQHASCRPHHHQPTSSSQRPRARLVPLPPRRGGASLARPGASLAAAAATAAPVVRTVSEEAVYEVVLRQAALVQEGSGRVVKEGAAARRRQRPRWAEEKEEEGIVGWGLLGDAYDRCGEVCAEYAKTFYLGTQLMTPERRKAVWAIYVWCRRTDELVDGPNASYITPTALDRWEKRLEDLFEGRPYDMYDAALSDTVSKFPVDIQPFKDMIEGMRLDLWKSRYMTFDELYLYCYYVAGTVGLMTVPVMGIAPDSKASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPLDELAQAGLTEDDIFRGKVTDKWRGFMKGQIKRARLFFDEAEKGVAHLDSASRWPVLASLWLYRQILDAIEANDYNNFTKRAYVGKAKKLMSLPVAYARAAVAS >KQL17326 pep chromosome:Setaria_italica_v2.0:III:49775224:49779059:1 gene:SETIT_023295mg transcript:KQL17326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTSFENIYPVLTEFRKVQQ >KQL17323 pep chromosome:Setaria_italica_v2.0:III:49775224:49779059:1 gene:SETIT_023295mg transcript:KQL17323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPALEGSQPVDLTKHPSGIVPTLQNIVSTVNLECKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTSFENIYPVLTEFRKVQQ >KQL17324 pep chromosome:Setaria_italica_v2.0:III:49775479:49778027:1 gene:SETIT_023295mg transcript:KQL17324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPALEGSQPVDLTKHPSGIVPTLQNIVSTVNLECKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSVSCNL >KQL17327 pep chromosome:Setaria_italica_v2.0:III:49776382:49779059:1 gene:SETIT_023295mg transcript:KQL17327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMFLAVFCFLLFWSGNRNIVSTVNLECKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVRDETYTSFENIYPVLTEFRKVQQ >KQL17325 pep chromosome:Setaria_italica_v2.0:III:49775224:49779059:1 gene:SETIT_023295mg transcript:KQL17325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPALEGSQPVDLTKHPSGIVPTLQNIVSTVNLECKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKITYCK >KQL17427 pep chromosome:Setaria_italica_v2.0:III:50360975:50361856:-1 gene:SETIT_025224mg transcript:KQL17427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQDNEVAIFRCSGSTSRYVIAGLRNTIHRKEFKLQRYDSDTGSWTSTLLSVHAPERDEVLPIPHPPHGYRAHLPPDHQGLPLAGSISGEASCTATCSMRNPCSVIYGEIAVVTLPGQQHRSEIKYVEMETRPGEDLPPSRQYTSDDSGSDDDAECDLDVNPYWNATIWTMPVPVASWKDWHKDKDCKVDVTDIVVDNPSHSELLLRLPRLSTDPESATMSLRRLLTAHPTLELDANGDLVIYLLSKVDYKDHKGWVIDVGARDKKLQGIAELDSRKNDFF >KQL13735 pep chromosome:Setaria_italica_v2.0:III:6359601:6365863:1 gene:SETIT_021023mg transcript:KQL13735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGCVGPSELHLRKELTALQKARFLQDPETCSTWKSPLSSRSLVATSRITHNGEVSSNLAPKHIESPHAPLKSEKKRRKVYLYNWRQNSIKSSEDGRQRSGELSLDSPCNSNGVNSKGDACLDAPDSIFNVQSSASCTPVKRIARRRKGVFSRKGAVRNPAVLKSLDLQVNSGERSEDTENCNSESQELFQGSYFSHPTSPLFAACGCVSSSNPSKLLKIGRREGSSFSCTPVSTSSYYRHGRRNTNTFGSWDARTATSLDGDESNQSALLGSQRSHLPCYSSKRRKHRGSEGSNYSPSLSAILRRKGSSLICGSQTLHKKKRSFGSMKWAHSKKSARGMPHLGTSCDFDSSSFDSSSDELSTNIGELDMEASSRLDGKRWSSCKSQDRINLSAQGGDLAAADQRSLSQKYRPKAFSEIVGQNIAAQSLHNAIMMERIAPAYLFQGPRGTGKTSTARIFSAALSCLATVDTKPCGICKECTDFFSGNGTNLIEVDASNRKSVSRIKHLLENIPPSGSSSRYKVFVVDECHMVSSNVWSAFMKFLDEPLPHVVFIFITIDPQNLPRAVISRCQKYVFSRIKDIDSVCRLRKICMKENLDVELAALDLIALNSDGSLRDAETMLDQLSLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDSAETVKRSRELMDSGIDPVALMSQLAGLIMDIIAGTYKLADSNCCNGSVAGGRSLTEAELERLQQALKILSDAEKQIRVSSERPTWFTAALLQLGCGHSSDMNQLESSTREHPKAANDAMSEAARESSSSRTASHSLSAFGISKKTLEHKAISVHSSPQVLGSHSSRSRLNYNLVYGECRSVDRVPLNSNQLNDNCSKQRALINENSDNLAQIWIRCIENCHSKTLQQLLLDHGKLVSIRQFEDHTIAFIAFEDCGIKSRAQRFLSSITNLIETVLKCNVEVKMGSLAELIDEELTLEAGPKVRRVESDVLSCSSNSDRLKGTMNSSRRSLDHPDEGRKELEKYKNTPPADERLHSVSVTLSSGIPKARGLEVPTQSTKESINGEQRLESAWLQVSEKHTPGLMNQAKHNQHQVLSQVVDSQHQRKLSMSLVAPSSHRDDDLAHEIEALKIVDSYGSQKHQSGRSENGFAISPSKLHRKDDMADCDKESV >KQL16832 pep chromosome:Setaria_italica_v2.0:III:45700923:45706207:-1 gene:SETIT_021171mg transcript:KQL16832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTPQAGSRAAAGGGGGRRKAAASGAKPAPRQHVRMIQEQLARAHEEERRAEERRREEEERRAEEERRAREEAERRVEEERRVREEKRRRRQEEVKKRGEREERRRMEDAGRRLGIAVPDASSGDGGSGAQRRPVYESRKPKSQPKRHENVQYEANLGETQVFEQQLEDLQSNGSLEDTDGVMVDALELGEEQISQPSSEESNGIDDDDAWENKSFDEFDQTEEKHVVSAAPIANSVSLSEVIGEDVVSILKDEGAGNGFDRELRAPICCILGHVDAGKTKLLDCIRRSNVQGGEAGGITQQIGATYLPVENIRERTFLKAEATIKVPGLLVIDTPGHQSFSNMRLRGSSLCDVAVVVVDITRGLEKQTIESLDLLKQRNVRFIVALNKVDQLYGWKTCPNAPIAKALKNQSEDVQREFKWRLTEVVTQLKGSGFNASLYYENRKVKEVVNIVPTSSVSAEGIPDLLLLLVRWVPEIMMERLTYVDNVECTVLEVNEDKDFGTTIDVVLINGVLRKGDRIVVCTKQGLVTTNIRYLLTPYPMKELKAKGVYKHHEELKAAQGAKIAVRGLRHAIAGTALIVVKQGDDLEQAEVAAVQEINKVNNLINEDERGESDDGRAIQAISRVKTCTEGVYVQASSLGTLEAIIEHLKTLSLDIPVSGCNLGPVHKQDVMKATAMLKRKEEYAAILAFDVKVMPEAFDLAAESGVKIFMADTVYKLVGRFTDHIKKLKEDKKKQYAAEAVFPCTLKILPNRVYHKKDPIVCDVEVLEGIVKVGTPICVSVPSKDRGADVIHSLGRIISMETSNGMQIDSTKRGVVSIKIIGENPQERSRLYGRHFNTDNELLSQISRKSIDVLKEYYRDEMSDENWQLIRRLKKQFRIP >KQL13619 pep chromosome:Setaria_italica_v2.0:III:5591706:5592219:-1 gene:SETIT_025386mg transcript:KQL13619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLHFPSFSMKLYISCTRITTSCSSVVFFRYFDITCSVL >KQL15192 pep chromosome:Setaria_italica_v2.0:III:17728728:17729883:1 gene:SETIT_024304mg transcript:KQL15192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYLLTGCVHGHETWFHVLRFFVIKRIAKTQRKDFDSLIWLVASSLWKERNRRVHERAALQPISLAPVVLEEPRRRARAATLPWKRAGSAACSRYASL >KQL16793 pep chromosome:Setaria_italica_v2.0:III:45119552:45119992:-1 gene:SETIT_025447mg transcript:KQL16793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSITLRTPQAQSSATLATNLAAATNIRSLQQP >KQL15966 pep chromosome:Setaria_italica_v2.0:III:26166242:26169412:1 gene:SETIT_022895mg transcript:KQL15966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEQGGEDLARQGRSEAAEERWGLALRCWSEAVGQHGGRWGLQLHAALEERNGEEEVCWPASPTNSIPFQASRHSGHTAEAEHPSHQSPEQPRAMADVEKALPVPPAPADGAGGRSTGVLGAALQRWRTQDALERSGSALRAGAWALSLLAFLVMACNEHGDWKQFDRYEEYRYIVAVGLLAFVYTTLQLLRHGVRLSGGQDLQPKTGLIVDFAGDQVTAYLMMSALSAAIPITNRMREGADNVFTDSSAASISMAFFAFVCLALSALISGFKLAKQTYI >KQL14974 pep chromosome:Setaria_italica_v2.0:III:15271550:15273206:-1 gene:SETIT_025154mg transcript:KQL14974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPDERILWPASVLAGVAMCAAVYDLTRQVSSRCFKGYNGLNEMHKVEWNNRGFSTFHALAAAAVSFYLLVISDLFSEDAHSAIMIGRKSWLSDAMFGGSLGYFMTDLAMILWYFPRLGGKEYLLHHGLSMYAISLALLSGKGHFYILMVLFTEATTPFVNLRWYLDLAGRKGSKLYLYNGLALFVGWLVARIILFVYFFAHMYLHFDQVRSVFPLGFYSILTVPPVLSLMNLLWFWKICKGMVKTLCKAKQSASAKTD >KQL15282 pep chromosome:Setaria_italica_v2.0:III:18557703:18561120:1 gene:SETIT_022277mg transcript:KQL15282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAELRILAVLSSPLPLRVLLLLSLLSAVDVVSAAAPPLKFGINYGQIANNLPHPTQVSGLLQSLNVNRVKLYDADPAVLTAFAGTGVEFIVGNEDLQNLTDARKARAWVAQHVQPFLPNTRITCITVGNEVLSGKDTVAMQNLLPAMQAVYQAVVALGLASQVNVSTAHSVNILASSYPPSSGVFREELGQYIQPILNFHAEVGSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVVDPNTNLTYDNMLYAQIDAMYAAMKAMGHTDLTVRISETGWPSKGDEDEVGATVANAAAYNGNLMKRIAMGQGTPLRPDVPIDVFVFALFNEDMKPGPASERNYGLFYPNGTPVYNLGFNGASFSPSPTLSSSSKPTITFLMAVVVLLSGFFL >KQL15280 pep chromosome:Setaria_italica_v2.0:III:18557703:18561736:1 gene:SETIT_022277mg transcript:KQL15280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAELRILAVLSSPLPLRVLLLLSLLSAVDVVSAAAPPLKFGINYGQIANNLPHPTQVSGLLQSLNVNRVKLYDADPAVLTAFAGTGVEFIVGNEDLQNLTDARKARAWVAQHVQPFLPNTRITCITVGNEVLSGKDTVAMQNLLPAMQAVYQAVVALGLASQVNVSTAHSVNILASSYPPSSGVFREELGQYIQPILNFHAEVGSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVVDPNTNLTYDNMLYAQIDAMYAAMKAMGHTDLTVRISETGWPSKGDEDEVGATVANAAAYNGNLMKRIAMGQGTPLRPDVPIDVFVFALFNEDMKPGPASERNYGLFYPNGTPVYNLGFNGASFSPSPTLSSSSKPTITFLMAVVVLLSGFFL >KQL15283 pep chromosome:Setaria_italica_v2.0:III:18557703:18561120:1 gene:SETIT_022277mg transcript:KQL15283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAELRILAVLSSPLPLRVLLLLSLLSAVDVVSAAAPPLKFGINYGQIANNLPHPTQVSGLLQSLNVNRVKLYDADPAVLTAFAGTGVEFIVGNEDLQNLTDARKARAWVAQHVQPFLPNTRITCITVGNEVLSGKDTVAMQNLLPAMQAVYQAVVALGLASQVNVSTAHSVNILASSYPPSSGVFREELGQYIQPILNFHAEVGSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVVDPNTNLTYDNMLYAQIDAMYAAMKAMGHTDLTVRISETGWPSKGDEDEVGATVANAAAYNGNLMKRIAMGQGTPLRPDVPIDVFVFALFNEDMKPGPASERNYGLFYPNGTPVYNLGFNGASFSPSPTLSSSSKPTITFLMAVVVLLSGFFL >KQL15281 pep chromosome:Setaria_italica_v2.0:III:18557703:18560617:1 gene:SETIT_022277mg transcript:KQL15281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAELRILAVLSSPLPLRVLLLLSLLSAVDVVSAAAPPLKFGINYGQIANNLPHPTQVSGLLQSLNVNRVKLYDADPAVLTAFAGTGVEFIVGNEDLQNLTDARKARAWVAQHVQPFLPNTRITCITVGNEVLSGKDTVAMQNLLPAMQAVYQAVVALGLASQVNVSTAHSVNILASSYPPSSGVFREELGQYIQPILNFHAEVGSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVVDPNTNLTYDNMLYAQIDAMYAAMKAMGHTDLTVRISETGWPSKGDEDEVGATVANAAAYNGNLMKRIAMGQGTPLRPDVPIDVFVFALFNEDMKPGPASERNYGLFYPNGTPVYNLGFNGASFSPSPTLSSSSKPTITFLMAVVVLLSGFFL >KQL14700 pep chromosome:Setaria_italica_v2.0:III:13012550:13015134:-1 gene:SETIT_024153mg transcript:KQL14700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAARALGALLHRASSLSSSASALRRASLLHGTGIEPIGNAGLFRRHAARRRISTFQPLCMGRRSCKIAGRKDAQNLKKMKRNSKIGKEIVSAIKKGGPSPSSNTALAAILEKARELDIPKEILERNIKRASEKDQDTYTEKVYEVYGFGGVGMIVEVLTDKITRSVADIRNVVKDCGAKLADPGSVTFRFRQARVVNIKVTDAGKDQLLSIALDAGADDVLEPNFDDDDDSEEEIERFYKIVTTSDNYPVVLSKLQEEGLKFETDNGYELLPLNPIEVDDEAMELNKELVSKLLELDDVDAVYTDQK >KQL17303 pep chromosome:Setaria_italica_v2.0:III:49623359:49625524:1 gene:SETIT_023231mg transcript:KQL17303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFFCPFSYLLVTGFHKDLCIILGDILRTVLANLIFCVWQGQYAYPNIDPYYGSLYAAYGGQPMMHPPLVGMHPTGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLVKGRKPYLHESRHQHALKRARGAGGRFLNSKSDEKEENSDSSHKEKQNGVVPHKSGQPSTPPSPNGASSANRADSHE >KQL17301 pep chromosome:Setaria_italica_v2.0:III:49621300:49625524:1 gene:SETIT_023231mg transcript:KQL17301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVVHSVSGDHRAEDQHQQQKQAEPEDQQEAPVTSSDSQPTVGTPSDYVAPYAPHDMGHAMGQYAYPNIDPYYGSLYAAYGGQPMMHPPLVGMHPTGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLVKGRKPYLHESRHQHALKRARGAGGRFLNSKSDEKEENSDSSHKEKQNGVVPHKSGQPSTPPSPNGASSANRADSHE >KQL17302 pep chromosome:Setaria_italica_v2.0:III:49620961:49625524:1 gene:SETIT_023231mg transcript:KQL17302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVVHSVSGDHRAEDQHQQQKQAEPEDQQEAPVTSSDSQPTVGTPSDYVAPYAPHDMGHAMGQYAYPNIDPYYGSLYAAYGGQPMMHPPLVGMHPTGLPLPTDAIEEPVYVNAKQYNAILRRRQSRAKAESERKLVKGRKPYLHESRHQHALKRARGAGGRFLNSKSDEKEENSDSSHKEKQNGVVPHKSGQPSTPPSPNGASSANRADSHE >KQL14273 pep chromosome:Setaria_italica_v2.0:III:10053748:10054920:1 gene:SETIT_022877mg transcript:KQL14273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPARSRSPATGTPTAATELRSAKISFRSRKIVKTPPGKPLATTAVPPPVPAPLPPVLPALSSPGEIAAALRHLQAADPLLAAVIASTEALTFAASPSLPAFHALARSILYQQLATSAADAIYARFLALLPSASAAASDAVTPAAVLALAAADLRTIGVSGRKASYLHDLAIRFAAGELSDSAVAAMDESALLAELTKVKGVGEWTVHMFMIFSLHRPDVLPCGDLGVRKGVQELYKLKALPKPEEMAALCERWRPYRSVGAWYMWRLMESKGAAAKKKGKASS >KQL12926 pep chromosome:Setaria_italica_v2.0:III:1680692:1681701:1 gene:SETIT_023436mg transcript:KQL12926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSGKPEEVAAYQSSEAKQARLQSMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRVTVVKLDNTSFDIALSNAATVKDLKLAIRKKINEIEQEQMGHRHIS >KQL12927 pep chromosome:Setaria_italica_v2.0:III:1680692:1683291:1 gene:SETIT_023436mg transcript:KQL12927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSGKPEEVAAYQSSEAKQARLQSMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRVTVVKLDNTSFDIALSNAATVKDLKLAIRKKINEIEQEQMGHRHISWKHVWDNYCLTHHNEKMIDDSSTLSSHDVRNNSKVCFSPHIMSRVHRKHSRRRKHRFFHGLNKKL >KQL12928 pep chromosome:Setaria_italica_v2.0:III:1680692:1683291:1 gene:SETIT_023436mg transcript:KQL12928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSGKPEEVAAYQSSEAKQARLQSMLAALLDDPILADVPRKPSLADVDTLINLELGSAMRVTVVKLDNTSFDIALSNAATVKDLKLAIRKKINEIEQEQMGHRHISWTYAVFSTPSTRQIHGILGS >KQL13891 pep chromosome:Setaria_italica_v2.0:III:7517092:7518630:-1 gene:SETIT_024237mg transcript:KQL13891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRLLASSQPEPSLSDIATSAAHGEDSPYFAGWRAYDEDRYDPATNPSGVIQMGLAENQVSFDLLEAYLREHPEVSDCGAGFRENALFQDYHGLKSFRMAMASFMETIRGGKARFDPDRMVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGVNIVPVHCGSDTGFQVTAGALQAAYDEAVAAGASVRGVVLTNPSNPLGTTIERAALEDIVDFVARNDVHLISDEIYSGSVFAAPKLVSVAEVVEDRVRRGGGGVDVAARVHVVYSLSKDLGLPGFRVGVVCSRNDAVVAAARRMSSFTLVSSQTQRALAVMLSDAAFVAAYVRANRARLRERRDHMAAGLARAGLTCLRGNAGLFVWVDMRPLLDEATVEGELRLWRQVVAEAKLNISPGSSCHCSEPGWFRVCFANMSLETLDVALQRLSCFTERWNKRV >KQL15741 pep chromosome:Setaria_italica_v2.0:III:23250576:23253751:1 gene:SETIT_023472mg transcript:KQL15741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYQDNPHHHHHHHHNSHEEKKHFAPSPAPVHSPVQQPKYRSPSPSSPYGYTSKSKNKAPVAPAAEPVASNHHYASPATTPRPVPPPSISPLPSVHHSPNNPRRHNSAPAPSPALAKPHLHAAPLIHGHLAQTPAAAPAPHSSYATQRHSCQWQWALALLMCMLMGLP >KQL14785 pep chromosome:Setaria_italica_v2.0:III:13828937:13835051:-1 gene:SETIT_021647mg transcript:KQL14785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFQKFQPRDKSKSPAVASSHGKDPGKPPMDDAPSSATKQKVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTPGKGTKPLPDSTRLSNPSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGVQWDMLYQMKAAFIPEVNGELDTQNFEKFEETGAQMQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIAELKKKSSKPKRPTIKTLFESMDEEEPVQGSFLSMLPPKEGQPSSHSSIPPEQYQPRRK >KQL14782 pep chromosome:Setaria_italica_v2.0:III:13829058:13835051:-1 gene:SETIT_021647mg transcript:KQL14782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFQKFQPRDKSKSPAVASSHGKDPGKPPMDDAPSSATKQKVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTPGKGTKPLPDSTRLSNPSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGVQWDMLYQMKAAFIPEVNGELDTQNFEKFEETGAQMQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIGQLSLNLAPPLHYFTLFNYMFSLTKRFAAWCNSRVEEKELETKTADHQDIV >KQL14784 pep chromosome:Setaria_italica_v2.0:III:13828625:13836068:-1 gene:SETIT_021647mg transcript:KQL14784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFQKFQPRDKSKSPAVASSHGKDPGKPPMDDAPSSATKQKVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTPGKGTKPLPDSTRLSNPSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGVQWDMLYQMKAAFIPEVNGELDTQNFEKFEETGAQMQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIELKKKSSKPKRPTIKTLFESMDEEEPVQGSFLSMLPPKEGQPSSHSSIPPEQYQPRRK >KQL14783 pep chromosome:Setaria_italica_v2.0:III:13829141:13835051:-1 gene:SETIT_021647mg transcript:KQL14783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFQKFQPRDKSKSPAVASSHGKDPGKPPMDDAPSSATKQKVAAAKQYIENHYKTQMKSLQDRKERRWMLERKLQDAEVPVEEQNNILKHLEKKETEYMRLQRHKMGVEDFELLTIIGRGAFGEVRLCREKTSKNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSAYIVKLYYSFQDEEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSNFPNLNEPDYTPGKGTKPLPDSTRLSNPSAPRRTQQEQLSHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEAKLSPEAKDLISKLLCNVEQRLGTKGAHEIKAHPWFRGVQWDMLYQMKAAFIPEVNGELDTQNFEKFEETGAQMQSSSKSGPWRKMLPSKDANFVGYTYKNFEIVNDDEVAGIGQLSLNLAPPLHYFTLFNYMFSLTKRFAAWCNSRVEEKELETKTADHQDIVW >KQL17013 pep chromosome:Setaria_italica_v2.0:III:47620482:47623873:1 gene:SETIT_021153mg transcript:KQL17013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPLSHCAAVLLAKNHRRRGGGGGWHGGHGNNGHRDDPSSFLRQLRDALDAASEDGSLCPPPDAAGADADAAVSRSRSLARLRAQRDFLRATALAAAAGPFRSISDLPLLAHAIATFLAMYPDYASTADVDRLRVDHYSHLDAPGAGRVCLDYCGFGLFDSSWDSSSSSFTLHELNANLSNHALYGGAEPGTVENDIKERILEYLNVPASEYALVFTVSRGSAFRLLAECYPFESNRRLLTMFDHESQSVNWMAQSARAKGAKTRAAWFRWPTLKLCSTELRKEIVGKKKGRRRDAAVGLFVFPAQSRVTGAKYSYQWMALAQQNGWHVMLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGSDPTGFGCLLIKKSVIGSLQGRNGCNASGMVRIVPVFPQYLSDSVDGFDAFDGLEDDSGINKDEKPASNAQNGSQLPAFSGVYTSAQVRETFESDPGRDSSSDRDGASTIFEETESISMGEVMRSPAFSEDCSSENSFWVDVGQSPLGSEKSGQFKKGKLGSPLPSSWFNGRKCNKRMSPNLTSRISRSPLYDGHVISFDAAVLSVSQDTDCLKEDPEEEIFENGRRNHFRQVSEIQEEPEVEEVACQHAMNGGAEHKESAIRRETEGEFRLLGGRDGNSRFTGGRLFGVEEIDGGLSMGRRVSFSTEANIIADRLNRASDAAEASGYTFRDDEGCASDGYDDAQDWGRREPEIICRHIDHVDMMGLNRTTLRLRYLINWLVTSLLQLKLPDSKGGDGVPLVHIYGPKIKYERGAAVAFNVKQSDGTFVNAEVVQKIAEKNGISVGIGFLSHIKLDMNQKQLNGTLDIPEASFYKNGRRDNKKVTVRVEVVTASLGFLTNFEDVYKMWAFVAKFLDPSFLESERLTISADHLEGQT >KQL14033 pep chromosome:Setaria_italica_v2.0:III:8292914:8293407:1 gene:SETIT_023893mg transcript:KQL14033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDLRHRSANHATLYMPTRTKLNDPLSIMPAHKRPPHWCPPDSFQELPFLWLGVKQNICGMLRLVIYIMYI >KQL15028 pep chromosome:Setaria_italica_v2.0:III:15828934:15830174:-1 gene:SETIT_022437mg transcript:KQL15028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRFRLADMMPNSWFYKLRDMRRARGHPPGAGGAASAMLPSLSSPPPRAAKPAARASSPRRGSVALPHRTSYYYPTQDRELPAPPPRAADATEEDRDEILPQPESPPAYCSSRRRHRVGPVRVGRGLEAVAEARDAPQRRRDMYVGRDGGSEDEEDVRKPAVTAPSEDSLGWGGKVIASDTDIVIDLRAECTAERVLRPIVTRPVARREVVRYELKDRHVDGTETTPRASSASEQGSRGHPRRPSVSAGRRLRTRVNSPRLASARSRKSKPTTPAASPRKTTTPAPPPLAESFAVVKASADPRRDFRESMEEMIAEKGIRDAADLEDLLACYLALNAAEHHDLIVEVFEQIWASLASAANP >KQL13818 pep chromosome:Setaria_italica_v2.0:III:6969112:6970109:1 gene:SETIT_024900mg transcript:KQL13818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHCPLGDMTNAIDLGSGRGRKGHLAGLFGSRRHSCWSGAWYISFLFISDYLVWFLPTHHFSFKLAVHEENENVDPAELKRQNNQATTTLRIPLSPLEDITLPIRPSFAMTINKAQGQTIPNVGIYLPEPVFSHGQIYVALSRGVSQKTTRVLAKPNNDVDSTGTRKHCL >KQL13878 pep chromosome:Setaria_italica_v2.0:III:7400304:7403548:-1 gene:SETIT_024889mg transcript:KQL13878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGSGAAQNEHARDILPVVHTSVPSDLLRSLPGGLQTSSAAAWVRASGRQPRRSGSCIATARILITAAASSNMNRGHSGSMVSSCCTSEPTEEKHKDRQTSASRRCPSCGHSFDSNPDMIGLPAGVKFDPSDQELIEHLESLVKEGGSRAHPLIDDFIPTIKGDDGICYTHPENLPGVTRDGLTKHFFHRTSKAYTTGTRKRRKIQSDRGLHGSEDVGEARWHKTGKTRPVIVGGQQKGCKKILVLYVNYGKQGKPDKTNWVMHQYHLGDQEEKDGQLVVSKVFYQTQLRSGTAMVEQRTREGENVAEASEAMQNVLPGCAADATAATTVAMVPQHQQKRQRQATGGHCSIAPSKMSHEIGAVGNQVSRDQGEKSVTTTTYHHNSMSDQICMQNQYPPCLSMSVHHRTQFQQQYNLK >KQL15152 pep chromosome:Setaria_italica_v2.0:III:17480749:17482310:1 gene:SETIT_023495mg transcript:KQL15152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGAKVPKKGAAGRRGGGGPKKKPVSRSVKAGLQFPVGRIGRYLKQGRYSQRIGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTASAAAKEGKEGKEKKSPKKAATKSPKKAAAA >KQL15536 pep chromosome:Setaria_italica_v2.0:III:21211707:21212010:1 gene:SETIT_025666mg transcript:KQL15536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRRGDGDRYGQRRRILDLTLVVVVVVAASGMPNFNASWPSI >KQL13674 pep chromosome:Setaria_italica_v2.0:III:5985625:5986764:-1 gene:SETIT_024936mg transcript:KQL13674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSEGTHDFDGSELDKKATSLHNQFLMQLSLYSSNDVIMHRVEIATSPCPDKEVSSAILNLPLKSLKRDATEEEAIRWRLEKNGFPFVLSDSECGDSSYDSSFSEQSSTISTPSTPFTVQSDTQSEDLDRTDIWVSSLDLDAEDSALLPGKEQFLDILDSDFPSPSFSAVRSLQCGPPSSSAGTSQRKEANDSDEPIFWPFERTCYNSPEFDKFLSVSPRRNTMDIGYAEVRQLNPVLQRLHKNKLASAKKSAELHRGTTNSGTKGTKASSQAKIQKAPAVPSRLSRTTKASAPSSHQVPSNCQRRRPPHLKLGAPRRVSAPKLQTDQPLKETGARDTQKLADKKSRIEELIGLDEFDGHEGIGLDSPDYQFSLWLSPK >KQL13454 pep chromosome:Setaria_italica_v2.0:III:4535903:4537126:-1 gene:SETIT_022502mg transcript:KQL13454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRVTLARPHAVLLVLLLLAAAEASAAAAPASKQQCHSGDRAALLAVKAAFGNASYFISWTADIPCCHWFGVRCDDAESSSSTPAGGRRVVSLAIMRDANIRGPVPGAAIARLTALQELLFLHVPGVSGTIPRALTRLSALMDLTISRTGVSGQVPAFLGDLRALRSLDLSFNALTGAIPATLAALPRLASVDLGHNRLTGAIPPLLLVNSGAEAFLTLSHNNLSGSVPAEFASVSFVQVDLSRNALAGDASVLFGRGKPLLVSVNLSRNAFSFDMSRLELPERLASLDVSHNGIHGGVPAAAGNLSQLMFFNVSYNQLCGQLPRGLAAFEVYSFRHNKCLCGAPLPACQA >KQL16043 pep chromosome:Setaria_italica_v2.0:III:27416542:27422208:-1 gene:SETIT_022646mg transcript:KQL16043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPPRVSMEITDEMLKSMEVGLAFRDYNGRISSMDFHSKATNYLVTASDDESIRLYDTQNALCLKTINSKKYGVELVCFTDNPGIVLYSSKNGWDESLRLLSLNDNRFLRYFKGHLDRVVCISFCSEKENFLSGSIDRTVLLWDQRAEKSQGLLRVQGRPAVSYDDQGMVFAVAYGGHIRMFDARKFEKGPFEIFSIGNDDSEAHVIKFSSDGRRILVTTKAGRVHVLDSFHGSSIASYNVKPVVTNSTLEASFSPDGNHIISGSGDGSVYAWNVRSGKVARWGSTDNEPPLVRWAPGSLMFVTGSSELSCWVPDLSKLGSFTVTK >KQL13523 pep chromosome:Setaria_italica_v2.0:III:4982827:4987204:1 gene:SETIT_024123mg transcript:KQL13523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPPPPRKDFPAFPFAPYPIQAEFMSFLYGALSSGPGALALLESPTGTGKTLSIICSALQWLLDRRDAAATAPAHPSGGAGPGEDDDEPDWMRDFTPLPPEKESTKKKPKPPAIRKAAGRRPRRRWEFLLEEYESDGEDGARHGVGKRAHCGSSSSSDGEELDEEEEEVTPKVYFTSRTHSQLSQFVGELKRTEFAGRIRTVCLGSRKNLCINKDVLKLGSANRINERCLELQKNKKSSKIKVEDDKRKARQAKNSCGCPMLRNRSLQKEFRSEVSNQGALDIEDLAHIGKKIGTCPYYGSRDMVRSADLVVLPYQSLLLKSARESLGLNLKNSVVIIDEAHNLADSLTNMYNSKITSSQLKAVLSHLDAYLNRFDNVLGAGNRRYIQTLTVLTRSFLRALINNQDGASTMSSMTINQFLFSLDIDNINIVKLCQYVKESNIIHKVSGYANKIPSIQDGVNQFNLQREHDEGSIIACFQALVDFLRSLLNSNDDGRIIVAKQKLSGQPEEAYLKFVMLCAEKIFSEVTRDAYAVILAGGTLQPIEETRLRLCPSLPPTDIKFFTCNHIVPPESILPIAVTRGPSGMTFDFSYNSRSSPSMIEELGRFICNIVTVVPEGVVMFFSSYDYERRVYDAWTTAGTISKICKKKHVFREPRNSADVESVLNKYKETIQSCSKNSQGQGINGALLLAVVGGKISEGINFSDGMGRCVIMVGLPYPSPSDVELVETIKHIETISSSFLVGDDKASGRKYDDECELQPGYDILRKCTKGGREYYENLCMKAVNQSIGRAIRHINDYAAMLLVDSRYAQASSSKSFSCPTDKLPQWIKARLSCAQNYGEVHRLLHQFFKFNKQKR >KQL13416 pep chromosome:Setaria_italica_v2.0:III:4351740:4353281:1 gene:SETIT_024314mg transcript:KQL13416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKPYVIAVIIQLIYTGMFVVSKAAFDHGMNTYVFIFYRQATASLLLLPIALLLERKNARSLSPGLLLKLFFLALIGITFSLNLYNVSLKFTSATVASATTNAMPVVTFCFALLLKMEVVKLRSSSGIAKLAGVSLCLAGVSVIAFYVGPALSPVNHHRAFATSHASASTSSAQSRMVWIKGTFLMVFANMAWSLWIVLQGNLLKEYPNKMLLTVTQCVFSAVQSFVVAVVAERDFSKWSLRFDISLLAVLYNGFVVTGVTYYLQTWCVEMKGPVFLAVWNPLCFVFTIFCSSFFLGEIVNLGSIIGGILLVGGLYSVLWGKSKEIKNAPRGKVNTMDDADDENGHHKPQEKEQPTSASIVEQV >KQL14668 pep chromosome:Setaria_italica_v2.0:III:12784001:12788967:-1 gene:SETIT_024174mg transcript:KQL14668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATSWLLLIGLAAAAIAGVLQARAQPDNIGFISIDCGLPGTANSVDDATKLSYAPDAAFTDAGSNQNISVEYVTSTLAKRYLNVRSFPDGARNCYTLRSLVAGLKYLLRAEFRYGNYDGLNRPPIFDLYAGVNFWSRVNVSSPETVHRLEAIVVVPDEYVHVCLVNTGSGTPFISVLELRPLKSSLYPQANATQGLVLFARINFGHTNATDIVRYPDDPRDRLWLPLFDATLWDVMSTTDRVQNLNNDKFEAPSKVMQTAIIPRNASNNITFFWGSTPQPRDPTPGYIVIMHFSELHLLTGNAVREFFLETNDVVWRSSLGFRPDYLLSDAFYTTAPLPAAARYTVNINATANSTLPPFINAVEVYSVISTANAATDSSDVSAITAIKAKYRVKKNWAGDPCGPKTFAWDGLTCSYGISSHSRITGVNISFSGLDGDISSSFANLKAVQYLDLSHNNLTGSIPDALSQLPSLTVLDFTSNQLSGSIPIGLLKRIQDGSLTLRYGNNPNLCTNADSCKPPKGKSKLSIYIAVPVVLVLVIVSGVALFFLFMRRKKQGSTSTKNTVRPQNEPPMSYAPAPLPPGDVHEQSSLHLENRRFTYKELEMITNNFQHVLGRGGFGKVYNGFLEDGTQVAVKLRSQSSNQGVKEFLSEAQILTRIHHKNLVSMIGYCKDGQYMALVYEYMSEGTLRQQIAGNGRHGKRLTWRQRLRISLDSAQGLEYLHKGCNPPLIHRDVKATNILLNAKLEAKIADFGLSKVFNHDDETRISMNTLVGTPGYVDPEYQATVQPTTKSDVYSFGVVLLELVTGRQAILSDPDPTSIIQWVRRRLARGNIEDVVDTRMHGEYDVNSVWKVADIALKCTMQAPVQRPTMTDVVAQLQECLELEEGHRTGGGRRGSFYTGSNSDFDLGYNAYTTDSQSTDVSQTSIAFEMDHNFGKTSRMGGGPVAR >KQL14770 pep chromosome:Setaria_italica_v2.0:III:13746915:13749204:1 gene:SETIT_022248mg transcript:KQL14770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDWWSMSRARYHGFPAAAGLHSGSTRRLVEQQKFPCWTSGGHSFLSRWLWRTTALVVVCGPPQPQTQTPPPPAAAQHAANPYTYPHPYQYQQQEAKTHAYAHPTSAPPQPNPSADHGHLLLHSLLRRVAVLESALPRGFPAPPPSRRPPHPNPRPRRAARYQEEVEEEESESEPESPPPRPRRPARAGPPSAAVERAARTIQAHFRRFLARRSRTLRQLKELAVLRSKAAAIRGSLSGRRGCADPAAVSEAAMGLLLRLDAIQGGDPMIREGKRAVSRELTRILEFVDKVLVKEHEQVAMGDALGASEYHEGCSASFVGGRPSVSKKKVSFSGNGQVHELNEETGDGNEVDEGSENSSSAESDEVKPNKRSANGKPGLAAPMPVHMESRRIADARR >KQL17044 pep chromosome:Setaria_italica_v2.0:III:47799906:47801952:-1 gene:SETIT_022884mg transcript:KQL17044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGGPRLLAAAWIFAAAAASLPLEQAGRSQKSINCMPCSRTYIGDAYLHTLTDHAHHRGLAEMSDSDELCEGLTDDVEVPTLSELQRQLVGEGSHRHLVYSVKFSACQDAMVNFLNGYDACLVIVEKLPNGVFADPFELQHFVERKVFLDVAVFGDTNLELPSALSNRSAVEIHFDLRPSTLTNCNIVIDLPLHARYPER >KQL17043 pep chromosome:Setaria_italica_v2.0:III:47799262:47802101:-1 gene:SETIT_022884mg transcript:KQL17043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGGPRLLAAAWIFAAAAASLPLEQAGRSQKSINCMPCSRTYIGDAYLHTLTDHAHHRGLAEMSDSDELCEGLTDDVEVPTLSELQRQLVGEGSHRHLVYSVKFSACQDAMVNFLNGYDACLVIVEKLPNGVFADPFELQHFVERKVFLDVAVFGDTNLELPSALSNRSAVEIHFDLRPSTLTNCNIVIDLPLHARYPPLDASGYATVEFGSPDLFLRYRKKETDSDSCLWVLKNLEAAPVEKAAWRIPCGDEVRIGFVSNITFLSALVCSMSIVLATLIF >KQL13846 pep chromosome:Setaria_italica_v2.0:III:7183175:7187195:1 gene:SETIT_021575mg transcript:KQL13846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPKSYQLSVGTKEGLCYRFFGFREQDVSSLTNYIQKSTGITPQEKQLSISGQNWGGIEINGNVLCFNVGSKEAFEVSLADVSLSQMQGKTDVVLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFIGDEHRTSAQMLWQAISVQIDGGGSSEAAVATFDGIAILTPRGRYSVELHQSFLRLQGQANDFKIQYSSILRLFVLPKSHNPHTFVVITLDPPIRKGQTLYPHIVIQFETETIVERELTLSEEVLAEKYKDRLQSSYRGLIHEVFSMVLRGLSGAKVTRPSTFRSCQDGYAVKSSLKAEDGLLYPLEKAFFFLPKPPTLISHDEIEYVEFERHGAGGASISSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFISGKHLKILNLGDGQGRAGGVTAVLQSTDDDSVDPHLERIKNQAGNEESDEEDEDFVAEKDDSGSPTDDSDEDGSDASLSGEEKEKSSKKEASTSKPPVKKKQKSVPDEGSQKKKPKKKKDPNAPKRAIAPFMYFSKAERPNIKSSNPELATTEIAKKLGERWQKMSAEERQPYIEQSQVDKQRYAEESAAYRGASTQQGSGGGSD >KQL14597 pep chromosome:Setaria_italica_v2.0:III:12250050:12252385:1 gene:SETIT_023547mg transcript:KQL14597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRLRLGRVLDCFSLSLQCAGTCACIRAPGDEDEEAVAVEREALVASDRRQQLQDQVLRLRDLVDGSRTLGFHLQPKTVELRVSMHCNGCARKVQKHISKMEGVTWFEVDLESKKVVVKGDVTPFEVLQSVSKVKFAQLWIAGGPQRS >KQL13173 pep chromosome:Setaria_italica_v2.0:III:2951096:2951620:1 gene:SETIT_025612mg transcript:KQL13173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCACYVFPDHDMNFGKVAFMVFLTSIVCLTSKTSLPIEILLFLSIEEL >KQL13507 pep chromosome:Setaria_italica_v2.0:III:4866995:4868670:1 gene:SETIT_021823mg transcript:KQL13507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDTNPTTFPTDVDSFQPLNADDVRSYLHTSVDFICDYYKSVESLPVLPAVEPGYLRRLLQPAPPTSSAPFNVTMKELREAVVPGMTHWASPNFFAFFPSTNSAAGIAGELIASAMNTVGFTWQASPAATEMEVLALDWLAQLLRLPPSFMNRTGAAGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSSGTMAGITRLTVYAANQTHSTFFKACHLAGFDPANVRSIPTGEETNYALDPAKLLEAMQADIDAGLVPTYVCCTVGTTSSNAVDPVGAVAEAAARFNAWVHVDAAYAGSACICPEFRHHIDGVERLMTCLDCTCLWVRDTHRLTGSLETTPEYLKNSASDSGAVTDLKDMQVGIGRRFRGLKLWMVMRTYGAAKLQEHIRSDVGMAKMFEESVRADERFEVVVPRNFALVCFRIRPHGGGGAMTEEDAEEANRELMERLNKTGKAYLAHTVVGGKFVLRFAVGSSTQEERHVRSAWELIQKTTTEMMKGEI >KQL14607 pep chromosome:Setaria_italica_v2.0:III:12352866:12354497:1 gene:SETIT_024388mg transcript:KQL14607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLSSAVVGELVNRSISFLVSRFEKETPTATAEDMQRLRHQLLRSGAIVRDAEQRHVPSKAMLLQLKALRDETFRGYYILDVACCRNALRGGDRRRRNGNDEAEDDGGDEVGRRAFSLSRFNPAKRVRFRSGAPETEPVPVDLQQVARSLEVIISDMKEFVMFLGSYPPLYRQPYSAHMFLEKCMFGRHMEKERVIDFLLQTTEPPPGAENLDVLPIVGPAYIGKSTLVEHVCQDEKVRGHFSLILVYSRNCLRDETAAGFRDNCVIKHQNDSALEEKLLIVVELSGDVDDETWERLYSSERGMPHGSKMILTSRSNEIARFGTTQALCLKCLPTEPYWYFFKRLAFGGDDPEQHPKMASIAMEMARKMQGSFMYAHIGSALLRANFSIKSWSMVLTNLREFLQKNMSLLGEEYPDDLKAKDHPQWTWNLTKQKHDEYFMLYEIYPRGSGAEEVPDITMIDLLFGCAQPRGKYEVLFWKSQIPPYFNYICTCETVLQKNSPS >KQL15044 pep chromosome:Setaria_italica_v2.0:III:16025257:16030247:1 gene:SETIT_021883mg transcript:KQL15044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLASSRRLLRAALAPAARANSSLSAAAVAAPAPENGAALPRMPAFDYTPPPYDGPRAEEIFRKRAQFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAIVNQAKRIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGSNDIISLRNGYHGNAAGTMGATAQSNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIEFGTSGRVAGFISEAIQGVGGIVELAPGYLPAAYNIVRKAGGLCIADEVQAGVARTGSHFWGFEGHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNKLKEKHDIIGDVRGKGFLLGAELVTDREKKTPAKAEISHVMNHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDSGKICISAEESIMDEPVVRDCATE >KQL15042 pep chromosome:Setaria_italica_v2.0:III:16025257:16030247:1 gene:SETIT_021883mg transcript:KQL15042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSRLGMDTTGMLLEQWVLPLNPIGNSMLYRGAFGSDGEKYARDVQEIIEFGTSGRVAGFISEAIQGVGGIVELAPGYLPAAYNIVRKAGGLCIADEVQAGVARTGSHFWGFEGHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNKLKEKHDIIGDVRGKGFLLGAELVTDREKKTPAKAEISHVMNHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDSDFFIDVMDVALSKL >KQL15045 pep chromosome:Setaria_italica_v2.0:III:16026370:16030247:1 gene:SETIT_021883mg transcript:KQL15045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAIVNQAKRIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGSNDIISLRNGYHGNAAGTMGATAQSNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIEFGTSGRVAGFISEAIQGVGGIVELAPGYLPAAYNIVRKAGGLCIADEVQAGVARTGSHFWGFEGHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNKLKEKHDIIGDVRGKGFLLGAELVTDREKKTPAKAEISHVMNHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDSDFFIDVMDVALSKL >KQL15043 pep chromosome:Setaria_italica_v2.0:III:16025257:16030247:1 gene:SETIT_021883mg transcript:KQL15043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLASSRRLLRAALAPAARANSSLSAAAVAAPAPENGAALPRMPAFDYTPPPYDGPRAEEIFRKRAQFLSPSLFHFYDRPLNIVDGKMQYLFDEDGRRYLDAFGGIATVCCGHCHPDVVEAIVNQAKRIQHSTVLYLNHAIADFAEALASKMPGDLKVVFFTNSGTEANELALMIARLYTGSNDIISLRNGYHGNAAGTMGATAQSNWKFNVVQTGVHHALNPDPYRGAFGSDGEKYARDVQEIIEFGTSGRVAGFISEAIQGVGGIVELAPGYLPAAYNIVRKAGGLCIADEVQAGVARTGSHFWGFEGHGVIPDIVTMAKGIGNGIPIGAVVTTPEIAQVLTRRSYFNTFGGNPVSTAGGHAVLKVLEKEKLQENAFVVGSYLKERLNKLKEKHDIIGDVRGKGFLLGAELVTDREKKTPAKAEISHVMNHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDSDFFIDVMDVALSKL >KQL16605 pep chromosome:Setaria_italica_v2.0:III:42853031:42856357:1 gene:SETIT_024179mg transcript:KQL16605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASPQKRHPSPHGSKREGSVPLSRGGGAPPPSPSPARHLASDFNSKQMAGGRVAHATLKGPSVVKEIFIGLTLGLIAGGMWKMHHWNEQRKTRSFYDMLDKGQISVVVEE >KQL15801 pep chromosome:Setaria_italica_v2.0:III:24032947:24033366:1 gene:SETIT_025499mg transcript:KQL15801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLIPKATHLQIKSWEPKVKFETNASAL >KQL14475 pep chromosome:Setaria_italica_v2.0:III:11449078:11450854:1 gene:SETIT_021630mg transcript:KQL14475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFGQSIDVRRPGRSRRRATQKKFSPAVESPAKPSVERLHQRMAVAERERARAESELSRASTMASELERKIEQANATARAHRSELQGTRAGGSGSSRRKKGFMDVEAPGADHAQDQGNSLYVEVMQELDLVKRELRKLQREVKAARNAMPERDAATPTPWVSSSGSRPLDSVKREAGDENEGRGIAELAAEAGGSRKGMRAQDTRTHAKSPQGDTSWSSDPEERFATASSSDVGLEPAEMAMVPATEATTEHVENGESALTITTRHEEHDDRSSLQAAAEAELTSARMELETIKEEGVRFTNSMERRRRETARVAEEIDRLVEQEKRASAQVQQLNSKLLRARSRLDAATSADEAAEAALAELSAALRQLGEETEAAEKERALTELENRCVREDAEAVGVEIAAAELRIRESVEELEAARASEAAKAEKLRAVLDSAMHAVMAQSSGNVTIPSFEYEYLTGRAEVVHAVAEKKVAAAEAWVEALRAGEKEVVMRAEAIEREIGEMTARKAVAADDPRGEVSEREPRVGLQRAQTRRRRAPKENELPIKGKKTLASDTRREEM >KQL14534 pep chromosome:Setaria_italica_v2.0:III:11842780:11844555:1 gene:SETIT_023476mg transcript:KQL14534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYCTDSVFAVSSLCGSGSDPEEVDLCIGGPYWCSFSSLARRANHRCYCCTIALLVPFPAVGAAHRPYIRVLCCTRKERNRIHIIYLLKATMPKVRSIFTVLIVMLLLVSASSGQTAVTEGNHEDTLEVAERHGRRVLTDIQDYDYGGSNPKHDPRRKPGNGHSR >KQL14535 pep chromosome:Setaria_italica_v2.0:III:11842780:11843195:1 gene:SETIT_023476mg transcript:KQL14535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYCTDSVFAVSSLCGSGSDPEEVDLCIGGPYWCSFSSLARRANHRCYCCTIALLVPFPAVGAAHRPYIRVLCCTRKERNRIHIIYLLKATMPKVRSIFTVLIVMLLLVSASSGMPFHTLFPLSLC >KQL16810 pep chromosome:Setaria_italica_v2.0:III:45388356:45389098:1 gene:SETIT_024580mg transcript:KQL16810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGACTLFPLFSILIIITSTCTATRDTISSGHPLAGHDKLVSENGKFALGFFDTTGNTTAPRWYLGIWFNTVSKLTPVWVANRESPLAGCASSELMISGDGNLVILNRSDRSILWSSRVNTPTKKTIAVLLNSGNLALSDAANSSIVFWESFSHMTDTFLPGAKLGWSKVTGLTHRFMSNRNTLDLSHGVYSAGPLANTTNRGFFLVWNYSEEYWSTGPWNGHYFRFSNMPEQ >KQL13241 pep chromosome:Setaria_italica_v2.0:III:3371568:3372019:-1 gene:SETIT_025543mg transcript:KQL13241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYKTGGHMQICNSGVSLVLSSPWNFNSTLT >KQL15456 pep chromosome:Setaria_italica_v2.0:III:20380987:20385074:-1 gene:SETIT_023097mg transcript:KQL15456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARPSRMPIKSHRPDWKSELRTNCMQRVKKDRINLLWKFRAQGWLPANDMRKVESAVRNIISDEIDKLKQANEGQEDQKMDVIWEYQGPQAAKPADIESEDILLEMERLLYEDLREELLRKELEALDEEDAYLSQAVFDHMQLNDSGGAENAKIWCPVCKKGELRDTHNLIYCTLCDLRLDLGEDKITLEFLRERLANAHTEHFDRGCKSVPKFCLQTMFGLTALYMQCEECSTFDIVV >KQL14449 pep chromosome:Setaria_italica_v2.0:III:11283065:11283654:1 gene:SETIT_023716mg transcript:KQL14449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVQELPLQVPRPIPNPRREGPSCFLALLGASLVVLFVVVKPPVHAGCALAGFLVWLMGMARLLLFGQIGRQRQVYPAALAAATAKLAVENFFGHQPPPESPAAQA >KQL14761 pep chromosome:Setaria_italica_v2.0:III:13697504:13700449:-1 gene:SETIT_022459mg transcript:KQL14761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGEGASGGPELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGVRAGVGGYSYLMEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMATQPAFLLYVASVIVVVFVLVFYFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLIYPETWFFMLVVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSPGSIISGICGLIVVLSGTILLHVTKDYERIPQSRSVYAPLSPSLTTRLNGELLKHVDDEKTSDEEKALRRREMY >KQL14762 pep chromosome:Setaria_italica_v2.0:III:13697098:13700449:-1 gene:SETIT_022459mg transcript:KQL14762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGEGASGGPELSTDNVKGIVLALLSSGFIGASFIIKKKGLRRAAVASGVRAGVGGYSYLMEPLWWVGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNERLHALGVLGCVMCIAGSVVIVIHAPQEQEITSVREIWNMATQPAFLLYVASVIVVVFVLVFYFSPLYGQSNVLIYTAICSLMGSLSVMSVKALGTSLKLTFEGTNQLIYPETWFFMLVVATCVLTQMNYLNKALDTFNTAIVSPIYYVMFTTLTILASVIMFKDWSGQSPGSIISGICGLIVVLSGTILLHVTKDYERIPQSRN >KQL13861 pep chromosome:Setaria_italica_v2.0:III:7298241:7301474:1 gene:SETIT_022542mg transcript:KQL13861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKNLQNESPARYWRRRPPFFLLPQSNPNLSAGCCASTPIRIQKTKEKGNKKQKRGKELRRSPLSHRLQTQTIRMMDLPAKGGFSFDLCRRNDSLEKNGLKIPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTSLTLLKSHLFKYQGHVSAALVLGGVDCTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVCDAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHQLPNPRTYVSSKGYSFTKGQTEVLSTKITQLKQKVEVAEGSDAMEE >KQL16890 pep chromosome:Setaria_italica_v2.0:III:46464968:46465980:-1 gene:SETIT_022644mg transcript:KQL16890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALRLLRHRHLLRLLPRATMLSTSAPPPDRRDLLRIERILHNPGAAAQTAQPQEHQRATAAARLRNLLHRTGGLTDAESTSLLCRLRAPISHHRLGRLLEELAGLRLTGAEIKAALASDPEGLLSMDPGEPSRLLEFLRDIRCRKAVKEQVLAHGALRATVAARRRVELLHARGLTRPDALRVLAAEPRVMLYSIEDVERKVEFLVSTMGFEVRWLVQYPEFLGVNLDNWIIPRHNVVEHLKSVGGLGDPVEMKHYVRFSRRRFYNMFVKPYPECERIFGGLVREKEEMVRRRHPTGFWKLFTPAKHEKTQEEVMNMKLLVGSLR >KQL17005 pep chromosome:Setaria_italica_v2.0:III:47504161:47504814:-1 gene:SETIT_024502mg transcript:KQL17005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPPKMHVLAAVVLTAFAAAASTCAAAGANKPLLVENLPASAEAKDFIRAGCNETCIRRPDAARACYELLLPYAASINSSYNRASLAITTVMVSKLTDLAKDLRSFGEAGKLEGCIRMLDETVAGARDQVLPALDRIGAIADDKLKAKDPGFLLVWSWFVGVDNNFVKCWDGGLKRIMDRVPSSIVADHSEYAAAAIIFRPRLKWAPQSPDGENP >KQL14871 pep chromosome:Setaria_italica_v2.0:III:14439345:14442761:1 gene:SETIT_025598mg transcript:KQL14871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTSGVRLEPPLTAPVTSGPVNCPPSHRGGDCGSRYSGGLRAITMRRSRGAAAPSCRWMQSRCRCIPRSCILLP >KQL14872 pep chromosome:Setaria_italica_v2.0:III:14439345:14442433:1 gene:SETIT_025598mg transcript:KQL14872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTSGVRLEPPLTAPVTSGPVNCPPSHRGGDCGSRYSGGLRAITMRRSRGAAAPSCRWMQSRCRCIPRSCILLP >KQL14873 pep chromosome:Setaria_italica_v2.0:III:14439345:14442761:1 gene:SETIT_025598mg transcript:KQL14873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTTSGVRLEPPLTAPVTSGPVNCPPSHRGGDCGSRYSGGLRAITMRRSRGAAAPSCRWMQSRCRCIPRSCILLP >KQL14719 pep chromosome:Setaria_italica_v2.0:III:13159160:13159558:-1 gene:SETIT_025573mg transcript:KQL14719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSRIWIVSSVCCLCFDHVLRHIIRIITTFIQIPCLLDLYFPCRM >KQL14736 pep chromosome:Setaria_italica_v2.0:III:13441453:13441890:1 gene:SETIT_024050mg transcript:KQL14736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMKKRMQEMKKRRQEVDKRRMAASRKKPEKEGQRPRKSAKIEAMMEEMRTKQAEDEAKQLAKENEAREKETREKEARDKEAAKGDEFSIKRCISIINTMEVTKQEKVKAYAIFTKSKQNKETFICASEEDEESALIWLRNEMA >KQL16845 pep chromosome:Setaria_italica_v2.0:III:45928808:45931265:1 gene:SETIT_023099mg transcript:KQL16845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLNGEQIYPAQLIYIPQVSSVRLRTNSGLPRALRKPMSHQVKLSSHLNFIPAALEAYKSASSSDLENNQPSKHFCGAGQAVAQWTAKAMAGSVAFEEALAAWLSLFKPSIDQVQDCIEKRPPRISNGISELIEKLKVRNTDVYLVSGGFQQMIKSVALELGVPHENIFANQLLFGTSGEYVGFDPTKPTSRSGGKAVAVQHIRQKCRYKSLVMIGDGATDLEARQPGGADLFICYGGAR >KQL16844 pep chromosome:Setaria_italica_v2.0:III:45928808:45931265:1 gene:SETIT_023099mg transcript:KQL16844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLNGEQIYPAQLIYIPQVSSVRLRTNSGLPRALRKPMSHQVKLSSHLNFIPAALEAYKSASSSDLENNQPSKHFCGAGQAVAQWTAKAMAGSVAFEEALAAWLSLFKPSIDQVQDCIEKRPPSLLHWSLVSPMRTFLLISYCLEPLESMSGLTPPSLLHEVGVKLWQFNT >KQL12696 pep chromosome:Setaria_italica_v2.0:III:447092:448498:-1 gene:SETIT_024643mg transcript:KQL12696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLLLLLLPLLTAAAPTATTTLPLYRHLPHVATEAAHHHPLSRLAAASLARASHLRRPAPAHHKAQGGSTALYPHSYGGYAFTASLGTPPQPLPVLLDTGSHLTWVPCTSNYQCRNCPTAAAPVFHPKNSSTSRLVGCRNPACLWVHSAAHLANNCTAGTVCPPYAVVYGSGSTAGLLIADTLRAPGRRAVTNFVAGCSLVSVHQPPSGLAGFGRGAPSVPAQLGLNKFSYCLLSRRFDDNAAVSGSLVLGDSGGKADGMQSAAGDKQPYAVYYYLALTGVTVGGKAVRLPERAFAANAAGSGGAIVDSGTTFTYLDPTVFQPVADAVIAAVGGKYKRSKEAEDGLGLRPCFALPQGAKSMALPELSLRFKGGAEMQLPLENYFVVAGRAPVPGAAAAAPAAAGEAICLAVVTDLGGAGDVGSGPAIILGSFQQQNYYVEYDLGKERLGFRRQPCAASS >KQL15326 pep chromosome:Setaria_italica_v2.0:III:18931587:18933607:1 gene:SETIT_023952mg transcript:KQL15326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLRALSLVWSSDYLIPPSERYIFNFNSKDELKQWHLYSDSEYGEYVKKMLCYISEGSQ >KQL16456 pep chromosome:Setaria_italica_v2.0:III:40273687:40276237:1 gene:SETIT_022414mg transcript:KQL16456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRPPPELMADLVVEILLRLPPADPACLIRASVVCKSWLRLTSDANFLRRYRAFHGAPPPLLAFLSNTRGKNEPRLVPFTEPTPFKPPAFDCSRRPWVMDCRHGRALLFDMWADSEGALAVWDPITGDRQILPKPGCGRHVSAAAVLCAAGAGCDHLDCHGGPFLVVCLGISATHGGVVDAHVYSSEAGSWGALASVQLGLDSYGRMNCGLVIRDEVYFTLKFSARILKYSLGTNAFSLIGVPVDIQFVLTRTEDDSLGLASVRDSNLYLWSRKDNLEGVAGWVNCRVIKLQAPFSTHDRFATSVIGFAEGVDVILVTANAMFAVELKSGKVTKVGRPGDYYSALPIMGFYTPDCGRRNHRHGLADF >KQL14051 pep chromosome:Setaria_italica_v2.0:III:8411706:8415230:-1 gene:SETIT_022476mg transcript:KQL14051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGADAEQEQKRAAAAAYDYEGDARWSDYWSNVLVPPNLASRPDVVDHFKRKFYQRYIDRDLVVEPMSSTGSSQPSRPDVRSSPSPSNENLRARNSGSTSRSAPPPPAQTDSAVNPLRFDARTIHFSINAWVLVVAFLGMLPILPKHLADRACKLSLLGTIFSSGYSLYSTYGKPRAWNMPAIQAWLQSVLATKDFIHLMFSSMFFTSQLHLKIAALPVLCWALDHVARFLRRNFNRSSFYRRYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWRRSIIQTFMYWQVLKLMYHAPVTSSYHQSAWAKIGRVVNPYIHRYAPFLQTPISAIQRWWFR >KQL14050 pep chromosome:Setaria_italica_v2.0:III:8411349:8415230:-1 gene:SETIT_022476mg transcript:KQL14050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGADAEQEQKRAAAAAYDYEGDARWSDYWSNVLVPPNLASRPDVVDHFKRKFYQRYIDRDLVVEPMSSTGSSQPSRPDVRSSPSPSNENLRARNSGSTSRSAPPPPAQTDSAVNPLRFDARTIHFSINAWVLVVAFLGMLPILPKHLADRACKLSLLGTIFSSGYSLYSTYGKPRAWNMPAIQAWLQSVLATKDFIHLMFSSMFFTSQLHLKIAALPVLCWALDHVARFLRRNFNRSSFYRRYLEEPCLWVETNNTTLSLLSSNAEIALGFLLIISLFSWRRSIIQTFMYWQVLKLMYHAPVTSSYHQSAWAKIGRVVNPYIHRYAPFLQTPISAIQRWWFRDGHVCVLDTISS >KQL13403 pep chromosome:Setaria_italica_v2.0:III:4282730:4283148:1 gene:SETIT_023875mg transcript:KQL13403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTEQVRCAGGGKTSWPEVVGMSVEEAKKVILKDKPDADIVVLPVGSPVTLDLRLDRVRIFVDTVSQTPHVG >KQL13003 pep chromosome:Setaria_italica_v2.0:III:2051193:2051688:-1 gene:SETIT_025730mg transcript:KQL13003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVISCIALTAVVSTQFMFNPLNYSNLEHIITLNYSSLVLLASATIASTEKQIQHVETFYVNCDHCKCW >KQL15263 pep chromosome:Setaria_italica_v2.0:III:18435657:18446066:1 gene:SETIT_020961mg transcript:KQL15263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESSAPQSALSSPMSTAAEQHGSSSVQASWNMPAGCTKVPISILVFRRRLTGRGSRPQLPPSHSSMPAPALPDASEGDMTMNPAQLATPDKYNSEHLWPNGLHGEESKTENGTEASQLQQLATTIFCNNHDNLEAVVPANTLETRIQHSDESTALLTERTADDNMHTYQPMQKRPKTQTNQSEHTPLSTPAAPKERTLNQIEMQIASAEKTEMFRNGETPAQKMKTRRKKHRPKVIRENKLAKVQKSDSTPDGESPNQKIKRSYVRKKRSLSSLEKCSAPASDQSISRGKGIAARRRTASVRRSLQFEPEEQGVQGDHSSMANLQHHNYEKPVHAQGCFCSESEVQIEHGLQADVENSPGGLAFGMSLRLNKLLDEYIHLPEVTPKPAEEVSNATSGSLSKELASEQDNVGRTCEPDDTTRSIPEQMSKVSEVENHNNGESSLTGTRNSVILRTAAEMLAFCQAGGVKKKRSARVRRNSFYSVMDLENNTLQASTKLPQPCMDALYESSYIKFMTKKRSQKARPHCSSFIHPNDELENRINSDTHCDVPEGSSANTSTGKYMDYLQGVASKLKHLDLNIEQVHGTEMHLSLSTPAVISFGETDGLSNALVPYGGGVIIPYERPLQLVKKQRPQAKVELDFETTRVWNLLMGKASEPDGTDIEKERWWQQEREVFQGRANSFIARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVADHLSSSAYMSLAATFPSQSVNSNCKDDATTTKDNEQTISASALGEKNIFDLFSNGTRPDRGVGCEELSMTYEKIHMGPKDNTRTSELIEGETYSFGYKSANGSVFNHQVTGIEHKEQQFPDFSLVELTEPTEFIQQMQIQKETSPSQSVTLETIQSRLSLSSGIPINFVDGISSASYQQLGSNFDLERSLTGNNAISEIECQRLQTAAINDYGFAKPGVPSSSAMPFILTVDPQQLNLRNEPNVSSTSSNSPSDSASPKIKNGTSPLFMPFDSYVPEWSGNRTAGTTLNSTKTSTELPGEITAETARKEDEYTLKSGLTSCNEVPDSAQASRPKKTRTTSKRNTENFDWDKLRRGACSEGHMKKRSRERRDSVDWEAVRCADVQRISHAIRERGMNNILAERIQNFLNRLVRDHGSIDLEWLRDIPPDSAKDYLLSVRGLGLKSVECVRLLTLHHLAFPVDTNVGRICVRLGWVPIQPLPESLQLHLLELYPVLETIQKYLWPRLCKLDQQTLYELHYQMITFGKVFCTKNKPNCNACPMRSECKHFASAFASARLALPAPQEKSIVRSRNQFGSQNSSMYTMDSTHLPRLEGSIHAREFRPKNSEPIIEEPASPREEESPETMENDIEDFYEDGEIPTIKLNMEAFAQNLESCIKESNKELQSDDIAKALVAISTEEASIPVPKLKNVHRLRTEHYVYELPDSHPLLQQLDLDQREPDDPTPYLLAIWTPDEINEISKAPKPCCDPQMEGSLCNNEMCHNCTAEQENQSRYVRGTILVPCRTAMRGSFPLNGTYFQVNEVFADHSSSHNPIHVEREQLWNLQRRMVFFGTSVPTIFKGLTTEEIQQCFWRGFVCVRGFDMETRAPRPLCPHLHVVARPKSRKTAATG >KQL15321 pep chromosome:Setaria_italica_v2.0:III:18882195:18884205:-1 gene:SETIT_022663mg transcript:KQL15321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAALQPRVVGDTMADDGRRRSRLAGWTAAEEDGDAAASAVLSGEFQALEMSTMVSALAHVVAGGDDEGLYPPAAMAAGGSYVQPAQQWGGSYSSAAARTPDHFFPAGEEQQGHHHHDVRQGAMEEHSPTAAGEAGGGGRRYRGVRQRPWGKWAAEIRDPHKAARVWLDEAALRFRGSRAKLNFPEDARLTTPSTAVAAAPAAAAAASATAAMAASSAGAYPASAASEYLQYQMLLQGAAAGHGGGFPQYYGGGAMSSSSGSYSFPASSVTVASVPPSSAPGYGEAAQWGSTSWPESAWSYPATTGSWSDSSHYPPSTRPPQ >KQL15828 pep chromosome:Setaria_italica_v2.0:III:24309423:24312598:-1 gene:SETIT_022750mg transcript:KQL15828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERAAWSYMHEKGLVDILKELANLPMLKGQNGWTAEGWRSITNKFNDMFPMANFTKQQVQEKEKELKRSYKIIKEARKSGVGWNDTLGMIIADPKGWEKLIKDNHRVGKFRKKAFPLYNSLELLYEGSVATGDLNFTSIEPPPQRTELQVEPTPQRSISEQSNHNTAPSSGYNGMASRLDGIESTEVQSAPSNHNSEDQDVVGGKKRKQSQMAAKLGDFIDFRKNQNEKTLEKIKEKKRREEDYSVEKCIDIVDTMEELSDEQKADANELFQSEMNRKIFVSTKNPSVRLIWLMKKIARINEC >KQL15829 pep chromosome:Setaria_italica_v2.0:III:24309725:24311648:-1 gene:SETIT_022750mg transcript:KQL15829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERAAWSYMHEKGLVDILKELANLPMLKGQNGWTAEGWRSITNKFNDMFPMANFTKQQVQEKEKELKRSYKIIKEARKSGVGWNDTLGMIIADPKGWEKLIKDNHRVGKFRKKAFPLYNSLELLYEGNSGSVATGDLNFTSIEPPPQRTELQVEPTPQRSISEQSNHNTAPSSGYNGMASRLDGIESTEVQSAPSNHNSEDQDVVGGKKRKQSQMAAKLGDFIDFRKNQNEKTLEKIKEKKRREEDYSVEKCIDIVDTMEELSDEQKADANELFQSEMNRKIFVSTKNPSVRLIWLMKKIARINEC >KQL16475 pep chromosome:Setaria_italica_v2.0:III:40737405:40741270:-1 gene:SETIT_021791mg transcript:KQL16475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTSAAAEGAASEPELVSIPATPHGLSTPEGAATPTGGGGGGRSKSAAGTPGRRVVEGLRGYLEDVGHLTRLDPRDAWLPVTESRGGNARYAAFHSLNAGLGFQALLLPLAFPALGWSWGIIFLTIAYFWQLYTLWILVKLHEAVPGRRYNRYVELAQAAFGEKLGMWLSLFPTIYLSAGTATALILVGGETMKLFYQIVCGPLCSPSPITTVEWYLVFTSLAVILSQLPNLNSIAGLSLIGGATAIMYCTMSWVLSVSQPRPPTVSYDPVRSTSFGTSLFSTLNALGIVAFAFRGHNLALEIQATMPSTFKHPAHVPMWRGAKVAYLLIAMCLFPVAVGGYWAYGNMMPPGGMLAALYAFHSHDTPQGLLATTCLLVVLNCLSSFQIYSMPVFDSFEAYYTGRTNRPCSPWVRSGFRVFYGFLSLFISVALPFLSSLAGLLGGLTLPVTFAYPCFMWIRVKKPERLSFSWYLNWGLALLGTAFSLAFSLGGVWGIVSNGMKLKFFKPPN >KQL15986 pep chromosome:Setaria_italica_v2.0:III:26548310:26549687:-1 gene:SETIT_024828mg transcript:KQL15986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKKEKEKEKHEGTEHEEEEEDEDGNKRAVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPGRPDLVLPIPFQADEKGYAFALKDGSPYSFRFSFIVSNNIVSGLKYTNTVWKTGVRVENQKMMLGTFSPQQEPYIYEGEEETTPAGIFARGSYSAKLKFFDDDGKCYLEMSYYFEIRKEWPATQ >KQL15267 pep chromosome:Setaria_italica_v2.0:III:18482589:18485207:1 gene:SETIT_025222mg transcript:KQL15267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRAPLPFLRRTNAATFAPTQSTKSLSTFACSAPPDDGVNLRDAPGARKAFDEISSRDAAAGSDLALFDYARRGLVHQALDHFVDVHRRRGGRVGAAALSCVLKACGSVPDRALGEQLHGLCVRCGHDRGDVSVGTSLVDMYMKCRGVKDGRKAFEGMPERNVVTWTSLLTGYIQAGAHSDVMALFFKMRAEGVWPNPFTFAGVLSAVASQGTVDLGRRVHAQSVKFGCRSTVFVCNSLMNMYAKCGLVEEAKAVFCGMETRDVVSWNTLMAGLLLNRRELEALQLFLDSRPSIAKLRQSTYSTLMKLCAHLKQLGLARQLHGSILKRGFHSDGNVMTALMDVYSKCGELDNSLNIFLLMPGSQNVVSWTAMINGCIKNDDIPLAAALFSKMREDGVAPNEFTYSTMLIASVASLPPQIHAQVIKTNYQCLPTVGTALLHSYSKLCSTQEALSIFEMIDQKDVVAWSAMLTCYAQAGDCDGATNVFIKMSMHGVKPNEFTISSVIDACASPTAGVDLGRQFHAISIKHRCQDAICVSSALISMYARKGSIESAQSVFERQTNRDLVSWNSMMSGYAQHGYSQKALDIFRQMEAEGIEMDGVTFLAVIIGCTHAGLVEEGWQYFNSMVRDYGITPTMEHYACMVDLYSRAGKLDETMSLIRDMPFPAGPMVWRTLLGACRVHKNVELGKLAAEKLLSLEPLDSATYVLLSNIYSAAGKWKEKDEVRKLMDTRKVKKEAGCSWIQIKNKVHSFIASDKSHPLSEQIYAKLKAMTARLKKEGYCPDTSFVLHETAEEQKEAMLAMHSERLALAFGLIATPPGTPLQIVKNLRVCGDCHTVMKMVSAIEDRKIIMRDCSRFHHFSSGICSCGDFW >KQL16006 pep chromosome:Setaria_italica_v2.0:III:26831130:26832509:-1 gene:SETIT_021995mg transcript:KQL16006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAEKNGNILMQRYEVGKLLGQGTFAKVYHARNIVTSQSVAIKVIDKDKIFKVGLMEQIKREISVMKLVRHPNIIQLYEVMATKSKIYFVLEYVKGGELFNKIAKGKLREDAARKYFQQLVSAVDFCHSRGVYHRDLKPENLLVDDNGNLKISDFGLSALAESRRQDGLLHTTCGTPAYVAPEVISRKGYDGAKVDTWSCGVILFVLMAGYLPFQDSNLMEMYRKIGKADFKCPPWFPSDVRKLVSRILDPNPRTRMPITKIVECFWFKKGLDSKLIRKNIEMKGKVSALTHVDVVFASTGSSSGNNKMVDEKQDAAKVTNLNAFDIISLSEGFNLSALFEETEKRKEARFTSSQSASTIISKLEDVATCSKLTVKKKEGGVLKMEGESEGRKGVLSIDAEIFEVTPSFHLVEIKKNNGDTLEYENLFKQDMKPALKDIVWAWQGERQDQPHEDHKQI >KQL15151 pep chromosome:Setaria_italica_v2.0:III:17453265:17453834:-1 gene:SETIT_023822mg transcript:KQL15151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQRPGRHQRRASQSVFVLPENLATLDVDAAAEAGGKAGSDGAGAEQQAARPPAGRHRRAMSVAVASRDLELIKEDLGSYKLGA >KQL15700 pep chromosome:Setaria_italica_v2.0:III:22705069:22708185:-1 gene:SETIT_024903mg transcript:KQL15700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCDGFEAENGGDDHSDASDPATAREMLECLLNQPANKFCADCGIPDPKWAALPFGAFICIKCSGTHRSLGVHISKVISVNLDEWTDEEVNCLAESGGNSVVNARYEAFLPENKKIKQECSTEERNDFIRKKYQFQQFVCDPQFSCPLPLHKKNVPDKNQQHNSNRHGFGHAFRNSWRKKDSDNKGLKKMSDVGMIEFVGLVKVNIVKGTDLAVRDVMSSDPYVMIILGHQSMKTKVIKNTLNPIWNERLMLSIPDPIPPLKLQVFDKDTFSSDDRMGEAEVDIRPLIAATKEHENSTITELTELYRWSASEDSNGVLAKDSVISIANGKVKQEITLKLQNVERGEVEIEIECVPLSQ >KQL16896 pep chromosome:Setaria_italica_v2.0:III:46533251:46533625:-1 gene:SETIT_023941mg transcript:KQL16896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHLPELCKNVMNSAYSCLMPCSISTLTGLNSNVQASEEHCYYSFTLVLFCNNSFLFHLYS >KQL16752 pep chromosome:Setaria_italica_v2.0:III:44707240:44709196:-1 gene:SETIT_021722mg transcript:KQL16752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFDAEKAEMMLEAEELRRKVEELQSNKDFMEGENEKLRSEVLTAEQKHSMSEAEVERLKMELSALTEAKEAAVKAFDAQNAENMKELEDLNRKLEEIQTNKDLLESENDKLRAEVLIAEEKYSQSEAEVKCHKHILAALVEAKEAAAKAFAAEKVEIMNELDNLKRKIEETQASKDLVESENDELRSVILAMKHRYSLIEAEVNNLKMNLEALEVAKDAATKAFDVEKAEILKELDDLKMKVEEIQANKDLVEGENDKLRLEILTAVQKQSMSEAEANNLKMELGALVEEKEAAAKAFDAEKAKVMKELEDLKKKVEEIQTKKDLVEGEKDKLRLEILIAEQKHSMSQLEVKRLKMELGALAEEKETIVKSFDPEKAKFMKEVEDLKREIEGIQVSKEAAEKAWRDNNAEVDRQRAELATIRISMSQLQASYDRLDAKHSHLNEEKNSVQKALDAEKVEASKLKSKIEELENYNVVKGGETEKLKATLEEKKSEIDALSKDIEQLHLAVAEAQEKNKNSILSCLSSYRSK >KQL16416 pep chromosome:Setaria_italica_v2.0:III:39577249:39579445:-1 gene:SETIT_025298mg transcript:KQL16416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPRASLALEILVVFAALLCGAQCQSFQGGSGGGGTAANLTVVGAVFCDACSSSSFSKNSYFLPGVKVRLDCMIKVNSNSKEEIKITAEKVTNSYGTYQLDIPAIDGFECAAPGATAAESFCRAAVLDNPSALCNVPAVTTTVGHISFPSQEPNACFYSLNSLYYRPGKPGPAHEQVPGLLAHRGRGRPSRFCTPRAVVPAHTVLHAAAAGRSRSRCRRYRSSPRHRFRHRLVPVSSFAADFTTPSPPPPPPPSLSFPRLPHLPPLPHLPPLPSMYPPPPPPPPPPPPPSFPWPFPPLPFLPPRSSGPSPPPAKYSRKDPSTWSLSASQP >KQL15841 pep chromosome:Setaria_italica_v2.0:III:24380420:24380867:-1 gene:SETIT_023900mg transcript:KQL15841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNNNGHTATNNLVMKDMFCTNEPITQNKVIHNCSGEIYFTYPNEIISNNLKLRIFSEKYDHKCLERNKN >KQL16930 pep chromosome:Setaria_italica_v2.0:III:46785496:46788997:1 gene:SETIT_021415mg transcript:KQL16930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEVIKEVITSTPASADPKCEDLLINSPVIIDGTSTTLDVKRKEKPVPHYRRASSRSCHDNCKFGIKHSPEPKKYWPISRKQLRRASAGSHERDRVEIILPKTARPRKEDQKLKNSHEKDGDATAPGKPEFTNPKAPLERAPDHFSSISCVEDLSAEASEPIVAEMLPTDAECFVISHDDVEDCEDRVSSDGAESIELEMPLAIQDIDESDEQTEDAILPANNVCEVGQPSLVDHLPDQSANECASSDKRTSQAVIASEKHEQAAFGTKSKGSANKPEKPKVKATSSVTRNTVSSQRNGRASHLKATGAADESSRGPKTIRKTADATAAKKFSKPERKFSSNVASAAPKAKEIKVPSPSNAMDSSAKPPRLAKPKATTAKKAPSPSLSSGKQTDREMKEKNVAKNAQVLKKKGDEKVIPGPLKLSRSLNMSGKSITSLRLKSIRKDKFAPPIKSSKKVSETENSVTDAKNAKEKFLKMASPKVRKPEVNNKVTRPPRKEKSDTPRTAIARRPKPAPITSSSTMAPAPQPRKLTFRRGKVLNPDESSSTPRRLRFRSAMAVADTSGSRSRGSRITGRRNGISIAAKDPGAEVVVLRRRQDGKEVKKQEQVLLNNVIEETASRLVAEARKSKVKALVGAFETVISLQETGKASAPAAASVAP >KQL13731 pep chromosome:Setaria_italica_v2.0:III:6340737:6343688:1 gene:SETIT_025759mg transcript:KQL13731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNGVCDTAFDGNALVPFAHGMGLISDDIYKEANTACQGNYWNGSSDKCEQALSRVDTAVQGLNIYDILEPCYHSKSIKQVIPQKSRAPQSFKDLGVTGKPLPVRTRMIGRAWPLRAPVKEGRVPSWQELAVAAPSGVPCMSDEVATAWLNNDGVRSAIHAEPVSSIGPWLICTDKLDFRHDAGSMIIYHKNLTSQGYRALIFSGDHDMCVPYTGTEAWTTSLGYGVVDSWRPWFTNEQVSGYTQGYEKGLTFATIKGAGHTVPEYKPQEALAFYSRWLAGSKL >KQL15309 pep chromosome:Setaria_italica_v2.0:III:18787480:18791049:-1 gene:SETIT_023775mg transcript:KQL15309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDMAVKEPLDLIRLSLDERIYVKLRSERELRGKLHAYDQHLNMILGDVEETVTTVEIDDETYEEIVRTSKRTIPFLFVRGDGVILVSPPLRTV >KQL15922 pep chromosome:Setaria_italica_v2.0:III:25411974:25413050:-1 gene:SETIT_024344mg transcript:KQL15922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSGGAGGVDGGGGPAGSGSGGGEGNGRVKGSWTPEEDDLLRRAVARHGPRNWSLISAEIPGRSGKSCRLRWCNQLSPGVERRPFTPEEDAIIVAAHAQLGNKWATIARMLHGRTDNSVKNHWNSTLRRQRRAAAAAANAGCAALPLCPLAAAATAASGPPLALRHLLLDPKESSPSPASAVPFQPLDLKRGDDGGDDEEDEEEDDEDGSSEDSVLMPPPKKRPCIGVGPGQSHPSLCAAKKPEHTKPLPPPTPAAPAEPVTSLTLSLPGVGGGSGAVPEAPAPQGAVSAAATSLDGVAKMRAKLEQEWPWLQPMICEEVQRHLQGAYVPCSLVASPAAGGADGRAAASSQD >KQL13346 pep chromosome:Setaria_italica_v2.0:III:3943501:3947087:-1 gene:SETIT_022487mg transcript:KQL13346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKKPVMELKLAVPAQETPVDKFLTASGTFKDGELRLNQRGLRLISEENGDENQSTNLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTVLEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWISFYELLEAIVDQPPPSAPADQFSPEFCSFISSCFIFCYIAWQHTEGSSREDVCFRTLESPFHQEV >KQL13345 pep chromosome:Setaria_italica_v2.0:III:3943100:3947308:-1 gene:SETIT_022487mg transcript:KQL13345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKKPVMELKLAVPAQETPVDKFLTASGTFKDGELRLNQRGLRLISEENGDENQSTNLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTVLEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWISFYELLEAIVDQPPPSAPADQFSPEFCSFISS >KQL13344 pep chromosome:Setaria_italica_v2.0:III:3943434:3947087:-1 gene:SETIT_022487mg transcript:KQL13344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKKPVMELKLAVPAQETPVDKFLTASGTFKDGELRLNQRGLRLISEENGDENQSTNLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVMCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTVLEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSMGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWISFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAERMSASELLNHPFIKKFEGKDLDLRTLVESLEPPMNIPE >KQL13671 pep chromosome:Setaria_italica_v2.0:III:5968868:5969825:1 gene:SETIT_025116mg transcript:KQL13671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDPLVVGNVVGDIVDYFPASALLRVSYGGREMTCGSELRPSQVATEPAVSITGGGHDGRALFTLVMVDPDSPSPSNPSKREYLHWLVTDIPEGAGANHGNEVVAYESPRPTAGIHRFVFIVFRQVVRQAIYAPGWRANFNTRDFAACYSLGAPVAGAYFNCQREGGCGGRRYR >KQL14261 pep chromosome:Setaria_italica_v2.0:III:9959576:9962294:1 gene:SETIT_021677mg transcript:KQL14261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVPKIRIPRLRDLLSRPLGCLTGHPLQAFNELFDQFDQTLSENTCAIQASLCNIARAPYRLAEKCGPVIEELIAAQRSASDPNNIGETSRRNNSGTEEDFVDPHNDQLFEHGNGGVFRTPSSCYRDDVLRDGNGQNSYTTDPTTSKTGGTTPCTKPHQEACRDDHARTTTCSDHIPPSSSLPDSNHDMNRINNLIDAIYCEEQSNHMHTLPSPRTTQFEDQAKIDQNNMVSGTLHVSEQRTGKRMTRKPAKYSSPFKYGITSRPAPNVDAAMSLFGHMCADDSTLKSMPVIQFGSTPLTCDMIAQSFADGAIPDSTFITGFVKCLSYDDYWIRPECHGYRIFFDADLSAILNVEWHKRDSSEPKYSQFAAVTAIQCCLPFTDLKKTKMILLPVLHQHHWPVYCVNFGQSRIDVLDSMLYTPESDNNWDNYHLEFGKKIMHRLSDALSIAAPLKFKSFKNWRHVPVKVPVQKATSDSAFFAMKFLEFYDGDGHGSLHTSIAAERSKELRAETLYYLTFHKQNKVVALPDEILQYRRDDHHPFFY >KQL14226 pep chromosome:Setaria_italica_v2.0:III:9737431:9737895:-1 gene:SETIT_025367mg transcript:KQL14226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHSYDFLYMHLCFFLLAMYMQNNKAHAKMNLEVYLKKFGHQIRKSR >KQL12965 pep chromosome:Setaria_italica_v2.0:III:1877888:1882159:1 gene:SETIT_021877mg transcript:KQL12965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLRKVWGSVLARAALGAPGPGPAAPGSPRGGRRAQPAAERYSASLSLGALDAVPTDVLAQILRLLGPVDAARSTAVCRAWRILASDNGLWAFFLRLGPDPWDLVVFAETHLAAGPDSHPWLYYDNSPQLSFKQIYGLRAVVPGTLIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFFSTIYTRMQVKPSTQPVIVVLPLNHTDDTDSARASRKQYKETIYSVLFDMNVPAVCAVDQAVLSLYASKRTSGIVVHIGFNTTSVVPIFEGRVMYEIGVETVGQGALKLTGFLKELMHQRNISCESLYTVRTIKEKLCYVAADYEAELRKGTQASCEVDGEGWFTLSEERFKTAEILFQPHMGGMPAMGLHKAVSLCMDHCYNSEVVGDDSWYKTVVLAGGSSCLPGLPERLEKELQQLLPHYISEGIRVLPPPFGTDSAWFGAKMISNVSTFTEAWCVKKKQFRQKIRRNGPLFVNSW >KQL12964 pep chromosome:Setaria_italica_v2.0:III:1877888:1882159:1 gene:SETIT_021877mg transcript:KQL12964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLRKVWGSVLARAALGAPGPGPAAPGSPRGGRRAQPAAERYSASLSLGALDAVPTDVLAQILRLLGPVDAARSTAVCRAWRILASDNGLWAFFLRLGPDPWDLVVFAETHLAAGPDSHPWLYYDNSPQLSFKQIYGLRAVVPGTLIVDGGSGYCKYGWSKYAAPSGRCATFLEFGNIESPMYARLRHFFSTIYTRMQVKPSTQPVIVVLPLNHTDDTDSARASRKQYKETIYSVLFDMNVPAVCAVDQAVLSLYASKRTSGIVVHIGFNTTSVVPIFEGRVMYEIGVETVGQGALKLTGFLKELMHQRNISCESLYTVRTIKEVHKLLVRLMVKGGSLCRKRDSRLLKFYFSPTWEECLLWACIKQYLYVWITAIIQKWLVMTAGTRRLF >KQL13284 pep chromosome:Setaria_italica_v2.0:III:3623431:3625180:-1 gene:SETIT_024246mg transcript:KQL13284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKEHVGAPAMTTAAFTFAVRRREPVLVGPAAPTPRETKRLSDLDDHETLRGQAPFLFFYRGGAHAHDRDPAGVIRRALGEALVPYYPLAGRLREVEARKLVVDCTGEGVMFVEADADVRLADLEAAATGLTPPFPCMDQLLFDVDGSSGVLGCPLLLIQVTRLLCGGFVFAIRLNHTICDAIGLAQFVSAVAELGRGLPAPTVAPPWSRELLEARNPPRPTFPHREFDAVPPPPPPPPGDMVMRTFTFGPSDISAIRKDLPPNLRDTVTTFEVLTAALWRARTAALELSPDEDVRLVFISNIRGLPELGLPAGYYGNACVPMAVLVTVEALLGGSLGDAVELVREAKATVTAEYARSTADLLVLRGRPYVAMSNLFLVSDNRHAGFQRVDFGWGEPVYAGPAATVFGLSLFVHVGNGGGVGAVAAMITLPLPAMDRFASEVKTLMKG >KQL16084 pep chromosome:Setaria_italica_v2.0:III:28640271:28640363:-1 gene:SETIT_024560mg transcript:KQL16084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKINPLGFRLGTTQNHHSFWFAQPKNYSE >KQL16421 pep chromosome:Setaria_italica_v2.0:III:39727365:39735431:1 gene:SETIT_024283mg transcript:KQL16421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSTFSAAAMAEAREHVEQIRQERFFIGREERNPLAEDIHQAVTYLSEELYSKDVHFLMKLIQTDKILAVKKKLSSTHPEILLFLSKIRQLSVREMDNDPKASRSQISISSEVDYKTRKDIDAKSYTLHLAMQENSKGQEEECTYYMWKQKFVVKPENIVNLCHVMPVIDNFGQVIKSRNLLLVPADGSKWVTLIGTNPWRLQKYVELSADYSSSGRYAGNCTSEGQLIAFLRTYAQAADIPFIHPPNSSIPAVSSPLAMENALLLLQWIRNLRSSNVLPKKFLNCIKNEKWLKTSVGYNSPSRTFLSSPGWGSKQQIQFVFANLPIIYEEFYANRIGVYKEELRIMGVQFEFANASVHIGNQPLSMENAILLLQWIRDLKLRSVQLPRNELSRIRNGKWLKTSIGCNAPSRSFMLSAEWANLRLVISQLANVPLVDHEFYKNTISSYKEELGSIGVQFEFSYTSMDMATTPLTMENAILLLQCISSLRLRCTQLPQKFLSFISKGKMLSAEWEKFPQIQSILADVPIIDQDFYGNKISAYKEVLRVIGVQFESTDAAVHICNHLMSIPSKTFSRANMFALLQSIRFLNESNKTPTYLIEQMRNGCWLKTCLHSRSLVNSILFSSKWQDASVISILPFIDRVFYGVDIADFKSELKLFGVVDFKQNFQLVVDNLRFSEDIITPGATILMLKCIWYAKESLDFIERLKDIRWLKTDVGFKLLCLLNVVEKVPLIDLEFYGPEIRLYMEELSKTGLIAGLKEASKRIVHDVTKLVHTCSLTNERALAMLECYRDLVTKHGKVPTHLANFMHRERWLHTSFGFRSPKEAILFSSAWEPIASVSSLPFIYGTNTQNELIAFGSKVGLEQGAAFVISGLNIPHDASAVTPEAIISLLKCIRSWRKNGTALPQSFKSAINVKWVKTTAGYRNPNGCILFESVCSSHAIGVALNASAGCALMAQHLQGLSNVDKISSIYSYLEACRWKPRYTSDDWIWIPHEADEGAWVNPASCVLYDRNSLFGSQLHVLVKWYNSKLLRYFNTIFGVKHHPTVSDYCKLWSVCRTALAQKDCAAFWKFFGKNWSTDMGKFISGCITKVPVCSGDQILLLEKQDVFILDDLLLEDLFKKQAQQPLFVWYPSASLPCLSPTKLNDIYSSIGIQNISKAVARGASEGLKIEHVTIVHKGTMIKPGLLRIRHELVSGLTNVVVYERSMPLTVSYQVGLSSGRNMVVTSARFFCWEREDSRLDVTKTEVTGLVTNSVKMEHAACFAEEISKGLLFENADHVPALAELVRTGFLLDFDVPAVEILLKLKNLRLFEKDEQFLLPYTDMLRANRSCGSSYV >KQL13244 pep chromosome:Setaria_italica_v2.0:III:3377484:3380923:1 gene:SETIT_022004mg transcript:KQL13244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRAAQLGVRCGRVGSETSTPQLVTISSSSTPPPPVSPSAGSDRIRPTNPRRRRRSEKMAAVDTFLFTSESVNEGHPDKLCDQVSDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRNIGFVSADVGLDADHCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYRNEGGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRAIVQVSYAIGVPEPLSVFVDTYGTGTIPDKEILKIIKENFDFRPGMIIINLDLKKGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWEKPSA >KQL16309 pep chromosome:Setaria_italica_v2.0:III:37100316:37100712:1 gene:SETIT_025752mg transcript:KQL16309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFITPQKNPFLLSPLIIIGTHIYSRQSACDFQSIGGICTLLLLVQNG >KQL16131 pep chromosome:Setaria_italica_v2.0:III:30480391:30484539:1 gene:SETIT_025606mg transcript:KQL16131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPISREQLGEVKTRKKRQGCRHFALLGPYGPLCLAQPVCVSMLPSPAHPVSSSVTPAATSRQSCVPAAPQLLSPAAPHAGDPAGRLEETTRAKGRRGADPPLVAGRLRRYSAASLWSVPRRAPPQRARSV >KQL12794 pep chromosome:Setaria_italica_v2.0:III:911009:912799:1 gene:SETIT_021546mg transcript:KQL12794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRAPPAVPRLRSPASVKPRRFHAATHHHHHHQDPVPVPAYTYATLLQCSAATADPLLAASLHAALLKPGLLSSDLFLSNHLLIAYFKSRLHRHGLRLLDEMPRRNAVSWSVAIAGLTQGDQPREALALFRRMRVAGCPPNEFALVSALNASSFVGATGTGRARQLYALAVRLGFESNVFLMNAFLAAMVRHGQLADAMQLFDDACVRDIVSWNTLLAGFARHWCVQGWILWRRMVREAVGADGFSFSTVLSGLAARASLASGLQVHAQLVKSGFGDDVYVGNSLVEMYMKSKCLVDGIRAFTEIRCKDVVSWTEMAAGCLHCGEPAKAIGIFSHMMLDGVMPNSYTFATAANACASLIDLDEGRKVHGYVIKLGDESDVGVNNALIDMYAKCGSVSCAYKVFQSMQQRPVISWTAMIMGFAQNGLAREAVEVFDDMLLKGVAPNYVTLICVLYACSQGGFVDEGWIYFNAMEGKFGVQPGEDHYACMVDLLGKAGHIEEAEELISRMPFRPGVLVWQALLGACRLHGNETVGRRAAEHALALENDDPSTYTLLSNILAGRQNWDSAGRVRGFMGDTEITKLPGSSWFQSPLDRN >KQL13272 pep chromosome:Setaria_italica_v2.0:III:3535487:3536768:-1 gene:SETIT_022522mg transcript:KQL13272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAMRAQNKGSKPQRRLARTGIGLLVGAVQVGPDVDAEHAAVPLEEADPVLHRRGLPARSALDPAVDDVGRQPEPRRPVLRQVVAAGPVAPHHHVVARRLGRPRRHPEPQRRLERHLRVARHHLRLPQPVEAHRLARRPVVVLDGSAAMVGLAEDARPVGVVGVAVVALRVDLLLEAEAPREGPVGRRRPPAGRLVGDLRQERRHLAPPGGVAAVAAERRERVGGAEEGGVVVEAARGAGGVVLVREEGAVQVLRHDRCHVAVAVAREVAVVAVVAVAAVAVVAVAAAAVVGEQAVPPPPRPPRRLRGTGVGDDQHDGQQDGREEQARHCWQLVVSSVQRASCIDREDS >KQL16493 pep chromosome:Setaria_italica_v2.0:III:40980719:40981487:-1 gene:SETIT_0245201mg transcript:KQL16493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKLVIDLDPPEDAKRFVLPTENKFAAAGFNGSAPVAAAVAVKEEVVAVAAPDAVPGGGGGVGGRGRKNRFYDDEEDLEMDRRSSKQSALQGDGDDRDVFDKYMITSHEMCVEQMEKLRIAMQEEAAKKEAVNGNGKAKAKGGGRRGGREVVDLRTLLIHCAQAVASDDRRNATELLKQIKQHASPQGDATQRLAHCFAEGLQARLAGTGSMVYQSLMAKRTSAVDILQAYQLYMAAICFKKVVFIFSNQTIYNASL >KQL15845 pep chromosome:Setaria_italica_v2.0:III:24435750:24436985:1 gene:SETIT_023410mg transcript:KQL15845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLYLYGNYNDAIWWEVRQPGPEELLLGINLARGNMSRIKWMQHIAMLCDAWLINIAFSSAQNMTAEQRERLFHRINSLQTVHTAFLASDTYRRLCREEEKVSRPAAEQNDQTEGEGTDEIICIRCNGRYRANAFWICCDVCKQWYHGKCVKIRAKQADQMKKYECPECLSEKSGHS >KQL16480 pep chromosome:Setaria_italica_v2.0:III:40878119:40880353:-1 gene:SETIT_025663mg transcript:KQL16480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPAAAASEAWDKVTGFLHSQINDEENWANNYKIAKAIGLFAGSIFFMRTCGDIMAV >KQL15041 pep chromosome:Setaria_italica_v2.0:III:16027831:16033947:-1 gene:SETIT_021478mg transcript:KQL15041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPVATGLPPARLPAASRVDKATSHLLQGPDWAVNLEICDTLNADRWQTKDVVKAVKKRLQHKDPRVQFLTLTLLETMMKNCGEYVHFEVVDQHVLQEMVKIVQKRQDMQVRDKALLLLDSWQEAFGGPGGKYPQYYWSYIELKRSGVMFPRRPVDAPPIFTPPATHQAYGSPRYPSGSLNERTTSDVETLSFEGLNNIRNATELLRDMVNALNPADRMAVKDEIITDLVNQCRSNQQKLMRFVSSTGDEELLKQGLEINDALQSVLAKHDAIASGSPLPVETPSREELHREDPNPQPSTPPIPHDNKAQVEEDEDDEFARIARRKNKSVISSDEASSIAGDQALIAVDPALSEVSSVASNALVPVDSTSVSGTRTKEQDMIDLLSLTLYSPPESSADSSTQSQNGTQPSVTSNGPEVQPNYQPAAANGANYPVNNQAYPTNQGYAPCNNYVAPWAQTGPVAQPAAYPTQPQQYVSSYPAPPWAMTPSVNSTNPFQPATYQMPNPPVASVAPAATYPAPSKPYAAAPMQHVPSPNPKPMQSYNSFVSQTNNGPSMASDARMNGNQRPKETPATAARPYYMPDNLFGDLIDVKSFGAGSKINRSTSMPSPKGGGQPMIGRNK >KQL15040 pep chromosome:Setaria_italica_v2.0:III:16027831:16031332:-1 gene:SETIT_021478mg transcript:KQL15040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRRPVDAPPIFTPPATHQAYGSPRYPSGSLNERTTSDVETLSFEGLNNIRNATELLRDMVNALNPADRMAVKDEIITDLVNQCRSNQQKLMRFVSSTGDEELLKQGLEINDALQSVLAKHDAIASGSPLPVETPSREELHREDPNPQPSTPPIPHDNKAQVEEDEDDEFARIARRKNKSVISSDEASSIAGDQALIAVDPALSEVSSVASNALVPVDSTSVSGTRTKEQDMIDLLSLTLYSPPESSADSSTQSQNGTQPSVTSNGPEVQPNYQPAAANGANYPVNNQAYPTNQGYAPCNNYVAPWAQTGPVAQPAAYPTQPQQYVSSYPAPPWAMTPSVNSTNPFQPATYQMPNPPVASVAPAATYPAPSKPYAAAPMQHVPSPNPKPMQSYNSFVSQTNNGPSMASDARMNGNQRPKETPATAARPYYMPDNLFGDLIDVKSFGAGSKINRSTSMPSPKGGGQPMIGRNK >KQL15603 pep chromosome:Setaria_italica_v2.0:III:21576146:21587148:1 gene:SETIT_021312mg transcript:KQL15603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEQQKQQPQRPRRKGQKRKLEDEAAAAAVAAAAAAAAAAAASSLGSAGADDDNEEEEDGSAAASEICCRHSSQAAIAREVRTQVDVLLRCASSWRHADRVAAKRATHVLAELAKNEEVANVIVEGGAVPALVGHLEEPAAAAAAQEDQQLRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPPLVNLLRRQKNTTNSRVVNSVIKRAADAITNLAHENSNIKTSVRMEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKALIVQCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSSCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQDTHNQAGIAYNGGLLPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKTVQRRVALALAHLCAPEDQSTIFIDNNGLDLLLDLLTSMSSKHQQDGSAALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVNFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWDVFELMMRFIYTGSVQVTSEIAQDVLRAADQYLLEGLKRLCEYTIAKDVNLDNVSDMYDLSEAFHAVSLRHTCILYILEHFNKICTRAGSAQLIQRVIPELRNFLTKALSSRSPSDKNMQT >KQL15602 pep chromosome:Setaria_italica_v2.0:III:21576146:21587148:1 gene:SETIT_021312mg transcript:KQL15602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEQQKQQPQRPRRKGQKRKLEDEAAAAAVAAAAAAAAAAAASSLGSAGADDDNEEEEDGSAAASEICCRHSSQAAIAREVRTQVDVLLRCASSWRHADRVAAKRATHVLAELAKNEEVANVIVEGGAVPALVGHLEEPAAAAAAQEDQQLRPFEHEVEKGAAFALGLLAVKPEHQQLIVDAGALPPLVNLLRRQKNTTNSRVVNSVIKRAADAITNLAHENSNIKTSVRMEGGIPPLVELLESQDLKVQRAAAGALRTLAFKNDENKALIVQCNALPTLILMLRSEDAAIHYEAVGVIGNLVHSSPNIKKEVLNAGALQPVIGLLSSSCTESQREAALLLGQFASADSDCKVHIVQRGAVRPLIEMLQSADVQLREMSAFALGRLAQDTHNQAGIAYNGGLLPLLKLLDSKNGSLQHNAAFALYGVADNEDYVSDFIKVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKINGRVLKHLLYMMRVGEKTVQRRVALALAHLCAPEDQSTIFIDNNGLDLLLDLLTSMSSKHQQDGSAALYKLANKAAALSPMDAAPPSPTPQVYLGEQYVNSSTLSDVNFLVEGKRFYAHRIALLASSDAFRAMFDGGYREKDARDIEIPNIRWDVFELMMRVSAGYQ >KQL15276 pep chromosome:Setaria_italica_v2.0:III:18542634:18545611:1 gene:SETIT_021940mg transcript:KQL15276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQLVFSNVSSCQCPLGISPKNVGNTLLGESARNFQRKKWFSGGFYRSSRLECSANSRRAGPRRTKDTLYDLHPEISLLYGEDNGAASVSSKEQGIDAAAERLVDAPASYRYNEPRIKVIGVGGGGSNAVNRMIESSMKGVEFWIVNTDFQAMRMSPIDPENRLQIGQELTRGLGAGGNPEIGMNAAKESQELVEQAVAGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRALQAQEGIASLRSNVDTLIVIPNDKLLTAVSPNTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRSVMSDAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGNDLTLTEVNAAAEVIYDLVDPGANLIFGSVIDPSYTGQVSITLIATGFKRQEESENRSSQAGGDSNRGHSGWFSPTSQEEGPALQIPEFLQRKGRSGFPRV >KQL14306 pep chromosome:Setaria_italica_v2.0:III:10418860:10419359:-1 gene:SETIT_025008mg transcript:KQL14306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAAGQAGPSGAGRRLGGAGDVGGALLRSRFVPFHHPLVRLPVLCFLFCALPLSSPRHHALVPGARCRRPCLMPIDSATVLMGHGRGDFVVLAAASTCTLGA >KQL17338 pep chromosome:Setaria_italica_v2.0:III:49835986:49843609:1 gene:SETIT_021146mg transcript:KQL17338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFAGVGGGAAGAEPASAGGTGRALLELTPHKVAVCHLVQVFAPPAQAGGDVVPPFPFESVAHHNRLGLFLFTLTRSCDDFREPSLEELLRQLKAVDDLTNGWLCEQLTSTLSALNSPDDLFNFFDKLRGVLTAPEGASAEDVFLDPNSQLGVFLRCCILAFNSMTFEGVCHLLADLVMYCNSTDASYDLAEDEDFDSEMSNLMDADIGSQAGIFEKYRQGYASDSHMGESSSALTHAPGLLHDFDEANTFKVDDNPTCLRSRWQLEAYLNQQADILEKDPSSVPLNSFNATMTQLQTLAPELHRVQFLQYLNALCHDDYVASLDNLHRYFDYSAGMQGLFGRSVSPVQDIVVGNYESALLCLGNLHCYFGHPKKALEAFAEAVRVSQMNNDDSCLAYVLGAISNLLSKIGMSNTVGVISSPYSLGTNIGLGTPLSIQQQLLVLLKRSLKRADVLKLPSLLSFDHLSLAKFDLKHVQRPLVSFGPNASTKLRTCPADVCKNLRLSSRVLTDFGTDVLSTSNENGSFSTSWLRNLSTASDSWRSNSRKSTKLYNDFDNFHYHAQPSPIPASVLQLAGSSYLLRATAWEHYGSAPMVRMNALVYATCFADAASSSELSLAYVKLIQQLAVSKGYSAAFCALKLAEKKFPSSTSLHIQLLRMQILHERALHRGHLKVAQQICDEFGVLSSSVCGVDIELKTEASVRRARTLLAAKQFGQAAAVANSLFSTCYKYNMQVENASVLLLLAEIHKKSDNAVLGLPYALASQSFCKSFNLDLLEASATLTLAELWLALGSSHAKRALSLVHQSLPMILGHGGLELRARAHIVLAKCHLSDLKFSVPEDPEAVLDPLNQATQDLQVLEYHEMAAEAYYLKAMAYNHLGKLDEREEAAARFKEHITAHENPRNEEDSLAY >KQL16986 pep chromosome:Setaria_italica_v2.0:III:47382167:47387557:1 gene:SETIT_021177mg transcript:KQL16986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRMLLEQLAGEALREVLGAVRGTLFCRSTAERLRRSVEPLLPLVQGLGPHAAAGQRSAGELGELAARIREALDLARRAAASPRWNVYRAAQLARRMEAADRGIARWLERHAPAHVIGSVRRLRDEADARIGRLERRVEEIAAAAHPPPPALSFPVAPPPQMHKGLPMQMPMDAPPHKGVPMPMDATLTKASMAAMPVDAPPAKGMAMPAKAGVMAMDMELADGHEDEGMVGGGLKVAKEKVKEMVMSGGGGWEVVGISGMGGSGKTTLAMEIFRDHKVRAYYNNMIFFETISQSANLETIKMKLWEQISGNIVLGAYNQIPEWQLKLGPREKGPVLVILDDVWSLSQLEDLVFKFPGCKTLVVSRFKFPTLVKQTYEMQLLDEEEAFSVFCRAAFDQECVPKTADKRLVKQVSAECRGLPLALKVIGASLRDQPPKIWLSAKNRLSRGEAISDSHETKLLERMAASVECLSEKVRDCFLDLGCFPEDKKIPLDVLINIWMEIHDLDEPDAFAILVELSDKNLLTLVNDAQNKAGDLYSSYHDYSVMQHDVLRDLALHMSGRDPLNKRRRLVMPRREETLPRDWQRNKDTPFEAQIVSIHTGEMKETDWFQMSFPKAEVLILNFASSVYYLPPFIATMQNLKALVLINYGTTSATLDNLSAFSMLSGLRSLWLEKITLPPLPKTTIPLKNLRKISLVLCELNNSLRGSTMDLSMTFPRLSNLTIDHCIDLKELPSSICEIISLETISISNCHDLTELPYELGKLHCLSILRVYACPALWRLPPSVCSLKRLKYLDISQCINLTDLPEELGHLTNLEKIDMRECSRLRSLPRSSSSLKSLGHVVCDEETALLWREAEQVIPDLRVQVAEECYNLDWLVD >KQL15271 pep chromosome:Setaria_italica_v2.0:III:18517222:18519580:1 gene:SETIT_022296mg transcript:KQL15271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSACAIMEGAAAGSFSVPYYEWLKPRSSSPPLPPSPSSSSSTSSTLSTPSVDRSAADDDHGRDAMMCLPLLGRLEGRATTPDRGQNPIKEELMSNISTTGTRGGAAGVDLNIGLPAIGGYSSEEAPMDEEDDEEEEDFEEEGEKTRTHDKCKEEEAGERANSEMAVESVEGSESDYLRVGGEEGIKGFVGSRGRRYWIPTPAQILVGPVQFICHVCSKTFNRYNNMQMHMWGHGREYRKGPESLKGTQAATLALLKLPCYCCAPGCRNNVAHPRARPLKDFRTLQTHYKRKHGDKHFGCRRCGKPFAVKGDWRTHEKNCGKRWFCACGSDFKHKRSLNDHVRSFGGGHLPVAPDQAAAVPPLLKHKERIIRFDQAVVAPWNGAHAAHA >KQL14652 pep chromosome:Setaria_italica_v2.0:III:12701398:12702434:1 gene:SETIT_023002mg transcript:KQL14652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRPSRNEAAEDKMSDPARPLALPTPTVYPASSAHDDAEEAAQTATGWRSMQYLRKRRRCVLCCCGCCVTTVVVIGVIILALALTVFKVKEPRLTMNNVWLTAISAGPGSGGIAAPVAANATLTADISIKNPNAAAFRFSRTETDVYYGGQTVSVAYAPAGRVGADRTLRMNVTVDLLADRLARAMNGTGLVFGQEYDLDTYTDINGMVNVLGIIKKDIEIKLNCSVVIEVGGAAAAIESGVASTVKSKGVNCVADVSM >KQL16040 pep chromosome:Setaria_italica_v2.0:III:27307872:27308815:-1 gene:SETIT_024329mg transcript:KQL16040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEAKCGGLAVATGATVRRPLQPRDTNVAASTVVVGKAAPKPKAKPKAVTRLAASPPPLPPSPPPPPPAPVVVVKPRVKAECGVAVVPVAEVSLAEELERARERRGRLREARERTERGMDGRAEALDREAAEWERRADEQRRLVAELMRLIGMPEVYTPVESLRSREERKRREAIAHSSSRGSTSTASTLLADVGAQSCSDQESEATGVVKERVKTTEIST >KQL15975 pep chromosome:Setaria_italica_v2.0:III:26458278:26458853:1 gene:SETIT_025004mg transcript:KQL15975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSAHSTELASDKSDVIVGDAGLCLDDGKAAAVSSKAVVEELYRALERGDVDAVRRLLNPDVDWRFHGPRAHQHLVLMRLLTGGAGAGGLPFKIRSLDAFGPTVLAEGTDATGALYWVHAWTVGSGGRVTEVREYCNTALVVTRLGGDGDAAAAAASETAKAACSQSQSKQVWQSRLPDRARRNLPGLVLAI >KQL14117 pep chromosome:Setaria_italica_v2.0:III:9022834:9025692:-1 gene:SETIT_022060mg transcript:KQL14117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSCVPPSLPESAAASGLVLLDRWCYIADLPNNTTAESTTSSGLPIKVTFRAARPPLLSHFCVHCPGLDFRKIGPKIVATDADLVLLRVPIDPNSTNRGLDWDYFVYRPRAQWLNLLPNPHPRVLDDSATALISREDGAWYVVAALGVRLPLYDGRALIRWDFDLHLYRSSDSKGWISKRLSVNEFERDKLIPLPRAVDRLYHETEKTITIGGEHGTVAWVDLWRGIFFCDVLKECPLLQDVPLPVPARGDWDCRLRHCNPSYLRDVTISRNKDSIKYVELEFLYREELNATPVSHTDWVCNNSRKSQVIRDGWKSTTWNMAIPVGLGEGWHRDCVIDVKDVNLEASDPCLSDLMAMLSSKTTRTWKELPVACPILSMDDDVVYLLSQTRPRYMDKLAVIFAIDVRKATLQGLAELDVQKSTIFFPNFCTSEICRGT >KQL14112 pep chromosome:Setaria_italica_v2.0:III:9024254:9025582:-1 gene:SETIT_022060mg transcript:KQL14112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSCVPPSLPESAAASGLVLLDRWCYIADLPNNTTAESTTSSGLPIKVTFRAARPPLLSHFCVHCPGLDFRKIGPKIVATDADLVLLRVPIDPNSTNRGLDWDYFVYRPRAQWLNLLPNPHPRVLDDSATALISREDGAWYVVAALGVRLPLYDGRALIRWDFDLHLYRSSDSKGWISKRLSVNEFERDKLIPLPRAVDRLYHETEKTITIGGEHGTVAWVDLWRGIFFCDVLKECPLLQDVPLPVPARGDWDCRLRHCNPSYLRDVTISRNKDSIKYVELEFLYREELNATPVSHTDWVCNNSRKSQVIRDGWKSTTWNMAIPVGLGEGWHRDCVIDVKDVNLEASDPCLSDLMAMLSSKTTRTWKELPVACPILSMDDDVVYLLSQTRPRYMDKLAVIFAIDVRKATLQGLAELDVQKSTIFFPNFCTSEICRGT >KQL14113 pep chromosome:Setaria_italica_v2.0:III:9024254:9025582:-1 gene:SETIT_022060mg transcript:KQL14113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSCVPPSLPESAAASGLVLLDRWCYIADLPNNTTAESTTSSGLPIKVTFRAARPPLLSHFCVHCPGLDFRKIGPKIVATDADLVLLRVPIDPNSTNRGLDWDYFVYRPRAQWLNLLPNPHPRVLDDSATALISREDGAWYVVAALGVRLPLYDGRALIRWDFDLHLYRSSDSKGWISKRLSVNEFERDKLIPLPRAVDRLYHETEKTITIGGEHGTVAWVDLWRGIFFCDVLKECPLLQDVPLPVPARGDWDCRLRHCNPSYLRDVTISRNKDSIKYVELEFLYREELNATPVSHTDWVCNNSRKSQVIRDGWKSTTWNMAIPVGLGEGWHRDCVIDVKDVNLEASDPCLSDLMAMLSSKTTRTWKELPVACPILSMDDDVVYLLSQTRPRYMDKLAVIFAIDVRKATLQGLAELDVQKSTIFFPNFCTSEICRGT >KQL14116 pep chromosome:Setaria_italica_v2.0:III:9022834:9025692:-1 gene:SETIT_022060mg transcript:KQL14116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSCVPPSLPESAAASGLVLLDRWCYIADLPNNTTAESTTSSGLPIKVTFRAARPPLLSHFCVHCPGLDFRKIGPKIVATDADLVLLRVPIDPNSTNRGLDWDYFVYRPRAQWLNLLPNPHPRVLDDSATALISREDGAWYVVAALGVRLPLYDGRALIRWDFDLHLYRSSDSKGWISKRLSVNEFERDKLIPLPRAVDRLYHETEKTITIGGEHGTVAWVDLWRGIFFCDVLKECPLLQDVPLPVPARGDWDCRLRHCNPSYLRDVTISRNKDSIKYVELEFLYREELNATPVSHTDWVCNNSRKSQVIRDGWKSTTWNMAIPVGLGEGWHRDCVIDVKDVNLEASDPCLSDLMAMLSSKTTRTWKELPVACPILSMDDDVVYLLSQTRPRYMDKLAVIFAIDVRKATLQGLAELDVQKSTIFFPNFCTSEICRGT >KQL14114 pep chromosome:Setaria_italica_v2.0:III:9024254:9025582:-1 gene:SETIT_022060mg transcript:KQL14114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSCVPPSLPESAAASGLVLLDRWCYIADLPNNTTAESTTSSGLPIKVTFRAARPPLLSHFCVHCPGLDFRKIGPKIVATDADLVLLRVPIDPNSTNRGLDWDYFVYRPRAQWLNLLPNPHPRVLDDSATALISREDGAWYVVAALGVRLPLYDGRALIRWDFDLHLYRSSDSKGWISKRLSVNEFERDKLIPLPRAVDRLYHETEKTITIGGEHGTVAWVDLWRGIFFCDVLKECPLLQDVPLPVPARGDWDCRLRHCNPSYLRDVTISRNKDSIKYVELEFLYREELNATPVSHTDWVCNNSRKSQVIRDGWKSTTWNMAIPVGLGEGWHRDCVIDVKDVNLEASDPCLSDLMAMLSSKTTRTWKELPVACPILSMDDDVVYLLSQTRPRYMDKLAVIFAIDVRKATLQGLAELDVQKSTIFFPNFCTSEICRGT >KQL14115 pep chromosome:Setaria_italica_v2.0:III:9022568:9025692:-1 gene:SETIT_022060mg transcript:KQL14115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVSSCVPPSLPESAAASGLVLLDRWCYIADLPNNTTAESTTSSGLPIKVTFRAARPPLLSHFCVHCPGLDFRKIGPKIVATDADLVLLRVPIDPNSTNRGLDWDYFVYRPRAQWLNLLPNPHPRVLDDSATALISREDGAWYVVAALGVRLPLYDGRALIRWDFDLHLYRSSDSKGWISKRLSVNEFERDKLIPLPRAVDRLYHETEKTITIGGEHGTVAWVDLWRGIFFCDVLKECPLLQDVPLPVPARGDWDCRLRHCNPSYLRDVTISRNKDSIKYVELEFLYREELNATPVSHTDWVCNNSRKSQVIRDGWKSTTWNMAIPVGLGEGWHRDCVIDVKDVNLEASDPCLSDLMAMLSSKTTRTWKELPVACPILSMDDDVVYLLSQTRPRYMDKLAVIFAIDVRKATLQGLAELDVQKSTIFFPNFCTSEICRGT >KQL14795 pep chromosome:Setaria_italica_v2.0:III:13919514:13920492:-1 gene:SETIT_023114mg transcript:KQL14795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQQVVIVGEEHCGGEDRKLTVRKTTLFKPGDGLEAYDHRTGALAFRVETYGRGGECGGGAAAGDLALLDAEGEPVLTVRRHRPSLHNRWDGFLGDGGAGHGHQKPLFSARRSSILGHGTGAAAVLVDLLAPGATGEFHVDGSFPRRCCRVVASKAWGASEGGGKEEEEDVVVAEVRRKVDEDAHVVMGRDVFVLWVRAGFDAAFAMGIVLVLDRITGDEVDGDLGEDLFEATSPA >KQL15047 pep chromosome:Setaria_italica_v2.0:III:16052217:16053305:1 gene:SETIT_023894mg transcript:KQL15047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKDMSHHTNMNFLHSLELFQPSPSSNSFFGCLSQCNQNSILFVLSNLFELPWSCRRMEIVQNHSYIIDS >KQL13073 pep chromosome:Setaria_italica_v2.0:III:2410536:2412023:1 gene:SETIT_022807mg transcript:KQL13073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRTQTLQQAAETLSIPSSLSGQLNRRPVAAMGIVASMCMEPIKRRRVEKDLDDKVAAALRERARSRQRTFRSVNSITMRLPRFKDGLRDIKDVFDQYDENSDGTIDNEELRRCLGKLQVQMSDEEADDVHRYCDIDRRNGIQFQEFVVLLCLMYLLFGPDVTRRVSEFESAKLNYVFDELIDAFLFFDKDGDGKMKRKDVTQRMNEESHQERTPSHITAQLFSTTLYSSCHSFHPSLSLLAFILICRGDGHRQEREGEPQGVPLLHNQMGRPRDRRRRKQRGLSLACLPFRLRFNR >KQL13074 pep chromosome:Setaria_italica_v2.0:III:2410813:2412023:1 gene:SETIT_022807mg transcript:KQL13074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVASMCMEPIKRRRVEKDLDDKVAAALRERARSRQRTFRSVNSITMRLPRFKDGLRDIKDVFDQYDENSDGTIDNEELRRCLGKLQVQMSDEEADDVHRYCDIDRRNGIQFQEFVVLLCLMYLLFGPDVTRRVSEFESAKLNYVFDELIDAFLFFDKDGDGKMKRKDVTQRMNEESHQERTPSHITAQLFSTTLYSSCHSFHPSLSLLAFILICRGDGHRQEREGEPQGVPLLHNQMGRPRDRRRRKQRGLSLACLPFRLRFNR >KQL12808 pep chromosome:Setaria_italica_v2.0:III:980774:987703:-1 gene:SETIT_021587mg transcript:KQL12808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPELRGSDGSSYDFHLRSLSAASRDSAAAADPASDPNLLQSVRRVCEMCREAKEARDEMVARAFPVMSKLFQRCATAPTQAVASTGSLLLTILQFVLDFGEAVLHDADDSLKTFFRSCLSREFADPIVAERTLEFLIANKTKILGSFPTLIPQFYPLLLKLIASNGERLEKKFLEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDSSGISGSDDSSPLDLADPMFLDLLKDENDGIAAKHWISPTISSTLQAAVNSTQSDRLKQSLEMAPRFLSLYFATALRDVNDSLLCALVPVVMSRYAAMFPDKVFSFEVRKRLSDFILAAFQRSPDIIAILKKPITDRLGEAYDDPGKTELALHLCWAIGEHGAGGINRKDVARELFENLELLLYENLATSRLGLSQEPGFDSMGASSRKSSQARLLCFVVTAIAKLATCHSELLPRARVSLAKVARTRTSDRRVWQRACDYLGLMNEPAICLSVLGPSTAQGNGPGIVDWSEGGTKMVAHVPFYLLAEQKGPPFHDFSFADLLPRQNEEKLPS >KQL12955 pep chromosome:Setaria_italica_v2.0:III:1848217:1849618:1 gene:SETIT_023488mg transcript:KQL12955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEGSGDQRDSCPGVVAMGRHFKPWGALQQDFHCDCPRTSVRGFGATSKQHALTWKHPCSRHARAMSWMASALVAGSLLSLERLITGNGLNDGSCSCATWLAMDAVQVFAMKQVLHARSCIYRPCRISSKSRADTEWYCCRPLCKTPFSATKPWANTLYEDF >KQL16257 pep chromosome:Setaria_italica_v2.0:III:35258573:35262367:1 gene:SETIT_022008mg transcript:KQL16257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLRLHLAAPPPLLLHHRRRLHLPRLPRPPGGRPSLNPALAPLLPLSPPPPLPRPGPTSAAFRLGDQSRGVVGVLAAILATVPRALNVLWIDPGAGVGTKFLDAVASVSDSHAVFGPPGIGKSSSLCRMSVARVSTHQFLSAPKHIMFGHSIPSCIHFTLDCPWSFDNTVDLMLLLVGDAQNLSFVVLDMLILSVFRNNHSSVDNVLGLYTQGAFIFLHFLHSVVMLVLTIIFAVFHSGMASLRESGEKIIGERAYRVLFAGISLPLAVTTIVYFINHRYDGIQLWQVQGITGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQMVGQVIWCLAHTLWIGNSVAVAASVGLIGHHLFGAWNGDRRLASRYGEAFEVLKKRTSVVPFAAIVDGRQKLPKDYYKEFFRLPYFAITSLTLGAYLAHPLMQASSYQLPW >KQL16258 pep chromosome:Setaria_italica_v2.0:III:35258693:35262105:1 gene:SETIT_022008mg transcript:KQL16258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLRLHLAAPPPLLLHHRRRLHLPRLPRPPGGRPSLNPALAPLLPLSPPPPLPRPGPTSAAFRLGDQSRGVVGVLAAILATVPRALNVLWIDPGAGVGTKFLDAVASVSDSHAVFGPPGIGKSSSLCRMSVARVSTHQFLSAPKHIMFGHSIPSCIHFTLDCPWSFDNTVDLMLLLVGDAQNLSFVVLDMLILSVFRNNHSSVDNVLGLYTQGAFIFLHFLHSVVMLVLTIIFAVFHSGMASLRESGEKIIGERAYRVLFAGISLPLAVTTIVYFINHRYDGIQLWQVQGITGIHELVWLSSFISFFFLYPSTFNLLEVAAVDKPKLHMWETGIMRITRHPQHAFVSCIDGWPGNLVPCSYTMDWQLGCCRSLRWTDWPPPVWCLEWRQEAGITLW >KQL16418 pep chromosome:Setaria_italica_v2.0:III:39589141:39591041:-1 gene:SETIT_022792mg transcript:KQL16418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSLQHPWAFTFGLLGNVISFMTFLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNEVLLITINVAGFVIESIYVILYFVYADKKARWFTAKIMLGLNVGFFGAILLFTLLVFHGDKRIVTLGWICVAFSVGVFVAPLSIIKRVIQTRSVEYMPFSLSLTLTLSAVVWFLYGLLIKDKYVALPNILGFTFGVVQMVLYVFYMNKTPVVADGKEAGKLPTAADEHVLVNIAKLSPALPERSSGVHPVREMGLPTRTCAAEVAAATRAAPNRDVVDVLVSRQSPAVGVA >KQL16417 pep chromosome:Setaria_italica_v2.0:III:39588732:39591278:-1 gene:SETIT_022792mg transcript:KQL16417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSLQHPWAFTFGLLGNVISFMTFLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKSNEVLLITINVAGFVIESIYVILYFVYADKKARWFTAKIMLGLNVGFFGAILLFTLLVFHGDKRIVTLGWICVAFSVGVFVAPLSIIRVIQTRSVEYMPFSLSLTLTLSAVVWFLYGLLIKDKYVALPNILGFTFGVVQMVLYVFYMNKTPVVADGKEAGKLPTAADEHVLVNIAKLSPALPERSSGVHPVREMGLPTRTCAAEVAAATRAAPNRDVVDVLVSRQSPAVGVA >KQL14867 pep chromosome:Setaria_italica_v2.0:III:14418925:14420752:-1 gene:SETIT_023662mg transcript:KQL14867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQLPSAFDPFAEANAEDSGAGPGTKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKENIKIHGF >KQL14866 pep chromosome:Setaria_italica_v2.0:III:14418925:14420752:-1 gene:SETIT_023662mg transcript:KQL14866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQLPSAFDPFAEANAEDSGAGPGTKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQAGIAKKENIKIHGF >KQL14869 pep chromosome:Setaria_italica_v2.0:III:14419281:14419890:-1 gene:SETIT_023662mg transcript:KQL14869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQLPSAFDPFAEANAEDSGAGPGTKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLVQVHSESQALVFRDVDSYICS >KQL14868 pep chromosome:Setaria_italica_v2.0:III:14419468:14419890:-1 gene:SETIT_023662mg transcript:KQL14868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQLPSAFDPFAEANAEDSGAGPGTKDYVHVRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVQ >KQL12943 pep chromosome:Setaria_italica_v2.0:III:1780531:1783451:-1 gene:SETIT_022206mg transcript:KQL12943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAASSSASALVRLPGPAHLRAPPRSGWREHRQPRRATVRCSFAPVETARIKVVGVGGGGNNAVNRMIGSGLQGIEFYAINTDSQALITSQAQYPLQIGEQLTRGLGTGGNPNLGEQAAEESREAIATALRDSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQALEALEKLEKSVDTLIVIPNDKLLDVADENMPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGVGVSSSKNRAQEAAEQATLAPLIGSSIEAATGVVYNITGGKDITLQEVNKVSQIVTSLADPSANIIFGAVVDDRYTGEIHVTIIATGFPQSFQKSLLADPKGARIAEAKEKAATFAHNKAAAAVVQPAPASAWSRRLFS >KQL16509 pep chromosome:Setaria_italica_v2.0:III:41119598:41120494:-1 gene:SETIT_023848mg transcript:KQL16509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGSCNCGSSCSCGGGCGKMYPDLAEKSTTTAPAAMVLGVAPEKGPSEVGFEKDAESGEAGHGCSCGSSCKCNPCNC >KQL14245 pep chromosome:Setaria_italica_v2.0:III:9861414:9862592:1 gene:SETIT_024460mg transcript:KQL14245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRSWADIPADLVAEIARHVPCLEDRVRAARACRTWLRGVLTNPCPLQLPWLLLPYQNAAALPPYATRRASFFCVLCNRAHRLAVPHYTEGARFFGAYPGGWLFLAYGQSCGHGLINLRTHESLYLPDDIIRPVGDGLARQYPMFIRAATLSAPPATFEGCVAAAIITVLTPQCFFGPSHITVWRMGSHVASASCTFGLDAEDVIHHNGAFHFLTRHGDLLICRPEFQDEAPLGRLQVREEFREMLGRVLNAGARYLVECRGELLMVLRVQPHQEATWSFRVFRMMQEQVSDADGIDADHARYGWTELPALDGRMLFVGRGCSRSFEAAHFPGSQEGVYFLDDGSFHAAPMICFGDEPRQYGCCNNGVWSGPPDHAHHWFPLQRPSTYSSP >KQL14427 pep chromosome:Setaria_italica_v2.0:III:11204053:11205443:1 gene:SETIT_023318mg transcript:KQL14427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTMLRSGHRLFLRRQRLSAAFSTASAEELIDVRKLPTDYDPSTFDPSSPSRPPPSDRVWRLVEDVSFLTLAESAALSSLLLRRLNIPSAPPIAILNSAAGLGGGAAATAGAAGEKAAAAAEKTVFELRLEAFEAASKIKVIKEIRSFTDLGLKEAKELVEKAPAVIKGGVSKEEAEAIVERMKAVGAKVVMD >KQL16469 pep chromosome:Setaria_italica_v2.0:III:40535373:40536844:1 gene:SETIT_023723mg transcript:KQL16469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVGPRVYSCCNCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNVVVGAKEDRQLMTGLHTVADIYCNDCREVLGWKYERAYEESQKYKEGKFIFEKAKIVKENW >KQL16049 pep chromosome:Setaria_italica_v2.0:III:27537195:27538319:1 gene:SETIT_023154mg transcript:KQL16049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNLDLLTDVTPVYDRWIRSILGIGPPAQVLARGSVNSNGIILHDVWFVPDINVNVVSVPQLGLEWHMDADDCLLRRSDDQAVVGTGHLGTDGLYELDFINLSRGPVWYIASSVSQHMTGDLHLLTDFIPIRPSHTVKTHTGARLQVCGKGSVKTGPFMIPDVCYVPGLGENIISISQLTDTGFTLIFGADRFAVKKLCDGNLVGYGTYGGNQLFHLDSLKIPTNK >KQL13657 pep chromosome:Setaria_italica_v2.0:III:5848769:5854270:-1 gene:SETIT_021285mg transcript:KQL13657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTILLLLLLLALPPPSAVALTAEGLALLAFKAAATDDPYSALSRWSESDTDPCRWPGITCANTSSSSSASSPAQPHVVGVAVAGKNISGYIPSELGSLLFLRRLNLHGNRLSGAIPAALSNASSLHSLYLYGNRLTGGLPVALCDLPRLQNLDVSGNALSGELPLDLRNCRSLQRLVLARNAFAGELPAGVWPEMPSLQQLDLSSNAFNGSIPPDLGELPRLAGTLNLSHNRFSGVVPPELGRLPATVTLDLRFNNLSGAIPQTGSLASQGPTAFLNNPGLCGFPLQVPCRAVPPPTQSPTPPATTTPLPSTASDHHQPIKTSLIALISVADAAGVALVGVILVYVYWKVKDRKESRRDGHDDGDSSKSALCRCMLWRHGGGGDSSDASSGGEDDDEAGGKYNSGASGGDGELVAIDRGFRVELDELLRSSAYVLGKGGKGIVYKVVVANGTTPVAVRRLGGGGGGADRCKEFAAEARAVGRARHPNVVRLRAYYWSADEKLVVTDFVGNGNLATALRGRPGQTVLSWSARLKIAKGAARGLAYLHECSPRRFVHGEVKPSNILLDADFTPRVADFGLARLLAVAGCAPDGPPSSGGGGLLGGAIPYAKPTGPAPDRFGGGYRAPEARSPGAKPSQKWDVFAFGVVLLELLTGRGPGADHASPSTSASFSAPVSGSTATDRSGSGEHGGGAVPEVVRWVRRGFEEDTRPVAEMVDPALLRGPALPKKEVVAAFHVALACTEVDPELRPRMKAVADNLDKIGS >KQL16679 pep chromosome:Setaria_italica_v2.0:III:43768343:43768846:-1 gene:SETIT_025615mg transcript:KQL16679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSDVSICKLNIVGIRDTGSNMLDTDIFSFLKKVTCYITLHR >KQL17251 pep chromosome:Setaria_italica_v2.0:III:49258234:49261823:-1 gene:SETIT_022137mg transcript:KQL17251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSTLHGVGAVASAKPRSAAPAAARRSVRVAAAAKGGAGSARGRLVARNAVAAKADEAAAEAGSKSGGHELLMFEALREALIEEMNLDPTVCVMGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >KQL17252 pep chromosome:Setaria_italica_v2.0:III:49258512:49261661:-1 gene:SETIT_022137mg transcript:KQL17252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSTLHGVGAVASAKPRSAAPAAARRSVRVAAAAKGGAGSARGRLVARNAVAVTCWLHPRLSVMCSRLQRCADLFALWYVQAKADEAAAEAGSKSGGHELLMFEALREALIEEMNLDPTVCVMGEDVGHYGGSYKVTKGLAEMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYICCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYAATLEDATVVQPAQIVAAVEQICQ >KQL14675 pep chromosome:Setaria_italica_v2.0:III:12871793:12872678:-1 gene:SETIT_024384mg transcript:KQL14675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAAGSVFKSHTHHRKGPARFRSLDVGERRGYLKGLVTDIVHDPGRGAPLARVTFRHPFRYGQQKELFLAAEGMYTGQLIYCGRRASLSIGNVLPVGTLPEGTVTCNVESHVGDRGALARCSGDYAIVISHNTDNGTTRVKLPSGAKKLVQSNCRAMVGQVAGGGRTEKPLLKAGNAYHKFRVKRNCWPRVRGVAMNPVDHPHGGGNHQHIGHASTVRRDAPPGAKTGQIGARRTGRRTGQAAVTAGKSML >KQL16172 pep chromosome:Setaria_italica_v2.0:III:33216357:33217351:-1 gene:SETIT_024206mg transcript:KQL16172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSKVLVVGGTGFVGRRIVVASLALGYPTFVLMRPEISLDVDKVQALLSFKAQGARLVEASLDDRARLVAAVRQVDVVVSALSGTHHRSQTVLLQHKLVEAIKEAGNIKELLMLLIFLSVAPVADPSELLR >KQL13082 pep chromosome:Setaria_italica_v2.0:III:2476008:2476586:1 gene:SETIT_024738mg transcript:KQL13082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHKNAAVALLPLLLLPLVMLMLTSTASAARRSPPPPASTEEFPVLRKVPTGPNNETSDPPPPPAVATSSDVDFPVLRKVPTGPNRETSDPPPPPSVATSSVVDFPVLRKVPTGPNHETSDPPPPPALATSSIVDFPVLRKVPIGPNPMTSDPPPTPAAATSTDDISVMRKVPNGPNSKTSDPRPPPPLRQ >KQL14536 pep chromosome:Setaria_italica_v2.0:III:11849873:11854166:1 gene:SETIT_022861mg transcript:KQL14536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYCEVGRYADGDKWEGVRLFYRRYGCGATKVLLIIGLAGTHDSWGPQIKGLTGSLEPADDEPPRPDEEAGAGAAGAAEAAPAEGDDAGGDGIEVCCFDNRGVGRSSIPPNKSYYSTAIMAKDALALMDHLGWKKAHVFGHSMGAMISCKLAAIAPHRLCSLALLNVTGGGFQCFPKVDGQMLSLAFRFLRAKTPEERALVDLETHYTKEYLEETVGSCTRRMVLYQEYVKGISSTGMQSNCGFEGQVNACWTHKMTTKELDTIRSAGFLISVIHGRYDIIAQLCHANV >KQL14438 pep chromosome:Setaria_italica_v2.0:III:11253895:11255507:-1 gene:SETIT_021981mg transcript:KQL14438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAKWALLLLLGLALLAPAAQAADNKDKDKEKDKEKDRDKDKDKDKDKDKDKDKDKNKNKSPPPPPPYHPPPPPPRVPSPPPPIVSYSPPPVVSSPPPPLPSSPPPPVVSSPPPPVPSSPPPPVVSSPPPPLPQSPPPPVVVSSPPPPLPSSPPVPVPSPPTSNVVYCKNTTGYPTCTAPATCPKKCPQSCHMDCDTCKPVCDCNLPGAVCEDPRFIGGDGNTFYFHGRRDRDFCLLSDVNLHINGHFIGSHVPGARRDPTWVQAIAVQFSGHRLYVGARKTAAWDDDTDRLAIVYDGAPVEVQGVANVRWEAPSSPLSVTRTKAANGVLVELAGVFKITVIAVPITEEDSRVHSYGLRDGDCLAHLDLAFRFYSLTDDVHGVLGQTYRSSYVNRLDVAARMPVMGGERDFAASGLFATDCPVARFAHGRRADALAVASDELMTSVKCSAGLDGVGVVCKK >KQL16960 pep chromosome:Setaria_italica_v2.0:III:47115140:47120346:1 gene:SETIT_020990mg transcript:KQL16960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAALVFAGKSVAIPAISFLVNKAFAYLNQYWKTEGIDEMKSRILLALPKIRAVFDVVNPERIKEESIALDAWLWHLRDAVEKAEDAVDEIEYYELKEKAKDLKVSDWGSSFAKMKHSAIKSVKHASIVDKAVKGLSHRGTLRRLQKALEGLDKAAAGVVGFLALADHLGGSTSRQEEDSLNKDRETGSMIIATKVFGRRKESEEVIGWLTKPSVGDAEIEVSKVSVVSIVGHGGMGKTTLAQLIHNDDTIKRHFDKVIWACVSTTFNATTVIRNILENATWPTNGASTLDALQKILREKVDSLKFLVILDDVWEDKTDDQWGKLFAPLRGGKNGSKILLTTRMKGVAEMADQVMRGENKLLELQGLDEDDNIELFSHHAFPSCGLQDDAALKRTGEQIAKNLRGCPLVTKVVGAHLRDNMRLDYWNEFLTQSLKHFYGGSEDIMDVLKLSYYHLPPVLQTCFRFCSLFPQDYEFGKDQLVEMWVDSGLISQDAFGTQSLVDVGENYLVQLTRKSFFDLRFRVDPFGQEDRESGYYVMHDLMHDLATNVSFGECLRMVDGGSLENVPSTVRHVRVAYIHNFPMEKMKKISCLENLRTIIIVKGIPWSVEENIDILNTVEELVESSKSLRLFQTHLRHRSHFASKLAKLKHLRCIILADTRMTQESMSGVFKLYHLRKLIWRSTKIGSKQVRDVGYLDRLQYVSYKPFGGSGCSMVPVARLTSLRVLENYHITRIKGYNMSALKDLGSLRSLSVDTLENVDNQEEAKKAKMKEKKCLESLRLEWTGCNGVRSRTHELILDSLEPHANLKKLCISGFRGSRIPHWIAEPRVENLVKLDFNFCEHIEELTSLGKLLKLKDLTLSGLISLRRIGQPSNASGDGRMELFLPPSLQKLYIQQCLKLEELPLLPPSLVRLDIQEVGLIRLPRIVKLHSSTDESISSKWLDIMVRSCQRLTSLEGSLFEQKQCIQVIREMSIINCMHLESAPFPFEETNELRKIHIENCPELRLLSGAEDKLPLPSLKTLAMGWCGDLELLLLESLQVFTNLSNLSLRNCSVVESLPSADVFANLTSLRIISLIGCRNLSSLGGLRSLPRLEDLEIVNCSKLAEAGLSLTFGVSGCEEERGSFKQIKSIMIDHPALLLVEPVRSLCPTYRLQIRDGSEMLDAIEPWLLRNCTSLQYLWISKVNLESLPLSISELSSLETLRLYNSDQLRSLPNVPSSLHTLHIYSCHSELRKKASERGSLEWNKICHIPYVFIGGYYFRKGEGISEESFFQGIWEQGLMMLELKRREAINQLVF >KQL16959 pep chromosome:Setaria_italica_v2.0:III:47115140:47120011:1 gene:SETIT_020990mg transcript:KQL16959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAALVFAGKSVAIPAISFLVNKAFAYLNQYWKTEGIDEMKSRILLALPKIRAVFDVVNPERIKEESIALDAWLWHLRDAVEKAEDAVDEIEYYELKEKAKDLKVSDWGSSFAKMKHSAIKSVKHASIVDKAVKGLSHRGTLRRLQKALEGLDKAAAGVVGFLALADHLGGSTSRQEEDSLNKDRETGSMIIATKVFGRRKESEEVIGWLTKPSVGDAEIEVSKVSVVSIVGHGGMGKTTLAQLIHNDDTIKRHFDKVIWACVSTTFNATTVIRNILENATWPTNGASTLDALQKILREKVDSLKFLVILDDVWEDKTDDQWGKLFAPLRGGKNGSKILLTTRMKGVAEMADQVMRGENKLLELQGLDEDDNIELFSHHAFPSCGLQDDAALKRTGEQIAKNLRGCPLVTKVVGAHLRDNMRLDYWNEFLTQSLKHFYGGSEDIMDVLKLSYYHLPPVLQTCFRFCSLFPQDYEFGKDQLVEMWVDSGLISQDAFGTQSLVDVGENYLVQLTRKSFFDLRFRVDPFGQEDRESGYYVMHDLMHDLATNVSFGECLRMVDGGSLENVPSTVRHVRVAYIHNFPMEKMKKISCLENLRTIIIVKGIPWSVEENIDILNTVEELVESSKSLRLFQTHLRHRSHFASKLAKLKHLRCIILADTRMTQESMSGVFKLYHLRKLIWRSTKIGSKQVRDVGYLDRLQYVSYKPFGGSGCSMVPVARLTSLRVLENYHITRIKGYNMSALKDLGSLRSLSVDTLENVDNQEEAKKAKMKEKKCLESLRLEWTGCNGVRSRTHELILDSLEPHANLKKLCISGFRGSRIPHWIAEPRVENLVKLDFNFCEHIEELTSLGKLLKLKDLTLSGLISLRRIGQPSNASGDGRMELFLPPSLQKLYIQQCLKLEELPLLPPSLVRLDIQEVGLIRLPRIVKLHSSTDESISSKWLDIMVRSCQRLTSLEGSLFEQKQCIQVIREMSIINCMHLESAPFPFEETNELRKIHIENCPELRLLSGAEDKLPLPSLKTLAMGWCGDLELLLLESLQVFTNLSNLSLRNCSVVESLPSADVFANLTSLRIISLIGCRNLSSLGGLRSLPRLEDLEIVNCSKLAEAGLSLTFGVSGCEEERGSFKQIKSIMIDHPALLLVEPVRSLCPTYRLQIRDGSEMLDAIEPWLLRNCTSLQYLWISKVNLESLPLSISELSSLETLRLYNSDQLRSLPNVPSSLHTLHIYSCHSELRKKASERGSLEWNKICHIPYVFIGGYYFRKGEGISEESFFQGIWEQGLMMLELKRREAINQLVF >KQL15906 pep chromosome:Setaria_italica_v2.0:III:25213721:25214394:-1 gene:SETIT_024227mg transcript:KQL15906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKIVLVVSAALVSAAQLLLAAAAGQIGLPGCATACEDPGCYLPGFNLTCDTSRTPARLSLGGDGTLQVTEIFLDNATVRVHGPAIDRDVRSPALAASTWGGQAWGLGGDAPYVLWAAENELVVTGCDLFVDLRLAGDGLVISSCGLHHRSSVCTIWRQEGVRCRQIRRWHRNGVRLGRSVDGRVALVSEAAWCRLRTTRADGSLAVDSGPTCQ >KQL16357 pep chromosome:Setaria_italica_v2.0:III:38252123:38259142:1 gene:SETIT_021975mg transcript:KQL16357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAFAICISPFEAKQGCNDANRKPCEEIFQDFVFEELEDVLLYYPHGFHGVDRDGRPIYIEILGKVDPTKLLNATTVERFLKYHVQSLERLFAEKYPACSVASKKHVDTITTILDVKGVNWMKVSKLAREVVLHINKIDGDNYPEILHRMFIVNAGSGFRLLWGALRGLIDPNTADKIEVLGETYQYRLLEQIDKSQLPHFLGGSCSCSGEGGCLRSNKGPWNQMMTSDDLSESTLMETGHLSNENLACQDMEPDVQMKLENSHSSGSSSIPLKMLSSPNTPVTRKEDMVSPKLTTVGSTVARFQLCHSVWNLQLLKLLVEVIKVVIVFLWSLLSVAQLFSALRRVVSHCINMSSTAEHVHMPGMKSGGPTDKDCTAPCLERLKRLENMVMELNQRSPRIPPEKEDLIEESMRRIRSIECDIKKTQRALNRTSLKQQKLEQRVENWKESMLTNSCRFSYCNAL >KQL13410 pep chromosome:Setaria_italica_v2.0:III:4316151:4320327:1 gene:SETIT_022229mg transcript:KQL13410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLATLGRSVLRFPNESMRLVMVTIIGAVLGFFIGISFPSVSITKLHFPASFVSYIEDKNSGLTTQAILNHAWTSARNARGNGTEPTSNSSLKIYVPTNPKGAERLAPGIVVPESDFHLRRLWGEPSEDLPFKPKYLVTFTVGIAQKENINRAVKKFSDNFAILLFHYDGRVTEWDEFEWSKRAIHISVRKQTKWWYAKRFLHPDIVSAYEYIFIWDEDLGVEHFNAEEYIKLVKKHNLEISQPGLEPDRGLTWQMTKRRGDREVHKDTEERPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQEMRGACS >KQL13411 pep chromosome:Setaria_italica_v2.0:III:4316151:4320327:1 gene:SETIT_022229mg transcript:KQL13411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLATLGRSVLRFPNESMRLVMVTIIGAVLGFFIGISFPSVSITKLHFPASFVSYIEDKNSGLTTQAILNHAWTSARNARGNGTEPTSNSSLKIYVPTNPKGAERLAPGIVVPESDFHLRRLWGEPSEDLPFKPKYLVTFTVGIAQKENINRAVKKFSDNFAILLFHYDGRVTEWDEFEWSKRAIHISVRKQTKWWYAKRFLHPDIVSAYEYIFIWDEDLGVEHFNAEEYIKLVKKHNLEISQPGLEPDRGLTWQMTKRRGDREVHKDTEERPGWCSDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQSENGKAPWEGVRARCRKEWGTFQTRMAEAEKAYYKMMGITPPNSTLV >KQL15808 pep chromosome:Setaria_italica_v2.0:III:24155038:24155738:-1 gene:SETIT_024937mg transcript:KQL15808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMISPLHRVIDAGRWNAERLLGRLIIVVHAAFLDAGFVPVPHRSGRKPGPVPRQAGRTASALSLRYGAPQLLHRQDAEAAVLRILAHGRRHVILYVHPDSRAASWFLPDWCHYSPETSWKERYVMARRWNPATSQLGTPGDTKLEADLGAGRRNRMAAGRGKRAAGRGRLPASCVQENRRRGTDAIHARSSWYRWKHR >KQL14024 pep chromosome:Setaria_italica_v2.0:III:8253893:8257704:-1 gene:SETIT_022947mg transcript:KQL14024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQVGGSKVAEPRARVELSPTAVADKGRASSKKFRRRQKKATSSLSPMQRLFDTSKEVFAGSSPGFVPPPDAVARLSGLLNDLKPQDVGVDSNMTYFKHADSRGPPRVSYLHFYDCPKFSFGIFCLPKSAVIPLHNHPGMTVFSKILFGSMHLKSYDWAKSSPDSNDNALENSDGARLAKVNTDAVFDASAETVVLYPENGGNLHCFTALTPCAVLDVLGPPYDRDDGRDCSYYDESPFLSSCGGDEQYSWLKEVPTNFEMKGTQMPRKFSI >KQL14393 pep chromosome:Setaria_italica_v2.0:III:10980164:10982218:-1 gene:SETIT_023474mg transcript:KQL14393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRSAEEQRAGGKPSAAAAAGKQQRQAADKAHKAGLGPVMGLGVPDPKQQQQQQGGSGSQQQQQAGGAGASSSAKPTPKLELPRIYTTLSRKEKEEDFMAMKGTKLPQRPKRRPKNVEKAVNFICPGTWLTDVTRSRYEVREKKCPKKQQKHRGLKGMESMDSDSD >KQL14477 pep chromosome:Setaria_italica_v2.0:III:11457890:11460775:1 gene:SETIT_022706mg transcript:KQL14477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPIRLGPPHPYLKTHGGKVATVHLFDWVVLALLVAIDVGLNLIEPFHRFVGKDMMADLRYPMKRNTVPVWAVPIYAVIGPIIIIVGIYMKRRNVYDMHHAILGLLFSVLITGVLTDAIKDGVGRPRPNFFWRCFPDGVPKYDNITGGVICHGVPSVIKEGHKSFPSGHSSWSFAGLGFLSWYLAGKIKAFDRRGHVAKLCIVLLPLLLAAMVAVSRVDDYWHHWQDVFAGGILGLVVASFCYLQFFPPPSGEQGFWPHAYFEHILNPEGEGQVQSTTNSNHHQSLSGGPVAMEMRSTGQESLDSIEEGRRAR >KQL13903 pep chromosome:Setaria_italica_v2.0:III:7632838:7633438:1 gene:SETIT_025718mg transcript:KQL13903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNPCCRIYRGLASAGFSCWLALSNKSTYPTPTGGPMLQRAVL >KQL14167 pep chromosome:Setaria_italica_v2.0:III:9301389:9302418:-1 gene:SETIT_024208mg transcript:KQL14167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVRYSVAYLDPAQISEPEHKFKLNERVKAEMEAAQTQAEKNAIKEKGHKEEKHKVSVYIARVMRKKAHKQCIMRGLQFLCHKQPPSSVLCGYYMCEFLGNNGRYRTNPKDMPRIDTRDAVLEDRGIVNICRDMARFIQREMCHEDGEFFDPNGVLAVDGCDRLRS >KQL13642 pep chromosome:Setaria_italica_v2.0:III:5742869:5744208:1 gene:SETIT_024975mg transcript:KQL13642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMLHLAPSQALPLDRCIFSSEHQLPQAISATASLPVIDMSRGRSEVRRAILDAGMEFGFFMVVNHGIPEEVTRDMEDVCEEFFQLPAADKAYMYSEDRHKPNRIFSGTTYETWRDCLRLACPFPIGDGTKDWPHTPQRLRGVIENFTTLTRGVGMELLQLLCEAMGLRPDYFEGDISGGDVILNINHYPTCPNPSKTLGLPPHCDRNLITLLLHGKVYGLDVAYKGDWIKVVTNGLLKSIEHRVVTSSTLPRTAMATFIMPTPDCLIGPAKEFITEDKPPCYRTVKFRDFMCIYNVVKLGSSMNLTTDLKNVQKEI >KQL14406 pep chromosome:Setaria_italica_v2.0:III:11040874:11048090:-1 gene:SETIT_021302mg transcript:KQL14406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAYDMGENVNQEKRFSVAIQRYKDPEAKDKMNPFAEQEAWEEHQIGKSKLQFGSKDRKRSSDDYQYVFEDSIDFVKSSVIEGTQPEYNSDQEDIDAKDILKRELQDERKTLPIYKFRDELLKAVEEYQVIVIVGETGSGKTTQIPQYLHEAGYTAKGKVACTQPRRVAAMSVSARVSQEMGVKLGHEVGYSIRFEDCTSEKTIIKYMTDGMLLREFLGEPDLASYSVVMVDEAHERTLSTDILFGLVKDISRFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRYPVEIHYTKAPEADYIDAAIVTVLQIHVTQPPGDILVFLTGQEEIETVDEILKHRTRGLGTKISELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKIKSYNPRTGMESLLINPISKASANQRAGRSGRTGPGKCFRLYTSYNYMHDLEDNTVPEIQRTNLANVVLTLKSLGIHDLVNFDFMDPPPSEALLKALEQLFALSALNSRGELTKTGRRMAEFPLDPMLSKMIVASEKYKCSDEVISIASMLSIGNSIFYRPKDKQVHADNARLNFHTGNVGDHIALLNVYSSWKETDYSTQWCYENYIQVRSMKRARDIRDQLEGLMERVEIEICSNASDLDAIKKAITSGFFHHSARLQRDGTYKTVKNPQTVHIHPSSGLAEIRPRWVVYHELVLTTKEFMRQVTELKPEWLVEIAPHYYQLKDVDDSGTKKLPKGQGRAAL >KQL12677 pep chromosome:Setaria_italica_v2.0:III:335594:337304:1 gene:SETIT_022905mg transcript:KQL12677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTDTTTTEAPATVVGGYELRERLGGRPPSTVVWRAVSRSTGAPVAVKQVRLAGLPALLRDSLDCEVRFLAAVSHPNIIRLIDVIQTQSCLYLVLELCEGGDLAAFICRNGRVDERVARNFMKQIGAGLQVLRRHHVVHRDLKPQNILLSSPSSDAVLKISDFGLARVLRPGEYADTACGSCLYMAPEVMLFQKYDDKVDMWSIGAILFELLNGYPPFRGRSNVQLLQCINRSTSLPFSKPLASSLHPDCVDICTRLLCTNPVKRLSFQEFFNHSFFGV >KQL14865 pep chromosome:Setaria_italica_v2.0:III:14415630:14417890:1 gene:SETIT_021819mg transcript:KQL14865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATKWDRRCLNTSGGADSDFCGRHMAAADEEVSALKEELLQARNRIHELEAESRSAKKKLDHLVRNLAEEKASWRSREHDKLRSILDAVKGDLNRERKNRQRAEFMNSKLMDELSELKSLAKRYLQDYEKERKARELMEEVCDELAKEIADDKAEVEALKHESMKVRDEVEEERKMLQMAEVWREERVQMKLVDAKLTLDSKYSQLSELQANLEAFLSFHQGSSVDKETLRDGERLRDAICSMKFHGKEFSYKPPPPSEDIFAVFEELRQREDTNEKEIGECNGDTPISHATKIHTVSPETDIFLEKPANKCSTQPCAGNEDEDDSGWETVSHAEEQGSSNSPDGSEPSVNGFCGGNDASASGTDWEEDNCENCRSNSGISGVCSTTGEKYRKKGSSLSRLWRSSNGEGRRKTGSELLNGRLSSSRMSNAALSPDPKNGEVCQVSPSVGDWSPDLLNPHVVRAMKGRVEWPQGAQKHNLKSKLLDARTNGRKVQLRQALEQKI >KQL13677 pep chromosome:Setaria_italica_v2.0:III:6007137:6008354:-1 gene:SETIT_025221mg transcript:KQL13677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGASILPRPRQRPRRCKHAWLPLDLLLEIAAQSDPATLVRCTATSKELHRHIADPAFRRRLHLRRAGRFVPSLLRGRLQVDRYDGRLRLVDNTTAHVTRLVSAAACFPPAADGETAVWFWQAAAARDGLILISGCGGWGTDEKLCVYRPATGRSQALPLGPRLDNGQYVLLVGDGEEGGGVGRPFQVLKACFVKPPGRWRSLQIHAFSSKQGTWAPRIRSSSPLIMYGDEMLQRPGNSVVVGDTVHCLYHLDRTYYVLKLHVKAARVTFTELPKSFHRACRFLEDRTEQILLATSSSGRSLIVLVANNDMISGWAQSERTGKWSKRPQFVKDCGVMKGRPGSVRLEWFAERSGIVLVTAPDSSMFFLDLQSKDIIKCSSTIASRGTYPYEEDVSSWVPTFTKIF >KQL16268 pep chromosome:Setaria_italica_v2.0:III:35459507:35462844:-1 gene:SETIT_024605mg transcript:KQL16268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPLASASTGVMNSLLAKLSALVEGRYDLLGGMKCDITFLRDELSSMNALLEKLATAEKLDTQAKVWRDNIRELSYDIEDCIDIFMHKLNRGDPQAGFANKIIDKIKKLWSRYQISNQIQELKARVVEESERRLRYKYDESIPIVGKIKIDPRLPALYVEAEKLVGFDGPIQKVIDLLMKDDKTQQLKVVSIVGFVGLGKTTLANQVYHKIKGQFDCTAFVSVSRSPIIKKILRDLLTELGSNTDASDDERQLINELREYLQDKRYLIIVDDIWSTIAWEFVQSALPESNLRSRIITTTRHSDVAKSCCSSYEGYIHNIQPLSDQDSKMLFNKRVFLSERPCPPHLEEVSLAIIQKCHDQWEQVRDSMVSGHNSHLVQDILLLSYYDLPYHLTSCFLYLSIFPEDCKISKEKLIWRWIAEGFIPDVIGQTLDQIGDNYFNDLINRSLIQPIDIMYDGTARACRIHDMVLDLIISLCTEQNFVTVVDEQVYKWSTNKIRRLSLLSSFLENDVLQEIMNKCSQYLEIGCPSITELPEKIGDLQYLQTLDIQGSKIEKLPPTIGNLKHLVRLLVDFNIELPDEIGDLQALRMLSHAFSYDSVKFLEQLRRLINLRVLLIRLHDSNELGYHGKAKYQHGLQSLEIDSNDYSTSKLMDLLCCDAPFLRKLCNKSYISRLPQGMQSLVNLAHLDIRITCIKQEDLCILGAIPTLLYQFYYLKEFIFTSYGEGGLRIVAEQEAMPKVRSLHLSFRAKETESKIGFEFSFVHLANLEHLRATIDCYMASRSRVEAAEAAIRNTASIHSGHPTLQIERYREYEMEDENAKELRLQDNIHKEFVRQEHSGKRKCCEGLLPY >KQL16543 pep chromosome:Setaria_italica_v2.0:III:42217303:42221186:1 gene:SETIT_023776mg transcript:KQL16543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRGPANRPRAKWPYIVKVHVCCVHRFFMIYHSCVCLVCSQLGDVLGTWGLQVCQIRTRKKSFGVLENRAERKGWAVADGNCGAAGNRYMQSYNMP >KQL15583 pep chromosome:Setaria_italica_v2.0:III:21450373:21456059:-1 gene:SETIT_022269mg transcript:KQL15583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVHLRPLHSLALPPPAAKAAPSWLHLPAKPGARRRGSRLALLVCSASSPAPAGPSSLGGGSAAASAAAKWAEWIPRAAAGGAGAGPEQVLRLISGAAATPVCQFVDKPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVAFLALLSVWILPNHVWKDQLGRVALLSGFIFIMLGFGADGAPSLVQTRTPPPSVLGIPNVPCSTSGYSYTIMKLGPLQFTRKGLSVASTSASLSFVIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRINWKKLSAMETIDVFFNYIQRIFKNIFDHAEQISKAMIARGFRGDPSNHKIYFLRESSFGFADLFSLLCLFALMGLASYSDQLV >KQL15584 pep chromosome:Setaria_italica_v2.0:III:21450784:21456059:-1 gene:SETIT_022269mg transcript:KQL15584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVHLRPLHSLALPPPAAKAAPSWLHLPAKPGARRRGSRLALLVCSASSPAPAGPSSLGGGSAAASAAAKWAEWIPRAAAGGAGAGPEQVLRLISGAAATPVCQFVDKPRTFLHSVDPRVKLVWLLALVVLPARSNIYMRFGLVAFLALLSVWILPNHVWKDQLGRVALLSGFIFIMLGFGADGAPSLVQTRTPPPSVLGIPNVPCSTSGYSYTIMKLGPLQFTRKGLSVASTSASLSFVIFQSASLCLTTTTPEQLASALWWFMIPLKHIGVPVPEIILTLLLSLRFINLVFDEVRNSALAIVARRINWKKLSAMETIDVFFNYIQRIFKNIFDHAEQISKAMIARGFRGDPSNHKIYFLRESSFGFADLFSLLCLFALMGLASYSDQLV >KQL15243 pep chromosome:Setaria_italica_v2.0:III:18124608:18125098:-1 gene:SETIT_023490mg transcript:KQL15243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSCCLPAVHLATSTRRGRGEARRPDLAVRVFAIVLWLSALQAAAAAAVALYMYQYLYTLLLCVTAPTVQATWGWYQATAANLLASVLCSPCPARTAWRPACGGMARLQKEEVHSLFVCFLYCTGILLRPHSGVEVCDAFELNLNLEPQLGAWERGRELI >KQL12729 pep chromosome:Setaria_italica_v2.0:III:630685:633448:-1 gene:SETIT_023057mg transcript:KQL12729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGRNAGVLALFDVDGTLTAPRKVVTPEMLEFMKQLRQHVTVGVVGGSDLVKITEQLGKTVLTDYDYVFSENGLVAHKNGELIGTQSLKSFLGEDELKEFINFTLRYIADLDIPIKRGTFIEFRSGMINVSPIGRNCSQEERDEFEKYDKVHNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFQEIHFFGDKTYKGGNDYEIFESERTVGHTVTSPNDTAQQCRSLFMSN >KQL12759 pep chromosome:Setaria_italica_v2.0:III:744023:746038:-1 gene:SETIT_023019mg transcript:KQL12759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCSMRKSFKDSLKVLEADIQHANTLAADFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVYVDGSTTMSTHERKASIREFYAVIFPSLMQLPKGISDVDDRRQKAVCTERYRRRDEDESKRPVSEIDVEREEECGICMEMNSKVVLPTCSHAMCIKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMFTDCRDIVDMATVTRENIRRLFMYIEKLPLVTPDNIFYPYDSHVK >KQL12760 pep chromosome:Setaria_italica_v2.0:III:743712:746240:-1 gene:SETIT_023019mg transcript:KQL12760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCSMRKSFKDSLKVLEADIQHANTLAADFSRDYDGACLQMRMSYSPAAQFFLFLVQWTDCSLAGALGLLRILIYKVYVDGSTTMSTHERKASIREFYAVIFPSLMQLPKGISDVDDRRQKAVCTERYRRRDEDESKRPVSEIDVEREEECGICMEMNSKVVLPTCSHAMCIKCYRQWRSRSQSCPFCRDSLKRVNSGDLWMFTDCRDIVDMATVTRENIRRLFMYIEKLPLVTPDNIFYPYDSHRMFLVLGCG >KQL13136 pep chromosome:Setaria_italica_v2.0:III:2783478:2785983:1 gene:SETIT_024008mg transcript:KQL13136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVTGEVADAFPINENLKEATDASKIKAVTDVMEEDAEKAKALIQKLPV >KQL13135 pep chromosome:Setaria_italica_v2.0:III:2784736:2785983:1 gene:SETIT_024008mg transcript:KQL13135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVTGEVADAFPINENLKEATDASKIKAVTDVMEEDAEKAKALIQKLPV >KQL14487 pep chromosome:Setaria_italica_v2.0:III:11555154:11556599:1 gene:SETIT_024391mg transcript:KQL14487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSKHYDDVAEPRRKDTDGGDSLLSLSLGDIYSNNAGGGFRSPAVTPMMRAPVRSADALRAPSAAVTAKADASGFRPPAVTPASVRTADVLRAPSAAVAAVHPPPRIDALVVEAKGAFFFPAASGAPTLPQMASSDGRRVAVPAPVGGLHICPPYRWSTERVGVHHSLAELSKRGIDTVTGELHCKRCDHLRLLTLDIEAKFKDLCSYISCNIHGMDDRAPQRWKEPALPDCDECGQKNSMRPVIPADKHKINWVFLLLSEMLGVCTLEQLKHFCERTQQHRTGAKDRVLYSTYMELCNQLLPNGPFDMESRPYETIRL >KQL16277 pep chromosome:Setaria_italica_v2.0:III:36021849:36023513:1 gene:SETIT_025179mg transcript:KQL16277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILKVHLLVSIEFIGRLTNRSATKYKVNVNNVIESMQSKGIKFMSPLKISSEERAGEEWNISALIEPKILKQPKDYVSYENSKGKTSIRFVNYKERSLDDLEVSTSESNIEEARRHSVREFMEKLDIDNEIKHYEKKLSKVQDEYNTSMICEWCASREKELYFRRELYRLNKIKKERELNNKRANMPIMKHETTLKHVSDKNSKIQKELENNKERVKEEEEVVISEEDQWEINNKILLESYEEEDEDIIEIHSSKSESHIHYLGNEELCNKDAAIEAMDIDPSPSKRRREQERDIKIEGERDRPSRKPGNWPPEKEEPTYNYIPGQYKHMGSKRREFERTVQFQNYRSDGAILNLAAHDPIDWPNIISIWKSLIVQKYIQNQHNIGSRVEDMITYLETFLGESVKVLWEQWVETYPHYYEELKRAGRNPYNFANIISSIVIDEDPKLGYTTLQNERLKEIEKLTLTNWKGIKDFSQHYLYNATTAKQGYNMNIVERYFNKLPDPLGSMIFEEYKKESNGKEYNISQAITFVFKQLRKICTSSKVNEAIRLQFL >KQL15758 pep chromosome:Setaria_italica_v2.0:III:23509006:23509445:-1 gene:SETIT_025370mg transcript:KQL15758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEADPEQAARLFSISIELKRTREDDDTGGPRQRRRGGEDGGVGSASTSRK >KQL16827 pep chromosome:Setaria_italica_v2.0:III:45578050:45578927:-1 gene:SETIT_022881mg transcript:KQL16827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSQLSALANLQNFLLKCLLAILSYGPMPEHVAFIMDGNRRYAKSRSIQQGDGHRVGYSALIENLVHCNDMGVKYITVYAFSIDNFKRDPIEVQLLMELMEEKINELLDNQSVIHKMNCKINFWGNLDLMCEPVRLGAQKLMASTAGNTGLVFSICMPYNSTSEIVNAVSEVCAERREVLQRDHAGDSNGQAANNGVHSEISVSDLDRHMYSAGCPEPDIVIRTSGETRLSNFLLWQTTFSHLQSPHCLWPEFSFKHLIWAILQYQRVYPYLVQNRKLAKKQL >KQL15851 pep chromosome:Setaria_italica_v2.0:III:24523790:24525008:-1 gene:SETIT_023329mg transcript:KQL15851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIIADFVPAGARRPATSSTDDTTSASVLSGDEELVKAARLPAPGRKTAYRGIRRRPWGRWAAEIRDPRKGARVWLGTYTTPEDAARAYDVAAREIRGAKAKLNFPPAVGPGGAPSAAKKRRKVPAAEESSGSSSPAAAVVGAAVADGGGGAETLRDCMCGLEAFLGLEHAGAEEGDDVEAWDAVDLMFA >KQL15667 pep chromosome:Setaria_italica_v2.0:III:22324694:22326122:1 gene:SETIT_023797mg transcript:KQL15667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYAAASASSFCYFLFKLVKAHVFPKADALELDPFDSTWVCLLLTCGAAGADSRSRRGVGPWGWGGRLSRPGVRAAAAFPASACLRRCQD >KQL15273 pep chromosome:Setaria_italica_v2.0:III:18527197:18530531:-1 gene:SETIT_022106mg transcript:KQL15273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAELVSPGSMRADSGQQQEVLMVTVDDYNYEQGLGVAATTAPIFQQHTESQDKRKQGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQGARSQGLFPGGGSASGDMNSGALMFDMEYARWLEDDSKHMTQLQGILQAQNIDANLGTIVEECMRHYDELFHLRAMLARSDVFHLMTGLWATTAERCFLWMGGFRPSEILKMLIPQLDPLTEQQLLGMCNLQRSSEQTEEALVQGLQQLHKSLADAVGASPLSDGANVANYTALMALALDRLDTLESFYRQADNLRQQTLHHMRRLLTTRQTARCFLSIGEYHRRLRALSSVWASRPLESFVAAAENVSPTGTAEQAIHHPYHQSQFSGF >KQL15275 pep chromosome:Setaria_italica_v2.0:III:18527319:18530726:-1 gene:SETIT_022106mg transcript:KQL15275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAAGYLAARPPTLEIFPSWPMSHLQQPYSGNSHSVGSTSESSSGQNAMPQAELVSPGSMRADSGQQQEVLMVTVDDYNYEQGLGVAATTAPIFQQHTESQDKRKQGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQGARSQGLFPGGGSASGDMNSGALMFDMEYARWLEDDSKHMTQLQGILQAQNIDANLGTIVEECMRHYDELFHLRAMLARSDVFHLMTGLWATTAERCFLWMGGFRPSEILKMLIPQLDPLTEQQLLGMCNLQRSSEQTEEALVQGLQQLHKSLADAVGASPLSDGANVANYTALMALALDRLDTLESFYRQADNLRQQTLHHMRRLLTTRQTARCFLSIGEYHRRLRALSSVWASRPLESFVAAAENVSPTGTAEQAIHHPYHQSQFSGF >KQL15274 pep chromosome:Setaria_italica_v2.0:III:18527197:18530810:-1 gene:SETIT_022106mg transcript:KQL15274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAAGYLAARPPTLEIFPSWPMSHLQQPYSGNSHSVGSTSESSSGQNAMPQAELVSPGSMRADSGQQQEVLMVTVDDYNYEQGLGVAATTAPIFQQHTESQDKRKQGSTRKDGKLLDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQIEQELQGARSQGLFPGGGSASGDMNSGALMFDMEYARWLEDDSKHMTQLQGILQAQNIDANLGTIVEECMRHYDELFHLRAMLARSDVFHLMTGLWATTAERCFLWMGGFRPSEILKLLGMCNLQRSSEQTEEALVQGLQQLHKSLADAVGASPLSDGANVANYTALMALALDRLDTLESFYRQADNLRQQTLHHMRRLLTTRQTARCFLSIGEYHRRLRALSSVWASRPLESFVAAAENVSPTGTAEQAIHHPYHQSQFSGF >KQL15650 pep chromosome:Setaria_italica_v2.0:III:22210265:22212211:1 gene:SETIT_022359mg transcript:KQL15650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRGGKGAGDSKSFPSPASSSASSSEFEFTVTLSPASKQRSAAQLCPADELFYKGQLLPLQLSPRISMVRTLLLSSASTSSASDSTSTSNSSRDSNGSTSSSFSADCAALLLPDSAASSSRPSSATEDDRHLHPLSAAASFAGLPPAKRTGKQYLSSFATRFSSVFHRGGAPAAKKQPSKSLAKEVIKKYAKKVKPLYEKLSQIPKNQNNVNVNVNGASAGANNQPQQGFKKPFTFSIRKKRADDDHAVVAAAAAVDADVVSGKYAHSNSFSGNLRFPRQKRCAASCPSSMRSSPSHSGLLTFGGAGGAGFPDVPAAAAAAVAGGIGVGPVSLSTASSMEELQSAIEGAIAHCKNTMGGAVSVCPRKAATGDEICAF >KQL14152 pep chromosome:Setaria_italica_v2.0:III:9198016:9199589:1 gene:SETIT_023035mg transcript:KQL14152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLRQGHWLASLLAVLLFCHARGSEQRPAEHAKPGRAADMPERDVTSPLATVPVVTPTVTTPTAMPTATPATQTPSLASGGSWCVASPSASSTSLQVALDYACGQGGADCSAIQQGGSCFNPDTVRDHASYAFNSYYQKNPVQTSCDFGGTAVLTSTNPSTSTCQYPATSTGASVLNTSTPLTPTYGSPPGGYGSSPPAGYGNSPPLYGSMSPPDYGGEISAAAVTVPGSKKTTPILSLTTCLLVVTLTLAG >KQL15349 pep chromosome:Setaria_italica_v2.0:III:19123850:19127504:1 gene:SETIT_022176mg transcript:KQL15349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRAEAVDTTISPRVSALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPAVIAEAGMNAIRDGATRYTPNAGTLELRNAICKKLQEENGLSYTPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMARLAGATPVILPTSISDNYLLRPESLASVINENSRILILCSPSNPTGSVYPKELLEEIAAIVRKHPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAAPKHFVSACGKIQSQYTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVSSFRELPGVKISEPKGAFYLFIDFSSYYGSEVEGFGTIRDSEALCMFLLEKAQVALVPGDAFGDDKGVRISYAAAMSTLQTAMEKIKEAMALLRPPVAV >KQL15350 pep chromosome:Setaria_italica_v2.0:III:19123850:19127504:1 gene:SETIT_022176mg transcript:KQL15350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRAEAVDTTISPRVSALRPSKTMAITDQATALRQAGVPVIGLAAGEPDFDTPAVIAEAGMNAIRDGATRYTPNAGTLELRNAICKKLQEENGLSYTPDQVLVSNGAKQCITQAVLAVCSPGDEVLIPAPYWVSYPEMARLAGATPVILPTSISDNYLLRPESLASVINENSRILILCSPSNPTGSVYPKELLEEIAAIVRKHPRLLVLSDEIYEHIIYQPAKHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAAPKHFVSACGKIQSQYTSGASSISQKAGLAALNLGYAGGEAVSTMVKAFQERRDYLVSSFRELPGVKISEPKGAFYLFIDFSSYYGSEVEGFGTIRDSEALCMFLLEKAQVALVPGDAFGDDKGVRISYAAAMSTLQTAMEKIKEAMALLRPPVAV >KQL13999 pep chromosome:Setaria_italica_v2.0:III:8124340:8128037:1 gene:SETIT_021219mg transcript:KQL13999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVRSGPFRRRSLPLPAAPPLPLWPLSLSLPLSLTFPLLFPYPTTTPPHCSTTPLATTGAGGFCPPPRRGRGRTSAAARHALPCPAPGPGTGRSDCPRGKGIGERAQMGGLPKEEEEEDASEPEGAPEVDVSAEGTLVWLRRPNGSWWPSIVISPEDVPSACTAPPRCGATPIMLLGRRRDGPTFIDWCNLDRCSRVKPFRCGELDFEQRITNAQAVAATGSCNYNKGRYARKEDAILQALNIERERALEPASKTYLHGGTCSLNPKIEMPNGQVKDAAAKGPSTTIHSLPLPLPLPPPPPKRKRKTPYDSEDDAPKGSRRMRDLRDIGSKTVPPMDSPHAATCSSSSSGTSTLDSSLETTSCHRRAAFKTDQAKGTEISCMSRLFTDDFHHRDDFVETPLAGRSILEPDHLQKYQPCGLAKHPTWKHNKQANGCSKVVKCDRKNIKMRSISSVDQEGYNRTKDSDKHEHHKARTVKHKAPRDEVVLLEKRLDKRSLNKPSGDDTKMHIAMIPTDSDCVGAVEQQCSKRKRDPEESSETISNRSNCDSGSISSLVFELPLQVLPPQKKALDPERCRAVKPIKTLHLNSTLCNVELSVLGSSNNGRRVPLVSLMSKWNGKPVVGYPVSVEVCDDVFGCPLSSGGEQQAVTSSMDGIMLKRDETKGLQCLVPPSPQACRAKPKSRSRKPSEKDVDKLWQPHTKKPASSSRKMRRLSSFASGQRDGEDRKSAVGKVSGATIACIPLRVVFSRINEALSFPVK >KQL14000 pep chromosome:Setaria_italica_v2.0:III:8124340:8128037:1 gene:SETIT_021219mg transcript:KQL14000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVRSGPFRRRSLPLPAAPPLPLWPLSLSLPLSLTFPLLFPYPTTTPPHCSTTPLATTGAGGFCPPPRRGRGRTSAAARHALPCPAPGPGTGRSDCPRGKGIGERAQMGGLPKEEEEEDASEPEGAPEVDVSAEGTLVWLRRPNGSWWPSIVISPEDVPSACTAPPRCGATPIMLLGRRRDGPTFIDWCNLDRCSRVKPFRCGELDFEQRITNAQAVAATGSCNYNKGRYARKEDAILQALNIERERALEPASKTYLHGGTCSLNPKIEMPNGQVKDAAAKGPSTTIHSLPLPLPLPPPPPKRKRKTPYDSEDDAPKGSRRMRDLRDIGSKTVPPMDSPHAGTISVPKYDDLPNVGQVKTSVQSHASTKRKHAAAHQDQPYGVPRKKDRSRPLSELCNGDMWNGSRPNGHKADEHFLGAATCSSSSSGTSTLDSSLETTSCHRRAAFKTDQAKGTEISCMSRLFTDDFHHRDDFVETPLAGRSILEPDHLQKYQPCGLAKHPTWKHNKQANGCSKVVKCDRKNIKMRSISSVDQEGYNRTKDSDKHEHHKARTVKHKAPRDEVVLLEKRLDKRSLNKPSGDDTKMHIAMIPTDSDCVGAVEQQCSKRKRDPEESSETISNRSNCDSGSISSLVFELPLQVLPPQKKALDPERCRAVKPIKTLHLNSTLCNVELSVLGSSNNGRRVPLVSLMSKWNGKPVVGYPVSVEVCDDVFGCPLSSGGEQQAVTSSMDGIMLKRDETKGLQCLVPPSPQACRAKPKSRSRKPSEKDVDKLWQPHTKKPASSSRKMRRLSSFASGQRDGEDRKSAVGKVSGATIACIPLRVVFSRINEALSFPVK >KQL12750 pep chromosome:Setaria_italica_v2.0:III:698865:700812:1 gene:SETIT_023596mg transcript:KQL12750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGDWTPPCGSCCTKKYASLVQIPWRVFCKKGCNADGDTWEQCIGKCTEICYKDPVLEDRQWSAYIDRSPGQDSYSLECFNACVSGCGFRFEVPTEKVEEIKPNRPSKPSPPPEVKRTTSPPDSTVGSREDVPCTSA >KQL13203 pep chromosome:Setaria_italica_v2.0:III:3154885:3155823:-1 gene:SETIT_024425mg transcript:KQL13203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYSIHPGISRAGGGGSAAAASEASGVAGGAGSPPPPPPQPPPPPADLTELVKAQIAGHPRYPSLLSAYIECRKVGAPPEVATLLEEIGRERRAAAAAGGEVGLDPELDEFMEAYCGVLERYKEELSRPFDEAASFLSSVRTQLSTLCGGAASLSGNDPARIDRMRLPPPPSAGAGLGEEEDS >KQL14307 pep chromosome:Setaria_italica_v2.0:III:10439297:10441454:-1 gene:SETIT_021582mg transcript:KQL14307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDMGVVPSNPDGWGPPDVPAIPQSLGGGASIPFAPFSRSDKLGRIADWTRNPAGPAAFAAASRDSVFDFTSADDSLVAGAEDSSFRLVDAKPPPRQPRFGPRWRFNQRPQLPQRQDEEVESRRRLAEKERARRERHFQSNRSHHHPGFRGNQSSSSAKPSVDIQPDWTMREQIPFANFTKLSFSVADQPEDLLVCGAVEFYDRTYDRVNPKAARRLERFKSRNFFKVTTTDDPVIRRLAEEDKATVFATDAILAALMCTPRSIQSWDIVVQRVGNKLFFDKRDGSQLDLLTVNETAQEQLPENKEDINSAHSLAVEATYINQNFSQQVLHRDGEKVTFDEPNPFASEGEEAASVGYRYRRWKLDDEISLVARCEVHAVIADPSGGRQFLALNALNEFDPKITGVDWRQKLETQRGAVLATELKNNANKLARWTAQALLSGADMMKLGYVSRVHPRDHYNHSILTVMGYKPRDFAAQINLNTANMWGIVKSIVDICMKFEEGKYVFVKDPAKPQVRIYEVPSDAFENDYVEEPLPEEEQVRPLADVDATAQEMDAAAEAEANGTSAANGGQGDKSAEVTAA >KQL12611 pep chromosome:Setaria_italica_v2.0:III:38697:40946:-1 gene:SETIT_023280mg transcript:KQL12611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGGLLRGLVPPAAWLPCHELFASWHHWLPSLPRLRPPASDGFKLLLVLLLFSAALAEVRYIASSSMAPTLRPGDRAVAEKVTYLFRRPSIGDIVFFKVPTAVQNYGVNKDVVFIKRVLATPGDFIEVRQGQLIVNGVAQKEHYTASHALYTMEAMRLPEGHVFVMGDNRNNSCDSRAWGPLPIGNIVGRYMTSFTRSSFQ >KQL12612 pep chromosome:Setaria_italica_v2.0:III:39746:40794:-1 gene:SETIT_023280mg transcript:KQL12612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGGLLRGLVPPAAWLPCHELFASWHHWLPSLPRLRPPASDGFKLLLVLLLFSAALAEVRYIASSSMAPTLRPGDRAVAEKVTYLFRRPSIGDIVFFKVPTAVQNYGVNKDVVFIKRVLATPGDFIEVRQGQLIVNGVAQKEHYTASHALYTMEAMVQGFSKCC >KQL17297 pep chromosome:Setaria_italica_v2.0:III:49597748:49599001:1 gene:SETIT_025213mg transcript:KQL17297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEKPQAPTRKIVKENVERKELKKLWSVVQTLMSNQTKLQQQYDELKSQVSAPQIRCPSVESSPKDEMQGIKCGMGVGLASPNSKKMVAMGTIQRTDSKAKGSDGHPLADCVEIMKPKLNNVHGASQTVTKSKSARKMTKEKT >KQL13314 pep chromosome:Setaria_italica_v2.0:III:3747915:3757022:-1 gene:SETIT_021030mg transcript:KQL13314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVDSAAAVAVAPPAVVSAVDEAGGAVEQARTLIGALNLLSRNLPLPPDVLRAVSSIYHDGGSGHPEEEEEEEEEGEAEKEGDEEMAVADAAGEGCTEGAADGAAEGATLIEELEDAIFKNQRMPVSYSDLAALKEGRFNASIQHRLAELEGLPSTRGEDLQMKCLLELYGLKLLDLQKKVRSDISAEYWLHKKCAYPERQLFDWGMMRIRYPFAMYGIGDSFLMDADDVHRKKRFTERMSRLEEEEKIQADIRKRKFFTEILNASREHQVQLATTFKQRKQRNDGVQAWHLRARQRISRQEKNRLNLLKIGDQEAYMRMVEESKNERLKMLLDKTNELLEGIGKAVQRQKDAEHVSQPEGAEVPKGSESEDYSQISDVKNESPGESPSDDLPGSADERKFNAGRRLDFTVHSIEEKVTEQPSALEGGELRSYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLEKKEVPGPHLIIAPKAVLPNWSNEFKTWAPSIATILYDGRPDERRALRDKNFDMHGLQFNVLLTHYDLILKDKKFLKKVHWHYLIVDEGHRLKNHECALARTLVSGYQIRRRLLLTGTPIQNSLQELWSLLNFILPNIFNSSQNFEEWFNAPFACDVSLNDEEQLLIIHRLHQVLRPFLLRRKKDEVEKYLPVKTQVILKCDMSAWQKAYYEQVTSRERVALGSGLRSKALQNLSMQLRKCCNHPYLFVEHYNMYQREEIVRASGKFELLDRLLPKLQRAGHRVLLFSQMTKLLDVLEVYLQMYSFKYMRLDGSTKTEERGRLLADFNKKDSEYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKNEVRVFVLVSVGSIEEEILDRAKQKMGIDAKVIQAGLFNTTSTAQDRRALLQEILRRGTSSLGTDIPSEREINRLAARNDEEFWLFEKMDEERRQRENYKSRLMDGNEVPDWVFANNETVTKRTVADEFENISVGSKRRRKEVVYSDSFSDQWMKSDEGFEDIRKITPRAKRTSYLSDIQEVDFSERRKRPRSLENSADGASNPSWTPDKGRAGVSSYSKDETEDDGEDEVITSGLQKGNSFTWKTLGRKRSSHFSSSSDSKGRPSF >KQL15092 pep chromosome:Setaria_italica_v2.0:III:16526477:16527907:-1 gene:SETIT_025801mg transcript:KQL15092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLSQTGEWEKLVGLPSSLPLARRMVIDHAVLDFADRLWWVNVSWGAISADPLGDRPELRFVEAPQALGRHRRMPEWGPRGEAALRRGVPGAAFLSQLVCP >KQL13646 pep chromosome:Setaria_italica_v2.0:III:5769291:5771366:1 gene:SETIT_024453mg transcript:KQL13646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIWSAVRWWDDWQLRILVIGSLGFQWFLLLAAPMRNYSIPRWFRTCIWLAYITADALAIYALATLFNRHARATSTACNYYGAKLSSLEVLWAPLLLIYLGGREEITAYNIEDNELWTRHTVTLVSQVTVALYAFYKSWTGDNDRKLLLSAILLFIVGIISFCEKPWSLRRASINRLVAMSSLMKGERRSPTGWQWCFTKLDDRYKCWRARPQGTAPILSQGDKVQMMLSDLSLYAAEVTLEIRKKGTTDQKILEPLTMGKHKMKPWLRQAFGLIYTRANVIFTPAYLACHVLLVPSLYIAAIMLFATSHKHEYYVTDVKITYALLCFTAVLDVFGLLISELMYWLMSSQAKVPALCENLPGYNLIDSVLRVVRPRTGPLLKIAKRMGYKEGYFRQPKDRLYSSVSDFITAELVSASSKVENVDFSSYRSFTKDYWASRTALRDECRRRGEVRRSLRRSPFDASVLLWHIATDICFRCGPPKHFGERPPRAEVVREVCTEAISNYMAYLLNFQPEMLMTGSRQHLFTEAMKYMERILAKDGIKHKLEDKQEQEQKLDDASLRRIKEEAAELASDPKGRYTIVHDACKLAEELMGIEEETRWHLMYRVWVGMLCYSASMCRGYLHAKSLGEGGEFLSYVWLIISLTGSKTLADKLQMPEPEPEEMETTTTTTAQEEGRPAASSWATETGEDG >KQL14825 pep chromosome:Setaria_italica_v2.0:III:14048770:14054098:-1 gene:SETIT_021349mg transcript:KQL14825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKGKGKQVAADPPGPDAGSASGSGGKRRNGYGYGYARAGPSSSSAAKRRRRAGVQQFVDDAAGVDDDYEEEDVLESEEEASDPDDGFFTGGEHAQNLSSKRAERSHPLPFLVKEEELSGDELEEFIRKRYSNGVRYAADRNFSREDDDIFPMDGALKEPTIWRVKCMVGRERQMAFCFMQKFVDLQKIGTKVPIITAFALDHVRGFVFVEAEKACDVTEACKGFCSVYASRIISVPAAEVPSLLSSRTKPFEISRGTWVRMKNGNYKGDLAQVVSEDDGRKRVLIKIIPRVDLHAISRKYGGAISLKEAVVPAPRLISSQELEFFRPHIEMKRDRQTGEVFEVLDGLMFKDGFLYKRVALSSLIYWGIQPTETELLKFSSSPINRASADDLDWVSSIYGPKKRNLPAERGIKSPSSKTKSSKASKASTSTENYDDNDEFNLHDLVLFGRKDFGVIIAIEKDGLRILKGGPEGSAVTVKKQDIKKGCVDKMFTAVDRQKKIISINDTVNVLEGPFQGKQGVVKHLYMGTLFIYNESESENCGFFCAQCGSCENVKKRKESSTTENLDNPIPMFSEPYEQNEHRDTERPYRSTREQLFSIGQMLRIRKGPLKGYLCRVVKIFRNDVTVKLDSLLKIVTVQAEFLSVPANRGDNSSGAPAGNFGSQDTSFFGSEADKASWDNGLPSFGRFVLFFSPFQLPIQLSSLLVTHNC >KQL14370 pep chromosome:Setaria_italica_v2.0:III:10825405:10828976:1 gene:SETIT_023091mg transcript:KQL14370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVDPLTGFRVDGRRPNEMRKLKGEVGVVARADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSKEALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYLEDSAGGADVTVGILAKMDKVTLLQMDAKLAMDTFENVMGLAIEGCKAIATYIREVLLENTKRLECQRG >KQL14371 pep chromosome:Setaria_italica_v2.0:III:10825602:10828976:1 gene:SETIT_023091mg transcript:KQL14371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKGEVGVVARADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSKEALVRCEYRMAEFSTGDRRRKPKGDRRSTEISLVIRQTMEASILTHLMPRSQIDIFVQVLQADGGTRSACINAATLALADAGIPMRDIVTSCSAGYLCSTPLLDLNYLEDSAGGADVTVGILAKMDKVTLLQMDAKLAMDTFENVMGLAIEGCKAIATYIREVLLENTKRLECQRG >KQL16054 pep chromosome:Setaria_italica_v2.0:III:27663878:27665755:-1 gene:SETIT_022788mg transcript:KQL16054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSADSLASGRSRRAGPPPPRPKRVRVYFVDADATDTDSSGDEDERAKRRVREIINIDVEAAASARAAQAAQALMAPKKRPLYSSPAAAALARLRAAGGFRRFRGVRVRPWGKYAAEIRDPAQRKRLWLGTFDTPEEAAAVYDDAALRLKGSHAVVNFPSAPAAPSRRMKLRPRREIPRRHAAASDSEATDDDGDALASPLAPTPSPTPLELEPQAAAAPLCPFASPTSVLRYGADEVPAAPALPAFDFIYGELGEIGAAAAPSSKAAAAEFDWLPWWEGEDFVTATGLTPSAGAAAVSVV >KQL15188 pep chromosome:Setaria_italica_v2.0:III:17698215:17701029:-1 gene:SETIT_021782mg transcript:KQL15188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASPASPRARQSVVAELEGGLLRCADTFPYFMLVAFEASGLPRFAALLALWPLLRLLELAGRGGLALRAAALVATAGVPRAEVEAVSRGVLPKFMADDVDPAAWAAFGSCEGRRVVVATRLPRVMVERFAKEHLGAHEVVGCELEYSRLRRCTGLLRGGGDEAVAGRVRTLFAGGNRPDLGIGRSEMARSFLPFCKEQLKPPFAAGGAATTDAPPFRPVIFHDGRLVCRPTPFMSLVILLWLPLGALVAFVRIAVGLMVPIWTIPHIAPIFGGAIITHGRAPAPVRAARDDDGGGSPSGVLFVCTHRTLMDPVVLATVLGRRVAAVTYSISRLSEVLSPIPTVRLTRDRDVDAARMRAELARGDVAVCPEGTTCREPFLLRFSALFAELSDRIVPVAMNYRVGLFHPTTARGWKAMDPIFFFMNPRPVYEVTFLNQLPAEATCAAGKSPVDVANYVQRILAATLGFECTSLTRKDKYRVLAGNDGIVNAAKPAAPGKAAWQGRIKEVLGFLLH >KQL16367 pep chromosome:Setaria_italica_v2.0:III:38500944:38502529:-1 gene:SETIT_023980mg transcript:KQL16367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSAHISTQMHHLLQLAAIVIALLMLFCLQLSFGRPGPPGAGPHVGPPIVDPRNG >KQL16274 pep chromosome:Setaria_italica_v2.0:III:35728260:35728795:1 gene:SETIT_024689mg transcript:KQL16274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQDPTLMPATSGGPHTPMPPTSIHRHSRMVATELLGLILIVTLPVDAFDSDSESQTETDLFDDHHRGYHIPFPWGDSLCIFCHADNTFACPICPNRRQRWRILNAVKDHILGMATSVPLKGENKKKLSRHCVMAWNEGGRSVLLYLLCY >KQL12676 pep chromosome:Setaria_italica_v2.0:III:329098:334636:1 gene:SETIT_024868mg transcript:KQL12676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDGIEEGVGESSWPATAAAADRGATSAGGGGVGYTDIRKEIFDRLMAMGIEEVVSDPPAFREQLDRHFERLPASYSIDLDVEKAEDVLLHRRILDECADPDKRPVFHVRFVRCVEAPVDSEDKAQGPSTREYGNSGGSLTSTLREIEFKGSEPCERMMEDLSLERRKVVDDSEASSARRDVGILHVHEIIFSTIDKTKLLAQLSSLLSEVGLNIREAHVFSTTDGFCLDVFVVDGWETEDTDGLLQSLKETAARNHASLSNPTNSAASERILELQEKIGDSNVDRSLLQIGEKIASGSSGDLFRGTYHGMDVAIKFLRAEHVNDSSKLEFLQEVTILKSVNHDNVVRFYGACTKQRKYVIVTEYMPGGNLYDFLHKQKNTVDLTMVLRIAIGISRGMDYLHQNNIVHRDLKTANLLMGSDHVVKIADFGVSRNPPQEGDMTAETGTYRWMAPEVINHKPYDHRADVFSFAVVLWELVTSKMPYENLTPLQAALGVRQGMRLEIPSWVHPQLSKLIQQCWDENPNVRPSFAEITAELEDMLRHLQGSKGSNRHSKGKVHKKSQG >KQL13482 pep chromosome:Setaria_italica_v2.0:III:4712696:4713230:-1 gene:SETIT_024375mg transcript:KQL13482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITAPRGERPPDQTTSERAGRHLHRRRLGAPTCTLFLLLDLPCNCKRLAPSLRATTPLTFISTTKLTTTMTP >KQL12864 pep chromosome:Setaria_italica_v2.0:III:1317931:1323065:1 gene:SETIT_021113mg transcript:KQL12864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKVDQSALTGESLPVTKGPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIVIEIIVMFPIQHRAYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFCKGVDKDHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRVSKGAPEQILDLCNCREDMRRKVHSIIDKYAERGLRSLAVARQEVPEKSKDASGGPWQFVGLLPLFDPPRHDSAETIRKALVLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGTNKDSTLESLPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFMLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYLALMTVIFFWAMHKTDFFPEKFGVKPIRDSEGKMMSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVTAFLLAQLVATFLAVYANWGFARIEGIGWGWAGVVWLYSIVFYFPLDLFKFFIRFVLSGRAWDNLLENKTAFTTKKDYGREEREAQWATAQRTLHGLQPPEAASNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >KQL12863 pep chromosome:Setaria_italica_v2.0:III:1316361:1323065:1 gene:SETIT_021113mg transcript:KQL12863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLEEIKNEAVDLENIPIEEVFEQLKCTREGLSSNEGAQRLEIFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGGGKPPDWEDFVGIIVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGRWGEQEAAILVPGDIISIKLGDIVPADARLLEGDPLKVDQSALTGESLPVTKGPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIVIEIIVMFPIQHRAYRSGIENLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLVEVFCKGVDKDHVLLLAARASRTENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRVSKGAPEQILDLCNCREDMRRKVHSIIDKYAERGLRSLAVARQEVPEKSKDASGGPWQFVGLLPLFDPPRHDSAETIRKALVLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGTNKDSTLESLPVDELIEKADGFAGVFPEHKYEIVKRLQEKKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRCIFQRMKNYTIYAVSITIRIVLGFMLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVVLGSYLALMTVIFFWAMHKTDFFPEKFGVKPIRDSEGKMMSALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVTAFLLAQLVATFLAVYANWGFARIEGIGWGWAGVVWLYSIVFYFPLDLFKFFIRFVLSGRAWDNLLENKTAFTTKKDYGREEREAQWATAQRTLHGLQPPEAASNTLFNDKSSYRELSEIAEQAKRRAEIARLRELNTLKGHVESVVKLKGLDIDTIQQNYTV >KQL14049 pep chromosome:Setaria_italica_v2.0:III:8407200:8409840:-1 gene:SETIT_021619mg transcript:KQL14049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQMMHGRPCASSSSSSSSWSWMVQVQPPKQSHHPLSGGRSISSGSLAALALHLLLKRRKYHGRDIATAFASSSSVLQITESKSSSLTKPKAERKVLDDALGRNVQNDWMDEETLFWMDRNYTDRDLQYGLLMQNLHELETSLAYKDLKMLEKGILVRIEQLGALRSFDASMSRTTLDTLPQTSHEQDCSLLDKIIEFDPETPLKEEQDTEVIVRSGKSQERKLKRMRASEKGSRISVKVNQRRSKKSRKSSSSQFISEWKNYPVRRRTIIREQSSLLVTIKECANLEKIRENMVKDGQEVSYQRWAEAAGVDEAELKSRLQAGYCCRERLIVTTEWLVRYIARTYTGMGTAFDDLLQAGKMGVLDGAEKFDSRKGCKFSTYVKYWIRKGMLALLAENSGVTILPARMESIIRKVKEARRAIRYSQGRNPSDSEIAAMVGVSVANVRLARKCSRRVVSLYSEVGIGQNAKFTEVIPDASLEAADEAMFREQLRERLLVVLDRLPAREGHVLKLRHGLEDGRCMSLEQIGRIYRVSKEWIRKIEKSAMAKLRNQDVRRDLDDFCRF >KQL15896 pep chromosome:Setaria_italica_v2.0:III:25102495:25103981:1 gene:SETIT_023955mg transcript:KQL15896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSKNHTAHNQSYKAHKNGIKKPKRNRQTSTKGMDPKFLRNLRYSRKNNKKSGEAEAEE >KQL14543 pep chromosome:Setaria_italica_v2.0:III:11908519:11909023:1 gene:SETIT_025588mg transcript:KQL14543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKWSNLPTHPPTMTPTAVAGEVMTRTAVDKVSIVTFMKSYETTTTSAPTSTTITKSEKRLTSATAPSTTAATALLDTSATGRPPTTRR >KQL12923 pep chromosome:Setaria_italica_v2.0:III:1675719:1676137:1 gene:SETIT_023937mg transcript:KQL12923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTTFKMNKLHCGVAESLYIRIVAFDMEDASVKKCFLQVFHIQGMIFASSFLTLCHGYFAYL >KQL13833 pep chromosome:Setaria_italica_v2.0:III:7018708:7020861:-1 gene:SETIT_023672mg transcript:KQL13833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGRSDEFCRCQACLGKYTLLGDEENPRLSMFERRLPCFGCGIGWSCFLLGFLCPIIWYIAALLYCCKYYNRDPRERPGLAASAVLAVIFTAVAIITLSVLLICCVNKRFLNSCAS >KQL15846 pep chromosome:Setaria_italica_v2.0:III:24440763:24442100:1 gene:SETIT_024261mg transcript:KQL15846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKFQDLARCFIGSERAAASAIKTCAAPSLPAPATVSLPDNEDLLREILLRLPPLPSSLPRASLVCKRWRRLVSDAGFLRRFREHHRTPPLLGYFFNDHRGPVFTPTLAPPNSIPPARFSLPQQQPAGERLFFLGCRHGLALLINRRRLEAIVWDPVTNRQATVAYPSEFTTDNGAHCCRGAVLSGGGGGGGDGDALVPGGDDGHLMRPFKVILIRTEINHDHASVFIMCVYESGTGKWGNTISTTILSPFSNLPNVLIGNAVLCGFFQWSNGFLELDLDRQRLGVIETPVSLHSVDSSISRVVRTQDRGLGLAILSRFSIQMWGRKADSGGVVGWVLQKTIQVDKLLSLPPSMDSLPARILGYDEDSNAIHLSTSTGAFAIQLESMQFRELFNVYRIGSYYSCHPYASFYTA >KQL15689 pep chromosome:Setaria_italica_v2.0:III:22597238:22598072:-1 gene:SETIT_023236mg transcript:KQL15689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGLSPCLCPGSAARGEAARLVFWGGSARMADERGPGITAGDVAAELPDQHLVCAADSFFIGLPVPALPPGERLVAGRTYFVLPAARFSCRQALTAATLAKLSPSPAAKVPLAGGAASPFEYVTGADGAPLIKIVPEFIEKVITSCGGGKCGAAAKQLCSTPELKKHYMQLVGARAERPWSPGLETISEAEKRRRMPSPVRLIELAKASSR >KQL16516 pep chromosome:Setaria_italica_v2.0:III:41206715:41206820:1 gene:SETIT_024124mg transcript:KQL16516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TATRQWRCRVCEVECGGPDAFREHCGSELHFDGL >KQL16227 pep chromosome:Setaria_italica_v2.0:III:34602847:34604178:1 gene:SETIT_024421mg transcript:KQL16227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSDISEDVICENIFSRLPFKLVTCLKTVSGHSCTKIINSAMFAAKQARLCPSCPALIQISSHVDSDGRYNYYLNLISSTPAIVGVPSSRLNFLGCRMNKYDGFSLLASTNGLLCIQYTPEDIRPRVHTILIANPATQQAQPIPGAEHHLIRGRAVGLVFDPPNEQASANKHKIKIDPPIELSSAKDNKFKIVQAFPFKNTNNTSVEFRFVTFSSDTGRWVMSSTTVNGIIKQTQYDKVVYASGVLYWDYQEDLLWCDVAKSAGGIIKMPWMLQGSKSKEWDHHSIDTSSDGILMCTTTGTNGLAIYQLVEGGVYWKLKHKKGWTDIIEVSGDAFQFCHSMKLRNGWQSRFYERWLVKPLGLESERWLYLGVTEKWRAMDMVLLRYDLDSGKVEDIGKGLSNRFDMMPVFGYRNSMAALPQIAEPISSGGICDGNPGRCICATKG >KQL14377 pep chromosome:Setaria_italica_v2.0:III:10863276:10869663:1 gene:SETIT_021179mg transcript:KQL14377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFAIDSDSESYSGTSDSEDQEECEFTYSDHAQNILSSLDESIGKIDNFLTFERGFLHGDIVCPVSDPSGQLGRVVGVAMFVDLETSSGDIITDVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTLLFSDGAKCEMLVRDSEALKPIPPILFEDAPYFYYPGQRVRIVQPSIFESATWLCGSWRANRDDGVVSHVDVGLVHVNWITSVTNVWGDKSSSPGNIQDPKNLTLLSCFPYANWQLGDWCALTSDDHGCLWMDSGKSCILSKAWKCDSHGHMRLENYSSGYSQTYIVSKTKSTVDVLWQNGSISLGLEPQTLVPVSTLGDYDFWPGQFVLEKLAVEDSARCQRTGIVRDVDALERTVNVKWISPAGSDAVSYGRSGPTVETVSAYELVEHPDFSFCTGEVVIRSAVNIDKSEADLTNGSLASRESLDTHSDFLSCIGNVLGYKDDGIEVQWANGVISKHFEIIGLDKLLDSSLESMHEEHATGDSVDVAEQEEMHHENANIALESTDCTGSLCKATAFLFPKTAFDFLTNVATSLFGTHGSPSPSSVVVDPQYQIIMTAEMQTSADELPEEKLTVELVAKIEKPNLSSEDNISKRFDVVTDCSDHHFVKESGHENVTRGWVKKVQQEWTILQNDLPDGIHARVFEERMDLLRACIVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWTGTGNEVWNPENSTVLQLLLSLQALVLNEKPYFNEAGYDKFLGKADGEKNSITYNENAFLLSCKSMMYVLHKPPKHFEKLVKEHFTCRAPCILDACEAYLGGDLVGHAHDKAYISEDGSKSCSTGFKIMLGKLLPKLAAAFSEAGITSGQ >KQL14376 pep chromosome:Setaria_italica_v2.0:III:10863276:10869663:1 gene:SETIT_021179mg transcript:KQL14376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFAIDSDSESYSGTSDSEDQEECEFTYSDHAQNILSSLDESIGKIDNFLTFERGFLHGDIVCPVSDPSGQLGRVVGVAMFVDLETSSGDIITDVNSKKLSRVRSFVSGDCVVMGPWIGRVIRAFDLVTLLFSDGAKCEMLVRDSEALKPIPPILFEDAPYFYYPGQRVRIVQPSIFESATWLCGSWRANRDDGVVSHVDVGLVHVNWITSVTNVWGDKSSSPGNIQDPKNLTLLSCFPYANWQLGDWCALTSDDHGCLWMDSGKSCILSKAWKCDSHGHMRLENYSSGYSQTYIVSKTKSTVDVLWQNGSISLGLEPQTLVPVSTLGDYDFWPGQFVLEKLAVEDSARCQRTGIVRDVDALERTVNVKWISPAGSDAVSYGRSGPTVETVSAYELVEHPDFSFCTGEVVIRSAVNIDKSEADLTNGSLASRESLDTHSDFLSCIGNVLGYKDDGIEVQWANGVISKVQHFEIIGLDKLLDSSLESMHEEHATGDSVDVAEQEEMHHENANIALESTDCTGSLCKATAFLFPKTAFDFLTNVATSLFGTHGSPSPSSVVVDPQYQIIMTAEMQTSADELPEEKLTVELVAKIEKPNLSSEDNISKRFDVVTDCSDHHFVKESGHENVTRGWVKKVQQEWTILQNDLPDGIHARVFEERMDLLRACIVGAAGTPYHDNLFFFDIFFPPDYPHEPPSVHYHSGGLRLNPNLYESGKVCLSLLKTWTGTGNEVWNPENSTVLQLLLSLQALVLNEKPYFNEAGYDKFLGKADGEKNSITYNENAFLLSCKSMMYVLHKPPKHFEKLVKEHFTCRAPCILDACEAYLGGDLVGHAHDKAYISEDGSKSCSTGFKIMLGKLLPKLAAAFSEAGITSGQ >KQL13583 pep chromosome:Setaria_italica_v2.0:III:5352109:5357519:-1 gene:SETIT_021405mg transcript:KQL13583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVIYRDDSDFQESAPPYGDAMNSEASNVEILTRVELDLAFASEKLLNLEMLVMEIARRATDFEPPTFEDESISSETAESAFELDILYGILDAEVKELVNLISSLQADIRGIEHRVYEEESGGKVKAKLDAATMTLKQMQELIADIRNESAKFEKAIQFIHETEGVEGVGSENGHLPYQTGMQTEDQHRNVLHMLEQSIASELDLEKKLSDSKSVIEDLKLKLHHQEKEIYFLEESTETVSGRMFEAENASELLFGTSKELANRLNTMQFHISAQKCREDDLKSKLEQSLTKLSFLENSPEKVEEDSNKAGAEVISLQYRLQELEKQLNESNSQLQSAMESEETSQEEKNALHSELSTLENTIKNLKGDVSRAECRAQNAEIRCMQLTQANIELNEELSALKSEKSDKANLLEKKLKESNTQLEHAKAAVDAIVEQQGMLKSTMSDMENMIEDLKGKVSKAESRAGSAESKCTLLTDTNLELSEELAFVRGRVENLENLLREANHAKVSTAKDIGIRTKIITDLVRKLALERERLHLQIATLTKKNKILAQKYKERVNVSSQMSKKATAKAKHTELQSTEKAEEIFPDSSSSQTEAEKPADLLYKDEVKAHTPAEDDSSSDDAHETVRTIEPSLLNRKYIFVSFLVLLVAAVVFLLHEDGSTA >KQL16477 pep chromosome:Setaria_italica_v2.0:III:40841640:40844886:-1 gene:SETIT_022980mg transcript:KQL16477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVQFIDINIAGYHHARPGPARIFGFIAARDEIEPLRNYVYRRQISNCESVPVKRNTGVARLSLTSPARVISMVARALIEFELYVRTKDRPEDEPKDDCLIEGCTEFTNLISSVSYVEHRRLYGNNCALDVKFAVLINAVEARIDVEVLRLGDIASGINLKVYAKTSGFREVIRLFEDAAPKPGAVMSFVVAVETHNYLDLYIEGSPGNNPVLGQKEEQVSRSWWKCSFGSSYHCMEEEVAELGNFGEVSVKVNWKSYTKRES >KQL13302 pep chromosome:Setaria_italica_v2.0:III:3693694:3696184:1 gene:SETIT_023196mg transcript:KQL13302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWDDDESAAASAAEATTTDVELLKRAWRNEKAAPEILRFDSPLVSRVREQIQLLEETLDDFADSGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIKKYTMHISRSDDLLSQLSLQERRFAKSCAEIMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMVPEPQLGTFVFCKTKSDVGAFQLDDIGEEVVDLVADDLYILRYKSIKGLVEGGRIDLI >KQL16379 pep chromosome:Setaria_italica_v2.0:III:38696409:38696864:-1 gene:SETIT_023640mg transcript:KQL16379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSDRRREHKRQPIPATPPLPHHPPSVLRPKTLAATYKYPTPTSSLLQLPLGFPSSSAAKLEKRGRGREELGARRDARGCCHRARSLPNLRPYDDIDAAMIPALPRLATASPRHSTASIREASPHK >KQL15943 pep chromosome:Setaria_italica_v2.0:III:25880034:25881571:1 gene:SETIT_025160mg transcript:KQL15943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGADRISALPDELLHHVMSFLPMHEVVYVAARPYVDNLLLLQHPGTRLDSFNLNECDFSFKPFLPTYDVDVNTGFQIALLCQARVISLHTSLGIYVENWDLPLELPNVTLKRSTLDFSGCPTLVNLKMKECDINGNVPSPFLKHLSITSCYFVTRSFRARIYMPGLISLVLSECTHRTPLLENMPLLVLVIVRVKDCENKCSKSTYGDCGDHTCLSQVTELELSVDSTVFIVNRDLKLCPTFCKLKTLFLSAWCPGVAADRNVLTCFLQHSPIMEKLVLQLPKVPKDRVGTESSYKRSEHSFICSHLKIVDLKCEEVDGRVQETLEILSTYGIPLEHVNIQQTDMVSGP >KQL13042 pep chromosome:Setaria_italica_v2.0:III:2280981:2282654:1 gene:SETIT_021689mg transcript:KQL13042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWSELKQLPRVGEPSSSSCPSDQDDEEHEQAIVTRTLASLNLANGGKTLHQKEKQQSNNRPSSRRSYAKPNPSSYRSHLPNQAYPSVPPEQAMYHMWHQVQATQPAPRFPMVPSLGNTRFPPAAALLSMYTSPRGQFATTACQDGVGLLPCFPEAAPGLPRYFSPYPVTFVPRSPLPATVPKIHERRQDHAETVEFPDAAVFSQYGDQHKFQGKEDCTGTSASPCPRSGSSSTAHPSLQKRDPNEEKETLGSKHAEPNKSQGQQPKSSPSWVNPSIPAHGSIQRKHYTSSVQHDDPPQTSRSSLPELWSSRSPAAPRSGAAVPVNSPVSGYQQRHPWLAASVTVRTAVPVCSARPNAVNTPGEAARVRPIAQNCLDPETPRNTNNGERAPNSEL >KQL13040 pep chromosome:Setaria_italica_v2.0:III:2278158:2282654:1 gene:SETIT_021689mg transcript:KQL13040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPVFCSTLRQAEHAAAEVALNELSKRGPSSTLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTVRSGPGHTPVFTCTVELAGKTFTGNPGKTKKQAQKNAAMAAWSELKQLPRVGEPSSSSCPSDQDDEEHEQAIVTRTLASLNLANGGKTLHQKEKQQSNNRPSSRRSYAKPNPSSYRSHLPNQAYPSVPPEQAMYHMWHQVQATQPAPRFPMVPSLGNTRFPPAAALLSMYTSPRGQFATTACQDGVGLLPCFPEAAPGLPRYFSPYPVTFVPRSPLPATVPKIHERRQDHAETVEFPDAAVFSQYGDQHKFQGKEDCTGTSASPCPRSGSSSTAHPSLQKRDPNEEKETLGSKHAEPNKSQGQQPKSSPSWVNPSIPAHGSIQRKHYTSSVQHDDPPQTSRSSLPELWSSRSPAAPRSGAAVPVNSPVSGYQQRHPWLAASVTVRTAVPVCSARPNAVNTPGEAARVRPIAQNCLDPETPRNTNNGERAPNSEL >KQL13041 pep chromosome:Setaria_italica_v2.0:III:2278158:2282914:1 gene:SETIT_021689mg transcript:KQL13041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKNQLQELAQRSCFNLPSYACIREGPDHAPRFKATVNFNGETFESPVFCSTLRQAEHAAAEVALNELSKRGPSSTLAAKVLDETGIYKNLLQETAHRAGLKLPVYTTVRSGPGHTPVFTCTVELAGKTFTGNPGKTKKQAQKNAAMAAWSELKQLPRVGEPSSSSCPSDQDDEEHEQAIVTRTLASLNLANGGKTLHQKEKQQSNNRPSSRRSYAKPNPSSYRSHLPNQAYPSVPPEQAMYHMWHQVQATQPAPRFPMVPSLGNTRFPPAAALLSMYTSPRGQFATTACQDGVGLLPCFPEAAPGLPRYFSPYPVTFVPRSPLPATVPKIHERRQDHAETVEFPDAAVFSQYGDQHKFQGKEDCTGTSASPCPRSGSSSTAHPSLQKRDPNEEKETLGSKHAEPNKSQGQQPKSSPSWVNPSIPAHGSIQRKHYTSSVQHDDPPQTSRSSLPELWSSRSPAAPRSGAAVPVNSPVSGYQQRHPWLAASVTVRTAVPVCSARPNAVNTPGEAARVRPIAQNCLDPETPRNTNNGERAPNSEL >KQL17412 pep chromosome:Setaria_italica_v2.0:III:50308430:50310868:1 gene:SETIT_022249mg transcript:KQL17412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMVGGVAAVDGNGKDAASYPAPTRPPPPLPMKPKLLRPLLLLAVLATGFLAVVALLLGGSTYSVLPRLSVPDALSVPSSRQRAAQQACAGGGGKSPLERWTRAPASAWHNMTDEELLWAASWRPRIGRYPFRRVPKVAFMFFAGADKELFSVYVHATPGYRHDFPPASPFYRRQVPSQVARWGDTSMCDAERRLLANALLDPGNERFVLVSESCVPLYAFPVVHHYLTRSHQSFVGAFDDPGPHGRGRYRAGLAPEVRREQWRKGAQWFELDRALAVDVVADERYYPKFRKHCRPPCYMDEHYLPTVLSVEAPGRIANRSVTWVDWSRGGAHPATFGEADVKEAFLKRLTTPGKDQGTCTYNGQPADVCFLFARKFAPSTLQPLLTLAPKMLGYG >KQL17411 pep chromosome:Setaria_italica_v2.0:III:50308430:50310665:1 gene:SETIT_022249mg transcript:KQL17411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISMVGGVAAVDGNGKDAASYPAPTRPPPPLPMKPKLLRPLLLLAVLATGFLAVVALLLGGSTYSVLPRLSVPDALSVPSSRQRAAQQACAGGGGKSPLERWTRAPASAWHNMTDEELLWAASWRPRIGRYPFRRVPKVAFMFFAGADKELFSVYVHATPGYRHDFPPASPFYRRQVPSQVARWGDTSMCDAERRLLANALLDPGNERFVLVSESCVPLYAFPVVHHYLTRSHQSFVGAFDDPGPHGRGRYRAGLAPEVRREQWRKGAQWFELDRALAVDVVADERYYPKFRKHCRPPCYMDEHYLPTVLSVEAPGRIANRSVTWVDWSRGGAHPATFGEADVKEAFLKRLTTPGKDQGTCTYNGQPADVCFLFARKFAPSTLQPLLTLAPKMLGYG >KQL14555 pep chromosome:Setaria_italica_v2.0:III:11989313:11991426:-1 gene:SETIT_022987mg transcript:KQL14555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSSPPLHARLLPLPPPQNPCPAVPASGARRAARPRPPRQRCLATPPSRNGSFSSPDTEWCPVPPEQRPVNEYEALASSLPFSWAAGDLRVYCSRLALTGAAFALFVGLPVAAFGGRGGAGGDAVHLALGATGSGILAVTLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLNRVKFTLVGLAGSLILCILLYVNTENPKEPYENTGGGAIPGVYSDAAARSFEPDAFCGEPDLS >KQL13371 pep chromosome:Setaria_italica_v2.0:III:4099471:4103136:1 gene:SETIT_021653mg transcript:KQL13371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKKRAKFFIGQRKSDSTGPTPDQMIRRLWVSETSISGCTCPGESVSIKRRKAVASLSPFHAASAPGTHLPPLRRRRVESGRSSRLYPKSLPNYPAAAMGHGVSCARTGDEHDYFRAAQLGDLDALAALLAADPSLARRATLYDRLSALHIAAANGRIEVLSMILDHGVPPDVVNRHKQTPLMLAAMHGKIDCVLRLLQAGANILMFDSVHARSCLHHAAYFGHVDCLQAILSAAQTTPVADSWGFARFVNVRDDHGATPLHLAARQGRPGCLQMLLENGAIVSALTGSYGFPGSTSLHLAARSGNLDCIRKLLAWGADRLQRDSAGRIPYAVALKRNHEACAALLNPSSAEPMVWPSPLKFISELDPEAKALLEAALMEANREREKKILKGTKYSLPSPSPCAAADVMDDASSEVSDAELCCICFDQACTIEVQDCGHQMCAPCTLALCCHNKPNPTTLTLPSPACPFCRGSISRLLVARTSTSSDPDPEKAVSSPQLARRRSRRSHNLSDGGSSSFKGLSSAMGSFSRIGRGSSRMVDCDSGSLDKPEHDL >KQL16164 pep chromosome:Setaria_italica_v2.0:III:32613606:32615287:1 gene:SETIT_024197mg transcript:KQL16164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVCANLDREDGLDTVLEVPVPESHHEPSARGGRRRRRTVTAWVRSHMDQRHRRDGAPPSRADVQLMLGVIGAPLVPQPVEARKAMAGKDIKEEPLEVSKAKYIVEQYVAAAGGEPALSAATSMYAMGKVRMRTAKGQKAKTGMGVVNGGGEVAGGFVVWQKMPEMWCVEMVVAGGTKMSAGSDGKVAWRQTPWQQAHASRGPPRPLRRCVQGLDPKSTANLFSTATWVGEKCIDDDDCFVLRVDADPSALRARSSADVEVVRHAVWGYFSQRTGLLVRLEDSHLLRIHVHGEATETAYWETSMESSIGDYRAVDGINVAHAGRTVVSLSRFGSGADEDDGSDAARGKRTCTCLEETWSIEEVDFNIMGLSTECFLPPRDMIPACNSKPVEKEQRKKDAVAVPVNCAAGLDVIKSKNSDGGVRPAAARKALVPSATGLGWFGPAKVVAVETVDAAE >KQL12910 pep chromosome:Setaria_italica_v2.0:III:1576451:1577966:-1 gene:SETIT_023190mg transcript:KQL12910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMATSAYPPPPPFYRLYKDYEQDPSSAPEPPPPPPIDQIYTTFGTDRKTNELLPSLESHNLRQLYPNSPNIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFSNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQRREEAQKLLGESLLILDGSQTN >KQL12908 pep chromosome:Setaria_italica_v2.0:III:1576125:1578922:-1 gene:SETIT_023190mg transcript:KQL12908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRESFNLLHRNLSLYRSYPRSYQRQRLWRFPFPTVRELREASSMVAMATSAYPPPPPFYRLYKDYEQDPSSAPEPPPPPPIDQIYTTFGTDRKTNELLPSLESHNLRQLYPNSPNIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFSNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQRREEAQKLLGESLLILDGSQTN >KQL12909 pep chromosome:Setaria_italica_v2.0:III:1576125:1579753:-1 gene:SETIT_023190mg transcript:KQL12909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMATSAYPPPPPFYRLYKDYEQDPSSAPEPPPPPPIDQIYTTFGTDRKTNELLPSLESHNLRQLYPNSPNIDFKKELRTLNRELQLHILELADILVERPSQYARRVEDISLIFSNLHHLLNSLRPHQARATLIHMLESQIQRRKQAIEDIKQRREEAQKLLGESLLILDGSQTN >KQL13049 pep chromosome:Setaria_italica_v2.0:III:2313468:2314873:-1 gene:SETIT_025803mg transcript:KQL13049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTITGGGRRLHVDLYYACVQSRALFTVWSLLQLMRRYPGRVPDVDLMFDCMDKPAVNRTEHGDGDPAAPPPPPLFRYCTTRDHFDIPFPDWSFWGWPETNIEPWSREFKSIKAGAKATKWVDRVPTAYWKGNPDVASPLRVALLGCNDTGLWRAEIMRQNWTDEAKAGYQHSKLSSQCTHRYKIYAEGFAWSVSLKYILSCGSMALLIDPQYEDFFSRGLDPRVNYWPVSRVGMCESIRDAVDWGNANSAEAERVGRRGQRLMQDLSMAAVYDYMLHLLTEYAALMDFRPVPPPTAQEACEGSVLCLADDKQRRFLEASAAEPAVDEPCVLKW >KQL13799 pep chromosome:Setaria_italica_v2.0:III:6800282:6800437:-1 gene:SETIT_024718mg transcript:KQL13799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPFPLLLAFWRYLNSELHIENKMYNPPSAQDMSYYDHVQKRHEEKGCLYAW >KQL15065 pep chromosome:Setaria_italica_v2.0:III:16200664:16201673:1 gene:SETIT_023269mg transcript:KQL15065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYTAPRPSPQQHSRITGCGGGGVGKAASHGPSCAAVPGEVARHHEHAARAGQCCSAVVQAIAAPVGAVWSVVRRFDRPQAYKHFIRSCRLVDGDGGAVGSVREVRVVSGLPATSSRERLEILDDERRVLSFRVVGGEHRLANYRSVTTVHEAAAGHTVVVESYVVDVPPGNTADETRTFVDTIVRCNLQSLARTAEQLAAALA >KQL15440 pep chromosome:Setaria_italica_v2.0:III:20163748:20168425:1 gene:SETIT_021614mg transcript:KQL15440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKRPAAAAAGAGAAAAQTVTLPAAAVRDAVRAAVREAEATAQATAPAARVPSPAAVPAEIARDGVLCLEEVDGRRWSYVVDAAGAAVKAKGRASVGAAFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPHSVTPSYVPYMSWRALKHFFGGAMGVFTTRSLLNSVGVSQSRAVPGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLLGTGLSILISKSNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGYVPTLKDGNSQETVFNPPWRHEPVAIGSRFGEAFQEPASFIAIKPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARRRMNSNRSYQHNPVNMDFIPHIEESCKIVMSSYGVFKKKAREQGWIMSESLLNPGRARLCGVVPQ >KQL15441 pep chromosome:Setaria_italica_v2.0:III:20163748:20168425:1 gene:SETIT_021614mg transcript:KQL15441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKRPAAAAAGAGAAAAQTVTLPAAAVRDAVRAAVREAEATAQATAPAARVPSPAAVPAEIARDGVLCLEEVDGRRWSYVVDAAGAAVKAKGRASVGAAFKAVPLQSPLPPVEEIMSFIRSYVVPEGFPHSVTPSYVPYMSWRALKHFFGGAMGVFTTRSLLNSVGVSQSRAVPGAVAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSGDLLMELGAGIELATAAFPQLFLPMACIANVVKNVAAVTSTSTRTPIYKAYAKGENIGDVTAKGESVGNIADLVNVQYFSTIFAYYLQDMHLSSVAAIVLFSHYYIMVSQLFSFFFLSIYNVSFLCCCLFVKLGTGLSILISKSNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGYVPTLKDGNSQETVFNPPWRHEPVAIGSRFGEAFQEPASFIAIKPLFEDERYIVTYNPTKDKVYALLKDQAKPDDILKAAFHAHVLLHFINASHANLNARRRMNSNRSYQHNPVNMDFIPHIEESCKIVMSSYGVFKKKAREQGWIMSESLLNPGRARLCGVVPQ >KQL15308 pep chromosome:Setaria_italica_v2.0:III:18786017:18786630:-1 gene:SETIT_023175mg transcript:KQL15308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEEKGATNGHAEEDVMEVEPKRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVVSTWIPFLPQSKVAITTERECLIRCAFLEQVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRSLCEDNDPMDVLVLMQVTHHSQALFQ >KQL15306 pep chromosome:Setaria_italica_v2.0:III:18784952:18786747:-1 gene:SETIT_023175mg transcript:KQL15306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEEKGATNGHAEEDVMEVEPKRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRSLCEDNDPMDVLVLMQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIRRFFEDYKKNENKDVAVDDFLPAAAAREAIQYSMDLYGQYIMQTLRR >KQL15305 pep chromosome:Setaria_italica_v2.0:III:18785732:18786630:-1 gene:SETIT_023175mg transcript:KQL15305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEEKGATNGHAEEDVMEVEPKRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRSLCEDNDPMDVLVLMQEPVLPGAFLRARAIGLMPMIDQAGEYMNHFHLALCNSIFSCKYLPQSHGMLSFPKCWNRVRRTTRS >KQL15307 pep chromosome:Setaria_italica_v2.0:III:18785305:18786630:-1 gene:SETIT_023175mg transcript:KQL15307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEEKGATNGHAEEDVMEVEPKRRAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRSLCEDNDPMDVLVLMQEPVLPGAFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIRRFFEDYKKNENKDVAVDDFLPAAAAREAIQYSMDLYGQYIMQTLRR >KQL13837 pep chromosome:Setaria_italica_v2.0:III:7036639:7037163:1 gene:SETIT_023479mg transcript:KQL13837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVQEPQAALQRAAGSLQMDKTSSRIPAVPALTPDALERALHAVTFLSRVAFIPLAAHRIAPSAWRARRDPAELAVVAGPCALLAAQFECLHRAERLARAGLATRGAAAAGGRRLGPDRAHPLLAGVPGMAGHAGRYGDQLLHRAHGLLPVCAPPRPGVPQHGRIG >KQL15359 pep chromosome:Setaria_italica_v2.0:III:19176317:19181781:-1 gene:SETIT_021426mg transcript:KQL15359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKVSAVDKSPSDTTLGRNQVADHEPGAVLEVEKPPVSGEAAAAAKRLEEQQQSFSFMESVVPGLAFRGGHNAGGDAGSRASPQLTRSLSQRAGLGKAKAGAAKVSEVSSILGRASTVGLEKAVEVLDTLGSSMTSLNSSSGFVSSNAAKGNKISMLAFEVANTIVRGSNLMRSLSEPSIKHLTEVVFHSEGVQHLISKDTDELLKMAAADKREELEVFTKEVVRFGNRCKDPQWHHLDRYFENLNSFRLASERTPQNHLKEDAESVMQKLVTCVQCTAELYHEFHALDRFEADYRLKQKEHDGLSSRGDSLDILKQEVKGQSKHVKNLKKRSLWSKNLEEVMEKLVDIVHFLHLEIHNTFGRADSEEPQEPAKHYNRLGPAGLALHYANIINQIDNLVSRSCAMPTNARDTLYHGLPPTVKSALRSKLQSFELKEELTAPQIKAEMEKTLRWLVPFASNTTKAYHGFGWVGEWANTGSELNCKISGQMDTTRIETLYHAEKEKADVLILELVVWLHHLICKSRNGHGGLRSPIKSPMSSPTKKGATITLLEGKTNNSSPILTQEDQDMLRDVKYRKFVPGISKSQEFDTTSSYSKQSRLSKSNSHSPASGNRKDILPVRRSSMFPVIDFEIDRTKALDLIDRLDDLKI >KQL15413 pep chromosome:Setaria_italica_v2.0:III:19854332:19856006:1 gene:SETIT_024603mg transcript:KQL15413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSSLLLLPLLIVFFYLSKARAAGRNTQRLPPAPRGLPLIGNLHQVGALPHPALRALAAAHGAPDLLRLRLGQVPALVASSPAAAAALMREHDGAFATRPYFRTAEILTYGFQDLAFAPHGEHWRHVRRLCSAHVLSAARSHRHNGVREREVAALVRTIRERASSSSAGVVDVSKALYGFTNGVICRAVSGTGRLSPEEEGRRSELFRELIEENTALLGGFCVGDYFPSLAWADALSGAGARAWRNFRRWDELLEQVVREHEARAAERQDDGYELTRDAIKSLLADMFAAGTETSFIALEWAMSELVRNPTAMQKLQRELRRSAPADAAGAGTRVFVNAWAAGHDPVSWGAPDEFRPERFLAEDSEVDFRGAFLRPGFATPEELDMSDAPGLTTPRRVPLCIVAKPVAIGPSFFVQ >KQL14303 pep chromosome:Setaria_italica_v2.0:III:10333664:10338132:1 gene:SETIT_021409mg transcript:KQL14303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPASASARPLSLPLTAPLPRYSSRILRAPSSRLLPGRRVALAPARPGAALLSSLSDAREQEEEVEDEEEFYGEEDEQREYDGEEEEQEYDEELVEVGYVSGAHGVRGDVLVTPRTDFPELRFATPGTRWLRARAAGKQQVREFELVRGRAHTGKKCWIVSFDGIHNLDEARQIVGSAILVKAGDRPEIEDDEFFSLDLVGMRVIVKDTGKLVGTVGQVFNFGGGDLLQVMLGSAEGTAVDPDSENQDSTSSRDHVWIPFAEDIVPDVDMESREMWITPPKGLLELNSRSDKRSKKERRVMEWKDRKRLQRRVIAGKKVLSEMDQGHVLEGLVSGDKVQKASLAEQIGCIDFQLFRHAVHCVSKQIESSSKKLLANSSLSRKKVIKIPYKGLINLGEKAEHSFSRELKHGLEILLKSKAAIVLVRNGSDSDAEFLSLLSSLCELMKVIGNHVPPPFIIVSPPGHVESVRTCLIENDYFNLDIKKVWVLEELELPIVSMSSEASRKKVLMKSPWEIIKKTAGSGGIFSLLSSNKILDSLNQMGVQYTQICSSSSRPVIGHPLLFGAVASSGADVGVKLSTSGETEDDFDLIISIDLLNKMCRDVTQLRFSARPDQNAHVEHVDGQWVAVQPEATNSHRLHADVTSILNSCAPDKVCVMEIIEQ >KQL15269 pep chromosome:Setaria_italica_v2.0:III:18498942:18501940:-1 gene:SETIT_022531mg transcript:KQL15269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKGKDVWGLLLVLLLGQLVAFSMAASSFTSSLIANLGVDAPLTQSFFAYLLLTLVYVPIVLRRRQKLQIAWYWYLALAFIDVQGNYLVVKAYQYSYITSVTLLDCWTVVWVIVLTWYALGTRYSIWQFLGAGTCVAGLALVLLSDAQSAKEQDPSKIPLLGDALVIAGTVCFAFSNVGEEYCVKKKDRVEVVAMLGLFGLLISIVQILIFERKSLETVAWSPTMISLFAGFAVAIFMFYTITPFVLKMSGATLFNLSLLTSDMWAVAIRVLFYHQQINWLYYLAFTIVAVGLIIYALNESSSDDKTAASTEAAAQYQQLQSEDNSTGWESNEGGQERTRNEVHIC >KQL15459 pep chromosome:Setaria_italica_v2.0:III:20393586:20397954:1 gene:SETIT_024745mg transcript:KQL15459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAARSLRRLSSSSSSVRSLRVFLHPPAPPPSAPPPLPPLRTLTRAFLPHLAAAHRFSPASFSSSAPSRLGECGGARGAPAIPEEVEGEEEEAAGVLVRHDTDAYAAVELALDSVVKVFTVSSSPNYFLPWQNKAQRESMGSGFVIPGRRIVTNAHVVADHTFVLVRKHGSPTKYKAEVQAVGHECDLALLTVESEEFWDGVNSLELGEIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYAHGATQLMAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKRFISGVEENGKYSGFCTLGVSCQATENIQLRECFGMRPEMTGVLVSRINPLSDAHKILKKDDILLAFDGVPIANDGTVPFRNRERITFDHLVSMKKPGETAVLKALRDGKEQELSVILRPLQPLVPVHQFDKLPSYYIFAGFVFIPLTQPYLHEFGEDWYNASPRRLCERALRELPKKAGEQLVVLSQVLMDDINVGYERLAELQVKKVNGVEVENLKHLCSLVESCTEENLRFDLDDERVIILKYQNARLATSRVLKRHRIPSAMSSDLVEEQATNGEVEASCTS >KQL16745 pep chromosome:Setaria_italica_v2.0:III:44638407:44638890:1 gene:SETIT_025486mg transcript:KQL16745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTPVQFFFLKKLIPTVCKKITQEMTRPHDQLDQTRDYIPTRPKPILLSSLTCVSTDCEIPDCTWHRSSANVWPHLPVCSGWIARNSGRTTTESS >KQL12839 pep chromosome:Setaria_italica_v2.0:III:1118790:1121913:1 gene:SETIT_023597mg transcript:KQL12839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTASVVLDIEGLPQPPDKCCSGSPKMIRALSRKGSNRMERRGGEEQEQEDLAKKLIIKVVPSQLDQPLVQNKALVAPHYTPCTPVLIDSGEGRSKRFNRFTSINPRKILLFFATLSSMGTTILIYFTLGINSKAEA >KQL15983 pep chromosome:Setaria_italica_v2.0:III:26513802:26516218:-1 gene:SETIT_021590mg transcript:KQL15983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKGPQEPQPRRNLRADHESLQPQPGDARNNKGGWITFPFLAVAMLGLGVARGGATSNFVVYLVKKYNVPRVDAVQISSIALGCLSLAPVGGAIVADAFFGCYPVVAVSMVFSVLALVMFTLTASLHGLRPVPCQPGAGGDACEPASTGQMAALYAAVFMLCVSAGGARFNQATLGASQFDAAADRDVLFNWYFVFFYASSVVGSTAIVYVQDNVSWALGYAISGAASLAGVAALLAGTPYYRRPGAQGSPFTALARVAVAAARKWKVNLATTSEELRFYHGRRCSSASDKDGDVSDATSLAAPSDSFSFLNRAALLTDGDVTPADGSVVRPWRICTVREVEDFKAVVRILPLWSSSIVLSVAFGTQINFTVLQALAMDRALGGFTVPAGSMTVVILVSIVASLILLDRALLPLWRRLTGHTPTPLQRIGAGHVLAVLSLAACAVVERRRMATVRAHGAEGQPAWVSPLSVTWLVLPLALAGAGEALYFPGGVTLYYEEFPPPLRNTSTGMVAVVIALGFYLSAALVGVVRRATAWLPNNMNASRLENIYWLLAVMATVNFGYYLLCAKLYKYQNVGK >KQL16754 pep chromosome:Setaria_italica_v2.0:III:44719922:44721340:1 gene:SETIT_025364mg transcript:KQL16754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRKSYISYDMYVDNTLNITDKDDALKMGTFKAKHKIYKLIGLLLTRVNCSILSEMGKRAILSLLKALEEHMAARLCFHKFKWLEIVLTRDGWGYIQIYEKVKNKISASEFRNILLKIPYHNNWRAQVQQNLTAIEEQLESADLFLDFLLNGTSLRGQQSLITFPSHFELI >KQL12986 pep chromosome:Setaria_italica_v2.0:III:1969097:1971985:1 gene:SETIT_023298mg transcript:KQL12986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHPRSLHALLGGGAVADVLLWRRRNASAAAVVGATAVWFVFERAGYSLPSVLSNALLLLVAILFFWAKSASLLNRPLPPLPNLEVSDVVVEKAADRALIWINRVLAVGHDIAIKRDRKVFIQVILVLWVVSYVGMLFNFLTLIYIGMMLSLLVPPLYEKYQDHVDEKLGVAHSVLSRHIDTIMTRAGQSAKQKKNE >KQL16320 pep chromosome:Setaria_italica_v2.0:III:37307788:37308707:1 gene:SETIT_024004mg transcript:KQL16320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSERRATHEAMVRGSKGTCHVGWLMCQYSHQNWFSKPAKSANMVLHVVKR >KQL15868 pep chromosome:Setaria_italica_v2.0:III:24861494:24861963:-1 gene:SETIT_025700mg transcript:KQL15868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAPIPPKRADGGIPLRPRHASSAQRPCIFFVLLVGTGGGQLLAADTSYRRRRLAPGGWRAAGGGGQLLAGGGLDGRRAPAAELLVGGLLQRATAGAAPAGGPARSMGSPASCSGGWRRKLLRRPTP >KQL15483 pep chromosome:Setaria_italica_v2.0:III:20717075:20720595:1 gene:SETIT_021442mg transcript:KQL15483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPALLDPSPFDLRHYPTHIFDPDLPLAGGDLPLEFAGDDGLDFDLPVDFSIDDFLLRSPDRGGDGDDSGEGSAAGSGPAASSSASPATSAANSAVANAGDREVKHEDSDEGRSGAAPSWSLKRKQASPGASSDGVKCRRSGDGELSPSVSASASASRAAAEDSDERGAGGEEEDKRRTARLMRNRESAQLSRQRKKRYVEELEEKVKSMHSVINDLNSKISFIVAENATLRQQLGGGGVSAPPPGVYPPPPLPGIHFPWVPGYAMRPHGSHVPLVPIPRLKPQQAAAAAKVSKKPEVKKTVENKSKTKTKKVASVSLLGLLFVALIFGAFVPGFNHNFGMSGRRDDVMFGNFGHSDARVFSVTNHGKGPKGGLNSSDMTDTDPGMMTGNSDGAGPKHRPAHNSSEILPALLYVPRNGKHVKINGNLIIHSVLASEKAVAHRASNGQSVKDHKETSVAIARYLSPSGKDENSKGTFPPDAALPQWFREGMEGPILNSGMCSEVFQFDISAASAKSGGIIPASPTVNSSSVNATQKIPKSGGKLKNRRIMYNEAIPLTGKTVNSTEPRTFNSTSESSKVPDSKPASSVVVSVLADPREAGNGDGDPRVSPKPLSRIFVVVLLDGVRYVTYSCTLPFKSASPHLVN >KQL13347 pep chromosome:Setaria_italica_v2.0:III:3949794:3951587:-1 gene:SETIT_022826mg transcript:KQL13347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRSMAAATMSMCCRNKQKKDGERSSSGGGGSKQAGMRKGPWTEEEDAQLVWFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERLILELHAQYGSRWSRIARSLPGRTDNEIKNYWRTRTRKQKAAKTAASAASVSSSSTVTTTTASCSGSPSSGCGTAASSSAVTGSALRESGGGGGAEDDAELDEASTTAASQHHHHQQQQQQEASSYTMDQFWNEIAAAEAAASYMVDGWVGACHPAAAEPPVMPSSPVWEYCSDYSLWRIDDEEYYKKMLDAS >KQL12735 pep chromosome:Setaria_italica_v2.0:III:641941:644579:1 gene:SETIT_021920mg transcript:KQL12735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLPERGEAPSSNSLCKEDTAPATSSSTSEHKEDSSSKQPKISILSSVFTPPFTIFEGQQDSTCDKKSPKSSSGSYGWSRILRRIVGSTSMWRLLGCAKVLTSSDVWFLGKCYKESPEESSGGSDSESGHAAFLEDFSSRIWITYRKGFDVISDSKLTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPPEKPYNPEYIGVLHLFGDSEACAFSIHNLLQAGKGYGLAAGSWVGPYAMCRAWQTLIRTNREQADAVDGKENFPMALYVVSGDEDGERGGAPVVCIDVAAQLCSDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMAVNIAPDNLEADTSSYHCSVVRDLALDQIDPSLAIGFYCRDKGELLFLRRLLGYVEFL >KQL12733 pep chromosome:Setaria_italica_v2.0:III:641424:645054:1 gene:SETIT_021920mg transcript:KQL12733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLPERGEAPSSNSLCKEDTAPATSSSTSEHKEDSSSKQPKISILSSVFTPPFTIFEGQQDSTCDKKSPKSSSGSYGWSRILRRIVGSTSMWRLLGCAKVLTSSDVWFLGKCYKESPEESSGGSDSESGHAAFLEDFSSRIWITYRKGFDVISDSKLTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPPEKPYNPEYIGVLHLFGDSEACAFSIHNLLQAGKGYGLAAGSWVGPYAMCRAWQTLIRTNREQADAVDGKENFPMALYVVSGDEDGERGGAPVVCIDVAAQLCSDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMAVNIAPDNLEADTSSYHCSVVRDLALDQIDPSLAIGFYCRDKDGFDDFCSRASELVEKANGAPLFTVVQSIVPSKQMYKQDDGLGCSGSSMANHDDLDGSGEAGEEEWQIL >KQL12734 pep chromosome:Setaria_italica_v2.0:III:641424:645054:1 gene:SETIT_021920mg transcript:KQL12734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLPERGEAPSSNSLCKEDTAPATSSSTSEHKEDSSSKQPKISILSSVFTPPFTIFEGQQDSTCDKKSPKSSSGSYGWSRILRRIVGSTSMWRLLGCAKVLTSSDVWFLGKCYKESPEESSGGSDSESGHAAFLEDFSSRIWITYRKGFDVISDSKLTSDVNWGCMVRSSQMLVAQALIFHHLGRSWRKPPEKPYNPEYIGVLHLFGDSEACAFSIHNLLQAGKGYGLAAGSWVGPYAMCRAWQTLIRTNREQADAVDGKENFPMALYVVSGDEDGERGGAPVVCIDVAAQLCSDFNKGQSTWSPILLLVPLVLGLDKINPRYIPLLKETFTFPQSLGILGGKPGTSTYIAGVQDDRALYLDPHEVQMAVNIAPDNLEADTSSYHCSVVRDLALDQIDPSLAIGFYCRDKDGFDDFCSRASELVEKANGAPLFTVVQSIVPSKQMYKQDDGLGCSGSSMANHDDLDGSGEAGEEEWQIL >KQL12629 pep chromosome:Setaria_italica_v2.0:III:110537:111577:-1 gene:SETIT_024263mg transcript:KQL12629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRSECIRTREEASYCFARSKGSLLARREKAACCSCGRQAGRQVGFGPKAAWRERMLLSSPFIVSPPTLRVSPSPQQAQGCRPAGLLRIEAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPENQFKVGDVVELRSSRPISKTKHFVAIPLPPRDTRRKSQLLPPLQSQQADGDQPPPSTAD >KQL13309 pep chromosome:Setaria_italica_v2.0:III:3724610:3731134:-1 gene:SETIT_021756mg transcript:KQL13309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVPWKRLELAALVLYALGFYLVVIRRSLRLSHDHSGRLYGLRAGSLAGHLNDLSDAQWRNFRGNLPILTVVMGAFLIVVNTLRYCYGLKGRGTALLWLILSLSYLCYLHGACVVFILLISSINYSIVKLFAHYKYCTSLIWSFNLSVLILNRVYEGYSFSFFGQNLAFLDNYRGAFRWHICFNFVVLRMISFGCDYCWTYHSSHFDHKKHMQRCQVCYSGKTCYFALQERGLSVDRYTFLTYLCYLTYAPLYIAGPIVGYNAFAAQLEVPQKNYSFAQISWYGLRWILSFLLMEGMTHFFHYNAFVVSRLWQQLSPFEIFIISYGVLNFMWLKFFLIWRYFRFWSLVGGVETPENMPRCINNCHDLESFWKSWHASFNRWLVRYLYIPLGGSRRKLLSIWVIFTFVAVWHDLEWKLVSWAWLTCLFFVPEILVKSLSNKFQASSSLGMLVHREFKAIAGAVTISCLMVANLVGYVVGPSGIKVLISKMAGKEALPALAFIFTTFYVGVKLMFHIREASSSQG >KQL17175 pep chromosome:Setaria_italica_v2.0:III:48714833:48716547:-1 gene:SETIT_023471mg transcript:KQL17175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCHCAHSCFYWTDYCQRVMLVLDTLEMNFSVVDLPPESKGKDKSIVGAADGRLGLLILHDFEFHLYSKTRQDNGVGTEEWRHDNTIPLPNCYWSISNGGASEGYVLVRGIPRDQYHSGKFPEKKPDAQYFTLQLKTLLFERLCVLKFETSHDYLYASFPPPLSPPSI >KQL15126 pep chromosome:Setaria_italica_v2.0:III:17287298:17289197:1 gene:SETIT_025585mg transcript:KQL15126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGGVGDGGDEKKMDSASGGFSPFLSTRRALLGGRTVNIIFFSGGTTHPPWLVLRRPSKSFPSSLIFVVPAGSRIREIAPARRPLYPFRQAKPLGATRKALPLCKFVIAVAQAIT >KQL15973 pep chromosome:Setaria_italica_v2.0:III:26254985:26256331:-1 gene:SETIT_023316mg transcript:KQL15973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFAAATVLVCAALLLCVEQSAGSLASADPLSTLFPANSRPPPVMIYCKQNTELNVAVGDDGKVVLAVAKFGEFKQLWWKLPLPALVHGEGHKFWLVNVATEQAIATPTDDNKREVKMAPFNPWDKAQLWVPDTPDADGFYQIKVSADESKALNGLGGSVHKGTVVGIYPGNPVSANTLWNLTSIWPFPFHYFP >KQL14424 pep chromosome:Setaria_italica_v2.0:III:11190353:11191196:-1 gene:SETIT_0221572mg transcript:KQL14424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKRSLSQFTPAQWDKDQWYPFMGPLRFIQVLFLCVVFMTVELNTFFLKFCLWIPPRNPLVVYRLILWWLIAIPTIREYNSYLQDSKPVKKVGAFCWLSLAICIVELLICMKFGHGLFHDPMPSWLIIFWSSVGIALVIFLLAWSWRNHQKFRRKQL >KQL13007 pep chromosome:Setaria_italica_v2.0:III:2063545:2066661:-1 gene:SETIT_023273mg transcript:KQL13007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLERNIKKGEEEEEARKEEGRKEEEKTQEPQQGQGLSLSLANGSARSGMLPMSNPSANPQQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAATKTTAVKPPMMPVAAATVAPAAVSPALTRSLSLQSTSVANGQPQVVADPSSMCKLQADLPIARRHSLQRFLEKRRDSRIVNKAPYSPAKSSEGMESAGMEVAAEGKAQ >KQL13006 pep chromosome:Setaria_italica_v2.0:III:2063231:2066781:-1 gene:SETIT_023273mg transcript:KQL13006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLERNIKKGEEEEEARKEEGRKEEEKTQEPQQGQGLSLSLANGSARSGMLPMSNPSANPQQLTIFYGGSVCVYDSVPPEKAQAIMLIAAAAAAATKTTAVKPPMMPVAAATVAPAAVSPALTRSLSLQSTSVANGQPQVVADPSSMCKLQADLPIARRHSLQRFLEKRRDRIVNKAPYSPAKSSEGMESAGMEVAAEGKAQ >KQL13710 pep chromosome:Setaria_italica_v2.0:III:6236625:6239556:1 gene:SETIT_025240mg transcript:KQL13710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVDTSRSDPRRPERGGGIDQKRSKPKPRYDGDDFCAICDNGGDVTCCDGGCQRSFHLTDENKIPLPACSHLNIFKSLPFCLTCQVFQCEVDDCGRFYHPKCVAKLLYPESKLEASFFAVQVAAREKFTCPIHECIVCKGVENKNHRNMQFAVCRRCPTTYHRKCLPSSYIFSSNIPFETKKGPNGYMQRAWDEKHEIIKKLGTPKRNHIIFPDVKKLRVPKRLVDPPNEKGIPEEEVLKNASPEPSQSPPAVASDRNQCSCSGPFDSFAPESLFRHPYPGTC >KQL15251 pep chromosome:Setaria_italica_v2.0:III:18314330:18315913:1 gene:SETIT_021843mg transcript:KQL15251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQVAAVAPSPCARSSSVSSSPSTSSRPLPALLGGGCAGLARSRGVMVWRPRPARTTARSALSASLDGMGAGDAEFLRRIEELAAAAGVQPAGAAGCGWPASVERSASSVGLPLSLRMLKRKKKQQQQRQVVARQSRWDEGLLGSAGESVGRAFSSMVLIVRELQSFALQQMRDALLCDDLQGVLAHVQGEMHASFVWLFQHIFAGTPALMLSLMLLLANFTVHSMGHSVAAAAATIPPAPPAVAAAAAVVDNQRAEPSRPRFDKVSVKTFSVGRTASVGGNSGGGGKAPPVAGATGDGWSDESLYRLSRVAPQQPSTPAGAGLGEAAPDASDEDEQAIWEMMVTEASKMQASARAEELSDPDVLGSLVAPVEAELETEDQAEHVRTRQRYEQAVADEPNNSLILANFAQFLYLVQNDHDRAEHYFELAVRAEPADAEALSQYATFLWKARDNLAGAEDTYQEAIAADPGNAHHAAAYAHFLWNTGGEDTCYPLD >KQL15250 pep chromosome:Setaria_italica_v2.0:III:18314145:18316517:1 gene:SETIT_021843mg transcript:KQL15250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFQVAAVAPSPCARSSSVSSSPSTSSRPLPALLGGGCAGLARSRGVMVWRPRPARTTARSALSASLDGMGAGDAEFLRRIEELAAAAGVQPAGAAGCGWPASVERSASSVGLPLSLRMLKRKKKQQQQRQVVARQSRWDEGLLGSAGESVGRAFSSMVLIVRELQSFALQQMRDALLCDDLQGVLAHVQGEMHASFVWLFQHIFAGTPALMLSLMLLLANFTVHSMGHSVAAAAATIPPAPPAVAAAAAVVDNQRAEPSRPRFDKVSVKTFSVGRTASVGGNSGGGGKAPPVAGATGDGWSDESLYRLSRVAPQQPSTPAGAGLGEAAPDASDEDEQAIWEMMVTEASKMQASARAEELSDPDVLGSLVAPVEAELETEDQAEHVRTRQRYEQAVADEPNNSLILANFAQFLYLVQNDHDRAEHYFELAVRAEPADAEALSQYATFLWKARDNLAGAEDTYQEAIAADPGNAHHAAAYAHFLWNTGACAGAATRRDG >KQL13320 pep chromosome:Setaria_italica_v2.0:III:3782171:3787543:-1 gene:SETIT_021717mg transcript:KQL13320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTKEEEGGEAWESRLLRRRTPAGSPTPPAGTAPSPPPSQRGKRERPGSSRGRKRARATGREDEKDAEAAAASASPPAGHASSGASSGTSSPLRWPELPRAVLGEDSYGEQILEPFHEIDPAIVRAHGKLKTKYFAKLDRQLKLVSLDQRIPSSCMVDPRLLSVRKAATNSILQIAKVTVGLSSYIDDKMLGRTSGFLIDWDVENRIGTVLTSALVIQSKSPSLDEWSATDEYATHAEVRVHFMDKAATTVVANLLHYDKHYNLALFKVSMDLSAQIPSFTSELKFAEEVFVLGRDEGRYLTIDHGNVAYEGPSRLQRHHYMFITRRINKLGIGGPVINHGGQVAGMYSHPGLAFTPSSIILRCLQMWKSFNCILRLHVGMKFSAISLLDLPHREVIACKCDIDDGLIVTQVSEGSIAEKLGVRHGDIIKSWNGENISTTIELENFLLDMCEKHLDKGNSIGSSVDLSIGIFHTRKGKHGTIKMTVNVSDDVEVIAEGTYPVTTADCTSGDDDDSGIIQGYSAMMKLAMTTV >KQL13318 pep chromosome:Setaria_italica_v2.0:III:3782171:3787543:-1 gene:SETIT_021717mg transcript:KQL13318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTKEEEGGEAWESRLLRRRTPAGSPTPPAGTAPSPPPSQRGKRERPGSSRGRKRARATGREDEKDAEAAAASASPPAGHASSGASSGTSSPLRWPELPRAVLGEDSYGEQILEPFHEIDPAIVRAHGKLKTKYFAKLDRQLKLVSLDQRIPSSCMVDPRLLSVRKAATNSILQIAKVTVGLSSYIDDKMLGRTSGFLIDWDVENRIGTVLTSALVIQSKSPSLDEWSATDEYATHAEVRVHFMDKAATTVVANLLHYDKHYNLALFKVSMDLSAQIPSFTSELKFAEEVFVLGRDEGRYLTIDHGNVAYEGPSRLQRHHYMFITRRINKLGIGGPVINHGGQVAGMYSHPGLAFTPSSIILRCLQMWKSFNCILRLHVGMKFSAISLLDLPHREVIACKCDIDDGLIVTQVSEGSIAEKLGVRHGDIIKSWNGENISTTIEIGIFHTRKGKHGTIKMTVNVSDDVEVIAEGTYPVTTADCTSGDDDDSGIIQGECLFAFSC >KQL13319 pep chromosome:Setaria_italica_v2.0:III:3782837:3787446:-1 gene:SETIT_021717mg transcript:KQL13319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTKEEEGGEAWESRLLRRRTPAGSPTPPAGTAPSPPPSQRGKRERPGSSRGRKRARATGREDEKDAEAAAASASPPAGHASSGASSGTSSPLRWPELPRAVLGEDSYGEQILEPFHEIDPAIVRAHGKLKTKYFAKLDRQLKLVSLDQRIPSSCMVDPRLLSVRKAATNSILQIAKVTVGLSSYIDDKMLGRTSGFLIDWDVENRIGTVLTSALVIQSKSPSLDEWSATDEYATHAEVRVHFMDKAATTVVANLLHYDKHYNLALFKVSMDLSAQIPSFTSELKFAEEVFVLGRDEGRYLTIDHGNVAYEGPSRLQRHHYMFITRRINKLGIGGPVINHGGQVAGMYSHPGLAFTPSSIILRCLQMWKSFNCILRLHVGMKFSAISLLDLPHREVIACKCDIDDGLIVTQVSEGSIAEKLGVRHGDIIKSWNGENISTTIELENFLLDMCEKHLDKGNSIGSSVDLSIGIFHTRKGKHGTIKMTVNVSDDVEVIAEGTYPVTTADCTSGDDDDSGIIQGECLFAFSC >KQL15879 pep chromosome:Setaria_italica_v2.0:III:24959272:24960209:1 gene:SETIT_023913mg transcript:KQL15879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNRKTSWPEVEGLPAEVAKQKILADRADVKVVVLPDGSFVTTDFDIKRVRVFVDRAGIVAKVPKVG >KQL16788 pep chromosome:Setaria_italica_v2.0:III:45081260:45088156:1 gene:SETIT_021237mg transcript:KQL16788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGASRRSLCAAAAARSRAAAGAASAVSTDAAASVPPLPANNGAPGAPQQQKRLLSVLAAPKVSGTSNVASLKLMDGALIGRRYDSSAAAVDSTDMPPAEKHEYQAEVNRLMDLIVHSLYSNKEVFLRELVSNASDALDKLRYLSVTDPELLKDGPELDIRIQTDKDNGIVTITDSGIGMTKQELVDSLGTIASSGTAKFLKALKESQEAGMDSNLIGQFGVGFYSAFLVADKVVVSTKSPKSDKQYVWEGEADSSSYTVREEKDPEKLIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGFTKEVEVDEDPAETKKEGDAEAQTEKKKKTKTVLEKYWDWELTNETQPIWLRNPKEVSTEEYNEFFKKTFNEYLDPLASSHFTTEGEVEFRSILFVPATKKEDITDRKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISCSENRDDYERFWENYGKFLKLGAMEDKENHKRIAPLLRFFSSQSNDELISLDEYVENMKPEQKDIFFVAADSLSSAKNAPFLEKLTEKEYEVLLLVDPMDEVAIQNLASYKDKKFVDISKEDLDLGDKNEEREKEIKQEFSQTCDWIKKRLGDKVARVDISNRLSSSPCVLVAAKFGWSANMERLMRAQSMGDASSLDFMRSRKVFEINPEHEIIKGLNVACRSNPDDPEALKVLDVLFETSMISSGFTPDNPAELSGKIYDMMSSAIAGKWSSQSQAPFPGHDAPHVEPSFSQHAAAPHVEPDTVEAEVVEPEPVESGQQK >KQL16230 pep chromosome:Setaria_italica_v2.0:III:34811798:34824036:1 gene:SETIT_021080mg transcript:KQL16230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSLLQTTAYWALWLDDTEGFYRDIIAGPTIHDVDVCCSVWLIRNDYVLRVRRAHLANSTAPDFSLPRACASLPPSAPQFPVPTPLCSLLPASAMVAFSPSPPSRWPLPPRFLALPILLCLGCLAAPASVRAAGVLRQVVVGGGGGGTFFEPFNVTYDHRAVILGGERRMLVSAGLHYPRATPEMWPSLIAKCKEGGADVIETYIFWNGHEPVKGQYYFEGRFDIVKFAKLVAAEGLFLFLRIGPYACAEWNFGGFPVWLRDIPGIEFRTDNEPYKAEMQTFVTKIVNIMKEEKLYSWQGGPIILQQIENEYGNIQGRYGQAGKRYMLWAAQMALALDTGVPWVMCRQTDAPEQILDTCNAFYCDGFKPNSYNKPTIWTEDWDGWYADWGEPLPHRPVQDSAFAVARFYQRGGSLQNYYMYFGGTNFERTAGGPRQITSYDYDAPIDEYGILRQPKWGHLKDLHAAIKLCEPALTAVDGSPQYVKLGPMQEAHVYSSAKVHTNGSISGNGQICSAFLANIDEHKYVSVWIFGKSYSLPPWSVSILPDCENVAFNTARVGTQTSIFTVESGSPSYSSRHKRRSLPLIGGPYLSSTWWTSKEPIGKWGEGSFAAQGILEHLNVTKDISDYLSYTTSVNISDEDVAYWNSKGVLPSITIDQIRDVARVFVNGKLAGSKVGHWVSLNQPVQLVQGPNELTLLSEIVGLQNYGAFLEKDGAGFRGQVKLTGLSNGDIDLTNSLWTYQIGLKGEFSRIYSSENQGYAKWSSMQNDDKQTPFTWFKTMFDAPEGNDPVAIGLGSMGKGQAWVNGHLIGRYWSIVAPESGCPSSCNYAGAYSDSKCRSNCGMASQSWYHIPREWLQESGNLLVLFEETGGDPFQISLEAHYTKTICSKISETYYPPLYSWSRAANGRASVNTAAPELHLQCDEGHVISKITFASYGTPSGSCQNFSVGNCHASTTLDLVTEACVGQNKCSISVTNDVFGDPCRKVVKDLAVEAECSPPLATKESRDDM >KQL15517 pep chromosome:Setaria_italica_v2.0:III:21067949:21072500:-1 gene:SETIT_022878mg transcript:KQL15517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLSNFVPILFGSKQLCSELERIQDALSGSSDSSLFGELPGAPCDPCERRKLQMAAMSGFLTDIGWLIRKPAPDEFKNVLSSTNIQRWVCILKFLIRNDFMNVLEIIVKSMDNIMGSEVLSNLEKGRLEDHVTAFLGYVSHARNIIDCRANNDKETQLETRLISIDSPNQRSLGTSVPLANENAGAGGDNNMHSANAAYEEENVPLVTRDVSHSLPCSFPPPWSRSACISSKEVLVQ >KQL15516 pep chromosome:Setaria_italica_v2.0:III:21069075:21071015:-1 gene:SETIT_022878mg transcript:KQL15516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLSNFVPILFGSKQLCSELERIQDALSGSSDSSLFGELPGAPCDPCERRKLQMAAMSGFLTDIGWLIRKPAPDEFKNVLSSTNIQRWVCILKFLIRNDFMNVLEIIVKSMDNIMGSEVLSNLEKGRLEDHVTAFLGYVSHARNIIDCRANNDKETQLETRLISIDSPNQRSLGTSVPLANENAGAGGDNNMHSANAAYEEENVPLVTRDVSHRYCCQPDMNARWLNPSLVVKYPGGATRMRLGMTLVIATVLCFTACLVLFHPHGVGVLASPVKRYLSSDSTS >KQL15950 pep chromosome:Setaria_italica_v2.0:III:25988748:25992753:1 gene:SETIT_021663mg transcript:KQL15950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSTPPPEPAAGDDDMESLPLAAASDYASVASTFDPLLSSASAASPRSPRSPPARAAAFTLSPTSSSSFVDPPSYADATGAGAGSSSPRSASSPSSASPRSARAAASEYSLLAVSDPETEAEPAATSLVPGSAPTYVSYLVTSVRRGDPAQRRHAVRRRFRDFVTLADRLAEAFRGHFVPPRPDKNTVESQVMQKDEFVAQRRAALERYLWRLAEHPAIGPSDELRVFLQTEGKMPLPGSTDVASRMLDGAARLPRQLIAGEEAVAAPQDVVQPAKGGRDLLRIFKELKQSVVTDWGGVKPPLVEEDRDFLEKKGKLQEWEQHLTSASQQAEALVKAQQDMGETMGALGLAFVRLTKFETEEAMYDSQRIRAADSRCVATAAVKASRACRDLNAQTVKYLDTLHEHLSIMLSVHTALSDRASALLTVQTLMSDLASLQSRIEKLEAASSKIFGGDRARIRRVEELRETIRATEDAKFCALREYERIKENNRSELQRLDTERKEDFLAMLKGFVASQAAYAEKIVDGWQTVAEETSGYARGSDNAILGS >KQL13801 pep chromosome:Setaria_italica_v2.0:III:6812236:6812858:1 gene:SETIT_025659mg transcript:KQL13801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHKQTRPPKLALTQKAVPKTGKHCNLIQSIFNQLDSFYIYAHAYLIVVRQIHQSCSASQLDKK >KQL13747 pep chromosome:Setaria_italica_v2.0:III:6455720:6458915:1 gene:SETIT_023153mg transcript:KQL13747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRSTTSTPRGLLLALLFLLCSYLLTFAAAYRPGDIVPMFRAGQYHGSRSVWFDVVGRHCPAFAVNREVLMPIPKPTGFTGADPYKITFQIGHEKFHIPWLYVINRKTSEVPMIDFHLKYSGNDLLGVTAKVVDMPHHYVEVHPDIKKNFWDLQNWPKYVLVRYTWEEQSEIDVAGGFYVLFGSGLVLSFILAIYVLQSSQEKLTRFVREAVADSSLPEGGVAKVE >KQL14560 pep chromosome:Setaria_italica_v2.0:III:12003883:12004337:1 gene:SETIT_025587mg transcript:KQL14560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTMDFTSLSVMLTKSISVRIATWHTFQKHVTNCNKYRKMTL >KQL14938 pep chromosome:Setaria_italica_v2.0:III:14971593:14975326:1 gene:SETIT_021787mg transcript:KQL14938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTESRKLSDDYEVVDVLGRGGFSIVRRGVSKSEGKTQVAIKTLRRLGPAMMGTKQGSKGGLPMWKQVSISDALLTNEILIMRRIVENVAPHPNVIGLHDVYEDVHGVHLILELCSGGELFDRIVGRDRYSEFDAAAVVRQIARGLEALHKANIIHRDLKPENCLFSDKNEDSTLKIMDFGLSSVEDFSDPIVTLFGSIDYVSPEALSRQDVSAASDMWSVGVILYILLSGCPPFHAATNREKQQRILQGEFSFQDHTWKTISSSAKELISSLLSVEPYKRPTASDLLRHPWVIGDCAKQDLMDAEVVSKLQRFNARRKLRAAAIASVLSSKVALRTKRLRNLLGTHDLSSEELDNLRVHFARICADGENATLEEFEQVLKAMKMDALVPLAPRVFDLFDNNRDGTVDMREILCGLSSLRNSRGDDALRLCFQMYDADRSGCISKDELASMLRALPEDCLPGDITEPGKLDEVFDEMDANGDGKVTFDEFKAAMQKDSSLQDVVLSSLRPVQ >KQL13325 pep chromosome:Setaria_italica_v2.0:III:3811602:3813306:-1 gene:SETIT_022267mg transcript:KQL13325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKNARGIVSRFSAGIDVQKLCSTAIQRADEWLSSPDLHLRDSLPAACRFKFVDITPSSLVVILKETSSSDTIKGYQLWYWNSREQPSAEKPVIVPKDERKILVFNLSPCTEYSFRVISFTEDGVLGHSESRCRTNSKEIFFKRATQNAGGTHTQKRDRSQSFKSTGFSIQGLWKRMQETWGEEGSFEGLCEDTHEGSWSRSATDTELSGACRKLHFNASSVPDLNVGVPVAMDYTTAKHYHSKKGLVRSNDSGDSETCAVGRSAEPPAVESRPVGKVNSAHIDRCEQNGASAICHKKQLSGTTRQLDGDYEHCVKVIRQLECDGHIENGFRMKFLTWYSLRSTDQERRAVTTFIKTLSEEPSSLAEQLIDSFGEIINCKKARTGYCNKLWH >KQL12673 pep chromosome:Setaria_italica_v2.0:III:322251:323681:1 gene:SETIT_022215mg transcript:KQL12673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSGSDPAADSQPPPPIHHLPPDALHNLRDAVVCRPVSRLFHDTLSPQFLALLPTLRLLLLRHPRPEGGGCLHAFDPARRHWLRLPFAHFLPYHSFSPVASSTSLLYLWVETSTSPSPPAPLPSASSSSTAAAHPPKSLAVCNPFAGTYRLLPPLGSAWARHGTVLAGPGGTVLVLTELAALSYTPSGSGKWMKHPLSLPSKPRSPILASAAAAVFALCDVGTPWRSQWKLFSCPLATLTGGWAPVERAAWGDVFEILKRPRLLAGAGGRRVLMIGGLRSSFAMDAPCSTVLILRLDLATMVWDEAGRMPPNMYRCFTGLCEAASQGNSMPTAAAGGNNKVKVFGGDGKVWFAGKRVRGKLAMWEEDETGISGGKWDWVDGVPGYSDGVYGGFVFDGGFTAIP >KQL12675 pep chromosome:Setaria_italica_v2.0:III:327537:327959:-1 gene:SETIT_025717mg transcript:KQL12675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQQLQTGGSGRGRLLSTIVSSGSGPTCSASLEPSGGGGVRKMLYCSTAC >KQL16610 pep chromosome:Setaria_italica_v2.0:III:42883557:42885080:1 gene:SETIT_023680mg transcript:KQL16610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDREQKVLLYACRNCDHQEVADNNCVYRNVVHHSAGEFTQVLQDVAGDPTLPRTKDVRCAVCGHGEAVFFQATVRGEEGMTLFFVCCNLSCGNRWRE >KQL17127 pep chromosome:Setaria_italica_v2.0:III:48454904:48455062:1 gene:SETIT_025446mg transcript:KQL17127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNFASSDVVLISLLLLCSFHTKFSIHFLYGGYY >KQL12628 pep chromosome:Setaria_italica_v2.0:III:109054:110216:1 gene:SETIT_023668mg transcript:KQL12628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCVHNAAARAALLLTLTQAGQSLGEQRQQDSSGFAGSWCNSARETESGYCECSLWSENCLPFVITVIDLLLARWRHHGMELSCTSVWAGPQDTQARRRQHQEKRHRTYSKQELVLSSS >KQL15625 pep chromosome:Setaria_italica_v2.0:III:21968666:21975079:-1 gene:SETIT_024448mg transcript:KQL15625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTDIGVSAGINILSALGFLLAFAVLRIQPINDRVYFPKWYLKGTRSSPRNLGTVFSKFVNADMSTYIQFLNWMPAALKMPEPELIEHAGLDSAVYVRIYLLGLKIFVPITLLAFAVLVPVNWTSGTLESEKGLSYDQIDKLSISNLGKGSKRFWAHIAMCYVFTIWTFFVLFHEYKVVTTMRLRFLANQNRRPDQYTVLVRNVPPDPDESVSEHVEHFFAVNHRDNYLSHQIVYNANHLSGLVEKKKGLQNWLIYYENKHAKNPAKRQTMKTGLWGLWGQRVDAIEYYKNEIEELCKQEDQERQKVITDPNSIMPAAFVSFKTRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVFWTNLAIPYVELSVRRLIIAVSFFFLTFFFMVPIAIVQSLANLDDIEKVLPFLKPIIERNSLKPVIQGFLPGIVLKIFLILLPTILMAMSKIEGHTSLSGLDRKTAMKYYIFLFVNVFLGSVITGTAFQQLDKFIHQSANKIPEVVGESIPMKATFFMTYIMVDGWAGIAAEVLRLKPLVMFHIKNAFLVRTEQDREQAMDPGSLDFYNYEPRLQLYFLLGLVYAVVTPMLLPFIIVFFSLAYLVFRHQIINVYNQQYESGAQFWPDVHMRLIIALIVSQILLLGLLSTQEAEKSTVALLPLPVLSIWFHYVCKGRFEPAFVKFPLQDAMVKDTLERAHDPTLNLRDYLKGAYVHPVFQKNDIYQLVAIDEEEKNPLVVTKRQPRMTTPGGSKFNSSSGTNEGEFSRIPPP >KQL16004 pep chromosome:Setaria_italica_v2.0:III:26806123:26808250:1 gene:SETIT_024907mg transcript:KQL16004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLCGVAGVGRTAAPFDGGPREEWPAAPANGRLLHREKLLNDEFYIGLRQKRATGKEYHELIEEFMSAVVQIYGEKVLIQFEDFANHNDFDLLEKYSKSHLVFNDDIQGTAPVVLAGLLASLKVVGGTLAEHTYLFLGAGEAGTGIAELIALQISKQVKVKKFMVDVRSINSLDTCPSHELSQDNNLNETSQARQDLAEGSHCLSKGSSHQW >KQL12895 pep chromosome:Setaria_italica_v2.0:III:1504764:1505065:1 gene:SETIT_025423mg transcript:KQL12895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKGRERERNTYTCAAAIYYRKTRANTANSISHLA >KQL12725 pep chromosome:Setaria_italica_v2.0:III:616194:617782:1 gene:SETIT_022119mg transcript:KQL12725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRHLCCLSTLLLLLGLASGQVLFQGFNWESWKQSGGWYNLLMGKVDDIAAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASKYGTAAELKSLIAAFHAKGVQAVADIVINHRCADYKDSRGIYCIFEGGTSDSRLDWGPHMICRDDTQYSDGTANLDTGADFAAAPDIDHLNDRVQRELTEWLLWLKSDIGFDAWRLDFARGYSAEVAKVYVDGTAPSFAVAEIWNNMVPGDDGKPAYDQDPHRQTLVDWVDNVGGAASPATVFDFTTKGILNAAVEGELWRLVDAQGKAPGVIGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGNPCIVILRPFLRLGFQGRDRSTGSGAEAQRHHADEQADDPRARR >KQL12726 pep chromosome:Setaria_italica_v2.0:III:616165:618157:1 gene:SETIT_022119mg transcript:KQL12726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRHLCCLSTLLLLLGLASGQVLFQGFNWESWKQSGGWYNLLMGKVDDIAAAGVTHVWLPPPSHSVSTQGYMPGRLYDLDASKYGTAAELKSLIAAFHAKGVQAVADIVINHRCADYKDSRGIYCIFEGGTSDSRLDWGPHMICRDDTQYSDGTANLDTGADFAAAPDIDHLNDRVQRELTEWLLWLKSDIGFDAWRLDFARGYSAEVAKVYVDGTAPSFAVAEIWNNMVPGDDGKPAYDQDPHRQTLVDWVDNVGGAASPATVFDFTTKGILNAAVEGELWRLVDAQGKAPGVIGWWPAKAVTFVDNHDTGSTQAMWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIAALVAVRKRNGITPTSKLTILEHDGDAYVAEIDGKVIMKIGSRLDVGHLIPAGFEVAAHGNDYAVWENGAA >KQL13826 pep chromosome:Setaria_italica_v2.0:III:6988813:6994353:-1 gene:SETIT_021202mg transcript:KQL13826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQSDLASFPFRVTEGPDGFPLVHVRFLGEERTFTPTQLLAMVLSNLKGIAEGNLNAAVVDCCIGIPVYFSDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPEHEQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHAYDRSLGGRDFDEALFKHFAAKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKREEFEQISAPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNDGFPFSIALSWKPDSQDGSPQQTVVFPKGNAIPSVKALTFYRSSTFEVDVLYVDTGDSQISQKISTYTIGPFQPSKGEKAKLKVKVRLNIHGIVTVDSALMLEEDDVEVPVSSVNEAPKDATKMDTDDAPSDPSSGTDVNMQEPKSADTTEGAAAAENGAQDPEEKSVPMETDAKVEPSKKKVKKTAVPVHELVYGALPAAELEKAVEKEYEMALQDRIMEETKEKKNAVEAYVYDMRNKLYDKYNDFVTPEEKEGLISRLQEVEDWLYEDGEDETKGVYIAKLEELKKIGDPIETRYKEWTERGSAIDQLVYCINSFREAALSNDQKFEHIDISEKQKVINECSEAENWLRERKQQQDALPKHANPVVLVSDLKKKAETLDRFCKPTMTKPKPAPKPQTPPPQTPPSQPETQAPEPQTPEQQQGGAGAGGEPTSEGGAQEASGEQMDTDKPDNSAEA >KQL14344 pep chromosome:Setaria_italica_v2.0:III:10682570:10683826:-1 gene:SETIT_023172mg transcript:KQL14344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPNTSFATTSKAQKYWHYGGAETEDLIKASVRPLVCRCPFALSFDPSSRPPLQAPPPPRPRGRNPARLGAMANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGSCWQHID >KQL14343 pep chromosome:Setaria_italica_v2.0:III:10680515:10683826:-1 gene:SETIT_023172mg transcript:KQL14343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPNTSFATTSKAQKYWHYGGAETEDLIKASVRPLVCRCPFALSFDPSSRPPLQAPPPPRPRGRNPARLGAMANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLRHC >KQL14342 pep chromosome:Setaria_italica_v2.0:III:10680817:10683826:-1 gene:SETIT_023172mg transcript:KQL14342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPNTSFATTSKAQKYWHYGGAETEDLIKASVRPLVCRCPFALSFDPSSRPPLQAPPPPRPRGRNPARLGAMANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKSNEAEAVETAKEWTRLYASGA >KQL13987 pep chromosome:Setaria_italica_v2.0:III:8090887:8095254:1 gene:SETIT_021413mg transcript:KQL13987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGFCTDAAGHPFTRTANSTFTLHFDRRDDSMNITATVPEKMLQIQGVTRLVQATNDEKDLRVYLSFAQPVLNSSQEILTALTATGAILTPTKRSTLGNRRFGYVVNKISDTAIVTLALDASSIISRQGTPVSSAEPFTFLYDTHRPSVKLCTSTWRTSSRDIQVLVKFAEPMFNFSSSSVQVSGGNVLSFHEASKSMYALRVQAVDKLVSVQVAENAAQDVAGNPSLASDRLEVRHYSVPASSSSIAAITTIIFVATAVVATLLTVSTSSLLASGAIPRPCSYMISEPSRNLLRMACHIQIFALSRWLSVNLPIEYYEFAKGIEWSIPYMRLPWEGPGADPFLGYSTMPAIAFSELLDRSAVGAANISYPRAQGQPVMPTQIPSDPVLPTELPGDGSPIMPMQTPGDATPVMPMQIPLDGTPLTAMEYRSFFENPDMKPEAQIIMKLQDLDGWKYFGRNMFWLGVIGGGLILLHLLTLLYFKLRYRGREGRHGHGALVLPRLEIMVAVLAAPCIAQAAAAVIRGGTAGRLAAGIALTGLLTALLVGLLLFLSLGITMGRLLQYKEVHREGQEYHWYQELVRRTLGPGKRGQWTWKDPRRAAWLVKLGPLFEDLRGPPKSMLTQIGGGGGGGGKRAGGGAGGGGGGGRIMETRTRTRRRP >KQL13787 pep chromosome:Setaria_italica_v2.0:III:6736578:6739259:1 gene:SETIT_024509mg transcript:KQL13787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKAIAALHLLQLQTVTPVLLADTNLAWPGCISKCGEVSVPYPFGVGAGCYRKGFELTCNETYNPPKLFLDLDGTGAEVLNISLHHGKLYVDNGIVRLSGRDSYNMTWGIPLDGSIFSVSPFWNNFVIMGCGFEFRVSQPDVDNMIVRCTSSCLLGRPAVATDGVCSGVGCCQASMPGAGNMYSIKLASYTAVEDITMPGQPFNATLVMVANEWWETDNHSMLLQKAVSESDGLATSAGPVQTKAVVKWNFSNSSCADAQSSDDFGCLSYNSYCHDHWTGESSGHICRCSNGYEGNPYIPNGCQDIDECAHPDIYQCLGHCINTDGSYNCICPHGTSGDPQKPHGCIKATEKFSGLAVATGFGSGALLLLLTFSAILVRGKLRAQKAKQLRDFFFRKNRGLLLQQLVDKDIAERMIFSLEELEKATNTFDEDRKIGNGGHGTVYKGILSDQRVVAIKRSTRAIQSETDNFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYEHLHVTSSLSLSWRERLRIALEVARSLAYLHSAASVSIIHRDIKATNILLDDNLTAKVSDFGASRGIPIDQTRVTTAIQGTFGYLDPECYNTRKLTEKSDVYSFGVMLVELLTREKPHIYMSPAGYSLVEQFLLLHNQGKLSEILDPQVAREGDEDAREVAEVAALCISSSGKDRPMMKQVEMRLEALQSASTNIGNNPRTEVHVVNIPSAGQGSGDTDGTRRFSMEREILLSMEFPR >KQL15138 pep chromosome:Setaria_italica_v2.0:III:17330809:17331261:-1 gene:SETIT_025418mg transcript:KQL15138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKLPARNSLPELLPPVFAALILCLQIHRAYPSSIP >KQL12847 pep chromosome:Setaria_italica_v2.0:III:1205667:1207737:1 gene:SETIT_022856mg transcript:KQL12847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISRAIRACAAASRRSLSASSAAAVPKDAAAAGVRAAAAAAVAGHKGRDREDGRRVQWVFLGCPGVGKGTYASRLSQLLDVPHIATGDLVRDALASPGPFSKQLAEIVNHGKLVSDEIIINLLSRRLEEGEEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALVAKCLGRRMCSQCGGNFNVASIDIEGENGGPRMYMPPLLPPPQCESKLITRPDDTEEVVKERLRVYHDLVGSHGLRLPFESFSLLSMFILISIYAI >KQL12848 pep chromosome:Setaria_italica_v2.0:III:1205667:1208443:1 gene:SETIT_022856mg transcript:KQL12848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISRAIRACAAASRRSLSASSAAAVPKDAAAAGVRAAAAAAVAGHKGRDREDGRRVQWVFLGCPGVGKGTYASRLSQLLDVPHIATGDLVRDALASPGPFSKQLAEIVNHGKLVSDEIIINLLSRRLEEGEEKGELGFILDGFPRTIRQAEILEGVTDIDLVINLKLREEALVAKCLGRRMCSQCGGNFNVASIDIEGENGGPRMYMPPLLPPPQCESKLITRPDDTEEVVKERLRVYHDLSEPVEEFYRARGKLLEFNLPGGIPESWPKLLRALNIEDPDNERSAAA >KQL14916 pep chromosome:Setaria_italica_v2.0:III:14849495:14851533:-1 gene:SETIT_022626mg transcript:KQL14916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYASPGTDTSTDLDTDEKNQMLELGQLASLTASGSGDKSNDKLGQKALRRLAQNREAARKSRLRKKAYVEQLENSRLKLAQLEQELQRARKQGIFIPTPGDQPHSTSENALAFDMGYARWQEDHKKQIDELRTALNAHASDDDLRRITDSIMAHYCEAFRLKGAAAKADAFHVLSAMWKTPVERCFLWLGGFRPSELLKLLASHLEPLTEQQLASICNLQQSSQQAEEDLSQGVKALQQSVAKTLASGPLCPAGSSGSAADCSGQMAVAIGELGTLVNFLEEADNLRLQTLQQMQRILTTRQSARALLAISDYSSRLRALSSLWIARPRE >KQL14268 pep chromosome:Setaria_italica_v2.0:III:10033669:10034639:-1 gene:SETIT_025687mg transcript:KQL14268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGGRSKELRWMDSDSDDDLSDEELPEASPTPFRDALLRPSPSASPTLVDDDGLQPQVRLATSRLQIRNAAEGCSRPRRDPPPAGRRRRGKRPQPQLVHGLPYRQRDDRRPLPPRSRGRRVDAVSFPGHDRRRRLDPNVATRCILADDTTICDDCLPELGLSSAAAVDCEERPRHS >KQL13785 pep chromosome:Setaria_italica_v2.0:III:6718788:6723127:1 gene:SETIT_023259mg transcript:KQL13785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSSAAGSYGGGVLPLASLNHISIVCRSVEESLSFYTDVLGFVPIRRPGSFDFDGAWLFNYGIGIHLLQSEDPGSLPEKREINPKDNHISFQCESMAAVERRLKEMGIPYVQRCVEEGGINVDQIFFHDPDGFMIEICNCDNLPVIPLAGGDRAPVLGACKRAVVMQQQQGSAVPSAPAAAAQCVPSAAATQAIRVGEEAHISCA >KQL13784 pep chromosome:Setaria_italica_v2.0:III:6719360:6721862:1 gene:SETIT_023259mg transcript:KQL13784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNATNNCRLFNYGIGIHLLQSEDPGSLPEKREINPKDNHISFQCESMAAVERRLKEMGIPYVQRCVEEGGINVDQIFFHDPDGFMIEICNCDNLPVIPLAGGDRAPVLGACKRAVVMQQQQGSAVPSAPAAAAQCVPSAAATQAIRVGEEAHISCA >KQL17356 pep chromosome:Setaria_italica_v2.0:III:49929225:49930006:-1 gene:SETIT_025296mg transcript:KQL17356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKLVALFLAFAVAAAALQPSEAARVQAQQGFKPAVASQEAEKVAAQADGGVPSAPTLPGLPAGQLPPGLLPAILGLLFPPLGSIISMIQPLLPPPGSPSQQGGVLGGILPGTSPSPPAPAECMTPLSAMMPCTDYLTNMTVLTPPGQCCDGLKTIIRDAPICLCHGMTGGLNQFLPKPVDHLRMTALPLACGTVLPIQTLFMCNSNQVPPIMPPTTAEPLMTPATP >KQL16353 pep chromosome:Setaria_italica_v2.0:III:38102015:38102203:-1 gene:SETIT_025292mg transcript:KQL16353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVACGKRAIANWSFKYHLIHICQVWDMLASIGACSPSRFVDVVYELLEVKEKIFWRVHLF >KQL13034 pep chromosome:Setaria_italica_v2.0:III:2230732:2234478:1 gene:SETIT_021163mg transcript:KQL13034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAATVTLGGKGAALSPAAVYALSLGLASPAIDASALKPLSTRAPSPQETPASLGAALAALAPAESRVAAAVLLNKLLLTASDSSSALVTAATATRLAESLDVAAALPLGSRDEAAVAAASAPVAVALAALIDCCATPLARVADAVAALSCEAARGDAASFDVPASGDGLSAKDEADVAADIKMLVFGSKLVGSAGGAPAPAAATFAKVPTVNGIFREAVRALHARVRIELNAPVKLGKRDAGETGAGKEEALVVLATQLARAVQALCKMSVARARLCAESIADAELREKLTGGVSIDDLKGMLDRVLIDSDAVSVLKGVYNHLLKFRDFLAWEAAVAMAVIEGDSSIEKPQAAVENEVGSSAEKPQAGGEKAKGDKKSKKKKTLGKGTSAVLMLLKDHLNNGGAIASVNSALVAEWGTSLSLLFDPKCPGLECLVEKVKEIVESNEVRRLPKIPKGTRDFGKEQMAIRERAFSIITGVFKMHGATALDTPVFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNNISALKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGVYEPMEPDFEVIKVLTELLNQLDIGTYEIKLNHRKLLDGMLEICGVPAQKFRTVCSSIDKLDKQTFEQVKKELVDEKGISNETADEIGNLVKTRGHPLEVLLELRKEGSKFMQNEGSVVALNELEILFKALEKANALDRIVFDLSLARGLDYYTGVIYEAVFKGATQVGSIAAGGRYDNLVGMFSGKQIPAVGVSLGIERVFAIMEQQEKERNETIRATETEVLVSILGKDLTLAAELVSELWSAGIKAEFKLTTRVTNHIKYALQSGIPWMVLVGESEMQKGSVKLKDVKANQEEEVDRKDFVQELKKRLSKP >KQL13062 pep chromosome:Setaria_italica_v2.0:III:2355819:2357065:-1 gene:SETIT_023188mg transcript:KQL13062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPKVYGWAISPFVSRALLALEEAGVDYELVPMSRPDGDHRRPEHLARNPFGQVPVLEDGDLTLFESRAIARHVFRKHKPELLGAGSLEHSAMVDVWLEVEAHQLHPAAGGVVVECVFAPLLGRARNQAAIDENLGKLKKVLEVYEARLSQSRYLAGDFLSVADLSHFTIMHYFMGTEYAAVVEALPHVRAWWEELAARPAARKVAEFMPLGAGLAKKDE >KQL13063 pep chromosome:Setaria_italica_v2.0:III:2355998:2356631:-1 gene:SETIT_023188mg transcript:KQL13063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLMILCLLQPFGQVPVLEDGDLTLFESRAIARHVFRKHKPELLGAGSLEHSAMVDVWLEVEAHQLHPAAGGVVVECVFAPLLGRARNQAAIDENLGKLKKVLEVYEARLSQSRYLAGDFLSVADLSHFTIMHYFMGTEYAAVVEALPHVRAWWEELAARPAARKVAEFMPLGAGLAKKDE >KQL15017 pep chromosome:Setaria_italica_v2.0:III:15695669:15699086:-1 gene:SETIT_021126mg transcript:KQL15017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAPRLLLLLSALLLLAAAAGAAAAATVSDDVLALVVLKSGLSDPAGRLAPWSEDADRACSWPGVSCDPRTGRVAALELPAASLAGRLPRSALLRLDALVSLALPGNRLSGALPDALPPRLRALDLSGNAISSGIPASLASCESLVSLNLSRNQLTGPVPDGIWSLPSLRSVDFSGNLLSGSVPGGFPRSSSLRVVDLSRNLLEGEIPADVGEAGLLKLLDFGHNSLTGGLPESLRGLSGLSSLGAGGNALSGELPAWIGEMAALERLDLSGNHFVGDIPDAISACRNLIEVDLSRNKLTGELPWWVFGLALQRASLAGNALSGWVKVPSDAAAALEGLDLSSNAFTGAIPPEIATLARLQHLNLSLNSLSGQLPASIGRMLLLEVLDVSANKLDGVVPLEIGGAVVLRELLMGRNSLTGGIPVQIGTCNSLITLDLSHNKLTGPIPMSMGNLTSLQTVDLSENMLNGTLPTELSDLASLRLFNVSHNLLSGSLPISRFFDSIPYSFISDNAGLCSSQKNSSCNGVMPKPIVFNPNSSSDPLSDVAPSYPNNQHQKKMILSISTLIAIVGGAVIVIGVVTITVLNRRARATAPRSALPTALSDDYHSQSAESPENETKSGKLVMFGRGSSDFSTDGHALLNKDCELGRGGFGTVYKAVLRDGQPVAIKKLTVSSLVKSEDDFKQHVKLLGKVRHHNIVTLRGFYWTSSLQLLIYEFMPAGSLHQHLHECSEESSLSWMERFDIIIGVARALVYLHRHGIIHFNLKSSNVLLDTNGEPRVGDYGLVNLLPMLDRYVLSSKIQSALGYMAPEFTCRTANVTEKCDVYSFGVLILEILTGRRPVEYLEDDIIVLSDLVRGAVEEDRLEDCMDPRLSGEFSMDEATLIIKLGLVCTSQVPSQRPDMSEVVSMLEMVRSPQGRYMMLRRGGKCGEKVF >KQL15908 pep chromosome:Setaria_italica_v2.0:III:25225069:25235887:-1 gene:SETIT_021028mg transcript:KQL15908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAIGSRRLTVLREFRPHGLAVEEADGEGAPGARPPQDYDYFLFDPSLAASPGPDPGDEASASGADGDHELFIRGNQIIWSNGSRVHKRYVSPNTVIMACWCRMNAISDALLCVLQVDTLSLYNVTGEVVSIPLPYAVSSIWPLPFGLLLQKSTDGGHMVSSSSSLLNARDLNRPNKEYGLNYNVSCQANTMETDSKANGAIISSHLILKHPLEEPQATYFEENHKLTMMKDFDEKTIWTSDTIPLMASYHKGKCQHSVWQIDGASYQEAMNGNTMLPVSCVISSHKCAFRKIWQGKCSQSAAKKKYRSIVCLKFHHIQVPYRIQGVIETLDNNKVFLFSLFHLLMFFILVMVFLATDIDGLPIICFLLHEQKILLAVRIQVDDTTEEAFGDIKPHMSWDITAFAAAPVVVTRPRVRVGVLPFTDILSLSSDNDLLLYSGKQCLCRYALPTELGKGFFSNYDLHSEISDTYSDLKITSIADAVEERINVTCSNGLMLRCSLRKNPSSSLVSDCITAMAEGLQSCFYSHFVSLFWGDSDASYLYSSSHADSEWEYFCYEIKRVCTKYGQTLPTKSPISPSKAWDFLINSKYHAQYCKRAPMSSNSFLPVSYGTHKTGFNPFLQDEHSSDMSFYIRFMRETLETLHALYENLKLNILRKEDLGCLASLLCVVASSLGEHTFVDYYCRDFPLNLIELPSLPSSTSLRTPPSLFRWFEYCLRHGCDSAKLEDIPTLMRKQKVSAVSWGRKVVSFYSLLLGAERKGKSLSSGVYCEVASGSARNTEELTVLAMVAEKFGRQQLDLLPVGVSLVLRHALDKCRDSPPDDWPATAYVLVGREDLAMAKMGSVRKDNGLWNNDNLTSMSVPYMLHLQPVTIPTTASDIPTSEVLNSEDSDSVSKSIEDGMEHIFTSTTQLRFGHDLRLNEVRRLLCSARPVAIQTPTNPSVSDQDLQQQQLWNFAQRTTALPFGRGAFTLATTYTLLTEVLVFPKLVLAGRLPAQQNATVNLDLSNRSVSEFKSWAEFHNGVAAGLRLAPFQEKMLRTWIQYNRPSEPNFTHAGLLLAFGLHEHLRVLTMTDAYRYLSQEHDITTLGLLLGLAASHRGTMDPAISKVLTSVCWMCTYAVITWV >KQL13904 pep chromosome:Setaria_italica_v2.0:III:7633504:7633808:-1 gene:SETIT_025560mg transcript:KQL13904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKRLNVKVLPFFHFYRGADGLLEAFSCSLAKV >KQL16125 pep chromosome:Setaria_italica_v2.0:III:30266302:30269331:1 gene:SETIT_022583mg transcript:KQL16125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFYLARSASKLVRRITSEVSVELKILSEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLARLPPPQNAAEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFVKMLAWCIAIVQSLLIISSRKHYSVDVVVAWLVHILLHCGNITMLLFPFVDVSFLDRYTVNLVVFFVDKKLTELPDRSAGSASILPVSTKDKDTKLKEESTRLLNGNSGDSADRRPRTQINGKHIENESHVDSESVKT >KQL16121 pep chromosome:Setaria_italica_v2.0:III:30266302:30269331:1 gene:SETIT_022583mg transcript:KQL16121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLARLPPPQNAAEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFVKMLAWCIAIVQSLLIISSRKHYSVDVVVAWYTVNLVVFFVDKKLTELPDRSAGSASILPVSTKDKDTKLKEESTRLLNGNSGDSADRRPRTQINGKHIENESHVDSESVKT >KQL16126 pep chromosome:Setaria_italica_v2.0:III:30266302:30269331:1 gene:SETIT_022583mg transcript:KQL16126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFYLARSASKLVRRITSEVSVELKILSEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLARLPPPQNAAEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFVKMLAWCIAIVQSLLIISSRKHYSVDVVVAWYTVNLVVFFVDKKLTELPDRSAGSASILPVSTKDKDTKLKEESTRLLNGNSGDSADRLKDVPEKEGIWDDTCFGA >KQL16124 pep chromosome:Setaria_italica_v2.0:III:30266302:30269331:1 gene:SETIT_022583mg transcript:KQL16124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFYLARSASKLVRRITSEVSVELKILSEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLARLPPPQNAAEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFVKMLAWCIAIVQSLLIISSRKHYSVDVVVAWLVHILLHCGNITMLLFPFVDVSFLDRYTVNLVVFFVDKKLTELPDRSAGSASILPVSTKDKDTKLKEESTRLLNGNSGDSADRV >KQL16122 pep chromosome:Setaria_italica_v2.0:III:30266397:30268573:1 gene:SETIT_022583mg transcript:KQL16122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFYLARSASKLVRRITSEVSVELKILSEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLARLPPPQNAAEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFVKMLAWCIAIVQSLLIISSRKHYSVDVVVAWLVHILLHCGNITMLLFPFVDVSFLDRYTVNLVVFFVDKKLTGEK >KQL16123 pep chromosome:Setaria_italica_v2.0:III:30266302:30269331:1 gene:SETIT_022583mg transcript:KQL16123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFYLARSASKLVRRITSEVSVELKILSEKWRLLLAGLVFQYIHGLAARGVHYLHRPGPTLQDLGFMILPELGKERGYISETLFTFIFLSFVLWTFHPFILQTKRFYTVLIWRRVLAFLCASQFLRIITFYSTQLPGPNYHCREGSPLARLPPPQNAAEVLLINFPRGVIYGCGDLIFSSHMIFTLVFVITYQKYGSIRFVKMLAWCIAIVQSLLIISSRKHYSVDVVVAWYTVNLVVFFVDKKLTELPDRSAGSASILPVSTKDKDTKLKEESTRLLNGNSGDSADRRPRTQINGKHIENESHVDSESVKT >KQL13600 pep chromosome:Setaria_italica_v2.0:III:5486451:5488355:-1 gene:SETIT_024269mg transcript:KQL13600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSGRRLVPPQGGVVRRGGRARRHRADRLSALPDEILLQVLAALGSTAAAARTTVLGRRWAGLWPELHVLAQAFRGVEPACTFRDVLAGGRRRPDTRHLVLHVSRRDDGVVTAAEVTSLLRAAEKHRPPELTLIVGGASEEDRRLPFELPCFATATYMELQIWRRSFTLPPAGEFTRLERLSISLCVVDPSVFLDRCPCLRKLVMDGYWEQDAVAVRSESLEEVVIKDLPLAGGGGGASRRVDVVAPLLKKVTLFSCGKRGLVMKFSDSSNNVENLSYKYYSMSSCSVGSGCWRLKGLDMAMAVESSSSGYGPANLVRVLSLEIIALNEPYHARVNRIFAEEIACLPAKNFSVLKLELPLLDGFVVGPLVFHLLSTVSVIQKLQMVLSPIATSYARRGSRIRVDQDTSFTELEEVDIHGFDVTYHSLKFLKRLFKYAPELKIMRIQLSSGFTIYNLFVNI >KQL14654 pep chromosome:Setaria_italica_v2.0:III:12709330:12711726:1 gene:SETIT_022465mg transcript:KQL14654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLARKNRPRSFCESFLAHAADPLLCARMPAKSWHATRRHVAVSPSRSPPRGPTMQDMGRWVQRCGPKPWGRARRKSLLLVLFVRQTRLDFGERGEGEGASERAVAMAEQHKEESVLEKLSDKLHGRGGGSSSSSSDSDDERSSATTAVKAKIYRLFGREKPVHSVLGGGKPADLFLWRNKRISGGVLAGATAIWLLFEVMDYHLLTLLCHCLILTLAILFLWSNATTFINKSPPNIPEVKIPEDLAVNVARSLRYEINRGFATLRGIGQGHDLKKFLIVVAGLWILSVLGSCCNFLTLSYIVFMVLYTVPVLYEKHEDKVDAFGEKTMVELKKYYAIFDEKCLSKIPKGPSRDKKQH >KQL13716 pep chromosome:Setaria_italica_v2.0:III:6274150:6275309:1 gene:SETIT_022712mg transcript:KQL13716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIKYVIAGDDGEHTRFPCLDMVRYAMAAAVTTLTIAVIALVIHAVLRPEDVSLSINNGYISADRLWERDVRVLQVGVGSTPQLSSTLSEKAEEPELGTVTKSSSRPYPTEAEVSQENHQAALDVTIGTSSGLPKECFLGCGSGSGEGAGQQDQPATQQVTLRKATTTNLLVIVVAKNPSGRTRIDCNGTTVSLFDMQAPQEPIGSSLKLGNFTVPPQTTITLQERLKITDTSYIWDNYRGEVRFSVMVMVSAKVTSYPLNKPNTKVQTYVCQPVTVGLTGYEAIYATDQVDCRTRAPPPSLAPAPAPAPAPRP >KQL13954 pep chromosome:Setaria_italica_v2.0:III:7931254:7936246:-1 gene:SETIT_021147mg transcript:KQL13954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHDGEADELPPPPPVPPDVVPIKAEDVVGELPPNKPVKPKRVPMVRPGIGRKGQSIQLYSNHFKVSVKSTEDFFVHYHVNLKYEDDRPVEGKGIGRKVIDKLQQTYHSELSNKDFAYDGEKSLFTVGELPHKNNEFTVVLEDAATGKTAANGSPGGNDSPGGGDRKRVRRSYQPKTLKVELTYAAKIPMSAIVQVIRGEESEKSLEALRVLDIILRQHSAKQGCLIVRQSFFHNNPSNFVDLGGGVVGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLSNQKIDHPNKIDWQKAKRALKNLRIKTIHGNAEFKIFGLSERNCNEQTFPLKLRDGSNGDGDTVEITVYDYYMKKGIELRYSGDFPCINAGKAKRPTYFPIELCHLIPLQRYTKALSTLQRTSLVEKSRQKPEERMTALNDALQRSNYDSDPMLRSCGISVAPKFTQIEGRVLQAPKLKAGNGEDIFSRNGRWNFTNKKLFETCSVDKWAVVNFSARCDIGKLIHDLIRNASAKGIQMDQPFHMFEESPSMRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPTRVNDPYLLNLLMKINAKLGGMNSLLQIETSLGIPHVSKVPTIILGMDVSHGHPGQSDRPSIAAVVSSRQWPRISKYRASVHTQSPRLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHIIIFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQTGSPDNVPPGTVVDSAVCHPRNFDFYMCAHAGAIGTSRPTHYHVLHDEIGFSADEMQEFVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFLKFEEMSDASSSQGGHTSVGSVPVPELPRLHEKVRSSMFFC >KQL13953 pep chromosome:Setaria_italica_v2.0:III:7930995:7936246:-1 gene:SETIT_021147mg transcript:KQL13953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHDGEADELPPPPPVPPDVVPIKAEDVVGELPPNKPVKPKRVPMVRPGIGRKGQSIQLYSNHFKVSVKSTEDFFVHYHVNLKYEDDRPVEGKGIGRKVIDKLQQTYHSELSNKDFAYDGEKSLFTVGELPHKNNEFTVVLEDAATGKTAANGSPGGNDSPGGGDRKRVRRSYQPKTLKVELTYAAKIPMSAIVQVIRGEESEKSLEALRVLDIILRQHSAKQGCLIVRQSFFHNNPSNFVDLGGGVVGCRGFHSSFRGTQSGLSLNIDVSTTMIVKPGPVIDFLLSNQKIDHPNKIDWQKAKRALKNLRIKTIHGNAEFKIFGLSERNCNEQTFPLKLRDGSNGDGDTVEITVYDYYMKKGIELRYSGDFPCINAGKAKRPTYFPIELCHLIPLQRYTKALSTLQRTSLVEKSRQKPEERMTALNDALQRSNYDSDPMLRSCGISVAPKFTQIEGRVLQAPKLKAGNGEDIFSRNGRWNFTNKQMDQPFHMFEESPSMRRAPVSRRVDDMFEQIKSKLPGAPKFLLCLLPERKNCEVYGPWKRKCLAEFGIVTQCLAPTRVNDPYLLNLLMKINAKLGGMNSLLQIETSLGIPHVSKVPTIILGMDVSHGHPGQSDRPSIAAVVSSRQWPRISKYRASVHTQSPRLEMMSSLFKPRGTEDDGLIRESLIDFYTSSGKRKPDHIIIFRDGVSESQFTQVINIELDQIIEACKFLDEKWSPKFTVIVAQKNHHTKFFQTGSPDNVPPGTVVDSAVCHPRNFDFYMCAHAGAIGTSRPTHYHVLHDEIGFSADEMQEFVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGTFLKFEEMSDASSSQGGHTSVGSVPVPELPRLHEKVRSSMFFC >KQL16769 pep chromosome:Setaria_italica_v2.0:III:44905281:44911790:1 gene:SETIT_021380mg transcript:KQL16769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRLCYTGENEGSTKAAKMLPCKLCSKRYHRHCLKSWGENRDLFHWNSWVCSSCRNCEVCRRPGDPNKLMFCKRCDGAYHCYCQQPSHKNVTNGPYLCPKHTRCHSCGSGVPGSGHSTRWFLGYTCCDACGRLFVKGNYCPICLKVYRDSEVIPMVCCDVCEKWVHIECDGISEEKYQQFQADQNLQYTCAACRGECSQIRDTEDAIRELWKRRDVVDHELMVSLRAAAALPSLEDVSPSYPNSDDEKPGAYVLKNDGRNTLKFSLKSNSSKPPPDTPEQEKIVLKSSGSNKKASKKKGGQGNKTDDRHDDIFLERRHDAKSSNSRLGDQSMDGNHDRSPFKNDSNSYISSSTRSSEKNLKSPSMKAVANNADMIPKVKIKGSKVSSLHFKDSGEENTPKNDTGKGTKLVIHLGSRHKTRSGSPKSELSNSQREQDLGSIHGGKIDVTSQMKSSRSEIKEKSVMKLVRETETQQRNSLLGDLGTSKKHATGKRSNALISGMENANETGTRNRSFGLKQSHSSQVDENQGTTALFSSDSSDNLKPSLLKLKFKRPHLEQLNTQASQPEELATWTSQQEEQLNVAKGQRSKRKRPSMEKADDLDGKTAAKRHLQSTDEVMDANWILRKLGKDAIGKRIEVHQASDGQWHQGVVSNVVSGMLCIQLDNGRSENVELGKQAIRLIAGSKGRKR >KQL16683 pep chromosome:Setaria_italica_v2.0:III:43943391:43944514:1 gene:SETIT_022642mg transcript:KQL16683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLFSPASAMAFFTGTIVFRNRSLFSSSKRALRLDLDAHLVLVAERALGALALAAQLPQRAGVAGDVPGVLALDELDEVVHDALVEVLAAEVGVAVGGEHLEDSVVDSQDADVEGAAAEVEDEDVLLGALLVDAVGNGSGGGLVDDAEHGEAGDDAGVLGGLALRVVEVGRDGDDGVRDLLAEVGLGGLLHLGQQLHVALHHGVVELAADEPLGVVDGALRVGRRLVLGGLADEPLAAVGEGDPGGRDPVALVVGDDLDVAVPVDADARVGRAQVDADHGAGVLVAASSMRRCGSVNRGYEIGDQQREQKRPHATRRHRVESLAAS >KQL16150 pep chromosome:Setaria_italica_v2.0:III:31772946:31773947:1 gene:SETIT_023886mg transcript:KQL16150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQLFVHCFDLWSPQEGKVMMDPVCITTKGNYLCPHSGYPVYHSTISSTLSGNHYIQSRSTISSQINKYMK >KQL13101 pep chromosome:Setaria_italica_v2.0:III:2614264:2617376:1 gene:SETIT_024726mg transcript:KQL13101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRVKCILFLLGEEADSFAKRAEMYYKRRPEVISSVEEAYRAYRALAERYDHMSGELHKANHTIASTFPDQIQYSLLEEDDDNLPKAYTKVDPRKIHKSTVEGLMKKKHREKSRPKDGGKKSAVPMNKDNAQAEISRLQKEILVLQTEKEFIKSSYESGIAKYWDLEKQINEMQEEVCYFQDEFNESAVIEDDEARALMTATALKSCEDAIIKMQEQQKSFFSQAMIESARVKVSREKLKGIMRVHGKSLSYSGNSADENVKTDAGARRDELFSMKQEKFELQELVEKIKGYFEMDSDLSVVEIAEKIDELVNKVVDLELMISTQTAQINRLCLENNELEKSLQKLEEEETEQNDSELIRVHNLERSYHAEERIVCANFTETINSFCDISHMLQSPLIEHPVVSRCMLTDEATPSTDTEQSGEHSKTSPLEDPEMDEAARKPQVDGFLDHPDTPEPAIFSDDSKSSSGYHESKAEKHCHVDKIQDLSCCEFEDKLIEAASVPVDVGTTETADQTSSDDNNNGESDHVLEITSNTGSSVQQDIVHCHESDSLEDVHQISSNSQGENLKQEDNMIYNSTPCNSIFEGSSEQKIEMNKEEASYIIKNPIPTNGKVAGVGDQEDSMINLQQLLMNGLQDKEKVLLAEYTSILRNYKNAKRKLTEAETMNQECLNEMRATISELECANGMKDAEIRSLHELLKSLTYKDALQSGHQLNSTMSLSEKNGMIRGHRRTPSFLSVHQRAQSVSSIPSRIINSSSLKNKPSIDASHDAVTNQKSIIQEEPTSTNVVEMDKASPLEKKFRRDIDTLLEENLAFLMKFSMLFQQIQGFQTKYDQLQAEISKLKPNKDHTNDQPAKLEMEATEKRLRELKIELQVWLEQNAMFKGELQCKFDSLCSIQAEIEGTMEMDADTVDRARFTSYHVAKFQGEILNMKQENNKVADELQAGLDHVKGLQKEIEKDLAKILSTSLSGPKSSTTWRNAPSKSRVPLRMFLFPAKKKKPSLFACVNPTLLSKQNSDMAFFTKMS >KQL15878 pep chromosome:Setaria_italica_v2.0:III:24948976:24952425:-1 gene:SETIT_022717mg transcript:KQL15878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAGLRPASPPAIPGAAAVAACAAMAVSYVAVLYAPTVILRFPPPTSLRAFLHRRFACAAVASTASALATAALLRVWSLGDFADMLAVFGIRKDHLLQAVAIPLFLTSLVYAGSFVNKLWPLASSWGGDGDEAGISSAQRLVLRIQAALDDVMVWRNYVVAPFTEELVFRACMIPLLLCGGFKMSTIIFLSPVFFSLAHLNHLFELQQQGCNFMRSLLIVGVQLGYTVIFGWYAAFLFIRTGNLLSPIVAHVFCNMMGLPVFSSPRTKGVASVALLAGSVCFFWLLFPATSPELYNTRLDRCRCWHGFCNWR >KQL15338 pep chromosome:Setaria_italica_v2.0:III:19024409:19025143:-1 gene:SETIT_025047mg transcript:KQL15338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTAIILSAIVGSLGLLSAILGFAAEGANTTNPTPALGICALVFLLMAQITVSAVSGCCGCCKSRAIPSETKRVVGIVCAVGSWIAAVAGCVMFEESAALNFRGYYIGGLYAGGGVLALAATALGIASYILLRGQPAAAAAKTPGEQPAPAGIAMGQPQFLPNMQSPAQGRGQ >KQL12623 pep chromosome:Setaria_italica_v2.0:III:76851:79060:1 gene:SETIT_022584mg transcript:KQL12623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGNAAAGLGTLRAVLAILQWWGFNVTVIIMNKWIFQKLDFKFPLTVSCVHFICSSIGAYVAIHVLKAKPLIEVEPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFEWRIWASLVPIVGGILLTSVTELSFNIFGFCAAMVGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPAMLLEGGGVINWFYTHDSIVPALIIILGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFRNPISGMNAIGCGITLVGCTFYGYVRHLISQRQAVAPGNLPRNQMEMLPLVDDKQEKV >KQL14883 pep chromosome:Setaria_italica_v2.0:III:14588094:14590354:1 gene:SETIT_022187mg transcript:KQL14883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKRAVLVGINYPGTKAELKGCHNDVARMRRCLVDRFGFDESGIRVLVDDGSGGPQPTGANIRRELARLVGDARPGDLLFFHYSGHGTRLPAETGQDDDTGYDECIVPCDMNLITDQDFTELVQKVPEGCLLTIVSDSCHSGGLLDKTKEQIGHSTKQNQTQRRELEERSDSGTSFRAFLKETVRDVFESQGIHLPHRGHRHSDDGDDGDEEPRYIDAADAHVKNRSLPLSTLIEMLKEKTGKDDIDVGSIRLTLFNLFGDDASPKVKKFMKVMLNKLQQGQHGGVMGFMGALAQEFLKAKLEGQQDQLEPAMNQEVHSEEEVYAGTTARVPSNGVLISGCQTDQTSADATTPKGVSYGALSNAIQTILAEHGTVTNKELVLKARKMLSKQGYTQQPGLYCSDEHASVAFIC >KQL16545 pep chromosome:Setaria_italica_v2.0:III:42227604:42229215:1 gene:SETIT_022063mg transcript:KQL16545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPLLWLALLCASLMPFPTNCAGIHLELTHVDAKEKCTIEERLRRATERTHRRLASMMGGVTAPVHWAGATQYIAEYLIGDPPQRAEAIIDTGSNLVWTQCSLCRRTSCFHQNLPYYDPSQSSSVRAVACDDSACHFGSETQCNGKACAVNTSYGAGDILGLLGTETFTFGSENVSLAFGCIDSNELTPGSLNNASGIIGLGRGNLSLVSQLGDTKFSYCLTPYFSDAVNTSHLFVGASADLSGGGAPVTSVPFVKNPNDDLYGTFYFLPLAGITVGEAKLDVPAAAFDLREVAPGRWAGTLIDSGAPFTRLVDVAYQALRAELARQLGDSVVPLPAGVEGFDLCVAVAQGDAGKLVPPLVLHFGSGGGALSDLVVPPENYWAPVDEATACMVVFSAARPNATLPMNETTVIGNYMQQNMHLLYDLGNGVLSFQQADCSSM >KQL15608 pep chromosome:Setaria_italica_v2.0:III:21620374:21622773:1 gene:SETIT_022534mg transcript:KQL15608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSRRRRDDYYPPPPPPPPHHYSSYPPPPPPHHHHHHHHPPPPPHHRAPPPPPPPSSSYYYHPHPPPPQAYHGSWHPAPAPPPPPPQPPALTGPPPEYVEHQQAQKVKNYVNLHKDTIRLEPDAADPDRRLVAFTFDAVTDGSVAIYYFAKEGKDCSFSSVYPELQTPTKIPFQKGLAQRFIQPSGSGVDLGFFSLDELSNSSGEVFPLVVYAEAYPSPEEGGTSVNSTRAQITLAVLEKHNDDLRVKVVKQILWIDGVRYELQEIFGLVNSTETDVADADADDTGKECVICLTEPRDTAVMPCRHLCLCSECAKTLRFQSNKCPICRQPVEKLMEIRVRGPEP >KQL15609 pep chromosome:Setaria_italica_v2.0:III:21620374:21624093:1 gene:SETIT_022534mg transcript:KQL15609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSRRRRDDYYPPPPPPPPHHYSSYPPPPPPHHHHHHHHPPPPPHHRAPPPPPPPSSSYYYHPHPPPPQAYHGSWHPAPAPPPPPPQPPALTGPPPEYVEHQQAQKVKNYVNLHKDTIRLEPDAADPDRRLVAFTFDAVTDGSVAIYYFAKEGKDCSFSSVYPELQTPTKIPFQKGLAQRFIQPSGSGVDLGFFSLDELSNSSGEVFPLVVYAEAYPSPEEGGTSVNSTRAQITLAVLEKHNDDLRVKVVKQILWIDGVRYELQEIFGLVNSTETDVADADADDTGKECVICLTEPRDTAVMPCRHLCLCSECAKTLRFQSNKCPICRQPVEKLMEIRVRGPEP >KQL14948 pep chromosome:Setaria_italica_v2.0:III:15030169:15033531:1 gene:SETIT_023395mg transcript:KQL14948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KQL14947 pep chromosome:Setaria_italica_v2.0:III:15030169:15032745:1 gene:SETIT_023395mg transcript:KQL14947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYVSYLSEIVCSFTNI >KQL14950 pep chromosome:Setaria_italica_v2.0:III:15030169:15033531:1 gene:SETIT_023395mg transcript:KQL14950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KQL14949 pep chromosome:Setaria_italica_v2.0:III:15030617:15033531:1 gene:SETIT_023395mg transcript:KQL14949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >KQL15990 pep chromosome:Setaria_italica_v2.0:III:26595897:26598209:1 gene:SETIT_023514mg transcript:KQL15990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLPASTHLLPSAAAVSLLPRRVSLQLSYATGWRAGSRRPAVVRAATAEAAAPAYTSDSLILYFKAEGTMEERAIPKITESLEGMEGVKDLEVLIEEGIASVVLTKETTVQATGVASNLVEAIQGAGFKLQTLSLSFNDFDEATAGVGGGVQSSE >KQL15426 pep chromosome:Setaria_italica_v2.0:III:20010206:20011030:1 gene:SETIT_024279mg transcript:KQL15426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLFASAVTTEVGNGANTKFWTDRWLNGCSIEFLAPHLFASVPKRRVKRRTVQETLLNYYWLQDIQGHYSVAVLAEFLDIWDLVQEVVLQPEVEDNSPPNQLMRHSSMEQFLYNRAKLSGALGLQGMAWTLRKMRNDIVFNGVLPRMDQMLLLAQDEADHWMLAGAKGLSGLVAARPGG >KQL13979 pep chromosome:Setaria_italica_v2.0:III:8046124:8046912:1 gene:SETIT_024203mg transcript:KQL13979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPVLLVAPAMALLLALLLSGIPPSAPDPFASHPLGELLTKAGTANATSSSTNSSKSLAPAPAPPPPSASDLAKQKEKQRQRQEEEKALDAAFREYGRAHSVVSPTNGTGSYKGMAREFVDAHNEARARYGVPPIRWDKKLARHARRWCNAVRGHCELIHSVTKKEGYGESIYLSQEDWNATATDAVKFWATEEPIYDKATGNCTGGLPFRECGHFALMVDKRNQWVGCARSECVKGGVFISCNYYIHDPSKSKSSDVEN >KQL14096 pep chromosome:Setaria_italica_v2.0:III:8779993:8782870:-1 gene:SETIT_022817mg transcript:KQL14096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALRHGPAAGGGWGGVAGAGPTTVDEASMERSKSFIKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRAVVNAVDHLGTVAYKLTDLFEQQASEVSTFELKVARLNQQVFTCQVYTDKEGLRQQQMMGTNIKHHKHYILPSTGHKRSPAHAHLPADTDQESKPRPYPSAKTLSWHLASENSTTANAAHKPTFALVDTASSKPASGKERSASPMRRPLQFNRSTSSDAMQKVGTKNQSGVKEFSTFHSFDNPKGRAIQKAPVGTKSMLAALFIKHKSAKMKKIAVR >KQL16776 pep chromosome:Setaria_italica_v2.0:III:45012162:45015139:-1 gene:SETIT_021540mg transcript:KQL16776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASEAAAAISARLLELAAEDDAEALGDLLAAHPSLADEPAPWYSPARGAEPMTPLMVAAAYGSVGCVDVLLSPPHLVDPNRASPASLSTALHLAAAGGASSAPAAVSRLLSAGADPTLLDHLHRRPSDLVTLPPSSLPLKNHLLSLLGARKEWPPDPSLPDIKNGAYASDDFRMYSFKVRACSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFKKGAGCRRGDMCEYAHGVFESWLHPAQYRTRLCKDGVGCARRVCFFAHTPEELRPLYVSSAGSRSAMEMAAAMGMGLPSPGASFTPPLSPSGGGGGVAGAWPQPNVPALCLPGSAGNLHLSRLRTSLSARSMAADELLASADYDGLVGSPASVRSARGKTLVPSNLDDLFSAEMAVAAASHSPRFADQGGAAFSPTRKAAMLNQFQQQQSLLSPRATAAAVIPEPVSPMSSRLLAALAQREKMQQQTLRSMSSRDLGSGASVLVGSPVTSSWSKWGIPSGTPDWGADDEELGRLKRSSSFELRSGANGDEPDLSWVNTLVKEPTPEKPFINGTTAMESIGTLSQAASHEGIGGGEDNTAGVIGSWLEQLQLDEMVV >KQL13036 pep chromosome:Setaria_italica_v2.0:III:2242084:2244014:-1 gene:SETIT_022452mg transcript:KQL13036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEEGPEPLRYQTLALKVSIHCEGCKKKVKKVLHSIEGVYKTDIDMQQHKVVVIGNVSADALVKKLLKTGKHAEPWPEPAPPAAAAADAPGGSPGSGGKKKKKKSKGKNPANNNTNKPADPAPADGGSGPCPPEKQDKAEGGGGGSCDEASDGEHDKPEGGGGNGPAGAGDAQDGGAGGKVAPLAMTPQGPQPAANGNGNGGGGGGGKKKGKKGGGHGNGNANGNAIANADGAGAGAGAIVEVHPPPDAPTKPGGGNSGPLTVVDAGPYPPPPGAPAMSYPGYYAAGVHPPAYVMSYSTAHPSSALRSSAYYHPMAGAAYTTAGGGGGGYFYSTAPVSAAPGSYYMFSEENANACSVM >KQL15635 pep chromosome:Setaria_italica_v2.0:III:22034055:22039735:-1 gene:SETIT_0209652mg transcript:KQL15635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGGQKGEAAPGSNQPGLPVEVLDSDEEVKVLENVSSAPSRKASVQPKLPTIPSCVAWRTRSSWGISQDRLSYNTYFEELSDEPKEDDDDTEVELDEEDNNDDGSSETYDKDEEDEEEEEEEEEAERRKLKNRIYSSDDDMIDSTVPASRYEDNTVRSSRYEDNTVPTSRYDIEWEEVEDANVDIFQPISFKKATRWNPVVVDNDTFTEQQKQSRFTWELERRKKLKLGIVKTHPLYEKDLDSDSSSSGSEQIKRNGFKRDGDQKVGRKKKHPLSKSGKKSSHTTMLKRQSLMKLLIDKMSRDKNGESFPFDLNPQLQFIFKEMHPLVFSFGDEDLVPADKPEQDGAIDMLWADFDFALESENIGTYYDDEGQEEGNQLEFALAPVTPCSRGKHEFIIDDQIGIRCKYCSLVNLEIKFMFPSLVSGFAEKSAWPNAKGVKNALMFHDLYDEAGGATEHSQDFHLYGTVWDLIPGVITTMYEHQREAFEFMWTNLVGDIRLDELKHGAKPDVVGGCVICHAPGTGKTRLAIVFIQTYMKVFPDCRPVIIAPRGMLFAWDEEFKKWNINVPFHIMNTTDYTGKEDRDICKLVKKQHRTEKLTRLVKLLSWNKGHGILGISYGLYTKLTSEKPGCTEENKVRSILLDNPGLLVLDEGHTPRNERSVMWKTLGKVKTEKRIILSGTPFQNNFLELYNILCLVRPRFGEMFLTKARVGRRHYVSKKQKDKFSDKYEKGVWASLTSNVTDDNAEKVRSILKPFVHIHNGNILRTLPGLRESVIILKPLPLQKSIIRKVENIGSGNNFEHEYVISLASTHPSLVTAINMSEEEASLIDKPMLERLRSNPYEGVKTRFVIEVVRLCEALREKVLIFSQFIQPLELIKEHLRKFFKWREGKEILQMDGKILPRYRQASIEAFNNPNNDSRVLLASTRACCEGISLTGASRVVLLDVVWNPAVGRQAISRAFRIGQKKFVYTYNLITYGTGEGDKYDRQAEKDHLSKLVFSTEDEFNNVRNMLSKAEMEHCSKLISEDKVLEEMTSHDQLKGMFLKIHYPPTESNIVFTYNQIAPV >KQL14013 pep chromosome:Setaria_italica_v2.0:III:8192620:8204306:1 gene:SETIT_020947mg transcript:KQL14013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAEPCSMGGIIDYGVGVGTKLSPRSLSIEKAQEELRQEFDVREERRRELEFLEKGGNPLDFKFVHVETVSVHSASLTNQTEAQNVISDAKGSFPASPYGDSVESTGKPGSSLCRETNTADNLMLLDGSNNGIAEEKFVKRGTKRLNAAQPKQSLPNDGHKNAKKPVVSGLSRLGDKSQAYVRRNRSKPSRESANVASVRSSIPPAKVYETKDENGVLRKSNGGDHGVLSVSSIKQSGSNCDNAPKNAASDGQAEMELDGIQAIHESECVVNEEAKQADNNSKAKEVSSTDANHNRLPVGCGEITAEVASAETPDTSLKVPRPCYPSASTHDERESCPVDEKADYGHLDEHMAHIHAGQPDSRRKVLVCAVEASTSLKNAVGPPCEGPMNIVDNHSDGDTNLVAAKIDVKSHEDLDSSRCYSAKESSDLVQPEANNILHMKDEMEICDSATVAQKDTGCLSSGQTMNIEESPASDRKNSCVGDLKSAHPISDGTDLPKALPSPKYGESNLENELKKSKAYEDSVLKEAHVIEASIKRAGERSHCNIALEKRSKSHWDFVLEEMAWMANDFMQERLWKSVAAAKVCHWIASDGRGKFEEASIQRKQKAVMKIIAKGITSFWRSAEALQTADTAKMMQAHNSTMLEETQPSGTKAEKEHVYKSLEAKESRQPRQSQILGYAVRFLEYNSRVADSHVLPEAPPTPERLNDFGILKVSDHLSEESLFYTVAPGLMLAYRESLESLSVYHKEVGNAELNDDYEASVCDSAAVCSDLLWENAYEEDEGETCTYLSPKAYDGGCLSNMGHKKKHQMQQRISILRPYEIGTDMPYEPCLESKSGNQPLLSNGKRPTSFLAIPPKRIRTAVRQRVVSPFHAGATGPTQVTSKTDASSGDTNSYQDDQSSLHGPWRNTDFESTVDSDRQLPYDASEVCTKANKKKKFKKPGYKIAQNTINSSVPTSVKFQGRMYDPRLQVDLTNKYEQKEYLKKRSDVHRYDSNGNSVAYGGQHAPKKLKMVKQGIDISQEASPARFINFIANRDRGRKCKSLKMTSGGWSSFEDQALVVLVHDMGQNWELVSDAINSIVQFKSVHRKPKECKERHKVLVDRSSGDGADSAEDSGSSQYYHNALPGIPKGSARQLFERLQGPNDEENLKAHFEKIILLMQQVHARRRQGNRQELKQIMQPHSSQVIALSQACPNRVSGGTLMPLDLCDVTSPNLDSITPGSVYPGSHTNGITLPNHHHQGSVGPSIPTSNLNSRLPGSPGLVLGSNSPSPSTLNTPRDAQKYGVPRPTLQGDEQLKIQFNQMVNGRNIQQPGGPVPGAFPAGVDRGAHMMPAAHGMGTVAGLNRGMPAAKPGFPRINSPAMLNTVSSGNMLPNSGQGVPNAPVQGMEEHRQMPEFDMQVAQGSSQATVQFSSMNPSFSSVAASPVQLPQQPHQMSQSLHMFGNSHPSQIQGTTSSPQHQAYALAKERQMQQRMAPQQHSDVFGATAVPNVQNSTQILQQNQASAADSVPCSQPQHQRRQTAQKVPDSSSSPNQPASTTQQKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMLMPQTPIDSTPANAASTPPKKHVPEKLVQHGQGVFPANTVPTPSTPQPGNQPKLVTSLPQSPKKVQDIGNQGLMQGSLSQTLLATQQAPLPSKSTLTTQLQQRQINPSQNSIERAMVQQNRQMNSDCRTDLHIDQVQHNQMVPTSLPQSADSGSPVVPLVNPQKQEVSHNPASVTPSSKLLTSPKDPSLGNETLSSQELLQRQVSGGFPIHGHGVVGQWNQQARQLLQSQHQQRPVVQGSVYTPSNSGPG >KQL14015 pep chromosome:Setaria_italica_v2.0:III:8192620:8204306:1 gene:SETIT_020947mg transcript:KQL14015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAEPCSMGGIIDYGVGVGTKLSPRSLSIEKAQEELRQEFDVREERRRELEFLEKGGNPLDFKFVHVETVSVHSASLTNQTEAQNVISDAKGSFPASPYGDSVESTGKPGSSLCRETNTADNLMLLDGSNNGIAEEKFVKRGTKRLNAAQPKQSLPNDGHKNAKKPVVSGLSRLGDKSQAYVRRNRSKPSRESANVASVRSSIPPAKVYETKDENGVLRKSNGGDHGVLSVSSIKQSGSNCDNAPKNAASDGQAEMELDGIQAIHESECVVNEEAKQADNNSKAKEVSSTDANHNRLPVGCGEITAEVASAETPDTSLKVPRPCYPSASTHDERESCPVDEKADYGHLDEHMAHIHAGQPDSRRKVLVCAVEASTSLKNAVGPPCEGPMNIVDNHSDGDTNLVAAKIDVKSHEDLDSSRCYSAKESSDLVQPEANNILHMKDEMEICDSATVAQKDTGCLSSGQTMNIEESPASDRKNSCVGDLKSAHPISDGTDLPKALPSPKYGESNLENELKKSKAYEDSVLKEAHVIEASIKRAGERSHCNIALEKRSKSHWDFVLEEMAWMANDFMQERLWKSVAAAKVCHWIASDGRGKFEEASIQRKQKAVMKIIAKGITSFWRSAEALQTADTAKMMQAHNSTMLEETQPSGTKAEKEHVYKSLEAKESRQPRQSQILGYAVRFLEYNSRVADSHVLPEAPPTPERLNDFGILKVSDHLSEESLFYTVAPGLMLAYRESLESLSVYHKEVGNAELNDDYEASVCDSAAVCSDLLWENAYEEDEGETCTYLSPKAYDGGCLSNMGHKKKHQMQQRISILRPYEIGTDMPYEPCLESKSGNQPLLSNGKRPTSFLAIPPKRIRTAVRQRVVSPFHAGATGPTQVTSKTDASSGDTNSYQDDQSSLHGPWRNTDFESTVDSDRQLPYDASEVCTKANKKKKFKKPGYKIAQNTINSSVPTSVKFQGRMYDPRLQVDLTNKYEQKEYLKKRSDVHRYDSNGNSVAYGGQHAPKKLKMVKQGIDISQEASPARFINFIANRDRGRKCKSLKMTSGGWSSFEDQALVVLVHDMGQNWELVSDAINSIVQFKSVHRKPKECKERHKVLVDRSSGDGADSAEDSGSSQYYHNALPGIPKGSARQLFERLQGPNDEENLKAHFEKIILLMQQVHARRRQGNRQELKQIMQPHSSQVIALSQACPNRVSGGTLMPLDLCDVTSPNLDSITPGSVYPGSHTNGITLPNHHHQGSVGPSIPTSNLNSRLPGSPGLVLGSNSPSPSTLNTPRDAQKYGVPRPTLQGDEQLKIQFNQMVNGRNIQQPGGPVPGAFPAGVDRGAHMMPAAHGMGTVAGLNRGMPAAKPGFPRINSPAMLNTVSSGNMLPNSGQGVPNAVSVHTGAISGPGNSILRVRDPMQTLRPVQGMEEHRQMPEFDMQVAQGSSQATVQFSSMNPSFSSVAASPVQLPQQPHQMSQSLHMFGNSHPSQIQGTTSSPQHQAYALAKERQMQQRMAPQQHSDVFGATAVPNVQNSTQILQQNQASAADSVPCSQPQHQRRQTAQKVPDSSSSPNQPASTTQQKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMLMPQTPIDSTPANAASTPPKKHVPEKLVQHGQGVFPANTVPTPSTPQPGNQPKLVTSLPQSPKKVQDIGNQGLMQGSLSQTLLATQQAPLPSKSTLTTQLQQRQINPSQNSIERAMVQQNRQMNSDCRTDLHIDQVQHNQMVPTSLPQSADSGSPVVPLVNPQKQEVSHNPASVTPSSKLLTSPKDPSLGNETLSSQELLQRQVSGGFPIHGHGVVGQWNQQARQLLQSQHQQRPVVQGSVYTPSNSGPG >KQL14014 pep chromosome:Setaria_italica_v2.0:III:8192620:8204306:1 gene:SETIT_020947mg transcript:KQL14014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAEPCSMGGIIDYGVGVGTKLSPRSLSIEKAQEELRQEFDVREERRRELEFLEKGGNPLDFKFVHVETVSVHSASLTNQTEAQNVISDAKGSFPASPYGDSVESTGKPGSSLCRETNTADNLMLLDGSNNGIAEEKFVKRGTKRLNAAQPKQSLPNDGHKNAKKPVVSGLSRLGDKSQAYVRRNRSKPSRESANVASVRSSIPPAKVYETKDENGVLRKSNGGDHGVLSVSSIKQSGSNCDNAPKNAASDGQAEMELDGIQAIHESECVVNEEAKQADNNSKAKEVSSTDANHNRLPVGCGEITAEVASAETPDTSLKVPRPCYPSASTHDERESCPVDEKADYGHLDEHMAHIHAGQPDSRRKVLVCAVEASTSLKNAVGPPCEGPMNIVDNHSDGDTNLVAAKIDVKSHEDLDSSRCYSAKESSDLVQPEANNILHMKDEMEICDSATVAQKDTGCLSSGQTMNIEESPASDRKNSCVGDLKSAHPISDGTDLPKALPSPKYGESNLENELKKSKAYEDSVLKEAHVIEASIKRAGERSHCNIALEKRSKSHWDFVLEEMAWMANDFMQERLWKSVAAAKVCHWIASDGRGKFEEASIQRKQKAVMKIIAKGITSFWRSAEALQTADTAKMMQAHNSTMLEETQPSGTKAEKEHVYKSLEAKESRQPRQSQILGYAVRFLEYNSRVADSHVLPEAPPTPERLNDFGILKVSDHLSEESLFYTVAPGLMLAYRESLESLSVYHKEVGNAELNDDYEASVCDSAAVCSDLLWENAYEEDEGETCTYLSPKAYDGGCLSNMGHKKKHQMQQRISILRPYEIGTDMPYEPCLESKSGNQPLLSNGKRPTSFLAIPPKRIRTAVRQRVVSPFHAGATGPTQVTSKTDASSGDTNSYQDDQSSLHGPWRNTDFESTVDSDRQLPYDASEVCTKANKKKKFKKPGYKIAQNTINSSVPTSVKFQGRMYDPRLQVDLTNKYEQKEYLKKRSDVHRYDSNGNSVAYGGQHAPKKLKMVKQGIDISQEASPARFINFIANRDRGRKCKSLKMTSGGWSSFEDQALVVLVHDMGQNWELVSDAINSIVQFKSVHRKPKECKERHKVLVDRSSGDGADSAEDSGSSQYYHNALPGIPKGSARQLFERLQGPNDEENLKAHFEKIILLMQQVHARRRQGNRQELKQIMQPHSSQVIALSQACPNRVSGGTLMPLDLCDVTSPNLDSITPGSVYPGSHTNGITLPNHHHQGSVGPSIPTSNLNSRLPGSPGLVLGSNSPSPSTLNTPRDAQKYGVPRPTLQGDEQLKIQFNQMVNGRNIQQPGGPVPGAFPAGVDRGAHMMPAAHGMGTVAGLNRGMPAAKPGFPRINSPAMLNTVSSGNMLPNSGQGVPNAVSVHTGAISGPGNSILRPVQGMEEHRQMPEFDMQVAQGSSQATVQFSSMNPSFSSVAASPVQLPQQPHQMSQSLHMFGNSHPSQIQGTTSSPQHQAYALAKERQMQQRMAPQQHSDVFGATAVPNVQNSTQILQQNQASAADSVPCSQPQHQRRQTAQKVPDSSSSPNQPASTTQQKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMLMPQTPIDSTPANAASTPPKKHVPEKLVQHGQGVFPANTVPTPSTPQPGNQPKLVTSLPQSPKKVQDIGNQGLMQGSLSQTLLATQQAPLPSKSTLTTQLQQRQINPSQNSIERAMVQQNRQMNSDCRTDLHIDQVQHNQMVPTSLPQSADSGSPVVPLVNPQKQEVSHNPASVTPSSKLLTSPKDPSLGNETLSSQELLQRQVSGGFPIHGHGVVGQWNQQARQLLQSQHQQRPVVQGSVYTPSNSGPG >KQL14012 pep chromosome:Setaria_italica_v2.0:III:8192620:8203699:1 gene:SETIT_020947mg transcript:KQL14012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAEPCSMGGIIDYGVGVGTKLSPRSLSIEKAQEELRQEFDVREERRRELEFLEKGGNPLDFKFVHVETVSVHSASLTNQTEAQNVISDAKGSFPASPYGDSVESTGKPGSSLCRETNTADNLMLLDGSNNGIAEEKFVKRGTKRLNAAQPKQSLPNDGHKNAKKPVVSGLSRLGDKSQAYVRRNRSKPSRESANVASVRSSIPPAKVYETKDENGVLRKSNGGDHGVLSVSSIKQSGSNCDNAPKNAASDGQAEMELDGIQAIHESECVVNEEAKQADNNSKAKEVSSTDANHNRLPVGCGEITAEVASAETPDTSLKVPRPCYPSASTHDERESCPVDEKADYGHLDEHMAHIHAGQPDSRRKVLVCAVEASTSLKNAVGPPCEGPMNIVDNHSDGDTNLVAAKIDVKSHEDLDSSRCYSAKESSDLVQPEANNILHMKDEMEICDSATVAQKDTGCLSSGQTMNIEESPASDRKNSCVGDLKSAHPISDGTDLPKALPSPKYGESNLENELKKSKAYEDSVLKEAHVIEASIKRAGERSHCNIALEKRSKSHWDFVLEEMAWMANDFMQERLWKSVAAAKVCHWIASDGRGKFEEASIQRKQKAVMKIIAKGITSFWRSAEALQTADTAKMMQAHNSTMLEETQPSGTKAEKEHVYKSLEAKESRQPRQSQILGYAVRFLEYNSRVADSHVLPEAPPTPERLNDFGILKVSDHLSEESLFYTVAPGLMLAYRESLESLSVYHKFQEVGNAELNDDYEASVCDSAAVCSDLLWENAYEEDEGETCTYLSPKAYDGGCLSNMGHKKKHQMQQRISILRPYEIGTDMPYEPCLESKSGNQPLLSNGKRPTSFLAIPPKRIRTAVRQRVVSPFHAGATGPTQVTSKTDASSGDTNSYQDDQSSLHGPWRNTDFESTVDSDRQLPYDASEVCTKANKKKKFKKPGYKIAQNTINSSVPTSVKFQGRMYDPRLQVDLTNKYEQKEYLKKRSDVHRYDSNGNSVAYGGQHAPKKLKMVKQGIDISQEASPARFINFIANRDRGRKCKSLKMTSGGWSSFEDQALVVLVHDMGQNWELVSDAINSIVQFKSVHRKPKECKERHKVLVDRSSGDGADSAEDSGSSQYYHNALPGIPKGSARQLFERLQGPNDEENLKAHFEKIILLMQQVHARRRQGNRQELKQIMQPHSSQVIALSQACPNRVSGGTLMPLDLCDVTSPNLDSITPGSVYPGSHTNGITLPNHHHQGSVGPSIPTSNLNSRLPGSPGLVLGSNSPSPSTLNTPRDAQKYGVPRPTLQGDEQLKIQFNQMVNGRNIQQPGGPVPGAFPAGVDRGAHMMPAAHGMGTVAGLNRGMPAAKPGFPRINSPAMLNTVSSGNMLPNSGQGVPNAVSVHTGAISGPGNSILRVRDPMQTLRPVQGMEEHRQMPEFDMQVAQGSSQATVQFSSMNPSFSSVAASPVQLPQQPHQMSQSLHMFGNSHPSQIQGTTSSPQHQAYALAKERQMQQRMAPQQHSDVFGATAVPNVQNSTQILQQNQASAADSVPCSQPQHQRRQTAQKVPDSSSSPNQPASTTQQKQKKQQGQQQSRQNQQQRNQGSQQAKLMKSLGRGNMLMPQTPIDSTPANAASTPPKKHVPEKLVQHGQGVFPANTVPTPSTPQPGNQPKLVTSLPQSPKKVQDIGNQGLMQGSLSQTLLATQQAPLPSKSTLTTQLQQRQINPSQNSIERAMVQQNRQMNSDCRTDLHIDQVQHNQMVPTSLPQSADSGSPVVPLVNPQKQEVSHNPASVTPSSKLLTSPKDPSLGNETLSSQELLQRQVSGGFPIHGHGVVGQWNQQARQLLQSQHQQRPVVQGSVYTPSNSGPG >KQL14836 pep chromosome:Setaria_italica_v2.0:III:14227339:14230735:1 gene:SETIT_021355mg transcript:KQL14836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHPKPPSPSPRPTPSDSDTGVGFDPVEEWLVDFDPAMSGELGSPAKVGSAEEGAAPHVPAPTATARADSVGEVSDGSAAPNSCEFGVKAEPVQVDESLRQAGDFCGGEIGEKAEMVSGGLDELLAPDQLLASGIGDLAVKEDVSEGAVAMEMAAAPADVEMNTAVSGGKVEQESSEEESESSEEEESSEASSSSEDEEQVDKKDEESSEASSSSDELELGAMKPGGAGEGNSLEALLEEGELMVGSDEEDEEPKGRSKSKHEVEVLPPVPKIEIKLEPHHQTLPVGTISAIMGERVIVEGSVQHNPLNEGSILWITESRMPLGIVDELFGPVKNPYYLVRYNSEEEIPAGISAGTSVSFVAEFADHILNMKELYAKGYDASADNDEQEDEPEFSDDEKEVEYKRSLRQARRQTDRQHEPKKHSGDKKRSQPRGGGFRKDMPPRNRDVPTPGQQSQPRFHHSDMAPAVAENTARSSGPQDAPMNAPTMLPPGPMNPPMPSPVHLANQMGGCFINPAQQFLPQQPNMVWPGGLPPPPNPNMGVDGAALAASIMQNLLAGANQFQQQLQNQNFGGFPNQMPMPFPQFMPQTRMPANQLPFGGGPPVGNYSFGAAPQMPMGLGNFCQPPPHMASGNRHEQGPRPGFPADSPGFPNQAQPHGDGAELSPPQFNSGQFNQGSPPFRGGRPQRGGRHSSGRGGGRGGRHRR >KQL16267 pep chromosome:Setaria_italica_v2.0:III:35419404:35421275:-1 gene:SETIT_021743mg transcript:KQL16267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEDKVIASRVTSPSPKSVLSDSDPSRMMIVSSNGELSQTNGQANAVLGPVAIFWDIENCPVPSDVRPEDVAGNVRMALRMHPVVKGAVTMLSAYGDFNAFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNHPPSSIMLISGDVDFAPALHILGQRGYTIVLAIPSSVTVSSALSSAGSFVWDWPSLARGEGIVAPRSLGRRLADPPCHVSSGNVGQFPDNQNEEEAIVYTGTSRNEYGGRPTINQMYCYNTFQTTREPNKAFYTVADGNCGTSSRTHNLSCGLSESPETDQGFAGERSWWVRPGDLQGLKGQLIRLFELSGGCVPLVRIPSEYLKLFGRHLYVSEYGAVKLVQLFEKLADSFVVIGKGQRKMICLRNSGDRNLKNCPSTPIILKKEKRGSCALEESTIGACQQLGSSSDDLSEDERNINPDIDGAYLFDDHLDSFRREIQELLVCYSCPVPLGKFENLYEQRYKKTIDYEGFGVAGLEELVEKFKDVVGLHEDHASKSKFLIAN >KQL14101 pep chromosome:Setaria_italica_v2.0:III:8822385:8827642:1 gene:SETIT_021214mg transcript:KQL14101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRNLLVSALGVGVGVGLGLASARWAAPAHGEGSGAGAAEVEAELRRLVLDGRESQVTFDEFHNFHYYLSDQTKEVLISAAFVHLRKAELSKHIRNLSAASRAILLSGPTEPYLQSLAKALSQHFKARLLILDANDFSLRIQSKYGGSNKVMVRNQSVPETTFGKMSGLIGSFMTYPKKDEPRESLHRQTSNTDLRARGSDGSTSTPSLRKNASVSSDMSDLASQCSGHSVRRTSSWCFDEKVLIQSLYKVLISVSENDPIILYIRDVDHFLWKSQRTYTMFQKMMAKLSGQVLILGSRLLNFDADNRDVDERVSTLFPYHVDIKPPEEETHLDCWKNQMEEDTKKFQMQDNRNHIIEVLSANDLDCDDLSSICEADTMVLSNYIEEIIVSAVSYHLINNKDPEYKNGKLILSSKSLSHGLSIFQGGHGGKDTLKLEETKDGVKGALGSKKTEADKSTPVPVGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARSGEHEAMRKIKNEFMSHWDGLLSKSGERVLVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTLLSKEKVDENIDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLKKEREKELERREKEAKEKAAATSENPEAPESKKENSESKENSESKKKNSDGKVDSSGAKAEGEKEATIDLRPLTMEDLKEAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >KQL14102 pep chromosome:Setaria_italica_v2.0:III:8824572:8827642:1 gene:SETIT_021214mg transcript:KQL14102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISVRRTSSWCFDEKVLIQSLYKVLISVSENDPIILYIRDVDHFLWKSQRTYTMFQKMMAKLSGQVLILGSRLLNFDADNRDVDERVSTLFPYHVDIKPPEEETHLDCWKNQMEEDTKKFQMQDNRNHIIEVLSANDLDCDDLSSICEADTMVLSNYIEEIIVSAVSYHLINNKDPEYKNGKLILSSKSLSHGLSIFQGGHGGKDTLKLEETKDGVKGALGSKKTEADKSTPVPVGDGPLPPPKPEIPDNEFEKRIRPEVIPASEIGVTFDDIGALADIKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANDAGASFINVSMSTITSKWFGEDEKNVRALFSLAAKVAPTIIFVDEVDSMLGQRARSGEHEAMRKIKNEFMSHWDGLLSKSGERVLVLAATNRPFDLDEAIIRRFERRIMVGLPTQESRELILRTLLSKEKVDENIDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLKKEREKELERREKEAKEKAAATSENPEAPESKKENSESKENSESKKKNSDGKVDSSGAKAEGEKEATIDLRPLTMEDLKEAKNQVAASFAAEGAVMNELKQWNDLYGEGGSRKKQQLTYFL >KQL14148 pep chromosome:Setaria_italica_v2.0:III:9146264:9154901:1 gene:SETIT_021072mg transcript:KQL14148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKVDERIRTLIENGVRQRQRSMFVIVGDKSRDQIVNLNYMLSKSRVKSRPSVLWCYRDKLEISSHKKKRAKQIKKLMQRGLMDPEKADPFSLFLETSDITYCLYRDSERVLGNTFGMCILQDFEALTPNLLARTIETVEGGGLIILLLRSLSSLTSLYTMVMDVHERFRTESHTQPAARFNERFLLSIASCKACVVMDDELNILPISSHMKFIQPVTNNEDSEGLSKRERQLKDLKDQLREDFPVGPLIGKCCTLDQGNAVINFLDSVLDKNLRNTVALLAARGRGKSAALGLAIAGAIAAGYSNIFVTAPSPENLKTLFDFVCKGINALEYKEHLHYDVVKSADPELKKATIQINVYKQHRQTIQYLKPHDHGKLSQVELLVIDEAAAIPLPIVKSMLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLESQSQPSAQSNGSNSSRVFKKIELNESIRYASGDPIETWLNDLLCLDLANSIPNISRLPHPKECDLYYVNRDTLFSYHKESEIFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVCLEGQISRKSAMKSLSEGRAPSGDQIPWKFCEQFQDNVFPSLSGARIVRIAVHPSALRLGYGSAAVDLLTRYYEGQMTLFADDEETEEPEVKITEAAEKASLLEENIKPRANLPPLLVHLRERRPEKLHYLGVSFGLTQELFRFWRKHNFYPFYVGQIQSAVTGEHTCMVLRPLNSDDIEVSESNKCGFLDPFYQDFRQRFRRLLGTSFRHLNFKLAMSVLASKIDYSNHEPSEYGNNSASKLLGDTLSPHDMKRLEAYSNNLVDYHLILDLVPILAHQYFSEKLPVSLHGAQAAVLFCMGLQDKDVGTVKEELGIEREQVLSNFIKTMKKLYGYLHKIAGKEIEATLPRLKEIEMPPLSKSMDEDLAEAAKEVEEKRRAANEAPVDPKILQKYAIDNNDNEMEEALKSAKVSASGIISVKSNKTKADKKEKHKEMGKSKRKGTDGGRSESKKKRS >KQL12806 pep chromosome:Setaria_italica_v2.0:III:976366:979706:1 gene:SETIT_021579mg transcript:KQL12806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIATFASMVLASTTMEFTDQSPTECSVLRLDEQRPLTLPLLPYPRCRPGGPIRWLDCPLQRTTAPTSSRHAAAVGGGGGVCRRPGCYAGTDAALCDDLLQEVFRLLPPAAAPAVSLVSRRWLSLLRASTSSLTLRLPASPDAAPAAGTATLAALLSHYPFLSALTVVSAATPAGDADAVLLAVAAAPAAARFSTLRLLPDSAVSPAALVAACPALSGLTSLHLTAVRPLSFRWLELLPRLKSFALVNSAASVDYAGSSSDDAGGGEAEAAGALPLERLSLCGIRSGDRGLGWLWRRCGSLKWLQLRACDGTGDGPASLAFAGCLAGLLALELRACRSVADRVLLLAADHCHALTSLLVYDGGSSEALHRFIQQRAAGLHTLDLRLPLDLHNDHLLAIGAEPIHGGRDPKHSLVALRLQSCVLITGDGLRSLARTATGASIEELALVSCDVVEREPGLLTFLSQSMRRLRRLDLSYNETLSDKVIGAMLSSCRNLIDIRLRGCRGLTGGSLVSLLRHCGQSLEILDLSRCPSIAVGNVELFAQRATRLNHMIIEESVISEELKAIAQKKGLKIGSLLPCEGPF >KQL12805 pep chromosome:Setaria_italica_v2.0:III:976366:979215:1 gene:SETIT_021579mg transcript:KQL12805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIATFASMVLASTTMEFTDQSPTECSVLRLDEQRPLTLPLLPYPRCRPGGPIRWLDCPLQRTTAPTSSRHAAAVGGGGGVCRRPGCYAGTDAALCDDLLQEVFRLLPPAAAPAVSLVSRRWLSLLRASTSSLTLRLPASPDAAPAAGTATLAALLSHYPFLSALTVVSAATPAGDADAVLLAVAAAPAAARFSTLRLLPDSAVSPAALVAACPALSGLTSLHLTAVRPLSFRWLELLPRLKSFALVNSAASVDYAGSSSDDAGGGEAEAAGALPLERLSLCGIRSGDRGLGWLWRRCGSLKWLQLRACDGTGDGPASLAFAGCLAGLLALELRACRSVADRVLLLAADHCHALTSLLVYDGGSSEALHRFIQQRAAGLHTLDLRLPLDLHNDHLLAIGAEPIHGGRDPKHSLVALRLQSCVLITGDGLRSLARTATGASIEELALVSCDVVEREPGLLTFLSQSMRRLRRLDLSYNETLSDKVIGAMLSSCRNLIDIRLRGCRGLTGGSLVSLLRHCGQSLEILDLSRCPSIAVGNVELFAQRATRLNHMIIEESVISEELKAIAQKKGLKIGSLLPCEGPF >KQL13847 pep chromosome:Setaria_italica_v2.0:III:7189403:7195512:1 gene:SETIT_022798mg transcript:KQL13847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAQAGMGLTKVVVLVGAGVAGSVVLRNGRLAEILGELQEILDKGSKGKGSGGGGDGEADLNEALTSQVRRLAMEVRQLTSSRPVTVIAGGSGQSGVSGLLVPAATVGALGYGYMWWKGISFSDLMYVTKRNMANAVSSMTKHLEQVQSSLAAAKRHLTQRIEKLDDKLDQQKALSGQIRDDVTDARLKLENIGSEIKNIKELVWGLDGKIDSMEAKQDFSCAGVMYLCQFIEQNGGKLPERLEGPKVTGKRYGGQKVIQGLQLAIESGNFGKDAIDALINDSDSTDKINRSSSIKSVK >KQL13761 pep chromosome:Setaria_italica_v2.0:III:6575510:6579239:-1 gene:SETIT_021616mg transcript:KQL13761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASQFMDKQIQGLAASGAAASSPPASGGLVDLMSPDPQEEAESHAHRPHHGEVLPSYDFQPIRPASSASASAIPVGSAPAVGAWGSLDSKAASPNPLKTSGVLEPHHMLKKVSHEEERSNFSAVTIVDIDRTMKKYADNLLHALEGVSSRLSQLEDRTYHLENSVGELKLTIGNNNGSTDGKLRQFENTLREVQAGVQILRDKQEIVETQIQLAKLQVPKAEDVQSENADAGQADSRQQPTPPQQTIQLQNQAPPLSQPPAPLPALPAPTAPPPPPIQNQPPPHFPGHVPPPQVTSVSLALPAPAASSIAQEAYYAPSSQPTEATHQQFQAPPGPQPQAAQPPPPQHYQTPPQFTQYSQPPHPATVNPSAAPPPPVPQQPEEPAPYGPPAQSYPPNVRPPPPYMPPPSGPAAPFYGPNPGMYEAPPAVRPNSGPPPPYNAGYKPPGAGGFSDSYGYTGSPSHHGNAGMKQPSPFAPPGGSGGYGRLPTAQVLPQAAPVSSAPGGSSGTRVPIDDVIDKVATMGFSKEQVRATVRKLTENGQNVDLNMVLDKLMNDADTQPQRSWYGR >KQL13277 pep chromosome:Setaria_italica_v2.0:III:3565511:3568642:1 gene:SETIT_021472mg transcript:KQL13277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRPHLFLQTHPSLASAPAAAAVGGASLFLNCSPTSLLLPPSHAHKQQQFVLACAPTSNSLSSPLCSPAPRHRSSSSVRSILLHLSPRGARMIGPGDELAELLWDNGPALRRAPPPFQPFTCSAAGSSRAHELKRHAAAAAGMASVPLGAHDAGGFPVHDDDDAVPWLHCPVIVDDGDTAPLPPEYCAGLLSEYPGLPGAAPPASPHHGAAVPASRAAPPEAAAKQAPPSAGEGVMNFTFFSRPLQQRPQASAAAAPSNPVESTVVQAATNRLRSTPLFSEQRMAWLQPPKAPRATAAGAPPAPQAPLPPELRHGEAATVTQRRLQPEARAPDAAAGPAAVTTSSVCSGNGDRSQPKRSSHHQLPDCSVSPDEDLDDEGGATRRSESRSNKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLEEAIEYLKTLQLQVQMMSMGTGLCVPPMLLPAMQMPHPMAAHFPHLGMGLGFSMGAAAAAFDMARAAGVHFPCPPMAMPPGPMFGVPGQAMPPPAAAAFAHMATAPPEQMEVAPARRGAEADQPPVPVVTQGDQKQQHPKQT >KQL13278 pep chromosome:Setaria_italica_v2.0:III:3565511:3568642:1 gene:SETIT_021472mg transcript:KQL13278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRPHLFLQTHPSLASAPAAAAVGGASLFLNCSPTSLLLPPSHAHKQQQFVLACAPTSNSLSSPLCSPAPRHRSSSSVRSILLHLSPRGARMIGPGDELAELLWDNGPALRRAPPPFQPFTCSAAGSSRAHELKRHAAAAAGMASVPLGAHDAGGFPVHDDDDAVPWLHCPVIVDDGDTAPLPPEYCAGLLSEYPGLPGAAPPASPHHGAAVPASRAAPPEAAAKQAPPSAGEGVMNFTFFSRPLQQRPQASAAAAPSNPVESTVVQAATNRLRSTPLFSEQRMAWLQPPKAPRATAAGAPPAPQAPLPPELRHGEAATVTQRRLQPEARAPDAAAGPAAVTTSSVCSGNGDRSQPKRSSHHQLPDCSVSPDEDLDDEGGATRRSESRSNKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKAHATDTLILKWNPSSCFIRLAHATNTLASVADRQGVHAGGGDRVPEDPAAAGADDVDGDGSVRAADAAAGDADAAPHGGALPPPRHGAGVQHGRGGGSLRHGPRCRRALPVPADGNAARADVRRARAGDAAAGRGGVRSHGHRAARADGSCSCTARSRSGPTAGSRRHTGRSEAAASEADVKVTRRSACCCRSRALS >KQL14387 pep chromosome:Setaria_italica_v2.0:III:10909292:10910320:1 gene:SETIT_0219771mg transcript:KQL14387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YKDGSAARGVVGTDSATIALSASGRVGGGADSSRRAKLQGVVLGCTASYDGQSFQSSDGVLSLGNSNISFASRAAARFGGRFSYCLVDHLAPRNATSYLTFGPGPGDAAAPEQTPLLLDRRMSPFYAVTVDTVYVAGEALDIPADVWDVEKNGGAILDSGTSLTILATPAYAAVVAALSKQLAGLPRVSMDPFEYCYNWTAGAPEIPKLEVHFAGSARLEPPAKSYVIDAAPGVKCIGVQEGAWPGVSVIGNILQQEHLWEFDLRDRWLRFKHTRCAQ >KQL13445 pep chromosome:Setaria_italica_v2.0:III:4500572:4502019:1 gene:SETIT_022226mg transcript:KQL13445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASFCAAHCTASCHCQIFRTRARSDRPELREMEAVPLLTPYKMGQFELSHRVVLAPLTRQRSYGNVPQPHAAVYYSQRATSGGLMITEATGVSDTAQGYADTPGVWTAEQVAAWRPIVDAVHARGAVFFCQLWHVGRVSTTAFQPGGAAPISSTDRAVPPQMSFDGHMEEFSPPRRLETHEIPAVVDDFRRAARNAIDAGFDGVEIHGANGYIIEQFLKDAANDRDDEYGGSLENRCRFALEVVRAVAGEVGAGRVGVRLSPFTDYMGCHDSDPEALAAHLVRELSAAGVLYCHMIEPRMALVDGRRRIPHRLRPYREAFRGTFIAAGGYDREEGNKVVGEGYTDLVSFGRLFLANPDLPRRFELPDAPLNKYDRTTFYTSDPVVGYTDYPFLAGDVQAA >KQL16903 pep chromosome:Setaria_italica_v2.0:III:46583732:46586259:-1 gene:SETIT_025125mg transcript:KQL16903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVCVGSRFSKNRFFGNFSLWRNRSRSSSTPSNPTTTSRSVPVVQVQPSESDAKPTPPPPTQTAAPAPIVISEPAPAPSPLPQPPQPITPPPPPASSEADPSPPQQPAASQPQPQSQPQSKKKAAHIKRISSAGLQVESVLRRKTENLKDKYSLGRKLGQGQFGTTYLCVDKATGGEYACKSIAKRKLVTDEDVEDVRREIQIMHHLAGHPSIIGIRGAYEDAVAVHVVMELCAGGELFDRIVRRGHYTERQAATLARVIVAVVESCHSLGVMHRDLKPENFLFVGNDEDSPLKTIDFGLSMFFRPGEEFTDVVGSPYYVAPEVLKKRYGQEADVWSAGVIIYILLCGVPPFWAETEQGIFEQVLHGSLDFESDPWPSVSENAKDLLRKVLVRDPKRRLTAHQVLSTDFLDRAGHPWLEAIGSAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAENLSEEEIAGLKEMFKMMDTDNSGQINFEELKAGLQRVGANMKESEIYQLMQAADIDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITADELQQACDEFGIEDVRLEDMIGEVDQDNDGRIDYNEFVAMMQKSPAGFGKKGHQYNLSIGFRDALNKAHS >KQL14145 pep chromosome:Setaria_italica_v2.0:III:9128086:9130255:-1 gene:SETIT_0216642mg transcript:KQL14145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRNEKARRYLSSMRKKDPVPFSQKFPNADPLALKLLEKLLAFDPKDRPTAEEALRDPYFKGLARVEREPSCQPIKKVEFDFEHKRMSKEEIRELIFREILEYHPQLLNSYINGTERTTFLYPSAVDQFKKQFSHLEESGGNGTSVPTDRKHASLPRTTVVHSNPIPAKEQPLATSSRVRPVSDDSCKNPWEKERGPVNVPRASLPPQGLQAQAAGPGRVNGSVMNSGYPHQQIPQAYGYHQVPARLDSTNQSQAIGGYTMHSQANACANSKGTADVAVNMRAPPFHVPAGPKNNPLDRIASGTDIYTRSLNGIVAAAAASVGTGTGTHRNVGVVPSAMSRMY >KQL14579 pep chromosome:Setaria_italica_v2.0:III:12128792:12131936:-1 gene:SETIT_022925mg transcript:KQL14579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGPGLYTEIGKKARDLLYKDYHTDQKFTLTTYASNGAAITAASTRKNEAIFSEIQSQLKHENVTVDVKATSESNVTTTFTVHELGTPGLKAILCIPFPYQKSAKAELQYLHHHAGVAASVGLNANPVVNLSGVFGTKTIAVGADASFDTSSGDLTKYNAGLSYNAGDFVAAATLNNKGDSLAASYYHLVNSTTAVGGELTHSFSSNENTLTFGTQHALDPLTTVKARFNNYGMASALIQHEWRPKSLVTISTEVDTKAIEKSSKVGLSLVLKP >KQL12868 pep chromosome:Setaria_italica_v2.0:III:1378324:1381472:-1 gene:SETIT_022190mg transcript:KQL12868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLKTACSGGERGLDGELEEKLLLHDDDDGDGAAPPPAKRSAGAALLQKRGGWRRHHDLQGAGGGDGVGDDYRERFVRAYDRLRDELLADDSCELTDEARRWVAQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEVTEDEFFLACVLGWCVEWFQACALLLDDIMDGSHTRRDQICWFRRPEVGLRGINDGILLKCHITRLIKKYFREKTYYIDISELWNEIALQTSLGQMLDLISTHNGADELAKYNIEGYRRIVKYKTSYYSFYLPVACALLLSGAKLENFSELRDILIEMGIYFQAQDDYLDCFANPNTIGKIGTDIEDHKCSWLIVQALGHANINQIEVLLKNYGKKDSTSVSKVKSTYSTLDLKVLLN >KQL12869 pep chromosome:Setaria_italica_v2.0:III:1377640:1381510:-1 gene:SETIT_022190mg transcript:KQL12869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLKTACSGGERGLDGELEEKLLLHDDDDGDGAAPPPAKRSAGAALLQKRGGWRRHHDLQGAGGGDGVGDDYRERFVRAYDRLRDELLADDSCELTDEARRWVAQMIDYNVPGGKLNRGLSVIDSYLLLKQGSEVTEDEFFLACVLGWCVEWFQACALLLDDIMDGSHTRRDQICWFRRPEVGLRGINDGILLKCHITRLIKKYFREKTYYIDISELWNEIALQTSLGQMLDLISTHNGADELAKYNIEGYRRIVKYKTSYYSFYLPVACALLLSGAKLENFSELRDILIEMGIYFQAQDDYLDCFANPNTIGKIGTDIEDHKCSWLIVQALGHANINQIEVLLKNYGKKDSTSVSKVKSTYSTLDLKDMFSEFEDRAYNHLVTSIEAQHDRAAQEILKSFLKKIHRRKR >KQL12953 pep chromosome:Setaria_italica_v2.0:III:1838779:1839906:-1 gene:SETIT_025488mg transcript:KQL12953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRTNRRSFLSLIKCFLFRSWYVRLRRCPAITVRSEISTVELRCGLFFATPCGFSCCATAYTYTQVDSSTQQY >KQL13071 pep chromosome:Setaria_italica_v2.0:III:2387513:2396013:-1 gene:SETIT_021537mg transcript:KQL13071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVAALVASPALLAFPSTASYCSCRLRLRPAVVARAPRQQQPRGRRALRRFDEVEGVSKKRRGIGGGAGGAVGSQASSPRRDRGLAVDFKESQVAEFDDLEEDKFLNAVVKVYCTHIAPDYGLPWQKQRQHSSSGSAFMIGDGKLLTNAHCVEHDTQVKVKRRGDDKKYIAKVLARGIECDLALLSVENEEFWRGTEALSFGRLPCLQDSVTVVGYPLGGDTISVTKGVVSRIEVTPYAHGTSDLLGIQIDAAINPGNSGGPAFNEQGECIGVAFQVYRSDEAENIGYVIPTTVVSHFLNDFQKNGKYTGFPCLGVLLQKLENPALRESLKVPSSEGVLVRRVEPTAPASSVLRKGDVIVSFDGVAVGCEATVPFRSTERIAFRYLTSQKYAGDIAQLGIIRDGNSMKVQTILQPRKHLVPFHVEGGQPSYLIVAGLVFTPLTEPFIEEECEDTLGLKLLAKARYSLATFEGEQIVIVSQVLAHEVNIGYEHMGNQQLIKLNGTAVKNIHHLAHLVDTCKDKFLTFEFEDDFLVVLNREEATAASSDILKEHAIPSVRSSDLSEPYVETKTEVQKTSEDFGESPVTNFEMGIDCLLWA >KQL13491 pep chromosome:Setaria_italica_v2.0:III:4757938:4761459:1 gene:SETIT_022777mg transcript:KQL13491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAVAVGQYLWAGGRRPAATPPTSTPPRYRRCCAVITVAAMRPLLTRLFTSTHIAMAASPSSSSPSRRLAHLTRHLAASSSGELSSVGAPAAAADTVPAKSPRPAASKVPAAVLVCLFEDPSCGPRVLLTKRASSLSSHSGEVSLPGGKVDEGDADAKATALREAKEEIGLDPAIVSVVTVLEPFLSKNGVNVVPVIGMVSDKALFKPVLNKAEVEDIFDAPLEMFLKDDHRRTKQMNWMGIDIPVQFFDYEEDGKKFVIWGLTAHILTRAAAVVLQRQPSFAELPRPKYASAPTADTDETKP >KQL16293 pep chromosome:Setaria_italica_v2.0:III:36488675:36490413:-1 gene:SETIT_024763mg transcript:KQL16293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSETIEQGKDTCPIYRRREDGQKVKVGGEELDNRWVVPYNPVLLMRYNFHINVEICNSIKSVKYLYKYVYKGHDQTSFSVDEKGNERRVINPIKQYCDARMITTIEVMQLHLPGFHMVAYNATDNLQGVVDLAKSQRSMLTEYFKMNERSAKARKYLYKEFPEYFTWNKSGKCWKPRVPRKDYRLVDWAAAKVMGFVDTDKSLDDCLTECAMIRFPSSLRRLFATIMFFCECANIHHLWDKHYESLAEDFCHTNDNNTIVEHYGLPELHESGKIVIEICYEEEHLEIIDTLNAEQRAGFEEIFDHVMKGKGQVFFMDGPGGTGKTYLYKTLLAKVHSMDLIVVATATSGIAASIMPGGRTAHSRFKIPIKLDDSTMCSFTKQSGTTELLRRASLMIWDEVVMTKRQCVEALDRSLQDIMDCTQPFDGKVMLFGGDFRQVLPVVACGTRAQITAATLLKSYIWENV >KQL15892 pep chromosome:Setaria_italica_v2.0:III:25078417:25078524:-1 gene:SETIT_024788mg transcript:KQL15892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISPRHLHTLIGIPSGPITLPLFILFNASLTSDS >KQL14852 pep chromosome:Setaria_italica_v2.0:III:14349709:14351742:-1 gene:SETIT_023317mg transcript:KQL14852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRRAIEVRLQPGAAGDASAPPKWRMSLLENTFAGFLQGAGADAAARAVFAEGSLFSPFLFGKFFDPADAFPLWEFEPEVLLAALRRSAARTTVDWAETDAEYYLRADIPGGRKCDVEVSGDAMKVIDISGLWRVPPADGRDWRAGRWWEHGFVRRVELPEDAEWRKVEAYFDDGEGSLEIKVPKAVDAHQATA >KQL15642 pep chromosome:Setaria_italica_v2.0:III:22073571:22078131:-1 gene:SETIT_021734mg transcript:KQL15642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEQDVDVFGEDYDVHDGGAEAEADGGGDSSGSSSPSSSSSSSAAASSSSSSGGSSRSSSGGAGGEGEDGADEGDGEEHDSSNLAGTRGTGAGGYRDDERGEDEGEEVEEERDLFGSDNEDYVRTPARSNYLVPVLPAIRNTNNHSRGGFGGRGGRGPPLLPRPGGHPGRHNFGYGRFGNGRNVEGFVSDLKLNKSEETLSRKAVAFQEPCEFASFSRVEGGAVYFDDRSLRLFKREICDYVGADLNKGFESFVEKKDLGPEGFGDLLACIRNSPITLQNNIHFVTYRNNLNKILATAYLREPWKMGVHKRKGVVYLDVHKLPERPKSEMERRRCYWGYSFENLATENSFSEDGGGIDANVEFCSVIKTKLGAHRIVMGAEMDCCDATDDGRRFYVELKTSRELEYHTVEAYEKEKLLRFWVFYSSPSFPFKLLRFQGSTACSFLLLY >KQL15640 pep chromosome:Setaria_italica_v2.0:III:22072239:22078007:-1 gene:SETIT_021734mg transcript:KQL15640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEQDVDVFGEDYDVHDGGAEAEADGGGDSSGSSSPSSSSSSSAAASSSSSSGGSSRSSSGGAGGEGEDGADEGDGEEHDSSNLAGTRGTGAGGYRDDERGEDEGEEVEEERDLFGSDNEDYVRTPARSNYLVPVLPAIRNTNNHSRGGFGGRGGRGPPLLPRPGGHPGRHNFGYGRFGNGRNVEGFVSDLKLNKSEETLSRKAVAFQEPCEFASFSRVEGGAVYFDDRSLRLFKREICDYVGADLNKGFESFVEKKDLGPEGFGDLLACIRNSPITLQNNIHFVTYRNNLNKILATAYLREPWKMGVHKRKGVVYLDVHKLPERPKSEMERRRCYWGYSFENLATENSFSEDGGGIDANVEFCSVIKTKLGAHRIVMGAEMDCCDATDDGRRFYVELKTSRELEYHTVEAYEKEKLLRFWIQSFLAGVPYVVVGFRNDAGILVRTERLRTKDITQKVKAKNYWQVR >KQL15641 pep chromosome:Setaria_italica_v2.0:III:22071222:22078131:-1 gene:SETIT_021734mg transcript:KQL15641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSEQDVDVFGEDYDVHDGGAEAEADGGGDSSGSSSPSSSSSSSAAASSSSSSGGSSRSSSGGAGGEGEDGADEGDGEEHDSSNLAGTRGTGAGGYRDDERGEDEGEEVEEERDLFGSDNEDYVRTPARSNYLVPVLPAIRNTNNHSRGGFGGRGGRGPPLLPRPGGHPGRHNFGYGRFGNGRNVEGFVSDLKLNKSEETLSRKAVAFQEPCEFASFSRVEGGAVYFDDRSLRLFKREICDYVGADLNKGFESFVEKKDLGPEGFGDLLACIRNSPITLQNNIHFVTYRNNLNKILATAYLREPWKMGVHKRKGVVYLDVHKLPERPKSEMERRRCYWGYSFENLATENSFSEDGGGIDANVEFCSVIKTKLGAHRIVMGAEMDCCDATDDGRRFYVELKTSRELEYHTVEAYEKEKLLRFWIQSFLAGVPYVVVGFRNDAGILVRTERLRTKDITQKVKAKNYWQGGVCLAFADEVLCWLYGTVRENEDYILQFVHPFNRLELLRAQSPCPEAITLHVQQLSGAAD >KQL14919 pep chromosome:Setaria_italica_v2.0:III:14882342:14883972:1 gene:SETIT_023212mg transcript:KQL14919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVAKRTLSLAACAAVLMAVSLAPVAANEEGDALMALRHSVEDPDGVLASWDPNLVNPCTWVHVGCNDDNRVNRIELANMRLSGPLPAELGKLEQLQYMEMSGNNLQGPIPEEFGDLKNLISMDLYNNDISGHLPRTLGNLKSLQFLRIDHNRLTGPIPRELSELPNLANVDFSSNDLCGTIPTSGPFQNVPLSSFSNNPRLRQGPGAYDAHC >KQL14632 pep chromosome:Setaria_italica_v2.0:III:12524042:12526414:-1 gene:SETIT_023492mg transcript:KQL14632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSAVAMGCAGSTPKVDENSKKLKKPKAWKHTQPITPAQLKQMRDEFWDTAPHYGGQKEIWDALKVAAESDLALAQTIVDSAGIIVSNPDMTLCYDERGAKYELPKYVLSEPTNLIRQG >KQL14633 pep chromosome:Setaria_italica_v2.0:III:12524180:12526290:-1 gene:SETIT_023492mg transcript:KQL14633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSAVAMGCAGSTPKVDENSKKLKKPKAWKHTQPITPAQLKQMRDEFWDTAPHYGGQKEIWDALKVAAESDLALAQTIVDSAGIIVSNPDMTLCYDERGEHAIIPKFLIFLFLHELFKTNIGTDNSCRRCQVRAAEVCFERANKFDPPRLNVHLVADSRL >KQL16317 pep chromosome:Setaria_italica_v2.0:III:37207697:37208680:1 gene:SETIT_024911mg transcript:KQL16317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKCEALFCTKNITALLYFVLNQTELRFDNLNISCSLIHLIVTWSDSSEIMEVVEGIRSMCCPNSKVQFIVLAKEVPKL >KQL14897 pep chromosome:Setaria_italica_v2.0:III:14705826:14706713:1 gene:SETIT_024177mg transcript:KQL14897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLERPVVNGGKDHVKHNGKKSKLTFDELLAKNQKDNEAKCDNWSNDVKPSRLPPKHNFGDWIGKEKGFIQQHHILFLGQQCQFHMLHIPLKLSAQELRKKGMAWVRKGSIQIQNKNNVQPKGAIQLKEKRKFERQSSNIRFAPNH >KQL13988 pep chromosome:Setaria_italica_v2.0:III:8097108:8101444:1 gene:SETIT_021879mg transcript:KQL13988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAAHTTTTTTTTYSASTNALGPPLPYWAVGREEHRWHVGMDSAAAATGLLEPGAKELAARSLGRTAHNMSSSSLRKKSDLALLRKVPCASLRRLLDNLQQVLLATKLVLLFPAVILALAARFFHFGQEWIFVLSLIGLIPLAERLSFLTEQVAFYTGPTVGGLLNATFGNVTEVIIAIFALYEGKVLVVKCSLLGSILSNLLLVLGTSLFFGGLANLGKEQPYDRMQADVSTGLLILGALCHSLPLMLRYSVSSGEHMMVSWDAGLELSRACSVVMLLAYVAYLFFQLKTHRQLFEPQEAEDDGDDSVSQDEAVLGFSSAIIWLGVMTLMTAVLSEFVVSTIEAASKSWELSVSFISIILIPIVGNAAEHAGAVIFAFKNKLDITLGVSLGSATQISMFVVPLSVLVAWIMGVPMDLDFNLLETGCLFLAILVTTFTLQDGSSHYLKGLLLLFCYIVIGICFFVLRQRGSGGNDGVDLGIGSKTWRI >KQL14368 pep chromosome:Setaria_italica_v2.0:III:10809532:10811035:1 gene:SETIT_024748mg transcript:KQL14368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASNSGSGDSMEWGRGRSSGSRKGKRGGNSSSDKPRQPQRGLGVAQLEKIRIESEMAEYLHHPLGQQPPIHRTGSFNLEELRLSHSLPSSPSSPFQANIGVSSSYPIHRPNLAMAYGERSGDIRYGAFQTNNPIIRSPNYHGATYGSEAHHTHPSNVTLPLFEPEESICLNRPYDLNQTVDSSNLDDQEVDLELKL >KQL13043 pep chromosome:Setaria_italica_v2.0:III:2284690:2287958:1 gene:SETIT_023064mg transcript:KQL13043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAAAEGAAAALRSVLSRAQQAAARAGRAPGSVRVVAVSKTKPVPVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKARALLAGVPNLDMVESVDDQKNSIKRIASRLDRVVADLGRKPLKILVQVNTSGEESKFGVDPSGCVELAKHVKLNCPNLVFSGLMTIGMLDYSSTPENFKTLANCRKEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >KQL13044 pep chromosome:Setaria_italica_v2.0:III:2284690:2287958:1 gene:SETIT_023064mg transcript:KQL13044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAAAEGAAAALRSVLSRAQQAAARAGRAPGSVRVVAVSKTKPVPVIRGVYDAGHRCFGENYVQELIDKAPQLPEDIEWHFIGNLQSNKARALLAGVPNLDMVESVDDQKIASRLDRVVADLGRKPLKILVQVNTSGEESKFGVDPSGCVELAKHVKLNCPNLVFSGLMTIGMLDYSSTPENFKTLANCRKEVCKELGIPEEQCELSMGMSADFEQAIEMGSTNVRVGSTIFGAREYPKKN >KQL15654 pep chromosome:Setaria_italica_v2.0:III:22250500:22259334:-1 gene:SETIT_021136mg transcript:KQL15654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASTATRLLLPRPAAPFRSLLLSRRRGRLRRAVHASAVAAGGGGGITGGSGGGGGAAKEPPRTLFPGGFKRPEIQVPALVLRVGAEEALRSGDEVAAAVARGVGIVVLEAGEEGGGRAYEAAVKLRAAVGDRAYLLITERVDVASAVGASGVVLADDGIPAIVARSMMMKSNADSIYLPIVARTIRSANSAISASSSEGADFLIANTGSDNIADVMSGGVGQQVKIPIFFTLNESHSGATYSDITSRLLQSGASGVVTSLAGIQHLTDDLIERDFSKVDGTEEVPQASYSSASTLEDANNVAVLTREKTKVAGFTKLDEKVVQLIEREKPILSEAVAVIRKAAPMMEEVELLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEITLLSYSEIESESFERCERHPDGQFMCYLSVPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYVQQWKKKVVFVLNKLDLYRNSYELEEATAFVKENAKKLLNTEDVTLFPVSSRSALEAKLSYSKNGGGKHLMEAMFNDPRWRSSKFCELEDYLLSFLDSSTENGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAVDDLTSIKDLVSGANNYASKLEADSNSWQKQISSLIERAKGRAVTLMKSILQLSNIDLIFTYTVKGKTGSSTRATSFVQNDILTPAFDDAVNILGEYSTWLSSSNTREANLYLECFHKRWAALVAQEERVLSDPNGLVNEGEKLTVKALDGFDASSTAKVFEEEIREVAFGTFGGLGVAGLSASLLTSVLSTTLEDLLALALCSAGGFIVLSSFPGRRKLAIEKVNKAADELSRKVDEAIQKDISQSANNLVRFVEVISKPYQEACQQKIDWLQGVQGELSAVERKLQTLKVEIQNLHGS >KQL15655 pep chromosome:Setaria_italica_v2.0:III:22250729:22259264:-1 gene:SETIT_021136mg transcript:KQL15655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASTATRLLLPRPAAPFRSLLLSRRRGRLRRAVHASAVAAGGGGGITGGSGGGGGAAKEPPRTLFPGGFKRPEIQVPALVLRVGAEEALRSGDEVAAAVARGVGIVVLEAGEEGGGRAYEAAVKLRAAVGDRAYLLITERVDVASAVGASGVVLADDGIPAIVARSMMMKSNADSIYLPIVARTIRSANSAISASSSEGADFLIANTGSDNIADVMSGGVGQQVKIPIFFTLNESHSGATYSDITSRLLQSGASGVVTSLAGIQHLTDDLIERDFSKVDGTEEVPQASYSSASTLEDANNVAVLTREKTKVAGFTKLDEKVVQLIEREKPILSEAVAVIRKAAPMMEEVELLVDAASRLSEPFLLVIVGEFNSGKSTFINALLGRQYLQEGVVPTTNEITLLSYSEIESESFERCERHPDGQFMCYLSVPILKEMNLVDTPGTNVILQRQQRLTEEYVPRADLILFVLSSDRPLTESEVGFLQYVQQWKKKVVFVLNKLDLYRNSYELEEATAFVKENAKKLLNTEDVTLFPVSSRSALEAKLSYSKNGGGKHLMEAMFNDPRWRSSKFCELEDYLLSFLDSSTENGKERVRLKLETPIGIADRLLTSCQRLVKLEYEKAVDDLTSIKDLVSGANNYASKLEADSNSWQKQISSLIERAKGRAVTLMKSILQLSNIDLIFTYTVKGKTGSSTRATSFVQNDILTPAFDDAVNILGEYSTWLSSSNTREANLYLECFHKRWAALVAQEERVLSDPNGLVNEGEKLTVKALDGFDASSTAKVFEEEIREVLLHLKAFGTFGGLGVAGLSASLLTSVLSTTLEDLLALALCSAGGFIVLSSFPGRRKLAIEKVNKAADELSRKVDEAIQKDISQSANNLVRFVEVISKPYQEACQQKIDWLQGVQGELSAVERKLQTLKVEIQNLHGS >KQL13559 pep chromosome:Setaria_italica_v2.0:III:5237482:5241754:1 gene:SETIT_022367mg transcript:KQL13559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWTNGRWTGRTSGMMCSREKRMLGAKHKGVAGKQRRAFTELGRQVDKPELQSPRRACPTALEIEISPCTFPCAFPSGQQENLSLLPPPLSPPPRPSSPPCQEQSEEAPRNPSVRPAMATKLMQKFVDSCDDGGDAGQQDAGCVRAVLAELVLTFLFVFTVVSAAMAAGSGVKAGEAMPMAALAAVAITNALAAGVLVTAGFHVSGGHLNPAVTVAMMVRGHLSKLRTVLYVAAQLLASSLACILLRYLTGGMVTPVHALGAGIRPMQGLVMEVILTFSLLFVTYAMILDPRSQVRTIGPLLTGLIVGANSLAGGNFTGASMNPARSFGPALATGDWTHHWVYWLGPLLGGSLAAVVYESLFVVNKTHEPLLNGDC >KQL13713 pep chromosome:Setaria_italica_v2.0:III:6260846:6265559:1 gene:SETIT_021354mg transcript:KQL13713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVGPLLVAMASLLDAPGLQFFGWLITAGSFGLAALIYALLRLQREASLYWIKAAAREKRAAWKSLRCPSSSHTWSEDYFSGGQPSTCCVCLSSLGSAQGVVGSRAAEADVVHRCSVCGVAAHSYCSRGAEKDCKCVAQAGVSPLLHHWSERWVELDDNPEISSFCYYCDEPCGVPFLGVSPIWRCLWCQRQIHVDCHAKLLKETGNTCDLGLLRRLIVPPQSVKEISEGPAISGMLNSIKEGFSSRRSRDRRPRSKKRMNNHPGGKTNPTPTNSSILDSVLEGFARLQGLDGKYALAKPNLSENSLKQTFGSGIPNGGKRKYELVDLPQDSRPLLVFINGKSGGRNGPSLRRRLNMLLNPVQIFELSASQGPDVGLQLFQNVKHFRILVCGGDGTVAWVLDAIEKQNYESPPPVAILPLGTGNDLSRVMRWGGGLSSVERQGGICALLNDVDQSAVTVLDRWNVAIKEKNGTEGQCTKQVKFMTNYLGIGCDAKVAYDFHTTREEKPDQFCSQFVNKLIYAREGAKDMMDRSCSDLPWHVSLEVDGKNIEIPEDAEGVIVMNIPSYMGGVDLWQNDNDHDDDFSLQSIHDKMLEVVCISGTWHLGKLQVGLSRAHRLAQGKVIRLHLHSSFPVQVDGEPWIQPPGCLEISHRGQMFMLRRTSEEPTGHAAAIMSEVLVNAECNGVIDAAQKRLLLHEIALRLSS >KQL12832 pep chromosome:Setaria_italica_v2.0:III:1088098:1090537:-1 gene:SETIT_022768mg transcript:KQL12832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRSPDLSWQIRAVRVLPMFLIPALAALIYSSITSLTKMLDNRDQHTLEKLRTERQAKIDELKERTNYYTTQQLIQRYDLDPAAKAAAATVLASKLGADSGLRVFLGDESSRDAALGKINDNNLGQPTGLRQRKSAHLSNGTGQTNSSEPFDGSNVYDGNEEGSPGTPNQRTVEHFRGPAGNDGGWLARVAALLVGEDPTQCYALICGNCHMHNGLARKEDFAFVTYYCPHCNALNGSRQHEDHELVPSSGKESPSSESDIIIAQAGASLASSGVASPVAGSLPTVEELSVEDSGEKASNDQPAS >KQL14263 pep chromosome:Setaria_italica_v2.0:III:9971474:9972302:-1 gene:SETIT_024431mg transcript:KQL14263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAVDAVAGVEQVVPQPAVRKGPWTVEEDHVLVNYIAAHGEGAWNNLARAAGLNRTGKSCRLRWLNYLRPDVRRGNMTAEEQERIVQLQARWGNKWSRIAKHLPGRTDNEVKNFWRTKIQQKKHKNKEHSAIETIITVAGVCSGMACKDTPAITEDQGSSNYSGRTGVTQDYGIFLAASGENFWAIVDDSGRRCSRTRREHVHLHVVEK >KQL16346 pep chromosome:Setaria_italica_v2.0:III:38006219:38006764:1 gene:SETIT_025741mg transcript:KQL16346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYLGLTLVRPLHMEATWCGAPLPGLLRWWSLVLHSCTSRSVCLPNGSEVFRSPPLDPEGRRAFRGALIYQMVRPAETTCVGWLVGSPPCLHPSSEGPC >KQL16614 pep chromosome:Setaria_italica_v2.0:III:42906840:42908131:-1 gene:SETIT_025112mg transcript:KQL16614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLDCTGCQNLLVEPLFWYWSDRFYAHNWRIRYYPHAHEGHISLYLELDRSSAVDAAGDVKFKFSLLDQSGNPVPKFTRATTKPCSFEGLSSHHGFRDFIRWKDLEESGCLKDDAFTIQCDVAFTTDLGGSTTNDAAAPATAAVPPPPPATNPHEHLITDILWKHKRWVDVTIDVGGEATFDAHGWLLAARSPVLEAELLAASSKEKKSAAGAAHRRIEIQGVDPKVFKAEEEDAMAMAQGLLAAADRFKLDRLKLVCEETLSRRIDVSTAAGTLAVAEQHGCGALKAACLEFMARPGNLAAVMETEGYDKAKAGIASVVLTEVFLKQAARMI >KQL13326 pep chromosome:Setaria_italica_v2.0:III:3822294:3826346:-1 gene:SETIT_022021mg transcript:KQL13326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVSDMSAAGLGLNKYCYAGLITAFKNKTPTTEETMAKILDFVEQSKGWKYVERVSKDNAENVMMNVSEEELYNLPTAEYVNRRGGFVLKQFTVYHVAIHACAELRSKETLEALLEMFNKDNRDGSSYDAFMVMQAMRCYLRCGDIDSAIKMFEEYTSSRFPAAELYVTLAEGAMIGHTPRGMQVAQETIEKMVSRNFFLNPRMGTDLLLSAAGERTGGYTTANYVWDLLQSRNITPSLPAVEAYHKGLKEREIPADDPRLLNVTRVLDNLNVRFGPRRNIQ >KQL13327 pep chromosome:Setaria_italica_v2.0:III:3822294:3827538:-1 gene:SETIT_022021mg transcript:KQL13327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLKNSVLLQGRLRHAAAAAFTARRGAATSTEEYQRRNYAENASEYNTVMGSLVAQRRPYLLRDAYDDMMLDGVQPVRDTFHTLIVGTMKGSRLQDALYFRDQMKEMGLQPDVNIYNFLISTCGKCKNSDAAIMLLEEMKAHGVKLKGETYICLLNALAATGRTDQVYAIVSDMSAAGLGLNKYCYAGLITAFKNKTPTTEETMAKILDFVEQSKGWKYVERVSKDNAENVMMNVSEEELYNLPTAEYVNRRGGFVLKQFTVYHVAIHACAELRSKETLEALLEMFNKDNRDGSSYDAFMVMQAMRCYLRCGDIDSAIKMFEEYTSSRFPAAELYVTLAEGAMIGHTPRGMQVAQETIEKMVSRNFFLNPRMGTDLLLSAAGERTGGYTTANYVWDLLQSRNITPSLPAVEAYHKGLKEREIPADDPRLLNVTRVLDNLNVRFGPRRNIQ >KQL13964 pep chromosome:Setaria_italica_v2.0:III:8007302:8012624:1 gene:SETIT_024658mg transcript:KQL13964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPRWCLKLAVGASMLVLLVAAAPPQAAGGVTLRVDPGQVVVDNGVVQVSLSRPQGQITGVRYGGGGDQNLLEYNASQGNSGGYWDVVWNYPGSDHPGGTMDMLDGTEFQVVSSSEEQVELSFRSSYNASRPNSVRLNVDKRLVMLRDSSGFYCYAIFEHAREHPALNISVARLAFKLNAAKFNYMAISDGIQRYMPSAADRDPPRGVPLAYKEAVLLVDPVEPEFRGEVDDKYQYSMDNEDNTVHGWIAGSNDDDPAAATGFWVVTPSNEFKNGGPLKRELTSHVGPTSLSVFLGPHYVGRDMVIEFEEGEYWKKVLGPVFIYLNSGRPDSKRGLWEDAKARAQAEVRKWPYDFPRSPDFAKAGERGSVTGRLWVRDGVANKQQQPAAMAYVGLAAPGQPASWATESKRYQFWTRAASDGSFSIGDVREGVYNLYAWVPGILGDYMHTFPVTVTPAGGVDVGDLVFEPPRSGPTLWEIGVPDRSAAEFYVPDPDPRYASRLFLTKDRYRQYGLWERYAALYPDSDLVFTVGESNHSTDWFFAHVTRKVVGGKGDTTVVPTTWQIRFHLDRVVAGGNYTLRVALAASHMSSLQVQVNGGGGDGAVGTRGALAAAFLMGDNNAIARHGIRGTEWSLDLGIDGRLLIRGDNTIHITQASALNQFVGVMYDYIRLEGPST >KQL12966 pep chromosome:Setaria_italica_v2.0:III:1884713:1888236:1 gene:SETIT_022888mg transcript:KQL12966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAASRRLLHLRPGLELCLRSRPQSCSSWAKGTARFRRDVPRRSLVSCRQGSFEEGNAAMDKAMRSPDEQLSHCKDGNGTTLDPIGKNFANEFAQHSLEEEATDDVMCGISESVVRDVGKAAIELLAARAFTVSELRKKLRSKNYPVDAIDAVVADFKSRGLLNDGFYAESFSRSRWMSSTWGPRRIKQALRQKGVPEAEVDQATRSVFQDGDGHGKEATYGISEASMDHLFAQALKQWQRGQSLTLENRRGRIVRWLQYRGFNWSVTNAIVKKLEAQHPS >KQL12968 pep chromosome:Setaria_italica_v2.0:III:1884713:1888236:1 gene:SETIT_022888mg transcript:KQL12968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAASRRLLHLRPGLELCLRSRPQSCSSWAKGTARFRRDVPRRSLVSCRQGSFEEGNAAMDKAMRSPDEQLSHCKDGNGTTLDPIGKNFANEFAQHSLEEEATDDVMCGISESVVRDVGKAAIELLAARAFTVSELRKKLRSKNYPVDAIDAVVADFKSRGLLNDGFYAESFSRSRWMSSTWGPRRIKQALRQKGVPEAEVDQATRSVFQDGDGHGKEATYGISEASMDHLFAQALKQWQRGQSLTLENRRGRIVRWLQYRGFNWSVTNAIVKKLEAQHPS >KQL12967 pep chromosome:Setaria_italica_v2.0:III:1884713:1888236:1 gene:SETIT_022888mg transcript:KQL12967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAASRRLLHLRPGLELCLRSRPQSCSSWAKGTARFRRDVPRRSLVSCRQGSFEEGNAAMDKAMRSPDEQLSHCKDGNGTTLDPIGKNFANEFAQHSLEEEATDDVMCGISESVVRDVGKAAIELLAARAFTVSELRKKLRSKNYPVDAIDAVVADFKSRGLLNDGFYAESFSRSRWMSSTWGPRRIKQALRQKGVPEAEVDQATRSVFQDGDGHGKEATYGISEASMDHLFAQALKQWQRGQSLTLENRRGRIVRWLQYRGFNWSVTNAIVKKLEAQHPS >KQL14823 pep chromosome:Setaria_italica_v2.0:III:14039903:14041333:-1 gene:SETIT_024357mg transcript:KQL14823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKLLFSLTFLILARVSGANSFSSSSSANFTSMFAFGDSYIDTGNFVIMATPVIPVWIDKPPYGMTFFGRPTGRTSDGRVTIDFFAEEFGLPFLPASLSNSSDIAQGVNFAVGGATAIDVGFFERNGLVPFKLLNNSLDVQLGWFEELRSSLCNNATEGSGGCFGKSLFIVGEFGVNDYAFIFSANKTEAEVRSYVPKVVRTIASAVERLINNNGAAYVVVPGNPPIGCSPAILTLRQSPNAADYDRIGCLRDVNDVVRYHNALLRRAVVGLRAKHPHATIIFADFYTPIRRILENPDQFGVVSDVLKACCGTGGDYNWNASAVCGMPGVAACRDPSAYVSWDGVHFTEAVNQYVAEGWLQGPYADPPILRATIKH >KQL15094 pep chromosome:Setaria_italica_v2.0:III:16664768:16665820:-1 gene:SETIT_024181mg transcript:KQL15094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRRILGLSATVSGRLRRGLSTAASHPPWAMIYRTELVKSPAPGTSIQLGAPPRASTLFVPDHLVDLRPCPDPDSDIVAFQGGMVSATSGDGLLLLDFTDARGTAPIVDTPGGRCRKLIGLDLDPDVTRFVCNPLSGQLLRLPDIDGTKKTASSNNFGILTRSAHGHGPPDRYVVAELSDDRDAEEGSFVMRRFPPETGVWEKLVGLPSPLPLPRRMDIYHEVLAFAGRLWWVDLSWGAVSADPFSDRPELSFVELPSASVLPVPSTIAEYDAAREVQGVYRRLGVSEGRLRYVELSQKMPFLLSSFVLDEDGRSWTLEHQMALGVLWEKIDPPPEEHYPRIGVVDPLN >KQL15968 pep chromosome:Setaria_italica_v2.0:III:26179988:26181013:1 gene:SETIT_023506mg transcript:KQL15968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSGNGSGGRLNPWAEPFVPSGVRYRGLQTAAAEQEVDDFSPEWWRLVASSPAFRDRWLSDYGALGLLDADEDAEVVDSFLPADLFSPPPPRQERAGGKRGRQEGRRRP >KQL15967 pep chromosome:Setaria_italica_v2.0:III:26179988:26181013:1 gene:SETIT_023506mg transcript:KQL15967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSGNGSGGRLNPWAEPFVPSGVRYRGLQTAAAEQEVDDFSPEWWRLVASSPAFRDRWLSDYGALGLLDADEDAEVVDSFLPADLFSPPPPRQESEREESAAGKRGGAGLEVAAWGIDKWWRAHAGPPEAPRYAEKAPRRVAGGARVSPRPIQQPR >KQL14691 pep chromosome:Setaria_italica_v2.0:III:12953538:12960749:1 gene:SETIT_021087mg transcript:KQL14691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDGEVDGIHAANRRAELVRWLNALVPELSLPSDASDEELRELLGDGEVLCRIANTLIPGVLEGSWGGYASLDQRSGNVKKFLSVVADMGLPGFSVKELDEGSMSSVVECLLVLRDSVDPRLGDDSPQDVAKTPSRKQWGVSEMDKPQVPGAALGKRSPGEDRRNGQKFREVFQLKRGSYSDLPASKISEMMHSSSLDNAPTQSLISVVNGILDESIERKKGEIPHRVVYLLRKVVQEIERRLCIQAEHIRSQNIIIKTREEKYCSKIKALEMLVKGTNEENQMATNRLQILKDEKSKIEERRKLSEQDVHRLVKEKEHSENIIQSLKKDMEAMNRMHEEQLEKIERKAKQMEEQLTTKVKEVEYLLLQSNKKIEEVEAASKLKSQLWDKKENIFQSYMDNQQLYVKDIRISSRTIKNDMYALQMKWRDEMSNLGSGLKCLVDAAENYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDKKSTTIDYMGENGEMLISNPLKQGKDGHRMFKFNKVFSPSATQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPSTSKQDWGVNYRALNDLFDISLSRINAFSYEVGVQMVEIYNEQVRDLLSNDIAQRRLGIWSTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQANRAVGSTALNERSSRSHSILTVHVRGLDLKNGSTSRGCLHLIDLAGSERVEKSEVTGDRLKEAQYINKSLSALGDVIFALAQKSTHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDVESYSETISTLKFAERVSGVELGAARSNKEGKDIKELLEQVASLKDTISRKDMEIEQLQLNKDKVKSPNLSFDRNGAGLTKNTVNQPSQLLSGERMLKFSDRVLSDPQSYAEANGDSTNIAPMGLDEAEYEDNASDDGLPAGETENSNPEKAAEMTAERLHRAPSRITRFTLTKNGQSSRSKLKDAVLKTPSNTKAPSSQLTGASARGSKRWQ >KQL17477 pep chromosome:Setaria_italica_v2.0:III:50644994:50646824:-1 gene:SETIT_023093mg transcript:KQL17477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAPGGGAAAGNDDGEEQQLNPFLDVAPSASSRVQFRNVVSRARWVEEAGAVEVVESKGKLFLVERGALIRLNDKDKTIGIEGIYEKIAGGKYGCSWDAFQAYKHLKSLGYIVGRYGVPWTMKNSGTCDTTVPPTSVVHTDQSFNRVDGTCSDITMLLKEMHIDGISPSFEVYLPNSKFKKSSPGTPSFLLCLLRNKPPSRVVLEMVENNFGGIPLKYCHVDNGRVSFLSLDKVALPSLP >KQL13109 pep chromosome:Setaria_italica_v2.0:III:2633589:2633894:-1 gene:SETIT_0229431mg transcript:KQL13109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQATALLEQVPGFWRDFLLGMLKPVAATAVVAVAVALSFSQRLGLEREMLFAVARVFLQLSVVGFVLQFIFSQENALWILLAYLFM >KQL16002 pep chromosome:Setaria_italica_v2.0:III:26716337:26717935:-1 gene:SETIT_025172mg transcript:KQL16002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSKRTSAPTPMEVPPLVAQSGQPAMTQPAPLPSIPSMFGPGQPGMASSAAQGRWWAPAGVGASAYPWSGSNNLEESDVQECRPQAASNGSSSQAIHIDDDNNDCNCSRTEKRLTWTKEEDLRLVSAWVNNSNNPIQSNFKKNDQYWKGVAYVFNSTTPKKPNGPFMFRHCWEVLCKEPKWEAYLERLEDLEPDKRKFSVDEDVGKHFSLDDSRDEWPIGGKKAKEQMKKRKKEQPCIIDLEDELNNFLDAHKTANEGRNEMLETQRRVSSENLEARTLAHLVAKEHKESVMLETYQVLLMKETTGMPEDVKSEHVLALKCLREKLFNKND >KQL16647 pep chromosome:Setaria_italica_v2.0:III:43324815:43331289:-1 gene:SETIT_024551mg transcript:KQL16647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSMHLLGLIWLAASWELITVVHGQPNSPDFITIDCGLDADGAYPDKNTLGLRHVPDTGFTDAGFNAPVRPPYYKPDWFDLYRTVRYFPDGGGASKRRSCYTLGPVSPGRRYLVRARFYYGNYDGLEATPPVFDIHIGVNRWTTVNITSPKSRHVIEAVTVPPVDFLQVCLVDTGWGTPFISGLELRPLGETMYPEATVDQSLLLLRLARPSWLADYSNNRFHFGKGSFIRYPDDPDDRSWQRYKDPTWTNINTTDTVDITNTINFDEPNKILQSCATPENGTWINFTWSSDPDLNDDNATYLLFLYFAELKRLPSNALRKFDILVDNATWKGSQSYTPKYLSAEVVKTMVQGSSQHAVSLAGTPDATLPPILNAFEIYSVKPMTEFATNDADAKAMMTIRTAYALKKNWMGDPCAPKSFAWDGLNCSYPSSGPASVTDLLLSSSRLAGEVHVSFGDLKSLKYLDLSNNSLSGSIPDFLAQMPSLTFLAENNPNLCNNGASTCKSGDKKRNKTIVIATVVPIALATLLFLAAFLIIRNMRNKRDRWMANNSRLSSPRDRPNIFENRQFSYKELKLITANFVQAIGRGGFGDVFLGYLENGNPVAVKMRSKTSSQGDKEFLAEAQHLGRVHHKNLVSLIGYCKDKKHLALVYEYMRGGNLEDRLRGESTTVTPLTWPQRLKIALDSAHGLEYLHKSCHPPLIHRDVKTGNILLSADLEAKIADFGLMKKLSEGDIASIADPRMGGMYNVNSVWKVAELALQCKEEPSRKRPTMTDLVLELKECLELEVSHALTDYCSSSVQSTTANISATSVDLHTADQGTDHPRQHAPIYLEQTVMASTSSVGPTPR >KQL17188 pep chromosome:Setaria_italica_v2.0:III:48778418:48782089:-1 gene:SETIT_021482mg transcript:KQL17188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAALRRAAPLRGRAVSALAAAVLQQQPAAAMGAVAPRLPRQLPAATAAWFHSSPAWLGFRETGPAGASARAQFAEEGSFYEEEKRAGGGAAAEEGLEIAKLGISSKIVDRLASKGITKLFPIQRAVLEPAMQGKDMVGRAKTGTGKTLAFGIPIMDAIIRHNDKYKPGKFPLAIVLAPTRELAKQVEREFIESSPLETLCVYGGTPISQQIRKLGYGVDVVIGTPGRVIDLLKRGSLSLAEIRFVVLDEADQMLSVGFDQDVETILQQVPQQRQTLMFSATMPTWIRKLTQKYLNNPVTVDLVGEDDQKLAEGISLFSIATENREKPAVLGELIKEHAKGGKCIVFTQTKRDADRLSCSMSRSFQCEALHGDISQAQRERTLAGFRDGRFNILIATDVAARGLDIPNVDLVIHFELPNSSEIFVHRSGRTGRAGKKGTAIAMYNYRQNRDVQSIERDIGSKFKELPKVNVEGSVLLGGDFDSFGGGGGGGYGGSNFGRSRGSGGRGGGFGNSSGRGGGFGRSGGGGGGFGDSGFGRSDGGFGGSGFGRSGGGGGFGDSGFGRSSGGYGDSGFGRSSGGGSGFGRSGGFGDSGSGRFGGGFGSSSGGFGGGFGDRKSG >KQL14415 pep chromosome:Setaria_italica_v2.0:III:11087988:11099681:-1 gene:SETIT_020943mg transcript:KQL14415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAQGLALRLRAAPPVATSRRAPRSQTTVRAAGAAYGGAMQHRRCCGGCVSLEGGRFLHGAPLPAAQQRARALPWAERAARRHEGVVASAAGVRSMSKIPESSIGLYDPSLERDSCGVGFIAELSAEPSRKTVTKDGGFELPRPGEYAVGMFFMPTDEKRREKSKLVFHEIAKSLGHDVIGWRRVPTDNSDLGKSALDTEPVIEQVFVSKSTHSKADFEQQMYILRRFSIKSIREALGLQRGGPKDFYMCSLSSRTVVYKGQLKPTQLKGYFFADLGDRSFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMKAREGLLKCKGLGLSRDEMSKLLPIVDATSSDSGAFDNVLELLIQSGRSLPEAVMMMIPEAWHNDTNMDPERKALYEYFSALMEPWDGPALVSFTDGRYLGATLDRNGLRPGRFYVTYTGRVIMASEVGVVDIPVDDVRRKGRLNPGMMLLVDFENHCIVDDDALKKQYSRAHPYGEWLERQRIQLMDIIDSVPETERIAPRIAALPQQNENKEAVGIHGILAPLKAFGYTLETLEMLLLPMAKNGVEALGSMGNDTPLAVMSNREKLTYEYFKQMFAQVTNPPIDPIREKIVTSKECMIGPEGDLSETTEHQCHRLKLEGPLLHIHEMEAIKKMNFRDWRSKVLDITYPKKYGRKGLEQTLDKLCAQAREAIHEGYTILVLSDRGFSLDRVPVSPLLAVGAVHQHLVSNLERTRIGLLVDSAEPREVHHFCTLIGFGADAICPYLAIETICRLQIDGKIASKGDGQPYPQEELINKYFNASNYGIMKVLAKMGISTLASYKGAQIFEALGLASEVTSKCFEGTPSRVEGATFEMLAQDALYLHDLAFPSRTLPSGSADANAVPNPGDYHWTKNGELHLNDPFAIAKLQEATRLDSREAYKEYSRRTQELSRYCTLRGMLKFREIPVRISLDEVEPASEIVKRFCTGAMSYGSISWEAHTTLAKALNILGGKSNTGEGGEQASRMEPLADGSMNPMISAIKQVASGRFGVSIYYLTNAIELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIYDLKNSNPEARISVKLVSEVGVGVVASGVVKGHADHILISGHDGGTGASRWTGIKNAGLPWELGLAEIHQTLVANGLRGRAVLQTDGQLKIGRDVVIACLLGAEEFGFSTAPLIVLGCIMMRKCHTNTCPVGIATQDPVLREKFAGKPEHVINFFFMLAEEVREIMSQLGFRTINEMVGRSDMLEVDPDVLKGNEKLQNIDLSLILKPAAEISPDAVQYCVEKQDHGLDMALDNKLIVSSRAALEKGFRVFTETPVRNTNRAVGTMLSHEVTKRYRMSGLPPDTIHIKLNGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSRFIPQDNIVIGNVALYGSTKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDLDGMFSTRCNHESVDLYSVDEEDDIVTLRMMVEQHRLNTESVLAKDILSNFEDLLPKFVKVFPRDYKRVLENMKVEKVAKEAEQKARKAGLDKKAGEVIKAPNGISIMTKKVKGKKSSSRPTQVFNAENSRGFITYDREGISYRDANERVEDWNEVTNELVPGPLLNTQSARCMSCSTPFCHQENFGAGCPLGNKIPEFNELVYQNRWREALYRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKGIECAIIDKGFKEGWMVPRPPLQRTGKKVAIVGSGPAGLAAADQLNKMGHFATVFERADRIGGLMMYGVPNMKADKADIVQRRVNLMAEEGVTFVVNAHVGTDPQYSIEKLRSESDAVILACGATRPRDLPIPGRELSGIHFAMEFLHANTKSLLDSNLEDGNYISARGRKVVVIGGGDTGTDCIGTSIRHGCSNIVNLELLPEPPRERAPDNPWPQYPRIFRIDYGHQEAASKFGKDPRNYQILTKRFIGDENGKVKALEVVRVEWGKVDGRFQFKEIEGSQEIIEADLVLLAMGFLGPEADIAKKLGLEQDKRSNFMAQFGNFATNVEGVFAAGDCRRGQSLVVWAIAEGREAAAAVDKYLSRERTNAAEDVAAPSPSEGLVQPVAA >KQL12859 pep chromosome:Setaria_italica_v2.0:III:1298046:1298570:-1 gene:SETIT_024014mg transcript:KQL12859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHDFKEPMDIKPIKTCTRSCHFLNIICPMPVCVVTFQHKLAFTGWQQ >KQL13940 pep chromosome:Setaria_italica_v2.0:III:7864264:7866365:-1 gene:SETIT_0253511mg transcript:KQL13940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTGSGQQQSPSPAAADADPGAGAEKLVFEAPPQPVREDYVQNAVKFLSHPKVKGSPVVYRRSFLEKKGLTTQEIDEAFRRVPDPQPSATTATASQPQQQANSQNQSTGVQTYAPVQSVLPGTAGPVVLRTQPRFSWYQAFLAAGLLLGFGASAAVFIKKLFIPRLKSWIRSVVAEGDEIQGNQPKAKIDEETAEAVKASASAVSAIAKTNQQLLASKDEEKKILSTLTQALDSQARELKSLSESLNHSRESINITREDRFSQYRPLEEHAPPAIRNGPVNSSWRASQQTNMYGASNGDFGSGWRSSFAPAPIEPTAGSFSRSYA >KQL17123 pep chromosome:Setaria_italica_v2.0:III:48427989:48434432:-1 gene:SETIT_021159mg transcript:KQL17123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSCRVHALQQSNQMPYNNQTKGRPSRNWPAALRAGGGPGPSRPAPADRSAPAGARKPGGFPPMAAPLETRPDHTPRKRWWGDGMGAWEYNTSRRRPKQTRREAAGRRVGGGRCGRPPAGSPDQMDTPDRPRAAAAAGFEDSPVFNFINNLSPIPPPKPSDRAHNVQLFKSSDLAPVSSIFASPHVNPAKEPKILIRDDSVQLPQELHSPSSVRTRVGSSITFRMIRCRDIVSENCNTTCRLNEASIDSSDHTSNSESQLPQSTQNGVGSVETNKDQCADGKTDLTISQECTDLEGMNLDESGPDKMDSSHSGIDLHENQLSEQNKDEPAAYNGDYMITNQPCGDMLTLGLPFGTETQSVNDTQKAENSYPGKSLMNEESSDHYTQNSAHEPHHYWTGAVEGAAVNYTPQALPGALQSQLMPCNKLNEPRDYMPTEQNALSQHLRGMRRRSLFNEKAGASNKGVDKASDHHSVNSTTPKCKTISGDINSKPLRTPPCALPGIGLHLNALAAIPKEKIVSRDIHSTINESSSLPCPAGSSPLPYEQNIINDDFAQTTDIVSAEPSSQGSPKKKRHKFDNGDGTSCKRCSCKKSKCLKLYCECFAAGVYCSEPCTCQGCLNNEIHMETVLSTRQQIESRNPLAFAPKVIHTSEPGLELGDFNNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSISCRCEGCKNAFGRREGVAALSIEEPKQASEESNACVKEEKCEIDKQLVIYQATDLAAAENLLTTPSMVECRPLACLPPPSSKKPSSKKPRSSAKSGNPSRLCNSQAPLKTDILLAQFGNYAEMVLGDSTTDTLKGNSSPQTSVKVVSPNKKRVSPPRMGTGLSPICKSGRKLILKSIPSFPSLGGDFNNEDSKSKAPAP >KQL14466 pep chromosome:Setaria_italica_v2.0:III:11379450:11383062:1 gene:SETIT_021119mg transcript:KQL14466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGSGGASAPSAGGSSLAIAERQKPAPSCVAALFQMFARRKLFSSSSKKSKLLPPVRATKFSPGRQAGGGEKTAAAKMRPLLLDSPDYSRSKIEGNGISHYPQPGQDRNCGENEMCAPGVVARLMGLSSMPAVNHQRPIEATDSTEFGDHRNSGPQDWSSTSRSIYTLPKKQQKTGQVIDDRRQDNGSLFNADTRPLWPRRHAHKVASPVKSPRSMSSRNKARLIEAAVKVLEPGLQSRNRRLSRRHAYLEYPCSSDDGLPGTSAVLRNVSDQFLRDMSGADAQRLGAPNIGATPLNNSTSNKWTEEDTGRKSIPFRRSDQNVPCQIQPEGNGKHLLISSSEKAGFEDSAKRTSNCVAVTNQDARKNPPRNMSQESARRGPLKQNNLKQNTLPVACREADPGCMVQRNKHRTGEQNATNTAQNFISLNKRMTDSKSLRSKRKELDRIGESHTRAENKNMATKGRQSSSLHSDTSNKLKLKTVTPKAMEKDMIIAKGAGLVSEKPKSASQNCARIDFQRQSVSCSISRDNKKSGIISFTSSSPVKFDATSLCSDNGTRTGTAVQGSPVGACPKRHSRRDRQNTYPQRGIVFKDVLEGISSLETAESVFFNQDELKNREIPGGRVVSSLFEKKGAVPVTDESLSDAQLWQRNSVHTVTYQFRGPSKPVRLHETHSKKHEANAKGCSPSPLISRASNKKSTTSILQSTYADDAFIPGVPLSTAETTFTDSHPTETCTPAASMQDTPTEKNSFSDPIFGQRDAQPLQPEVQDSKLKHPGQVTTTVELLLTNVRSCTRRESKEPSKTFLLRTIESALSTLTPGSKQDLNSIKAKEASSLRNLALDFVWDCLDSMCTQLCDSGYRSFTRLGLICTEERLAAEVRKEIARCSDMAGRGLDELAVSEVERAVEAGMGSMLEALQIGAQIEQDLVQELLNEIGLDMFRR >KQL12788 pep chromosome:Setaria_italica_v2.0:III:879903:880410:-1 gene:SETIT_023969mg transcript:KQL12788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLKNYDAYVKCIYYCSAKKKHTDGESKLNQPCAANNCPFTYMLKAVHTEGVISI >KQL12624 pep chromosome:Setaria_italica_v2.0:III:79153:84601:-1 gene:SETIT_020982mg transcript:KQL12624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAILLTTDDEEESDEDSDDDDGASSSFCRYRPEEVRQKAMLRAMNGQLKMLAARFLESAGIPADSCWLDVVTSLSWEAALLIRPDATAGNEMDPSSYVKVIRGLVFKKNAAHKHMPTRCHNPRLLLLRGALGDSHTALSSFSSIEQEKKHLQESVRRMVEVCRPDVIMVEKTVSRDVQELLLEEGVTLVLDMKLNRLQRIARCSASPILDFPEVLSLLKLKPKLKHCDYFHIEKLTEEHNNHTVAEVGKRQSKTLMFLEGFHKPLGCTILLRGANTEELKKVKQVMLYTVFAAYHLVLETSFFEDQRVILNNRNASKEESCVHSKTVSSVMIPEGPVTCYNEIPEGPITYYDSNQALPSERLVSSVQRSPRRSIDIFRYYQNIYLPVTSSQEATDHQKEDMLQYNIQDIHTQFGSGENVDHLSDPQNQASTEGDQHITSDDPWVSGKHEHPSTPLENGEQQSTSYISGDNTSDVDEVDDVLESQSILILLSSQCITKQVICEQSHLSRIKYYGNSDVSLGRYLQDILQNQNLSCSSCGEPPEAHISSRRVLMSTEAHYLSFGKFLELSFSSHSTARRLSICGHSLNKDCLRFFGLGSKVAMFQYSSVEIYTACKPQPTLEFHNPNAHEWYGQEVLARGVMLFSEVTGLLQDLKDQFSEVAIYCGTFLPIKEFCQLEDMLIKEKSEFMCALAQAVDRSGTPSSVHEILNVNWLYQDLLLELYVWDRRLHQLLDCISAERERMGIGIKGTYEFTDDQTDVVAEADGIAECTNSNASFEDGCIEPEKFSQQGIDTTLLDENAWGKHYEEQHSTKVPCSGISNCLDMQSNELVARSVSPKQEPFSISQQFRLSQWDDREKWVWNPLHESRLAYRQELEVGCLERFELVNHYCPSHLSPLDRHKQADDEVGSPQFTVGPGGNVLCVSEDEISSIISRALAISEERRHLLDAITESEPADSRGGERTKTMEKSYSSVSESSSASSSWSSAWSSSGSSDSEASTSSDDLSSYDSSLLSSSLHPEISVNGRVALKGKYSVTCVHSNQFYNLRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTVDDRFVIKQIKKTEFESFIKFAPDYFKHVYHSLDTGSQTCLAKILGIYQVKQIRHGKEIKMDLMVMENILFGHNVSRTYDLKGAVFSRHVSDSNDHDTVYLDQNFVDDMRVSPIYIGGRTKHLLQRAIWNDTAFLTSINVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNESPTVISPREYKKRFRKFMSKYFLTVPDDWSTENSPASYKSSCAHADSTKLQAPQHPNPNPIVACA >KQL12849 pep chromosome:Setaria_italica_v2.0:III:1229777:1234577:-1 gene:SETIT_022001mg transcript:KQL12849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDPPTAAEKEALVSSFLEIAAGQTPETATQFLQMTSWHLEEALQLFYIDGESALAARPAAPSAAAAMAAAAGVEEALRFAPPPAAALGDPMLQGLGVGEDDDVRAPLPVKRETLYGDGPVSVLRPSTTVAFRNFEQEARQSAVWDSDQNATSSSRDNLASLYRPPFSLMFNGPFDKAKLEASCLDKWLLINLQSTEEFSSHMLNRDTWGNEAVAQLIRSNFIFWQVYHDTSEGRKVCTYYNLVSVPAILLIDPITGQKMRGWNGMVHPDRLLEDLLPYLDKGPKEHHAAQPQKRPRKVDQESSMAKQGKPTVEDEDEELARAVAASLEGSKEVAEESGATDDMAEAEPEEDNEPSLNIKLDYPPLPEEPTGSRDLLCRVAIRLPNNRRIQRNFLHTDPIKLLWSFCAPEVEEGEKRAFHFVQPIPGASQKLEFASDLTFKEARLANSMINLLWD >KQL15718 pep chromosome:Setaria_italica_v2.0:III:22976947:22977520:-1 gene:SETIT_025489mg transcript:KQL15718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDFWGRPTPQPSASCIIVSPTMQPRRWRLQLACNRRRREVHTLYILHLLHANSMVC >KQL12976 pep chromosome:Setaria_italica_v2.0:III:1911711:1913548:-1 gene:SETIT_022681mg transcript:KQL12976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLILLQDSVIMVLNGVYRDRSYARFFVLETIARVPYFAFISVLHLYETFGWSRRADYIKVHFAESWNEFHHLLIMEELGGNALFFDRFLARFMAFFYYFMTVGMYMLSPRMAYHFSECVERHAYSTYDKFLKLHEEELKRLPAPEAALNYYLNEDLYLFDEFQTARVPCSRRPKIDNLYDVFVNIRDDEAEHCKTMKACQTDGSLRSPHSTQNCLEADTEGVIPEK >KQL12978 pep chromosome:Setaria_italica_v2.0:III:1912226:1914055:-1 gene:SETIT_022681mg transcript:KQL12978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASTSIPPLPVALPAAAARFLPLRGRRAADPRLGPVATWRRFRAEAIKTQREKQQTEVPVEESFPAREAAPLDGADDPMVPSDEGWVVKLEQSVNILLTDSVIMVLNGVYRDRSYARFFVLETIARVPYFAFISVLHLYETFGWSRRADYIKVHFAESWNEFHHLLIMEELGGNALFFDRFLARFMAFFYYFMTVGMYMLSPRMAYHFSECVERHAYSTYDKFLKLHEEELKRLPAPEAALNYYLNEDLYLFGEPLYGLVVLDAMHRLLISVLIAPTVLPPQMSFRQQEFHVLGGLK >KQL12977 pep chromosome:Setaria_italica_v2.0:III:1911463:1914308:-1 gene:SETIT_022681mg transcript:KQL12977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASTSIPPLPVALPAAAARFLPLRGRRAADPRLGPVATWRRFRAEAIKTQREKQQTEVPVEESFPAREAAPLDGADDPMVPSDEGWVVKLEQSVNILLTDSVIMVLNGVYRDRSYARFFVLETIARVPYFAFISVLHLYETFGWSRRADYIKVHFAESWNEFHHLLIMEELGGNALFFDRFLARFMAFFYYFMTVGMYMLSPRMAYHFSECVERHAYSTYDKFLKLHEDEFQTARVPCSRRPKIDNLYDVFVNIRDDEAEHCKTMKACQTDGSLRSPHSTQNCLEADTEGVIPEK >KQL12979 pep chromosome:Setaria_italica_v2.0:III:1911463:1914308:-1 gene:SETIT_022681mg transcript:KQL12979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASTSIPPLPVALPAAAARFLPLRGRRAADPRLGPVATWRRFRAEAIKTQREKQQTEVPVEESFPAREAAPLDGADDPMVPSDEGWVVKLEQSVNILLTDSVIMVLNGVYRDRSYARFFVLETIARVPYFAFISVLHLYETFGWSRRADYIKVHFAESWNEFHHLLIMEELGGNALFFDRFLARFMAFFYYFMTVGMYMLSPRMAYHFSECVERHAYSTYDKFLKLHEEELKRLPAPEAALNYYLNEDLYLFDEFQTARVPCSRRPKIDNLYDVFVNIRDDEAEHCKTMKACQTDGSLRSPHSTQNCLEADTEGVIPEK >KQL15023 pep chromosome:Setaria_italica_v2.0:III:15733728:15734632:-1 gene:SETIT_023266mg transcript:KQL15023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRDSGKATVVSAPAGRAANGTGGDGGPGDASFDTNMVIILAALFFALLFAIGLNSLARCALRCGIRGAAGGGGEGASASSSTRGASCAGGIKKRALRSIPVEVYGGGGGGEGGHGAGAAEEEEEDVCAICLGEFADGEKVRVLPRCGHGFHVPCVDAWLLSRGSCPTCRRPVIDGKKPAAAKPGAAGQSRRPPDSDTIAVVIA >KQL16265 pep chromosome:Setaria_italica_v2.0:III:35360943:35364989:-1 gene:SETIT_021684mg transcript:KQL16265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMAPHHLSRPAAAAAASGAVSGALNRHRAFSASTSPLRLPLLSAAATRPARLSAAAAVFTASAPIAAAAMDAVADWGLTPLSEADPEVYDLIEREKRRQRAGIELIASENFTSLAVMEALGSPLTNKYSEGMPGARYYGGNEVIDEVEELCRARALAAFHLDPARWGVNVQPYSGSPANFAAYTGLLQPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSSDTGYVDYDRLEEKAMDFRPKLIICGGSAYPRDWDYARLRAIADKCGAMLLCDMAHISGLVAAQEALNPFEYADVITTTTHKSLRGPRSGMIFYRKGPKPPKKGQPEGSLYDYEDKINFAVFPSLQGGPHNHQIAALAVALKQSMSPGFKAYIQQVKANAVALGNHLMSKGYKLVTDGTENHLVLWDLRPLGLTGNKVELLCDLCSITLNKNAVFGDSSALSPGGVRIGTPAMTSRGLVEKDFVQIAEYLHQAVTICLKVQEGHGKILKDFKKGLVNNQDIEDLRAEVEKFATSFEMPGFRVSDMKYKD >KQL13316 pep chromosome:Setaria_italica_v2.0:III:3770399:3773407:-1 gene:SETIT_022295mg transcript:KQL13316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTRQRKKALAAAAGPSIAPADRLGALPDDALRRILGFLPAKDVVRTCVLGRHWRHLWKSASGLRIGSAEEPAACGDKLMEFVGSLLQARGGSPLETTPKLNHETNLLNLINPSLVNGYYEPDINRWIRHAVMCHVRVLFLGFSRTLHWFEVVSLPLVSQHLARLVLFMLELNGNFLDFSSCSVLEQLEIDHCRLQSVNRISSQSLKSLSIIGFSVLACSFHTQIRSPNLISLHMECITGRAPVLERMPSLVEAVVDINLSQAKKLVLSANIEMIIFRRDLIWCPIFSNLKTLLLNEYWCVPGDLSALACILEHSPVLEKLTLQLFCEGSKSKVQIKGSPDPAKRSTAISEHLKIVEIKCEVLDQKIQNVLEFLSKLNIHSYSLHFEE >KQL13021 pep chromosome:Setaria_italica_v2.0:III:2127467:2133235:-1 gene:SETIT_021281mg transcript:KQL13021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKSTAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFTYSSHKAVNEYKEAKALGVDTVPVLTGPVSYLLLSKAAKGVEKGFPLLSLLSGILPVYKEVIAELKAAGASWIQFDEPTLVLDLDSQKLAAFSAAYTELESALSGLNVLIETYFADVPAESYKTLTSLSGVTAYGFDLVRGAQTLDLVKSGFPAGKYLFAGVVDGRNIWADDLAASLSTLQSLEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDAEIKSWLAFAAQKVVEVDALAKALAGQKDEAYFAANAAAQASRKSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYVTAIKEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSTTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCEIKDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASTK >KQL13019 pep chromosome:Setaria_italica_v2.0:III:2127467:2133467:-1 gene:SETIT_021281mg transcript:KQL13019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKSTAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFTYSSHKAVNEYKEAKALGVDTVPVLTGPVSYLLLSKAAKGVEKGFPLLSLLSGILPVYKEVIAELKAAGASWIQFDEPTLVLDLDSQKLAAFSAAYTELESALSGLNVLIETYFADVPAESYKTLTSLSGVTAYGFDLVRGAQTLDLVKSGFPAGKYLFAGVVDGRNIWADDLAASLSTLQSLEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDAEIKSWLAFAAQKVVEVDALAKALAGQKDEAYFAANAAAQASRKSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYVTAIKEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSTTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCEIKDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASTK >KQL13020 pep chromosome:Setaria_italica_v2.0:III:2127467:2132199:-1 gene:SETIT_021281mg transcript:KQL13020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKSTAEDLEKVATDLRASIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGFDTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPNTKFTYSSHKAVNEYKEAKALGVDTVPVLTGPVSYLLLSKAAKGVEKGFPLLSLLSGILPVYKEVIAELKAAGASWIQFDEPTLVLDLDSQKLAAFSAAYTELESALSGLNVLIETYFADVPAESYKTLTSLSGVTAYGFDLVRGAQTLDLVKSGFPAGKYLFAGVVDGRNIWADDLAASLSTLQSLEAVVGKDKLVVSTSCSLMHTAVDLVNETKLDAEIKSWLAFAAQKVVEVDALAKALAGQKDEAYFAANAAAQASRKSSPRVTNEEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYVTAIKEEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPNPMTVFWSTTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKAEHAFYLDWAVHSFRITNCEIKDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALTNMVSAAKLIRTQLASTK >KQL13540 pep chromosome:Setaria_italica_v2.0:III:5107393:5109629:-1 gene:SETIT_024797mg transcript:KQL13540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTRRRPFLGVSLLLLAMSCAVGAAVPESTARSIRRANRLGPFLGVVVPNAFEMEPLLRSPRFSPARSLPPHLDVAGRRFRFGTIGEQKVVIVMTGLGMLNSGVTTQLLLTLFDVKGIVHFGIAGNADPDRQIGDVAVPRYWAHTGLWNWQRYGHGPENELALESNGDYTRELGSLNFTDYTVGKNARSDGNLLNGVWYQPEEVFPAASGTPEVRGHAFWAPVDARYYELSRKLEGVKLEACVVSNGTTCLPRRPAVAWVERGCSASVFVDNAAYREFLRSRFGVTAVDMETAAVALVALQQGAPFIAVRALSDLAGGGAAESNEAGVFAPLTAQNAVTVAVELISLLN >KQL16756 pep chromosome:Setaria_italica_v2.0:III:44725673:44728013:-1 gene:SETIT_024187mg transcript:KQL16756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARGPHLAGVGRALLLLAAAAHFLPAAESSCPRDNSLVRDISRMHQSNYGREGFSHITVAGALAHGMKEVEVWLQTFGPGKRTPIHRHSCEEIFVVLKGKGTLFLGSSSLKYPGQPQEIPVFQNSTFTIPVNDPHQVWNSDEHEDLQVLVIISRPPIKIFIYDDWSVPHTAAKLKFPYYWDEECLPAPKDEL >KQL14985 pep chromosome:Setaria_italica_v2.0:III:15372458:15375800:1 gene:SETIT_025275mg transcript:KQL14985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEKGRVFKDLPALKRWLQAFAVIRKRPYKVLHSYAERRYTVVCEKEICPWRVCARKQKVTVKWNITKAIGPHNCADHELRMKHPQLTSTLVAKWLMKMIYGGWEAGYEQLLVLFNAIIAVNPGMRYEYIPKPNEWKDGRHLFFRAFWCFPQCVEAFRHYRPIFSIDGTFLSGKYRGTLLIAISCDANNNLVPLAFALVERENNDSWGWFLRLVRLYVVGPGREVGVISDRHQGILNAVREQIKGYAPLHHRWCTRHLAENLLWKDGRKLEQIRTTTNAKGRQWLKGLMRDLDKWTRAHDVGGWRYEFQCSNMPESFNKLLLGICGMPVNAIVQFTFYKLVAWFNDRHAYALKLRSDGEKWPPKPKEHLEKAKERAATHEVICFDLDTGTYQVEQRGGTTSNGEVQESRIHVVVLRDFTCTCGKPRQYHFICSHLVAAAMHRNFDIENMIPHEFTVDTLVHTWNPRFVPFQDPREWPPYDGPKYIADPAYCWNKRGSRKRMRNWMVMDQIPGRTRRGRATPFLTDPKQNEYGKWRPETHTFHLTFRDMIVTLEDYQKMLDLTIRGDTVTGPCRSDGARTSGVLISWLRQQFAQCHEDADEHTIGNYCRAWILHLFAYVLFPDATSDTMSWIWGSAVLGFLYRQLCEAFRWSTSSASLGGCVYLLQLWMWAHLPVGHPEVLSHREWLERAYHDFTNELDTLTVSSVSWESYVGEGALTFPLSTMCSSDDDLYRMRCPLICFYAVEFHLPDRVASQFA >KQL13514 pep chromosome:Setaria_italica_v2.0:III:4898690:4901782:-1 gene:SETIT_022168mg transcript:KQL13514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPMTWSASPPSPWGRGAGKWWALGGPLAVKAVGFLLLAGLLFRVLCSFPPSPAPALQVSKGKCNLFNGEWIPNPSGPAYTNASCRFIDSHQNCMMNGRPDKGYLHWKWKPYGCDLPPFDAVRFLDSMRNKAWGLIGDSILRNQVQSLLCLLSKAEEPVEVYHDKEFKNRRWHFQSYNFTVSIVWAPFLIRSDVFENENGESTSEIQLHLDILDASWTSQYESFDYVIISGGQWFLRTAVYWENGAVVGCHYCQNKNLVELGFEHLYRKTLQKVFSFITSAKHKPVIFFRTWSPDHFENGEWFNGGSCNRVTPFKKGEYQEGYNERVMRAIELEEFNKAAAALRGSVDVERMELMDTYSLSFLRPDGHVGPYRTPYPFAKDSKDAASIQNDCLHWCVPGPIDAWNDLVMKMVLDQ >KQL14906 pep chromosome:Setaria_italica_v2.0:III:14784695:14786712:-1 gene:SETIT_022297mg transcript:KQL14906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWGGFVEKAKPYIAMISLQFGYAGMNVLTKVSLNQGMSHYVLVVYRHAFATLSIAPFALVLERKVRPKMTWSIFWQIFVLAMLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKLDIKKVRCQAKVAGTLVTVAGAMLMTLYKGPLMELAWTRHASHAHAGGAEAPAAAAISGRDWFLGSVFVIIATLAWAALFILQTHTIRQYPAHLSLTTLVCFIGTLQATVVTFVMERRISVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAVMGSFILSEKIYLGGVLGAVLIVAGLYSVLWGKHKETQEKEADAKMALPMASSRRAGGGISGVGDDTEGNTGSGVRSSSGVPGAAANAV >KQL16823 pep chromosome:Setaria_italica_v2.0:III:45523898:45526516:-1 gene:SETIT_022790mg transcript:KQL16823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVRGSSAAPPTNSRSSGEIGFGLVIPLAGLFLPAPAPFPLAFPTPSAVASARTATAPLATGGAPRIRTETASGARRSAAGHARSSPERMAAVDDIAGALGFIRVVFGDKVSRWRGGRGRARLLASRPAGHVLAVRWRERRIGRLRSPRARAFEILIRSLFNVGENVTGWNGVKRWVRVRLSPGFPISHPSARGARRGRERAMVVSTFTGPGIGIGFGVGCGFGVGWGFGGMPLNMFGLGIGGGCGVGLGLGWGFGNAYGCQYRSSRVQFQGIEFQKKAEGDEASKVVPPEIAEKSRPCG >KQL14172 pep chromosome:Setaria_italica_v2.0:III:9333038:9333454:1 gene:SETIT_025440mg transcript:KQL14172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMQVKKNKHFLNSYFILATCCQLVKVHRTAYKNAVLFMPCKLYSFSY >KQL12773 pep chromosome:Setaria_italica_v2.0:III:779859:781452:-1 gene:SETIT_022300mg transcript:KQL12773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAALLPSTLSVPKKGNLAAVVKDTAAFLSVPQKLLQVPSLSVRAQAVATAPVATPGASTATKDGKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKTAKAAKGAGMAEGSYTIMHLDLASLDSVRQFVDNFRRAGMPLDSLVCNAAIYRPTARTPTFTADGYEMSVGVNHLGHFLLARLLLDDLQKSDYPSRRLIILGSITGNTNTLAGNIPPKAGLGDLRGLAGGLRGQNGSAMIDGSESFDGAKAYKDSKICNMLTMQELHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWEISEKLVGLA >KQL12772 pep chromosome:Setaria_italica_v2.0:III:780110:781365:-1 gene:SETIT_022300mg transcript:KQL12772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAALLPSTLSVPKKGNLAAVVKDTAAFLSVPQKKLLQVPSLSVRAQAVATAPVATPGASTATKDGKKTLRQGVVVITGASSGLGLAAAKALAETGKWHVVMACRDFLKTAKAAKGAGMAEGSYTIMHLDLASLDSVRQFVDNFRRAGMPLDSLVCNAAIYRPTARTPTFTADGYEMSVGVNHLGHFLLARLLLDDLQKSDYPSRRLIILGSITGNTNTLAGNIPPKAGLGDLRGLAGGLRGQNGSAMIDGSESFDGAKAYKDSKICNMLTMQELHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDPEKARKLWEISEKLVGLA >KQL15833 pep chromosome:Setaria_italica_v2.0:III:24365520:24365896:1 gene:SETIT_025725mg transcript:KQL15833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKIRSFRSRTKTFLLFVCISESGVKCSVKLR >KQL16807 pep chromosome:Setaria_italica_v2.0:III:45369667:45370789:1 gene:SETIT_023390mg transcript:KQL16807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLHRSSVLGGGGGRAPRRGGAGTPRAPPPRQHQLFSKSDSIKKRSAAAAKGSKRARLRAGLAAALQDLRFGGRHKRRSSPASADGATRPGSQVGHEAARRAADAAACPPCATAASNSRQQGTMESGGGDGALLLLLLALMALVCVVALGRAPAVCCCTCAAWLCRGRSAAAGAARSAVDQ >KQL13207 pep chromosome:Setaria_italica_v2.0:III:3185316:3187484:-1 gene:SETIT_022241mg transcript:KQL13207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTARSNGTSGTSAGSFGGPYNMKYSSYLNDEPMPDAASEKEQGNEYFKQKKFTEAIECYSRSIGLSPTAVAFANRAMAYLKLRRFKEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISLEPNNPELRKQYTEIKALHMEKLGKKTPVPTKHAVSGLDKPADKKDITSHTPTISQKGSFMEVDSPTRAAVEIRESPGGSSSSKGGSGVIVNENVLQVFIFTAFLTIL >KQL13209 pep chromosome:Setaria_italica_v2.0:III:3184532:3187484:-1 gene:SETIT_022241mg transcript:KQL13209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTARSNGTSGTSAGSFGGPYNMKYSSYLNDEPMPDAASEKEQGNEYFKQKKFTEAIECYSRSIGLSPTAVAFANRAMAYLKLRRFKEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISLEPNNPELRKQYTEIKALHMEKLGKKTPVPTKHAVSGLDKPADKKDITSHTPTISQKGSFMEVDSPTRAAVEIRESPGGSSSSKGGSGVIVNENVLQQSRNANQKPGPEASIQELASRAASRYMASTVKSVKIPKTAYDFEVSWRALSDDTAQQIQLLKVYFQPFIFYRTMAYHFLLCNFLSSCFASSQFHRQAYQRFSRTHFLLLFSLTS >KQL13210 pep chromosome:Setaria_italica_v2.0:III:3183928:3187484:-1 gene:SETIT_022241mg transcript:KQL13210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTARSNGTSGTSAGSFGGPYNMKYSSYLNDEPMPDAASEKEQGNEYFKQKKFTEAIECYSRSIGLSPTAVAFANRAMAYLKLRRFKEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISLEPNNPELRKQYTEIKALHMEKLGKKTPVPTKHAVSGLDKPADKKDITSHTPTISQKGSFMEVDSPTRAAVEIRESPGGSSSSKGGSGVIVNENVLQQSRNANQKPGPEASIQELASRAASRYMASTVKSVKIPKTAYDFEVSWRALSDDTAQQIQLLKSIPPASLPEIFKNALSAPFLIDIVKCSASIFWDDAALAVSILENLAKVPRFDLIIMCLSSMHKSELRKIWDQVFLAGKASADQVEALRQLRSKYIQGGCQDNAFSSS >KQL13208 pep chromosome:Setaria_italica_v2.0:III:3183654:3187771:-1 gene:SETIT_022241mg transcript:KQL13208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTARSNGTSGTSAGSFGGPYNMKYSSYLNDEPMPDAASEKEQGNEYFKQKKFTEAIECYSRSIGLSPTAVAFANRAMAYLKLRRFKEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISLEPNNPELRKQYTEIKALHMEKLGKKTPVPTKHAVSGLDKPADKKDITSHTPTISQKGSFMEVDSPTRAAVEIRESPGGSSSSKGGSGVIVNENVLQQSRNANQKPGPEASIQELASRAASRYMASTVKSVKIPKTAYDFEVSWRALSDDTAQQIQLLKSIPPASLPEIFKNALSAPFLIDIVKCSASIFWDDAALAVSILENLAKVPRFDLIIMCLSSMHKSELRKIWDQVFLAGKASADQVEALRQLRSKYIQGGCQDNAFSSS >KQL13206 pep chromosome:Setaria_italica_v2.0:III:3183654:3187611:-1 gene:SETIT_022241mg transcript:KQL13206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTARSNGTSGTSAGSFGGPYNMKYSSYLNDEPMPDAASEKEQGNEYFKQKKFTEAIECYSRSIGLSPTAVAFANRAMAYLKLRRFKEAEDDCTEALNLDDRYIKAYSRRITARKELGKLKEAMDDAEFAISLEPNNPELRKQYTEIKALHMEKLGKKTPVPTKHAVSGLDKPADKKDITSHTPTISQKGSFMEVDSPTRAAVEIRESPGGSSSSKGGSGVIVNENVLQSRNANQKPGPEASIQELASRAASRYMASTVKSVKIPKTAYDFEVSWRALSDDTAQQIQLLKSIPPASLPEIFKNALSAPFLIDIVKCSASIFWDDAALAVSILENLAKVPRFDLIIMCLSSMHKSELRKIWDQVFLAGKASADQVEALRQLRSKYIQGGCQDNAFSSS >KQL17003 pep chromosome:Setaria_italica_v2.0:III:47484971:47485808:1 gene:SETIT_024967mg transcript:KQL17003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIDLLLDWHLVHAKFCIGDRICADLIAMASSNSVQHFS >KQL12648 pep chromosome:Setaria_italica_v2.0:III:188185:188401:1 gene:SETIT_025770mg transcript:KQL12648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGIIHIYMTRKDKGRSTKEEYIGTVPELN >KQL17440 pep chromosome:Setaria_italica_v2.0:III:50477131:50480750:1 gene:SETIT_022152mg transcript:KQL17440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDQLRDQRVVVVTQESFYYGLTDEELIHVHDYNFDHPDAFDTELLLSCMKNLKHGKAVDIPNYNFKTYKSVPNARKVNPSDVIILEGILVFHDSRLRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKIHPNLYVIQTTYQIRGMHTIIRDAATATHDFIFYADRLIRLVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYHNLPKDIANRHVLLLDPILGTGLLFIPTYLCTGLHYFSCG >KQL17442 pep chromosome:Setaria_italica_v2.0:III:50476422:50483522:1 gene:SETIT_022152mg transcript:KQL17442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDQLRDQRVVVVTQESFYYGLTDEELIHVHDYNFDHPDAFDTELLLSCMKNLKHGKAVDIPNYNFKTYKSVPNARKVNPSDVIILEGILVFHDSRLRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKIHPNLYVIQTTYQIRGMHTIIRDAATATHDFIFYADRLIRLVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPLFCEDKNRVRLL >KQL17441 pep chromosome:Setaria_italica_v2.0:III:50476422:50483522:1 gene:SETIT_022152mg transcript:KQL17441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIDQLRDQRVVVVTQESFYYGLTDEELIHVHDYNFDHPDAFDTELLLSCMKNLKHGKAVDIPNYNFKTYKSVPNARKVNPSDVIILEGILVFHDSRLRDLMNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKIHPNLYVIQTTYQIRGMHTIIRDAATATHDFIFYADRLIRLVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPLFCEDKNRVRLL >KQL17443 pep chromosome:Setaria_italica_v2.0:III:50476422:50483522:1 gene:SETIT_022152mg transcript:KQL17443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKIFVDTDADVRLTRRIRRDTIEKGRDIKTVLDQYSKFVKPAFEDFILPTKKYADIIIPRGGDNDVAIDLIVQHIRTKLGQHDLCKIHPNLYVIQTTYQIRGMHTIIRDAATATHDFIFYADRLIRLVVEHGLGHLPFQEKQVITPTGSVYTGVEFSKRLCGISVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYHNLPKDIANRHVLLLDPILGTGNSAVQAISLLLKKGVQEANIIFLNLISAPQGVHVVSKRFPRVKIVTSEIEFGLNDDFRVIPGMGEFGDRYFGTDDYQSSTPLFCEDKNRVRLL >KQL15153 pep chromosome:Setaria_italica_v2.0:III:17483176:17483632:-1 gene:SETIT_025670mg transcript:KQL15153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALVMCISHSDFNLLQLTLLEHDLPKCSLTTLILGFLLLI >KQL16256 pep chromosome:Setaria_italica_v2.0:III:35256635:35257492:-1 gene:SETIT_023945mg transcript:KQL16256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTCASSTSCPHNLPKKEEERKEREEEMLHPQYSQFGKICPPQVTCITTTKGSGSECILCV >KQL15619 pep chromosome:Setaria_italica_v2.0:III:21785201:21789719:1 gene:SETIT_021632mg transcript:KQL15619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLVLDGLLEVFPHIDFSTLIEVSIQFKDDIDRAADYVIQNVLPNVVDIHPNTNEDIHGHQQAFDDTNTQLGLDPDNTASSSVQFDQTNKTAVEKEDTLMEKQLKPSLTGLCPEVFDVPSTSGQNCISEEFSSDCLLADSHLHASSERYSENSASEGDMSLHDDGSPHVALRSSYSFNLEALDNVIADEHYKKNALMSNVAAISEMLQEVELNEKNTKRAISEASLAGNDILVKVEELKEMTTLAVENNNKVAGEIFAEKSILETEAQELQTRLFNISEETKSFVLTIDEMHNTLQTRLAAAEAERVAAEKAKLEREALAQKSLKEQELSLEAAQGHYKRLEQQAQENAKLRELLTDRGHVVDALHGEMLGIFESITQLKPRVDMQLPVDEQWQHVSSILSSTAVDETVQQVPVVLSSSAVDKPLQQPPSILSSSAVDEPLRQVSPISVFDEQLHQVSSILSSSVSSILSSSAYDKPLQLVSSRLSSSVRSARSDGSFVASLASKSSWSSAEESNVSLMDDERTAGVSVGDFALDDSWDVVDDVETIEAPIRVKSTPMLL >KQL16979 pep chromosome:Setaria_italica_v2.0:III:47225144:47230904:1 gene:SETIT_021646mg transcript:KQL16979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETNQPPPPPVAAPPPMMPMQPMMAPAVGTGAIHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGNAGDKARVIQSFLFMSGINTLLQTLVGTRLPTIMNASFAFVVPVLSIAREMDPNDFTNNHLRFVHTMRTTQGALIVASILNMILGFSTVWGAYAKKFSPVIMTPVVCVVGLGLFELGFPQVGKCVEIGLPMLILAVIVQQYVPHYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQLHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVAAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVGNIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISVPQYFNEYTSSAGRGPARTNAGWFNDILNTVFASGPTVALIVASVLDNTLEARGFDGDRGLPWFMPFLRRHKGYSDPRNEEFYSFPIRVHDLIPSRFL >KQL16980 pep chromosome:Setaria_italica_v2.0:III:47225144:47230904:1 gene:SETIT_021646mg transcript:KQL16980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETNQPPPPPVAAPPPMMPMQPMMAPAVGTGAIHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGNAGDKARVIQSFLFMSGINTLLQTLVGTRLPTIMNASFAFVVPVLSIAREMDPNDFTNNHLRFVHTMRTTQGALIVASILNMILGFSTVWGAYAKKFSPVIMTPVVCVVGLGLFELGFPQVGKCVEIGLPMLILAVIVQQYVPHYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQLHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVAAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVGNIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISVPQYFNEYTSSAGRGPARTNAGWVFEASPFTPVTFTIFVYLHHPSTICMCCFIFCMLHYCAVTGCFSYAGWVLEFSMPEF >KQL16981 pep chromosome:Setaria_italica_v2.0:III:47225144:47230904:1 gene:SETIT_021646mg transcript:KQL16981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETNQPPPPPVAAPPPMMPMQPMMAPAVGTGAIHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGNAGDKARVIQSFLFMSGINTLLQTLVGTRLPTIMNASFAFVVPVLSIAREMDPNDFTNNHLRFVHTMRTTQGALIVASILNMILGFSTVWGAYAKKFSPVIMTPVVCVVGLGLFELGFPQVGKCVEIGLPMLILAVIVQQYVPHYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQLHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVAAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVGNIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVAAVGVSFMQFANKNSMRNIYIIGLSLFLGISVPQYFNEYTSSAGRGPARTNAGWFNDILNTVFASGPTVALIVASVLDNTLEARGFDGDRGLPWFMPFLRRHKGYSDPRNEEFYSFPIRVHDLIPSRFL >KQL16978 pep chromosome:Setaria_italica_v2.0:III:47226248:47229970:1 gene:SETIT_021646mg transcript:KQL16978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETNQPPPPPVAAPPPMMPMQPMMAPAVGTGAIHPPHEQFHHLAYCVHSNPSWVQVAALAFLHYLVMLGSTVMLASTIVPAMGGNAGDKARVIQSFLFMSGINTLLQTLVGTRLPTIMNASFAFVVPVLSIAREMDPNDFTNNHLRFVHTMRTTQGALIVASILNMILGFSTVWGAYAKKFSPVIMTPVVCVVGLGLFELGFPQVGKCVEIGLPMLILAVIVQQYVPHYFSHIHERITFLFERYSLLLCIGIVWAFAAILTAAGAYNHVSLKTQLHCRTDKSFLMSSAPWIKIPYPFQWGTPIFTAGHSFGMMGAVLVAAFESTGAHFATARLAGATPPPGHVLSRSVGLQGIGMFLEGIFGAPAGSSVSVGNIGLLGLTKVGSRRVIQISTGFMIFFSIFGKFGAFFASIPLPIFAAIYCILFGIVGE >KQL15763 pep chromosome:Setaria_italica_v2.0:III:23524334:23527631:1 gene:SETIT_022857mg transcript:KQL15763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPKNASPDPQIKDKFLVQTTVVPFGTADEDNVPAFFSKETDRYIEEKKLKVVLVSMTQDQVEQPINGVLHAKEPVGVTVAEEILNNVNEAPNVVNEVRHPLKPSFPPLRGTPATFSEISSSVKQGPTVLQDFLVPPNQTSLTLSESAPSLQETSSISVESQFSSTETSAYLKSPPLEYTPTPSEVPPLSDIESTNTDDLHISHVTEDVHTLQMKLNNLEVKLEEAETLIVKLREETKITLQERDKLRKELVFLKKAGAAQVHSSTGFPLLFVVYMAVVGMSLGYLLHL >KQL16492 pep chromosome:Setaria_italica_v2.0:III:40979802:40980563:-1 gene:SETIT_0245202mg transcript:KQL16492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRKGGPPEVRITGIDLSAAWRIEETGRRLSKYAQEFGVPFKYQVIAASKMETIRAEDLNLDPEEVLIVNCLYQFKNLMDESVLIESPRDIVLNNIRKMRPHAFIHAIVNGSFSAPFFVTRFREALFYYSALFDVLDTTTPRDSNQRMLIEQNIFGRAALNVIACEGTDRVERPETYKQWQVRNQRAGLKQLPLNPDVVQVVRDKVDGCYHKDFVIDIDHNWLLQGWKGRILYAISTWVANDDAGSYF >KQL14676 pep chromosome:Setaria_italica_v2.0:III:12882160:12885176:1 gene:SETIT_022572mg transcript:KQL14676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSRGRAPSTPQLLDLIRGEGERKVIGEAGEQGRSARTTSYEAEEDTKLELKLGLPGVHDEERAAGPREKMEQQQESCTALSLGCFPSHSRLATNTATTTGAKRGFFATVGAKPEGCNQRHQDREGCGNELTLGGENMAGERKKGCCPPPSSHDSAAGPVHRSSNPHQGRGAVLPVIGWPPVRSFRRNLTNGSSSKQSPERPNDEAGDKAKLTCKRSPLVKINMDGNPIGRKIDLAAYDNYQKLSSAVEELFSGFLEAQNDLDCTKSGEQGAEEKIFSGLLDGTGEYTLVYEDNGGSRTPVGDLPWNVFVSIAKRLRVMKSSELPHVLTKTASGRAADH >KQL17063 pep chromosome:Setaria_italica_v2.0:III:47912248:47917879:1 gene:SETIT_021776mg transcript:KQL17063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPVEDPVENNLTGTPPTLSVEEQANPRADVQEQLDAEPEKPYDEESKDPTPDELGNPEDANMNNEVVGDLNKEDQTTPKHQEPASVHGEEAGAKQQDNAVPDEQKWSGWPGESVFRILVPVSKVGAVIGRKGDFIKKMIEESKARIKVLEGPQGVPERAVMISAKDEPDAVLPPAVDGLLRVHKRITGGLDGETEQPQRGTVTAGPTRLLVPASQAGSLIGKQGGTIKSIQDASKCVLRILENVPPVALNDDRVVEIQGEPLDAHKAVELIASHLRKFLVDRSVLPLFESQMKAHNVHREQPMPPPQPWGPPPPSPWGHPPNLPPGGPGYAGNPQYMPPRPQDNYYPPPDMPPVEKQPHYGISSYGRDAPPTGPPSGNQHQAHGSSQVTQSMQVPLSYADAVIGAAGASISYIRRHSGATISIQEGAPGEMTVEITGSASQVQTAQQLIKNFMAEASPQGPPAPAPPAQPVDTGYSSYPPYGGASYGSPPGGAAPGPHNGGSYGAAPYPPSYGY >KQL15416 pep chromosome:Setaria_italica_v2.0:III:19874178:19874982:1 gene:SETIT_024079mg transcript:KQL15416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPHYYYPNKVDIEPDESFREGLITCITKMVDNVKVQDQIIQELQQYQDGDRTFGKEIAKRQWKNKHFDPAKKRKQEQRPCEKIVANVLEDQDNEWIIGSEPNANSEQEQEPSRAQAATQPKRRGVQLQQQGDRKRKS >KQL16817 pep chromosome:Setaria_italica_v2.0:III:45485299:45487163:-1 gene:SETIT_023978mg transcript:KQL16817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >KQL16348 pep chromosome:Setaria_italica_v2.0:III:38007383:38010692:1 gene:SETIT_025632mg transcript:KQL16348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYMTQMRSLAPFGSDLLADDMLEDNMTWNFIAGLTVAREILENYGCVLRVISPRRPDAVIGTGGSRIEIWLPSFQTEVADITEEA >KQL16347 pep chromosome:Setaria_italica_v2.0:III:38007383:38010692:1 gene:SETIT_025632mg transcript:KQL16347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYMTQMRSLAPFGSDLLADDMLEDNMTWNFIAGLTVAREILENYGCVLRVISPRRPDAVIGTGGSRIEIWLPSFQTEVADITEEA >KQL14048 pep chromosome:Setaria_italica_v2.0:III:8401762:8403237:1 gene:SETIT_022624mg transcript:KQL14048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLGNNFSKEFRIGIAFLFIHAMHTVASRCSRCGGCGHSSIACRVAATACQRGSEAATMRCGGGGAAAGLRLFGVQLGAASTSGGGASPALQLHKSYSVDCLNLQACSAPAYALVAAPLLLSPSRSSALLLSIDECSTGRATDGGSGGGYLSDDGGRGGAALRERKKGVPWSEEEHRLFLEGLDKLGRGDWRGISRGFVTTRTPTQVASHAQKFFLRQSSAGKKSSTKRRSSLFDMVQNCESGRDVVLVSDASDGKAASTSNSVSPKAPRDVYRKESTAIHERTTIRPSSERDSVSETVTVTTEQAHGYHCSPLNLELGMSLSTPSIGT >KQL16628 pep chromosome:Setaria_italica_v2.0:III:43150570:43156725:1 gene:SETIT_021583mg transcript:KQL16628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPAPAGVEPRAAATKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFIDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKENFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKAHEAIIKQLNLAGSAGPPELQDWTEMAESYDNLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATAINAPDAHAKAWETLKDSASILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRHVLGLGDADSEEFNKDTPNHVVMYMPEVSKTHMGNTMRLGCRRTFFRKPDCLTSKLYGSPPHVDERHRHRYEVNPSFVPMLEKAGLHFVGSDESGKRMEIVELQDHPFYVGVQFHPEFKSRPRRPSPPFTGLIMAATKQLGTNSNNSNGYVGASE >KQL16629 pep chromosome:Setaria_italica_v2.0:III:43150570:43156725:1 gene:SETIT_021583mg transcript:KQL16629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPAPAGVEPRAAATKYVLITGGVVSGLGKGVTASSVGVVLKACGLRVTCIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFIDVTLTRDNNITTGKIYQSVIEKERKGDYLGKTVQVVPHVTDEIKQWIQSVSSVPVDGQTRPADVCVIELGGTVGDIESMPFIEALRQLSFSLGKENFCLIHVSLVPVLGVVGEQKTKPTQHSVRELRALGLTPDLLACRSAQPLIGSVKEKLSQFCHVPVENILNIHDVPNLWHVPLILRNQKAHEAIIKQLNLAGSAGPPELQDWTEMAESYDNLKNSVKIALVGKYTNLTDSYLSVVKALLHASVACSLKPSIQWIAASDLEDATAINAPDAHAKAWETLKDSASILIPGGFGDRGISGMILAAKYARENKVPYLGICLGMQISVIEMSRHVLGLGDADSEEFNKDTPNHVVMYMPEVSKTHMGNTMRLGCRRTFFRKPDCLTSKLYGSPPHVDERHRHRYEVNPSFVPMLEKAGLHFVGSDESGKRMEIVELQDHPFYVGVQFHPEFKSRPRRPSPPFTGLIMAATKQLGTNSNNSNGYVGASE >KQL13973 pep chromosome:Setaria_italica_v2.0:III:8036153:8039695:-1 gene:SETIT_022477mg transcript:KQL13973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAVLRTILVTGGAGYIGSHTVLQLLQQGFRVVVVDNLDNASEVALVRVAELAGHNGGNLVFHKVDLRDRQALEDIFSSHRFEAVIHFAGLKAVGESVQKPLHYYDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPSGVPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGKRTGLQPYSSSS >KQL13975 pep chromosome:Setaria_italica_v2.0:III:8035136:8040045:-1 gene:SETIT_022477mg transcript:KQL13975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAVLRTILVTGGAGYIGSHTVLQLLQQGFRVVVVDNLDNASEVALVRVAELAGHNGGNLVFHKVDLRDRQALEDIFSSHRFEAVIHFAGLKAVGESVQKPLHYYDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPSGVPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGIEEMCRDLWNWASKNPYGYSGSSENGN >KQL13970 pep chromosome:Setaria_italica_v2.0:III:8035136:8037084:-1 gene:SETIT_022477mg transcript:KQL13970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIDMSGLGKLTEHVSLSSQLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPSGVPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGIEEMCRDLWNWASKNPYGYSGSSENGN >KQL13971 pep chromosome:Setaria_italica_v2.0:III:8035136:8037618:-1 gene:SETIT_022477mg transcript:KQL13971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPSGVPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGIEEMCRDLWNWASKNPYGYSGSSENGN >KQL13974 pep chromosome:Setaria_italica_v2.0:III:8035576:8039695:-1 gene:SETIT_022477mg transcript:KQL13974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAVLRTILVTGGAGYIGSHTVLQLLQQGFRVVVVDNLDNASEVALVRVAELAGHNGGNLVFHKVDLRDRQALEDIFSSHRFEAVIHFAGLKAVGESVQKPLHYYDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPSGVPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKASGKVRKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGIEEMCRDLWNWASKNPYGYSGSSENGN >KQL13976 pep chromosome:Setaria_italica_v2.0:III:8035917:8039695:-1 gene:SETIT_022477mg transcript:KQL13976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAVLRTILVTGGAGYIGSHTVLQLLQQGFRVVVVDNLDNASEVALVRVAELAGHNGGNLVFHKVDLRDRQALEDIFSSHRFEAVIHFAGLKAVGESVQKPLHYYDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKEVPCTEEFPLCATNPYGRTKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPSGVPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKASGKVRVYLSQLARMSSLMDV >KQL13972 pep chromosome:Setaria_italica_v2.0:III:8035136:8040045:-1 gene:SETIT_022477mg transcript:KQL13972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAVLRTILVTGGAGYIGSHTVLQLLQQGFRVVVVDNLDNASEVALVRVAELAGHNGGNLVFHKVDLRDRQALEDIFSSHRFEAVIHFAGLKAVGESVQKPLHYYDNNLIGTITLLEVMAAHGCKKLVIEDICRDVHRSDPDWKIILLRYFNPVGAHPSGYIGEDPSGVPNNLMPYVQQVAVGRRPHLTVYGTDYNTKDGTGVRDYIHVVDLADGHIAALRKLYEDSDKIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVFAGRRPGDAEIVYAATAKAEKELKWKAKYGIEEMCRDLWNWASKNPYGYSGSSENGN >KQL14683 pep chromosome:Setaria_italica_v2.0:III:12932987:12935537:-1 gene:SETIT_022230mg transcript:KQL14683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARLVLLCCCVAAALLAASCGGVAAGGRHGPKRHTHTRRLRPGKGGAAAAAGAAAKPYPVNATRVEAIERQFTRWVRFMGAPGHSSYNRALNRAILPARTLVVDKTPGAGDFTSIQAAVDSLPLINLARVVIKVNAGTYTEKVNISPMRAFVTVEGAGADKTVVQWGDTADTVGSWGRAMGTFGSATFAVNSMFFVAKNITFKNTAPMPKPGALGKQGVALRISADNAAFVGCNFLGAQDTLYDHLGRHYYRDCYIEGSIDFIFGNALSLYEGCHVHAIARNYGALTAQNRQSLLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPTREMTVFYGQYKCTGPGANYAGRVQWSRELTDEEAKPFISLDFIDGLEWLKL >KQL16360 pep chromosome:Setaria_italica_v2.0:III:38309645:38310529:1 gene:SETIT_023459mg transcript:KQL16360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKARRRHVPAFGEWNYYYSPSSASPEEPPELSRYGGAARAAEWWCSPEPEACSDVWFRYSPPPRKPAAAPPKKARRTARPQNCSGGAVKGSVALVREQPGGAGAAAAVAAARAPRKGGSRAVVRPVDEDLYQVPPPDDFVSSGRRPRPKRAGRSLWMGCLGGLGCIA >KQL14252 pep chromosome:Setaria_italica_v2.0:III:9879149:9880435:-1 gene:SETIT_023538mg transcript:KQL14252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMALSSSLRALALISPALPSARPSGFAAPASRARGRPRRGAGVVSQAAALPSDAQWLERLPEKKKPLYTHSLPCIEAWLRSLGFTQSREDPAVWVAEKPLWHARLSLDVTDLHIRYLKSGPGSLEKDVERRFSYALSREDIENAILGGP >KQL13350 pep chromosome:Setaria_italica_v2.0:III:3960434:3964635:1 gene:SETIT_021462mg transcript:KQL13350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLARKKLKQKLHTLLPAGEAEVEAHGEEAQAVKERLASSKRPRPKRPPRKKSLPEVVCQTEEEGKEEVERRREERRKEKKEKRRIRRLMEAEAAASAAETQQVGGETGAEAEGEEETSEEADPAVGSHQPVVAEDSSEQNMNKMEVTKLGVGSNSPVVAENRQQDHKVELAETGVGSNNPVVAEHREQSIKKVYVGGIPYYSSEDDIRSFFEGCGSITAIDCMTFPESGKFRGIAILTFKTDAAAQRALAMDGADMGGFYLKIQPYKHNHEKEDFAPKLIEGYNRTYVGNLPWDITEDDLKKFFSDCKISSIRFGTDKETGDFKGYAHIDFADGTSLAVALKLDQKVIKGRPVRIRCAVPKKENQKISDNGNSDPSKSKIRTCYQCGTPGHLSSACPNKKDSDVRKCYECGTPGHLSSACPNKKNSEVISGENKGTVDSAMASSNKRRTCYECGIPGHLSSSCPNKKGVEFISDEKNANVDSATASSKKRRTCYECGTPGHLSSACPNRRTEDSVQNNREPVDDAKSAPTIVPEEMKPGDESNSVPSKKRRKCYECGISGHLSSACPNKKVAEVVDNAVERDTGSTIAEEKANGDTKSAPAKKKKRRTCYECGIAGHLSSECPNKAAA >KQL13349 pep chromosome:Setaria_italica_v2.0:III:3960434:3964635:1 gene:SETIT_021462mg transcript:KQL13349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLARKKLKQKLHTLLPAGEAEVEAHGEEAQAVKERLASSKRPRPKRPPRKKSLPEVVCQTEEEGKEEVERRREERRKEKKEKRRIRRLMEAEAAASAAETQQVGGETGAEAEGEEETSEEADPAVGSHQPVVAEDSSEQNMNKMEVTKLGVGSNSPVVAENRQQDHKVELAETGVGSNNPVVAEHREQSIKKVYVGGIPYYSSEDDIRSFFEGCGSITAIDCMTFPESGKFRGIAILTFKTDAAAQRALAMDGADMGGFYLKIQPYKHNHEKEDFAPKLIEGYNRTYVGNLPWDITEDDLKKFFSDCKISSIRFGTDKETGDFKGYAHIDFADGTSLAVALKLDQKVIKGRPVRIRCAVPKKENQKISDNGNSDPSKSKIRTCYQCGTPGHLSSACPNKKDSDVRKCYECGTPGHLSSACPNKKNSEVISGENKGTVDSAMASSNKRRTCYECGIPGHLSSSCPNKKGVEFISDEKNANVDSATASSKKRRTCYECGTPGHLSSACPNRRTEDSVQNNREPVDDAKSAPTIVPEEMKPVERDTGSTIAEEKANGDTKSAPAKKKKRRTCYECGIAGHLSSECPNKAAA >KQL14259 pep chromosome:Setaria_italica_v2.0:III:9942044:9942697:-1 gene:SETIT_024614mg transcript:KQL14259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSDHRRGVAAVARGLLEHPVAATATTTSASSSHDEEHEVEGFTFAAVARLPAGGAFPDGRVGPVYPVFGRPRSPPLREAEDPGTATALVPLGQLLLEERGAPSSGQSEDDDGGLDGVPAETYCLWSPGASPAPGSQSPSSPARCRKSGSTGSVLRWRQRVVRRSHSDGKEKFVFMDASSESERNAGRKSTFLPCKQDLVGFFANAGAFRRSYLPF >KQL15580 pep chromosome:Setaria_italica_v2.0:III:21433662:21437302:-1 gene:SETIT_022330mg transcript:KQL15580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSVVLLVVALLCLGGGGGGRVAASTDFNFPAVFNFGDSNSDTGGRVAAGFESILPPYGSTFFGGPAGRFCDGRLILDFLMEAMDMPLLNAYLDSLGTPSFRTGVNFAQAGCSITPANPTSVSPFSFGLQVKQFFAFKDKVAKLLSKGDLYRQYIPQDDYFSEGLYMFDIGQNDLAGQFYSKTEDQVIASIPTILLEFETGLKKLYDQGARKFWIHNTGPLGCLPQNIALFGKDPSQLDELHCVAKHNRAAKLFNLQLHALCTKLRGEFAGASITYVDIHTIKYNLIANYSRYGFEHATQTCCGYGGPPLNYDGRVPCGQTVSLNGKPVTAKGCSDSTEFVNWDGIHYTEAANFHIASQILTGKYSDPPFVDKMPFVIKPRF >KQL14040 pep chromosome:Setaria_italica_v2.0:III:8352428:8352766:-1 gene:SETIT_025651mg transcript:KQL14040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNLSGEGSPPKPVARALPMAVPPPVSTPRESSHQGERTLPSFPLPSLIHSTRRGAAIRHAEGRRRRSASLRLGSRTESSLGIKERRSCCRGGQLQATPSLEADPPGEAGG >KQL14039 pep chromosome:Setaria_italica_v2.0:III:8348784:8352800:-1 gene:SETIT_025651mg transcript:KQL14039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNLSGEGSPPKPVARALPMAVPPPVSTPRESSHQGERTLPSFPLPSLIHSTRRGAAIRHAEGRRRRSASLRLGSRTESSLGIKERRSCCRGGQLQATPSLEADPPGEAGG >KQL14041 pep chromosome:Setaria_italica_v2.0:III:8348784:8352800:-1 gene:SETIT_025651mg transcript:KQL14041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNLSGEGSPPKPVARALPMAVPPPVSTPRESSHQGERTLPSFPLPSLIHSTRRGAAIRHAEGRRRRSASLRLGSRTESSLGIKERRSCCRGGQLQATPSLEADPPGEAGG >KQL12842 pep chromosome:Setaria_italica_v2.0:III:1163363:1171137:1 gene:SETIT_021223mg transcript:KQL12842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSAASIGQPPPPAAPPEEEKKCLNSELWHACAGPLVCLPTVGTRVIYFPQGHSEQVAASTNKEVDGHIPNYPNLPPQLICQLHDVTMHADVETDEVYAQMTLQPLNPQEQNDAYLPAEMGIMSKQPTNYFCKTLTASDTSTHGGFSVPRRAAERVFPPLVISHSSLQHRSLSHGIFMIKTSLSVYAIVSYNQGPLQATKMKTLIWSVRQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRASQPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITEVSDADPVRWPSSYWRSVKVGWDESTAGERPPRVSLWEIEPLTTFPMYPSLFPLRVKHPWYSGVAALHDDSNALMWLRGVAGEGGFQSFNFQSPGIGSWGQQRLHPSLLSNDHDQYQAVVAAAAASQSGGYLKQQFLHLQQPMQSPQEQCNLNPLLQQQIMQQASQQQLVSPDSQNIQSVLSPSAIQQQLHQLQQMQHAHNDQKQKIQPDQPYQVPTSAVLPSPTSLPSHLREKFGFSDPNVNSSSFISSSSNENMLESNFLQGSSKCVDLSRFNQPTVSEQQQQQQAWKQKFMGSQSMSFGGSVSLNSPTSKDGSVDNKVGRDVQNQSLFSPQVDNSSLLYNMVPNLTSNVVDNNISTIPSGSTYLQNPMYGCLDDSSGLLQNTGENDPTTRTFVKVYKSGSVGRSLDITRFSNYAELREELGQMFGIKGQLDDPDRSGWQLVFVDRENDVLLLGDDPWESFVNSVWYIKILSPEDVHKMGKQGNDPRYLS >KQL17388 pep chromosome:Setaria_italica_v2.0:III:50155166:50157506:-1 gene:SETIT_022993mg transcript:KQL17388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAKQARTARGSAPDRLSALSDELLCHVLSFLPSRQAVQTTVLSKSSSDFRGGHWWRKLQDFTNNLLMLNNARCLDAFRLDLFWATEFPDLCRDTERWVRRGIKCQPPVFQIRVYRDIFRYHFQIPRLGSGFHLLKSLELAFLDNELDKFPMFDNLRTLSLSYCFFSERDADKLKALGRFLHKSPNLEELILQDFWLPKVSMGRKGKAKLKKTYPRFGNLVRFQCRKLKYTEIIYEKGVKIQELVSFLRASQALQQKII >KQL17387 pep chromosome:Setaria_italica_v2.0:III:50156272:50157506:-1 gene:SETIT_022993mg transcript:KQL17387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAKQARTARGSAPDRLSALSDELLCHVLSFLPSRQAVQTTVLSKSSSDFRGGHWWRKLQDFTNNLLMLNNARCLDAFRLDLFWATEFPDLCRDTERWVRRGIKCQPPVFQIRVYRDIFRYHFQIPRLGSGFHLLKSLELAFLDNELDKFPMFDNLRTLSLSYCFFSERDADKLKALGRFLHKSPNLEELILQDFWMIFCY >KQL17372 pep chromosome:Setaria_italica_v2.0:III:50086677:50090945:1 gene:SETIT_022335mg transcript:KQL17372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQRNEEKLGLCDDRVGVSDQLVADGTFPKRTLPSNRFAPTHQHAVDFDHFTCSQFLDGPPFELMEQSLIFLKFRTPKKILVKDASKNSKHDALVKDRVRNYAIMQGPEKQRRGANTARQTKTTRSKFLLHKRSLFVRVPRSSRSHARDLIPTHPSPSPPPHSGGSLFLRSQIVIHSMAATAGGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAASFTRAKKWVQELQAQGNPNTIMALAGNKADLLDERQVPAEEAKAYAQENGLFFMETSAKTAINVNDVFYEIAKKLLQGQQVQNPQGGMVLNQRPPERTVSSSSCCA >KQL17371 pep chromosome:Setaria_italica_v2.0:III:50086677:50088324:1 gene:SETIT_022335mg transcript:KQL17371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQRNEEKLGLCDDRVGVSDQLVADGTFPKRTLPSNRFAPTHQHAVDFDHFTCSQFLDGPPFELMEQSLIFLKFRTPKKILVKDASKNSKHDALVKDRVRNYAIMQGPEKQRRGANTARQTKTTRSKFLLHKRSLFVRVPRSSRSHARDLIPTHPSPSPPPHSGGSLFLRSQIVIHSMAATAGGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAVSTAQTYLHELII >KQL17373 pep chromosome:Setaria_italica_v2.0:III:50086677:50090019:1 gene:SETIT_022335mg transcript:KQL17373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQRNEEKLGLCDDRVGVSDQLVADGTFPKRTLPSNRFAPTHQHAVDFDHFTCSQFLDGPPFELMEQSLIFLKFRTPKKILVKDASKNSKHDALVKDRVRNYAIMQGPEKQRRGANTARQTKTTRSKFLLHKRSLFVRVPRSSRSHARDLIPTHPSPSPPPHSGGSLFLRSQIVIHSMAATAGGNKIRNAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNAASFTRAKKWVQELQAQGNPNTIMALAGNKADLLDERQVPAEEVLAIF >KQL15576 pep chromosome:Setaria_italica_v2.0:III:21408144:21409789:1 gene:SETIT_023435mg transcript:KQL15576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARYRDVPYLCRLCLVVPMSTGASEWDVHCDCRVVDLQLQSAVPVPRHRTVVLRVACSVGCRAAGAPPEEVAYGRVRAAGARPGSGGRREPVSSRLGTPGWPAGRRTGGRRCGRGVTNGSAAAPLGPESRDWLSIDARGRTSYEPPSLLLNERYEGSTPRDRKNQGNSRTTQ >KQL16901 pep chromosome:Setaria_italica_v2.0:III:46576795:46580199:-1 gene:SETIT_023304mg transcript:KQL16901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYKQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLQKKVRRLGLKITLSARTAEGKLFVFEDLEVPSHKTKNIVNYISQMDNTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRDAVNRIVERMHTPINR >KQL16161 pep chromosome:Setaria_italica_v2.0:III:32372841:32376390:1 gene:SETIT_021947mg transcript:KQL16161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRFHVAPVLVAVLLAWACQLRPTAAATAIGHEAGRIGRLPGQPVVDFPMYSGYVTVDGRAGRALFYWLQEVPAASQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPGGATLFLNKYRWNSAANILFLDSPAGVGFSYTNTTSDLYNSGDRRTAHDSYTFLVRWFERFPQYKYRDFYIAGESYAGHYVPELSQLVYRKNKGVSKPQINIKGFMVGNAVTDDYHDQVGTFESWWNHGLISDATYRRLKATCVSTVHHDIEHTSPPCDAAYDAATVEQGDIDPYSIYTPTCNQTSSSTTARKNWRLKGHYPWMRGSYDPCTEMHSTVYYNRPEVQRALHANVTGINYTWTTCSGIINTNWGDSPRSMLPIYKELIAAGLRIWVYSGDTDSVVPLTATRYSIDALGLPTVVSWYPWYDKKEVGGWSQVYKGLTLVTIRGAGHEVPLHRPKQALILFRHFLHGKPMPKNGTVV >KQL16160 pep chromosome:Setaria_italica_v2.0:III:32372841:32375002:1 gene:SETIT_021947mg transcript:KQL16160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRFHVAPVLVAVLLAWACQLRPTAAATAIGHEAGRIGRLPGQPVVDFPMYSGYVTVDGRAGRALFYWLQEVPAASQPAPLVLWLNGGPGCSSVAYGASEELGAFRIRPGGATLFLNKYRWNSAANILFLDSPAGVGFSYTNTTSDLYNSGDRRTAHDSYTFLVRWFERFPQYKYRDFYIAGESYAGHYVPELSQLVYRKNKGVSKPQINIKGFMVGNAVTDDYHDQVGTFESWWNHGLISDATYRRLKATCVSTVHHDIEHTSPPCDAAYDAATVEQGDIDPYSIYTPTCNQTSSSTTARKNWRLKGHYPWMRGSYDPCTEMHSTVYYNRPEVQRALHANVTGINYTWTTCSGIINTNWGDSPRSMLPIYKELIAAGLRIWVYRFFAP >KQL14959 pep chromosome:Setaria_italica_v2.0:III:15080184:15080691:-1 gene:SETIT_025678mg transcript:KQL14959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNNERIITVAAPSQMITVSTYIILLQFPAVVVSIMRIRRGIKGR >KQL16127 pep chromosome:Setaria_italica_v2.0:III:30349782:30349889:-1 gene:SETIT_024840mg transcript:KQL16127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPECISEVLSLREREVANLGSDGVLVLLIRLGLAN >KQL14850 pep chromosome:Setaria_italica_v2.0:III:14343547:14344278:-1 gene:SETIT_025239mg transcript:KQL14850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPPAMDRRAQRLVTRVSVALAALATLSLVHLLHHASPSCFPASHSLALTLSLAPFPRTSCDAATRRVVPPDRSLAKLRASSRWRRRISALAASVFPPLRGLGFLAAPSRVLCLAAGAGHAVDALRSTGVADVTGTDLVDFPPLVRRADPHRLPFSNAAFDLVFSDDPSAISGALFPSRLAAEAERAVRRGGGIALAVDREIETAAVAALFKRSRIVDVKDVTLDGSQVRLLILQSNGTTSIPH >KQL16829 pep chromosome:Setaria_italica_v2.0:III:45600376:45606986:1 gene:SETIT_024183mg transcript:KQL16829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSGGCRHAGAVRQAGPLGADVGRRLPRQPAWRDSSPPIDACWVRPSFLLSSSFSSRTSRAQAPPRRQPPSHPQIPQIRRFGLGKVVEIHPYVVWKFLWKYVQYVECVQLICPICAMCSVHDMVKYLYFVDGSFSSLFYSVEFFDGPPTFTDLVDRAMRKYRCRVDEMTLRGCFDCGKARAHYVLMNLGSDSNWKHYKDVVHEANVACLEVVVEIVRMLGPNVVLRDEVVVVNRNGTQESEILQHVLGETESAFDLAIANDDFPNDTFERDEANIDDDDISMGSEDSEFEDDGVVERDVELPSVPNDRDISMVHKAICESSMVNFEGIPFSESLVIKYGMKFKSLEELKFFLADYAVRLHRPFSVVRSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRILNVVQSHTCRSSQLKRVHVQCIAKYLGRRILGIIRKDNETSVPSLVESIFAFSGYRVKYSKVCLAKQHAIALLWGDSKESYGMVPRVLTAMAYYNFGVKWFIDSCDMMHPDNGVLKHVLQRVFWCFPQCSVAFQHCRPMILVDDTFLTGKYKGTLLMAVGVDPKQQLVPLAFALAESENNESWSWFMKLVRRHVLGPSCQVYMISDRHHGLLNYVNDHGWHFAANMSCRQKNDRVIGKLKTLCKLHTETEFNEKLEHLVKDLNDDAKEWLKGEKEDKDKWAQAFDEGGMRWGIMTTNYSESLNVVFKGIRSRPVSRIIEYSIEKCNAYFVDMMAKDIHGDRHYRVDLNEVSCTCNVSQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTVRIWESSFQPYLDPSQWPPYEGVEYVPNPNLMRNKVGRRQKKRLRGDMDVMAAPAYPLLEATYDSEHRAHPLTDLHECPKTHTFHLPFGEMTITMQDIAMILDLPLEGHPVMGIIQSKNWRDMVAMHIGIKPPELEDGDNSKKTSAMASKQCSSHILSCVDVQAIRGNPYRRYRAYTNELDVVTQHQVEWEPDRQLCRCTTPMILYYVVEFHMLHRVMWQFGRMQTCPPLELSTSQQLHRLKHAQYLIQWENRQRCDPEGGPYWRAGPNNEFIRWYCASTRTKAKPSWSNVPIEDAPYDSSDDIADVYDTVTRYGTQPERALLHDYMQLARLANEVGVVMERAVGSSDGVLRQFAEVEAQPSLHRCPYYLCNPLNIIMVNLCCLHVVEGSKKLRANGHEDELHVSHRYAPRGQWPGHFFRITADSIGDSPKDCITIHCAGNQPEDDNPTYGEELEMSSMFDAPPVTQTQGESS >KQL17244 pep chromosome:Setaria_italica_v2.0:III:49184749:49185754:1 gene:SETIT_025041mg transcript:KQL17244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPRLSRQSLHLVLRAGRDLHALTSMDISRLLLVGKGSLPVPSIYYSPLRSPVSELASDRLKDAFALFGKSKVLCSDAGGFATMYNAESHSFLSVPELNSPKGARYIAISIPHVDSAMFGDKLRGNHTDSLYMMAMVPGELCSFEMLAYYPKSRWRWRPLPPPPFHSDPKYRTPDSIPFALVGGTRILVSSDRATYSFDTVAMEWSKAGDWVLPFLSKLEYDTELKMWFGISTRSPCGELCAVDLSAVALGSCDELPVVQHVGLDVDLPQKYWKLMDAAVVNLGSGRFCIARFFVVVDDHD >KQL17096 pep chromosome:Setaria_italica_v2.0:III:48266960:48268497:-1 gene:SETIT_022090mg transcript:KQL17096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMDSKSKGSKKQSKSLLGKYELGRLLGRGTFAKVYLARPVAGGEPVAVKVLDKAEVMGTAGMAPRVLREVTAMRRLRHPNVLRLHEVLATRSRIYLVMELAPGGDLLSRLAALPRRRLPEHAARRVFVQLVAALSYCHARGVAHRDVKPQNVLLDGDGSLKVSDFGLSALPDSLRDDGRLHTACGTPAYAAPEVLRRKAYDGAKADAWSCGVILFVLLAGQLPFDDSNIADMCRKAHRREYEFPEWVSPAARRLINRLLDPNPATRVAVEALAAHPWFKRSLSVDSQLGGLLNGQPERALAFRAPAMNAFDIISMSPGLDLSGLFDKRNREKRFMTTASPEQTLEQLGRAGGKLGYVVVGKKGLECLPLGGLSGSGLAAMTVEMSEVAPPLMLVELRLEVADGDGDDEGKPFGWEELRHELGDVARGWHSCQDF >KQL13538 pep chromosome:Setaria_italica_v2.0:III:5094954:5097050:1 gene:SETIT_024879mg transcript:KQL13538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLLGPPAIRDARPPPPASDADAESDNHPYLDLFVRRAKAAPKARPRRVLTENGSAAFAISGNPCVDFFFQVVPDTPAARVRGLLAAAWARDPPTALRLLANLRGVRGTGKSDREGFYAAALWVHARHPRTLACNVPALAGFGCLKDFPELLYRLVRGEDERKQARETAAADRKRRRAKELRAARLTARNRARARARLRRAPPPPQAVSVVPPKPLLADFVASMLSAKFNIRDVVPVETMEAEEEEEEEDLAPVIEPKPKKAVVAKTPKNKKETTPRKKAKKARKAAKLAVQSLETYNGDRTYRFLFDSIAQFFADLLASDLDQLAHAGERAKIGLAAKWCPTPGSSFDRTTLLCEAIARRLFPRDSTPDYADLTEEHYAYRVLHRLRREALVPLRKALELPEVYMSAQRWSEMPYARVASVAMRRYKALFKKHDAARFGEYLDDVGAGKAKIAAGALLPHEIAAPAYRGEDDEVSELQWRRMVDDLRKKGSLSNCIAVCDVSWSMTGTPMEVCVALGLLISELSEEPWAGRVITFSARPEIHAINGDTLRQKLSFIQRMHWGYNTNFQAVFDRILRTATDARLAPERMIRTVFVFSDMEFGEASSARSWETDYEAICRKFVNAGYGGVVPQIVFWNLRDSLSTPVTSTQQGVAMVSGFSKNFVKLFLEHDGIVNPEAMMAAAIAGEEYQKLAIFD >KQL14276 pep chromosome:Setaria_italica_v2.0:III:10064775:10067694:1 gene:SETIT_022181mg transcript:KQL14276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLALRFVAPPPPCLSRRCLALPPDLLSPCVLRGRSVRASRLEHGVGVVSNASMTYSGVEEEEMLEEEEEEEAEPAVNARPRLELIEKPDWSLALLDEYESEELSTSHCDNHRSGYVAVLGKPNVGKSTLINQVVGQKLSIVTDKPQTTRHRILGICSEPEYQIILYDTPGVIKKEMHKLDSMMMKNVRSAIGSADCVLVVVDACKAPEKIDEMLEEGVGNKGIGVPVLLVLNKKDLIKPGEIAKKLEWYQKFTNVDDAIPISAKFGHGVDDIKEWILSKLPLGPAYYPKDIASEHPERFFVGEIVREKIFVQYRQEIPYSCQVNVVSYKSRPTAKDFIQVEILVEKESQRSIILGKDGKAIKMLATASRLDIEDFLQKKVYLELEVKVKENWRQDERLLKRYGYGGEIQAL >KQL16537 pep chromosome:Setaria_italica_v2.0:III:42191065:42192958:-1 gene:SETIT_023001mg transcript:KQL16537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTDVIHDPGRGAPLAKVTFRHPFRYKHQKELFVAAEGIYTGQFIYCGRRATLSIGNVLPLRGIPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNGTSRIKLPSGAKKIVPSSCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKSDKAT >KQL14388 pep chromosome:Setaria_italica_v2.0:III:10911507:10912984:1 gene:SETIT_025184mg transcript:KQL14388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSGNLLAVLVLAAAAAVASGKSARLELVPAAPVASVAQRARDDRHRHAYISAKLASRRGGSRRVAAEVGSSAASLPVSSGAYAGTGQYFVKFLVGTPAQEFTLVADTGSDLTWVKCHGAGAATPGRVFCPKNSESWAPIPCSSNTCKLDVPFSLANCSAPASPCSYDYRYKEASAGARGVVGTDSATIALPGGNVAQLRDVVLGCSSTHDGQSFQAADGVLSLGNSKISFASRAAARFGGSFSYCLVDHLAPRDATGYLAFGPGGPAPRDAAPAAQTPLLLDPQMPFYGVKVEAIHVAGKALAIPAEVWDASKNGGAILDSGTTLTVLASPAYKAVVAALSAHLAGIPKVAFPPFEHCYNWTARRPGAPEIPKLAVQFAGSARLEPPAKSYVIDVKPDVKCIGVQEGEWPGVSVIGNILQQEHLWEFDVKNRFVRFKQSTSCTR >KQL13793 pep chromosome:Setaria_italica_v2.0:III:6761352:6761819:-1 gene:SETIT_024960mg transcript:KQL13793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSIHPSSFPFKQKAIKQLHCTRSGQEKQPTQIQWRWHPSSHAVSFPATPAGGAAVFRPRGAAAGAGVVRAAAGSAEGGGKWWAPLVGWSGKADYLEAPAPVVAVAQDGAAAARRQFVGGLTEEKARELRARMAQTESFHDAMYHSAIASRLARSA >KQL17108 pep chromosome:Setaria_italica_v2.0:III:48342362:48342802:1 gene:SETIT_025400mg transcript:KQL17108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSYCCKLIELLVCACVHERQGPTDRRNDAAKFSWSIGRPWPNKTKWPIMWLDLIGCAGIVLAHTTHVVMLMIFHRCSFI >KQL12691 pep chromosome:Setaria_italica_v2.0:III:425496:425948:-1 gene:SETIT_023936mg transcript:KQL12691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQWFTAEMLTTQVDQALKTGTPGCLMIKSYETEMLTTQVDQALKTGTPGCLMIKSYETAKGF >KQL13660 pep chromosome:Setaria_italica_v2.0:III:5866180:5867168:-1 gene:SETIT_023082mg transcript:KQL13660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFLATMSLLITHMVSASGATAGGATVPSPPKVSSDITTTVQEMQRARYFTFVMLVRMVQEKIPRNTTFLMPSDRLMSTASISESQVLEFLSRHSIAAPLKFDDLIKLPNGTVVPTRHSGDTITVTNSRDQKLYINGIKLTIPDLCHSGKLFRCHGINGVIRPTAAQRVKAACTHTSAAPVIPLAENQSLSTSSLPSPNTGSATLPANEPAAGSSQSSDTSMSKTGLASTTLMIALIFSIF >KQL13845 pep chromosome:Setaria_italica_v2.0:III:7173482:7178130:1 gene:SETIT_024096mg transcript:KQL13845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPNNETCIHDLFRNPGQFKLYSGGLAWKKQGGGKIIEVDKADITSVVWMRIPKSYQLNVGMKGGLFHRFFGFRQQDVSNLSNFIQRSTGISPEEKQLSISGHNWGGVEIDGTRLCFNVGEKEAFEVSLADVSQAQMQGKTDVVLEFHVDDTTASNEKDSLADLSFHVPTSNAQFIGDERHTSAQEIRFCMGSPSEAAIVTFDGIAILTPRGRYSVELHRSFLRLQGQANDFKIHYSSILRLFILPKSQNPHTFVVITLDPPIRKGQTLYPHIVIQFVTEVVVERELALSEQVLAEKYKDRLQGSYRDQIHVVFSNVLCGLSGAKVTRPSTFRSCQHGYAVKSALKAEDGLLYPLEKAFFFLPKPPTLILYKEIEYVLFQHHGAGANISSQYFDLLVKLKNDQEQLFSNIQRIEYQNLFNFISGKRLKIRILGDGRRRSGGVTTALESTDDDSVDPYLEQIKNQACNEESDEEDEDFVADKDDSGSPSDDSEEEGSDASMSGGENENSSKMEASRSKLHVKRKLNNGSDEGSQNKKTKRKKEQKSGSDEGSQKKKAKRKKDPNAPKRAIVPFMYFSKAERANIKNSNPELTTTDIAKKLGERWQKMTADERQPYVEQSRVDKQRYEEEYAAYRGTAAQQGPGDGSE >KQL12991 pep chromosome:Setaria_italica_v2.0:III:1996274:1997725:1 gene:SETIT_024394mg transcript:KQL12991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAVGRGGLVAVALAFLLLFASPAAAAGRGMARTEAQERAAYDLWLARHGKAYNALGGEYDRRFQAFWDNLRFVDAHNARAGAHGYRLGLNRFADLTNEEFRAAYLGGAGAAADRNATATGERYRHDGVEALPEVVDWRQKGAVAPIKNQGQCGKKNKLCWAFSAVGAVEGINKIVTGELVTLSEQELVDCSKNGQNSGCNGGMMDDAFAFIAGNGGIDTDDDYPYTARDGRCDITKKARRAVSIDGFENVPRNDERSLQKAVAHQPVAVAIEAGGREFQLYESGVFTGRCGTSLDHGVVAVGYGTEDGQDYWLVRNSWGADWGEAGYIRMARNVIARAGKCGIAMEASYPVKAGPNPGPSPAPPSPSPASPVVCDRHSTCPAGSTCCCTYGVGGMCKDRATCCPASHPVCNAKARTCAKSRNSPDTVEVLLRFPAKRQRGSLIAEELVDSVFSI >KQL16235 pep chromosome:Setaria_italica_v2.0:III:34871957:34874739:-1 gene:SETIT_023205mg transcript:KQL16235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCETCQLKELELEQTEIRDVLRCILHTIFFHRTLSLVRPKDVDCKNLEITYVQCGLPELEKEVDEKIDLFTAWVEKHPNRKSQVCLSFFDEKHKHPGWFVNKTERIYWEQWFINLHVMSPKRYNKSNSSKGLTNIGGNVLEETSSRRAALVSLLNEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPSSSDSVFGWNTDAFRRVLNSGHPYSL >KQL16232 pep chromosome:Setaria_italica_v2.0:III:34872062:34874739:-1 gene:SETIT_023205mg transcript:KQL16232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCETCQLKELELEQTEIRDVLRCILHTIFFHRTLSLVRPKDVDCKNLEITYVQCGLPELEKEVDEKIDLFTAWVEKHPNRKSQVCLSFFDEKHKHPGWFVNKTERIYWEQWFINLHVMSPKRYNKSNSSKGLTNIGGNVLEETSSRRAALVSLLNEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPR >KQL16233 pep chromosome:Setaria_italica_v2.0:III:34871726:34874989:-1 gene:SETIT_023205mg transcript:KQL16233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCETCQLKELELEQTEIRDVLRCILHTIFFHRTLSLVRPKDVDCKNLEITYVQCGLPELEKEVDEKIDLFTAWVEKHPNRKSQVCLSFFDEKHKHPGWFVNKTERIYWEQWFINLHVMSPKRYNKSNSSKGLTNIGGNVLEETSSRRAALVSLLNEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPSSSDSVFGWNTDAFRRVLNSGHPYSL >KQL16234 pep chromosome:Setaria_italica_v2.0:III:34871738:34874989:-1 gene:SETIT_023205mg transcript:KQL16234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCETCQLKELELEQTEIRDVLRCILHTIFFHRTLSLVRPKDVDCKNLEITYVCLSFFDEKHKHPGWFVNKTERIYWEQWFINLHVMSPKRYNKSNSSKGLTNIGGNVLEETSSRRAALVSLLNEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPSSSDSVFGWNTDAFRRVLNSGHPYSL >KQL16236 pep chromosome:Setaria_italica_v2.0:III:34871957:34874739:-1 gene:SETIT_023205mg transcript:KQL16236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCETCQLKELELEQTEIRDVLRCILHTIFFHRTLSLVRPKDVDCKNLEITYVQCGLPELEKEVDEKIDLFTAWVEKHPNRKSQVCLSFFDEKHKHPGWFVNKTERIYWEQWFINLHVMSPKRYNKSNSSKGLTNIGGNVLEETSSRRAALVSLLNEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPSVDSSSDSVFGWNTDAFRRVLNSGHPYSL >KQL16237 pep chromosome:Setaria_italica_v2.0:III:34871738:34874989:-1 gene:SETIT_023205mg transcript:KQL16237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCETCQLKELELEQTEIRDVLRCILHTIFFHRTLSLVRPKDVDCKNLEITYVQCGLPELEKEVDEKIDLFTAWVEKHPNRKSQVCLSFFDEKHKHPGNVLEETSSRRAALVSLLNEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPSSSDSVFGWNTDAFRRVLNSGHPYSL >KQL16518 pep chromosome:Setaria_italica_v2.0:III:41229200:41230484:-1 gene:SETIT_022683mg transcript:KQL16518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQQQQPPLQCLLGGGGGGSDHHHLMPPPSGLAPLPGGPADTAASAPAGGGSSTSMQAAAGAAAQPRPVVSMAERARLARVPLPEPGTLRCPRCDSTNTKFCYFNNYSLSQPRHFCKACRRYWTRGGALRNVPVGGGCRRNTKRSSKKSSRGGGAGATAATSSSSTTSTSTTATTTTATTSAAMAAAEAIASMQAQLPHLGLPPAAAAAALEASLEGYHHYLPLQMQPQFLQQAGLHGYHFADDGSGVLADGFPRGVVASGLLAQLAAVKMEEHGGGGGGGGAVAAHEQPYWAGSNGGGSGWPAEFLSGFSSSSSGNVL >KQL17419 pep chromosome:Setaria_italica_v2.0:III:50328689:50333504:-1 gene:SETIT_021436mg transcript:KQL17419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATYYSSPGSERDSQNMYSRDPGNASYPMPSALGNLLYLNNSTSGPYTEFSGILHPQQNCMEMPDPGHPSVMSQDSSARESDMLGSHQGQRSFGPVKDMKNEMLMHMMDGSQSSTADLIHDDAHNGAQLDFGVLNNHSSSNIPSVQGQGLSLSLNTQILAPSLPYWSVKPDMLSPHSYHDSLRVDDMRMKSMQSEASRAIRNSRYLKAAQELLDEVVNVWKNIKQKAQKEQVEAGKTDGKETEGGAKSEGSNPQESAANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQSVVSSFDVVAGPGAAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKMMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKDEIGDIEQDSNSSSDNAPRSKGKMASSEDKEDLKSSTPRVCESSQLSESRASIGTMNIGGAPVGFQNEPNPDDSFMNLMLKDQRSNEADGGLLLHNAVAHHQDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSSLSVPNAQQSFPGVGDDDIYNATAPLGVSVASSDYESMNQMDQRQRFEQSPLLHDFVA >KQL17420 pep chromosome:Setaria_italica_v2.0:III:50328689:50333144:-1 gene:SETIT_021436mg transcript:KQL17420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATYYSSPGSERDSQNMYSRDPGNASYPMPSALGNLLYLNNSTSGPYTEFSGILHPQQNCMEMPDPGHPSVMSQDSSARESDMLGSHQGQRSFGPVKDMKNEMLMHMMDGSQSSTADLIHDDAHNGAQLDFGVLNNHSSSNIPSVQGQGLSLSLNTQILAPSLPYWSVKPDMLSPHSYHDSLRVDDMRMKSMQSEASRAIRNSRYLKAAQELLDEVVNVWKNIKQKAQKEQVEAGKTDGKETEGGAKSEGSNPQESAANAAPELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQSVVSSFDVVAGPGAAKPYTAVALQTISRHFRCLKDAINDQINVIRKKLGEEENSSGKEGKLTRLRYIDQQLRQQRAFQQYGMIPQNAWRPQRGLPENSVTILRAWLFEHFLHPYPKDSEKMMLARQTGLTRSQISNWFINARVRLWKPMIEDMYKDEIGDIEQDSNSSSDNAPRSKGKMASSEDKEDLKSSTPRVCESSQLSESRASIGTMNIGGAPVGFQNEPNPDDSFMNLMLKDQRSNEADGGLLLHNAVAHHQDENARFMAYHLAELGRYGNGNVSLTLGLQHSSSSLSVPNAQQSFPGVGDDDIYNATAPLGVSVASSDYESMNQMDQRQRFEQSPLLHDFVA >KQL15105 pep chromosome:Setaria_italica_v2.0:III:16990006:16993485:-1 gene:SETIT_023587mg transcript:KQL15105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKRMLETSFPGIHVILHNYPPPFPKRVLSKAIPIVQVGAVATIMAGDQIFPRLGMVPPPWYYSLRANRFGTMATIWLFGNFAQSFLQSSGAFEVYCNGELVFSKLTEQRFPSEFELRDLIGSRLPESPFGRNLGKTFT >KQL15219 pep chromosome:Setaria_italica_v2.0:III:17867859:17870239:-1 gene:SETIT_0243872mg transcript:KQL15219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPETHMFHLPCREITVTMQDVAMILSLPLEGLPVIGIIQSDTKRDMVELHIGIRPLEPKEGNKEKKTSDDNICGYSWGSAVLAWMHRQLCDTCRHTAKDANLGGCAYLLQIWIWVCISVGRPCRLRIELSKIVFSPMCYRDRELWRSTVPLIIYYVVEMHLPHRVMHQFGRAQHCPPMVYSTSQALHKCDPMDGPHWRAGPHKKYLRWYYGATRTRIKQAWTIVPIENPPSNDSDDIADEYDTMTHLGT >KQL14036 pep chromosome:Setaria_italica_v2.0:III:8336336:8339047:1 gene:SETIT_023267mg transcript:KQL14036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVEGGGFFSSSASGYSHGLALLLLGRKGEEKHDKGSTCSHYRLVGQEAEHECQVPSGKNDVPGKCASFICFGCTPARLVGASPPKLGSSNAPGSSSEQPSSSSTGTATTNGSINGSGIKGCLKSNLKRDSSECSTLSCSEEPRESLEEVQTLRSGVERRKVQWTDTYGKELFEIREFEVSDDGLSEDDLENDGFRKCECVIQ >KQL16602 pep chromosome:Setaria_italica_v2.0:III:42841134:42846506:1 gene:SETIT_021572mg transcript:KQL16602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLAAAAAASALLASPQAPAVRRRLSGAWTLRLRPAAALRLHNFGPKCYIANVEVDVSTLSKEEAFDDHAPLPSGCSIPVLNLQGDVLDSSPFPLHDRASCPSSFEELPVLSEGEQHTLASTPAHPAGLHALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTALNAVQVATQLISAAMVIYALKNLSHASTTAVVLRPWFIALVAAGAIERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLICETVGASVFGLLLSKYHPVTCLKIACGLMICSFPVLVMLGQVINRVSCHALDSSRTATDESICIDLLDLRKIVQNSLSTIKHGWNEYKQQTVLPASAATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVKRVGILKAGAAGLIFQASLLSVALTVYLAGSVSQRTPLLIFLASIALSRLGHMSYDVVGTQIVQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDVSHFGFLAILSVSSVAGAAWMFCRWLTNPTDEQRELFMFDPLYQVQAISSVI >KQL16600 pep chromosome:Setaria_italica_v2.0:III:42841134:42845806:1 gene:SETIT_021572mg transcript:KQL16600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLAAAAAASALLASPQAPAVRRRLSGAWTLRLRPAAALRLHNFGPKCYIANVEVDVSTLSKEEAFDDHAPLPSGCSIPVLNLQGDVLDSSPFPLHDRASCPSSFEELPVLSEGEQHTLASTPAHPAGLHALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTALNAVQVATQLISAAMVIYALKNLSHASTTAVVLRPWFIALVAAGAIERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLICETVGASVFGLLLSKYHPVTCLKIACGLMICSFPVLVMLGQVINRVSCHALDSSRTATDESICIDLLDLRKIVQNSLSTIKHGWNEYKQQTVLPASAATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVKRVGILKAGAAGLIFQASLLSVALTVYLAGSVSQRTPLLIFLASIALSRLGHMSYDVVGTQIVQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDVSHFGFLAILSVSSVAGAAWMFCRWLTNPTDEQRELFMFDPLYQVQAI >KQL16601 pep chromosome:Setaria_italica_v2.0:III:42841134:42845806:1 gene:SETIT_021572mg transcript:KQL16601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLAAAAAASALLASPQAPAVRRRLSGAWTLRLRPAAALRLHNFGPKCYIANVEVDVSTLSKEEAFDDHAPLPSGCSIPVLNLQGDVLDSSPFPLHDRASCPSSFEELPVLSEGEQHTLASTPAHPAGLHALYASYLFGNLVEQLWNFAWPAALAILHPSLLPVAIVGFFTKLSVFIGAPIVGKLMDHFPRIPMYTALNAVQVATQLISAAMVIYALKNLSHASTTAVVLRPWFIALVAAGAIERLAGLALGVAMERDWVVLLAGTNRPVALAQANAVLNRLDLICETVGASVFGLLLSKYHPVTCLKIACGLMICSFPVLVMLGQVINRVSCHALDSSRTATDESICIDLLDLRKIVQNSLSTIKHGWNEYKQQTVLPASAATVFLNFNVALAPGAIMTALLMHRGISPSIVGAFSGLCSIMGLVATFISSSLVKRVGILKAGAAGLIFQASLLSVALTVYLAGSVSQRTPLLIFLASIALSRLGHMSYDVVGTQIVQTGVPASKANLIGGMEVSIASLAELVMLAMAIIANDVSHFGFLAILSVSSVAGAAWMFCRWLTNPTDEQRELFMFDPLYQVQAIR >KQL13100 pep chromosome:Setaria_italica_v2.0:III:2603976:2606937:-1 gene:SETIT_024540mg transcript:KQL13100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSPTKQASGSTSASSASKRHLFLEPPPPVNSSTLAPFPTSGSKRSSESPGKDLGICLSVSSESATPASSPSQGGSAIGGGSGSGLGGAGGSGSVGAGLGAGGGGAAVASDKRATGASDIGVAVASDIGGTGASDRDLVVAGTNQKIGSIVGDLEVIEALASKLNIEDDDVADSDDEVDGPHGDDLSFFDM >KQL12704 pep chromosome:Setaria_italica_v2.0:III:482385:483312:-1 gene:SETIT_023513mg transcript:KQL12704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGSGASASSVVVPRNFRLLEELERGEKGVGDGTVSYGMDDGDDIFMRSWTGTIIGPLNSVHEGRIYQLKLLCDKDYPDKPPTVRFHSRINLTCVDPDTGLVEGSKLAVLSDWQREYTMEHILTQLKKEMAAPHNRKLLQPPEGTFFFLAHDDQGN >KQL15312 pep chromosome:Setaria_italica_v2.0:III:18825420:18825765:1 gene:SETIT_023837mg transcript:KQL15312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQTWVVLLGLARATTWASTIRRKQSVARRVEQLWAVSHARAAPCGTAAENLFPEAYDPLPPCADCAAEVHRVARGLVGAPT >KQL16951 pep chromosome:Setaria_italica_v2.0:III:46970348:46972849:1 gene:SETIT_024420mg transcript:KQL16951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVIVGDILIIILQHIDSPVSVVCAASACKRWHGIIADAGFLRRFRSVHALTLVAGDYFNDSKRLQPSHRGSLLLLNDVGSGKLSHVFLDIVVCEPETRRYKWIPPLPYFHRCQYKGSYLVDSDTSACRTSSYTGSYLIDGEAADMAGDLIGMSNFRVLYMFEHSVSPLDHCTGTAMFTVCGSTGSSSWGDWTPRLAVVGSSGDDVIDQDLVDLHELGGDGGSQYFYAPGRNLVVLDRGTGEFSHAVFPPPTVSWAGDFDVHVTRSRFYVAGGRDGKPRIFTVFDETMKVYARPDGGERPVRALPGYKEEFFSEPQTLDIQTRGTGYVVLLLKTALDKWLISVDMETMLVTLAAEDTGPVMYRCEISWPPVLNNV >KQL17290 pep chromosome:Setaria_italica_v2.0:III:49535697:49537539:-1 gene:SETIT_024681mg transcript:KQL17290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVSPTKLRMKLLGSHGGNKDEATRKSPRASPSRLEHDDEADHPKNSLLPQELDEEYPKDRSDSSRSRSNASHGRAARSGGGGSGSGCDSGIENGGAGGNFEFYKEERAALQPPPPPAVAVSGPFFRQVPSKWNDAEKWIAGRHVVHSNPIFSKKPAAAAQSSRGGGGGGAVSAMTELSSKSSSPSSVSGPASKPPHKRLRFSSAGAAASVSVSMRDVGTEMTPIASQEQSRSGTPAGAATPSLSPLCSVPSSPRGGGSASASSSASERELRLRTRREIAALGLQLGKMNIASWASKEEGLLAAHAAASPEESTVDIDEDIKRKEFEARANAWEESKKCKLASRYKRKEGEIQEWESCQKSKFEAKLRQAEAQAEQMKARAKQDLAKRLSALSHKAEGKQARVEARRSRQAARLAREVERIRKTGREPCRLRRCCAWFL >KQL17122 pep chromosome:Setaria_italica_v2.0:III:48421683:48427074:-1 gene:SETIT_022238mg transcript:KQL17122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEEPPKAPQPEPAGSEPDDARSTPPPAPPPPPPPAPTPAPAPVVPAPAAEAAAAPPAPVSAAVSPPPAAAAEANGNSDRKKKRKTEDGEGCKTCSCKKSKCLKLYCVCFASGSHCTESCGCEPCLNKPMQGAPRTALVLPLKPVQTLETGQDSMEQLIRSPMDLIRRKCTCKKSGCLKKYCDCFQGGAGCSINCKCEDCRNPFGRKVGVILDGKSALAAPVLNERNGAEVDSSDDEDDYYMNRQLSPIPPSPVSRESSFQQETLVGVEVQTMNGHLYPKPLTQVRPEPPSWQLSRRPVEEARGEQWRFSRRPSEDGTSDAMEAHAMAQRDNKKPEIHVDRFSIPRCIEVMSAMADLSPIEKSLAPDVFLDPSNREIFLSLSVDIRPIWLRRKMKSLV >KQL15885 pep chromosome:Setaria_italica_v2.0:III:24984576:24988963:-1 gene:SETIT_021623mg transcript:KQL15885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFEEVSQEGPQTNQHTDERSICLHAFSDLSHVPPATFIYLLKDCYAYGTNKATSKFKILLQLVKAALHNGPQPGPFTYAVQCMYIVPLLGKTYSEGFSHMLTSSLKHLKSVESVKKDFLEAKHLAAQLILDILDSVVPHENRILVKLLETFEIELRDMAYALYGSELDDDLVKAREHLRQYVKCFMESESNAIAVALITRFSIKCCDESFLIKLIESNQLDIAEECATFMGKEMISLVIQKYLDMQMLKSANKLVKEHDLTEEFPDVSYLYKESLVKKLAEKGCWDIAEIRAKKETKLMEYLVYLAMEAGYMEKVDELCQRYSLEGYFHSLVPEKVFCGSDYLDLKKLDVEEIVWVDETNGLLNATSCIEGFKIIGMDCEWRPNFEKNSKPSKVSIIQIASDKIAFIFDLIKLYEDNPKVLDSCFRRIMCSSNILKLGYDIQCDLHQLTQSYGQLECFQSYERLLDMQKLFKWVTGGLSGLSKEILGLGLNKTRRNSNWEQRPLSQKQKEYAALDAVVLVHIFHEHIRRQPQFGVSEGCKAEWKSHVVSSHFTHLMKIIPLLN >KQL15884 pep chromosome:Setaria_italica_v2.0:III:24984317:24988963:-1 gene:SETIT_021623mg transcript:KQL15884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFEEVSQEGPQTNQHTDERSICLHAFSDLSHVPPATFIYLLKDCYAYGTNKATSKFKILLQLVKAALHNGPQPGPFTYAVQCMYIVPLLGKTYSEGFSHMLTSSLKHLKSVESVKKDFLEAKHLAAQLILDILDSVVPHENRILVKLLETFEIELRDMAYALYGSELDDDLVKAREHLRQYVKCFMESESNAIAVALITRFSIKCCDESFLIKLIESNQLDIAEECATFMGKEMISLVIQKYLDMQMLKSANKLVKEHDLTEEFPDVSYLYKESLVKKLAEKGCWDIAEIRAKKETKLMEYLVYLAMEAGYMEKVDELCQRYSLEGYFHSLVPEKVFCGSDYLDLKKLDVEEIVWVDETNGLLNATSCIEGFKIIGMDCEWRPNFEKNSKPSKVSIIQIASDKIAFIFDLIKLYEDNPKVLDSCFRRIMCSSNILKLVLRKAT >KQL15883 pep chromosome:Setaria_italica_v2.0:III:24984317:24988963:-1 gene:SETIT_021623mg transcript:KQL15883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFEEVSQEGPQTNQHTDERSICLHAFSDLSHVPPATFIYLLKDCYAYGTNKATSKFKILLQLVKAALHNGPQPGPFTYAVQCMYIVPLLGKTYSEGFSHMLTSSLKHLKSVESVKKDFLEAKHLAAQLILDILDSVVPHENRILVKLLETFEIELRDMAYALYGSELDDDLVKAREHLRQYVKCFMESESNAIAVALITRFSIKCCDESFLIKLIESNQLDIAEECATFMGKEMISLVIQKYLDMQMLKSANKLVKEHDLTEEFPDVSYLYKESLVKKLAEKGCWDIAEIRAKKETKLMEYLVYLAMEAGYMEKVDELCQRYSLEGYFHSLVPEKVFCGSDYLDLKKLDVEEIVWVDETNGLLNATSCIEGFKIIGMDCEWRPNFEKNSKPSKVSIIQIASDKIAFIFDLIKLYEDNPKVLDSCFRRIMCSSNILKLGYDIQCDLHQLTQSYGQLECFQSYERLLDMQKLFKWVTGGLSGLSKEILGLGLNKTRRNSNWEQRPLSQKQKEYAALDAVVLVHIFHEHIRRQPQFGVSEGCKAEWKSHVVSVVNSARRPLRF >KQL17027 pep chromosome:Setaria_italica_v2.0:III:47686972:47691459:1 gene:SETIT_023126mg transcript:KQL17027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPESRSNAGAPLMALSGQPQRDTATTGGESTATGDGLTLSSSSFCLTRSPPPDSLLILSPPVNRTCWCCTRSRIFPFRLADPARRRRELKFTMSGMASDDVTAQVRVEGDVSDQKVEDVQGQNEMDGMPSRQEEEAAIKKKYGGILPRKTPLISKDHERAYFDSADWALGKQGGVPNKPKGPLEALRPKLQPTQQNARARRTSYASADSDETLNLSAEDLGQQGEPVEDKNKE >KQL17026 pep chromosome:Setaria_italica_v2.0:III:47686972:47691459:1 gene:SETIT_023126mg transcript:KQL17026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPESRSNAGAPLMALSGQPQRDTATTGGESTATGDGLTLSSSSFCLTRSPPPDSLLILSPPVNRTCWCCTRSRIFPFRLADPARRRRELKFTMSGMASDDVTAQVRVEGDVSDQKVEDVQGQNEMDGMPSRQEEEAAIKKKYGGILPRKTPLISKDHERAYFDSADWALGKVVFPTSLKVLLKHFDQSFSLLNKMPVPVELLMHLRTAMRL >KQL13865 pep chromosome:Setaria_italica_v2.0:III:7331201:7335744:-1 gene:SETIT_022390mg transcript:KQL13865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAFDASARSYIQPLEAASFPIFFYSIYCEQDLKFRGISCKRTINHVTRATSAPSRVTRPIACAAARPPTCPTVVTPPICNQRRGDLPLSPPALLLLLPKLRTDLRGEGRGRERLDQETPKPRIDRRDEMSSPAEYYNSLPPISKAYGTLCFFTTVLVQLRILDPKFIALYYPWVFKNFEIWRLFTNFFFLGPFSINFGIRLLMIARYGVQLEKGAFEKRTADFLWMMIFGAISLLVVSAIPLFEFSFLGIPMVSMLLYVWSREYPNSQINMYGLVQLRSFYLPWAMLGLDVIFGSPLLPGLLGIMVGHLYYFLAVLHPLATGKNYLKTPKWVHSIVARFRIGVQANSPVRPPANTGGTGAFRGRSYRLNQ >KQL14911 pep chromosome:Setaria_italica_v2.0:III:14804339:14805971:-1 gene:SETIT_025514mg transcript:KQL14911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPRPGRGPMSPGAGQCAPPKAAAPAAGKASRARGPLGIGCWTALWGRDRRRPPANACS >KQL14910 pep chromosome:Setaria_italica_v2.0:III:14804339:14805692:-1 gene:SETIT_025514mg transcript:KQL14910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFNFHGREGLWASGAGRRCGAATVAGRRPTPAAEARSSDEM >KQL15568 pep chromosome:Setaria_italica_v2.0:III:21344142:21347232:1 gene:SETIT_023083mg transcript:KQL15568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAALRAAAADAVVTFLWVLCVSTLGASTAAVTSYLKLQGVQYALLITVSLVSVLLFVFNILCDALGGASFNPTGIAAFYAAGVTSPSLFAVALRFPAQAAGAVCGALAISELMPAQYKHMLGGPSLKVDPHTGAVAELVLTFVITMAVLWIIVKGPRNPIVKTLMISISTVCLVLSGAAYTGPSMNPANAFGWAYVNNRHNTWEQFYVYWISPFIGAVLAAWIFKALFLAPPPKPKAKKA >KQL16339 pep chromosome:Setaria_italica_v2.0:III:37893892:37894390:-1 gene:SETIT_023994mg transcript:KQL16339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLIHPEPGYLVLFFILSCLMAIAKDNKPSYLQIALNNYDLTICQYENSANR >KQL12975 pep chromosome:Setaria_italica_v2.0:III:1907438:1911370:1 gene:SETIT_023245mg transcript:KQL12975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLARLGAALPRARPRPGARVLPPGRWDAAALGASRRAAPNVGRCQVHSDVKVAPTSDLKDGDNSSKNWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPDDNQGLDYETVMGRIHAILSDGTIVTDVEAFRRLYEEVGLGWVYAVTKYEPVATMANAVYGVWAKYRMQITGRPPLEEIMASRKAAGECKDDKVCKM >KQL12974 pep chromosome:Setaria_italica_v2.0:III:1907438:1911370:1 gene:SETIT_023245mg transcript:KQL12974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLARLGAALPRARPRPGARVLPPGRWDAAALGASRRAAPNVGRCQVHSDVKVAPTSDLKDGDNSSKNWRIKMLYDGDCPLCMREVNMLRERNKSYGAIKFVDISSKDYSPDDNQGLDYETVMGRIHAILSDGTIVTDVEVATMANAVYGVWAKYRMQITGRPPLEEIMASRKAAGECKDDKVCKM >KQL16856 pep chromosome:Setaria_italica_v2.0:III:45966083:45972772:-1 gene:SETIT_022775mg transcript:KQL16856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYIIGAFKPPCDISITFSDGRTRKQVSIKKDNGKTAMVPVFQSLETISGEVSIAPVPGKRIEHMGVKIELLGQIELYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYESYNGTNVRLRYILKVTIGRNYVGNIVEYRDFCVRNYSPVPTINNSIKMEVGIEDCLHIEFEYSKSKYHLNDVIIGKIYFLLVRIKIKNMELEIRRRESTGSGSNTYVETETLAKFELMDGAPVRGESIPVRLFLTPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMYRLLESAPAS >KQL12619 pep chromosome:Setaria_italica_v2.0:III:67107:70329:1 gene:SETIT_022424mg transcript:KQL12619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKEQHQQPNATDQTDAINSPTADDHHKPGVPRVSSCSTDKDSGLSLCRVCHCVEPDLGGESALGFLGIVPPSREPSAPRTDDDATKTSTSKDAITGPKDGTNAPRFVEFISPEGEIFVCTADVESGPLHQQDHLVDLGCSCKNELALAHYACALKWFISHGSTVCEICGSVAANVRPDDFNKVLASLKDYEALRERTSTGELSYLQHVPGTGVDPDAVAAIRRQRLSEISSWFNPHNSHMAVSQGHVDQPPLSPTNNSVLEHSVVAARRVHTRWSLESTGVLVAIGLAVIVLAWLVAPHVGKKAAVIGLHMLLGGLCALTLVISLRFVFPRIQYGSMQYWAILFVSWFLVFGVWASRTRSIRSS >KQL12963 pep chromosome:Setaria_italica_v2.0:III:1872664:1874077:1 gene:SETIT_023380mg transcript:KQL12963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVNRLRILCSIRKLLFSPKRLLSFGFPVPARLRFLTRFLPVLLQKVILKLDLHDDKDKQRVLKAVSTLHGIDSISVEMKDQKLTVIGLADPVDVVAKLRKVGVAHILSVGPAKEEKKDDKKGGDKKEGAKDGDKKTEAKPLLVYPPWVAQYPYTPPQYPYTPPQYFVRSAEEDPNSCVIC >KQL12961 pep chromosome:Setaria_italica_v2.0:III:1872501:1874077:1 gene:SETIT_023380mg transcript:KQL12961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKVILKLDLHDDKDKQRVLKAVSTLHGIDSISVEMKDQKLTVIGLADPVDVVAKLRKVGVAHILSVGPAKEEKKDDKKGGDKKEGAKDGDKKTEAKPLLVYPPWVAQYPYTPPQYPYTPPQYFVRSAEEDPNSCVIC >KQL12962 pep chromosome:Setaria_italica_v2.0:III:1872501:1874077:1 gene:SETIT_023380mg transcript:KQL12962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQKLTVIGLADPVDVVAKLRKVGVAHILSVGPAKEEKKDDKKGGDKKEGAKDGDKKTEAKPLLVYPPWVAQYPYTPPQYPYTPPQYFVRSAEEDPNSCVIC >KQL13270 pep chromosome:Setaria_italica_v2.0:III:3509286:3510006:1 gene:SETIT_023824mg transcript:KQL13270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWVRTITTPFRKMLNPQRDGKKTPRHHHHHHHQQQSPSSAMEHSGEMERSQLYGEVMACTYEDVQVMWSMLDKARICSAAAS >KQL14748 pep chromosome:Setaria_italica_v2.0:III:13614139:13619097:1 gene:SETIT_024705mg transcript:KQL14748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSACTGTVLKNNKSILSILNSASLVRASVGASKIAPGLMIEVLLPTFEYASGWLDHYDLHYNIAVVNTKSFPAVQEAHIDRLLQIGPHCKVVAAGRPLFDFDGNFVGMNFCANEKTPFLPWNIICKLLHLLDDFHAAPDDLDRVLPMDSDSTTERHTSKIQKSVAPPLLALEGDKSTTDANFGKSQNKNQKPSRSSSRDSEENSEPILSVLLAIKFTASLFEEVEPCGYPMPITLEGGMCLLHTFEKEFDGDMWSTLTKKLLIMCPNVSSPLLHSMDATNSPRVLTSASLVRKADSNEIDDNLAQIEVYLPNNRHAKGRLQHYSIHYNIALISIRNFRCHRTAKFNEQVGVEPPKKVVARACLPNSESKLCREVMVSTCKITKAGIVGPLIDYDGNFIGMNFYDTEETPCLPTSKVLPLLRQFNGEGSNRWSVPKPCWDNPSTVQRVYRSCRTKY >KQL14572 pep chromosome:Setaria_italica_v2.0:III:12072819:12074474:-1 gene:SETIT_023194mg transcript:KQL14572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGARPVLYHHPAPAGDAAASMSSYFSHGGSSTSSSASSFSAALGAAAPAEPFDISEFLFDDGAGGGGGAAAPGVFATAPDGGAPPPVVPAAGSAISAAAVPAARGAAEAVPERPRTERIAFRTRSEIEILDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPSYVVTTYEGTHNHVSPSTVYYASQDAASGRFFVAGTQPPGSIN >KQL15996 pep chromosome:Setaria_italica_v2.0:III:26679745:26685417:1 gene:SETIT_023165mg transcript:KQL15996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRVQVTFLISLVLWCATSLALDDAAMNENPQLCQLCEEFASEALFYLKENETQTEIIATLHQACSKFPSFKLECTRLVDYYAPLFFTKIASLSPEDFCVSVSFCAEATFIRLPRHEDTCTLCHEVVDEIVTNLEDPDMELKIIEILLKGCNNAENFVQKCKRLIIQNAPIVMEYIKKFLEKRDFCNSIHVCGGKTVHAGAQVLGSLSSA >KQL15999 pep chromosome:Setaria_italica_v2.0:III:26679745:26685417:1 gene:SETIT_023165mg transcript:KQL15999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRVQVTFLISLVLWCATSLALDDAAGIKTPNAGTVSPAMNENPQLCQLCEEFASEALFYLKENETQTEIIATLHQACSKFPSFKLECTRLVDYYAPLFFTKIASLSPEDFCVSVSFCAEATFIRLPRHEDTCTLCHEVVDEIVTNLEDPDMELKIIEILLKGCNNAENFVQKCKRLIIQNAPIVMEYIKKFLEKRDFCNSIHVCGGKTVHAGAQVLGSLSSA >KQL16000 pep chromosome:Setaria_italica_v2.0:III:26679745:26685417:1 gene:SETIT_023165mg transcript:KQL16000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRVQVTFLISLVLWCATSLALDDAAGIKTPNAGTVSPAMNENPQLCQLCEEFASEALFYLKENETQTEIIATLHQACSKFPSFKLECTRLVDYYAPLFFTKIASLSPEDFCVSVSFCAEATFIRLPRHEDTCTLCHEVVDEIVTNLEDPDMEQLKIIEILLKGCNNAENFVQKCKRLIIQNAPIVMEYIKKFLEKRDFCNSIHVCGGKTVHAGAQVLGSLSSA >KQL15998 pep chromosome:Setaria_italica_v2.0:III:26679745:26685417:1 gene:SETIT_023165mg transcript:KQL15998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRVQVTFLISLVLWCATSLALDDAAGIKTPNAGTVSPAMNENPQLCQLCEEFASEALFYLKENETQTEIIATLHQACSKFPSFKLECTRLVDYYAPLFFTKIASLSPEDFCVSVSFCAEATFIRLPRHEDTCTLCHEVVDEIVTNLEDPDMELKIIEILLKGCNNAENFVQKCKRLIIQNAPIVMEYIKKFLEKRDFCNSIHVCGGKTVHAGAQVLGSLSSA >KQL15997 pep chromosome:Setaria_italica_v2.0:III:26679745:26685417:1 gene:SETIT_023165mg transcript:KQL15997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRVQVTFLISLVLWCATSLALDDAVSPAMNENPQLCQLCEEFASEALFYLKENETQTEIIATLHQACSKFPSFKLECTRLVDYYAPLFFTKIASLSPEDFCVSVSFCAEATFIRLPRHEDTCTLCHEVVDEIVTNLEDPDMELKIIEILLKGCNNAENFVQKCKRLIIQNAPIVMEYIKKFLEKRDFCNSIHVCGGKTVHAGAQVLGSLSSA >KQL13037 pep chromosome:Setaria_italica_v2.0:III:2245180:2252665:1 gene:SETIT_021266mg transcript:KQL13037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGAPRTGSYVSADGLIAELLDMGFDFDDITAAVGAVGPRRAEVLEVLLVGPSAGAGQARRGGGAPSRPASLAAQPRPAGKGTKLSNPRGRLRQPSITDHIASAAGRGNESGREASTSFPCSESPVDHSVPVGGDICSKLGPELQYLVEDSRGNCDQKEKISAVLQKHFGFSSLKGFQMEVLNAWFANKDCLVLAATGSEKPGIALFAIDEVHCVSKWGHDFRPDYRKLSVLRENFNSSKLKFLKHDIPLMALTATATIPVREDIVKSLKMSGDTTIVLTSFFRPNLRFSVKHSKTSASSYGKDFQELIGIYNASRKFKGKEQQILHEIDPDSESSSYDSLNDSASDYEDANIGSASCGNKNVGKSKTGMTLVKENTENELDLYPGTDDFDVSCGEFLEGLQPESSAFPAHSNETSLSGCLDQGPTIVYVPTRKETVELANFLCKSGLRAAAYNAKMPKSHLRKVHHQFHCNDLEVVVATIAFGMGIDKSNVRRIIHYGFPQSLEAYYQEAGRAGRDGKLSDCTLYCNFLRAPTLLPNKRSEEQTKAAYRMLRDCFHYSLNTSTCRAKILVKYFGEEFGPHRCDMCDVCINGPPQMHDFKEEAVVFMDVLRGRSGDETEDMICSSVPHYRSGRRRFGEAPNFRMVVSHIREKLPRYTATDKIWWQGLSRILEGMRYIQEAAETPRVSIQHPELTEEGLKFLNSGSEEPLHAHPDAAMLLAMNNPRPFSDASEWGRGWADPEIRRQRLAGRKTGRRKRMRRSGQAGQQHPTGFTTAKERLAAILSRKRRR >KQL17257 pep chromosome:Setaria_italica_v2.0:III:49279677:49283425:-1 gene:SETIT_022666mg transcript:KQL17257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPLLHHLLPSPPHRSPSLPPPPPPASLSSSATTRRSAAAALLLLAASAAAPAPPRPARAADEDVDEARVVRLFQEASPSVVFIKDLVVAGAQGKGGGGEGGDEDEEEGGAKVEGTGSGFVWDSAGHIVTNYHVVAKLAGDGSVFHRCKVFLEDSSGKSYSKEGRLIGCDPAYDLAVLKVDVDGDKLRPALIGTSRGLRVGQSCFAIGNPYGYEHTLTTGVVSGLGREIPSPNGRAIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKVVSSYHTVCTSREGSGISSGVNFAIPIDTVVQSVPNLIVYGTSVSNRF >KQL17256 pep chromosome:Setaria_italica_v2.0:III:49279212:49283429:-1 gene:SETIT_022666mg transcript:KQL17256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPLLHHLLPSPPHRSPSLPPPPPPASLSSSATTRRSAAAALLLLAASAAAPAPPRPARAADEDVDEARVVRLFQEASPSVVFIKDLVVAGAQGKGGGGEGGDEDEEEGGAKVEGTGSGFVWDSAGHIVTNYHVVAKLAGDGSVFHRCKVFLEDSSGKSYSKEGRLIGCDPAYDLAVLKVDVDGDKLRPALIGTSRGLRVGQSCFAIGNPYGYEHTLTTGVVSGLGREIPSPNGRAIRGAIQTDAAINAGNSGGPLIDSYGHVIGVNTATFTRKGSGISSGVNFAIPIDTVVQSVPNLIVYGTSVSNRF >KQL14502 pep chromosome:Setaria_italica_v2.0:III:11614164:11617405:1 gene:SETIT_022670mg transcript:KQL14502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRWSCQLGRAGQLRKTCDNARCGGGSVAAQAERKRWRCERVARAGGRRRCGVAGEAWPGDSRGRPSQPTSTKAKPTANSPAPTGKEAPHAENTRDATPPRPVAPTPSPRLATRPATDETRPRDKGGASERASGMRGSEMLTAARGAGTAPSTASSGASASAAADLAGAGGAGAIGGGGGNFPLAVALLAFAFANFINLLSIWLKEKRWDARKFLTSAGVMSSLSATVGSLAVAVGQQEGADSSAFALALVFAAVVMYDASGIRWHTGRQAALLNQIVCDFPPEHPIISTFRPLREPLGHSPLQVFAGAVVGCTVAYFVGKSV >KQL12778 pep chromosome:Setaria_italica_v2.0:III:803938:809032:1 gene:SETIT_021401mg transcript:KQL12778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQCSICTHENDTSNLSCELCGVLRDVSLYFNNISEAEAGAKRRNKYSGVSVLARSLFAPSSTKSEAIIFSDGSQDNRNATGNKQATMDALHKTYMPNKKRHINIVPFKFDTPSPDDMVTTGLKSSRNFRKVDTEVLIKDSVDVAGEKTMDSGILVTEKDTSMDPSASAELDELGGTSSSVPSSSQNITLVLDHELQHLSLESKPKSSKPKIKKPASISQYKPEPWMLQSEDQEMRRQLNLAIVGHVDSGKSTLCGRLLHALGLISKKQMHKYEKEAKEKGKGSFAYAWAMDDSTDERERGITMTVAVKYFDTEKYHVVLLDSPGHKDFVPNMISGATQADAAVLVVDASIGSFEAGMGVNGIGQTKEHSQLIRSFGVENLIVAVNKMDQVEYSKDRFNFVKSQLGIFLRSCGFKDSSVTWVPLSAMANENLVTAASDSRLLSWYNGNCMLKSIDSLPPPHHDVSRPLRLPICDVIASITLGQVAVCGKVEAGGIRTGSKVLVMPSGDLATVKTIERDSSRCSSARAGDNIAVGLQGIDTSHLVSGGVICHPDFPVRIASRLELKILVLEITTPILVGLQFELHIHHARVSASLVKIPSLLDQKTGKASKKMPRLLTAKQAAIVEVKLDKEVCVEEFSTLKALGRVFLRSRGNTVAVGVVTRILDQA >KQL16695 pep chromosome:Setaria_italica_v2.0:III:44167568:44171816:-1 gene:SETIT_021092mg transcript:KQL16695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVIHCSVGNISLFHIGSIRTSREIQIRRFQGSTGYSRVASPSPRRLLQPQTAFHLISIYKRRSWSSAQRPRTLSAATVGTDVTVEDQNPSPSGEASDEASEAAPDAVEASEQGEASTDQASSARKSGRNIRKSEMPPLTDEDLVPGASFTGKVRSIKPFGVFVDIGAFTEGLVHISRVSDGFVKDISSLFTVGQEVSVRLLEANKETGRISLTMREGDDYVKPKKEAPKAESNGRSATATPRSSPRQTKERQEAKATGESKYAPGQSLKGTVKSTTRAGTFVTLPDGSEGFLPREEEAVALFTLIGQSAMEVGKQIRVKVLNVAQGQATLTMKDLEDDEDDLQTLNMELKRDWSRGTNAFELAFRRNKEISAFLDQREKTKVPEVHAAAGVAVDTVVDAEASSDQIEDKESETGTAELVEADRSVSATETEGKEEVSSSIEAATTSIEEAALADEESGEELSTVSEVATDVPAPVSEVSSQEGIEVSTSVADAADDQTVESTVGVELSSNGVPETSVSSVSETEDKPAEPEESSAVEEVPVTASTTTAIISPSLVKQLREATGAGMMDCKKALAETGGDIEKAQEFLRKKGLAAADKRAGRATAEGRIGSYIHDSRIGVLIEVNCETDFVSRGDIFKELVEDLAMQVAACPQVQYISIDDVPEEVVKKETELEMQREDLLSKPEQIRAKIVEGRVKKRLGEFALFEQPFIKNDKVTISEWVKQTIATTGENMKVKRFARYNLGEGLEKKNQDFAAEVAAQTAAKPPPSAPPLEDKPAETTEAAEKKPAVAVSAALVKQLRDETGAGMMDCKKALAETGGDLQQAQEFLRKKGLSSADKKSSRLAAEGLIGSYIHDNRIGCMIEVNSETDFVARNEKFKELVNDLAMQVVACPQVDYVSVEDIPESIISKEKEIEMQRDDLQSKPENIREKIVEGRIAKRLGVMALLEQPYIKDDSKTVKDLVKETIASLGENIKVRRFIRYTLGED >KQL16802 pep chromosome:Setaria_italica_v2.0:III:45345873:45349359:-1 gene:SETIT_022101mg transcript:KQL16802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKKKGQGRPPHQPSLQPRGAGELGGGTSPMASSPTTTSSCIPWNGGADDGKKEKKSKKCELIGYEALPEWLKDNEFIHGYYRCEWPMKETILSIFSIHNETLNVWSHLIGFLLFLCLTIFTAMVIPRDGNSNSSSWSSSRSRAAYWGDLVEMANMTVALRHEALAACFLLPPSAAAEAGLSEDGQQIPTSCPPNTSSSHVHHGIQIQIRQRLHLQDTNGTATDALVAAGEPVTRWPLFAYLAGAMVCLLTSSACHLILCHSERTAYVTLRLDYAGIAALIVTSFYPLAYYSFLCAPSLQRLYMGSITALGAAAATVSLVPAFQAPELRPLRAALFSCMGASGVVPIAHKLVIYGGTAPGAVASAAYEALMGALYGLGVVVYAARVPERWAPGRFDLVGHSHQLFHLFVVAGAYAHYLAGVEYLKWRDVDKC >KQL15588 pep chromosome:Setaria_italica_v2.0:III:21466536:21472497:-1 gene:SETIT_021389mg transcript:KQL15588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTFPTSTPFFAAHHGPRRSRPSVSAAVYSRSRRWRPLRVACEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGARTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYRVLRDDNGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVITVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAGNFKNDEGIDLLKDKQALQRLTEAAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLRTPVDNALRDAKLQFKDIDEVILVGGSTRIPAVQELVKKMTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAVDKGTGKKQDITITGASTLPKDEVERMVDEAEKFAKEDKEKRDAIDTKNQAESVIYQTEKQLKELGDKVPGDVKGKVEAKLQELKDAVAGGSTQTMKDALSALNQEVMQLGQSLYSQQGAPGAGPTPGADASAGSSEKPADEGDVIDADFTDSK >KQL15244 pep chromosome:Setaria_italica_v2.0:III:18139961:18141577:1 gene:SETIT_022816mg transcript:KQL15244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESWMEVLPPPYFAGQAAGRFLPDRRAPGPGAWTQEENKLFERALARVDWDAPDRWERVAAMLPGRMVPDVVAHFDDLENDVCFIEAGLLPFPQYGGGAAQNAGFTFDWDGDAGGLGFKRSCYMVAGKRGRGPDQERKKGVPWTEEEHKLFLMGLKKYGRGDWRNISRNFVTSRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLPDDDGGNPSPSPPSVLTSASTPSSTGGPVISEQFGVLVDSKLPPSHHFMPHPYGNVKLEPGNSHHGGFLDDSVLMQMQCGQLQPLG >KQL14320 pep chromosome:Setaria_italica_v2.0:III:10511989:10516671:1 gene:SETIT_021997mg transcript:KQL14320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKAAAKSKRSHTVHGRRAHQTPAAAAAHRQKRAAAAATSSGPRSRNLPSNWDRYDAEGEAEDPAPAAEWTGEVAPRSKGADFGFLLEQARAQPREARGLGAPWLPSQDSPFDFMQASTSMFEAKGEGILSWCADDNFILEDDLAPDFEVPFLSMDLHALANQLSKLKLSQRLFVEEDLLPEDLADASKDNEILIECDTSVESDAKVSSVGHNLNFEPWKDASHHECAGNTYSDDQMKSERQSQCFEHEATTSPKISTHLVNSDSEEDKTYKRTMDTDPDTGLSKGLKFEVGSAEEELDMLLNSFSGTHLSSSNLDESFGHDSTSQGAKISWSNKKVTPSMSSQSPLAPVDNALDDLLSETSIPEQNEGFATQVSTSQPTVRSGQNFDSGYAMKIDVIASIDDSVDNLLEGTSLCLSEPKETTTVQGPNTTPHDSVPTHSGPSNASDDFDSWFDSL >KQL13117 pep chromosome:Setaria_italica_v2.0:III:2683786:2684362:-1 gene:SETIT_023916mg transcript:KQL13117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMYKLSQLQKIDFGRVEALYSGACYGVHVHIYSNKKMTLHIYSNKKMNTAFYPAKQRKSCHNGVHG >KQL15717 pep chromosome:Setaria_italica_v2.0:III:22972983:22976541:-1 gene:SETIT_025026mg transcript:KQL15717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTHQDVVFEVNAVGWAPCGAGTALSLHHEDDPARLPTAAAVGQHGHEPSGRYVLAAGRAGEDDGLCQAIAPGALKPRVTYRVAGWISVAGQAAAAEEEDGAGHPVRVSIRVGGDGDGSRVVDGGAVCAEPGRWAEIKGAFRLREESPRGAAVVRVHGAPAGVDVKVMDLRIIATDRKARFSHLKDKTDKVRKRDVLLRCASAASAGASVRVVQLDNAFPLGSCINCEVIKIPAFVDFFTTHFDWAVFENELKWYWTEAQRGQLNYADADRLLDFCDRAGKPVRGHCIFWAVDGDVQQWIKDIPAGDRDQLAAAVESRIRGLLGRYAGRFPHYDVNNEMLHGRFFRDRLGDAVAPLMFREAARLDPGAALFVNDYNVECGNDPNATPEKYVELIAELQRGGAQVGGIGLQGHVSNPVGEVICDALDKLSAATGLPVWITELDVCEPDEALRADDLEVVLREAYAHPAVEGVVFWGFMQGHMWRPDAALVNADGTVNGAGQRFVELRNEWTTDARGRLDGDGQFRFRGFHGTYVAQVTTAAGKMLKAFTVDKGDAALVLDMDV >KQL14627 pep chromosome:Setaria_italica_v2.0:III:12470549:12473330:1 gene:SETIT_022570mg transcript:KQL14627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLSPPLLAEPLVALPRSFPCSRPTPQLATVGFAGAVRSDRQGSWSARRRRDLRIRATATEADYERPEEDVADDYYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDDPDVTNFCMFINEVYSVLSDPAQRAVYDEIHGYTATAINPFFDDSAPKDHVFVDEFTCIGCKNCANICPNVFQIEEDFGRSRVYSQSGSTELIQDAIDSCPVDCIHWTSAAQLSLLENEMRRVERVNVGLMLSGMGASVDVFRMCSLGKEASKNLGKGQNTDGEPREFGHRQVLERYLGTPTTR >KQL14628 pep chromosome:Setaria_italica_v2.0:III:12470549:12473330:1 gene:SETIT_022570mg transcript:KQL14628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLSPPLLAEPLVALPRSFPCSRPTPQLATVGFAGAVRSDRQGSWSARRRRDLRIRATATEADYERPEEDVADDYYSVLGVMPDATPEEIKKAYYSCMKACHPDLSGDDPDVTNFCMFINEVYSVLSDPAQRAVYDEIHGYTATAINPFFDDSAPKDHVFVDEFTCIGCKNCANICPNVFQIEEDFGRSRVYSQSGSTELIQDAIDSCPVDCIHWTSAAQLSLLENEMRRVERVNVGLMLSGMGASVDVFRMASVRWEKRQAKILEKVRTRMVNQENSDTGRSWSDIWGPPPRDENNEEEASERAKRAAAAARRWREFSRRGADRPPTYKLPEAVGNKD >KQL14615 pep chromosome:Setaria_italica_v2.0:III:12385840:12386650:-1 gene:SETIT_023530mg transcript:KQL14615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFQEAEILWPSSNDHRDNDNGDDIRRTGTTTLPPVRPKPASQELSAPVEISRRKRRCRPWAASEHATFDQETGGGGDGEEDGSTDDAKQRSAKGLTIVVPPHVLLARRRLLGGRTAAYSMCAGKGRTLKGRDLRDVRNLVLKMTGFIEK >KQL16024 pep chromosome:Setaria_italica_v2.0:III:27153541:27154593:1 gene:SETIT_023883mg transcript:KQL16024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRKSNHYKGRGEAPYEKQSRCLARACCPCYVLGSVVRGIGRCLFVACYPMIQCCGLDECRHHHTSHLSHFR >KQL13909 pep chromosome:Setaria_italica_v2.0:III:7681712:7682217:-1 gene:SETIT_025721mg transcript:KQL13909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNFMLLHLDGTAAIVEISLPVGLLIVVAHAALHLPTADSVDEKDGLGDNPEPPSTD >KQL17403 pep chromosome:Setaria_italica_v2.0:III:50256939:50257936:1 gene:SETIT_023511mg transcript:KQL17403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEEEAVLVPAAAVVFSWEPVVKATAAAEAGARRDVPPGSPKKAPQQPPARLLSVPPPPGRAPAPAPALATRSLSSRARAVRPEDDPFLAAYLACTKSSGRRGGKDGGGAARGEAKGRRRFTWSGLGLSCKSSTGAVEQSMVKVAKRPEPDPTRDA >KQL14170 pep chromosome:Setaria_italica_v2.0:III:9325339:9325746:-1 gene:SETIT_025729mg transcript:KQL14170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRIAVMYGVKSHFSNLTDLMEDWTGAWRLLRVSGYGRSRTHMASVASTFQKPRISFHGIVRPMLQ >KQL13744 pep chromosome:Setaria_italica_v2.0:III:6430389:6431709:-1 gene:SETIT_024727mg transcript:KQL13744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPARPLPAARRRSTTKQLGKHKGNHEDGPNRKAYHVAYDDTKVGDADKLLELRGDPACVAQPDLAAAPCRLAPPGLPAAFYKAEWDVILVDAPTGYAPWSPGRMGAIYAAGMAARARRPGATTDVLVHDVDRPVEDRFSRAFLCEAYMVEQVGELRHFAVPSHRGRDAVPSHRGRDAVPPFCPHDEDTANA >KQL12855 pep chromosome:Setaria_italica_v2.0:III:1278334:1280340:1 gene:SETIT_021967mg transcript:KQL12855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAAIPVVSSVAVAATVVVMIALIRRCRVVRKKMKKKIVKKILDEIERKNREREMQACNALDDVVIEIGPVEKFLHEILNEKPMRFSPEQLAACTRNFSSELGSGGFGVVYKGDLPNGLPVAVKVLKVSMNKKVQEGFMAEIGTIGRTYHVHLVRLYGFCFDRDTKALVYEFLENGSLEKYLYGDEEDTAAAPPRLEWKTLHGIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTSDFTPKVADFGLARLGERENTHMSLTGGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEILGRRRNFDPDHGESKEWFPRWVWERYEQGEIRSVVSCDGIEEADREKAEMMCKVALWCVQFQPAARPTMSSVVRMLEGEMPIVPPVNPFHYLMDSSGGGSTSSGLWSGTYQSSRDTAGRDSEVSSMSPAAKSDDAMIQDVKRTHASVSMI >KQL12856 pep chromosome:Setaria_italica_v2.0:III:1278545:1280340:1 gene:SETIT_021967mg transcript:KQL12856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQILKAYGPRKHRRHGECRYSSRYVSSVAVAATVVVMIALIRRCRVVRKKMKKKIVKKILDEIERKNREREMQACNALDDVVIEIGPVEKFLHEILNEKPMRFSPEQLAACTRNFSSELGSGGFGVVYKGDLPNGLPVAVKVLKVSMNKKVQEGFMAEIGTIGRTYHVHLVRLYGFCFDRDTKALVYEFLENGSLEKYLYGDEEDTAAAPPRLEWKTLHGIAVGTAKGIRYLHEECQQRIVHYDIKPANILLTSDFTPKVADFGLARLGERENTHMSLTGGGRGTPGYAAPELWMALPATEKCDVYSFGMVLFEILGRRRNFDPDHGESKEWFPRWVWERYEQGEIRSVVSCDGIEEADREKAEMMCKVALWCVQFQPAARPTMSSVVRMLEGEMPIVPPVNPFHYLMDSSGGGSTSSGLWSGTYQSSRDTAGRDSEVSSMSPAAKSDDAMIQDVKRTHASVSMI >KQL14158 pep chromosome:Setaria_italica_v2.0:III:9229664:9230356:-1 gene:SETIT_024612mg transcript:KQL14158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEQHHELAPQLQFPPGYHFVPTEQELLSYLRSKIEGQEQPLAVVNEVAILDWQPGSLVEAYKGYGENKWYFFTVREPSSSNKEEEPNRKVRVPGVKATWKATGSVAPIAAARAKQQDDGGKPAEEEEEEEKVIVGTKRVLIYQSSDAEEDGKWSMHEYILKGHAKVRTHIHICVPLTRTLFQSEHYLFAMDENIVST >KQL16962 pep chromosome:Setaria_italica_v2.0:III:47143606:47148337:-1 gene:SETIT_020992mg transcript:KQL16962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAALVFAGKSVAIPAISFLVNKAFAYLNQYWKTEGIDEMKSRILLALPKIQAVFDVVNPERIKEESIALDAWLWHLRDAVEKAEDAVDEIEYYELKEKAKDLKVSDWGSSFAKMKHSAIKSVKHASIVDKAVKGLSHRGTLRRLQKALEGLDKAAAGVVGFLALADHLGGSTSRQEEDSLNKDRETGSMIIATKVFGRRKESEEVIGWLTKPSVGDAEIEVSKVSVVSIVGHGGMGKTTLAQLIHNDDTIKRHFDKVIWACVSTTFNATTVIRNILENATWATNGASTLDALQKILREKVDSLKFLVILDDVWEDKTDDQWGKLFAPLRAGKNGSKILLTTRMKGVAEMADQVMRGENKLLELQGLDEDDNIELFSHHAFPSCGLQDDAAFKRTGEQIAKNLRGCPLVTKVVGAHLRDNMRLDYWNEFLTQSLKHFYGGSEDIMDVLKLSYYHLPPVLQTCFRFCSLFPQDYRFGKDQLVEMWVDSGLISQDASGTQSLVDVGEKYLVQLTRKSFFDLRCPVDLVGQEDRESGYYVMHDLMHDLATNVSFGECLRMVDGGSLENVPSTVRHVRVEHIHNFPMEKVKKISCLENLRTIIIVKDILHSSVEENIDILNTVEELVESSKSLRLFQTNLRHRSHFASKLAKLKHLRCIIFQYTPTTQESMSGVFKLYHLRKLIWRSTKIGSKQVRDVGYLDRLQYVSYQPFGYRCSMVPVARLTSLRVLENYHITRIKGYNMSALKDLGSLRSLSVDTLENVDNQEEAKKAKMKEKKCLVSLRLGWTECDGVRSRTDELILDSLEPHANLKELCISRFRGSRIPHWIAESRVENLVELDFNFCEHIEELPSLGKLLKLKDLTLRGLISLRRIGQPSNASGDGRMELFLPPSLQKLNIEQCLKLEELPLLPPSLVRLDLEEVGLIRLPRIVKLHSSNDESISSKWLEIMVRSCQCLTSLEGSLFEQKQCIQVIREMSIIDCMHLESAPFPFEEMNELREIHIENCPELRLLSGAGDKLPLPSLKTLAMGRCGDFELLLLESLQVFTNLSYLRLSNCSVVESLPSADVFANLTSLRIIRLNGCRNLSSLGGLRSLPRLEDLELLNCSKLAEAGLSLTFGVSGCEEEPGSFKQIRYIRIDHPALLLVEPVRSLCPTSILEIEDGSEMLDAIEPWLLRNCTSLQQLWILDVNLESLPLRLSELSSLETLRLFNSDQLRSLPNVPSSLQTLYIKSCHSELRKKASERGSVEWNKISHIPDVQIGDYYFSKGEHVHTDISRDPCFQMQEVMNHTNKTWCWSTA >KQL16961 pep chromosome:Setaria_italica_v2.0:III:47142778:47148343:-1 gene:SETIT_020992mg transcript:KQL16961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAALVFAGKSVAIPAISFLVNKAFAYLNQYWKTEGIDEMKSRILLALPKIQAVFDVVNPERIKEESIALDAWLWHLRDAVEKAEDAVDEIEYYELKEKAKDLKVSDWGSSFAKMKHSAIKSVKHASIVDKAVKGLSHRGTLRRLQKALEGLDKAAAGVVGFLALADHLGGSTSRQEEDSLNKDRETGSMIIATKVFGRRKESEEVIGWLTKPSVGDAEIEVSKVSVVSIVGHGGMGKTTLAQLIHNDDTIKRHFDKVIWACVSTTFNATTVIRNILENATWATNGASTLDALQKILREKVDSLKFLVILDDVWEDKTDDQWGKLFAPLRAGKNGSKILLTTRMKGVAEMADQVMRGENKLLELQGLDEDDNIELFSHHAFPSCGLQDDAAFKRTGEQIAKNLRGCPLVTKVVGAHLRDNMRLDYWNEFLTQSLKHFYGGSEDIMDVLKLSYYHLPPVLQTCFRFCSLFPQDYRFGKDQLVEMWVDSGLISQDASGTQSLVDVGEKYLVQLTRKSFFDLRCPVDLVGQEDRESGYYVMHDLMHDLATNVSFGECLRMVDGGSLENVPSTVRHVRVEHIHNFPMEKVKKISCLENLRTIIIVKDILHSSVEENIDILNTVEELVESSKSLRLFQTNLRHRSHFASKLAKLKHLRCIIFQYTPTTQESMSGVFKLYHLRKLIWRSTKIGSKQVRDVGYLDRLQYVSYQPFGYRCSMVPVARLTSLRVLENYHITRIKGYNMSALKDLGSLRSLSVDTLENVDNQEEAKKAKMKEKKCLVSLRLGWTECDGVRSRTDELILDSLEPHANLKELCISRFRGSRIPHWIAESRVENLVELDFNFCEHIEELPSLGKLLKLKDLTLRGLISLRRIGQPSNASGDGRMELFLPPSLQKLNIEQCLKLEELPLLPPSLVRLDLEEVGLIRLPRIVKLHSSNDESISSKWLEIMVRSCQCLTSLEGSLFEQKQCIQVIREMSIIDCMHLESAPFPFEEMNELREIHIENCPELRLLSGAGDKLPLPSLKTLAMGRCGDFELLLLESLQVFTNLSYLRLSNCSVVESLPSADVFANLTSLRIIRLNGCRNLSSLGGLRSLPRLEDLELLNCSKLAEAGLSLTFGVSGCEEEPGSFKQIRYIRIDHPALLLVEPVRSLCPTSILEIEDGSEMLDAIEPWLLRNCTSLQQLWILDVNLESLPLRLSELSSLETLRLFNSDQLRSLPNVPSSLQTLYIKSCHSELRKKASERGSVEWNKISHIPDVQIGDYYFSKGEHVHTDISRDPCFQMQEVMNHTNKTWCWSTA >KQL13832 pep chromosome:Setaria_italica_v2.0:III:7016694:7018268:1 gene:SETIT_024248mg transcript:KQL13832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALRSFLLSYPEFLLAALCFLSLAALRRAWRRQLAPVSWPVVGMLPFVLANLGRLLDATTDALRECGCTFVFRGPWLARADFLVTCDPAAVQHCLASNHGGYDKGRDFAEMFDIVGDGMLVADAASWARQRHVAAAVFGNPAFRSFVLSTMARQTARLLVPFLDHAAATSPGGVEIEDVFMRYALDVSYASAFDADLDALSVAAASAPMPPIGQATRVASEAALLRHIVPVWWWRLLRWLNVGAERRLAEAKAVLDEFVYREIAKRKSPSFLAVASGRQGGGSDLLSLYMAWPRDPGVTDRQRDQFLRDSAVGYMFAAKDLIVAALTWLFYILCTHPHVEARILDELKSLRPTATVAATGGGEHAVFDAGALQPASYLHAAVLETLRLFPPAPFEEKEAVGDDVLPDCTKVTKGTRVIFCIYAMGRMEQIWGSDCHEFRPERWLSDVGRVRHEPSHKFAVFNCGPRSCLGKNLGLSNIKIAAAAILYNFQVELVDSHVVEPQNSVVLHTKNGMRVRIKRRKAA >KQL16905 pep chromosome:Setaria_italica_v2.0:III:46594913:46597061:-1 gene:SETIT_022426mg transcript:KQL16905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPAFKTGYHLFGSDYNLADKSEVSVSNDWDCSNKCHCYSMNLIQFIDAKIAGYQHTHPGHAKIFGFIAAREKIKPLRNYVYRRNIDNCEAVSVKRKTGVARLSLNSPARVISMPSRALIEFELHAISEDKTDGDDDLIIEGCTELCNMTLSESFIQSQRLYGQRCALDIKYLVLINAMEARVDIEVIRVPAHGINLKLLAKTSGFSNVIRLFRGTVMEVGFSASFAVAVEKHNYLDLYIEGSQRDDLTPAQKTRRNEWWQCGFGSRYHGVEDLVAELGDFAAVSVKISFKSYEKRS >KQL16906 pep chromosome:Setaria_italica_v2.0:III:46594913:46599090:-1 gene:SETIT_022426mg transcript:KQL16906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARSGLLRLLFRATARTHPSLPPAVMMATNGSLATTAAARRMRITKIRVAVLYTTPDINRRGPSPIMLFPAFKTGYHLFGSDYNLADKSEVSVSNDWDCSNKCHCYSMNLIQFIDAKIAGYQHTHPGHAKIFGFIAAREKIKPLRNYVYRRNIDNCEAVSVKRKTGVARLSLNSPARVISMPSRALIEFELHAISEDKTDGDDDLIIEGCTELCNMTLSESFIQSQRLYGQRCALDIKYLVLINAMEARVDIEVIRVPAHGINLKLLAKTSGFSNVIRLFRGTVMEVGFSASFAVAVEKHNYLDLYIEGSQRDDLTPAQKTRRNEWWQCGFGSRYHGVEDLVAELGDFAAVSVKISFKSYEKRS >KQL16902 pep chromosome:Setaria_italica_v2.0:III:46580758:46581233:-1 gene:SETIT_024001mg transcript:KQL16902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGIDGKPCGFFRIPLHHDLFEDGWNLVGCLLTPLLSKPLFFQQCYFVD >KQL12845 pep chromosome:Setaria_italica_v2.0:III:1196514:1200653:1 gene:SETIT_021875mg transcript:KQL12845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPPNGFAAGGFFKHIDGQNSSPPSVIVIGGGISGIAAARALSNASFKVTLLESRDRLGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIRMLGLRLYRTSGDNSVLYDHDLESYALFDKNGQQVPQEIVMKVGEIFEKILKETVKVRDEHANDMALIQAMAIVLDRNPHLKLEGLEYEVLQWCICRLEAWFATDTDNISLKNWDQEHVLTGGHGLMVNGYDPVIKALARDLDVHLNHRVTKIIQRYNKVIVCVEDGASFVADAAIITVPLGVLKANIIKFEPELPREKLSAIADLGVGIENKIALKFNTVFWPNVEVLGRVAPTSNACGYFLNLHKATGNPVLVCMVAGSFAYEIEKLSDEESVNFVMSQLRKMLPQATEPVQYLVSRWGSDPNSLGSYSCDLVGKPADLYERFCAPVGNLFFAGEAACIDHSGSVHGAYSSGIAAAEDCRRRLSTQLGISDLFQVGKIVVREEMNEVMVPFQISRL >KQL16520 pep chromosome:Setaria_italica_v2.0:III:41236943:41237381:-1 gene:SETIT_025576mg transcript:KQL16520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQLPQALYSTDFSVMESYVIIAFVVFNLKHLQFKHYLTFQFFSFDVMLVFFCFFLLCQTKLSLLE >KQL16446 pep chromosome:Setaria_italica_v2.0:III:40156171:40162444:1 gene:SETIT_021216mg transcript:KQL16446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGGGGGGWGGPEAGGGGGGEKDALFVELWKACAGPVSSIPPLGEKVYYFPQGHIEQVEASTNQLAEQQGTPLYNLPWKIPCKLMNIELKAEPDTDEVYAQLTLLPDKKRDENTTTTVESDAAEDEVVPVAPPATNEQLLIHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPNQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRALRHQTTIPSSVISSHSMHLGVLATAWHAVNTGSMFTIYYKPRTSPAEFVVSRDRYYESLKQNYTIGMRFKMRFEGEEAAEQKFTGTIVGIGASDPSGWADSKWRSLKVRWDEASAVLRPERVSPWQIEPAAVSPSPVNPLPLRFKRSRSNVNASPSDMPTVTREVASKVMADSQQNSLPRALHSQGRAQLTSRFRDSSDLKSAQDLTMWSSGIEQERNNIAAQTKLSLEGWTQTRRPEGYNQLSSAFQPLKDAQNPLCSFPSQISGNHSNTWDTVDAHYPTQQANHNMLPGTWSLMPHNSGFMMNQQNYLSMPEAALPQRAEIAKFSGKSAFTSLQGHGIDRCCSGWIGHNRASSSLINPRPLVIETDVQKTKGTSFKLFGFPLGSPEKSEPLVSPPSVAYDGKLQTSPSQRNQLDIIEVDDYSDPSKTVKPLDGPQTDSVRENNHPCPEATQNVQNKVQSSSTRSCKKVHKQGSALGRSIDLTKFTCYSELIAELDQMFDFGGELSSPHKNWMVVFTDNEGDMMLVGDDPWNEFCSMVHKMFIYTREEVERMNPGALSSRSEETLSDSLVRGSGSKEPRGGPSALSLNSENC >KQL16445 pep chromosome:Setaria_italica_v2.0:III:40156171:40161050:1 gene:SETIT_021216mg transcript:KQL16445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGGGGGGWGGPEAGGGGGGEKDALFVELWKACAGPVSSIPPLGEKVYYFPQGHIEQVEASTNQLAEQQGTPLYNLPWKIPCKLMNIELKAEPDTDEVYAQLTLLPDKKRDENTTTTVESDAAEDEVVPVAPPATNEQLLIHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQHPPNQELVAKDLHGVEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGENGELRVGVRRALRHQTTIPSSVISSHSMHLGVLATAWHAVNTGSMFTIYYKPRTSPAEFVVSRDRYYESLKQNYTIGMRFKMRFEGEEAAEQKFTGTIVGIGASDPSGWADSKWRSLKVRWDEASAVLRPERVSPWQIEPAAVSPSPVNPLPLRFKRSRSNVNASPSDMPTVTREVASKVMADSQQNSLPRALHSQGRAQLTSRFRDSSDLKSAQDLTMWSSGIEQERNNIAAQTKLSLEGWTQTRRPEGYNQLSSAFQPLKDAQNPLCSFPSQISGNHSNTWDTVDAHYPTQQANHNMLPGTWSLMPHNSGFMMNQQNYLSMPEAALPQRAEIAKFSGKSAFTSLQGHGIDRCCSGWIGHNRASSSLINPRPLVIETDVQKTKGTSFKLFGFPLGSPEKSEPLVSPPSVAYDGKLQTSPSQRNQLDIIEVDDYSDPSKTVKPLDGPQTDSVRENNHPCPEATQNVQNKVQSSSTRSCKKVHHTLHLFPR >KQL17335 pep chromosome:Setaria_italica_v2.0:III:49802191:49805588:1 gene:SETIT_022313mg transcript:KQL17335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPTALSLYARHFRLPRAPSAASSPSPPRLPATAYSSSSSRRLRPRRLAVSPRAEAGTGTGTGDVEALRAGVSVYKPRSYDVLVSDAARSLACAIDDGKTRLEIEFPPLPSSISSYKGSSDEFIDANVQLALVVARKLKELKGTRSCIVFPDQPEKRRASQLFKTAIDTIEGVTVSSLDDVPTDPVNSFFKSIRNTLDFDFSDDNEDRWKSDEPPSLYIFINSSTRDLASIEKYVEKFATSVPALLFNLELDTLRSDLGLLGFPPKDLHYRFLSQFTPVFYIRQRDYSKTIAVTPYIVNYSGAVFRQYPGPWQVMLKQADGSYACVAESAARFTLGQAKEELLRVIGLQEEEGSSLEFLRRGYKNATWWEENVDQETSAAWRT >KQL16632 pep chromosome:Setaria_italica_v2.0:III:43180000:43181085:1 gene:SETIT_025274mg transcript:KQL16632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKGINKVHRKSFDSTVILISWSIWLERNARTFNREEKTIAQLVHKITEEACCMIDGDTKAGPKPSGPEELDRFMDRTAARASSSVNGVRSGLAFTFSALA >KQL13633 pep chromosome:Setaria_italica_v2.0:III:5679926:5683780:1 gene:SETIT_023358mg transcript:KQL13633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAVRSCALGRWRPPHHLLPRLLSSSSAGASPARPQAAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGACADYMVVATGRSDWHVRNIAQALLYKIKQKQKGSDRILMPSVEGQQAGKWVVIDSGSIIIHALEERAREYYDLESIWSKEVSPNTSVQNPLQELETSLVKTRRRNLSQKPMKSL >KQL13634 pep chromosome:Setaria_italica_v2.0:III:5679926:5683990:1 gene:SETIT_023358mg transcript:KQL13634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAVRSCALGRWRPPHHLLPRLLSSSSAGASPARPQAAALLELPEVEKVLRDVRAGDVRVFPVGEGGLHGGACADYMVVATGRSDWHVRNIAQALLYKIKQKQKGSDRILMPSVEGQQAGKWVVIDSGSIIIHALEERAREYYDLESIWSKEVSPNTSVQELETSLVKTRRRNLSQKPMKSL >KQL14467 pep chromosome:Setaria_italica_v2.0:III:11407384:11413183:-1 gene:SETIT_021155mg transcript:KQL14467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVVALARLLLLLAAAFPLAAPGAADEKYYDPGACPKSLRCGDGVEGGRATLRLKDDNYTVLNIDYENHTVTVADKEVLSAGAGDCPRVTHNVTVPAETWLNLSTTANDDLAFFFGCNFTEATPPPPPIPRINCSSFPGRDRESYVVAKNDVLPQDPWPGACKEVVVVPVLKDRLFSSDEYLPRLNSDGYGKALKQGFQLTWDPSRGPCFLCEKSKGQCSYNQSGQFFGCLCSDGRVRDEECEQMQHLHLAPLLFTLLLTSLPHCKPQSDAYFRYTNCAPTPYRCGPVQFDIGYPFSVNSVDRPDYCSVPGYQLSCTDASKLVTTMNSSTGLFQVTSVNYGNHFLTLIDLGLAEQSCPQPYRNTTISDAMFAYTDRDQFLTAYINCSATSSSLPFALDVFSCLSGGHSYYRLDNGTVAPDLLGSCTSTLVLPFSSSMAGSLAAGNSSLGDAIRGGFAVRWKAGVGWCGDCRDSGGFCGYNSSSPSDHTCFCPAGTSIGSCPSGSSIAAGVLSLLLVVMTCLYIRKRRQYKMSSSSRLLKYTASGGTPRSRGSTSDMESGSVHSLQTHHFTYEELEEATNSFSGAMEIGDGGFGTVYKGHLRDGRVVAVKRLYNNSCRRVEQFLNEAAILSRLRHPNLVLFYGCTSSRSRELLLVYEFVPNGTVADHLHGSRAAERALPWPLRLNVAVEAAAALAYLHAVEPPIVHRDVKTNNILLDANFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDRSDVYSFGVVLVELISSKPAVDVTRDRSEINLAGMAINKIQQCQLEQLVDMDLGYGSDEATRKAMTMVAELAFRCLQQNGEMRPPIKEVFDALRSIQEEGTLGKKKDALIAPRSPNTVHAPWDSMSTTPSVSSQGAS >KQL14819 pep chromosome:Setaria_italica_v2.0:III:14030202:14032165:-1 gene:SETIT_023909mg transcript:KQL14819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKQIHEIKDFLLTARRKDARSVRIKRSKDAVKFKVRCSKYLYTLCVFDTEKANKLKQSLPPGLSVQEV >KQL17131 pep chromosome:Setaria_italica_v2.0:III:48479687:48480213:1 gene:SETIT_025549mg transcript:KQL17131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMSGLQGKYMTACRNKYRQPNAMCLIN >KQL13894 pep chromosome:Setaria_italica_v2.0:III:7554015:7554860:-1 gene:SETIT_023202mg transcript:KQL13894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAVLLVVAAVVALVAGHGAAFDPNPLQDFCVADTTSKVRVNGVPCKDPAAVTPDDFFFSGVDRAGAGTASRRYGFTALSVQIPGLNTLGASHARVDVAPGAVFPPHYHPRASETAVVLDGAVYFGFVSSYPENMVYAKVLRKGDVFAVPQGLVHFLYNNGTEPAALYATLSSQNPGLVLLADALFASGLPDDLLAKTFLTDVGTAHKIGAKFRS >KQL15919 pep chromosome:Setaria_italica_v2.0:III:25353433:25363009:1 gene:SETIT_024291mg transcript:KQL15919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDVAWSIADKLGRLVLREASLLWNFKDDVYGMKETMEKLEDRMRYADNRNSGAVEEPAEIVRLQKKFRSVAYDIEDVLDESSAIDKVKYSNPIYKRHTMAHKIKKIKDELDIVEKEAEHLNLVYPSAPTRSEGIVAEANIARTYFMDTEIMVGREKEKVHIMKLLLKSEADEDISIIPIVGLGGIGKTTLAGAVFRDKRTNIFDLKVWVHVSKKFDLLTIGKKIISSRGDENSGTSINDNFPCIKEQIKILPPRRYLIILDDVWEEDKVKLQHLMEMLQYGKKGSKIVMTTRSKKVVDVVDQLRTVFPAKEVKIYHVTLNILSDNNCWKVMWQRELGSDKNLGEGLEEIGKEIAKKCGGLPLLACSLGYLMSRRKTTEAWEDIRDRIISNPKADCVKDILNCLKLSYHCMPFNFKLCFTYCAVFPKGFAINSDILIQQWSALGYIKSVEEGKSCIDDYLLGMSFLQISPSSQVAPMHSRAPYKLIMHDMVHELAKEIADNETVIRDDPGKMIWSKSEKHYCRHMHLVNYDKEQSEVLRKVPGKIRSLHFTGCSRMLLQQNSFSKAKYLRVLDISGCSREGQHTPGSTAMLLPSNMDRLMLLRYLDASGLPISTLPNSLLRLQSMQTLILSNCTLETLPDDIGSLLKLCYLDLSGNRSLKELPKSLGNLSRLSFLNLSGCSKLKEVPETIHLLQCLRHLDMSGCCELQKLPDEICILPKLLVLNLSSCCNLTKLPDKLELKTLEHMNLSSCHELQNLPQNLGKLCNLKFLNLSDCHKVQVLPDSFCNLTNLKELNLSDCHDIRELPECINNLSRLHSLNLTSCYKLQSLPSTFCKMSELKHLNLSYCARLKKLPMSFGELRLQTLDISCCVTLHDLPEGINKMTSLTQLHVTSGHPSMFTKAQTIKKDLNITNRNVHDVSVIDDGKCQELEITDLLNVNHLENIDRTNLHDNPELRVLRLRWDCSILEKQTDAGNLDCSISEKRIDEGSLKCSKPEKKTDLGKQVLENLIPPRSLEDFALFGYPSKDFPTWIHDISSYLPNVHSIYLYKAECHSLPPIGRLPNLRYLVINSVPNITKIGKEFYGKEGTCNKLRFISLESLDNLAEWWTTRSGHKHEDFLIPNLLRLEVKDCAKLKFLPYPPKTMYWYLHSSEEVLPEHGYGLLSSSTLPFSVHIVDCRFSLDKWARLQHLATLEVLGIVGCRDLSTLPEIFQCFGNIRNLYLRSWEKLEILPEWLGQLISLEVLRIKSCPRLKCLPESIRNLTALKKLYISD >KQL16403 pep chromosome:Setaria_italica_v2.0:III:39275121:39278004:-1 gene:SETIT_024989mg transcript:KQL16403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASTGVMGSLLSKLSMLLRNQYKQLKRIRMDIEFLSRELTDMNAALEMLADTEKLDIQTKIANRIRMLRGHYQIAKKIQELRARVVEQSESRDRYKIVEGAATSTQVPLDPRVQVLFEDAKRLVGIDGPRDKIIRWLMQEDDSHSRPLKVISIVGFGGLGKTTLANQVYNKIKIEFECTTFITYCPELDMVVDDIQKLISIIRSQITNKRYLIIVDDIWSINAWEIIKCIFVENNNGSRVITTTKCKGVPLTITSIASLLANKSMHVETWERIHNSLGSELDTNPTLEWMRHVELLRKWIAEGFIREKHSLGLEEVAENLFNELINRSMIQPSLNDSGGVWSCRVHDLMLDLIITKCTEQNFITLIDRKFDMNGTFQVRRISHQFNNRDMALAVKTMSLSQVRSYKSFPMANCMPSIFKFEVRGFRLKLPKKFGELKHLLTVDVSFVWLYPSNQSSDFTSLSALRHLILPSTQESLVRRNGISRLCNLRTLFHFDIRTNSLECIRDLCELANLRELFLD >KQL13122 pep chromosome:Setaria_italica_v2.0:III:2711853:2715076:1 gene:SETIT_022364mg transcript:KQL13122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGEHRVGEGEEAGGGAGAATAAPMRDIRRYRCEFCGVVRSKKSLIRAHVLQHHKDEVGDLEDYQEGGGGASRKEVTHDCKECGMSFKKPAHLKQHMQSHSLERPFACHIDGCPLSYSRKDHLNRHLLTHQGKLFVCPIEGCDRKFNIKGNMQRHVQEMHKDGSPCESKKEFICPEVNCGKTFKYASKLKKHEESHVELDYTEVICCEPGCMKTFTNVECLKAHNQSCHQHVQCDVCGTKQLKRNFKRHRQMHEGSCVTERVKCHFEDCKCSFSKKSNLNKHVKAVHEQRRPFVCQFSGCGKKFCYKHVRDNHEKSSAHVHTEGDFVEADEQRPRSAGGRKRKPVSVETLMRKRVAAPDDAPAHVDGTEHLRWLLSG >KQL16354 pep chromosome:Setaria_italica_v2.0:III:38178833:38184176:1 gene:SETIT_022191mg transcript:KQL16354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGEAAAAAMEVEAEAEASPCAGLFSPVTSDSPSRNPDGSVTSRRLGLKNSIQTNFGDDYVFQIASCQEISTLAVSLSTNALKFYSPATGQYLGECIGHEGTIHEISFSVPSSPQVICSCSSDGTVRAWDTRNFKQISLLRGSASQEMFTFSFGGSSGNLLVAGSNAQVLLWDWRTSKQVACLEESHMDDVTQVKFAPNQQSKLISAAVDGLVCVFDTDGDIDEDNHLLSVMNAETSVAKVGFFGNMYQKLWCLTHIETLSVWDWNDGSRELNMEDARSLATDKWNLDHVSIQ >KQL16355 pep chromosome:Setaria_italica_v2.0:III:38178833:38185342:1 gene:SETIT_022191mg transcript:KQL16355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYGEAAAAAMEVEAEAEASPCAGLFSPVTSDSPSRNPDGSVTSRRLGLKNSIQTNFGDDYVFQIASCQEISTLAVSLSTNALKFYSPATGQYLGECIGHEGTIHEISFSVPSSPQVICSCSSDGTVRAWDTRNFKQISLLRGSASQEMFTFSFGGSSGNLLVAGSNAQVLLWDWRTSKQVACLEESHMDDVTQVKFAPNQQSKLISAAVDGLVCVFDTDGDIDEDNHLLSVMNAETSVAKVGFFGNMYQKLWCLTHIETLSVWDWNDGSRELNMEDARSLATDKWNLDHVDYFVDCHYSLPDDQLWLIGGTSAGTLGYFPLRNDPAGAIGSVEAILEGGHTGVVRTVYPAAGTHESLGQNRGIFGWTGGEDGRLCCWRSDEIAETNKSWISTSLGLKLQKKTKSRHQPY >KQL14143 pep chromosome:Setaria_italica_v2.0:III:9117004:9119427:-1 gene:SETIT_022780mg transcript:KQL14143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEPGPPYFGAMGSNAGGASGGFYQPFSSNLAGAGVSTGIQNFPGVRLRGLPFDCNEIDICKFFAGLEIVDCLLVNKNGRFTGEAFVVFQGAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYCAIANEVNQGGYFEPEYRRSPPPPRPKKPAEDKGSMEYTEVLKLRGLPYSATTEDIIKFFLEYELTEENVHIAYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRGRQ >KQL14144 pep chromosome:Setaria_italica_v2.0:III:9117246:9119263:-1 gene:SETIT_022780mg transcript:KQL14144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPPSRSRAMMGGWGVSDGYEGSKRPRMMMESNPYFAVNAGSPLDVSKRARMMEPGPPYFGAMGSNAGGASGGFYQPFSSNLAGAGVSTGIQNFPGVRLRGLPFDCNEIDICKFFAGLEIVDCLLVNKNGRFTGEAFVVFQGAMQAEFALHRNRQNMGRRYVEVFRCKKQEYYCAIANEVNQGGYFEPEYRRSPPPPRPKKPAEDKGSMEYTEVLKLRGLPYSATTEDIIKFFLEYELTEENVHIAYRSDGKATGEAFVEFPTAEVAKTAMCKDKMTIGTRYVELFPSTPEEASRAKSRGRQ >KQL15838 pep chromosome:Setaria_italica_v2.0:III:24368057:24374308:-1 gene:SETIT_022479mg transcript:KQL15838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQYHEEYVRNPRGVQLFTCGWLPASSSPKALVFLCHGYGMECSDFMRACGIKLATAGYGVFGIDYEGHGKSMGARCYIQKFENLVADCDRFFKSICAMEDYRNKSRFLYGESMGGAVALLLHRKDPIFWDGAVLVAPMCKVEEIIPKWKIVPTKDVIDSAFKDPVKREKIRKNKLIYQDKPRLKTALELLRTSMDVEDSLSEVRMPFFILHGEADMVTDPEVSRALYERAASTDKTIKLYPGMWHGLTAGEPDENVELVFSDIVAWLDERSRHWKPEERVRPPSEPEMFHQVAPTKITRVASSGGTEGPVPAQGRPQRRGSFLCGLGGRPHQQQCRM >KQL15839 pep chromosome:Setaria_italica_v2.0:III:24368880:24374256:-1 gene:SETIT_022479mg transcript:KQL15839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQYHEEYVRNPRGVQLFTCGWLPASSSPKALVFLCHGYGMECSDFMRACGIKLATAGYGVFGIDYEGHGKSMGARCYIQKFENLVADCDRFFKSICAMEDYRNKSRFLYGESMGGAVALLLHRKDPIFWDGAVLVAPMCKISEKVKPHPVVITLLTQVEEIIPKWKIVPTKDVIDSAFKDPVKREKIRKNKLIYQDKPRLKTALELLRTSMDVEDSLSEVRMPFFILHGEADMVTDPEVSRALYERAASTDKTIKLYPGMWHGLTAGEPDENVELVFSDIVAWLDERSRHWKPEERVRPPSEPEMFHQVAPTKITRVASSGGTEGPVPAQGRPQRRGSFLCGLGGRPHQQQCRM >KQL15837 pep chromosome:Setaria_italica_v2.0:III:24368057:24371084:-1 gene:SETIT_022479mg transcript:KQL15837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGGEHWLLAACGIKLATAGYGVFGIDYEGHGKSMGARCYIQKFENLVADCDRFFKSICAMEDYRNKSRFLYGESMGGAVALLLHRKDPIFWDGAVLVAPMCKISEKVKPHPVVITLLTQVEEIIPKWKIVPTKDVIDSAFKDPVKREKIRKNKLIYQDKPRLKTALELLRTSMDVEDSLSEVRMPFFILHGEADMVTDPEVSRALYERAASTDKTIKLYPGMWHGLTAGEPDENVELVFSDIVAWLDERSRHWKPEERVRPPSEPEMFHQVAPTKITRVASSGGTEGPVPAQGRPQRRGSFLCGLGGRPHQQQCRM >KQL15000 pep chromosome:Setaria_italica_v2.0:III:15479262:15482454:-1 gene:SETIT_023055mg transcript:KQL15000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAFAAAPSSSICGVRIPKACHFEAQSTVPKPRFLRNRVAAKTRSDATWSLKAGLWDSLRSGFLKNNNSTETVEPPPAPLEVEEPLPEELVLLERTLPDGSTEQILFSSAGDVDVYDLQALCDKVGWPRRPLSKIAASLRNSYLVATLHSIIKSSETEGGEKKQLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKALMEKVIRTLLQRDINNITLFADNKVVDFYKNLGFEVDPQGIKGMFWYPRF >KQL13304 pep chromosome:Setaria_italica_v2.0:III:3700371:3706333:1 gene:SETIT_021645mg transcript:KQL13304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDQKKKAPSEMDFFTEYGEGSRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKARRYLSTMRRKKAIPFTQKFPNADPLALSLLERMLAFEPKDRPSAEEALADPYFKNIANVDREPSAQAVTKLEFEFERRRVTKDDIRELIYREILEYHPKMLREFLEGTESSGFMYPSAVDHFKKQFAYLEEHYCKGSTGTPPERQHNSLPRPSVVYSDNRQQSTANITEDLSKCLIRENTQKTQQHSASVAANKFPLHVPHGAAARPGKAVGSVLQYNPGPASAAEQYEQRRIARHPAIAPNNIPSGSSYPRRNQTCKSETGDAERMDVNQAGQPKPYAANKLPATVDGRGGHW >KQL13303 pep chromosome:Setaria_italica_v2.0:III:3700055:3706333:1 gene:SETIT_021645mg transcript:KQL13303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFTEYGEGSRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKARRYLSTMRRKKAIPFTQKFPNADPLALSLLERMLAFEPKDRPSAEEALADPYFKNIANVDREPSAQAVTKLEFEFERRRVTKDDIRELIYREILEYHPKMLREFLEGTESSGFMYPSAVDHFKKQFAYLEEHYCKGSTGTPPERQHNSLPRPSVVYSDNRQQSTANITEDLSKCLIRENTQKTQQHSASVAANKFPLHVPHGAAARPGKAVGSVLQYNPGPASAAEQYEQRRIARHPAIAPNNIPSGSSYPRRNQTCKSETGDAERMDVNQAGQPKPYAANKLPATVDGRGGHW >KQL16205 pep chromosome:Setaria_italica_v2.0:III:34305533:34308411:-1 gene:SETIT_021178mg transcript:KQL16205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEQSQRPAGEPAAEPGPAPELECKNEDGVEDLEDPESTAGNGISSLEQPLLKRSPTLTASHLAIVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENITGLKMLHMVGLVREGRYWAGFLYFAGVNLALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSIGAVSSGMDLGKEGPLVHIGACLANLLSQGGEGRWRLRWRWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRDGRCGMFGEGGLILFDVSSVTVRYHLGDLLPVTIVGVLGGVLGALYNHVLHQVLRLYNLINAKGRLAKLALALAVSVITSAGLYVLPFAVPCTPCSAAGAAADFCPTVGKSGNFKKFNCPDGFYNDLASLLHATNTDATRNIFSTGTAGEFRLDSLLIFFAVYCVLGLITFGIAVPSGLFLPIILMGSAYGRILALLLARFVHIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPETWMKDLTVGELAAAKPRAVTLQVVEKVSTIVAVLRATPHNGFPVLDRPRPGVSELHGLVLRQHLMAVLRKRWFLQEKRRTEEWEARERFSSTELAEKAGSIDDVQLSPEELDMYIDLHPFTNTTPYTVVETMSVAKAVVLFRTCALRHMLIIPKFQGLEVSEKNFFWANFLQIPSFVEVRFIMISSTKKLVMNFHFILIISDISDYGDPDKAGSEGAQHPWCLPSSGQQKESPLKVRGTIFLQFSCSLDASKQKDPAACSATRFFFALFCPSL >KQL16206 pep chromosome:Setaria_italica_v2.0:III:34305371:34308566:-1 gene:SETIT_021178mg transcript:KQL16206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEQSQRPAGEPAAEPGPAPELECKNEDGVEDLEDPESTAGNGISSLEQPLLKRSPTLTASHLAIVGAKVSHIESLDYEIIENDLFKHDWRSRSNVEVLQYIFLKWAMAFLVGLLTGVIASLINLAIENITGLKMLHMVGLVREGRYWAGFLYFAGVNLALTFVAAVLCVVFAPTAAGPGIPEIKAYLNGVDTPNMFGAPQLIVKIIGSIGAVSSGMDLGKEGPLVHIGACLANLLSQGGEGRWRLRWRWLRYFNNDRDRRDLITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTATVVVVLRGFIEVCRDGRCGMFGEGGLILFDVSSVTVRYHLGDLLPVTIVGVLGGVLGALYNHVLHQVLRLYNLINAKGRLAKLALALAVSVITSAGLYVLPFAVPCTPCSAAGAAADFCPTVGKSGNFKKFNCPDGFYNDLASLLHATNTDATRNIFSTGTAGEFRLDSLLIFFAVYCVLGLITFGIAVPSGLFLPIILMGSAYGRILALLLARFVHIDHGLYAVLGAAALMSGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDAFNPSIYEIILDLKGLPFLEPKPETWMKDLTVGELAAAKPRAVTLQVVEKVSTIVAVLRATPHNGFPVLDRPRPGVSELHGLVLRQHLMAVLRKRWFLQEKRRTEEWEARERFSSTELAEKAGSIDDVQLSPEELDMYIDLHPFTNTTPYTVVETMSVAKAVVLFRTCALRHMLIIPKFQGLEISPIMGILTRQDLRAHNILGAFPHLANKRKVH >KQL15480 pep chromosome:Setaria_italica_v2.0:III:20667630:20668106:1 gene:SETIT_025791mg transcript:KQL15480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGGSTVSLLGPPANNRPDGEILPLLAAHGSLIKKRPPQVPPC >KQL14471 pep chromosome:Setaria_italica_v2.0:III:11434880:11436253:1 gene:SETIT_023195mg transcript:KQL14471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHVETSSSDDDGPTTRLFHRRRSVHKLLGGRKAADVMLWRDKNLSAGILAGATLVWFLFDVVEYNLVTLLCHIALLGVLLLFIWSNAAPLFDRQPPQIPEVIVSEQAFREIAQTTHYKLAHFVSILYDIACGKDLKKFLMVIGSLWMLAIVGDACSFITLLYVGFLCVLTLPALYERYETEVDHLVAKGGEDLKKFYKKVDSNVLNKIPRGPVKTKVH >KQL14430 pep chromosome:Setaria_italica_v2.0:III:11214905:11217870:-1 gene:SETIT_023351mg transcript:KQL14430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVNITNVAVLDNPTAFLNPFQFEISYECLVPLDDDLEWKLIYVGSAEDENYDQQLESVLVGPVNVGTYRFVLQADPPDPSKIREEDIIGVTVLLLTCSYMGQEFMRVGYYVNNDYDDEQLREEPPAKVLIDRVQRNILADKPRVTKFPINFHPEPSTSAGQQQQEPQTASPENHTGNGDASKPEADQ >KQL16373 pep chromosome:Setaria_italica_v2.0:III:38666642:38666964:1 gene:SETIT_0230592mg transcript:KQL16373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKSSLTSQTLFKFEPYSNLNLSKPPRTNNQSLLFKTGPATSLSLHSFFWPSCPPPLSPPPAPGLARQPLLQLGPAALARPTAAAGPASPTPAPQPPTPPPVSPPPP >KQL13835 pep chromosome:Setaria_italica_v2.0:III:7029747:7030218:1 gene:SETIT_023844mg transcript:KQL13835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTRVAVHSVMDEAPQAGDLAEAGADPAERQEVRRRPLATLPPPTEVVAPKSPWQQRGLVGEKTSCKLGIGDAEIQDL >KQL12703 pep chromosome:Setaria_italica_v2.0:III:478678:479803:-1 gene:SETIT_022872mg transcript:KQL12703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEELAAGGWREKQHHQHQHQQLEIRQVWADNVDREFKLIRAAIEHFPYVSMDTEFPGVIHRPSKHPAAMTPAERYALIKSNVDALHLIQVGLTFAASPTSPPALAFEVNLREFDPRVHRHAPDSVQLLASSGLDLAAHRARGVSARAFAALLMSSGLVCNPEVAWVTFHSAYDFAYLVKILMGRKLPRSLPEFLKYVRVYFGPEVYDVKHMMRFCGGLFGGLERVAAALEVRRAAGRCHQAASDSILTWDTFRQMKRLYFAKEGTTELCAGVLFGLELEDTAKPR >KQL15257 pep chromosome:Setaria_italica_v2.0:III:18340297:18340806:1 gene:SETIT_023630mg transcript:KQL15257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAPEPTQDHVYATYDADGYVRYVHLQPTPGHSSAPVNQAAAAAAAGYYNPLLFPYYPFGGQQVYGHATCPAGSYYQEAGPSHRYINSGAGGDEAHGDAGFDPAAPGLAGMPFSANAPAGQNQRGGGAI >KQL15398 pep chromosome:Setaria_italica_v2.0:III:19624197:19625056:-1 gene:SETIT_023803mg transcript:KQL15398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTQASRASETPRKQINKPRKKTESGKESGNEDQVVKRMLAPFQNQELIRQQGSEFTGAEEHLETKSKPQGPRTTHGENSRGREERGD >KQL16872 pep chromosome:Setaria_italica_v2.0:III:46130333:46133612:1 gene:SETIT_022406mg transcript:KQL16872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDAAQNPNPSPVPPPISAYYQTRAEHHAVVSSDWLAHAAAAAAASPGADAAAAADAAAAPPPSPGGAGGVIEEFNFWRRKPEAAEAVAAIMALAAVIRSSRATTMMELEIELKKASDKLKSWDATSISLSAACDLFMRFVTRTSHLEHEKFDAAKSRLIERGEKFGEISLKARKTIAMLSQDFIYDGCTMLVHGYSRVVLEVLKLAASNRKLFRVLCTEGRPDRTGLRMSNALAALGIPVKVLIDSAVAYSMDEVDMVFVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMTPAHRPIDFGVPVPTGVEVETSARDYTPPQYLTLLLTDLGVLTPSVVSDELIQLYL >KQL13632 pep chromosome:Setaria_italica_v2.0:III:5676538:5678452:1 gene:SETIT_021711mg transcript:KQL13632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNDDEKPSASNTGRTKGLVTIKPAKYFKDDAALTADTVTAEVEINATSSTAVREGLDLVAVLDVSGTMAEEKLESMKRAMAFVIMKLTPVDRLSIVTFSEGATRRNPLRSVTAAAQDDLKALVNGLEARGPTNIRAGLETGLAVLAGRVHTKARTANIFLMSDGHQNAGDNAGDVDPGQVTVYSFGFGQHTDHKLMSDIARRSPGGTFSSVPDGSQASVPFSQLLAGLLTVVAQDVELTFTPNPKTDPSEVGDTDTIEVARGTDYTTIPDAATSGKITIKFGTLFAGEGRRVVITLKLKDVSDKFEEEEYDATLAEAQHSFTAQGRPKDPQVPQDIQIRRTPSPSQAPGESSKAREVQAEIARRNHAEAIRQARELADAGELEEAGYKLVEAQNALEDIVVDRLDDGKKLVSSLRAELAQLLKLMETKELYEAQGRAYALASETCHGRQRYAARGGGGDDDVRLYTTPRMDTYRKQAKNFEENPTAPVPTADEDLKQEILANPISAVSTELAYHLRMAIQSLQAIERIVAPSN >KQL13248 pep chromosome:Setaria_italica_v2.0:III:3403726:3404327:1 gene:SETIT_025762mg transcript:KQL13248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAAFRALDVEAREAKMRPVPAPQPPKKKTPKSTEANRDKDRLLKLNAMQQPALAFAAAAAAAAAATSMPLPTPPPSREVKQEAQGSAAPREDRPTLFGTLQS >KQL14119 pep chromosome:Setaria_italica_v2.0:III:9027946:9034508:-1 gene:SETIT_021037mg transcript:KQL14119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKKKKDVTAVVWYATRVIEFLGRSTPIILKNKDTRCSLVALCNVLLLAEKITLNLDIKKVSEGHLIYLVQRYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVTFASSCGFEQSSETALFGFLGVPLHHGWLVDPQDVELGSSIPRSSYSKLSYNLAMYESILSNTNSGLQKHGGCKDDMFYSALAFSLTESEELESTSCAMISTFLRGPQLTPYGFSSLHDDLKARQPTVLLWNEKLITISKFEEKIYVLLNDLSLLSTETNAVWERLTQENGDGLFVDCDFVPTDSKIQSILPLTKSERKKRNKKEKMGLKGLLVPKEKEEDRNEDREEDRNEDRDYEKTEEKDDWSIEEKANISGMHGNLNIRPIDFFGRSTHVIHQINDGPCALIAVCNVLLLKGSIFFEPHETVVSIEYLLNLVVSFLKESVKMQAHCSEIQRKIWDVVQTLATGFDVDVVFTRTDGFTMTPECLLLDCLDLNLRHGWIAPTDLLPGPEVSFESLTLVANEPGFPHSEAIKKFLRGPQLTLIGLVSLQEDLVENVPYILYWNNHYNTIVKINGVLLSLVTDSNYLRTSAVWQMLHEVNGDGVYLDSNFTPIYTGLDAAPSGSYFVPETSTSEASTSFMKPDSEGITSHGDGLYLDCSFTGLYSGPDAALLRENLHGSHFVPKTWTPRSCTYSMRPYTEEDISHEKSVPGCQIVPETREISLEEFVQIPGNEFSELKTIFAGGTSLDVADTTKIGRVIGLDLLEELVFSHDAGLSWNGNFDLSTIFITDGVRAEIRAPYCSKFCEKARLNDYSSYIYTIVELFRIKGFGLPAFFTHLTGKLSNPPVRPSVCTPSQLEGFRHRLHGFWDCVLTTLALRSSLARAGLFSGIHKIRRFAPKKVRQPLKVVLTSPQTLGEDWRQRIALEGHPVLKKVLRYIPGVLDGSNEKLQENEDDHRKFGIYQSDDVSLSVFPRQVNEHGKSKVERGPGGLHPTRSPWSWNLGPPPPCFQKPWS >KQL14120 pep chromosome:Setaria_italica_v2.0:III:9026439:9034508:-1 gene:SETIT_021037mg transcript:KQL14120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKKKKDVTAVVWYATRVIEFLGRSTPIILKNKDTRCSLVALCNVLLLAEKITLNLDIKKVSEGHLIYLVQRYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVTFASSCGFEQSSETALFGFLGVPLHHGWLVDPQDVELGSSIPRSSYSKLSYNLAMYESILSNTNSGLQKHGGCKDDMFYSALAFSLTESEELESTSCAMISTFLRGPQLTPYGFSSLHDDLKARQPTVLLWNEKLITISKFEEKIYVLLNDLSLLSTETNAVWERLTQENGDGLFVDCDFVPTDSKIQSILPLTKSERKKRNKKEKMGLKGLLVPKEKEEDRNEDREEDRNEDRDYEKTEEKDDWSIEEKANISGMHGNLNIRPIDFFGRSTHVIHQINDGPCALIAVCNVLLLKGSIFFEPHETVVSIEYLLNLVVSFLKESVKMQAHCSEIQRKIWDVVQTLATGFDVDVVFTRTDGFTMTPECLLLDCLDLNLRHGWIAPTDLLPGPEVSFESLTLVANEPGFPHSEAIKKFLRGPQLTLIGLVSLQEDLVENVPYILYWNNHYNTIVKINGVLLSLVTDSNYLRTSAVWQMLHEVNGDGVYLDSNFTPIYTGLDAAPSGSYFVPETSTSEASTSFMKPDSEGITSHGDGLYLDCSFTGLYSGPDAALLRENLHGSHFVPKTWTPRSCTYSMRPYTEEDISHEKSVPGCQIVPETREISLEEFVQIPGNEFSELKTIFAGGTSLDVADTTKIGRVIGLDLLEELVFSHDAGLSWNGNFDLSTIFITDGVRAEIRAPYCSKFCEKARLNDYSSYIYTIVELFRIKGFGLPAFFTHLTGKLSNPPVRPSVCTPSQLEGFRHRLHGFWDCVLTTLALRSSLARAGLFSGIHKIRRFAPKKVRQPLKVVLTSPQTLGEDWRQRIALEGHPVLKKVLRYIPGVLDGSNEKLQENEDDHRKFGIYQSDDVSLSVFPRQVNEHGKSKGGLGQEWQQEGKEPFNKLSELDLLTSHYLEDRLPIILEKLLCSDHLMTAKLWEWLKEVLEDYTRPEVLGRGTWDLRRRVFRNHGHETWNLHRRVRCRDFGRGRGRGRARGFGHRGRGRARGFGAAPVKYRL >KQL14118 pep chromosome:Setaria_italica_v2.0:III:9028226:9034508:-1 gene:SETIT_021037mg transcript:KQL14118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKKKKDVTAVVWYATRVIEFLGRSTPIILKNKDTRCSLVALCNVLLLAEKITLNLDIKKVSEGHLIYLVQRYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVTFASSCGFEQSSETALFGFLGVPLHHGWLVDPQDVELGSSIPRSSYSKLSYNLAMYESILSNTNSGLQKHGGCKDDMFYSALAFSLTESEELESTSCAMISTFLRGPQLTPYGFSSLHDDLKARQPTVLLWNEKLITISKFEEKIYVLLNDLSLLSTETNAVWERLTQENGDGLFVDCDFVPTDSKIQSILPLTKSERKKRNKKEKMGLKGLLVPKEKEEDRNEDREEDRNEDRDYEKTEEKDDWSIEEKANISGMHGNLNIRPIDFFGRSTHVIHQINDGPCALIAVCNVLLLKGSIFFEPHETVVSIEYLLNLVVSFLKESVKMQAHCSEIQRKIWDVVQTLATGFDVDVVFTRTDGFTMTPECLLLDCLDLNLRHGWIAPTDLLPGPEVSFESLTLVANEPGFPHSEAIKKFLRGPQLTLIGLVSLQEDLVENVPYILYWNNHYNTIVKINGVLLSLVTDSNYLRTSAVWQMLHEVNGDGVYLDSNFTPIYTGLDAAPSGSYFVPETSTSEASTSFMKPDSEGITSHGDGLYLDCSFTGLYSGPDAALLRENLHGSHFVPKTWTPRSCTYSMRPYTEEDISHEKSVPGCQIVPETREISLEEFVQIPGNEFSELKTIFAGGTSLDVADTTKIGRVIGLDLLEELVFSHDAGLSWNGNFDLSTIFITDGVRAEIRAPYCSKFCEKARLNDYSSYIYTIVELFRIKGFGLPAFFTHLTGKLSNPPVRPSVCTPSQLEGFRHRLHGFWDCVLTTLALRSSLARAGLFSGIHKIRRFAPKKVRQPLKVVLTSPQTLGEDWRQRIALEGHPVLKKVLRYIPGVLDGSNEKLQENEDDHRKFGIYQSDDVSLSVFPRQVNEHGKSKGGLGQEWQQEGKEPFNKLSELDLLTSHYLEDRLPIILEKLLCSDHLMTAKLWEWLKEVLEDYTRPEVLGRGTWDLRRRVFRNHGHETWNLHRRVRCRDFGRGRGRGRARGFGHRGRGRARGFGAAPVKYRL >KQL14121 pep chromosome:Setaria_italica_v2.0:III:9028596:9034508:-1 gene:SETIT_021037mg transcript:KQL14121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKKKKDVTAVVWYATRVIEFLGRSTPIILKNKDTRCSLVALCNVLLLAEKITLNLDIKKVSEGHLIYLVQRYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVTFASSCGFEQSSETALFGFLGVPLHHGWLVDPQDVELGSSIPRSSYSKLSYNLAMYESILSNTNSGLQKHGGCKDDMFYSALAFSLTESEELESTSCAMISTFLRGPQLTPYGFSSLHDDLKARQPTVLLWNEKLITISKFEEKIYVLLNDLSLLSTETNAVWERLTQENGDGLFVDCDFVPTDSKIQSILPLTKSERKKRNKKEKMGLKGLLVPKEKEEDRNEDREEDRNEDRDYEKTEEKDDWSIEEKANISGMHGNLNIRPIDFFGRSTHVIHQINDGPCALIAVCNVLLLKGSIFFEPHETVVSIEYLLNLVVSFLKESVKMQAHCSEIQRKIWDVVQTLATGFDVDVVFTRTDGFTMTPECLLLDCLDLNLRHGWIAPTDLLPGPEVSFESLTLVANEPGFPHSEAIKKFLRGPQLTLIGLVSLQEDLVENVPYILYWNNHYNTIVKINGVLLSLVTDSNYLRTSAVWQMLHEVNGDGVYLDSNFTPIYTGLDAAPSGSYFVPETSTSEASTSFMKPDSEGITSHGDGLYLDCSFTGLYSGPDAALLRENLHGSHFVPKTWTPRSCTYSMRPYTEEDISHEKSVPGCQIVPETREISLEEFVQIPGNEFSELKTIFAGGTSLDVADTTKIGRVIGLDLLEELVFSHDAGLSWNGNFDLSTIFITDGVRAEIRAPYCSKFCEKARLNDYSSYIYTIVELFRIKGFGLPAFFTHLTGKLSNPPVRPSVCTPSQLEGFRHRLHGFWDCVLTTLALRSSLARAGLFSGIHKIRRFAPKKVRQPLKVVLTSPQTLGEDWRQRIALEGHPVLKKVLRYIPGVLDGSNEKLQENEDDHRKFGIYQSDDVSLSVFPRQVNEHGKSKGGLGQEWQQEGKEPFNKLSELDLLTSHYLEDRLPIILEKLLCSDHLMTAKLWECCLAQSLVHWSKQLQLHILQYFFDSSTALRACWL >KQL14122 pep chromosome:Setaria_italica_v2.0:III:9028843:9034508:-1 gene:SETIT_021037mg transcript:KQL14122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKKKKKDVTAVVWYATRVIEFLGRSTPIILKNKDTRCSLVALCNVLLLAEKITLNLDIKKVSEGHLIYLVQRYLLYGNTQMQLEQNLELSEFNKQVLGVLPKLPGSLYFDVTFASSCGFEQSSETALFGFLGVPLHHGWLVDPQDVELGSSIPRSSYSKLSYNLAMYESILSNTNSGLQKHGGCKDDMFYSALAFSLTESEELESTSCAMISTFLRGPQLTPYGFSSLHDDLKARQPTVLLWNEKLITISKFEEKIYVLLNDLSLLSTETNAVWERLTQENGDGLFVDCDFVPTDSKIQSILPLTKSERKKRNKKEKMGLKGLLVPKEKEEDRNEDREEDRNEDRDYEKTEEKDDWSIEEKANISGMHGNLNIRPIDFFGRSTHVIHQINDGPCALIAVCNVLLLKGSIFFEPHETVVSIEYLLNLVVSFLKESVKMQAHCSEIQRKIWDVVQTLATGFDVDVVFTRTDGFTMTPECLLLDCLDLNLRHGWIAPTDLLPGPEVSFESLTLVANEPGFPHSEAIKKFLRGPQLTLIGLVSLQEDLVENVPYILYWNNHYNTIVKINGVLLSLVTDSNYLRTSAVWQMLHEVNGDGVYLDSNFTPIYTGLDAAPSGSYFVPETSTSEASTSFMKPDSEGITSHGDGLYLDCSFTGLYSGPDAALLRENLHGSHFVPKTWTPRSCTYSMRPYTEEDISHEKSVPGCQIVPETREISLEEFVQIPGNEFSELKTIFAGGTSLDVADTTKIGRVIGLDLLEELVFSHDAGLSWNGNFDLSTIFITDGVRAEIRAPYCSKFCEKARLNDYSSYIYTIVELFRIKGFGLPAFFTHLTGKLSNPPVRPSVCTPSQLEGFRHRLHGFWDCVLTTLALRSSLARAGLFSGIHKIRRFAPKKVRQPLKVVLTSPQTLGEDWRQRIALEGHPVLKKVLRYIPGVLDGSNEKLQENEDDHRKFGIYQSDDVSLSVFPRQVNEHGKSKGGLGQEWQQEGKEPFNKLSELDLLTSHYLEDRLPIILEKLLCSDHLMTAKLWEW >KQL15208 pep chromosome:Setaria_italica_v2.0:III:17805712:17806859:1 gene:SETIT_023026mg transcript:KQL15208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSALRRAPWAAAARGLLAARSGWRVHPRQPHPHRAECSALLSSLSAPQLSAVADAQLLRVINYEISCAQQDCRKRDWAKEFGEEFPFEIQDKEGTNRITLTRRDQKERIEVEVFLPSPADSVEQNGEQEDQVEDANRQGHASNAAANQYYIPLVVKIHKGAAWLEISCRSYPDELAIDSLAFGPRDESVDSSDVEAKISNLPEEFQQALYSYLKSRAISSDVTNFLHAYMINKECHEYLAWLRKLKGLMKR >KQL15615 pep chromosome:Setaria_italica_v2.0:III:21762682:21762963:-1 gene:SETIT_024844mg transcript:KQL15615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAMCWCAHCGAVRRLRAEGEFASCSSCGRVLLELRGDAASAAAAPRSLLQRRRRRRREARTVGRAHTGPEVGARTGRGDISDAESTVLTA >KQL17195 pep chromosome:Setaria_italica_v2.0:III:48840921:48844188:1 gene:SETIT_022810mg transcript:KQL17195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVAGGVEVAKPAGTAAGERTAKRRRVAAEPAGVRRPGVARRGRRRRVQADAAAVQRLFQACRDVFRGPGTVPRPDEVRLLRDMLDRMKPEDVGLSPDLKFFRARDAAQGTPTITHTTIYKCPNFSMVILFLPQNAVIPLHNHPGMTVFSKLLLGSMHIKSYDWVDADSDPSASSCSSSADDQLRLAKLVVDGVFTAPCDTSVLYPTTGGNMHRFTAIAPCAILDILGPPYSIEEDRDCTYYTDIPYTHHSTADGTTDLASVEQDQGHLAWLKEIDMPRELKMCSVHYGGPPISDK >KQL15768 pep chromosome:Setaria_italica_v2.0:III:23584331:23585800:1 gene:SETIT_024587mg transcript:KQL15768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTNLSNNGKAVVKDEVSSPTAMEGVTRTTFQPVTLENNAHHQAPLDSSGRDDKIKGQGHIGSSTHEVEPSSLAPSMPEPAMTAWKKPLGFRELMQYCVTALDAGNIAAVNADLLAMSHLALAACNGDPTQRVAFAFAEALGRRALQSTLPGLSWGLGLQLVQQPAPQYADAARRCFDALCPFLRVAASAANQTIVTAMAAEKHVHVVDLGGASPNQWLDLLRLFTAARPEGAPVLRLSVVSEQEAFLSRTAGLLTQEAVRLHVPFIFNPVRSHIDRFSAPDIAALGVHLGEALVITSTLQLHRLIADETSVQLPAGPHQMTKADALLRVLCDLSPKLMLLTEQEADHNGASLWDRVSNAFNYYVALFNDLEAGGGAPRESVDRAAVERLLLREEIMDIVARDGSSRRERHESMKRWAQRMGVAGFKPAPVMSYDQFEDAGLQALQLAADGTLRYWVRNEDASIISIYSRMTPIFSVTAWRPAKKNGK >KQL16099 pep chromosome:Setaria_italica_v2.0:III:29340124:29341984:-1 gene:SETIT_023204mg transcript:KQL16099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRVDHEYSYLFKMVLIGDSGVGKSNILSRFTRNHFSLDSKSTIGVEFATKSLQMEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQSFDNVHRWLRELRDHADSSIVIMMVGNKSDLTHLRAISEDEGKALAEKEGLFFLETSAMEAVNVEEAFQTIISEVYGIVNRKALAAKEVAAAAAPLPSQGKTISIDSTSGNTKRACCST >KQL17040 pep chromosome:Setaria_italica_v2.0:III:47789579:47792662:1 gene:SETIT_022889mg transcript:KQL17040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAPFKLDIDELVADYGKENSTTLADFKRVWKEKKFSYIYEGRPNANSCLFMQSLFLHCIGHLTSESSLPRRLAGLYCLYCLYECQPYKPQFKIYLSLEECRQLKDFISMAKQNGVHLVPALVKRMLDKGMFLFGYMNLLGDNGEKQVEELTAMQNKRIKFACDKLFANTQAESYMHLDLGAEFELDSIKKLSKEYAEAKELALAEASQTVDIEDAKHILQSDNLLGDKIDEVVKDWDAQKEEFYERTGLSRGNELAVIDNDESGVQHHDYDEFDELTQLLE >KQL14809 pep chromosome:Setaria_italica_v2.0:III:13979006:13983777:-1 gene:SETIT_021860mg transcript:KQL14809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATREQRFERVTRSLKVTRFFSTLVEELKAIGPSSRDDSPRNDVMVPVAHCNRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGASANSVVVEADAFKETDVIYRAISSRGHHNDMLRTAELVHQSSLDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMARDVHRQRYRMGRGYKVSDDGTITEEYWEPVEAEDSSTEEENEATGRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFATAFRSYCSLVDNARLYSTNTLGSAKLIGWKDGGSNLLVDPEEIGCLERVSSLNEEADCVHELYADGQPTGGSSSVWQDLVMSPSRAPTQRELKAAIEKGEARFQTRIAVAAG >KQL14808 pep chromosome:Setaria_italica_v2.0:III:13979162:13983359:-1 gene:SETIT_021860mg transcript:KQL14808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDGAAGSAAELLSPRLLVSPSSRVQELERFSHYVARQIGFDDVNECPHLCTLAYDYLRKNKGYEDNIFAFFQNSGDPDPLIVKFIEELDKCILGYFSFHWNYATYIITQVLTVEGVTKRRFKNMVLEATREQRFERVTRSLKVTRFFSTLVEELKAIGPSSRDDSPRNDVMVPVAHCNRSPVLLLMGGGMGAGKSTVLKDILKEAFWSGASANSVVVEADAFKETDVIYRAISSRGHHNDMLRTAELVHQSSLDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMARDVHRQRYRMGRGYKVSDDGTITEEYWEPVEAEDSSTEEENEATGRKPYRIELVGVVCDAYLAVVRGIRRAVITGRAVRVKSQLQSHKRFATAFRSYCSLVDNARLYSTNTLGSAKLIGWKDGGSNLLVDPEEIGCLERVSSLNEEADCVHELYADGQPTGGSSSVWQDLVMSPSRAPTQRELKAAIEKGEARFQTRIAVAAG >KQL16061 pep chromosome:Setaria_italica_v2.0:III:27895656:27896247:1 gene:SETIT_023671mg transcript:KQL16061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGGTGREMQMEWRKVEAAEGARRPSCRTMRPSASGRPLLRAPSDTRLGSGWRRVREPRVRACVAAAGLSQIQDDARLDSVWSSGRRMSPQQARATHHGHPLLILCSGHMTGGPNPT >KQL15645 pep chromosome:Setaria_italica_v2.0:III:22096044:22097425:1 gene:SETIT_024107mg transcript:KQL15645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGVRFSVTRMSNKHMRPKALEVSTCDVISSLPDELLHHILSFTTAREAVQTCVLSMRWLHVWKPLRRLNIEGREFTSKIGFMKFIDNLLLHRGCIPLDNFQWTSSSSVSLDDDRANRCVCYALHCNVRELGIIEHHQLLNLDHSYFTSAYDFNDDFEDFLIDAPNLMSLHLEDLPFLAPCLVNVSSLVTASISLEEESYSSFDAKYSIFGALSNATNLKLLAPVDNYDNYPSQLNKVLKRDLLRCQTFNNLKKLSVGDWCVDADLLALFDLLQFSPIIQKLTLHLGSIGAWVSHCKTDESKVKVNCEHLKKVKITCVQGDKRVPNIVKIILANAKSLLEIVIKPYERW >KQL13179 pep chromosome:Setaria_italica_v2.0:III:3010485:3016003:1 gene:SETIT_021550mg transcript:KQL13179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPLSHYFIYTGHNSYLTGNQLSSGCSERPIVKALLDGVRVIELDLWPNAAKDQVEVLHGRTWTSPVELIKCLEAIKEHAFTSSPYPVILTLEDHLTPDLQAKVAKMLKETFGDMLYISESENMEEFPSPDELKGKVIISTKPPKEYLQTKSHKEEAAADKAEEGVWGEEISDDRAPSRQMSEQYSGKYEAEEAGEEEPPDGEAEKKARQGADNEYKRLIAIQLTRRKHDMDEDLKVDPEKVTRMSLGEKAYEKAIVSHGAHIIRFTQRNLLRIFPRSTRITSSNYNPMMGWRYGAQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNSDPDKLFDPTADLPVKTRLKVTVYMGDGWRFDFRKTHFDKCSPPDFYARVGIAGVAADTRMEQTRVMMDSWIPTWDHEFGEFPLAVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRPGIRSVRLCDHKGQPLRSVKLLMRFKFFPSSSK >KQL13180 pep chromosome:Setaria_italica_v2.0:III:3010485:3016003:1 gene:SETIT_021550mg transcript:KQL13180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYRVCCFLRRFRAASNEPSEAVRDVFQAYTDGAGVVREEALRRFMREVQGETTDAGAEAAAREVMAFAAEQRLLKKGGLTAEGFHRWLCHNANAALDPRRGVYQDMGSPLSHYFIYTGHNSYLTGNQLSSGCSERPIVKALLDGVRVIELDLWPNAAKDQVEVLHGRTWTSPVELIKCLEAIKEHAFTSSPYPVILTLEDHLTPDLQAKVAKMLKETFGDMLYISESENMEEFPSPDELKGKVIISTKPPKEYLQTKSHKEEAAADKAEEGVWGEEISDDRAPSRQMSEQYSGKYEAEEAGEEEPPDGEAEKKARQGADNEYKRLIAIQLTRRKHDMDEDLKVDPEKVTRMSLGEKAYEKAIVSHGAHIIRFTQRNLLRIFPRSTRITSSNYNPMMGWRYGAQMVAANMQGHGRKLWLTQGMFRANGGCGYVKKPDILMNSDPDKLFDPTADLPVKTRLKVTVYMGDGWRFDFRKTHFDKCSPPDFYARVGIAGVAADTRMEQTRVMMDSWIPTWDHEFGEFPLAVPELALLRVEVHESDNHQKDDFGGQTCLPVWELRPGIRSVRLCDHKGQPLRSVKLLMRFKFFPSSSK >KQL13178 pep chromosome:Setaria_italica_v2.0:III:3010485:3015100:1 gene:SETIT_021550mg transcript:KQL13178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYRVCCFLRRFRAASNEPSEAVRDVFQAYTDGAGVVREEALRRFMREVQGETTDAGAEAAAREVMAFAAEQRLLKKGGLTAEGFHRWLCHNANAALDPRRGVYQDMGSPLSHYFIYTGHNSYLTGNQLSSGCSERPIVKALLDGVRVIELDLWPNAAKDQVEVLHGRTWTSPVELIKCLEAIKEHAFTSSPYPVILTLEDHLTPDLQAKVAKMLKETFGDMLYISESENMEEFPSPDELKGKVIISTKPPKEYLQTKSHKEEAAADKAEEGVWGEEISDDRAPSRQMSEQYSGKYEAEEAGEEEPPDGEAEKKARQGADNEYKRLIAIQLTRRKHDMDEDLKVDPEKVTRMSLGEKAYEKAIVSHGAHIIRFTQRNLLRIFPRSTRITSSNYNPMMGWRYGAQMVAANMQVTALQ >KQL13870 pep chromosome:Setaria_italica_v2.0:III:7363012:7364488:1 gene:SETIT_022908mg transcript:KQL13870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAKLLAAFLAVLAAGGARAWELNFEMPSSEVIDDGMPLIHMLRPLLSSGGHLGRRGRVPCDSWRFAVETNNKRGWKTIPARCERYVGNYMMGGHYRSDSRAVVNEAIAYAEGLELSGKGNEVWVFDIDETALSNLPYYAKHGFGAEPYNWTAFGAYAKQANAPALPETLRLYKRLQALGIKPVILTGRREDKREATVRNLASAGYTGYLKLLLKPQNVRMHSLEFKSGERKKLVDAGYVIVGNIGDQWSDLLGAPEGDRTFKLPDPMYYIA >KQL13869 pep chromosome:Setaria_italica_v2.0:III:7363012:7364488:1 gene:SETIT_022908mg transcript:KQL13869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAKLLAAFLAVLAAGGARAWELNFEMPSSEVIDDGMPLIHMLRPLLSSGGHLGRRGRVPCDSWRFAVETNNKRGWKTIPARCERYVGNYMMGGHYRSDSRAVVNEAIAYAEGLELSGKGNEVWVFDIDETALSNLPYYAKHGFGAEPYNWTAFGAYAKQANAPALPETLRLYKRLQALGIKPVILTGRREDKREATVRNLASAGYTGYLKLLLKYSICLCTVHFIAVGVRDNDRCACACVQATEREDAFVGVQVRRAEEAGGRRLRHRRQHRRPVE >KQL13871 pep chromosome:Setaria_italica_v2.0:III:7363012:7364488:1 gene:SETIT_022908mg transcript:KQL13871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAKLLAAFLAVLAAGGARAWELNFEMPSSEVIDDGMPLIHMLRPLLSSGGHLGRRGRVPCDSWRFAVETNNKRGWKTIPARCERYVGNYMMGGHYRSDSRAVVNEAIAYAEGLELSGKGNEVWVFDIDETALSNLPYYAKHGFGAEPYNWTAFGAYAKQANAPALPETLRLYKRLQALGIKPVILTGRREDKREATATEREDAFVGVQVRRAEEAGGRRLRHRRQHRRPVE >KQL15624 pep chromosome:Setaria_italica_v2.0:III:21966611:21968148:1 gene:SETIT_025228mg transcript:KQL15624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHAASGDRDGSGAGAERALHLLDEMRSLLGRRPDTACFTTAAAALSSASQPGAALAVLNAMAADGVAPDAAACTVLVGVYACHLRWFDAAYEVLRWMAANGVAPDVVTYSTLISGLCSAGRVAEALGVLDFMLEEGCQPNAHTYTPIMHAYCVSGMIHEAKELLETMVAAGFVPSTATYNVLVEALCKAGAFNEVDALLEESSAAGWTPDTITYSTYMDGLCKAGRVDKSFALVDKMLSEGLRPNEITLNILLDGVCRRSTAWSAKCLLECSAEIGWHVNVVNYNTVMRRLCDERRWLAVIKLFIDMVKKGMAPNSWTFSIVVHSLCKLGRLREAFCLLGSEDFVADVVTYNTLIRHLSFLGKAYEAFLVLHEMIEKDITPNDITDSLVVDCLCREGKFLVALSYLNRSLEDGLSRSALLSFAQHM >KQL14205 pep chromosome:Setaria_italica_v2.0:III:9539489:9542086:-1 gene:SETIT_021191mg transcript:KQL14205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPTKPALNPPLLPLASLPSPRALPPTRLHRFSPEKRPRRLSSAAVSAAAPTSSSADPSAELRALCSHGQLAQALWLLESSAEPPDEDAYVALFRLCEWRRAVEPGLRACAHADDRHAWFGLRPGNAMLSMLIRFGETWHAWRVFAKMPERDVFSWNVMVGGYGKAGLLEEALDLYHRMMWAGVRPDVYTFPCVLRSCGGVPDWRMGREVHAHVLRFGFGGEVDVLNALMTMYAKCGDAVGARKVFDSMTVMDCISWNAMIAGHFENGECNTGLELFLTMLEEEVQPNLMTITSVTVASGLLTDVSFAMEMHGLAVKRGFATDVAFCNSLIQMYASLGMMGQARTVFSRMDTRDAMSWTAMISGYEKNGFPDKALEVYALMEVNNVSPDDITIASALAACACLGRLDVGVKLHELAESKGFMSYIVVANALLEMYAKSKHIDKAIEVFKCMPEKDVVSWSSMIAGFCFNHRNFEALYYFRHMLADLKPNSVTFIAALAACAATGALRSGKEIHAHVLRCGIGSEGYLPNALIDLYVKCGQTGYAWAQFCVHGAKDVVSWNIMLAGFVAHGHGDTALSFFNQMVKTGECPDEVTFVTLLCACSRGGMVNEGWELFHSMTEIYSVVPNLKHYACMVDLLSRAGQLTEAYNFINEMPITPDAAVWGALLNGCRIHRHVELGELAAKYVLELEPNDAGYHVLLCDIYADAGRWDKLSRVRKTMRDKGLDDDSGCSWVEVKGVVHAFLTGDESHPQIREINTVLEGIYERMKASGCAPVESHSPEDKEVSKDDIFCGHSERLAVAFGLINTAPGTPVSVTKNQYTCQSCHRILKMISNIVRRDIIVRDSKQLHHFKDGCCTCGDEGYG >KQL14969 pep chromosome:Setaria_italica_v2.0:III:15192814:15198787:1 gene:SETIT_021101mg transcript:KQL14969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATQRRPTPPLVMMVLVLLAAGVPAAFCAINPQDASALNSLKSQWTSFPSSWSSNSDPCDGGWDGVECSNGRVQSLRLSSVNIQGTLSNSIGQLSQLVYLDVSFNIGLGGPMPASIGSLLQLSILILAGCSFTGSIPQELGNLQQLTFLALNSNKFTGKIPPSLGLLTNVNWLDLADNQLTGSIPISTATTPGLNLLTKTQHFHFNKNQLSGTLAGLFNSNMTLIHILFDSNQFTGPIPAELGSVSTLQVLRLDRNGFSGAVPSNISNLVNLNELNLASNQLTGSLPDLSSMTKLHVVDLSNNSFAATVAPDWFTTLTSLTSVSIASGKLTGEVPKGLFTLPQLQQVVLSNNAFNGTLEISGSINKQLQTINLRDNRIFAANVTTSYKKTLVLSGNPVCSVDPDFSGKPYCSVQQENIIAYTTSLSKCTSTPRCSNDQSLNPANCGCSYPYSGKMVFRAPFFTDVTDTNSATFQQLETSLTTQLALRDGSVFLSDIHFNSDNYLQVQTKLFPSSGVSFNVPDLIKIGYNLSKQTYKPPKNFGPYYFIADPYLPLAGASSRGGKKSHISTGAIAGIAVAGGLLVIALIAMVLFALRQKRRANEAVTQTDPFASWAVSQKDSGGAPQLKGARNFSFTELRNCTNSFADTHEIGSGGYGKVYKGTLVDGTRVAIKRAERGSMQGIVEFKNEIELLSRVHHRNLVSLIGFCYEQGEQMLVYEYVSNGTLRENLLVRGTYLDWKKRLRIALGSARGLAYLHELADPPIIHRDVKSTNILLDDHLKAKVADFGLSKLVADTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLELVSGRQPIESGKYIVREVRLAIDPNDRDYYGLRALLDPAIRDSARTAGFRRFVQLAMRCVDESAAARPAMGEVVKEIEAMLQNEVSGADGASSAGSSATEFDGAGGGARAHPYSDTEITRGSYGDNASEYMPYFEVKPK >KQL13876 pep chromosome:Setaria_italica_v2.0:III:7393847:7396623:-1 gene:SETIT_022903mg transcript:KQL13876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKLLVVLVALMAAASSSCGPWELNIRMPTARAVEDAAAGVAAPLIHALRPLVGSAGDLGRRGGVPCDSWRLAVEAYNKRDWKTVPADCEGYVGHYMLGGHYRRDSRVVVDEAIAYAEGLKLAGNGREVWVFDIDETSLSNLPYYAKHGFGTEPFNATSFNAYVLEGSALALPETQRLFDKLISLGIKPVFLTGRTENQRAITVVNLRRQGYYGWMKLLLKPVGFKGTAIGFKSGERRKLQDAGYVIVGNIGDQWSDILGAPEGARTFKLPDPMYYIG >KQL17245 pep chromosome:Setaria_italica_v2.0:III:49196970:49198026:-1 gene:SETIT_025077mg transcript:KQL17245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQKFSRQYLHMVLSAGRGLYALSHVDVSRLFYPSKEEAKAAHAEDKKNGSNMLGGIGSAGRLPEPTIHYEPFTAAISYPDRSSGVFALFGKSNFFCSDSVGHSSIYNTEPEPQCFLGLPRLDLPKGPKHITICIPRTEAHVRRDSNHMYNLYIMDMDPYSQCSFEALIYYPIRRWRWRQLPPPAFFGDANYRACDNVPFAVVDGTKICIASETATYYFDTVAFEWSKAGDWVLPFRAKAEYIPEFGLWLGLSAHKPYNLCSVNLSGVTIGSCDTQPPAQYVGQYVDLPGDCSLKNAALVNMGSGRFCIANLDWCGARP >KQL16946 pep chromosome:Setaria_italica_v2.0:III:46947270:46947748:1 gene:SETIT_023958mg transcript:KQL16946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKRNPYHICFNVTMSIQYPNIGKIKHVNAIKSPHIVYLKSHMPYHCACGIIYHIIFTL >KQL17295 pep chromosome:Setaria_italica_v2.0:III:49589925:49590216:1 gene:SETIT_024017mg transcript:KQL17295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRAFLHDSSMEMEQEHGPDANHHGLKIKYREELGLLSKKVKRIILS >KQL12819 pep chromosome:Setaria_italica_v2.0:III:1021768:1022235:1 gene:SETIT_0216211mg transcript:KQL12819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHPALSLEDRTTLCRCLNYEKLTLEACKDLAKNRRIPPGVAVQALASQQCKLQISKPAAAAAAKEGRADPSRTTPRRVAGRASARTRSVSVDLDGGGDEKEVLRLNLQRMQSRVVELERACKEMKGQMSKMAKGKSSFGAASCHQTGGRGLPRLC >KQL15117 pep chromosome:Setaria_italica_v2.0:III:17181951:17182145:1 gene:SETIT_024671mg transcript:KQL15117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFYYQYFSCGGVCSAKEKRPPLKRGQLKRQIVRTISKLVVPSGDGGGQNQAADGKFRRGPSSS >KQL13730 pep chromosome:Setaria_italica_v2.0:III:6334285:6336675:1 gene:SETIT_021258mg transcript:KQL13730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVWRRPKCAAEWFRHWMVFSRETFSCPFSALATAVQSDSSSGDEKPDSVLGNKIIRRQPRGLSSDSIVQTLRCLRRKPAVAFAYFKDTESIGFHHDFSTYSEIIHILTHSLQGKMLISLFCEIVSPTGSGGPEILALMNHLRRTCAAPHALSFAINCLIKAYTMCHDAQATIDMLSHLCRLGYVPSAWACNFVLKFVAQSSGTEMVVAAYDQMKCSQMTLDADSLNIVTRSLFKAKKADEAVQLWVEMVEIGVKPHGYSSFVIGLCGCGKYDLAYEVLQWVSQERVPIEAVAYNMAMDGLCKEMRLEAAEKILELKAKQGCVPDVYGYSYLIRSYCKIGNILKAVDHCEAMESHGIKINCHIVGYLLQCLRKLGKTFEVVVHFEKFRDSGIYLDKVVYNIAIDAYCKLGNMNEAVNLLNEMMSRGLVPDRIHYTCLINGYCLTGEMQKAQQEFMKMLKANIKPDIVTCNILATGFGRSGLFMEIFDLLNLMMAEGLQPTSLIYGVAIDSLCKRGKLSEAEKLFYTVEEKGIDNIEALHSAMVCGYLNSGWSNYAYMLFLRVTQQGNLVDHFACSKLIDDLCRDENVKEASDVLSMMLKKNVVPDVVSYTHLISAYCQTGDMSTALLWFDDMVGRGCSPDATVYTVLMNGYCRAGQFQEAWKLFDQMVKLNIKPDVVAYTVLLNGTLKETIQRGLQGFAKERRRYLLREKHQKLLSSMEGEDIEPDVQCYTVLIDGRCKAEFLEEARVLFDEMFQKGLTPDVDTYRVLIDGYRRQGEIRKAEVLQKMKDKLTSPDV >KQL15385 pep chromosome:Setaria_italica_v2.0:III:19424129:19426906:-1 gene:SETIT_022511mg transcript:KQL15385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEDAVGAPPTATTTESEGTTTDDEHLSPTCACGGGGGGRGGGGGRIKILCSFGGRIVPRPHDGVLKYVGGETRVLAVPRSIPFREMKKKVEEMFKTEVAAIKYQLLSVAEDLDVLVSVTCDEDLAHMLDEYDRLEAKRSPTASPRFRVYVFAPQPAAPASTRYAGLSRLHPHHHHQQQHHHHHHFQPERYVATVPASPDGSPPFPSQPHGAVSAGNSPRANAVGAEPPAAFGQGMQRVRSTPNLGTLDAAAQCLHQHAADGGGVPGYVSSSPRHAGLLQNSFHHYQHQYAAAPVAVPHHAGRYDARGYVRVGNYLAPVAPPARPVSRGGLAPHSEMVTPKKSAIVWD >KQL15384 pep chromosome:Setaria_italica_v2.0:III:19424129:19425802:-1 gene:SETIT_022511mg transcript:KQL15384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEDAVGAPPTATTTESEGTTTDDEHLSPTCACGGGGGGRGGGGGRIKILCSFGGRIVPRPHDGVLKYVGGETRVLAVPRSIPFREMKKKVEEMFKTEVAAIKYQLLSVAEDLDVLVSVTCDEDLAHMLDEYDRLEAKRSPTASPRFRVYVFAPQPAAPASTRYAGLSRLHPHHHHQQQHHHHHHFQPERYVATVPASPDGSPPFPSQPHGAVSAGNSPRANAVGAEPPAAFGQGMQRVRSTPNLGTLDAAAQCLHQHAADGGGVPGYVSSSPRHAGLLQNSFHHYQHQYAAAPVAVPHHAGRYDARGYVRVGNYLAPVAPPARPVSRGGLAPHSEMVTPKKSAIVWD >KQL15386 pep chromosome:Setaria_italica_v2.0:III:19424545:19425688:-1 gene:SETIT_022511mg transcript:KQL15386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEDAVGAPPTATTTESEGTTTDDEHLSPTCACGGGGGGRGGGGGRIKILCSFGGRIVPRPHDGVLKYVGGETRVLAVPRSIPFREMKKKVEEMFKTEVAAIKYQLLSVAEDLDVLVSVTCDEDLAHMLDEYDRLEAKRSPTASPRFRVYVFAPQPAAPASTRYAGLSRLHPHHHHQQQHHHHHHFQPERYVATVPASPDGSPPFPSQPHGAVSAGNSPRANAVGAEPPAAFGQGMQRVRSTPNLGTLDAAAQCLHQHAADGGGVPGYVSSSPRHAGLLQNSFHHYQHQYAAAPVAVPHHAGRYDARGYVRVGNYLAPVAPPARPVSRGGLAPHSEMVTPKKSAIVWD >KQL13195 pep chromosome:Setaria_italica_v2.0:III:3104526:3106448:-1 gene:SETIT_025015mg transcript:KQL13195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLASSSGFALDFLRRLLCARSAGNADGPATAAPTPRHLPTPETEPRSPCIVARLMGLDAMPAEAPHAQPTPTPTPPLPLRRSRSASSAEGSPSPWDAQQHRHQPRVVRASASLREKPAYLREESDEFLLLSFSPEGDRRGSDDAREELEFLLAAAEPKTRRGERGPDADGARKQGRNGHCRRLLFGDEEAGPSSGSGRRRRMPAAECDAQSSSPVSVLEVRDAQEESSTTTTSSSLEEVEHAEPCSATSVTADEVQNTLEEQNSRRKPHADLDQFDNPSPARSSCHASSRSSDRERRNRRVVNKDEVIAPDVTTIWQPICRLVEEDLKNMKWLVRDGADVVADMESGILDHLVREIMDELVQGRPVHPSPLRSKKQLGVKNFQTRRTIGCY >KQL13708 pep chromosome:Setaria_italica_v2.0:III:6213469:6216068:1 gene:SETIT_022758mg transcript:KQL13708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRAGNTVDAFGFSYVDEAGRRHIRGPSGGTGGQLTTIRLAPTEFVNCISGTIGRSFGSQSRSLVASLQIGTNVRTYGPYGRRNPGDDPFSIPLPENFCVVGFWGRAGNLLDAIGVYIGQKKSVIPRPIPIAYDEETSGNKDEESYPLQPTISGQTPPIKIGMWGGGFAAGFDVPVGAPPKRLDSVMIRAGEIIDAFGFSYTDQSGEKFTRGPYGGSGGSLTTIQLEPSEYVKNVSGTTGTWDGYPVVASLTIETNFRVYGPYGKAQDMHFRVPLPENACVVGFFGMYETNHLDAIGVYVSGCVPN >KQL13888 pep chromosome:Setaria_italica_v2.0:III:7475790:7477479:-1 gene:SETIT_022796mg transcript:KQL13888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKCSSCGNNGHNSRTCSGYRGHENSISTSSNSSGNTSCGGLRLFGVQLQVGSHPLKKCLSMECLSPAAYYGAAAAAAAAAASSLSPSVSSSSSSLVSIEENSERVSSGYMSDGLMGRVQERKKGVPWTEEEHRMFLAGLDKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQNSLTQKKRRSSLFDAVEGAKKVAMPRIASVSGLPFPSLSPRSVDARTNGAVVLPPFLNLMSSKSPFAGGGGASQSQHPSSLNLMAKPQAQLQMPDLELKMSTSRLSDQPGSSRSTPFFGTVRVT >KQL14006 pep chromosome:Setaria_italica_v2.0:III:8153142:8155100:-1 gene:SETIT_025365mg transcript:KQL14006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLGDAPAARSPKLLRSNSSKKVSAASSLERAILSFRTWEPDAAACPAASRAPADHVAPPQSPVRRIHGARPGRLALGPQSPLAAARSQQAPPDDMRSPLHDAAATTVQKMFKGHRTRRSLADCAIVVEELWWKLYDQASLDRKSVSFFAGGKQETAASRWVRAGKRIAKVGKGLCKDDKAQQLALRHWLEAIDPRHRYGHNLHLYYDIWFQSSSTEPFFYWLDIGAGREIHHPSCPRSKLNSQLVMYLGMNERAAYEVVVEGGRLAYLQSGLPVNTTDESKWIFVLSTSRSLYVGQKKKGQFQHSSFLAGGATSAAGRLVAKDGVLKAIWPYSGHYLPTEENFNEFISFLQEHHVDLTDVKRCSVDDDEYPSLKRKQTSDVEAASQQEEEPKETAGPAATAMAEEEAAEAPPEAAADETSGRALVKWTSGAGARIGCVRDYPAELQSRALEQVNLSPSRSSAAPPLQPWPIPSPRPSPRIRLSPRVQYMGVAASPGVRPLKQQCLGIRPPTVRLTLPSSKTSKS >KQL16173 pep chromosome:Setaria_italica_v2.0:III:33362118:33363363:-1 gene:SETIT_024099mg transcript:KQL16173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGCEYKRRRWYVHYVGESNGAGPVPPALPVPLCRCGAQAEVKQSRHPKIVGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIGLFPYHSTELKPYHQFRRWVPPPPKPPRMTEEEKQEAACRRVRDPPMCKCGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMCPTEEEVREFESGKAPWPYVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKSRKQQEIKEKIRKEYDTGVEPEGLYARETIIKYWRQSRSKYP >KQL15139 pep chromosome:Setaria_italica_v2.0:III:17331731:17331917:-1 gene:SETIT_025467mg transcript:KQL15139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYAIMHIGFTYRSTHPKHDCVGIAKTDNMFLNIHTAQISTANMYRN >KQL12713 pep chromosome:Setaria_italica_v2.0:III:531239:533810:-1 gene:SETIT_023447mg transcript:KQL12713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAESSSKLASSVHDFIVKDARGNDVELSRYKGKVLLIVNVASRCGLTNSNYTELGSLHKKYGDKGLEILAFPCNQFGGQEPGTNEQIAEFACTRFKAEYPIFGKVDVNGSNAAPLYKFLKSEKGGLFGERIKWNFTKFLADKDGHVVARYAPTSSPLSIENDIQKLLEA >KQL17061 pep chromosome:Setaria_italica_v2.0:III:47900085:47901437:-1 gene:SETIT_025266mg transcript:KQL17061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRWVEPRLRRLVGTRRHKVDAVVSNGGGNHYATINEALAAAPPPAAGAASARRYVIHVRSGTYDEIVNITRSDVTLIGDGMGRTIITGNRCNHTGHDMPHSATLSVGGTGFMARDLTTKNTHRVDAGPAVALMITADRSICYRCEIDGTVDFIFGYAKAVFKQCNLLVRLPAARGHCVVTVQGRDAPDDHSGLVFQDSTVAALPGVNLAGVPTYLGRPWKNHSHVIFMNWFLEGIIHPAGWKRWGDNDHLDTIFYGEFQNWGDGANTQGRINWPGFHIIKDAAEAANFTVQRFIQGDEWLPEFGVRSPKIPITSKV >KQL16025 pep chromosome:Setaria_italica_v2.0:III:27155917:27156512:-1 gene:SETIT_023675mg transcript:KQL16025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAPTRMSHSKRLINSELNSQLPSVAAIVVLLCLCETATVKNSASRPASEMPAVPSTAQRLFKPLPPHRRPQSTDIAAVAGWMVAGLSTAIWLVQPFDWIKKTLFEKQQPEESNN >KQL17459 pep chromosome:Setaria_italica_v2.0:III:50545814:50546744:1 gene:SETIT_024290mg transcript:KQL17459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSRFRRPSPVFVVGPDGLVWFGGVINRSIGRRQSSSISLILRLGWIRLQFAALQVVVQGGRRRDRPKEFEMGRLLLVSLPATGAAIYRCKHCDTHLAYATDIISRMFRCKHGKAYLFAKMVNVTVGEKEERAMTTGWHTVCDIFCVACGCNLGWKYLAAVDKTQRYKEGKFILDRREVLATTSTVAVATTNSSGGGGGDEDDDDHQSEEDSD >KQL14993 pep chromosome:Setaria_italica_v2.0:III:15393476:15398162:1 gene:SETIT_022587mg transcript:KQL14993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKYASLSKALYNSKKRCGLWCIPSKTGNAEYGNGFPPIGTRGGPIPTRPPRAAMDGLHGTDACFSPARAMSPQVRPPGPPDVGSQYLADLLQEHQKLGPFMQVLPICSRLLNQEIMRVSNMRRQHGAGEFEKFPIASPNQMHPSPPMPNFCGNGYSPWNGMHSERVGVPQGAMGWQGPPQSPSSYIVKKILRLEVPTHTYPNFNFIGRLLGPRGNSLKRIEASTGCRVFIRGKGSIKDSGKEEQLKGRPGYEHLSEPLHILIEAELPANVIDARLAKAQEILEELLKPVDESQDYYKRQQLRELAMLNSPLREESPHPGGAPPSPFSNGGMKRVKQ >KQL13328 pep chromosome:Setaria_italica_v2.0:III:3839600:3840174:-1 gene:SETIT_024477mg transcript:KQL13328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQKNAAVALLPLLLLPLVMLMLTSTASAARRSPPPPPSTEEFPVLRKVPTGPNNETSDPPPPPAVATSSDVDFPVLRKVPTGPNNETSDPPPPPVVATSSDVDFPVLRKVPTGPNRETSDPPPPPALATSSIVDFPVLRKVPTGPNPMTSNPPPTPAAATSTDDFSVLRKVPNGPNSMTSDPPPPPPLR >KQL12829 pep chromosome:Setaria_italica_v2.0:III:1082880:1087547:1 gene:SETIT_022709mg transcript:KQL12829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSSMGFHQGITASMYNHHMLSFQSNSDVGIGGGATGGMVMPPRSVSGSSSNAGLFLSSNTGGTGNASGVGPSRSSSGDGFRGTGAPPKYRFVTGSPWDWTDHELAILKEGLARYAREPNIMRYIKIAAMLPNRTIRDVALRCWWCTGKDRRKKPDGFYIGKKIRDMKPIQEKMGASVPMANFHMTSTNTVTPFSISMQQHPNQQSQVPKEAAPVVDSATQRLLEENNQLLNQIAANIDTFKTVENADLFLRTSSNIKTILSRMSETPGIMGRMEPLPLSINEDHLNSLIQLNRMVASYGTASMSHHTKQEPQS >KQL12830 pep chromosome:Setaria_italica_v2.0:III:1082351:1087547:1 gene:SETIT_022709mg transcript:KQL12830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSSMGFHQGITASMYNHHMLSFQSNSDVGIGGGATGGMVMPPRSVSGSSSNAGLFLSSNTGGTGNASGVGPSRSSSGDGFRGTGAPPKYRFVTGSPWDWTDHELAILKEGLARYAREPNIMRYIKIAAMLPNRTIRDVALRCWWCTGKDRRKKPDGFYIGKKIRDMKPIQEKMGASVPMANFHMTSTNTVTPFSISMQQHPNQQSQVPKEAAPVVDSATQRLLEENNQLLNQIAANIDTFKTVENADLFLRTSSNIKTILSRMSETPGIMGRMEPLPLSINEDHLNSLIQLNRMVASYGTASMSHHTKQEPQS >KQL12828 pep chromosome:Setaria_italica_v2.0:III:1082351:1087547:1 gene:SETIT_022709mg transcript:KQL12828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSSMGFHQGITASMYNHHMLSFQSNSDVGIGGGATGGMVMPPRSVSGSSSNAGLFLSSNTGGTGNASGVGPSRSSSGDGFRGTGAPPKYRFVTGSPWDWTDHELAILKEGLARYAREPNIMRYIKIAAMLPNRTIRDVALRCWWCTGKDRRKKPDGFYIGKKIRDMKPIQEKMGASVPMANFHMTSTNTVTPFSISMQQHPNQQSQVPKEAPVVDSATQRLLEENNQLLNQIAANIDTFKTVENADLFLRTSSNIKTILSRMSETPGIMGRMEPLPLSINEDHLNSLIQLNRMVASYGTASMSHHTKQEPQS >KQL13658 pep chromosome:Setaria_italica_v2.0:III:5860297:5865473:1 gene:SETIT_022325mg transcript:KQL13658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGADTPSKTTKASAPQEQQPPATSGAATPAVYPDWSSFQAYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFTPYALTSPNGNADAPGTAAAAGDTDGKPSEGKDKSPTKRSKGSLGSLNMLTGKNPTEHGKTSGASANGATSQSGDSGSESTSEGSEGNSQNDSHHKESGQEQDGDVRSSQNGASRSPSEGKLNQTMAIMPMPSSGPVGPTTNLNIGMDYWANTASSTPAIHGKVTPTTGTGAVVPAEQWIQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRADVLKQENSSLRDEVNRIRKEYEELLSKNNSLKEKLEGKQHKTDEAGFNNKLQHSGDDSQKKGN >KQL13659 pep chromosome:Setaria_italica_v2.0:III:5860297:5865473:1 gene:SETIT_022325mg transcript:KQL13659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGADTPSKTTKASAPQEQQPPATSGAATPAVYPDWSSFQAYPPIPPHGFFPSPVASSPQGHPYMWGAQPMIPPYGTPPPPYVMYPPGVYAHPSMPPGAHPFTPYALTSPNGNADAPGTAAAAGDTDGKPSEGKDKSPTKRSKGSLGSLNMLTGKNPTEHGKTSGASANGATSQSGDSGSESTSEGSEGNSQNDSHHKESGQEQDGDVRSSQNGASRSPSEGKLNQTMAIMPMPSSGPVGPTTNLNIGMDYWANTASSTPAIHGKVTPTTGTGAVVPAEQWIQDERELKRQRRKQSNRESARRSRLRKQAECEELAQRADVLKQENSSLRDEVNRIRKEYEELLSKNNSLKEKLEGKQHKTDEAGFNNKLQHSGDDSQKKGN >KQL14842 pep chromosome:Setaria_italica_v2.0:III:14262345:14265925:-1 gene:SETIT_022950mg transcript:KQL14842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHPASPTAGAGGDRSPSGPPPVRLSAAQAMAAIQPTSPRFFFSSLAAASAAASSPHRRIGIAVDLSDESAFAVKWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVDDEPDAGIAEGAARAAAASGEEETEPEEAKKKREEDFDAFTSTKAQDLAQPLVVAQIPFKIHIVKDHDMKERLCLEAERLGLSALIMGSRGFGASRRAGKGRLGSVSDYCVHHCVCPVVVVRFPDDAAGAGCGHAFGDELRTVPENEPVYHEAPEAQKEK >KQL14935 pep chromosome:Setaria_italica_v2.0:III:14964525:14966332:-1 gene:SETIT_023697mg transcript:KQL14935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKKSKKSTESINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIILANNCPPLRKSEIEYYAMLAKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIISTTPGTQ >KQL14936 pep chromosome:Setaria_italica_v2.0:III:14964297:14966417:-1 gene:SETIT_023697mg transcript:KQL14936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKKSKSTESINNKLQLVMKSGKYTLGYKTVLKTLRNSKGKLIILANNCPPLRKSEIEYYAMLAKVSVHHFHGNNVDLGTACGKYYRVCCLSIIDPGDSDIISTTPGTQ >KQL12653 pep chromosome:Setaria_italica_v2.0:III:210619:212051:-1 gene:SETIT_023293mg transcript:KQL12653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKEQASKDYADFKEKVRRTIYLDQLSPQVTTPVIKAALAQCANVVNVEFIVNYTIPYDVPSAALVELDDDMQAKAAVELMTDFPFIIGGMPRPVKATHAKAEMFRDRPPCPGLKKEFRWVKQGDDECETMKKLKNLAKKQESENMALIKNLLDEEKELAKQQQEALDANCKKYEMLDLVMQNGAIKNLAHRYGVNLDD >KQL12654 pep chromosome:Setaria_italica_v2.0:III:210619:212051:-1 gene:SETIT_023293mg transcript:KQL12654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKEQASKDYADFKEKVRRTIYLDQLSPQVTTPVIKAALAQCANVVNVEFIVNYTIPYDVPSAALVELDDDMQAKAAVELMTDFPFIIGGMPRPVKATHAKAEMFRDRPPCPGLKKEFRWVKQGDDECETMKKLKNLAKKQESENMALIKNLLDEEKELAKQQQEALDANCKKYEMLDLVMQNGAIKNLAHRYGVNLDD >KQL12655 pep chromosome:Setaria_italica_v2.0:III:210291:212699:-1 gene:SETIT_023293mg transcript:KQL12655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASKEQASKDYADFKEKVRRTIYLDQLSPQVTTPVIKAALAQCANVVNVEFIVNYTIPYDVPSAALVELDDDMQAKAAVELMTDFPFIIGGMPRPVKATHAKAEMFRDRPPCPGLKKEFRWVKQGDDECETMKKLKNLAKKQESENMALIKNLLDEEKELAKQQQEALDANCKKYEMLDLVMQNGAIKNLAHRYGVNLDD >KQL17268 pep chromosome:Setaria_italica_v2.0:III:49355870:49360793:1 gene:SETIT_022634mg transcript:KQL17268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVPNGHPAAASSDDAAPPSSSSSLVFLGTGCSSAVPNARCLIQPPDPPCPVCSQSLSVPPELNPNYRCNTSLLIDYCQDEGAHKYIIIDVGKTFREQVLRWFVHHKIPCVDSILLTHEHADAILGLDDVRVVQPFSPTNDIDPTPIYLSQFAMDSISQKFPYLVKKKLKEGEEVRRVAQLDWRIIESDLQKPFTTSGLEFVPLPVIHGEDYICLGFLFGRKSKVAYISDVSRFPPSTEYAISKSGGGQLDLLILDCLYRTGSHNVHLCWDQTLDAVKRICPKRALLIGMTHEMDHHKDNQTLEEWSRREGIDVQLAHDGLRVYIDL >KQL16800 pep chromosome:Setaria_italica_v2.0:III:45289146:45292241:-1 gene:SETIT_022561mg transcript:KQL16800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPVATAAASAAVVALAVANRVLYKLALVPLKAYPFFLAQLTTFGYVAVYFSILYARHRAGVVTRDMLALPKRRFVAIGLLEALGVASGMSAGAMLPGPAIPILSQSFLVWQLIFSALLLGRTYTVRQIIGCLLVISGVILAVASGANEGQFLSGVQLIWPTLMITSSAFQAGASILKEAVFIDGAKRLKGKRPDIFVVNSFGSGFQALFVFLLLPFLSNLRGIKFAELPGYLNGGAECFLNVGESPIDCGGAPFLPLLFIVVNMAFNIALLNLVKLSSALVASLTSTSAVPISIYILSLPLPYIPHGAELSTPFIIGAVVLLMGLILYNLPQLSLKQSKAD >KQL14802 pep chromosome:Setaria_italica_v2.0:III:13959243:13961881:1 gene:SETIT_022450mg transcript:KQL14802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATPISVKPPSPAPAAPPPAALETRDLPTHAVATTEVEPSSMNQLAVAVTPDPKRQKVEETADGNGCKHCACKKSRCLKLYCPCFAGGGYCSEKCGCQPCFNKDAYAETVQTTRKVLLSRQKRMSMKINRRSEANAEAMEDAHHSSSSTPPRRGCNCKKSSCLKKYCDCYQDGTGCSLFCRCDDCQNPFGKNEGIMAEDSKRYLYTGADLDHSEGEHEFVVERSPRLQSPISKESSFHQTPPHLRASSRDVHVFPQAVLQWQAPPRSWHCSNKRNSNDRAMDDSANYKNSHNDWLLPKPEDSYSISKCVQILNGMVELSQVEKSVAPDVFLLPGNREIFISLGGDVRALWLKRKIQHLT >KQL17415 pep chromosome:Setaria_italica_v2.0:III:50320085:50321513:1 gene:SETIT_023017mg transcript:KQL17415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVGESTSGSSSGADAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLRIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPNRPAGQRPATAPQADPNNHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHAFHGGHAGHAHAAAPRHGPHGQQQQADVYLKALLILVGFLVIASLITF >KQL17414 pep chromosome:Setaria_italica_v2.0:III:50318794:50321513:1 gene:SETIT_023017mg transcript:KQL17414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIFIVVNQRWQLLVANIIPRTPNMAANVGESTSGSSSGADAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLRIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPNRPAGQRPATAPQADPNNHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHAFHGGHAGHAHAAAPRHGPHGQQQQADVYLKALLILVGFLVIASLITF >KQL17416 pep chromosome:Setaria_italica_v2.0:III:50318794:50321513:1 gene:SETIT_023017mg transcript:KQL17416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVGESTSGSSSGADAGGSFECNICFELPQEPIVTLCGHLFCWPCLYKWLRIHSHSPECPVCKAVVEEDKLVPLYGRGKDRVDPRSKNVPGADIPNRPAGQRPATAPQADPNNHFPNANPNPWFMGGGIPLANARWGNYTFSAAFGGLFPLLSFQVHGFPDATAYGQPAGFPYGYGHGHGHAFHGGHAGHAHAAAPRHGPHGQQQQADVYLKALLILVGFLVIASLITF >KQL14440 pep chromosome:Setaria_italica_v2.0:III:11257353:11257629:1 gene:SETIT_0217552mg transcript:KQL14440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAGAVARLILVLLAAFLGAAPRGADALRSLGVEGAGGAGGAHGDAAVDLDAGNFTAFLQASPESFAVVEFFAH >KQL14439 pep chromosome:Setaria_italica_v2.0:III:11257394:11257629:1 gene:SETIT_0217552mg transcript:KQL14439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAGAVARLILVLLAAFLGAAPRGADALRSLGVEGAGGAGGAHGDAAVDLDAGNFTAFLQASPESFAVVEFFAH >KQL14441 pep chromosome:Setaria_italica_v2.0:III:11257394:11257629:1 gene:SETIT_0217552mg transcript:KQL14441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAGAVARLILVLLAAFLGAAPRGADALRSLGVEGAGGAGGAHGDAAVDLDAGNFTAFLQASPESFAVVEFFAH >KQL15587 pep chromosome:Setaria_italica_v2.0:III:21469704:21470179:-1 gene:SETIT_025449mg transcript:KQL15587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEIICLSLNCEHKKPSHMIWEFPFLFFLNLYGDKITGIKML >KQL13840 pep chromosome:Setaria_italica_v2.0:III:7151679:7152137:-1 gene:SETIT_024223mg transcript:KQL13840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNPRAVLRAAVAALLRPAAATAPSAASRQALPKATPAPTLAEWRPLPAAALPGSGSRRAFSSSAADYGKDVDEVNRKFAEAREEIEAAMESKETVYFNEEASVARDAANEALAAFDALLARLPPADADSLRRSMGLKMEQLKAELKQLED >KQL13885 pep chromosome:Setaria_italica_v2.0:III:7426534:7429816:-1 gene:SETIT_022341mg transcript:KQL13885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRLVSFLRGPANSPAPSARRGPRQPQRLSFPQAGEGMRRVSVACSSASGEDEGMTYKGAGVDIDAGTELVRRIRKMAPGIGGFGGLFPFGDHYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAEPMFFLDYYATSKLDVDLAEKVIKGIVDGCQQSDCVLLGGETAEMPGFYAEGEYDLSGFAVGRVKKDKVIDGKSIVKGDVLIGLPSSGVHSNGFSLARRVLEKSGLSLSDQLPRNDGITTTVGEALMAPTVIYVKQVLEIISKGGVKGLAHITGGGFTDNIPRVFPSGLGAKIFTGSWEVPPVFKWLQQVGNIDDAEMRRTFNMGIGMVLVVSRESADRIIEDTRGSNHAYRIGEVIEGEGVHYV >KQL17307 pep chromosome:Setaria_italica_v2.0:III:49653763:49658587:1 gene:SETIT_021681mg transcript:KQL17307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLGLWKQAWRWVLSQKHILAWAHTAACGSRERLAFLVDRHWPAVSRACATSSRLALAALLQWRGCMARGVLAVASLGPAAVFVILWSFFVCMTSPAWALFALLLLGAAGAVVHYMGYTPGLFIVGLFGILIMWMYGYFWITGMLLVAGGCMCSLKHARYVIPILTTYAIYCVAIRVGWLGVFLTLNLSFLANDLLNKLLQGYEESTEEKFEDMKDSDPVMDEFYRSCEFPPAPDSEPETVSSAKPYCSSPTQDVLHVQKEEPPSKVVKSDSSSLDEIKRIMDGSNHYEVLGIPRNRSIDQKSLKKEYHRMVLLVHPDKNMGNPLACESFKKLQSAYEVLSDFTKRNSYDEQLRKEESQKMTPRSRVVSQQGGVEFLSEESRRIQCTKCGNFHIWICTKRSKTKARFCQGCDQYHQAKDGDGWVETRFSTSYKMEIPRAFVCAESKIFDVSEWATCQGMECKPNTHGPTFMVNMVGADRMPQRSYSSRYPFSLDAEMIPEDEFELWLQQALASGVFADSPKRRKSWSPFKLPQKGIKSWRRSS >KQL12896 pep chromosome:Setaria_italica_v2.0:III:1505622:1506254:-1 gene:SETIT_024993mg transcript:KQL12896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRTARPPPPPPPNPNPNRRAVASSAAAAPDPASGSASPSKRMLAFHFLRALARIHSATPVPRRTRNIRRAAYSSMARAANPRRAWTQALLRQVRVCRAMRSRRAVLLRRRVSAASPAPPLGAARSTVSAAAGGTSAAAAALPRGGPPPRQAGEPARADALRRLVPGGSEMEYCSLLDETADYLRCLRAQVQLMQNLVDLFSGQ >KQL15036 pep chromosome:Setaria_italica_v2.0:III:15981664:15983928:-1 gene:SETIT_024565mg transcript:KQL15036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPRASVQMEMRPKLRSIIVGPNGGKPRLHFPAAARPPLPPASPSRQLPPCLITTNKPIRELFHERAADRCFRCLAPGHKVHQCREPPRCILYFNFGHRARQCRAHSPPAKPPTQPPPPPPPSPTIAQFPPLPTTAPHLLERSSKSPAMDRVLGDPSERPSAVLGYAPFTPEMFVRAHDLQAWAVMAVDMGNGDRPSSATIAWNLWPGAWARPPPRRCCAGGLCIGNLRHHLMPRMPATQATATIVFYKVRLCLEDVPLHARHLWTVARLLPPETLLKRGDNTVRAAREDGTLPCSSCALGPIGLPSYPVLLHIDQVVDFRLPASPGPSSGDTWPMSYQHDWAAGVRDGDEAPVRAPVHDRLVFRKRDYSSPDDAGSSSETLTYPFNSLHSAIPTSQSSARPQAPSLPWSSHLPPDLLLTRGASRQGPPPPGRHGGPAAPPPAEGRRWGRLPPPSGADAGSGCRRHRGPTRGPAAAAIGGRRGGLAAAAACAGPGTSTAWPVVLAG >KQL15675 pep chromosome:Setaria_italica_v2.0:III:22408180:22412661:-1 gene:SETIT_024315mg transcript:KQL15675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSIGGGGDDELPSGGGGDDELPSGDGGSGEGGDEGDGGSGGGGDEGDGGSGGGGDEGDGGSADGSGDGDDASGNRREALFVLAQLGRKLESLPADLAAAVEGGRVTGDIVRRYVDLEASPLSRWLLQFGGFKERLLADDLFLTKVGIECGIGVFTKSAAEYEKRKENFVKELDFVLANVIMAIVADFMLAWLPAPTVSLRPPLAMNSGAISKFFYNCPDNAFQVALAGRSYTLLQRAGAIVRNGAKLFAVGTSASLIGTTATNALIKARQAVSSDSAGEVKEAKNIPIVETSIAYGVYMSISSNLRYQIVAGVIEQRLLEPLLHRHKLALTAMSFAVRTGNTFLGSCLWVDYARLIGIQ >KQL17298 pep chromosome:Setaria_italica_v2.0:III:49604890:49612276:1 gene:SETIT_021071mg transcript:KQL17298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGFRCSYPVTGRKRLKLLVAESSDSEPQVSSVPACDDSGGNLFDWCLEQHQVASSSGDQTQNTGVFPAMQESVCTTANSGVVYPKSGLGFSAGQNGTYGAYLQHQYLEGCMYMNEHGQMCGPYPPEQLYEGLSTGFLPQDLAIYAVFGGKTADPVPLSFLNQFLSQRNFGATVSTPNAYMETKKIPSHAKMIYHVDGKFGPFTLVSLIGSWSGEHAERSEATANDSSLNGLVGDIVGDVSHQLHAGIMKSARRVLIDEIFSCVLPDLIASKKTEKQLAAKLKNQATKPDSVSNMKISKLKKMATRIEPQWILQWPFNLQQNCQLNLPSTIISVTPDNIKAQDSHEMSSKDSDATECETEFPPGFEPKSAGLSLSRSSLEANIDRKSESSTALFSDPLAVAQRMLANELYISSKQSLFHYFEEEQIGTPIHAPESPISAEVSVHETLSPVEVVVDEELNTVEMATAIETSPIEMGVDEELNTVEVAADEELNIVQMAVATRANSIETTSDEPLGAAEMTTDKMLSSQGEERLPLVSYARIFEKMDICMTAELDESFDEVPPGVETGLVPLPLKEKIVYQPLRSMNSIPVISRYMSLALFRQRLHENVVREWTSLFSDTIRECLDSWYNRQNAVPKIADGSSKLKEYTYYRKRKSKKTCQATSSKKPVELSMDEQLSKPLCQLVDHKINVKNIQESNKASTSKRVSFVDKPSKKRTKTLAIANNAHNLNIQQDLKLVSSEVPKRTRSSHPTKKQVVANKTPMVNDNAMNTSMLTKPVKKRKGRNISSEASLKVDLMISCPESDGCARASINGWEWRNWARNATPSERARVRGYRVRSILSASNKKLWNNSQDKMVSSARTNRVKLRRLLRAYTGAELLKITQMKARKKRLRFQRSKIHDWGLVALELIEAEDFVIEYVGDLIRKRVSDIREAQYEKSGIGSSYLFRLDDDYVPNCYTKVITVDGQKKIYIYAKRRIYAGEELTYNYKFPLEEKKIPCYCGSQRCRGSMN >KQL17299 pep chromosome:Setaria_italica_v2.0:III:49604890:49612276:1 gene:SETIT_021071mg transcript:KQL17299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGFRCSYPVTGRKRLKLLVAESSDSEPQVSSVPACDDSGGNLFDWCLEQHQVASSSGDQTQNTGVFPAMQESVCTTANSGVVYPKSGLGFSAGQNGTYGAYLQHQYLEGCMYMNEHGQMCGPYPPEQLYEGLSTGFLPQDLAIYAVFGGKTADPVPLSFLNQFLSQRNFGATVSTPNAYMETKKIPSHAKMIYHVDGKFGPFTLVSLIGSWSGEHAERSEATANDSSLNGLVGDIVGDVSHQLHAGIMKSARRVLIDEIFSCVLPDLIASKKTEKQLAAKLKNQATKPDSVSNMKISKLKKMATRIEPQWILQWPFNLQQNCQLNLPSTIISVTPDNIKAQDSHEMSSKDSDATECETEFPPGFEPKSAGLSLSRSSLEANIDRKSESSTALFSDPLAVAQRMLANELYISSKQSLFHYFEEEQIGTPIHAPESPISAEVSVHETLSPVEVVVDEELNTVEMATAIETSPIEMGVDEELNTVEVAADEELNIVQMAVATRANSIETTSDEPLGAAEMTTDKMLSSQGEERLPLVSYARIFEKMDICMTAELDESFDEVPPGVETGLVPLPLKEKIVYQPLRSMNSIPVISRYMSLALFRQRLHENVVREWTSLFSDTIRECLDSWYNRQNAVPKIADGSSKLKEYTYYRKRKSKKTCQATSSKKPVELSMDEQLSKPLCQLVDHKINVKNIQESNKASTSKRVSFVDKPSKKRTKTLAIANNAHNLNIQQDLKLVSSEVPKRTRSSHPTKKQVVANKTPMVNDNAMNTSMLTKPVKKRKGRNISSEASLKVDLMISCPESDGCARASINGWEWRNWARNATPSERARVRGYRVRSILSASNKKLWNNSQDKMVSSARTNRVKLRRLLRAYTGAELLKITQMKARKKRLRFQRSKIHDWGLVALELIEAEDFVIEYVGDLIRKRVSDIREAQYEKSGIGSSYLFRLDDDYVVDATKRGGLARFINHSCEPNCYTKVITVDGQKKIYIYAKRRIYAGEELTYNYKFPLEEKKIPCYCGSQRCRGSMN >KQL14163 pep chromosome:Setaria_italica_v2.0:III:9277954:9280486:-1 gene:SETIT_024994mg transcript:KQL14163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGGGSDMEDGNERCATPASPIPEHASSCGDGEDADLLHSSSSAKDGDDGGSSLCDSSSVEEDDDGGSSSAEDDDDDDGVVVEWVPEPEPTEEDKAVEALHLVLCRQFTEHDPKLGYPVPTRLCQFNIALFDFEKESMAGLGQPLCTLDLSDWMSLEDSINVVSLKVAESVGYPISVFGTVLARDQVDYKCVYLFRRDREDPQVVTSPDDALTLTGPCQGLAATSHTFFEVNLKIRSDDGDKDFSEENHIVLHDSDAPGTVAAVGDGGSVPLSRCVIAVPVDEELVLAVCVQRDGDGEAAAVPLEFELTLGHGDGERVCEEGSCELRVRVAWSRMLSSRRRRVFEMVGDSRVLV >KQL13439 pep chromosome:Setaria_italica_v2.0:III:4472222:4476627:-1 gene:SETIT_021638mg transcript:KQL13439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCNRRPDAHDRHRRLAPPLPHQPVIPAMAAGGGGFRLGQRVHASGDPRRVGTVRYLGPIEGHSGDWVGVDWDDGAGGRHDGSVAGRRYFVAAGERSASFARPTALSQGISLPEALRLRYRVEDFTKEEQDEMYVFSTSQKRVSVEFVGQNKVQEKLKNFNDLTSASVSYMGVSSIGPLDELKNLVPNLRLLDLTGNLFSQWQDISALCHALASLEVLNLTNNTMENDVIESPMLENIHILVLNNCGVTWELVEKIKVSFTCLNELHLMSNKLKTIMTPDGNFVQGFNTLRLLNLEDNHIDSWDEIVKLSYLKSLEQLHLNKNRIKHVKYPSNLPSALDDASAVPFENLQVLLLGSNEIDDFSSVDSLNLFPSLRDVRLSDNPIADPAKGGAPRFVLIARLGKVKILNGSEVSPRERRESEIRYIRLVMGKTQSNDIEEIKRLHPRFAELKAFHCIEDEKPTSSTSGPQKMASGLISITLKCVGPSMGEKQPLTKKLPATTTVGKLKSLCESFFKLKDIRVKLFVEEEGCPLPQLLEEDTASLMELGIGSGASIVVDEES >KQL13440 pep chromosome:Setaria_italica_v2.0:III:4473469:4476627:-1 gene:SETIT_021638mg transcript:KQL13440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCNRRPDAHDRHRRLAPPLPHQPVIPAMAAGGGGFRLGQRVHASGDPRRVGTVRYLGPIEGHSGDWVGVDWDDGAGGRHDGSVAGRRYFVAAGERSASFARPTALSQGISLPEALRLRYRVEDFTKEEQDEMYVFSTSQKRVSVEFVGQNKVQEKLKNFNDLTSASVSYMGVSSIGPLDELKNLVPNLRLLDLTGNLFSQWQDISALCHALASLEVLNLTNNTMENDVIESPMLENIHILVLNNCGVTWELVEKIKVSFTCLNELHLMSNKLKTIMTPDGNFVQGFNTLRLLNLEDNHIDSWDEIVKLSYLKSLEQLHLNKNRIKHVKYPSNLPSALDDASAVPFENLQVLLLGSNEIDDFSSVDSLNLFPSLRDVRLSDNPIADPAKGGAPRFVLIARLGKVKILNGSEVSPRERRESEIRYIRLVMGKTQSNDIEEIKRLHPSSFAEKSKFS >KQL16546 pep chromosome:Setaria_italica_v2.0:III:42234560:42239864:-1 gene:SETIT_024280mg transcript:KQL16546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDTLGFISIDCGIPEGAAYADQSTRGLRYISDAGFTDAGLNAGVNPPYNIKGLADRYLTARYFPGSDGERSCYTLRPVTAGGRYLVRATFYYGNYDALNRLPAFDLHLGVNRWVTVNVTAPGAMYIYEAVVVSPADFFQVCLVNRGLGTPFISGLDLRPLQDEMYPDATVNQSLALLNFRRPAATYSFNRYHFWRPASTYRVFRYPFDPYDRLWQSYGDIDAWTNITSTTAVDVSNISSFHTPSKILWSAATPVNGTRIDFTWSSDSSINNDKTSYLLLLYFAEVQRLPSNALRRFDILVDNTTWNGSQGYSPRYLSAELVKRMVQGSSQHTVSLVATPDATLPPLLNAFEIYSVLPMTERATNDADAKAMMEIRKNYALKKNWMGDPCSPKAFAWNGLNCSYTSSGPAWIVALNLSSSGLSGAIDASFRDLKSLQYLDLSNNSLSGPVPDFLAQIPSLTFLTGNNANLCDNGASTCEPENKIGKRILIIIAIVVPVAVATLLFLAAFLILRRMKNKQVTRTANNSRLPSPRERSNVFENRQFTYKELKLMTANFKEEIGRGGFGAVFLGYLENGSPVAVKMCSKTSQGDKEFSAEAQHLTRVHHRNLVSLIGYCKDKKHLALVYEYMHGGNLEDRLRGEASAAAPLTWHQRLKIALDSAHGLEYLHKACQPPLIHRDVKTTNILLSAELEAKISDFGLSKKLSMGNIESIVDPRMGGEYDVNSVWKVAELALQCKERPSRERPTMTDVVMELKECMELDVLHAMGYYSSAPSSTVNLSAASVDLQSDAQESDARQETALDLEQLGNASSTQLGPAPR >KQL13635 pep chromosome:Setaria_italica_v2.0:III:5698891:5704684:1 gene:SETIT_021019mg transcript:KQL13635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPSLRRSRSPARETYHKRASSFGSVLPAKQKDDELPLFSDMQKVERENFLLEPSEDFDDSIAKLSYFPEVKLGVNIPARGESQDLLNVDGDKNDCEWLLTPPETPLFRSLDDEEEQSVTQVSRGRAQSKPIQISRSSTMDNTQRASRSSASPSRLSPSPRSMARTRSSSSASRSSPPLDLQPPTLLRRSSTPPVAKTSTPPRRSPSPASRRMSTGSSVPTLNGTRGASPVKPNRRSSSPKLQGWQSNVPGFPFDAPSNLRTSLPDHPVSRSRGGSPSSFSGLDKGSRGRRQSMSPTPSRRASSSHSIERDRMSSHSKASATSSGEDDLDSMQSVPISYSSSPAMKKSLAVMKTRTIASSKNLSKTFTPSSVPKRSFDSAVWLMDHRNAPQDRFRPLLSGVPASTFGSGNGNDVHKPMFSHISSLTTSSNASSDHGAIFGSYKHGNQEQQDLVGEWEADDSSRGHEDIFMFDKLDELNEENIHYKSTESMENSPSIVKRQVSDKQDFDMEGSGTCDQSLCHSTNSSLVGYGKTATCVRCGKFLDVDGEGDYCDICASKVGNTFTDSIAQTIEKANQQDDKAANLKPYIVSDPHIAPDSIDHRKEVSLDHQLVNNEPRTDCLDHALPLHSMMDTPQEMMLVQEGKIDAEHTKQHVGDSALGNRINIPFHQSSVTDPQQTEPTSVEHELFRDQMDNRNHGLSQCGETISETVTCDDSHQLVSTSPKLENTEATGISVLLLQKSNSNRWPVVEGRALGSANTLCSEPYYARDGVNIMKRSFGRDSSSAASSSDLGSSRQSVIYCERPRSGKRGDFEKSQISSTMSRQSIASVSDMSISSSSASLCPQSDAVGDTYLPIDTLESSASRKVIPTKEHDSSGKLALTSAMECWSAAQAIVSDDSLVDLNTSSSVSVVEGDATIENHCTDRMADSDHFSSNMCLSDTEMPSDIQESSAPEESCIPETEEDTSVISKHNTSSTPEHPSDENNLDNMQMQFEAAQGSNEENRLDDCCMSAISEEDVLVSEPKTNITELPNDEESHAVVEGSKKQIQRCFTLEEAADTILFCSSIVHDLAYKAATIALENEKESECLDSIRPTVTVVARSGQKEDSLPKLPHRRTPNRKVKRKRLEGETTTTETTEKDAVAKDSSPVRSASGITRNSDNMKPPKLESKCNCIIM >KQL13966 pep chromosome:Setaria_italica_v2.0:III:8018180:8018497:-1 gene:SETIT_025506mg transcript:KQL13966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTAMLCSKAVKAMTPISEHLQQPFLLSI >KQL16892 pep chromosome:Setaria_italica_v2.0:III:46474052:46475790:-1 gene:SETIT_0222502mg transcript:KQL16892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIAHPIGSAVDYRQLGSAEQGFYFVGKHYSTLLKQKLQSLIGDEEPSPQTVEIIMGRKLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGVPKFGSSNRTTAYRLCMRTKQGCCVQQRLGQ >KQL15514 pep chromosome:Setaria_italica_v2.0:III:21047126:21049066:1 gene:SETIT_023373mg transcript:KQL15514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARPRRRAAAPRHVRLLLPGGRRLPFPCRLARGDRGRRGGLRALRLRPRRYALGRARPYVDAAAKCRRFADVVSADRGGAIGVGTTMKEGGGSGAASHAAVLALPPAAGDGAPCAICREVMARGRGGVGVCALRPCGHRFHWRCALRWLAWRNTCPCCRAELPAQDAAAETRRLWRAVERMARGG >KQL14361 pep chromosome:Setaria_italica_v2.0:III:10758299:10758706:-1 gene:SETIT_024764mg transcript:KQL14361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHHLDLLLVALLYGCAFSGALLAAVSLALLAFLAGALLAALALAASDARGLAGPAARVAAAAAADLRLARAVAVYAVVKAAVRVVHAARPKVGALASRVRRLGAEADRPPARRLHYGVVASALFCRAARFTAV >KQL15220 pep chromosome:Setaria_italica_v2.0:III:17871278:17872294:-1 gene:SETIT_0243871mg transcript:KQL15220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVVELFDARPSFKDLVDCAMRKYGCGVDEMILRGHFNCGKVTPHYILMNLAFESNWKQYKEVVEHANVVCLEVVVDICPRPGANVALRDEVRLVVENGTQESTISQHGLGESQSDFGLAIVNDDFSNDTFEREEANIDDDDISLGSKDDDFEEEDGVEDVQTNAHEDVGVGDGPEYEESQSKEDGLQVNTTTVHDVEDIGHVDECFDYTPNELQLLKECHVELPSIHSVKDISMVYKAILIKKEMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYAVMCKQGCMWSVWLHLSRSTGQWRTLKVVQPHTCRSSQLKR >KQL16022 pep chromosome:Setaria_italica_v2.0:III:27131542:27134758:-1 gene:SETIT_024393mg transcript:KQL16022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTRPALSTTRTLTPSSPASTPPLPLAPPRPAMAATAAAAVSFSLPSPPRGRGPRRRSLLRAASTAAPPSPDLSIQLSPRASPPAPANGAATAAGPPVASSFARDRAEDLQAEACAMARAAGATVYTPELLAARYGSRPFKVALRAAEVLSKLGAFAVKILLDERSGDSSSAQRRARAVELRTILTRLGPTFVKIGQGLSTRPDLCPAEYLEELAELQDSLPTFPDEEAFACIERELGFPLDSIYSTISPSPIAAASLGQVYKARLKYSGKLVAVKVQRPGIEDAIGLDFYLLRGLGFLINKYVDIVTSDVVALMDEFARRVFQELNYVQEGQNARKFKKLYADKQDILVPDIFWDYTSAKVLTMEWIEGVKLNQQAVIESQGLKVLDLVNIGIQCSLRQLLEYGYFHADPHPGNILATPEGKLAFLDFGMMSETPEDARVAIIGHVVHLVNRDYEAMARDYYALDFLEPDVDVSPIVPALKNFFDDALNATVSELNFKTIVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRWNRLENLLVQGRQDREFAAKDALQPVLKLLLGPDGEELRVLVVKEAVRVTEAITIGTLIDSYNVAPEFLKPLISSGNPAGPFKFSEAEQEQMMELRDQVFRVWGLLRSSNNFDPSLLQPIVQVLQEPEARVLGSRVAGGVTQRLAARLLQQLLRTPPAPGSSS >KQL14744 pep chromosome:Setaria_italica_v2.0:III:13551412:13551645:-1 gene:SETIT_024267mg transcript:KQL14744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAVSIALLVILVVGAELVAVPEARLIQGASPSFAVTCGDGESAGVRWPSKWNRGRVLGGEKRSVPGGPDPQHHY >KQL13055 pep chromosome:Setaria_italica_v2.0:III:2329530:2331984:-1 gene:SETIT_021114mg transcript:KQL13055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRRRGGAGGAAARLMEKIVPGASAMKAKSSKKDQYLLKRRDPPEPAHRQQPPPLPDAPAPAPPALDDGPPGFRSGDPPTPPLPGGTLTDEEEFMLQRRAPLVEVPPAAQATEGGAAAAAASAAADAAPKKATKAKKPRKREMEEAADAGPGAAAAGEPKKKKKKKKLNDLDGAVISDIRNLPLAPFHGADRRISDAARSFVLAFRSKYYKKSYENDPPEESKKSLDKPSAAATAAADGQPVKKKKLVVRPGAGNDPTRAGVKRGPSDRQEELAVKKKAKLDKIKTLSSEKKAGGLEQRDSSVASPVAQQQARPKAETGAAKKKEPAPAPRIRTPSPMALMMKFPLKSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFKADAEAALRYASGNAMFGQVDTQYHLREVESAGREPAAPEAPPSQQRSELRLMETAAFRPGSSGNGAPLPMSRAVPARPAVGQQPKSILKKSTDDGTAGAAAREAPRVKFMLDAGDSKVDPPAPPATGGADSSKATKSVGFAPQPPARTLQPPMRPTQPPLQQPPRAATAAAVTQQLPPPPPLPYQPRPSDGLLPGSGQQLPYPPRHTEMPLAAFSNSLPPPPYPPRHSEGPSALPGPPPLPPYPPRSAGFPSQQQQEIPAWKRSKEEFKDEVWRLMTGIAKIVDPLTDKNGFFPYHLFRAQ >KQL13056 pep chromosome:Setaria_italica_v2.0:III:2329530:2335938:-1 gene:SETIT_021114mg transcript:KQL13056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPAAGGAIVHADGGGSAWANGGPLFGDMVWGKVKSHPWWPGHIYSVDLTDDEEVHRGRRDGLVLVAFFGDSSYGWFEPQELVPFEDHFAEKAAQGGSSRSSFAAAVAEAVDEVARRSALALLCPCREPGAFRPHPGDGRFFLVDVPGFDSDADYHPDQIQAARDRFVPRKALDYLLDAAVTQQDVAEAAARTVPGMEMAGLFMAYRRTVFAPRDDTYAEAFGVDPEKVLEAEKKAAADRAQRARPLKGGPRKTPDQASPMPGRRRGGAGGAAARLMEKIVPGASAMKAKSSKKDQYLLKRRDPPEPAHRQQPPPLPDAPAPAPPALDDGPPGFRSGDPPTPPLPGGTLTDEEEFMLQRRAPLVEVPPAAQATEGGAAAAAASAAADAAPKKATKAKKPRKREMEEAADAGPGAAAAGEPKKKKKKKKLNDLDGAVISDIRNLPLAPFHGADRRISDAARSFVLAFRSKYYKKSYENDPPEESKKSLDKPSAAATAAADGQPVKKKKLVVRPGAGNDPTRAGVKRGPSDRQEELAVKKKAKLDKIKTLSSEKKAGGLEQRDSSVASPVAQQQARPKAETGAAKKKEPAPAPRIRTPSPMALMMKFPLKSTLPSVASLKARFARFGPLDVDGIRVYWKSHMCRVIYRFKADAEAALRYASGNAMFGQVDTQYHLREVESAGREPAAPEAPPSQQRSELRLMETAAFRPGSSGNGAPLPMSRAVPARPAVGQQPKSILKKSTDDGTAGAAAREAPRVKFMLDAGDSKVDPPAPPATGGADSSKATKSVGFAPQPPARTLQPPMRPTQPPLQQPPRAATAAAVTQQLPPPPPLPYQPRPSDGLLPGSGQQLPYPPRHTEMPLAAFSNSLPPPPYPPRHSEGPSALPGPPPLPPYPPRSAGFPSQQQQEIPAWKRSKEEFKDEVWRLMTGIAKIVDPLTDKNGFFPYHLFRAQ >KQL15786 pep chromosome:Setaria_italica_v2.0:III:23822213:23822812:1 gene:SETIT_024170mg transcript:KQL15786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFALFALLALSMSAATAVFIPQGSLAAAAPIPQYLPHVTALGYENPIVQQALAANILSSPALFLQQPRALSQHQSLAHVTQQQQLLPFNPLAFANPTAFWQQQQLLSVNPLGVMNPAAFWQQPIIGSAIF >KQL12865 pep chromosome:Setaria_italica_v2.0:III:1349260:1352389:1 gene:SETIT_022315mg transcript:KQL12865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLSAAANACDEFPYVASNPAPPSLLPIMEQESSIQREQLGYNLEANSLALLPPSNSAAAHHHHSTIAGGHSAHDILQFYPSSHYLASAGNPYSHFSGSTAAFPSYYPPAQAAPEYYFPTLVSSAEENMASFAATQLGLNLGYRTYFPPRGGYTYGHHPPRCQAEGCKADLSSAKRYHRRHKVCEHHSKAPVVVTAGGLHQRFCQQCSRFHLLDEFDDAKKSCRKRLADHNRRRRKSKPSDGDAGDKKRAHGNKAAAAKDKAGSSSKNMDIGDGLGTQMLGSALLSKEQDQAMDLGEVVKEAVDPKGKASMQQHHGIHQQNHHGFPFHSSSAGSCFPQTQSVSGDTTSNIAQVQEPSLAFHHQHHQHSNILQLGQAMFDLDFDH >KQL14604 pep chromosome:Setaria_italica_v2.0:III:12283401:12285083:-1 gene:SETIT_023285mg transcript:KQL14604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPRTARLALLSASPRAYSSSSSAAAASPHPAPYGGAPPPTPMSKAAEFVVSKIDDLMNWARRGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFLHWWTK >KQL14754 pep chromosome:Setaria_italica_v2.0:III:13671770:13672227:1 gene:SETIT_025496mg transcript:KQL14754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWTVYQNITFPPRVHFSLNANSFEVMILLGIFHCHN >KQL16974 pep chromosome:Setaria_italica_v2.0:III:47202378:47202892:1 gene:SETIT_023896mg transcript:KQL16974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEKYSFTLTLPSDFRIEISTVKHPKIDIASSKISVATWDKNNLDRINYCNIQKTQLQHLKPRFNPPRHAR >KQL17182 pep chromosome:Setaria_italica_v2.0:III:48758555:48759807:-1 gene:SETIT_024767mg transcript:KQL17182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEHGSSRKPSAAPAAAATPTTCNKLLRDRLAAVQPAVLRAAAALATAVAAAVMALNTQSYTAVVAIVGTRPLTQTFTAKFRDTPAFVYFVIANAIAGVYNLLVLIIRRLILRRRTSSLVVQMLDMVIMALLATGAATAASMAELGKNGNLHARWNPMCDKFGSFCSRGGIAIVSSFIGVVLMLALNLLSAASNAHRPNVAGQ >KQL12996 pep chromosome:Setaria_italica_v2.0:III:2012088:2013595:1 gene:SETIT_023470mg transcript:KQL12996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFVTVALLLPLQFVSHLMLCIFLVLTHFFPSVLKDLKYADTHEWVKVEDGSATIGITDHAQDHLGDVVYVELPEVGNSVYQVKKFGAVERVKATSDINSPVSGEVVEVNGKLSEEPGLVNASSYDHGWIIKVKLSDSGELSSLMDDEKYLKFCEEEDKH >KQL12997 pep chromosome:Setaria_italica_v2.0:III:2012608:2014965:1 gene:SETIT_023470mg transcript:KQL12997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFVTVALLLPLQFVSHLMLCIFLVLTHFFPSVLKDLKYADTHEWVKVEDGSATIGITDHAQCYFWGFQDHLGDVVYVELPEVGNSVYQVKKFGAVERVKATSDINSPVSGEVVEVNGKLSEEPGLVNASSYDHGWIIKVKLSDSGELSSLMDDEKYLKFCEEEDKH >KQL12998 pep chromosome:Setaria_italica_v2.0:III:2012088:2013595:1 gene:SETIT_023470mg transcript:KQL12998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFVTVALLLPLQFVSHLMLCIFLVLTHFFPSVLKDLKYADTHEWVKVEDGSATIGITDHAQCYFWGFQDHLGDVVYVELPEVGNSVYQVKKFGAVERVKATSDINSPVSGEVVEVNGKLSEEPGLVNASSYDHGWIIKVKLSDSGELSSLMDDEKYLKFCEEEDKH >KQL13690 pep chromosome:Setaria_italica_v2.0:III:6097498:6101328:1 gene:SETIT_023015mg transcript:KQL13690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPQPPPLPPRPPLELAGAARDAELRLAAALSREEVLRRRRRRLLQLCSLYRAQFWALADELPARHGEYWWEHGASPALGDEPPPSLRLLKGNGAGAGPPENGGLVGPLENGCRGAVAPAAAAAGGRAGCAASNCEAKAMPLSLYCFNHILLDPKQQLYQPCAFPTRKSGMPNGEAICGNPVLRGITPLRCADHDPKSQKLIIEALKNAGIDLPLTSKSVPKLSLLISETVREIQMKRKFSMNAGKTAPSDMSLK >KQL14336 pep chromosome:Setaria_italica_v2.0:III:10633933:10637354:-1 gene:SETIT_021752mg transcript:KQL14336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVNFHEQEKISKEIVTEAIENCMKKQADNLLNSLEVISGRLSQLELYCYKLERSIGELRSDVMDYHSEASLNYRCLEKHVKEVQQSVQVLQEKQELAETPKEMSKLQIVHEDSAQKSEGTAPSVFMARENELALVPLHQVNAVQSPAMQFRSCNGVILQQLVPVSLSTQQDPQRSNQTTMYCMQGQSHLEHRQAQPFQAAAQSVQPLTQKPQPQTVVEVPQVTSQAQEFYLQPQQQWPHQTGQQVQPQARQPQPQVVQHQQYSNIQQVPAQMVQQQMSSPQAHSAPQVTLVYPPYGPHQPACANAGARTGGMVVQPSYSTISSSQRKHHEVAPIYVQSNTVSVPSAERRQQPQQLHSLGNGSFVPQPSKVGPCGVAPYTVQGSAQTYNTAYGSPSSNPATIVAVLNQQAHGNAPMVLHHLGPQSVQNHPDVAEKVARMGYSNDQVEGIALRMVAAGQPAEYNPLHDRLSSVSHGVAPQAWSG >KQL14337 pep chromosome:Setaria_italica_v2.0:III:10633933:10637743:-1 gene:SETIT_021752mg transcript:KQL14337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPARPAAASVSGAFGLSPDPKRCSFDQALRQKDFQENRLLMSFVNFHEQEKISKEIVTEAIENCMKKQADNLLNSLEVISGRLSQLELYCYKLERSIGELRSDVMDYHSEASLNYRCLEKHVKEVQQSVQVLQEKQELAETPKEMSKLQIVHEDSAQKSEGTAPSVFMARENELALVPLHQVNAVQSPAMQFRSCNGVILQQLVPVSLSTQQDPQRSNQTTMYCMQGQSHLEHRQAQPFQAAAQSVQPLTQKPQPQTVVEVPQVTSQAQEFYLQPQQQWPHQTGQQVQPQARQPQPQVVQHQQYSNIQQVPAQMVQQQMSSPQAHSAPQVTLVYPPYGPHQPACANAGARTGGMVVQPSYSTISSSQRKHHEVAPIYVQSNTVSVPSAERRQQPQQLHSLGNGSFVPQPSKVGPCGVAPYTVQGSAQTYNTAYGSPSSNPATIVAVLNQQAHGNAPMVLHHLGPQSVQNHPDVAEKVARMGYSNDQVEGIALRMVAAGQPAEYNPLHDRLSSVSHGVAPQAWSG >KQL14957 pep chromosome:Setaria_italica_v2.0:III:15073901:15074208:1 gene:SETIT_023924mg transcript:KQL14957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRQLEDEYMPRTLKKELMPKDSLSSRIYVFMELMVSGQRLDPIMSSLCNWVALSIIDFICFKKNN >KQL17071 pep chromosome:Setaria_italica_v2.0:III:48054678:48055380:-1 gene:SETIT_023361mg transcript:KQL17071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVRPLLAVAAALLCCCYGGAAPDTVADSCDAIRDFVDAAFCASRLRSVPGAAAADRHGHLLMAADLAAASGASARDAAAAMARDAEGTGGGGGGGGPAARDALEACAILYGSASVPALRLMRGYAAARSWGAARALLPLTGQAGIGCDAALAGAGTAAAAARMAGANREFDQLSTMATALLNKVS >KQL17062 pep chromosome:Setaria_italica_v2.0:III:47906817:47911436:-1 gene:SETIT_022361mg transcript:KQL17062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRYIKGIMNQLGLAVREDAVGNIFGRWEGSEAGLGAVGTGSHVDAIPFSGKYDGVVGVLGALEAISLLKRSGFQPKRSLEVIMFTSEEPTRFGISCLGSRLMAGIEELAQSLRMVVDNQNVSFLDAAESAGYKMGPEDLHSVFLKKDSYSAFIELHIEQGPILEKEGIPIGIVTAIAAPASITVEFEGNGGHAGAVLMPARNDAGLAAAELALAIEKHVLESGSIDTVGTTGILQLHPGAINSIPSKSHLEIDVRDIDEKRRNDVIEKIRRSATEISKNRGVMLSEFKIINQDPPALSDKSVIDAMEFAVKQLNLEYKKMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEYASQEDMANGVKVLALTMAKLSLE >KQL17020 pep chromosome:Setaria_italica_v2.0:III:47659684:47661645:1 gene:SETIT_024235mg transcript:KQL17020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQGCESCRKWQEHCYLEHMGTSKVRFFKLMTGDFAQGISIPEKFVSNLNGQITKGLNLKAPSGETWLIEVAENGDELFFMSGWDDFARAHELQENDLLIFTCSGNYSFDVQIFDASGCEKVPCFFTSKKGPCTHKHSDGIVDQQAEHCILSDSDDLRMPMRLIGSPNKASTSKKKSGKTRPRKEPEFPNSNYHIKQELISDEEQSDYRLVDSNYYYYYSRSASNLTGDERDQIFSLASIRPGNPAFVAVLQKTHIGHKNYLLIVHHGFAADHLEGRSHDILLLRPNRKKKWYVRYYHASRARGFNCCRWIKFVGDNRLRKDHICIFELMKGGRRTTMVVHVLRKVDGRFVLVA >KQL14958 pep chromosome:Setaria_italica_v2.0:III:15077634:15079567:1 gene:SETIT_022265mg transcript:KQL14958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHLFLRGGNAQGDSGLVLSTDAKPRLKWTPELHQRFVDAVNQLGGAEKATPKTVMRLMGIPGLTLYHLKSHLQKYRLSKNLQAQANVSTSKNAIGCTNIADRMPGTSAPTMSSTNAIPQAEKTIQIGEALQMQIEVQRQLNEQLEVQRHLQLRIEAQGKYLQAVLEQAQETLGKQNLGPANLEDAKIKISELVSQVSNECFSNAITDIKESSSVHRLEPKQIQFVESSTNNYLTAAEGFIKEHRLHHHGVLKAHDDSSLFCRKGSHEHETPLALNRSLSERRMAHLQIENGYSKAELGYENDTEMAPEYIGPPKNGGGSTSSSASGSKGDAEKPYLEEPNCTRQAVEYPRESKLLDFGHSCPGKKLDLNTHNVDDTDQAYRHFDLNGFSWS >KQL14238 pep chromosome:Setaria_italica_v2.0:III:9830126:9832520:1 gene:SETIT_025326mg transcript:KQL14238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLQTLAPSLRCVAATLSSCVRAAPLSTASAAFRHTSPLLSSPGDKLAPTKVEDVMPIATGLEREELEAELQVRGEKRFDMDPPVGPFGTKEEPAVIESYYNKRIVGCPGGEGEDEHDVVWFGLEKDKPHECHVCSQYFVLRAIGDRGDPDGHDDDEDEHH >KQL13718 pep chromosome:Setaria_italica_v2.0:III:6282107:6284827:1 gene:SETIT_021968mg transcript:KQL13718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNPKAADNRRGRPPTRRSQPRRAKAAPVASSSVDIEDLAPSVTVAVAAAAPAALRAQLLRWYDAHRRDLPWRRASGGEEERAYAVWVSEVMLQQTRVPVVVGYYERWMARWPTVRSLAAATQEEVNEMWAGLGYYRRARFLLEGAKQIVEKGEFPRTASALREVRGIGDYTAGAIASIAFNEVVPVVDGNVVRVLSRLHAIADNPKESSTMKRFWELAGQLVDPLRPGDFNQAMMELGATLCSKTKPGCTGCPVSSHCQALALSLENSSVQVTDFPRVVPKAKPRSDFAAVCVVQIAQGLGEEVTDTKGNDDLFLLIKRPEEGLLAGLWEFPLVLVDEGKTDSLNRRKAMDKYLTRLLSIDMGRKSNVILREDVGQHVHIFSHIRLTMYVELMIINLKDGVDRLCKKEDDSTKLKFTNESSVESMGLTSGIRKVYNMVKAFKEKRLSVSERGQVPTRKRSRRSKQ >KQL14186 pep chromosome:Setaria_italica_v2.0:III:9410368:9414676:1 gene:SETIT_022280mg transcript:KQL14186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGAGGGGGGGGGGVVGGEDGGFVRADQIDLKSLDEQLERHLSRAWTMEKRKEEASAGANQRGGAAGRQHSLRPRREDWEIDPAKLVVKGVIARGTFGTVHRGIYDGHDVAVKLLDWGEDGHRSEQDIAALRAAFSQEVSVWHKLDHPNVTKFIGAIMGARDLNIQTENGHIGMPTNICCVVVEYLAGGALKSFLIKNRRRKLAFKVVVQIALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPSDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMMEAIDTSKGGGMIPVDQRPGCLSCFRQYRGP >KQL13857 pep chromosome:Setaria_italica_v2.0:III:7269087:7272766:1 gene:SETIT_021458mg transcript:KQL13857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPGGCSSSSSGRGGSGGGGPSGGDAKDLPQLLLRVGVAVTLSVAGLLFSRRQRPPRQLLLPPPPPPSESDDAPSMKARTGLKELRILKNEDTRAKIISGNSVHTTTTTTTTTTTALVPLAPKCRSIADDEGYLLPEFNEMVLKEFCRDIDSIPTTPAARVREDVSNDHEIHKLRDLVRSLQEREKTLELQLLEYYGLQEQDAAVRELENQLKINNVESKLYSLKVESLQSENQELQAQLSENSKIISELEATRAKCKLLKKKLALDAEQSKEKVTSLQKTVDSLQHKETDEVNNHIEVENKLKRLEELEKEATELRATNSRLQQENAHLIRRLELTRLPPVPKPKNSMEVKALEEADRLKQENEKLAKEIEQLQSDRFADVEELVYLKWINACLRYELRNKDAPSGKTVARDLNKTLSPKSELKAKQLIMEYANAGAEDSHLSHVEFGSECSSSRASSGEPDDVSIDVAAMTKHKNPKKKKFFSKLRKLVLGKGKENHEVSTLERRVSISSCSFDDLTGRDSHDSYSSFMAEPNVPDSQRHGDHGFSTHSSLHSVKSCPVGTETGNERSDHSDVKSISSREERVNSFGHSARLDSGKAIPVDAEIHKFADALSTSRSGSMSSRRSSSFRH >KQL13548 pep chromosome:Setaria_italica_v2.0:III:5188559:5193005:-1 gene:SETIT_022481mg transcript:KQL13548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLLPLPFAAAAVASASASSLHLAASRLRVPAVSVARREGLFGGRVVGGAVRAPARLARRGLCAGAEPGGSAGTVVGQEEAMEWVKKDRRRLLHVVYRVGDLDKTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVVELTYNYGVESYDIGTGFGHFGIAVEDVAKTVDLIKAKGGTVTREPGPVKGGKSVIAFIEDPDGYKFELIERGPTPEPLCQVMLRVGDLDRAINFYEKAFGMELLRKRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVKEYDKGNAYAQIAISTDDVYKTAEVIRLNGGQITREPGPLPGINTKITACTDPDGWKTVFVDNIDFLKELEE >KQL14413 pep chromosome:Setaria_italica_v2.0:III:11082572:11083428:-1 gene:SETIT_023891mg transcript:KQL14413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFGNFATNVEGVFAAGDCRRGQSLVVWAIAEGREAAAAVDKYLSRERTNAAEDVAAPSPSEGLVQPVAA >KQL17142 pep chromosome:Setaria_italica_v2.0:III:48507479:48507651:1 gene:SETIT_025580mg transcript:KQL17142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFHSKSLGDQYTLHPRSGHRRQIFVTGKASSGLQDDT >KQL17084 pep chromosome:Setaria_italica_v2.0:III:48146230:48151447:1 gene:SETIT_022360mg transcript:KQL17084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPILSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLDGKQLWDLIEGLEENQLLHYTHLLTGYIGSVSFLDTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVELLTGLRITSEQDGLTACNTLHSAGPRKVVITSALIGGKLLLIGSHKKTQEQPPEQFKIEIPKIPAYFAGTGDLTTALLLGWSNKYPDSLETAAELAVSSLQALLKRTVEDYKRAGFDPSTSSLEIRLIQSQDDIRNPVVTCKAVKFGS >KQL17085 pep chromosome:Setaria_italica_v2.0:III:48146135:48151447:1 gene:SETIT_022360mg transcript:KQL17085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPILSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGTGYPTFRGQVLDGKQLWDLIEGLEENQLLHYTHLLTGYIGSVSFLDTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVELLTGLRITSEQDGLTACNTLHSAGPRKVVITSALIGGKLLLIGSHKKTQEQPPEQFKIEIPKIPAYFAGTGDLTTALLLGWSNKYPDSLETAAELAVSSLQALLKRTVEDYKRAGFDPSTSSLEIRLIQSQDDIRNPVVTCKAVKFGS >KQL17086 pep chromosome:Setaria_italica_v2.0:III:48146135:48151447:1 gene:SETIT_022360mg transcript:KQL17086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPILSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGYPTFRGQVLDGKQLWDLIEGLEENQLLHYTHLLTGYIGSVSFLDTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVELLTGLRITSEQDGLTACNTLHSAGPRKVVITSALIGGKLLLIGSHKKTQEQPPEQFKIEIPKIPAYFAGTGDLTTALLLGWSNKYPDSLETAAELAVSSLQALLKRTVEDYKRAGFDPSTSSLEIRLIQSQDDIRNPVVTCKAVKFGS >KQL17083 pep chromosome:Setaria_italica_v2.0:III:48145910:48151447:1 gene:SETIT_022360mg transcript:KQL17083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAVAAPHPPPTATAPPPSRVLLVGVVGPAPRSPSSLPSHREAFGWASLLQGRRERRRRAAAVQPKMARPPILSVALPSDTGRVLSIQSHTVQGYVGNKSAVFPLQLLGFDVDPINSVQFSNHTGTGYPTFRGQVLDGKQLWDLIEGLEENQLLHYTHLLTGYIGSVSFLDTVLQVVDKLRSVNPDLVYVCDPVLGDEGKLYVPQELISVYQQKVVPVASMLTPNQFEVELLTGLRITSEQDGLTACNTLHSAGPRKVVITSALIGGKLLLIGSHKKTQEQPPEQFKIEIPKIPAYFAGTGDLTTALLLGWSNKYPDSLETAAELAVSSLQALLKRTVEDYKRAGFDPSTSSLEIRLIQSQDDIRNPVVTCKAVKFGS >KQL14978 pep chromosome:Setaria_italica_v2.0:III:15285467:15288145:1 gene:SETIT_024139mg transcript:KQL14978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLPTAGAAGTLSPRNAMAQVAARGNISSAASVVCYSPMMVTAYGIWQGVNPLEFSLPLFILQTAIIVATTRLLVLLLKPIRQPRVIAEILAGVILGPSVMGQVDVWAKTVFPLRSLLTLETVAHLGLLYFLFLVGLEMDVNVIKRSGKKALIIAVAGMALPFCIGTATSFIFRHQVSKNVHQASFLLFLGVALSVTAFPVLARILAEIKLLNSDLGRIAMSAAIVNDMCAWILLALAIAISEVNSSAFSSLWVLLAGVLFVLACFYVVRPLMWWIVRRVPEGEAVSDVHVTLILTGVMIAGVCTDAIGIHSVFGAFVYGLVIPSGQLGVVLIEKLEDFVTGLLLPLFFAISGLRTNVTRVRDPVTVGLLVLVFTMASFAKIMGTILIAVSYTMTFRDGVALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTALVTPVVTTVYRPARRLVGYKRRNLQRSKHDAELRMLACVHTTRNVPSIISLLELSNPTKRSPIFIYALHLVELTGRASNMLAAHHSASNQSRSAGASDHIFNAFESYEESVGGVSVQALTAVSPYQTMHEDVSVLAEDKHVSLIVLPFHKQQTVDGGMEPINASLRGFNESILSSAPCSVGILGLAYAWRMVEHPGVCLTIVRFIPPDYKTPALAPPQPVAARAPAGNVHARAITIVPDAAKSERQMDEEYLGEFRTRNVGNDAVQYMEQVVANSEETLAAIRDLDSAHELYIVGRHPGEAGSPLTSALAEWMDSPELGPIGDLLVSSEFSKMVSVLVMQQYVITAPQPAVPVTDDPVRQYVTNANQRTTVARGGWGAAADF >KQL17039 pep chromosome:Setaria_italica_v2.0:III:47785712:47786581:1 gene:SETIT_025270mg transcript:KQL17039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHSTTRRVPACLFPAAAMRYGEVAHFSHPQHRLRLEHHDTPFRCDGCREVGIGARFRCPYPGCDHDLHRQCALPLSPPPPPLRHPFYPRCAFAFLPRAPGAPGSRYCNACGRDVAGYVYHCRACGFDLHPCCAALPHALDAGGGVRLRLHPDSRATGVAACHRCGHRGRSWSYRSQCGSFSLHVACVMDMLVESWHGIGRHKGVAGGGGNVYDGGMLVPGCGGYRVPAIRGAAKSAHASRGGYSTWGRKKGKVKRCCEIAGFAAQVVISAVLGDPTALIAGVIGSLIAR >KQL13535 pep chromosome:Setaria_italica_v2.0:III:5073307:5078391:1 gene:SETIT_021218mg transcript:KQL13535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSDMISLFRWHNHLNPGINKDAWTQEEEIKLIHAHQTYGNKWAELTKFLPGRTDNAIKNHWHSSVKKKVDSYRASGLLAQFQGLTPVEYTAGGLNVDSSSAMTNQISEDSGFNVCREVEDSTELSQSSFVKGSCSQEEQTDVALGSHLNVHESLCQDGFTNADNVASALPDMHHQLSTSDMDQGKHLQEEFSQGMDLDKHLQQEFSQGMDLHLDIDEVPNNFVITDSQASSELAGQFQDTQIMHNLENDGGSLIPCAVTPCVPILPSVSECEHNINMMSEVSIKNDNCFHPEQWQDISIQPGVYSSEAASNFSAPLCPLKTSEPASNFSVPLCPLKTSEPATMMGDHLYYQSSETSLPPSFIFSDVASNASDVKFETSHCCQDLEIKTCYNASGDPGQNSYISTEDDRNQTSEPMDSIPEPEKEQLVDLDQSCLEPTAYNGEEALPSQGDTVLSDKEDAGALCYEPPCFSSFEVPFVSCELVTSSDLPEYSPLGIRELMRSSLNFPTPVRLWGSPTRDGSPDAVLKNAAKSFVRTPSIMKKRPRDLSSPSPDIRNEKKSNTEKDCGRSGMSSTRIGKSFMDIPDDFFDLISPRSAFQKKLKLSQENKENLDQITDQGENEGNAKHSAGILTESSVDNQNTPKHAPNYESQRLNTSTKALSNSKDIIFSRSKPSELLVDKSAPFIDAEYEYVNILADTPGIKRGLESPSAWKSPLFTPFKDAYFMSPASRSVDALGLVKQINEQSAAALEEAHEVLASGSPWKRHSKENSDKENIENVAWKNELATSKSLSKLMAEGRVLDFNECSTPVRKKEDKKMDIGGSASSPVASSYLRMNVR >KQL15133 pep chromosome:Setaria_italica_v2.0:III:17317732:17319204:-1 gene:SETIT_024584mg transcript:KQL15133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTCNLAEPNKNDRLASYCLPLLDTGDIRVSDLVWGKLEGYPWWPGEIFNPSDASELALKHQIRGNHLVVFFGDSTFAWCDESQLMPFMTNYSQMEKQSSSDEFVNAINHALEELLRRILIGMSCPCAPEELSDSRMSYLVENHGLREGVVCSTANRAEVLEHFHPENLLHYVKSLALFPGQGGDLLELVIACSQLTSFYRSKGSPELATFQTTSGWAEKAMDAPSTKNVEEDVGSVVHSNHYKPRKGIGRPCKRKPDDGIESMEKKATLYNPCSYDYPGKIQMAGPADELKPKRGQNRKNVHSPEAGMTDHSHDMYWYELSLHNDPIYSLKRASTKMKPTRETKWRSSLEKPAPSSQQVQSATLAPKKQIQVMERPIIYIDAKMPHEVKPTALVLCFGRSAALPSEADLIKMFRGYGPLKQTETEVHKGTNTVKVVFKKRADAERAFSVAGSYCTFGPWLQSYRLVNMPFSLGTEASNPMTHPEANRL >KQL14963 pep chromosome:Setaria_italica_v2.0:III:15128715:15131053:1 gene:SETIT_023497mg transcript:KQL14963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGASLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKAQNKGAVQKGSKGPKLGGGGGKR >KQL16806 pep chromosome:Setaria_italica_v2.0:III:45365920:45366425:-1 gene:SETIT_025494mg transcript:KQL16806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMNTHVLYWIRPYSGGFFQINHRMPAFFASIRVSNECSKGTFRALYSSSDVKNADYSWKMSSDCLVLLNHLVAD >KQL16081 pep chromosome:Setaria_italica_v2.0:III:28507627:28512034:1 gene:SETIT_023854mg transcript:KQL16081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDIGFHVEYISPSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVEPAVPALEP >KQL16082 pep chromosome:Setaria_italica_v2.0:III:28507627:28512034:1 gene:SETIT_023854mg transcript:KQL16082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGFHVEYISPSGEKTLILPYRRYEADQGNFCTVSAGSYKLVWDNSYSSFFKKSLRYKVDAVPPVVEPAVPALEP >KQL16785 pep chromosome:Setaria_italica_v2.0:III:45072335:45073000:1 gene:SETIT_025285mg transcript:KQL16785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRALTAVVTGRRAAEQSGLAAVLSSCRSGGVRGLSHSSAQQRREDQSAGDRHDAAAAAAVAAAQVEASPNRKNVEVVQGDRSATLLPDEAADALGGVGAEEAADAWVPDEETGVFVPAEEATDNGNGGPHEQPAGPSVLDQAVFVRVDMEDVERPPVDMASAHSGAK >KQL15521 pep chromosome:Setaria_italica_v2.0:III:21121067:21121504:1 gene:SETIT_025393mg transcript:KQL15521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCKKKNKDMISSTIPHKYPFSTVNNSEVRLYYMLCRSI >KQL15816 pep chromosome:Setaria_italica_v2.0:III:24264174:24265491:-1 gene:SETIT_022597mg transcript:KQL15816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSRSSSCLVAAAALPYALLLFCCLTTGDAANGGLRLGYYSSTCPPAEDIVREQVAQLYHKHGNTAVSWLRALFHDCMVGSCDASLLLDTSPAAGVSEKSAPRSFGMRNFKYIDVIKAALERECPGTVSCADVLALAARDGAAALGGPRAAMRTGRRDARASRYADVGRDIPNHNDTVAAVLARFAAAGVDAEGAVALLGAHSVGRVHCFNLVGRLYPAVDAGLDPAYGEYLRGRCPTADAREDTRDVAYARNDRATPMALDNMYYKNLLARRGLLLVDQRLADDPRTAPFVARMAADNGYFHDRFAAALLTLSENNPLGADEGEVRRDCRFVNPA >KQL16494 pep chromosome:Setaria_italica_v2.0:III:40999307:40999730:1 gene:SETIT_025603mg transcript:KQL16494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTTVRLNFDRINRTAKRKSGKRISVRTNNINERNTAPPRYDWE >KQL17183 pep chromosome:Setaria_italica_v2.0:III:48761512:48765520:-1 gene:SETIT_023407mg transcript:KQL17183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQIVCHGCRRLLLYPRGAPSVCCAVCRVVSNVPPPGMEMARLICGGCQTLLMYTCNATTVRCSCCDTVNLVRPVSSIAHVNCGRCQTVLMYPYGAPSVKCAICNFITSVGGVPTVRPLPPTLPASSGNSYNIPSTSAPMSQSQNVTVVVENPMTVDDKGKLVSNVVVGVTTGGKK >KQL17184 pep chromosome:Setaria_italica_v2.0:III:48762093:48764923:-1 gene:SETIT_023407mg transcript:KQL17184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSQIVCHGCRRLLLYPRGAPSVCCAVCRVVSNVPPPGMEMARLICGGCQTLLMYTCNATTVRCSCCDTVNLVRPVSSIAHVNCGRCQTVLMYPYGAPSVKCAICNFITSVGGHQVPTVRPLPPTLPASSGNSYNIPSTSAPMSQSQNVTVVVENPMTVDDKGKLVSNVVVGVTTGGKK >KQL15791 pep chromosome:Setaria_italica_v2.0:III:23900715:23901980:1 gene:SETIT_023985mg transcript:KQL15791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALERFIVHMLDPTHMFVHPHVAEMIRSKIAEFRNQNSYEKPQ >KQL15792 pep chromosome:Setaria_italica_v2.0:III:23900715:23901980:1 gene:SETIT_023985mg transcript:KQL15792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFIVNLNASMPALERFIVHMLDPTHMFVHPHVAEMIRSKIAEFRNQNSYEKPQ >KQL16828 pep chromosome:Setaria_italica_v2.0:III:45586496:45590068:1 gene:SETIT_023543mg transcript:KQL16828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVELILWLFSFASVMVLIGLTAYQLICLSDLEYDYINPYDSSSRINAVVLIEYSLQAALCASFLLTLHWFPFLVMAPVTYYHVKLYMAQKHLVDVTEIFRQLNGEKKYRMIKLAFYFCLFIITIYRLVMTAVMLFIDEDVNLVETRTI >KQL17179 pep chromosome:Setaria_italica_v2.0:III:48749458:48749681:1 gene:SETIT_023998mg transcript:KQL17179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLKEDQQKRLTCVLCTCAIHMVPSVCLWFQVCPCLSMHVICACVWRVYIF >KQL14161 pep chromosome:Setaria_italica_v2.0:III:9254846:9260499:-1 gene:SETIT_021771mg transcript:KQL14161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSSVFPLEGKACVSPVRRGSEGSGSERMRIGDCSSIRQNRALRRMCFGARGTTSSAQCVLTSDAGPDTLVVRTSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGADLEEMKVDTSFLNFAIDDPSKYPYIASMGVYVFKRDVLLNLLKSRYSQLHDFGSEILPKALHEHNVQAYVFTDYWEDIGTLRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKSRIKDAIISHGCFLRECTIEHSIVGVRSRLNSGCELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKISNCIIDMNARVGRNVSITNSEGVQEADRPEEGYYIRSGIVVILKNATIKDGTVI >KQL14160 pep chromosome:Setaria_italica_v2.0:III:9254846:9258883:-1 gene:SETIT_021771mg transcript:KQL14160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSSVFPLEGKACVSPVRRGSEGSGSERMRIGDCSSIRQNRALRRMCFGARGTTSSAQCVLTSDAGPDTLVVRTSFRRNYADPNEVAAVILGGGTGTQLFPLTSTRATPAVPIGGCYRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGINFTDGSVEVLAATQMPGEAGWFQGTADAVRKFIWVLEDYYKHKAIEHILILSGDQLYRMDYMELVQKHVDDNADITLSCAPVGESRASDYGLVKFDSSGRVIQFSEKPKGADLEEMKVDTSFLNFAIDDPSKYPYIASMGVYVFKRDVLLNLLKSRYSQLHDFGSEILPKALHEHNVQAYVFTDYWEDIGTLRSFFDANMALCEQPPKFEFYDPKTPFFTSPRYLPPTKSDKSRIKDAIISHGCFLRECTIEHSIVGVRSRLNSGCELKNTMMMGADLYETEDEISRLLSEGKVPIGVGENTKISNCIIDMNARVGRNVSITNSEGVQEADRPEEGYYIRSGIVVILKNATIKDGTVI >KQL14382 pep chromosome:Setaria_italica_v2.0:III:10888490:10891414:1 gene:SETIT_023024mg transcript:KQL14382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLETENRLASLLLEEARRLQLEADREGVHAYLRKPNVRHRPNSRFLTATVRGVQQANRVVEVNEMWRAREKELELESKMRSGSSKSKDLYDSRGEKRKSDSRNHSSSSRVEQEGIAYSYSDKEDGLGDDEIERFLHSRVKRGRGAVGSRMDEPGPYLDLLSHRKDNEPSPDIRVEEKWERRVQGPEKPSFLKSKSPDDWHKESLDGKSSSSEPRSKKEKKRKSEKKDKRDKNKEKYKKKSKHRHHHHQKSRRRE >KQL15325 pep chromosome:Setaria_italica_v2.0:III:18927311:18930773:-1 gene:SETIT_021654mg transcript:KQL15325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPSRAKNQEEEDDVQTLKKQAGPKKTGRGRNAGGAQRPKALRRQSPRNTGRDPEHPIVIDDEVNEEYKVRDDQLAIVPLRRSPRLHQEDKGSGKSLLPSNWQETSHNRKAQNALVKYRSQENLKRNRKNAGLKTLTKLKSHNKPQPLCQDPQDIPTRKKVTDVTHIKSEKQELKPNHSEVLTRKRKRGTEGRLSAKRRSYQESKSLPAYCQENVPSKEPKKAIHKKTGKDPSIVVKHKVGHERSTIDENINEPSGTKREGMKNFCGEDDWTEEQDMALRKAYFAARPSPHFWKRVSKLVPGRSAEDCFNRIHADLSTPTPIAPRPRTSKTTFSPIGSFALSDPKLPNLLESTVGRQRTAKQKSLAAQKTVRHLLQKHSLIDQAQEADHFSLFETSPSAFPLNISFEDSPGTPESYLNSGSLGKFSRSSSARKKTFSRLRAERAEPSPAVLKPIKNLILHEKYVNQLSRREGTKRPRKRTPGSKAAHSGKALSRQQVGGLKAAKNALISEATDFISQFKKLQANSLAHIVENSEDDDIDGDASDSCHDDDKE >KQL13234 pep chromosome:Setaria_italica_v2.0:III:3330383:3331831:1 gene:SETIT_022679mg transcript:KQL13234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSACQALLLMALAAAVLSTASGTLKYDFYSLSCPKAEEAVRNATMKIISDKPSMGAAFVRLYFHDCFVKGCDASILLNQSNSNPQPEKLGIPLRGYDEVNTIKAAVEAVCPGVVSCADILAFAARDSAMVSGGFTFPMPGGRRDGLTSDLSDIPASLPGPNMQVQDLIKSFGAKGLSAVDLVALSGAHSFGETHCSFVTPRLYPTLDKTMDRAFGVALQTVCPRRGGGGTPLDNNRVTDPNVLSNQYYKNVIAGQVMFTSDQTLRSDASTAKMVQDNADNPVAWMARFAAAMVNMGGIEVLTGTQGEIRKVCGATNSGS >KQL13123 pep chromosome:Setaria_italica_v2.0:III:2715641:2716267:-1 gene:SETIT_024472mg transcript:KQL13123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRSHTRIQTEPSPPPPMTSLRSSAASLAPSIRGAAEMVRQEALRRELDGCQLLAGIWCHGLTVAQLRSIRASLPPTARLVVTKNSDMAAAVAGTRWEALKPCARGMNAWLFVRSDEIPPALKPYRDFQKEWKLQLNDFTGAVYEGRLYGPDDFPQLEAMPTRAQSYQYLLGCLQMPAVNLLAVLRARQEAMLAQEGEAAAPATEPAVK >KQL14520 pep chromosome:Setaria_italica_v2.0:III:11742463:11743191:-1 gene:SETIT_025465mg transcript:KQL14520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLTYPVLMLSTNLYLAPAMTTVDQRFMILEGRTCGMLIERRSNCTGHFFSEI >KQL14649 pep chromosome:Setaria_italica_v2.0:III:12648298:12649587:1 gene:SETIT_024035mg transcript:KQL14649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAIVLYPSLLVSHFIPMLQLTDALLEEGYAAMVALIGATLEEDAALAVAVDRVVSSKPSVNFDTLPRIQNPPSVNNDVDMLLGYFELLRLYNKHLGEFLSSLPPRSIHAVLVDSFSNAVLDVTKEPRIPAYSLFTTNASNIAISLQLTWIRAEGQPSFKDLGDTTLNLHGVPPMLASHFIEEMLEDPESKMYKDVTNSNIKSDVILVNMFASLEAHVVGALKDPQFLRESGFTMPPVYCVRPLVGSAANSTKEKHECLVWLDEQPEHSVVFLCFGSVGEIMVGLERSGHRFLWVVQAPLGDNLEKAFGVQTNPDLHTLLPEEFLERTKGRGLVVEKWAPQVDVLHHKATGAFVTHRGWNSVLEGIMKMNKVFMVEEAGIGVEMVGWQQEFVKAEEVEGKVRLVF >KQL15447 pep chromosome:Setaria_italica_v2.0:III:20222638:20223363:-1 gene:SETIT_023812mg transcript:KQL15447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLDSVFQLKPTLKSVWEQNFRERAFTNWCPHGCHTFLENWFWLEVSVILTLGNQLTASNSHSFNKNCFCYLCMLQTVKFTFQTVSH >KQL15770 pep chromosome:Setaria_italica_v2.0:III:23617082:23619797:-1 gene:SETIT_025289mg transcript:KQL15770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLANSNNKGKAVVKEEVPSPTAMEGVPSDNVPAAPLNSSGRDDKSKSQGHLGSSVHEAGSSSLAPSMPEPSMTAELTQHCVAVLDEGNIAAVNADLQAMSHLALASANSDPTQRVAFAFAEALGRATAPGRSAARASVCRRRHAARRCFDALCPFLHVTASAANQTIVTAIAAEKNVHVVDLGGASPNQWLDLLRLFAAARPEGAPVLRLSVVSEQDAFLSRTAGLLTQEAIHRLIADETSVELPAGPHQITKADALLRVLCDLSPKLMLLTEQEADHNGANLWDRVSNAFNYYAALFNDLEPGGGAPREWVDRAAVERLLLQEEIMDIVARASRRERHEVMKSWVQRTGVAGFNTAPVMSYDQFADAGLQALQLAADGTLRYWVRNEDASIIVVYSRMTPIFSVTAWRPAEKNGK >KQL13680 pep chromosome:Setaria_italica_v2.0:III:6032682:6035525:1 gene:SETIT_024522mg transcript:KQL13680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEQKKARIEQAITNREMRSSKTTKDNDGVIFEQDTNEDDYMFSCQDSATTDMKKAGIYTFRAHGQMYHDIQSFGNSCSDPKHLELYFYDDDPSLEHQYRCCREEKYKQDKHFRSLGQNEKLDDYRLILNLDQRLDQKPYNAPITLEVAVVWIKGNERRNTFDKNVILHGNNNEIQGIKSYYGCYDPLSYPLFFPRAELELRNDNVDRNNSDNDPDSSSKLWVTMREYYCYKFHARPSIFNPILHGGRLFQQFAVDSYIKIESSRLDFIWHHQKEIRADLYKGLLDSIQEGEQKGDKVGKRTVLASSFIGGPRDKLRRYLDAMALNRASCKHNYPRPFNETTIQGKDSYPWYRRRNDGRTETVRNCKLDNRWVVPYNPYLLHFFNCHINVDVCSSIRAVKYLFKYIYKGHDRASVSVTGADDEGEIDEIR >KQL14888 pep chromosome:Setaria_italica_v2.0:III:14618878:14622159:-1 gene:SETIT_022492mg transcript:KQL14888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAAAGVKKTAAPWVLACGFLLCLAGFLGAEGAIGVNYGMVANNLPAPEQVISMYVAKNISYVRLFHPDTSVLTALRGSGIGVVLGTLNEDLQRLASDQSFAASWVATNVQPFAGAVQFRYINAGNEVIPGESAAHVLPAMQNLESALRSAGVSGVAVTTAVATAVLGASYPPSQGAFSEAAAPVMAPIVSYLSSKNAPLLVNVYPYFAYSNSGGQVALGYALLSAAGSGAASSSSVADGGVVYTNMFDAIVDATHAAVEKAGVQGLELVVSETGWPSGGGEGASVENAAAYNNNVVRHVGGGTPRRPGKPVETYLFAMFNENQKTEGVEQHFGLFQPDMSEVYHVDFTAGSS >KQL14106 pep chromosome:Setaria_italica_v2.0:III:8902345:8902449:-1 gene:SETIT_024713mg transcript:KQL14106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISYIKNPHPRVLDDSATALISREDGAWYVVAALG >KQL16495 pep chromosome:Setaria_italica_v2.0:III:40996035:41000361:-1 gene:SETIT_023071mg transcript:KQL16495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPDERVQVDALERLLLAGSSSNDYNISIEDEVLHDASFAEMEDNFVKYQIAQWILLSVLLVLAWGVGVLMLLYLPIRIYVCRRDFRSRKLYLTPHAIVYKVNKPVAFPCFGVFKKEKYVILPSISDVVVEQGYLESFFGIYSIRIENVGVRKPASDDVKITGVSHPHDFRKAVLVHLLNTRNLNFSRKAPSDGQQSTSLNPIASAWEPPLGDLILEKLDEVEISVKKMQAMVQGIETSKTKTRSS >KQL12937 pep chromosome:Setaria_italica_v2.0:III:1742677:1744241:1 gene:SETIT_022084mg transcript:KQL12937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITVHSSKAVKPAYPGGVAPAGERAVPLTVLDKANFDTYISVIYAFRPPVPANAALEDGLARVLVEYREWAGRLGVDAEGNRAILLNDAGARFVEATADVTLDSVMPLKPTPEVLSLHPSGDGATELMLIQVTRFACGSLVVGFTTQHIVADGRGTNNFFLAWSQATRGAALDPVPVHDRESFFRPHDPPLVEFQHRGVEFKPYEKHVDEKIHAGDEDEEEEVVIHKVHFSREFISKLKAQASAGLPRPYSTLQCVVAHLWRCMTTARGLEEGQSTSVCIAVDGRARMNPQVPDGYTGNVVLWARPTATAGELVARPLQHAVGLINREVARINDAYFKSFIDFASSGAVEKERLVAAADADEMVLSPNIEVDSWLRIPFYDLDFGGGRPFFFMPSYLPVEGLLILLPSFVGDGSVDAYVPLFSRDMDTFKNCCYAMD >KQL13914 pep chromosome:Setaria_italica_v2.0:III:7706206:7711201:-1 gene:SETIT_021518mg transcript:KQL13914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDSVVRSVDRAGAAPGDDGGATPLPETVQIGNSPTYRLDRKLGKGGFGQVYVGRRISSPSVSERTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGKQGEYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATRWKDTSTGEHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSSESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLMMEEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSPYFLHKVS >KQL13913 pep chromosome:Setaria_italica_v2.0:III:7702807:7711201:-1 gene:SETIT_021518mg transcript:KQL13913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDSVVRSVDRAGAAPGDDGGATPLPETVQIGNSPTYRLDRKLGKGGFGQVYVGRRISSPSVSERTPGANALEVAIKFEHRTSKGCNYGAPYEWQVYNTLSGIHGVPRVHYKGKQGEYYIMIMDMLGPSLWDVWNNNSHSMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGPPGTLEEKKLFLVDLGLATRWKDTSTGEHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSSESLCCFCPQPFRQFIEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLMMEEDDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLAQHISKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSPYFLHKEWIMEQWEKNFYITALAGANNGSSLVVMSRGTQYAQQSYKVSDSFPFKWINKKWREGFYVTSMATAGSRWAVVMSRNAGFTAQVVELDFLYPSEGIHRRWDNGFRITSTAATWDQAAFILSIPKRKPADETQETLRTSAFPSQHVKDKWAKNLYLASICYGRTVS >KQL15060 pep chromosome:Setaria_italica_v2.0:III:16138470:16140258:-1 gene:SETIT_024794mg transcript:KQL15060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVMAFMVIPSVASFGYGIHYAGTINHFAVGCIPSPSTETSPGSPFSEIAWTKVHAYHQKFNRSVPTLRVQDQDGTLCLLKFGDVLTKRIRERIHDDSRMKIGSTSFPAYKKYIFFPEFFLWSIICAAKAV >KQL15121 pep chromosome:Setaria_italica_v2.0:III:17248007:17252359:1 gene:SETIT_021356mg transcript:KQL15121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSLQDLPTFTRIDALERGSSIGSDLSSGRAKTVRTLQRDGPVASFSKERTPPSSPTNRKKCMRAAGCAIALIVLAFFAYASWRYFHVFLSEGNSEYYVVLDCGSTGTRVYVYEWHINHNDANGFPIVLKPLGNAPKKKSGKLTRLYQRMETEPGLSKLVHNEAGLKKALEPLLQMAEKQIPRRAHKHTPLFLYATAGVRKLPSADSEWLLDKAWDVLKNSSFLCSRDRVKIITGMDEAYYGWIALNHHMNMLGTSASKMTYGSLDLGGSSLQVTFETDKTVQDETSISLRIGSVDHHLSAYSLTGYGLNDAFDKSVAHLVKKLGGVANNGKVQVKHPCLQTGYKEDYVCSYCHPLKQDGSPSVGEKTTGKEKQGVPVELVGAPQWNECSALAKVTVNLSEWSSASPGLDCNLHPCALASNFPQPHGKFFAMSGFFVVFKFFNLTADATLVDVLKRGQEFCEKPWKVAKSSVPPQPFIDQYCFRAPYIASLLREGLQIKDNQVIIGSGSITWTLGVALWEAGQALSTRIDIQGYRILHREINPNILIVLFLVSIVLVICAILCVSNSIPRSFRKSYLPLYRHNSAGSSVLGMGSPFRFQLWSPINSGDGRTKTPLSPTVAGSDPHPFGMSHGLGGSSVQLMESSRQSLGVYHSYSVGSLGQMQFSSGVRNPSRGQTTLQSRRSQSREDLSSSLADIHVPKV >KQL15122 pep chromosome:Setaria_italica_v2.0:III:17248323:17251744:1 gene:SETIT_021356mg transcript:KQL15122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSLQDLPTFTRIDALERGSSIGSDLSSGRAKTVRTLQRDGPVASFSKERTPPSSPTNRKKCMRAAGCAIALIVLAFFAYASWRYFHVFLSEGNSEYYVVLDCGSTGTRVYVYEWHINHNDANGFPIVLKPLGNAPKKKSGKLTRLYQRMETEPGLSKLVHNEAGLKKALEPLLQMAEKQIPRRAHKHTPLFLYATAGVRKLPSADSEWLLDKAWDVLKNSSFLCSRDRVKIITGMDEAYYGWIALNHHMNMLGTSASKMTYGSLDLGGSSLQVTFETDKTVQDETSISLRIGSVDHHLSAYSLTGYGLNDAFDKSVAHLVKKLGGVANNGKVQVKHPCLQTGYKEDYVCSYCHPLKQDGSPSVGEKTTGKEKQGVPVELVGAPQWNECSALAKVTVNLSEWSSASPGLDCNLHPCALASNFPQPHGKFFAMSGFFVVFKFFNLTADATLVDVLKRGQEFCEKPWKVAKSSVPPQPFIDQYCFRAPYIASLLREGLQIKDNQVIIGSGSITWTLGVALWEAGQALSTRIDIQGYRILHREINPNILIVLFLVSIVLVICAILCVSNSIPRSFRKSYLPLYRHNSAGSSVLGMGSPFRFQLWSPINSGDGRTKTPLSPTVAGSDPHPFGMSHGLGGSSVQLMESSRQSLGVYHSYSVGSLGQMQFSSGVRNPSRGQTTLQSRRSQSREDLSSSLADIHVPKV >KQL15147 pep chromosome:Setaria_italica_v2.0:III:17423746:17424518:1 gene:SETIT_023336mg transcript:KQL15147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKLVALGFIVLMSMGLANAVRVARYSSADGTGTGGGGGGGYVNGAGSGSGSGTGAGESGSNGVHAAAGGGGGGGGTSQYGGSGYGGGSGSGSGSGTYSQGPYSGYGESSNAGGSGGGGGGGQAGGHWGSSAQGSGSGTGSGSSYSNRYWYGPSYAGANANGNGGGSGSSQNGGGGGGQGAGSGYGNANP >KQL13752 pep chromosome:Setaria_italica_v2.0:III:6472626:6475588:-1 gene:SETIT_023612mg transcript:KQL13752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCAGKARRDDEDKLDFKGGNVHIITSKEGWDQKIAEANRDGKTVVANFSASWCGPCRVIAPVYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFLKNGQQIDKLVGANKPELEKKVLAAADGSSSK >KQL13751 pep chromosome:Setaria_italica_v2.0:III:6472626:6475264:-1 gene:SETIT_023612mg transcript:KQL13751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCAGKARRDDEDKLDFKGGNVHIITSKEGWDQKIAEANRDGKTVVANFSASWCGPCRVIAPVYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFLKNGQQIDKLVGANKPELEKKVLAAADGSSSK >KQL13753 pep chromosome:Setaria_italica_v2.0:III:6472626:6476088:-1 gene:SETIT_023612mg transcript:KQL13753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCAGKARRDDEDKLDFKGGNVHIITSKEGWDQKIAEANRDGKTVVANFSASWCGPCRVIAPVYAEMSKTYPQLMFLTIDVDDLMDFSSSWDIRATPTFFFLKNGQQIDKLVGANKPELEKKVLAAADGSSSK >KQL12834 pep chromosome:Setaria_italica_v2.0:III:1091714:1093620:-1 gene:SETIT_023022mg transcript:KQL12834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAAEQDAAVSLVRRVARALNRRVTDIVALLFNHKSAGSLGAVAGFAIAVVFAWRFMRPSQGRPRRPAPKRPPPTPAGAPESIVSDAPEPVGDSGKQVTRHIVAKRLSGCRKVTCRLLGVIFEEKTPEELQKHATVRPSVVELLLEISRHCDLYLMETVLDDNSEENALSALESAGLFRTGGLMKEKVLFCSTEVGRTSFVRQLEADFHIDSSLDIVSQLSRFIRCQLFISSMEGGQLAANIFNSPSLEKFFS >KQL12833 pep chromosome:Setaria_italica_v2.0:III:1091334:1093821:-1 gene:SETIT_023022mg transcript:KQL12833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAAEQDAAVSLVRRVARALNRRVTDIVALLFNHKSAGSLGAVAGFAIAVVFAWRFMRPSQGRPRRPAPKRPPPTPAGAPESIVSDAPEPVGDSGKVTRHIVAKRLSGCRKVTCRLLGVIFEEKTPEELQKHATVRPSVVELLLEISRHCDLYLMETVLDDNSEENALSALESAGLFRTGGLMKEKVLFCSTEVGRTSFVRQLEADFHIDSSLDIVSQLSRFIRCQLFISSMEGGQLAANIFNSPSLEKFFS >KQL14491 pep chromosome:Setaria_italica_v2.0:III:11569909:11576069:1 gene:SETIT_021627mg transcript:KQL14491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMQSWRKAYGAIKDSTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKVVAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFTQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELDSQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGNLSDFYENCRGLELARNFQFPTLREELPERLLLTYKPEESEEIPEPAPVEEEKAPVEEPEPAPPVTEVVSPPPKTEVPDTGDLLGLNDPSPAGSAIEESNALALAIVPTDGTSTTGNAAFQDKGFDPTGWELALVTAPSNTTSSASSSQLGGGFDKLILDSLYDDGAYRQRQQQQLYGSAVPNPFMTNDPFAMSNQVAPPPSVQMAAMSQQHQQIPTVMQPNPFGPPMQPQMGMGPATNNPFLDAGFGPFPVANNGHQQHNPFGGTQLL >KQL14492 pep chromosome:Setaria_italica_v2.0:III:11569909:11576069:1 gene:SETIT_021627mg transcript:KQL14492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMQSWRKAYGAIKDSTTVSLANLNSDFKDLDVAIVKATNHVECPPKERHLRKVVAATSIARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREELLNFTQRGRILQLSNFKDDSSPIAWDCSAWVRTYGLFLEERLECFRVLKYDVEAERLSKQGQGPEKGHSRTRELDSQDLLEQLPALQQLLYRLVGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEALKALEIYRRAGQQAGNLSDFYENCRGLELARNFQFPTLREPPQTFLATMEEYVKEAPRMVPVREPLELPERLLLTYKPEESEEIPEPAPVEEEKAPVEEPEPAPPVTEVVSPPPKTEVPDTGDLLGLNDPSPAGSAIEESNALALAIVPTDGTSTTGNAAFQDKGFDPTGWELALVTAPSNTTSSASSSQLGGGFDKLILDSLYDDGAYRQRQQQQLYGSAVPNPFMTNDPFAMSNQVAPPPSVQMAAMSQQHQQIPTVMQPNPFGPPMQPQMGMGPATNNPFLDAGFGPFPVANNGHQQHNPFGGTQLL >KQL16329 pep chromosome:Setaria_italica_v2.0:III:37650645:37656913:-1 gene:SETIT_022772mg transcript:KQL16329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKKGQKWGMNNSNKKYADIGAGSSMEANHHFIDRKITENDFCAVSNQKDDESSNIKRPSVKTIANEEKFNGEPLDFESLYPLTRLPKEGDLIAYRLVELSSSLCPELSSYRVGKVLIYDPISLRIILLPVPEHPMVTTEENKPEGESDMFMDLSPYKEDGSLEIEYSSLLDVRLLKGIESVPGVVSTPSAEICKGGSLAGKTVTLDDNEGKIDCQKPGMVPNNTKDQEATLEKTENTVWEENVEPSNDKTDVQENGWGTWKRNASTSAWSYRALRSSALGPTMAMLRGKNSQRGKPPCRKYGK >KQL13497 pep chromosome:Setaria_italica_v2.0:III:4801490:4804090:1 gene:SETIT_024552mg transcript:KQL13497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLLVLPLAVIVLSPHLHCTGAAAATPSRFNSIFSFGNSYADTGNFVLQSAGLPAIPFNHSPYGETFFRRPTGRPSDGRLIIDFIAEALELPLLAPFLSRQQPQDLSHGANFAIVGGTALDVGFFLRHNAASVPPFRSSLRAQIAWFRRFKKRSSLCNGTTAAAAGGCGERLARSLFVVGELGSNDYGYFLAGGKSLRETKSLVPEVVKAICRGIERLVEEGARYVVVSGTLPAGCLPMALAKYGGAGEAGNATTAEYDRRTGCLRRLNGLAQYHNWMLREAVGHMRAKYPATKLVYADFYRPVARLVRRPRKFGFTEEPLRACCGGGGPYNYNTEAACGSPDATVCGDPSKYVHWDGIHLTEAAYKYIADGWLDGLYAYPSILDLAQ >KQL14043 pep chromosome:Setaria_italica_v2.0:III:8365600:8367250:-1 gene:SETIT_025368mg transcript:KQL14043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCREGRVKDAVELLTKGARTDPPTFYELAAACSNRKLLDELRKVHDFFLRSPFRGDLRINNKLLEMYAKCAAMPHARRTFDNMPDRDMESWHIMIDGYSVNGLGDEALRLFELMKECMAPTSHTYVLVLNACANSEAIEEAFLYFDAMSRDHGMEPGVEHYVGIIEVLGKSGHLNEAMEYIEKLPFEPSAMVWESVLNLARMNGDIDLEDRAEELLVSLDPSKANPKKLPTPPPKRRLGINMLDGRNKLAEYRLPPKIEKKVVNEQRYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRICGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >KQL15781 pep chromosome:Setaria_italica_v2.0:III:23686053:23696604:1 gene:SETIT_020971mg transcript:KQL15781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEEGELELEEGEAALGGADGYGNGELVDPDALTYIAVVLYNSYDDMNQFLLSNQCGEATQDRNASMFYSDFSVEFIFIYNNSKLLGHFCKKFEGAITPENLGSLYGGHGSFLPAHPHSPLAFPQCRIPADPPNHSSASRSPYLPTETAQKNHFIKTELDSSRKNDYYQISDECNGSLSQQMLNGAVSGSEQKALKIRIKVNNNGSLARNTAAIYSGLGLDISPSSSTEDNLDGTDGAPVPEVLPDESPHTIFEIMTCHFIPGGHLLSPLTGNVLELRQKPKAMVKHEAPEFHDDKAELHRDRGHTTSATPDINDKSGKEIKSDEKKDRVPNFKSSKCRVNKPSAVNKGTKPQLQYVSHDTASNFLPTIIKTQHSVEESENFTGEISSDQMQGLKKGPLKGHISDQNKDSKKKPSLDHGFSCKISYDSEEYNNQPSTSSSHLKDIPSKTSLLERDKEKVVHIKEELSQYKSKEIGRLFSAESMNIMTGNVHRNSSGLIKGKKKIKFKAQKQLNEDRDRKSCGEDEAYALGHRIDLANSYPEDKSVKIEKKTISSGETGNQAEVGNGGDLKIYPLFDNKSDPLPLVCRNGTTESSTALTTPAPIVINEQWVCCDKCENWRLLPYGMNPDILPKKWRCSMQSWLPGMNSCKITEDETTRALRALYMVPAPENNIKDGGHVNATSGIGAATASTLKGNMQSISTSGKLKGSHDGANVANTSDLADVSKPSKKPHAPSSRKPEGVDCFPKLKEKRKIEELSDKGEPPKSHQMRSSVGVDHDNLRASKKMKKESKHQPFEYEISKCSPPANLTLKNMQKRRHISPSSGKHSHGENKGFSDGVIKTSDTENSGLSDLSIKKRKLKQRQSSQHDLDLGHSNTDINAKQNITETNVVKKKPRPELKLSKTGRTAAHSKGTDAGTDDDRISAHNECVSEQRQENTRLQYPLLSESSTRWNVCHAPTSTAATSSSSKVSNSHKCKADFQETRTSPVGSVSSSPLRTSDMDRHRIYSQSIAENVHSQESGKKSSSCSNRNYDLGSDPNQAKSHVSGFLNRGTGHHIKNDKDLLRDKQDLTNACLINKGSGLSIKNVQLNPEHKVNTDALPLHDNRGHKQPTGRQNEKTLPHFDSNLSDEANLTYGNVKPDKGNIPHNDLKINSSTVKGSKQQPSLNNASNGDASYKAKQIEKSVVENLETRKQVTLGGDASDVPNASVLLKEARDLKHLSKRLKAKGDDFESTSMCFEAGLKFLHVASIWEDPPVDSSKQGDSVQAMNLYSQTGNLCGFCASEFERLKKAANAALAYKCVEVAYMKAAFFKHPGAMKDRHALQAASLMVPPAESPSSSASDIDNLNNQSTVAKAVSARGVYSPQIASNPISRNNHHLMGLLSYADDMNSAFEGTRKSQNSCSAYLSGIGKNKVNGALVKKVLDFSFRDVKGLLQLIRHSLESINHE >KQL15780 pep chromosome:Setaria_italica_v2.0:III:23686053:23696604:1 gene:SETIT_020971mg transcript:KQL15780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYEEGELELEEGEAALGGADGYGNGELVDPDALTYIAVVLYNSYDDMNQFLLSNQCGEATQDRNASMFYSDFSVEFIFIYNNSKLLGHFCKKFEGAITPENLGSLYGGHGSFLPAHPHSPLAFPQCRIPADPPNHSSASRSPYLPTETAQKNHFIKTELDSSRKNDYYQISDECNGSLSQQMLNGAVSGSEQKALKIRIKVNNNGSLARNTAAIYSGLGLDISPSSSTEDNLDGTDGAPVPEVLPDESPHTIFEIMTCHFIPGGHLLSPLTGNVLELRQKPKAMVKHEAPEFHDDKAELHRDRGHTTSATPDINDKSGKEIKSDEKKDRVPNFKSSKCRVNKPSAVNKGTKPQLQYVSHDTASNFLPTIIKTQHSVEESENFTGEISSDQMQGLKKGPLKGHISDQNKDSKKKPSLDHGFSCKISYDSEEYNNQPSTSSSHLKDIPSKTSLLERDKEKVVHIKEELSQYKSKEIGRLFSAESMNIMTGNVHRNSSGLIKGKKKIKFKAQKQLNEDRDRKSCGEDEAYALGHRIDLANSYPEDKSVKIEKKTISSGETGNQAEVGNGGDLKIYPLFDNKSDPLPLVCRNGTTESSTALTTPAPIVINEQWVCCDKCENWRLLPYGMNPDILPKKWRCSMQSWLPGMNSCKITEDETTRALRALYMVPAPENNIKDGGHVNATSGIGAATASTLKGNMQSISTSGKLKGSHDGANVANTSDLADVSKPSKKPHAPSSRKPEGVDCFPKLKEKRKIEELSDKGEPPKSHQMRSSVGVDHDNLRASKKMKKESKHQPFEYEISKCSPPANLTLKNMQKRRHISPSSGKHSHGENKGFSDGVIKTSDTENSGLSDLSIKKRKLKQRQSSQHDLDLGHSNTDINAKQNITETNVVKKKPRPELKLSKTGRTAAHSKGTDAGTDDDRISAHNECVSEQRQENTRLQYPLLSESSTRWNVCHAPTSTAATSSSSKVSNSHKCKADFQETRTSPVGSVSSSPLRTSDMDRHRIYSQSIAENVHSQESGKKSSSCSNRNYDLGSDPNQAKSHVSGFLNRGTGHHIKNDKDLLRDKQDLTNACLINKGSGLSIKNVQLNPEHKVNTDALPLHDNRGHKQPTGRQNEKTLPHFDSNLSDEANLTYGNVKPDKGNIPHNDLKINSSTVKGSKQQPSLNNASNGDASYKAKQIEKSVVENLETRKQVTLGGDASDVPNASVLLKEARDLKHLSKRLKAKGDDFESTSMCFEAGLKFLHVASIWEDPPVDSSKQGDSVQAMNLYSQTGNLCGFCASEFERLKKAANAALAYKCVEVAYMKAAFFKHPGAMKDRHALQAASLMVPPAESPSSSASDIDNLNNQSTVAKAVSARGVYSPQIASNPISRNNHHLMGLLSYADDMNSAFEGTRKSQNSCSAYLSGIGKNKVNGALVKKVLDFSFRDVKGLLQLIRHSLESINHE >KQL15979 pep chromosome:Setaria_italica_v2.0:III:26499299:26499774:-1 gene:SETIT_023904mg transcript:KQL15979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQPAKLMRELGQRLHKLSHTNVALWLASAGATSHSMTGKALKQHPPNQCLQPGSRATCKSMITCDPTT >KQL14133 pep chromosome:Setaria_italica_v2.0:III:9059875:9061197:-1 gene:SETIT_022676mg transcript:KQL14133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSNDWDLQAVVRSCGTAACSGSGSEAAPPPRREEDRRVVLVGRAAVAAAPEFLVGRPVRPPAALGDLDYLDLEHELPRAPFSITPSSERGPLDHEVLLSFPAASTSGQQLLQPRKQPGRKPGVRTPRPKRSKKSQLKKVVCEVPVADGGVSTDLWAWRKYGQKPIKGSPYPRGYYKCSSLKACMARKLVERSPAKPGVLVVTYIAEHCHAVPTMLNALAGTTRHRPASPDGDGHQASHGASDEASAGRREEDSADASSMTVDGGGGAETADDENEPWQQADMALDDYPLDLDDLLGPFEDGFDRFFEDDDGVLERRVSL >KQL15457 pep chromosome:Setaria_italica_v2.0:III:20386300:20390828:1 gene:SETIT_022760mg transcript:KQL15457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQRIFGASGMGQPPSDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAVKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KQL12651 pep chromosome:Setaria_italica_v2.0:III:201204:202470:1 gene:SETIT_024516mg transcript:KQL12651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGEQKSEKLRGYGHLYSLSLAHFKSAAIKCAVELGIPTAIKRCGRTATISDLIKEIGLVPAKAPYLSRLLRFLAFFGLFEEDEEEFASAKSKTVYKLTPTSRLLVQEADNDSTCDMSHMLLLFTRPSTTVSTFFDVERWIRDPTSTKTVFEAAHGTSTWLVLHSWDDASCIKILKCCKEAIPPMGGKVFNINTVLGHRGETSKHETEAQLLLDLYMMRGHGFERDERQWKTIFLEVGFANYTVIPLQDPLAMIVLHPSPLVVEMTVKVTGTGGAKEDSFLSTDEAEAAP >KQL15322 pep chromosome:Setaria_italica_v2.0:III:18892235:18893741:1 gene:SETIT_024109mg transcript:KQL15322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTERATWNYMYEKGLVDILKELANIPTFKGQNGWTTEGWRNITNIFNDMFPTIHFTKKQVQEMEKELKGNYKIIKEARKSGVGWNNTLDMIIAEPKGWEKLIKIRITTNVKFCKKPFPLYNNLELLYEGNAFILLFITSILILPPPRRTELRPEPAPKSSELPAEPNPQTSISEQSNHSMASIGIIPLSFDLGGVESIEVQSAPASRNLEDQNVTGGKKCKQSQMAAKLRDYIDFRKDQIEKTLEKLEEKKRREEDYSIEKCIDIVDTMEELSDEQKVDANEVFQSETNRKILVGTKNPSVRLIWLKKKIVMNQ >KQL12981 pep chromosome:Setaria_italica_v2.0:III:1925167:1926696:-1 gene:SETIT_023330mg transcript:KQL12981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCDTAAAVPVAAPRYRGVRKRPWGRFAAEIRDPAKRARVWLGTFDSAEAAARAYDVAARTLRGPLARTNFPSAASRLPLASRPVAPAPAAPTCSSSSTVESSSGPRGAQPRAAAGTTAVAPRSSRRLVKPRPPRKAAPEADCHSDCASSASVVDDGDDASTVRSRAPLGLDLNLPAPVDEDHGLQLCTELRL >KQL13422 pep chromosome:Setaria_italica_v2.0:III:4387324:4390024:1 gene:SETIT_023121mg transcript:KQL13422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAAAARRGAAAWAASAFHSSAAALSKSTPHIRFAVREKRRDAKSALKNILLNGSPCQESINKQMRKQKGSGRPKVQHSCPGKNLYGKNKRGQNWKSFDDDECTDTPYGTFGGKRSFTWYWPGENDELGSSPSGFQWRDESQSAKSRKKFLNESDLDEEEVSGSGHDDLRSYRISLGLPILGPLKLAHIKAAFRASALKWHPDKHQGPSQAEAEEKFRRCVEAYNVLTCAFKSSG >KQL16356 pep chromosome:Setaria_italica_v2.0:III:38187152:38187679:-1 gene:SETIT_024751mg transcript:KQL16356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQDAAEAAWPPWTSLLLRALSRRRTWVALFLAVYAALLSSSWSLLASVRAWYYSASSAAAATTAASSSALPAWPAALYASVMYGAVFGLLSMGAALAVAAPAMLVTWITVLVLLAFAGRPRRSLVAEGRRATRDIAGLALRVLLREGNAVAALCAAASFAALLLGRRDDDDSP >KQL14565 pep chromosome:Setaria_italica_v2.0:III:12010303:12017705:1 gene:SETIT_021395mg transcript:KQL14565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGTFQNLLLAPLLCLALASSVGGEASSSRRFWIENDTFMKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNAIQTYVPWNLHEPEPQSWEFKGFADIESYLRLAQELDMLVMLRVGPYICGEWDLGGFPPWLLAIEPALKLRSSDSTYLSLVERWWGVLLPKVAPLLYNNGGPVIMVQIENEFGSFGDDKNYLHYLVQLARRYLGNNIVLYTTDGGAIGNLKNGSIPQDDVFAAVDFGTGSNPWPIFRLQKKYNLPGKSAPLSSEFYTGWLTHWGESIATTDAASTAKALKTILCHNGSAVLYMAHGGTNFGFYNGANTGQDESDYKADLTSYDYDAPIKEHGDVHNSKYEALRRVIHKCTRTPLHPLPSDIEKANYGLVKLQKVASLFDIIDNISDPLKGAVSEHPLYMEQIGQMFGFLLYMSEYQGKLPSSILSIPKVHDRAQVFLSCSTDGIRNPRYAGIIERWSSKTLEIPNLRCSSNTSLYILVENMGRVNYGPYIFDRKGILSPIQIDGITLRHWKMYPLTFSSLDNLPKLQLITQMPDVRDSKVSIIHGDSEKKLQESSFYSNEPEFYEGHFHIDSESEIKDTFISFRGWNKGVAFVNNFNIGRFWPAWGPQCALYVPAPILRPGDNTIVCTLFLLWRYAFFFPAFSVCFSK >KQL14566 pep chromosome:Setaria_italica_v2.0:III:12010303:12018241:1 gene:SETIT_021395mg transcript:KQL14566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGTFQNLLLAPLLCLALASSVGGEASSSRRFWIENDTFMKDGAPFQIVGGDVHYFRIVPEYWKDRLLRAKALGLNAIQTYVPWNLHEPEPQSWEFKGFADIESYLRLAQELDMLVMLRVGPYICGEWDLGGFPPWLLAIEPALKLRSSDSTYLSLVERWWGVLLPKVAPLLYNNGGPVIMVQIENEFGSFGDDKNYLHYLVQLARRYLGNNIVLYTTDGGAIGNLKNGSIPQDDVFAAVDFGTGSNPWPIFRLQKKYNLPGKSAPLSSEFYTGWLTHWGESIATTDAASTAKALKTILCHNGSAVLYMAHGGTNFGFYNGANTGQDESDYKADLTSYDYDAPIKEHGDVHNSKYEALRRVIHKCTRTPLHPLPSDIEKANYGLVKLQKVASLFDIIDNISDPLKGAVSEHPLYMEQIGQMFGFLLYMSEYQGKLPSSILSIPKVHDRAQVFLSCSTDGIRNPRYAGIIERWSSKTLEIPNLRCSSNTSLYILVENMGRVNYGPYIFDRKGILSPIQIDGITLRHWKMYPLTFSSLDNLPKLQLITQMPDVRDSKVSIIHGDSEKKLQESSFYSNEPEFYEGHFHIDSESEIKDTFISFRGWNKGVAFVNNFNIGRFWPAWGPQCALYVPAPILRPGDNTIVIFELHGPNPELTINLVTDPDFTCGPNQ >KQL17239 pep chromosome:Setaria_italica_v2.0:III:49161839:49162604:-1 gene:SETIT_024475mg transcript:KQL17239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGLHRGAHLRAPPSKKTREETPPPACQQLQQLLPDEVIEDIFIRLPARSAARCRCLSRSWAAVLSSRALSRHLAAAANNRLSFPAALSAWSREHPDAEPLTRFSCRGLGLLRSVSAGVVYVCNPSTGQVASLPDGTATATGDWIDHDYACFGLGYDARAGRHKAVHVYYHGGGGGGGAGCEVYDVGGAKPPCFVVAGVLDTAGVFAQGHVYWLAAAAKAPAYDEWPPRADSVLSFSPGDETFASTALPPRAC >KQL14295 pep chromosome:Setaria_italica_v2.0:III:10210828:10213604:1 gene:SETIT_022938mg transcript:KQL14295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHDHHHHHHHHHSHGEGDGQAAGGSWVGEDGRVWHSHDGLAPHSHEPIYSPGDFTKRAPPLASRTFTERAFTVGIGGPVGTGKTALMLALCRFLRDKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSSLCKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLAVMERDALRMREGGPFVFAQVKHGVGVEEIVNHVLQAWEIATGNKRR >KQL15812 pep chromosome:Setaria_italica_v2.0:III:24164486:24166783:1 gene:SETIT_023342mg transcript:KQL15812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSRRKLKRSRAKVQVGLPRKKPREFKPAFELPEALAAAAAAEGGAGWDAEGSVVKNYAAFGVVANPNLLGAHARGTPRLVQSAPLQAPDIAAARAPIPEFEPIDTGSDLENDDLKSALGKKRKDGKSAPLQPLTKIQRVCIGRLIEKYGDDFKAMFMDTKLNAMQHSVGTLKKLCERYHADGKTFVYPL >KQL16912 pep chromosome:Setaria_italica_v2.0:III:46641677:46644160:1 gene:SETIT_024378mg transcript:KQL16912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGGGGVLVTLPSVTLTRQRPGPHPPYTVDDFPRFSCGYREQNHVLFRNPDIELRGPSPIRLYPAFKYAKHVFGSDYNLGDKSEISISDIKECSTQCHCLPMFLLQFIDIKIAGYCNTWPGPAKIFGFVAARDTIEPLRNYVYRREVNNCEDVPGMARLSLSSPARVILMVSRALIEFELRVRTEGRPDEDEPKGDCLIEGCTEFTNMLASDSFIEHQRLYGDNCALDVKFAVLINAVEARIDVEVLRLGAIASGINLNVYAKTSGFSEVIRLFQDAAPKPGVVMNFVVAVETHSYLDLYIEGSPGPGVNPVLGRKEKKPVSHSWWKCSFGSAYHGMDKEVAELGEFAVVSVNVNWKSYRKKESLRV >KQL13820 pep chromosome:Setaria_italica_v2.0:III:6976532:6977552:1 gene:SETIT_025378mg transcript:KQL13820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIWHRLFQLLLEAQLLLLPLPPWPIKLGSAPSCHRNPAACDGLPNLNWRPRTAGAAGTPPLPRAARAAHAPPAPP >KQL13525 pep chromosome:Setaria_italica_v2.0:III:5013913:5018901:1 gene:SETIT_021486mg transcript:KQL13525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPTTPPLSPSAFPPHSPLPPSHFPFNARPPRCLLGWTPSTPSPPSDTPSPPSSGGGGNRSPSPPSSGGSGGRSPSGHSPPKSHNSGGGGGGGGSGPSTSLVVGVAVGGFVLLLLASFICLCCLRKKRRRAPQPPPHYVYPPPHQPPYKEDPYGGTYHQSWQQQNAPPPPPEHVVKMHPSPPPAYANRPPQAPPPPPPAMLNSSGGSGSNYSGGEILPPPSPGTALGFSKSTFTYEELVRATDGFSDANLLGQGGFGYVHRGLLPNGKEIAVKQLKLGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGGKRLLVYEFVPNNTLEFHLHGKDRPTMEWPTRLKIALGAAKGLAYLHEDCHPKIIHRDIKSSNILLDFKFEAKVADFGLAKFTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDTTQTYMDDSLVDWARPLLMRALEDGDYDELVDPRLGKDFSPNEIARMIACAAACVRHSARRRPRMSQVVRALEGDVSLEDLNEGVRPGHSRFFGSYSSSDYDSGQYNEDMKKFRKMAFNNNNYTSSQYSAPTSEYGQIPSASSSEGQQTQEMESGAMKKSGYSSGYSGAS >KQL17207 pep chromosome:Setaria_italica_v2.0:III:48953508:48953896:-1 gene:SETIT_0233081mg transcript:KQL17207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHIAPADHVCYVHCNFCNTVLA >KQL13104 pep chromosome:Setaria_italica_v2.0:III:2621549:2624182:1 gene:SETIT_023268mg transcript:KQL13104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKDKHGESDKGLFSNIMHGVGGGHGYPHQGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGHGGSHGGSHGGLGMGTVLAGGAAAAAAAYGAHKLSHGHSGHGGHGVFGGYGHGGHGFGGHGKFKHGHGHHGKFKHGHGKFKHGKHGHGMFGGKFKKWK >KQL13106 pep chromosome:Setaria_italica_v2.0:III:2622336:2624182:1 gene:SETIT_023268mg transcript:KQL13106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLQVAWETMGGGKDKHGESDKGLFSNIMHGVGGGHGYPHQGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPHGGYPPAGYPGSSHQGHGGSHGGSHGGLGMGTVLAGGAAAAAAAYGAHKLSHGHSGHGGHGVFGGYGHGGHGFGGHGKFKHGHGHHGKFKHGHGKFKHGKHGHGMFGGKFKKWK >KQL13105 pep chromosome:Setaria_italica_v2.0:III:2621549:2624182:1 gene:SETIT_023268mg transcript:KQL13105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKDKHGESDKGLFSNIMHGVGGGHGYPHQGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPHGGYPPAGYPGSSHQGHGGSHGGSHGGLGMGTVLAGGAAAAAAAYGAHKLSHGHSGHGGHGVFGGYGHGGHGFGGHGKFKHGHGHHGKFKHGHGKFKHGKHGHGMFGGKFKKWK >KQL13103 pep chromosome:Setaria_italica_v2.0:III:2622784:2623218:1 gene:SETIT_023268mg transcript:KQL13103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKDKHGESDKGLFSNIMHGVGGGHGYPHQGYPPQGYPPPPGAYPPPPGAYPPPPGAYPPPPGAYPPQHGYPQPGGYPPHGGYPPAGYPGSSHQGMNCSSILCLAFKSTESSEWIAIAFLLNSIKYLCFFCFQIKNGIGSLH >KQL16075 pep chromosome:Setaria_italica_v2.0:III:28280339:28289776:1 gene:SETIT_020989mg transcript:KQL16075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGQHVRYCEVLVACARVCWPLSGFYPRCGHPGQDRPENFNYLLRKLQLLGALKSKNVLPAYILLDSLTMNIQNVISTGYQLDRSRLLGVLVSTQPCSSSAFNIFGAGKGSCWVPFDMFMENAMDGRHLHAISSVEFLTELSKTFKVLNRATWQETFQALWISALRLVQQGPAALEGPFPHLYSRLCMLLAIIPLSIATIVNEEVDKLDGGMVAAIKGELVSSLQILVQFSGLLSPPPAAMHLANSAARKAAVVLSNLKSGNENMYSYSKDSSSIKTVGNMIHLIVEACIARNLVDTSAYFWPGYVVALEESSQAQESPWSSLIEGSPLMELKDALMVTPASSVAELEKLYSFALSGSEEEKLVASKVLCGASLLRGWNIQEHVVQMVLKLLSTFLPLDSRSDERYLQHMPMLHALILGISSVDAVHILSMYGLVPEVAAMLMPLCEIFGSLPASGHRSCNFEEASVYSVFSCAFLSLLRLWKFHRPPIENALSRRGVSVWSEPRLDFLLLLRNSRSALKNLSNVSKSSIFQLDPSLQKPVYIDSFPKLRAWYLQNQACIASTLSSAYNRTNVLQVANIILKIICRKISKGGVLSVNPQSTSNSSMSSPLPGVQEDECQWPTVPAWEVLEAVPFVLEAVLTACAHGRLSSRDLVTGLRDLADFLPASLAAIVSYFSAEITRGIWKPVMLNGTDWPSPAATLPVVEFEINEVLASAGVNINISSQPRSVMPMLPLPIAALISLSITVKMEKFNHLHGIISQGIETCATSSSWPSMQIIGALWSQKVQHWHDFIILTCSQSPFTRDNTAVAQLIRSCFTSFLGPLIDGRSCYIENRGVTNLLGRTLDERTQLVIAPGFLYIRSCRLFPDNNFVCEEILKLVIERARALANDCISERPAHLRSDCMPLSVSSSLVEQMSSLAATMLCHAGGVTLIRLLYEHILPTLLLSAGEDKLGSAGHVCSLFEGYALAYVLIWSGASIWGVGETSPAYTSIYTSKRQRIVDRHLEFMVKVMEGNIVLGCGETTWRSYVLCFVGLLVDFVPAWILEVKLETLQKLASGLRRKWHKGDLALALLERGGSKTVTSVVESLM >KQL16073 pep chromosome:Setaria_italica_v2.0:III:28278037:28289776:1 gene:SETIT_020989mg transcript:KQL16073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAADLERRVMAAVKASAARGDPPLLQAAEASRCAREAAASASASASCGLALAEALVANLCFAHNTGAMWKLLDQAMSSRLVHPLHTLALLTPRVVPNRREQPEAYRLYLELLGRYAVAPVYPECTERKSILAKSIDDAMQLAHRYGFQHLDFGHAVILFVLGLVEMLIDCILDDYGLLNISSHEHDNIYTKNMDFDGKGILLDRGSEHREHLRRKNILMSIEVVEKATANKIAQVFLRLVYLNTPENFNYLLRKLQLLGALKSKNVLPAYILLDSLTMNIQNVISTGYQLDRSRLLGVLVSTQPCSSSAFNIFGAGKGSCWVPFDMFMENAMDGRHLHAISSVEFLTELSKTFKVLNRATWQETFQALWISALRLGPAALEGPFPHLYSRLCMLLAIIPLSIATIVNEEVDKLDGGMVAAIKGELVSSLQILVQFSGLLSPPPAAMHLANSAARKAAVVLSNLKSGNENMYSYSKDSSSIKTVGNMIHLIVEACIARNLVDTSAYFWPGYVVALEESSQAQESPWSSLIEGSPLMELKDALMVTPASSVAELEKLYSFALSGSEEEKLVASKVLCGASLLRGWNIQEHVVQMVLKLLSTFLPLDSRSDERYLQHMPMLHALILGISSVDAVHILSMYGLVPEVAAMLMPLCEIFGSLPASGHRSCNFEEASVYSVFSCAFLSLLRLWKFHRPPIENALSRRGVSVWSEPRLDFLLLLRNSRSALKNLSNVSKSSIFQLDPSLQKPVYIDSFPKLRAWYLQNQACIASTLSSAYNRTNVLQVANIILKIICRKISKGGVLSVNPQSTSNSSMSSPLPGVQEDECQWPTVPAWEVLEAVPFVLEAVLTACAHGRLSSRDLVTGLRDLADFLPASLAAIVSYFSAEITRGIWKPVMLNGTDWPSPAATLPVVEFEINEVLASAGVNINISSQPRSVMPMLPLPIAALISLSITVKMEKFNHLHGIISQGIETCATSSSWPSMQIIGALWSQKVQHWHDFIILTCSQSPFTRDNTAVAQLIRSCFTSFLGPLIDGRSCYIENRGVTNLLGRTLDERTQLVIAPGFLYIRSCRLFPDNNFVCEEILKLVIERARALANDCISERPAHLRSDCMPLSVSSSLVEQMSSLAATMLCHAGGVTLIRLLYEHILPTLLLSAGEDKLGSAGHVCSLFEGYALAYVLIWSGASIWGVGETSPAYTSIYTSKRQRIVDRHLEFMVKVMEGNIVLGCGETTWRSYVLCFVGLLVDFVPAWILEVKLETLQKLASGLRRKWHKGDLALALLERGGSKTVTSVVESLM >KQL16074 pep chromosome:Setaria_italica_v2.0:III:28279980:28289776:1 gene:SETIT_020989mg transcript:KQL16074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQNVISTGYQLDRSRLLGVLVSTQPCSSSAFNIFGAGKGSCWVPFDMFMENAMDGRHLHAISSVEFLTELSKTFKVLNRATWQETFQALWISALRLVQQGPAALEGPFPHLYSRLCMLLAIIPLSIATIVNEEVDKLDGGMVAAIKGELVSSLQILVQFSGLLSPPPAAMHLANSAARKAAVVLSNLKSGNENMYSYSKDSSSIKTVGNMIHLIVEACIARNLVDTSAYFWPGYVVALEESSQAQESPWSSLIEGSPLMELKDALMVTPASSVAELEKLYSFALSGSEEEKLVASKVLCGASLLRGWNIQEHVVQMVLKLLSTFLPLDSRSDERYLQHMPMLHALILGISSVDAVHILSMYGLVPEVAAMLMPLCEIFGSLPASGHRSCNFEEASVYSVFSCAFLSLLRLWKFHRPPIENALSRRGVSVWSEPRLDFLLLLRNSRSALKNLSNVSKSSIFQLDPSLQKPVYIDSFPKLRAWYLQNQACIASTLSSAYNRTNVLQVANIILKIICRKISKGGVLSVNPQSTSNSSMSSPLPGVQEDECQWPTVPAWEVLEAVPFVLEAVLTACAHGRLSSRDLVTGLRDLADFLPASLAAIVSYFSAEITRGIWKPVMLNGTDWPSPAATLPVVEFEINEVLASAGVNINISSQPRSVMPMLPLPIAALISLSITVKMEKFNHLHGIISQGIETCATSSSWPSMQIIGALWSQKVQHWHDFIILTCSQSPFTRDNTAVAQLIRSCFTSFLGPLIDGRSCYIENRGVTNLLGRTLDERTQLVIAPGFLYIRSCRLFPDNNFVCEEILKLVIERARALANDCISERPAHLRSDCMPLSVSSSLVEQMSSLAATMLCHAGGVTLIRLLYEHILPTLLLSAGEDKLGSAGHVCSLFEGYALAYVLIWSGASIWGVGETSPAYTSIYTSKRQRIVDRHLEFMVKVMEGNIVLGCGETTWRSYVLCFVGLLVDFVPAWILEVKLETLQKLASGLRRKWHKGDLALALLERGGSKTVTSVVESLM >KQL16072 pep chromosome:Setaria_italica_v2.0:III:28278033:28290103:1 gene:SETIT_020989mg transcript:KQL16072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAADLERRVMAAVKASAARGDPPLLQAAEASRCAREAAASASASASCGLALAEALVANLCFAHNTGAMWKLLDQAMSSRLVHPLHTLALLTPRVVPNRREQPEAYRLYLELLGRYAVAPVYPECTERKSILAKSIDDAMQLAHRYGFQHLDFGHAVILFVLGLVEMLIDCILDDYGLLNISSHEHDNIYTKNMDFDGKGILLDRGSEHREHLRRKNILMSIEVVEKATANKIAQVFLRLVYLNTPENFNYLLRKLQLLGALKSKNVLPAYILLDSLTMNIQNVISTGYQLDRSRLLGVLVSTQPCSSSAFNIFGAGKGSCWVPFDMFMENAMDGRHLHAISSVEFLTELSKTFKVLNRATWQETFQALWISALRLVQQGPAALEGPFPHLYSRLCMLLAIIPLSIATIVNEEVDKLDGGMVAAIKGELVSSLQILVQFSGLLSPPPAAMHLANSAARKAAVVLSNLKSGNENMYSYSKDSSSIKTVGNMIHLIVEACIARNLVDTSAYFWPGYVVALEESSQAQESPWSSLIEGSPLMELKDALMVTPASSVAELEKLYSFALSGSEEEKLVASKVLCGASLLRGWNIQEHVVQMVLKLLSTFLPLDSRSDERYLQHMPMLHALILGISSVDAVHILSMYGLVPEVAAMLMPLCEIFGSLPASGHRSCNFEEASVYSVFSCAFLSLLRLWKFHRPPIENALSRRGVSVWSEPRLDFLLLLRNSRSALKNLSNVSKSSIFQLDPSLQKPVYIDSFPKLRAWYLQNQACIASTLSSAYNRTNVLQVANIILKIICRKISKGGVLSVNPQSTSNSSMSSPLPGVQEDECQWPTVPAWEVLEAVPFVLEAVLTACAHGRLSSRDLVTGLRDLADFLPASLAAIVSYFSAEITRGIWKPVMLNGTDWPSPAATLPVVEFEINEVLASAGVNINISSQPRSVMPMLPLPIAALISLSITVKMEKFNHLHGIISQGIETCATSSSWPSMQIIGALWSQKVQHWHDFIILTCSQSPFTRDNTAVAQLIRSCFTSFLGPLIDGRSCYIENRGVTNLLGRTLDERTQLVIAPGFLYIRSCRLFPDNNFVCEEILKLVIERARALANDCISERPAHLRSDCMPLSVSSSLVEQMSSLAATMLCHAGGVTLIRLLYEHILPTLLLSAGEDKLGSAGHVCSLFEGYALAYVLIWSGASIWGVGETSPAYTSIYTSKRQRIVDRHLEFMVKVMEGNIVLGCGETTWRSYVLCFVGLLVDFVPAWILEVKLETLQKLASGLRRKWHKGDLALALLERGGSKTVTSVVESLM >KQL14887 pep chromosome:Setaria_italica_v2.0:III:14616612:14618442:-1 gene:SETIT_023441mg transcript:KQL14887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRENCTTIPRRSVERSRGREKGRRVLESSLSAAEPAHQPGMDAKNVGNEQEAQQKLDAVTGSVPRPNQETLKQFADSDAGNISPANGQEADVNMEAAISTEDVMRAGGFGAKDDIGSLLPTAIDSTDFEASLRDARDFEGEKEAPSHPGLGWKGEKADDGSKPSDVPQQLP >KQL16138 pep chromosome:Setaria_italica_v2.0:III:30614877:30618605:1 gene:SETIT_021204mg transcript:KQL16138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMPFCWHRSPAQAPENHIAINGTVVVSCHFGLLVPGKTTTLRLFSSTQIDHNTGKGRLSAEAPLRGGKKTKHGPGKTSTMTYQVTLFVDTEFGTPGAIVVKNGLKNDQFFLRYVQLELAEDRSIHFECNSWVYPYKKTNSDRVFFINTSYLPEKTPDALRLLREEELRSLRGNGRGERKDWERIYDYNYYNDLGNPDKEDHIRPVLGGTATYPYPRRCRTGRALFKKDGMTETRKHMINLDFYIPPDERFNPTKLAEVLTLAVQAVTHFVIPESKALFQGNINNFKSFDQLRRDLYSKPQQPVVEGVVMDKLKTTVPSQKTYKQVSRMVKETPVRFPIPQVIEHDQEAWRTDEEFAREMLAGLNPVVIKRLNVFPPVSSGGKKSSITPARIEGQLEGRTVEKAIEQNRLYILDHHDYLMPYLRRINTLGVCIYASRTLLFLKDDGTLKPVVIELSLPSDGAGDDDISRIFLPASQGMDGHLWQLAKAHVSVNDSGYHQLISHWLFTHATVEPFIIATKRQLSAMHPINKLLEPHFKDNMQINTLARSILLSAGGILERTMYPGKYAMEMSSAIYSEWRFTEQSLPNELIKRGMASKDPSGGVTLHIEDYPYAVDGLDVWRAIEGWVQSYCAHFYHSDAAVVGDKELQAWWDDVRLVGHGDRQHDPACWLKLDTVAHLVETLSTLIWIASALHAAVNFGQYGYAGFMPNRPTRCRRFVPLPGSPEMLQLEADPEKFFLEMVPDRFTTTLGLALIEVLSNHTSDELYLGQRATSSWTDDGEVLQLLDRFREELRRVEKQVAERNRDPRLKNRRGPAKVPYTLLFPDVGNVGGKEKGITGKGIPNSVSI >KQL13995 pep chromosome:Setaria_italica_v2.0:III:8119796:8122328:-1 gene:SETIT_025481mg transcript:KQL13995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLSEVKVAVFYGGVHIRKHKDLLKNECPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KQL13994 pep chromosome:Setaria_italica_v2.0:III:8119469:8121576:-1 gene:SETIT_025481mg transcript:KQL13994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KQL13993 pep chromosome:Setaria_italica_v2.0:III:8119469:8120259:-1 gene:SETIT_025481mg transcript:KQL13993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVRNTHCRLVGLDVLAQRDLQLPLFPLPQILMFLTKCKKGSRWT >KQL13996 pep chromosome:Setaria_italica_v2.0:III:8119469:8124129:-1 gene:SETIT_025481mg transcript:KQL13996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGEAKENDVYEEELLDYEEDDDKTVDGSAAKPTGEVAKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLSEVKVAVFYGGVHIRKHKDLLKNECPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KQL13998 pep chromosome:Setaria_italica_v2.0:III:8119796:8122328:-1 gene:SETIT_025481mg transcript:KQL13998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLSEVKVAVFYGGVHIRKHKDLLKNECPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KQL13997 pep chromosome:Setaria_italica_v2.0:III:8119469:8124135:-1 gene:SETIT_025481mg transcript:KQL13997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGEAKENDVYEEELLDYEEDDDKTVDGSAAKPTGEVAKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSSLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLSEVKVAVFYGGVHIRKHKDLLKNECPHIVVGTPGRILALAREKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KQL16740 pep chromosome:Setaria_italica_v2.0:III:44554961:44555231:-1 gene:SETIT_024369mg transcript:KQL16740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALSAFLGEIANRSVSFFIENLFKENLHCKLLRVCNIIEEAEGRQIRNQAMLDQLKVLQGVMYRGYYVLDAFKYRAY >KQL16597 pep chromosome:Setaria_italica_v2.0:III:42807455:42807953:1 gene:SETIT_024195mg transcript:KQL16597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLVGLGARDAISKRASACAPVRASIRCIGSPSGPRDTRWERVLTEKQLGTVPTQFISPSPTLSIREHAPSHTLTVPTCSSVAAARPPHLPLCCLLRLRPLSPHLGESTCSGHRGCHIRPSLAADEGALPRVPPTP >KQL14044 pep chromosome:Setaria_italica_v2.0:III:8368149:8372196:-1 gene:SETIT_021800mg transcript:KQL14044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGEILRAELSSRTPPFGLRLWIVIGICIWVVIFFILGFMCFWSIYRRKPKKSFDNIPISQIPDVSKEIAVDEVREHAIVQNFHVQESHALAVQEKPYEKDSGKMLAHLVRSKSSDADNLSQCSSAYQCERAGSSYSGDEGSSGNARRQYSQYATVSASPLVGLPEFSHLGWGHWFTLRDLEHATNRFSKENVIGEGGYGIVYRGRLINGTDVAIKKLLNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWIHGAMRQLGVLTWEARMKVILGIAKALAYLHEAIEPKVVHRDIKSSNILIDEEFNGKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVLLLEAVTGRDPVDYSRPANEVHLVEWLKMMVGTRRAEEVVDPDMELKPATRALKRALLVALRCVDPDAEKRPTMGQVVRMLEAEDVPSREDRRSRRGHSSNADNESKASSSEFEISSDRRESGPSARFQS >KQL17082 pep chromosome:Setaria_italica_v2.0:III:48138394:48143542:-1 gene:SETIT_021902mg transcript:KQL17082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTGPASPEQPPAVEPAKAEVKVEKGAGVKDEEVVKADGAGEEGEQEEEKGGRGGGRQRRRRGGASGDGAVVMVKRELLARCMTCQLCRRLLRDATTISECLHTFCRKCIYKKLNDEDLDHCPVCKIDLGCTPVEKLRPDHNIQDVRSKVFPFKRKKVNAEEAESPITLPVKRKERSISSLVVNTPRITPAGSTGRRTRAVTRKAAALRGLGPIIADPLKKDKDNSNKQTDNSSLLDSLSKVPQTRRQVLSNGDTSSHPSGKDKASDNKDLDKAELWKPLNCLVEAASKTKPRTSAQSSAFKGDKPSESPSTEQSSRAKAREPPQKSKVEDDKKDDPEPIVLLRKKGPGRKKKHPLPSANAASSAAAIQKGKKFIPIWFSLIASFDQKGDPPLPQIPAHYLRIKDGSIPASSIQKYIMQKLSLPSESEVEISCCGQSVNPAQPVRNLVERWLRVGPVRPLQTVIGSSGGDYVMVISYGRPKSA >KQL16077 pep chromosome:Setaria_italica_v2.0:III:28342640:28343075:-1 gene:SETIT_025145mg transcript:KQL16077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSFHLYHRWDIPTMQASPTSLTSTPTMPSTTTPAQVFKGPITRSRAKELQQEVNALLCEVHLNINENYILPKSSTLLLLSFTKEDDKSTQGNDYKEEPRSNSSSSA >KQL16336 pep chromosome:Setaria_italica_v2.0:III:37848690:37858800:-1 gene:SETIT_022914mg transcript:KQL16336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVGGGGGGGGADIASLLEKAKELDQLKKDQDEVVAEINKMHKKVLATPETVDKAVDSFLLKLRGLYARAKELSESEISASTALIGLLDGLLQSGASTAQRKKMVGDQKKKRIKSDTDTARFSAVRNQLDQAANLKGEQVAARVKSDDEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESTQKKYKLPMSCIIPFPKKGDPSSAPDFGHGRQVLAVYPGTTALYRATVASHRKRKSDDYILEFDDDEEDGSLPQRAVPFYRVVALPEGHRQ >KQL16338 pep chromosome:Setaria_italica_v2.0:III:37848978:37858576:-1 gene:SETIT_022914mg transcript:KQL16338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVGGGGGGGGADIASLLEKAKELDQLKKDQDEVVAEINKMHKKVLATPETVDKAVDSFLLKLRGLYARAKELSESEISASTALIGLLDGLLQSGASTAQRKKMEVGDQKKKRIKSDTDTARFSAVRNQLDQAANLKGEQVAARVKSDDEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESTQKKYKLPMSCIIPFPKKGDPSSAPDFGHGRQVLAVYPGTTALYRATVASHRKRKSDDYILEFDDDEEDGSLPQRAVPFYRVVALPEGHRQ >KQL16337 pep chromosome:Setaria_italica_v2.0:III:37848978:37858576:-1 gene:SETIT_022914mg transcript:KQL16337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVGGGGGGGGADIASLLEKAKELDQLKKDQDEVVAEINKMHKKVLATPETVDKAVDSFLLKLRGLYARAKELSESEISASTALIGLLDGLLQSGASTAQRKKMEVGDQKKKRIKSDTDTARFSAVRNQLDQAANLKGEQVAARVKSDDEKDEWFVVKVIHFDKETKEYEVLDEEPGDDEESTQKYERKYKLPMSCIIPFPKKGDPSSAPDFGHGRQVLAVYPGTTALYRATVASHRKRKSDDYILEFDDDEEDGSLPQRAVPFYRVVALPEGHRQ >KQL13768 pep chromosome:Setaria_italica_v2.0:III:6625349:6630092:-1 gene:SETIT_022344mg transcript:KQL13768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRRLGYGYGRGRRAAAALLLLLLCLCLSSAFLILLHGSSAPLEEKAAAGARVAEATAEVEEAPLPPGNTKVAFLFIARNRLPLELVWDAFFRGDKEGKFSIFVHSRPGFVLTRATSRSRFFYNRQVNNSIQVDWGEASMITAERILLSHALKDPLNERFVFVSDSCVPLYNFSYTYEYIMSSSTSFVDSFADTKAGRYNPRMDPIIPVENWRKGSQWAVLIKKHAEVVVEDEVVLPEFQKHCRRRPLPEFWRDWDRPIPAEAWKAHNCIPDEHYVQTLLAQTGLEEELTRRSVTHSAWDLSASKDRERRGWHPVTYKVSDATPALIKSIKGIDNIYYETENRREWCTSNGKPAPCFLFARKFTRGAGLKLLDSSLIAAK >KQL16372 pep chromosome:Setaria_italica_v2.0:III:38648674:38648961:-1 gene:SETIT_025193mg transcript:KQL16372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DAFDSDSESQTETDSSDDRRRDYRTPFPWGDTLRIFRHADTFACPICPTKRHRWMIMNEVKDHVLGMGTSAPLKGENKKKWSCHHVMARNEGWME >KQL17472 pep chromosome:Setaria_italica_v2.0:III:50616917:50620231:-1 gene:SETIT_021604mg transcript:KQL17472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPYGDGKKNQQPRPGPGPRLKPAIEMEDLINLLHGSDPVRVELTRLENELQYKEKELGDAQAEIKALRLSDRAREKAVQDLTEELAKVDEKLKLTESLLETKNLEAKKINDEKKAALAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEEMKTLDRELARAKVSANRVAVVVANEWKDGNDKVMPVKQWLDQRRILQGEMQQLRDKLAIAERAARSEAQLKEKFQLRLKVLEEGLRMSTSRTNVSTTRRQSIGGADGLSKANGFLSKRPSFQMRSSVSTTTTLVNHAKGASKSFDGGCRSLERYKGLVNGNGMNVSTDSSEDKESSNSDEKCNEFASAESEDLVSGALYDFVQKEVIALRKACHEKDQSLKDKDDAVEMLAKKVDTLTKAMESEAKKSRRELAAMEKELAATRLEKEQDNRAKRFGSSSGSANSSQLPPGRSLPRSGSARNM >KQL13452 pep chromosome:Setaria_italica_v2.0:III:4530399:4532214:1 gene:SETIT_022875mg transcript:KQL13452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPALLFPSTSSSSSPSSARVEAVVLFNICDSYVRRPDQADRVIGTLLGSLLPDGTVHVRNSYVVPHSESADQVAIDIEYHHNMYASHQKVNPKEVIVGWFSTGFGVSGGSTLIHDFYSREVQNPIHLTVDTGFTRGEASIKAYISSNLSLGDRHLAAQFQEIPLDLRMIEAEKAGFEILKYTMVEKLPNDLEGMESSMEKLYILIDEIYKYVDDVVVRISHAEFH >KQL13453 pep chromosome:Setaria_italica_v2.0:III:4530288:4532950:1 gene:SETIT_022875mg transcript:KQL13453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPALLFPSTSSSSSPSSARVEAVVLFNICDSYVRRPDQADRVIGTLLGSLLPDGTVHVRNSYVVPHSESADQVAIDIEYHHNMYASHQKVNPKEVIVGWFSTGFGVSGGSTLIHDFYSREVQNPIHLTVDTGFTRGEASIKAYISSNLSLGDRHLAAQFQEIPLDLRMIEAEKAGFEILKYTMVEKLPNDLEGMESSMEKLYILIDEIYKYVDDVVEGRVAPDNRIGRFISESVASMPKLSPAAFDKLFNDKIQDNLALVYLSSITRTQISIAEKLNTAAQVL >KQL13949 pep chromosome:Setaria_italica_v2.0:III:7919106:7923670:1 gene:SETIT_022708mg transcript:KQL13949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSNYNYCSFFRCCRNKPHTGAACSGAAARRSSRHRRCASAPASTPSIPTGEGGGDQPDWHRRLRRALLSPRANVSLQRHSTCGCSSSSPSKEAGTTGSMDKEENKEASVSISNNDTDTNDFEDDDYDDDDGKHTVALGPQVPLKDHLELDKDDDSLRRWKEQLLGDVDTTKLGEPEVTILNLTILSPERPDLVLPIPLVLDDKGYAFALKDGSTYSFRFSFTVSNNIVSGLRYTHNVWKTGVRVENQKVMLGTYSPQQEPYTYEAEEDTTPSGIFARGSYSAKLKFVDDDGKVYLDMSYCFEIRKDWPASA >KQL13950 pep chromosome:Setaria_italica_v2.0:III:7919106:7923670:1 gene:SETIT_022708mg transcript:KQL13950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSNYNYCSFFRCCRNKPHTGAACSGAAARRSSRHRRCASAPASTPSIPTGEGGGDQPDWHRRLRRALLSPRANVSLQRHSTCGCSSSSPSKEAGTTGSMDKEENKEASVSISNNDTDTNDFEDDDYDDDDGKHTVALGPQVPLKDHLELDKDDDSLRRWKEQLLGDVDTTKLGETAEPEVTILNLTILSPERPDLVLPIPLVLDDKGYAFALKDGSTYSFRFSFTVSNNIVSGLRYTHNVWKTGVRVENQKVMLGTYSPQQEPYTYEAEEDTTPSGIFARGSYSAKLKFVDDDGKVYLDMSYCFEIRKDWPASA >KQL13364 pep chromosome:Setaria_italica_v2.0:III:4037973:4039537:1 gene:SETIT_023861mg transcript:KQL13364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNGTPKAWLTIRSCGLGATGAAARHILAATKQGCRISILTTFWFFSFIKTVCIYIPPYCRRFATLQVDLRYKHML >KQL14372 pep chromosome:Setaria_italica_v2.0:III:10829190:10831508:-1 gene:SETIT_021924mg transcript:KQL14372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEENGLELSLGLSLGGSSGKAKARDAPLEPKAEPQVEESSSKGGSQTPDAPFGKYYQTNPENQEHNSKQRHSPVAPQFGNFWGQPGSSSAPVVDGSAEPVSHQPQLPRYQDGRMPNNNGNNSEEQKPVSSNCNLLSEEMSFQKKHQTAGDQPDAFSKSSDGGAKNAPISISTDDGSTGENEDVAESEAEGSNSWLVAQREDSAKGSVVNKASDRKRSADDAAVGFQGKRQPSFSGSESSSGKLPPGNPLSMQASNVVAVPYQVQAQVSGPPTITNAPNFHPVCPVQLRPPTNGGLAVQTMNSASQVAFGYPAVQLPTLETSCSWAFGAPPQALSSFTVKDKAEQTGSKQADDGKRPQEAGASSSAHVEDEKKAERVLPLMGSAIRPGIAPNVKFGGSGSYPDLPWVSTTGTGPNGRTISGVTYKFGRNEVKIVCACHGTHMSPEEFMRHANADAPAQENSETLPAFPVGNQAASAEN >KQL16992 pep chromosome:Setaria_italica_v2.0:III:47435805:47438715:-1 gene:SETIT_021986mg transcript:KQL16992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASACGGAGCGPHCSSSSGSAAGAEEDAPAERMARLSIATGAATTCGKCDGGAPTVAASGGAGMCRECFRAHLFGKFKLAVTSNAMVRPTDAVLLALSGGPASRVALQFIHAMQSKAIQSWETSNSQALPAFGVGVAFVDESVVLARPEHETQTATEDIKSIVSSLSPGDKQVHVAPLEDVFSSGSEDKVARLKEVVGMIDDETGRDDFIRCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLHDCLAQELSLLCELDSLKMQQLLDRPCRGINSLVASFVSRLREENPSREHTILRTAQKLKPFSFNKFSANGYHDFLPSRLRPKFQNVDTNESTFSEILCLICGSPFSESELQNLENTKHKAQKKIDLYTAHCCQSCHFQILPSATDTYGQFFSLLPKFWTEKVDTASASSLRDQIEDYLLEDDDEN >KQL16993 pep chromosome:Setaria_italica_v2.0:III:47435805:47438682:-1 gene:SETIT_021986mg transcript:KQL16993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASACGGAGCGPHCSSSSGSAAGAEEDAPAERMARLSIATGAATTCGKCDGGAPTVAASGGAGMCRECFRAHLFGKFKLAVTSNAMVRPTDAVLLALSGGPASRVALQFIHAMQSKAIQSWETSNSQALPAFGVGVAFVDESVVLARPEHETQTATEDIKSIVSSLSPGDKQVHVAPLEDVFSSGSEDKVARLKEVVGMIDDETGRDDFIRCLRMLSLQKIALENGYTKIMLGTCASGIACHVLSATVKGQGYSLPADVQYVDTRWEVPVVLPLHDCLAQELSLLCELDSLKMQQLLDRPCRGINSLVASFVSRLREENPSREHTILRTAQKLKPFSFNKFSANGYHDFLPSRLRPKFQNVDTNESTFSEILCLICGSPFSESELQNLENTKHKAQKKIDLYTAHCCQSCHFQILPSATDTYGQFFSLLPKFWTEKVDTASASSLRDQIEDYLLEDDDEN >KQL16187 pep chromosome:Setaria_italica_v2.0:III:34001880:34003819:1 gene:SETIT_025532mg transcript:KQL16187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQGIHIIQPYAQLALQVTNSVHNTKANVPTLVAGAPLEVRR >KQL16188 pep chromosome:Setaria_italica_v2.0:III:34002942:34003819:1 gene:SETIT_025532mg transcript:KQL16188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDIFFFTSWGRNTYHSALCAASLASHEQRAQHKGKRSNACCRCSSGSKEMKKWDEPAHARIMVSLQSHIICQ >KQL16824 pep chromosome:Setaria_italica_v2.0:III:45535773:45540861:-1 gene:SETIT_021859mg transcript:KQL16824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARPPPPPRPHLALPPRSAAESLFTGAGDASPGPLTLASALFPSDADAGGGGGPGGGASSGAASFTQLLTGSLPQPQQQQREAAERGRGGGVARAGPALSVAPPASASAGASVFTVPPGLSPSGLLDSPGLLFSPAMGGFGMSHQQALAQVTAQATHSPLRMFDHIEQPSFSAAAASSGALQHMNSSANMTGMPEMAITTANNDNASFQSAEPSQRYQVNAPVDKPADDGYNWRKYGQKVVKGSDCPRSYYKCTHPNCPVKKKVEHAEDGQISEIIYKGKHNHQRPPNKRAKDGNSSAADQNEQSNDTTSGLSGAKRDQDAIYGMSEQVSGLSDGDDMDDGESRPHEVDDADNESKRRNIQISSQRTLSEPKIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTFAGCNVRKHIERASSDPKAVITTYEGKHNHEPPVGRGSNQNAGVSQQRGQNSISSNQASLSIADYSITNQRPIGLLQFKSEQ >KQL15544 pep chromosome:Setaria_italica_v2.0:III:21244154:21245641:-1 gene:SETIT_022258mg transcript:KQL15544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASICRRRLAIPLAPVLAGGGGGKNPIHSSPVAVLLSHGYYSTAVAAGPEPCPATVSYLVSCGLSPAAAAARNVRIRDTNRADAVRAVLREYGFSEAEITRTVRQDPVLLNFDADRIIRPKLDFFLSLGFQPRFLAAEPHILARSLDNHLAPCIEFLRSILGSDECVRTAVYRVPRALLADLDNSMRPAVEAFRRHGLPEESIAKLLLIHLGVLMVPVDRIAEAFDDLQDLGLRVTDTGFLYGFRVISILKRETWVRKVALYRSFGVCEADLLRAFKTQPTILLVSDESVKKKIRFYLDVLKVGIGDVMAQPMILSLSLEKNIMPRCAVLSVLMREGKIERKLNLMPALLSNLKVFSARFVWRYAKDVPDVVKAFEGKIKFQGFGDREFELLSH >KQL17231 pep chromosome:Setaria_italica_v2.0:III:49128550:49131052:1 gene:SETIT_022391mg transcript:KQL17231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPHAASPYVLSLLLLLLSIPAVFLLAPRLIPPRTLPAIPDADETEDLALFRRAVLLSAAPASKAAATAADPAGAATTSFFGGYGRKKKRQQPKVAFLFLTNSDLVFAPLWEKFFAGHHGLLNVYVHADPAAALTSPPTPSFRGRIIPGKATQRASATLISAARRLLATALLDDPANHFFALLSQSCVPLHPFPSLYRTLTGDNGGPKGRHRSFIEILDAEPTLHDRYYARGDDVMLPEVPYDSFRVGSQFFVLTRRHAVMVVRDRRLWNKFKMPCLVKRKYSCYPEEHYFPTLLDMQDPGGSTKFTLTRVNWTDSSDGHPHTYQPEEVSGELIRELRKSNGTYSHMFARKFAPECLGPLMKIADSVILRD >KQL17232 pep chromosome:Setaria_italica_v2.0:III:49128550:49131052:1 gene:SETIT_022391mg transcript:KQL17232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPHAASPYVLSLLLLLLSIPAVFLLAPRLIPPRTLPAIPDADETEDLALFRRAVLLSAAPASKAAATAADPAGAATTSFFGGYGRKKKRQQPKVAFLFLTNSDLVFAPLWEKFFAGHHGLLNVYVHADPAAALTSPPTPSFRGRIIPGKATQRASATLISAARRLLATALLDDPANHFFALLSQSCVPLHPFPSLYRTLTGDNGGPKGRHRSFIEILDAEPTLHDRYYARGDDVMLPEVPYDSFRVGSQFFVLTRRHAVMVVRDRRLWNKFKMPCLVKRKYSCYPEEHYFPTLLDMQDPGGSTKFTLTRVNWTDSSDGHPHTYQPEEVSGELIRELRKSNGTYSHMFARKFAPECLGPLMKIADSVILRD >KQL17233 pep chromosome:Setaria_italica_v2.0:III:49128550:49131052:1 gene:SETIT_022391mg transcript:KQL17233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPHAASPYVLSLLLLLLSIPAVFLLAPRLIPPRTLPAIPDADETEDLALFRRAVLLSAAPASKAAATAADPAGAATTSFFGGYGRKKKRQQPKVAFLFLTNSDLVFAPLWEKFFAGHHGLLNVYVHADPAAALTSPPTPSFRGRIIPGKATQRASATLISAARRLLATALLDDPANHFFALLSQSCVPLHPFPSLYRTLTGDNGGPKGRHRSFIEILDAEPTLHDRYYARGDDVMLPEVPYDSFRVGSQFFVLTRRHAVMVVRDRRLWNKFKMPCLVKRKYSCYPEEHYFPTLLDMQDPGGSTKFTLTRVNWTDSSDGHPHTYQPEEVSGELIRELRKSNGTYSHMFARKFAPECLGPLMKIADSVILRD >KQL14269 pep chromosome:Setaria_italica_v2.0:III:10038538:10039356:1 gene:SETIT_024214mg transcript:KQL14269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQELALCACGRFYAGGCGGRCAGAAAASSAFSMLFPVAVEQCYYSKEDDRSPYVGGGAVDCTLSLGTPSTRRAEAGGRARAAGGGGGGAAPSCKESADRGSPPARRCANCDTTSTPLWRNGPRGPKSLCNACGIRYKKEERRAAAAVAPAPAPQDGSYACGGYARQPPPPQWGCYGPVAAAKSASFGMYGGGDVVDAAADGPCLSWMLNVMPSSPAFAVRERPTLFQYY >KQL14567 pep chromosome:Setaria_italica_v2.0:III:12037005:12037417:1 gene:SETIT_023830mg transcript:KQL14567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKNTISTRDKDFFLIKVHFHKESYVSFERLTNSRVLTNLLPLSINHKGGSLTMNPQRMGDTNVPECTTQRGCSNGQCLAV >KQL15144 pep chromosome:Setaria_italica_v2.0:III:17385821:17388502:1 gene:SETIT_023979mg transcript:KQL15144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAMISAYKGTIRFFCGLTTTCGSSITARSSLSYGPSYSAEPRAPTAIIHKLIRAL >KQL13926 pep chromosome:Setaria_italica_v2.0:III:7753574:7760575:1 gene:SETIT_021132mg transcript:KQL13926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIASGAVDGRHLSPFATLPESSSSSFFSEDLVPAERQVGFWKSESMVDHKGANPAGGLEHPRGQAFKGQLDMLSLSKLMGQEENTSSLSSISWGDILGSSRSSLGSSTRGTAFVEPSSADQLVHDYGNYPSSSSLSEVFSMKSRMMASGFPGQSVDAINSGCEGDEPLGSMKEMEAQTIGDLLPDDDDLISGITDGFECTGLSNQDDADEDIFYTGGGMELENDDLSNGGKIHEGSFKSQLSGKHSINEHPSRTLIVKNINPSIEDAELRVQFQQYGEIQTLHTSCKNHGFVTVSYYDIRAAQNAMRALHNKPLGLMNLDVQFSIPKENGQNKDPNNGRLVVSIIDSSISNHDLLQIFSVYGDVKEIRKAPTSCNKKFVQFYDVRAAEVAVNNLNKGDMSCSKIKVEHSCSGVAGLCFTEQCSGEWKQNTVTHQLKNSPPGTIGRTDPKSWENGSVHDLYSPVRPQLHGFSVNSAQKLSSPIRIGPTRQHNNQANLCEPNGSLGHGNFGRGLQAFHPHSLPECHNGICNGSKSMTLGTRNSGVRLTEGADYNNHKVCSSDHHGHSSDQSEALGVTGIGSCPLHGHHHTWNNSNGFPQSPCAPMLWSNFHQPMHIHGYPAMPPHMRNTGAHPMDQHHLGSAPSNVGGFTNAHSFHPGSLENVGFPGSPQLYPSDLSVFAPARGHYRETMFSPVNAGFPSLQQMFHATNGRSPMMRVSASYDATNDRIRSRRHDGNAAQSENKKQFDLDLDHIANGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRNALIAHFQNSSLMNEEKWCRPMLFHKDGPHAGDQEPFPVGNNVRTRSGRNRPIASSDTKEGSPSTSPN >KQL13925 pep chromosome:Setaria_italica_v2.0:III:7753574:7760575:1 gene:SETIT_021132mg transcript:KQL13925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIASGAVDGRHLSPFATLPESSSSSFFSEDLVPAERQVGFWKSESMVDHKGSKSAFASPLDKVHPTGANPAGGLEHPRGQAFKGQLDMLSLSKLMGQEENTSSLSSISWGDILGSSRSSLGSSTRGTAFVEPSSADQLVHDYGNYPSSSSLSEVFSMKSRMMASGFPGQSVDAINSGCEGDEPLGSMKEMEAQTIGDLLPDDDDLISGITDGFECTGLSNQDDADEDIFYTGGGMELENDDLSNGGKIHEGSFKSQLSGKHSINEHPSRTLIVKNINPSIEDAELRVQFQQYGEIQTLHTSCKNHGFVTVSYYDIRAAQNAMRALHNKPLGLMNLDVQFSIPKENGQNKDPNNGRLVVSIIDSSISNHDLLQIFSVYGDVKEIRKAPTSCNKKFVQFYDVRAAEVAVNNLNKGDMSCSKIKVEHSCSGVAGLCFTEQCSGEWKQNTVTHQLKNSPPGTIGRTDPKSWENGSVHDLYSPVRPQLHGFSVNSAQKLSSPIRIGPTRQHNNQANLCEPNGSLGHGNFGRGLQAFHPHSLPECHNGICNGSKSMTLGTRNSGVRLTEGADYNNHKVCSSDHHGHSSDQSEALGVTGIGSCPLHGHHHTWNNSNGFPQSPCAPMLWSNFHQPMHIHGYPAMPPHMRNTGAHPMDQHHLGSAPSNVGGFTNAHSFHPGSLENVGFPGSPQLYPSDLSVFAPARGHYRETMFSPVNAGFPSLQQMFHATNGRSPMMRVSASYDATNDRIRSRRHDGNAAQSENKKQFDLDLDHIANGEDSRTTLMIKNIPNKYNCKLLLAVIDENHRGTYDFIYLPIDFKNKCNVGYAFINMTDPQHIIPFYKTFNGKKWEKFNSEKVASLAYARIQGRNALIAHFQNSSLMNEEKWCRPMLFHKDGPHAGDQEPFPVGNNVRTRSGRNRPIASSDTKEGSPSTSPN >KQL15372 pep chromosome:Setaria_italica_v2.0:III:19359841:19364975:1 gene:SETIT_024535mg transcript:KQL15372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSMAVAAALVVLAALRTSAAARREVSYDGRALIVDGTRRMLFSGEMHYTRSTPEMWPTLIAKAREGGLDVIQTYVFWNVHEPVKGQYNFTGRYDLVKFIKEIQAQGLYVSLRIGPFIEAEWKYGGFPFWLHDVPNIAFRGDNEPFKQHMKRFVTQIVNMMKHEGLYYPQGGPIIISQIENEYQMVEPAFGSSGPRYVRWAAAMAVSLQAGVPWMMCKQDDAPDSVVSFHSILFHLICTCDTQLRSPEDIAFAVALFIARKKGSFVNYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYGLIWQPTWGHLRELHAAVKQLSEPLLFGKYSSFLLGQEQEAHVFETESKCVAFLVNFDKSQMPKVTFRHISFQLAPKSISILSDCRRVVHETAKIKAQHGSRIAEVVQSLSDINTWKAFKEPIPLDVKKAMHATRQLLEQLSATKDETDYLWYTVSHEYRPIGDGQPVLLNVESRAHIVHAFVNKQYVGSVHGSHDESDNIILKTRVALKEGQNTISLLNVMVGSPDSGPHMERKVFGIRKVTIQKGKQPEQLLNNRLWGYHVGLFGERNHIYTQGGSQGIEWTTINNTTYHPLTWYKTTFASPVGNDAVALNLAGMGKGEVWINGESIGRYWVSFKAPSGNPSQSLYHIPRQFLKPQGNTLVLFEEMGGNPKQITACLGKTGCYIPLTPAKFGGERCPGAQKSILVVAI >KQL17149 pep chromosome:Setaria_italica_v2.0:III:48589985:48596176:-1 gene:SETIT_022937mg transcript:KQL17149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAEQRNPLQEYQVAHIPGALFFDVDGISDKTSSLPHMLPSEKAFSAAVSALGIYNKDGIVVYDGKGLFSAARVWWMFRVFGHDKVWVLDGGLPQWRASGYDVESSASSDAILKASAAGEAIEKVYQGQPVGPFTFEAKLQPHLIWSLDQVKENIKTQTHQLIDARSKPRFDGAVPEPRKGIRSGHVPGSKCVPFPQVLDSSQKLLAPDELRKRFEQEGISLDQPLVTSCGTGVTACVLALGLHRLGKTDVSVYDGSWTEWGAHPDTPVATAAA >KQL13685 pep chromosome:Setaria_italica_v2.0:III:6068730:6070196:1 gene:SETIT_024348mg transcript:KQL13685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIKFWRLRNYPLYPMDWPVVGMLPSLVANLHNFHDELTVVLATNGCNFKARGPVASGMRFFVTAEPANVRHIFTSNHANYPKGEDFAEIFDIFSGTIFTIDGEAGRQHRAMFQNILSNPRLLALMASCCRDKVVNGLLPFLTRMGSTRTTFDMQDLITRLVFDLTATPIFGVDPGCLSISMPSIHVATAMDTFMEVGLFRHTMPACFWKVMRRLNIGPERKLAMAETVMHAFIREMTEKSKARCADLLDDVLAMDIISANPSVGRDDVLLRNVLIVHMIAGRDTVGTTLPWVFYNLARNPRVVSCIRKELAPIASLKATALASNSISSVVVFDPKETEHLVYLQAALLESLRLYPPGPIERKVVLADDVLPSGHQLCSGETILISIYAMGRMESLWGKDCHVYRPERWLSEDGAKLRYVPSNKFMAFNTGPRMCLGKDIAIAQMKTIVAAVVWNFDMEVLEGQSIEPKLSCILQLKNGLMMMVKQRE >KQL15671 pep chromosome:Setaria_italica_v2.0:III:22373644:22374426:-1 gene:SETIT_024163mg transcript:KQL15671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRTLAMVVYDPAAAQQRDAKRARPAAGAGAVVPYDAAQPAGAEPINAVPLNAMAPPRPRRAPAPAPPIPPEELACLRKHILPALGLREDLPVHFIDRKRVTDTDLNPQQNRFRIPSEGALGRLRSILTVDELDSANLLEDPVSKSTQQPKEDDAAADKEGEQEQGRKKRKRRGKVHGGLPVKLVDLAAGASGELLLSRWSSSHGTIVKGGGYMDYIRRCSFKEHDVVDIWAFKQRAFRLLGTTIFDESPLHVFIVKKT >KQL14798 pep chromosome:Setaria_italica_v2.0:III:13943761:13945292:1 gene:SETIT_023262mg transcript:KQL14798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHASTGLLKGLRRVLEQHRISTVFCRQSRAWSSTVSFSDLDEKGDMDIDDDYTDSKRELQPHSVDPKKGWAFRGVHRAIICGKVGQVPVQKILRNGRTVTVFTVGTGGMFDQRVIGPEDLPKPAQWHRIAVHNDRLGAYVVQKLVKNSAVYVEGDIETRVYNDSINDQVRNIPEICVRRDGKIRLVKSGDSAANISLDGLREGLF >KQL13331 pep chromosome:Setaria_italica_v2.0:III:3864169:3867927:1 gene:SETIT_023201mg transcript:KQL13331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEVCVKAAVGAPDSLGDCPFSQRVLLTLEEKKVTYEMKLIDLSNKPEWFLKISPEGKVPVFNGGDGKWIADSDVITQVIEEKFPTPSLVTPPEYASVGSKIFPSFVKFLKSKDASDGSEKALLDELQALDEHLKAHGPYINGENVSAADLSLGPKLFHLQIALEHFKGWKIPENLTSVHAYTQALFSRESFVKTKPTKEHLIAGWAPKVNA >KQL13332 pep chromosome:Setaria_italica_v2.0:III:3864169:3867927:1 gene:SETIT_023201mg transcript:KQL13332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEVCVKAAVGAPDSLGDYLLAGPFSQRVLLTLEEKKVTYEMKLIDLSNKPEWFLKISPEGKVPVFNGGDGKWIADSDVITQVIEEKFPTPSLVTPPEYASVGSKIFPSFVKFLKSKDASDGSEKALLDELQALDEHLKAHGPYINGENVSAADLSLGPKLFHLQIALEHFKGWKIPENLTSVHAYTQALFSRESFVKTKPTKEHLIAGWAPKVNA >KQL16725 pep chromosome:Setaria_italica_v2.0:III:44469860:44472600:1 gene:SETIT_021845mg transcript:KQL16725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAEGRGPRRSRVAFVLVDGIGDVTIPSLGGRTPLEAARAPRLDAVAAAGVAGLMDPVEPGLACGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMAPGDIAFKSNFATLDEGTGVIVSRRADRHFEEEGPILCAALDGLKLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISGTDPLKDNRLHLKAEPLDDSEEAKNTAAVVNELSREITRILVSHPINAKRAAEGKNIANVVLLRGCGIRIEVPAFESKHGLAPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKAKAIAKALSAPMDTPPRVFVPGEDEYKAGKENGYDFGFLHIKAIDDAGHDKAVKLKVRGLEAVDRAIGQLTRLLWEAEKAGHYQYFLCVTGDHSTPVEYGDHSFEPVPFTICRLRDYVGAIGEDTVLGTPLDDFPLPSVKSGEDLLDNIESAEHKPGQLKAFSGDSVCEFNEIAAARGCLGRFPGSEMMGIIKKFIKAKND >KQL17384 pep chromosome:Setaria_italica_v2.0:III:50147647:50151150:-1 gene:SETIT_022438mg transcript:KQL17384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQGADAAAARRMATLASHLRPDPASSHPQMEEVSLLRGSICRAKGAAPGFKVAILGAAGGIGQPLALLMKMNPLVSVLHLYDVVNAPGVTADISHMNTGAVVRGFLGQPQLENALTGMDLVVIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPKAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVGEVLGLDPREVNVPVIGGHAGVTILPLLSQVNPACSLTSEEVNHLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDAGIVECSYVASQVSSFVYTEYNHDSICVLTTFTK >KQL17385 pep chromosome:Setaria_italica_v2.0:III:50146670:50151253:-1 gene:SETIT_022438mg transcript:KQL17385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQGADAAAARRMATLASHLRPDPASSHPQMEEVSLLRGSICRAKGAAPGFKVAILGAAGGIGQPLALLMKMNPLVSVLHLYDVVNAPGVTADISHMNTGAVVRGFLGQPQLENALTGMDLVVIPAGVPRKPGMTRDDLFNINAGIVRTLCEGIAKCCPKAIVNVISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTTLDVVRANTFVGEVLGLDPREVNVPVIGGHAGVTILPLLSQVNPACSLTSEEVNHLTSRIQNGGTEVVEAKAGAGSATLSMAYAAAKFADACLRGLRGDAGIVECSYVASQVTELPFFASKVRLGRSGVEEILPLGPLNEFERAGLEKAKKELAESIQKGVSFINK >KQL16343 pep chromosome:Setaria_italica_v2.0:III:37910629:37911778:1 gene:SETIT_023461mg transcript:KQL16343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAMAAATSVAPFQGLKSTARLPVSRRSSSSGFGNVSNGGRIRCMQVWPAEGNKKFETLSYLPPLSTDEVLKQIDYLIRKNWIPCLEFSKIGFVYRENSTSPCYYDGRYWTMWKLPMFGCTEATQVYAEFEECKKAYPDCYIRIIGFDNIKQVQCVMFIAYKPPGSE >KQL15167 pep chromosome:Setaria_italica_v2.0:III:17578774:17580615:-1 gene:SETIT_023700mg transcript:KQL15167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQPKSGLFVGINKGHVVTKRELPPRPSHRKGKATKRVTMVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGTHTDKKK >KQL15168 pep chromosome:Setaria_italica_v2.0:III:17579014:17580462:-1 gene:SETIT_023700mg transcript:KQL15168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQPKSGLFVGINKGHVVTKRELPPRPSHRKGKATKRVTMVRGLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVLRKMRSAGTHTDKKK >KQL13565 pep chromosome:Setaria_italica_v2.0:III:5258641:5259222:1 gene:SETIT_025384mg transcript:KQL13565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAASTLSGHAAAWLRRHPRRPAPPTPAQLGSHHPRAQLQPRRGLPHLGGARGYRRMARRVPPARPDGYSTSDGEVEDEPDEFEDIPSSGEEGDDSEGTEVEGYMFNFGDLFDDDGKKEEKAADGEGGK >KQL16854 pep chromosome:Setaria_italica_v2.0:III:45955473:45958741:1 gene:SETIT_025315mg transcript:KQL16854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGKVEVRRIDNSVSRQVTFSKRRRGLAKKARELAVLCDADVAMLVFSDKGRLHDFAAHGSMERILDRYERYLLCEGGDVMEDHPEEMQGNMSYDHIKLRSRIEALQKSQRNLMGEQLESLTFREVQQLEDQIDSALRNIRSRK >KQL15797 pep chromosome:Setaria_italica_v2.0:III:24022635:24023542:1 gene:SETIT_025527mg transcript:KQL15797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRLPRACVGARRCDKCQSCRCGVLLLAPPRRTCARVGAPPPMLPLQTMKKLLLPLQTMKKLLKNDALKC >KQL12870 pep chromosome:Setaria_italica_v2.0:III:1392315:1392613:1 gene:SETIT_024334mg transcript:KQL12870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAAAQMAVPVLGIIAAAAVTFYTVSFMQLRDKSFEELDEKYSEFDDESGGRQRRARRRAERERKRRN >KQL15055 pep chromosome:Setaria_italica_v2.0:III:16112457:16112600:-1 gene:SETIT_024310mg transcript:KQL15055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMGSGMFVQCNLCFVHGEVVVFRF >KQL15937 pep chromosome:Setaria_italica_v2.0:III:25634694:25635271:-1 gene:SETIT_024121mg transcript:KQL15937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSWMKKARREIAYEDGVEEFLAFSYRDLPHDSEILCPCKNCKNRINQSRDEVRTHLSTRSPGWRESDSMQELLHAAFGRAAGMPRGEADDFQSGFSDMEHNALEDIVNSAQGDDSGRDQNIDARFLKDANVRLYPGCKYSRLSFLGFFYF >KQL15911 pep chromosome:Setaria_italica_v2.0:III:25261202:25265352:-1 gene:SETIT_021985mg transcript:KQL15911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEQAPSSRKRVAGTQINKDNPEPDDDGPEQEMGTFKKATEEVMATRRIVKVRRQQPSSAPSSNPFSAIRFTPTDSSVQASAPVTEPQPSDVKADEGSNGSGKDTMSVPDKDAGSGEVTEIQKDESTVKTGSGATTEVPPQPVETIDKAEDAKDGSGADKVVVGEPKEGDSMPSEVEDKTKEGDAEEKEGADEVGDEDRNSKDGTEKKDGGNSETKDGLPDEQKDADNNGQSSSATPLFSFKNLSSGQNAFTGLAGTGFSSTSFSFGSASKDGSSPGPLFGLKTDGSSFPSFNLGATNNGNSSTALATSGEVPKKFAMAEGPVETGEENEKAVFTADSALYEYLDGGWKERGKGEVKLNVPVSGGERARLVMRTKGNYRLVLNASLYDDMSLKDMDKKGVTFACMNSIGESQSSLVTFALKFKDTATREEFKGAVETHKALKAPDVPLKTPENSPKAAEV >KQL12992 pep chromosome:Setaria_italica_v2.0:III:1998139:1999246:1 gene:SETIT_024256mg transcript:KQL12992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDVAPSLPSASTISATATTGIHVIKLSGYSHAKLLLENQGLPQRKKRALQETPPLPSASTIAITASAGCHVVKVSGYSQTKLLPGNGEYIKSAEFKEAGHRWCIRCYPDGDRDETAGHVSLFLELAGRSTEVHAEYQFSLVPHGQLTTAPHGGRTGTDRRTFGSRYTDNRFGFSEFVAREDLERSEYLKDDCFYIRCDIIAMNKPVVKLHDPETLDLLCYCTDDLCENIHARNK >KQL17189 pep chromosome:Setaria_italica_v2.0:III:48790320:48791804:-1 gene:SETIT_025600mg transcript:KQL17189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLTAEGVADMVDQAVAMAFGHTCGRNYVRIQAASPACSGKKSVDPKKAVAVADGMLTQRNVEAELFRGRRLSEKSNREKLDAFAAELVKEHERRARSHGLPNVVIKQAPTAAGAATPRPSSATTASSATATGRTASTMPSPASQGSYSH >KQL17219 pep chromosome:Setaria_italica_v2.0:III:49026551:49029298:1 gene:SETIT_022746mg transcript:KQL17219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWPKRNNVGPNRNIYRFPLEALDAQDGPFIQSLFLTNVSIEPHLVICGFMRLKKLHLHRVHIIGDLPGLLLNCSSLEDLELIACSGVTDLNIPHQLDKLRHLLIRSNICVQMVEFRVPDLSHFEYQGDVIPIVLHGRSTLEEVTLKFHNALFDRDNNRALSHAITGIPSISTAKVLNVHTQMMEDRPVWSSQVHKLTRSACMFLNLRHLTCRITIFTRGSNNHARLLLAHYLDSAPRLETLQLHMSYVVAGGSHCWHGEQASFHMYRLDRLHEWVPFRGGNGSWTSCLLHVNPTQFYLFLVQNHIIL >KQL17218 pep chromosome:Setaria_italica_v2.0:III:49026551:49029298:1 gene:SETIT_022746mg transcript:KQL17218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWPKRNNVGPNRNIYRFPLEALDAQDGPFIQSLFLTNVSIEPHLVICGFMRLKKLHLHRVHIIGDLPGLLLNCSSLEDLELIACSGVTDLNIPHQLDKLRHLLIRSNICVQMVEFRVPDLSHFEYQGDVIPIVLHGRSTLEEVTLKFHNALFDRDNNRALSHAITGIPSISTAKVLNVHTQMMEDRPVWSSQVHKLTRSACMFLNLRHLTCRITIFTRGSNNHARLLLAHYLDSAPRLETLQLHMSYVVAGGSHCWHGEQASFHMYRLDRLHEWVPFRGG >KQL13485 pep chromosome:Setaria_italica_v2.0:III:4737434:4741152:1 gene:SETIT_022769mg transcript:KQL13485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKQQQQQAAVAATGVWKTIKPFVNGGASGMLATCVIQPIDMVKVRIQLGEGSAGQVTKNMLANEGLRSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEKNEGKPLPLIQKAFIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRISADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVELFRDKFGAGEITTVIGASAISGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAAKTFKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKFEKKIGI >KQL16617 pep chromosome:Setaria_italica_v2.0:III:42987090:42987992:-1 gene:SETIT_024110mg transcript:KQL16617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTSAVLLSSAARRLSRSASSIITREVTGHHNLTIAGFAPSRKFPTDWTASSPAFDAAGHGWRITYHPNGNSWPEYVSLYLEPVDGGGRRFTLLDPAGNPVPRYTRSSRGVNYFDGEEMSKGDLEKSGCLEDNSFTVRCDITVIKNWTENTADGASNAALAAARVVLLPSDLHRDLSNLLWKKQGADVVIDVGGEATYDAHGCLLAARSPVFEAELFAVAKEKVPGGTVRRRMEVKGMEPRVFEALLCFVYTDALPEAEEGGPGRCRRHGAGPAHGGAE >KQL13461 pep chromosome:Setaria_italica_v2.0:III:4582289:4584062:1 gene:SETIT_024138mg transcript:KQL13461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAGSILTTYTKVGDVLSGGLEFVKKHGEGGGGAKSSSGQDTAQLMSQVNILREEIQSLTVRPAMVVTSAAKSGQCLFMVSGNDMHSVVVAGVVGYAYINSCINVQGWKLSDMMFVTKRGLSEACNVVGSQLDQVSDAVVVTKKHLAGRIDLVDSSLDENKQIIEGTRDQVAVINMDLSAFQEDLQSVNLVVQTLDQTVDGIHHLCEFTRKLEPAKNGN >KQL15830 pep chromosome:Setaria_italica_v2.0:III:24313361:24317460:1 gene:SETIT_023682mg transcript:KQL15830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEGLAAYRLVLRAARRTFAGDRLMLQESAVEIRRRFEDHRGLAPGSDELARALEDAREAAFFIGHGIVQATRGPSGSFGLMMKIWSCISIALELACLEKENYITYNFMVC >KQL15831 pep chromosome:Setaria_italica_v2.0:III:24313361:24317460:1 gene:SETIT_023682mg transcript:KQL15831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEGLAAYRLVLRAARRTFAGDRLMLQESAVEIRRRFEDHRGLAPGSDELARALEDAREAAFFIGHGIVQATRGPSGSFVVKPESAHAGATLEVPSEEILSKLK >KQL17135 pep chromosome:Setaria_italica_v2.0:III:48502222:48502703:1 gene:SETIT_025631mg transcript:KQL17135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRTVAYGHVAEIGIKFLHAAEFAHGSRDNFMLRTEFSEHLGTNLILSLPLTSLWHRLYSRIAIMCLLAGPAY >KQL12671 pep chromosome:Setaria_italica_v2.0:III:297739:301485:1 gene:SETIT_023207mg transcript:KQL12671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDKTAAAAAAEQPKRAPKLNERILSSLSRRSVAAHPWHDLEIGPGAPAVFNVVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVIPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDISELSPHRLQEIKRFFEDYKKNENKEVAVDEFLPATTARDAIQYSMDLYAQYILQSLRQ >KQL13911 pep chromosome:Setaria_italica_v2.0:III:7689183:7689866:-1 gene:SETIT_023296mg transcript:KQL13911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPTRRCMPAVTLALSCGDLRKAPARPCRRRWSAPPSTRMEDCPMSSMSDRGYHGTNADASACSTARLTSGSELTTAGEPHRCDVNTFPYRSRRLSTKDSGRGEYAARMNAGVSPRSGHPSEPAGTRAADPAAPLAVPRRGLIIPDDDHRRGMKCRAMTAAASSAAVTEPTTDAGGQGPTPGHIACQMVVVVPIVDRRY >KQL15114 pep chromosome:Setaria_italica_v2.0:III:17155955:17156350:-1 gene:SETIT_025007mg transcript:KQL15114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEFFSIQNTNPSGFSLPIKYTYEGLLLVEADLWDIPVNTWPMLLSLTNMYCIEWLKLHYASKMWNMTCEKTVTTFLRWAFEINCTQLQEKCMSLIALISLNRILTEDFVFVCYHHPEVIKRIHVLALRNVE >KQL14419 pep chromosome:Setaria_italica_v2.0:III:11152214:11152864:-1 gene:SETIT_024331mg transcript:KQL14419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIHGELEHFIMLEEEIMWQMFGRLMLLMSDIRSLGSTDWDDHKVTKTILRAFTPRDPTLATMIRRDSSFKTKTPNQLLGEILHQELVERDVAKSLSLRMNKNVALNASSSVMVESSPKDLKSKKEDSSDEGSTDEEITFAIRNYKKFLKKKAFKKNGDDRRKTSQRRCYKCKEVGHFIADYPHKKNKEMEEKRYKETSKDYKKKYQDQAHIGQE >KQL17306 pep chromosome:Setaria_italica_v2.0:III:49653072:49653665:-1 gene:SETIT_025706mg transcript:KQL17306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAHTGSSPKTTCHHLSRIGRSHWKPPLWGQETTRNPRRRLRKAPSFAGIANRRHLRVDLAPVNRRGDGVK >KQL17313 pep chromosome:Setaria_italica_v2.0:III:49682125:49685736:-1 gene:SETIT_024842mg transcript:KQL17313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAGAVGSVIGKLGELLQAEYKLQKGLPEQIESLKNELESAQTALSKVGEVPPEQLDPQVRLWASEVREASYDMEDILDTFLVSIVDVDAPAEKKDGLLKRLQKKMSKMFNKSKARHTIAGAIEDMKKRLQEVADRRDRFSVAVALPAPATKPDPRLADMHKEAAQLIGIDNARAELIAMLLPASHGNGDSDVSGSSSSSSRKMKIVSVVGVGGLGKTTLAKAVYDELVPRYDCGAFVPIGRTPDLAQVFSNILYLLDKNEYTAIHNVKDQSLLIGELRKFLGNKRYFIVIDDVWDIPTWKIIKSALVENNSGSTAITTTRNRDVASREEVYELRTLPLDHSEKLFKTRLFGVNGEYPASHPAVASEKILKKCGGIPLAIITMASLLVGKSREDWFDVCNAPGFYRGKGNQQVDDTEWILSLSYYHLPSYLRTCLLYLSVYLEDYEIEKDSLIWKWIAEGFVEKKRGTSLFQQGEEYFNQLINRSMIQAIESDHWGIVYGCRVHDMVLDLIRDLSYKENFVTISNDDGGTSPHQNRVRRLAHQNRIMKQTQQDDHMDMAQVRSLVAYDCHLESWVSLLHPSYKLLRVLDLEKCRVPSECWLNLKPLGNLLHLRYLGLRYTYGIRELPEEIGKLKFLQTLDLKGSACTVLPSCICQLTRLVCLHGGHLSTRAPDGLFLRKVTSLEYLCICIDNLDEESQRQFMKDVGNNSEVRVLDIYVGRFKGMVQSDLVHSLGNLRKLQHLELTDHYLDQDGVRSEGATREWDRVVLPRHLWHLNLHAILFHQLPSSFSPAHLPILRYLLLHVDHIDESSLRNLGGLPELRFLKLSTVQPSMACMATVANINTAVDGFFQKLRCCSLHGWMVQLVLNEDSTGVSFSIWNGIGAIAFGSKAKDEYNRSIAPPPVMPNLQELWSHVFVRALYKDGNGSCSDLRLECLPSLHSVQIHFDRGGASDDDAEKAVAELRNAARLHPNRPRINFHGYRVSTISESTDQDDKEVRAW >KQL14469 pep chromosome:Setaria_italica_v2.0:III:11428950:11429255:-1 gene:SETIT_024496mg transcript:KQL14469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKASSLALLLVVLTVSSAMLELAPGAGAATTCTPTQLTPCAPAIVGNAAPSAACCAKLRAHPASCFCQYKKDPNMKRYVNSPNGKKVFAACKVPLPRC >KQL16513 pep chromosome:Setaria_italica_v2.0:III:41137239:41139257:-1 gene:SETIT_021729mg transcript:KQL16513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTTTIMPMAMVSVLITFILLVLSFIFQRHRRQKPLNLPPGPRGWPVLGSLGLLAGSLPPHRALAALAARHGPLMHLRLGSFHLVVASSAETARLILKTHDLALADRPPSTWGAIVSYGYKVIVQTPYGAYWRMARKLCATELFSPRRIDSFERVRMEEMRALTRRVFESAGAAVQVKEHLINFTMRNILRMVLGEKWSGSHGGSTEGEELWRLLEEGFALSGAVTNVGEWVPWLGWLDVQGLVRRMKRVHVQLDRFNEQVLREHEEHRRRAGDGEFAARDLVDVLLQQLAEDGQEEPAETRLTRDGVKAIVQDIFAGGTETSALTMEWAMVELLRRPDAIAAAAEEIDRVVGRGRWVAESDLPNLPYVDAILKETMRLHPVGPLMIPHGGREDAVVGGYDIPAGTRVVINAWAVGRDPASWPDEPDEFRPERFLAGGGAEGVDVRGAHFQLVPFGSGRRMCPAYNLAMKEMAATVANLVHGFSWRLPDGMAPEDVSIEESFGLSMSPKEPLIAVAEPRLPAHLYTAVE >KQL14479 pep chromosome:Setaria_italica_v2.0:III:11475791:11476609:-1 gene:SETIT_024582mg transcript:KQL14479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQYPVYVKGNSPLEELSTCDVCGMEAEDEHHAVVRCNMASTLGAAMRELLLVLWRAWFNRNEITHGGKGASIVGSVKFLTCYRVELCIIRQQVQDDHKGKKQMFQEPPAGKREASHSKSTAQWKPPDPGWFKINVDGAFSERSGAGGVGVVIRDHLGAVKLAAWRVIFGASNAEEVEARACKEGADLAAEWIRAPVILESDRAMVIQYLKEENMKPACFATIQETRSAAQSRLPCCVLSHVKLMSLRRWQSG >KQL15141 pep chromosome:Setaria_italica_v2.0:III:17345254:17346642:-1 gene:SETIT_024537mg transcript:KQL15141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLLILLAVAGALAFPAAAEIKTESFREDPRSSIMFEKFGFSKSGAVRIIVTGAAVSSPVARADPKQLGFFLLSDESLLHAIDEAREGPTREKRAAATNGGGEDPDGGSGGAGAGCVLSSPYVKKLFTFHDMKGGHYNKSFPVTRPDEYTLFFANCAPEALVSMRVRTEMYNVNADGFKDYLPVGQAPVPAIYGFFAFCYVAFLAAWGYLTLSRDRVSAHQIHHLMSGLLVARLLYCLSAAEDQHYIRVTGTPHGWDVAFYLFQLVKGVILFAVIALVGTGWSFLKPVLQDREKKVLMAVIPLQVTANIAAAVIGETGPFFQGWVTWNQILLFVDVACCCAVLFPVVWSIRSLRETSKTDGKAARNLSKLTLFRQFYVVVIGYLYFTRIVVYALKTIATYKYRWVSILAEEVATLAFYLFMFYTFRPAEKSHYFSLDDDEEEAAEMVLREEALREEDFEL >KQL16604 pep chromosome:Setaria_italica_v2.0:III:42849104:42851951:1 gene:SETIT_021808mg transcript:KQL16604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGEGSGFDGAHMRRRRSSAARRPRPEGGPAADQRDNAPSPPSPSASSRSGPRRLLLSSDENAAGPDGGNRRREFLLNAPSSERATKGSIRLRSDAAGGGTRKTEGASHGAQPEGNRGSSPAGGKPGKVKLKIRNVLLKPNPDAADSRSLQAKPPRPVDSRHQLKTESAKDSDRSTSSRDKKTRKERSIEEAMAQEQSAKVQREPSSDPVRKSRRLAKKSVLDNEIDEDYDTSNVGTPEDWDGNALELKNKGGSSSKKNFSKKAKNRSKAYEVDNEFVTSRSSRDGKKRSRESADDDNTEEELTSYSEPEAEDEQKTVTESPVNVRSEPLTTRRRALQSWMDGSSSSTVEFPDGLPLAPSRSKKDKLSEEEMLAKKAEAAQRRRMQVEKATKESEAEAIRKILGLDSEKKKEERKQKEREEKERATRAQNIAASSIRWVMGPTRTIVSFPHAVGLPSIFNSKPHSYPPPREKCAGPSCTNEYKYRHSKLNLPLCSLKCYKAVQENA >KQL17315 pep chromosome:Setaria_italica_v2.0:III:49707626:49708127:1 gene:SETIT_025690mg transcript:KQL17315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLLRLGRLCSRSGKFCSLLQSIKLISSRELTNRRASCSFVKHSSN >KQL14136 pep chromosome:Setaria_italica_v2.0:III:9086074:9088318:1 gene:SETIT_022568mg transcript:KQL14136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGAGAGGHGHGGLYGDPAAADHFTAFDHDDFFFQRTPYAGEGSGGGDGLTTPYSSITDYLQGFLDPAGLAAHLDVPCRLGDDDAVKQEMEVRLIRHDGPAASAPVTPNSSSVLSSSSCEAGGADEETQRRCKNMLEGEEEEQEMDAEGSAADRNCKRSKAAEKKARGEKKPREPRVAFMTKSEVDHLEDGYRWRKYGQKAVKNSSYPRSYYRCTAARCGVKKRVERSHQDPSTVVTTYEGQHTHPRPASLLVRGGAGGGAYAAPPPQLGLGFRPDLRAMIDSYAHGTRMAPGSLLLPRPAGLPSPAPGLLQEHRRSSSHLAAAYGGAVLDFVPSAMGDGHA >KQL15449 pep chromosome:Setaria_italica_v2.0:III:20270917:20274582:1 gene:SETIT_021865mg transcript:KQL15449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGEAAALEYTPTWIVAAVCSIIVLLSLVAERFLHYIGKKLKKKNQKPLYEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPAGWTDHMLPCQRLDVKAGEINATKEHFVAAGIIGKIRRRLLSEGGAGADICLKKRKVPLLSVEAIHQLHIFIFVLAITHVIFSVTTMLLGGAQIHQWKQWENGIQKDDPGNGPKKVTDVHRHEFIKKRFKGIGKESIILSWLHSFGKQFYRSVSKSDYTTMRLGFIMTHCPGNPKFDFHRYMVRVLEADFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLFLLLAIGTKLEHVIAQLAHDVAEKHTAIEGEVVVKPSDDHFWFGKPRVILFLIHFILFQNAFEIAFFFWILVSIVVHHS >KQL15450 pep chromosome:Setaria_italica_v2.0:III:20270683:20275530:1 gene:SETIT_021865mg transcript:KQL15450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGEAAALEYTPTWIVAAVCSIIVLLSLVAERFLHYIGKKLKKKNQKPLYEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPAGWTDHMLPCQRLDVKAGEINATKEHFVAAGIIGKIRRRLLSEGGAGADICLKKRKVPLLSVEAIHQLHIFIFVLAITHVIFSVTTMLLGGAQIHQWKQWENGIQKDDPGNGPKKVTDVHRHEFIKKRFKGIGKESIILSWLHSFGKQFYRSVSKSDYTTMRLGFIMTHCPGNPKFDFHRYMVRVLEADFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLFLLLAIGTKLEHVIAQLAHDVAEKHTAIEGEVVVKPSDDHFWFGKPRVILFLIHFILFQNAFEIAFFFWILSTYGFDSCIMGQVRFIVPRLVIGYFRYLFLRLGSYSASLQLQHPASVRNCNTDGELL >KQL15448 pep chromosome:Setaria_italica_v2.0:III:20270683:20275530:1 gene:SETIT_021865mg transcript:KQL15448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGEAAALEYTPTWIVAAVCSIIVLLSLVAERFLHYIGKKLKKKNQKPLYEALLKVKEELMLLGFISLLLTVFQGMIQRTCIPAGWTDHMLPCQRLDVKAGEINATKEHFVAAGIIGKIRRRLLSEGGAGADICLKKRKVPLLSVEAIHQLHIFIFVLAITHVIFSVTTMLLGGAQIHQWKQWENGIQKDDPGNGPKKVTDVHRHEFIKKRFKGIGKESIILSWLHSFGKQFYRSVSKSDYTTMRLGFIMTHCPGNPKFDFHRYMVRVLEADFKKVVGISWYLWVFVVIFLLLNVNGWHTYFWIAFLPLFLLLAIGTKLEHVIAQLAHDVAEKHTAIEGEVVVKPSDDHFWFGKPRVILFLIHFILFQNAFEIAFFFWILSTYGFDSCIMGQVRFIVPRLVIGVLIQLLCSYSTLPLYAIVTQMGSCYKKEIFNEHVQQGVLGWAQKVKMRKGLKGAANKAESTSNADSAGPSATIEMAKAGADVEAVGNTE >KQL15140 pep chromosome:Setaria_italica_v2.0:III:17334352:17343514:1 gene:SETIT_021089mg transcript:KQL15140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHGAGAAAGSDAPHAEVVSMGQTNPSPYPPLSSSHQSWSSASGSATVSWNNPVDKPSQDTVYYDPQRDVSVAGGNQNVGSSAPHAAQLSMGVADSSHSHVPYSSSVQHGYNPVEYANYYYSYPQATNDSSVQQGANQHPGAAYQPLTSFQNSGSYIDPTSNTYYNAGGHQTVPGYGSSNYYYQNSTWNGGSSGNNYAQSYQSYSSSDTNALQSSTSMPANSIPYQQQYNQWPYYYNQSVPSASSNPVAGKSTTDNVAVNNSSGYSYPNSQPPPPGTTAWKSNSIASVAPPMQVPGVSGLQNQYANQAQDHHANQVPGVQWSQDHYAYQAQAYPQKTMNSNHAPLSNPEDQQRTVEPIGRSSNTLSNHVSENFQPNLQGSVTTNTSSESKIQIPTNPRIAPGFSMVIPKSEKKNLGADLSKKPAYVSVSMPTNDAKATQVGPDARSIPFSLRNYTIRNLNRCKDDAQRAACQSIMLEITRKAIADGTLLTKNWDTEPLLPLPESVVGMTEASSANHSNPFSSTATPRKRVKSRWEPAVDEKVTNKVEQIAKGLANSNIHIPFEPKSRTGSSWDHGKFLQSHQAPLNKVNQRPAKKQKVTSNSSQIQNGNASSDSDKEQDLTKYYASATALANSPEEKKRREHRSKRFERSQDSSLKSRNSSANKDAMANMHRRRAISSQLSRTYEDGTRAVEDMDWDALTIKGTCQEIEKRYLRLTSAPDPSIVRPEEVLEKALAMVETSQKNYFYKCDQLKSIRQDLTVQRIQNELTVKVYETHARLAMQAGDLPEYNQCQSQLKRLYAEGIKGCYFEFAAYNLLCVMLHSNNKRDLLSSMASLSKEAKQDAAVKHALAVHAAVLSGNYVIFFKLYKKAPNLNACLMDLYVERMRFEAMKCMSRSYRLTVPVGYVAQILGFLRTDSEGCTADVNDGLEECEKWLKAHGTVLSVDNNSGELQIDMKASSATLYMPEPENAVAHGDASLAVEDFLARTS >KQL13488 pep chromosome:Setaria_italica_v2.0:III:4752588:4752957:1 gene:SETIT_025750mg transcript:KQL13488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITFIKFTVSTPQAMGEVQMLKYMVEQELI >KQL13672 pep chromosome:Setaria_italica_v2.0:III:5975058:5976839:1 gene:SETIT_025242mg transcript:KQL13672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGHGEGAAWEGPYDPFLMQDDFDDVMYLLDMAAVVLDPPPLAAQAQNVAAGPSPSGDNNLLECPAKSNNDSVGNSAPTHNAGVTTGSPSRAQDVGASTSATASTSSPAATHQNALDCTGCQLLREVLHSNGFEATKLSIHGAAGVFYHATLEVYRINSEGMATSLTHQSNIECRDYEWVKRYLTGYAQQRAGGGYTVIHDSVSAFHDALCTRMSYGGGNVEGGDDRCEGEIMAAAAVENGGGDHQHQELAGAADAAAHPPVEQGDAPAAAAAAGPSEPSADNEQEQREVRPVGGRSALAIQRERASNLQLSDLARYFHLPMTEAAKHLGVCATVLKTTSRRFNIKSIDNHVAKLRRSGGNGGAAAMSEMERLTESRRKIYADLV >KQL13028 pep chromosome:Setaria_italica_v2.0:III:2205275:2206050:-1 gene:SETIT_023574mg transcript:KQL13028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGATLDRDGEGGTERKGAERNDDVRGKPRVHTDAFRPALAIPRGEARGPHGGTVERRPNVAWGGRTVGQPPQVKCFYSVSAQRTGPIFTIATLACSPAAPLLLFVSVSTRNPEPRGTLIGQDCDDAERNAGSFAILSER >KQL14681 pep chromosome:Setaria_italica_v2.0:III:12931867:12932644:-1 gene:SETIT_025579mg transcript:KQL14681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGDRPSAGGGEEPRDRWPVYIPSSYLVRLGLGFLASSTARQRLVYPGGFDFRLPVILSL >KQL13093 pep chromosome:Setaria_italica_v2.0:III:2565180:2566032:-1 gene:SETIT_023124mg transcript:KQL13093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGCKAAIGCVDARAPVRASYVSLYKWPESDAEFVKSVAMARRQGGGGGGQESPGGASASYYYSYNGSGSMRRGGGGGGLSGELAAGYCSPRVVDSYSCRQMYLRSYTFSKKKETVPERTMACLGRVRERAAVFPFLPQRGGGSAAASDAGSVGSASNIAVGRSESRDREDVGLRDRKARRSRTRRKKQKKKRCTMVRRLQEASCGAVHAIFRRLLACTTSVDVADAGARPAR >KQL13398 pep chromosome:Setaria_italica_v2.0:III:4258313:4264960:1 gene:SETIT_021183mg transcript:KQL13398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEMAARGGEAFGAEADRDFEVFRSGSAPPTVEGAMGAAAAAGSLFLDDDLRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSSHHRLRSSGLGGIGDGRRQQQPAAAAEGTVGLPGIDLGRHRSFSNVFQDDSYQRDMDRQTANHSSNDLLGSSGIQYGLHRGSGAMGGLHSSNNSRGLDEIQNNDLSSNTYASILGSSLSRSASPDPELVRRAPSPSLPPIGVKVGANDKKINGGSSSFRRSSSAIGESDDLVAALSGMSLSSRAMSGQTMDQSQLYQDVDNVQKFLFDRQGDQSNGNQQHSYMRRPEHGQSKVPDGYSPNLANSSTMRNQINAGSFTSFDNLSLGSGFASPRIGSRSPGGTISSRQNLAGMSNMLNYSGIGSPTASPSLQTPIDPAYIQYLAQLAASCDDPLMDRGHLGNSYMDLLGPQKANLGPLLQSQKQYGYYGNLGFNLGYAGSPLTSPVLPSSPIAPGSPLRHGDRSMRFPSGMRNFGGSFGSWNSGMGGKMDANLMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSTAQIKELAGQLIGRVLALSLQMYGCRVIQKAIEVVDLDLQTKMVAELEGHVMRCVRDQNGNHVIQKCIECIPQHAIEFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSTSESEPLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKTHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTAA >KQL13397 pep chromosome:Setaria_italica_v2.0:III:4258383:4264960:1 gene:SETIT_021183mg transcript:KQL13397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEMAARGGEAFGAEADRDFEVFRSGSAPPTVEGAMGAAAAAGSLFLDDDLRADPAYQSYYYSNAHLNPRLPPPLLSKEDWRSSHHRLRSSGLGGIGDGRRQQQPAAAAEGTVGLPGIDLGRHRSFSNVFQDDSYQRDMDRQTANHSSNDLLGSSGIQYGLHRGSGAMGGLHSSNNSRGLDEIQNNDLSSNTYASILGSSLSRSASPDPELVRRAPSPSLPPIGVKVGANDKKINGGSSSFRRSSSAIGESDDLVAALSGMSLSSRAMSGQTMDQSQLYQDVDNVQKFLFDRQGDQSNGNQQHSYMRRPEHGQSKVPDGYSPNLANSSTMRNQINAGSFTSFDNLSLGSGFASPRIGSRSPGGTISSRQNLAGMSNMLNYSGIGSPTASPSLQTPIDPAYIQYLAQLAASCDDPLMDRGHLGNSYMDLLGPQKANLGPLLQSQKQYGYYGNLGFNLGYAGSPLTSPVLPSSPIAPGSPLRHGDRSMRFPSGMRNFGGSFGSWNSGMGGKMDANLMPSLLEEFKSNKSKSYELSEIAGHVVEFSADQYGSRFIQQKLETASTEEKDMVFSEIMPQALTLMTDVFGNYVVQKFFEHGSTAQIKELAGQLIGRVLALSLQMYGCRVIQKAIEVVDLDLQTKMVAELEGHVMRCVRDQNGNHVIQKCIECIPQHAIEFIVSTFYGQVVMLSTHPYGCRVIQRVLEHCDDPKTQQIMMDEILQSVCLLAQDQYGNYVVQHVLEHGKPHERSAIIEKLIGQIVQMSQQKFASNVIEKCLAFGNPVERQVLIGEMLGSTSESEPLEVMMKDQFANYVVQKVLETCDDQQREMILTRIKTHLNTLKKYTYGKHIVARVEKLVAAGEKRLGLQPACTAA >KQL15260 pep chromosome:Setaria_italica_v2.0:III:18354208:18355025:1 gene:SETIT_023451mg transcript:KQL15260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLWRAVMDSVSGSSSSSSPTAPDAASGGVEFWHGAERVGWLNKQGEYIKTWRRRWFVLKQGRLFWFKDPAVTRASVPRGVIPVASCLTVKGAEDVLNRQFAFELSTPAETMYFIADSEKEKEEWINSIGRSIVQHSSSIADAEVVDYDSRPKATTQPKDSEESEPAA >KQL16606 pep chromosome:Setaria_italica_v2.0:III:42854486:42854956:1 gene:SETIT_025487mg transcript:KQL16606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCCGVDGALESHFILFLLIHLALLCHFICICISMCNTELSEVTLYSFEVLIT >KQL17279 pep chromosome:Setaria_italica_v2.0:III:49466213:49470038:1 gene:SETIT_021003mg transcript:KQL17279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASLRWVASPIANKLLADASTYLGMDMSRELQELESTILPQFELVIEAAEKSPHRGKLEKWLQDLKAAFYNAEDVLDEHEYNKLKRNAKQSKWAAMACGGSWPPGRLANLHPGNRRLLRQVNELKDILVKAKSFRKQLGVLPAVGDSSQSQGTATATTSFPTSKVLGRDMERDHIIQFLLNDEASSGSGSARISSLAIVGLGGMGKSTLAQYVYNDKRVEEYFGKRMWVCISRKLDVRRHTREIIESAEKGECPIVDNLDTLQYKLRDILQKSERFLLVLDDVWFDESNVERDWEQLLAPLASKQRGSKILVTSRRNVFPAALCCQEVFDLQDMEDSAFLTLLREHAFSGAEIRDAQLPRRLGQSPLAAKTVGSQLSRKKDVTTWTAALRSDNLSEPMTALLWSYEKLDPRLQRCFLYCSLFPKGHKYNGRELVHLWTAEGFIDLSSQSRRMEDIGSNYLNELIACSFLQPGSDRFGFRCYIMHDLLHDLAEKLSRDDCFRLEDDDMAEIPCTVRHLSVHVKSMKQHKQSICKLRHLRTVICIGPLVDDADDVFHQVLQNLKRLRVLYMCFYNKEKLPESVGELKHLRYLNVIQTTISEFPASLCTLYHLQILLFSYRVQSLPKKLCNLSKLLSFEPYGEAGSYGKRLYAELPQIPYIGKLTSLQNLDEFRVQKQKGYELRQLRDMNGLGGRLSITHLENVTRKDEAAEMMLHKKRYLEFLRLIWSSESDSHAEDSLHLDILEGLRPPAQLEGLAIEGYKSHRYPSWLLEGSYFDNLDFFRLHDCTALEGLPLNTEVFRHCSRLVISDVPNLKTLPCLPEGMAFVSIEGCPLLMFISSNEMREHDKREKIVMPEQLVSQLCLIWELDSESYPLIKRNLHEEHSSLKQLTPLVDADISQHLQTISGTLEQEKDEVYDKETIIKAWLCCHEQRIKLLYWTNIGQQLLLPSTLDDLSLSSCSITNGALGVCLGSLTLLRELSLTRIMTLTALPSEEVFQHLTALDDLRIDSCWCLRSLGGLRAATFVTEVSIECCPSLELTCGAESMPLSLETLSIDGCVLAADFLSNGLTHLKHLHMYRCRSSASLSIGHLTSLESLQLHNVPDLCMLEGLSSLQLQDVGLVDVPKLSAGSISQCCVQKSLCVSRSDIFNHLLSAEGCTGPEQVQIQSCNETSIFFETSANFTSVKELVISECRIQSLPKNMKDLSCMEKLEIAECPNISSLPDLPSSLKQITIYNCKLLSKDCREPDGISWPKIAHIPWRYIN >KQL14837 pep chromosome:Setaria_italica_v2.0:III:14236223:14237795:1 gene:SETIT_023414mg transcript:KQL14837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQPTLLTPRPLCCCSHALLYPRFRKLPPRFSNGAYSTARPLDRSEFLGKGDKLAWRTAGRRRRRLGAAAAGRGPFFGGGGRRMGKGTGRVVGNLAFAAVLTYLAVTGQLRWVLDAIVSLWLLTILLPILALGAFFFFAGQDILQGDVSLPINLAFSDSLILMIDLYLPVEKVSV >KQL15733 pep chromosome:Setaria_italica_v2.0:III:23152925:23157456:1 gene:SETIT_022614mg transcript:KQL15733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANNLPPASTVIDMYKANGISAMRLYAPDQGALQAVGGSGISVTVGAPNDVLSNIAASPAAAASWVRNNIQAYPSVSFRYICVGNEVAGGAAQNLAPAMENVHAALAAAGLGHIKVTTSVSQAILGVYSPPSAAEFTGEAKGYMGPVLSFLARTGSPLMANIYPYLAWAYNPSAMDMSYALFTSKGTVVQDGAYGYQNLFDTTVDAFYFAMGRHGGSGVPLVVSESGWPSGGGEQANAANARIYNQYLINHVGRGTPRHPGGIETYLFSMFNENQKDSGVEQNWGLFYPNMQHVYPISF >KQL15734 pep chromosome:Setaria_italica_v2.0:III:23152925:23157456:1 gene:SETIT_022614mg transcript:KQL15734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAEAIGVCYGMSANNLPPASTVIDMYKANGISAMRLYAPDQGALQAVGGSGISVTVGAPNDVLSNIAASPAAAASWVRNNIQAYPSVSFRYICVGNEVAGGAAQNLAPAMENVHAALAAAGLGHIKVTTSVSQAILGVYSPPSAAEFTGEAKGYMGPVLSFLARTGSPLMANIYPYLAWAYNPSAMDMSYALFTSKGTVVQDGAYGYQNLFDTTVDAFYFAMGRHGGSGVPLVVSESGWPSGGGEQANAANARIYNQYLINHVGRGTPRHPGGIETYLFSMFNENQKDSGVEQNWGLFYPNMQHVYPISF >KQL15732 pep chromosome:Setaria_italica_v2.0:III:23152925:23157456:1 gene:SETIT_022614mg transcript:KQL15732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGVAAMFAMALLLGVFASIPQSAEAIGVCYGMSANNLPPASTVIDMYKANGISAMRLYAPDQGALQAVGGSGISVTVGAPNDVLSNIAASPAAAASWVRNNIQAYPSVSFRYICVGNEVAGGAAQNLAPAMENVHAALAAAGLGHIKVTTSVSQAILGVYSPPSAAEFTGEAKGYMGPVLSFLARTGSPLMANIYPYLAWAYNPSAMDMSYALFTSKGTVVQDGAYGYQNLFDTTVDAFYFAMGRHGGSGVPLVVSESGWPSGGGEQANAANARIYNQYLINHVGRGTPRHPGGIETYLFSMFNENQKDSGVEQNWGLFYPNMQHVYPISF >KQL15731 pep chromosome:Setaria_italica_v2.0:III:23154586:23157456:1 gene:SETIT_022614mg transcript:KQL15731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANNLPPASTVIDMYKANGISAMRLYAPDQGALQAVGGSGISVTVGAPNDVLSNIAASPAAAASWVRNNIQAYPSVSFRYICVGNEVAGGAAQNLAPAMENVHAALAAAGLGHIKVTTSVSQAILGVYSPPSAAEFTGEAKGYMGPVLSFLARTGSPLMANIYPYLAWAYNPSAMDMSYALFTSKGTVVQDGAYGYQNLFDTTVDAFYFAMGRHGGSGVPLVVSESGWPSGGGEQANAANARIYNQYLINHVGRGTPRHPGGIETYLFSMFNENQKDSGVEQNWGLFYPNMQHVYPISF >KQL16910 pep chromosome:Setaria_italica_v2.0:III:46618836:46620138:-1 gene:SETIT_024832mg transcript:KQL16910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRQAKVGTWMTRRCGMPRSAWMSRTNIPILRPYSLASDHLTVSLSPWYQSRAPIRPPRSVPLAQSAIRVPPTAQGTRATTGAPARPERSSALANGIPGPTPTAWLLEASMPWWSGSRACSQRRSGERRRTAGEGAQSEGTTPSQRLATTPPRCSRWGRMSRGMASRDFLGAFGGGGVRGGGEGARPQAEDAAAGGAAGGESDDVELSLGLSLGGRFGTEAKRQRLARSSSIASVCSVSSLDGDDADPSPAAPLPLLRPSSLPTETEEERWRRREMQSRRRLEARRKRVERRNSMGGAPPSAPPKPGGEATATAAANSVQLRRSVGSQGSNSVNTAEQGFVATPARTERREPTGHSRQPRSSMSRVASSAESAAAAAGAALGGGAVALRGTEE >KQL15814 pep chromosome:Setaria_italica_v2.0:III:24176121:24180053:-1 gene:SETIT_021953mg transcript:KQL15814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAEFKMEDANGAVDLEVDIIGPGGAAACSKLNTVEDPDATECSSSFGDTLSGSQDDGRPSEISDIEVDSPFCRYPHNGDAAALLDAAASDNLDRLFKKKKVTDHWRKYISPLMWRCQWLELRMKDLQSQVSKYDKELAALKHEKELQTKMIELDCSSSRSVPFSSLCCRKTMKRRRRKRNEDKMDASSYISNHTILSYFEKTEADGHSIEDNANLADDNTKGNNDADWLLGIEGGGTTVEHILLSIQAAQDRIFSLRSNLKQAMPKNKGITLKVNTWVNGTQSSNCSPGKGKVAGLHERSPQDTSDCDIDDSAMPDSALSSYGEASNMDIFESTMSLLSEGPHQIGEFRESSEDVLIDNQAAEEGYQNFEVISHPTKRLRVSVKREAGAHSEDESAAPLVAVKKEEAREEATTSFSLHGAFLKPYFTGKRQERKPKKQMKRRRGCPTAAAAALISWRCKRIRKKKQF >KQL13213 pep chromosome:Setaria_italica_v2.0:III:3208038:3208544:-1 gene:SETIT_024401mg transcript:KQL13213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDDLTSQVNWVAWHLTQQLEEAAATEQHRIMVNTHPVSRVSRVPDHLRVHNRDAYTPGLVAIGPLHSKDAERRLRPGNQLKRTYLNSLISRGHPDASRHDVIQGYVRLVAARKHEAHAMYAAEDFDGITDVEFIQMLVLDGCFIIEHLVNVTTGKDEPLLHATPFGP >KQL14902 pep chromosome:Setaria_italica_v2.0:III:14738384:14739165:-1 gene:SETIT_024971mg transcript:KQL14902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKLVALFLAFTVAAAALQPSEAARVQAQQGSKPASTSLEAEKVAAQADGGVPSAPTLPGVAAGQLPPGLLPAILGLLFPPLGSIIGMIQPLLPPPGSPPQQGGVLGGILPRTSPSPPAPAECMTPLSAMMPCTDYLTNMTVLTPPGECCDGLKTIIRDAPICLCHGMNGGLNQFLPKPVDPLRMNVLPLACGTVLPIQTLFMCNSNQVPPIMPPMTPATP >KQL14780 pep chromosome:Setaria_italica_v2.0:III:13820943:13827692:-1 gene:SETIT_021861mg transcript:KQL14780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERRYTEQEEALEIKSLRRILAAYANYQDAAERDVKRYERSFKMLPPAHKELLFHLGLKYQRLRWCISMNAAFIMNMLEAFEHPFDMSRYLDVGGDDHPSNMHDHIHVDCTHSSGRGDCSTISTSRSNTSLDEQHDNPQEDAKAHGSSSETVNKKDEEDHTARCSQPVGSNLGTSQGVHVSCNGDTDTSTAYCQDKDVSASSAVDNVTPRHCAGSLFKLNVPPIDVDKVRCIIRNIVRDWAEEGQKERDECYKPILEELNRLFPNRSNERPPSCLVPGAGLGRLALEISSLGFVSQGNEFSYYMLICSSFILNHTQEANEWTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSSAGITDGFSMCAGDFVEVYSEESQESAWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDDMSIELSLEDVKKVAYHYGFVMEVEKMIETTYTANMRAMMQNRYRAAFWTMRKNASRAKAQKPR >KQL14781 pep chromosome:Setaria_italica_v2.0:III:13822599:13827692:-1 gene:SETIT_021861mg transcript:KQL14781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERRYTEQEEALEIKSLRRILAAYANYQDAAERDVKRYERSFKMLPPAHKELLFHLGLKYQRLRWCISMNAAFIMNMLEAFEHPFDMSRYLDVGGDDHPSNMHDHIHVDCTHSSGRGDCSTISTSRSNTSLDEQHDNPQEDAKAHGSSSETVNKKDEEDHTARCSQPVGSNLGTSQGVHVSCNGDTDTSTAYCQDKDVSASSAVDNVTPRHCAGSLFKLNVPPIDVDKVRCIIRNIVRDWAEEGQKERDECYKPILEELNRLFPNRSNERPPSCLVPGAGLGRLALEISSLGFVSQGNEFSYYMLICSSFILNQYDNLYMPNYLLFILHNANDISILTTLPAPKRLMNGLYILGYTVTAILFQTMINFGLFHFLISIPQVQVSRMDFQCVLGIL >KQL14779 pep chromosome:Setaria_italica_v2.0:III:13822164:13827654:-1 gene:SETIT_021861mg transcript:KQL14779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERRYTEQEEALEIKSLRRILAAYANYQDAAERDVKRYERSFKMLPPAHKELLFHLGLKYQRLRWCISMNAAFIMNMLEAFEHPFDMSRYLDVGGDDHPSNMHDHIHVDCTHSSGRGDCSTISTSRSNTSLDEQHDNPQEDAKAHGSSSETVNKKDEEDHTARCSQPVGSNLGTSQGVHVSCNGDTDTSTAYCQDKDVSASSAVDNVTPRHCAGSLFKLNVPPIDVDKVRCIIRNIVRDWAEEGQKERDECYKPILEELNRLFPNRSNERPPSCLVPGAGLGRLALEISSLGFVSQGNEFSYYMLICSSFILNHTQEANEWTIYPWIHSNCNSLSDNDQLRPVSFPDIHPSSAGITDGFSMCAGDFVEVYSEESQESAWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADSYGPDDVIS >KQL16050 pep chromosome:Setaria_italica_v2.0:III:27602250:27602779:-1 gene:SETIT_023698mg transcript:KQL16050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPMGCLLPYCSQIALPTADGLPVAFSLSPARSPRPAAPPSSPTAGASESASAAHLHTNHSNQDAPATIPTQPGVLCYLRPAAPQPPRRPAPATTTAAGPATSRAILYNWT >KQL16261 pep chromosome:Setaria_italica_v2.0:III:35352971:35353427:-1 gene:SETIT_025520mg transcript:KQL16261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLRQVVSKHAILCKWHAMYLCHIISRLENIKTPMTTALTQLRADILD >KQL15543 pep chromosome:Setaria_italica_v2.0:III:21222851:21223480:1 gene:SETIT_023705mg transcript:KQL15543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKYGTKQMGLTVYVQINTKGAASRQAMATSRQHPAPLIVNPRISKLPGGILASDWREELHFWNVNIKHKLYHENMCAPLFHESLLKFHQILYIILHTECCGHCFSLYLK >KQL17334 pep chromosome:Setaria_italica_v2.0:III:49785962:49787134:1 gene:SETIT_023849mg transcript:KQL17334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTVTLYFTLADAIYRTGRMSNCKQKSQTMLFFNEHGHTELDIDRQMYALISKSKRHRIFISVKMETLSQNSCWNCVL >KQL15397 pep chromosome:Setaria_italica_v2.0:III:19601422:19603319:-1 gene:SETIT_023589mg transcript:KQL15397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQETPVAVEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHVAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >KQL15396 pep chromosome:Setaria_italica_v2.0:III:19601589:19603133:-1 gene:SETIT_023589mg transcript:KQL15396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQETPVAVEAPTPVLGEPMDLMTALQLVMKKSGAHDGLVKGLREAAKAIEKHVAQLCVLAEDCDQPDYVKLVKALCAEHNVHLVTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGEESEGLNIVQEYVKSH >KQL13618 pep chromosome:Setaria_italica_v2.0:III:5586016:5586807:-1 gene:SETIT_025132mg transcript:KQL13618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHAAVATPRAGAEESMFLPFLAMFASVYLVGYLIVFRRWGARPRAEAASCFTSLFHGTPAALLALRAVLSRHRAAAGHLAVLAAPNTAAEGLVLDFSTAYFAVDLAHYLLFLPGEALFVAHHLATLYVLATCRHAAGAGAAALLPLEVLAEATSAAQNVWTLAGMRRRDSPLAARVYAALSPPFYAAYTAARAALGPAWFVRVVGFFYASSGGGGRVPAWAWASWTVVIGAGIAVSILWVGNLWLEYFRERKESKLLESSKQQ >KQL15473 pep chromosome:Setaria_italica_v2.0:III:20550962:20551547:1 gene:SETIT_023879mg transcript:KQL15473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESSTDGYLMKVGSASRFPDASHAYCSLVLLPFPFDSHNIEVSGCLFIFSSNTKVSGVWCLVGSVPDLITMLE >KQL16415 pep chromosome:Setaria_italica_v2.0:III:39553699:39554226:1 gene:SETIT_023663mg transcript:KQL16415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGCAGAEDIEIYQGQASPLPSGVPAYKVDVVNRCLGGLDGDSGSVECAIAGIHVRCGWFSSVSLVDPSKFRRLGHDDCLLNDGRPLLGGETISFEYANSFKYDLSVRVATCVDPTAYP >KQL17453 pep chromosome:Setaria_italica_v2.0:III:50524608:50525536:-1 gene:SETIT_025169mg transcript:KQL17453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLEWGRSEAYVAAEAYLSAPCADRADLPDGPLLGHPSTFATLAMDPDRKQEIVDDLDMFRDGKDYYASVGKAWKRGYLLFGPPRTGKSTMIAAMANYLDYDIYDLELTAVKNNTELRRLFIETTGKSIIVIEDIDCSIDLTGKRNKNNKKKDKNKKMPWEEEDDKVTLSGLLNFIDGLWSARGGARIITIFTTNHKDKLDPAGADPHRDVLLLLPGLEGARQELPGRGRPRALRRGPAAAGGGQHDSGGRGEELDAQLPRSKNRDVDACLAKLVKALNDAKDAALAEPLLALGGVWETPVKV >KQL13264 pep chromosome:Setaria_italica_v2.0:III:3473249:3475092:1 gene:SETIT_023617mg transcript:KQL13264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISGRVLAWRFVCMGSSERILLTSRSGSRGTGHLVSTRPCARKKKATPPPAAVATTPPVRGPPPKATDRGTPFSLGTTPATIKVAPTDPIPGTTPASGPFEKSRRELGNFEKLKWILKEEEFEEAQIALKDH >KQL14107 pep chromosome:Setaria_italica_v2.0:III:8986103:8988415:-1 gene:SETIT_024111mg transcript:KQL14107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSYHYNLSTACDINVTIFNNLTESYSKQLNDTSTLSTSFIMFVLTALFFNLNLFSGLSHVSAILDPKIRLGLTSALSLFLPVMSYLFSEAKNGSDVGPKSELPLRARFILIWMLLVELLRKKVEVIKMQGYSGTIERAGRVLWLGSLVFSNLQEATGRKAMTGILWLLCATKLVQRISFTEVGKRSLAFGKNARVITSYMAQVLEKDQQGHRFRPEDRDELLKGCQYAVMEEDDLVVEAIPSGYRLRDDANVVATVDKIWGLLDTDPLLDSLDRDQRLRMLCLSFSLFKLLRRRFERLPAMTPAETRNYREVILKALYDESTSAAEVMFQVTNDELNFLCEYYHSVVPVVLASPFFLLANYFLLPLVVFVMCLVVIVLCSNGDVPFAFRSIKDDDYFTFFGITQMTPCLRQFFKSPVVFFCTVDFSITSLLFLMFIYEEVWEFFVFLFSDWFLVSLLCKYATKPQWHNSRAFGRSIRCILFARSLMSRPGIRFHQFCVLKFCGLAMPAQLSVKVPILPTIPVPREVKHSVMEYLSKLHDRDGNHTSLTLSNGRLALAGHPELSQFCESDSVAEVILTWHIATSLLEVKHPPQGKNNVATSLSKYCAYLVAFHPELLPDNQDSAELVFKGMKAELYDLLGFWDYFLSSCARTRHRKIMASSPAEAAAAATTVVQKGAALGRILERKAAHPGEGVWKVLANLWVELFVYIAPSSNEECVAGHENVLAKGGEFITVLWAMATHAGISRPADAPPVEVAIERIMGTTRDVSV >KQL13777 pep chromosome:Setaria_italica_v2.0:III:6682252:6684252:-1 gene:SETIT_024412mg transcript:KQL13777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGADPGAARTVVAVVGIVVAAAAVLSPAAAGGLGKEAAALVAIRAALHDPGQVLRGWDPKSGDPCHWNMVTCYGGHVQELSMTQQNLSGTLSPAIGRLRSLTYLDISFNNLSDDSQVMDKKVIIFGIYIGIGCILLAALVAGAVVLIWQWRRRQRVFAVADDEDPSLTYADFIQHYLLTMIIIP >KQL14571 pep chromosome:Setaria_italica_v2.0:III:12052059:12068838:-1 gene:SETIT_025303mg transcript:KQL14571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AATLKIVVGSHIWLEDKDLAWIDGEVARIEGQNAHVHATNGKTVIVSIPDIHPKDTEVPTNGIDDMTRLSYLHEPGVLNNLAIRYAKNIIYTYTGNILIAINPFQRLPHLSEPHTMEKYKGANFGELDPHVFAIADVSYRQMMNEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRSRTGGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDIKRYKLAEPSSFHYLNQSTCIKLDEISDAKEYLATRSAMNTVGITEQEQEATFRVVAAVLHLGNISFVKGREVDSSLLKDEKARFHLNAAAELLMCDGGNLENVLIKRKINTPEGVITTTVDPNSATVSRDGLAKQIYSRLFDWLVNRLNASIGQDTSSDRLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYNREQIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKCTHESFSQKLYEKFRNNKRFSKPKLSRTAFNIQHYAGEVTYQSDHFLDKNRDYVVVEHQELLNASKCSFVSGLFPSVLEENTKASKSSIATRFKWQLQELMETLSSTEPHYIRCIKPNNNLKPTTFENINVLQQLRCSGVLEAIRISCAGYPTRKIFHDFLCRFRILAPEVFMERNNEKVTCQKILDKIGLQGYQIGRTKVFLRAGQMAELDARRTEVRNKAARVVQSRFRTHVAREQFLVLRKTSLSFQSFVRVILACKLRVFLRKQAAALHLQKSYRCYLAWNSYSKLRSSAITLQTGLRAFGAYKEYILRKQNKATIRIQAARDTEALKVEKEKLEEHVVELTSCLGLEKKLRIDLEKNKAGEISKLQAALREMEQRVEEATKIQERELGKRAIEEALTQEREKITSLTNEVEELKVLLQREQEENSATKSALVIAREENDALTTKIVSADENIEQLRDAVKRFEKNVTELESSLMMEKEQNTTTRRELSEAHQRDEELLRQISDAKEKSTELQTVVQRLQESLIEGEATLLTERQESEATKQSLNEAHVKIEELLNKIEVAEQDISNFQDNIQRLEETVTTLETSLLAEKQQSTATFSQLTEAQQGIEVLQKKFADARRTNDLLQDSLKRCEENATTRDALYVAERQEHDQTKQALLKAQERNWELLRKVDDSEKTINKMLENAQRLEKHATARESLLLKTKQNLDCTVKALTEAQGRNRDLMTSFEDSAKKINMLEDSVNRLEERTAEKDSLLEVERQENKTTKEEIANAQKKINELVHESQQSQETRKQLEDTIKRSEADATSRDALLLSEKQAHETTKKVLTETQCRNEELIKKIQDYDKNTLQLQLTVERLQENASATEVLLLREREQNSATMKAQAESQERILQLLKKLEDVDKKIGLLQGSIQRLGDNTAKDTLLISERHEKDALKKALTESEYKNEELLMKAEEANKKAEHLQNTINSVKEDMTASLEAERQENEAIRRSLVEAQERNEVLFKKVRDSEYRAHQLQDTVQKLQVDAISRLSNFVMEKQEGNGIKSARTEAHGRNDDLIRRNEDLLKRNDDLVKKIENSGVLVSQLRENLESLEGKAADLEAENQVLRQQAIATPPSTAKSQAACPKISMIHRCQEDGHISNGNVAYAEMKSSVAPTETRASMGSSPDLTNHKDYENGQKLFNEVYQHQQPLNHQQLLLKYITQYLGFSSSKPIAAPLIYYSLLHWRSFEEAKTGVFDSIIQVVNSATEAQHDTRGLAYWLSNLSTLSVLLQRSFKVARATVSTPNRRRFSCERIFQVNQTSNSGLAYFSAQSVDGASGFHQIEAKYPALLFKQQLVDQIEKVYGMISDRMKKELNPLLELCIQDPRTSYSNQAKALLSPASGLGQQEQLVHWLSIVKIFNNYLHILRANHVPSILVHKLLTQIFSMVNVQLFNRLLLRRECCSFSNGQYIKDGLTQLKHWCNDVSREFADSAWAALRHIRQAVDFVVISLKPIRSWEEIHNDICPALSLQQLERIVGMYWDDLNGSNVTSAEFISSMRAMLREESNSVSSFSVLLDDDSSIPFSLEDIAKSMPNIEETSVNDLLPFIRENQSFTFILQ >KQL16194 pep chromosome:Setaria_italica_v2.0:III:34193143:34195165:-1 gene:SETIT_024633mg transcript:KQL16194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADGRGSGGRKGSSPAKPAEGRTSSLLAGLPSRGNFTESDVASSMGGLKVYICLHDTAPPEGQVVKTDTNNILIRALQLSKHKSEAKDMSSKMPGESSRGKRRTEVQYISLSSGSLVSVLCLIAGVAYF >KQL16638 pep chromosome:Setaria_italica_v2.0:III:43221496:43222185:1 gene:SETIT_023905mg transcript:KQL16638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSPRILLTCLRSSTSAQSNIESHHLRQPHQMAPAAPQAWTPAQPSFPEQAYGPSCPLFPGSGHGNDW >KQL15889 pep chromosome:Setaria_italica_v2.0:III:25048539:25049456:-1 gene:SETIT_024951mg transcript:KQL15889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQRSATLLLASLAFVICTLIARCSSSKEAMELFERACHCFDDPNIYGHCAEEFRLNAEGAFHVQRTEVDEYCGGPCLEETKLALQCVEEVAAENFRFSNGASVLAVRQALGTGCGYGPDRGTFEIRERRDCIGGGAGEYYHRNHAQEKPVAGGGRYYGEGDEQPYEQGAGYGEGEEHCYGYGDAGRLAERRGILLQIMPVLVASAALLLKL >KQL16994 pep chromosome:Setaria_italica_v2.0:III:47440375:47441867:-1 gene:SETIT_022813mg transcript:KQL16994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGLITEVGWTEFDFLSHGEESEVMAQLLGGFPSHGEEGHQDLPWSDQASNAYSDNIGSSLAVQPAYEGYYLSNSNEALGISSCIAPDDLGSVQEYGATEFVNMFSNHSPNFYGNGDRSCEDLDVPNMSMLDSVSATNKRKHLAEELDGQTRGRKCARKVQTKRTKRAKQSRDEDASRSPTSCCTSDSDSNASLESLDADALPKGKARAGRGATTEPQSIYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVHYVKFLQLQIRLLSSDDMWMYAPIAYNGMNIGIDLSMDR >KQL16626 pep chromosome:Setaria_italica_v2.0:III:43143697:43144620:-1 gene:SETIT_024469mg transcript:KQL16626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPGDHCPSSSGGGGGGDGSACSTPFVSAPSSPARDPSFSAAGCFYSAPASPARGGPGTEDDYGCELGFDFDFDFSSRCPSPAAAAMSSADELFHNGQIRPMRLASFLLRPQALPPLNGDVPAGRPAPPPPEAEAKAAPADERGRFRSRSVHRRSRSLSAASSGEAVAGEVAPSASRSSSSSSTASSASSSSSRSYRRWGFLKDFLHRSKSDSSKHPPVPSNPLPPPPAPKRNLSPAASRGRGRARGSAHARLYEARRAETEEMRRRTFLPYRQGLLLGCLGLGSPGYGAMHGLAAAVAAGKSRP >KQL14281 pep chromosome:Setaria_italica_v2.0:III:10085536:10087892:1 gene:SETIT_023696mg transcript:KQL14281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLRAPTVLDATTWQQAPDSPWPHHLLLSERLSSLAAGRIKGPAARQLCQAGRRTCEVRAARRRYGRSAVARPSCAALPTPIKFSADSSLSSPLFSSFQCLMATAKNKDHI >KQL16143 pep chromosome:Setaria_italica_v2.0:III:31055092:31057575:-1 gene:SETIT_024672mg transcript:KQL16143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAMAEALKPMKATSDGVFQGENPLHSALPLAILQICIVVVLTRVLAFILRPLRQPRVIAEIIGGILLGPSALGRSTAFLNTVFPKQSLTVLDTLANIGLLYFLFLVGLELDLHAIRRTGSSTLIIAIAGISLPFIIGIGTSFVLQHTVARGVPTGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVVAWILLALAIALSGSGSPLISLWVLLTGAGFVVVAFFLLRPILTWMARRSPEGEPVKELYICATLAIVLAAGFTTDTIGIHALFGAFIVGIIVPKDGSFAAVLLEKVEDLISSLFLPLYFVSSGLKTDVMTIKGSQSWALLVLVVGTTCVGKIGGTLIASLLMRVPLREALTLGFLMNTKGLVELIVLNIGKDRHVLNDETFAILVLMALITTFITTPVVMAIYKPAHRGAPYKNRAVQRGNPNDEFRMMACFHSTRNIPTIINLMESSRGTRRRGIIVYAMHLVELSERSSAISMVHKARRNGMPFWNRRRDGDGDQLVVAFETYQQLSRVSIRAMTAISDLHTIHEDIVTSAHQKRAALIVLPFHKLHQMDGHMESLGDQYQHINQRVVHHAPCSVGILVDRGLGGAAQVAASDVSYTIVVIFFGGHDDREALAYGMRMVEHPGIGLHMLRFSPQSDACDRAADDTFLEEFRTKVANGNESVRYEEKPVGGKAEVVEAFKAVGRCNLFLVGQGTPCAPLADRSTDDYPELGPVGSYLALPEFSTVASVLVMKQYDPTAKHYDLVEEVAEIAVDVDTPAEATGAPTPASMLD >KQL13051 pep chromosome:Setaria_italica_v2.0:III:2319305:2319638:-1 gene:SETIT_025739mg transcript:KQL13051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQTYLKLTIYAPRYFSNIKPLSYFYVGRLI >KQL14609 pep chromosome:Setaria_italica_v2.0:III:12363553:12364835:1 gene:SETIT_023552mg transcript:KQL14609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACKSDHLRIRVHARCAMNFHTRDPTRTPQTRTHADTRHCSREGIHPPFTRVLPSQARASVAAAAMGPGGSRARQNAMRSGVVVLGAVAFGYLSFRVGFKPYLDQAQEAMNSSHDPAAAAAARDASDDRPGDGADLAPSKDPAVVLRD >KQL14702 pep chromosome:Setaria_italica_v2.0:III:13015941:13018585:1 gene:SETIT_021144mg transcript:KQL14702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAVDKGGILRQAITGASGGDGAAGDSFERVLSNALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSAARVRAELEKLRGGEGRRVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVADTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELMFSLQEAERRMDLARVADLKYGALQEIDAAIARLEGETGENLMLTENVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQAEAVSAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVCNSNHPEPSMCIRNFSSSLLCDAQSEWVCFVCVCR >KQL14703 pep chromosome:Setaria_italica_v2.0:III:13015769:13019449:1 gene:SETIT_021144mg transcript:KQL14703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAVDKGGILRQAITGASGGDGAAGDSFERVLSNALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSAARVRAELEKLRGGEGRRVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVADTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELMFSLQEAERRMDLARVADLKYGALQEIDAAIARLEGETGENLMLTENVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQAEAVSAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGIALAVTDAALDIILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAAAKDELAYKVDRSGGLVNAETGQKSDILIQVPNGAVRADAAQAVKKMRIMEEEDEDGMDEE >KQL14701 pep chromosome:Setaria_italica_v2.0:III:13015769:13019449:1 gene:SETIT_021144mg transcript:KQL14701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDNFTHKTNEALVAAHEMASEAGHAQLTPLHLAAALAVDKGGILRQAITGASGGDGAAGDSFERVLSNALKKLPSQSPPPDSVPASTALIKVIRRAQSAQKKRGDSHLAVDQLLLGLLEDSQISDCLKEAGVSAARVRAELEKLRGGEGRRVESASGDTNFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVFVAEPSVADTISILRGLKEKYEGHHGVRIQDRALVVAAQLSARYIMGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVELHALEKEKDKASKARLVEVKKELDDLRDKLQPLTMKYRKEKERIDEIRKLKQRREELMFSLQEAERRMDLARVADLKYGALQEIDAAIARLEGETGENLMLTENVGPEQIAEVVSRWTGIPVTRLGQNDKERLVGLADRLHQRVVGQAEAVSAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVSVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGKNSMKVARDLVMQEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGIALAVTDAALDIILSLSYDPVYGARPIRRWIEKRVVTQLSKMLIQEEIDENCTVYIDAAAAKDELAYKVDRSGGLVNAETGQKSDILIQVPNGAVRADAAQAVKKMRIMEEEDEDGMDEE >KQL13291 pep chromosome:Setaria_italica_v2.0:III:3658427:3658935:-1 gene:SETIT_023808mg transcript:KQL13291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKEMFSWGKSKSPAPAEGAGAASRAAGEVAVQKVEKIDQVLSLVPRPRPPVFGGVCTLWDGGRAAKGDDIDRQAQEFINRQRSMW >KQL17143 pep chromosome:Setaria_italica_v2.0:III:48515101:48515287:-1 gene:SETIT_024970mg transcript:KQL17143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGFYGRGDILDAADARLKGEFDAGEMETCAHPDRSLRPSVRQAINVLQLDC >KQL16868 pep chromosome:Setaria_italica_v2.0:III:46114308:46114775:-1 gene:SETIT_023751mg transcript:KQL16868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKRCPYKVAQDNMKGKSFHQKIKYRAPIYGRTTCNDTISNSRMIYLRRLPQMGTLCSEFRYIESRTNIRVPEQEPNQNNTMIQLQQTLLPQECCCRTQPN >KQL14504 pep chromosome:Setaria_italica_v2.0:III:11620636:11626446:-1 gene:SETIT_024275mg transcript:KQL14504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESAATAAADVVKGGEGEENGKKAMTMAKVPFYEVFKYADSTDVVLMLVGMVGALGNGMSMVIMTIIFGQMIDAFGAATPDTIGHRVNKKIDLLKLKMILLSQTPGFSSISVSYISADTTLIQGAIGEKVGRFLQLVTTFFGGFVLAFIKGWLLTLVMLSTIPPFIAAAGIVAKMLSKISSQGLASYSDAGDIVEETIGSIRTVASFNGEKKAIVLYNNLIKKAYKGAVKEGAVQGFGMGLLSLLYFSTFGLIIWYGSKLSLTKGYSGADILNIMFAIMIGARSLGDATPCIASFEEGRVAAYRLFKTIERRPEIDCEDSTGIVLEDIKGEVELKDVFFSYPGRPDQLIFDGFSVHVSSGTTMAIVGESGSGKSTVINLVERFYDPQAGEVLIDGMNIKSFRLDWIRGEIGLVNQEPLLFMTSIRENITYGKGDATLEEIKRAAELANAASFIENLPNGYDTTVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDLESERIVQDALNRIMVGRTTLVVAHRLSTVRNAHCISVVSKGKLVEQGHHDELVKDPNGAYSQLIRLQETQQQNDRKSDARLSGSASKRSGSLRRSVSRSSGGSSRHSLSLPFGVPSPTELLEYNFADAARQNENADDKVPNKAPMGRLISLNKPEAAVLLFGSIAAAIDGAIFPTISLAMASAAKIFYESPDQQRRDSTFWALLCVVLGAIALISKLASSFLFAIAGGKLIERIRALTFQNIVHQEVAWFDHPANSSGALNGRLCIDALNVRRLVGDNLALLVQSTATLICGIVIAMVVDWKLSLVILVVIPLVGLQGYAQVKFLQGFSQDAKTMYEEASQVATEAVGSIRTVASFCAEKRVMDKYNQKCQASRDQGIRTGIVGGLGLGFSYLMLYASSALCYYVGAKFVSQGKSTFGNVFKAYFALVLAMIGVAQTNAMASDSAKANDSTTSIFSILDRKSQIDSSSEEGSTLVNVKGDIDFKHVSFKYPSRPDVQIFTDFTLSIPSGKTVALVGQSGSGKSTVIALLERFYEPDSGVILLDRMEIGSLKISWLRDQMGLVSQEPVLFSGTIRDNIAYGKEEEVTEEEIAMAARAANAHDFISSMPQGYNTTVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQDALDRVMVGRTTIIVAHRLSTIQGADMIAVLKDGVIVEKGRHEKLMGVSGGAYASLVRTM >KQL13357 pep chromosome:Setaria_italica_v2.0:III:3995909:3996373:-1 gene:SETIT_023519mg transcript:KQL13357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAAKVKKAAVGRKLGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGRLLAGVTIAHGGVLPNINPVLLPKKAAERAEKAEKAAKSPKKAATKSPKK >KQL13356 pep chromosome:Setaria_italica_v2.0:III:3995674:3996448:-1 gene:SETIT_023519mg transcript:KQL13356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAAKVKKAAVGRKLGGPKKKPVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAIRNDEELGRLLAGVTIAHGGVLPNINPVLLPKKSPKKAATKSPKK >KQL14257 pep chromosome:Setaria_italica_v2.0:III:9930748:9934454:1 gene:SETIT_022055mg transcript:KQL14257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSSSSPTACFLSPPCPPPRRPRHFLKHLACAAATKPAPAASSNTSSSRSLALPLPAPTPWPWPPRWLGELVPAEAAGRLLSSAAGSLIVALASAALILGDAGAASAFVVATPRKLQADELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDKSGHIVTNFHVIRGASDLRVTLADQSVYEAQVVGFDQDKDVAVLRIKAPKDKLRPIPVGVSADLLVGQKVYAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGVNTAIYSPSGASSGVGFSIPVDTVGGIVDQLIKFGKVTRPILGIKFAPDQSVEQLGLSGVLVLDAPSNGPAGKAGLQSTKRDAYGRLILGDIITSVNGTKVTNGSDLYRILDQCKVGETVTVEVLRGDHKEKIPVILEPKPDES >KQL16562 pep chromosome:Setaria_italica_v2.0:III:42426830:42429944:1 gene:SETIT_022451mg transcript:KQL16562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAAVESSSATAVARALLVVLAAAALCSSAAAGEPELIISAGRSIARRHAVPAVYVFGGSLVDAGNNDFLPPPAPRAVPPNGVDLPRTVLRRTGRFTNGYNLADIIAQHVGFKKSPPAYLSLMPLSSFHLLRGRVGTNFASGGSGILNATVQLFAKTKATIIRAGLVGRERLDSLLARSLFLISTGGNDFAAFGHGGGVPMSQAPEFIAGMVTDYLSYINRAITANGECNDVGNYLSELFNSLLRAEMAKAVAASMPGMKYSIASLYNVFSDMIANPTLAGLREVKRGCCGSGKFNGEVDCTMGTSLCTDRGKYLFWDKVHGTQAAYRWAVLAFFHGTMRDAEPINLDQLLMAPYSSM >KQL14661 pep chromosome:Setaria_italica_v2.0:III:12733509:12737358:-1 gene:SETIT_024869mg transcript:KQL14661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWSWLPLLVAVAAAAAALRVHGQVAPDSTGFISIDCGIPEKSSYVDGATKLPYVSDAGFTDAGANHNVSAEYINPSFSRRYLNVRSFPGAPRSCYTLGSLTPGSKYLFRAVFMYGNYDGLNKPPVFDVHLGVNYWTTVNVTAASAAVLAEAIAVVPDDSVQVCLVDTGAGTPFISGLDLRPLTSALYPQVNATQGLAVLGRRNFGPTNATLVVRYPDDPYDRAWTPWIDTEEWSEISTTEQVGGTAMAPPSAVMQTAITPLNASKNIEFSWDAVPNHVYPTSGYICILHVVELQSLDAKATRQFFITINGRTFYHLPVTPHYLFTDTFYNTAPHWGFNQYKVTMNATANSTLPPVISAAEIFSIISTANVGTYGQDVSAIMAIKDNYQLKKNWMGDPCVPKTLAWDGLSCSYDTSGPPRIITVNLSSSGLSGATSLYFSKLTTIQYLDLSHNNLTGSIPDVLSQLSSLKVIDLTDNQLNGSIPSGLLKRIQNGSLKLRYGDNPNLCSNGDSCQLTRKKINAVYIAVPIVILVVIAALVLLLWLLRRKKENSVKPQNEATDARSRSQSGNGHGLPQLENRRFTYKELEMITNNFQRVLGRGGFGSVYDGFLDDGTQVAVKLRSESSNQGVREFLTEAQTLTKIHHKNLVSLIGYCKDGEYLALVYEYMSEGTLEDKLRGKDGNAGPLTWRQRLRIALESAQGLEYLHKACSPAFVHRDVKTSNILLNANLEAKIADFGLLKAFRREDDTHVSTDRVVGTHGYLAPEYAAALQLTEKSDVYSFGVVLLEVITGKPPILRCPEPINIVQWVRQRLARGNIEDVVDAGLRGGCYDANAAWKAADVALKCTTQVPAQRPTMTDVVAQLQECLELEEGR >KQL13722 pep chromosome:Setaria_italica_v2.0:III:6295318:6298851:1 gene:SETIT_022197mg transcript:KQL13722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVLPVPGAREPPAPALPNHLIEEVLVRIATPRDLVRASAACASFRRTVADASFLRRYRTLHRPLLLGILSPWGLLPAEAPHPNAHVADALSRDTYFSPDHLPPRGRPGWLRSDSRDGRVLRMFYEPKDGTVLPELLVADPLTRGYTLLPPIPESLVASLLGPVCPKLVGDFDAFFVPSGDYEEEHFRVIGWTRDEAMAVAFVYSSLSGTWTAGTRAFWGELGLNVCPEEGMLLLADRWPSYAYGCFYWKVFESNKFLKLDVNRMEFLAVRLSPNHEKQQVIVAEAGEGRIGIVSLTFGREITQSLRYSIRQNEGEIANKHPVETTIPLPSDYDEYWVVAAAEGYIFLLGARYISQGGTSTHCVGSSEFLTLEIKTLKIERVCSARGGVCGHIIPYFGFPPFMSLRRI >KQL13721 pep chromosome:Setaria_italica_v2.0:III:6295318:6298851:1 gene:SETIT_022197mg transcript:KQL13721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQVLPVPGAREPPAPALPNHLIEEVLVRIATPRDLVRASAACASFRRTVADASFLRRYRTLHRPLLLGILSPWGLLPAEAPHPNAHVADALSRDTYFSPDHLPPRGRPGWLRSDSRDGRVLRMFYEPKDGTVLPELLVADPLTRGYTLLPPIPESLVASLLGPVCPKLVGDFDAFFVPSGDYEEEHFRVIGWTRDEAMAVAFVYSSLSGTWTAGTRAFWGELGLNVCPEEGMLLLADRWPSYAYGCFYWKVFESNKFLKLDVNRMEFLAVRLSPNHEKQQVIVAEAGEGRIGIVSLTFGREITQSLRYSIRQNEGEIANKHPVETTIPLPSDYDEYWVVAAAEGYIFLLGARYISQGGTSTHCVGSSEFLTLEIKTLKIERVCSARGGVCGHIIPYFGFPPFMSLRRI >KQL16255 pep chromosome:Setaria_italica_v2.0:III:35188514:35191037:-1 gene:SETIT_024527mg transcript:KQL16255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAPLPLLLFHGLLALSLALGAHGKPGDHGNLTRQAFPPGFVFGTASSAYQVEGNTLKYGRGPCIWDTFLKYPGTTPDNSTANVTVDEYNRYMDDVDNMVRVGFDAYRFSISWSRIFPNITPYVVLYHYDLPQVLQDQYNGWLSPRIVEDFTKFADFCFKTYGDRVKNWFTINEPRMMAAHGYGDGFFPPARCTGCHFGGNSATEPYIAAHHLLLAHASAVKLYLCTMLIMPESTFQAQQTGKIGILLDFVWYEPLTPSMDDEFAAHRARMFTLGWFLHPITFGHYPETMEKIVMGRLPNFTFEQSAMVKGSADYIAINHYTTYYASNFANETHTSYVNDWHVKLSYERNGVPIGKKGYSDWLYVVPWGLYKALLWTKEKFNNPVMLIGENGIDQSGNDSLPGALYDKFRIDYFEKYLYELQCAIHDGANVIGYFAWSLLDNFEWRLGFTSKFGIVYVDRTTFARYPKDSARWFRKMIKNE >KQL16198 pep chromosome:Setaria_italica_v2.0:III:34232516:34234245:-1 gene:SETIT_0240921mg transcript:KQL16198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTPEARNTSGCPDRCGNISIQYPFGIGTGCFRNGFEIICDSSTGTPVLVGTTKPIPVNLLSIRTCFNSSAKVYAYSDGDVHFNRDVYRISDTHNQLFILGCNTFELEQSEGNGYPYANNTGCMSYNNSGSAESGACAGIGCCHVDIPPGPTDNRMSFEEDNYTFHTADLKMDLDTMMPVWLDWAIRDNLFCGEAKKSKSYACVSTNRTFWDFLDRL >KQL14624 pep chromosome:Setaria_italica_v2.0:III:12452318:12456252:1 gene:SETIT_021978mg transcript:KQL14624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLEASWVGVQRHGQDLADRLAQGFSGLLLHAQPPQLPPWSPPALLPPKLAIPFEIDLPVVPFVDGVRRGGVDLPAVAVSSLVEIGGRLGQAGYELGAAVQQLARQVPVPLPFRALERATDIGSLEVAAAAAAAATGSATAASASGVGAGGADGLDEEEDGFGCEIGTLENVKNAKGTVNISAAYNTRHRDFESSVVARGDLWRLESSHGSLNSGNDSSPLFLIQVGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNRMHSLCPAIWSKHRKWMLMSMMCLNPVTCSFMDVQFPNGQLTYVAGEGITASGFFPLFGGLLQAHGKCPGETKVSFSFKSKQGTRFTPMFQWPDNSLSVGVAQAVAWKKSGLMVRPSIQVSVCPTFGGSDPGIRAEFVHSLKEELNVMCGFSCSRHPSAFTALSLGRSKWNGQVGSSGLVITLETPLDNMAMPSLSVQLNGGFEF >KQL15504 pep chromosome:Setaria_italica_v2.0:III:20957364:20962971:-1 gene:SETIT_021439mg transcript:KQL15504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHKEVFRSLQELFPQVDHRILKAIAIEHHKDVDSAVVAVLDEVMPSMTDSAGALSADHDVLPSFAGSVGNVFANHSTHEVGSSSSAGHDIRVNEVDGSVHSGQDTSSVEVTSGTQENIDSELHEGRLTSIHGMSEQLDQHSDPIPNGNQHDLIPNLDAVSSNTRPERKLANSDTEVGYGVLSSACFSQLSTGANCGDSISSEAPQLHDQDSSNIPVGDCFPQKNSLKMFSGYEDINFDDDLFFSDLLAFSSNDQVSSGILITGKDSFSPVLDVPSPDKEGSSTGTSGVIEQKNTSNSGTDCDKQLSGDILENDDILLSSKTDMLPDLNLNHFASTSSTHSSHSVSIECLEDCIADARSNKNDLLPSLELVTKMIEDVELLEEKAKVAKHESSVAGTGILTKVEELKEMLTHAKEANDMHASEVCGEKAILTTEARELQSRLQRLSDERNKNLVIIEQIRQTLDERLVAAQQEIVEAEKEKIEKEAAAQALLDEQEKMMNSIVEESRRLQKEAEENLKLKEFLVERGQIVDMLQGEIAVICEDVSLLKRVVDERLSLSKLQRSTMSSLSSSLHSSLHKSCSSSDRTTEAVESPDKHTVPVAASPVARDLNDNPSTVEVSDGNDTTDKGICKRVDSSEDADGWEIC >KQL15505 pep chromosome:Setaria_italica_v2.0:III:20958285:20962971:-1 gene:SETIT_021439mg transcript:KQL15505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHKEVFRSLQELFPQVDHRILKAIAIEHHKDVDSAVVAVLDEVMPSMTDSAGALSADHDVLPSFAGSVGNVFANHSTHEVGSSSSAGHDIRVNEVDGSVHSGQDTSSVEVTSGTQENIDSELHEGRLTSIHGMSEQLDQHSDPIPNGNQHDLIPNLDAVSSNTRPERKLANSDTEVGYGVLSSACFSQLSTGANCGDSISSEAPQLHDQDSSNIPVGDCFPQKNSLKMFSGYEDINFDDDLFFSDLLAFSSNDQVSSGILITGKDSFSPVLDVPSPDKEGSSTGTSGVIEQKNTSNSGTDCDKQLSGDILENDDILLSSKTDMLPDLNLNHFASTSSTHSSHSVSIECLEDCIADARSNKNDLLPSLELVTKMIEDVELLEEKAKVAKHESSVAGTGILTKVEELKEMLTHAKEANDMHASEVCGEKAILTTEARELQSRLQRLSDERNKNLVIIEQIRQTLDERLVAAQQEIVEAEKEKIEKEAAAQALLDEQEKMMNSIVEESRRLQKEAEENLKLKEFLVERGQIVDMLQ >KQL14720 pep chromosome:Setaria_italica_v2.0:III:13162397:13163595:-1 gene:SETIT_023143mg transcript:KQL14720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLGGKAYSTALVPSLRSPPCHSPRLTPLPRLRLRPHRRSVSARMDPADQSPEEVYSVWAIPPAPVRDRLRRIMEGLRAAHGGPAFEPHATVVGDFRSRRSAAVEVLRAAAAGVQPYTARVTGVARGTFFYQCVYLLLEPTPEVVAASDHCCGHFGYQRKTPYMPHVSLLYGDLTDEEKEEARKMVEELDKDICGLEFEISDLALYRTDTADKSLESWELVEVCHLEKN >KQL14721 pep chromosome:Setaria_italica_v2.0:III:13161994:13163595:-1 gene:SETIT_023143mg transcript:KQL14721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLGGKAYSTALVPSLRSPPCHSPRLTPLPRLRLRPHRRSVSARMDPADQSPEEVYSVWAIPPAPVRDRLRRIMEGLRAAHGGPAFEPHATVVGDFRSRRSAAVEVLRAAAAGVQPYTARVTGVARGTFFYQCVYLLLEPTPEVVAASDHCCGHFGYQRKTPYMPHVSLLYGDLTDEEKEEARKMVEELDKDICGLEFEISDLALYRTDTADKSLESWELVEVCHLEKN >KQL15898 pep chromosome:Setaria_italica_v2.0:III:25153283:25155160:1 gene:SETIT_025355mg transcript:KQL15898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAARRRPPPPLPAALAAAFFASKPHPPLPPPAPQIVDAAVSRCPSDALALSFFLWCARRPGYFHPPSSFDRLLPAAARLASRLGTAPALLRELQGLGCPIKPQTFLLLLRLYWRGGLYLLVLDLFEQMPLWGFQPNAFARNVILDVQLRTGHFAESERCFRDNLSPNYLSFAIMLTHLCRAGNWSRARHYFTEMLQKGFLPGSASLTAVFACCSKLTSAMWTCMIARLCREGRLDDAYRILAKMVGSGSPPTVITYTPLLKGFLRAGMHDLASELLGSMVSAGCSPDIVMYNVLMDCMVKARRYDDALDIYMQIHGRQIQPDAYTLSSLVRVLQLSSYERLLPRIPSLIRHSDTSYDLVVCNSVLSALCKSGFPTDAIQFYFDMIEKNIRPDSYTYVSLLHSLCQLEMVNHAINFYRSTAMRDPESNSYVHATILCILVRQGRNLMALRILREAVRENCALDAVCYTTVLHGLFQARLVEEACRLFDQMKQLGMASNTCTYNVMLRGLCRTRDICAVKQLLTEMELADVEMDSISFNTVVVFLIKSRLIDSAAAMIREMLNLGMKPSAKTSSLLSQSVGYKFVLEDNTTTTVESDGSDSSSDLL >KQL14711 pep chromosome:Setaria_italica_v2.0:III:13084499:13088116:-1 gene:SETIT_021527mg transcript:KQL14711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEDYSWVRRTRFSQSIVRSSSGREQYGAFIEQFSTDLKLNGLGAGPRLPRQNLQPVAKGSVLSNSARLPIPKAKSAVAQSERKLKHVSSDGQLNRDRSSDRSPRQASAKQDLKGAGLSLDIPQRRVVRPSKDESPDALDFSFHSEEHSQRLQRVCSSPAPFYSQDAGPPVDDSRARSASMKVMGEVSKPAPKPKRRAKSPIPKRVISDVFKEAKAATKRFSSPQRQRKPTSPRSPDDSPPFGFASLKTPSRLKINRRTSSWPRRNLDDGAPKVAASEILERWTVDRSELLIGHRFASGAYSRLFHGIYKEQPVAVKFIRQPDDGEDEELSARLEKQFTAEVTILARLHHRNVIKLVGACNCPPVFCVITEFLSGGSLRAFLRKLERKTLPMEKVISIALDIARGLEYIHLQGIVHRDVKPENILFDGEFCAKVVDFGVACEEAYCNLLEDDPGTYRWMAPEMYKHKPYGRKVDVYSFGLLLWELVTGSLPFEDMTPLQAAFAVVNKNLRPVIPSSCPAAVKFLIEQCWSWQPEKRPEFRQIVSILENLKTVLERNGTLDKIPCFICEPQECNDQNKKKVSTWIQRLSYTQPDFSGPPPPKLL >KQL14611 pep chromosome:Setaria_italica_v2.0:III:12369748:12373639:-1 gene:SETIT_021611mg transcript:KQL14611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSAAGLGLRSGSYGSLAAAVGGSGGGARKAGGRGWALRGEKERLQLLHRALRLVGRRRAGVLLLLAVASAAVFCSLFAVVKDDSSSISIVNNYEVPNAIQKSVYPSTTRPLKMSGDQYSSVVNKIELPNRLHLSYANFTHPCEGFSVPPPLVDKKRTGPRPCPVCYVSVDQAFALMPLQASPSPVLKNLNYISEDGIVANLSSQGSEFGGHPSLEQRNKSFDISESMTVHCGFVRGKKPGQGTGFDIKDDDLVEMEQCRELVVASAIFGNYDMIQHPRNISEFSKANACFYMFVDEETEAYVKNSSSLYNNNKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLELVADPYLLLERFLWRKKATFAISRHYKRFDVFEEAEANKAAGKYDNASVDYQIEFYRNEGLSHYSPAKFPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRARVGWMPEMFLDCERRNFVVQYFGCC >KQL14612 pep chromosome:Setaria_italica_v2.0:III:12369338:12373639:-1 gene:SETIT_021611mg transcript:KQL14612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSAAGLGLRSGSYGSLAAAVGGSGGGARKAGGRGWALRGEKERLQLLHRALRLVGRRRAGVLLLLAVASAAVFCSLFAVVKDDSSSISIVNNYEVPNAIQKSVYPSTTRPLKMSGDQYSSVVNKIELPNRLHLSYANFTHPCEGFSVPPPLVDKKRTGPRPCPVCYVSVDQAFALMPLQASPSPVLKNLNYISEDGIVANLSSQGSEFGGHPSLEQRNKSFDISESMTVHCGFVRGKKPGQGTGFDIKDDDLVEMEQCRELVVASAIFGNYDMIQHPRNISEFSKANACFYMFVDEETEAYVKNSSSLYNNNKVGLWRLVVVRNLPYEDPRRTGKIPKLLLHRLFPNVRFSVWIDAKLELVADPYLLLERFLWRKKATFAISRHYKRFDVFEEAEANKAAGKYDNASVDYQIEFYRNEGLSHYSPAKFPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQISFSTVRDKIRARVGWMPEMFLDCERRNFVVQAYHRELLEQMIASGRRPPSTTDAPPSRKLRPGSRKAPPSKKPSVKRKKEKKSSSRRRVPKPPVAGGMGAM >KQL13038 pep chromosome:Setaria_italica_v2.0:III:2263044:2263343:-1 gene:SETIT_025574mg transcript:KQL13038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLLVSSQPHMLYIVVFFFHGYVLMMPDMFATFLLVANLT >KQL12791 pep chromosome:Setaria_italica_v2.0:III:894454:894943:-1 gene:SETIT_025597mg transcript:KQL12791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITNLESLLVGHRHRHDARRTFPASILQIFSGYLAVVD >KQL16524 pep chromosome:Setaria_italica_v2.0:III:41296583:41297292:-1 gene:SETIT_024342mg transcript:KQL16524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCQLSLALLLAVLAAAAASPATARGGVPACGRLHLGADVGAWYEAGFTQLEPIHVEVTPHADPAHGGRLEATSAPMTARGHPARGPGTRWPAEGHCPTVGCGAGHGRCSRKDEASRDCRNVDELKIIYYKHWNIWRPMRVLPFQQSNANK >KQL13076 pep chromosome:Setaria_italica_v2.0:III:2416589:2417300:-1 gene:SETIT_0246421mg transcript:KQL13076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTPAAAGEAAAGKEEVEAVTESVAAAGAFVPNSPICRPYMVEYDSNGEELSEPSLSPDPVLSEAYEKAEQKYSKKIAQLPKLPTLDDETYFDSNPIQESAADTILTASKFVLGLSAYIDGVLLKQCSGILMEWSEGTGTILTTADLICSRHQHVDEWLGGEEYAPNAE >KQL16983 pep chromosome:Setaria_italica_v2.0:III:47233840:47234494:1 gene:SETIT_023983mg transcript:KQL16983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYNLFKLSLGKNFRMLARRYELHLYLLLQKRECETIFCQIEINQTYFYEDNNN >KQL13307 pep chromosome:Setaria_italica_v2.0:III:3720246:3721382:1 gene:SETIT_022605mg transcript:KQL13307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPSSNSDSTFELESALPILVHDPGTQQVDSQTLYSISKQSLFAHAIDGVKDYRCFETPQGWVLALDRASLQTFLWRPQDSERIQLPAVEEDFPSRCKCLLSDVPTASSCAVLVLDLDDTQMWVCQIGASKWDSCSYTLTMFDARDNPRERHIARRHGVAAVNGKVYFQLTGYELGIIEFNPDPSLATIEVDMVDLPLSMPMCSTYLVGSYGELFLVVIFFDGDNVHKIAEFAVYKMDFSVPAWCKVDRIGDDRVFLLGGDRIGLSNFGASCKVTPENNLCGNSIYFLNHLAITENYLHVINLENGTEEEQRPFRDKGFPMPLRSPFWLLPTDT >KQL14559 pep chromosome:Setaria_italica_v2.0:III:11998523:12002168:1 gene:SETIT_022386mg transcript:KQL14559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGKDSLDLSGLGAALPNATELSAEDKANLVASIKNTLEGLASRHIDVLESLEPKVRKRVEKLREIQGQHDELEAKFFEERAALEAKYQKLYEPLYSKRYEIVNGVIEVEGVKEESAAETPAEQKSGDEKPAEQKEEKGVPAFWLNAMKNHEILAEEIQERDEEALKYLKDIKWYRISEPKGFKLEFHFDTNPFFKNSVLTKTYHMIDEDEPILEKAIGTEIEWHPGKCLTQKVLKKKPRKGSKNTKPITKTEDCESFFNFFSPPQVPDDDEEIDEDTAEQLQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAAQDDDFEGLIDGDEDDDEDDEDDEDEEDEESEDDYDAKKTQGAAGGEGQQGERPAECKQQ >KQL13414 pep chromosome:Setaria_italica_v2.0:III:4334339:4336624:-1 gene:SETIT_023455mg transcript:KQL13414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRRLRDGQERRRRRLDGGHAPRARHEVHRPRRHGRSPRHLRPHHRRHHIHRDQPQGQALLPLRRLRAPLLRTRLRPRRARRRNGHRHRRRRGCQGKCTAAKVVCGHDPHPHLRGSSCSVRSHRRYHPLLSRWPISCRLERGSEKRTRLMHHCIQSVPFLGEHCAGSC >KQL16717 pep chromosome:Setaria_italica_v2.0:III:44424124:44426791:1 gene:SETIT_022900mg transcript:KQL16717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTASSSAADVDVSACTIACRCPRRHLPPCPLPRHLQVDRHIATPCSTADSRRLIARSSSSDLPFRRAVGSNARVSPNLALMKRPPPRPRGGDGETAGEGGSGGGFGKGKGRWGGGSRKRNEQRLGVGGGGALSLAAFASAKSRNTGYNPAVIKKQKEFYKNAKLISKYKRTKKQKNQSSNPPEFPIHEGGGDFNVPKPQHKGKKRTAQSLKEEYEKKRAEVEKTQKERDAVIQAKREQREKSEAKRRELREKMFKKTRSGQPVMKYRIEHLLETALES >KQL14973 pep chromosome:Setaria_italica_v2.0:III:15266032:15267723:-1 gene:SETIT_021890mg transcript:KQL14973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSLSLMQFHDPYLYGGGAGGANLPLSSHSFLPHHHDFVHADDRCPGKDVPEFVDQAAAEVSCEQELAVSKEVSEGGGDGAVEEQNNGAATTAARGEEEAHGVRMIALLMECAVAVSVGNLADANGMLLELAQMASPYAPSCGERLVAYFTKAMAARLMSSWVGICAPLAPPFAAVHAAFRAFYNVSPLARFAYLACNQGILEAFHGKCLVHIVDLDVVPGGALQWLSLLPALAARPGGPPVLRVTGFGMSASALHDTGNQLAGLASKLGVPFEFYAVARRPGDDADAAAAAVPSRRPGEALAVHWLRHALYDAAGDDGATMRLVRWLEPKVLTLVEQERGAPGDGGGGAGNERGHFLDRFVSALHHYSALFDSLGASRPADEDASRHLVEHGVLGREIGNVLAVGGPSRSGRDKFGCWQTELARHGFLRAGGGGRAQLVAGACPAGLGYTVADDQDGTVRLGWKGTPLYAVSTWTWCPSPHAQR >KQL14399 pep chromosome:Setaria_italica_v2.0:III:10998279:11002721:-1 gene:SETIT_021352mg transcript:KQL14399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAGATVAIPNSSSHPPRIRAAPVRVVARICPGGGSRGSFQVAARVSDAADSSPASASVSFIPINKEATPAAAGALTLRKDCEYKLDYCYTKDDSYTLIFDNEVKHLLDDIFCGDGQSNACVITCGATAKTHLIMGSQDHDGLLNMAMKEILRRAKPMGAAVSISSYQVLQDNHVFDILKPKDSEVHVLEDANGRTHLKGLSRVDIKSFEEFSDLCCGGSYMLKQTTKTSNQLQARGHQGFVIYISKVYKAGGECAVSKINFLDLAEYVDIKQKNYGGGVAPSNSNKSLYAIMNVVQALNNNQSFIPYRPYKVTRILQDSLCKTSGAVLICCLDEVSCQDAVSTLTLASRSSQVVNEQFYNLSLGTRSCSKSNASLSVRAKNLSRSLLPSIQQNSVPKNGRTQFINRAVKATRTPTANKRSEATMHSAKKHVSSVSTSINMKQIGAKSIQSGRRLFSTTTDSSKEVQSSLGMAIQGSSPVEPCDETEKVVDVVSSERQEVVPCSVKELALVDIQEKDPFCPLVQGHSSSDLHAENSYADLGMTCSSDIADEIAEKTPVCAIQSSPKLSDRLKEISNSLKLLSTRPVNITKPKTDMLCAQPYNTDVAEPRTPAVQLKFGHAENLQESLKGRSTGIKKSLAQECLTILNSANKEQLKSLKGIGEKRANYILELREESPEPLKNIDELRTIIGMNKNEITKMMSEMILDL >KQL14797 pep chromosome:Setaria_italica_v2.0:III:13933700:13937098:1 gene:SETIT_024186mg transcript:KQL14797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCISCSKKLAGQALLEAGDEAAGCVPMCAMLTPEAEAATAYRQLAQLLKHAQYHRPSPAWLGTGKTHLPTPKAHSAHSQCAAAKVRESDRALLSGPMSAAAVRAAACKLHLAVLRGLSGSFADRWARRIEISYSHTNRWAPPEAAVEPVRSQARGRALAPPPPPASCRRSQPDSGGTARAFADRDRTAAAGRPMGEEAKYLETARADRSVWLMKCPPVVSRAWQAASASSSSDAANPNPVVAKVVLSLDLLSTEEPSLQATEEPSLQFKMELAQTNNAGNTPKSYSLNMFQDFVPMCVFSESNQGKLSCEGKVEHKFDMEPHSDNLVNYGKLCRERTQKYMVKSRQVQVLDNDHGMSMRPLPGMVGLIPSGSKEKKKPTPTKPSDVKRTRRDRTEMENIIFKLFERQPNWALKALVQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTGDTDAA >KQL13161 pep chromosome:Setaria_italica_v2.0:III:2914901:2917402:1 gene:SETIT_021314mg transcript:KQL13161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAALSLALPALLHLVLLLRLAPEPAAAVRFDYATLTLGSLRLLGDAHLKNGTIRLSRDMPVPTSGAGRALYASAVPVRAGFSTQFAFTVATLNPSSVGGGLAFVVAADDSTVGDAGPYIGVSTATDAAAIEFDTLMDVQFGDVNGNHVGLDLGSMVSAAVADLGEAGVELTSGRTVNAWIDYRPDKGGILEVSVSYAANRPRVPVLSAPLDLGETVKDAAFVGFSASTQGSTEVHAIEWWSFSTASPAPAPHSAPTPPPESPAVEPPPSVNPVLPSPLLPGVTTPSPPAATVSAPTSSISAASAPSNAVAGNAGGSTHPPAHAAVAGAATAGAFVAASFAGFALWALARRARARKRTALAVATKRDGLASVAAFARSPREFSYKELSAATRGFDASRVIGNGAFGTVYKGIVPDTGAMVAVKRCTNASASANGEQARSEFLSELSIIAGLRHRNLLRLQGWCYEKGEILLVYDYMRNGSLDKALFDASAPVLPWHHRREILAGVASALAYLHHECERRVIHRDVKSSNVMLDEAFRARLGDFGLARQAEHGESPDATAAAGTMGYLAPEYLLTGRATEGTDVFSFGALALEVACGRRPIGTEGRCNNLVEWVWSLHGEARVLDAVDPRLGGEFEEGEMRRALLVGLACSSPEPALRPGMRAVVQMLSGEADPPFVPAARPSMSFSANHQLLLSLQDSVSDYNALGLTLSDSSSDSLSSSSLTSTLRRGGHDIGFSSTAGDAR >KQL16575 pep chromosome:Setaria_italica_v2.0:III:42608667:42609940:1 gene:SETIT_024650mg transcript:KQL16575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVNWQPYEGEDKLPFAVSIMCAVDDDLYRMKYPLICFYVVEYRLPDRVARQFGIRQIWPTPATSTSVELHNVDRKKKRKVSKWHAFHQAYIEEWEQFHDNVDKNDDPHTNSEYRQYQTWYQGATRHKLRVQWMQDDYADIHSSDNEDTVYDQSTRAGRQGRTLQSSVRDIEHFRPRVTDPETRSFLDRLSSRLRRAAAHCGCRTTTTRDVHVPSPREGGVGTSRQGPSGSKAIASEDEDDNDDDDDQRPEELGPSQLHEAPLTQPTQVVGTRLRRPHSPYTPGTDALGHKGKGKTRRH >KQL14341 pep chromosome:Setaria_italica_v2.0:III:10670878:10672290:1 gene:SETIT_025095mg transcript:KQL14341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAITAPSSIDQIPLMRCPKANAGQAAAAIPCVDLSAPGAAAAVADACRSVGFFRATNHGVPASVADALEAGAMAFFALPAQDKVDMSGAARPLGYGSKSIGSNGDVGWLEYLLLSVSANSVKISSLPPSLRAALEEYTAAVREVGGRVLELIAEGLGVDRALLRSMVVGREGGDELVRVNHYPPCPLRAPGDCGVTGFGEHTDPQIISVLRSNCTAGLQIKLRDGRWVPVPPDPESFFVNVGDSLQVLTNGRFRSVKHRVVAPEGSHSRLSVIYFGGPAPSQRIAPLPQVMRDGEQSLYREFTWGEYKRAAYETRLGDHRLGSFELRAASEPAGGADPQPHCSNSSTCMPPQQQQQVAQVY >KQL12637 pep chromosome:Setaria_italica_v2.0:III:146396:147700:1 gene:SETIT_022723mg transcript:KQL12637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEQGELVPPGFRFHPTEEELVGYYLARKVARSSCDDLDIIQEVDLYRIEPWDLQERCKLGGAAGHDDGGQTTSEWYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSRVIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQPSNHQEEGWVVCRAFQKPIPNQRPFVFPTYATPAGYCYDHLPSMDGNHQYLQVGGGPALHALAAGNSFFPQQFYSDDDDLLESKKHDNLFSSILPPLESPTAAIAACAQQRAAAHVVASENDDELMVQGGGGHAAAAAAGAIDWNFLDTLLSTTTSQLLEPSTLLQ >KQL13088 pep chromosome:Setaria_italica_v2.0:III:2522664:2523030:-1 gene:SETIT_023999mg transcript:KQL13088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGLLLRTQCAVILFPVKFFVIFLEQRISSFELWILVVRFLFLLCSILLHL >KQL14391 pep chromosome:Setaria_italica_v2.0:III:10954997:10957042:1 gene:SETIT_024396mg transcript:KQL14391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLWRKTDPAPSYGDNPDEFTIKVHHGGFFVGFGHLRSFVNGKVSCFDHCEVDTWSTLWLDDMVENLGYPKTPNLKYYWLLPRKKIAGGLRVIVGDADTNAMCSVVDRIKNLVVYLDHDDTVISGSWDDVIVNPIAELPEVPSPVKNNDREKLPEFYRNLSPCQSTHADEEEDNISDQDSEDSNFFDSDNEVDDGDDDLFVDYVDEDVMDEGIFGSKNTARAKKAKGSRLKGVEHLLIAELLSDDDYEELLLSSDDRDAQVWVLKKYTANWLVDKYLESFRANDKMSIFNFTKTVQKDWNLTPSRTKPLICLDGCYIETKVGGQILTVVGIDPNDCIYPIVLATVEVESKDTWKWFLETLKQDLGIVNTYPWTVMTNNQMDT >KQL15242 pep chromosome:Setaria_italica_v2.0:III:18116092:18118071:-1 gene:SETIT_024521mg transcript:KQL15242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQMEPPVPPPRRSVSTTCDLHPGESFTGFCAACLRERLAGLEASAAVAAAPGRKSTSAIRSLFSRPFNAAAAAGGGPSGSAASTLPDLRRCKSFSCGRGGDALAAAVAGAGGAYEPQRRSCDVRGRSTLWALFHQDDRERVRDGTAFGAFPASSSAAAAALAAEPPPPPPACVPEVFLEEEIAVAEDSDEIVPVVEPVLVVDTSGEMETEAYAAVRDVRAMKDHIDLESSQSQQPKKPPPKDLKEIAGSFWLAASVFSKKWQKWRRKQKIKKQEAAGSKAAAAAMPPPEKPSKPSFLRRSRFHRGGAGSEFAGGRRSCDTDPRFSVDAGRMSVDDVGFSWDEPRASWDGYLFGAGTGIGLGRAPPPVSRLPPILSALEDSPAGIVERSDGQIPVEDDSQPEPDADANIPGGSAQTRDYYMDTSSRRRRSLDRSSSVRRSFEVTDPKPVPVPVPVPVPVAAPAATANGKESPLMGSSEFYHFQHAEDLLDHHRFSTSSLVEDFSASLDGAFHGPAKKPRRWSKAWSLWGLIHRRAAGRRSAASDAADRAFSEPWPELRVRGYNGRMQRCNSNASARSSFSSNSGGLGSSRHSYVDPQGNVKRRREECAALERNRSARHSPGHADNGMLRFYLTPMRSASGRRTAVLPAAAGS >KQL16147 pep chromosome:Setaria_italica_v2.0:III:31514414:31523398:-1 gene:SETIT_021117mg transcript:KQL16147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDDFTPEGGNLPELKLDARQAQGFISFFKRLPQDPRAVRLFDRRDYYTAHGENATFIARTYYHTMSALRQLGSSSDGISSASVSKAMFETIARNILLERTDRTLELYEGSGSSWRLTKSGTPGNIGSFEDILFANNDMQDSPVIVALFPVFREGQLYVGLSFLDMTNRKLGLAEFPEDSRFTNVESALVALGCKECLLPADCEKSIDLNPLQDAISNCNVLLTEKKKVDFKSRDLAQDLGRIIRGSVEPVRDLLSQFDYALGALGALLSYAELLADDTNYGSYTIEKYNLNCYMRLDSAAVRALNITEGKTDMNKNFSLFGLMNRTCTVGMGKRLLNRWLKQPLLDINEINNRLDMVQAIVEDPELRQGLRQQLKRISDIDRLTHSLRKKSANLQPVVKLYQSCSRISYVKGVLQQYNGQFSTLIKTEFLDPLEEWMTENRFGRFASLVETAIDLDQLDNGEYRISPLYSSDLAVLKDELSVVEDHINNVHIHTASDLDLSVDKHLKLEKGPFGHVFRISKKEEQKVRKKLTSNYIIIETRKDGVKFTSPKLKKLGDQYQALLSEYTSCQKKVVDDVVRVSGTFSEVFENFAAVLSELDVLQSFADLATSCPVPYVRPDITASDEGDIILQGSRHPCLEAQDGVNFIPNDCTLVRGKSWFQIITGPNMGGKSTFIRQVGVNVLMAQVGSFVPCNEASVSVRDCIFARVGAGDCQLHGVSTFMQEMLETASILKGASDKSLIIIDELGRGTSTYDGFGLAWAICEHLVEVTRAPTLFATHFHELTALAQRNDDEHQQVSDIGISNYHVGAHIDPSSRKLTMLYKVEPGACDQSFGIHVAEFANFPEAVIALAKSKAAELEDFSTTPTFSDDSIDEVGSKRKRVFSPDDVTRGAARAQLFLEELAALPIDEMDQSKAMEMAAKLKVDMQKDAAGNPWLQQLLR >KQL14095 pep chromosome:Setaria_italica_v2.0:III:8776034:8779649:1 gene:SETIT_021672mg transcript:KQL14095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRASRHRGQSHDQAPNTSQPPPPPPKQQQPNRPKPKQHRPQPPPPQQAPQPHAPAPARQRQQQQHTDAAAAAAAAGVGRVLGRPMEDVRAAYTFGRELGRGQFGVTYLATHKPTGRRYACKSIAARKLAHRDDVDDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYSERAAAALCREIVSVVHSCHSMGVMHRDLKPENFLFLNKREDSPLKATDFGLSVFFKPGEQFRDLVGSAYYVAPEVLKRRYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLQGHIDFATDPWPSISNSAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVIGRMKQFRAMNKLKKVALKVVAENLSEEEIVGLKEMFKSLDTDNSGTITLEELRTGLPKLGTKISESEIRQLMEAADVDGNGTIDYVEFISATMHMNRLEKEDHIYKAFEYFDKDHSGHITVDELEEALKKYDMGDEATIKEIIAEVDTDHDGRIDYQEFVAMMKNNSPEIVPNRRRMF >KQL16718 pep chromosome:Setaria_italica_v2.0:III:44427062:44428178:1 gene:SETIT_022882mg transcript:KQL16718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGGGSGEVGASEAVSLVFRIATVGLSLASAIMTAASTQCVYRDDGVPAGTVSYGDYASFKYSALADLLSAVLQGVAIYLEATRKDRAARAVELIDKLVQALTSSSAALLLAVDDITSCGGGGGPGGQRQRGGGLCSQAGAFCGRVRVSSVLSVAATVSISGSVYIRHARAAVVMPPRPPPPTTTILNVVKRKDGGRKEKEETVEKRKVVLIKTEKTEDQVKTKRDDNEEEKEEDEVNKDEECCRSYTEVSTPPAMPPPWCRCPRLTIPCDCEDPELCGAFF >KQL12925 pep chromosome:Setaria_italica_v2.0:III:1678513:1680433:-1 gene:SETIT_023709mg transcript:KQL12925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >KQL12650 pep chromosome:Setaria_italica_v2.0:III:194771:199604:-1 gene:SETIT_023477mg transcript:KQL12650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDYVVKELPEVVSGNFEQLNTSQASIFGHSMGGHGALTIYLKNTDKYKSVSAFAPIANPINCPWGQKAFSNYLGSTKSDWEEYDATCLIKKNNNAVSTPILIDQGDADKFLAEEQLLPRNFEEACKAVGAPLILRMQPGYDHSYYFIATFVDDHTAHHAQFLKSA >KQL17214 pep chromosome:Setaria_italica_v2.0:III:49002628:49004089:1 gene:SETIT_025173mg transcript:KQL17214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALSAVMDKVKSHPEVVEKVKMRGIVRHLIFHCFWDYKEPEAEEKVEEGEAAQNADVAASADKTEESNVEQAVEEIQAVVAVVQQEQTAPTTETPTKTAATAETSAEGEKPEETNREVEKDDPKKRLDFLGFFTMLFERFCSPATRRKIKCTVKVRFLE >KQL15918 pep chromosome:Setaria_italica_v2.0:III:25312327:25312820:1 gene:SETIT_024928mg transcript:KQL15918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSQTNFSERTETLFSMAKDLSQEFDAHIAIIAFSPTGEPKTSTGKHNWWEVDVEALGADELPVFVRALEVLRTDVQHHLDAIILEGEDATLILFC >KQL17067 pep chromosome:Setaria_italica_v2.0:III:47960405:47961703:-1 gene:SETIT_024311mg transcript:KQL17067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMMNNSQGSDPAYYYSVDPGHNSGCGNGGGVAEGFLDMFGDHHSSGDLFDLVWQGGPGASSGMELQPSHLPSSPPPAVVLPPSEDEMASWLYPIVQGDELVFTAGQDDHPGDFAGHVASVDDQHAAPVKEVADHKKAAGGARTKSHHAEAHNLTEKRRRCKISEKFKTLQQLVPGCDK >KQL17138 pep chromosome:Setaria_italica_v2.0:III:48502746:48505270:1 gene:SETIT_022412mg transcript:KQL17138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLEAGANIIITASYQATIQGFESKGFSKEQSENLLTKSVEIALEAREMFLKEHLEQSTPTQKPILVAASIGSYGAYLADGSEYSGDYGEAGTVQFLKDFHRRRLQVLAEAAPDLIAFETIPNKLEAQAYVELLEECNIHIPAWFSFNSKDGVHIVSGDSLIECATIADKCAKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDGEKKEWVECTGVSDGDFVSYVSEWCKDGAALIGGCCRTTPNTIRAIQRTLNQGSNARFTMA >KQL17136 pep chromosome:Setaria_italica_v2.0:III:48501537:48505270:1 gene:SETIT_022412mg transcript:KQL17136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIQVFTSRICEHKALSRGAAAAAAARARREMVGTAGGGAEEAVRRWVEAGGGRLVLDGGLATELEANGADLNDPLWSAKCLLASPHLIRKVHMDYLEAGANIIITASYQATIQGFESKGFSKEQSENLLTKSVEIALEAREMFLKEHLEQSTPTQKPILVAASIGSYGAYLADGSEYRLQVLAEAAPDLIAFETIPNKLEAQAYVELLEECNIHIPAWFSFNSKDGVHIVSGDSLIECATIADKCAKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDGEKKEWVECTGVSDGDFVSYVSEWCKDGAALIGGCCRTTPNTIRAIQRTLNQGSNARFTMA >KQL17137 pep chromosome:Setaria_italica_v2.0:III:48501537:48505270:1 gene:SETIT_022412mg transcript:KQL17137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIQVFTSRICEHKALSRGAAAAAAARARREMVGTAGGGAEEAVRRWVEAGGGRLVLDGGLATELEANGADLNDPLWSAKCLLASPHLIRKVHMDYLEAGANIIITASYQATIQGFESKGFSKEQSENLLTKSVEIALEAREMFLKEHLEQSTPTQKPILVAASIGSYGAYLADGSEYSGDYGEAGTVQFLKDFHRRRLQVLAEAAPDLIAFETIPNKLEAQAYVELLEECNIHIPAWFSFNSKDGVHIVSGDSLIECATIADKCAKVGAVGINCTPPRFIHGLILSIRKVTDKPILIYPNSGERYDGEKKEWVECTGVSDGDFVSYVSEWCKDGAALIGGCCRTTPNTIRAIQRTLNQGSNARFTMA >KQL16741 pep chromosome:Setaria_italica_v2.0:III:44605801:44609197:1 gene:SETIT_023021mg transcript:KQL16741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALREAEAELTVYVHPSNAADVHRAVRRQLSALLFSYEERFDGVLLAHETQLVEVEEREVEDETVVEGKKKTNIVKAKILNGLVPYFGLRVHANLLLFSPQPDMILEGKVEMLGKESIHAIVLGVFSVAIMSDDIHEKFKFKRRGDGGRFVSRSDREHMIKKGTMIRFSVKSVDTEMNCHITGSLIPPQTGSMRWLSAHDAEYASQINSGKRKSRDISIKIEQNEQEHRILQNENSMVKSERPHKSRKRSIEDR >KQL16753 pep chromosome:Setaria_italica_v2.0:III:44713234:44716731:-1 gene:SETIT_021827mg transcript:KQL16753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDLEQPPARVGLTLYSPLEAATHSYKGGAPPAAVAALPPSRGWVALDCFVRRRDDDSFPADDPTVASLTNSRGDPFDVCVSLNAPPQPSTLYLRWPNGPAEGEPLAPVAAHDGAVLLLMHYPIPVLGDSLYPMIDYFVYSADSGARPSLRWLPTLGGTIGDILARVQAEGFHATNQMLRRMESLDIGIVRRGLEEFVVAELQMTVIGETARPELTVFNPSISDQWVLKRPRVVPVRPNGNLDLEHILWYWDTDKVVAFGSWMCWVDYSSGVMLCNVFDEAPEILFLELPPRLYHMKRHDHVEGGLEAYHTLGTTDGGNALKFAFVLWYDGMLKRTCDPDPSNFSITTWKLRIQGNDMVWVEDSWLAAYDLWPHDDDFAHIPRDLLLFPMFSMDDPNEVTFLLKHKPSEQEDGTYDNAQIWLVSIDMIKKKLKSSILYVENQEDSAPEEAELFERKDWLLEPFLPMKLPKDPSSFNNSVRSQGVASGCRVPMSRSHGWNPLC >KQL17021 pep chromosome:Setaria_italica_v2.0:III:47662195:47663266:-1 gene:SETIT_025207mg transcript:KQL17021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMGDAREAIVEPAKGADLKHVHFWYLKLLCRFHPFERIKDVSLESYSGISSTAKLEDFTQQCLSSLS >KQL16646 pep chromosome:Setaria_italica_v2.0:III:43306431:43311199:-1 gene:SETIT_021123mg transcript:KQL16646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAMVSAATGVMNSAVNKLTAILGEEYKLVSNVRHRIRFMRDELSSMHAALQRLAEVDDDQIDVQTKDWRSKVRELSYDIEDCVDRFMLLDSSKKAKLNLVQSMVCKIKELWEDRKISKEIKELKDRVVEEKERRDRYDIGEHLTMTHLQPVSLDPRAPTLYEEARDLVGIDGPREEIIGWLKSEEKQLKVVSIFGIGGQGKTTLAMEVYRKAEEPFDCRASVSISRTLDIKKLLRDVLSQINKSEYDRSERWETEQLIRTLREYLIDKRYLIVIDDIWSISAWEQVKCALPVNNSRSRIITTTRSKEVAQSCCTGIDGYMYEAQPLCEDDAHTLFFRRIFLSSEDCPQVLRAVATEVLRKCGGLPLAIISISGLLANRCHRVEEWENISNSISSAVGTASQIQKMKRILFLSYFDLPLHLKSCLLYLSVFPEDYSIDCRRLIRTWVAEGLIPGQNRESMEQVGESYLNELINRSMVQPTKIRAGGAVKFCRVHDVIFDFIVSQAVEDNFVVIWNGKGFSGNFSNKMRRLSIQTDFSEAEEMAKALKNTSHLRSVHIFNDSDQLGDYIPQFFSSHALRVLNIQGRCLFGDRNVHIESFTPLKYLVITGRCSELPEQIGKLQHLETLDVKRSGINKLPASIVQLKKLVRLFVPAGVQLPEGIGNMQALEELSTIELGISSAKVIQELGDLTKLRFLIVFSYYTTEACDVEGHKKASVSTLSKLLMGLRTLYLAHDSVVATALMASCRSTPPLQRLYLPYPLSAIPSQMRSFVNLVRLRISVQGKVTKKGIEILASLPMLASLTVRLRYDGDNLHPRHSIRKQGFQSLLKFSFGGDQEAALEFEPGAMPKVQSLKVELQARCQFKYGQGGLVVGLQNLAALKHVNASINCFKAAEEEVQGSEDDIRGTSGTHPNHLTLVVKREHSDAYDSSDDQLNK >KQL13412 pep chromosome:Setaria_italica_v2.0:III:4322443:4326866:1 gene:SETIT_021882mg transcript:KQL13412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAALLPSSSSPLLRLPRRFLSLTATPYPLYYDLIVHRPADPKPPRSSSDAGGDRQPQSAPDEQTLDRAKRRYLRKRRSRLLPDPDAGAKPSSSSSSEFVELRPEVVDFPRLHAREEALYFHDTFAMPWEKDKHYRMLYRLEKKYFPHQSLDNAFVPADAGPTSDADRGLVFFDDEKKEDDGEDRVVDKKDGDNDKGEVLERKVEDFFRSLRKGPGEAEAKAKRPAAARAEPRQVKREVPREEERPQPYLVTRTTELPPRWDGPGGTVVLIDKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKIVDCYQGMVKGYSGVFRLGEATSTWDADSPVIQREPWEHIKDGDIRKAAASFKGEIWQVPPMFSAIKVGGEKMYDKARRGETVELSPRRISIYQFDIERSLEDRQNLIFRVTCSKGTYIRSLCADLGKALGSCAHLTALRRDSIGEYKVNDAWNFDELEEQINKGYL >KQL15534 pep chromosome:Setaria_italica_v2.0:III:21185519:21193182:1 gene:SETIT_021173mg transcript:KQL15534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRRPPQPPGRAAGRSPETSARARAASQSALRCRSLKGGGSRLRGIMAAQPRRQANPKRVAPAVTINVNAWSDAGHAANHLYDILYMMGRDDIPVGVGGDDGISDSGTIHPNVGGYFPLIDQGMATFGGCRYRQAIPLEGGGRLDVNTNFGIRRGFLPQGHRRYIPLQQPTVQQVMIDTISAGPTTVILIGAHTNFAIFLMTNPHLKRNVEHMYIMGGGVRSKNPTGCCPKNATTSCTPEQCGDHGNLFTSYSTNPNAEFNIFGDPFAAYQVFHSGIPITLVPLDATNTIPINEKFFYEFKRHQSTYEAQYCFKSLKIARDTWFNDKFYTSYFMWDSFTSGVAISSMRNDKKGEFGNDFAELEYMNITVITSNKPYDVHDGSNPLFDGRTNPKFGLQKGGVHSGHVQTGIKDSFCHVKGSNKGRCEDGYTKEVSGPEAAHIRVATKAKLNVDKNSPLDREFFKSFLEALNVQENSGRFDFKAQFPFYREILYRPNFKHKNISRPVIVDMDMSPGDLISLIYLLKAPIEAIDVKGILVSGNGWANVASIDIIYDILHMMGRDDIPVGRGNTTALGTPSFGCDYVSIIPQGSGGLIDSDTLYGLARSLPRSPRRYTAENSVKHGAPRNTDHPELRQPLAFEVWHSIKEQLDPSEKITILTNGPLTNLANIVLSDRDASSLIEKVYVVGGHIRDENDSKGNVFTVPSNRYAEFNMFLDPLAAKTILESSLDIALIPLSSQRRAASFPSILEALMHADHTPESSFVHHLLLLLHDLQLKHRLYRHMDMFLGEVLGAVYLVEGLNIKPSLQLKPISIVTNSTASTDGQIVLDKGTAGSVEVLADFSTEQYYSRLANSLGNKEQSAVIGSFEEQIAVWSRPPQKLGT >KQL15891 pep chromosome:Setaria_italica_v2.0:III:25068100:25068866:1 gene:SETIT_024761mg transcript:KQL15891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPAGDYSSTTATDVTSHLLLPARTTADLDPRPAVGRPRGMENGGIEEADDALPVPAPPNGRRYRPVGSSDRAVVQMTSMEPGSSSSAAIDAVVTPQPPR >KQL13910 pep chromosome:Setaria_italica_v2.0:III:7683549:7685027:-1 gene:SETIT_023297mg transcript:KQL13910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYGTIPAASSTPPAPPEGSCTVPDSTSRAERPGRRASAAFAALRPWRELTDPRALSVPAGRSDARRRARANLARFAANYKLTFLAVVSVSLLWQWRRWRSICLPALYLSVCLNYLSSKAFPLFLILALFQLVVTGSAASVLVALPVGLLLVGAHAVLHYCPAEDGTVDEEVGSIVWHRGIAPCDAPTAVSQQTSVGCS >KQL13581 pep chromosome:Setaria_italica_v2.0:III:5346059:5347817:1 gene:SETIT_024807mg transcript:KQL13581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYVETAKERRQEERLKNVNLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWGLGTVVIVMSFVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGKRLGLWIIVPQQLIVEVGTDIVYMVTGGQCLRKFHDLVCQGRSCADIRLTYWIMIFGSVHFPLSQFPNFNSISAVSAAAAVMSLTYSMIAFFASTVKGASTAAAVDYGLRASTATGRVFGVLNALGAVAFAYAGHNVVLEIQATIPSTPETPSKRPMWRGVVVAYAIVALCYFCVAFGGYYAFGNAVEPNVLISLERPRWLIAAANLMVVVHVVGSYQVYAMPVFDMIETVLVKKHRFTPGVRLRLIARSAYVAATMFIGMTFPFFDGLLGFFGGFGFAPTTYYIPCIIWLMLRKPKKYGLSWTINIMCIVIGVILTLISPIGGMRQIILDAKNFKLYS >KQL16037 pep chromosome:Setaria_italica_v2.0:III:27294853:27296834:-1 gene:SETIT_021874mg transcript:KQL16037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNDEQRPLHLLFFPFVAPGHLIPVADMAALFAPRGVKCSILATPVNAAVIRSAVDRANDAFRSAGAPAIDLSTIPFPDVGLPPGVESVVGLSSEADRYMLLEAIKRLREPFGRFLADHRPDAAVADSFYPWAADAAAEHGVPRLSFLGSSMFGRACHDSLLRNNPLEELDPDDTDAVVSLPGLPHRVALRKGQMMDPRKNELEWEFDKLVNAADRRSYGELFNSFAELEPGYAEHYRTTLGRRVWLVGPLAHARKDSAASGGAGGLAPEAERCLRWLDGKPDGSVVYVSFGTLARVTAAELREAARGLQQSGRNFFWVMSESDTEGSQWMPEGFAELIDTEERGIIFRGWAPQMLILNHSAVGGFVTHCGWNSVLEAVSAGVPLVTWPRHADQFYNEMLILDVLRIGVGVGAGCYASKLDVRGEVISGEKIAESINKVMGDDEEARMIRKKAIELCGKARSATEKGGSSYNDVEQLIKELMARRSSVNV >KQL16038 pep chromosome:Setaria_italica_v2.0:III:27294971:27296446:-1 gene:SETIT_021874mg transcript:KQL16038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNDEQRPLHLLFFPFVAPGHLIPVADMAALFAPRGVKCSILATPVNAAVIRSAVDRANDAFRSAGAPAIDLSTIPFPDVGLPPGVESVVGLSSEADRYMLLEAIKRLREPFGRFLADHRPDAAVADSFYPWAADAAAEHGVPRLSFLGSSMFGRACHDSLLRNNPLEELDPDDTDAVVSLPGLPHRVALRKGQMMDPRKNELEWEFDKLVNAADRRSYGELFNSFAELEPGYAEHYRTTLGRRVWLVGPLAHARKDSAASGGAGGLAPEAERCLRWLDGKPDGSVVYVSFGTLARVTAAELREAARGLQQSGRNFFWVMSESDTEGSQWMPEGFAELIDTEERGIIFRGWAPQMLILNHSAVGGFVTHCGWNSVLEAVSAGVPLVTWPRHADQFYNEMLILDVLRIGVGVGAGCYASKLDVRGEVISGEKIAESINKVMGDDEEARMIRKKAIELCGKARSATEKGGSSYNDVEQLIKELMARRSSVNV >KQL17100 pep chromosome:Setaria_italica_v2.0:III:48311086:48312100:1 gene:SETIT_022612mg transcript:KQL17100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHQRITAMVRTLFPFLLLFVVGATATASASSGARRPLFREYIGAEGKNVTFADVPVHPGVDFHFILSFAIDYAVDAANASAPPQPTDGRFVVYWDEANLTPAAVAAAKHRGGGSADNKNNVRVALSLGGDTVHGANATFRASSVDAWVANAVASLTEILTTYGLDGIDVDYEHFGERETPEVFAECVGRLVRALRALGVISFASVAPFANPDVQAHYGELWRRYGREFDYVNFQFYAYAANTTVAEFLGYYNEQSSRYAGGGGKVLVGFGTDPASNGLGPGKGFFRACRELRRQGRLHGVFVWAADNSAADGFRYERVAQRFLAGAAPGFT >KQL13537 pep chromosome:Setaria_italica_v2.0:III:5082803:5091701:-1 gene:SETIT_021001mg transcript:KQL13537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPPDPASPPATGPAAEAPGDGAAEGDEAGGPGFSAELEPLWSQLFGDPADELEPMWSPPREFGVVGAGFAAAPEEVEAEDGDGDAEGPWDGAPWRSTGLVAGEGGVPPPAAAPSGFPEFVADPTEAASSGPALEVRPLDSDSAPPVPPCPPAPVALEVRAPELIPGCEPALPPPAASSEAKLEECTINSVPSPPAPPSPDGPELGAEDGYPSQKITSETMVVIGLTAVERPEINAASCSMPDALARDGASLRRSPRIVAIKAKANVASLEEKIDSPRASKLCLAVSGQSSISDGSRHSLSATSPEETTVTPIKPVDLLDGVKPQGSRDIVAMQSSSTDVVVALPVVSKKSSKNKAVPVSSPRKTRSASKVLVNSNRVSAHKLASEPSLERVDAVLHNSGLVSANKVVNEMPLELQAAASQPPKAKRARVSPGKCSLNLRRAKDDSSSISELPMAKVTSDPENKPKLILDKYSTDSEMVDGEDGSCFFMGEAVPHEEARHRWPNRYGSNHCLLKKDKWRNTQTFSNAGKAVFDVKCHYLRASVCGSTLCIGDCAFIKGPEGKPHYIGRLLEFFETSAGQYYFSVQWFFRAEDTVMEDQAQAHDPRRLFYSDLKDDNLLDCIVSKVTIVQVSPSVNEKSQSIPSFHYYYDMKYSLDYSTFSNMEMGDANETLQSHHTSGNDNVKRIDSTEKQKSPAPEMRELSLLDLYCGCGGMSTGLCLGARGGGVNLIARWAVDGDEVACESFRLNHPETRVRNETTDDFLELLREWEKLCKTYVKQRSKVKSRSNISVQSSDETPDCSTIPPEEFEVWKLVDICFGDPNGVGKHGLYFKVRWKGYGPNDDTWEPIEGLKNCKDAIRDFVIEGHKGKILPIPGDVDVICGGPPCQGISGYNRNREFDAPFNCERNKQIIVFMDVMQFLKPKYIYMENVLDILKFADATLARYALSRLVAMNYQAKLGIMAAGCYGLPQFRMRVFLLGCHPKENLPPFPLPTHEAIVKNGCPLAFERNLVGWPDGMPMQLEKPIVLEDALSDLPEVANGEKREEMLYVKGPQTEFQRYIRSFNSEVIGSRAHVTKDSKSKLYDHRPRALDNDNYLRVLQIPKKKGANFRDLPGVIVGPDNVARLDPTKERILLPSGNPLVIDCVLNYEHGKSLRPYGRLWWDEVVGTVLTCPNARMQALIHPAQDRLLTIRESARLQGFPDSFRFRGTVKDRYRQIGNAVAVPVGRALGYALAMAILNKTGDEPLMELPPKFAFSHDVQGLSSPNGLQSGNI >KQL13615 pep chromosome:Setaria_italica_v2.0:III:5566450:5568141:1 gene:SETIT_022485mg transcript:KQL13615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQRHLHFLFQLLLVHPLLSPSAAAAAGKVSAIIVFGDSTVDSGNNDYILTIAKGDFPPYGRDFDGGIATGRFSNGRLVTDFISEAFGLPSSVPAYLDPSCTIDQLAMGVSFASGGTGLDDLTADISNVIHVRQQLEYFKEYKERLTVSKGESNANKIIADALYYFSIGNNDIGVNYFLLPQRRSQFSPPEYVALLTDIAGAAVREVYQLGGRRIQLTGILPVGCVPAMRTVNLHQPGQCMEEFNQFALLFNAELQKTASKLNSELTGATVVYSDMYSLVSSIIANPLKYGFENVAQGCCGTGIIEALFLCGLEEPLTCEDTDKYVFFDSVHPTERIYKMEASEMLNTSLAVFL >KQL13446 pep chromosome:Setaria_italica_v2.0:III:4510234:4511104:1 gene:SETIT_025273mg transcript:KQL13446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGLEEDAGAVKAAVEAFLERCAPSGDAAYGELRALLARLHDPATRRQARVFLAELRRRQQSSSDDDDSGGFFRRFGFRIQELHKLRCQMSMSSKLMNLTHNSSTVSLHA >KQL15755 pep chromosome:Setaria_italica_v2.0:III:23425410:23428617:-1 gene:SETIT_022039mg transcript:KQL15755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQL15754 pep chromosome:Setaria_italica_v2.0:III:23425725:23428014:-1 gene:SETIT_022039mg transcript:KQL15754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQL16490 pep chromosome:Setaria_italica_v2.0:III:40953134:40956972:1 gene:SETIT_021268mg transcript:KQL16490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAIVSASTGVISTLIPKLSRLIEGEYNLQNGVKSKINFLKDELSSMQTLLMKLSNNEERLDVQEKDWRNKVCIDLFMHKMSKDGTEANLVKKTISKIKNIWSRHKMANLIEELKTRVEEEGHRRKRYRFDEPASQVVQIDPQLPALFVEAERLVGIDGPREQVIELMKEDDYGKQLKVVSIVGFGGLGKTTLANQVYQKIKGQFDCSWSCIDPSDDERQLIDKLRAFLQDKRYFIIVDDIWSTQAWELVKSALPENNLNSRIITTTRIDIVAESCCSSLTGYRVFGDASACPPHFEEMSHGILKKCHGLPLATITIASLLAGKSNRDEWEQVYNSISSAFSHQGMRDILLLSYYDLPHHLKTCLLYLSMFREDYEIYTEELIWRWVAKGFITKVKDQSADQVTENYFNELVNRSLIQPIYIQYDGRACACRVHDMVLELIVSLSKDGNFSSIVEDQSYKVGGHTIRRLSVQSEHLGDEVIQEIMDKWSQVRSISFYGLQEQGIPHLQELYSLRVLKLPPSIGRLQKLVRLLVSNTVKLPDEVGDLQALQELTTIYQYSIKLVEALRHLTKLKKLGIDMLNRWQLGCDTEQYEEAFKSSLAAMGKHGLQSLRVTKYDILEEELMDILCCTVPCLRELVVDGPSITRLPKQIVSLVNLTYLRLCIERIKQEDLCILGAIPTLLSADLSAAHAPDERLTIRTMETESKMGFEFSFEQLASLEHNGVRILPNNVTRSGVEAAEAAIRNAVSIHPGQPTLDLKVEGTTIEDKDEGEDRSGHGMAEVLEEDP >KQL17227 pep chromosome:Setaria_italica_v2.0:III:49106753:49109407:-1 gene:SETIT_023533mg transcript:KQL17227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDFPNPGGKTLPRDSTVSGRRRRLPTSRRPRVIRNLRGRRLVARWRRSCRMPLGLVLGSLGRAMRRKRLSSLDILSSKRAPRDYYKGKNCKPTGFHTRKGGYVIVDEKLPRFVVPDLTDFKLKPYVSQSARDLTASTASSTSAETTENKS >KQL17457 pep chromosome:Setaria_italica_v2.0:III:50536383:50538383:1 gene:SETIT_023178mg transcript:KQL17457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAAEGGLIYGMVARGTVVVAEHTSYTGNFRDIAAQCLHRLPAGNNRFTYTCDNHTFNFLVTDGYAYCVVATESAGRQIPMAFLEMIKEDFNKRYAGGKAATATANSLSRDFGPRLRDQMQYCTDHPEEVSRLSKVKAQVDQVKGIMMENIDKVIDRGEQIDGLVTRTEQLHDQAADFRQQGARVRRKMWYQNMKMKLIVLGIVVALILIIILSVCHGICK >KQL12746 pep chromosome:Setaria_italica_v2.0:III:675074:679795:1 gene:SETIT_021350mg transcript:KQL12746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPLGAIIGRYPSAAAGGGGEDELGGGGASGAGIIRHNRRCRDIAFLVLFAAFWVAMIVNSSFGFNQGNPLRLTYELDYKGNICGDKHGDPNLHELDVRYWMNPNQVYQSGLKNSKVNLADAKAVCLMECPNPAVDGLNFICDYPEGDIHLSVDDWINRDYDYFEFLTPDMRNSSLQLQGPCYPVIFPTVNVYWSCQFIARASNVSLKHWQQMGGVSIDENILIDKTVHKAIDSRSAVLKRYIADIGKSWPVFIVCGGILPVFLSVIWLLMIRYFVAAMTWITVVLFNALVISVTMFCYIKAGWIGNDPLTVVIGESDPYVHISGREISHLHTVTIFMTAVMIIAFLSSIAIVRRILIATPVLKVAAKVIGEVQALMFFPLVPFFTLAIFYMFWFSATLHLFSSGQVVRNDCNTDCCSYDLKLGKVNCDNCCGYSIHYTPHISIAILFHLFGCYWATQFFLACSSTVIAGSVASYYWARGEISHDIPFLTVVSSLKRLMRYSLGSVALGSLVVSVVEWVRFILECLRRKLKFVGSTRESCFGKATSSSSECCLGCIDWTLKSVNRNAYIMIAITGKGFHKASVLATGLIMKNILRIGKVNVIGDVILFLGKLCVSLFCALFAFLMLDTHKYKSAHNKISSPLIPVLVTWALGYIVAKLFFAVVEMSIDTIILSFCQDAEEHQGNAQYAPPLLMETLDEQSDLQRLTQGP >KQL12728 pep chromosome:Setaria_italica_v2.0:III:626827:629572:1 gene:SETIT_024033mg transcript:KQL12728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRGFIGQLQEDKSDDMSAAAGYKEGSEEEPYECEFYGDDDDEPQDVEHCDGTALPEDEDASDDEPFEVELCNDEGSSKCGSSHPESHTNLVLMATIYAGSSLTSAKVAMDYTAGISKGYGFVKFSDPHDAANTVMQLNGHLVEGRNIEIRVSCMSLRPSSSPVESHADNRPLREIDMSNLYVCNIPSSMNTAKLVDLFLPFGKITHARVVEQANNSSKGYGFVKFADSHCAAEAVTLMNGALIEGETIVVRVAGLSPSVPSSVSQHSSQPTNLSPEINKFMTADKLVSLFMPFGQIDRVVMKVEYSFVLYADVNSAAKALKHMDGYLIEGKSLVVKGSEPCPMNAVDFACSQSSSKLIKDIDMAYLFVGKVPSVVTFDQLVQLFCPYGEIVQAKKYDLLGYDMIRYANASSAAAAIEHLDGYQIGGSSLVVRVAGLPAESDAATNPHKQIDMTNLYVCHLPPYVTTEKLIELFLPCGQITQAKVVVDKFTGVSKGFGFVKFADAYSATVAITHMNGYPLEGHVLSVRIANVQPSDMVSYMAHFYSYFTSPDPSRMAVGIPTSHWPYYYGESAHTPYYYGESAYTPYYYGGSSYTTPMVYQGQGTESATAAHQTSQLEGLPGSELAGSSSVSNSVASDPSRLEGWTGPPGFESHAAAKKDATVWTGPPGFEPHAVTNTKKDATVWNGSPGFEPHADTKKDDT >KQL14726 pep chromosome:Setaria_italica_v2.0:III:13176075:13177122:-1 gene:SETIT_025204mg transcript:KQL14726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSGAHQLFVEMSSQLEATAGAVLRVTVTHMLYRVTEEVLPQVYNVYGADSVVVFARAGYVEALVGFLSGGDAEQARDTTHGRQSHQHIISTPAITEPASDALTLPSCPTSTSCSALPLSLLVTHTTMDDVGIGNVRAKVRASPTDDYRSVFQGVKTSSFSSHSMASSELVRCNCKNNILSDDEEFQCYHYQKIQFGSANSTS >KQL15932 pep chromosome:Setaria_italica_v2.0:III:25597161:25601313:1 gene:SETIT_021188mg transcript:KQL15932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITQVLLAAQSPDANLRTVAESNLTQFQEQNLPNFLLSLSIELSNDEKPPESRRLAGIILKNSLDAKDSAKKELLTQQWVSVDPSIKSKIKESLLVTLGSSVHDARHTSSQVIAKVASIEIPRREWQDLIAQLLGNMTSPGASAPLKQATLEALGYVCEEISPQHLEQDQVNAVLTAVVQGMNQTELSPEVRLAAVKALYNALDFAESNFANEMERNYIMKVICDTAVSKEVEIRQAAFECLVAIASTYYSHLDPYMQTIFNLTANAVKGDEEQVALQAVEFWSAICDEEIALQDEYEGSDDGNSTIHFRFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEANITKPDWHCREAATFAFGSILEGPSVEKLAPLVQAGLDFLLNTMNDSNSQVKDTTAWTLGRVFEILHSPAGANPIINNSNLPRIMAVLLESSKDVPNVAEKVCGAIYFLAQGYEDAESMSSVLTPYLPNVIAALLNAADRADTTHFRLRASAYEALNEIVRVSNIPETSGIIGQLLQEIMRRLNLTFDLHIISSGDKEKQSDLQALLCGVLQVIIQKLSSTDAKSIIVQTADQLMVLFLRVFACHSSTVHEEAMLAIGALAYATGSGFEKYMPNFFTYLEAGLQNYEEYQVCSISVGVVGDICRALEDKILPFCDRIMAVLLKDLSNSMLNRSVKPPIFSCFGDIALAIGENFEKYLPYAMPMLQGAAELLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIRYATHLLQFTEAVSKDRSRDDSVTKAAVAVLGDLADTLGASSKDLFQTHLFHVEFLRECLDLDDEVQETASWAQGMINQAVVS >KQL12820 pep chromosome:Setaria_italica_v2.0:III:1023459:1031230:1 gene:SETIT_021067mg transcript:KQL12820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGVVCCDLIAWTMSHVQKSWENERRWLLRPVQTASKVPDSRLELFYTRTPGFARNRLGTGDLAGRGRPISASGRPATTARMSTERRAHPPLQNGAGGDDGDDEREEEDGDEGEEELEDEAEEEEEEPRLKYQRLGGSVPAILSTDAAASIAVADRMVALGTHNGTLHILDFQGNQVKEIAAHTATVNDISFDADGEYIGSCSDDGTVAISSLFTDEKLKFEYHRPMKAIALDPNYSRNYRRFATGGLAGQVLVLTKKTWGGYHKKVLRDGEGPIHSMKWRTDLLAWANDAGVKVHDMKTDKGIAFIERPKGIPRPEFLLPHLVWQDDTVLVIGWGTSVKIAAIRTDSSQGLNGIQRTIAAVGSEKYVDIVGSFQTGYHISGIAPFGDLLVVLAYIPDEDEKEKKISTSVTSRQGTAQRPEIHLVSWKNDEVTTDALPIHGYEHYKAKDYALAHAPFSGSSNAGGQWAAGDEPLYYIVSPKDIVVAKPRDAEDHIAWLLQHDCHEKALAAVEAGQGPTELLDEVGSRYLDHLIIERKYAEAAQRCPKLLRGSPSAWERWVFHFAHLRQLPVLVPYIPTENPQLSDTAYEVALVALTTNPSFHELLLTTVKNWPPTLYSASPVISAIEPQLDSSSMTDTLKEALAELYVINSQYEKALSLYAELLKPEVFEFIEKYNLHDAIRDKVVNLMILDSKRTVHLLIQHRDIIPPYEVVEQLLHTNKKCDKRHFLHLYLHALFEIDIHAGKDFHDMQVELYADYEQRMLLPFLRTSQHYRLDKAYEIFAQRELVREQVFVLGRMGNAKEALSTIINKLENIEEAVEFVMDQHDDELWDELIRQCLQKPEMVGMLLEHTVGNLDPLYIVSLVPDGLEIPRLRDRLVKIVTDYRTETSLRNGCNDILKADCVNLLVKYYHEARRGVYMASMDEEVHGNRVDDGSSRANERSSSVRALDIKSRTRCGARCCLCFDPLPIQDISVILFYCCHAYHLSCLEGGLDLMRSNSNQDTDNGSDDEDGSPSGESRMRCVLCTTASA >KQL14693 pep chromosome:Setaria_italica_v2.0:III:12968012:12968977:-1 gene:SETIT_024221mg transcript:KQL14693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLEDKGQDHRGSFQDEKRNKARSMSSGQSSPMDSSGGSPCPHGDGARVVSAKKLWRAMQAAYLVVVRKHQPKLAALGVHLHHLLSSSSRRDRGRSLAAAREPHPVLTYLSSSLSCRSMDPAAAVVHPYPRGRGRGHGHGRRRASSSRPAAPSFSCRSMDPAAAVCQYQYRPREVEFSCKSTPMHKRRRREQRLRRQQERAADQGRCDHSSEPEYYHYHGSAAAVTRLFTLMDVEEAAKATEDLAGYDGGGDLDLEPTVACPALTMGPAPRQVRITDSPYLLWDDDSEEGWGAVDRRADEFITSFYEQLRTQQPQGTLYLTR >KQL14937 pep chromosome:Setaria_italica_v2.0:III:14966710:14968793:1 gene:SETIT_021475mg transcript:KQL14937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKKEKKPLDILAGSDDDSDGGGEDSSKIQINEEYARRFEHNKRREALQYYENLKKQGLAPPSDDEKDYGEVSSEEDEDAIASRTVDRRLFEVIRRIRSGDPAILDKDAKVYSSEEEGGEKKEGESKKKKKAKKEKPLYLKDVNARHLLEEGPEFTAQTSRSSRYDRIAYDEQQKKGLEAFLEAQKEVLGDDDDDDLFHEKPKAGAAADEDEDEEEKQTKELAGEVFGKDEELDENEKFLKEFFLKRPYLDSGKKSYLEDIQELSDEEELKDQEKYEYVYSFRHEEAEASGAVVADRVMGHSRVVEGSVRKKESSRKQQRKSKEERMARAKQEQAEELKHLKNLKKKEIAEKLERIRMIAGIEGDAACKLGADDLEEDFDPEDYDKKMQEMFDDSYYEADDVDPEFGSGEEMDLKKPDFDKEDELLGLPKGWDSENCKEESSATDGKATKGKISLKDKVELEKEMEEYYKLDYEDTIGDLKTRFKYKKVNPNSFGLSTYEILASDDKDLNQYVSMKKLAPYRESEWKITHHRKLSKDLILGGQKKVGKKDKSGKKSGSAEGGPSSSKPEKDKLPIEQELTDDKKKSTRSRRRKRRNEDLKMSADRLGAYGKLNSKRHKSH >KQL16947 pep chromosome:Setaria_italica_v2.0:III:46950981:46952195:1 gene:SETIT_024130mg transcript:KQL16947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLNVPSQRTTIHDISDDLLEVVLLHIPSTAGVIRAAATCKLWRRVIGDAGFRRRFRRLNGPHILGHYYYYERSGTEFLPFPATPPAWQIAVDDIGARVSLYFLTTSYANLNYAELHDSRCGLLALYHFGFGIIVCNPWTRQDRQIYLPMPMVNTSMHYLGIFLLDADPDDETGTDMNMSNFRVLCVRLIHYHHDGSKIVEASVFSARNDRRWLLLSNMAICDVIPGRGVFGSRLVLVGRAGGSICWSTKSSNVVLHLDEGTGEFSLFTLPVNAGVDINLLSYNRMELRVIGGDFGTVHLVRIVGGDIEVLRYARRGACVVERRVRVPQAASTEDDRRELRRVWCFFDRAEAASPGSIALCDVLCDAEFVRKFSADVQNLKLERVKWRKDGGRTFPYELPWTIS >KQL15690 pep chromosome:Setaria_italica_v2.0:III:22621855:22623990:1 gene:SETIT_021406mg transcript:KQL15690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHKDLSSELDPALLMSASTSSSSPPDSASPSFSFSHPSPPHYTLAVNDLSCPAPRRRASILPSCFSANPANPDTGAGEGLLKSVSFTATSSNILAIVGPSGAGKSTLLRILSGRGTGTEIAKPGTVSLNGHAVTSRAQLRRLCGFVTQDDNLLPLLTVRETILFAAQFRLRAAATAQERLDHVEALMQELGLSEVADSYVGGGGGGGCGAPAARGVSGGERKRVSIAVDIVHDPPVLLLDEPTSGLDSRSAMDVLALLHEVSRARRQVVVLSIHQPSYRMLGYISSLLLLSRGAVAHSGTLGSLEDALARLGHKIPAQLNPLELAMEVTNELQEDRARFPALTARNDDDEEDEMGLVNHAGRGLDVPDQGYCSRFTEVSALTVRCWRTMHRTRELFAARAAQAVVGGLGLGSVYFRLSPDNPDGVALRLGLFAFTLSFLLSSTVEALPVLLHERRVLMREASRRAYRLSSYVAANALVFAPCLLAVSLLFSAPLYWLAGLRAEPATTFVFFVLAVWLIVLMASSLVLFLSAVSPDFVMGNALICVFLGVFFLFSGYFIPKDSIPRYWAFMYYVSMYRYPLDLLLINEYGGSAAGKCVAWVGGDHGGAMAGGACLRTGADVLRDRGIDEGMKWVNVGVILGFFLLYRVMCWAVLVRRASKTTL >KQL16542 pep chromosome:Setaria_italica_v2.0:III:42211998:42213320:1 gene:SETIT_025076mg transcript:KQL16542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPLIWLALLCASLTFTTCAGIRLELIHVDAKENRTIAERMRRATERTHRRLASMGGVTAPVHWAGSTQYIAEYLIGDPPQRAEAIIDTGSNLIWTQCSACRPGCFSQNLSYYDPSLSRTVRPVACNDTACALGFETRCTRDRKACGVLTAYGAGAIAGVLGTETFTFGSEKASLAFGCITATELTPGSLNGASGIIGLGRGTLSLVSQLGDTKFSYCLTPYFSDAVNTSHLFVGASADLSGGGAPVTSVPFVKNPNDDLYDTFYFLPLAGITVGEAKLDVPAAAFDLREVAPGRWAGTLIDSGAPFTRLVDVAYQALRAELARQLGDSVVPLPAGVEGFDLCVAVAQGDASKLVPPLVLHFGSGGGASSDLVVPPENYWGPVDEATACMVVFSAARPNATLPMNETTVIGNYMHQNMHLLYDMGNGVLSFQPADCSSV >KQL14625 pep chromosome:Setaria_italica_v2.0:III:12460380:12460700:-1 gene:SETIT_024547mg transcript:KQL14625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDGTSGKASLRLVSACLALCLLLSRCSPCEGRKLLPADEEGGKKVMHVEGDLVLRVPPASPITGNSGGSVVVEEPPAVAPRGFSAGGRAARLMRSVPSPGVGH >KQL15293 pep chromosome:Setaria_italica_v2.0:III:18726646:18729368:1 gene:SETIT_022527mg transcript:KQL15293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSGQQKYCSLLKAETPPGYLLEPANSSYGCHARRKPPLRFRSVPLISPLVLSPSTPPPLAVPNRPTGRARLPVSMGLLSNRIGKESLKAGDHIYSWRAAWVYAHHGIYVGDDKVIHFTRGRDQEVGTGTVIDIILVSSAPKRSDTPCPVCTNEGNDSSTETNGVVSSCLNCFLGGGALYRFEYAVNPALFLAKARGGTCTLAPSDPDEAVIRRAKYLLSNGFRCYNLFKSNCEDFAIYCKTGLLVAERGVVGQSGQAISIIGGPLAAVISTPFRLVTTNIYGMAVMAVGVYCASRYAADIGNRRDVVKVEVEDLTAGLASGRIRAVENIAQLVVPAESPAAATIAA >KQL16515 pep chromosome:Setaria_italica_v2.0:III:41172879:41173484:-1 gene:SETIT_025233mg transcript:KQL16515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSSTLSVTVALLATFVILVLSSIVWLPSRQKQLNLPPGPWGWPVFGSLGFLAGALLPHCALAALAARHGPLMHLRLGSFHAVVVSSAEAARLVLKTHDLTFADRPLTSFGKIIVYGYKGILETPNGPYWRMAWKLCATELLSVRRVESFEHVRTEEMRALVHGLSECAGAIMPLRERLLSHRMRNTLRMVAGDKWSQCY >KQL14042 pep chromosome:Setaria_italica_v2.0:III:8353085:8356900:-1 gene:SETIT_021334mg transcript:KQL14042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKAAAGSGLQPQRAAEKGRGADAVVVVAVRAAAREISKTAVVWALTHVVQHGDSILLLVVIPPPSSGRKFWGFPFFAGDCASGHKAVLNQKSDVSELCSQMMLKLRDVYDPNKVNVKVKILSGSPSGTVATESKRAHASWVVLDKELKHEEKRCLEELQCNIVVMKRSQPKVLRLNLVGKPEKDSNSTPELPPEPSTSDSNTASNINEQRSSIQGPSVTPSSSPESEAPFDTTDAGTSSVSSSDPATSPFCASDTNSSLTKEATKDNIQHSDANISDSESEASTPAASSLQPWMVDKLQGPASTRLLGNRPRRTPTADSLLEKIAKLDLLTEINAIRSRSDLNFRGNVRDAVSLSRRAPPGPPPLCSICQHKTPVFGKPPRWFSYAELELATGGFSQANFLAEGGYGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVENKRRLLVYEYICNGSLDSHLYGRNEETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPLLEEYAIDELIDPRLGGRFCENEVYCMLHAANLCIRRDPHLRPRMSHALRILEGGDMAVDSSSDAGSRSWRLPNEHQHYQEQSSPAPHDSQRAQETARSPWGQDRHNLSHRY >KQL14373 pep chromosome:Setaria_italica_v2.0:III:10835353:10838095:-1 gene:SETIT_023724mg transcript:KQL14373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGAFGGNRGVRPVPPEKGVFPLDHLHECDLEKKDYLACLKSTGFQSEKCRQFSKKYLECRMERNLMAKQDMSELGFRNLDEVDTAPDDSGKLESPPNEFKKKT >KQL15039 pep chromosome:Setaria_italica_v2.0:III:16015659:16017660:-1 gene:SETIT_021741mg transcript:KQL15039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAHWLSLVGSVWLQTVNGPNADFPVYSSQLKEIKGISQVRLNFLAAASDAGKLFGWFAGVAALHLPLWAVALTGAAFGLVGYGVQFLFLERPGLAYWHLFVLTSLAGNGICWINTVCYLLCIKNFPSDSRVAVSLATSYLGLSAKFYTTMAKTLPRAARARYSTTKVYLLLNAVVPMAVTLVAAPSLRVVELRKDTKRTQAPFLAMFAITLATGACAIIGSVGAKSIGLSTREHMVSFFVLLALPLLIPVVLRVRESMAKIRETKWENRIHDHDSDGAETAVSVSVVELEAEDKQEEQGQEAEPQRSSQEEVGGLRLLRKLDFWLYFFSYMFSGTLGLVFLNNLGQIAESRGLADASTLVSLSSSFGFFGRLLPAFLDYYTAKSGYSLSRTASMASLMAPMSGAFFLLLHPKNMSLYASTAVVGTCTGAITSVAASATNELFGTKNFGVNHNVVVANIPLGSLCFGYLAAYLYQRGAHGGNRCMGAACYRDTFLLWGATCALGTALCMVLYARSRGSAGRRLPR >KQL14663 pep chromosome:Setaria_italica_v2.0:III:12748449:12752472:-1 gene:SETIT_021227mg transcript:KQL14663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSTARTMAARSWLLLLCLAAVATAGVLQARAQPDSIGFISIDCGLSGTASYVDDTNTLSYAPDAAFVDAGSNHNISAEYITPKLGKSYLNVRSFPDGARNCYTLRSLVAGLKYLVRATFKYGNYDGLGRPPIFDVYIGVNFWSMANITDADTPLLLEAIVVVPDDFVQVCLVNTGTGTPFISGLDLRPLKSTLYPQANATQGLVLLGRINFGPTDATDIVRYPDDPHDRFWLPLVDATSWNSISTTQRVQNIDNDLFEAPSKVMQTAITPRNASKNIEFSWDPEPQPKNPTPGYVANMHFTELQLLPSNATRQFYINLDGKPWYPKPFTPPYLTTDATYNSNPYRGLAHYNISINATANSTLPPIINAIEVFSVISTTNVATDSQDVSAITAIKAKYHVQKNWMGDPCVPKTLAWEGLTCSYAVSTPPRITGVYGNNSNLCSNGNSCQTTKGKRTTINSVKPQNETPRSHVPTGDAYPQSSLQLENRRFTYKELEVITNNFQRVLGRGGFGKVYDGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQTLTRIHHKNLVSMIGYCKDGEYMALVYEYMSEGTLQEHISGNGRNGGFLSWAQRLRIALESAQGLEYLHRGCNPPLIHRDVKGANILLNSKLEAKIADFGLTKAFSRENGTQVSTNTLVGKPTTKSDVYSFGVVLLELVTGRPAIFRDPEPTSIILWARQRLARGNIEGVVDPRMRGDHDVNTLWKAADIALKCTAQSSAQRPTMTDVVAQLQECLELEQARAGGANGSFYTGSSSDPYSGYNGSTADGQSTDVSRSSTAFEMDHNFGRAPTMPTGPAAR >KQL13419 pep chromosome:Setaria_italica_v2.0:III:4371321:4374944:-1 gene:SETIT_021135mg transcript:KQL13419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPGDIREHAASVFIMLNKKTVILFRIEFLVALATLLFFAMFLMDFFRRIIHNSFMRSVFSVFDAVCDSIVVYLLGAMQSAPFKNQLFPVWALVLVNFRYSADYISGYGVPDRRGRRFTEWRNVFKLLGSAFLNWTRGSRFKGPLWLVWGLQIVRSGYRFSSHNLASRAVWHGGSSELVAEHMSAMHDETNMKQEFDPVTMKGYKYLKPQYALSTDQAATKQKKTSPLVTLDKIWDCPIHEPHKQFKDGKDLSLAFALSRLLRCRLEDVTLQGRRIFDINRKLVKNIIDGKVGTSDALKIMELQLAFLHDYFNTRYPMVFWSGLRSLMSIPLPPILTICALCWLAVDIRKVYKPPNGELVNQVKGFNVDIIITWVFIFLMIVKEIWEMITYLHSDWTRLIMWCEYVQRKRKRTHEYVDTWVDSILLYFSRSKITDKRWHGFIDQYVFVQSYDDRPRFWNFIHNLTTGIIPKKDDGAALSGAIKVPEYVRQAVLEKLIKILENEQGSDLPGVIKTLSNNNLRKQLQNYQAYTAQERSTQMTSAHIVLPTSTNIVLSTSSHIVVPTSTDIVLPTSSHIILVWHIATSLCEMALATDYGVNLSNPRFPCSLWSWFTSCCSSKPYLMDVSEKKDSIWSWLTNCCLSKSKMEMEDKKKVDGKLPDHLRKTYIIANSLSRYCAYLLVSKPELIPDSFLVPKIVFQKTVKSARDGLLKDCDSLQKRFRKLMDEAEKPIKDFEKEDVLKQGVALGKELLNHLSEERRWEVLAEVWTELLIHIAPTSSAQAHKKCLSRGEFVTHIWALLWHHGVQKSSLWPEDVEPENNDPQVVHLGNDSDWAGNESRKTGGDIMRSDRIGINIDKANDNKRTQRAGGRQLKERTRRKEETGSSEIEEISQDVTVNTTNVQRGMAGQAQNESEGKKVRQCSRAPNVI >KQL13449 pep chromosome:Setaria_italica_v2.0:III:4522677:4526697:1 gene:SETIT_022688mg transcript:KQL13449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAAKVSMDSAREPFYVIRKGGVIAIYKTLSDCQAQVGNSVCDPSVTVYKGYSLSKETEEYLAARGLKNAIYCIDAADARDELFNDLVPCPFQQPDGSVQSTLKRSEEMETGPSNHQKVAEQELLSDSDLSCILEFDGACKGNPGKSGAGVIIRRLDGSVIALLREGLGITTNNAAEYRALILGLDYAAKKGFKHIRAQGDSKLVCNQVQDLWRCRSDNMAVLCKKAKELKGTFLTFQINHVLRELNSDADVQANFAVGLAVDQVEELCVC >KQL13450 pep chromosome:Setaria_italica_v2.0:III:4522677:4526697:1 gene:SETIT_022688mg transcript:KQL13450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIWSCSSCLRGFISRSAWRIHYPLLSPRSPAPLLTHFFSSSPRRSNKRSAAKVSMDSAREPFYVIRKGGVIAIYKTLSDCQAQVGNSVCDPSVTVYKGYSLSKETEEYLAARGLKNAIYCIDAADARDELFNDLVPCPFQQPDGSVQSTLKRSEEMETGPSNHQKVAEQELLSDSDLSCILEFDGACKGNPGKSGAGVIIRRLDGSVIALLREGLGITTNNAAEYRALILGLDYAAKKGFKHIRAQGDSKLVCNQVQDLWRCRSDNMAVLCKKAKELKGTFLTFQINHVLRELNSDADVQANFAVGLAVDQVEELCVC >KQL16366 pep chromosome:Setaria_italica_v2.0:III:38486720:38487293:1 gene:SETIT_024880mg transcript:KQL16366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEAEWRITGYPPSMEEYMSVALPSFVLGPIIPVSAYLRPATGWRSLLWVCGGGDIQAAKREVRSVMKASRMELLRLEVGEEGGSVPGPCRRLFWNMSKVVHLFYMDGDGYASPTEIVRAANAVVLDPLWRLPAGEDRRLIGHIQ >KQL14699 pep chromosome:Setaria_italica_v2.0:III:13006428:13011549:1 gene:SETIT_021271mg transcript:KQL14699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLYAISGLNFPLLQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTMWWLVNALNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVISVGLAVCFAVVAVLVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFMVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEVFGVLTRSIKFQLSKLFDDSSAAVSASGDSGPESSTNSTKSENRNEKSETAPKEKPSKKNRKKEKEVAESVPVKPKKEKRLLVLPFELSIMGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRVDAQATPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSNAKTSSTLVAGRKKNPWQ >KQL14698 pep chromosome:Setaria_italica_v2.0:III:13005824:13012019:1 gene:SETIT_021271mg transcript:KQL14698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPVSSAAASGGGRLRNAFGGVLCAFTLLLIGVVAFSIRLFSVIKYESVIHEFDPYFNFRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTMWWLVNALNIPLSVETVCVFTAPIFSANASWATYLLTKEAKGTGAGLMAAAILAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTLVISVGLAVCFAVVAVLVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLIPAGIISCFLPLSDASSFMVLYLVTAVYFSGVMVRLMLVLAPAACILSGIALSEVFGVLTRSIKFQLSKLFDDSSAAVSASGDSGPESSTNSTKSENRNEKSETAPKEKPSKKNRKKEKEVAESVPVKPKKEKRLLVLPFELSIMGILLLIVLGGFYVVHCVWAAAEAYSAPSIVLTSRSRDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRVDAQATPTMLNCLMYKLCYYRFVETDGKGFDRVRGYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKKLKSNAKTSSTLVAGRKKNPWQ >KQL16239 pep chromosome:Setaria_italica_v2.0:III:34987522:34989481:-1 gene:SETIT_022789mg transcript:KQL16239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSSAAPSGPADPVAQQQDFRMREFDYFVVVDFEATCEKDSRIYPQEIIEFPAVLVDAATGGLLSSFRTYVKPRHHPVLTAFCSELTGIQQEQVNGGVDLATALALHDKWLAAAGVAKNRLAIVTWGDWDCRTMLKFECNFKNISKPSYFNQWVNLRIPFETAFGAGRRNLQEAVREAGLQWDGRLHCGLDDARNTARLLVELMRRGVRISITGSLVPPPLPEPEPEPEPELQPQAQLSPGNRNLSWCDDGAATTDCFYCYCSVPIRGGVVTMPGPMQGRFFFTCGNWMPTCRFFHWAA >KQL14879 pep chromosome:Setaria_italica_v2.0:III:14516135:14520297:1 gene:SETIT_021551mg transcript:KQL14879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGGGGATGEIPEVDMEVVERPPEEPGRVGLKRVRDPHSALGSYGFYGVTTAEKKPMLQPHVNALRLSSPSLGEYPENKTKRVTKICTFYAQGWCQNGKNCTFLHDREGFGSAKAGLLAPAGSGNHRGMSFLTRKICGQQRSEEGSQVQHQSNLKVPQFKYAEGSSKHELYRSLVHVYGEDNERLAHLADKQNLTTPGVSQGLPGSIDDSFTKRPTAPINELVRSLVVHEKNHKPFMGHPIGLAAETRRYLDVNTLNSDHQFQSSGMSISSDTLQFREKLSAYGGTTDNLPNTHQKEHRSSHASYSSCNLTGFRNLGYATSEISLGSPSLRATSQLGIQSHHLFRTGIEKVNLHRHIDVDKGCGTSRPALLSSSSLDPSIISAGPLSPIKDEVWETSVPFVPSFSFPTPPGSPYDPFVDCIEPPKVGNTDNLKSSNISFSISSQHTNPDVITDKSLNRDDKLTRNMSAIGANGPACLIASDRGRSSSLDVNNRVKACDRKNNVGSSDEKARDFRFHLAEHIKDLIKPIWKEGNLSKDAHKQVVKKSVEKVVDSIEPNQVPTTKEMIAKYITTNGSKIEKLVKAYVDRHRTA >KQL17091 pep chromosome:Setaria_italica_v2.0:III:48200409:48201270:1 gene:SETIT_025180mg transcript:KQL17091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVAADVDSCLKATELRLGLPGTEEKALPTPPSTPRGKKREGNTGAEEAAKKRDGETAPPAAKAQVVGWPPVRSYRKSCFQQSSSSATKTKPAPAPEEKAPPAAGAGSALFVKVSMDGAPYLRKVDLKMYKGYRELREALEAMFLGFSGGAADAPAVNPSDFAVTYEDKDGDLMLVGDVPFDMFISTCKRLRIMKGSEARGLGSVKNN >KQL13027 pep chromosome:Setaria_italica_v2.0:III:2202867:2204905:1 gene:SETIT_023018mg transcript:KQL13027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESTNNDAPIANNNQPTAEEEVRVERAATTQEEEERLRYLEFVQQAAAQALVLAAAAYAYAKQGAGPLRPGVDHVEGTVKAVVGPVYDRFHAVPLDLLKFLDRKVGESVEEIDRRVPPVVKEAPTLARSAAKEVRQAGLVGTATGLAKSAIARAEPKARELYTRYEPVAERRAAEAWVALNRLPLVPSVTRAVLPTAAQLSAKYNSAVRDGAKRGSTVATYLPLVPTERLARVFPYPTADTAPAPEMQPIPSQ >KQL14926 pep chromosome:Setaria_italica_v2.0:III:14923220:14925723:1 gene:SETIT_023544mg transcript:KQL14926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KQL13292 pep chromosome:Setaria_italica_v2.0:III:3664292:3664875:-1 gene:SETIT_025545mg transcript:KQL13292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRWGKNPAPAAGAAGEVAVEKVPKIEVHNVVSRPSVYGLARAPRGGGGGEGDDINKMAEEFIKQRKMWFHRPT >KQL16064 pep chromosome:Setaria_italica_v2.0:III:28020596:28022938:1 gene:SETIT_025244mg transcript:KQL16064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGRLGRARASLSLLRPFTTTTTTAAVSPPAAFLAHNLLDEFSRPRSTRDAARLRRLAAYLSPPAAESVILRLPSWRHALDFFQWAAEQPGFCHSCYSLNAMVSLLPPHQRAHLDRLAGDAVASRCSMTPGALGFLLRRLGAAGLPDTAARVFDASRTTLSCIPNSYTYNCLLDALAKAGRADEAEVRLREMVESCGDESVDRYTLTSLLQCYCNAGRPDDANTVFQRMSEKGWVDEHVLTTLVVAFSKWGKVDRAVELVGRMEALGMRPSEKTLSVLVHGFAKQGRVDMAMEVFDKMARYGFCVDLVMYSVLIEGLCQGNLIGKAVHLFKEMKRNGVAPDVRLLKKIIETFCSKGHFTTAVPFINENAEHLKPSGVVSLYNVVLEGLVNSGDIEAAYQLLRSMVHGGQRVSNGNTGGLHLFVISEGVKPNSDSFNIVVCGLCKFKKLDLALALTKEMIVLDCKGKLLMFNNLILEFCNSDRLDEAYEMFNKMKDLGLKPSEFTYNSLFYGICRRKDINAAIDLLRDMRTNGHKPWIKNCTEMVQQLCFGGRITEALQFLDEMLKMGFLPDIVTYSAAMNGMCKTGDIDNALELFRDISSKYYLPDVVAHNILLNGFRKSGKFNEAQEIMEEMLSKGLFPSVVTYNLMIDIWTKSGRIDKAIACFNKMSDEEKLPTVVTYTSLIDGLCSAGRPDEAIVLWCKMRENSCAPSEIAYTALINGLCRCGRIETALSYYEEMKMNGYDLDIFSLLHFTNFLISHGHASKGCDLLKEVLQKDVVH >KQL17430 pep chromosome:Setaria_italica_v2.0:III:50373383:50374795:-1 gene:SETIT_024274mg transcript:KQL17430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYVPCLDPPLLPTEVGGHLSPSSVILDDTAYISADAVSNATTAVGQMSTGAHHNPNPPPARLSYICVHFPGPDVGTVWTPPTHANLALLRVSIPGSLRVNKHCNFDYFVYAALTGFRRFRDQDAAIMRCPGGSRYVITVLRNILGHMEWSLQLYDSDTRGWTSKPLPPVEAPERDRVLPIPDSATEVLFHENTKVIVLESTTPVLRDMPLPKPARCNRGSFCKGDPYWHWDITVVTLPEQSQMSIKYVEMGTRPGDVPSSRGQQVDRSSSSSDDDDVDDYWTATVWTMPVPIASWKDWHKDCTIDAASIAIDNPMHRELLPRLTVDPEEVSVTFSRLLTAHPTLGLGMNGDVVVYLLSKVDYRAREGWVIAVGTNSKLQGIAKLDDRKNFSLRRYYRSTDISKYLTKATELTILSSSTYIRNS >KQL13477 pep chromosome:Setaria_italica_v2.0:III:4693583:4695076:-1 gene:SETIT_025348mg transcript:KQL13477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMAAAAPPQQRTGGIARRLARLLRRKRTPAGAGVAYSVAGDEFDDSLDSSINSLSKLKLSGNLAAAYTLDAFFKSATEKKGAAAPQVQAQTQPSPAPGPEAAAKHAFVASLFAGASAVKAAYAQLQLAQHPYDAEAIQAADAGLVAELTKLSDLKRRYARDPAGAARSAAALAAHADEQRHLLRTYEITARKLEAELRARDAEAGRARAALTDELRAARALEERAHPGRTLAALDDLHLSGLNATHFLTALRHTVKSVRGFARAMLDGMRAAGWDPAAAAAAVHPGARLRDPAGDARFALESYVALKMLAGFHRKDFGMSSLHGRGSHDRRRFFDEFAELKSAPAAEFLGEGNPRWGALREFLRDRYLSVVHERMEAAFFGGRAEQRAAVRKGGAFPRTAWFAEFAEMARRVWLLHCLFWSFDGAASVFQARPGERFSEVFMESASDADGGGGTVAPAPGGQGQLAVGFTVVPGFKVGRTVIQCRVYLSRSDPRP >KQL16097 pep chromosome:Setaria_italica_v2.0:III:29249406:29249792:1 gene:SETIT_025399mg transcript:KQL16097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVKGYTEDTKTAVQIEMGYTHKAQGYMTKASNSHPSLYDLRKRQGPSDTWLEAASSLVSNAKIA >KQL16291 pep chromosome:Setaria_italica_v2.0:III:36459231:36462604:-1 gene:SETIT_024057mg transcript:KQL16291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGATSTTTPEVFPVLLMPQSPEPEVNGARVTPLQHVSPETMATPDPERTYLHKKDYWFFERIRLEHNHPLHPSPTVTQFLRIQKDKDPIVMGIVDQMHRCDASHNTTVNVLAELYGGRQNFTKAATAREERENDIPKLLEFFREMKAHNEYFYYELQDEQANTFEWLFGAFKNCMSGGRDPRCILTDQDSSMAAAIKKVFKQTQHRLCRWHMLKKYKAELKKLYKIHDGLKIELITVINHPLTPTEFEFAWNELVDEYGIREDDTIQGLWESRKLWVAAYFKPLYCGRMTSTQRSESVNKMIKGSGFTGHMTCMSKFARRMLDFIQHTNHTAGGETHWSQAGNWRLMLQPFDGHLSRVYTRAVYKKYRETYIFSTAFRIDPHPNEIDVYLVMHTDQSWQYAWFQHSFRVESDVRSEYIMKRYTRGARTMVPWDRHDIVTSVLGCESDQYKIKKLVEIAMAAVRACRKTSLGFEKGCEQLSALVEWGESIAKGTGASHVGDHTEEQSDVIPHIIGEPAASWAEQDAAVETAVQISECAPREARTKGRKHGGRQVVNEYASSSKAQGQRTCGYCGSLGHYSTGCDLNPDNINKKRGAGGSLRGKMGRKRGRPPTKRQLEDEFNGVA >KQL14653 pep chromosome:Setaria_italica_v2.0:III:12704596:12705718:-1 gene:SETIT_025316mg transcript:KQL14653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTIRTARSSSRTPPSAAVQSVSRLESSRCQRRPNAHCSVRVAAAESQPAEKTHAFPALSHSATPPTPVTNTTAKPSRGRKPEQRSTAARALHPPSAPRHYSAPRKPKPRKQIPTSHSAPRTARHAIPPHDHTPAAAAPAAADDEWEPTAMSFLDPLASLGLGYAIAIALGFLVLLASVLLASYFCLRRGAGDGFGAGGVGGVGGSARHAASSASSSGHISITVPRVVFVAEDYDSPGSSSRGAAAAASPVGLDPAVIATYPRVPFSRAALGPDAEVACSICLCEYREGEMLRVMPECKHRFHLTCLDAWLRRSASCPVCRSSPIPTPVSTPLSTPLSELVPLSQYAADRRRSR >KQL14718 pep chromosome:Setaria_italica_v2.0:III:13143996:13148603:-1 gene:SETIT_021040mg transcript:KQL14718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAPFSIREYAARARAEGGGWPFGGDAGTLPPVEVRWFRWWEDEAAAAAEDEEGEVERRMAAKRRKRSVAELFAAVPRVARGQRRGKGKAAKRKPDGKGKLVLPVPVRVKASSGNKKKKKKKKKKKKAPAGTDAREKEKKSGVKATPISISQLFQHSIRKKKPENALSKKKGNQEVLVLLDRKSKGNRKSVLERHKKAVTNSVKAQSICKQQSEAGFCTVLDNTDIRCKSSSCKSKHVTFSDGTDIFRWTAHLPEGNTEQPQSVQTSQQPTPEGCDHRNTDKTQLVYQQADGISGAVENTSSLSENVVSAGVYHTAPLTKPKDRTILSNSVDLNHCIETSNSSNCLNSISLARRKVPCQNFNDVNSHLDSDDGLSPDVECLGERNHMLSQASYAPSLTAKAISGDRGPLSQPSSSCLYARSRSTLQERLVANYQVHTELLRSGKDAVRSINSSIGSNKPAGAQATDCVAAGRNMHFGDDYLGLPINSRGEFVKVHPGCTPNSADIFKRQCLGENSSSPSTIPTIFTPSTCMDHVNLRSNHHAQEICTVDRSVFHAGPRFTPATATAYGMDFRQLPSSERTKVHYYRIPSNKYPCTNQQELSVECFCSGCMGHHNPQQKLIGMQSHCLRQNSGQNSQHNAETTMRLMGKTVTLGTSAMQCRGLNNETPSSSKQTRAEDFQGAHMNVFPLPFHGGLVDPPSACRISDRERQPSGTSHFCFVPAAIPSFVLDTSSFRTNSHHQQPEVGTANNANVHPAGEGEIGQKQPVMEKQVQRNAEDMVSGSVHRRHTQTVAPESSLNRRNNIRNFMEERPVPYLSSYLPQQFSRTTQRPPMSSFPSGYPIQNAPGLTTQTKFTSLRPLPPSVIPSQGYIADYTPPHGSVTAFHPPVPVPHPVSNPSAPGYAIFEDKSMRWTTMGSKPEGLEHTRRNCKRPAEKDDMFLTLAKKPYTAAGKELTMLPFPEKGLEFRGSRPPDPQARDMPICLDEPEADLRLGHRESQATWSAPANAHRPLKLKPGAKHVLQPSASGVYQENPWPVHSVAPLLAPENDACTLSTTCMNWGASCHEAGGFVHTLSKPEHSVIVVGRGCWAPETFP >KQL15422 pep chromosome:Setaria_italica_v2.0:III:19967956:19968291:1 gene:SETIT_0251431mg transcript:KQL15422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALSNGRYRSCVHRAVVHREQERRSLAFFLCPRDDRVVRPPPRLLAARDDQEQQPRLYPDFTWADLARFTQLHYRADARTLDAFARWLGAAPTSRAAATSASQSQDKAQETA >KQL14364 pep chromosome:Setaria_italica_v2.0:III:10774816:10775181:-1 gene:SETIT_024015mg transcript:KQL14364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEFVCNGKTEERKHEENVRSGVWKARIRSKPALGGSHEWRGKTATHL >KQL14884 pep chromosome:Setaria_italica_v2.0:III:14593149:14595227:1 gene:SETIT_024530mg transcript:KQL14884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCLVDRFGFDAADIRVLADADTSTPPPTGANIRRLVGDARPGDTLFFHYSGHGLQLPAETGEDDDTGYDECIVPGDGNLIKVSDSCHSGGLIDKAKKQIGNSTRQNKNQHPDQRETRPPFYASLLGIVHGAFESLSIHLHRRGFQQSSRSQSNGAELDTKAEVKGEHREDGVGVGTIRTTLFRHFGDDVSAKLKKFAQVMAAGKLRQDGELLEGDELGQAETRSRAAASGQEVRSSVKVKEVYAGTAASVPLPRNGVLISGCQTDQTSGDATTAEGVSYACSTTKKYGAVTSRELVLRARELLAKQGVTTQQPGLYCSDEHASVPFVC >KQL17217 pep chromosome:Setaria_italica_v2.0:III:49025444:49026441:1 gene:SETIT_025505mg transcript:KQL17217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPTFMFVNLRHLTCEITIFTNSPNTHTGILQLAHCLDCAPQLETLKLHMQYHVMGSHCWPGEGILPMRRLDHLKTVYTSGFRCYRPQVKLLHGSLENSAALEHVTIEPTVTLYVDSIANIGVPEDKICEWAHRASERFGKAITVVKAHRRRWL >KQL13750 pep chromosome:Setaria_italica_v2.0:III:6467526:6469604:-1 gene:SETIT_021448mg transcript:KQL13750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTRRAQSGKSHSWWWDSHISPQNSKWLAENLEEMEKQVKEVLGLIGEGEFSAEKAEAFYQQQPLLITHVENFHRMYRALAARYDNVTGELRKNIPSSLQSHGSLGVSESDSETQSSSSPESDMQENIPQQKQKPGPDYLDVSVGSGVSSDVSKKGSEGSSSSSDSDSELDEAKEENGSIFYALSQKIIELEDELHEARVKLEKHAHCQGNFGTNSKVAEHEEKLQTSDVESNNLQKDLEERDSALESLTEVDSEKEAVEAVLLEHKHEIEVLKGAMASAAKQFEVELAHRDLEIDKCKRELGVVSEKCLHDKSTLEDEHRRLQGVIKNMEGDLAKMSQEKLQLESRIEELEQAAHSLERSASEIVKLQEVIRNTQAELEKVTEEKEVLKERANEFEQLCRALKISGTEVAMLPETIKNLEAQLERALEENSILQDRIKELEQVMSDSLEKHSREQSCLTSDLLKLSEANTSLEDKLSSVAAELMQVYADKEEESLNSENQISVLNQDIADFRSKLELLSSEKAKVDDKLANLLAHITTRDEKMKQMDAHLNQLQSEHAKLMAESDSARKSLSEVRARVSELEEEVEKQKLVISESAEGKREAIRQLCFSIEHYRSGYQQLRQLLQGHRRPMVMAT >KQL13280 pep chromosome:Setaria_italica_v2.0:III:3575828:3578113:-1 gene:SETIT_022113mg transcript:KQL13280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEADCSGAAPTISTERTSGSSDVNPSSTGALGNLSHLLSETAQSSMLLPVYDKNHPETPNLARPKVPPKELFLDQLTAGHESSSTCRSSGQTLYFPFQQPLGYSSESGSGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNLPPNNPRHGGTGIGSASVDSLYWDGTNPSSSSSTGSRGSNSLGFEPQSTSSILENSVFPWTDVGQEKDSRAHLVEELKWPDLLHGTFAETTVAMQDQSQSLYDDVIKAESQFNMEGICASWYQNQQPQQQLQAAPDMYDKDLQRMQLSFENI >KQL13279 pep chromosome:Setaria_italica_v2.0:III:3575579:3578113:-1 gene:SETIT_022113mg transcript:KQL13279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQKGIDPNTHKPLAEADCSGAAPTISTERTSGSSDVNPSSTGALGNLSHLLSETAQSSMLLPVYDKNHPETPNLARPKVPPKELFLDQLTAGHESSSTCRSSGQTLYFPFQQPLGYSSESGSGDGASMNSLWFNQNDFNCSTISTVMPPVSPSALSTSMGLNLPPNNPRHGGTGIGSASVDSLYWDGTNPSSSSSTGSRGSNSLGFEPQSTSSILENSVFPWTDVGQEKDSRAHLVEELKWPDLLHGTFAETTVAMQDQSQSLYDDVIKAESQFNMEGICASWYQNQQPQQQLQAAPDMYDKDLQRMQLSFENI >KQL13893 pep chromosome:Setaria_italica_v2.0:III:7546566:7553318:1 gene:SETIT_021762mg transcript:KQL13893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDDAAPVVHRRPPRPARGSGGAVEGFAAALRRRLKSGASAVARASFAADSGDESGAGEPSSSSRRRDSSEDASSAGGERGGSGGAGGGALDFSAFTFRAAAPVHRKVKESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLMKYGLLIRSGFWFNTTSLRDWPLLMCCLSLPAFPLGAFAVETLAFRNLITDAVATSLHIFLTTSEIVYPVLVILMCDSAVLSGFVLMFIACIVWLKLVSFAHTNHDIRKLITSGKKVDNELSAADIDNLQPPTLGSLIYFMMAPTLCYQPSYPRTTHIRKGWLIRQIILYLIFTGLQGFIIEQYINPIVVNSQHPLKGGLLNAVETVLKLSLPNVYLWLCMFYCLFHLWLNILAEILRFGDREFYKDWWNAKTIDEYWRKWNMPVHKWIVRHIYFPCMRNGISKEVAVFISFFVSAVLHELCVAAPCRILKFWAFLGIMLQIPLIILTSYLKNKFRDTMVGNMIFWFFFCIYGQPMCVLLYYHDVMNRIEKTK >KQL14643 pep chromosome:Setaria_italica_v2.0:III:12610104:12611261:1 gene:SETIT_024297mg transcript:KQL14643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPRFLPRRRRRARCIASNGDPVLVSFRLVALPGASHLYLHYPREAKGFSGTALVAAPRLRPLPRRRPLRPRHHRLPLVLPHGLLRLQGGRPLRPVALRPSPAVLRGRAAHGGGAGAGPRRAFAHAGAWGHRRPPPWRGGVRRRRPQGADTRRQRFRAGGSRALQVSLQRRLQAVGGQATPDPPARGYGAWAALVDDGQAQVVSFEGYMCWIDYFRGILFCDVLDEDHELRYVELPVAPPDGNLHHPEFGRLHPFVTRSVCVTDGGTMKFVNVARADVEIASKRRPGSGFTMTAWSLVTPLNSERLGWAMDGAIEADKLWMHDSYAKLGLPLLSPEFPFVSLREPDVVYAVLRERHYDGGKTWVLVIHMRSKVVFGCLAKFLTRLV >KQL15524 pep chromosome:Setaria_italica_v2.0:III:21135616:21137218:-1 gene:SETIT_022513mg transcript:KQL15524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDEVNRNECKTAVPIHTWVLISNFKLAYNMLRRADGTFDRDLAEYLDRRVPPDARAQEGVSSFDHVIDPSIGLEVRIYRAAASNAAGAAAVTLPILDFLTGAPSPDPFPVILFFHGGSFAHSSSSTAIYDNLCRRFVKLSKGVVLSVNYRRAPEHRYPCAYDDGWAALKWAMSQPFLRSGEDAQPRVFLSGDSSGGNIAHHVAVRAADAGIKISGNILLNAMFGGTERTDSERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLSGLPFTKSLIIVSGLDLTCDRQLAYAEGLREDGHDVKLVYREKATVGFYLLPNTDHYHEVMEEISDFLRANL >KQL15523 pep chromosome:Setaria_italica_v2.0:III:21134809:21137618:-1 gene:SETIT_022513mg transcript:KQL15523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDEVNRNECKTAVPIHTWVLISNFKLAYNMLRRADGTFDRDLAEYLDRRVPPDARAQEGVSSFDHVIDPSIGLEVRIYRAAASNAAGAAAVTLPILDFLTGAPSPDPFPVILFFHGGSFAHSSSSTAIYDNLCRRFVKLSKGVVLSVNYRRAPEHRYPCAYDDGWAALKWAMSQPFLRSGEDAQPRVFLSGDSSGGNIAHHVAVRAADAGIKISGNILLNAMFGGTERTDSERRLDGKYFVTLQDRDWYWKAYLPEDADRDHPACNPFGPNGRRLSGLPFTKSLIIVSGLDLTCDRQLAYAEGLREDGHDVKLVYREKATVGFYLLPNTDHYHEVMEEISDFLRANL >KQL16155 pep chromosome:Setaria_italica_v2.0:III:32127940:32128560:-1 gene:SETIT_023732mg transcript:KQL16155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKNMEAVTKQSRTLEEAKLGGTEEIQGYQKEIASLKEQVELLKNQSQKKTEELKTAEANSVALQKQSEGLLMEYDRLIAENGDLRNQLQSIDLRMSHSDGKKNS >KQL16406 pep chromosome:Setaria_italica_v2.0:III:39341493:39345228:-1 gene:SETIT_021543mg transcript:KQL16406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEASKKKAAQKKAAAAAKRGGKAAAASSSSSSSSAAAAAEKAANGVAALKLSDRTCTGVLASHPLSRDIHIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPEHMDIYHLSHEIEASDMSALQAVVSCDEERVQLEKEAEILAAQDDGGGEALDRVYERLDAMDAATAEKRAAEILFGLGFSKQMQAKKTKDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEEMLKNFDRILVVISHSQDFLNGVCTNIIHMQNKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVVRDKVLVFRFTDVGKLPPPVLQFVEVKFGYTPDNLIYKCLDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQYHQHLAEKLDLDMSALAYMMKEYPGTEEEKMRSAVGRFGLSGKAQVMPMKNLSDGQRSRVIFAWLAYRQPQLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMDFKAHLKSKAGLSD >KQL14098 pep chromosome:Setaria_italica_v2.0:III:8798642:8800586:-1 gene:SETIT_021501mg transcript:KQL14098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSESVQMAAGVDGARGRPSPEPIRVPVPSVLAGFVVDGGAGHEAIPPAAAARGDRARAPEAEAPFSVSLSVPASPSGLHLAACASVRSDDARVAPAPAVTEAALDARHHAEAPPQLLNQARHNSQPALTIRTEEPPLQRLRTVSRSDSTRDRRFDQFKTFSGRLERQLSNFRGLPQDPAEIEPAESKISEEETDDDEVPTADRYFAALEGPELETLRPTEVSALPEDETWPFLLRFPISAFGMCLGVSSQAMLWKTLQSEPATAFLRVSPDVNHALWLVSVALMGVVSAIYLLKVVFYFEAVRREFYHPIRVNFFFAPWIACLFLVKGLPRPVWTIHHVVWYVLMAPIFCLDLKIYGQWMSGGDRRLSKVANPTSHLAVVGNFVGALLGARMGLREAPIFFFAVGLAHYMVLFVTLYQRLPTNVQLPKDLHPAFFLFVAAPSVASMAWARLCGEFNYGAKIAYFISLFLYMSLVVRINFFRGVRFSLAWWAYTFPMTSAAIATTLYASAVTTVLTRALAVGLSGIASVTVTGVLVATMYHAFVRRDLFPNDVSIAITQRPKAKFSKILAHLRSSGTDVKELVFAVSRHGGSDTTSESPSPMVRGRGGAQP >KQL15508 pep chromosome:Setaria_italica_v2.0:III:20981217:20985524:-1 gene:SETIT_021251mg transcript:KQL15508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRDDIAVGVGGDGGISDDGRVYPDVGGYFAIIEQEMSTVGGCRYRQTIPQGGNGRLDVNTNYGIRRAFLPQGNRRYFPVQQPTTQQMMIDTISAGPTTAFLMGTHTNFALFLMSNPHLKKNVEHIYMMGGGVRSHNPTGCCPKSSISCVRQQCEDHGNMFTAYTKDPYAEFNIFGDPFAAYQVFHSGIPITLVPLDATNTIPITENFFRAFEQKQSTYEAKYCFQSLKIARDTWFDNQFYTNYFMWDSFMTGVALSIMRNGERPDGENDFAEMEVMNITVVTSNEPYGVRDGSNPFFDGRARPKFNLLEGGVHSGHVLTGLNDPFCVMKGSIKGKCQDGYTKEVQGPDSVAALVAMKAKSNRNASSPLDREFFNNFLEVMNRPACSGRFNFTNQFPHYKEVMYKPDFRNRIRGMPVIFDMDMSPGDFIALLCLLKANIEAIDLKGILVSGNGWSNPATIDVIYDVLHMMGRDDIPVGLGSITALGAPELGCEYVKAIPHGSGGGLDADTLFGLARMLPRSPRRYTAEKSMKYGTPRDTAHPEMRQPLAFEVWQQVIAELGPTEKITVLTNGPLTNIANIILSDTKAKSMIEKVYIVGTHLVDGEGEEGNLFTVPSNKFAEFNFFLDPKSAKTVVESGLDITVIPLRAQRQVSSFGKVLRSLRGAEKTPESSFVYRLLLLMKKLQKNHQAYSHIDMFLGEVLGSMFLVQQSHLNYSITEKAISVGSGHVSMDGQTILDETNGKLVKVLDRLHSDTYYTELAKLVATKKQSALVGSFDEQKRMWSKANYKGRDDPGFVK >KQL17053 pep chromosome:Setaria_italica_v2.0:III:47828864:47831711:1 gene:SETIT_022239mg transcript:KQL17053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKARQLVARLAATPDSAVRDLPFIHRAVTLPLLSAASAALRASLLLSRLRPRRALPVPVVSVGNLTWGGNGKTPMVDFLARSFHRLGISPLLLTRGYAGGDEPKMLRRRLSDTSAKIGVGANRAAVASSMLQKYGYIHHSETFHADKKLSAISKLESSKIGVAMLDDGMQHWSLLRDVEIVMVNGLAPWGNTHFIPRGPMREPLSALGRADIVVIHNADLASQVQLKAVRSTIEDNAATCSVFYSRLAPSHIFEVKQPSRRLPLNVLNDKIVLCVSAIGCPNAFIHTVKEMGPLKIDRLDFSDHHFFNAHDLEIIQETVRSLMDQHGKDTIILVTEKDYDRDPEVLTTLDAKVWVLSSSLQIMPHKEQGEDEFMRKVKEIMVVTGRAKSHVVDCATS >KQL13849 pep chromosome:Setaria_italica_v2.0:III:7198251:7203110:-1 gene:SETIT_021257mg transcript:KQL13849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASDGGKGPGAAAVPPQLESFLAIGLDQRTAENALANRKVTANLTAVIAEAGVTECHKSVGNLLYTVATKYPANALVHRPDLIKYILSEKIKNSAQLDAALSFLSTLGPDSLDPVKFEEACGVGVVVSFEEIQSTVNDVLNENMEAILEQRYRINVGSLCGLVRKRQPWGDAKLVKEEIEKRLVEILGPKTEADNAKPIKKKKEKPAKVEEEKTVAAPPSEEELNPYSIFPQPEENFKVHTEIFFSDGNIWRAHNTKSILEKHLKVTGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVTWMGWEPYKVTYTSDYFQDLYELAICLIQKGLAYVDHQTPEEIKEYREKKMNSPWRDRPIEESLKLFEDMRHGLIAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALGQYQPYVWEYSRLNISNNVMSKRKLNRLVTDKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGMGITRSDNSLIQVERLEYHIREELNKVAPRALVVLHPLKVVITNLDYGTIMNLDAKMWPNAPDSDASAHYKVPFSRTLYIEESDFRLKDSKDFYGLAPGKSVMLRHAFPIKCAEVIYGDNPDSIVEIQAEYDPSKATKPKVHRPLKYMRSINLQLPLSKSSSICVGRVTLGCRAFPWG >KQL13850 pep chromosome:Setaria_italica_v2.0:III:7198251:7203175:-1 gene:SETIT_021257mg transcript:KQL13850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASDGGKGPGAAAVPPQLESFLAIGLDQRTAENALANRKVTANLTAVIAEAGVTECHKSVGNLLYTVATKYPANALVHRPDLIKYILSEKIKNSAQLDAALSFLSTLGPDSLDPVKFEEACGVGVVVSFEEIQSTVNDVLNENMEAILEQRYRINVGSLCGLVRKRQPWGDAKLVKEEIEKRLVEILGPKTEADNAKPIKKKKEKPAKVEEEKTVAAPPSEEELNPYSIFPQPEENFKVHTEIFFSDGNIWRAHNTKSILEKHLKVTGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVTWMGWEPYKVTYTSDYFQDLYELAICLIQKGLAYVDHQTPEEIKEYREKKMNSPWRDRPIEESLKLFEDMRHGLIAEGKATLRMKQDMQNDNKNMADLIAYRIKFTPHPHAGDKWFIYPSYDYAHCLVDSLENITHSLCTLEFDIRRPSYYWLLVALGQYQPYVWEYSRLNISNNVMSKRKLNRLVTDKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGMGITRSDNSLIQVERLEYHIREELNKVAPRALVVLHPLKVVITNLDYGTIMNLDAKMWPNAPDSDASAHYKVPFSRTLYIEESDFRLKDSKDFYGLAPGKSVMLRHAFPIKCAEVIYGDNPDSIVEIQAEYDPSKATKPKGVLHWVAEPSPGVEPLKVEVRLFEKLFLSENPAELEDWLGDLNPQSKEVIKGAYAVPSLANAVLGDKFQFERLGYFAVDSDSTPEGLVFNRTVTLKDSFGKAGPK >KQL16470 pep chromosome:Setaria_italica_v2.0:III:40538380:40538614:-1 gene:SETIT_024000mg transcript:KQL16470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGQFHDVHTDKPVSITRHQNSDLHIVVTFIFCTSISHLNHVRLEEIHRR >KQL13616 pep chromosome:Setaria_italica_v2.0:III:5573789:5578979:-1 gene:SETIT_021359mg transcript:KQL13616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTCSQVGAAAAGGASPFLFSRRRGSGPAPPSSPFVGRRLAAAVRMRAPSRGPRGGAALRVTCEKVVGIDLGTTNSAVAAMEGGKPTVVTNAEGARTTPSVVAYTKTGERLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDDEAKQVSYGVVKDENGNVKLDCPAIGKQFAAEEISAQVLRKLVDDASKFLNEKITKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLASNFKKDEGIDLLKDKQALQRLTEAAEKAKMELSTLTQANISLPFITATADGPKHIEATLSRAKFEELCSDLIDRLKTPVNNALKDAKLSVSDLDEVILVGGSTRIPAVQELVRKLTDKDPNVTVNPDEVVSLGAAVQGGVLAGDVKDVVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPKDEVERMVEEADKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPAPVKEKVDVKLQELKDAISGGSTQGMKDAMAALNQEVMQIGQAMYNQPGAAGSTPDAEAGPTPGAGPAKGPNDGDVIDADFTDSN >KQL14799 pep chromosome:Setaria_italica_v2.0:III:13946069:13946386:-1 gene:SETIT_024622mg transcript:KQL14799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIESARCACCGLKEDCTQEYIDNVKGNFGGKWLCGLCSEAVRDELSKDRNSQDGIEEAIKAHKEFCRMPLSSPAVRVADGMKQMLRRRSGDKMRPATPSKARQL >KQL17438 pep chromosome:Setaria_italica_v2.0:III:50449126:50451552:-1 gene:SETIT_021542mg transcript:KQL17438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDKAATGDKPEDEHKAYAFAVVADGDNMKKRHLSDDDDGAAGDYRGWKSMPYVIGNETCEKLGTIGTTANLLVYLTTVYGMKSASAATLLSLWDGTVNLAPILGAFLSDSYLGRYTTIGLASIASFVGMILLTLTAAVPSLHPHGMGPSATQMAVLLVSFGLLAVGAGGIRPCNLAFGADQFDPRTPAGRRGITSFFNWYYFTFTIAMMISATFIIYLQSSVSWALGLAVPATLMGLSCALFFMGTPLYVRVRPEGSPFTSFAQVLVAAARKRRLPAPASLGELFDPPHRSNLITKIAYTDQFVCLDKAAVLTPEDELAADGSTAANPWRLCTLQQVEEVKCLARLIPVWSSGIVYYIVLTNLSKYNVLQAMQTDRHLGRSGFQIPAGSFVVFNMLALTVWLPVYDRLLVPALQRVTKREGGITQFQRIGTGIVMSIATMLVAAAAEWHRRRVGDSTSCFVLVPQQVLAGVSEAFAVIGQVDFYYKQFPENMRSVAGAVLSLGFAIASYASGLMVTVVNQRTGGRDGRPDWLAQDLNQGRLDLYYLLIAAMAAVNLVYFVACARWYRFKKSDAGIAVVVLQGNNDGLKVKAAAPPV >KQL12915 pep chromosome:Setaria_italica_v2.0:III:1612092:1614497:1 gene:SETIT_024963mg transcript:KQL12915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDIKAVAAKLLDRSRRKEVESRQRETAASSAGGGASSAIATIVDRPLPIRFPPWNASLEDMHKWNLERRRIDKLVGKDPRTKLLENLPTLRKPKDPDTRDAVASSRDKRRWFCTWHAPSSVFPHGNFIWQCTGIVVGWDEAKKCARILTNYDIASDTGALLDPKPKIHVRLPNKIVSEGQLLFFNKHYNIALLEITADFLLQLPSFGSSPNYGQEVFVLARDEDSFLLARHGTIVWIDEPDYSSCNYHMFLSCELPGMSDISLMLNCNGMAFDCFKEYPAMLVSISTILTCIEMWMKFSRIARPIMQGLRLRSVELLDVSSREEISYSYNINSGYIVDTLEDLLLSLGWEFLERGFGASTVVDFK >KQL14082 pep chromosome:Setaria_italica_v2.0:III:8670547:8670951:1 gene:SETIT_025650mg transcript:KQL14082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSLSLSSKQICADTLSQCQHLPKTKLQNVGRDLGRAVESH >KQL13569 pep chromosome:Setaria_italica_v2.0:III:5289588:5295980:1 gene:SETIT_021224mg transcript:KQL13569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFGGFFVDEKATRVENIFLEFLKRFKESDGAPEPFYETEMEAMRSRESTTMYVDFAHVMHFNDILQKAIAEEYLRFEPYLRNACKRFVLEHRAGENRAPIISDDSPNKDINVAFYNIPMLKKLRELGTAEIGKLTSVMGVVTRTSEVRPELLQGTFKCLDCGNVVKNVEQQFKYTEPIICVNATCQNRSKWALLRQESKFTDWQRVRMQETSKEIPAGSLPRSLDVILRHEIVEKARAGDTVVFTGTVVAVPDVMALTSPGERAECRREAPQRKSGGVQEGVKGLKSLGVRDLSYRLAFVANSVQVADGRREVDIRDRDTDGDDSERQKFTEEEEDEVVRMRNTPDFFNKIVDSICPTVFGHQEIKRAVLLMLLGGVHKVTHEGINLRGDINVCIVGDPSCAKSQFLKYTAGIVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIKDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKSKPLKYNVALPPAILSRFDLVYIMIDEPDENTDYHIAHHIVRVHQKREEALAPAFSTAELKRYIAFAKSLKPQLSSEAKKVLVESYVTLRRGDSTPGTRVAYRMTVRQLEALIRLSEAIARSHLERTVLPAHVRLAVKLLKTSIISVESSEVDLSDFQDAEDGTNVPSDNDAGQPAEADAAPQQQGAENDQAADNGKKKLVITEEHFQRVTQALVMRLRQHEESIMKDGDGLAGMKQGDLIIWYVEQQNAKGAYSSTAEVKEEVKCIKAIIERLIQREGHLIVIDDGAAAAAEDGAGARRTSESRILAVNPNYVID >KQL15212 pep chromosome:Setaria_italica_v2.0:III:17808332:17810991:-1 gene:SETIT_022965mg transcript:KQL15212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGYANLPTSHLLGSVPAVTQDDRKPVAPAAQDAGATSRLQEFPPAPGGNGGGYRPPGAPDGDVENQANWKGYFNVASYAPYFNVDTDVVVDRLISSVYPMDGFYRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQKKKDLDIWFDVGYFNWAASVMYGYVIVVPAVFFFLFQYFGSRPSLVRFWCMWGYSLFVFIPASVLLLIPVEFLRWVIIALAGGVSSWFIALNLKECTEGADLMVLIASAAVLQFALALFIKVFFFA >KQL15210 pep chromosome:Setaria_italica_v2.0:III:17807796:17811159:-1 gene:SETIT_022965mg transcript:KQL15210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGYANLPTSHLLGSVPAVTQDDRKPVAPAAQDAGATSRLQEFPPAPGGNGGGYRPPGAPDGDVENQANWKGYFNVASYAPYFNVDTDVVVDRLISSVYPMDGFYRKIDANPDMYGPLWITTTLIFMLAAFASVMYGYVIVVPAVFFFLFQYFGSRPSLVRFWCMWGYSLFVFIPASVLLLIPVEFLRWVIIALAGGVSSWFIALNLKECTEGADLMVLIASAAVLQFALALFIKVFFFA >KQL15211 pep chromosome:Setaria_italica_v2.0:III:17808638:17810991:-1 gene:SETIT_022965mg transcript:KQL15211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGYANLPTSHLLGSVPAVTQDDRKPVAPAAQDAGATSRLQEFPPAPGGNGGGYRPPGAPDGDVENQANWKGYFNVASYAPYFNVDTDVVVDRLISSVYPMDGFYRKIDANPDMYGPLWITTTLIFMLAAFGNFATYLMQKKKDLDIWFDVGYFNWAASVMYGYVIVVPAVFFFLFQYFGSRPSLVRFWCMWGYSLFVFIPASVSILIPSIFDFLYHWQEYAIFTILYIRRNLVLFATIIYNCAYKIILV >KQL13595 pep chromosome:Setaria_italica_v2.0:III:5436496:5438710:-1 gene:SETIT_021573mg transcript:KQL13595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWSLVLPFGLVIALLFACVAQAAVVEYTFNVGNLSISQLCQPPLIITAVNGQLPGPTIEAREGDTVVVRLVNQSPYNMTIHWHGVFQRGTPWSDGPAMVTQCPVKPGGAYTYRFNVTGQEGTLWWHAHTESYLRATVYGAIVIRPRAGAAAYPFPTPDGEETVILGEWWNANVYDLRRNAFLRGNPPINSNAYTINGKPGDFYNCSNANQTYRFQVRSNGRYLLRIINAALNTNMFFKVAGHRFTVVAADAAYTAPYDTDVVVIAPGQTVDALMVAGAAPGQYYMAASPYVSATPPNRGPRFSMSNATAVVEYAGSTTTAPPQPPTMPPYTDTATAFRFFTSLKAPVPSGAPAVPLSVDTRMFVTVGLGISDCQPAQLLCNPTGTRTLPVLAASMNNASFVLPPAGSVSMLQAHYDADGSAPAPSVYTRDFPDRPPVIFNYTADASDIPTLQYTTKSTKVKTLRYNETVEMVLQSTRLLANESHPMHLHGVNFYVLAQGVGNYDEAAAAPRFNLENPQERNTVAVPPGGWAVIRFQANNPGVWFMHCHIEDHLDVGLAMAFEVQDGPTPETSVPPPPLDLPQC >KQL13596 pep chromosome:Setaria_italica_v2.0:III:5436747:5438710:-1 gene:SETIT_021573mg transcript:KQL13596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWSLVLPFGLVIALLFACVAQAAVVEYTFNVGNLSISQLCQPPLIITAVNGQLPGPTIEAREGDTVVVRLVNQSPYNMTIHWHGVFQRGTPWSDGPAMVTQCPVKPGGAYTYRFNVTGQEGTLWWHAHTESYLRATVYGAIVIRPRAGAAAYPFPTPDGEETVILGEWWNANVYDLRRNAFLRGNPPINSNAYTINGKPGDFYNCSNANQTYRFQVRSNGRYLLRIINAALNTNMFFKVAGHRFTVVAADAAYTAPYDTDVVVIAPGQTVDALMVAGAAPGQYYMAASPYVSATPPNRGPRFSMSNATAVVEYAGSTTTAPPQPPTMPPYTDTATAFRFFTSLKAPVPSGAPAVPLSVDTRMFVTVGLGISDCQPAQLLCNPTGTRTLPVLAASMNNASFVLPPAGSVSMLQAHYDADGSAPAPSVYTRDFPDRPPVIFNYTADASDIPTLQYTTKSTKVKTLRYNETVEMVLQSTRLLANESHPMHLHGVNFYVLAQGVGNYDEAAAAPRFNLENPQERNTVAVPPGGWAVIRFQANNPELYSFARRGVVHALPHRRSS >KQL13409 pep chromosome:Setaria_italica_v2.0:III:4307998:4308836:1 gene:SETIT_023876mg transcript:KQL13409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLHKWLFIIHWPLQICYLITGTGSGEFLRSFSSLHGTLAINKYIHLSVYVCVQTIIEMSEPSISMCTHMVQL >KQL14749 pep chromosome:Setaria_italica_v2.0:III:13642311:13642779:1 gene:SETIT_025406mg transcript:KQL14749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNAISSLVRYSLLRKLSVYGIYTMIEKGIYQVMDTIIVQ >KQL17329 pep chromosome:Setaria_italica_v2.0:III:49785962:49790406:-1 gene:SETIT_022552mg transcript:KQL17329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASSPSIAKDVTELIGNTPLVYLNKVTNGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGTSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVKKAEEIQAKTPNSYILQQFENPANPKIHYETTGPEIWKATAGKIDGLVSGIGTGGTITGTGRYLREQNPNVKLYGVEPVESAILNGGKPGPHKIQGIGAGFIPGVLDVDLIDETLQVSSDEAIETAKALALKEGLLVGISSGAAAAAAITLAKRPENAGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVEP >KQL17332 pep chromosome:Setaria_italica_v2.0:III:49785962:49790306:-1 gene:SETIT_022552mg transcript:KQL17332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASSPSIAKDVTELIGNTPLVYLNKVTNGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGTSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVKKAEEIQAKTPNSYILQQFENPANPKIHYETTGPEIWKATAGKIDGLVSGIGTGGTITGTGRYLREQNPNVKLYGVEPVESAILNGGKPGPHKIQGIGAGFIPGVLDVDLIDETLQVSSDEAIETAKALALKEGLLVGISSGAAAAAAITLAKRPENAGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVEP >KQL17333 pep chromosome:Setaria_italica_v2.0:III:49786596:49790231:-1 gene:SETIT_022552mg transcript:KQL17333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASSPSIAKDVTELIGNTPLVYLNKVTNGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGTSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVKKAEEIQAKTPNSYILQQFENPANPKIHYETTGPEIWKATAGKIDGLVSGIGTGGTITGTGRYLREQNPNVKLYGVEPVESAILNGGKPGPHKIQGIGAGFIPGVLDVDLIDETLQVSSDEAIETAKALALKEGLLVGISSGAAAAAAITLAKRPENAGKLFVVSVTSPPHEFLALVGLQLFQLHGSVMEIYLIINETQMNTSTLHLNIIAL >KQL17331 pep chromosome:Setaria_italica_v2.0:III:49785962:49790711:-1 gene:SETIT_022552mg transcript:KQL17331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASSPSIAKDVTELIGNTPLVYLNKVTNGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGTSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVKKAEEIQAKTPNSYILQQFENPANPKIHYETTGPEIWKATAGKIDGLVSGIGTGGTITGTGRYLREQNPNVKLYGVEPVESAILNGGKPGPHKIQGIGAGFIPGVLDVDLIDETLQVSSDEAIETAKALALKEGLLVGISSGAAAAAAITLAKRPENAGKLFVVVFPSFGERYLSSVLFQSIKKEAESMVVEP >KQL17330 pep chromosome:Setaria_italica_v2.0:III:49786928:49790231:-1 gene:SETIT_022552mg transcript:KQL17330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASSPSIAKDVTELIGNTPLVYLNKVTNGCVGRVAAKLESMEPCSSVKDRIGYSMITDAEEKGLITPGTSVLIEPTSGNTGIGLAFMAAAKGYKLILTMPASMSMERRIILKAFGAELVLTDPLLGMKGAVKKAEEIQAKTPNSYILQQFENPANPKIHYETTGPEIWKATAGKIDGLVSGIGTGGTITGTGRYLREQNPNVKLYGVEPVESAILNGGKPGPHKIQGIGAGFIPGVLDVDLIDETLQVSSDEAIETAKALALKEGLLVSLLSEIYLL >KQL13759 pep chromosome:Setaria_italica_v2.0:III:6567921:6569968:1 gene:SETIT_022139mg transcript:KQL13759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARETGGMAVRCAGRIGVAASVAVNLALLVMYIRRRYFGGSRSGEENTVEPSKGKPPVTPDSVVNLDHGDPTMYKKFWKGIGHRATIVIPGWQTMSYFSDLSGFCWFVEPGFEREVRRLHRLVGNAVVEGYHLLVGTGSTQLFQAALYALSPAEDGKPMSVVSPAPYYSSYPSVTNYLNSGLYRWDGDANTFDGDTCIELVCSPNNPDGGIRKPTVKAKYGKTIHDFAYYWPQHTPITEAASHDIMLFTVSKCTGHAGTRLGWALVKDTEVAQKMIKFLELNTIGVSKDSQLRAAKILKAVCDGYELSPASEANRLFHFAQRKMAERWSRLRATVAASGIFSLPNELSGYCTFAKEIVAANPPFAWLRCHKDGVEDLEGFLRENKIMTRGGPKFGVDERVVRVSMLDTDEAFDVFINRVASLK >KQL14146 pep chromosome:Setaria_italica_v2.0:III:9131413:9133123:-1 gene:SETIT_0216641mg transcript:KQL14146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEQRKKSSAEADFFTEYGDANRYKIQEVIGKGSYGVVCSAIDLHTRQRVAIKKIHNIFEHVSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRKDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSK >KQL15310 pep chromosome:Setaria_italica_v2.0:III:18808163:18811265:1 gene:SETIT_022016mg transcript:KQL15310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRSFEVTIAGLSGLTGHHRGKSQSTVHELRDTDLIIQESRWANLPPELLRDVIRRLEASESTWPNRKNVVSCAAVCRTWREMCREIVLSPEFCGKLTFPVSLKQPGHRDGMIQCFIKRDKSKSTYHLYLCLSTAVLTENGKFLLSAKRNRKTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFMIYDTQPPYNGAVVPHAGRTSRRFNSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCVMHSIPASAVEPGGIVPGQPEQILPRALEESFRSTTSFSKSSIMDRSMDFSSSRDFGSVRDFSSARFSDIARGAMVGDEEEQNKERPLVLRNKAPRWHEQLQCWCLNFRGRVTIASVKNFQLIATSSQPPATGAPTVSQPAPSDQDKVILQFGKVAKDMFTVDYRYPLSAFQAFAICLSSFDTKLACE >KQL14267 pep chromosome:Setaria_italica_v2.0:III:10020129:10021682:1 gene:SETIT_024438mg transcript:KQL14267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGTVQSAAAAAATPPHRRLPDFLQSVNLKYVKLGYHYLITHLLTLMLLPLMAVILLEAGRTDPNDLRQLWVHLQYNLVSVLVLSAVLVFGATVYVLTRPRPVYLVDFACYKPPAHLQVPFEEFMSHSKLCGFSEDALEFQRKILERSGLSEETYVPEAMHALPPQPTMANARAEAETVMFGALDNLFKSTGVKPQGRRRPRGQLQPSSTPRPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAIDLARDMLQVHRNTYAVVVSTENITQNWYFGNRKSMLIPNCLFRVGGAAVLLSNRGADRRRAKYSLKHVVRTHKGADDKAFNCVYQEQDDEGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPVSEQLLFFATLVAKKLFNAKIKPYIPDFKLAFEHFCIHAGGRAVIDELEKNLQLSPSHVEASRMTLHRFGNTSSSSIWYELAYMEAKGRVRRGNRIWQIAFGSGFKCNSAVWHALRNVKPSPNSPWDDCIDRYPVELVDGVPTHNAQQ >KQL16426 pep chromosome:Setaria_italica_v2.0:III:39827913:39828372:-1 gene:SETIT_024924mg transcript:KQL16426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVPQRSLTPDGQLLSLLHQIAEVALDIKEASETVLQHKEDCIELDKRVSRVSALLSKLKNTEMVEKQAMKNELKKLLQTFPSSGIVTMFVCSPPCTLSKQLSALLDQLVPHVNAIIAVIVNSTLRPQRYHLLTFGQISQSSA >KQL14414 pep chromosome:Setaria_italica_v2.0:III:11083466:11086680:-1 gene:SETIT_022303mg transcript:KQL14414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLLSSSSTLLRQAAGAAAQLSRAGWSNATTSAPSPLRRFPHQIDYETDIPLDEAKALEKESSLNVAVSQLASDFDRESNLCLERFSRTRRASVISTGSLKLDLALGIGGLPKGRMVEIFGKEASGKTTLALHVVKEAQKNGGYCAYIDAENAFNPSFAEAIGVDSERLLIAQPDSAENSLSIVNTLVGGSVAVVVVDSVAALIPKCEIEGEIYTNSEDIQSRLMTQALRKIQYTLCRSESLIIFVNQVRTKLSSNPFPGIYKEVPCGGNALGFYAAVRMRTSRRELRYSEDQATGIGISVQIIKNKLAPASLKEASIDIRFGKGICHESEILEMASSVGVVVKDGSGYWINGVFLPGKADAEKFLHENAGVADEICNTVRNQFLQR >KQL15207 pep chromosome:Setaria_italica_v2.0:III:17803188:17804402:1 gene:SETIT_0226291mg transcript:KQL15207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHGPIRIKKGSMFIPDNLIRTDIPATWGAMEKLYDSGKARAIGVSNFSCKKVEDLLAVARVPPAVNEVECHPVWQQAKLRKLCQSTGIHLSAYSPLGSPGSPGYCGPSVLSNPVVISVAEKLQKTPAQIALRWGLQMGQSVLPKSADETRIKENFDIFDWSIPEDLMAKFSGIKQVRLLKVEFVIHPQSGYNSLEDLWDGEVEEDDGRAECEDHSDFLKCFS >KQL15206 pep chromosome:Setaria_italica_v2.0:III:17803188:17804151:1 gene:SETIT_0226291mg transcript:KQL15206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHGPIRIKKGSMFIPDNLIRTDIPATWGAMEKLYDSGKARAIGVSNFSCKKVEDLLAVARVPPAVNEVECHPVWQQAKLRKLCQSTGIHLSAYSPLGSPGSPGYCGPSVLSNPVVISVAEKLQKTPAQIALRWGLQMGQSVLPKSADETRIKENFDIFDWSIPEDLMAKFSGIKQVRLLKVEFVIHPQSGYNSLEDLWDGEVEEDDGRAECEDHSDFLKCFS >KQL16811 pep chromosome:Setaria_italica_v2.0:III:45409122:45411569:-1 gene:SETIT_024308mg transcript:KQL16811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWRNAAGQSSGGAPPPAPCASNATAMDEKQMMQGAVVFTAVLMAILGGTLCVMGLERLIRGRFTLFSMVRFLLRFTFILFLPLLSYMRANAKEGEILFVLLWMLLIELFRKKVEAMVPPSANGSFSRSVSRFRLMGHSDEVTRLVWIGYLIYSNVDWECEKIKLLMMPMFAILWSLAVAKLGQRVLNEWKAQDSLSAAGSANLIAGYMQHVLEQEEEEGRRRSDGGSSGSGAAAGASPDPDLDAVMGNCQYVVMGEEKLVLNKKERRRRQTTGSKVLITTPHCGYGVGRFPHDQDELKHVHLLVDLDKVKNLVTVKDIWQNLGSWLALFGNRRPKFIDHMCLMCLSFSLFKLLRRRFEHYPMVEVGSKMARRLMVEGLLNDHEPAAADNASRAFRVIQLELDFLDNYYQAGVPVVMSAPWLFFINFLSSLLFVSIYVLAVAILLLIPRDDTESLTKYFVITMLLVMTLLAVEITDLTTYLFSNWFLVHLLCLYVAPGGCLWNFLVKPIICCFIAYRLFVFNSLKLVLKLTGRPVNEKKMKTRQVSILQVCEPVHKMFAWASQVTLPTEAKVAIVRGLKKSINNPDTGGDVSLPHDISGFSIRGKTTTEIILACHLATELLEVKHGKPKKKKKPKQKEQKPEDWDHQTMATTLSRYCMYLVARVPELLPDDERWVSDRYEDVKSCLKEASRRCCCSTWWCCPWRRAGRWKAVAEMKVQLKEATAQAGVELYQQLEKGKGKEDSTADDAWKALAQFWVKLLIYLAPSNDVEGHAKALASSGSDLITCLWALCTHAGIKRHPSEPAPAELHAGTHQV >KQL13366 pep chromosome:Setaria_italica_v2.0:III:4050520:4051729:1 gene:SETIT_025238mg transcript:KQL13366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGNGSIANRWRELYGKDSWKGLLDPLDIDLRKSIISYGELAEATYDGFNTERRSPHAGACMYGHGDLLTSSGVAAAGHYKVTKFIYATSGLPLPGAFLLLPLPALEDVWSRESNFMGAARHRRGVARDGADAGVGERPGLHAGHGGARPGTRGAHANPLAVVHGGFLSLYTSSDATSRFNHASARDQVIEEVRRLMELHRDEETSVTVTGHSLGAALSVLNDVDIVASGANAPAGAGSDSSKPPCPVTAVVFACPRVGDRFFRSAFNSFQDFRALHVKNSGDIVPAYPPLGYVDVAVALPINTSRSPYLRWPGTVLTLRNLECYLHGVAGEQGGAGGFKLEVERDVVALVNKGAGALKDEHPVPANRWVPKNKGMVKSAQGKWELKDFEHV >KQL14071 pep chromosome:Setaria_italica_v2.0:III:8565460:8570027:-1 gene:SETIT_021853mg transcript:KQL14071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTASALHLLPSPLPPPARRYRPLLAPAGAKRLAQPLRAGRPRLQAAPPAPPPAEEVAEEQDDTPPLRLLEPPQEDDPFPPEMEPADPDFYRIGYARMMRAYGIEFLEGPEGMGVYASRDVEPLRRARVIMEIPLELMLTITQKKPWMFFPDIIPLGHPIFDIIESTDPETDWDLRLACLLLYAFDTEDNFWQLYGDFLPGPDECTSLLLAPKEDLLELEDEDLVSEMLKHQQRAIDFWQKHWDKAIPLKLKRLARDHERFLWALSIVQSRSVNLKMRMGAFIQDANILAPYADMLNHSPNANCFLHWRFKDRMLEVMIKAGHAIKKGDEMTIDYMSGVNSKFMERYGFSSPTNPWELINFSSPAKIHMDSFLSVFNIAGLHDELYHNSALPSVETNFVDGAVVAAARALPTWSDGDVPAIPSVERKSAQALQEECRQMLDSFSTTIEQDQQILDSDARISKMREIAIKYRLHRKMLLQKIIDSLDIYQERILF >KQL13322 pep chromosome:Setaria_italica_v2.0:III:3797804:3800147:1 gene:SETIT_024709mg transcript:KQL13322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFDGSARLMIVSDLDQTMVDHDDPEDLSLLRFGALWEAEFSHDSLLVFSTGRSLISYKDLRKEKPLITPDITVMSVGTVIAYGADMVHDVDWEEYLNSNWDRDIVVEVEEAAKFPQLKQQAYFYPPENDQSPHKVTFLVDKQGAQEVMHALPHKLQKRGINVKLIFSYGVLLDVVPQGAGKGQALQYLLNKFSSQGKAPNNTLLSRRSMASWLVIRCTIVSNAQEELLQWYEENATYNPKIIHSMKRCAAGIMEAIEHFKLGPNVSARDLELPYPKLDIMKPADVVVKLYVLYEKWRRGEVRKSSPVIQYLKSIAHLNGTIIHPSGLEHSLHASIDALSSRYGDKQGKKFRAWVDRLVTSPISTTNWLVKFDNWEIYLPTIILHHTPEGLELVHIHKAWLEGHSAETEHKFIV >KQL13170 pep chromosome:Setaria_italica_v2.0:III:2939999:2946660:-1 gene:SETIT_020953mg transcript:KQL13170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPDRRDYLYREGRRHDGGGAGDPLLPPAPTPPRWRDSPYHPPPPPPLRDHARPSPRRAPSSASSGRPAPRKSSDLRRPRPRLPSSAPLISPNRSAISEGYYRQGGGAYDRSYPDEPPLGYTPSRSDRYWVEDDGGGYKSFGRYGGGGGGRRDGREVRGSYRRSPFRGYGSDFSRNHPEQPPPPPPRRSPLRSVAVPICYDPPGNRVDRGDRDNLPRVTPWRRRESRSEAADAAGAGPVSLGQTTRPASSEKEASAQPPAGSAPLGTEDEAPRKKARLGWGQGLAKYEKQKVHGPADPAEAVADGSPAEAEQKTAFPVPVLPAPPASAPAPEPPAAPAPPPPAVPAPPPPAAPAPLPEPPAEPVPEPPAAPAPVLVPVPAPAPAPVPAPAPAPVPAPAPAPVPAPAFCTSPVDTPSSAPPYCTSAPEDKSCEQTGTVTNPTKDVPEAADKAVNNEFSINLDQLGDDPINSLANMLADLLQHDDSCSGDSKGPTSTSKLLLLKESISKEIEKTELEIDLLEGELKSVNTEAGTAVEGSPTGVTYAENLSPSSGTSKVPESAEISQASHVMKEPGELIPSPKPPVVQDADVKGADMMEIEPAPVRNAKTLSSEESAVSPGVAEGPVCAAADLSPLKASERAGSQNDMHNDRLETSSCHVNADSIKTEISDDIPVTQCSDHDHKYSLFGSVTSANNNIAKVMNESLFKSLPADTPHLDLLASSHLLSQRKNDHHIRERLGVCKNRLRLKEQILTLKFKAYRHLWKEDLRLLSAKKQRPKSNKRIDQSNRTSHIGSQRQRSSNRSRLAMPAGNLSTFSTPEMSDVASKLFSEFQIKRCRNYLKMPALIIDEREKERSRFVSKNGFVDDPVLVEKERVMINPWTQEEKEIFMEMFAKFGKDFSKISSFLMHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPASNFLGAKSGKKWNPEANAASLDMLGVASVVAAHGLEYANRVEKISAKSLIRTAYGSNVSFAAKKSSDMECIDNVPLHERESVAADVLAGICSTLSPEGMGSCITSSADPGQKISMTRMEHGLAPEIDRSIDEEDTLSDQECEVDPVDWNDDEKSVFIEAMNNYGKDFARISSCVKSKSYEQCKVFFSKARKSLGLDLIHQGAADVSMPTSDTNGGRSDTDEACAAEMDSAICSTQSCSEIEKDVCPTEKAIGGIITKQPELNISNGFDVVDGKTEEDEKKADKNCSIVDHGRFNEDTHQVACGTIDINCPESTEKLQGKDDVVDQVNMQNNSAISSSPEQAMAAHPEVRSSLHSVEVLHQTNKAPLGSGTDASQMEECSNQALDNKLRKAGNSGASACIASDISTKDNVHFANMTGASTISPAFPPSYKHSVPADMPPTKPKPLVTPLTPKDLMPVQFSSAVPDPTVICFDGIASITMPNFDDSGNRVSSALGAKDMSKYPAFKDPTGNQHDALFRNVDGYTNHLTTKLPFFSERTASGTVSTSQTDRFTLTKFQNGRSSSLGLPNTSDGIQWARKHEEVLQGSLRSCSHNTSSEGDEQQKRPGDVKLFGKILSHQSSLQSPVPPCNGNKSKPPSPKIDISSVRSLNNPRDRVVCSSRPGITHLGLEERTAKSYGHLDGSTTQPEPLLMMAKCQSLAGVPFYSAKNGTVGVFSDYQQPSIQPHQSDPKRLERFSDPQKRNGVEFISGFQQPSKISRFGGAGILVSGVSDPVAALKAQYGPGSKVMGSDVDPWKDIGSR >KQL13169 pep chromosome:Setaria_italica_v2.0:III:2939622:2946683:-1 gene:SETIT_020953mg transcript:KQL13169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPDRRDYLYREGRRHDGGGAGDPLLPPAPTPPRWRDSPYHPPPPPPLRDHARPSPRRAPSSASSGRPAPRKSSDLRRPRPRLPSSAPLISPNRSAISEGYYRQGGGAYDRSYPDEPPLGYTPSRSDRYWVEDDGGGYKSFGRYGGGGGGRRDGREVRGSYRRSPFRGYGSDFSRNHPEQPPPPPPRRSPLRSVAVPICYDPPGNRVDRGDRDNLPRVTPWRRRESRSEAADAAGAGPVSLGQTTRPASSEKEASAQPPAGSAPLGTEDEAPRKKARLGWGQGLAKYEKQKVHGPADPAEAVADGSPAEAEQKTAFPVPVLPAPPASAPAPEPPAAPAPPPPAVPAPPPPAAPAPLPEPPAEPVPEPPAAPAPVLVPVPAPAPAPVPAPAPAPVPAPAPAPVPAPAFCTSPVDTPSSAPPYCTSAPEDKSCEQTGTVTNPTKDVPEAADKAVNNEFSINLDQLGDDPINSLANMLADLLQHDDSCSGDSKGPTSTSKLLLLKESISKEIEKTELEIDLLEGELKSVNTEAGTAVEGSPTGVTYAENLSPSSGTSKVPESAEISQASHVMKEPGELIPSPKPPVVQDADVKGADMMEIEPAPVRNAKTLSSEESAVSPGVAEGPVCAAADLSPLKASERAGSQNDMHNDRLETSSCHVNADSIKTEISDDIPVTQCSDHDHKYSLFGSVTSANNNIAKVMNESLFKSLPADTPHLDLLASSHLLSQRKNDHHIRERLGVCKNRLRLKEQILTLKFKAYRHLWKEDLRLLSAKKQRPKSNKRIDQSNRTSHIGSQRQRSSNRSRLAMPAGNLSTFSTPEMSDVASKLFSEFQIKRCRNYLKMPALIIDEREKERSRFVSKNGFVDDPVLVEKERVMINPWTQEEKEIFMEMFAKFGKDFSKISSFLMHKTTADCVEFYYKHHKSDSFREVKKLLDLRQQQPASNFLGAKSGKKWNPEANAASLDMLGVASVVAAHGLEYANRVEKISAKSLIRTAYGSNVSFAAKKSSDMECIDNVPLHERESVAADVLAGICSTLSPEGMGSCITSSADPGQKISMTRMEHGLAPEIDRSIDEEDTLSDQECEVDPVDWNDDEKSVFIEAMNNYGKDFARISSCVKSKSYEQCKVFFSKARKSLGLDLIHQGAADVSMPTSDTNGGRSDTDEACAAEMDSAICSTQSCSEIEKDVCPTEKAIGGIITKQPELNISNGFDVVDGKTEEDEKKADKNCSIVDHGRFNEDTHQVACGTIDINCPESTEKLQGKDDVVDQVNMQNNSAISSSPEQAMAAHPEVRSSLHSVEVLHQTNKAPLGSGTDASQMEECSNQALDNKLRKAGNSGASACIASDISTKDNVHFANMTGASTISPAFPPSYKHSVPADMPPTKPKPLVTPLTPKDLMPVQFSSAVPDPTVICFDGIASITMPNFDDSGNRVSSALGAKDMSKYPAFKDPTEWQVQQLGPSKHF >KQL15611 pep chromosome:Setaria_italica_v2.0:III:21627309:21627779:1 gene:SETIT_025761mg transcript:KQL15611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARFSYPIRSRAGRRRRGASGSWPSTCGSRLPAASVN >KQL15744 pep chromosome:Setaria_italica_v2.0:III:23261018:23266436:-1 gene:SETIT_021563mg transcript:KQL15744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSVAGDVRGGMEAVGGGGGGRSHGGAAAQQGGPNDAVDHFFQARGLRGLYTPIELSFSATKLRNMDAFSKSDPMLVVYTNIKGKLEEIGRTEVILNSLEPSWITKATMSYQFEIVQPLIFRIYDVDTKYHQTSVKLLKLDQQDFLGEACCNLSEIVTKFNHSLTLNLRSDCGHGLHGTMTVHAEESDSSRMAVEMTLHCLNLENKDVLSKSDPFLRISKLVETAGPIPICKTEVVINNLNPVWRPITLTSQQYGSKDNPLLVECFDFESSGNHELIGAFQTTITQLENLYASKSGANFYSHKGQRKMKGQLFVDQLQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRVPQSLHYIDPSGRPNSYQQAILGVGEVLQFYDNDRRFPAWGFGARTQGHVSHCFNLNTATNDSEVVGVEGIMSAYTSSLYAVSLAGPTMFGPVINRAADIASQSLQYSNNKYFVLLIITDGVLTDIQETKDCIVRASDLPLSILIVGVGNADFQQMEILDADNGKRLESSTGRIATRDIVQFVPMREVQGGQISVVQSLLEELPGQFLQYMRTRGIKPQQQAPPGHASAPVYPPQQ >KQL15743 pep chromosome:Setaria_italica_v2.0:III:23260515:23266436:-1 gene:SETIT_021563mg transcript:KQL15743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCFSVAGDVRGGMEAVGGGGGGRSHGGAAAQQGGPNDAVDHFFQARGLRGLYTPIELSFSATKLRNMDAFSKSDPMLVVYTNIKGKLEEIGRTEVILNSLEPSWITKATMSYQFEIVQPLIFRIYDVDTKYHQTSVKLLKLDQQDFLGEACCNLSEIVTKFNHSLTLNLRSDCGHGLHGTMTVHAEESDSSRMAVEMTLHCLNLENKDVLSKSDPFLRISKLVETAGPIPICKTEVVINNLNPVWRPITLTSQQYGSKDNPLLVECFDFESSGNHELIGAFQTTITQLENLYASKSGANFYSHKGQRKMKGQLFVDQLQEKVQHTFLDYISSGFELNFMVAVDFTASNGDPRVPQSLHYIDPSGRPNSYQQFYDNDRRFPAWGFGARTQGHVSHCFNLNTATNDSEVVGVEGIMSAYTSSLYAVSLAGPTMFGPVINRAADIASQSLQYSNNKYFVLLIITDGVLTDIQETKDCIVRASDLPLSILIVGVGNADFQQMEILDADNGKRLESSTGRIATRDIVQFVPMREVQGGQISVVQSLLEELPGQFLQYMRTRGIKPQQQAPPGHASAPVYPPQQ >KQL16102 pep chromosome:Setaria_italica_v2.0:III:29354257:29355054:1 gene:SETIT_023926mg transcript:KQL16102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDALSKSCHDFYLNYMISWLLPMILDLFLTPIRSIGYAAMEYIIYFSTLYFKTVQFPKVSYCIA >KQL16786 pep chromosome:Setaria_italica_v2.0:III:45075054:45079009:1 gene:SETIT_023601mg transcript:KQL16786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAKSAPGKKEVGRGRSPEPLDFFIWTVEDVGLWLEEINLGGYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPACLSVVFVRAAKQNRKSRVVSLKLEP >KQL16787 pep chromosome:Setaria_italica_v2.0:III:45075054:45079009:1 gene:SETIT_023601mg transcript:KQL16787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAKSAPGKKEVGRGRSPEPLDFFIWTVEDVGLWLEEINLGGYRQVFEENGVNGEYLESLSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQEVRRPWWAPACLSVVFVRAAKQNRKSRVVSLKLEP >KQL16247 pep chromosome:Setaria_italica_v2.0:III:35143432:35144445:-1 gene:SETIT_024968mg transcript:KQL16247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASATAAAERKKRREMARAAAAAAAPRPRAPLAVTISRYEKIEAIGAGAFGVVYRAHDRRTGEIVAMKCLNAHDFDAPRLDSIFADEVSALEACRGLPCVVQLRDSCRRDSTTSEAFIVMELVGPSLKDAMRTGRGGVRRHAEGEVRRIARQLLAGAGAMHGVGLMHRDIKPDNILVGAGGSLKICDLGKARAVADDPPYSNPVVARSYRAPELLLGSADYDAGVDTWAIGCIMAELLAGGLLFYGDSIKEHLSEVLNVLGTNDIKEWSHCPERLPSGCGPTSFLRDLFPSSYELAMATGRPSLSEAGFEVLSGLLRCNPEKRMTAACALKQRWFDQA >KQL16882 pep chromosome:Setaria_italica_v2.0:III:46430768:46433049:-1 gene:SETIT_021898mg transcript:KQL16882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDREMEMLLNEIPLLHHGGLLGVGDAGADADADADLSYLIHELAAMGVVDGDDDPPAPAAADSLGLPSFIYHKKGDNLVPSHPFAIANYRAHMPSLFDPVSFDATAATDVWDIWCSPPPSTPPAATPRARCKNGRRKNAMAASPKKCGAAAAAAAAKPRGESLVGLRGFMYHVARDQHGCRFLQQRLDDGKREVDLIFAGVSRHAAQLMVDPFGNYLMQKLLAACDAGQRMELVLTLTADPFVLVRISLNVHGTRAVQKLIESLRTREEINLVIDALRPGFLELIKDPNGNHVVQKCLQSFEADDNKAIFEAASVHCLDIGMQCHGCCVLQRCIARSRGEHREKLVAAIARNGFELAQDAYGNYVVQYVIDLKIPNANSSLAQQFEGRYIHLSMQKFSSNVVEKCLKVFKEADKAKIILELLAMPQLEQLLQHPYANYVIYSALQNSKGSLHSALTNAIRPHVELLRTSPYCKRIYSRALLKK >KQL12850 pep chromosome:Setaria_italica_v2.0:III:1252093:1252585:1 gene:SETIT_023545mg transcript:KQL12850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPRTEAAIPIPCQEYETYACRVRPHSSVGGWAPTRVVPVPRQERIKTKRPQRRPRSLSLASLSVAGCSPPFPLLPRFPASPLCSLRGTGNDRPLSSAACADTAAAVTPSLPPSASARAGPIQCAPAQRKRGALPPLARAAPFNAPPLD >KQL15261 pep chromosome:Setaria_italica_v2.0:III:18358391:18360696:1 gene:SETIT_022447mg transcript:KQL15261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATAAVRGPGASLARAALVCPGRARGRGPRRVVGGGLAAPAPGPRRRALVAVASLQEPLPSRAQEGPVVVAPPQADEEEVHGNGAAAVETSSPPAVSGKTVRVRFVLEKQCAVDQSVYLVGDDPALGLWDPANAIPLECAESHGWILEKDLPANKLIEFKFLLRDSSGKLHWQNGPNRIFQTGEAANTLVVYEDWCDVKNQRIAEEEVVASVVMEEAVVSDDSGSRQDTVIEDELQMDDNQEVKEDEPAVDEEEEKSAVNVSVQVDTLKINEGQPHESMLQKELEIIDELHETVDMEDVSALCADESSAEKTEEDNILPEHGVPVENGLASAYEHDLLWGWKVVQQLLMKLGIRMDTT >KQL15988 pep chromosome:Setaria_italica_v2.0:III:26566393:26567859:-1 gene:SETIT_022585mg transcript:KQL15988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLGARYYRMPAPKGSPYTELARVVVAAVRKARVSVAGRLQYYVGDGTVADSGSDGAPSKRLGFLNRAAMITASEGTPDAAGGGGRRPSGWRLCTVQQVEDLKSLLGVLPLWSSGILISVSIGVMIGMVILQALAMNRSLGPRFNIPAGSITVCSLTAFIAATPVLERAAFPLWRRATGALPTPLQRVGLGHAVNVAGMVAAALVERRRLGMVRAHRGGTAGAEAPGWVAPMSALWLLIPLGVVGVGEALHFPGNMAFYYQEFPKTLRSTATAMAPLLIALGFYLSTVFVDVVRRVTAWLPGDINQGRLDNVYWALAVTATANFGYFLVCVSLYKSRK >KQL16398 pep chromosome:Setaria_italica_v2.0:III:39122806:39126847:-1 gene:SETIT_025045mg transcript:KQL16398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSSAPQPPPASGWAGLPRDVLWSVFTALGQREVLSGAGLACAPWWRLARHEPAFWRQIDLTTAPDDFTVEVDDDEDTSDEESMWSLFGGDDDDLQGGQIDLTTAPDDFTLEVDDDEDTSDEESMWNLFGGDDDDLRGGQIDLTTARDDFTLEVDDDEDTSDEESMWSLFGGDDDDLPVPIRICEEKTPSKDCDDSSAWKAMALAAVDRSSGKCEAFWGRADVEVLLYLADRASSMKSLRVASHYDVSSEVFAELIKKFPLLEELELVLKYDAIDTKSEQPFTNSLVELFQSTCKACCHLQHFTVRCAGKKQGSDSPTHFSIPMMHGLHSVELSGDSLTKDVVMQIVDNCPSLKSLNISDVHYQDRWDEKLLRNKCYRIKNLRLPSGWFHDYDSDESLFDVS >KQL17023 pep chromosome:Setaria_italica_v2.0:III:47673977:47675278:1 gene:SETIT_023576mg transcript:KQL17023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATEQSQGLKVGEQDNNHGQGAVALHHLAEAEAREKVSSPQAPTPRDYAGSGGFHRPSPNNTSSSGDDTVSSSEWPPLQQDYGNDGGMMTVRVVASHAPPPSLEMSLGRQGWQVEHQRVGVEFESSSPPAANELTLLKCL >KQL16139 pep chromosome:Setaria_italica_v2.0:III:30734691:30734882:-1 gene:SETIT_025061mg transcript:KQL16139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTIKEANMVTAKMDLLMKRLDDYTKEKAAMPNTIQAMNSHMTCEVCGNTGHSGNNCPKTQVW >KQL12985 pep chromosome:Setaria_italica_v2.0:III:1958302:1961442:-1 gene:SETIT_022133mg transcript:KQL12985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAEQGFRPLAEASLVAYIKATPALASRLGGGGSLDSVEIKEVGDGNLNFVYIVKSSSGTIVIKQALPYVRCVGDSWPMTRERAYFEASTLREHGRLCPEHTPEVYHFDRAMSLMGMRYIEPPHIILRKGLIAGVEYPLLADHMSNYMAKTLFFTSLLYNNTTDHKKGVAQYCANVEMCRLTEQVVFSDPYRVSKFNRWTSPYLDKDAEAVREDDELKLEVAELKSMFIERAQALIHGDLHTGSIMVTPDSTQVIDPEFGFYGPMGFDIGAFLGNLILAYYAQNGHADQANDRKAYKKWILKTIEESWNLFQNKFVGLWNEHKEGNGEAYLPDIYNNSNLLSLAQKKYMTNLFHDSLGFGSAKMIRRIVGIAHVEDLESIKDASKRAECERAALNCAKTILKGRRQFETIEQVIEHIQSFDRN >KQL13502 pep chromosome:Setaria_italica_v2.0:III:4836886:4839453:-1 gene:SETIT_021548mg transcript:KQL13502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASATGGEARLVDRCIDAAARDAVTVEAWRRQRRSLERLPARSRRALRARSRASSSLPPRWSVEEVDLSGSLAVDAEWLSYLGSFRYLRVLKLADCKNVDNGAIWSLAGMNTLKELDLSRCTKVSDAGLRHIVTIQSLERLHLSGTRLTDNGVKLISSLTNLSFLDLGGIRITDKTLRSLQVLTRLEHLDIWGSEITNEGASVLKAFTRLRFLNLYWTSVNHLPVPPTMRCLNMSKCKIHSIWYEDSEVPVALENLIVSEAEFGNIDQVFSGIQADSLLYLDMSSCDLSNLSFMEKMKNLEHLDLSSNRITDDAIEHIAKIGANLKYLSLKGTGITSQALCVLAGTVLNLTSLSLSHTKIDDSALAYISMMPFLRTVDLSHTSIKGFAYAEVNADKMLSISAFEHLKYLESLNLEDTPLSAEVIPPLGSFAALKYLYLKSDFLSDPALHALSAASNLIHLGFCGNILSSSGLLQFVPPATLCVLDLSGCWILTGDAISTFCKRHPMIEVRHELMWELKANSVGRSQPKARQSQQVKAKVVNSFASPSRLPDIRFVDERIKYNKEEMMELQGLVKSNSVMHGVQLPPELRRLT >KQL13611 pep chromosome:Setaria_italica_v2.0:III:5554000:5554399:-1 gene:SETIT_025683mg transcript:KQL13611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITKMMVQNEKNIFNCIGQNQAHAPHSFISITNQMNTLIEMSAEDET >KQL15810 pep chromosome:Setaria_italica_v2.0:III:24158425:24162059:1 gene:SETIT_022926mg transcript:KQL15810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPTPPPPAPQRPTMRHSSAFLLPLPSSSSSPAPGGGPADASVALVVLNQPLPRFAPLLWSRAAVRVCADGGANRVFDGMPELLPGQDAVEVRERYKPDVIKGDMDSVRPEVKEYYSNLGTKIVDESHDQDTTDLHKCIAFIAKNSPVAGKSNICILVLGALGGRFDHEMGNINVLHLFPNINIVLLSDDCLIFLLPRTHSHEIHIERSIEGPHCGLIPIGMPSTSTTTTGLRWNLDNNSMSYGGLISTSNIVEEDKVTVTSDSDLIWTISLQK >KQL15809 pep chromosome:Setaria_italica_v2.0:III:24158425:24162059:1 gene:SETIT_022926mg transcript:KQL15809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPTPPPPAPQRPTMRHSSAFLLPLPSSSSSPAPGGGPADASVALVVLNQPLPRFAPLLWSRAAVRVCADGGANRVFDGMPELLPGQDAVEVRERYKPDVIKGDMDSVRPEVKEYYSNLGTKIVDESHDQDTTDLHKCIAFIAKNSPVAGKSNICILVLGALGGRFDHEMGNINVLHLFPNINIVLLSDDCLIFLLPRTHSHEIHIERSIEGPHCGLIPIGMPSTSTTTTGLRWNLDNNSMSYGGLISTSNIVEEDKVTVTSDSDLIWTISLQK >KQL15729 pep chromosome:Setaria_italica_v2.0:III:23117187:23120571:-1 gene:SETIT_022201mg transcript:KQL15729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASPAACARASASGARAGGRLAGRTAALPPDGRGDGAASYKELGLYSLKKRIEDAVVRVEMTASSALELEEARRIKQEEVLRKRNLWDNPAKSHETLSALADAIRVVDHLKDLCFKAEEAKLISQLSEMDAINGELFKQAYKSSVDASEYLDRYQMHKLLKGPYDKEGACIMVTAVSDGVASELWAAKVFCMYTSWARKQGCKVGLIEKVPSKNGHVRSAAMEIESEYMFGILSGEKGMHRMTYSSLENSDTCQALSARVDVIPLFLDRPINLHLDDNDIEIAPTPCEYKKRDSRNCAAVRVVHKPSGVTAESSGERSYFANKLKATSRLKAKLLLIARELGVLDMKMITKQAIEDKCKRETRRYTFGPQKLVHDLNTGIQLSDLNLVLEGDIEQFIRGRIIARQ >KQL16214 pep chromosome:Setaria_italica_v2.0:III:34448605:34449075:1 gene:SETIT_024164mg transcript:KQL16214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSQTSFSERTNTLFSIAKDLSQEFGAHVAVIAFSPTGERKAYGAPTANSILCNYLPEIHSSSSQALTEIAREATNRVDGMKREVEETVFQAKMERALQATAWSKILAAQTSAGKQNCWEVDVEALRADKFLVFVRALDALRTDIQRYLDAMESS >KQL12616 pep chromosome:Setaria_italica_v2.0:III:52896:54212:-1 gene:SETIT_022973mg transcript:KQL12616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGGALCFSSSSGAAAVTSSRPSRRTGGCRPEPPRFLVVSCDTRTADVYSSLAAKLLGPPTTFNAAKLKVEFAGEDLQLRGKNKKQPFPRAYTLTHCDFTANLTLAVTGPMSSEQLRSWQSTLQRDDVVAEWKEAAGGEMTTTLHVHCFVSGANLLQELAAGFRYYVFCKELPLVLKAVVHGDAALFAERPELMDAKVWVHFHSSSRKYNRIECWGPLREATKRNLQLDLDGRLDELQSAITRRRRKWASPETIFNALVALLL >KQL13613 pep chromosome:Setaria_italica_v2.0:III:5559908:5563369:-1 gene:SETIT_022173mg transcript:KQL13613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVNTTEEEPMLAVVRFTAELAWADAGPEVADPEVTRLCLEAQEHILAGRWLDMASLMLASADLLLTSPSRVPDKDLECILSVICSLVTKAGSEDQALQITDLICAKLTQQPEDKPALRLKVLFSLYNLLPSPYGKAFVYKKALELATAGKAAECIIPSFKNIDSFVSEWGIGNLEQRELYRAITRILKDHKGMTKEYFNFLNKYLTTFKGSDDDSATIDDAKEEAVAAIVEFVKSSTLFQCDLLNMPAVAQLEKDEKYQLVYELLKIFLTKRLDSYLEFQAANSALLKDYGLVHEECITKMRLMSLLDLSSRCSGEIPYSAIIEALQINDDEVEQWIVKAIAFKILDCRVDQLNQTVIVSRHTERIFGLPQWQGLRTKLGAWRGNIASAINTIQANKVTDEGTQGMQGLMIR >KQL15620 pep chromosome:Setaria_italica_v2.0:III:21794593:21797971:1 gene:SETIT_023995mg transcript:KQL15620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRNTRDRRRELSKFLVTCSFGFREKFWSKFKHLAVRPRQANCDMIKYSILM >KQL16963 pep chromosome:Setaria_italica_v2.0:III:47158172:47160653:1 gene:SETIT_024416mg transcript:KQL16963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRTRTYMPLLGLMGSRPGSPKPTNADDTSGLTVAVVEPRRRPRSDYIEAVQSDVTANMRTILVDWLVEVAEEYKLVADTLYLAISYVDRFLSANALSRDRLQLLGVAAMLIAALTIDPDVNPWNKKLQKVTGYKASELKDCIIAIHDLQLNRKCSSLMAIRDKYKQHKFKFVSTLLPPVVIPASYLEDLAE >KQL17058 pep chromosome:Setaria_italica_v2.0:III:47873237:47878587:-1 gene:SETIT_021070mg transcript:KQL17058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPQRTTRLVDGDDAQSFVQKLQLSISKGLPHAVPVPALRTDEHGLVKAVFQVLQGFETSLLYWDCNVPGYREKAGIYVAHLSLTGLRSVLSPLLFAATCLKEVELFVGKVRMRSHGIPTLDAFGSSVDSWLKRLREAALKEEEQQFISLNRTITLLGLTDSMSSLSSGAEHLYQVVHGAVPDGFWDSGAQMASSEVSVHILNHLFKKLNEVCLVEDGEGEPYHMLLVLFVGSLLPYLQCLDSWLYDGILDDPYEEMFFYANSAVTIDQPAFWEMSYMLRIRGSRADSSTASADNESIRKKESSNQESTTAGTCLKVNNQGCLDILCPVFLKDIARAIVSAGKSFQLVQHVQDVHQIQTHKVTYGSNVCQNTDCSSQQKFWPDTSSLRIQDGHPKSEDALEESTSQFGNDSREMGLLTLSEIFLICLSGLLENGDHVYEYLRRPHADNVPNNKTSVESESNVHEAKDICAENSTEKNWVKLLKHATSGRKYDGMENNISRNTVMDKPIFVPGDPQDASSNAIEGCSTLSCYENPAITACREVLLSNPNSWSELNISESFHLPPLNDGDMRRAIFADGHSVGTSTSGDTQPTTSFPRLDGTDYKFGFHFDDLEYVRQEDDRRTLEDLYAFPTLLPCAKENVPLSEILPMQKDSTLASRVLKFIQNMSLKDPLQPVSIIQECLSQCIKRQVDHIGKQILFKLMGEWRLMDELFVLRAIYLLGSGDLLQQFLITIFDKLDRGSSWDDDFELNNLLQESLRNSADKMLLTAPDSLVVSLATHNGEEGASTSKKGRALGFGIDALDMLNFTYKVSWPLDLIVNTEALKKYNQVMGFLLKVKRAKFVLDETRKWMWKARGRTAHNFKQHLIVAQKLLHFVEAFHQYVMDRVYHSAWTELCDGMASATTLDEVMEVHEAYLSSIQRQCFVASDKLWALIASRVKTILGLALDFHNVEQTLSTGGTAPAVRTRCEMEIDRIEKQFDECVVFLLRILSFKLNVGHFPHLADLVTRINYNHYFMSDNGSFSAIPGSRPR >KQL14279 pep chromosome:Setaria_italica_v2.0:III:10073935:10079535:-1 gene:SETIT_021811mg transcript:KQL14279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSVALVLLAAVLLQTLLPASAAEGLVRIALKKRAIDQNSRVAERLSAEEKQRLLRGANSLGSGGEGDIIALKNYMNAQYFGEIGVGTPAQKFTVIFDTGSSNLWVPSSKCYFSIACYFHSRYKSGQSSTYKENGKPAAIHYGTGAIAGFFSEDSVTLGGLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGNAVPVWYNMIKQGLISDPVFSFWFNRHAGEGEGGEIVFGGMDPNHYKGNHTYVPVTQKGYWQFNMGDVLVDGKSTGFCKGGCAAIADSGTSLLAGPTAIITEINEKIGAAGVVSQECKTVVSQYGQQILDLLLAETQPAKICSQVGLCTFDGTHGVSAGIRSVVDDEAGKSNGGLRSDPMCNACEMAVVWMQNQLAQNKTQELILNYINQLCDRLPSPMGESSVDCASLKSMPNIAFTIGGKKFSLKPEQYILKVGEGSAAQCISGFTAIDIPPPRGPLWILGDVFMGAYHTVFDYGKLRVGFADSA >KQL14280 pep chromosome:Setaria_italica_v2.0:III:10073935:10079468:-1 gene:SETIT_021811mg transcript:KQL14280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSVALVLLAAVLLQTLLPASAAEGLVRIALKKRAIDQNSRVAERLSAEEKQRLLRGANSLGSGGEGDIIALKNYMNAQYFGEIGVGTPAQKFTVIFDTGSSNLWVPSSKCYFSIACYFHSRYKSGQSSTYKENGKPAAIHYGTGAIAGFFSEDSVTLGGLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGNAVPVWYNMIKQGLISDPVFSFWFNRHAGEGEGGEIVFGGMDPNHYKGNHTYVPVTQKGYWQFNMGDVLVDGKSTGFCKGGCAAIADSGTSLLAGPTAIITEINEKIGAAGVVSQECKTVVSQYGQQILDLLLAETQPAKICSQVGLCTFDGTHGVSAGIRSVVDDEAGKSNGGLRSDPMCNACEMAVVWMQNQLAQNKTQELILNYINQLCDRLPSPMGESSVDCASLKSMPNIAFTIGGKKFSLKPEQYILKVGEGSAAQCISGFTAIDIPPPRGPLWILGDVFMGAYHTVFDYGKLRVGFADSA >KQL14278 pep chromosome:Setaria_italica_v2.0:III:10074528:10078856:-1 gene:SETIT_021811mg transcript:KQL14278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRSVALVLLAAVLLQTLLPASAAEGLVRIALKKRAIDQNSRVAERLSAEEKQRLLRGANSLGSGGEGDIIALKNYMNAQYFGEIGVGTPAQKFTVIFDTGSSNLWVPSSKCYFSIACYFHSRYKSGQSSTYKENGKPAAIHYGTGAIAGFFSEDSVTLGGLVVKDQEFIEATKEPGLTFMVAKFDGILGLGFQEISVGNAVPVWYNMIKQGLISDPVFSFWFNRHAGEGEGGEIVFGGMDPNHYKGNHTYVPVTQKGYWQFNMGDVLVDGKSTGFCKGGCAAIADSGTSLLAGPTAIITEINEKIGAAGVVSQECKTVVSQYGQQILDLLLAETQPAKICSQVGLCTFDGTHGVSAGIRSVVDDEAGKSNGGLRSDPMCNACEMAVVWMQNQLAQNKTQELILNYINQLCDRLPSPMGESSVDCASLKSMPNIAFTIGGKKFSLKPEQYILKVGEGSAAQCISGFTAIDIPPPRGPLWILGDVFMGAYHTVFDYGKLRVGFADSA >KQL13455 pep chromosome:Setaria_italica_v2.0:III:4541524:4542456:-1 gene:SETIT_025335mg transcript:KQL13455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KARCHSGDNAALLAIKAALGNPYHFASWTPDYPCCDWYDVDCDDSTGRVVGLSVFQDDNITGTIPDAVAGLVHLQSLTWHHLPGISGPIPPAIAKLSNLSSLTISWTAVSGPVPSFLGALTKLTFLDLSFNSLTGTIPTSLAAIPNLSGINLSRNRLTGSIPPRLLSKSADQIYLWLSHNNLSGALPAEFAAANFAHLDLSRNRFTGDASGLFGRGKELQYLDLSRNAFSFDLSGVGLPEQLYFIDVSHNAITGGIPAEVANLTNLQFFNVSYNRLCGAVPTGGHMGRFDLFNFQHNKCLCGTPLANPCK >KQL14856 pep chromosome:Setaria_italica_v2.0:III:14363269:14364817:1 gene:SETIT_021892mg transcript:KQL14856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTRSNKLRILLIPFFASSHIGPLTDLAFHLAAARPGVVEATVAVTPANATVVRSALARRGPSAGATVQVATYAFPSVDGLPPGVENLSTVAATDAWRIDAAAWDEALMRPGQEGHIREHSPDAIITDPHFFWNVNVAADLGVPCVTFHAIGTFPTLAMVNLVLGGIHNSTGSVVTVPGFPNPDIRVPLMELPEFLRTQQIIPRSIGDRAVSAQGRCLGLAVNTFFDLEHGYCELYMRNGYVKRTYFVGPLSLPSPSAGASAGNSPCIRWLDTKPTQSVMYLCFGSLTHVSEAQLHELALGLEDSGKSFLWVVRGNAWMPPEGWKERVGDRGMVVTGWAPQTAILAHPAVAAFVTHCGWNSVMETVAAGVPVLTWPMVFEQFITERFVTEVLEIGERLWPEGAGVRSTKSEEHELIPAEAVARAVARFMEPGGAGDAARSRVKELSAKAHAAMAEGGSSHHDLRRLIDDLIEGRNAGAGDDATI >KQL15213 pep chromosome:Setaria_italica_v2.0:III:17812153:17815665:1 gene:SETIT_022847mg transcript:KQL15213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPTTATAASAPLHLRSCARQHLPPASRCFLPSRVLLNSARLTAPAPLPVRVPSLPPTAARAAGPDATSTRSPTSGGQMLVFVPPHPLIKHWVSVLRNEQTPCAIFKSAMSELGRLLIYEASRDWLPTITGEIETPVAVASVEFIDPREPVLVIPILRAGLALAEQASSVLPATKTYHLGLRRDEETLEPSIYLNNLPDKIPEGTRVLVVDPMLATGGTIVAAIDLLIERGVTSKQIKVVSAVAAPPALQKLNNKFPGLHVYTGIIDPEVNEKGFIVPGLGDAGDRSFAT >KQL15316 pep chromosome:Setaria_italica_v2.0:III:18869284:18875144:1 gene:SETIT_021708mg transcript:KQL15316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCRPDGPDGRMGQLGQSRDKWACAGRIRMALDVHPSSISFSRRPLCAPLPLPPPSWPSFPGGDDWASGGALPSTVPGPSPATITHQCGRMAEPSKVIHIRNVGHEIAESDLLQLLQPFGLVSKIVMLRAKNQMEDIHASVTALQYYSSVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQESEPNRILLVTIHHMIYPITVEVLHQVFKAYGFVEKIVTFQKSAGFQALIQYHSRQEAVEAFGSLHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRTSQQGYPDPAGLYAFQQPGASYAQMGRAAMIAAAFGGTLPHGVTGTNERCTLIVSNLNTDKIDEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGLQAELAVHYLKGAILFGKKLEVNYSKYPNITPAPDAHDYLNSSLNRFNSNVVKNYRHCCAPTKMIHISALPQEITEEAILNHVSEHGSVINTKLFEVNGKRQALVMFETEEEATEALVSKHASTLEGNTIRISFSQMQSI >KQL15318 pep chromosome:Setaria_italica_v2.0:III:18869284:18875144:1 gene:SETIT_021708mg transcript:KQL15318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCRPDGPDGRMGQLGQSRDKWACAGRIRMALDVHPSSISFSRRPLCAPLPLPPPSWPSFPGGDDWASGGALPSTVPGPSPATITHQCGRMAEPSKVIHIRNVGHEIAESDLLQLLQPFGLVSKIVMLRAKNQALLQMEDIHASVTALQYYSSVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQESEPNRILLVTIHHMIYPITVEVLHQVFKAYGFVEKIVTFQKSAGFQALIQYHSRQEAVEAFGSLHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRTSQQGYPDPAGLYAFQQPGGTSYAQMGRAAMIAAAFGGTLPHGVTGTNERCTLIVSNLNTDKIDEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGLQAELAVHYLKGAILFGKKLEVNYSKYPNITPAPDAHDYLNSSLNRFNSNVVKNYRHCCAPTKMIHISALPQEITEEAILNHVSEHGSVINTKLFEVNGKRQALVMFETEEEATEALVSKHASTLEGNTIRISFSQMQSI >KQL15317 pep chromosome:Setaria_italica_v2.0:III:18869284:18875144:1 gene:SETIT_021708mg transcript:KQL15317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCRPDGPDGRMGQLGQSRDKWACAGRIRMALDVHPSSISFSRRPLCAPLPLPPPSWPSFPGGDDWASGGALPSTVPGPSPATITHQCGRMAEPSKVIHIRNVGHEIAESDLLQLLQPFGLVSKIVMLRAKNQALLQMEDIHASVTALQYYSSVQPSVRGRNVYMQFSSHQELTTDQSSHGRNSDQESEPNRILLVTIHHMIYPITVEVLHQVFKAYGFVEKIVTFQKSAGFQALIQYHSRQEAVEAFGSLHGRNIYDGCCQLDIQYSNLSELQVHYNNDRSRDFTNPSLPTEQRPRTSQQGYPDPAGLYAFQQPGASYAQMGRAAMIAAAFGGTLPHGVTGTNERCTLIVSNLNTDKIDEDKLFNLFSLYGNIVRIKILRNKPDHALVEMADGLQAELAVHYLKGAILFGKKLEVNYSKYPNITPAPDAHDYLNSSLNRFNSNVVKNYRHCCAPTKMIHISALPQEITEEAILNHVSEHGSVINTKLFEVNGKRQALVMFETEEEATEALVSKHASTLEGNTIRISFSQMQSI >KQL16454 pep chromosome:Setaria_italica_v2.0:III:40248534:40250900:1 gene:SETIT_021370mg transcript:KQL16454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAPNTALNLSVLFLLVLLSGSSCSAADDVDFIYQGFQHASGSDLALDGSASVLHGGALRLTNDSNRLVGHAFRSSPVRFLHGGGRLASFSTAFVLDIVTVGSGGGHGLAFVVSPSTTLPGASPEIYLGVFGPTTNRNASNHVLAVEFDTVMDLEMDDINGNHVGVDVNSLFSNVSEPVAYYAGDGDNTKVPVTLESAQPIQAWIDYDGGSGVLNVTVAPVSVATRPRRPLISTKLDLRPVFKEDMYVGFSSSTGKLASAHYILAWSFRTNGPAQPINLRRLPKVPRPSSGPSKLVIVKFAAVACAGTLAVIAAGMAAVRWVRKRAALADKLEDWELEHPHRFPYRELYNATKGFKQSELLGAGGFGQVYKGVLRRRRSAGDLVAIKRISAGTRQGMKEFVAEVASLGRMRHRNLVELRGWCKHGQDLLLVYEFMPNGSLDSRLFGTGAGAAADRDKASPPPLAWAQRFAVLGGVARGLLYLHEEWEHVVVHRDVKANNVLLGADMGARLGDFGLARLYEHGADPASTRVAGTLGYMAPELTVTSRATTAADVFSFGALLLEVACGRRPVEPPPPDEATADGGDAPDVVLVRWVRDCGLAGDLLRAVDPRLEGCYDEGEARLVLWLGLMCSQVRPETRPTMRQVSRYLSGEEAIQEDAELVFSGANTAEYFGSSVSMTWSSSGGTMSASSLQGGR >KQL14710 pep chromosome:Setaria_italica_v2.0:III:13080442:13082419:1 gene:SETIT_022873mg transcript:KQL14710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAEAAAAGNEMSLSNMVLGFYEEAERERWTEEAAAAAGDGSDDEGSSGGGAESRAFWQEQRSLLHEALAKRSSAESRIQADTEEAVRQMRATPGGVCSCASRAAAAAGAGGCRACALRFVAERLRDAGYNSAICRSKWPRTPEIPSGEHSYVDVVVPTRSGKAVRVVIEPGFRGEFEMARGGAEYRALVAALPEVFVGRSEKLRAVVRVMCDAARRCARESGMHMAPWRKHRYMEAKWLGTPERVAPGGGGGVPVAVGSPEKPPRFRASMLTLDLGGRTVVEVV >KQL15064 pep chromosome:Setaria_italica_v2.0:III:16184963:16185616:-1 gene:SETIT_024427mg transcript:KQL15064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTGTQQARANGGANGTPGAGRSRSVSAQRGVGGPDNTRHNYRGVRQRRWGKWVAEIREPNCGRRHWLGTFDTPVDAALAYDRAAVAYHGNLARLNFPADNAAAVTIATAAPAQRQPSSCAPATTADVFEEHEVKPLVAVSQGGGGAETVSQQQQQQGASWLSPELLFDDDPNDIAMYIDFDAVAHMVPCYPGIKIEDCQPDGFDGDAIHSPLWPLGD >KQL13281 pep chromosome:Setaria_italica_v2.0:III:3605466:3608733:1 gene:SETIT_023278mg transcript:KQL13281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTNADVEVVDFDLDDDDLMDEDAGAEPSPAPAPTSRLRSTIAGDDAPRKTKGRGFRDDPNSSSAPRDSRFGAGGRGDFDSLGSGGGPAPIRSIEGWIVLVTGVHEEAQEDDLHNAFREFGQVRNLHLNLDRRTGFVKGYALIEYENFEEAQAAIKELDGTELYAQIISVDWAFSSGPAKRRNTQKRPPPRYRSRSPRRTH >KQL16458 pep chromosome:Setaria_italica_v2.0:III:40280383:40283701:-1 gene:SETIT_021263mg transcript:KQL16458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGCSSEIVESTEEEFDSAPIGGSSLLHIKLRDEEKRSKCHDYPVEDDLDQLLKAIDSRTFRRALSPGSTGGDALGKGVLKKPARSGLSQNAGIGISSKTVNMKQALRRLCISQASEMAAMKRLSMSPGSSSSSEAGTIHRLYASLMVQSSTEGLLHDDEKMNLIEISLTPEKIDKSSSRGTSEFSEDCEFETADGSAVTSIRSVSSTSAEVRKIRIQDVISGDPIDSESSLVENGKLGSKISAATDDGSPRVPILSKPITTSRLVKPVFRCKTAGKKKVKEEPSSLGDSSNSTKFCSSKESISLASTSCSSTSSVTNPTSCAEEEKSTPGPEKSDETSSEWLGAEEKGECSQNSKSSISEYGCSTSISDESQFGLCGYSNRPHMAKDLRWLTIRQLALQQGSLGLDNFKLLKRLGCGDIGTVYLAELVDSDCLFALKVMDIEYLINRKKMLRAQAEREILEMLDHPFLPTLYAHFTTDNLSCLVMEYCPGGDLHVLRQRQPGRSFPEPAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVNPVLLRSSSVTANHQPRKLAGPCAENYCINSSCLQQPSCAQTSCFTPRLPSIPKPRKPKSSQKRLPQLVVEPIDARSNSFVGTHEYLAPEIIKGDGHGSAVDWWTFGVFLYELLYGKTPFRGPGNDETLANVVSQNLRFPDNPAVSSNAKDLIRGLLVKEPENRLGSLRGAAEIKQHPFFEGLNWALIRSAAPPETQPCNVVTLAKERKKKEGKCLEFRSNSEDLEFEVF >KQL16533 pep chromosome:Setaria_italica_v2.0:III:42025932:42030174:1 gene:SETIT_024910mg transcript:KQL16533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVHDKAGQFICWLDLVRLNSPAAGSNRDRGLVLKGMPGPKVVVQCLDGTEVTVNARNVRVADRSYFCPGMVVASASDRGGQLGVVTGAAVELDLVRLDGEDAAAALVARSVSPAELRRVSEFCLGDYVVLGPWLGRVFEVSLDVDVLLDDGTVCRVTTADAKLCPVGEGSSKRYTNVFYPGQRVGGRSSVFKAARWLKGYWKPSDGEGTVSKVETASVLVYWVASSQLGAERSVVQASSTPAHQQSPRDLTFFRAGDDVLARFWGVGDRCFFRAPCRRRVLPAGDVGANRNRLRRLGAKRTPRRESWSHAGFERPLSVASTRTTLDVLWQDGTRQCQAPSVSLVPTMPQNTHHLFPGQRVVSRTSSDDGDVARSGVVRSLNFTDQTVRVSWQKAAAEHADDETLSSTYDLGRDFDSNVFYGDVVVRRRPTDSSIGVAGDICGSTEEPVLTRCRKKEPTRAHDLSWVGHIVDFCDAHHVQVKWGDGNMSKVSFHEITVVKEQSFGEFLQEIGEWVSEDGGMSNDAIDHEAQVTAAAVVSINGGGGGEGKQAEADATGDDKSFSFPQFDIVQSPSDHHYLDNMEQGTGGGKKWMKRVQKEWNILENNLPDTIFMRAYEDRMDLLRAVMVGASGTPYHDGLFFFDLQLPPSYPAAPPLVCYRSFGLRVNPNLYPSGTVCLSLLNTFGGHGAELWSPEASTVLQVVVSIQGLVLNAQPYYNEAGYADLVGTPQGRRNELPYSENTYLLTLQTMLHLLRRPPAGFEEFVRDHFHRRGQHVLRACEAYLDGCLVGTLDGEGSGARRPCSAGFRLALANVVPRLVEAFTAIDADGCKEFDRIRVPTVCT >KQL13252 pep chromosome:Setaria_italica_v2.0:III:3428505:3428992:-1 gene:SETIT_023729mg transcript:KQL13252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSPPSIPSPSPVWPYRPSPRIPSLPASPIIALPPCTARQNRRRAPPWLPSSTGCYSHIRARGPTAGHLAPPRAPLLPACLLRFTHRFCYQEIERGVPQKVTPA >KQL13724 pep chromosome:Setaria_italica_v2.0:III:6321479:6324508:-1 gene:SETIT_023229mg transcript:KQL13724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKPILYGAWISSCSHRVRIALNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDIVVSDSLAISLYLEDKYPAHPLLPKDLKRKALNLQGLHEGSMSFDQGLQIVQHYIDKGFRAIEKLLDGCESKYATGDDVQLGDVFLAPQIHAGINRFQIDMLKYPILARLHDEYMEIPAFQAALPKNQPDAPSS >KQL13726 pep chromosome:Setaria_italica_v2.0:III:6321479:6327204:-1 gene:SETIT_023229mg transcript:KQL13726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAKPVLYNAWISSCSHRVRIVLNLKGVDYEYKSVNPRTDPDYVKINPLNYIPALVDADVVVSDSHAITLYLEDKYPKHPLLPNDLKRKALNLQIANIVCSSIQPLQGYAVIGLHEGSMSFDQGLQIVQHYIDKGFRAIEKLLDGCESKYATGDDVQLGDVFLAPQIHAGINRFQIDMLKYPILARLHDEYMEIPAFQAALPKNQPDAPSS >KQL13725 pep chromosome:Setaria_italica_v2.0:III:6321742:6324329:-1 gene:SETIT_023229mg transcript:KQL13725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKPILYGAWISSCSHRVRIALNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDIVVSDSLAISLYLEDKYPAHPLLPKDLKRKALNLQIANIVCSSIQPLQGYAVIGLHEGSMSFDQGLQIVQHYIDKGFRAIEKLLDGCESKYATGDDVQLGDVFLAPQIHAGINRFQIDMLKYPILARLHDEYMEIPAFQAALPKNQPDAPSS >KQL13727 pep chromosome:Setaria_italica_v2.0:III:6322439:6324508:-1 gene:SETIT_023229mg transcript:KQL13727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKPILYGAWISSCSHRVRIALNLKGVDYEYKSVNPRTDPDYEKINPIKYIPALVDGDIVVSDSLAISLYLEDKYPAHPLLPKDLKRKALNLQIANIVCSSIQPLQGYAVIGLHEGSMSFDQGLQIVQHYIDKGFRGLISYHLFIVK >KQL16457 pep chromosome:Setaria_italica_v2.0:III:40275664:40276259:-1 gene:SETIT_024009mg transcript:KQL16457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMNLYNSYYNSAQQNIKHFFFFFFFCEREQKTLECNGSARSQRAADLR >KQL13841 pep chromosome:Setaria_italica_v2.0:III:7153019:7155031:-1 gene:SETIT_023825mg transcript:KQL13841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMEPKHSAEMCKHLEKQNQALMGTYRAMSHELYKLQVEEETIMRELYELMSAEGLLPKHKKEKQLERDAKESTPVGKQWTEP >KQL13015 pep chromosome:Setaria_italica_v2.0:III:2110559:2115048:1 gene:SETIT_021804mg transcript:KQL13015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWISSKLKAAETLLHQIDQQAAESLGKSPSASDLAALHPSASPSADAFLDVTPPRRPPQAGPPPSLGLRLAAKRPSLPSPGPRRSASATAVLAVQDQAGGGPPVEVVAEVKPEGDRGDGEDGRGGASEGGSGSGSDEESDGSGSDDSEDSEEERRREEERRRRRAERLAAMAARAIAEREEAVARLEGEKAGLEKLLAEREKEQAQEASELQTSMIETMEAVEIEKQRHHSTRMEALARLATLEVTNAELAKSFAREQWNLEVQVDQVAQLREEVEMKTLAQDKYKRKLAKIQKTSTAPVDEIESLRRFKLEEEIIDAEYILTCDRIVSLKDKARKIEENIEMTRRAMVQPTEVEIELKKRLDQLTDRLIQKQMQVESLSSEKAALLMRIEAVSRLLDSSASSMASSSSSRMDIEAGAWQESHSPKFRDRIQAGQQQLGSAIRQLDSIFSAGHIFLRRNPKAQIWAFVYLVCLHLWVLYILKSHPTVSESHPGATFSLETLNKTSI >KQL15155 pep chromosome:Setaria_italica_v2.0:III:17492711:17493287:1 gene:SETIT_025390mg transcript:KQL15155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNIGDLYNKISFVCDAVCCSVFFDNLLVSAYYLTIMSMEFAVSEYREFHAFEGG >KQL14996 pep chromosome:Setaria_italica_v2.0:III:15433251:15434481:1 gene:SETIT_024972mg transcript:KQL14996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKRLNISYFHQIFCIGLSFKVHKSGKCWNGEWTVGAIRVRAHECIIAKEAEVKASKQGIIADLQRFIEILAPYYSHEEVEGSPAFFHEFHVDAMAAPEPESENFALFQKYMRNHLALMGPLDRHDLYFGLFMVCDMLRDKDDRGYKPLYGKKDAPEWRSNAKKFHPYHSVYYHDVSEEDKNNPDYQPYWNNYWELLRFLRNYGRNAHNHTRIDGVQQVTEVAVFDLMLSEDFGMYITKLILFLMYECKMEGSFFSTWDSYVTSE >KQL13295 pep chromosome:Setaria_italica_v2.0:III:3678531:3679102:-1 gene:SETIT_023867mg transcript:KQL13295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGDNRASVGMSNELPVEGVVRVKKVERIEAYNVATKPSQTATAKVTRSLTVNVVRVGDVDEKPDSFVSVPIVHN >KQL13897 pep chromosome:Setaria_italica_v2.0:III:7566735:7569241:-1 gene:SETIT_021642mg transcript:KQL13897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYRNEWAEALGIEISSPRTKDGAMVVNRVSPLWDDQEKLCFPKGKLLPSLSFKLWEAEAAVASIVDRGSRPSQINADDGGEVVFMASPPSPSPRVSPSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSVSFFNGGKPETAASRWARARTRVAKLGKGLSKNGKAQKLARQHWLEAIDPRHRYGHNLHIYYDVWSKSESTEPFFYWLDIGEGKEVNLEKCPRSKLQSQCIKYLGPKERQEYEVVVESGKLAYKKNGAFVQTLDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKEGILKAIWPYSGHYLPTEENFREFIRYLEENGVDLTDVKVNKSPIDKDDEYPLLSKPDTQPNATVSDDTNGTDHATAAAAADEQMSESEVVDGDVRRTTDDGNMSEAEEDDADIHSHTDTEQEAHSSEQPPANGDASEHGKNHLMCRWSTGTGPRIRCVRDYPQDLQSRALEHVNLSPRLSGSPSRKRDPVPSPRPSPAMILSPRLASVGFQPQTTVSLTLPDFKRSRLQ >KQL13896 pep chromosome:Setaria_italica_v2.0:III:7566547:7569241:-1 gene:SETIT_021642mg transcript:KQL13896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYRNEWAEALGIEISSPRTKDGAMVVNRVSPLWDDQEKLCFPKGKLLPSLSFKLWEAEAAVASIVDRGSRPSQINADDGGEVVFMASPPSPSPRVSPSPKCELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFASLKHSSVSFFNGGKPETAASRWARARTRVAKLGKGLSKNGKAQKLARQHWLEAIDPRHRYGHNLHIYYDVWSKSESTEPFFYWLDIGEGKEVNLEKCPRSKLQSQCIKYLGPKERQEYEVVVESGKLAYKKNGAFVQTLDDSKWIFVLSTTKALYVGQKKKGSFQHSSFLAGGAITSAGRLVVKEGILKAIWPYSGHYLPTEENFREFIRYLEENGVDLTDVKKSPIDKDDEYPLLSKPDTQPNATVSDDTNGTDHATAAAAADEQMSESEVVDGDVRRTTDDGNMSEAEEDDADIHSHTDTEQEAHSSEQPPANGDASEHGKNHLMCRWSTGTGPRIRCVRDYPQDLQSRALEHVNLSPRLSGSPSRKRDPVPSPRPSPAMILSPRLASVGFQPQTTVSLTLPDFKRSRLQ >KQL14330 pep chromosome:Setaria_italica_v2.0:III:10611083:10611919:1 gene:SETIT_023159mg transcript:KQL14330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATAARHDDVESQHEEHDDASSHEQQAQRPLLEKRSLADDGGGMSPIQRAISQTYQSTAHLATLLPTGTVLAFQLLSPIVTDQGHCVRANRAMAGALLALCALSCFVLSFTDSFRDATGAVRYGFATLRGLWVIDGGAPLEDPRAAAAYRVRFLDWVHAVVSVMIFAAVALFDQNVVSCFYPVPSEDARQVLTVLPIAIGVVGSMLFVTFPTTRHGIGFPLSKH >KQL16222 pep chromosome:Setaria_italica_v2.0:III:34545424:34558550:1 gene:SETIT_024087mg transcript:KQL16222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPTVPAGCPKRSLVRLDIKPAYLFSSPLLPPPHQIPISTPPRPPPANPWRPRRCRGRAAIRDAKPYPGARVTRGALSPTCRSPAQRPRPFAGGAPPGNGPAPASAIRAPQGPPPPGARPFPGSPPPPSQPPPPFARPGAPLQQSTPPFGGPPTAMASQPQLQRPAFGGPPSGPPPQVQRAPFGGPPPGASQQPPPFGGPPAAAASRPAPFGGPPAAASKPQPPAAVPQHSPFGGPPPAASAAQQPPIGGGSFTTAQAPPFSGPPASMPQTAPAAGLRPPFGGPPAPSQQVQFGAPPPFGGPSAVRPGAQPPPFGASQSQAPPFGSSQAPPFGSSQAPPFMGPTGGNAPTFAPPMWQGQARPGSVPGGMQPSMRMPSMPGAMPPNALGQGMSPASAPTMPYSPHAQVSTPSKIDPNQIPRPIPETSVIIFETRQGGQAAIPPAASSEFIVKDTGNCNPRLMRCTMNQIPCTGDLLTTSGMPLALLVQPFALPHPSEEPIQLVDFGEMDPIRCSRCKAYINPFMRFIDQGKHFICNLCGFSNDTPREYFCNLGPDGRRRDADERPELCRGSVEFVATKEFLVRDPMPAVYFFLIDVSMNAVHTGATAAACSAISQALSDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPISECRENLEQLLESIPIMFENNRVADSAFGAAMKASFLAMKSTGGKLLVFQSVLPSIGIGSLSAREAEGRSNISTGDKEAHKLLQPVDKTLKTMALEFAEYQVCVDVFLSTQSYVDIASISVVPNTTGGRVYYYYPFSALSDPAKLYNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPAIDSDKAIMVTFKHDDKLQENSECAFQCALLYTTVFGQRRIRVINLSLSCTNMLSNLFRYADLETQFTYVVKQAANGIPSVPLSQVRDQVTSTCINILQSYRKYCASVSSSGQLILPEALKLLPLYTLALTKSVGLRNDGRLDDRSYWASIVSSISVLLAIPLVFPRMIALHVLTSRDDDDSLIPSPLTLNSENIHDDGVYLLENGEDGFIYVGNSVNPVTLEQIFGVSSLAGVPNQ >KQL16825 pep chromosome:Setaria_italica_v2.0:III:45545472:45547931:-1 gene:SETIT_024647mg transcript:KQL16825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEDHQFDSKADAGASKTYPQQAGTVRKNGYLVIKNRPCKCHFVASDIFNGKKLEDIVPSLHNCDVPHVNCTEYQLIDISEDGFVNDLRLPTDDNLLSQIKDGFGEGKDLVVTVMSVMGEEQICALKDIGPRN >KQL17041 pep chromosome:Setaria_italica_v2.0:III:47793010:47793448:1 gene:SETIT_023836mg transcript:KQL17041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQFQADKFIFFFQYILSIAMVNIFHMLHVLYRASSGEAFHQLASALKRIFYPIVVGCMPMLAVFDSCNLIRIPLPAYFAL >KQL14347 pep chromosome:Setaria_italica_v2.0:III:10712287:10712841:1 gene:SETIT_023834mg transcript:KQL14347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRRRGGSSSKSREPNTGTAGAAKNGQGVTVSQFITQLDESVTKRLDRMNQRLRLLEQQMETLEADVAKASRGSVNVEGCS >KQL13016 pep chromosome:Setaria_italica_v2.0:III:2116000:2117275:-1 gene:SETIT_025000mg transcript:KQL13016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGTNTNDEAVARPWADLLPEMCDLVLDRLDAVGVLRFPATYGSSPDQLTSGLPTLLTSGLDLYGDDFENDVEAGTFGLHDVATGKSFYGEAQRLRNRTWIGGKDDWLVTTDVRCGVELLNPITRERVPLPSFDTIGKFADPYKLCISVDYMLHHLQQVALCQTPAHPNGYLAVAMFSSASLDFLAFTADGDEGWTPLKDPSGLGVHYTDVTAHNGKVFAVSDYGDIYCWDMNGTDVEFTYLRGPEFHRFYLATSSGGRLQVVCLYGHDDRRVEDKRTRRIVFKDQLGFFHARRVTLHELDDATDGTWRRVRDLGGDRALFVGGNCYPFCVTVPPGGDPNDDLQADCVYVADMLGCDAAAFDLKLGDDYAYEFHWPLYYPTVYDVLQIPMWFRPTAHRIEQAPVEG >KQL14689 pep chromosome:Setaria_italica_v2.0:III:12948202:12949233:1 gene:SETIT_023526mg transcript:KQL14689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECYNLQRQAACLKKNLFDQGYLDEQFCQIEDLQDEASPNFAEEVVSLFFKDSARLMTNIEQAMEKNPRDFNRWDSHMQQLKGSCSRLLHPYSCFCLCFTAYMMCA >KQL14690 pep chromosome:Setaria_italica_v2.0:III:12948202:12950663:1 gene:SETIT_023526mg transcript:KQL14690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECYNLQRQAACLKKNLFDQGYLDEQFCQIEDLQDEASPNFAEEVVSLFFKDSARLMTNIEQAMEKNPRDFNRWDSHMQQLKGSCSSIGASRMKNECTSFRNSCGDENAEGCMRSFQKLKREHGVLRQKLESYFQLLRQAGPAETAIRRGGR >KQL14688 pep chromosome:Setaria_italica_v2.0:III:12946415:12950663:1 gene:SETIT_023526mg transcript:KQL14688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLLRYLIACVLYVEGYLDEQFCQIEDLQDEASPNFAEEVVSLFFKDSARLMTNIEQAMEKNPRDFNRWDSHMQQLKGSCSSIGASRMKNECTSFRNSCGDENAEGCMRSFQKLKREHGVLRQKLESYFQLLRQAGPAETAIRRGGR >KQL13353 pep chromosome:Setaria_italica_v2.0:III:3990186:3994077:1 gene:SETIT_022898mg transcript:KQL13353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAFPPAPMPSATNLSRTPQLSGTSDSCCPLPSPSRGVPSRVSFLRLHEPVAAPARQIWICDPKVVLMAPAVEVIKEAGSFQKVPALNERILSSMSRRAVAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAYEAIQHSMDLYATYIVEGLRR >KQL13355 pep chromosome:Setaria_italica_v2.0:III:3991999:3994077:1 gene:SETIT_022898mg transcript:KQL13355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCAVNVVLMAPAVEVIKEAGSFQKVPALNERILSSMSRRAVAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAYEAIQHSMDLYATYIVEGLRR >KQL13354 pep chromosome:Setaria_italica_v2.0:III:3990525:3994077:1 gene:SETIT_022898mg transcript:KQL13354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAVEVIKEAGSFQKVPALNERILSSMSRRAVAAHPWHDLEIGPGAPTIFNCVIEIPRGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPLDVLVIMQEPVIPGCFLRAKAIGLMPMIDQGEADDKIIAVCADDPEYKHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASAAYEAIQHSMDLYATYIVEGLRR >KQL15740 pep chromosome:Setaria_italica_v2.0:III:23248782:23250158:1 gene:SETIT_024481mg transcript:KQL15740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFKNRYMVMEVFIDVGRGESDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKVCIVRVSREDHQKVWTTITMVRSIGKIPVSFNLLDVSGSIRACKAAAMECEEAKYEQYKLAGGDCITPDIIQCVQSCFDKIRGLES >KQL14941 pep chromosome:Setaria_italica_v2.0:III:14987252:14987505:1 gene:SETIT_025776mg transcript:KQL14941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAKGEGDVTDATPHPALWQRGADARRAAARRRAHLLRPW >KQL15795 pep chromosome:Setaria_italica_v2.0:III:23977656:23978159:-1 gene:SETIT_024435mg transcript:KQL15795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARPVTPAGRGDADASPSPARKAPLPDDAAADDEPVTAAEESRAGGVEDDEEEDDDEQVERFYALLANIRALRGLLPPHVAPSSSCGGGASSRKRQRAAEPPWRPAFRMEDFEEPAAPAPPSKRKHTEGAEDGDAESASGACPAVVVAPSLPHAAVRSDSGSCKN >KQL16622 pep chromosome:Setaria_italica_v2.0:III:43127487:43131521:1 gene:SETIT_024793mg transcript:KQL16622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNSFPNFPSIPSAARLPNYPQTLPRRLPPLSPPATGVEAMGWATRFLAAVCFFAAGVLFVPEAILGSPSGAGAVTAAKLAHLLSFATSWGAALWATFIGGIIMFKNLPRHMFGNLQSKMFPAYFMLISACAAISIAAFAYLHPWKTASTVERYQLGFLLSALGCNLSNLLVFTPMTIEMMKKRHKIERDLSIGEEVGWSKNQQVAKSNPTLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLASKIEL >KQL16577 pep chromosome:Setaria_italica_v2.0:III:42622259:42623430:1 gene:SETIT_023804mg transcript:KQL16577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQKALPPELADNVLRLYRECLRRAKFIGHQKHNTELLVTMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKITGRKFSG >KQL16578 pep chromosome:Setaria_italica_v2.0:III:42621519:42623430:1 gene:SETIT_023804mg transcript:KQL16578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQKALPPELADNVLRLYRECLRRAKFIGHQKHNTELLVTMVREQFKKNMHETDPEKIQKMKDDAARGLINHILYESEKITGRKFSG >KQL16831 pep chromosome:Setaria_italica_v2.0:III:45698972:45699826:1 gene:SETIT_023388mg transcript:KQL16831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISVDGRGGAIALMLASLFLLGTWPALLTLLERRGRLPQHTYLDYSITNLLAAVVIAIKFGQAGETSPGEPDFFTQLGQMKDNWPSVLMAMAGGLAIGLGNLASQYAWAFAGLSVTNIICSSMTVLLGTTINYVLDGRINRADILFPGVLCFLIAVFLGAAVHSSNAKDDERKLSMSGSHF >KQL13798 pep chromosome:Setaria_italica_v2.0:III:6798634:6799364:1 gene:SETIT_023580mg transcript:KQL13798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSDLEDCGNFILIQGDHNDAFIFFFCGYKYTMMHSSDQDTTDLQYFTTHFQHKYAETPTLHTDSNTFLTYLHKYSILLRAMAHIWDSAECPAWPHLHDGCPRAGLAAAADGLEAALAGLVATEAAARSEQAENKNKPPL >KQL15162 pep chromosome:Setaria_italica_v2.0:III:17544343:17546970:1 gene:SETIT_023197mg transcript:KQL15162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSKLYLVCYNSLQALGWFIALLRLLPCLAHPVSAHSAYAVAGDLICFLQTCAILETVHAAIGLVPTAPLLTVLQWGGRTHFVLAVVRQIPQVQSSPSVFITFMAWSIAEVIRYSHYALTTLKVCPSWVTYLRYTAFIPLYPIGVGPGEMWTMYQALPFVKKRNLYSGFFEKFSMSYHSFLVGVLVCYPLLWLKLYLHVFKQRKSKLGKGSRKKRA >KQL12853 pep chromosome:Setaria_italica_v2.0:III:1268844:1271199:1 gene:SETIT_021648mg transcript:KQL12853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLTLDYDGNLRLYSLDDGDGSWRVTWVALPRQCDVHGVCGRYGVCAYLPALACSCPEGFVASDAGDWSKGCRREFDVRCGEPVYFAEMPGFDFWGYDFNYTPGVTMETCRKMCLDDCNCQAFGYRMGVGECYPKISLWNGRAQDTSKQNIFLKVPTRLKNLNPTVLDFHGHACTVPEQNASVSASYFHVRGNKINFTYFYSFLTAAFVVEAIFIVVGYLFVFRADPAAGRVSDEEGYALLFSHFRRFTYDELSDVTGKFTDKLGRGASGTVYKGVLDDGRCIAVKRLDDLTQADEVFRSELSVIGRINHMNLVRMWGFCSEHSHRLLVSEFVENGSLDRALFSGDGERALGWRSRYKIAVGVAKGLAYLHHECLEWIVHCDVKPENILLDAELDPKITDFGLVKLLSRDACDRRLVLSRAQGTRGYIAPEWATSLPITGKADVFSFGVVLLELIRGHRLSDWVVVGGREEDVHMDLQLLVAWLKVKMKSDDERASWMEEFIDPRLRGDFSHLQAAAMLEMAVSCVDDDPNSRPSMNAVLQKLLSLEDAVTVRHA >KQL13321 pep chromosome:Setaria_italica_v2.0:III:3794773:3797199:1 gene:SETIT_022142mg transcript:KQL13321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLDGSARLVIVSDLDQTMIDHDDRENLSLLRFEALWEAEFSQDSLLVFSTGRTPISYKGLRKEKPLITPDITIMSVGTVIAYGEEMIRDVGWEEYLDNNWDRNIVVEETAKFSQLKPQPERNQGPHKVSFFVDKQGAQEVIDSLPQKLEKRGLDVKIVYSSGEALDVLPQGAGKGQALVYLLNTLNSNGKPPNNTLVCGDSGNDAELFSVPSVHGIMVSNAQEELLQWYEENAKSNPKIIHATERCAAGIMQAIGHFKLGPNVSARDLEFPYPKADAIKPAEVVVKFYVLYEKWRRGELPNPSSVLQYLKSITHSNGIIIHPSGSERSLHASIDALSSCYGDKQGNKFRVWVDRLVTSPIGTSNWLVRFDNWEKEGGARNCCRTTLLLNMKPETPEGLELTHIHKTWVEGHSAGSENAFIL >KQL14484 pep chromosome:Setaria_italica_v2.0:III:11526122:11526397:1 gene:SETIT_025566mg transcript:KQL14484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPWSQGKRRGGGLSRVLREQKARLYIIRRCVVMLLCYHD >KQL13261 pep chromosome:Setaria_italica_v2.0:III:3464521:3468770:1 gene:SETIT_021835mg transcript:KQL13261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRHRQLLLATACLWALSCASLLSASAPDGAGLLRVSLNKNRLDGEALAAAKLARQQDSRRLGAAARHGDEIPLVDYLNTQYFGVVGIGTPPQNFTVIFDTGSSNLWVPSSRCYFSIACYFHHRYYSRKSSTYKADGETCKITYGSGAIAGFFSKDNVLVGDLVVKSQKFIETTRETSVSFILGKFDGILGLGYPQISVGKAPPIWQSMQEQKLLADNVFSFWLNRNPEASSGGELVFGGVDPKHFKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIADSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSQYGEMILNLLIAQTDPQKVCGQIGLCMFDGTHSVSEGIESVVGTENLGSNVMCSACQMAVVWIENQLRENKTKELILQYANQLCERLPSPNGESTVSCHQISKMPKLAFTIANKTFTLTPEQYIVKLEQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >KQL13262 pep chromosome:Setaria_italica_v2.0:III:3464521:3468770:1 gene:SETIT_021835mg transcript:KQL13262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRHRQLLLATACLWALSCASLLSASAPDGAGLLRVSLNKNRLDGEALAAAKLARQQDSRRLGAAARHGDEIPLVDYLNTQYFGVVGIGTPPQNFTVIFDTGSSNLWVPSSRCYFSIACYFHHRYYSRKSSTYKADGETCKITYGSGAIAGFFSKDNVLVGDLVVKSQKFIETTRETSVSFILGKFDGILGLGYPQISVGKAPPIWQSMQEQKLLADNVFSFWLNRNPEASSGGELVFGGVDPKHFKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIADSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSQYGEMILNLLIAQTDPQKVCGQIGLCMFDGTHSVSEGIESVVGTENLGSNVMCSACQMAVVWIENQLRENKTKELILQYANQLCERLPSPNGESTVSCHQISKMPKLAFTIANKTFTLTPEQLEQGGQTVCISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGKDRIGFAKSA >KQL13260 pep chromosome:Setaria_italica_v2.0:III:3464521:3467699:1 gene:SETIT_021835mg transcript:KQL13260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRHRQLLLATACLWALSCASLLSASAPDGAGLLRVSLNKNRLDGEALAAAKLARQQDSRRLGAAARHGDEIPLVDYLNTQYFGVVGIGTPPQNFTVIFDTGSSNLWVPSSRCYFSIACYFHHRYYSRKSSTYKADGETCKITYGSGAIAGFFSKDNVLVGDLVVKSQKFIETTRETSVSFILGKFDGILGLGYPQISVGKAPPIWQSMQEQKLLADNVFSFWLNRNPEASSGGELVFGGVDPKHFKGNHTYVPVSRKGYWQFNMGDLLIDGHSTGFCAKGCAAIADSGTSLLAGPTAIVAQVNHAIGAEGIISTECKEVVSQYGEMILNLLIAQTDPQKVCGQIGLCMFDGTHSVSEGIESVVGTENLGSNVMCSACQMAVVWIENQLRENKTKELILQYANQLCERLPSPNGESTVSCHQISKMPKLAFTIANKTFTLTPEQVLSSSL >KQL13715 pep chromosome:Setaria_italica_v2.0:III:6271661:6272679:1 gene:SETIT_023362mg transcript:KQL13715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKQVPERTTMFLHIPGPDIGDKCNAIVGPVLIVGGGRRRTPFLVGAFRRRAPLLGFPVLGRQVDAGLFSRRLRRAVVQRAPVGHRRHPRLLSVPYLGAEGAVVHRRVRAAVVHHECEVVVLGILVDFVANCCWVLDREGDVRGGDADEDGGRDGVHHQASYQGYKYDVLVAPFSGRRDLDRHGR >KQL14580 pep chromosome:Setaria_italica_v2.0:III:12133092:12137867:-1 gene:SETIT_021603mg transcript:KQL14580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPAKWIKSVLFGKKSSSRSGSTKAKDLSKGASNKGYAGKEPAFSESSPVISEPVLVSAHNNEAVREVPKGENSSVQGEVAVPDVNQDLEKHDTVGSDASNDAERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVSTLRATWLIVNFQALIRGRNVRLSQAAIHASRKLTQQNFGGAKPDLWKEKLSSNAFARKLLSSPIVVEALHFQYDEMDPNSAFNWLERWTISHVWKPISQPKRVGTDAKPHARKASYAMETESAKLKRNARKSSATPFEPSQTNTTTEIEKTKRIPRKMSSAPADSVPDGQLTELEKVKRSLRKVTNSMAETSKVSNPAPEIPDDQEVRCDRPLRSAKQVPVHPESQEPQNVNLLDNAKMAILVPDLQPDEEIASDPVINEEKVDELSVVTPPAEIMPLQDINNEENALVNDTEQRSKEEPLSTESLKGSKRRSSFSTKPEYPENGSKNSPALPSYMAATQSAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSTNGKMVSHSPRTQRPTNAGGKDGAKGDKAMLSSRDASERPLKAEWRR >KQL14581 pep chromosome:Setaria_italica_v2.0:III:12133518:12137867:-1 gene:SETIT_021603mg transcript:KQL14581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPAKWIKSVLFGKKSSSRSGSTKAKDLSGASNKGYAGKEPAFSESSPVISEPVLVSAHNNEAVREVPKGENSSVQGEVAVPDVNQDLEKHDTVGSDASNDAERLREEQAAVKAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVSTLRATWLIVNFQALIRGRNVRLSQAAIHASRKLTQQNFGGAKPDLWKEKLSSNAFARKLLSSPIVVEALHFQYDEMDPNSAFNWLERWTISHVWKPISQPKRVGTDAKPHARKASYAMETESAKLKRNARKSSATPFEPSQTNTTTEIEKTKRIPRKMSSAPADSVPDGQLTELEKVKRSLRKVTNSMAETSKVSNPAPEIPDDQEVRCDRPLRSAKQVPVHPESQEPQNVNLLDNAKMAILVPDLQPDEEIASDPVINEEKVDELSVVTPPAEIMPLQDINNEENALVNDTEQRSKEEPLSTESLKGSKRRSSFSTKPEYPENGSKNSPALPSYMAATQSAKAKLRGQNSPRLSSDSAEKNGFTRRHSLPSSTNGKMVSHSPRTQRPTNAGGKDGAKGDKAMLSSRDASERPLKAEWRR >KQL13933 pep chromosome:Setaria_italica_v2.0:III:7831909:7832408:1 gene:SETIT_023683mg transcript:KQL13933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGKHVVAGEVARRGAAGVSGDGADRGQDALRRLVAGGSCSPAQDSPLRPRSSLPPPPPTHASSSRGRRQSAPARRSTTSGHHHWQRALLLPNCSIAFGRERRKWSNGITGER >KQL14717 pep chromosome:Setaria_italica_v2.0:III:13141858:13143487:1 gene:SETIT_022418mg transcript:KQL14717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRAWQMAVAVLAVLGAAATTTVRPAVAAPQVPCYFIFGDSLVDNGNNNFIVSMARANYPPYGIDFAGGPTGRFSNGLTTVDVLAKLLGFDDFIPPFAGASSQQLLTGVNFASAAAGIREETGQQLGGRISFSGQVQNYQSAVQELISILGDEGSAATHLSRCIFSVGMGSNDYLNNYFMPAFYDTGSRYTPEQYADSLAADYSRLLMVMYRYGARKVAVIGVGQVGCSPNELAQRSPDGATCVEAINAAIRIFNQRLVGLVDRFNRQLPGARFTYVNAYGIFDDILRSPGAHGLRVTNAGCCGVGRNNGQVTCLPFQMPCANRHEYLFWDAFHPTEAANVLVGQRTYAARLASDVHPYDIRTLARL >KQL14857 pep chromosome:Setaria_italica_v2.0:III:14371014:14372477:-1 gene:SETIT_025159mg transcript:KQL14857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKQSKKLRVLLLPFFASSHIGPYTDLAFHLAAAKPGAVEATVAVTPANATVVRSALARRGPNGGGMVQVATYAFPAVDGLPPGVENLSMVAAADAWRIDVAASDENLMRPGQEGLIRERSPDAVITDFHFFWNVDIAADLGVPCVTFHAIGTFPTLALTHLGNAGVDDANANVATVPGFPSPDIRVPITELPEFMRGQQATSLPKENRFAVAQRRCLGIAVNTFFDLEHGYCELYVRNGYVKRTYLVGPLLLPLPLPSAGASAGDSPCIRWLDTKPAQSVVYLCFGSLSHVSEVQLRELALGLEASGKCFLWVVRANTWMPPEGWKERVGDRGMVITGWAPQTAILSHPAVGAFVTHCGWNSVLETIAAGVPVLKWPMVFEQFITERFVTEVIAIGKRLWPEGAGVRSTTSKEHELVPAEAVAQAVAAFMEPEGPGDVARARVKELSAKAHAAVAEGGSSHRDLHCLIDDLMEARAAAAAARP >KQL15277 pep chromosome:Setaria_italica_v2.0:III:18547727:18549400:1 gene:SETIT_022609mg transcript:KQL15277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEQFEREVNAAAFSDKSKSRENAILKFRQFIRAIAEQISQVEGSLENLSIGSSRTPKHLYSSEYDGDGLASFLSGSNKDDHVYHSTGTDEIVELKLDNVPLVNGYHSTQEHRAYEHRNSEKDVEGAGKLKCSCGGNACEGGHNNSSMYSCDADDSVGRRLFGKNKLSRHYHSFIRNLWFTKRGRESFTKRRKDGEDMDSLRNGNTLPSFNLHQPRRAMYFWPEVIKRRLSRSEYFTDRNRPQIRLVIAVLIALAVLCLLVSHVR >KQL15278 pep chromosome:Setaria_italica_v2.0:III:18546129:18549879:1 gene:SETIT_022609mg transcript:KQL15278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGFGRWEADPLFPAAECVQDSADRMEGVYRLLLHERKVMQDGTSDAKFHAPIQYERDVITALGTTKWQLEQFEREVNAAAFSDKSKSRENAILKFRQFIRAIAEQISQVEGSLENLSIGSSRTPKHLYSSEYDGDGLASFLSGSNKDDHVYHSTGTDEIVELKLDNVPLVNGYHSTQEHRAYEHRNSEKDVEGAGKLKCSCGGNACEGGHNNSSMYSCDADDSVGRRLFGKNKLSRHYHSFIRNLWFTKRGRESFTKRRKDGEDMDSLRNGNTLPSFNLHQPRRAMYFWPEVIKRRLSRSEYFTDRNRPQIRLVIAVLIALAVLCLLVSHVR >KQL14915 pep chromosome:Setaria_italica_v2.0:III:14846261:14849290:1 gene:SETIT_021820mg transcript:KQL14915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWWARNFLSPSSSAPPSRPTSRERRKPSASHMAGAAAAAVASGISARPSAPRRALAGHRARPSVVRAAISVEKGEKAYTVQKSEEIFNAAKELMPGGVNSPVRAFKSVGGQPIVFDSVKGSRMWDVDGNEYIDYVGSWGPAIIGHADDKVNAALIETLKKGTSFGAPCLLENVLAEMVIAAVPSVEMVRFVNSGTEACMGALRLVRAFTGREKIIKFEGCYHGHADSFLVKAGSGVATLGLPDSPGVPKGATCETLTAAYNDAEAVKKLFEDNKGEIAAVFLEPVVGNAGFIPPQPGFLNALRDLTKQNGALLVFDEVMTGFRLAYGGAQEYFGINPDVTTMGKVIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLMEPGTYEYLDKITGDLVRGILDAGAKTGHEMCGGHIRGMFGVFFTGGPVHNFADAKKSDTEKFGRFYRGMLEEGVYLAPSQFEAGFTSLAHTSQDIEKTIEAAEKVLKRI >KQL15245 pep chromosome:Setaria_italica_v2.0:III:18149884:18153932:-1 gene:SETIT_021490mg transcript:KQL15245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEAAPLYRDASAPVEARVRDLLGRMTLREKAAQMAQIERTVASPRALTELGAGSVLNAGGSAPRDRASPADWAAMVDGMQRHALASRLGVPILYGTDAVHGHNNVYGATVFPHNVGIGATRDTELARRIGEATALEVRATGIHWTFAPCVAVCRDPRWGRCYESYSEDPEIVRSMTTIVSGLQGQPPADHPHGYPFLASVRENVLACAKHFVGDGGTDKGVNEGNAICSYEDLEAIHMTPYPDCIAQGVATVMASYSKWNGEPLHSSRYLLTDVLKGKLGFKGFVISDWEGIDRLCEPQQPRGSDYRYCIAQSVNAGMDMIMIPHRFEKFLEDIVFLVETGEIPMSRIDDAVERILRVKFISGVFEHPFSDQSLLDTVGCKEHRLLAREAVCKSLVLLKNGKDQKAPFLPLAKNAKRILVAGTHADDIGYQCGGWTIAWHGDSGKITLGTSILEAVQESMGVQTEVVYEKCPTEATIENGDFSYAVVVVGEVPYAEWTGDRTDLGIPFNGSELITRVASKIPTLVIVISGRPLVIESQVLEKIEALVAAWLPGSECTGITDCLFGDHDFIGTLPVTWYRSVDQLPINAGDANYDPLFPVGYGLKMFQSGDGST >KQL15286 pep chromosome:Setaria_italica_v2.0:III:18601149:18602240:1 gene:SETIT_023363mg transcript:KQL15286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYYGVSGQSSSPAAAAWGAPPTPSSRPWTKAEDKVFEGALVTFPEHVPNRWVLVASQLPGRTAQEAWDHYQALLTDVDLIERGMVEAPGSWDHDDAAAGRGRGRGAGSGDERRRGVPWTEEEHRLFLEGLEKYGRGDWRNISRWSVKTRTPTQVASHAQKYFIRQASAGSRGDTKRKSIHDITTP >KQL17121 pep chromosome:Setaria_italica_v2.0:III:48410454:48410795:1 gene:SETIT_023835mg transcript:KQL17121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHPFLLHMPRHLFLKAPLRILQRNYLILQTDYHRGRGVVLIIQFSVLFSNSFQFLLKLNFGCFNVLNLTCFTMLFKRQKS >KQL14184 pep chromosome:Setaria_italica_v2.0:III:9400737:9402296:-1 gene:SETIT_021768mg transcript:KQL14184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGEEGAGFTTYAQVEEYFNSLALDGPSAQERIDCIVPMLVSLLPPPYVPAPEVESDSDDERFSLTSSDSEASDAGADHYAFAAAPGDGEDRISRLPDTLLADIIHRLPTKDAGRTAALSTHWRRVWAGTPLLVDDAHLLGAGGTSDVPVVRALSRCVAAHPGPVRGVRVTRVSFYAHEYALRRLVADLAGKDVQDLILFNRPWPLDMPLPDDILRCASLDRLYLGVWHFPKTAAAHPPAFPDLRELGLFHSLVPNQELEALLAHCPKLEVLSLVMSYNDPSRLRLASDSLKIAVDWMSSFNEVVVEDAPSLERLLFESIGNRRPVKIVDAPRLEVLGALDLDLHTLEIGGTVIKAGINVSASNMVPSLKILAVKVQFACNREAKMLPTLLKCFPRLETLHIMPVPSDSPDSVHDLRFWEHRGPCECLESHLETVIVHGVSLSEGHGVGFIRYIMRDGKALKTVAFVCSDEKVRGFRESAEGGSGEVAICMVAPRWSFRAAIDSSLDDPFYAVWAQA >KQL15600 pep chromosome:Setaria_italica_v2.0:III:21561503:21565568:-1 gene:SETIT_021948mg transcript:KQL15600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADDAFSPPSPPPAPSPLAAEDLADARLAPWQPSPPAPRRNDGGGGRVNPLFTILPVSALAIGLVLLVAVAVILVVTRRARPRKVDAGGSCNGDDKPGAPTSSCGSHNARCGYAAAGVGCIYAGRLGFSAAAPRSRGAQVFTYRELERATDGFSEANVVGRGASGAVFRGRLADGTPAAIKRLRLDHRRQGEREFRIEVDLLSRMESPYLVGLLGYCADQSHRLLVFEFMPNGSLRSHLHPPPRPATAAGGLPPRQPLDWQTRLGIALDCARALEFLHEHSTPAVIHRDFNCSNVLLDHNYRARVSDFGMAKVGSNKADGQVVTRVIGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPVDTQRPPGQHVLVSWALPRLTDRQKLAQMVDPALKGQFALKDLIQVAAIAAMCIQTKAEYRPLMTDVVQSLIPIAKPSMSCSSTPLRPALEHVIFMSSSQCANKTS >KQL17247 pep chromosome:Setaria_italica_v2.0:III:49215201:49217009:-1 gene:SETIT_024703mg transcript:KQL17247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLTASSPASTSSIHRGGGSRTPRSSARFAPRAAAAAATNSVLSAPPAPVPNAAAPAPARRGDSNHHRAGDEKGFNFLQRAAAAALDAFEAGVITNLLERPRALPRAADPAVQIAGNFAPVGEQPPVRALPVSGRIPPFINGVYARNGANPCFEPTAGHHLFDGDGMVHAVRIRNGAAESYACRFTETARLRQERALGRAVFPKAIGELHGHSGIARLALFYARGLCGLVDPSHGTGVANAGLVYFNGRLLAMSEDDLPYQVRVTGDGDLETVGRYDFDGQLGCAMIAHPKLDPASGELFALSYDVIKRPYLKYFYFRPDGTKSDDVEIPLDQPTMIHDFAITENFVVVPDHQVVFKLGEMFRGGSPVVLDGEKTSRFGVLPKYAGDASEMVWVDVPDCFCFHLWNAWEEPESDEVVVIGSCMTPADSIFNDSGDDRLESVLTEIRLNTRTGASTRRAVLPPASQVNLEVGMVNRNMLGRKTRYAYLAVAEPWPKVSGFAKVDLATGELTRFEYGEGRFGGEPCFVPTEGAPARGEDDGYILSLVRDERAGTSELLVVNAADMRLEATVQLPSRVPYGFHGTFIGAKELEAQA >KQL14087 pep chromosome:Setaria_italica_v2.0:III:8708426:8711060:-1 gene:SETIT_024570mg transcript:KQL14087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRGTPFLLSPATAHVATPSSAVSDVEAAGAGAHHRAGKAPPPADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGMGWGLGLAALTAVFAVTFYAYFLVSRVLDHCEARGRRHIRFRELAADVLGSGWVFYLVVSVQTAINAGVTTGSILLAADCLQIMYSNLAPHGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINLGSLILSFGYTILVSAACIRAGVSSSAPAKDYSLSSSKSEKTFNAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYAVIFFTFYFPAITGYWAFGNQVHSNVLQSLMPDEGPSLAPTWLLGLTVVLVLLQLLAIALVYSQVAYEIMEKNSADVARGRFSRRNLVPRVALRTAYVAACAFVAAMLPFFGDIVGVVGAVGFIPLDFVLPVVMYNMALAPPRRSPVYLANVAIMAVFTGVGVIGAVASVRKLVLDAHKFKLFSDNVVD >KQL12885 pep chromosome:Setaria_italica_v2.0:III:1460837:1463853:-1 gene:SETIT_021269mg transcript:KQL12885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSGKRKRRKERGDEAADDDASPSSASFDRHVFPVLLAAAQTTSQNRNSCSPAALAARLLRRVLSRPRQMLSPLPDSLVALLPRLLSTSCSSVAALSCEVLGAAALRSMEAGEVLASDSCIASGLARALGSRSQRVTEAACNSIMDLSASSVGRERLAGSPVLPRILYVFSQVESIREFVDSRTTKYPKRDTEANKIFYLITDTVVLLVNSCKLDKLQSIQKELVRTALSLLHKVWKKVQLLRSSADCNNGKNQLQSREYEICKAIFRLSIDLASPTCLEPDVVRESIFGQTESSFENFVLAYWERSPNLYRRKQNTRNDDPVFAALHSAFNLGAAPDAIIESFMEGLVSCPAIASDELDINSFLQEVHDSLGDAIMYRQDIRVLRTQDLSDQTSRGCVMEEHFFDDGTVFLDEDTFTKKCKHSFKNGYSIALRGMEFRFAKVAAIASALADLFGQPSVGANIYFSPARSQGLARHYDDHCVLVWQLLGCKKWMIWPNPKPLLPRLYEPFDPLDGTLEDNSGRVEVLHEGDMMYIPRGYVHEAHTDVGEPQINAHGGYSLHLTLAIEVEPPFEWEGFAHIALHCWVEKQKLGGSQFDKSSAKKETSLFAIVLHVAIRLLSSNDPIFRKACMVAAKLPSPSACATAHLKALRSSQRSTFDEIIRNIEKNCSFKEALESIKLAVQEKNDEHFQWMSWLRHLPQEGDADLRIDFCNILEALEELVEAFSSDPEQALVGFTGFKSRFCRCVVYEYEDACGSFETLLQMYRTTRNQYMRGMLALHGAHVS >KQL17105 pep chromosome:Setaria_italica_v2.0:III:48340183:48344111:1 gene:SETIT_025420mg transcript:KQL17105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFRMRGKEEYESGHAVVSSAGGSTSKTLPENSIDASKHRWVSHQIRDRSTCSA >KQL17104 pep chromosome:Setaria_italica_v2.0:III:48340177:48341319:1 gene:SETIT_025420mg transcript:KQL17104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFRMRGKEEYESGHAVVSSAGGSTSKTLPENSIDASKHRWVSHQVSSCRIHAAPGSDMIRFKSDIGVWIRSGQVSSVEADFMNTLLISCFTPLLLFQFCYAEGVFRILFCTRNLF >KQL17106 pep chromosome:Setaria_italica_v2.0:III:48343645:48344030:1 gene:SETIT_025420mg transcript:KQL17106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTCSMCMVRLRLEIQMWCRNSSIIQFSISILFLLLNFRLLLRADQRPIDMQCLISTSSLVAWWMHMYS >KQL14886 pep chromosome:Setaria_italica_v2.0:III:14601770:14604645:1 gene:SETIT_021917mg transcript:KQL14886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAPPTSLSLAARAATRAAAAAAATPLRRGGLAAGCQPARSLAFAAGDARLAVHVASRCRQASSARGTRAVATMAKKSVGDLTEADLEGKRVFVRADLNVPLDENQNITDDTRVRAAVPTIQYLIGKGAKVILSSHLGRPKGVTPKFSLAPIVPRLSELLGIQVQKADDVIGPEVEKLVSALPNGGVLLLENVRFYKEEEKNDPEFAQKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSSPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGASLVEEDKLELATSLLAKAKEKGVSLMLPTDVVIADKFAPDANSQIVPASAIPDGWMGLDIGPDSVASFNAALDTTQTVIWNGPMGVFEFDKFAVGTEAVAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVIALDEAVVTV >KQL14972 pep chromosome:Setaria_italica_v2.0:III:15260617:15265673:1 gene:SETIT_021466mg transcript:KQL14972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPGPAAAPAAPAGKKRWRCVAAAGAAVALAFFSVVVPLAVLLGLHARFPSMYLVDESVVSVYDGSEGGSWEPIPSKGNDSLQVNNTVKELVPPPSKERTETNGSQSDTVIGNISIRPAPPIRQATVLENSSLPYVTDTDLGSFEQGLPGDENGKSCQLQFGSYCLWSVEHKEVMKDFIVKRLKDQLFVARAYYPSIVKLDGMEKLSLEMKQNIQEHGHMLSEAISDADLPEFHGVNMAKMDQTIAAAKSCALECTNVEKKLTQLLDMTEDEALFHARQSTYLYRLGVQTLPKSLHCLSMRLTVDYFNASADMEHSDAKKFENPAFQHYIIFSTNLLASSMTINSSVTNSEESANMVFHLMTDAQNFYAFKNWFIRNSYKGATIRVLNFEDFQVKNSGNGTVEELSPSEEFRITSNGNALTLNTLTRTEYISMFGHSLFLLPELFSNLKRVIVLEDDTIVQKDLSLLWNLDLKGKVIGAVQFCRVKFRQLRAYLPDLPYDSGSCIWMSGVSIIDLDEWREHDVTGIHRRILQKLRHDTEATWRSAALPAGLLAFQDLIHPIEGQWVQFGLGHDYGLTHGAIKKAAILHYNGNMKPWLELGIRRYRKYWKRYLPRDDVFMMGCNVNP >KQL13918 pep chromosome:Setaria_italica_v2.0:III:7720815:7723275:1 gene:SETIT_023654mg transcript:KQL13918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSAFNAFKSRVPVAWSPRLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYNARRQAEEERRAPRPPLVISHHPPAPTPKPAAAAAEGAAATAQ >KQL13917 pep chromosome:Setaria_italica_v2.0:III:7720815:7723275:1 gene:SETIT_023654mg transcript:KQL13917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSAFNAFKSRVPVAWSPRLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLGMLTQVKRLVVVETEEMYNARRQAEEERRAPRPPLVISHHPPAPTPKPAAAAAEGAAATAQ >KQL13606 pep chromosome:Setaria_italica_v2.0:III:5534777:5535363:-1 gene:SETIT_025523mg transcript:KQL13606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRPAAADQAAAAARGAGRRHQQQQHQAQELVLRRLLPCNKGKACRFKRSSFSEEDDAASSAMLLLACVVCAPSL >KQL16574 pep chromosome:Setaria_italica_v2.0:III:42583364:42591381:-1 gene:SETIT_020968mg transcript:KQL16574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLSTDRRWGAAPARKSGMTVLGKVPKPINLPSVRSENNGLDPNLEIVPKGTLTWGSKAPSATPNAWGSSSIMSTKNDGSSSSSSHFNGRPSSGGGSRPSTAGSESLGSPNAWGPNSRPSSASGTFPSSHVPVATNRPRSAETRPGSSQLSRFADSAPDNMKASIRTIDRSGSSSHGHGFTLSTGDFPTLGSEVNSQRGHSSKGRPTSSSGKEAPQVEQVKSLTAGNAEVISSSNNHAVDIMKTEQHAHTGGAPAPVTSLPTEGLQPQPYPQNFHMPPPQFDSWRAPHGQPPEGMWHRGAAPGGPYRPVGPPGSFPVEPFGYYGQFPPNSEAAARQGSGHGGYHPKNGDVYHPMPPNSYIMNQPVIPVRTVYQGPVPYDGYYGPRANFNNANVRDPNFVGGPRQPGVLNHFPNQNDKFHPGHSQSRPDKHETVLREQLEPDKVNVFRRGQPGSLHDNPDRLGGSHEFERNVKPAPPLLPHPDGNRTDMNMRTDTRDTYGERNRVLTKSVPNQRGPVGTDHSSVSQNAHSHPRETGDGTVRKKFKEDSSVTLDQQPVIKKNTALIEKIESLNNKARNVDARNVPEPASSKEFKKQQKSTDISSTAVITGACTSDRVTSVSHISPVVQRLPNVPSEGAVVGPAHSQLTEFSKAGKLGDSINDRAHRRGDSLRNSHHGPSKDRLANKLASHGRGESSTADSLPVADLRNNIQHGQPAESASQLQPVILPDDMPASLDYESQRAKMKELAAERAKKLKAEEEERIKNQKAKALAKLEELNRRSSVLQKKSNDTKVETDDAHDDKQKAGPDMTAKLATSVAEPCDVTASDNLIVLPPPNDPKHTVVHVQPQTTALSHTSDGGKDPAAHSTSSSVRNTQINMNHVGQKSISQSHDTSVPKPKQGYRKRHVVSEEKNSSEKPIVSISTGNAKKNAEVPVDTATAVAPHDDPPAQNKKGARHLRNKKKVDDAPVTSKHPPTVFNEQNTMKVPSEPKTHTGGVIISSSIVPTEGTIVTVGSITVGGISLASLNQNRVKSPDGPHNTESSRPRPQQAKRSGKHQHGVRAVEKPLGNEGVLWAPIKPPVQNEQSDGAMRNAAAADPTAGKTSNDGENVTRTKRAEMERYVPKPMSKELQQQNLGHDKEAIDNSSAAKLDAAAEPKKLEDKKTSKGHGKSNPSWRRRNTDESSLVVPNSIEQADNSHESKEVQKSTNQNQPVEPGKQEDKQLKSKADAAAESNSARAQTVPLSVNAVKEHSAANRQRRQHVKAQRNEASSYSNESKDREGRTDFVYQSTTPAMDSNSSEHRSMPRSDVKSSGSVSHSRTHWKPKSNSHPQNSSDGNNAVEGQVGTHGARVEVNSFKGPDSSTCQDSRSSKPTERNDGIDEKFTHSEQENLTRVDGNQKGETEQEPVNPSPRRQGQHNTRYHRGGGAHRGRGGYDAGRPSHGTNAERRRGGSHLEYQPVGSHSKATDFQQNPSVEERTEVPPASGPAFRERGHNRGPRPAGHFVRRNPAPAPAADSYQDE >KQL14687 pep chromosome:Setaria_italica_v2.0:III:12945462:12945936:1 gene:SETIT_023988mg transcript:KQL14687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMLNTYYFQLAQAIGMDRLGTQTQEDMPAMYMVIWCFFRPRSENLTIGRWPTI >KQL14410 pep chromosome:Setaria_italica_v2.0:III:11062143:11066105:-1 gene:SETIT_022233mg transcript:KQL14410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVGSAVRAQPTWAPAAARGRRSRGQASAALAIASAPAARWVRCRAMERPPTVRMVTIPFADLKERDKDLGDKIEEGLGPQGLGIISIAGVPGFPELRKSLLRLAPRIANLPEDVKKQLEDSDSRYNFGWSHGKEKLESGKLDTFKGSFYANPILDVPTMDDAVVSRYPSYCRPNIWPTDSLPELEIAFKALGKLMMEVGLMLAHHCDRYVMQQGVGSYDGDSLEQTIARSRCHKGRLLYYFPRQLSKQTEEVESVSSWCGWHTDHGSLTGLTCGLFTKDSVEVPCPDSAAGLYIRTRDNQVVKVVFDEDQLAYQIGETAEILSRGYLCATPHCVQAPSSENASNVDRSTFALFMQPDWNEKLEFPSEIPYHQELIPPNETLTFGEYSKRLVNKYYQAAM >KQL14409 pep chromosome:Setaria_italica_v2.0:III:11061837:11066129:-1 gene:SETIT_022233mg transcript:KQL14409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVGSAVRAQPTWAPAAARGRRSRGQASAALAIASAPAARWVRCRAMERPPTVRMVTIPFADLKERDKDLGDKIEEGLGPQGLGIISIAGVPGFPELRKSLLRLAPRIANLPEDVKKQLEDSDSRYNFGWSHGKEKLESGKLDTFKGSFYANPILDVPTMDDAVVSRYPSYCRPNIWPTDSLPELEIALGKLMMEVGLMLAHHCDRYVMQQGVGSYDGDSLEQTIARSRCHKGRLLYYFPRQLSKQTEEVESVSSWCGWHTDHGSLTGLTCGLFTKDSVEVPCPDSAAGLYIRTRDNQVVKVVFDEDQLAYQIGETAEILSRGYLCATPHCVQAPSSENASNVDRSTFALFMQPDWNEKLEFPSEIPYHQELIPPNETLTFGEYSKRLVNKYYQAAM >KQL13383 pep chromosome:Setaria_italica_v2.0:III:4203882:4206958:1 gene:SETIT_022221mg transcript:KQL13383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWGAKISSDSPSRSAFSPSGATSKFASRNGVALSSSSSHASSASMLPTPRSEDEILESANVKAFTFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKRLNQEGYQGHKEWLAEVNYLGTLSDPYLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRSSHFQPLSWNLRMKIALGAAKGLAFLHSDKAKVIYRDFKTSNILLDANFNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPNGEHNLVEWARPYLRSKRRIFRILDPRLGGQYSLARAQKAAALALQCLSVESRQRPSMDEVVTALEQLQDTKEGGHHHLQKRPSSRSLDSNGVKVAMKGKPAPSPKPV >KQL13384 pep chromosome:Setaria_italica_v2.0:III:4204700:4206761:1 gene:SETIT_022221mg transcript:KQL13384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLVQPTLYDLSNGATSKFASRNGVALSSSSSHASSASMLPTPRSEDEILESANVKAFTFNELRTATRNFRPDSVLGEGGFGSVFKGWIDEKTLAPTRPGTGMVIAVKRLNQEGYQGHKEWLAEVNYLGTLSDPYLVKLVGYCLEDEQRLLVYEFMPRGSLENHLFRRSSHFQPLSWNLRMKIALGAAKGLAFLHSDKAKVIYRDFKTSNILLDANFNAKLSDFGLAKDGPTGDKSHVSTRVMGTHGYAAPEYLATGHLTTKSDVYSFGVVLLEMLSGRRALDKNRPNGEHNLVEWARPYLRSKRRIFRILDPRLGGQYSLARAQKAAALALQCLSVESRQRPSMDEVVTALEQLQDTKEGGHHHLQKRPSSRSLDSNGVKVAMKGKPAPSPKPV >KQL14140 pep chromosome:Setaria_italica_v2.0:III:9108847:9110449:-1 gene:SETIT_022059mg transcript:KQL14140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPALLLLLLIAVTVPLSDPAPVFPDEALPTKSGYLPIPPANASLFFAFYEATQPLTAPASTPLLLWLQGGPGCSSLLGNFFELGPYFVTPDAETLSPNPFAWNRRFGLLFIDSPLGTGFSAAPSPADIPTNQSVIAAHILAALQSFLALDPSFRARPFFLAGESYAGKYVPATGAHILDVNPTLPEARRVNLRGVAIGNGLTHPVAQVATHADSAYFTGLINARQKRELEELQAEAVALTRAERWREASDARGRVLSRLQNMTGLATLYDAAKQRPYQTEPVAAFLNRAEAKAALGALGDVAWEECSDAVGAAMHADVMRSVRPEAESLLRRTRVLLYQGVRDLMDGVVSTEAWLGGVGWHGLPAFLDADRAVWRTRGDGELAGYVQRSGALSHAVVYGAGHLVPADNGRAAQEMIEDWVLQAGPFGRRGGDGLRSAA >KQL16703 pep chromosome:Setaria_italica_v2.0:III:44231810:44235428:-1 gene:SETIT_023355mg transcript:KQL16703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALRRASGRVRPPPAPSPPARPPPPPPPRAPPPAAGGAPQDRVDTPTNGDVTVPTKNAHAVLEERDPSYDEMLKHMVGRITTKPGGKPEMGNASVVQRYDRPLPKVRTSKAEPGQSGSRQLPSGALNVQHIQEIIQLYQGKSSTHHGPMSVDDIASKFRVEASVVRNIVQSVSLPQDETPKKKEEY >KQL13408 pep chromosome:Setaria_italica_v2.0:III:4308763:4313699:-1 gene:SETIT_021438mg transcript:KQL13408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPPEPETEPEFAEVDPTGRYGRYTEVLGKGAFKTVYKAFDQLEGLEVAWNQIKVGDLLRNNDDLERLRSEVRLLKTLKHKNIIKFYNSWLDRKNNNINFITEVFTSGTLRQYRIKHKKVDIRALKKWSRQILSGLVYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLATILDNARSAHSIIGTPEFMAPELYDEEYNELVDIYAFGMCLLELVTFEYPYCECSNAAQIYKKVSDGEKPGSLTKIDDPEVKLFIEKCIAKAPERLSAKELLMDPFLLDVSDEKIFYPLHPNINASDTAGSPKPSTSYRYDRVTSSRGCHERTGSMSDSHPNDNYGHDTVDPHASIGRSITVESQRKDLNTIFLKLRIADSTGHAQNIHFPFDIEADTSISVATEMVVQLDLTDQDVTAIAEMIDAEIRAHIPDWAAEESVDNQGDEGAHSETHSSEDDEGTSELRNEIDASQNGFFQEQLPSGRKYWSDSPRRDGEISQSVVGEPQISDNIANGIPKRNNVDDIVSAKDKDYQSFGSSIHPVEGIFERISSSVDLSNSSVVDSISRGASASSSPHLLDDELEYNCDQHLVASVTERLIGLLAQQEKELSALQRKHKADIEDMLKSVPAEDREETLTRCRLKMDEKIRGDKL >KQL15594 pep chromosome:Setaria_italica_v2.0:III:21520391:21523432:-1 gene:SETIT_022501mg transcript:KQL15594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAASTKRDVLELMLLDESVEPTDLPLSLLEDITNNFSADKEIGRGGFAVVYKGMIRSGTIAVKKLSHTYMHEKKFHQEIECMMKVKHKNIVRFLGYCANTQGKMESFNGKLVMADVQQRLLCFEYVAKGSLRDQITDASSGLEWRQRYEIIKGICIGLNYLHRNRIVHSDLKPANILLDYDIVPKIADFGLSRCFDEKQSWAITSNIWGSLGYLPPEIHSGIITFKSDIYSLGVIIKEILTGQKVSPEDYDVIESWRDRLETSSQLEQLRVCFEIGTECTDYDPRRRPDAKQIVDRLVKAESMDRFTETDVVVQQAEMTSSGLQQGTPKILRRGNFGSKDAKSGELEHYI >KQL15593 pep chromosome:Setaria_italica_v2.0:III:21519311:21525164:-1 gene:SETIT_022501mg transcript:KQL15593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAASTKRDVLELMLLDESVEPTDLPLSLLEDITNNFSADKEIGRGGFAVVYKGMIRSGTIAVKKLSHTYMHEKKFHQEIECMMKVKHKNIVRFLGYCANTQGKMESFNGKLVMADVQQRLLCFEYVAKGSLRDQITDASSGLEWRQRYEIIKGICIGLNYLHRNRIVHSDLKPANILLDYDIVPKIADFGLSRCFDEKQSWAITSNIWGSLGYLPPEIHSGIITFKSDIYSLGVIIKEILTGQKVSPEDYDVIESWRDRLETSSQLEQLRVCFEIGTECTDYDPRRRPDAKQIVDRLVKAESMDRFTETDLFNRQK >KQL15595 pep chromosome:Setaria_italica_v2.0:III:21520391:21525164:-1 gene:SETIT_022501mg transcript:KQL15595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAASTKRDVLELMLLDESVEPTDLPLSLLEDITNNFSADKEIGRGGFAVVYKGMIRSGTIAVKKLSHTYMHEKKFHQEIECMMKVKHKNIVRFLGYCANTQGKMESFNGKLVMADVQQRLLCFEYVAKGSLRDQITDASSGLEWRQRYEIIKGICIGLNYLHRNRIVHSDLKPANILLDYDIVPKIADFGLSRCFDEKQSWAITSNIWGSLGYLPPEIHSGIITFKSDIYSLGVIIKEILTGQKVSPEDYDVIESWRDRLETSSQLEQLRVCFEIGTECTDYDPRRRPDAKQIVDRLVKAESMDRFTETDVVVQQAEMTSSGLQQGTPKILRRGNFGSKDAKSGELEHYI >KQL16080 pep chromosome:Setaria_italica_v2.0:III:28495313:28501724:1 gene:SETIT_022576mg transcript:KQL16080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEGLVPITRAYLARYYDKYPLPPLPDAATDLAARLRALSADLAAVAPITPDEELLKQEAAGIPAHKIDENMWKNREQMEEILFLLNTSRRPVALQQKSTPEDTEIVSKLDDIEAKLKDMLKKLEQFQLKNADNVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALASAGGSIRDRYALLWKQQMERRVQLAQLGSATGVYKTLVRYLVGVPQVLLDFIRQINDDNGPMEEQRERYGPALYTLTKLVLAIRLYLHVSLARYGQRKIDKDDIAVLQHAVIIYTEEFVKFTEFIGEVFVNAPFFISAEDAGAADARKSDEYKETIIPAGKTHEVHI >KQL14250 pep chromosome:Setaria_italica_v2.0:III:9872605:9876447:-1 gene:SETIT_022388mg transcript:KQL14250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDEAVATQKTGNTASSPKDQPAPSPYPDWSTMQAYYGHGVLPPTYFAPAIAPGHPPPYMWGPQPLMPHPFGTPYAAMYPHGAAYPHPLVPMVSNPLSVEQTKSANSKEKSSSKKLKEIDRTAVSAGSGNSKRTMSSSEDYSAEGSSDVNDQKVNKTSRKRSLVDGPGAETSEAAKLANTAILPHHCFPAPVIKPSATNVANSRAMGATISPSPGVIVPPHTGGPTDLSIKDERELKREKRKQSNRESARRSRLRKQVCCFHHLMHCCSHNIYLRHNCNTCSDNEAISLHIEVKPDLDYCPFDILI >KQL14247 pep chromosome:Setaria_italica_v2.0:III:9871788:9876447:-1 gene:SETIT_022388mg transcript:KQL14247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAYYGHGVLPPTYFAPAIAPGHPPPYMWGPQPLMPHPFGTPYAAMYPHGAAYPHPLVPMVSNPLSVEQTKSANSKEKSSSKKLKEIDRTAVSAGSGNSKRTMSSSEDYSAEGSSDVNDQKVNKTSRKRSLVDGPGAETSEAAKLANTAILPHHCFPAPVIKPSATNVANSRAMGATISPSPGVIVPPHTGGPTDLSIKDERELKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRSEIGRLTESSDKLRFENSALMVKLKDTAAPTPAEPSPNKAATSSSSPGAAAAENFLSMIDSTNAPGVSRHTEHGEPKLRQLLDSNPSTDVAAVS >KQL14249 pep chromosome:Setaria_italica_v2.0:III:9872133:9875298:-1 gene:SETIT_022388mg transcript:KQL14249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDEAVATQKTGNTASSPKDQPAPSPYPDWSTMQAYYGHGVLPPTYFAPAIAPGHPPPYMWGPQPLMPHPFGTPYAAMYPHGAAYPHPLVPMVSNPLSVEQTKSANSKEKSSSKKLKEIDRTAVSAGSGNSKRTMSSSEDYSAEGSSDVNDQKVNKTSRKRSLVDGPGAETSEAAKLANTAILPHHCFPAPVIKPSATNVANSRAMGATISPSPGVIVPPHTGGPTDLSIKDERELKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRSEIGRLTESSDKLRFENSALMVKLKDTAAPTPAEPSPNKAATSSSSPGAAAAENFLSMIDSTNAPGVSRHTEHGEPKLRQLLDSNPSTDVAAVS >KQL14248 pep chromosome:Setaria_italica_v2.0:III:9871788:9876447:-1 gene:SETIT_022388mg transcript:KQL14248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDEAVATQKTGNTASSPKDQPAPSPYPDWSTMQAYYGHGVLPPTYFAPAIAPGHPPPYMWGPQPLMPHPFGTPYAAMYPHGAAYPHPLVPMVSNPLSVEQTKSANSKEKSSSKKLKEIDRTAVSAGSGNSKRTMSSRLQCRGLQRCKRSEGAETSEAAKLANTAILPHHCFPAPVIKPSATNVANSRAMGATISPSPGVIVPPHTGGPTDLSIKDERELKREKRKQSNRESARRSRLRKQAETEELATQVESLTAENTSLRSEIGRLTESSDKLRFENSALMVKLKDTAAPTPAEPSPNKAATSSSSPGAAAAENFLSMIDSTNAPGVSRHTEHGEPKLRQLLDSNPSTDVAAVS >KQL15686 pep chromosome:Setaria_italica_v2.0:III:22573066:22574396:1 gene:SETIT_022781mg transcript:KQL15686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVAPAGSLHHQRAADDPVFPLIAAAQRTLVDADAGSGKPPASSAAAAIQFWHPEPSQAAAGADGSHGKKSLAMLDQGRGGAGSGSGSGAATCHDCGNQAKKGCAHNRCRTCCNSRGFECETHVRSTWVPAARRRERLQLAGGGAGASPPPTSPAAAKKPRLACQTTTATTNSRTSTSNATTPRSFDTSSSHQDASFKDNLPRQVRGPAVFRCVRVTSVDDGGGGCGGTGEVAYQAAVTINGHLFRGLLYDHGADTDGRAAAAVQLGTSDLHLGSASAAAPNLYSGASAPLILGGLGYGNTP >KQL16486 pep chromosome:Setaria_italica_v2.0:III:40901402:40903553:1 gene:SETIT_021374mg transcript:KQL16486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKPEPPILFFLLLLFLLASLAASQEFTYKGFAAGGSNPNLTLNGITEVWPDGILRLTNETSRLLGHAFYPSPLRFRDVNGTAVSFSTEFVFTVVPEFPQLGGHGFAFVVAPDPRLPGSLPSQYLGLFSAADDGNATNHVFAVEFDTVQDFEFEDINGNHVGVDLNSLISNKSASAEPVNLKAGDTVAWIDYDGAARLLNVSIANGTSPSGVVNKPAKPLISFPVDLSGVLRDQMYVGFSASTGVLASSHYVRGWSFRLGGGAAPRLDVSSLPALPRAKNGGKNRTSLILAAAFSAFVALVVLAGAGAYGAYRYKNRDIIEPWELDYGPHRFKYAELRRATRGFRERELLGSGGFGKVYRGVLPGSGETVAVKRVNHESRQGLREFVAEIASIGRLRHRNLVQLQGWCRRRGDLLLVYDYMPNGSLDRHLFGGDHLKGSRLTWPLRRRILRDVASALLYLHEGWESVVLHRDVKASNVLLDADMSARLGDFGLAKLHERGANPSTTRVVGTLGYLAPELTRTGKATTAIDVFAFGALVLEVVAGRRPIEPRAPPEELVLAEWAWERYAAGEAEKVADARLGGEYDAAEVAAAVKVGLWCSHPSPAMRPSMREVARYLEGGEAGEVPEPPPPPPLPPACSGEVGFDDFVHSYPSSSFERAAAAGGGWDAGTQTSVATFPFSPLSMRSTHVSM >KQL15279 pep chromosome:Setaria_italica_v2.0:III:18550907:18552341:1 gene:SETIT_023534mg transcript:KQL15279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATAPRLSVPKSGVSFQTAAPAARAPSFVGFTRQAPHASGLRLSSRFVASAAAVHMVKLVGPDGVEHEFEAPEDTYILEAAENAGVELPFSCRAGSCSTCAGKMSAGEVDQSEGSFLDDNQMAEGYLLTCISYPKADCVIHTHKEEELY >KQL17378 pep chromosome:Setaria_italica_v2.0:III:50119799:50124878:-1 gene:SETIT_021576mg transcript:KQL17378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAARRLLLADAARRRCLSTDAAALASASSQLPRGKRWDAVVIGGGHNGLVAAAYLARAGRSVAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLSLLRPAILRDLELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSRKDANAYPRYEEQLERFCKLMDFVIDSAPPELRQELHTSVVDRMKDRVDKSAFWGSLLRHVMQQGQKNMVEFFDLLLSPASKILNYWFESDVLKATLATDAVIGTMAGVNTPGSGYVLLHHVMGETGGQRGVWAYVQGGMGSVSSAISKAALEAGVQIVTNAEVSQVMVNETTGKVEGVALADGTEVHSPVVLSNATPYKTFVDLVPANVLPKDFLCAINTADYSSATTKINVAVDRLPQFHCCKDINPEGGPEHMGTIHIGSESMEEIELAYKEAAGGVSSKRPVIEMTIPSVLDKTISPPEILC >KQL17379 pep chromosome:Setaria_italica_v2.0:III:50120201:50124852:-1 gene:SETIT_021576mg transcript:KQL17379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAARRLLLADAARRRCLSTDAAALASASSQLPRGKRWDAVVIGGGHNGLVAAAYLARAGRSVAVLERRGVLGGAAVSESDLVPGFRFSRCSYLLSLLRPAILRDLELERHGLKLLPRSPSSFTPCLDGRYLLLGPDAELNHSEISKFSRKDANAYPRYEEQLERFCKLMDFVIDSAPPELRQELHTSVVDRMKDRVDKSAFWGSLLRHVMQQGQKNMVEFFDLLLSPASKILNYWFESDVLKATLATDAVIGTMAGVNTPGSGYVLLHHVMGETGGQRGVWAYVQGGMGSVSSAISKAALEAGVQIVTNAEVSQVMVNETTGKVEGVALADGTEVHSPVVLSNATPYKTFVDLVPANVLPKDFLCAINTADYSSATTKINVAVDRLPQFHCCKDINPEGGPEHMGTIHIGSESMEEIELAYKEAAGGVSSKRPVIEMTIPSVLDKTISPPGQHVINLFVQYTPYKLSEGSWQDSNVRKSFAERCFSLIDEYAPGFSSSVVGYDMLTPPDLEREFGLTGGNIFHGAMGLDSLFLMRPAKGWSDYRTPVKGLYLCGSGAHPGGGVMGAPGRNAASVVLEDLKKAK >KQL17361 pep chromosome:Setaria_italica_v2.0:III:49971832:49972636:-1 gene:SETIT_023433mg transcript:KQL17361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAASSSVLLLILAALAAGASAATFTITNRCGYTVWPAGIPVGGGTQLNPGETWTVDVPAGTSGRFWGRTGCSFNGGSGHCDSADCAGALSCTVSGQTPATLAEYSIGGSQDFYDISLVDGFNQPMDFSCSTGVNLHCPGAGCPDAYLFPKDDTKTHACAGNSNYQVTFCP >KQL15776 pep chromosome:Setaria_italica_v2.0:III:23655745:23658521:1 gene:SETIT_022339mg transcript:KQL15776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPIALVVGISAGGATLLAAAAVLLALWCAARRRARRNRNSDTGSSDPSTLVEWGKGGRSSLAPEPETEHQVARQFSLEELVQATKNFSDANIVGAGSFGLVYMGLLLDGTIVAIKRRVGAARQEFADEVRWLSEIRHRNVVTLIGYCQEGGLQMLVYEYLPNGSVCGHLYGKGSTARLEFKQRLSIAIGAAKGLNHLHSRDVPLIHKNFKTNNVLVDENFIAKVADAGLVRLLRGSDEAGPSHGFSSSVYQDPEVQSVAQFSESSDVYSFGVFLLELITGREAASLMPPESREYLAHWMEAHFSSNELIDPRLGGSFTSEGMKELVGLAFQCLNPSSRRRPRMRLVATELDRILETEMSMTTFMGDGTAIVTLGSQLFTS >KQL14727 pep chromosome:Setaria_italica_v2.0:III:13201973:13202899:1 gene:SETIT_025072mg transcript:KQL14727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGIRKQHAALAAQCVQEDDKGERGSKAQGKAFSFSPLSWLAKVTGKEKPCALAKHAPASSTWKSSAGTAGAPPFPSCLPKRTTPSPAMVTHGRPCSPPRRSPPDVAPRRLSVGNDSTDAVAVRRYRRRHCSLGGDSELPPLGRLIPFSLAGSPARAAASTSAAAPSDATDAARARGRRRRRSSSSRRLSVSGGRRSSSFSGRMPPPRVRVRSPRRAPGGLAESLAVVRRTRDPQRAFRESMVEMIASTRGGAGELERLLACYLSLNADEHHDCIVKVFRQVWFEYVSLLPRPDAAGGGRRRRARRS >KQL15721 pep chromosome:Setaria_italica_v2.0:III:23033178:23033525:1 gene:SETIT_025138mg transcript:KQL15721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPPCAAVGSATFAASRADQASGGVHTVLQTERPPHTASSCTRIYVRVCCIHQLRAGMV >KQL13240 pep chromosome:Setaria_italica_v2.0:III:3367868:3369292:1 gene:SETIT_024328mg transcript:KQL13240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGPCSADARHMFDGMPMGESGKNVAMSGGSIDALPDDILEHILGFLPAPEAVRTCVMARRWRNLWKHGTGLRITCIADDVEAMETVKEHQKFGDHLLRLRGHTPLETCYLRFSNFYDDDDALLNRWFWHVVTCRVRMFRLENICLDGFDLEGMPLISQHWMSLDLIGLCDFSSGPSPKHTEISSVSLKHLAITYCDLAIEFSALMPRGGRQHVGRPHRPVAGDADPALLQADSTFPCPDLQPSCLEQLMRERGTARAVAARALGAGVDELRS >KQL14317 pep chromosome:Setaria_italica_v2.0:III:10499413:10501509:-1 gene:SETIT_022836mg transcript:KQL14317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELGKFGEIENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGKDLRRKLYGRSASRKYHGRSRSRSPPPQRRGHRDRDDYHRDRDDYRGGGGGGRGRGSRHDRYDDGGGRGGRHDRYDDGGRRRHGSPPPRRGRSPVRESSEERRAKIEQWNREREAKQ >KQL14316 pep chromosome:Setaria_italica_v2.0:III:10499413:10502166:-1 gene:SETIT_022836mg transcript:KQL14316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELGKFGEIENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEYSPVTDFREATCRQFEENSCNRGGYCNFMHVKQIGKDLRRKLYGRSASRKYHGRSRSRSPPPQRRGHRDRDDYHRDRDDYRGGGGGGRGRGSRHDRYDDGGGRGGRHDRYDDGGRRRHGSPPPRRGRSPVRESSEERRAKIEQWNREREAKQ >KQL12762 pep chromosome:Setaria_italica_v2.0:III:754373:756008:1 gene:SETIT_023011mg transcript:KQL12762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIFQKQVAHAPQELNSPRSGGECKPRNPDEILRDFHATHPANAFSTSFGGGAALACVGGSCPASGGYQRMFCGLDDIYCVFLGRLDNLSGLIRQYGLCNKSTNEALLVIEAYRTLRDRGPYPADQVVKDLAGSFAFVVFDNKSGAVFAALSTDGGVPLYWGIAADGSVVICEDRDIVKRGCGKSYAPFPVGCMFHSEGGLKSFEHPMNRLKAMPRVDSEGVMCGATFKVDTFTKINSMPRVGSATNWAAWDEGMGI >KQL15931 pep chromosome:Setaria_italica_v2.0:III:25591565:25595304:1 gene:SETIT_021910mg transcript:KQL15931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSHAAAAAAAAAVATFPQSTRSRRLPGSSASPRPPPPPRSLRLDHAAPPLSAAAPDGLLAAAIEHLEREPASAAADEAPLAALSPRELQLVLVYFAQEGRDAYCALEVFDWLRRANRVDGETMELMAAIACGWIERLVGAGGDVADVAALLGEMDCVGLRPGFSLVEKAVALYWDRGEREHAVEFVRDVLRRGGLGAGGEHGSADGDGERGGPVGYLAWKMMMDGDYREAVRLVIEFKESGLKPEVYSYLIGLTALVKEQKEFSKALRKLNSSVKDGSISKLDAESMHSIEKYQSELLSDGVLLSNWAVEEGSREVLGLVHERLLSLYTCAGCGLEAEHQLWEMKLLGREPDTQLYDVVLAICASQGEAAAVRRLLAGVESTSAGRRKKSMSWLLRGYVKGGFILDASETLMQMLDMGIFPDYLDRAAVLTALRRNIQESGSLESYMKLCKRLSETDLIGPCIVYLYVRKFKLWMMHML >KQL15334 pep chromosome:Setaria_italica_v2.0:III:18989011:18989842:-1 gene:SETIT_025075mg transcript:KQL15334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVTVLLTVMVGLFGLTSAVLGFIAEAQSLTPSDIHVSGSECVYPANPAHALGVCAILLLAVAQITASAAGGCCGCCRPGGGASKSTRRVVGVIVAMLSWIMAMIAVLYYWKGVEWNAPGTHPAAIAGGNEECVYFKDGGFTRAAILSIVATSLAIKSCFLLRAPASTPEALEGADEPKADGQHPPEAGVAVGLPQWPAPGNGHAPYSHPAQG >KQL14023 pep chromosome:Setaria_italica_v2.0:III:8235925:8252425:-1 gene:SETIT_020952mg transcript:KQL14023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVWNYVVTAHKPTSVSHSCVGNFTSPNQLNLIIAKCTRIEIHLLTPQGLQPMLDVPIYGRIATIELFRPHNETQDFLFIATERYKFCVLQWDTEKSELLTRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLHGCVKPTIVVLYQDNKDARHVKTYEVALKDKDFVEGPWSQNNLDNGAGLLIPVPAPLGGVIIIGEETIVYCNANATFKAIPIKQSIIRAYGRVDPDGSRYLLGDNTGTLHLLVLTHERERVTGLKVEYLGETSIASSISYLDNGVVYVGSRFGDSQLVKLNLQADASGSFVEVLERYVNLGPIVDFCVVDLDRQGQGQVVTCSGAFKDGSLRVVRNGIGINEQASVELQGIKGLWSLKSSFNDPYDMYLVVSFISETRFLAMNMEDELEETEIEGFDAQTQTLFCQNVINDLLIQVTANSVRLVSCTSQELVDQWNAPAGFSVNVASANASQVLLATGGGHLVYLEIRDSKLVEVKHAQLEHEISCLDLNPIGENPQYSSLAAVGMWTDISVRIFSLPDLELIRKENLGGEIVPRSVLLCTLEGVSYLLCALGDGNLFSFLLNASTGELTDRKKVSLGTQPISLRTFSSKGTTHVFASSDRPTVIYSSNKKLLYSNVNLKEVNHMCPFNTAAFPDSLAIAKEGELSIGTIDDIQKLHIRTIPLNEQARRICHQEQSRTLAFCSFKYNQTSMEESETHYIRLLDHQTFEFLSTYPLDQYECGCSIISCSFADDNNVYYCVGTAYVLPEENEPTKGRILVFAVEDGRLQLIVEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLREDGSHELQSECGHHGHILALYTQTRGDFIVVGDLMKSISLLVYKHEESAIEERARDYNANWMTAVEMLDDEAYIGAENSYNLFTVRKNSDAATDDERARLEVVGEYHLGEFVNRFRHGSLVMRLPDSEIGQIPTVIFGTINGVIGIIASLPHDQYVFLEKLQSTLVKFIKGVGNLSHEQWRSFHNDKKTAEARNFLDGDLIESFLDLSRGKMEEVSKAMGVPVEELSKRVDTILDKENFTLEELLDEDEIIQECKALNTRLINFLRDKAQVEQLLRYIMEEVPEDSEKKRSFKFPFIACEIFTCEIDIILRTLVEDVELMDLLFSFVKPDHPHSTLLAGYFSKVVICLMLRKTAPLMNYVQEHPDIVVQLVDLIGITSIMEVLMRLIGADETIYSNFADTLQWLENTDVLEMIVDKFSSSDSPEVHANAAEILSAVTRCAPPALAAKICSPSFVGRLFRHALEESRPKSVLIVEFISVLLTIGSEIAEKELISQSAIKHCIDLFFQYPYNNFLHHHVENIIVSCLEVKRNQLIDHVLNDCDLVGKVLAAEKKSSLSVDSNGPTLPSEGKEPPRIGNIGHITRIANKLIQLGNSSSVIQNHLQENSEWAEWQTGVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSHERDDEDVYFDDESAEVVISSLRLGDDQDSSSLFTNSNWFTFDGDRGINDRLAASVPSPSPNSEETTLSMEETDEVLIGEAPGTESQLESVSLENGPVEETKELAEDAERNDEKLLCTEEENVSQEAEASERPMDVQDGQTDTQVGSAAEASSTEGAADEPCSSSEPGNALPGALPQSADTENQSADPSGCSEIAHESGSPAEVDDGKTVEVATTNE >KQL17413 pep chromosome:Setaria_italica_v2.0:III:50312554:50313665:-1 gene:SETIT_022848mg transcript:KQL17413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAYWLAEHPAIVGFRWSPTHLWFSTWAFLLGFLASYVALCLALDAFLGAALRRRKPLPLGPVPAAHTLLMAAVSAAIFAGTLLSAVAEIRDTRWSWRGRSPTTPFRWLLCFPPGTRSSGRVFFWSYAYYLSRYLHAARGVFAVLRRRRGASARVFAHAASVAMAFLWLEFSQSFQVLAILASTLAHAVAFGFRFWVGAGLPAARAARGAPVALACQLALLGCNLACHVGVVWMHFGAVGGGCSGIGAWVFNTLLNAALLWVFLHCYGKRGVCDDDGGATAARASKKEL >KQL13402 pep chromosome:Setaria_italica_v2.0:III:4278396:4281950:1 gene:SETIT_021506mg transcript:KQL13402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLISFKPPQCISSNSRSCHIAASPRPLIRRCPSVSRRRCLQRRTCRLRCGQSQQDCAPRTGHGLDEDDSRLSQNAGIFHPSIWGDFFLGYSNPAASSQQQTQMEERADKLKEEVAEIMASSTFSGLHERLHLIDTLERLCLDHLFEEEINAALPQMQTADVSDYDLGTVALWFCLLRKHGYKVSPDVLARFKDEDGGFLADNPADLLSLYNAAHMRTHGEIILDEAILFTRSCLETTLPNMEESLLAREIKYALEIPLPRRVRIYESKYYISAYEKDATVHETILQLAKLNSNIMQLHHQRELITITRWWKDLHIESRLPFARDRVVECYLWMLGVYFEPCYSRSRIIVTMIIAIVTLLDDIYDSYATPEECELLTKCIESWDAKAAHDLPECLKFALGKMLDSFQTIANMLHQEEKYRMSYLRYFIEDLVRSFSMEVKMLEEGYIPESVEEHLQVSIRTGGCPLLSCASFIGMNDVATKDCFNWVSSVPNMVKALSRILRLLDDLQSYEREQLIPHVASTIDSYMKEHNVSIEVAREKIHTLKEESWKDFNNEWLNSDNTIPKQLLERIFNLTRTMEFMYNQEDNFTNSTNLKDTIHSLFVEPYTKLI >KQL15929 pep chromosome:Setaria_italica_v2.0:III:25569867:25570337:-1 gene:SETIT_025534mg transcript:KQL15929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLVCRPETELTDMYVDLTFSNSSSDLYFRL >KQL16078 pep chromosome:Setaria_italica_v2.0:III:28393622:28395030:-1 gene:SETIT_023176mg transcript:KQL16078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVHPNAATAAGAVAAAAPSAAAAVEEEKGEAVSLTVWRRSLLFNGKGFTVFDCKGNLVYRVETYGGGSPREVVLMDADGHGLLTIRRKKLSLADEWLIYDGDAAASPSAAAPPKRFTARRHVSLRPTRSLAHLSPARRPSCSTDAGAAPSCRYDVEGSYAGRSLDVFASSVSGGEQRRRVATVCQKEAAVGPDVFCLVVQPGFEPALAMAVVILLDQMNAS >KQL14832 pep chromosome:Setaria_italica_v2.0:III:14194445:14200533:1 gene:SETIT_021118mg transcript:KQL14832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRATENRHGACMHVRTHSAATDRDILPISRHTKVFSKRAASYSMPRLYPSPRDRTSRGNQQSNEARRRPLTVSAVTASRHHTGNRRQAATRDPGPRATNERFASDLISDLTPPPFMRAVRLRRLRADRDRGSQVRRGIQLLATKKTSTFNEPTQIPGQIQSHPRSRLQAASWPPRSGCAHIKNSSKSQTLRDNWRAPNVSLTCGARVARPTCQSIAYDAWSAQASGVDRGGCFTTAVPVFPTGIHHPHTPAPRASTATISFQLTCGPVPVVPSGTTPHIPRSLATPPAAAPPPIAFLLPPSPAMSAAVGGAEFHGFRGAAAQLPRSRMLGRPLRVAPPAAAPAGGGASSGSIRAVSAPLKKGAAEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINDSAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVLSTVIKNMGSTLGACGDLNRNVLAPAAPYVRKDILFAQQTAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNSHGTNFPDSPEPIYGTQYLPRKFKIAVTVAGDNSVDILTNDIGVVVVSDDAGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRKYSRMKYLIDRWGIDKFRAEVEKYYGKKFESFRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVGGQAKKTLREIIEKYNLDVSITPNQNLILCGIDQAWREPITTALAQAGLLEPKDVDPLNLTAMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDSESVVVRITGCPNGCARPYMAELGFVGDGPKSYQIWLGGTPNQSTLAESFMNKVKLDDIEKVLEPLFSYWNSTRLEGESFGSFTNRTVSGYYSFCIYCSC >KQL14833 pep chromosome:Setaria_italica_v2.0:III:14194445:14201824:1 gene:SETIT_021118mg transcript:KQL14833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRATENRHGACMHVRTHSAATDRDILPISRHTKVFSKRAASYSMPRLYPSPRDRTSRGNQQSNEARRRPLTVSAVTASRHHTGNRRQAATRDPGPRATNERFASDLISDLTPPPFMRAVRLRRLRADRDRGSQVRRGIQLLATKKTSTFNEPTQIPGQIQSHPRSRLQAASWPPRSGCAHIKNSSKSQTLRDNWRAPNVSLTCGARVARPTCQSIAYDAWSAQASGVDRGGCFTTAVPVFPTGIHHPHTPAPRASTATISFQLTCGPVPVVPSGTTPHIPRSLATPPAAAPPPIAFLLPPSPAMSAAVGGAEFHGFRGAAAQLPRSRMLGRPLRVAPPAAAPAGGGASSGSIRAVSAPLKKGAAEVKRSKVEIIKEKSNFLRYPLNEELVSEAPNINDSAVQLIKFHGSYQQTDRDVRGQKNYSFMLRTKNPCGKVPNQLYLAMDTLADEFGIGTLRLTTRQTFQLHGVLKKNLKTVLSTVIKNMGSTLGACGDLNRNVLAPAAPYVRKDILFAQQTAENIAALLTPQSGAYYDLWVDGEKIMSAEEPPEVTKARNDNSHGTNFPDSPEPIYGTQYLPRKFKIAVTVAGDNSVDILTNDIGVVVVSDDAGEPVGFNIYVGGGMGRTHRVETTFPRLADPLGYVPKEDILYAIKAIVVTQRENGRRDDRKYSRMKYLIDRWGIDKFRAEVEKYYGKKFESFRPLPEWQFNSYLGWQEQGDGKLFYGVHVDNGRVGGQAKKTLREIIEKYNLDVSITPNQNLILCGIDQAWREPITTALAQAGLLEPKDVDPLNLTAMACPALPLCPLAQTEAERGILPILKRIRAVFDKVGIKDSESVVVRITGCPNGCARPYMAELGFVGDGPKSYQIWLGGTPNQSTLAESFMNKVKLDDIEKVLEPLFSYWNSTRLEGESFGSFTNRTGFNKLKEVVDKWVESPSAA >KQL14380 pep chromosome:Setaria_italica_v2.0:III:10877172:10880268:1 gene:SETIT_021156mg transcript:KQL14380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRANPSRRHPLLVLPLLLLLLIPAAAAAAGDTSLSTFSYSRHCPGLPAAPDVPAGDGHALPTLQLSTGYFSGGGPRIFAPEPSSQPRSFSLLPSSVVRTANASLLHVSATLSVSGGRRPGRYGLGGRNLFEDDVQARYFRPRLPRFTGRRGSIIFGLEGYYSTGSGELCMVGTGSGRAADGTPVHFPVVLRLGFPTPANLTRSFVTGRLESVDTITPIDPLSLVAYAEEGYAYAESASCPPPPAGRLDALQVFENRTFSCAYLNSMLKSPFRLHYQSGSESTASSLGLHQRYMYVNRMQCKDDGAVRAYVVFTNQTEASRYYFMLGEKAVVVDGFWDHDRSRLCFKGCHVVNSGPSPADLAVGECGIGMSFWFPAVWSLQQRSFAAGLVWNTSLKSGEAIAAGSSAITHNYRGNLAGLKYNYTKVDEAMKHYKKSGLNKDRKGKFPDSSSYRDLVFRFFVQKGGGSGYASPITLGSMLFDGNSLVAPDPFSHHVTGVMKQRLINVSYDIYYVGNWSLESFHRRHISAEGVYDTKTGSLCMIACRELNVSSDCEILVTAQFSSLDAKVAQHVKGTIRSLRKKTDPLFFETLYIASYGMFIDQVDESIWRMDLESTMALISMTLACIFIAVQLFHVNKVPEALPAMSITMLVVLALGYMIPLVLNFEALFKNSNKQTFPLAGGGWLEVNEVMVRIITMITFLLQLRLLQLAWSARSVDVSKAESWAAEKKVLWICLPLYITGGVITWVVHMRFNHSRRMLRQVVQIKPVGHAFWEDLVSYGGLILDGFLLPQVILNASSDSKVRALSPGFYIGSTMIRALPHVYDVFRRQHFVPSLRPSYMYASPHDDLFSLAWDIVIPCGAMLLSVVLFFQQRLGGAFFLCSKNRKTREYEMVSTVSS >KQL13153 pep chromosome:Setaria_italica_v2.0:III:2863665:2865265:1 gene:SETIT_024536mg transcript:KQL13153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLSEDGIKVTGKLDKHAAAIVIVLSFLLLGCEANDPPSSSTVKHKKMEATVHVRKLLNLTAVEAVTAENRWKAAAPSECSEDAVVVSQSEAGERPGGMPCYSVTITNTCFGSGKLVDPSDFRRVAAGNCIVRGGGGAMQPSETISFEYSTQFQYDLGVASVSCSCG >KQL16129 pep chromosome:Setaria_italica_v2.0:III:30475094:30475386:1 gene:SETIT_025128mg transcript:KQL16129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNTLKIQRTCFLKHSSILEKLTLQLFSKIYTSMTDLKMIGRSSSMDRSVAISENLKEIEITCEVVDEEVHKVLKFLCSII >KQL13838 pep chromosome:Setaria_italica_v2.0:III:7060512:7065438:-1 gene:SETIT_020981mg transcript:KQL13838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLEQYQVMQGLEKQHKLLKRKLPAILDVITDAEEQAAAKREGAKAWLEEVRQVAYQANDVLDEFKYEALRRKAREEGHYKELGMDVIKLFPSHNRFVFRIKMGNKLRIILQELDVLIAEMNCFGFKFRQGPPVPVNHLRENSSKIIDPVDIAGRSRAGDKEKIIKSLLDKASNVNLTVFPIVGMGGMGKTTLAQLVYNDPEIQKHFQLQLWVCVSDNFDVDTLAKRIVKEAKKKFETKEAKKNGCQANGSSALDKLQNVVSGKRYLLVLDDVWNRDEAHKWEKLKSYLHGGSGSSVLTTTRDQEVAKLMMGTAKGAYELGRLGENFIEEIIKSRAFSSKQEKDWPRELVNMVGDVAKRCAGSPLAATALGSVLSTMTTAREWKDVLRRKKICDDRNGILPVLKLSYNCLPSHMRQCFAFCAMFPKDYEIDVEMLIQLWMANDFIPVLQGEEHPEISGKNIFIELASRSFFQDVKGIPFEFTDIEVSRVTCKIHHLMHDVALDSMGKECAALATEQSKSGDFSHSTRHLLLSETFLNAYQEKRTKDKDKDKDKDNDVIQTLICEADVFVDLQLLSKYISPRALKIKINRASFLGPRCLHHLRYLDLSGSYDIKSLPKYISILYHLQTLNLSDCRNLERLPKGMKYMTALRHLYTHGCEKLKTMPADLRHLTSLQTLTCFVAGAGSGCSKVGELGWLDDLGGQLELRQLENVKEADANEAKLGNKKKLARLTLRWSDRDKEAHNIDKEVLEGLEPHDGLKVLRIYCCSIDTCPTWMNKLQGIVELELSDCKRLEKLPAFWQLPALQILCLRGLENIRYLCSSDTAFTFQKLKNLAIFKLPNFEIWWGTGEVRGERPIFPLLEKLLIKECKSLAALPKASVIKETFEGVKTEYRSAFPALKEMELENLEMFQRWEDGEGNPGEELAFHRLEKLIIRSCPELTTLPEAPKLSVLEVEGASQQISSLHAASRYITSLSILELCGDDLETESVAEQNSGELVHGKEKWEHKSPLTDMTLCRYNILFSHSSALPLRTCFAQLVELGIWWCDALVYWPENVFQALVSLRVLYIWGCNKLTGRTPETSEQSAPERSGLLPCLEFLSLKECPSLVEVPKLPASLKVLEIYDCRMLGSIIFSQQEDTSSLIPGSSSEVRASTAVLKLSSSTSHPFLPCLKLLGVEIMCCGGLSDVANLPPSIKFLSIRDCDNLRSLSGQLDALQTLHIVKCSKLKSLESCLGRLPSLEDLGLWNCRSLQSLPNGRQAYSYLRSLLIKSCPSIKLLPPSLHQRLDQLEEKILDARYEGWEYSIRRRLACLK >KQL15253 pep chromosome:Setaria_italica_v2.0:III:18317332:18317797:1 gene:SETIT_023633mg transcript:KQL15253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQEGASKIRHGSRARGRRRTRCAFGPSGGVVCSGKKLQVLDGSRLSGIPAVAAHVRDATWRLERGGMHGRTSAPARRGSGSAGTCHAIWCRTKWQVRSVWVRLNPSTGLTSLRDGSRSYGAGAHRFST >KQL17319 pep chromosome:Setaria_italica_v2.0:III:49751393:49752858:1 gene:SETIT_022200mg transcript:KQL17319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPKRSAAAAPSLPDDALVEILSRVPAKSLCRFKCVSRAWRDLIADRLRCNRLPQTLEGFFYVFDGDDETQGGSSDAGGVSPDRAVHGRFINTLGKPSPLASFSFLGKVPGIEEFGLLRSCNGLLLFGHRRAGDSYDSLGYIVCNPATEQWVAVPSSGWGPDYNDESEDSDSDTETSCTFTYLVFDPAASSHFQLVQFWVDDTSRVEAVHTYSSETGVWCERLSTWGDDFVAFFAGSAFVGGMLHFSNTSYFGWEIDQELIVAVDGEGGICRVISGPQKLCDVAFVGQSQGRLHYMNQHGDSTGDMTGLSIWVLQDYDTEEWVLKHSVTFMQLFRRMSCRVQYDYSVIAIHPDRNLIFFFQHWNLELKSYDMDSEEVCTLHTLGVCPHNILPYVPYFAESSALAGKH >KQL16541 pep chromosome:Setaria_italica_v2.0:III:42207593:42208918:1 gene:SETIT_024664mg transcript:KQL16541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLIWLALFCASLSSFATSAAGLRLELTHVDAKDNCTAEERLRRATERTHRRLASMGGVGVAAPVRWSETQYVAEYLIGDPPQRAEAIVDTGSDLVWTQCSACRAAGCFAQNLPYYDPSRSRTAQPAACGDAACALGSVITQCTRAGEACAVAVRYGAGDIVGFLRTETFAFGSEEVSLAFGCVVATELSPGSLDGASGIIGLGRGALSLVSQLGDTRFSYCLTPYLRDAAKPSHLFVGASADLSGGAPVTSVPFARNPNEYPFSTFYYLPLVGMSVGTARLAIAAAAFELRRVAAGVWAGGSFIDSGSPFTTLVDAAYQALRAELVRQLGASLVQPRTGGLDLCVARGDAGRVVPPLVLHFGGGGGGGDVVVPPENYWGPVDGATECMLVFNSAAGPDSTLPLNETTIIGNYMQQDVHLLYDLDNGVLSFQTADCSSM >KQL14813 pep chromosome:Setaria_italica_v2.0:III:14002263:14003664:-1 gene:SETIT_023624mg transcript:KQL14813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGAEAAPAPAPPPVGPAEGSVIAIHSLDEWSIQIEEAISKNKLVVIDFTASWCPPCRTIAPIFAEMAKKNSNVVFLKVDVDEMKVVELLSFVAD >KQL14812 pep chromosome:Setaria_italica_v2.0:III:14001705:14003761:-1 gene:SETIT_023624mg transcript:KQL14812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGAEAAPAPAPPPVGPAEGSVIAIHSLDEWSIQIEEAISKNKLVVIDFTASWCPPCRTIAPIFAEMAKKNSNVVFLKVDVDEMKAIAEQFSVEAMPTFLFMKEGEVKDRVVGAAKDELAVKLELHMAQ >KQL16559 pep chromosome:Setaria_italica_v2.0:III:42402493:42406823:1 gene:SETIT_022289mg transcript:KQL16559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCSAPPRAPASAMAARWSRRCTCSATRWWTPATTTSCRRRRPGPCPRTASTSRAPSSGGPGASPTATTSPTSQHLGFKMSPPAYLSLTPLLSFDLLRGRGGANYASGGSGILDITGNGSVIPLSKQVQMFAETKATIIRTGLVDRETLDDLLTRSLFLISTGGNDFDAFDNGVPQSQAPEFIAGMVAVYLKHIKELYELGARRLALLDMLPVGCLPSQRAITANGECDTNGNSMSQMFNALLRTEIAKAVVTSMPSLKYSIASLYNTYTDMIANPALAGFHEVKRGCCGSGKFNGEVPCTVISNLCANRDEYLFWDMVHGTQAAYRWAVLAFFYGPTRDAEPINLAQLMQEPLSMVEAPYSST >KQL16560 pep chromosome:Setaria_italica_v2.0:III:42402493:42406823:1 gene:SETIT_022289mg transcript:KQL16560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAGALLVLAAAAAVLCSSATGDDVFGAAQSTGIGHGRPVVPAVYVFGDSLVDAGNNDFLPPPAPRAVPPNGVDLPRTVLRRTGRFTNGYNLADITAQHLGFKMSPPAYLSLTPLLSFDLLRGRGGANYASGGSGILDITGNGSVIPLSKQVQMFAETKATIIRTGLVDRETLDDLLTRSLFLISTGGNDFDAFDNGVPQSQAPEFIAGMVAVYLKHIKELYELGARRLALLDMLPVGCLPSQRAITANGECDTNGNSMSQMFNALLRTEIAKAVVTSMPSLKYSIASLYNTYTDMIANPALAGFHEVKRGCCGSGKFNGEVPCTVISNLCANRDEYLFWDMVHGTQAAYRWAVLAFFYGPTRDAEPINLAQLMQEPLSMVEAPYSST >KQL14526 pep chromosome:Setaria_italica_v2.0:III:11776478:11780212:1 gene:SETIT_022312mg transcript:KQL14526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNAAASQSALLCSPQSLSGGPASLGEEEEAMAAGGNGAAGGGGTDTKAAFAQIYNTLKEELLADPAFEFTAESHQWIDRMIDYTVLGGKCNRGLSVVDSYKLLKGADVLGEEEMFHACTLGWCIEWLQAFFLVLDDIMDGSHTRRGQPCWFRVPQVGFIAVNDGVILRNHICRILKRHFKGKPYYADLVDLFNEVEFKTASGQLLDLITTHEGEKDLTKYNITVHRRIVQYKTAYYSFYLPVACALLLSGENLENYGTVENILVEMGTYFQVQDDYLDCYGDPEFIGKIGTDIEDYKCSWLVVQALERADESQKRILFENYGKKDPACVAKVKNLYIELNLEAVFQEYESESYKKLIADIEAQPSIAVQNVLKSFLHKIYKRQK >KQL14756 pep chromosome:Setaria_italica_v2.0:III:13673354:13675106:-1 gene:SETIT_022225mg transcript:KQL14756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSKIYFVMEYVRGGELFARVAKGRLKEDIARRYFQQLISAVGFCHARGVFHRDLKPENLLVDERGDLKVSDFGLSAVADQFHPDGLLHTFCGTPSYVAPEVLARRGYDGAKADIWSCGVILFVLMAGYLPFHDQNLMAMYRKIYRGEFRCPRWFSKDLTSLLNRLLDTNPETRITVAQIMESRWFQKGFRPVRFYVEDDQVHSLADDENEVPELGPSQPPPPPPPPPPQQEDEGDDSGWESDSSVASCPATLSSEERRRPLGSLTRPVSLNAFDIISFSRGFNLSGLFEERGNEVRFVSAHPMQTIITKLEEIAKVKSFAIRRKDWRVSLEGTRESEKGPLTIGAEVFELTPSLVVVEVKMKAGDREEYEDFCERELKPGMQHLVHHTASVPDIPSDTE >KQL15903 pep chromosome:Setaria_italica_v2.0:III:25181515:25186963:-1 gene:SETIT_024282mg transcript:KQL15903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVEEQMMVKAIREESPWEALPKRIQAVLVSKEEWHRRIVDYCIRKRLPWGSCFARKVCKEGEYYEDMMRYLRKNLALYPYHLADFMCRVMRISPFRYYCDILFEAMKNEQPYDSIPNFSAADALRITGVGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPAEPADLAIEAWWGVRFVNFTLEEFKRLSEDETSAIDKICKEEVNSYVLFDPEVIRGLYRRGMVYFDVPVYPDDRFRVSRLEGFVSNKDQSYEDPIEELLYAVFVVSSENATVAELATTLQADLYQLQAAASFACRLGWAVKLLDTDSVLRDSSTSVLSSNILSEDDEGSRTSIASEKSGHELLSSDSDGHKKNSGTAFVGFIVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGASCIAELCNDLASLEGKRFEGVLQEFANHAFSLRCFLECLLSGGNSPNETSDKTSEVNNQECCMQDDLDTRSTMENKENDIVDNVVDKNESSIQISESSNGCQHDELLQQDQQMGDSDAADRNASSPSTIVSESKESILKNDFDNIHTSKLVGSTGNSPSSKSKRSYQVNILRCESLASLAPSTLERLLARDYDMIVSMIPLPSSSVLPCPSGLVHFGPPSYSSMTPWMKLALYTSGSSGPISVVLMKGQRLRLLPEPLASCEKALIWSWDQSMVGGLGGQFEGNLVKGSLLLHYLNSMTKYSAVIVQPLSIDDLDETGNIITIDVPLPLKNADGSIPSTIVGTDLPKEQVSSLILLLEDLSNKVELSTVGYLRLVRLHRVSETLVNESYEWIPLSIEFGIPLFSPKLCEKICERVAESQMLQKDDITEHYETMQNVRKSLKELCTEYQATGPTARLFNQRGGSKNNSPRKLVNIVSGRWSPFHDPSSPTHSGSPSEKDRTKPARRQRCFTEVLSFDGSILRSYALTPVYEAATRPVSEEQPSTPAARSDHDDANTKDVALPGVNLIFDGAELHPFDIVACLQARQPLSLIAEASATSSLAMK >KQL17434 pep chromosome:Setaria_italica_v2.0:III:50390965:50394461:-1 gene:SETIT_021747mg transcript:KQL17434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGGIISPSIGRLDKLQRIALHQNSLHGPIPAEIKNCTELRAIYLRANYLQGGIPSEIGELVHLTILDLSSNLLRGTIPASIGSLTHLRFLNLSTNFFSGEIPNVGVLGTFKSSSFVGNLELCGLPIQRACRGTLGFPAVLPHSDPLSSAGVSPINNNKTSHFLNGIVIGSMSTLALALIAVLGFLWICLLSRKKSIGGNYVKMDKQSVPDGAKLVTYQWNLPYSTSEIIRRLELLDEEDVVGSGGFGTVYKMVMDDGTSFAVKRIDLSRESRDRTFEKELEILGSIRHINLVNLRGYCRLPTAKLLIYDFVELGSLDCYLHGDEQEDQPLNWNARMKIALGSARGLAYLHHDCSPGIVHRDIKASNILLDRSLEPRVSDFGLARLLVDNGAHVTTVVAGTFGYLAPEYLQNGHATEKSDVYSFGVLLLELVTGKRPTDACFIKKGLNIVGWLNTLTGEHRLEDIIDERCGDVEVEAVEAILDIAAMCTDADPGQRPSMSAVLKMLEEEILSPCMSELCYEQHLEL >KQL15970 pep chromosome:Setaria_italica_v2.0:III:26202212:26204431:-1 gene:SETIT_021956mg transcript:KQL15970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTGSLEHGGFTFTPPPFITSFTELLSGSGDMLGAESQDRSPRGLFHRGARGGVPKFKSAQPPSLPISPPPMSPSSYFAIPAGLSPAELLDSPVLLNSCSNLLASPTTGAIPAQRFDWKQAAELIASQSQQDETRAPGGFNDFSFHTAGSNAMPTQTASFPSFKDQQVEAMNKQIVVAASNKASSGGNNCGGNSNTKLEDGYNWRKYGQKQVKGSENPRSYYKCTYNSCSMKKKVERSLADGRITQIVYKGAHNHPKPLSTRRNSSGAAAAEDQALSGATPENSSVTFGDDEADNGSHRSDADEPDAKRWKAEDGENEGSSGSGGKPVREPRLVVQTLSDIDILDDGFRWRKYGQKVVKGNPNPRSYYKCTTPGCPVRKHVERASHDNRAVITTYEGKHNHDVPVGRGAASRAAAPPAAAPPAASAGAMILAHQPYTLEMLGSGYGGGYGAAKDEPRDDLFVDSLLC >KQL13039 pep chromosome:Setaria_italica_v2.0:III:2264112:2270434:1 gene:SETIT_021562mg transcript:KQL13039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGSRAAPAAAMPPWHALPDEVWEHAFSFLPADSDRGAAAAACRSWLRAERRSRRRLAVANCYAASPQDAVERFPSVRAVEVKGKPHFADFGLVPPGWGAAAAPWVAAAADGWPLLEELSFKRMVVTDDCLEMIAASFRNFQVLRLVSCEGFSTAGLAAIAAGCRNLRELDLQENEIEDCSIHWLSLFPESFTSLVTLNFSCLEGDVNITVLERLVTRCRNLKTLKLNNSIPLDKLASLLRKAPQIVELGTGRFSADYHLDLFSKLEAAFAGCKNLRRLSGAWDAVPEYLPAFYCVCEGLTSLNLSYATVRGPELIKFVSRCKNLQLLWVMDLIEDHGLAAVASSCNKLQELRVFPSSPFDAAEQVSLTERGLVDVSAGCPMLESVLYFCRRMTNEALITIAKNRPNFTCFRLCIIEPHTPDYITHQPLDAGFSAIVESCKGLRRLSVSGLLTDRVFKSIGAHADRLEMLSIAFAGNSDLGLHYILSGCKSLKKLEIRDCPFGDKPLLANAAKLETMRSLWMSSCSLTLGACRQLARKMPRLSVEVMNDPRRACPLDSLTDESPAETMYVYRTIAGPRSDTPTWVQIV >KQL15178 pep chromosome:Setaria_italica_v2.0:III:17640885:17644397:1 gene:SETIT_022143mg transcript:KQL15178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMRSVLAIAILATTTAAVLFLGSEAQEVKKDHRTYRISGSAGDVLEDDPVGRLKVYVYDLPAKYNTKLVEDDPRCLTHMFATEVFVHRSLLSSAVRTLDPEEADWFYAPVYTTCDLTASGHPMPFDSPRMMRAAIRLISRRWPYWNRTEGADHFFVTPHDFGACFHFKEAKAVARGILPVLRRATLVQTFGQSGHACMKEEGGGSITVPPYAPPGRMEARLLPPGTPRSVFAYFRGLFYDAGNDPGGGYYARGARAAVWENFRSSPLFDISAERPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVVIADGIVLPFADAIPWAEIGVFVAEEDVPRLDTILTSIPPEEVLRKQRFLASPAVKRAVQFPQPSQPGDAFHQILNGLARKLPHGEGVFLSPGQTVLNWTDGPPGDLKPW >KQL13648 pep chromosome:Setaria_italica_v2.0:III:5778304:5783126:-1 gene:SETIT_021783mg transcript:KQL13648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSPAAAAAAVSGPHHGRLLLPSSPRRLPRPRRRPRARLRLAACHADTLLPSSSSPAEARAPPAPAVGPSAESATDCFVDWLRASGLPGAKVDIRERPVPCLREGKDRPLRYVAAGDALQAGDVAFEVPMSFVVTLERVLGDESVAELLTNNKLSELACLALYLMYEKKQGKDSIWYPYIKELDRHRGRGQLAVESPLLWTESELDYLTGSPLKDEVIARDEAVRREYNELDTLWFMAGSLFQQYPFDIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLTYKSNCKAMLTADGDSVRLVVDRPYKAGEPIIVWCGPQTNSRLVLNYGFVDEDNPFDRISIEASLNTEDPQFQEKRMVAQRNGKLAIQNFNVYVGKEKETVAEMLPYLRLGYISDPDEMRSILSSDGETCPVSPCTERAVLDQLVGYLESRLAGYPTTLDQDEAMLADGSLEPKKEVATRLVRLEKKMLHACLQAAKEFINDLPDHTVSPCPAPYAPELK >KQL17037 pep chromosome:Setaria_italica_v2.0:III:47782166:47782283:-1 gene:SETIT_025320mg transcript:KQL17037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRRRPPPPSPAPAPSPASRSRDVSSPPSPYAALAPGP >KQL16437 pep chromosome:Setaria_italica_v2.0:III:39971245:39971645:1 gene:SETIT_023992mg transcript:KQL16437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHWRFLTMFSTISYLMFLSIYHLLVRPLLMGFGIIDYVHDPEYSVHRMYFKSL >KQL15661 pep chromosome:Setaria_italica_v2.0:III:22293070:22294131:-1 gene:SETIT_025362mg transcript:KQL15661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDGWGGVPADAFVAILLRIPPAPRRRLRLVCRHWRDTIDERTPEPRTGAKVLVFSMGWVGNLSRAHVLDELTRGGRGRELDLWHDADTRMIGTCNGLLCCFRRNREDLAVTNPVTGETIAVDLPPTWWYCRAQPTSYSFGYHPATGQYKIVHVPCVESDEIGAVLVFTLGGGGCSWGWRDAPAPAGSSCHLRFGIVTIDGVSYWVTRDAERIMSLDLGDERVAVVKSPSMPVPLPMVIYPCHLTNVRGRVGFAMCRPDNEFGRSKTEVWVLEGGQEEERAWAKCYTLLAHGVYSRQEIALPHVTRGRCGRAP >KQL15070 pep chromosome:Setaria_italica_v2.0:III:16302188:16305254:1 gene:SETIT_022223mg transcript:KQL15070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIHWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDNAFDVVHCARCRVHWYANGGKPLLELNRVLRPGGYFIWSATPVYRQEKRDQDDWNAMVTLTKSICWRTVVKSQVLNGIAVVIYQKPTSNSCYAERKSNEPPLCSKTDGSRFPWYAPLDSCIFTTSASSLDERTNWPVPWSERLNVRYASVPGDSASNKEAFEADREYWKQVVSEVYFSDFPLNWSSIRNIMDMNAGFGGFAAALIDQPLWVMNIVPIDQPDTLPVIFNRGLIGAYHDWCESFNTYPRTYDLLHMSNLVASLTNRCDIIEVAAEIDRILRPGRWFVLKDTIEMIKRTRPVLKSLHYETVVVKQQFLVAKKSFWRPGKPASRSG >KQL15071 pep chromosome:Setaria_italica_v2.0:III:16302808:16304709:1 gene:SETIT_022223mg transcript:KQL15071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIHWGTHTRTVLDVGCGVASFGGYLLDRNVITMSFAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDNAFDVVHCARCRVHWYANGGKPLLELNRVLRPGGYFIWSATPVYRQEKRDQDDWNAMVTLTKSICWRTVVKSQVLNGIAVVIYQKPTSNSCYAERKSNEPPLCSKTDGSRFPWYAPLDSCIFTTSASSLDERTNWPVPWSERLNVRYASVPGDSASNKEAFEADREYWKQVVSEVYFSDFPLNWSSIRNIMDMNAGFGGFAAALIDQPLWVMNIVPIDQPDTLPVIFNRGLIGAYHDWCESFNTYPRTYDLLHMSNLVASLTNR >KQL16260 pep chromosome:Setaria_italica_v2.0:III:35351524:35355111:1 gene:SETIT_025614mg transcript:KQL16260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYDVNSPLFRSFLSQKGGASDKRKMEEQKPKEQKPKANENKPVMNE >KQL14790 pep chromosome:Setaria_italica_v2.0:III:13885811:13887436:1 gene:SETIT_024060mg transcript:KQL14790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILNHADTTTANGTSPAAAAAAAVVAPATPSSLVTPPLDADEFRRQGRLVVDFIADYYTRINEYPVRPAVAPGFLARQLPETAPARPERDALAAALRDVRDLILPGVTHWQSPRHFAHFAATASNVGALGEALAAGLNINPFTWAASPAATELEVVVTDWLGKALHLPERLLFSGGGGGTLLGTSCEAMLCTIVAARDRKLAEIGEERIGDLVVYFSDQTHFSFQKAARIAGIRRGNCREIPTSRESGFTLSPKALRAAVRADEASGRVPLFLCATVGTTPTAAIDPLRELCAAVSGHGVWVHVDAAYAGAACVCPEFRHAIAGAEAVDSFSTNPHKWLLANMDCCALWVTRPAALVAALGTDHDVILKDPSAAAQDGHDVVVDYKDWQVALSRRFRALKLWLVLRCHGVEGLRGFVRAHVRMAAAFEAMVRADTRFEVPVPRQFALVCFRLRPASAGEKRTRGGEVVEPNELNRRLLEAVNATGRAYISSAVVGGVYVLRCAIGNSLTEERHVREAWSVVQEQANVVLAAATATCPDERA >KQL14464 pep chromosome:Setaria_italica_v2.0:III:11381697:11394465:-1 gene:SETIT_021010mg transcript:KQL14464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEGPIAAAIPRSPPPPDAGGAGGSAAQAPVLIFVYFHKAIRAELERMHAAAVRLATTTGRAGGGEGEGVAALEARCRFLFAVYRHHCHAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFALLQLDVQNDDAIRRELASCTGAIQTSVTQHMSKEEEQVFPLLVKKFSHEEQANLVWQFLCSFPVNMMADFLPWISASVSPDDNQDILDCLRKIVPEEKLLQEIVFSWIGGKSFRTIAQDFSDPYLKSSFTCECSSDQADKHTCSLEHSKIGKRKSTESSQLATHPIDEILYWHNAIRRELSDIAEEAKRIQQSGDFSDIADFNTRLQFIADVCIFHSIAEDQVIFPAVDGELSFVQEHAEEERRFNKFRSLIEQIQISGARSTVVDFYCELCSQADEIMQKIESHFSDEEAKVLPKARINFSPEKQRKLLYKSLCVMPLKLLEHVLPWFVAKLNDAEAASFLQNMQLAAPSSETSLVTLLSGWACKGRLEDTSNPGKFICLTSGAVSYALDGNDLKTCQSFCPCYASNSRAFSRPVKRASQGESSTNINRSRCSQNADTEASPNNKPCYIPRLRVESSYLGVNSLTPGKSFRSLPYNYSAPSLYSSLFSWETDAPFSGPDNICRPIDTIFKFHKAIRKDLEFLDVESGKLIEGNESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFQDISTVLSELSQLHDSLSDPLDVEAGTNHISNNEIDWARKRNELLTKLQGLCKSIRVTLSNHVHREELELWPLFDKHFSVDEQDKIIGRIIGTTGAEVLQSMLPWVTSALSLEEQNKMLDTWKQATRNTMFDEWLNEWWKGPSTSSDSSDMASAPSEESHLQENLEQNDQMFRPGWKDIFRMNQSELEAEIRKVSQDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPSAEEHNGCTQLPGCVPSYRDPEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHSMDRKAVVEMMCMQCLNVQPVGPNCQTPSCNGLSMAKYYCSICKFFDDERSVYHCPFCNLCRLGNGLGNDFFHCMKCNCCLGIKMIEHRCREKMLEMNCPICCDFLFTSSAPVKGLPCGHFMHSACFQAYTCTHYTCPICCKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKTDTVECSTSN >KQL14465 pep chromosome:Setaria_italica_v2.0:III:11383648:11394465:-1 gene:SETIT_021010mg transcript:KQL14465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEGPIAAAIPRSPPPPDAGGAGGSAAQAPVLIFVYFHKAIRAELERMHAAAVRLATTTGRAGGGEGEGVAALEARCRFLFAVYRHHCHAEDAVIFPALDIRVKNVAGTYSLEHKGENDLFAHLFALLQLDVQNDDAIRRELASCTGAIQTSVTQHMSKEEEQVFPLLVKKFSHEEQANLVWQFLCSFPVNMMADFLPWISASVSPDDNQDILDCLRKIVPEEKLLQEIVFSWIGGKSFRTIAQDFSDPYLKSSFTCECSSDQADKHTCSLEHSKIGKRKSTESSQLATHPIDEILYWHNAIRRELSDIAEEAKRIQQSGDFSDIADFNTRLQFIADVCIFHSIAEDQVIFPAVDGELSFVQEHAEEERRFNKFRSLIEQIQISGARSTVVDFYCELCSQADEIMQKIESHFSDEEAKVLPKARINFSPEKQRKLLYKSLCVMPLKLLEHVLPWFVAKLNDAEAASFLQNMQLAAPSSETSLVTLLSGWACKGRLEDTSNPGKFICLTSGAVSYALDGNDLKTCQSFCPCYASNSRAFSRPVKRASQGESSTNINRSRCSQNADTEASPNNKPCYIPRLRVESSYLGVNSLTPGKSFRSLPYNYSAPSLYSSLFSWETDAPFSGPDNICRPIDTIFKFHKAIRKDLEFLDVESGKLIEGNESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEELFQDISTVLSELSQLHDSLSDPLDVEAGTNHISNNEIDWARKRNELLTKLQGLCKSIRVTLSNHVHREELELWPLFDKHFSVDEQDKIIGRIIGTTGAEVLQSMLPWVTSALSLEEQNKMLDTWKQATRNTMFDEWLNEWWKGPSTSSDSSDMASAPSEESHLQENLEQNDQMFRPGWKDIFRMNQSELEAEIRKVSQDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQPSAEEHNGCTQLPGCVPSYRDPEKQIFGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHSMDRKAVVEMMCMQCLNVQPVGPNCQTPSCNGLSMAKYYCSICKFFDDERSVYHCPFCNLCRLGNGLGNDFFHCMKCNCCLGIKMIEHRCREKMLEMNCPICCDFLFTSSAPVKGLPCGHFMHSACFQAYTCTHYTCPICCKSLGDMTVYFGMLDGLLAAEELPEEYRDRCQDILCNDCERKGRSRFHWLYHKCGFCGSYNTRVIKTDTVECSTSN >KQL15567 pep chromosome:Setaria_italica_v2.0:III:21341770:21343331:-1 gene:SETIT_022407mg transcript:KQL15567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSTGGRRLALLIRGTTAAVDGGTSPIQSQPHTARFLHPYYSTVPLARSPDPDAASYLVASCGLSLATAAALVARGRIRLTANADAIIRPKLEFFDSLGVGVTALTQTNHLLRSLDNHLVPCINFIRGIIGTDAGIRMRSPTYPTPFRFDLEKHMRPAVETLRCHGLSEEAISKLLIKEMGVLGLAPDRIAGIFEDLDALGLPMTDSCFVKCFSSMCNFKRGTGWRRLALYQSFGLSQSQVVGAFRSQPPLLELSDEMIRRKLLFFRDKLKIALSQVIAWPKLLSLSLEKNILPKCAVLNVLMREGKIRGDISLYSPLRASIKDFFRSYVEKYEKDVPDVVRAYEGKIKFKGFLDDDIEVPLAKASVI >KQL14432 pep chromosome:Setaria_italica_v2.0:III:11228871:11230412:-1 gene:SETIT_022874mg transcript:KQL14432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKASVKRGPWSPEEDEQLRSYVQRHGIGGNWIALPQKAGLNRCGKSCRLRWLNYLRPNIKHGGYTEQEDQIIWSLYSSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAMAAVAASAATGGAFAAPATPPPALSPAASASSSVTSSGGDVRFAAYPPQHHQGLTMRFDAPAPQRRQQHTELAPVPPAAAVAQLDATSGVWARQPAPASDAVAAALDDVFLPDLVGGGEQLFPYGDFFGGLQDRAALELSACYFPNMAEMWGAAAASDAKPQGLCNTLT >KQL15336 pep chromosome:Setaria_italica_v2.0:III:19007161:19008758:-1 gene:SETIT_025021mg transcript:KQL15336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTAIIVSSVVGSLGLLAAILGFSAEGANSTVRTTDPPSYASTRMQNPSPGLGICAGIFLLAAQVTVSAVSGCGCCKSRAIPSETKRVVGIVCAVGSWIAAVIAFVLFVDGAAVNASGYYVKGGIYAGAGVLALAATALGITSFVMLRSQPADAAPGPDVPNKTAVQQPVHGIPVMVHPQPHYTQYPPAESAQPDNHRQDQLPPTPPPQMNNPHSPPPAPPQGNGSPAPIQQFSPQGCPADTAPPAATNAPNKPAEQPQTPTAGVPMGQPQFPPAQAYVQPQVLNGTLQVPQVGVDIPVAPPVLPADSPLGNGLSLAPPAAPSQGNGLSTVIRNEIARATIRLAGKAAEHALFGDYTVTDPTGAGVGATDCGDSAV >KQL14360 pep chromosome:Setaria_italica_v2.0:III:10755538:10755980:-1 gene:SETIT_023943mg transcript:KQL14360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSYIVGTFLYQNMIPKPPKTATPTSRHDPQDYVYRSEGTKDFRTSAGYDTARQQISFPGNK >KQL13542 pep chromosome:Setaria_italica_v2.0:III:5113592:5118937:-1 gene:SETIT_022707mg transcript:KQL13542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAAGSLPSMHDGGGQENHMPESWSQMLLGGLVGDHERYSATAALLSKGLESWGDQAACMAALKEEGSAMPQPAYNFYGSHLAGGDHEMPAAGGAKSQLSQMLLQASSPRSCITTSLGSNMLDFSNTAPAPEMRSHHHHSDNSSECNSTATGSAIKKPRVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSYPYMGHGNGTSMQNGPMGERNPGLFPEYPGQLLNHNSNTGAQQPAVQPDEQQGASEEAKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >KQL13541 pep chromosome:Setaria_italica_v2.0:III:5113002:5119024:-1 gene:SETIT_022707mg transcript:KQL13541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAAGSLPSMHDGGGQENHMPESWSQMLLGGLVGDHERYSATAALLSKGLESWGDQAACMAALKEEGSAMPQPAYNFYGSHLAGGDHEMPAAGGAKSQLSQMLLQASSPRSCITTSLGSNMLDFSNTAPAPEMRSHHHHSDNSSECNSTATGSAIKKPRVQASSSAQSTLKVRKERLGDRITALHQIVSPFGKALSYPYMGHGNGTSMQNGPMGERNPGLFPEYPGQLLNHNSNTGAQQPAVQPDEQQGASEEAKKDLRSRGLCLVPVSCTSHFGGDNAADYWAPAPLGGILR >KQL13800 pep chromosome:Setaria_italica_v2.0:III:6801787:6804520:-1 gene:SETIT_021916mg transcript:KQL13800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQFLGKISRKSPKSGSGSPPQKFPPSRVANGAEAELRASPPPQASAVAAAPGAETREDVFLRKLNVCCVVFDFAAERGRDSPELERKRLVLLSLVDCVSAAEEPLTEAMISACVRMFAINLFRVFPPKLRSCGATDEDEPFFDPSWYHLQVVYELLLRFVMSPVVDVKVARKYMDNSFISRLLDLFDSDDPRERECLKTVLHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKTVGVYLPQLTYCITQFIEKEPKLTGTVIRGLLKYWPVTNSQKEMMFLGELEEVLELTETADFQKCVVPLFRRIAQCLNSSHFQVAERALFLWNNEHLFDLISQNRQVILPIIYPALERNARWHWNQSVLNVTMNVRKMFFEMDERLLLACQTNFQEEEKKRAASEERRRLVWEHLERNAAFHPVTGDIGFAVPPTSAPLVAPTMT >KQL13551 pep chromosome:Setaria_italica_v2.0:III:5201188:5203114:-1 gene:SETIT_024701mg transcript:KQL13551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAKVARLTTLALFSPTPTPSGPKPINPRARAPPPISISMDPALVDPTHLQSLMLACAHSCALRLSPATPASAIEPVDLGKLRTALAHSFIVVSVFCGARYLADAGEEEGQRFGLDLGLARQGEQRLVGFGRAVSDLGLTASVHDVVVHPSLQRRGIGQKIVDKITRVLHSRGIYDISALCTEKERPFFEACGFGDDMMGSTTMLYTRNVHK >KQL14800 pep chromosome:Setaria_italica_v2.0:III:13947499:13948033:-1 gene:SETIT_023749mg transcript:KQL14800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALAPGREKLAASSLPRAGARAGRCPRCPPRPRDRATSRNKRAAVSRAPPGRARPARARDRTRRAGLGSEAVIPGPSRRHPSWCTQSRYATLLEQLDEDG >KQL13915 pep chromosome:Setaria_italica_v2.0:III:7712746:7716886:1 gene:SETIT_022006mg transcript:KQL13915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADATAALEARSKVQAFLEAARAGDLDSLKNLVAALDEEGTGAAAVAAAVRDANKRTALHFAAREGRTDVCQFLIDQLGLPVDPKDDDGETPLIHAARQGHLHTAKYLLDHGADPSVASSLGATALHHAAGIGNTELIKLLLSKGVDIESESDAGTPLVWAAGHGQLDAVKLLLQHNAKPNTENADGVTALLSAVAAGSLPCLEVLIEAGANPNIKAGGATPLHIAADSRNIEVINCLLKAGGDPNTCDDDGLKPIQVAAWSNNREVVELLLPLTSPIPGVSNWNVDGIIEYMAKESEEKSQLKEATSKRSGRPQPVEVSSEAKKRSLEAKSRGDDAFRRKDYLVAVDAYTQATELDPNDATVLSNRSLCWLRAGQAERALEDAKACRALRPDWAKACYREGAAHRLLQRFEEAANAFYEGVQLEPENKELVSAFREAIEAGRKFHGVDTPNPAQ >KQL16009 pep chromosome:Setaria_italica_v2.0:III:26931671:26932360:-1 gene:SETIT_024406mg transcript:KQL16009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSSSQNHHPSCVTCGILGPCRRALTRLFRIPASAALSIRAFRFRSLRKAAARMSPRRRRRRTFRSVRAVFWPLVVPPSSSTLAASTEEGDAVRGELVPEAVVHETPVHAPVSSPETPAYVKVVARLRSGRSAGSSGDGEAEDEKKEEACRSFESCLMEMLVEEGKARDLQDVEELLRCWERLKSPVFVELVCRFYGELCNDMFPAPGVDEADVDGGDGEGSVSTSGV >KQL15824 pep chromosome:Setaria_italica_v2.0:III:24291130:24292607:-1 gene:SETIT_022328mg transcript:KQL15824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHQAEEEGGGARAKRSAPRAAGGETASSLSALADDVLLQILGRLEGDPRDWARASCASPRLAALLRAACLPPRLSRALPAELLPAPPPDGAPAAWAALHKLSVCCPGLLRAGVLLEPTDDFGLELDIGPDFPIRALAGDSAAAASVEGLEATATSRDRTADAVARGGDGDSTDAAWSLYDDLYLDAAYDCSSEPQIPPAAAAPDPGPPPAAPAIRDAEEEEEAAATDASCSVARRGVVAGSRRHPRRWLGTVGAHLASGSWTLSREQGNKLLASRFRGDQLYLCDWPGCVHAEERRKYMVFRGVFHNFARSQVRRALRDTRRPTVAVDCAFCGSKEAWDLYSAFCLRSFYGYHDDGEPVVRAYVCENGHVAGAWTKRPLYS >KQL16665 pep chromosome:Setaria_italica_v2.0:III:43583342:43583910:-1 gene:SETIT_023829mg transcript:KQL16665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGKRATGVVVLVAMMVVLQLMAAPMAMARSVQDSTPVLSLNRIAREFASQGITPCGESCILIPCITAAIGCSCKDKVCYQ >KQL16386 pep chromosome:Setaria_italica_v2.0:III:38854637:38861383:1 gene:SETIT_021169mg transcript:KQL16386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEELLKQKTFTRTEFEYLAELLWSRTIGSNSLKPEDGSFSKMPMSEQDNGSRHSSLPVDFSITRYSVADQVASPAEIAKAYMGSKSSKGSPLRLRLHDPSSIPIKSTEASMIQKTKPPTIPLLQSSRLHVSKTSGRPESNFTTPNRSAIYKMSSSPYFKSGVSSKDISSTVSSPYQTPSSVHTFGRQVLKRKSSAVNNEIVSVGPVRRMHQRYNRTSPLLETRPGYCRYLGGHGSNVDEGSEQLAQTQKRRCVSRVGDATLGSIDDKVHANSFGQAPAQSAEMAAKILKQLDTLVPAQNENMSELREKHGNAMDARNLISQEKEVSAQSNHLEPSPSEVKDTPASGTEKMVDATSNKSDNKKPSTSLRSQAPNLVLSSKIDRNKMPVPSNGFTFPIQAGLGAHSVAPPTPTLASAPILPVEKQQSSALFRANTSNESHPRILQSVSEEGSMVHKVDNKLNADAKPMPFKNSGQGASFTSNPVFKVVNSKPTSLSNGPGHMSNSTVSALQPSSGSTNSASFQSAGLTISIAALKSTQSSSTGGSFTFPNTSMAPSLASSGTGSPSTPFGSSPLFGTASSSASLDKSKALSSSTPFSTSQQVSIASSSAAQDKSKAVGSSNHFGFSQQYGTASSSTSQDISVSQPAVLFGNQNGHLGNSNSQFTQNSVNNLSFVSPEKSENGSSQSFADSQVCSAPLCSGPFNSGTVFPWAAGSASAFAATAPPSTTSLGFGSSQASSASPMLGSKLSTPVPASFGLPNTGSATSLLSPPPSAVFSFPSSTPSIPNPSPTTPFGGPTLQINGGNMAADGNGSPFPTASPFLLPSSSPSTPTFSTPATQFSSSTSSSPGIFGFGQQSQASSGGFSMGPGGGNDKSGRRIIRVKKRK >KQL16387 pep chromosome:Setaria_italica_v2.0:III:38857056:38861692:1 gene:SETIT_021169mg transcript:KQL16387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKSSKGSPLRLRLHDPSSIPIKSTEASMIQKTKPPTIPLLQSSRLHVSKTSGRPESNFTTPNRSAIYKMSSSPYFKSGVSSKDISSTVSSPYQTPSSVHTFGRQVLKRKSSAVNNEIVSVGPVRRMHQRYNRTSPLLETRPGYCRYLGGHGSNVDEGSEQLAQTQKRRCVSRVGDATLGSIDDKVHANSFGQAPAQSAEMAAKILKQLDTLVPAQNENMSELREKHGNAMDARNLISQEKEVSAQSNHLEPSPSEVKDTPASGTEKMVDATSNKSDNKKPSTSLRSQAPNLVLSSKIDRNKMPVPSNGFTFPIQAGLGAHSVAPPTPTLASAPILPVEKQQSSALFRANTSNESHPRILQSVSEEGSMVHKVDNKLNADAKPMPFKNSGQGASFTSNPVFKVVNSKPTSLSNGPGHMSNSTVSALQPSSGSTNSASFQSAGLTISIAALKSTQSSSTGGSFTFPNTSMGSFATSNVFVGTTSRSVAAPSLASSGTGSPSTPFGSSPLFGTASSSASLDKSKALSSSTPFSTSQQVSIASSSAAQDKSKAVGSSNHFGFSQQYGTASSSTSQDISVSQPAVLFGNQNGHLGNSNSQFTQNSVNNLSFVSPEKSENGSSQSFADSQVCSAPLCSGPFNSGTVFPWAAGSASAFAATAPPSTTSLGFGSSQASSASPMLGSKLSTPVPASFGLPNTGSATSLLSPPPSAVFSFPSSTPSIPNPSPTTPFGGPTLQINGGNMAADGNGSPFPTASPFLLPSSSPSTPTFSTPATQFSSSTSSSPGIFGFGQQSQASSGGFSMGPGGGNDKSGRRIIRVKKRK >KQL15118 pep chromosome:Setaria_italica_v2.0:III:17222399:17223307:1 gene:SETIT_024944mg transcript:KQL15118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGPRHCRRLAAVSPRCIAAAGRAAIAPLVSSAPKQFQTRSYYRHYISSGGGYRTKKRPPLRRGQLKRRIVRTISKLVVPSSADDGGRPSQAAAVDRRFIREPSDN >KQL13816 pep chromosome:Setaria_italica_v2.0:III:6942425:6945312:-1 gene:SETIT_021561mg transcript:KQL13816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKLVENEATMSMLRENYEKRILDEQAELKKQARKFQEEEALLQDQLVSSRRTVTSLTDEVQKEKELVEQLNLEIDRLKRSIAEAEEDKHVSEGKLNEKMEMLDILHDKVNLLSQEVNGKDEHIRELSSSLSAKEKNYQNLNAIYNQAKENLEQANSQIKQLEKDVLADKDDLKLKASLIDSLNEKVQTLCAEKGEVEEKISALTSQYMDLQTASEERASRDSQLLSEKDDKVNQLEEKLSAALSDSSKDRTRIAELNNELDTTRTMLDNEVVARKSLSDLVHSTEEALKDSRNEVFKLSEDLDEVKRSNQDLMAQISKLTDEAIEVRQALAKKVEEAESVSTTLSDELASVREVLRRSQEELEVISNQLVSVSEAHSDLNKELLDAYKKLEFTTNELVKERKINATLNRELEALVKQSAIESEARKALQVDLDEATRSLNEVNQSTLSLSKQLETTNSKISTIKEEKEMLSKALEEQKKSTVEAQGNMEDAQNTIQRLGTERESFETRSKQLEDELATAKGEILRLRRQISTSGSENTEVVLETGATPNTSQSLKEQPVNDRVQNTNSAGAVARSRKRVYRRRKGRPAA >KQL12843 pep chromosome:Setaria_italica_v2.0:III:1177729:1180285:1 gene:SETIT_021446mg transcript:KQL12843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRDCWYWLNGSCLNPKCSFRHPPIDGLFSGAPTPGVPPVSSHYGAYNSGKQMVPCYYFQKGNCLKGDRCPFYHGPQGAGNNPTEQVAKVSSFPVELPQAKKNEESAAPDNSTQQGARIIDDRTMVHVAKSVIGAIPTELSSNAVKSRPNSEQAPNNTLAAKKSFTTEEDHPMHYENLLPVEGDSVQEWNQSFQMPPTDDLPQNSREADDFLGESSPGFDVLVDNDAEGAAYLHDEEDFGRDMYPVEDYEYAPADFDIRAQHESEQFNGMGENGQIGQLCDGYERKRRRSSSERSMDRPFHSDRRFLHRGPDRDGIDGSDLRHQLRRRRISGPSTAISPERANGGRHWRDERYRESAHGGHHMHSDRRQGPRGSTLSSRLQARIKLPGRSPDRVDTRFEDERDRRLRERFSPAARLADFHGSRHRESGQHQERSHRRSSELVSSARHADGLSFKRDSVDSARFAARRNFGEPRKANGIVESEASLDFEGPKPLSVILQRKREAAGGNNLASSYDKSAEAAVMQAGSLVESEKKGCDNIIISENCKSGSGDEEYKEEYHVPVEGHGQSSSHGDKFEVEDAAEVDPERNQEADNYDQREGESDDYETMEGHDYKSEDENAYQDDEEFDDDDDFARKVGVVFS >KQL16113 pep chromosome:Setaria_italica_v2.0:III:29923887:29925068:1 gene:SETIT_025219mg transcript:KQL16113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFGNNVVPGMNALCLFMPCVLLKSEVALHRLGIRTNNVISVEKSEVNRTILKSWWGQMETGTLIEISDVQKLIWGKIESYIRIIGGFDLVIGGSPLNNLAGSNHDHRDGLEVEHSALVYHYFRILDSVKSTIYGAV >KQL16567 pep chromosome:Setaria_italica_v2.0:III:42553221:42556359:-1 gene:SETIT_024905mg transcript:KQL16567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNPKSRARTDFLRRKVSEADPGSGAPGPGEKFFGNFPDPYMNGLLHLGHAFSLSKLEFGSAYHRLRGSNVLLPFAFHCTGMPIKASADKLDREIQQYMEILRYFPRRRRSDAVAPDKFKGKKSKAATKAGAQKYQWEIVRSFGLEDGEIAKFQDPYHWLTHFPTLAKEVLKKFGLGCDWRRSFVTTDMNPYYDAFVKWQMRKLKKLGKVVKDMRYTIYSPLDGQPCADHDRAIGEGVQPQEYVLIKMKVISPFPPRLKALEGRKVYLAAATLRPETMYGQTNCWVLPDGVYGAFEINDTDVFILTARAALNLAYQHLSRVPEKPTCLCELSGNDLIGLALKSPLAFNETMYAFPMLSVLTDKGTGIVTSVPSDSPDDFMALQDLVTKPPLRAKYGLKDEWVLPYKVVPIIHIPEFCDKSAEKVCHDLKIKSQNDKAKLAEAKRMTYLKGFTDGTMIVGEFSGRKVQEAKPLIKTKLLEEGTSVLYSDPEKKLMSRSGDECVVALTDQWYITCGETEWKLKAVKCLSGINIFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTVSHVLQNGNMYGKEISSIKPEEMTDDVWDYVFCDGPAPKSDIPPTLLNKMKQEFQYWYPFDIGCLYSSDATRFALADAGDGMDDTNFVTETANSAVMRLTKEISWMEEIVAAESKLRAGLLTTYPDHVFANEMNIAIQETEKSYNFFMFRDALKSGFYDLQLARDEYRLSCGASGMNHDLLWRFMDVQTMLITPICPHYAEYVWQKILKKEGFAIKAGWPVADTPDLALRIANKYLQDSIVSMRKLLQKQESGSKKPKKGAAPALPSVEKKDEH >KQL13723 pep chromosome:Setaria_italica_v2.0:III:6314539:6319608:-1 gene:SETIT_021109mg transcript:KQL13723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPWLLFLFSVLVQTSAILADTDPGDSAGLTAIAANWKNKPSNWNGDDPCRDKWIGIICTGNRVTSIRLSSFGLSGTLSGDIQTLTELVYLDLSYNKDLSGPLPSTIGSLSNLQNLILVGCSFSGEIPKELGQLSKLIFLSLNSNKFSGSIPASLGNLSKLYWFDLADNKLTGTLPIFDGTNPGLDNLTNTKHFHFGINQLSGTIPREIFSSKMKLIHLLLDNNNFSGSIPPTLGLLNPLEVLRFDNNPQLGGPVPSNINNLTKLAELHLENCKLTGPLPDLTGMSALSFVDMSNNSFNASDAPNWFTTLPSLTSLFLENLQIGGQLPQALFSLPAVQTLRLRGNRFNGTLTIGSDFSTQLQLIDLQNNQISAITVGGSQYSKTLILVGNPICVPGSTDNYCVAPGHSNPTAAPYSTARNCSAVPTPCLSNQLLSPACICAVPYRGTLFFRSPSFSDLSSPSNFVPLEQDMKTKFAKLNVPVDSIAIHEPFFDSNNNLQMSLELFPSGKVQFSEQDISDVGFILSNQTYKPPAMFGPYYFIGQQYSFANDLLVPSTSKKTNRLPLIIGASAGGAVLAAAVVAIVVCIARRKRTPKRTEDRSQSFVSWDMKSTSASTVPQLRGARMFSFDELRKITNNFSEANDIGNGGYGKVYRGTLPSGQLVAVKRSEQGSLQGSLEFRTEIELLSRVHHKNVVSLVGFCLDQDEQILVYEYVPNGTLKESLTGKSGVRLDWRRRLRVVLGAAKGVAYLHELADPPIVHRDIKSSNVLLDERLNAKVSDFGLSKPLGEDGRGQVTTQVKGTMGYLDPEYYMTQQLTEKSDVYSFGVLMLEVVTARKPLERNRYIVREVKAAVDRSKDMYGLHELVDPVLGAAPSALAGLEQFVDLALRCVEEAGADRPSMGEVVGEIERMVKMAGGGPGHESASESMSYASRTPRHPYGGDSPFDYSGGGMPSTRVEPK >KQL15115 pep chromosome:Setaria_italica_v2.0:III:17170342:17179100:1 gene:SETIT_021234mg transcript:KQL15115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKSLPFIAFEHKRDAYGFAVRPQHLQRYKEYAGIYKEEEEERSDRWKHFLERQPESSGQVAGDNAQGGGSESLPEKTSAGPRKIEIWTPIRKSLGNIEQMMSLRVEKKQSSAGKQQVVRGQADDGIHPVKVEEAKLSEDSDDEFYDVDKVDPSQEVHSGDTGNADNGSRGHEETYISKEELECLVHGGLPMALRGELWQAFVGTGARRVEGYYDTLAAEGESENNKSSDSSTSEGVHEKWIGQIEKDLPRTFPGHPALDEDGRNALRRLLIAYAKHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGIIDDYFDGYFSEEMIESQVDQLVLEELVREKFPKLANHLDYLGLQVAWVTGPWFLSIFTNVLPWESVLRVWDVLLFDGNRVMLFRTALALLEFYGPALVTTKDAGDAVTLLQSLSGSTFDSSQLVLTARMGYQSVNETILQELSNKHRPSVIASMEERAKGLGAWTDTNGLASKLYNFKRDPEPLVSLSDSADQLSDVGDGDANQESDPGNMDDMYGGVTVNSEIDSLPDPKDQVAWLKLELCRLLEERRSAVLRADELETALMEMVKQDNRRQLSAKVEQLEQEISELRQALSDKQEQEEAMFQVLMRVEQELKIAEEARISAEQDAAAQRYAANVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKALSPCPSPRTSMLDASPTASQTSQEFQPRRKNLLGPFSLSWRDKNKEKQNSADDSTNTKFSNSNDEMVETSNKDDEKQRETPELNVEQTAESPEEDGKLRAEMPNEDNELPGARIVTSDLNGHHEQMQEIKLD >KQL15819 pep chromosome:Setaria_italica_v2.0:III:24272099:24272871:-1 gene:SETIT_025637mg transcript:KQL15819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATMTSRKKMITAPSWYSILMIYLIRYYICVLHPLFSCS >KQL15820 pep chromosome:Setaria_italica_v2.0:III:24272135:24272871:-1 gene:SETIT_025637mg transcript:KQL15820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATMTSRKKMITAPSWYSILMIYLIRYYICVLHPLFSCS >KQL13420 pep chromosome:Setaria_italica_v2.0:III:4377390:4379801:1 gene:SETIT_025359mg transcript:KQL13420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSPADAADAILLTLENLFKLDKVTRLASVELWVLLTTVLLLLRFAFDFTGPWFGNPRRMFFVVTLEILNQNLVIYTMGLMQLSGARVNDYFQVWAVLLVTLQYSVKIGRPYTPSKQVPLLDLMSSLWSANLLRVQTFYLLRIPLWLIWSLNAVRIVSLFVTSGKGETNNQESMRLVCDYMSYENTLSSIPVPDDGQKESKFSMSGYKYLVHGEHRVLKQVQEDPTRSESSYKIRLDPYGAHKEQLVTVEKVWDDTSESGLLGGTADPGNHHKDLCLSFALYKLLRRRFYDLPMHELKRRQGKEKIRSLIFGYILRDYERAFRVTGVELSFLQDLFYSKHATMFAAGLRVPFWSLLLSLALATVTGYVRYIPGRMDPADRNRITHGVFITRLIVAIIVLKELLEIVLYVFSQWACVLMLCKYVQHQGLRRPAVESVMRLLLCFGSKAKWNHQMVRQQNLLVTFGLIKPGALPPLTMKTVYRRGVVLGTTGLKDYTKNALLNSLVNLKEKRLALDDYFSNAFKSNEKVLKLKWTCNLKVDTHIILVWHIATCLCEIHFFDEVKVLKAVRQPQPFMKEPNGTLPVATTDEQDESEESVWSDKYATAVSLSNYCVYLVMKALVPDNGLVAKKVLNEVIREIDYVITSKRKLLRSMKMEEVYTCLMETVDKPCNNRDHDQRGGPDVEAVAQEEGDHQVENEEDYEKLDIGCSLTRMGAVLGKKLTEVYHGDAAGLWRDLQSFWMGFLLHLAAHTRAATHAKHLAGDSELITHLWALLTHAGFCENHHEEAVDQDEIVPDINQEASR >KQL16063 pep chromosome:Setaria_italica_v2.0:III:27917362:27918386:-1 gene:SETIT_025108mg transcript:KQL16063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YECTVNDHAFIDGAKKISAEPGREELVLINDVPVNRNQMECLFYRNGYLYDEVINAYIHLLRTQHNMINRPGGTCYLENTSMTELMKGDEVPRLAEMVLSYLQHDMLFLPINIKDTHWYLAVVNARRRKIHVLDSFRTLFGLKDLKNTLDSLSCSFFRNCQASARTKPDMGGFYLALFSLFSIY >KQL16808 pep chromosome:Setaria_italica_v2.0:III:45375822:45378290:1 gene:SETIT_023845mg transcript:KQL16808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCPCFGSAQAAEQERRAEADRHESQDARAKAAEAAQRRQEEFDKSAAGRAAKAQMKAMKESKTTSNQGEPVLKWQMGS >KQL16472 pep chromosome:Setaria_italica_v2.0:III:40624960:40626267:1 gene:SETIT_024766mg transcript:KQL16472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGCEYKRRRWYVRYVGESNVAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRRWVPPPPNPPRMTDEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWLLCDFNEYIYGPMAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKSRKHQEIKEKIRKKYDVPIPDDDLLWGKIYQDLVHETGVEPKGLYARETIIKYWRQNRSKEKIGGSCRRRGSWRNKG >KQL13263 pep chromosome:Setaria_italica_v2.0:III:3470860:3472976:-1 gene:SETIT_022468mg transcript:KQL13263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPATMPAGVQFMDPRMAPAIRAGAPASFDGDRGDSDGGSGSGGEADQNKQDGTAGTPERGENAAAEAPLRRARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMATGCPVRKQVQRCAEDKAVLITTYEGTHNHQLPPAAAAMAKTTSAAAAMLLSGPAASRDALFAGHHVAATAPAPLFQSYPYASAAMGATLSASAPFPTITLDLTHSPPASAAGLHLQHRPPALPAMPFPMYGFPAAAHRPAVPLLPQPPTAVSLGMMDGRNRAALETMTAAITSDPNFTTALAAALSTIMGGGAEAAPRGGAGADAGDGNNGGSGTEPPATAAAAGARETALHALLQRLHDSRQ >KQL13969 pep chromosome:Setaria_italica_v2.0:III:8033486:8034208:1 gene:SETIT_024805mg transcript:KQL13969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLACRRPPARRRPPPATDQATPQASKDQASSSTGTGSNHNPMAGLLLLAVDAVALALLILAAPTAPHSLDGLFSGYGGGSPQHQQADPTPEHEHHFLEAHNNLRARYGVPPLRWSSKLARYARRWSSLRRFDCVVMHSPASPYGENVFWGTGSNWRATDAVASWASEASYFDWRAQACHPGQVCGHFTQLVWNDTEYVGCGRSQCFVDGVFITCSYDPPGNWKGEVPLT >KQL12649 pep chromosome:Setaria_italica_v2.0:III:188490:189636:-1 gene:SETIT_022897mg transcript:KQL12649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKLKFALLPLLCFFLLLSGSAECARVFTIINQCKTVIWPAVTPGESFGGGGFSLRPGQSMVFTAPVGWSGRIWGRTDCSFDASGNGSCSTGSCGSSLRCGASGAPPASLAEFTLAAVDYYDVSLVDGFNLPMVIKPVNGQGNCSAAGCDGDLRQTCPSELAVRANGRTVACRSACDVFNTDQYCCRGQFGNPATCQPTFYSKKFKEACPTAYSYAYDDPTSIFTCSNADYIITFCSSRKQSACSYHNNRLVCSSASSLLSRSFISSTLLMLALLFTISV >KQL13530 pep chromosome:Setaria_italica_v2.0:III:5036239:5039148:-1 gene:SETIT_022954mg transcript:KQL13530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQLLLLLPAPSRAFSKPLPSPTPAFSSLSRHHRVSFSAASRRDLLRCGMKRSGLVAELEIAKDKQPQSRRANGIFWILLLNFGVYLADHLFQIRQIKALYLYHACPTWYQFVTSTFCHANWNHLSSNLFFVYIFGKLVEEDEGNFALWMSYILTGAGANLISWLVLPTSSVSLGASGAVFGLFTISVLVKMSWDWRKILEVLILGQFVVDKVMEAARATTITGQSFQVNNIAHVSGALIGAALVFLVSRIAFSSNGDSPKTTKESNK >KQL17461 pep chromosome:Setaria_italica_v2.0:III:50552206:50558354:1 gene:SETIT_021680mg transcript:KQL17461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEAEQHNGLVVVPAPRPSKGLASWALDLLESVAVRLGHDKTKPLHWLSGNFAPVVNETPPAPDLPVRGHLPECLNGEFVRVGPNPKFVPVAGYHWFDGDGMIHAMRIKDGKATYVSRYVKTARLKQEEYFGGAKFMKIGDLKGFFGLFMVQMQQLRKKFKVLDFTYGYGTANTALIYHHGKLMALSEADKPYVVKVLEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTDEMFTFGYSHEPPYCTYRVITKDGAMLDPVPITIPESVMMHDFAITENYSIFMDLPLLFRPKEMVKNGEFIYKFDPTKKARFGILPRYAKDDKLIRWFELPNCFIFHNANAWEEGDEVVLITCRLENPDLDKVNGHQSDKLENFGNELYEMRFNMKTGAASQKKLSVSAVDFPRVNESYTGRKQRYVYCTILDSIAKVTGIIKFDLHAEPESGKKELEVGGNIQGIYDLGPGRFGSEAIFVPKQPGVSGEEDDGYLIFFVHDENTGKSEVNVIDAKTMSADPVAVVELPNRVPYGFHAFFVTEEQLAQQAAEGR >KQL12944 pep chromosome:Setaria_italica_v2.0:III:1784447:1788351:1 gene:SETIT_022281mg transcript:KQL12944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDQEIASCVESLLRGSAGGPGEASLAAVLQQAEAKLGMDLSHKAQFIRDQMDLFFGPRLQPQPKPQAAPPPPQAVSPAAAVPQPQVLPQAQAQAQPPAQQIQTQPQQQQLAALQPQLIFQAMPQLPAVATVPAVSSPPAVPAMAFYPPPPLAFRYTTGLGGVATGGTVSFQQSAPGVGGTAPPTAAAQVAGDNKESASKRKRGGPGGLNKVCAISPELQTIVGETAMSRTQIVKQLWAYIRQNNLQDPDDKRKIICNDELRVVFGTDTTDMFKMNKLLAKHITPLDPKDQIRDVKRMKAPTVTPQPGPPINQPSVVISDALAKFIGTDGTFPHEDALKYLWDYIKANQLEDVINGSILCDSKLQELFGCESIPMSGLSEMLGHHFIKKT >KQL13219 pep chromosome:Setaria_italica_v2.0:III:3230980:3233127:1 gene:SETIT_024073mg transcript:KQL13219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSPAHSNGPHLRAMCSIKCRLLLRRLRCHRFSTTASKSSLPRGNNAEELPRGAGPPPTPDATTDASRGHEAAVKRLAAAGDVDGVQLALQEMRLRGVPCTEGALVAAIGAFARAGAPDRALKTFYRAVHDLGCARPTAPRLYNHLIDALLRENMVAAVVPVYENMKKNCVQPNVFTYNLLVKALCQNDRVGAARRMLDEMARKGCPPDDVTHATIVSALCKHGRVDEAREVLAAAPPVCASYNAVVLALCREFRMQDVFLIVEEMVQRELHPSVITYTTIVDAFCKARELRSACAILARMVVTGCTPNVPTFTAMVKGLFDCGSLHDALRMWKWMVAEGWAPSTVSYNVLIRGLCSIGDLKGALSVLNSMEQHGCFPNVRTYSTLIDGFSKAGDLDGAMSIWNDMTGAGCKPNVVVYTNMVDVFCRKLMFDQAENLIDKMLFENCPPNAVTFNTLIRSLCGCGRVGRALGVFHEMRRHGCPPNDRTYNELLHGLFREGNCEDSLQMVIEMRNHGMELSLVTYNTVVSGLCQMKMSREAMLFLGRMIVQGIQPDAFTFNAMIYAYCKEGKIRMAAWMLGGMNAVNCPRNIVAYTILMAELCNQHRLEDAMVYLLKMLYEGIYPNTATWNVLQVTTHL >KQL13831 pep chromosome:Setaria_italica_v2.0:III:7007238:7012081:1 gene:SETIT_024955mg transcript:KQL13831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFSNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRHFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHLQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVVLVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLAEPFGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFREMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPELEGGDSSKKTSGVSSAWLREHFEPWHRDDALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSEIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWQNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQPERAPLHDYMGQQLARLSNEAG >KQL13084 pep chromosome:Setaria_italica_v2.0:III:2488564:2492576:1 gene:SETIT_023087mg transcript:KQL13084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSAAADPGTAFKLLLSCPAGLPRSRVSVKFGQSFDRIPHPDAGLEESICEIWNQRLQRNPSLYNGTKFRYGGNAVHYKDDSKQDYHVSLHLGLTDYRTFVGTNLNPLWEKFLVPSEGATMWGSFQDTTFSLEDTRSHKKLASWVIKLMKKTRLLSVNKFHKKCLKESSVKWLRKLEFLLLPWGVNELYSKAQDGYESTKLYAVSVEELRGMGQRMPGCHNGGFALYELMRNDAKSLCRC >KQL14135 pep chromosome:Setaria_italica_v2.0:III:9069983:9077900:-1 gene:SETIT_021024mg transcript:KQL14135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAAGAPRAQTLRDLADEGKKRAVLLLVFAFGLAFLMSLTSSSVWFNLPFATALIILFRYISLDYDLRRKSTTNTDQDISRPLIKTRSTELKKVLLTENDGKSNWRSKVNSPPVEAAFEQFSRHLVTEWVTDLWYSRVTPDKEGPEELITIVNTVLGEISVRARNVNLISLLTRDLVDLICNSLELYHFCQAKIGKEKFVNLPSEHRDAELKMALLAENKLHPALFSANAEYRVLQSLADGLISITVKPQDLQCTFFRCTARELLACAVLRPVMNLVNPRFINERIESLALSRANKLEKGVEESMVDATTVKQKEASMPSIDEFSASIDHSSPGVELVPFHQGQSKMASDMQPSKSKNSSSLKPESSNASLIGTSHPLESTSLHSTSHVASGNGFSLHSKSSDIASADSHGRERAQPLGISSQRTHQALALEHLENMWTKGKNYKSENAKHNANIPVGSDSLGSTSSGQQSVPCCTSISQRQALFSQSEDQRLVRHSTTPAYSNGTNHLPKSLSADMAEHAGPEDFGVESESSYATEDDDVNNVTGLDSPVTRVWESKSKGNATSSHIHHPLESSSFHRAKKNRSHVGKLKMSRTSSGRKRSRSYAQKPPIWQEERSSFTVGDDLDILNASANDSKTDELVEDTEVESMARMFSSANASIYSLASTDSSYSSNYGGANVLQDSYLKLRCEISLSDFLTQVVGASIVKSGSGMFAVYSISVTDANGNSWSIKRRFRHFEELHRRLKEYAQYNLHLPPKHFLSSGLEVPVVRERCKLLDIYLKNLLQIPIVSSCIEVWDFLSVDSQTYIFMDSLSVIQTLSVGLDERSNGKSRKVLNSSGALNGSFISGGQSFHGHTDNTVHKDLDFAVSDGLRYRKGNVEKNLGNSVCDTTTNLYLDNSGSDPEQNDYSFSIDSVNPKKMRSSETNETPQLLGSDGFSVSPNDWMAPNLSVPLFHLVDVVFQLQDGGWIRRQAFWVAKQLLQLGMGDTFDDWLVDKIQLLRKGRIIAFAVKRVEQILWPDGIFMTKHPNRKTSPPPSGEQNNGVGNYLSDEQRLEAARRANFVRELIIDKAPSPLVSLVGRKDYEKCAQDIYFFLQSPVCLKQLAFELLELLVLAAFPELDGTVRKWHEDKQRFGAQ >KQL13937 pep chromosome:Setaria_italica_v2.0:III:7857131:7859504:1 gene:SETIT_024524mg transcript:KQL13937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGMKQFEAAAWKSQGLLPPAGAASPCFVGFSLVTAAAACLFLLAASSATYRFCVAIVSLLLKLKAKNRQDSSNNAQPPAPPGRGSGWWWCAVETLAFVAANGSGRGFYHFVGERHRRHGPPCFRTALFGATHVFVSSPAAARSLLAAEPAGFSKRYVRTVADLLGEHSLLCADHAAHRSLRRAVAPLFNARATASFTAAFDALTRGLMRDWLALATCRSPSSSSVVVLDAALGITFEAICDMLVATLPRDAKRRLQRDVLAVTRAMLAFPLRLPGTRFHAGLRARERIMEVLRREIASRRQRGHGGGGGDDMDFLQSLLLRSQQQQSENDDEALLTDEQILDNILTLIIAGQVTTATAITWMVKYLADSRDFQETLRSVQLELAPKQHQDCPLTLQHLSSMELAYKTVKESLRMASIVSWFPRVALEDCEVAGFQINKGWIVNIDARSLHYDPTIYDNPTTFDPSRFN >KQL17469 pep chromosome:Setaria_italica_v2.0:III:50595174:50597016:-1 gene:SETIT_022472mg transcript:KQL17469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAMAGRLQVLSSPTAAPVAASSPCHHHRRLAWSRRPDPTRSSSIVAASSSSSSSSSTISKGNKVVTLELTYLEINSWVWEVQQQGQGQSSPMRILVDPLVVGNLDFGAPWLFDGAKKNPKVKALGVDDLLALEPGLLLITQSLDDHCHVRTLTQLSARAPELPVVTTPNAQPVLASLPTPFRRVTYLEPGQSTAVNAQLRVVATAGPVLGPPWQRPENGYVLIAGADDGGHGLLYYEPHCVYDDSSFFLPDKRRQLQADVVITPVVKQLLPANFTLVSGQEDAVRLASLLRARYVVPMSNGDVDAGGLLAAVISKQGTTQSFEAKLSAALPGQVQVLQPTPGVPLQLELDIIPQNQ >KQL13775 pep chromosome:Setaria_italica_v2.0:III:6673152:6673933:-1 gene:SETIT_023438mg transcript:KQL13775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSSKGGARKGLISRTMERCKSGLMSRIGSAAPVAGCFPVHVGPERARFVVRAELASHPLFRRLLDDAEREYGRAARGPLALPSCDVDAFLDVLWHMEHGSDGGGGDEDDDDGEVPRAAVSSPICGLRSCSSKGSAAGYRMMNPRSSPVVARRWSGGERKASRHARTRSYS >KQL15195 pep chromosome:Setaria_italica_v2.0:III:17742372:17743178:1 gene:SETIT_023631mg transcript:KQL15195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KQL15246 pep chromosome:Setaria_italica_v2.0:III:18160266:18161716:1 gene:SETIT_025338mg transcript:KQL15246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVCPRCGPPLALAGRHRRRPHWARAVAPKCEASPSQPLLPTRRAVSTATLFLTALPFPPRRRNFPSPPRERGVPEERYTDQGFTLLKPSSWPKEEKAGATALNKWGPNNIGVVVNPVRLNSLTEFGTRQFVAGRLLQAEKKKESTKSAEVISAGERSGHGGLTVYEIEYALDSTTGGMKRILAAFVASRKLYLLNIAYSDAHDKPLDSQTRIAPYLLPSKLPILTLCKKKIPHHIKLA >KQL13604 pep chromosome:Setaria_italica_v2.0:III:5507819:5508953:-1 gene:SETIT_024129mg transcript:KQL13604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPPALGADWSKLPADILTSIQGELEFPDLFRSAAVCHSWSAAARSLRRHGLYSRPQTPCLLYSTAAAGTRAAELYSLADKSSYTIPLPDPPIAERSIVGSSHGWLVTADARSELHLLNPATVATIEQEGEPAAAAICGGRLRRVLYLNVVLSGDPSRGDCILMMIHNPYKELSFARVGGNKWHWITTSFWESQYLDCIYHDGAFYAMNLPGGIHRYTIEGPPAIHVARTSSGEFLQIWRITTDSSQDSLETHTSDLEIFKVDLDKQCTVDIDTLNDDSLFIGRNYSCCISTKDYPRLQPNHVYFIDDDEYSLMDEQDYRRDVGVYSLENRSATEIVNPEP >KQL15727 pep chromosome:Setaria_italica_v2.0:III:23081883:23082510:1 gene:SETIT_025278mg transcript:KQL15727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCRAWTGPKSPAVGRPHAMGVATSRGSRKAGPAAHRGQRHPAGARLPPRKGVEAARGSLFEYWLGAVLYRQAFLPVVGIGADGSQLQCEHVSRHLLAPAKIDCQDHAMIALGVVLNRQWPLQDKHKQELDEHWRAVHAQARKLDWERS >KQL17225 pep chromosome:Setaria_italica_v2.0:III:49050085:49054874:1 gene:SETIT_024364mg transcript:KQL17225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDGEQQQQAPPAEEVVSVEMPAPEGWTKKVEYQLCVSMCLCLSVPTARLRCSAPLRSRRISGFRGGIRGVEGRRRAEFGFPGANSRRIPVVSAVAGFCCPRGSIFAFGVPRVSQVWFGQANARDSSRIGGARGGLASICSWIRAGSRWLVGSAGSVRALCYGWCRSPTAFTCPYRLSRSANRALFRTRTAIILSPGARLGAMLGLVEAGLGEIPKSGRFTPQRGGRSEIVFVSPTGEEIKNKRQLSQYLKAHPGGPAASEFDWGTGDTPRRSARISEKVKVFDSPEGEKIPKRSRNSTGRKGRQEKKEAPETEEAKDAEAGKDAAEEAPGEDAVKDTDVAMKPAEEVKEAPTETEGAENAVDKADAPAPAPAAEENKETEKPAESVVAPPAPLEEKKDAVEENKEDAKPAEAEAAAPAPASNPTEISAPAPAEPAAAAAPAPETKSDAAAAAAAPASGTKPDAAPVENSADKGASQESQPNAVNNGQLPPSAVKCT >KQL13868 pep chromosome:Setaria_italica_v2.0:III:7352400:7354060:-1 gene:SETIT_022675mg transcript:KQL13868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRPKRPEYLNRIIKLPARSGSRHGAPAPVAARAAADGSRDTRARHGPGDGDDLRGVGRRRGHHQQRGASGGVVPELAPRGGDEQHPGLVQHPRRVPGLRPRLHVRRPVPAGLRGGGARGRRIRRGAGARRRRGGGVGVRHRRHRPQQPPLLRRHGVRGSAVQRDLLRGVCGEGDGAGAAGGAKALREAAGAGHQGGLHHRQARVREGAHCQEPPQRRLPHLGEARAQAVVAGFVGGAVQVRRASEAGRRRVPHRWKHRRPVERPRRRAGGRPHLQGARPHVLRRLKSEIRPASMADRRCSMLAQLHMRMAVYFYFHMME >KQL13867 pep chromosome:Setaria_italica_v2.0:III:7352662:7354034:-1 gene:SETIT_022675mg transcript:KQL13867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRPKRPEYLNRIIKLPARSGSRHGAPAPVAARAAADGSRDTRARHGPGDGDDLRGVGRRRGHHQQRGASGGVVPELAPRGGDEQHPGLVQHPRRVPGLRPRLHVRRPVPAGLRGGGARGRRIRRGAGARRRRGGGVGVRHRRHRPQQPPLLRRHGVRGSAVQRDLLRGVCGEGDGAGAAGGAKALREAAGAGHQGGLHHRQARVREGAHCQEPPQRRLPHLGEARAQVRPVWKRGLRKEFYRNPWHSRISPSSLGSSVVPYKSGERQKLVDAGYRIVGNIGDQWSDLVGAPEGDRTFKVPDPMYYVG >KQL16393 pep chromosome:Setaria_italica_v2.0:III:39065989:39068411:1 gene:SETIT_022528mg transcript:KQL16393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVNGVLNWVVTPAMVAGLLLFYPPYYLFKSCYSFLSWLFPEDVAGKVVLVTGASSGIGEQLAYQYALKRASLALVARTESSLRHVADRAIELGARDVIVLPGDVANPDDCKRFVQTAISHYDRLDHLVCNAGIASVGAFQEIPDVTNYSSQLDVNFWGSVQTTFAALPYLKRSRGRIVVTASATAWNPVPRMSFYNAANAALVNFFETLRTELGSEIGITIVTPGWIESEMSKGKYLKEHGEMEVDQEMRDAQIGLFPVEYAKNCARAMVQAACQGERYLTVPAWFRAMYLWRVFAPEVVEVCYRLLYMHGHGASQTDAPSKTMAQAGGKQLLYPTSLRSDDVKSD >KQL16193 pep chromosome:Setaria_italica_v2.0:III:34190167:34191593:-1 gene:SETIT_025669mg transcript:KQL16193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVDLNRSVVDLMPTAFCWVLLKSIVNILLFVMLTVMFQISGM >KQL14864 pep chromosome:Setaria_italica_v2.0:III:14406384:14406710:-1 gene:SETIT_025057mg transcript:KQL14864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCACQAASRRDAEAEPWSGGGEPATERVERGESGEGRAGVKVTVAVRISKRRLQMLMAEAAGGGAGGMTVEEVLAEIVSAGEVVVGGRHRRRWEPALQSIPEAVES >KQL16433 pep chromosome:Setaria_italica_v2.0:III:39922675:39926656:1 gene:SETIT_025177mg transcript:KQL16433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTADTLSDPLCCADVVRLVYRNQPKNHHVLRKVQNCYFCNAKRFTGEGLVFCCKSGKVHIHILEVPYELRRLFASQTDRDAKYFRKHIWYFNSHLSFTSFGVSIDQCLATAKGSGVYCFKAHGQIYHKLDPLTPSGKGPRHMQLYFYDTDDSIDHHVKRYNPYVQLFIRLGIITNIQEYTIELNTSISVDQKRYNAPAMEQVAAIWVDGNDAQHRFSRSIVIHGKENDPHYIRDGANEGAVGLICILALESGGSRRWVSAREYKCYKLQIREGQFNQLLVDWYVKVESMRLDWYSKPAHQALIRADLYQGLVLVDTLATGEADASKAGHRIVLSKQFSGSDRDVQSQFMDAMTLVTRYGKPDYFVTMTCNPYWDEIVVELLPGQTPQDRPDVVAQVYHAKLLDLHDFLIKKGHLGTVAAWAHVTEFQKRGLPHGHFLLIPDPNKYPWLHELVVKHMMHGPCGTLNKDYPCMVDGQCHFHYPRQFSETTQQGKDTYPIYRRREDGQKVKVRGEELDNRWVVPYTLVLLMRYNCHINVEICSNIKSVKYLYKYIYKGHDRTSFSVDAKGNEHRVINEIKQYHDARMITAIEAVYRMFGFKLYSIRPAVLRMQVHLPGMHMVTYKAIDNLQDVVDHAKFQRTILTEYFKMDERSAIAHKYLYKEFPELVYLNPNEGDRYYLQVLLTHVRGATSYDSLKTWRAITYDTFRAAAEAMGFVDTNNIR >KQL15784 pep chromosome:Setaria_italica_v2.0:III:23792760:23794793:-1 gene:SETIT_022934mg transcript:KQL15784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASETTKERRRHHFVLVHGMCHGAWCWYKAATALRRAGHRATALDMAGCGAHPARLADVRTFEEYTSPLLDAMAALPPGELVVLVAHSHGGYSVALAVERFPDRVAAAVFVAASMPAVGRPMAATSDELLAYLGPDFFLDSKELQQENPEIKGKPFIFGPNFMAQRLYQLSPPEDLTLGLMLIKPANAFTTGSPDEVVMRDAKLLTEERYGSARRVFVVVEDDHGIPAEFQRRMVAQSPGIQVEEVAGADHMVMLSRPEKLVELLIRIADKLKQ >KQL12796 pep chromosome:Setaria_italica_v2.0:III:915446:920125:1 gene:SETIT_021247mg transcript:KQL12796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMPTVASAAAASLHPAVSTRHLGVGSGNAFLAAGGFLAGGLRAAAAGSVRARVAEAAPVAAEGSRQEAPAAPMVEIPVTCYQILGVTEKAEKDEIVKAAMELKIAGIEDGYTAEVSAFRQALLVDVRDKLLFEQDYAGNTKEKVPPRSSLHIPWSWLPAALCVLQEVGEEKLVLEIGQAALRRPDSKPYVHDILLAMALAECSIAKASFEKSKVSLGFEALARAQYLLRRKPSLEKMPLLEQIEESLEELAPACTLELLSLPQTPENSERRRGAIAALCELLRQGLDVESSCRVHDWPCFLGQAINKLLATEIVDLLSWDTLATTRKNKRSLESQSQRVVVDFNCFYVAMLAHLAFGFSTRQTELIKKAKTICECLVASESTDLKFEESFCSYLLGEETSAAVFEKLQQLQSNGSSNSKNYGLDKKKDSSDKVTVNQSLELWLKDVALSRFADTKDCLPSLANFFGAPKRILNTSKQKLGSPRSVLLSSQPSSSVSSCNRTSGEQTPRLSPNSHLGEAVKQLAPTNLGLHSSMDRQVNGSGTTSVPLKRNPGSHPLRTLELWGLTGDVIGKLAYSALLGFVVFGTLKLFRFQLGHMKYANPSRESASMSSLNEASAPEGSFITSSVRKHFENLSKLLWLSDRVHSSSEERERYPVPKDTTAAVCKQKMDIQEAEALVKQWQDIKSEALGPDYQIDMLLEILDGSMLSKWQDLALSAKDQSCYWRFVLLNLDVVRAEIILDEVGAGEAAEIDAVLEEAAELVDDSQPKKPSYYSTYEVQYILRRQNDGSWKICEAAVQDLT >KQL17177 pep chromosome:Setaria_italica_v2.0:III:48737375:48740048:1 gene:SETIT_024691mg transcript:KQL17177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKFETVKTSYRSMLRLQASSHAPVPFYDGPVFLQDSRGICRYIAETYEHSGCPFLLGNDALGRASVEQWLRNEEHAFNPPSRALFCHLAFPLDEEGDDDNDIDMQRRRLEEVLEVYEQRLGDRKYLAGDEFTLADLAHLPNCHHIAESGRFRYLLESRRNVWRWWSTISSRDSWQQVVRDMKTVEHEHKLASLEELRWGRKRRGAVVRQVRKDPRKHIIAKSETRLRWAVFAQNYPSATRKAACPFNLSFFCHRILFFSAPAGPRGSPQVPLLAGSPPRTPPPLPASGGLRWRAAGVLGWQ >KQL13141 pep chromosome:Setaria_italica_v2.0:III:2822021:2822493:1 gene:SETIT_025635mg transcript:KQL13141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIGFFDRTNCMIMHFKHSCTPIFPPEYGTTSI >KQL14537 pep chromosome:Setaria_italica_v2.0:III:11855119:11858474:1 gene:SETIT_023623mg transcript:KQL14537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPANGPSPPVNGTGPCRSGNARGNGVGPQPRRLESTPTSLLVSIDDGKRKDRKAERAAEAEAEAAAMGVMDKLKIFVVKEPVVAASCLIAGFGLFLPAVVRPILDSWETAEKVPPPPLNDVVAGVTGKKK >KQL17266 pep chromosome:Setaria_italica_v2.0:III:49349164:49351304:-1 gene:SETIT_021852mg transcript:KQL17266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGSNVMVPLLDINESSGASEELLQREPVPWGVLAQLTAWEAGNLWRISWASILITLFSFMLSLVTQMFVGHLGELELAGASITNIGIQGLAYGIMIGMASAVQTVCGQAYGARRYTAMGVVCQRALVLQLATAIPIAFLYWYAGPFLRLIGQEADVAVAGQLYARGLLPQLLAFALFCPMQRFLQAQNIVNPVAYITLVVLIFHSLASWLAVFVLGSGLLGAALTLSFSWWVLVVLTWGYIVCSPACKETWTGLSLLAFRGLWGYAKLAFASAIMLALEIWYVQGFVLLTGFLPNSEIALDSLSICINYWNWDFQIMLGLSYAASIRVGNELGAGHPKVARFSVIVVVMASIAFSVFVMLLVIILRYPLSTLYTSSTTVIEAVISLMPLLAFSIFLNGIQPILSGVAIGSGWQAIVAYVNVGAYYLIGLPIGCILGYKTSLGAAGIWWGLIVGVSVQTIALIVLTARTNWDKEVVKAMQRLQQTGVIPVNDIIA >KQL13743 pep chromosome:Setaria_italica_v2.0:III:6425432:6425689:1 gene:SETIT_024640mg transcript:KQL13743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKVQMRRIENPVHRRVTFCKRREGLLKKARELSVLCGADVGVIIFSSTGRVHDLATNGCVLQNSCCSIVNLKCDSTSEMFSIY >KQL16071 pep chromosome:Setaria_italica_v2.0:III:28213492:28214097:-1 gene:SETIT_024940mg transcript:KQL16071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITSSGTLCKHQSSASRHRCRVCAVVSLAALVLLAVVAAVLALYPRPAVPTLEALRLASISLSPTGASLNATLDADLAIRNPSPVAAFTHDAGCAEVYYRGALVADADLPPGRVGAGGTEAMTVRFTVLADSLAASAAQLYGDLVGAGDVPLTVRTAVPGKATVLGMLRRRVVVVTVCDVAVSVRAPGAQTSSCRYRTKL >KQL15659 pep chromosome:Setaria_italica_v2.0:III:22279270:22284532:-1 gene:SETIT_021781mg transcript:KQL15659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAPGQLNLDESPSWGSRSVDCFEKLEQIGEGTYGQVFMAKETETKEIVALKKIRMDNEREGFPITAIREIKILKKLHHDNVINLKEIVTSPGPERDEQGNQIEGNMYKGSIYMVFEYMDHDLTGLSDRPGMQFTIPQIKCYMRQLLFGLHYCHINQVLHRDIKGSNLLIDNHGILKLADFGLARSFSNDHNAHLTNRVITLWYRPPELLLGSTKYGLAVDMWSVGCIFAELLSGKPILPGKNEPEQLTKIFELCGTPDELNWPGVTKMPWYNNFKPSRPIKRRVKEAFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPRPADPHTLPKYESSHEFQTKKKRQQQRQAEEAAKRQKIQHPQPHARLPPIQQSGQPHSQIRTGQPMNNPHPSMAAGPSHHYAKPRGPGGPNRYPQGGSQGGGYPNRGGQGGGYSSGPCPQQSRGPPPYPGGGMGGTGGSRGGSGSGFGVGPNYQQAGPYGASGPGRGPNYHQQGGSRNQQQYGNWQ >KQL13004 pep chromosome:Setaria_italica_v2.0:III:2050317:2054901:-1 gene:SETIT_022169mg transcript:KQL13004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPQIEMGGFKVNSPQVPNGGLRPTMAGSWDSRRTVERTLRSIRIVIFTSKLNLLMPFGPASIILHFTSRRHGLVFLFSMLGITPLAERLGYATEQLALYTGPTVGGLLNATFGNATEMIIAVYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGIIHRNKDQVFSKATAVVNSGLLLMAVMGLMFPAVLHFTHSEARQGASEVSLSRFSSCIMLVAYASYLYFQLSGRSNIYSPIGSEEVPTEDAAEEDEEAEIGMWEAVTWLAVLTLWVSVLSEYLVNAIEGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVIGWMMGQKMDLNFQLFETASLFITVLVVAFMLQDGTANYLKGLMLILCYLIVAASFFVHVDPQSSDG >KQL14934 pep chromosome:Setaria_italica_v2.0:III:14957340:14963450:-1 gene:SETIT_022321mg transcript:KQL14934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFLQKLKRLDAYPKVNEDFYKRTLSGGVVTLVAAVVMLLLFISETRSYFYSATETKLVVDTSRGERLRVNFDVTFPSIPCTLLSVDTTDISGEQHHDIRHDIEKRRLDSHGNVIEARKGGIGGTKIERPLQKHGGRLDKGEQYCGTCYGAEESDEQCCNSCEEVREAYKKKGWALTNPDLIDQCTREDFVERVKTQQGEGCNVHGFLDVSKVAGNFHFAPGKGFYESNVDVPELSVLEGGFNITHKINKLSFGTEFPGVVNPLDGAQWAQPASDGTYQYFIKVVPTIYTDIRGRKIHSNQFSVTEHFRDGNVRPKPQPGVFFFYDFSPIKVIFTEENRSLLHYLTNLCAIVGGVFTVSGIIDSFIYHGQKALKKKMELGKYR >KQL15546 pep chromosome:Setaria_italica_v2.0:III:21245938:21248553:-1 gene:SETIT_023767mg transcript:KQL15546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQRAVAECPKKVARLVDLVNLPTALREFAGGRSQMSQLSFFLRVWSHIKEHNLQDPTNKNIVNCDEKLKTVLLGRSKVQLLELPMIVKLHFPKAPKS >KQL14907 pep chromosome:Setaria_italica_v2.0:III:14792016:14793448:1 gene:SETIT_024733mg transcript:KQL14907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTVAPPCHIVAVPYPGRGHVNAMLNLCRLLAARDGVSATVVAIPNVIPSEHGRANDMLGFVEAVYTKMAAPFERLLDRLAPPQAIVADVFVPWTVAVGARRGVPVCAMCPLSATMFAVQYNFHRLPPAVAAGYASPDGTDPCLIEDYIPGTKSIRFTDLAPTHTNAGLLDKVLEAYFSVKKAQCVILTSFQELESDAIGALRRELPCPVYAAGPCIPFMALQEGISNPDGDGYMAWLDAQPAGSVLYVSLGSFLSVSAAQFDEIAAGLAESKARFLWVLRDADARSRVTGAAAGIAVPWTDQLRVLCHPSVGGFFTHSGMNSTLEAVYAGVPMLTLPIAFDQPTNSRLIAEVWKTGLGLKEMARGDGVIGREEIAAAVERLMRPDSAEAADMRMRAALLKDAARAAAEEGGSSWKDITSFTL >KQL15013 pep chromosome:Setaria_italica_v2.0:III:15584978:15586876:-1 gene:SETIT_024810mg transcript:KQL15013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALLGYTLYAEMKVSEVAALFADLAALLAANALDFGAPGTAHRILPMGLFVRVPAHCSCANGVRKSVAVRYAARPADTLATVADIVFAGFVSADQIRNENGLASIDPDSPLDAGQKLVVPLPCVCFNSSDNNLSAVYLSYVVQVGDTLPAIASSYETTVTDVMNVNAMGSPVAAPDDILTIPLPACASTFPKSASDRGLIVANGTYMLTAGNCVQCSCGPGSLNCTAGFNFYIIMPAFCSIRSCPSMQCPNSNVMLGNVSTHPTSAGCNVSSCSYGGFVNGTITTS >KQL13395 pep chromosome:Setaria_italica_v2.0:III:4247848:4248301:-1 gene:SETIT_023819mg transcript:KQL13395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDHGASSSCLSMCCRSKAHVVSGSSFFPIASRNCSRRSRAPMLMILMPDDYLLLDLFPLDICAIDRYLCLLIIDAMVSWWIR >KQL17014 pep chromosome:Setaria_italica_v2.0:III:47628072:47630710:1 gene:SETIT_024343mg transcript:KQL17014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKYFTRCKECIVHHYWKHMDDWGKSFLNVMIGDYVVRPVIDKDYAVKHLPHEDQTITLCHPSNIKKWHASFKVNTDGTCILSLGSSDFFRDNGLQEGDISIFEVLKSQTRTTVAVHLPKRYRLPEGVTSSESSVYEDTKPQYAVSHKTRLDNQQKRKVVKKVKAIQSETPILVFVKGLSGDYKMLITFQHFARGKMLGGRWNQFVKDNMLRQGDICLFELLKEKWLTMHGRFS >KQL14141 pep chromosome:Setaria_italica_v2.0:III:9110831:9114970:-1 gene:SETIT_023036mg transcript:KQL14141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKQNVDEAVEGLKAKGITAVGAVCHVSNAQQRKDLIDTAVKNFGHIDILVSNAAANPTVDSILEMKEAVLDKLWDINVKASILLLQEAAPHLRKGSSVIIISSITGYNPEQGLTMYGVTKTALFGLTKALAGEMGPNTRVNCIAPGFVPTRFASFLTTNETIRKELTDKTALKRLGSVEDMAAAAAFLASDDASFITAETIVVAGGMQSRL >KQL14142 pep chromosome:Setaria_italica_v2.0:III:9111199:9114780:-1 gene:SETIT_023036mg transcript:KQL14142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKCRRLEGKVAVVTASTQGIGLAIAERLGLEGAAVVISSRKQKNVDEAVEGLKAKGITAVGAVCHVSNAQQRKDLIDTAVKNFGHIDILVSNAAANPTVDSILEMKEAVLDKLWDINVKASILLLQEAAPHLRKGSSVIIISSITGYNPEQGLTMYGVTKTALFGLTKALAGEMGPNTRVNCIAPGFVPTRFASFLTTNETIRKELTDKTALKRLGSVEDMAAAAAFLASDDASFITAETIVVAGGMQSRL >KQL13640 pep chromosome:Setaria_italica_v2.0:III:5733309:5735774:1 gene:SETIT_024358mg transcript:KQL13640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALAVASTLLLPIAVGLLLPLPPAGAARGSLTRGADIAVEDHATDFLVSPDGTFACGFYNVSPTVFTVSVWFARAAGRTVVWTAAPGRPVHSRGARLALDRRGGALVLTDYDGAVVWNSTAQAASASRARLHDTGNLVLEDGHGRALWQSFDSPTDTLLPVQRFTAARHLVSRGGRGRLLAAGYYSLGFSDYAMLSLFYDNHNFSSIYWPNPYNNYVANKRKIYNFTREAALDALGQFFSSDAAGFVAADLGAGVRRRLTLDSDGDLRLYSLDAATGAWTVAWMAFGNPCIIHGVCGANAVCLYAPAPACVCAPGHERADAGDWTRGCRPVFRRDCSRPTKLAALPHTDFWGYDLNDGEIVPFHACAQRCLATCACVAFQHKQNMECYLKSVLFNGRTFPGLPGTVYIKVPADFDMPEFHVRQWREHGGGLAIDEDIPRCDVANGGEEVLLNFSTHNGPRDVGKAVWPYLYGFLSALLVIEAVVIGFGCWLFSSRGLFRPSRVWAIEEGYKLITNNFQRYTYSEIKRATGNFTDMIGSGGSGVVYKGILEDDRVVAVKVLKNVSQSEQEFQSELSVIGRIYHMNLVRMWGCCSEGKRRILVSEHIENGSLAKMLFDREPSDAVLGWNQRFQIALGVAKGLAYLHSECLEWIIHCDMKPENILLDKDLEPKITDFGLAKLLNRDGSDANLSRIRGTRGYMAPEWVSSLPITEKVDVYSYGVVLLELVKGVRISDWVIHGVRFSDMDTRMVVKAIHDEMETSDHERWVKELIDSRLNGEFNPVQAKAMLKIAVSCLEEDRSKRPNMSSVLQALMSVEDEAR >KQL17253 pep chromosome:Setaria_italica_v2.0:III:49259682:49260163:-1 gene:SETIT_025407mg transcript:KQL17253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIGTLAKEQRTLVFEPITVLQLPAVLQSETCLPC >KQL14228 pep chromosome:Setaria_italica_v2.0:III:9748366:9749205:1 gene:SETIT_024834mg transcript:KQL14228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLRTLSPASFPGEVRSAVSSLLLSSPGTSALDTVFSHLPPPVTIPPLGSSVYYRQCELLRHFAASQPQAAACSYSSSSSAASLQAPPDDAAAAAAMLRQKLYRGVRQRQWGKWNRVRVWLGTYDSPETAAHAYDRAAFKLRGEYARLNFPGVMDGQDCPEHLRQLRAAIDAKIQAIRTRLARKRARARKQREESARSEGAKAATATASARPVVSEGATTTSETTTTSTTPYGSPDGVLSMSAASADGDCPLERMPSFDPELIWEMLNF >KQL15688 pep chromosome:Setaria_italica_v2.0:III:22579689:22580193:-1 gene:SETIT_025562mg transcript:KQL15688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICLRVSLVTYVFCASTTYLDPVSSTSVPWDRVPLPNYHSYSLGGPISSKSQPAFHDPIPQPGRPHI >KQL14760 pep chromosome:Setaria_italica_v2.0:III:13695173:13696746:-1 gene:SETIT_023971mg transcript:KQL14760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKRASIFIRLVSAAGTGFFYVKRKNPRRITEKLEFRKYDPRVNKHVLFTEAKMK >KQL16117 pep chromosome:Setaria_italica_v2.0:III:30120263:30129113:1 gene:SETIT_021779mg transcript:KQL16117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKEVRGRRREEEAAQMRCAGGGGGADGQCGRRAGEDRVREKGVRGERRGGVGGAVVEVESVVGGGLQIPRPRDATGCKPIYILSLIPHLSRPPALPPPHPLLFSDVFPSHPAAETLTLAVTSAAAMASSNVSTVYISVIDDVISKVREDFITYGVGDAVLNELQALWEMKMLHCGAISGNIDRTKAVGASTGGTAGATPPVHDLNVPYEATSEEYATPTADMLFPPTPLQTPIQTPLPGTDAGMYNIPTGPSDYAPSPISDVRNGMVINGADPKTGRPSPYMPPPSPWMNQRPLGVDVNVAYVEGREDPDRGVQPQPLTQDFLMMSSGKRKRDEYPGQLPSGSFVPQQDGSADQIVEFAVSKENAHQLWSSIMNKQETSTKSVTPVIPQRDGIQDDYNDQFFFPGVPTEDYNTPGESAEYRAPTPAVATPKPRNDEGDDDDEPPLNEDDDDDDELDDLEQGEDEPNTQHLVLAQFDKVTRTKNRWKCTLKDGIMHLNGRDVLFNKATGEFDF >KQL13706 pep chromosome:Setaria_italica_v2.0:III:6196930:6202406:1 gene:SETIT_021858mg transcript:KQL13706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQMEGAADQIAAGWFTADSAAERPGGLPRSGSSSRLNAQAPEFVPRGPPSPAPPAVVVPPPPQVIRVFAAPPPPPRAAFFAPPPPRPFEYYAPVGGRGGFTTKEQQVPEPEPDAELLPPAAKAEQAVDGLEDEVVHKITKQVEYYFSDINLATTEHLMRFITKDPEGYVPISVIAGFKKVKASVRNNFMLAEALRTSSKLVVSDDGKRVKRQEPFTESDLQELKSRIVVAENLPGDPSYQNLKKIFSAVGSVISIRTCYPQTPNGSGPATNRSAKLDMLFSNKLHAFVEYETPEDAEKAIVALSDEENWRNGLRVRLLNTCSAKGAGKGKNGTHGTDGNGEEDVSTSNQSNERQSEETSQLLDVLPDHLFDETFNDKEVPKRGKGRGRGGRGRGRGNNQYNNYHHSNNQHHQNHQPHYNHHGNNHQGGNRGGAHPVGTPPHNLMSKPEQHQQLPIGASKLPPGPRMPDGTRGFTMGRGKPQAVLPGLCAVGEA >KQL15926 pep chromosome:Setaria_italica_v2.0:III:25560667:25561148:-1 gene:SETIT_025797mg transcript:KQL15926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLALTACFRKGQRLSVVQRKCIRERDHWGEGGWRGEYVSVVERLLSFGRC >KQL15545 pep chromosome:Setaria_italica_v2.0:III:21246911:21247657:-1 gene:SETIT_025540mg transcript:KQL15545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLCRFLYTCLHPETCHVILLYHLMISLFLMPHLSTIISGNLLAYCNVLI >KQL13091 pep chromosome:Setaria_italica_v2.0:III:2534817:2539851:-1 gene:SETIT_021060mg transcript:KQL13091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAPPVPDGDGFPAWARSVSDCEARLGVSASRGLSPADAAARLRARGPNELDEHPGPSLLQLLAQQFEDTLVRILLAAAAVSFLLALFSSAGEVTLSAFVEPLVIFLILVVNAAVGIWQETNAEKALEALREIQSDHAAVLRDGEWLPALPARELVPGDVVKLRVGDKVPADMRVASLVTSTLRVEQGSLTGETASVNKTSHAVPVEDADIQAKECMVFAGTTIVNGCAVCIVVHTGMATEIGKIHAQIHEASQEDDDTPLKKKLNEFGEALTKIIGLICALVWLINVKYFLTFELHGWVPRNIRFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSVAKLVAIGDSSGEVRSFKVEGTTYDPRDGKIHDWPAGSIDVNLETIAKVAAVCNDASVAHSSHQYVATGMPTEAALKVLVEKMGLPGGKNGPSLDPSDTLGCCKWWNNVAKRIATLEFDRMRKSMGVIVRTSSGSNALLVKGAVETLLERSSHVQLKDGLVVPLDEKAKEIVLASLHEMSTKALRCLGFAYKEDLAEFATYDGENHPAHKLLLDPANYAAIETDLIFAGLVGLRDPPREEVYDAIEDCRAAGIRVMVITGDNKETAEAICREIGVFSPDEDITLNSLTGKEFMALEDKKTLLRRKGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGVAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYLIIGLYVGIATVGIFVIWYTHGSFMGIDLTGDGHTLVSYSQLSNWGQCSTWDNFTVSPFTAGTRSFTFDNPCEYFQAGKVKATTLSLSVLVAIEMFNSLNALSEDSSLLSMPPWVNPWLLVAMSVSFGLHFLILYVPFLATVFGIVPLSLNEWLLVLLVALPVVLIDEVLKFVGRSTSSSGPKRLSRKQKGE >KQL13188 pep chromosome:Setaria_italica_v2.0:III:3067595:3068309:1 gene:SETIT_025604mg transcript:KQL13188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHVQMVKKGLLQLMQPLFSQQPLASSSSALVSSVWSRQLQELAELSGFQQVHGMAVSHPFA >KQL13189 pep chromosome:Setaria_italica_v2.0:III:3067702:3068309:1 gene:SETIT_025604mg transcript:KQL13189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHVQMVKKGLLQLMQPLFSQQPLASSSSALVSSVWSRQLQELAELSGFQQVHGMAVSHPFA >KQL15355 pep chromosome:Setaria_italica_v2.0:III:19144086:19147788:1 gene:SETIT_021690mg transcript:KQL15355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESDPGSTDPLLPRRRAPSKGGWRSALFIIWVEVAERFAYYGISSNLISYLTGPLGQTTAAAAAAVNAWSGAASMLPLLGAAVADSWLGRYRTIVASSVLYTMGLGMLTLSSMFPSPQQCGVAADSRGACPPSSLQTVFFYISLYLVAIAQSGHKPCVQAFGADQFDATDPDESSSRASFFNWWYFGICVSGTMTVAIMSYVQDNVSWALGFGVPSMVMLLALAIFLLGTRTYRFYGGSRGGSNVGAGTFSLVGKAFVAWRKRSKEALPAELEHGDGELAVTENAALAEEVSGLTRLFPIWATCLLYGVVFAQPPTLFTKQAGTLDRRVGSSFQIPPAALQCFLGVSIVSCIVLYDRVLVPVARRVSGVASGITMLQRIGTGIALSLVTLAVAALVEMRRLRAARDAGLVDGGGSGGTAVPMSLWWIVPQYVLLGAADVFTMVGMQEFFYDQVPGALKSLGLALYLSVLGVGSFISSFLITVIDGLTKRNGGTSWFADDLNRGHLDYFYLLLAALTALELVAFTYFSASYVYRRKAGNSH >KQL13401 pep chromosome:Setaria_italica_v2.0:III:4271729:4274862:1 gene:SETIT_024145mg transcript:KQL13401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSFKPQRQSTSAPGSRLLGRCRSISGRWRRRQKYTTLQQCRCQSQQDSSMERARHHPDEADSRLSRSTGIFHPSIWRDFFLGYSNPDNSFQQLQAWMDRADKLKGEVAQMIVASSTTGDLHGRLLLVDVLERLCLDHLFEEEINAELAQIEAADVRDCDLGTVALWFYLLRKHRYCVSPDVFVRFKTVEGGFLGNNPIDLLNLYNAAHLRTHGEIILDEAILFTRRHLEIILPFVEGPLAREIKSTLEIPLPRRVRIYESKYYISTYEKDATLHDKVLQLAKLNSDIMQLHHQQELSILTRWDPKAAYDLPECMRIALGKILDSYETIENMLHQEEKHRILYLRYFVKDLVRSFNMEVKMLQEGYIPKSVEEHLKVSLRTGGCPILSCASFVGMHDIATTDFFNWVSSVPKMVQALSIILRLVDDLQSYEREQLIPHVASTIDSYMKEHNASIEMAREKILILKEESWKDFNSEWLNPNNVYPKQLLERIFNLTRTMEFMYNQEDNFTNCTNLKDTIHSLFAEPYTKLI >KQL14847 pep chromosome:Setaria_italica_v2.0:III:14291262:14294702:1 gene:SETIT_023271mg transcript:KQL14847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKVYVVFYSMYGHVSKLAEEIKKGAASVEGVEVKIWQVSETLSEEVLGKMGAPPKTDAPIITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTPLTAVTQLTHHGMVFVPVGYTFGAKMFGMESVQGGSPYGAGTFAGDGSRWPTEVELEHAFHQGKYFAGIAKRLKGGSA >KQL17073 pep chromosome:Setaria_italica_v2.0:III:48068579:48072810:1 gene:SETIT_024953mg transcript:KQL17073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAGMSVDEAVADAAVGVTGIKRRGPLHLAAANGKVEVCKFLIRSCEVDVDAADADGATPLILAVQGVGSTTTLKLLLSRGADPNKADSIGVTPLHIAAERGSYEVAELLLSKEAEVDPICENGGAPIHVSAENGHAKVLKLLLQHKADVINLVQPQWAGADVNAGSPLTPLVIAAGKGLTNCVKCLLKAGADANIPDENGKLPVQIAARQGWKECVKIPVNPVAQYADWSIDGIIQHEKTVSSDAH >KQL14301 pep chromosome:Setaria_italica_v2.0:III:10274357:10275297:-1 gene:SETIT_024317mg transcript:KQL14301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGPKADAAAFGFPAPVGPGATRVGWIGIGVMGGAMAKHLLAAGFAVTAYARTPAKAESLLAAASDVMMFTMVGNPSDIRAVVLDPATGALACLRPGGLLVDWTSSSPFLSREIAAAAPPQCAMLCRLASTLTNVVEVKKSVEVAKVDEVETCFFRVVDVVEVIIPSLV >KQL16065 pep chromosome:Setaria_italica_v2.0:III:28032158:28035873:1 gene:SETIT_024784mg transcript:KQL16065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCLWPCAAATIATTTAAAMGSGTGRRGWWLFQSKVRCPVDVVRHSRDLLSNVLEDREACSNNHDPKREQKVMADLRKSIREMKCILYGNGDAEPVTEACTNLTKEFFKENMNTLRLLIVCLPYLDLETQKDVTQIIANLQRQKVDSRIIASNYLEANKDLLDILMSGYDNVEIAIHYSTILRDCIRHQVAARYILDSQHMKKFFDYIQLPDFNIASDAFRTFKELLTRHKSSAAEFFSRNYDWFFSEFNFKLLSSPNYIIRRQATQLLGDILLDRSNIPVMICYVSSKENLIILMNLLREQSKAIQLEAFHVFKLFAANQDKPPEIVGILSANKTKLIRFLADFSLDKEDQQFEIDKAKVIAEISML >KQL15378 pep chromosome:Setaria_italica_v2.0:III:19399443:19402922:-1 gene:SETIT_021620mg transcript:KQL15378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKLLKAAATGDADLLEQVLGLQPPAAAEQGAECCLKGVTAEGSSALHIAASCGYLELVKMVCARDISLIKARNNLLDTPLICAARAGHVDVANYLMDCAMDEQEDLRARNLDGETAMHEAVRNGHLHVLQGLMSRDSGLAGVADENGVSPLYLAVASNRADMVKVLIGKSSNPETSLCYSGPDGQTALHAAVYVSRDNEGLYPVHIAAIEGNANVVCKFMEICLNYDELLDNKRRNILHCAIEHGRVQVVWHIWRSPKFVRMMNARDDEGNTSLHLAVKHGRTMIFSFLMMDTRVNLDIMNNEGLTPLDVAFSKIQSDYTFSSFMNTSIITCLTLCEASGSPCHQARNLTDKWCSEGKKELGSYANVSQSILYISIFIIVGSLAAASTPPGGYIAEGKDAGKPVFEGRTGFWIFVIANTMSFYLSTATIFLFVFARLTRHRRFYLILSAVLVFGAVLSMVIAFAIVVGLTLDPANGWDESILIWLVSNLAFPICLRVAMQLWMSKHRWQDISKVVAQTILLIYVVRALIISMQSLVKSVLPGRQGPCSWPGCVIQGDAVLLYPT >KQL16435 pep chromosome:Setaria_italica_v2.0:III:39953784:39955036:-1 gene:SETIT_024231mg transcript:KQL16435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVQWCGLPTEIWGEIISRIDVLVVMSFSSTCKSLESVCKTLGATILKSGSAILVTSQLDQDGWGVEDDLKTGKFGLHDVSNTLSFCCVNEGLQRRIWLGGKGDWLVSTNPSLDVPLPSFGNNLHGIELQSYRELSVIFPPFARDIRRVVLSRTPSHADGYEAIALFSDGLLTYIAEGENVWRVLKNPTDHDDNAYNYYLEVSLDVIVYHGWVIAIEEDGDIFAWHMSGSDFDTSAVEHVFYLAISPSDQLILACLYGHDFGHNSKPSRMVWNEHDRFEQLDSISMFEFDDANVTWRRISSIGKDQSLFLGLNYPFFVTSTNLKGNSIYVADVGNYDVGICSLGKEGQVSITKQDFPVDEKAHLLKGWTIRTLMWFRPTTHAKAKNWFIFIV >KQL13053 pep chromosome:Setaria_italica_v2.0:III:2333808:2334299:-1 gene:SETIT_025722mg transcript:KQL13053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLCYANDIMHAVSKEQLNSCFVKNCNKLCKTDSERKYASVPV >KQL13342 pep chromosome:Setaria_italica_v2.0:III:3917159:3918396:-1 gene:SETIT_024973mg transcript:KQL13342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFNGVRFVRLRCRARRGKYLAADVDGLNVCLSGQRGVHNVVWAVHHAAGPDGGPCVLLRGAYGRYLLATSVQAGTGPSHGVLTTQDDLAHTPPPPGMLWQAIPRRSTFVMRSGTGRYLRANGRYLRWRRAVTSAGDNGSTMMQWDIENVPIRMTRPCILDPTYQLTHARRRPLTESEVARQIRFVRGETNGAVNEGAWRTMRLNTHNLMQLRLTLACRLGASRDVTRTTLCIRAGRFGHLTPLLVDLPIGNNRIDIVILNHGTQADNDLRYPDLSAPSIE >KQL17223 pep chromosome:Setaria_italica_v2.0:III:49050896:49051097:1 gene:SETIT_025530mg transcript:KQL17223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVLQVGGLGLMPLGVVGFRSSTTISNSVLII >KQL15300 pep chromosome:Setaria_italica_v2.0:III:18773159:18776438:1 gene:SETIT_022372mg transcript:KQL15300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDIQPIVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQAKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEDHPVLLTEAPLNPKANREKMTQIMFETFECPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDHLMKILTERGYSLTTSAEREIVRDIKEKLAYVALDYEQELETARSSSSVEKSYEMPDGQVITIGSERFRCPEVLFQPSLVGMESPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIADRMSKEITSLAPSSMKVKVIAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDETGPGIVHMKCF >KQL14292 pep chromosome:Setaria_italica_v2.0:III:10197193:10198405:1 gene:SETIT_023075mg transcript:KQL14292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFKRKRLLLASAYFVILLLLTPTQGDSNSEQSYKIAQPLELTPKLSLQLKLHAFLLWSSVGFLMPIGVLLIRASSNVKTAKSVKLLFYFHVGSQIVAVVLATAGAVLSISNFENAFNNTHQRIGLALYGFIWLQPLIGFLRPDRGMRFRSAWYLTHWLLGIGICVVGVANVYIGLHTYQERTGRSARLWTVLLTVEVAAMAFVYLFQDRWNHVVRQEEAALGDERSEGSTMYPANDHKEVIVVP >KQL17163 pep chromosome:Setaria_italica_v2.0:III:48669053:48669397:1 gene:SETIT_024964mg transcript:KQL17163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGGGMVAVRMGGAGGSNGSGGGDHDKGKGIVFGNEYGSARGRAKGRARNAPVERENNHHRECHRRLVPSRIYTVLRAKGNYTLPKNCNNNEVLKAVCREAGWVIDL >KQL15235 pep chromosome:Setaria_italica_v2.0:III:18042065:18042884:-1 gene:SETIT_024999mg transcript:KQL15235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLTAAFAILVVIAVAVQPARGAQLACEELPPDVCAFAVSSGGRRCVLERTPEGAHRCQTSAVGGARGLAAGWVETDACVRACGVDRAALGLPVASAAAEDRRTLRALCSPACWDGCPNVVDLYATLAAAEGMSLPALCEAQKKAANRRMMMAGMAPVGAPVVAPAAPPVVAPPVAPPVAEPPVVAPPPCEEW >KQL15920 pep chromosome:Setaria_italica_v2.0:III:25364441:25364781:1 gene:SETIT_023878mg transcript:KQL15920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKTVNEHFFICRFHISSVKYVTAAGDEPALVRVVAADRCNYQDQYPWTILLMFRCCVRPYFVLFSLCFVNMY >KQL14527 pep chromosome:Setaria_italica_v2.0:III:11780579:11783483:-1 gene:SETIT_022686mg transcript:KQL14527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASLSQLDDEIVHGMAIGAVFTDYAGKINCLDFHRKEDLLVTSSEDDSIRLYNITSATLLKTTYHRKHGADRVCFTHHPSSILCSSRYNLESAESLRYLSLYDNRCLRYFKGHKDRVVSLCMSPVNDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPSVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVSDIKFSNDGKSMLLTTTNNHIYVLDAYGGDKRCGFSLEPSPNVTNEAAFTPDGQYVISGSGDGTLHAWNINTVQEIACWNSHIGPITALKWAPRRAMFATASTALTFWIPSQSN >KQL15061 pep chromosome:Setaria_italica_v2.0:III:16145029:16145865:1 gene:SETIT_023584mg transcript:KQL15061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCYPVASSPSSLAPGAGAGGRKTAGACAEAGGPWWRSGGTAAEERRGLEVDSGRSQQGRRPPFRPISMETGLLRVPVSFPFCFLTLALPPSPVVVATLLAGDFSSRSMRHGLSATPQLALLLSLNYCIICICLYSPKVV >KQL14666 pep chromosome:Setaria_italica_v2.0:III:12772427:12772639:-1 gene:SETIT_024162mg transcript:KQL14666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVEFINCIQG >KQL15684 pep chromosome:Setaria_italica_v2.0:III:22555149:22562251:1 gene:SETIT_024266mg transcript:KQL15684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAGRQGAEEYRHIASCSVPPPAEDGAATREGLGEGGGGHPAAVHGAPPPMDPTAGEGRGHPRRPPTGNGRGGKGREADPAAGEAANMLYFIPSEYGRTMPLEYQHVKRGSNGSTNGCKPKMQESGAVLYTEKRIGHLPGIDVGDQFYSRAEMVALGIHSHWLNGIDYMGMKYRDKKGCEDFTFPLATCIVLSGVYEDDVDNANEIIYTGEGGNNWLGNRQQKTAQTLLRGNLALKNSMDNGNPVRVIRGHVEKSSYTGKVYTYDGLYKVVDFLPEKGVRGELVFKYRLKRLEGQPPLTTSQVLFTRGDVPMPISELPGLVCRDMSNGQESFPIPATNLVDNPPVPPSGFVYSKSLQIPEHIKIPIDRIGCNCSGDCSTSEHCLCAKRNGSELPYVSTKRKNANRNDSKHSSVGRLVEPKAVVYECGTNCTCHCNCVNRTSQQGLKLRLEVFKTESKGWGVRTWDTILPGALICEYTGVLRRTTEVEGLLENNYIFDIDCLQTIKGLDGREQRAGSELHIASLHSEHDSVASQAPEYCIDAGSVGNIARFINHSCQPNLFIQCVLSSHSDIKLAKIMLFAADTIPPLHELSYDYGYHLDSVTGADDEIVKLACHCGAPDCRKRLY >KQL14194 pep chromosome:Setaria_italica_v2.0:III:9495760:9496314:-1 gene:SETIT_023759mg transcript:KQL14194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPALVMLGRRQQAEVARGESGRRRQPCGADAAGGGRVGRVAQATGSLVLWQRKKNRWKRLLLFTFWFSQSVENRGIIVRSLGLKREKKRKRWKLRKRKC >KQL15590 pep chromosome:Setaria_italica_v2.0:III:21496661:21498310:-1 gene:SETIT_023115mg transcript:KQL15590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHCFQNPPELDPACGGGEVVEDFGGQKAYVAGSAEAKAAVVLISDAFGFEAPKLREIADKVASSGYFVVVPDFLHGDPYEPSNPNPLQWLQSHDPEKAFEEAKPVIAALKEKGMPTIGAAGYCWGAKVVVELAKAREIQAVVMSHPALVTVDDIKEVKCPICVLGAEIDQFSPQELVKQFEHVLSATAVAHFVKIFPGVSHGWAVRYNDDDEAAVKSAEEAFADMTGWFDKYLK >KQL12899 pep chromosome:Setaria_italica_v2.0:III:1524290:1525336:1 gene:SETIT_024494mg transcript:KQL12899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGIQDSEVDIVIAALQPNLNTFFEAWRPFFSRFHIIVVKDPDMKEDLQIPTGFDLKVYTKSDIDGMLGATSINFSGHSCRYFGYLVSRKKYVISIDDNCLPAKDTAGSTVDAVAQHMVNLKTPATPFFFNTLYDPYRKGADFVRGYPFSLREGVDCMLSCGLWLHNADYDPMTHVVKRNQRNTTYVDAVMTVPLGAMMPVSGINVAFNREVLGPAMFPALRLRNEGKHRWDTLEDVWNGLCAKVVCDSLGYGMKTGLPYVMRSDAEAGKALESLKEWEGVKVMDDVLPFFQSLKLSRTAVTVEDCVKELASIVREKLGPKNAIFTKAADAMVEWNNLWKSHGARNA >KQL17439 pep chromosome:Setaria_italica_v2.0:III:50462500:50465707:-1 gene:SETIT_021532mg transcript:KQL17439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANSSSKPQQEENDKAYALAVADDDATTNEMKTKHLSDDGEPEENYRGWKAMPYVIGNETCEKLGTIGTTANLLVYLTTVYGMKGASATTLLSLWGGTVNMAPVLGAFLSDSYLGRYTTIALASIASFVGMILLTLTAAVPSLHPHGTGPSATHMAVLLVSFALLAVGAGGIRPCNLAFGADQFDPRTPAGRRGINSFFNWYYFTFTIAMMISATVIIYLQSNVNWALGLAVPATLMGLSCALFFMGTRLYVRVRPEGSPFTSFAQVVVAAYRKRHLPAPASPAELFDPPHKSSLVSKIAYTDQFLCLDKAAVLTPDDELSAGGAAPADPWRLCTLQQVEEVKCLTRLLPVWSSGIVYYIVLTNLGNYNVLQAMQTDRHIGRSGFQIPAGSFVVFNMLALTVWLPIYDGLVVPALQRVTKREGGITQLQRIGTGIVMSIATMVLAAAVERHRRRVGNATSCFVLVPQQMLAGLSEAFAVIGQVDFYYKQFPENMRSVAGALLFLGFAVASYASGLMVTVVHRTTGGSGGRPDWLTQDLNQGRVDLYYLVIAAMAAVNLVYFVVCARWYRFKELDAAVAVVELEGKDSLKANGVPAPPPV >KQL12740 pep chromosome:Setaria_italica_v2.0:III:650939:652060:-1 gene:SETIT_022827mg transcript:KQL12740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVKKAMADAGLAKSDIDEIVLVGGSTRIPKVRQLLKDYFDGKEPSTGVNPDEAVAYGAAVQGSILSGEVDDNTKGVMTSLIPRNTVVPTKKTQVFTTYQDKQTTVTIRVFQGGRSMTKGNKLLGKFDLTGIAPAPRGKPEIEVTFEVDVNGILHVQAADKSTGKSEKITITSDDSRLSQDEIDRMVREAEEFAEEDKKVKKKVDARNQLETYVYSVKSTVDGELGGKMDGDDRERVEEAAREVNEWLDANPDAEKEDYVEKLKELEDVCNSVFSGAYQRSGGEDGTEDDHDEV >KQL14885 pep chromosome:Setaria_italica_v2.0:III:14598350:14601197:1 gene:SETIT_022182mg transcript:KQL14885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKRAVLVGINYPGTDGELKGCLNDVSRMRRCLVERFGFDEAGIRVLADADPSTPPPTGANIRLELERLVAGARAGDTLFFHYSGHGLQLPAETGEDDDTGYDECIVPCDLNLIKDQDFTELVAKVPDGCLFTMVSDSCHSGGLIDKTKEQIGNSTKQSKAQQLRDRDMKPPPASGAGACTCTSLLRIIHGALKSLGIHLPCHGHKQSTQNGGKEPDAKAEAEPPADAAGVTASTSRSLPLPTFIEMLRDKTGRHDVGVGSIRTTLFHHFGHDASPKVKRFVKVMVAKLRHDGKEVEQSHALRAALEQDVHSVEEVYAGPAAAAAAGRVPRNGVLVSGCQTDETSADATTPDGVSYGALSNAIQAVLAEGKRGAAVTNRELVVRARELLAKQGYTQQPGLYCSDEHAKLPFIC >KQL16819 pep chromosome:Setaria_italica_v2.0:III:45500025:45502494:1 gene:SETIT_023505mg transcript:KQL16819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEHIKMAMLKQEQTFRQQVHELHRVYRVQKQLMMQMQVTETKNYCNIAADGQTESTVKLGRQQWCASSGDKEATLAEDFNLELTLATGTGRRKQEKPSNSDSEATISSSTSAESESGRRFVPENVTTLRFQNESNRHDDKVMQSPWLYQCLSLKMA >KQL15347 pep chromosome:Setaria_italica_v2.0:III:19120055:19122974:1 gene:SETIT_021893mg transcript:KQL15347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSWIGLRPPRAALLLLLLLALNLTFSLAANFEGFDSDDLPSAAGVLDADDDEEGLDGVDLPPPPPISLSTSSPSPPVTTTSAPNPNPAAPTPPNPTPALDLWDEDEFEGIPVPEAISSDDSAAPAEVAPSDPSVEAAAEAAPAPKKTPAELLRAFSVEIACVSFLICFVLNYFTGKKQNEKIALAWATKFATRDSIFDKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRFCQGMLATMEMRARHDLLSKFVELVFPRKDTITFEVVMNEDAMDHVVLAVARKKAAKMMQKEERDLQRFANVLTSAPAGRKWVSDELAVVAESKEVAGDIITEAVLDQVLGEKAFEKFGKWFISLHFSDQLAGSYKKVLTFKFVLPDASNMSEMTRLVALVPYYIDLVGRYKLSSHARSKTDAARTKAAQEAFKELQNARQEALQRKKAEKKKLMEEADAKLSAEALRKKEEKERARQMKKSGPKVKMLRS >KQL15348 pep chromosome:Setaria_italica_v2.0:III:19120055:19123333:1 gene:SETIT_021893mg transcript:KQL15348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSWIGLRPPRAALLLLLLLALNLTFSLAANFEGFDSDDLPSAAGVLDADDDEEGLDGVDLPPPPPISLSTSSPSPPVTTTSAPNPNPAAPTPPNPTPALDLWDEDEFEGIPVPEAISSDDSAAPAEVAPSDPSVEAAAEAAPAPKKTPAELLRAFSVEIACVSFLICFVLNYFTGKKQNEKIALAWATKFATRDSIFDKNFSLLGTGDGKDTPLLLKEGQDVFKFYASGRRFCQGMLATMEMRARHDLLSKFVELVFPRKDTITFEVVMNEDAMDHVVLAVARKKAAKMMQKEERDLQRFANVLTSAPAGRKWVSDELAVVAESKEVAGDIITEAVLDQVLGEKAFEKFGKWFISLHFSDQLAGSYKKVLTFKFVLPDASNMSEMTRLVALVPYYIDLVGRYKLSSHARSKTDAARTKAAQEAFKELQNARQEALQRKKAEKKKLMEEADAKLSAEALRKKEEKERARQMKKSGPKVKMLRS >KQL15102 pep chromosome:Setaria_italica_v2.0:III:16975769:16978636:1 gene:SETIT_024372mg transcript:KQL15102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKGPNPFDGVYMNLPKKHHVLKKAKNCEFCNAKKFPDEGPTFCCRNGKVHIYILEVPSELRRLFTSQMDKDARYFRKHIRYFNSHFSFTSFKFSIDRRLASTRGTGLDPLVPHGKGPCHMQLYIYDMDDSIAHRDNPYVQVFTNLGTLANIQEYMIELNAKISVDQRRYNAPKMEQIAAIWVDGNNSQHRFSRSIVIYGKPDDPHYIRAYHGCYDPLPYPYTEGSRLYVSAREYKCYKLQIREGQLNMFFHARCLFKQLLVDWYVKVESMCLDWYSKPKHQALIRADLYHGLLNTLTTGEVDASKAGLRIVLSKDFSGSDRDVQTRFMDAMTLVARYGKPDYFMTMTCNPYWDEIMAELLPRQTPQDHPDIVDRVYHAKLLDLHDFLIKKGPHLEVEANSPLLQEKRSLRKLKRPTNFVTKSIKPLVQLLLVCCRFISSRSGERHHAGRPECKGWLPLSGWPRGESHTRRPVVITSGAGEARRHAGRCPASCRRLVGCNYIRGCCQSPSVCRWFTSCHLVSSASRRMKLLACVLSSPCHLLPLGLSCFAYGT >KQL14775 pep chromosome:Setaria_italica_v2.0:III:13775909:13779529:-1 gene:SETIT_021376mg transcript:KQL14775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSWWGRDARANGGGGGGGTPVVVKMENPNWSISEVSASEVAAPDSPAGGGGGKAGRGKNARQITWVLLLKAHRAAGRLTGAASAALAVAAAARRRVAAGRTDSDVAPGENTALRARFYGCIRVFLVLSLLLLAVEVAAWLQGWHLEVDAGLLAVDGLFAAAYAGWMRARLDYLAPPLQFLTNACVVLFLIQSVDRLVLCLGCFWIRLKGIKPVPLAADKEDVEAGAEDFPMVLVQMPMCNEREVYQQSIGAVCSLDWPRSNFLVQVLDDSDDATTSALIKEEVEKWQREGVRIIYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKRTVPHFKGKDDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCVILPMTMFVPEAELPAWVVCYIPATMSILNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVALVEKHSKQQRVGSAPNLDALTKEESNPKKDLKKKKHNRIYRKELALSFLLLTAAARSLLSAQGIHFYFLLFQGVSFLVVGLDLIGEQVE >KQL16883 pep chromosome:Setaria_italica_v2.0:III:46434249:46441702:-1 gene:SETIT_021096mg transcript:KQL16883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRHRKRKRKGIDYNAEIPFEKQPPPGFYDTGGEDRPLEHVQFPTTIEELEGKRRADIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTRRSKLMLPPPQISDHELEEIAKMGNAGDPGLNEELGEGSTATRTLLASYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLASPGPGVTPRIGMTPSRDGHNFGLTPKGTPFRDELRINEEVEMQDSTKLELRRQAELKRSLRSGFASIPQPKNEYQIVMPPITEDEKEEAEEKIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPTASVEIIRQSLIRSGESRSRSTFVPPTSLEQADELINEELLRLLEHDNAKYPLDEKTQKEKKKGSKRQQNGGSLVPEIDDFDEDELKEAGYMVEEEIQYLRVAMGHENESFEDFVKAHDACQEDLMFFPANNSYGLASVAGNADKISALQNEFDIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTASTELKCFQELQKQEHLAASYRTLNLTEEVNKQKALERTLQSRYGDLLSGFQRIQEQLEERKRQLKIQEAKEAENRAQEEEVAAQNRAAEEEERKSRSIEEDGQTNKATDGEAAAGSKGTTEDQMDVDNGNVDGELIGPIPPAPDTEGDNVDVSIQENAFNAQSSETASTNDEAGKTDPAKSEGQHKADDSMAVDAGRQEERKDELATVDASTSEGHAAVSSDHGVSNEDNGASPE >KQL17308 pep chromosome:Setaria_italica_v2.0:III:49664253:49667877:1 gene:SETIT_022131mg transcript:KQL17308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAEDGQWVLMATGRSPTNIAVIKYWGKRDEALILPVNDSVSVTLDPDHLSATTTVAVSPSFSSDRMWLNGKEISLSGGRFQSCLREIRKRACDFEDEKKGIKIKKEDWEKLHVHIASYKNFPTAAGLASSAAGFACLVFTLGKLMNVKEDYGELSSIARQGSGSACRSIYGGFVKWCMGKKDDGSDSIAVQLADEAHWKDLVIIIAVVSSKQKETSSTSGMRDSVETSPLLQYRAQTVVPSRVLKMEEAIKNRDFELFAKLTCADSNQFHAVCLDTSPPIFYMNDTSHRIISLVEKWNHLEGCPQVAYTFDAGPNAVLIARNRKTAALLLQKLLYYFPPQDKDLSSYLVGDKSILSDAGLHSLEDVEALPAPPEMKIHDQKFKGDVSYFICSRLGVGINVVADESQALLDSVTGLPKVVCF >KQL13487 pep chromosome:Setaria_italica_v2.0:III:4744178:4748284:-1 gene:SETIT_022227mg transcript:KQL13487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGRSRCPCRPRASFPCRGGLVARVPAAEPAVAGWVCGWTAARTADPSDWPRQRIPSWAGGHAAERRVGTSLPAAAAAPVGLFREQGWKMISGHAASGSRDRGPAAASEVQAPAVIPLRARKPVELPPNHSSASLLCFLDTHTQTLGIRTHCSHGCPIQGRAGADRAPGRAARGAAAGRRAGGRPVHGGHQRPRGGGARGGQGVRGARVLQGDGPRRAAAASGARRGRGHRLLRAAAAGEGEGRRGGGGAGRRPVRVQQQADRRQRRPRLGRVPPARRHGRRRRCCARGVARRAAAVRARGGVALLFPVLTNGRFRSVRHRVMVSSARPRVSVIFFGGPPPRERLAPLPGLVDREGGRRRYREFTWREYKTSAYRTKLADNRLGYFETATAAAAAAAATS >KQL13486 pep chromosome:Setaria_italica_v2.0:III:4745640:4748284:-1 gene:SETIT_022227mg transcript:KQL13486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGRSRCPCRPRASFPCRGGLVARVPAAEPAVAGWVCGWTAARTADPSDWPRQRIPSWAGGHAAERRVGTSLPAAAAAPVGLFREQGWKMISGHAASGSRDRGPAAASEVQAPAVIPLRARKPVELPPNHSSASLLCFLDTHTQTLGIRTHCSHGCPIQGRAGADRAPGRAARGAAAGRRAGGRPVHGGHQRPRGGGARGGQGVRGARVLQGDGPRRAAAASGARRGRGHRLLRAAAAGEGEGRRGGGGAGRRPVRVQQQADRRQRRPRLGRVPPARRHGRRRRCCARGVARRAAAVRARGGVALLFPRSSSSIYRLYCNRASKPMA >KQL13374 pep chromosome:Setaria_italica_v2.0:III:4135096:4138175:-1 gene:SETIT_023559mg transcript:KQL13374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHGRFSGSLSSPKIDVVIDMGNPFLNRTVDGFLKIGAVGACKVAAEETFECLHRGDVSKHKLEHALKKMCKEGAYWGTVAGVYVGMVYGVERVRGRSDWKNAMIGGALSGALISGATNNHKDKIIKDAITAGAVATAVEFINCLT >KQL13515 pep chromosome:Setaria_italica_v2.0:III:4908687:4912277:1 gene:SETIT_022948mg transcript:KQL13515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLVQLPNLAGVRRPAAAAAFPQSCRGGRLTVSAAAPGGRVKEEEAKGAGKKEKIVIRVSDPVRERRLPPPLFSAPDEPSERPPGPKEGGGRGDQDGEEAKKQYYVNMGDAIRTLREELPVVFYREPSFDIYRDDIVLKDPLNNFKGIVNYKRIFWALRFTGQIFFKAVWIDIVSIWQPVDNVIMIRWIVHGIPRVPWEGNGRFDGTSEYKLDKNGKIYEHKVDNIARNSPTKFKILPVVELIRSLGCPSTPKPTYFETSCLSLIHCRYFG >KQL13451 pep chromosome:Setaria_italica_v2.0:III:4527045:4529997:1 gene:SETIT_022917mg transcript:KQL13451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGGDGVAQQAELRRIEGNACFKKARLGAAIDCYTEAIALCPDVAVYWMNRALCHFRRKEWAKVEEDSRRALALDDTLVKGHYLLGSALLDKEEFALAIKEFEKALNLLKSANSTDKMAEDIWQVLAKAKYLDWEKHSTERVWRIQSLKEACESALQEHHFLSGTLVEDSDGSSNEYSEQIKMLSEVFSKATLADTPVDVPDYLCCQITFEIFRDPVITPSGVTYERAVLLEHLDKVGNFDPVTREPLKEHQLVPNLAIKEAVQAYLKEHSWAYRLN >KQL14255 pep chromosome:Setaria_italica_v2.0:III:9906005:9906387:-1 gene:SETIT_025455mg transcript:KQL14255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYSRDDAATAADASSPDGTASTGSWAPRSLALPIYLASRNSAWSQRQAPRLTPTGPCTLECHDHPCCHPSGAAGSTAWMCRPRNCNPPSSPKHLGP >KQL15861 pep chromosome:Setaria_italica_v2.0:III:24804716:24805159:-1 gene:SETIT_025435mg transcript:KQL15861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRARAPGKIILAGEHAVVHGSAAVAAAIDLYTTSSLSLLPEGGPRPPWIRFFVLRHCRRPHLRFFVLHRGGWRCRRGGAGPQGLVPHLLVAVLAHPQGAGGGGRQGRGADAVLP >KQL16479 pep chromosome:Setaria_italica_v2.0:III:40860429:40861973:-1 gene:SETIT_025647mg transcript:KQL16479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLMAVVAMEKSSLSGKHQRGRTPTVEGRTCNPPTWQNC >KQL14045 pep chromosome:Setaria_italica_v2.0:III:8379685:8381497:-1 gene:SETIT_021772mg transcript:KQL14045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRGAMASAASPICSLLLLLVLQLPPFAAALPKPPARYQYHTLRATPLSPEPTARVDAAAILGTSTDLTAVFDGIGNASAVELVLAHREAFAAPNATAPQLLAHRLARDAARADAISSAAAAGATNGTRAPRRRGGSGFAAPVLSGLSQGSGEYFAQVGVGTPPTPALLVLDTGSDVVWLQCAPCRHCYAQSGRVFDPRRSRSYATVPCAAPLCRRLDSGGCNKRRGSCLYQVAYGDGSITAGDLATETLSFARGARVPRVAIGCGHDNEGLFVAAAGLLGLGRGRLSLPTQVARRYGRSFSYCLVDRTSSLKPSSTRSSTLTFGAAALAGAGAGAGARVSFTPMVRNPRMSTFYYVRVAGFSVGGARVRGVSERDLRLDPATGRGGVILDSGTSVTRLARPVYAAVRDAFRAAAAAAGGLRPSPGGFSLFDTCYDLGRRRVVKVPTLSVHLAGGAAVALPPENYLIPVDTRGTFCFALAGTDGGVSILGNIQQQGFRVVFDGDAQRVGLVPKSC >KQL13942 pep chromosome:Setaria_italica_v2.0:III:7875219:7881816:1 gene:SETIT_021305mg transcript:KQL13942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPDELGRRLAAVGVSEPPAAAAALESSNNNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTQELHRIRSEATNTNQTSSRGIHQDRTLDSNTNAFSSAPLGSTAESFKWGAGEPMLQDAMRHRYLEATQSNGIPRKFSGDQSAADSAFPSQLSTPSSRSLSPTRHRKEPDYDSRFNLSGQGVLPVSELNSNAIWKQDLLAKVKEHEEEIAQLRRHLSDYSVKETQILNEKHLLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVQSLLPLLSEYNLQPSVLDAQSIVSNLKVLFKHLQEKLIITEEKLKESQYQITPWRAESSNNTSAAAQSPSHPTGNALVKANLDIVPQQAYSHVRSPASSPVRARRDWDLLGNESRQAIQSEVATTNAEHDNIGRTSPSTRFNHNMKDVPQGTEHDSRAVRFNIESKDQNPSFKDLIRSDASENLEGAEAQIPQEPSAQWESEGSPNLASGLDDANPQYPYLPTVLEEPSSSFSEVAEDDPLPAIDGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLDDGSVNFIEGARQPTYLVTADDVDSVLAIEVQPLDDRKRKGEIVKVYANDQRKITCDPETKELIKKILSMGHVSYEVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVVTEKFQQSMTINVPYGRPTEFSIVSADGAEYNLKPAENAPSRDAIVLILRLFRMKAVEKSKGRRKGIFFK >KQL13941 pep chromosome:Setaria_italica_v2.0:III:7875219:7881816:1 gene:SETIT_021305mg transcript:KQL13941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPDELGRRLAAVGVSEPPAAAAALESSNNNLFQVMRAVEDAEATIRQQLEENSRLKDELMLKTQELHRIRSEATNTNQTSSRGIHQDRTLDSNTNAFSSAPLGSTAESFKWGAGEPMLQDAMRHRYLEATQSNGIPRKFSGDQSAADSAFPSQLSTPSSRSLSPTRHRKEPDYDSRFNLSGQGVLPVSELNSNAIWKQDLLAKVKEHEEEIAQLRRHLSDYSVKETQILNEKHLLEKRIAYMRMAFDQQQQDLVDAASKALSYRQDIIEENIRLTYALQAAHQERSTFVQSLLPLLSEYNLQPSVLDAQSIVSNLKVLFKHLQEKLIITEEKLKESQYQITPWRAESSNNTSAAAQSPSHPTGNALVKANLDIVPQQAYSHVRSPASSPVRARRDWDLLGNESRQAIQSEVATTNAEHDNIGRTSPSTSNHNMKDVPQGTEHDSRAVRFNIESKDQNPSFKDLIRSDASENLEGAEAQIPQEPSAQWESEGSPNLASGLDDANPQYPYLPTVLEEPSSSFSEVAEDDPLPAIDGLRITGEAFPGRELQASGYSINGTTSCNFEWVRHLDDGSVNFIEGARQPTYLVTADDVDSVLAIEVQPLDDRKRKGEIVKVYANDQRKITCDPETKELIKKILSMGHVSYEVLLPVRFLDMWEPAVLAIKREGYSIKCNGQRGVVVTEKFQQSMTINVPYGRPTEFSIVSADGAEYNLKPAENAPSRDAIVLILRLFRMKAVEKSKGRRKGIFFK >KQL14375 pep chromosome:Setaria_italica_v2.0:III:10845393:10848222:1 gene:SETIT_023029mg transcript:KQL14375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRYLLRLLSTRLVPQRSQTLTPVSIATRTLASLSEPLGNPAPRALASPRLYYPSRCHFATRSSGDEDDDEDEDEDEEGHYDDDGSEGEWGEEDEAVAAKKPSGKTEEEMVAEAAEIGYKVVGPLGPDEKPFKPYEPVFAVVQIGSHQFKVSNGDSIFTERLKFCDVNDKLVLNRVLMLGSQTQTVIGRPILSEATVHAVVEEHALDAKVIVFKKKRRKNYRRTKGHRQELTKLRITNIEGIDKPETVAVAA >KQL15921 pep chromosome:Setaria_italica_v2.0:III:25400669:25410496:1 gene:SETIT_021012mg transcript:KQL15921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGTKSDLVSGSPDGHGYFNAQRGPYAAASLERSGSFREGGDGYAIFPASSSSRSAAVDSLSLLQSLAVDLRPVTADHKTSRFDLKKSISSIFGTTTEDSPSIPSLGRNLSNSIEEIRRIRSNLNDISNKARERSRAFGGAAMKIDKLCPNIVRKRSRGDGSSNERVLSSGGVIPKNVPQSHINADDMEVGLQREERTKNAGQNRRIRTSMVEMDARTAGPLRGPGPIDRISDPGKATNGNSAVTEEKIRGLATSIDGWEKPKMKKKRSAIKADMSSAGVSRSVDVDRESKQGMPHKFSGDGRARMASSPSFRSGTVASGTSKADLLSPQNGLVGRPLNRNDQDSGFHPTNKRERQVVLDKEMPNPRTISKPNEDDSGGNITSLPKANGSARGPRSNSGSLLKSSPNIHRLQASSDDWEHASGTNKLISAGGSGNPKRTKSTHSLSPPTQWGGQRPQKISRSARKSNLVPIITTDGAPVPGSLDSPVNEDSAGLPRRASVNGIQQTKRGDHGLSTGSEGDEPVVAEKKLRDRSKRAGELDDGHGSGFQKIAMLGHPSKRNKLSADEDIGDAARRQGRVGRGFTPTRPGTPVSMDKLENAPTTKQRSVRTVSERNESKSGRPMMKKMSERKGSACASPFWRQVEPFFAFLTAEDMAYLSQQINLSDDSSSSRSVEGDEGQKYKGGLEYISQPSTPATSNKDDHTALPNGFGLNQLDNGIGVAWEASCIEPILDQLVHGIGVRGGSSVGQRLIQALIDEDKVESITNNAYISEGYPFDTHEIHFDEGGWKSHSHNYKLEPLMNLEASARGPNGLMMDSDWKYNDELSHKGGNVMDKAKVWPEFQYSEMCFSDRIIIELSEVGVSIEPVPDLAQSEDEDIDTEICKLEGQLHKEVVEKKNLLLKLDGIVRTAKESQQREFSRRAMDRLLLRAYEKYMAFCCPNVSSSKNVNRAGRHAALNFVKRALARCQNYEEVGTSCFDKPTFKDMFLSATSHRSSPDAASQDNNTTVKSLHRASASDASRASSHLTDLSFAREDPWTNNVKQRELLLDEVVGSITGGTLKTSGLGTSLVSNTKGKRSERDREGKGQNRDGGRSGRPSSSNAKGERKNKTKPKQKTANISAPSNSTPGDPQLPAKITPSSNGKDSTAAPAAARRDDPASNDAEMPDLSNLELPGMEVDFGGWLNMDDDDGLQDLDLMGLEIPMDDINEINLMI >KQL13218 pep chromosome:Setaria_italica_v2.0:III:3226590:3229987:1 gene:SETIT_023063mg transcript:KQL13218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAKGDQDALLDLESGNGAAGSNNNSGVDASFSVGQGRTAPNGAWNGCVGANGCLKDDRNQHMDCSPPALDAVAKNGDDRKSEGEDKLGLLDSSGGEKAKKKRSKKPPRPPRPSTPTPLDVSDQKLLNELSELAMLKRARIERMKALKKMKNAKQGSSGGNLCPLIITIIFCVVILWQGFFSGHGSAVSFHGSPESSIRAHSSLISIRFYKKNHSNVRPPSVTTAAPDNVEAVSSLVNHNQARRLSR >KQL13275 pep chromosome:Setaria_italica_v2.0:III:3540608:3542700:-1 gene:SETIT_023023mg transcript:KQL13275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVSLGASRPSTVNFRMPTRDNLVPIRVDIEVDGQRYRDAFTWNPRDPDSEIISFAKRTAKDLKLPANFVPQMLQSIQGQLAEFRSYEGQEMQIKEKIVPLKIDLRVNNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSVMREKQLSKKGRRAPEFSSNSKAVNNAVDLFKYFGSKGSVIRYHLFF >KQL13274 pep chromosome:Setaria_italica_v2.0:III:3539968:3542700:-1 gene:SETIT_023023mg transcript:KQL13274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTVSLGASRPSTVNFRMPTRDNLVPIRVDIEVDGQRYRDAFTWNPRDPDSEIISFAKRTAKDLKLPANFVPQMLQSIQGQLAEFRSYEGQEMQIKEKIVPLKIDLRVNNTVIRDQFLWDIGNLDSDPEEFARTLCDDLNITDPEVGPAIAVSIREQLYEIASQSVSVMREKQLSKKGRRAPEFSSNSKAVNNAVDLFKYFGSKGSVIRKRKEWYLYEPVVDVVANEEDGKEEPNNSSRPKKRAEEEKVASLQSL >KQL17159 pep chromosome:Setaria_italica_v2.0:III:48655754:48656215:1 gene:SETIT_023523mg transcript:KQL17159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVASALYLLVIRKVTLLFPHPVDCLMSCLLHLFLSALAGGMLGNTIKKRIHIERAIGVLKKRLLILKVGTFHPIENQFKIAAAAVAFHNIFRGQNGQEGWLNDQPEYIPTDQYVDMPEGDNNYPSEAESNDDSTLRDQIAHQMWAAYNNNN >KQL13211 pep chromosome:Setaria_italica_v2.0:III:3194734:3197854:1 gene:SETIT_021410mg transcript:KQL13211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRRTGRAHHHHPLFLQAAAVLAHLLLAAGAGDAGAPAILGTVCGNTRTPNPEAFDVSFVTTLEMIYQNVTRSGFGAAGSGTGNNTVFGLGQCLAYLSSTDCQLCYAQSRVKLPHCLPADGGRIYLDGCFLRYGARNFTADSTDAGDTAVCGSNATGGGAPGFAAAAAELVRNVTATAPGARDYYYASSSAESSGRAARAYAAAQCWRSLNASACAACVASARDRVLRQCLPGAAEGYGLNAGCVVRYSTRPFYLAADAGGGGGGSSTRHIVVIVIASVFSALAVIGIAFVWTKMRSRRDNLHDDMDGSGEIIRAIAASHLSFKYEELRRATDEFNQINKLGQGGYGSVYKGVLPDGREVAVKRLFFNTRQWADQFFNEVKLVSQVQHKNLVKLLGCSVEGPESLLVYEYLCNTSLDHYLFDAFKKNALDWERRFEIVLGTAEGLSYLHNASEIRIIHRDIKASNILLDERFRPKIADFGLARNFLEDQSHLSTGLAGTFGYMAPEYIVHGQLTEKADIYSYGVLVLEIITGRKNHNSVASSAEGLSLMALIWKHYNAGTLMELLDPNLRDQCSEEEALQVFHIGLLCAQASPNLRPPMWKVVEMLSGRAKVLPRPTQPPFIDVKGSNAKSDSSGSTSLLTNSDRSPFSLNQLSVSGVEAR >KQL14995 pep chromosome:Setaria_italica_v2.0:III:15403088:15405309:-1 gene:SETIT_025512mg transcript:KQL14995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYVSQAACASTQAGERTLLAQEIAKNLALAGVKYVTLHDAGNVKMRDLSGNFFLSEHDIEKNRAVACVAKLQELNNVVDISALTEELTTEHLSKFQVAVFTDISLDKAFQFDDYCRSHQPPISFIKTEVCGLFGSVFCDFGPEFAVHDLDGEDPHTGIIAFISNDNPATVYCIDGERLDFQEGDLVVFSEVQGMNELNDGKPRKIIRSRPYSFCIEEDTSNFGIYT >KQL14994 pep chromosome:Setaria_italica_v2.0:III:15402857:15405834:-1 gene:SETIT_025512mg transcript:KQL14994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLSGNFFLSEHDIEKNRAVACVAKLQELNNVVDISALTEELTTEHLSKFQVAVFTDISLDKAFQFDDYCRSHQPPISFIKTEVCGLFGSVFCDFGPEFAVHDLDGEDPHTGIIAFISNDNPATVYCIDGERLDFQEGDLVVFSEVQGMNELNDGKPRKIIRSRPYSFCIEEDTSNFGIYT >KQL15649 pep chromosome:Setaria_italica_v2.0:III:22182470:22186331:1 gene:SETIT_022057mg transcript:KQL15649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPVNSFNTLFQSPAFWGLMMPVSVSSMAADVIRGYWAQRILWEIGGYVAFYPPTIYRKDHIQAYPFAEEKDLHVNVGRLIKFLNEWRSNKRTLFEKILDLSYAMAEEGFWTEQDVRLTAAWLQDLLAVGYRQPRLMSLEIDRQRATIGEGDMKEFVPKKLPSVHLGVDEIGTVNYEIGNLIKWRKNFGNVVMIMHVSGPVDRTALEWRLLYGRIFKTVIILAEQSNAELAVERSTLSHAYKYLPKVFERYSGADGFLFLQDHMILNYWNLLQADKDKLWITNKIAHSWVTVPLESNKEEWFVKQGALVKQVIGNSPVHFQTNYKENMGEEKIAFCGSELFYVPRRFVEDFGDLVGLVGSLDLHHKIAVPMFFLAMDSPQNFDSDALAGTVFKTQLPANATFSTIYTAQAPAVFPVKVMNEIDFIKVIRLMSKGDPLLMELV >KQL12922 pep chromosome:Setaria_italica_v2.0:III:1671606:1673072:-1 gene:SETIT_024685mg transcript:KQL12922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRLFLSEDSPSQAGLRPQDNLQAKGSWLMHAAGPSSDDSLPPGFESLQPTNDHKIDISQIPLIRWRCPPQILYNPDWLVVAGEESVEAALQNERIFGALEAIYPRSSNIPPNPFVSPDVKDSYFDDSRTQLVPLIPVEEDDVSDQLEEPPAGPPNSYHQSDKYDSAIVRVPQGSDAPFTTAQQHPNGSINTASAAGISTDPDAVAAAIMQSNQMGNMIDQDLLIKILSDPAQLERLMKEYGTLKHEQPTNSPVPAPMLRGPPPQMTASIPVPFPDHVTTFHNINPTLPPPPPVMNRLPPAIPSVGMNPPASSSQAVNFSNIPGRGINYYKTLIHQHGGERQEPLQQHGMQFAMHHHSVPSQTSTIDVVSNGSMPGREKQRPTKPCAYFNSARGCRNGANCTFLHDVSAARKEQPKGSKRIKLDSRIAGRY >KQL17092 pep chromosome:Setaria_italica_v2.0:III:48206463:48211544:1 gene:SETIT_022331mg transcript:KQL17092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKTKGHFRKSPTVSPLAHWRPSNAAGINAASPPVSTIAQVAPSSLPSPSGPHRGTRCEKESDFPPSMAGGCAEDDVVEVSCGGGGRDPGAYAAVLKRRLDLYCAAVAKSMEAKSQESSLGYPNTQASNTSQLISQASFDDDGDGADLVTNSNIIDYDDFRGKPANSGTSKEQSDDDGDLEENTDPTNTKKMRRMLSNRESARRSRKRKQEHLTDLESQVSRLTSENASLLKRLADMTQKYKDATLDNRNLIVDVETMRRKVNIAEEAVRRLTGTTLLLPTTSDKPASSMRLTSCASDAASASVAIEDSMEHFLRAPFQDSQTKLDLQNAEIPLASGEIGTKLAPMRRVASLENLQKRMHGDSLHSETASTFSSDPESFAHR >KQL14394 pep chromosome:Setaria_italica_v2.0:III:10983294:10984714:-1 gene:SETIT_023049mg transcript:KQL14394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSAEAVAVARDVASSSPSKPAPALDMMRFQRPSSDCLPLPNGIAAAGSGSGSRKPPAAPAPRSSKDDASPAVATDSSRLAAFLASTSLEPKPRARAPQPPAQAAPSSSVATAAATRSPARDHGNNQHHLSDFSDPASPSAAVAGGGGEVLLQWGQNKRLRGRRDGASGSGASPLRGQQSAKIQRRSPVPADKLLMPPPSGPSYTRGSNLRSASPLPSRSGAGIGTSDAHHGRGALPHHHRYGRRFPPHL >KQL12748 pep chromosome:Setaria_italica_v2.0:III:687441:691216:-1 gene:SETIT_021154mg transcript:KQL12748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAKLTLLLPTTLTSTVYFPSRLVLKSCPPLQRLVVAAAASSSAQTLPSSTPSLETPEARQIRLETESALEWGGVCARLADFAATAAGRAACVEGRVAVGRSREESERLIEQTAAAVFLSAPLDFAGVEDVSAVVAAATGGRLLAVREICAVGRSIRAARGVFDQLQSLAEETQDGRHSPLLDILQGCDFLTELAQRIEFCLDSTFSVVLDRASKKLETIRRERRRNIEMLESLLKDTAAKIFQAGGIDSPVVTKRRSRMCVGVKASHKHLVPGGIVLSSSGSGATYFMEPRDAVELNNREVKLSGDERAEELVILGLLTSTIADSQLKIKNLMEKVLELDLACARGSYALWTNGVKPSFSDSYSSCQSDQSSEYSVYIEGIRHPLLLEQSLMAEGSTVDASEMPVPLDMWVKKDARIVVISGPNTGGKTASMKTLGLSSLMSKAGMFFPAKGRPRIPWFNQVLADIGDHQSLEHSLSTFSGHISRLRKIVEVVSEDSLVLIDEIGSGTDPSEGVALSTSILKYLASKVNLAIVTTHYADLSRLQSVDSRFENAAMEFCVKTLQPTYRILWGSTGNSNALSIAKSIGFDQKVLDRAQEWVEKLLPDKQKERQGLLYDSLLDERNILESQANEAASVLSQVEGLYNEIRSEADDLESRLAALRTRETQKVQQELKVVKSQMDTIIKNFEVQLKNSKLEQYNSLMRKAEAATASVVAAHQPDEITFSDDENQTLFVPQIGDKVYIQGLGGGTMATVIETLGEDGSCMVQYGKIKVQVKRSKMKLVQRGTNEAATSSSVKPKGRTPKQRFEANQSQDGSVSFGPVVQTSKNTVDLRGKRVSEVSYELEMAIDACRPYQVLFVVHGMGTGAVKECAMDVLRNHPRVVKFEDESPLNYGCTVAYIQ >KQL17150 pep chromosome:Setaria_italica_v2.0:III:48597284:48598225:-1 gene:SETIT_024350mg transcript:KQL17150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVSETSRQGWKEFVSEVRIISRLRHRNLVQLIGWCHGGAGDGDLLLVYELMHNGSLDAHLYDPEGVLAWPARYAAALGVGDALLYLHQETERRVVHRDVKPSNVMLDASFTAKLGDFGLARLIDDGRRSHTTGVAGTMGYMDPESLFAGRASVESDVYSFGVLLLEIACGRRPAVLVREEDDDYVHLVHWVWNSYGGGGLLDAADARLDGDFDAREMACVMVVGLWCAHPDRSLRPTIRQAVNVLRFEAPPPSLPSKMPVATYGPPADRPDTITLSSAISTTTASDGANNSSSV >KQL17392 pep chromosome:Setaria_italica_v2.0:III:50188427:50191626:1 gene:SETIT_021094mg transcript:KQL17392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPLCCSLPPLLWFILFFIFSAAGDGGAAALDTQAAYLARMKEQFPGPGMSRWDFSSPAPDYCRFQGVACDEGGNVTGIDVTSWRLVGRLPPGVCASLPALRELRMACNDVRGGFPAGLLNCTYLEVLNVSYSGMSGTVPDLSPLRALRVLDMSNNLFTGAFPTSIANVTTLEFVNFNENPGFDIWRPPETFMALRRIRVLILSTTSMRGGIPAWLGNMTSLTDLELSGNFLTGRIPVSLALLHRLQFLELYYNELEGVVPPELGNLTELTDIDLSENRLTGGIPESLCALPNLRVLQIYTNRLTGPIPAVLGNSSQLRILSLYRNQLTGEIPGDLGRYSELNVIEVSENQLTGPLPPYACANGQLQYILVLSNLLTGPIPAAYAACSLLLRFRVSNNHLEGDVPPGVFGLPHASIIDLSYNHLTGPVPAAVAYAANLTSLFASNNRMSGELPAEIAGASGLVKIDLSNNFIGGAIPEAVGRLSRLNQLSLQGNRMNGSIPESLAGLRSLNVLNLSDNALSGPIPESLCTLLPNSLDFSNNNLSGPVPAPLIKEGLLESVAGNPGLCVAFRLNLTEPALPLCPRPSRLRRGLAGDVWVVGVCALVCVAAALALARRWVLRARRDAEHDGAPTSPASRSSSYDVTSFHKLSFDQHEILEALIDKNIVGHGGSGTVYKIELSSGELVAVKKLWVSAARRTKQQHDVQVLTSTTSSGWLGDRELRTEVETLGSIRHKNIVKLYCCYSGADSNLLVYEYMPNGNLWEALHGCFLLLDWPTRHRVALGVAQGLAYLHHDLMFPIVHRDIKSSNILLDADFEPKVADFGIAKVLQARGAGADRDASTTTIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELATGRKPIEPEFGDTRDIVHWVSGKVAAGAEADALDKRLAWSPYKEEMVQALRVAVRCTCSIPGLRPAMADVVQMLAEAGPRTPKDKLDSNKDSKGSAGQQQPKLPPASP >KQL14310 pep chromosome:Setaria_italica_v2.0:III:10455630:10457633:-1 gene:SETIT_023377mg transcript:KQL14310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGHEQEADAGKAGGYSSSGLPPSEPPHLQGQPPQQYGYGTFQGSRAGSGEFRQPPVGFPQPAPPPGFGGGGGGGGYHNQQQPYAPAEPYYAEGYQAVPGYGEVVEGRPVRMRRLPCCGLGLGWCLFITGFFLAAIPWYVGAFIMICVRVHDHREKPGYVACTIAAAIAAVAILFGITKGTHVW >KQL16505 pep chromosome:Setaria_italica_v2.0:III:41111664:41112644:1 gene:SETIT_023076mg transcript:KQL16505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPKSSKSKSKSKSKSSSSHDAAASKKSKASAAAAAGPGTLDALFAPCADVKGLRFGAQLVTRALTVRRASPLELPHLLRAAHSPAPGAAAAATGDALSFAPTTTAYIPTNFAILAHHAWHTLTLGLGTKNSKAAVFVFESAAMKAAADAAWPGVVPLGDVGRRLIRAAPGAPEMARFKFRKGCVTFYVYAVRTAGTRGFARADELRAVVEAVARLKDFLDHTAMLALPGQRSIDVAAAAVGVVH >KQL17455 pep chromosome:Setaria_italica_v2.0:III:50534215:50534700:-1 gene:SETIT_025409mg transcript:KQL17455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLVSKTVKHELIFILNDLACKVCLLQATNKQNPKCLLQQSDHTKQDYI >KQL14311 pep chromosome:Setaria_italica_v2.0:III:10474926:10475756:1 gene:SETIT_024258mg transcript:KQL14311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIGAPGSHHQPGNEAANILENVWATIMTESTTTPASSTAASSEVGEEKPEAILQRLPSLGRWISMGAEEWDELLLSGAALTSDASGELLLAASPAASQDQDRRDDRRASSNKAAVACKSYRGVRRRPWGKFAAEIRDTRRKGARVWLGTFTTAEEAALAYDKAALRMRGPRAHLNFPLDVVQRELAGNGGCGEASRVLRRRRRGSNAAADTRSHGSVSATDGGCDQTMVSFACGRKDQGASSPMVQEPSISDPGAVIEFEDIGGEYWDYLFAPLV >KQL15181 pep chromosome:Setaria_italica_v2.0:III:17677427:17679680:1 gene:SETIT_023338mg transcript:KQL15181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETIDLSGDGGVLKTVVRKAKDDAIAPSDSLPLVDVHYEGTLAENGEVFDTTHEDNSIFSFEVGQGAVIKAWDIALRTMKVGEVAKITCKPEYAYGTAGSPPEIPPNATLIFEVELVACRPRKGSSVGSVSDEKARLEELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >KQL15182 pep chromosome:Setaria_italica_v2.0:III:17677427:17679680:1 gene:SETIT_023338mg transcript:KQL15182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETIDLSGDGGVLKTVVRKAKDDAIAPSDSLPLVDVHYEGTLAENGEVFDTTHEDNSIFSFEVGQGAVIKAWDIALRTMKVGEVAKITCKPEYAYGTAGSPPEIPPNATLIFEVELVACRPRKGSSVGSVSDEKARLEYVNLGRELKKQRELAAATKEEEKKKREEAKAAAAARVQAKLDAKKGKGKGKGK >KQL15376 pep chromosome:Setaria_italica_v2.0:III:19390549:19395494:1 gene:SETIT_022834mg transcript:KQL15376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPAAFSSSLVVRSAVGVLLAAAIAARAVRRRSLDASGGIAGFVVMAVHIACGYRYGAVLLAFFLSSSKLTKIGEDRKRRVEEEFKEGGQRNWIQVLANSTIATILVVIFEIITGGQDQCLDSNGSKIITGIMGGIIGHYCCCNGDTWSSEIGVLSNEQPRLITTLKHVRKGTNGGVTLQGLLAATGGGLLIGLTFIIVGLLTAECSFDVALQQLLVLPISAAAGLLGSLIDSLLGATLQFSGYCSVRKKVVSKSGPTVTKISGMTVLDNDAINAVSILLTTILTAFVCIYIF >KQL14805 pep chromosome:Setaria_italica_v2.0:III:13968994:13972613:-1 gene:SETIT_022901mg transcript:KQL14805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPAARLAARRLLGLASSSASEAAARRLAPSPIAASSYAAAARGSVSSSRPFSTALNYHIDSPENKPDMKWEFSEANMKKVKEILSHYPSNYKQSGIIPLLDLAQQQHGGWVPVAAMDAIAKIVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSREIEETLLEHLGVKRNEVTSDGLFSVGEMECMGCCVNAPMIAVADYSKGSEGYTYNYYEDLTPKRVVEIVEMLRRGEIPPRGTQHPERKNCGPAGGNTTLHGEPKPPPCRDLDAC >KQL14508 pep chromosome:Setaria_italica_v2.0:III:11651785:11652714:1 gene:SETIT_025019mg transcript:KQL14508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESLYCPDCHRSTEVVLDHATGDTVCTECALVLEAHYIDEGSEWRNFADDGGGEDRDPSRVGGPNDPFLSNAPLVTRIAYSGPQKAQADGGHALPRMRVNVGGADPEQSLVEAFQAIADMADRLGLVATIRDGAKDVYKKLDEAKACPRGKKRDVFYAACLYIACRNDGKPRTYKELATVTRAGASAKKEIGRMTTLIKKVLGEEAGQQVLDIGVVSATDYLRRFCSRLGMGNQEMRAAQEAARRLEAGLDVRRNPESIAAAISYMVVQRAGASKTAKDVSMATGVAEVTIKEAHKDLAPHAEMLFA >KQL16298 pep chromosome:Setaria_italica_v2.0:III:36611990:36613970:-1 gene:SETIT_025353mg transcript:KQL16298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHSSKSGTMPPRNAEAVAHPKPPVRPPVPPPRRSEVVLPPLETQLHPPGGFRAVQPVSISLPASPGSFGVPTPTAVTADSGNLRRQAMANSAARGPHRLAAQDKGSNSVRFTQPDREAMMFRSQPIPGPPPARLASRSGRARRTAPMNWDRRYDSFKTWSGKLERQITHLAGGPDGLNDEGGEDASDVIGGSHRTCATSVPEVDRFYAALEGPELDQLKPSEDLVLPSDTTWPFLLRFPISAFGIPMGVSSQAILWKVIALSVPTTFLHVTSKANLVLWCVSAALMLAVTATYACKSALYFEAVRREYYHPIRVNFFFAPWITCLYLAIGVPHHLTWAARLPHWLWYVLMAPLLCLGLKIYGQWMSGGQRRLSKVANPSNHLSLLGNFVGAQLGATMGLREGPIFFFAVGLAHYVVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVSSVAWSRITGEFGYVSRVAFFVGMFLYASLGVRVNFFRGFRFSLAWWAYTSPMASAAAAAIRYSTEVDNAFTKALCVALSAVATLTVAALLATTVVHAFVLRNLFPNDICIAITERKVKPIMELQESDGNDIEDTEAGATAA >KQL13162 pep chromosome:Setaria_italica_v2.0:III:2920172:2920356:-1 gene:SETIT_024572mg transcript:KQL13162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWQWHTTYLRYGEHVVRAGVSRCLVLASCHNNTEIDCPFK >KQL16675 pep chromosome:Setaria_italica_v2.0:III:43723140:43724032:-1 gene:SETIT_025570mg transcript:KQL16675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYSSAVRRNWIFTILVSTLQNLCITAAFCPVREIMEKEKKEKSLAIAEGRPAIVWKQGHSPN >KQL16676 pep chromosome:Setaria_italica_v2.0:III:43723140:43724116:-1 gene:SETIT_025570mg transcript:KQL16676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLPEASNREKILRVILSKEILAPDVDLKLVANMADGYSGSDLKNLCITAAFCPVREIMEKEKKEKSLAIAEGRPAIVWKQGHSPN >KQL16987 pep chromosome:Setaria_italica_v2.0:III:47391707:47392204:1 gene:SETIT_025568mg transcript:KQL16987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWDVAFVHVNFIGKHPREPHCIDDYSIYICCPA >KQL15215 pep chromosome:Setaria_italica_v2.0:III:17827274:17834127:1 gene:SETIT_021235mg transcript:KQL15215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQNLHSWCPTTYQTAAGVQDPAAGCCAGAWWSRISAPSPIPPPLQNPLLSLSSALLAIAAKRRRERAPPPEKNWIREGEVDREGRRRTRSGVELEALPPAMATADRNRSFMKDVKRVIIKVGTAVVTRHDGRLALGRLGALCEQVKELNTLGYEVIMVTSGAVGVGRQRLKYRKLVNSSFADLQKPQMELDGKACAAVGQSGLMALYDMLFTQLDVSSSQLLVTDNDFENPNFRERLRETVESLLDLKVVPVFNENDAISTRKAPYEDSSGIFWDNDSLAGLLAIELKADLLVLLSDVDGLYSGPPSEPQSKLIHTYIKEKHHNEITFGDKSRVGRGGMTAKVKAAFVASNSGTPVVITSGFASQSIVRVLQGEKIGTLFHKDANLWAPSKDVSAREMAVAARECSRRLQNLSSDERKKILLDVADALEANEDLIRAENEADVVAAQDAGYEKSLVARLTLKPGKIASLAKSIRTLANMEDPINQILKRTEVAEDLVLEKTSCPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAMRSNTILHKVITDALPDTVGKGLIGLVTSRDEIADLLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGVCHVYIDKSANMDIAKRIVIDAKIDYPAACNAMETLLVHKDLIKSPGLDDILLSLKTEGVAIYGGPVAHEVLSIPKADSFHHEYSSMACTVEFVDDVQSAIDHIHRYGSAHTDCIVTTDSKVAETFLRQVDSAAVFHNASTRFSDGARFGLGAEVGISTGRIHARGPVGVEGLLTTRWIMRGSGQVVNGDKDVAYTHKNLPLQ >KQL15893 pep chromosome:Setaria_italica_v2.0:III:25080078:25083799:1 gene:SETIT_021459mg transcript:KQL15893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPWTMNSLGGITCALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLSATLTTTAMYLFSVLLFGALATREELLTDRLLTATVAWPAPAVIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVSEGSEPHAATLFTAFICICCVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCVVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHELAEDAKTACRQLDAYIDYKRCEGVAEIIVAPTMSDGFRSIVQTMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFVSIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCISEEDTDAEELKADVKKFLYDLRMQAEVIVVTMKSWESHMESSSTVAQQDDSHEAYTSAQQRIRMYLDEMKETAQRERHPLMENGRQVVVNEQKVDKFLYTMLKLNSTILRYSRMAAVVLVSLPPPPLNHPSYFYMEYMDLLVENVPRMLIVRGYTRDVVTFFT >KQL14366 pep chromosome:Setaria_italica_v2.0:III:10779783:10784154:1 gene:SETIT_022946mg transcript:KQL14366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLEARDYIGLGAAASSSSSSCCSGGSEGAAGPHLALRLGLPGSESPGRGAGAEHVDAALTLGPAPPRGGAKRGFADSLDRPAKRDADAGDADGVVRGEEEKGVAETAAGAPRAAKVQVVGWPPVRNYRKHTLAASAAKTKGEDEGRSEAGCCYVKVSMDGAPYLRKVDLKTYSSYEDLSLGLEKMFSCFITGKSSSVKPPRERLTDGSRADALQDQEYVLTYEDKDADWMLVGDLPWDLFTTICRKLRIMRGSDAAGMAPRSLEQIGRNK >KQL16093 pep chromosome:Setaria_italica_v2.0:III:29142243:29144666:-1 gene:SETIT_022608mg transcript:KQL16093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPVADPGTERGEGPLLQCPYCDSEAMHKLAQLLLPGLAAVCVDSTTGDLFRKPSVVAVELRKEMVDYITQRSDTFIADALIESEANQETENEMPEDPFEIVSIFMDDFSSTKRNIIGHVSGWLLSDSREDKIDDFVQEMEMTRFWPLDRREAIAEVLLKNVDLKTKFHCPEKYENEERLADHKQQCSFRPVTCPNDGCRAKVSVRCMEDHDAACPFKVLQCEQNCEKRLLRRDMDRHCVTICSMRPMKCPFGCDSSFPERDLEKHCLEFLQEHLLKVLKVIHKKGHSEELKELAQKLEKYDEHGKLAKARDARPLTNVVKDLEAKMKGEPSS >KQL13579 pep chromosome:Setaria_italica_v2.0:III:5335841:5336340:1 gene:SETIT_025397mg transcript:KQL13579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKSFYFLVSDSISHVCVGCSWWCMCTLYIYVVATYS >KQL15529 pep chromosome:Setaria_italica_v2.0:III:21153686:21159382:1 gene:SETIT_021987mg transcript:KQL15529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGMSRLEDEYYEPEGQDADGSSSDQVNDEFSKLHNDIFHMTRMRSGLSESIYKSVGANRGIISTAKLLSGREVDCSGKGKFSSGDRAFVLGRYVPMNGPELLDRMDSRAYVSQFSADGTLFVAGFQGSHIRIYDVDRDWRIHKDIHARNLRWTISDVSLSPDQRYLVYSSLAPIIHIVNVGNAARESYANVTDIHDGLDFSQHEDVQYSFGIFSVKFSSDGRELVAGSNDESIYVYDLHANKLTLRLPAHTSDVNTVAFADESGHLIYSGSDDTLCKVWDRRCLSAGQAAGVLSGHLHGVTHIDSRGDGRSFISNGKDQAIKLWDIRKMMSNADRYSHGIEIQVHLAIPDLLPI >KQL15528 pep chromosome:Setaria_italica_v2.0:III:21153686:21161404:1 gene:SETIT_021987mg transcript:KQL15528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGMSRLEDEYYEPEGQDADGSSSDQVNDEFSKLHNDIFHMTRMRSGLSESIYKSVGANRGIISTAKLLSGREVDCSGKGKFSSGDRAFVLGRYVPMNGPELLDRMDSRAYVSQFSADGTLFVAGFQGSHIRIYDVDRDWRIHKDIHARNLRWTISDVSLSPDQRYLVYSSLAPIIHIVNVGNAARESYANVTDIHDGLDFSQHEDVQYSFGIFSVKFSSDGRELVAGSNDESIYVYDLHANKLTLRLPAHTSDVNTVAFADESGHLIYSGSDDTLCKVWDRRCLSAGQAAGVLSGHLHGVTHIDSRGDGRSFISNGKDQAIKLWDIRKMMSNADSCADDAPTWDYRLSRYPQQNKQLKHPHDQSLATYRGHSVLRTLIRCYFSPAYSTGQKYIYTGSYDSSIYIYDVVSGSQVAKLKGHQTAIRDCSWHPFEPTLVSSSWDGRVAKWTGARDEKSSDVD >KQL13863 pep chromosome:Setaria_italica_v2.0:III:7318378:7320309:1 gene:SETIT_021943mg transcript:KQL13863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAARWFRSFLGKKEQASKDQRRQQDQPPPPPATAKRWSFGKSSRDSAEAAAAAAAGAVSAGSGNAAIARAAEAAWLRSAAYDETNREREQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSKGRAAPTLATAAGGRAAAAVRIQTAFRGFLAKKALRALKALVKLQALVRGYLVRRQAAATLHSMQALVRAQATVRAHRAGVPVVFPHLHHPPVRPRYSLQERYADDTRSEHGAPAYGSRRMSASVESSSYAYDRSPKIVEVDPGRPKSRSSSRRASSPLVDAGSSGGEEWCANSACSPLPCYLSGGPPQPPRIAVPTSRQFPDYDWCALEKARPATAQNTPRYLHVHAHAPATPTKSVAGYSPSLNGCRNYMSSTQASEAKVRSQSAPKQRPELACGGGARKRVPLSEVVVVESSRASLSGVVGMQRGCGGRAHEAFSFKSAVVGRIDRTLEVAGVENDRLAFLQRRW >KQL13114 pep chromosome:Setaria_italica_v2.0:III:2652895:2655192:-1 gene:SETIT_023959mg transcript:KQL13114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLQSTFSLLIGTGCGIYIAQNYNVPNIKLFMKGLMGEAKKLEESYKKPGDGKNKDSK >KQL13113 pep chromosome:Setaria_italica_v2.0:III:2652895:2655135:-1 gene:SETIT_023959mg transcript:KQL13113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLQSTFSLLIGTGCGIYIAQNYNVPNIKLFMKGLMGEAKKLEESYKKPGDGKNKDSK >KQL17191 pep chromosome:Setaria_italica_v2.0:III:48805065:48806239:1 gene:SETIT_024589mg transcript:KQL17191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFGSVKESLHVDALCSCAALRRRELQRRRRRDAVWNVNACGRRRGGAPQLQGAGWCCVAKRTRVHDAAPGTLTGAVHAAEVDAIARFAAGGEDGDGEWDGEAVVYAHGAGELVRLPRGAAVPVTLCPLEYELFHLRPPRRAPGGVAFAHVAMPPRLAGRCVSSGGGLSDGGWRDGGVGDDGGGGGGGAAAGGWNRLLAAPARAVKHPHRVDAEVGFSEGAVLVDAAETALVRVVYSVQQRRAVAWKRNGCQDVHCSASLAARVQLLHFDAIPTHACHYHLH >KQL13126 pep chromosome:Setaria_italica_v2.0:III:2744501:2750777:-1 gene:SETIT_022431mg transcript:KQL13126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMPISEMRLPPHLAHLLAARRLDTAKDVLSLPEVELMAVLDAGLPTTRAAVAHVSEAACPPCQTALALLEECVRLGGGGRLATTLRGLDEALGGGIPMGKLTEVVGPSGIGKTQFCLKLALLAALPEYYGGLDGRVVYIDTESKFSSRRMIEIGQKSFPQIFRQEGLAQKMAGRILVMRPTSLADFTKSLEQMKVTLLQHDVKLLIVDSMAALMSLENEKATAGFRQHPLRWALSFIKSIAEFSRIPVVVTNQVRSQSNDDGYHFSFEVDRKDGNNCAERFDSHLIAALGIQWAHAVTVRLVFESHSGHRFMKVAKSPMSPAVAFPFVVESSGITLLSDEGIDVTGPEITSIRCQGQNVLAR >KQL17446 pep chromosome:Setaria_italica_v2.0:III:50498488:50503918:-1 gene:SETIT_021194mg transcript:KQL17446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTKQLGTLTQCARSFYLNGSRCGSTDGASCTCPEDENYAPKRQAARGIEQKSRSTHRASLKTQPPVQHVVVGSIGQSTAHPAPAVHAIPSTSPPVKEAASSNRSNDPRNHHKVPGSAYVQPSKQTARSISQSGIAGAGVYSELVNLRSTSNNGSTDQAPQMGTNYSYQTLSDNRSNNRAHNEDSFPEAKLPYNPSMGNGFEKGVPRAGYARPKQSFSGPSVIASGSPSQIRNQGRAGQQRYANYHSNNFNSEAQRDEVQTRNPSGLNGFSGSGNKFQSPTGAIKAHGGGPQSNLRSLKSLRAVEQYYHTLQQMKWGPMTEHVLDSLHCKIDAFQANQVLKLLHDHTIALGFFQWLKGQSGFKHDGHTYTTMIGILGQARQFGIMRKLLEEMSRAHCKPTVVTYNRIIHAYGRANYLREAVKVFEEMQEAGYEPDRVTYCTLIDIHAKAGYLDIAMDLYGRMQEVGLSPDTFTYSAMVNCLGKGGQLAAAYKLFCEMIENGCTPNLVTYNIMIALQAKARNYENVVKLYKDMQVAGFRPDKITYSIVMEVLGHCGHLDEAEAVFIEMRRDWTPDEPVYGLLVDLWGKVGNVDKALGWYQAMLQDGLQPNVPTCNSLLSAFLKINRFQDAYIVLQNMLAQGLVPSLQTYTLLLSCCTEAQTQMGLCCQLMSITGHPAHMFLLYLPDAEPGGQNVRDHTSYFLDMMHSEDRESKRGLIDAVIDFLHKSGLKEEAGLIWEVAAQKNVYPDSVREKSSSYWLINLHLMSEGTAVTALSRTLAWFHRQILTMGTAPERIDIVTGWGRRSRVTGSSLVRQSVQKLLNLFEFPFFTTRGNTGCFVGCGEPLNKWLHNPYVERMHLL >KQL16431 pep chromosome:Setaria_italica_v2.0:III:39899787:39901288:1 gene:SETIT_023566mg transcript:KQL16431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSLSTKYPTISSNKLGRTVLSSLLISGPNRPKKTAASASRNQVSAGQSHWRLSRPRHPIGPTPPCTRRTLPSYPLRTYPRAAAPSHPICSAPPIPKPAWRRPRGRAARRGQAALVPSPSARARNRPRFKPPRSVRASSDGTRG >KQL16134 pep chromosome:Setaria_italica_v2.0:III:30508492:30508820:1 gene:SETIT_025665mg transcript:KQL16134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSQIRQYNICLPRPPSPLGSSRVHIRCPALAGPRPCAPMPLP >KQL15165 pep chromosome:Setaria_italica_v2.0:III:17567924:17572605:-1 gene:SETIT_021660mg transcript:KQL15165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAQVTPPTTVAEGDQAPAPTTTAEAKALPQLELFNSMTKKKEPFHPRVEGKVGMYVCGVTPYDFSHIGHARAYVAFDVLYRYLKFLGYEVEYVRNFTDIDDKIIRRANERGETVTSLSSRFINEFLLDMTELQCLPPTHEPRVTEHIEHIIELITKIMEGGKAYVMEGDVYFSVDSFPEYLSLSGRKLDQNQAGARVAFDTRKRNPADFALWKAAKEGEPFWDSPWGRGRPGWHIECSAMSAHYLGHVFDIHGGGKDLIFPHHENELAQSRAAYPESEVKCWMHNGFVNKDDKKMAKSDNNFFTIRDIIALYHPIALRFFLMRTHYRSDVNHSDKALEIASDRVYYIFQTLYDCEEVLAQYREEAISAPVPAEEQKMIEDHHKEFLDDMSDDLKTTDVLDGFMNLLKAINSNLTDLKKLQQKLEQQKKKQQQQKKQQQKQQQSQKQPADHIQALIALEAELKDKLSILGLMPPSSLAEVLKQLKEKALKRAGLTEESLQEQIEQRNIARKNKQFEVSDRIRRDLSTKGIALMDEPTGTVWRPCEPES >KQL13513 pep chromosome:Setaria_italica_v2.0:III:4893227:4894666:-1 gene:SETIT_021923mg transcript:KQL13513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPERKPACTARPRVVLLCSPCMGHLIPFAELARRLVSDHGLAATLLFASATSPPSEQYLAVAAALPDAVDLVALPPPPPDLLPSSAPERARVELAVASNLPRVRELARELAADAPLAALVVDMVGVPARGVAAEMGVPFYMFFTSPWMTLSLFLHLPGIDAARAGEHRDATEPIRLPGCVPIHAHELPASMLADRSSDTYAGFLSMAKAVKGVDGILVNTSRDIEPAVGEGLGGLELPVHPVGPLVWTRPVGVDRDHDCMRWLDRQPRRSVVYVSFGSGGTLTWQQTAELARGLELGQCRFIWAVKRPHQSSTIGAFFGTQKGEDISLDFLPEGFMERTRGMGFVTQSWTPQTAILGHPSVGCFVTHCGWNSILESVTNGVPMIAWPLYAEQNMNAAMLEVQVGVAVRAKVGVDRFTSKEEVVSAIQRVIVGKEAERMRKRASELKDKVVHALSKDGCSTRTLAQIAKSWKCINRK >KQL13512 pep chromosome:Setaria_italica_v2.0:III:4893123:4894666:-1 gene:SETIT_021923mg transcript:KQL13512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPERKPACTARPRVVLLCSPCMGHLIPFAELARRLVSDHGLAATLLFASATSPPSEQYLAVAAALPDAVDLVALPPPPPDLLPSSAPERARVELAVASNLPRVRELARELAADAPLAALVVDMVGVPARGVAAEMGVPFYMFFTSPWMTLSLFLHLPGIDAARAGEHRDATEPIRLPGCVPIHAHELPASMLADRSSDTYAGFLSMAKAVKGVDGILVNTSRDIEPAVGEGLGGLELPVHPVGPLVWTRPVGVDRDHDCMRWLDRQPRRSVVYVSFGSGGTLTWQQTAELARGLELGQCRFIWAVKRPHQSSTIGAFFGTQKGRSGSPGQGWS >KQL14694 pep chromosome:Setaria_italica_v2.0:III:12977423:12978234:-1 gene:SETIT_023521mg transcript:KQL14694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWRLARQTETPTGGDPAADGGAEARSVRCECCGMAEECTPTYIGRVRERFQGKWVCGLCAEAVKERQAREPALTVARAVEAHAAMCERFNSTVRLNPKLSLASSMRDIARKSGQRRRRSSISGATAAAVPPPLSACGGDNKLARAASCALPYV >KQL13431 pep chromosome:Setaria_italica_v2.0:III:4447394:4447939:-1 gene:SETIT_025602mg transcript:KQL13431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPEQQFIQDISIHRLIRITSKMTEWLGQKSSAGQFLKFPPLTAT >KQL17350 pep chromosome:Setaria_italica_v2.0:III:49900640:49901768:1 gene:SETIT_025189mg transcript:KQL17350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADDAPPVADGNYGVLPADMLYYILLCLPANHLCRLRLVCLSWRSLTSDPLFARAHSSRHPHVAALHCYLQALDVMDLHDKIVVRRIYLRQPSFCLVAQHNLICVGYTHAHYTAWRRGACVLSLQQGTGSTIADLSMEHDMSGRCRMPPTFKLGHVPSTGEYKVIHLYMPMAPDNSMAMVDRCHVMTLGSGDGRWREMRKPPDSCRVAVVSGVAYFLADQCCSDMEPDSIASFDLATEEWRSRILQGPVQPSTKDEVRMLVELGDCLVMVHHNLKDLITDLWFIEDMNKSLWTKRCSIRWGATLLGVKRIERVRPLMVSDDGRIVFWIPAGAGVIGAYDPRTSTWVDLAKMGHYLTVATHQGMSLLLS >KQL15490 pep chromosome:Setaria_italica_v2.0:III:20824832:20827494:-1 gene:SETIT_025147mg transcript:KQL15490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAPADEDGSPRKTKQGGFKTMPFILANEICDRFATAGFNANLITYLTQQLHLPLVEASNLLTNLNGTAAFTPVLGAIVADSGAGRFWTIAGGGALYLLGMLGLVVSALAPALRPAPCGAAAAAGAPSTCQRANGGQLAMLYLPLLLTALGGGGIRPCVVAFGADQFGQRGRRPGGDQKWSYFNLYFFSMGLAVLLALTVVVYIQENVGWGWGFGIPAIAMFLSVLSFVVGYPLYVKVKPEGSPFKRLLQVVVAAFKKRKEDVPEDAGLLYHNKELDAPIAADGRLLHTDQLRQLKWLSLFILAGLQPAVLTTDDVADSGEPHLWRVSTVHRVEELKSIVRMLPLWAASITLIAAASHNFTFAIQQARTMDRHLTPSFQIPPATMIIFTTLTMLVSLGLYDRVFVPLARRHTGRRSGITYFQRMGAGFAVSVLGVMAGAFVETKRRSVAAEHGLLDSPNAVVPISVFWLVPQYALHGMSDALSTVGHMEFLYDQSPESMRSSAAALFWVAGSLGNYLGTVLVTVVQSASKGVWLQDNINRGRLDYYYWLVTFLLVLNLVYYIVCFHFYTLKTFEVDAGDEAQRPRDGGGEQGGEWQCPLLDDEASAVGSL >KQL16210 pep chromosome:Setaria_italica_v2.0:III:34390991:34392465:-1 gene:SETIT_022379mg transcript:KQL16210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAYSSHHNFSVDEINRVLERLQRMSCDLREFIMLLQNCQPIHRPLGTNIFRDGQMFGRHVEKERIINFLLHKGDQSTGELGVLPIVGRNGVGKTTLVQHACDDARVRNHFPVVLLYNFSCTYDVKKSEGTPNDPLVYVKRNSFCDKRCLIVFEEVDVHRKQILDGFLQSLRCGKESKVIITTNSQRVANIGTVEPILLTALPSHAYWFFFKAHAFAGRDIEDNPRLMATGKEIARKLNGSFFGAKMVGGVLRDHPDPKFWCKVLRSNIGGMYPLGDGIHYISDLADNLLPGHVDMCKVTISKEPFPPETTALARLKDLCSAVPHGSMMACWEDDVRFTKVLLCKSVLPFFNQYYIARCSCTCTVGSANSCFV >KQL16012 pep chromosome:Setaria_italica_v2.0:III:26999973:27001399:1 gene:SETIT_024806mg transcript:KQL16012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGLDTELLPQIDGLSEVAYYPTATDEAGIGQFNQMGLPETLAEQVPPMQMSSSASVLMPLTSDYDECYTAALAVGGFMGLDGAMYQQTGAILPGCNAEASQQGFFNSSSSNSTVMIGEYQKMMEDSMQGTFNTNAEMLVGGNNQHLINGCNGNPTTLPPTELSGLEDSTFKVVRLYIKKRNERNFSKKIKYACRKTLADSRPRVRGMFAKNDELCEAAQSGSQSHKHYEQIDHMKEEDMMDTSDILAQLSGLNSYNYKYKCTIESWI >KQL13783 pep chromosome:Setaria_italica_v2.0:III:6708256:6709851:-1 gene:SETIT_023616mg transcript:KQL13783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDKAAVAVQAGGDAQQQKPGAGNRIQVSSSKKPLFFYVNLAKKYMQQHGDVELSALGLAISTVVTIAEILKNNGLAVEKKIRTSTVEISDEMRGHSIQKAKIEIVLGKTENFDELMAASAGEATAGDGEEQS >KQL12695 pep chromosome:Setaria_italica_v2.0:III:444352:445988:1 gene:SETIT_023265mg transcript:KQL12695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAATVDSASLATFTLSPTSSLAYNLTVGITVRNPNKRVGLYYDNVEALALYKDQRFGYAPLDSFYQGTEASTKLTPGFHGQQPLQGDVTAAEFRKEQTDGNFAINVGLNAKLRVKVWAFKVPGPKAKITCKLSVPAPGAANGSPFQPTDCKLW >KQL15982 pep chromosome:Setaria_italica_v2.0:III:26507958:26508427:-1 gene:SETIT_025788mg transcript:KQL15982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFACKYKKIHCVTIRHQCMILHSIEADCSEQDFLRLHYRKC >KQL13887 pep chromosome:Setaria_italica_v2.0:III:7465737:7466274:-1 gene:SETIT_025387mg transcript:KQL13887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPAQPGRRRAHPPARPPAMPRRPALLRRLPCPALHAAAHRPCTAAVAARVRLHAGSSKHAVAIGRAPCSTASAGPGPSSARAAGWAGLLYPGAGDRRERREREKEEEIFYSLTSGSHMSWGAGSAVAPLPSKL >KQL17346 pep chromosome:Setaria_italica_v2.0:III:49886790:49890657:1 gene:SETIT_022645mg transcript:KQL17346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKRRRRLRLSQSRRLWLPHLTPFLAHLSELPNAKQRRRRAGGRRGGLFPFSCAHPVPTARVVPLRPNRGRRRRRHSSPLRCYHCSKKMVAAAFTVDLDKPLVFQVGHLEEQYQEWVHQPIVSKEGPRFFQNDVLEFLTRTKWWAVPLIWLPVVCWCLSTSIQMGHTITEVAMMVVFGICLWTLIEYVLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAAAAILCFPFWNLIKLFSTPSTTPGIFGGGLLGYVIYDCTHYYLHHGQPSSDPAKHLKVLTSF >KQL17347 pep chromosome:Setaria_italica_v2.0:III:49886790:49891283:1 gene:SETIT_022645mg transcript:KQL17347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEKRRRRLRLSQSRRLWLPHLTPFLAHLSELPNAKQRRRRAGGRRGGLFPFSCAHPVPTARVVPLRPNRGRRRRRHSSPLRCYHCSKKMVAAAFTVDLDKPLVFQVGHLEEQYQEWVHQPIVSKEGPRFFQNDVLEFLTRTKWWAVPLIWLPVVCWCLSTSIQMGHTITEVAMMVVFGICLWTLIEYVLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAAAAILCFPFWNLIKLFSTPSTTPGIFGGGLLGYVIYDCTHYYLHHGQPSSDPAKHLKKYHLNHHFRIQTKGFGITSTLWDHVFGTLPSTKSVDKST >KQL13575 pep chromosome:Setaria_italica_v2.0:III:5316908:5318608:1 gene:SETIT_024402mg transcript:KQL13575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGEDATPEAPFRNVPRRRRSASRPPKEEEELGGNAAPGRGGSSAPPPPGPLSRGDKGPAVAPSAACDKKRPWSDRGGADEHVRRPEKRHRPLRAEDAKNGGGAPPAPSRATDGAVASASSSRERPADWAEKERIRKGKMPASPPRMSAYDDDASVARRPSGPGGGKLLGDAIRSHGGTKNEPPRRPKPKKRKDCGTPFWYGMGRDGQSTSAAGSDADHSFFKMGAVVSDMLRATLASLGVDAAAPERVYGRLLSSCDRNIHQSRLQMSCKSWRADPGEYPLCAFLTEAEKKKAHGEGLKVEAYDRRGEKYEITIRYLESNRSYRLTNKWGHFLKDNGLVVVKGGGSKAGPGPKHVMLDLWLFRPPGGEVGMVILHYFKGDAAHADAALGEEEDRRASRRGNDDSMAAEAEAEASSPLEPDGGAGGSGNDAAMEEDIADGANGKGEDGGGGVKSEAASAPSPSEEPDGGAKAAAGEEQAGPLSSPDADGTKMEEDEAGGAPEETAAQLSPVASGAAKVETGGAPGEADGTKTAEKEASAGMTEAAAGQSSASGTGGAAKAVDKEP >KQL17424 pep chromosome:Setaria_italica_v2.0:III:50349380:50353592:-1 gene:SETIT_023498mg transcript:KQL17424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSPSRKALSKIACNRLQKELAEWQLSPPAGFKHKVSDNLQRWVIEVTGAAGTLYAGETYQLQVDFPEHYPMEAPQVIFLNPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDTV >KQL12840 pep chromosome:Setaria_italica_v2.0:III:1124901:1128862:1 gene:SETIT_021192mg transcript:KQL12840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRESKSMCLKRKLVEDRLSKECKSRRVKAENGPSFDSSAKRCNCCCIRPNLANDCVNFLKSGVPNRIMYYKQGSWHNFPEQIMKFLIEEFSGNKSSVVSVLDDEPILIDFLSMTMVNLKSRKQRSVAWIDDTSKCFSPSLFFDEESNGMVKGDAANVQGTAQGIMLDKAVNSPPEVVKQVVVESSQKPSVADILRKKIIYVERGSKDFLFVQDLFLSGMGPFAKPDNLLHAYRYSPNDITAQCRLQAFEKQIMSTKEERGDANVRYGWLGSRKNDIVRILINGLGTTGKPADKSDLSSGVYLSPEDRTFTSVGLCDVDEKGVQHVLLCQVILGNMEAVEPGSQEFFPSSDVYDSGVDDCLNPKCYVMWPSHLSTHMRLEYLVSFKLAPDVRSYLLHLKGLWFRPSPKEVAVDISTLQPAPCEIGEAPTTPWISFKVLFGLVQDNISSIARELLFHHYEEFKESKITREEMVKKMMIIVGQKILLEALDKLHYCPSLWYKSSVKAVPSDPARMTAAEQLSLDGTSRDCSLTLSGNHFDSYAPNAVAEHSAALSTKGCSALPTDMVPKGHDCPAQSAVPKFSSSAGAKSPGSQGVEPKCRDSPSRQVMSLGNSATRCARYQDPIVTRMPPISRDGLLRMTSGISASPGMEVCNSVAPTTGLPGCASLARINTSKSHGIMAPGFAPSPKGCESVVPSLALGNSKGVGAKCLNSAPVTPGGQEFLSLSIASQNPVPCSVKGPDGSALAARPPPYAPGRGHSPSVSPGVHDSLTLSITAKGHSPSASRAEPKLYDSPTAAPMPESHGSQDMDVDNKVHNAPPPITGEPKDQAAQNKLPGSGLEASSVRAADTLIALSTPREKGEH >KQL15049 pep chromosome:Setaria_italica_v2.0:III:16055871:16056074:-1 gene:SETIT_025252mg transcript:KQL15049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFLSFKQVAYLCTTDCNSVYHRVATSYNSVN >KQL13249 pep chromosome:Setaria_italica_v2.0:III:3405686:3408372:-1 gene:SETIT_022716mg transcript:KQL13249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIKELRSKQEEIEGLKSLVTNACEEKDMREMAAEELLETVEEEKRLQHELFRSLLPKDEADERDCILEVRAGTGGEEASLFAMDIFRMYEKYAQKNGWKFDVIGIMESAVKGYKEASGTISGPGAFGKLKFESGIHRVQRVPVTEKSGRLHTSAVSVAVLPQADEVDVQLRNEDLRIDTYRSGGSGGQSVNTTDSAVRVTHIPTGTVVAIQDERSQHMNKAKALKILRARLYEIERQRLHMNRSKLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSIADVMEGENLDVFIDALLLQEEMDAIASFAA >KQL16299 pep chromosome:Setaria_italica_v2.0:III:36771781:36773151:-1 gene:SETIT_025253mg transcript:KQL16299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAESSVHQLPIRIVTRRLVKASDPSISPHAAAVSNIDLYNSTGQFSFVCLYPGELPNKGATSSFDDVVAAFAAGLPSLLNHFYPLCGRIAADPSSGLPELHCYNQGADLVVGEVDATLGSLDFGEAESLKKLTLPFPDDLLSFACGRFAVVWGINHLHADVFAAAQLISNWSELSRSGTIAMPSHDRSAFFRPRDRPSYGARVGELLTTFDGEHRLVNVLTAHDSFVERLYYVEAVDLDALREAAGASRAEALSAYLWKALAGIVAASRVPDERCRMGWWVDARHRLAAAMPSYFGNATAYTAGDAAVEEVRRKPLADVAAMVREAVTAVDYDEYVQEISDWVEEHKEEMFVESAILGLGAPTLSQTEFASSPIDTDFGFGQAAIAMPVFHYSRMSSGLMAIGARPAGGDGSWFVSACVWPRLAAALESDEQHILKPLTADYLGLV >KQL14639 pep chromosome:Setaria_italica_v2.0:III:12588518:12589364:1 gene:SETIT_025086mg transcript:KQL14639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPLHGSGQQPSPQLPGYLASPVAAAPQQACTNPIAPGLGAMSCPPPAAAVDWASLLLPCAPGSLHVGTTMPQQAVAGAGAGAGEVESGGGGAVVVAGGCGGSSSSTSGDGDKATRAGGGKGGGRGRKKASQPRFAFQTRSENDILDDGYRWRKYGQKAVKNSAYPRSYYRCTHHTCNVKKQVQRLAKDTGIVVTTYEGVHNHPCEKLMEALSPILKQLQLLSQLQCSTNQLI >KQL13834 pep chromosome:Setaria_italica_v2.0:III:7027668:7028207:-1 gene:SETIT_023762mg transcript:KQL13834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTLLQVVASSEDSGDVDTALQSITKDCVLPIIKKAVVAATPLAMITTSCKDMMMEQMTQQVDTSFEDVVVVEDASDDEKLSQVKVEEARLTEDPPLKV >KQL12723 pep chromosome:Setaria_italica_v2.0:III:600807:611390:1 gene:SETIT_021020mg transcript:KQL12723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRFASGVGPSRAAPRPGLQIGAAGNGFRTCSLRRPRHHGGGGGGNGNLMFSHALRGCATSGGGLFYLASKHGSPLGFRIRGRPPRCQGNDSLGYVDGPLEGTKGSGEVNDDEATSSDSDDEKGGGGREVDVDGLKEMLQRSRNELEVARLNSTMFEEKAQRISESAIALKDQADNAQRDVSAAVALVQEIISKEDDAKEAVQKSTMALSMAEARLQLAAEALEAKRGSVGPMEVSFEGVEEESLASAQEEIKDCRAVLSKCEEELRRIQEKKMELQKEVDRLTEVAEKALLDASKAEEDVANIMVLAEQAVALEMEAAQRANDAELALQKVEKAISSVDTVVELPSAADEQKKAEEDGVSEGYEYSSNGTDDISVRDELSNIERLMVGDLAVEGIEQLEPSREISDEASSDKTFVEPQKEADPDVDKSKQGKKQETERKEYTTLLKRSSRFFSASFFSSKVDGEFTPTSVFRGLMTSVQKQAPKLVLGIFLLGAGAFVLNRAEKSQLFQQQGITTSIGKVTSTTKPIVREIRQIPQRVKKLIELLPHQEVNEEEASLFDVLYLLLASVIFVPLFQKIPGGSPVLGYLAAGVLIGPYGLSIIRNVHETKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLATTAAVGMIAHRFAAVPGPAAIVIGSGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEAMGMAAVKAVAAITAIIAGGRLLLRPIYKQIAENRNAEIFSANTLLVIFGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPAISVILGLLIIGKTLLVTFVGRLFGVSTIAAIRVGLMLAPGGEFAFVAFGEAVNQGLLSPQLSSLLFLVVGISMAMTPWLAAGGQFLASKFEQHDVRSLLPAESETDDLQDHIIILGFGRVGQIIAQLLSERLIPFVALDVRSDRVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRAVWALNKYFPNVKTFVRAHDVDHGVNLEKAGATAVIPETLEPSLQLAAAVLAQAKLPMSEIQETINEFRNRHLSELTELCATSGSSLGYGFSRVMSMTKSKSVTSDDESETVDGALAI >KQL14232 pep chromosome:Setaria_italica_v2.0:III:9787713:9789577:-1 gene:SETIT_021806mg transcript:KQL14232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKLLLPFPSPPAALHPAAAAVPKSLFLGASLPLHPRAPPPFPLRLRPRPALVVAQAAVKRRKEVPFDNVIQRDKKLKLVLKLRNILVAQPDRVMSLRDLGRFRRDLGLTRKRRLIALLKRFPGVFEIVEEGVYSLKFRLTPAAERLYLDELQLKNESEGLAVTKLRKLLMMSQEKRILIEKIAHLKHDLGLPPEFRDTICLRYPQYFRIVRMDRGPGLELTHWDPELAVSAAELAEEENRAREAEERNLIIDRPLKFNRVKLPKGLKLTRGEARRIGQFKEMPYISPYADFSHLRSGSAEKEKHACGVVHEILSLTVEKRTLVDHLTHFREEFRFSQSLRGMIIRHPDMFYVSFKGDRDSVFLREAYKDSQLVEKNKLVLLKEKMRALVVVPRFPRRGGARTSEEAEGANGAVRLSDEGSDEEYDEDEGLSDMEDFISELSGGKSDGGYQWGDGWFGENDDAPPDFADDDSSPQEVEVTMKNTDGSANGRAAVPVFPDGRPRERW >KQL16034 pep chromosome:Setaria_italica_v2.0:III:27251231:27255258:-1 gene:SETIT_023888mg transcript:KQL16034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSPYVSMFRAVDPACNYGFILCPDSETAQFLRAHYVTVSLEKIYFDVVSSVKLMPFDDDINQHRRVLKWI >KQL16874 pep chromosome:Setaria_italica_v2.0:III:46154498:46160286:1 gene:SETIT_023367mg transcript:KQL16874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPMPMQPQPPAMTPAAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQTQLQKNLLYLAAIADAQPQTAVSRPQMAPPGASPGVGQYMSQVPMFPPRTPLTPQQMQEQQLQQQQAQLLNFSGQMVGRPGMVNGMPQGLQVHQTQTPAAVSKLDAGGAASEPSGTESHRSTGGDNDGGSD >KQL13154 pep chromosome:Setaria_italica_v2.0:III:2868241:2869672:-1 gene:SETIT_022266mg transcript:KQL13154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPAASPGRAEKPQLPAPAPPGLARLLLSKSRRGGRSRRAPATSPMFVSRGRSRAADGEPSSPKVTCIGQVRMRKGKKGKKAAAAPEKGGAKGYCRCLKKAFLCGGLFEFDSRKRRQKAPSPEVERARRSPWVFSSRDVAGHDVDDDEEMVVGVGVFGSIGREEGEKMGISGDGDKQEEDDDKEEREAQLVSSATTTPPKNALLLMRCRSAPQNRTSPLTSRFPAAAPAPVPSPSPTRDALAAVALEIAGSPSPSPSPRKPEKASPAPRKPSAEKVLLADEDGAERQEVAVAAQEQDPAPQLIGGQAEDDEEEDDDEFEEEDESIRCSSARPLVLQRCKSEPARTAAAKMAGGGPVADATSAGCFWAHGGSSGRRRHAPPPGAGAPVALTGH >KQL14704 pep chromosome:Setaria_italica_v2.0:III:13027857:13028909:1 gene:SETIT_024558mg transcript:KQL14704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVGSVRRWISCTLLIAAIFLSFDHQQACAAGAYGVCYGFIGDNLPSRAEVVQLYKSRNISAMRIYHPDPEALAALRGSGIALVLDVGGVDAIRALAGSAAAAAVWVEANVQAYYPDVLIRYVAVGNEVPAGDDAAGLILPAMRNVRAALAAAGLAGAVRVSTAVRMDVITDSFPPSRGVFSASAGRHMPPVARFLADTGAPLLANVYPYFAYRDNPRDIALAYATFQPGAAAVRDGGSGLAYTNLFAAMVDAIHAALEKAGAPGVGVVVSESGWPSAGGFAATVENARRYNQGLIDQAYRGTPKRPGVLETYVFAMFNENQKPGDPTERNFGLFYPNKQPVYPIIFPN >KQL14769 pep chromosome:Setaria_italica_v2.0:III:13743066:13745287:1 gene:SETIT_023676mg transcript:KQL14769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVESAPDSPAQAPPSSASSLPKEQSQVELELRLLQALEFYPPSKLKGVHRHFVLYGLMEYLRKSLDRQFSADEVLQLLDRFFNLEMLKPEDDEKDNFSQGEEFSLPESFFNKEE >KQL12890 pep chromosome:Setaria_italica_v2.0:III:1480382:1481398:1 gene:SETIT_024777mg transcript:KQL12890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCLSAPDDDDAGGGLDPVSGTHQFTIRQYSQTKGIGSGKSILSRYFTVDGRTWYVRFYPDGYTPNSQFVALYVQTLYKPHCRAVRARFTFELLKPDGTVGYARRSDRPCSFDRYCNCWGFRVFVTREALEGADLGVLHGDSIKVRCTVEVVNSRRKNRGGNQARAAAAAAAMAPQSDFAANAMRFLKSGRAPFDVKFSVGGTVFEAHGLVVAAQSEWFATALYGHGDEGRWAEAGMQCITISDTTPEAFEGVLYYIYHDELPEELIKASGDEAAMTRELFDAADMFLIERMKRMCASRLRRFIKEDTVRSIMELAQAHSCKELEQACQTYLGRRRP >KQL13361 pep chromosome:Setaria_italica_v2.0:III:4015384:4021002:-1 gene:SETIT_021199mg transcript:KQL13361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAASVSAADLAAVKTSSNGVWQGDDPLRFAFPLLILQALLILVLSRALAFLLRPLHQPKVIAEMVAGILLGPSALGRNGAYLRALFPPWSAPVLESVASLGLLFFLFLVGLELDLRSVRRSGRRAFAIAAAGISLPFACGVGVAFVLRRAIPGADQAGYAPFLVFMGVALSITAFPVLARILAELKLLTTPIGETALAAAAFNDVAAWVLLALAVAISGVSGRGPITSLWVLLCSAAFVAAWMAAVKPAMAWVARRADAAGEGGGGEAWVAVTLAGVLASGFATDLIGIHAIFGAFVFGLTVPKEGAFAGRVTARIEDLVSELLLPLYFASSGLKTDVATIRGGAAWGMLALVIGTACAGKIAGTFGVAMACGMSAREAVVLGVLMNTKGLVELIVLNIGRERKVLNEETFAILVLMALVTTFITTPTVMAIYKPARAAGRRRLHHRKLQGAVPSTASAPSSPSASAGGAGAGAKELRVLACIHGGQDVPALINLIETIRGHTQPRRLVKLYILRMIELTERTSSILMVRAARRNGLPFFRPRRAGEPHDQVDVAFDTYAQLGHVSVRAMAAVSALHTIHDDVAAVAEDKRVSLVVLPFHKRHTGHGDDEENLGPEWRAVNRRILREAPCSVAVLVDRGFGGGEQVSSEQVAHGVCVVFFGGPDDREALELAGRMAEHPGVHVTVVRFVDGKAGSEEQSEVTLRPSNTKNADRSYTFSTAIVDTRKEKELDEAAVAEFRQRMGSLVRFEERVVVGNMIEEVVSIGKSREYGLVVVGKGRLPSPMVAQLAVRPAEHPELGPIGDALASSCHGVMSSVLVVQQHDMSNADEVPVSVVVDGHAHDGEFAKDMAEP >KQL16644 pep chromosome:Setaria_italica_v2.0:III:43284012:43285631:1 gene:SETIT_024041mg transcript:KQL16644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQQPPGEAAMAEDQQKPGYTAMVEDQQKKPDEAAAIARYPVEEVAEAVAILNEITASLETDRSVRDGLLDLLVGFGKGRGDARAVASRVAGLLGGHPDVLGRFTAFITSAKAPAVPPGPLAVTRAPRSSTRKNETDGQRCRSLPAVKEEPDAQDIRSQRKSEAGGHGDGGHKRDDPRVTEAEAFLKRVPKIAGYDVWNKLLAVLDLHAGDQFADVIYGAAKNALGPAHAALLGEFASTFLPGKKEWEEQVRREARCEAQRNRRRAAAARRAADNQRPTRADDDCDGNHQQHGLRIGGERSGSGGTAARGEFDGQHATLEVVKKRRADGGDHRGHDDDNYAPRFRNKKPRADNGGGHRRHAVSSNGEPSGSGVAARVLDAGVRGHGDKKPRRRAPNGGKGSSAAAAAALPPGTEPSDREAMFRRFRGLWVFYTRYSTLVETMARVAELLHGDGGGFPSSVEELFPHREHRKLLDSYYGDHWGKMRAALEDGDSTGPALEAVLRRLKLREEEAVAEEARERRRNDAERAAQRLAGLVVDM >KQL15875 pep chromosome:Setaria_italica_v2.0:III:24939424:24940148:1 gene:SETIT_025325mg transcript:KQL15875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYDDGVFIIESTFTLLYHAYLNMVAAKAHVVAVPVEYRLVPKHQLPAAYDDSWQALNWVTRNTTSEPESWLWDRGNLSRLFVAGDSAGANIAHNMAMRVGTEDGLDGGAAITGLLLLDPYFWGKEPMVGRRRTRVVSSRMAVTSSGLDDFRPQDLAYAAVLNGSGWGREVEQYETPDERHVYFLDRPKDPNSVKELAFVTSFQ >KQL16886 pep chromosome:Setaria_italica_v2.0:III:46449979:46451668:-1 gene:SETIT_024948mg transcript:KQL16886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGGGGAALSPPCGHPHGGALPALLAGLARRATTPAAARQLQAQLLLRGLPLPARAAVVLIAAAVPAAPENVYLWTATIAAYARHASSSSSPSAAAEALALFQLMLRRGGARPNAFTASTVVRCCSARRTALEGLQVHGFLGKAGLGRSAHVGAALVDMYGNLGQVANARRVFGEIPARNVVVGNTMVACYVRAGDVAAAREVFDGMAGKDSISWNTMMTGYLRQGEAGVVRGLFEEMPERNVNSWNMMISACSEEGSWADAISVFNQMRLSGFEPDAATMAILMSSCAQLGSLSVAGQLHGILKKGHVEMNCHVQNSLADMYAKCGSISQAYLLFLETHPRDAVSYNVMITALAHHGHGREALQLFNEMAEEGLHPDSVTFLGVLSACAHAGLVHDGKHCFESMRTNYAIEQSLDHYACMVDLYGRAGLIEEAYFLVQTMPMKPHAGVWGALLNACRKHCQLEVGKIAARELITIEPRNPGTYVLLANTLARGQQWDFVEMVRQSMRGKGIDKTAGCSWVEVDSVVHEFLMGDFRHPNSDEIYSILEH >KQL14705 pep chromosome:Setaria_italica_v2.0:III:13029770:13034010:1 gene:SETIT_021172mg transcript:KQL14705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYRFQNLLGAPYRGGDAVFAGDSSVLLSAVGNRVASTDLAASSSLTLPFESSSNVTRLAVSPSGDFLLAADDNGRALYANLSRRAVLHRVSFKGAPSAVRFSPDGQLIAVAVGKVVQIWRSPGFRKEFFPFHLLRTFPGFAAGVTAFDWSPDSAFLLASCKDLTARLLPVKNGLGGKPFLFLGHRAAVVGSFFATDKKTGRVKGVYTVSKDGAIFTWNLVEGNEDNDASPPPSPGTPEQQLEQNDAMELDGGSRKRKNLGELGKSNTTPLHLAKWELQEKHFFMQSPAKLTACDYHRELGMVVVGFSNGVFGLYQMPDFVCLHLLSISREKITTAIFNSLGNWLVFGCAKLGQLLVWEWRSESYILKQQGHYFDVNCIAYSPDSQLLATGADDNKVKVWTVSSGFCFITFSEHTNAVTAVHFMANNHSLLSASLDGTIRAWDLFRYRNFRTFTTPSPRQFVSLTADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHEGPVHGLMFSPINAILASSSWDKTVLLWDVFESKGAVETFQHSHDVLTLAYRPDGRQIACSTLDGLIHFWDPSDGLLMYTIEGRRDIAGGRLMTDRRSAANTSIGKYFTTLCYSADGSYILAGGNTKYICMYDVGEQVLLRRFQITRNLSLDGVLDFLNSKKMTDAGALDLIDDEDSDVEEGIDQQTRGNLGLGLPGSMANRGRPIARTKSVKFAPTGRSFAAATTDGVLLYSVDESFIFDPTDLDIDVTPEKVEEALAENQHQRALILSLRLNEDSLIKKCIFAVDPSNVRAICAAIPYKYLKRLIDVFAELLESCPHLEFILMWPQELCKVHGHYIQQNSRTLLPALKSLQKSITRLHQDMADTCSSNEYLLRYLCSAGTKN >KQL13323 pep chromosome:Setaria_italica_v2.0:III:3800275:3800981:-1 gene:SETIT_024755mg transcript:KQL13323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKRYYLEARRPFLVHDVGGEYDEPQSQYSVAGRSLTNETIGLLQDHRCLETPQGWVLALHPATLRAFLWRPEDGERIALPDMARRTWRRTWPGATASPPLIDVDMVDIPESFPFWSSSLVESRGELFLVVVFFDGPNVHKIAEVAVYKMDFSAPAWCKVGGIGDDRVFLLGGDRIGVSNFGASCAAAEHGLTGNCIYFLNHIATK >KQL15193 pep chromosome:Setaria_italica_v2.0:III:17735131:17736627:-1 gene:SETIT_024872mg transcript:KQL15193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGQRFANRVLGIHRFINRKLVGRLLPGGTTASTVTAAAAAPSPLVRRLGSNALVVDADALLLKPSPGAAFPPYFLVAVEAGGYVRGLVLLALYPVLHVLRHEARAKAMASVAFCGLRRDEAARVGRSVLPKLFSREAPGVHAIDEALNALPERVKVVAVSRTFPTVMVEAFLKEYVGFDAVAGRELKGGPRYLTGVTAELNMERLSRVLKQTEKTSCGSYPKPVVFHDGRLAFTPTPAAALAMYIYFPFAVLLAIVRIAIYVLLPWRVSSVVAGLTGVRVRVIGAAPAAADGSGGDDEGTSNKPHGGRLYVCNHRTLLDPVGIACALKRPVAAVTYSLSRLSEVLSPIALRRLTRNREEDRRRMSSMLARGDDVVVCPEGTTCREPYLLRFSPLFAELAPEVNPIAVDARTAVFYATSTSPVAKSFDSVYFLMNPRPEYIVRFLEPVDTESGKSSIEVANEVQRSLASALGFEGTALTRKDKYLLLAGNEGVVKTK >KQL16687 pep chromosome:Setaria_italica_v2.0:III:43998330:44000516:1 gene:SETIT_021339mg transcript:KQL16687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLLVLLVLASLLGCATPTVTRHERPSRSTYIVRVHPPPKFSSDMSSTNLETWYRSFLPPLLSTSRPRTPFIHTYREAILGFAVNLTKHEVEYVKKRDGVLKVYEDYLVPLLTTHTPEFLGLRQDGGVWSSTGMGEGSIIGVLDTGIDVSHGSFDDEGMKPPPEKWRGSCNFGDVKCNKKLIGGRSLLGRQLNPEDSAGHGTHTASTAAGRSIEGASVLGNGNGTAAGMAPHAHLAVYKVCNDFGCFASNIIAGLDAAIADGVDILSISLGGKSLPFDEDIIAMGAFSAMRKGIFVSCSAGNSGPSSSTIQNEAPWVLTVGASTIDRRMEAIVKLGDGRSFVGESAYQPSNLDSLPLVHELDSGDAKGKVIACDLGGSLSQLKLGKTVQDAGGAGMIALGKEESGQNTFAAAHILPASYVNSIDAAVIRQYIKNSDKPTASIVFNGTLLGTTPAPVVAYFSSRGPSTQTPGILKPDIIGPGVNIIAAWPFRVGPVTTDGKNMAFNTLSGTSMSAPHLSGIAAIIKSAHPDWSPAAIKSAIMTTSYVVDDHKKPILDETLNPAGHFSIGAGHVNPSQAVNPGLIYDIDEEQYISYLCGLDGYTDFRVEIITHRKGVCGEGRKISEAELNYPSIAVKASTGELVVSRTVTNVGDAKSSYTVDIEMPKEVTASLSPSVLEFTKVNEKKTFTVSLTWDANAIKHAEGSFKWISDKHVVRSPIVIF >KQL13929 pep chromosome:Setaria_italica_v2.0:III:7800140:7800653:-1 gene:SETIT_023726mg transcript:KQL13929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPHCHPTTDAPCPSPLRAPCRLARRRVGPLLGYCSGAASRPRQRAASHAQLQAAAIPVRPPAAAPALRIAQQIAACLLASPCHWPPAAPSGRGFAATRSQLRRP >KQL14544 pep chromosome:Setaria_italica_v2.0:III:11911310:11912126:-1 gene:SETIT_023686mg transcript:KQL14544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGAAAVPTSRFRTICVFCGSSAGHRQVFPDAALELGHELVRRGINLVYGGGSIGLMGVIARTVDAGGCHVLGVIPKALMPIEVCIFCTLSSVDGIELFQSIDQGFIRGCMQ >KQL14181 pep chromosome:Setaria_italica_v2.0:III:9389261:9392253:-1 gene:SETIT_022951mg transcript:KQL14181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSTIADGDVTEEAKGQEADQGSSEGSHGTTLQDTMERDIRKGKAALESTELMREDLVQSAVSFLKHPKVVTSSDGQRRSFLENKGLTVDEIDEAFRQLQSPSSNSLSANTCTSQGVSNHSCRITQETEVGTKCMDGSERVEPETEPVAPVVPCHPKSYMEIMEMIQRGERPDDIQDINDDPPNPDQPISEPRMAPKPKPWEKQGQESLAWDLKSPSSVSSDLRSEVQHDITNKAVESADGSNHGDSLVQAEVDAGLESPTDDAASLK >KQL14182 pep chromosome:Setaria_italica_v2.0:III:9389329:9392175:-1 gene:SETIT_022951mg transcript:KQL14182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSTIADGDVTEEAKGQEADQGSSEGSHGTTLQDTMERDIRKGKAALESTELMREDLVQSAVSFLKHPKVVTSSDGQRRSFLENKGLTVDEIDEAFRQLQSPSSNSLSANTCTSQGVSNHSCRITQQETEVGTKCMDGSERVEPETEPVAPVVPCHPKSYMEIMEMIQRGERPDDIQDINDDPPNPDQPISEPRMAPKPKPWEKQGQESLAWDLKSPSSVSSDLRSEVQHDITNKAVESADGSNHGDSLVQAEVDAGLESPTDDAASLK >KQL14180 pep chromosome:Setaria_italica_v2.0:III:9389814:9392175:-1 gene:SETIT_022951mg transcript:KQL14180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSTIADGDVTEEAKGQEADQGSSEGSHGTTLQDTMERDIRKGKAALESTELMREDLVQSAVSFLKHPKVVTSSDGQRRSFLENKGLTVDEIDEAFRQLQSPSSNSLSANTCTSQGVSNHSCRITQQETEVGTKCMDGSERVEPETEPVAPVVPCHPKSYMEIMEMIQRGERPDDIQACLNFLLSSINAPTRELCSFIIL >KQL14473 pep chromosome:Setaria_italica_v2.0:III:11443256:11447033:1 gene:SETIT_022782mg transcript:KQL14473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLWIAKVKEGQHLAEHELQSLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPDTNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGTVLCVHGGLSPDVRTVDQIRVIDRNCEIPHEGPFCDLMWSDPEEIDTWAVSPRGAGWLFGTRVTQEFNHINKIELVCRAHQLVQEGLKYMFDNGLVTVWSAPNYCYRCGNIASILSFSENMEREVKFFTETEENNQMRGPRTAVPYFL >KQL16970 pep chromosome:Setaria_italica_v2.0:III:47185967:47191718:1 gene:SETIT_021367mg transcript:KQL16970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALATSVGLNLALTVLLAAAYTLLRGRPPYAEVYAPRRPYAPLEPWLPAAWRRSEDDIHAAAGLDGVVFIRIFVFSIRVFAAAAVLGVGVLLPVNFLGDQLREIDFSDLPYKSIDLFSVSNVQDGSKKLWLHFSAVYIMTAITCYLLYHEYKYISCKRLEYFMTSKPLPQHFTVLVRAIPVSDGVSVSDAVDKFFREYHSSTYLSHTVVHQTGKLRRLLNDAENICTKLTNLKYVHRSSGDAPRKFLGLFGRNDLLGQYQKRLEDVEENVRLEQSDATRRQEIPAAFVSFRSRYGAANAIYIRQSDNPTEWQTEHAPDPHDVYWPFFSTSFMERWIAKFVVFVASILLILVFLLVVAFVQGLTYLEQLKQWLPFLRNILEIAVVSQLVTGYLPSVILLFISSYVPSIMKLFSTMQGFVSVSGIERSACNKMLRFTIWTVFFANVLTGLFLYCICGDIMDKHNI >KQL16971 pep chromosome:Setaria_italica_v2.0:III:47185967:47191718:1 gene:SETIT_021367mg transcript:KQL16971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALATSVGLNLALTVLLAAAYTLLRGRPPYAEVYAPRRPYAPLEPWLPAAWRRSEDDIHAAAGLDGVVFIRIFVFSIRVFAAAAVLGVGVLLPVNFLGDQLREIDFSDLPYKSIDLFSVSNVQDGSKKLWLHFSAVYIMTAITCYLLYHEYKYISCKRLEYFMTSKPLPQHFTVLVRAIPVSDGVSVSDAVDKFFREYHSSTYLSHTVVHQTGKLRRLLNDAENICTKLTNLKYVHRSSGDAPRKFLGLFGRNDLLGQYQKRLEDVEENVRLEQSDATRRQEIPAAFVSFRSRYGAANAIYIRQSDNPTEWQTEHAPDPHDVYWPFFSTSFMERWIAKFVVFVASILLILVFLLVVAFVQGLTYLEQLKQWLPFLRNILEIAVVSQLVTGYLPSVILLFISSYVPSIMKLFSTMQGFVSVSGIERSACNKMLRFTIWTVFFANVLTGTALSKAEIFLNPKEIPSKLAVLVPAQCAKCCRRDDSKAPSMPYHSEIPRILLFGLLGLTYFIVAPLILPFVLVYFCLGYFIFRNQLFNVYAPKYDTGGKFWPIVHNTTIFTLVVMHILAIGVFGIKKLPLASSLLLPLPVLTFLFNEFCRNRFLPIFEAYSTESLIKKDREEQNKPEMPEFFSNLVTAYRDPALKPTQRSSNSDERTAPLLATM >KQL16969 pep chromosome:Setaria_italica_v2.0:III:47185967:47191718:1 gene:SETIT_021367mg transcript:KQL16969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSALATSVGLNLALTVLLAAAYTLLRGRPPYAEVYAPRRPYAPLEPWLPAAWRRSEDDIHAAAGLDGVVFIRIFVFSIRVFAAAAVLGVGVLLPVNFLGDQLREIDFSDLPYKSIDLFSVSNVQDGSKKLWLHFSAVYIMTAITCYLLYHEYKYISCKRLEYFMTSKPLPQHFTVLVRAIPVSDGVSVSDAVDKFFREYHSSTYLSHTVVHQTGKLRRLLNDAENICTKLTNLKYVHRSSGDAPRKFLGLFGRNDLLGQYQKRLEDVEENVRLEQSDATRRQEIPAAFVSFRSRYGAANAIYIRQSDNPTEWQTEHAPDPHDVYWPFFSTSFMERWIAKFVVFVASILLILVFLLVVAFVQGLTYLEQLKQWLPFLRNILEIAVVSQLVTGYLPSVILLFISSYVPSIMKLFSTMQGFVSVSGIERSACNKMLRFTIWTVFFANVLTGTALSKAEIFLNPKEIPSKLAVLVPAQASFFIAYVVTSWTSITSELTQTAALFCHLLGKCAKCCRRDDSKAPSMPYHSEIPRILLFGLLGLTYFIVAPLILPFVLVYFCLGYFIFRNQLFNVYAPKYDTGGKFWPIVHNTTIFTLVVMHILAIGVFGIKKLPLASSLLLPLPVLTFLFNEFCRNRFLPIFEAYSTESLIKKDREEQNKPEMPEFFSNLVTAYRDPALKPTQRSSNSDERTAPLLATM >KQL14355 pep chromosome:Setaria_italica_v2.0:III:10746464:10747428:-1 gene:SETIT_023570mg transcript:KQL14355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAAVSVQPVAVKGLGGSSISGRRLAVRPAPRAACRSARRPRAAVVAKYGDKSVYFDLDDIGNTTGQWDLYGSDAPSPYNPLQSKFFETFAGPFTKRGLLLKFLLLGGGSLLAYVSASASPDLLPIKKGPQQPPVPGPRGKI >KQL13656 pep chromosome:Setaria_italica_v2.0:III:5839983:5845147:-1 gene:SETIT_021906mg transcript:KQL13656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGPSGVVGISVRPDSSAACLFQKVGARSNSLPGAAPVQVRRCHNKARNGQAIRCAMATGKEQGAVTTASSDQHKGGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGAIELEGLEKKAKASGACQLVVKDLREEFVSEYIYPCLRAGAVYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFYALNPELKVVAPWREWDITGREDAIEYAKKHNVPVPVSKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSVAPENAPSEPEYLEIGIIAGVPVSINGRDLSPASLLAELNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTIMAAAVRELEALTLDRETMQWKDMVALKYAELVYAGRWFDPLRQSFDAFMENITATTTGSVTLKLYKGSVNVASRKSPYSLYREDISSFENGEIYNQADAEGFIRLYGLPTRVRAMLEKGI >KQL12638 pep chromosome:Setaria_italica_v2.0:III:149352:157125:1 gene:SETIT_020954mg transcript:KQL12638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTYAIGLLAMALCSGGQLVEDVLTMGVSAKLMRFLRIRVLGEASSSQKDSNHPQDTRHPRGRDDNRGKSRLAHDVSRLDGTRVGYGTLTGLTAEKDNEPGVGMRQALGERLMDDTVASSDNRDIPEADGTNDQSYGTSICDAKSKFGERHSAARLAKDEDISENGELLKRKLNRGTARLKVKGKAGESCPESEMTPLSPTSGLRIGGRATRERNAVRVDDPKKATDVNNRSAGLESFSAISREEYEDRFRDCIIGLKDISDIVLKAVRAAESEARSANAPDEAVKAAADAAAELVKSAALEVWKSEKNGDAVVLAAEKAASAIVEAAVSTSVSRSSNQVGVKHAVEEAVQTSKDQDLENFVISDQGQLLQLREKYSIQCLQILGEYVEALGPVLHEKGVDVCLALLQRSMKDQEGHDHFALLPDVLKLICALAAHRKFAALFVDRGGIQKILSVPRITQTYMGLSACLFTFGSLQSTMERVCALSSDTLDSVVELALQLLGCPQDLARKSAAIFFAAAFVFKAVLDLFDARDGMQKLLDILYGCASGRSSGNSGGLGSSHINQGNDQLPAEVLTASEKQVAYHTCVALRQYFRAHLLQLVDSIRPSKSIRSIARNTSSARAGYKPFDISNEAMDAVFRQIQRDRKLGPALVRARWPVLDKFVASNGHMTMLELCKFQAHGDRYLRDLTQYAFGVLHIITLMPHPHVRKPIVHATLSNNRVGMAVLLDAVKSFDYIDHEVICPALNVLVNLVCPPPSISNKPSSTANQQPAAAQALVSESRDKNFEKSVSDRNLVANHGESRERSGDGNPSERNNTLHQGTPCTPVVPSGVVGDRRITLGVGVGGPGLAAQLEQGYRQAREVVRANNGIKILLQLLSSRMVTHPVAIDSIRALACRVLLGLARDDAIAHILTKLQVGKKLSELIRDTSAQTSGGDSGRWQAELTQVAIELIGVLTNSGKETTLAATDAAAPALRRIERAGIAAATPISYHSRELMQLIHEHLLGSGFTATAAMLQKEAGLAPLPLTAAVLPAHQVSALEASSVQQQWPSGRVHGFLSDKTNISTDQSAQRSDSVLPSSKKKALTFSSSFSQRTLSPHPVSLNRASNNPLKSPVPIGSDTGDADTLHKTPLSLPLKRKLVDMKDLNSSSAAKRSATADQTYQPSAFQTPAATRKGLSVAVDSPTSFHCGRTNFNNISTDNLDNSQGTPGTVTTTAHPGVNDQQSGNLERMTLDSLVVQYLKHQHRQCPAPVTTLPPLSLVHPHVCPEPSRSLSAPANIAARMGSREISRQFSGVQIPRRDRHFIYSRFKQCRVCRDEASLLTCMTFLGDASRVAAGNHTGELKIFDCNTANLLETQTCHQHLVTMMESTYSGGNELILTSSLNEVKIWDAFSVSGGPLHTFEDCKAARFSHSGTSFAALSTDTAQREILLYDAQTYTIFQRLPDNTSNSSSGRGYVQPIIHFSPSDTMLLWNGVLWDTREANPVHQFDQFTDYCGGGFHPAGNEVILNSEVWDLRKFKLLRSVPSLDQTVIKFNGTGDVIYAILRRNLDDVTSSINARRVRHPLFPAFRTIDAVTYSDIATVQIDRCVLDLATEPNDSLIGVVAMDDHEELFSSARLFEVGRKRITDDDSDPEDAGDTDDEDDDDDDNSDDGVLLEPVLEGESDSDDLSNSSDDGGDDDIPSSDEIEDDPEFIDDGDLDGGGGLLDIMGDGEGEDDESDMIGSFSSGDEDGWIL >KQL14197 pep chromosome:Setaria_italica_v2.0:III:9504711:9507237:1 gene:SETIT_022553mg transcript:KQL14197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLITGQSNPKPHHHRRHGAKHFSSARSARTGADAMQLPRIDFSGVDPSAPGAGTWSAVRAQVMEALTTVGCFDAQYPALAPEQRAALFDGAVRPLFALPVDAKRRNYYGADKPFHGYLGDIPGYESLAIVDGTKLELVRDFAGLMWPDGGSNDGFCNAVHGAASRIFELEEAVRRMVMEGLGVAKYHDALRASTWHLFRMSEYQAPHAAEKTVRFGSHQDTNLLSIVCQHEVEGLEVQTRDGERVLVKPSPTSLVVMVGQALRAWTNDRLYAPFHRITVGGDATRYSAMLFSVPNFQIQAPGELVDDEHPPRFKPHDNNDYIRFCVSEDGARHEDKLKAFCGV >KQL16032 pep chromosome:Setaria_italica_v2.0:III:27241825:27245477:1 gene:SETIT_022114mg transcript:KQL16032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRNLVVVSTPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVAQNRAGWEEEARLVVEDVKKNPKAATEGVVIRRRLQLMMYNDMFRIMFDRRFDSEDDELFNKLKALNAERSRLSQSFEYNYGDFIPVLRPFLRGYLNRCHDLKTRRMKVFEDNFVQERKNVMAQTGEIRCAMDHILEAERKGEINHDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQSKLREELTSVLGAGVAVTEPDLERLPYLQAVVKETLRLRMAIPLLVPHMNLNDGKLHGYDIPAESKILVNAWFLANDPKRWVKPEEFRPERFLEEEKAVEAHGNDFRFVPFGVGRRSCPGIILALPIIGITLGRLVQNFQLLPPPGQAKVDTTEKPGQFSNQIAKHATIVCKPLEA >KQL17405 pep chromosome:Setaria_italica_v2.0:III:50280510:50281054:-1 gene:SETIT_024325mg transcript:KQL17405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQLPPLSDPALEAFLADIGFGLVPDEPLDVTTTTTTTTTMAATRPEEETSASAAAAGDEAAERRLRRKISNRESARRSRARKQRHLEELRARAGRLRAGNSELAARLRGVQARAALVRLTNARLRAEAGALGRRLAAAQRAIALRQIYAGGGFELQALASLIVYMHIWLHAHI >KQL16723 pep chromosome:Setaria_italica_v2.0:III:44453206:44454052:-1 gene:SETIT_024064mg transcript:KQL16723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APVPLATKMYHSQGNYRLRLELGQLYRESCMEHLSSHTTLNLSHGNGLMASQFTPCDNSSLPASQKNLVPQTKLIASTSESCSGIPQQLSASMPNHSLITKTERADTQASTVSNEGSRSRCNKAAVPHSRNKGSKKAQQQANGHVHVIKSSVE >KQL14903 pep chromosome:Setaria_italica_v2.0:III:14744878:14745450:1 gene:SETIT_024721mg transcript:KQL14903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYKTRPRLALHFSSLHARTSLRSWYWPAASPRPRLVSPRFKPPPARTGAAMASRVGMVGDVRDAPAGRENDLGAIELARFAVAEHNTKTNAMLEFERLVKVRQQVVAGTMHHFTVEVREAGGAKKMYEAKVWEKLWENFKQLQSFEPVGDAAAA >KQL15183 pep chromosome:Setaria_italica_v2.0:III:17681109:17681560:-1 gene:SETIT_025769mg transcript:KQL15183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNHATCYVLHIMTINHGSMLQCMGLGKLVFMLSLV >KQL14166 pep chromosome:Setaria_italica_v2.0:III:9293855:9296238:-1 gene:SETIT_023290mg transcript:KQL14166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDAEEEAAATPAAAAAPAAGRLKGSPELTVEADMREMAKTAAWSVSSCKAGNGVAALRDDNLDTYWQSDGAQPHLVSIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELAKPVGWVHISLSGADPRETFIHTFMLQIAVLSNHLNGRDTHIRQIKIYGPRPNPVPLQPFHFISREFITYSTIR >KQL15264 pep chromosome:Setaria_italica_v2.0:III:18446472:18449184:-1 gene:SETIT_021353mg transcript:KQL15264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADATTAMTIDFLRARLLSERSVSRAAKERADHLARRVAELEEQLRTVTAQRRKAERAAAEVLAILDSQGFGRLSDAAGDSGSGSEDEVDAGDPDAAERDRGGGNEAEDALSGSELGAQAAAAAARAGGLSWKGRAGSHDCERRQPLQQQKGRQLRQRHGHSHRRGYFYSRAADSSPKYHPGQSCRKIMRKELRSQTEGQEGKNIAAEGVKDGQERSDCTVCTDEQPDFDGEARHDGRGSSGNRGLNDDGDRFAMVYEKDGEMERVLEKQAELIGQYEAEENAQREWEKKFSESRDSTTDNVNLNNKLNQAENASGRMETAQIVDKEMVCEHARSSEDYHNPSEFRPKGSVLELPPNVAKDSVIEQRKADGSDHDFVVGTAIVASSHGELQVRKNVLTTKSYLEGSGNNLGKSVLPPQASCDSILNARYDKGQGDENSDSGSSYRVNARSFERYVNTSSVGSPLSDTPKSEVSEWSSSCFHNHTENQLDTRLHQPSSDDVGGVLEALQRARMSLRAKLSQPSPASQNILALPAPNHLPANGMQLSLSRSNPLGQEVLALQAPAGYFNRVLPQGNVKVPAGPAGLFRLPTDSIPRNEMASSDGYGSRFSLTAANELHVLTSYPANHIMSAPSFSQYGSELSPDPYHDPHSSMLLSMPTSGGYNITAPDFRMGSGSFLPEVSRFSNDFRRVIPSGNAGMHFQYGHG >KQL13267 pep chromosome:Setaria_italica_v2.0:III:3495460:3496795:1 gene:SETIT_024532mg transcript:KQL13267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPPWRPFRRRLVPRLACASRTSCELARGASVRYRQQPQPRLVAAQSHHPPALAAVGSVRRDAETGLALLLLVLAAALHKLETAANKLAKLVAEEAPGTLSLLKLSFLEVNDLTSQLKNLRKSLTISRFGKQASTKASSRT >KQL15794 pep chromosome:Setaria_italica_v2.0:III:23963770:23964333:-1 gene:SETIT_025121mg transcript:KQL15794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVPKPGAGGGKQAVPPVPAGSNAIARAARVPRRAAFPEDAAADSPAEAAAGAGSGGEEDDDVQVERFYALLDNIRAMRGAYGAGDGDGDGTGADGVEAGSGWARKRLRAADPPWRPAFRMEDFEEPSPTSSSHAAARHAKRTNRQVADADEGGARLAAASASASPPPPPPPRRAGVRLDSGRKSI >KQL14253 pep chromosome:Setaria_italica_v2.0:III:9880854:9891598:1 gene:SETIT_021016mg transcript:KQL14253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAVLSLTCAGLGAAQEDEDGAVIGYAKSEHCLDNLKDLQRFLRRDDPQRREVFKQVCKWKIASRDLVPIIENYQTDRNLVVTAVKVLVFLTMPVEPSSEEVAQQIEYLWDLKAALTRNVAMTVIVSLLEDPLDRLERTSFTEDDWKLVQLVLTLFRNVLAIQEITLSQKASGEATHLLFLADSFLELMFQENVMDIILVLTQHIDEPSGYLKEENLLLMEIYHYLFLGRDPGLIARASNKGSKDGSKSLCKGNPSSAAANSLLKIHNVQKGPRKRIAWDNELLYIPKEGITEMLRSFLDQFLSGAYNILMQSVCDDIMNEHHSIEKSDISTFFKVARFVLAFQHEKASNDQKSVKGIQPSEVSPSNEHDDNLPFHGDICGPVAATLNEDMFNIVISRWREAYESLKETNDYKTLSAAGSLMKTMIDMIYLVLKVLPEDSRESQTARVLLYKLFYDQTEQGLTQFLLNLFRSFDSHKQPKSDLADLLETIHIMLQLMEKLQARGALRVAKRTKKGRRKKERNDKNEISEPKAEYVEPNCADPTDRTKSPPESVPDLRPEDPAVEPSPSEQGEVNTSGAHVPDTLVDTAANMESNANAEGDPPCTDSVMKTNLIGEEGETSDSSIDDHQPATSEVDFNVSRLISSLANNSVVQNVCWLLKHYKSNSYRTNHYIICMLQRFCEDLELSPMLYQLSLLTTFYDILAEQKLSSSKEYTNIVNFLSKVVRKMLKAMRKQPLLFVDILFWKTRKECHCIDADALLNELKNDVGNKNGGIGSSKGWRGPINIADSLGDDEADLVIPQAPYDADNDGDLSAGEHGDDFRKSSTTYKRSRLMSLSDSEAEENERNHVSRGSLNSEVPKRRGHSIFTEEQEKLIRDLYEKYKDDRKCSHLIAEALDSTGKISSTQVSRKLTQLGLRNTIRRKKVADGSLSSGDLATESQNDSLDDLNHMLSEHNPDSKPKSSRTRRKRLHRSSHGHDDTTHGRSSDEETLQVLKSRAKNKKLPLVDSSLSASQHQEAQQDPGSDDATIGSMIRTGKKKRLSTSEFEGKVQNHQESSKNRNTKDSSPSISQHKKTMEDNYPDDETIGSMLRNGKKKRLVVSNFSANIQDQESLRNIDLHDETIPSNIMDASLIHEPDSVVNSGNTGEAELLDDFDVEPDNPENTDQRITDDVNFSESGDTTNAEANQRAGLKRRHRLVIDDDDDDE >KQL14822 pep chromosome:Setaria_italica_v2.0:III:14037728:14039126:1 gene:SETIT_025263mg transcript:KQL14822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTLLPVVFFILSCLLSDVSSAPSRNITSMFTLGDSHIDTGNALIMAAPVIPVWIDKPPYGETFFGHPSGRFSDGRVITDFIAETLGLPFLPAYLASSPNDVSQQGVNLAVGGATAIEVAFFETNGLVPFKLLNNSLDVQLGWFEQIKPSVCDNGALDCLGKALFFVGELGVNDYNFIWMAGKTDDQVKTYVPKVVDTISMAVERLINEGAVYIVVPGNPPTGCSPAILTFRLSPNKTEYDHIGCLRDVNAVARYHNLLLRAAVGSLRGRYPHARIVFADFYDPIIRILENPGQFGFAGDALKACCGTGGAYNWDPSAFCGMPGVAACENPAAYVSWDGVHYTEATNRYVAEGWLRGPYADPPILSALQPRPH >KQL17017 pep chromosome:Setaria_italica_v2.0:III:47648082:47649524:-1 gene:SETIT_025036mg transcript:KQL17017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKTVVLYPGLGVGHLSPMLELSKGLLRHGGGAVDVAVVLVESPFKDPSFADTVARARASHTSVAFHVLPSPPPASGPSSGDAEHPVVGLIRFLRATNAPLRDLLRSLSSSASRPVRAVVLDMFCAHALDVAADLGLPAYFFFATGAAALAVFLALPGTRAREGKRFADLGDAVLPFAGVPPLRASELPPVLADDGAMCEAALRLASRVPEARGILVNSFEALEPRAVRALRDGLCVPGRPTPPVYCVGPLVSPGGGEKEHECLEWMDAQPDRSVVFLCFGSTGAPPKHQLAEIAAGLESSGQRFLWVVRSPPGAGGSPVPEPADDLDALLPAGFRERTRDRGLVVGSWAPQVDVLRHRATGAFLTHCGWNSTLEGVTAGVPLLCWPLAAEQGLNKVFIVEEMRLGVEMRRTSRAGDVVKAEEVEAKVRWVMEGSDDGARALRDRAAAARDRAAEALADGGTSQAAFLEFLKDLASVNV >KQL14425 pep chromosome:Setaria_italica_v2.0:III:11193111:11196224:-1 gene:SETIT_0221571mg transcript:KQL14425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKQRARHGEERRPSAAADGEEYDPWTAWLYKPHTISVLLVGACLLIWASGALDPEGAAAHSSVTSIKRGVWAMIAVFLAYCTLQAPSTILIRPHPAVWRLVHGLAIVYLVALTFLLFQNRDDARQFMKQLHPDLGVELPERSYGADCRLYVPENPKNKFINIYETLFDEFVVAHILGWWGKAVMIRNQLLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVRYFDGKTYEWVGLSRQPSIMGK >KQL17410 pep chromosome:Setaria_italica_v2.0:III:50306000:50307223:1 gene:SETIT_022205mg transcript:KQL17410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGVADDNGKDGGSNPAPTRPPPGRAALPTQLLRPLLLVALLATCFLAVVVLLGGSAYSVLPRLSVPADSALHAPPSSSLPQRVRLRQAPLERWTRAPSTAWHDMTDEELLWAASWRPTKTGRYPYRRVPKVAFLFLTRGPLPLAPLWERFFAGAGRELYSVYVHAMPGYHRPDDFPPSSPFHRRQVPSQVVEWGEVSMVDAERRLLANALLDPANERFVLVSESCIPLFGFPVVYDYLTRSRQSFVSSYDDTGPGGRGRYPGGLAPEVSLEQWRKGSQWFEMDRALAVVVVADERYYPKFREHCIPTCYTDEHYVQTVLSIEAQGRVANRSVTWTDWSWGGAHPATFWEAHVDEAFLKRLTTKAGQGNCTYNGRTSELCFLFARKFAPNALQPLLTLAPKMLGYG >KQL13703 pep chromosome:Setaria_italica_v2.0:III:6183491:6183906:-1 gene:SETIT_025377mg transcript:KQL13703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERHIIIKSMRGTFARFSKMLTANAASSLSM >KQL15224 pep chromosome:Setaria_italica_v2.0:III:17933399:17934590:1 gene:SETIT_024803mg transcript:KQL15224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHGAKAQNTCSSSSPLRITEILKPGRSVDAFKCRHRCWPEQDATRRTTNCFPRAAACNDHFTCPIFLLLLCSRLPSPLQSLGPSPEAADAGRRVDMEAKKKSAASAAAAAGAGAAPPPANGYFSAVFSSSPAGNAKDAKQTDLYAMLNKQSSRGQNGSSITDSKSHGRTTYKDGKHAYPNESSESPYFGSSVHYGGREFYSSSQQKQPANEPPRNYKEDNPDGSATRGDWWQGMARLCANLYIILSR >KQL13992 pep chromosome:Setaria_italica_v2.0:III:8115902:8118535:1 gene:SETIT_022283mg transcript:KQL13992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGGGRCVNSELQPPRPHFFHFQFIASQSHPHHSSDPARPRCNPRRKGSAAMEGYDREFWQFSDQLRLQNNFSNLSIADSIWSSSTAPNNPLPDQGAAAAAGSWKAATNNAPGLIGAGSKLAFGNATTTNADRYNYFPANAAPDAKSNANNNSGGLAFSKNLNSPAAALGNDYYFSKNAGAMNANTNAGGDVIKSYFNKSVGRPANNNNNNSFNVGKKSAAAHDKKKSAGNGNGAGVDKRFKSLPASEALPRGEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPTAWEDKKCPGESRFPAQVRVATRKICDPLEEDAFRPILHHYDGPKFRLELSVPEVGPACSLDTPF >KQL13990 pep chromosome:Setaria_italica_v2.0:III:8115902:8118127:1 gene:SETIT_022283mg transcript:KQL13990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGGGRCVNSELQPPRPHFFHFQFIASQSHPHHSSDPARPRCNPRRKGSAAMEGYDREFWQFSDQLRLQNNFSNLSIADSIWSSSTAPNNPLPDQGAAAAAGSWKAATNNAPGLIGAGSKLAFGNATTTNADRYNYFPANAAPDAKSNANNNSGGLAFSKNLNSPAAALGNDYYFSKNAGAMNANTNAGGDVIKSYFNKSVGRPANNNNNNSFNVGKKSAAAHDKKKSAGNGNGAGVDKRFKSLPASEALPRGEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEVQYFLRILGIRAYVHLFDGY >KQL13991 pep chromosome:Setaria_italica_v2.0:III:8115902:8119232:1 gene:SETIT_022283mg transcript:KQL13991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGGGRCVNSELQPPRPHFFHFQFIASQSHPHHSSDPARPRCNPRRKGSAAMEGYDREFWQFSDQLRLQNNFSNLSIADSIWSSSTAPNNPLPDQGAAAAAGSWKAATNNAPGLIGAGSKLAFGNATTTNADRYNYFPANAAPDAKSNANNNSGGLAFSKNLNSPAAALGNDYYFSKNAGAMNANTNAGGDVIKSYFNKSVGRPANNNNNNSFNVGKKSAAAHDKKKSAGNGNGAGVDKRFKSLPASEALPRGEAIGGYIFVCNNDTMEENLKRQLFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPTAWEDKKCPGESRFPAQVRVATRKICDPLEEDAFRPILHHYDGPKFRLELSVPEALSLLDIFAEKVFA >KQL15623 pep chromosome:Setaria_italica_v2.0:III:21950396:21953547:-1 gene:SETIT_022218mg transcript:KQL15623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTMIFPGHIDHSARVMKIITWNRSFKGMQNGDEGKDDFENDEWETLATVVDKILAWEKKLYDEVKAGEIMKLEYQRKVALLNRQKKHNAAIEVLEKTKAAVTHLHTRYIVDMQSMDSTVSEIQHLRDNQLYPRLLDLADRMAKMWEDMHMHHANQLKTVLKLKSVDISDANIETSDYHHSHTRQLRDIVDKWITNFTDLMSYQKEYINALYGWLKLNLIPIESSLKEKVASPPRMQQPPIKAFLQAWNEHLTKLPDDLAKTAIVSFRAVLETILGVQDEELKQKEICEQTRREYARKARAFEDWYHKHSQRRTFDVDPETGEGTGHKDAVTEKKFAVESLKSKLDDEIESHNKLSKQVREKSLSILKAHLPELFRALADFSNASFDMYSKLRLMSLMQDQGNN >KQL15046 pep chromosome:Setaria_italica_v2.0:III:16025786:16026132:-1 gene:SETIT_025478mg transcript:KQL15046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGIPTKPIGNRQRSLLCKRTTKKTTFPL >KQL17367 pep chromosome:Setaria_italica_v2.0:III:50039870:50043889:-1 gene:SETIT_022403mg transcript:KQL17367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAARRVPLRASPPAPASPETAKAPASVPTSSAASASPPLRAAVAPSSGRRLPAPPLRCSSSSSETSVPPSIGLLVEVEGVLADVYRFGNRQAFNVAFQSLGLDCANWTEPIYTDLVRKARGDEERMLALFFDRIGWPTSLPTSEKGSFMKSVLREKLKALEEFSASGSLPLRPGVETFIDDALSQGIPVAILAAYGRNGENISRSIVKKLGPERTSKIKIVGKDEVEGSFYGQLVLGKGVTSSLDEQLIREAQKAASAEKQRIAEEVASILKLSVDITVSESSEKVIAALRAGSEYVGCDVRNCILVAGSQPGVLAAERIGMPCVVVRSSFTARAEFPSAKAVMDGFGGTDLTVSKLLSKKWS >KQL17366 pep chromosome:Setaria_italica_v2.0:III:50039655:50043963:-1 gene:SETIT_022403mg transcript:KQL17366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAARRVPLRASPPAPASPETAKAPASVPTSSAASASPPLRAAVAPSSGRRLPAPPLRCSSSSSETSVPPSIGLLVEVEGVLADVYRFGNRQAFNVARGDEERMLALFFDRIGWPTSLPTSEKGSFMKSVLREKLKALEEFSASGSLPLRPGVETFIDDALSQGIPVAILAAYGRNGENISRSIVKKLGPERTSKIKIVGKDEVEGSFYGQLVLGKGVTSSLDEQLIREAQKAASAEKQRIAEEVASILKLSVDITVSESSEKVIAALRAGSEYVGCDVRNCILVAGSQPGVLAAERIGMPCVVVRSSFTARAEFPSAKAVMDGFGGTDLTVSKLLSKKWS >KQL14917 pep chromosome:Setaria_italica_v2.0:III:14854252:14856475:1 gene:SETIT_024765mg transcript:KQL14917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCCPGSSSAAPAPPPDPSYAGSSRPVGSATVTPPSAGAVSATSAPTPARPPAPVGPVLGRPMEDVKSTYTVGKELGRGQFGVTSLCTHKATGQKFACKTISKRKLSTKEDIEDVRREVQIMYHLSGQPGVVELKGAYEDKNSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVEIVHTCHTMGVIHRDLKPENFLLLSKDEDAPLKATDFGLSVFFKEGEVFRDIVGSAYYIAPEVLKRSYGPEADIWSVGVIVYILLCGVPPFWAESEHGIFNAILRGQVDFTSDPWPRISQGAKDLVRKMLNPDPKQRISAHDVLNHPWIKEDGEAPDTPLDNAVLGRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDSDNSGTITVDELRRGLAKKGTKLSEAEVQQLMEAADADGNGTIDYEEFITATMHMNRMDRDEHLYTAFQYFDKDNSGYITMEELEQALREKGLLDGRDIKDIVAEVDADNDGRINYTEFAAMMRKGDPDQPSTNPKKRRDVVL >KQL15078 pep chromosome:Setaria_italica_v2.0:III:16428172:16429526:-1 gene:SETIT_022160mg transcript:KQL15078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAVTVGLDHRRRRKGSSGHVVAQLLESPLPTPRRSCCGRAADASPASRRGRADASPQRGHVPFKWESSPGVPKSAACAGGGGKEREVPLLPKPPPGRCGGPCRARAYHHSNTTDSSSGHDDDTFSEALDRISSSDRLAALSARLSAIDGVFGSRRSPSFIMDRFLPAANAIATTSADKHPRRRSPLLRSKSRHAKDAEAPARARHDVAHIRRRALAREQPKHTLPRQCTDAAAAQAQPPPRVNEDAQGDRMTPRACGFMFFVPWSAKPVLLGFQRSPARSRTPRADVVSAAASSPPRRSITLGDVLEKERKLRDSGLSRWHDEKSGSGKEWSNPGWGMALLGTSKRYCADARKALSRLTRSGTDGGDSPRIGRERRSGKPGASMLRSMSVKMPPLSPPSESWLTNARRSNAGNNRR >KQL13191 pep chromosome:Setaria_italica_v2.0:III:3091677:3094359:1 gene:SETIT_021557mg transcript:KQL13191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSGPRKPSTPPLPAATAKESAVMAALLLELAAADDLVAFRRAVEDDKVSALDASCQWYGPSAASGARLRLEVRTPAMVAALYGSTGVLAYVLSAAPAEAARASPTDGATPLHLAAAGGAAGAVAAAHLLLAAGASADALAFSGLRAGDLLPRANAAAERDRALRVLLKSPAASPSSSPKKSASPPLAPEPRKEYPPDLTLPDLKTGLFSTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRRYSYSCVPCPEFRKGGACRKGDNCEYAHGVFECWLHPAQYRTRLCKDEVGCARRICFFAHKPEELRAVNPSAVSVGMQPAVSSPRSSPPNGLDMGGMLNPAAWPSSPASRLKTALAGRELDFDLELLALDQYQQKLFDKVSSPRASWGSAGGIGSPLPAAAPARAVPDYTDLLGSVDPAMLSQLHALSLKQAGDMPAYNSMADAQLHMPTSPMVGANTAFGLDHSMAKAIMSSRASAFAKRSQSFIDRGGRAPAARSLMSQPTTGAPSMLSDWGSPDGKLDWGVQGDELHKFRKSASFAFRGQSPAPVPTPAEPDVSWVNSLVKDGHAGDIFAQWPEQEQMVA >KQL13620 pep chromosome:Setaria_italica_v2.0:III:5593652:5594279:1 gene:SETIT_023877mg transcript:KQL13620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRTVPSSIKLSQLARALSFLSVLNRTDRNSEKQTSRAAELKKATATAYVLLLWSYCLLSLPSEKLSDQKPSK >KQL17212 pep chromosome:Setaria_italica_v2.0:III:48995230:48996411:-1 gene:SETIT_024084mg transcript:KQL17212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAEAWGPCQQFQLANWTVEEPAAGFPTEYASMDIFDTYSLQTYVPADGSSGGQQQLVSDDRLTNGVFKHVASEFDKADIEVMEKKMHRYPPCLKSVDTCHTVPRFVAIGPYHHNREALEPAEKVKHVAARYCITKGHTVEEVYVKNVMAGISYVDFRHIMFFDACCFLVQLMILRCGTTRYKEYKRMTTTMMMAAGKISCKHYDILHGIMLLENQIPWNVVEAVLSFMPASSSVSKDFVRKMRHLLLPDRQDDVGHEKPFLFDENYTPAPHLLGLLRHHIVGTSQKIYTGKHDSKAKTISFSVCAIELAEIGITVTASKSTKLTAMSLNTDGYLL >KQL16570 pep chromosome:Setaria_italica_v2.0:III:42566159:42566534:-1 gene:SETIT_025749mg transcript:KQL16570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILHSFLVTSLQNALNLSFASAVNQLHHLWCSNLNFIGIHDTFHVIVA >KQL15685 pep chromosome:Setaria_italica_v2.0:III:22563676:22564449:-1 gene:SETIT_024480mg transcript:KQL15685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTGRKRKARPSQPARRPRSLSRLDFRSPSDGAWYGARVTVQHGALRVMYEEFPEEQDEWYDPATLAAASSARYGVAALRARFRAPAPPLDDAGCRDLRAGAPLCVSCPLDGGLLKFYDAVLESVSPAAHGVVNGEDRCACRFAVRWTEGPRAGSREEVGVERVCCVQSTPVEDPVLSEFFDGVTKLLGGNGDGGATASQEIGAVAAAEDSVPADAPPGFHWKFGARA >KQL13070 pep chromosome:Setaria_italica_v2.0:III:2384910:2386674:-1 gene:SETIT_025229mg transcript:KQL13070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTKEEKRTLGILAGSGDDSDGPDEDPSSIQINEDYARRDEAPQQRLEKRREEGLQLPASEESSDVEEEDEESSEEDDAIIASRRAEEEELKQEKRKVNKEKPLYLKDVNARHLLDEGTEATAQTGRSSKYDRIAYHGQQKKGLEAFLQAQKEVLGDGDDDDLFQVKPKVGAGDDDHHEEEEEDEKHTMELAGEVFGDDEELDENEKFLKEFFLKRPYLESGKEGKSYLNDIHELSEEKELEKQEQYEHAHNYRHDEAVASGAVVADRVTGHSRVVEGSARKKESSRKQQRKSKGERAAQAKQEQVEELKHLKNLKKKEIAEKLERIRMIAGHDACRLCRKMQEMFGDSYYEADDVDPEFGSGEEMDLEKADSGKEDNFLELPKGWSWTTGQSKDDQSTATDGEATKGRISLKDKVELGKEMKEYYKLDHEDTIGDLKTRFKYKKVNPNSFGLSACEILASDDKDLNQYVPMKKLAPYRERKEDKSSKKPGSVEGPSSSEPDNDKPMDEQEETDAKRKSTRSGRRKRRNGDLK >KQL14626 pep chromosome:Setaria_italica_v2.0:III:12463489:12465437:1 gene:SETIT_024151mg transcript:KQL14626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGSETDSVASRRSKKPKYSKFTQQELPACKPLLTPGIVIGAFSLIGIVFVPIGLASLSASQDIVELVDRYDAECISANDKVGFIQDTKTDKACTRKITVPKPMKGPVHIYYQLENFYQNHRRYVKSRNDKQLRMKDSASVITNCEPEATSQDGAPIVPCGLIAWSLFNDTYSFSVNKKAVEVNKKNIAWDSDKNKKFGSDVYPSNFQKGGLVGGAKLNEKLPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMASDEITVVIQNNYNTYSFGGTKALVLSTTSWIGGRNNFIGAAYVAIGGICLFLAMGFVVLYVLKPRTLGDPAYLSWNKENPDHPN >KQL15713 pep chromosome:Setaria_italica_v2.0:III:22940548:22941846:-1 gene:SETIT_023757mg transcript:KQL15713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQLVVVMAKAVAATTASLIMGSTQSLVIDSREEATTWSSVCSMESSSYSFALEGREGIASNLLVRSSMATISEMELRALTSSSFVVVGITSIKLASESF >KQL17000 pep chromosome:Setaria_italica_v2.0:III:47477232:47477639:1 gene:SETIT_023815mg transcript:KQL17000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLAAHLRLSPSMATITLLVLGNGTPDMFASAAAPGGLGGMPRVGLAAVLSAGAFIPMGAFALISAPWEPVSSLQPRRVGPAWRVG >KQL13919 pep chromosome:Setaria_italica_v2.0:III:7725056:7726092:1 gene:SETIT_023727mg transcript:KQL13919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEWTRMDTALVCRLPKSTKWQRPGSWNSSPGERSTNSTTAITTGPQSDILTPPSSSFACLLACFFFFFFADDASLLSLVFLASTCWTIQPDGRRIYRSLLASISS >KQL15925 pep chromosome:Setaria_italica_v2.0:III:25555949:25559374:1 gene:SETIT_024774mg transcript:KQL15925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSPGGASPDPYGGGGRIHLVCPSCEKGDNYTADDAGDDGLFTCRTCYAVHTTQATAADPHDLQATGNITVRRVTTQHTPKPSEPRDFAPDAGAWGEPEDLAARVRWLYVRGLQVILQRQLEVLVERHRAGALVFDDLWARQVIAEHEAAVREKGSGGGDNNKPDEVKFESDDDIFPLQKDRRRVEFAFLRSLRTLLPIYSTLAVCFLACHIAREAILPTDIYRWAMEGKIPYLAVFTEVDKLLGSSLQLQGCPLDARQLFRPVQVIGAWQLEAAAGSIAQRVGLRLPSVNFYAIAQPCLKDLSLPWALPAELWLSSNPIRVPTRVCVMAILVVTLRVLYNVNGQGIWENICGEERNAVVSDPAANSPTFKKLDDSNSEEFGMRELLCAIAAAYDKINVAAWTLDLMFSNFTDYSSDLRSYLKYCKEVIFTGITCSTEEEHLTEIFWDMYKARENDNPKEHVKSQPQGIGETTITNGVNKRYRDGTFVEASCISSSSGHDAMQILKSEMQDHGFHYMPPRKLRKSDVYLRYRRRLSGGFIYVAHADYYMLLRAFAKLAEVNVRIMQISVLKLERGLAFIEDRIERSLSTLQNLSSRLRDGLRPVSD >KQL16995 pep chromosome:Setaria_italica_v2.0:III:47451197:47453859:-1 gene:SETIT_023070mg transcript:KQL16995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRFQPRLRLLPSAAAAASAPPFPPRGPASTTGVSVVVVGGGAGSGVRITCGRRRRRRGTAVAMASGDARVAGIASSIRVIPDFPKPGIMFQDITTLLLDPKAFRDTIDLFVERYKDQGITVVAGVEARGFIFGPPIALAIGAKFVPLRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVEANDRALVVDDLIATGGTLCAAVKLLERVGAKVVECACVIELPELKGRDKLGDRPVFVLVKAD >KQL16996 pep chromosome:Setaria_italica_v2.0:III:47451729:47453859:-1 gene:SETIT_023070mg transcript:KQL16996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRFQPRLRLLPSAAAAASAPPFPPRGPASTTGVSVVVVGGGAGSGVRITCGRRRRRRGTAVAMASGDARVAGIASSIRVIPDFPKPGIMFQDITTLLLDPKAFRDTIDLFVERYKDQGITVVAGVEARGFIFGPPIALAIGAKFVPLRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVEANDRALVVDDLIATGGTLCAAVKLLERVGAKVVECACVIELPELKVCFASDTPMSLVRKNIICTPH >KQL17202 pep chromosome:Setaria_italica_v2.0:III:48909885:48915674:-1 gene:SETIT_021470mg transcript:KQL17202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAAVESALNGDSLIGRQPVVPRMKRKTPSELRGEQLKRRTSEKPANDQLPSSAAFDRSSNGLRNTEQQKISKYISTRVTEVFPVRKARNHGKENCKSNEKICKSIDASTASNFASSSLPCGNGDSATSDSSVPSTTEGAKPGFKKVEKCSENALRSVSELHVGDEKQTGSNKFDMEKVLKGFGTRDAFVASGLSSSTVQVGDAVLKSSDLCPSKITIPGKRAPLDFTLKTSLQFVSSSSVKWCHKLNTSFGRSSITGAIGQTCPRGCQNLECPKPESKKEFLFSKALQSWVYPQSLLPSSIISAMLSSTARGESDFLLKRHQDWEDSFQNLYYMFRKNMLNIFYVYTTQFVALFIGGSHLEKKQSCNAYLSQSTRGLRALLRKHGVCFSMPLCNTEVEQATEDDLIELSEIQRRNLGQALHLDALSDVDNTTQSLLMFSGNESVHGLYDILLNYKSLLNSLSAADVPVLYSPQPFQNGCLHIPEVKCREMRRADMGLLSSGGFDTEPGSAFASTAGNICYSMEIKDLVLPPWVVSGVCAAMSSDARSFDLTIATEPSSMGLNAALKSMTTAPQPETVPSTDGGAPAGGIPDAILVPSLHSGSLRRLSYTDGEYVAYTTV >KQL17203 pep chromosome:Setaria_italica_v2.0:III:48910244:48914918:-1 gene:SETIT_021470mg transcript:KQL17203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAAVESALNGDSLIGRQPVVPRMKRKTPSELRGEQLKRRTSEKPANDQLPSSAAFDRSSNGLRNTEQQKISKYISTRVTEVFPVRKARNHGKENCKDALQSNEKICKSIDASTASNFASSSLPCGNGDSATSDSSVPSTTEGAKPGFKKVEKCSENALRSVSELHVGDEKQTGSNKFDMEKVLKGFGTRDAFVASGLSSSTVQVGDAVLKSSDLCPSKITIPGKRAPLDFTLKTSLQFVSSSSVKWCHKLNTSFGRSSITGAIGQTCPRGCQNLECPKPESKKEFLFSKALQSWVYPQSLLPSSIISAMLSSTARGESDFLLKRHQDWEDSFQNLYYMFRKNMLNIFYVYTTQFVALFIGGSHLEKKQSCNAYLSQSTRGLRALLRKHGVCFSMPLCNTEVEQATEDDLIELSEIQRRNLGQALHLDALSDVDNTTQSLLMFSGNESVHGLYDILLNYKSLLNSLSAADVPVLYSPQPFQNGCLHIPEVKCREMRRADMGLLSSGGFDTEPGSAFASTAGNICYSMEIKDLVLPPWVVSGVCAAMSSDARSFDLTIATEPSSMGLNAALKSMTTAPQPETVPSTDGGAPAGGIPDAILVPSLHSGSLRRLSYTDGEYVAYTTV >KQL17208 pep chromosome:Setaria_italica_v2.0:III:48973462:48974009:-1 gene:SETIT_0211452mg transcript:KQL17208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEVKKGWYDFDDRHVGPITEDSIKTSAAYVLFYRRIQEDSLDTGTDIDSDIAT >KQL12784 pep chromosome:Setaria_italica_v2.0:III:846533:847285:1 gene:SETIT_024146mg transcript:KQL12784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYHRIHPVTVGSPPPSAPPEQARGKKPSHDQLQLPVTAPAPDAPAPLPPPRRRRRHSRCCRCVCWTLLAVVILVVALGATAGILYLVFRPKIPNFKVDRLTVTRFDVNQTTMTVTDAFDVDVTATNPNKRIGIYYDGGEVTASFNGTQMCRGDFPALYQGHRTTVRPRISLAGETRLDSEVVGQLLQQQQAGFVPLTVSARVPIRIKFGAIKLWKMTGKADCNLVVDNLQAGTQLRIRSNSCSFKLKI >KQL14397 pep chromosome:Setaria_italica_v2.0:III:10986816:10987766:-1 gene:SETIT_023842mg transcript:KQL14397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDFRKIYMGRISSSPVRNFKSIWQSKESESIQMNIIEEKEDLFVICNMRAFMRRETTKLTSPEEVANHKTGKHFILKCR >KQL13681 pep chromosome:Setaria_italica_v2.0:III:6037195:6037413:-1 gene:SETIT_024441mg transcript:KQL13681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPTPKLTDSSDDRRCDYHTPFPRGDTLRIFHRADNTFACPVYPGTRHRWGILNEVKDHVLGPPPEGREP >KQL14517 pep chromosome:Setaria_italica_v2.0:III:11724301:11729569:-1 gene:SETIT_021493mg transcript:KQL14517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLDRFARPCFEGFVHNDERKESKSDADNSEGDKKTKVSSFKKKAINAGNKFRHSLRRRSKKKNEPRDSIKDIRDVKELQDVETFRQCLIDEDLLPQQHDDYHMMLRFLKARKFDVEKAKNMWSDMLRWRKEFGTDKIEEFDYTELDEVTKYYPQFYHGVDKEGRPVYVELIGKVDANKLVQVTSIDRYVRYHVKEFERCFQMRFPACSIAAKKHIDSCTTILDVQGVGFKNFSKSARELITRLQKIDSDNYPETLCRMYIINAGQGFKMLWSTIKSFLDPKTASKIHVLGNKYQHKLLEIIDECELPEFLGGKCKCEEHGGCQKSDKGPWKDPEIIKRVLNGEANYGRQIVTISSTDGKIIGYARPDYPTRKGSDASAESGSEVEDVTSPTASRNLITHPILTPVHEESKLPVHASAFVAHTSIEESIPVVDKVVDDGWGSPRASLQASSSGSLSLRNFEGLRAQIITWLTVFIMTLFAMLCSVPSKVARRISNQSIKHDDYHVEYPQEQEYKEEFRPPSPAPSYTEKDVLSSMLRRLGELEDKVQVLETKPSEMPFEKEELLNAAVRRVDALEAELISTKKALYDALMRQDELLAYIDKQDLIKFRKKKFCF >KQL14634 pep chromosome:Setaria_italica_v2.0:III:12528025:12531253:1 gene:SETIT_023216mg transcript:KQL14634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFVARYLDLFTDYISLYNSVMKVVFITSSAAIVWCMRRHPQVRRTYDKEQDTFRHVVLIAVAFLFALIFNERFTIREICWAFSIYLEAVAILPQLVLLQRSRNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEGHHSRWIPWLAGLVQTALYADFFYYYFLSWKNNVKLELPA >KQL14635 pep chromosome:Setaria_italica_v2.0:III:12528025:12531253:1 gene:SETIT_023216mg transcript:KQL14635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFRFLGDMTHLFSVLVLLLKIYATKSCSGVSRKTQELYMLVFVARYLDLFTDYISLYNSVMKVVFITSSAAIVWCMRRHPQVRRTYDKEQDTFRHVVLIAVAFLFALIFNERFTIREICWAFSIYLEAVAILPQLVLLQRSRNVDNLTGQYVFFLGAYRAFYILNWIYRYFTEGHHSRWIPWLAGLVQTALYADFFYYYFLSWKNNVKLELPA >KQL17341 pep chromosome:Setaria_italica_v2.0:III:49852740:49853054:1 gene:SETIT_025158mg transcript:KQL17341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGSKKRCAEAVAAAPWYACATGGDLGKVPKGYFPVMLVDDGEDDEQGQRILVPVKMLREPCMEALLELAEQQFGYGQRGVLRIPCTAIHFQHIINGLMKNNG >KQL16956 pep chromosome:Setaria_italica_v2.0:III:47018191:47021030:1 gene:SETIT_022845mg transcript:KQL16956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPHSPSPSCMPIASKLLYFQHRPTPAPPDPGPSPPDPRRRAGRDPSGRQRRRSSLPSHHKPGQEPVLGSQRDVTNSLGTAVNIAEHAAAISSSARLNRSASDNGRLPDAVQQARERLLQRLNSVDLSGRSRQKTCTSETIWAGAGLGSARSPADFSSDCILGTLTNCFQPGDCDSVAASKVEEGGTAEPDAINADERAPITVLLSEGQPVVPELERSACSGGAEEEKCDGRGCEAPAECSICLERCGGAGGGSDGLTQLRCRHVFHSACLERWLRSRGDCPYCRAAVLRS >KQL13572 pep chromosome:Setaria_italica_v2.0:III:5304388:5304669:-1 gene:SETIT_024120mg transcript:KQL13572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLSARYISKPLLSCLDKGVGYAHFEVDGEDRGTVRVQLNADGRASLTSGWENVVEAKDIKVGDICALHFKVSDGVLKLSVYVFHAVRHLVCVR >KQL14138 pep chromosome:Setaria_italica_v2.0:III:9088761:9093015:1 gene:SETIT_022349mg transcript:KQL14138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLHPLLPCDYGSSVPTLTPCCSHPETVFSPNWKQDDPQTKAMYHNGAATDDNSEFLQLIFSDTYEGNSSSELQIWDILDLYFPESFAAVQFNTLMGFGNDDCSYNECVDAADMVAMGISPPDKTRGVDDATCRAPVDYTSFYLQNRPSDSENECSSASCMVTGYECTDNQELPVGLLNLMDIGSPGNSDDLSRPSLNTKNIVLVLDLDETLVHSKLQPCDNFDFTLQVFFNMEDHTVYVRQRPHLEMFLNRVAQMFEVVVFTASESVYAEPLLDKLDPDRKLISRRFYRESCTFSNGSYTKDLTIFGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPTDVELMELLQFLATLVDAKDVRPIISKNFNNKPQLIGSD >KQL14137 pep chromosome:Setaria_italica_v2.0:III:9088761:9093015:1 gene:SETIT_022349mg transcript:KQL14137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLHPLLPCDYGSSVPTLTPCCSHPETVFSPNWKQDDPQTKAMYHNGAATDDNSEFLQLIFSDTYEGNSSSELQIWDILDLYFPESFAAVQFNTLMGFGNDDCSYNECVDAADMVAMGISPPDKTRGVDDATCRAPVDYTSFYLQNRPSDSENECSSASCMVTGYECTDNQELPVGLLNLMDIGSPGNSDDLSRPSLNTKNIVLVLDLDETLVHSKLQPCDNFDFTLQVFFNMEDHTVYVRQRPHLEMFLNRVAQMFEVVVFTASESVYAEPLLDKLDPDRKLISRRFYRESCTFSNGSYTKDLTIFGVDLAKVVIVDNTPQVFQLQVDNGIPIKSWFDDPTDVELMELLQFLATLVDAKDVRPIISKNFNNKPQLIGSD >KQL15553 pep chromosome:Setaria_italica_v2.0:III:21266371:21272450:1 gene:SETIT_021174mg transcript:KQL15553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQCVASQRVFHFGKGKSEGNKTMKELLGGKGANLAEMSSIGLSVPPGFTVSTEACQQYQEAGRSLPQGLWDEIIDGLAWVEEEMGARLGDPQRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLGAKSGERFANDSYRRFLDMFGNVVMDIPHALFEEKLEAMKEAKGVKNDNDLTASDLKELVAQYKEVYVEAKGEPFPSDPKKQLELAVLAVFNSWDSPRANKYRSINQITGLKGTAVNVQSMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKAQMPDAYVELVENCKILESHYKEMMDIEFTVQENRLWMLQCRSGKRTGQGAVKIAVDMVNEGLVERRQAIKMVEPGHLDQLLHPQFENPSAYKDQVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKAAILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVLVNDAEKSVVIGDKVMHEGEWLSLNGSTGEVIVGKQPLSPPVLSGDLGTFMSWVDEVRQLKVLANADTPEDALTARNNGAEGIGLCRTEHMFFASDERIKAVRQMIMAPTLELRQKALDRLLPYQRSDFEGIFRAMDGLSVTIRLLDPPLHEFLPDGNVEDIVRELCSETGANQEEALARIESLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGVQVFPEIMVPLVGTPQELGNQVALIRETANKVFAALGKTIDYKIGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSQGILQHDPFEVKF >KQL15555 pep chromosome:Setaria_italica_v2.0:III:21266320:21273408:1 gene:SETIT_021174mg transcript:KQL15555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPHALFEEKLEAMKEAKGVKNDNDLTASDLKELVAQYKEVYVEAKGEPFPSDPKKQLELAVLAVFNSWDSPRANKYRSINQITGLKGTAVNVQSMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKAQMPDAYVELVENCKILESHYKEMMDIEFTVQENRLWMLQCRSGKRTGQGAVKIAVDMVNEGLVERRQAIKMVEPGHLDQLLHPQFENPSAYKDQVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKAAILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVLVNDAEKSVVIGDKVMHEGEWLSLNGSTGEVIVGKQPLSPPVLSGDLGTFMSWVDEVRQLKVLANADTPEDALTARNNGAEGIGLCRTEHMFFASDERIKAVRQMIMAPTLELRQKALDRLLPYQRSDFEGIFRAMDGLSVTIRLLDPPLHEFLPDGNVEDIVRELCSETGANQEEALARIESLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGVQVFPEIMVPLVGTPQELGNQVALIRETANKVFAALGKTIDYKIGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSQGILQHDPFEVLDQRGVGELVKFATERGRKARPNLKVGICGEHGGEPSSVAFFAKSGLDYVSCSPFRVPIARLAAAQVLV >KQL15551 pep chromosome:Setaria_italica_v2.0:III:21266320:21273408:1 gene:SETIT_021174mg transcript:KQL15551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQCVASQRVFHFGKGKSEGNKTMKELLGGKGANLAEMSSIGLSVPPGFTVSTEACQQYQEAGRSLPQGLWDEIIDGLAWVEEEMGARLGDPQRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLGAKSGERFANDSYRRFLDMFGNVVMDIPHALFEEKLEAMKEAKGVKNDNDLTASDLKELVAQYKEVYVEAKGEPFPSDPKKQLELAVLAVFNSWDSPRANKYRSINQITGLKGTAVNVQSMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKAQMPDAYVELVENCKILESHYKEMMDIEFTVQENRLWMLQCRSGKRTGQGAVKIAVDMVNEGLVERRQAIKMVEPGHLDQLLHPQFENPSAYKDQVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKAAILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVLVNDAEKVLANADTPEDALTARNNGAEGIGLCRTEHMFFASDERIKAVRQMIMAPTLELRQKALDRLLPYQRSDFEGIFRAMDGLSVTIRLLDPPLHEFLPDGNVEDIVRELCSETGANQEEALARIESLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGVQVFPEIMVPLVGTPQELGNQVALIRETANKVFAALGKTIDYKIGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSQGILQHDPFEVLDQRGVGELVKFATERGRKARPNLKVGICGEHGGEPSSVAFFAKSGLDYVSCSPFRVPIARLAAAQVLV >KQL15554 pep chromosome:Setaria_italica_v2.0:III:21266371:21272450:1 gene:SETIT_021174mg transcript:KQL15554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQCVASQRVFHFGKGKSEGNKTMKELLGGKGANLAEMSSIGLSVPPGFTVSTEACQQYQEAGRSLPQGLWDEIIDGLAWVEEEMGARLGDPQRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLGAKSGERFANDSYRRFLDMFGNVVMDIPHALFEEKLEAMKEAKGVKNDNDLTASDLKELVAQYKEVYVEAKGEPFPSDPKKQLELAVLAVFNSWDSPRANKYRSINQITGLKGTAVNVQSMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKAQMPDAYVELVENCKILESHYKEMMDIEFTVQENRLWMLQCRSGKRTGQGAVKIAVDMVNEGLVERRQAIKMVEPGHLDQLLHPQFENPSAYKDQVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKAAILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVLVNDAEKSVVIGDKVMHEGEWLSLNGSTGEVIVGKQPLSPPVLSGDLGTFMSWVDEVRQLKVLANADTPEDALTARNNGAEGIGLCRTEHMFFASDERIKAVRQMIMAPTLELRQKALDRLLPYQRSDFEGIFRAMDGLSVTIRLLDPPLHEFLPDGNVEDIVRELCSETGANQEEALARIESLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGVQVFPEIMVPLVGTPQELGNQVALIRETANKVFAALGKTIDYKIGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSQGILQHDPFEVKF >KQL15552 pep chromosome:Setaria_italica_v2.0:III:21266320:21273408:1 gene:SETIT_021174mg transcript:KQL15552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQCVASQRVFHFGKGKSEGNKTMKELLGGKGANLAEMSSIGLSVPPGFTVSTEACQQYQEAGRSLPQGLWDEIIDGLAWVEEEMGARLGDPQRPLLLSVRSGAAVSMPGMMDTVLNLGLNDEVAAGLGAKSGERFANDSYRRFLDMFGNVVMDIPHALFEEKLEAMKEAKGVKNDNDLTASDLKELVAQYKEVYVEAKGEPFPSDPKKQLELAVLAVFNSWDSPRANKYRSINQITGLKGTAVNVQSMVFGNMGDTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVAGIRTPEDLDAMKAQMPDAYVELVENCKILESHYKEMMDIEFTVQENRLWMLQCRSGKRTGQGAVKIAVDMVNEGLVERRQAIKMVEPGHLDQLLHPQFENPSAYKDQVIATGLPASPGAAVGQIVFTAEDAEAWHAQGKAAILVRTETSPEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVSGCSSVLVNDAEKSVVIGDKVMHEGEWLSLNGSTGEVIVGKQPLSPPVLSGDLGTFMSWVDEVRQLKVLANADTPEDALTARNNGAEGIGLCRTEHMFFASDERIKAVRQMIMAPTLELRQKALDRLLPYQRSDFEGIFRAMDGLSVTIRLLDPPLHEFLPDGNVEDIVRELCSETGANQEEALARIESLSEVNPMLGFRGCRLGISYPELTEMQARAIFEAAIAMTNQGVQVFPEIMVPLVGTPQELGNQVALIRETANKVFAALGKTIDYKIGTMIEIPRAALVADEIAEQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSQGILQHDPFEVLDQRGVGELVKFATERGRKARPNLKVGICGEHGGEPSSVAFFAKSGLDYVSCSPFRVPIARLAAAQVLV >KQL16909 pep chromosome:Setaria_italica_v2.0:III:46610484:46611441:-1 gene:SETIT_024976mg transcript:KQL16909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAYSNYALYNWTQIASSCANWDEATTKTFLDLCIAEKNQLNWSNKCLTKLGWQHVYRNFKQQIYLTLGSKQLQNKLSTMQRAFMTWRDLQVPSGLGLDKHTGGVVADSTFLVADEEETSAGAAQTSTAKPPPFLDELYTLFGHTTQARGTLLTTGGVRQSSRQAPRPMPASSARNMSKRPTWDEVVDSPPKKKSGNLVDYVRELSETVAMRSQKCGSHEQEELDHAMQIIEEDGIEEGSDLYCMTLYLCKNAVYRRAFMKMKTREGRLHWIQFNWERENK >KQL14282 pep chromosome:Setaria_italica_v2.0:III:10089592:10097493:-1 gene:SETIT_021205mg transcript:KQL14282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGAGGKGAAAPVPAGSRKLVQSLKEIVNRPEAEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEIKEVPEPRSRSNTSSSRGVRGGADRGGRSNSMHSSSTDNAASRPPVSGPGAASNNSSQKQTIASSSANKHMVADGPAVSLQSSSGFQHGWSGTPGLSMADIVKMGRPQVKASSKPAVTADRGYAGQYPSLPSTANQNLKQSGSTVPPTELDKGLPPVQDSVQVKNHGHSASDSKHTYENDWSPQDEPTSANQLSLPETSGDPSLYEASLQSSTLVTDVVNSHENSHLDENSTIAVRSAPASERHLERSEGISEYNDGMSYQPQKYAYTEHDVEDSSVDVSAAAANFQSLSLHNDELVAKKIAEDNPAVIIPDHLQVTNTECVSLSFGSFGSGAFSGLLPQKTTDSNVELPVREESAPVDQIDARNQDYYESGAVNSSADGNLEAMMGANMENVDAPSVSQANEHMAEVPDPSDLQYDMPSVSSHAYSNTNTSQPNTMEDPQGNNQAHTLSHLSNLMEANPLSTSSLLGSNQNHPTLHGLEFDLPPYLEGKYNTGSTANPRPAISMQEALKTGVFSNTQSTQSLPSTSIQTGPPLPQQLAAHPYSQPTLPLTHFANMFGYPTYLPQNYATYLSSGAFQQPYPSNAQFHQSAAALLGSGLKYSTPEYKNNLSATGLQQQPQQQPPPSSVISGYGGFGNSSNLPGNFALNQSTGSASTLGFDEALSRQYKDTSQYMAPQQGDNSAMWLHGSGSRATSALPQGHFYGFQGQSQLGGFRQAQQPQPSQFGGHGYPTFYQSQGGLTQEPPQNLAEGSMNGFPAAPSQQSHTSWQHQHTY >KQL14283 pep chromosome:Setaria_italica_v2.0:III:10090017:10097363:-1 gene:SETIT_021205mg transcript:KQL14283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGAGGKGAAAPVPAGSRKLVQSLKEIVNRPEAEIYAALRECGMDPDEAVSRLLSQDTFQEVKSKRDKKKEIKEVPEPRSRSNTSSSRGVRGGADRGGRSNSMHSSSTDNAASRPPVSGPGAASNNSSQKQTIASSSSANKHMVADGPAVSLQSSSGFQHGWSGTPGLSMADIVKMGRPQVKASSKPAVTADRGYAGQYPSLPSTANQNLKQSGSTVPPTELDKGLPPVQDSVQVKNHGHSASDSKHTYENDWSPQDEPTSANQLSLPETSGDPSLYEASLQSSTLVTDVVNSHENSHLDENSTIAVRSAPASERHLERSEGISEYNDGMSYQPQKYAYTEHDVEDSSVDVSAAAANFQSLSLHNDELVAKKIAEDNPAVIIPDHLQVTNTECVSLSFGSFGSGAFSGLLPQKTTDSNVELPVREESAPVDQIDARNQDYYESGAVNSSADGNLEAMMGANMENVDAPSVSQANEHMAEVPDPSDLQYDMPSVSSHAYSNTNTSQPNTMEDPQGNNQAHTLSHLSNLMEANPLSTSSLLGSNQNHPTLHGLEFDLPPYLEGKYNTGSTANPRPAISMQEALKTGVFSNTQSTQSLPSTSIQTGPPLPQQLAAHPYSQPTLPLTHFANMFGYPTYLPQNYATYLSSGAFQQPYPSNAQFHQSAAALLGSGLKYSTPEYKNNLSATGLQQQPQQQPPPSSVISGYGGFGNSSNLPGNFALNQSTGSASTLGFDEALSRQYKDTSQYMAPQQGDNSAMWLHGSGSRATSALPQGHFYGFQGQSQLGGFRQAQQPQPSQFGGHGYPTFYQSQGGLTQEPPQNLAEGSMNGFPAAPSQQSHTSWQHQHTY >KQL12893 pep chromosome:Setaria_italica_v2.0:III:1491297:1496987:1 gene:SETIT_022307mg transcript:KQL12893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVHRDLVRNGPGSVKLVPEEEDDLWHAYNLIAIGDNLQAVTVRKVLREMASGGRDAERVKLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKEIWDWLALETIQQACDPSASADLAVILMQEGLAHLFLIGKSITATRARIETSIPRKHGPAIAGYESALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKGNPHLSAIIDQFRDYMFLEAARRDLRVIIENKQRLVLAHATSGYKHSLKEVLDTPGIMALIKDTKAAQEVRALQDFFNMLTNDSARACYGPKHVEIAQDRLAIQTLLITDTLFRNADIATRQKYVKLVEGVKKYGGTVHIFSSMHVSGDREFYALIL >KQL12894 pep chromosome:Setaria_italica_v2.0:III:1491297:1497423:1 gene:SETIT_022307mg transcript:KQL12894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVHRDLVRNGPGSVKLVPEEEDDLWHAYNLIAIGDNLQAVTVRKVLREMASGGRDAERVKLKLEIVVESVDYDKEGSVLRVRGKNITENDHVKIGQFHTLELELKRPFVLRKEIWDWLALETIQQACDPSASADLAVILMQEGLAHLFLIGKSITATRARIETSIPRKHGPAIAGYESALKKFFEHVLQALLKHIDFEVVQCVVIASPGFTKGNPHLSAIIDQFRDYMFLEAARRDLRVIIENKQRLVLAHATSGYKHSLKEVLDTPGIMALIKDTKAAQEVRALQDFFNMLTNDSARACYGPKHVEIAQDRLAIQTLLITDTLFRNADIATRQKYVKLVEGVKKYGGTVHIFSSMHVSGDQLAQLTGIAAILRFPLPDLEDIEM >KQL14398 pep chromosome:Setaria_italica_v2.0:III:10994351:10997032:-1 gene:SETIT_022399mg transcript:KQL14398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAARAAAAAAAALVVVALATVATAQMESCNDELPPVLVANYSGLACQPVWNNFVLRYHQDKNNVLRVVLSTMYSTGWVGMGFSRDGLMIGSSAMVGWVGKKGLPHIRQFALRGKASSKVVVDRGFLVSNDHDHTVVVQQAKIYIAFQLRFSYRLTHQHIIMAFGNSIPVKNKLTRHQGKTSFTFDFTTGRASVDGSFPYGLRRAHGALNVFAWGVLMPIGAIIARYFRRMDPLWFYLHVGLQFVGFIIGLAGVVAGVALYNKIQADIPAHRGLGIFVLFLGILQILAFFLRPNVDSKYRKYWNWYHHWSGRLALFFASVNIVLGIHVGGADNSWKIGYGFNLAVILVAVIALEFMLWTRWSKNSTSTPTY >KQL17238 pep chromosome:Setaria_italica_v2.0:III:49156320:49160177:1 gene:SETIT_021544mg transcript:KQL17238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGKKENGEGLGRALIRQRNKAAAAAKERGEALAFGRRRAMPLESVIDVSDIDAVLQRAAEADQLAEAASVSSDSDLVIDLDATGETDEERRRLRKEQEALHASSLSVPRRPPWHNQMTVEELDANERRAFLVWRRNLARLEENDKLVLTPFEKNIDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEAYAKEIDEHKRTMLLVNKADLLPLNIRKRWAEYFKAHDILYVFWSAKAATATLEGKKLSGYSEEESASLDLDTKVYGRDELLMRLQAEAESIVAQRKTSTAEVDHDVSSSDSVSSVAKHVVVGFVGYPNVGKSSTINALVGEKRTGVTHTPGKTKHFQTLIISEELTLCDCPGLVFPSFSSSRHEMVACGVLPIDRMTKHREAIQVVADHVPRNILEQIYKITLPKPKPYESQSRPPTAAELLRAYCSSRGHVSHAGLPDETRAARQILKDYIDGKIPHFELPPGVTDIETECEVIAISEGPTTSAANESDADDLDEEDEDTADPAEPDMRDVLDDLESFDLANEGSKTATKKKETSHKHHKKPQRKKDRSWRVGNDGGDGTAVVRVFQKPAVNLPAVNASGRV >KQL12644 pep chromosome:Setaria_italica_v2.0:III:171861:175528:-1 gene:SETIT_021331mg transcript:KQL12644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRSRIRGRDPPSVGGPGGGGGRYRRRSPPPPSPRHQRRPPHPQRRSPERPPPPPPPPRYDDNPLPDISAAGDRRSRAGILLEAGRLAANYLVAQGVLPEHLLRAREDPNHKPAPRHEAPAPSPATYGRKLDDEDDPRSRRDAGEWGRGREDHDRQPRRSTWDRRSQSFDARRKYNDAGDVDRGARRTRDYQEPKRPPMSRSYSHNDRRPSADSRLDRRRRSRSRSRSRSRSRTRSHHAGGRRDDSDWRAGGRDFDHTKVPDSGIVPAAAGGGDVDEMPRQQRVPSSVVVAEEADGTANRSMPIEDGEMESEIIPLEHTQDISEDEEGEFAEDISEDEDGEFAAARMNDEYGVEMDVTQPQLSDVDVHLHPSESVDEPVLSQSQLSNAVEEMEAGCAPTDACMIEPLGEDNGCSEARDEMEAPPPQSEVETSVGDLNRNEQELPAWYRIFDLSIIEAPGGCEIPEIPGDPPADHASDSGPFLVGQTHQQTNDDTSETQGEDEHAGDNRVLGDGHDLNKYDLNSEADEHAQDDTSENEGQDEHAGDNRMLKDGHDLNRYDLNNEAVEDAQDNHLLGNERLLLNHGMGVHDTDRSHLSNGQLLLNQNEDEQEHDDHRMENIPVSGEQLLLSHSADGHHVNNHQMESEVMLLPTGVRDLHGYDLNSEQMLLHDGAEKHALDSCHLTDGQMLFDQSAGGQAIVRNMGNGRTIPLINLEDDYEEQSDTRGFL >KQL17059 pep chromosome:Setaria_italica_v2.0:III:47880030:47883163:1 gene:SETIT_021292mg transcript:KQL17059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHELVLGHGQNAELALGQNHHDFGQDHGLGLGHGHELDLGSHHHDHDLVLGQSHEHDHELVLGQSHDHDLGLGHHDDDHQLVLGHDHHHHGGELALRQGHEGDSGALDVQGHHHDELELSDSHELTLAETHHLGVDQNLDDQLTLEQAHELALHPHDLSQAPLAVAPVVQSRTMVVSPEFQLAVGQEFPEVMSCRRAIRNTAIACHFEIQTVKSDKTRFTAKCAAEGCPWRIHAAKLPGVPTFSIRTIHDNHSCVGINHLGHQQASVQWVANTVEERLRENPQCKPKEILEEIHKAHGITLSYKQAWRGKERIMAAVRGSFEEGYRLLPEYCKQVERTNPGSIARVYGNPDDNCFRRLFISFNASIFGFVNACRPLIGLDRVLLKNKYLGTLLLATGFDGDGALFPLAFGVVDEETDENWVWFLSELHELLEKNTENMPRLTILSDRRKGIIDGVEFNFPTAFHGFCMRHVSETFKKEFSNPQLVNLLWEAAHALTVIEFETKLLEIEDTSPEAVVWIRHLPPRLWATAYFEGTRYGHLTANITESLNSWILDASSLPIIQMMECIRRQLMTWFNERREASMQWTTILVPLAERRVQEAIERARGYQVARANEAEFEVISAHEGTNIVDIRNRCCLCRGWQLYGVPCAHGVAALLSCRQNVHRYTESCFTVATYRKTYSQTIHPIPDKTLWNETAGQGQAEENKLEIIINPPKSLRPLGRPRKKRVRAEDRGRVKRVVHCSRCNQIGHFRTTCAAPI >KQL12787 pep chromosome:Setaria_italica_v2.0:III:867014:872588:-1 gene:SETIT_022259mg transcript:KQL12787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSHLNGHSPLARRRPRLCAASPPAAAGESPAAGAGATSAALSLEEHDRIYFQSYSHIGIHEAMIKDRVRTDAYHTAIMHHQKFIEGKVVLDVGCGTGILSVFCARAGAKRVYAVEASEIATQAREIVKANNLTDQVVVIHGRVEDVDLEEKVDVIISEWMGYMLLYESMLPSVLFARDKWLKPGGLILPSHATLFMAPITNPERYEGSVDFWCDVYGINMSALVPLAKKFTSEEPSIETIGGENVISWPSVVKHIDCYNFTAEEFKSITANYKVSSMMLAPIHGFGFWFEVEFNGPQESSHNIPSNLNPLEIIHKKRRRSSEDTVLLSTAPEDEPTHWQQTILYFPDPIEVKQDQTVEGSVTVSQSKENPRFLNIHLECSTGGQTLVKDCTMR >KQL15622 pep chromosome:Setaria_italica_v2.0:III:21942813:21943200:-1 gene:SETIT_024461mg transcript:KQL15622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPASSGADAFNSESESQTETNSFDDRRRGYPMPFPRSDPLRVFRHADNTFACPVCPGMRHQWKILNEVKDHVVGMATSVPLRCKNKKKWSRQLVVAWNEGWLG >KQL16549 pep chromosome:Setaria_italica_v2.0:III:42358470:42359917:1 gene:SETIT_023104mg transcript:KQL16549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVREETRKGPWTEQEDLQLVCTVRLFGERRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGRMSPNEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCYPDTPSTVGVEGQELHGGSGCITSIMKGTPPDMDVYPMDQIWMEIEAPDVPSSMGLDGGNENACSSLAAPLVPPAAWDYYPEAGWKMDDEIKMAPQFGYGEGVGPCF >KQL16548 pep chromosome:Setaria_italica_v2.0:III:42358470:42359917:1 gene:SETIT_023104mg transcript:KQL16548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNEERLILELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKRNMSPSSSSSSLTYQSCYPDTPSTVGVEGQELHGGSGCITSIMKGTPPDMDVYPMDQIWMEIEAPDVPSSMGLDGGNENACSSLAAPLVPPAAWDYYPEAGWKMDDEIKMAPQFGYGEGVGPCF >KQL14922 pep chromosome:Setaria_italica_v2.0:III:14910359:14913896:1 gene:SETIT_022912mg transcript:KQL14922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAAAKDGENGHMAARNGGGSSSAGGAAARPPPLSPPDAVMLEQPPPVPYLFAPQVPVAPLQRPTEFSPVFNHSPINGTDESTTNHALEKGIPTLITWSQGGNEVFLEGSWDNWTSRRALERSGKDHAILLVLPSGVYHYRIIVDGDLRYIPEQPYVTDELGQVANLLDVHDYVPESLDSVAEFEAPPSPEHSYDLQYPGDEEFAKEPPTLPPQLLMSVLGDGDPDNADDQPPKPQHVVLNHLFIEKGWGSQSLLALGVTHRFESKCVSFVLYKPLRR >KQL12719 pep chromosome:Setaria_italica_v2.0:III:581922:582418:1 gene:SETIT_023636mg transcript:KQL12719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQARPYSGIFCGGVSARTGPHALPLARIKKIMKRSAGEAADGGARMISGEAPVVFSKACELFIAEITRRAWAATLEGKRRTVHKEDVATAVHNTDLFDFLVDVVMADAGGGGHAAPGYDDDENGALE >KQL14771 pep chromosome:Setaria_italica_v2.0:III:13751081:13751435:1 gene:SETIT_025679mg transcript:KQL14771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPPRRPLLFLLSGRRKPERTYNGGPIGAGACMTITEKLDR >KQL15582 pep chromosome:Setaria_italica_v2.0:III:21447597:21450728:1 gene:SETIT_022890mg transcript:KQL15582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSASKYEPLGHRYADRGGRRMGAGAYQHRCSGRRRQTGPAGWGKSGGPAVVAAAALGVFASSGVTARPRATPDCQRSISTRHSEKTRFASTRLAAAGRRRGERSKMFFHIVLERNMQLHPRHFGPHLRDKLVSKLIKDVEGTCSGRHGFVVAITGVEDIGKGLIREGTGYVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKESEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGAAV >KQL17318 pep chromosome:Setaria_italica_v2.0:III:49741520:49743754:1 gene:SETIT_021538mg transcript:KQL17318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLYRLLKCRRNAAAAVASAAFLLLVLVLSVLLAAARRDGDAAGTMVFFSGASPAISAAPPLLLLLGGQEEGTSCEAALRSLPDHGSRCRYLSWQGHPPCAPGAYVDYLRLFYCDFGRAPWLGAGAMALWLLVLFYLLGDTASRYFCASLEGLSEALRLPPAIAGVTLLSLGNGAPDVLSSVVAFAGTGGGGGGDAGDVGLSSVLGGALFVSTVVAGVVAVVAESRGEVVIERRGFVRDVCFLLVALCYLLAVLLAGTVTVWAAASFLSLYAAYVLIVWFSHCCAAARAEDDDDPLLEDGKKPAAAANNSSDVLAAPLLLDVHGDGGAAPPLPISFNKSTTSPPPEKTFSQRAMDALQSPLYLPRRLTIPDITAHRWSKRYAVASAFLSPLLLAATSSPTSPAALFSALAAGALLAAAAAHTTTSTSPPETRCGRLPWLAGGFLMSVLWSYLLARELVALLVAIGLVAGVKASVLGATVLAWGNSLGDLVADVAMAMHGGAGGAQTAVSGCYAGPAFNTVVGLGLSLTMAAGARYPRPYAIPADASAYQAAGFLAAALVWAIVVLPARGMRLDRVLGVGLLVVYLAFIAVRLVSLGGS >KQL14072 pep chromosome:Setaria_italica_v2.0:III:8586464:8591695:1 gene:SETIT_021863mg transcript:KQL14072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYDGAVKDQESAANTASASAAVSEASGDSPAASEAAAVSARPSARLPHDKRLGVRHPLKHRRFRAGGKMMVEPGGVPPAQAVAEGEEEEEASEVEEEEEASSTETDMQAADVEVSSAPAAGVQAMEVEGGEMEASPEPAVAVGVTELEAQPDEEDEVSSIAMAQGERKQEAAPATSAVLAVEAPKEKDQDKEREEKEKRDKERERQKERERVDEVGYMSGGWKSVDGSLNCGYSSFRGKRASMEDFYDIKSSKIDDKQISLFGIFDGHGGSRAAEYLKEHLFDNLMKHPEFMTDTKLAISETYRRTDSEFLDAERNSHRDDGSTASTAVLVGDHLYVANVGDSRAVISKAGKAIALSEDHKPNRSDERKRIESAGGIVMWAGTWRVGGVLAMSRAFGNRLLKQFVIAEPEIQEQEIDDELEFLIIASDGLWDVVPNEDAVALVKMEEEPEAAARKLTETAFSRGSGDNITCIVVKFQHDKPGGGSPSPSGDKS >KQL16572 pep chromosome:Setaria_italica_v2.0:III:42577305:42581081:-1 gene:SETIT_022146mg transcript:KQL16572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLREHLKRLAGIDLQILSAQVTQSTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTIFLPTEKQMTPDACAPLIATLPLEVRTKIGDFIRGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIEFPLPFGRVLSPSESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKEAIECIMAA >KQL16573 pep chromosome:Setaria_italica_v2.0:III:42576743:42581221:-1 gene:SETIT_022146mg transcript:KQL16573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLREHLKRLAGIDLQILSAQVTQSTDFTELANQEPWLSSMKLVVKPDMLFGKRGKSGLVALNLDLAQVRQFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTIFLPTEKQMTPDACAPLIATLPLEVRTKIGDFIRGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFNKWGNIEFPLPFGRVLSPSESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFSGIIRALREKESKLKAARMNIYVRRGGPNYQTGLAKMRTLGAELGVPIEVYGPEATMTGICKEAIECIMAA >KQL16707 pep chromosome:Setaria_italica_v2.0:III:44275148:44279824:-1 gene:SETIT_021369mg transcript:KQL16707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFSRRRVKLGRLKGDQSDPLNSSRSTTGPAKQLSLPNGDDAVTTSVSGRADDLSYRCSSDTFDLDGRSFNISENWTVLSTEGEKPIPRFYHAAAIVSSKMVVFGGDSGHHLLDDTKILNLEKLIWDPAPPKVRPSPNGHSAKLPACKGHCLVPWGNSVILVGGKTEPASDRLSVWIFNTETELWSHVEAKGDIPAARSGHTVIRAGATLILFGGEDTKGKKRHDLHMFDLKSSTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGHSKSKTLNDLYSLDFETMVWSRVKTHGHHPSPRAGCSGALCGNKWYIAGGASKKKRHVETWVFDILQYKWSVCVVPPSSSITSKKGFSMVPFYHRDKIALIAFGGNKKEPCNKVEILVVLQNEHSFSWRSAPEVDRVLYEYSPSNKELVDHLNKCAPLYSNSSVARHSLTSVIEHPPRSEPLSESLLKQPDLGTSPHGHLDQVEECSLAQKLQKQIDDDRYDDGDDSSSCQESTPKGHRSTRTGAGIQNDMAQVGTMVTGGSNVRRIARCSSDVSQSHLYNTKIADLIRRNAMLEDQLVAALASKDQLEKSLSSVIHSREQLEKMLASKDKEAEILKEKIAGLELAQEESNSLSNTVHADNVRLEREVAFLKAVTDETQKELHSTRRVLVGEQSRSFHLQVEVFHLKQRLQILEGRSGTPTKQPHNM >KQL13639 pep chromosome:Setaria_italica_v2.0:III:5723974:5724404:1 gene:SETIT_025552mg transcript:KQL13639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQIVHPVENYVSITVSIFAITFVIFRLMPPLCENCSVYATAISF >KQL15370 pep chromosome:Setaria_italica_v2.0:III:19347406:19347855:-1 gene:SETIT_023997mg transcript:KQL15370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGRSCMCTLCVSKIYHEHACGDILVTSQVGNPRFGQIVSSSREWMNYEKPK >KQL14061 pep chromosome:Setaria_italica_v2.0:III:8483146:8487892:-1 gene:SETIT_021397mg transcript:KQL14061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPVLLLALVAVAAALGCASAEPPQQERSALQAFLAGTPHERALGWNASVPACAWTGVRCDAANATVVELHLPGVGLIGGVPQGTLGGLRGLQVLSLRDNRLLGDIPRDLFVLPRLRSLYLQGNLLTGVIPDEVGRLAASLEHLALSRNNLTGDVPSALNNLTRLRSLRLDGNRLSGSLPSLSSVRRLEVFNVADNDLNGSIPSSLARFPPESFAGNVHLCGPPLVDQPCQAVPSPAPSPPGVLVPSSKKKRKLSGAAVVAIAVGAGAAALLALVLLALCAVHRHRRGASGEEDVKTTPPTRGLTPSTLSEEMTGGDFTSSSKDIGAAAAAAGGGAERSRLVFVGKQGPGHHHYSFDLEDLLRASAEVLGKGSLGTSYKAVLEEGTTVVVKRLRDVAAARREFGACVEAAAGAAAEHRNLVPLRGYYYSKDEKLLVVDYLPGGSLSARLHGSRGTGRTPMDWEARMRAALCAARGVAHLHTAHSLAHGNIKSSNLLLRPDPDAAALSDYCLHQLFAPSPARPGGSGGGYRAPELVDARRPTFRSDVYSLGVLLLELLTGKSPAHHASLEGGDGAVDLPRWVQSVVREEWTAEVFDAELVRTGGGAEEEMVALLQVAMACVSTAPDARPDAPDVVRMIEEIAGGHGRTATEESEGTRGASDEERSRGPTP >KQL13529 pep chromosome:Setaria_italica_v2.0:III:5032199:5033553:1 gene:SETIT_022222mg transcript:KQL13529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGRRAPAAGKPHHGDADDDPDELGVFAAERYFYGDDALWCGRSSSSLSSAFRTGTGTIEHDRSVPTPTAATSSSEASWNSRSALLPNEPPPADKLRAIASGAAGALAVEAEPPSGAESERTGRRRASSTSSNNLRRWLLGVAGCACAGGDGDGEESVSADEMEASGDVLGACGEKCNSDARRLSPRTELILEPAFEEAAAVTVRPGSGRWLLDGYRVLPGRDAFSPIEIAGHGHRRSANLVEMSTPAVLHPAATGPSYERRRVKSWEKFMPLGPATQQNSAFTIVAGNAPRTAGGGGGGSLGSEDDSAAPSELGCGEGGGSLRHAAAAKSDRRRKSGIATTTTSLLACMSDKAVNAVGPAQSVHRPEVEPAVAAARLGARGGSRNGHGGGYNDVIRRRVGR >KQL16684 pep chromosome:Setaria_italica_v2.0:III:43949183:43949901:-1 gene:SETIT_024893mg transcript:KQL16684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSKVVEAVKKHARICKTKQIRYPCFDCSNNIVWEDTDVIKRHLIKGGFTGGTFNNTDIENDEVGGDDANKNDHDMMDDDYDHGDQNGDQTHARLEPQVDEKCDVDMEDMLHHIESKVLLGSAKWLEKFETLKKVAKDRMYVGCGKEWIVKFGWSDNSFNDLVTLLGNLLPKPNIVLKNTYEAKKIINPLYMRVQRIYACRNHCILYRGQYAGL >KQL13257 pep chromosome:Setaria_italica_v2.0:III:3459721:3460787:-1 gene:SETIT_025565mg transcript:KQL13257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPFFTTAITSALCIVDRRCATMTVVLFTITLSRASCTTRSDSASSALVASSRRRILGSFTMARAMATRCFCPPDS >KQL15239 pep chromosome:Setaria_italica_v2.0:III:18099090:18101442:1 gene:SETIT_023452mg transcript:KQL15239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRPARSDPHLPPEEAARVEAEVRGYFESVAPKRLAKPPRSDPSEDAGAEGDAGDHDLPELRKLRDLEAKPQKLVLDGGGDDVDGREEYVETRYYDGLIGIDKQHHTTGTGFIKVERPNCSAFSVMTNGNGYSSASSVRCTSNPATNDWIPSAEIVIPASNKPSRSDS >KQL16271 pep chromosome:Setaria_italica_v2.0:III:35534660:35535358:1 gene:SETIT_024631mg transcript:KQL16271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLITIVGNVAATSFKLMEDLGNLRAVCRVMEHACGDQSVSQRVAMLRIYMEGLEWLDPDRYYNVLALLVGVVNPQACTLKGIADFFTGTDPSLNKLSHATVGGHNVGAYLYALMLYRKNAGAADDDIVKMYIRRLECEDDSVAIGSVCREEAAYLVNSVTWCMHGEPLPPELVRDDFPCARGDCGKVKGWEQAMLFSNEDCRIRHEIVEFEKRMGIDQ >KQL16388 pep chromosome:Setaria_italica_v2.0:III:38896376:38898005:-1 gene:SETIT_024076mg transcript:KQL16388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDFITAGLIQDGCGRALGAETTLVPHDNEVVVFGDLFMAGLRFPLDQVIINILLKCGMFLHHLTPNGILHLSIYMWVCKSIGVVPNVKNFLQAHAIHHQPKYVERIGENGVPIKEEAQYGCPYFQYKTEGSTPVTSYKNRWEDYWNCFWFYHTIKVDSASSTHPLSPFHGCLRELVKSYGTRDLVEEYCAVKVFPVKARWSIVAWKDFSNIEVREVEERANIILGPESAKDFCPPIARRKRHSKHQTSLTPRAQKHPRVEPRPSEEVVESSPEESEDEGTGSGSGDGQRNFLDDTLNASHSRQEDIDITSSPTLQYPADRVPLNPAIPQASTAPQATGTADKPFEIQYSDDEPDRDDELLVRCPRSRDPLPTSNVVAPEVPTSHMLTVTHEAEVIEDEAAAAGIMTLAQQAAQNTSGLGA >KQL15479 pep chromosome:Setaria_italica_v2.0:III:20620507:20621865:1 gene:SETIT_022956mg transcript:KQL15479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTPKNVSQAAAAPLKLLGSWASSYTHRVQLALRLKGLEFEYAEEDLGNKSVELLRLNPVYKKVPVLVHGGRALPESVIILQYLDDAWPETRPLLPADAFDRALARFWCHFADDKLGPAVGAVFASTGEDQEAAVRQVHENLALIEAELRDGAFKGRRFFGGDEVGLLDVVLGCGSYWLAVFEEVTGVRLVDADAFPLFHAWLRNFEALDEVRETIPAVDRLLEYARGVRHMLLGLAGAGSAAASAATPSEAPAAPAPAAAADIAVDI >KQL16135 pep chromosome:Setaria_italica_v2.0:III:30503448:30511602:1 gene:SETIT_022085mg transcript:KQL16135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVVAVPAMAAATTTAIFSPSLPSLLRSHLTCGHRAATTTTVTFSSRRFRDVNPSHKRSRGKATLAPATDEGFGVLEAELWRLRRRVELRLHRLAFEADEAYRDLRYAVRDVGGDRVVITFRRSSLRFAAGALLCSLAFAVAARALLWMVLRAWWRRGLGRGWWGGRGGGRAVVWRRDRSLGGKEVVVAVSSSSVAPAPTSHVQEPARVVRRREPQAKVPDWWPEVGVTIVEPRLEMEKRLANRLVRAIIDNRITGRDYRYDDAIQLRQLCKISGIKQHRMLEQYKLMVRIQGIFLLVLLPILDWTSLMLPLLYVLQLLLGHVHVSYNAGPLRFKENDKKHWMSF >KQL16136 pep chromosome:Setaria_italica_v2.0:III:30503448:30511602:1 gene:SETIT_022085mg transcript:KQL16136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVVAVPAMAAATTTAIFSPSLPSLLRSHLTCGHRAATTTTVTFSSRRFRDVNPSHKRSRGKATLAPATDEGFGVLEAELWRLRRRVELRLHRLAFEADEAYRDLRYAVRDVGGDRVVITFRRSSLRFAAGALLCSLAFAVAARALLWMVLRAWWRRGLGRGWWGGRGGGRAVVWRRDRSLGGKEVVVAVSSSSVAPAPTSHVQEPARVVRRREPQAKVPDWWPEVGVTIVEPRLEMEKRLANRLVRAIIDNRITGRDYRYDDAIQLRQLCKISGIKVSFDTENARNSFYRAAVNFVLDDCSRAAQDVGAVQVNGENPRDFLAGLATNIGLDKSHAATLVRASVAARTRTCFLQCWALEIQGKRQEALDELLKICGIHNMFPPEDNSAEIEMVAAGLEKNLQVAERVHLLTLFQSACTTGNIKTAAEALGLSLPDE >KQL17243 pep chromosome:Setaria_italica_v2.0:III:49180764:49183019:-1 gene:SETIT_024468mg transcript:KQL17243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCGGYRTQFINGRRDKFVRLDDAASSSSAVCSPRVSFSASGAMDHHHGGHGHGHGGGFHMDSYFSGNPSAAAAKFRARSVRVATGVMNRSERLKSIGLVFQEDFRKMSQKVFDPQDAFLARMNRAFVFACIVSVAIDPLFLYLLAVKYTDKNTCIGFDRNLATVATVVRTAVDAFYLSRIALQFRTAYIAPSSRVFGRGELVIDSAAIARRYLRRFFAVDLLAVLPLPQVSIWNFLNRPKGADLLPTKNALLFTVLAQYVPRLVRFYPITSELKRTTGVFAETAFGGAAFYLLLYMLASHMVGAFWYLLAIERLDDCWRDKCTKLNFHQCRTYMYCGGGSQGQSGFLEWRTMIRQVLQQECAPVDNSGTGFPYGIYTTAIQSGVYSTENLTAKILFCLWWGLQNLSTVGQGLETTHYKGEQLFSITLALVGLILMALLIGNMQTYLQSMTLRLEEMRLKRRDSEQWMRHRVLPDDLRDRVWRHNQYRWLETRGVDEDALVRGLPKDLRRDVKRHLCLRLVRRVPLFANMDERLLDAICERLKPSLCTESTFIVREGDPVDEMLFIIRGRLESSTTDGGRTGFYNRGLLKEGDFCGEELLTWALDPKAAANFPLSTRTVRAISEVEAFALRAEELKFVAGQFRRLHSKQLQQTFRFYSQQWRTWASCFIQAAWRRHLKRRAAEQRRREEEAAADEADAAGASTSRIRTTMLVSRFAKNAMRGVQRQRSVREDTLIMLPKPPEPDFASMDY >KQL14622 pep chromosome:Setaria_italica_v2.0:III:12432110:12434899:-1 gene:SETIT_022026mg transcript:KQL14622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSGGSGGGSGSPPPFLIKTYEMVEDPATNHVVSWGPGGASFVVWNPPDFSRDLLPKYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFIRGHTHLLKNIHRRKPVHSHSLQTQVNGPLAESERRELEDEINRLKYEKSLLLADLQRQNQQQYGINWQMQSLEDRLVQMEQRQRNIVASLCDILQRHGVVSGSRMETDRFSKKRRVPKIDFFVDEPAVEEQQVPFLQTLGAETPNMSPIHLLNAEPFEKMELALVSLENFFQRASHGSAEDMCSGGAELSPALTEMNSAPMDTNINQQLSAGLNPFSSTAGHTHSPSPLAESLSYAQSPMLALSDLHEDAHRTAEVDMNSETTTGDTSQETTSETGGSHMPAKVNDVFWERFLTGEAESGRQHADDKGEAIEAKDDMKIAIDCSSLNHQNNVDQITEQMGHLDSAENGPDSTRND >KQL15478 pep chromosome:Setaria_italica_v2.0:III:20599904:20603100:-1 gene:SETIT_022048mg transcript:KQL15478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDAIRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEDADYEDQDEEAAAE >KQL16701 pep chromosome:Setaria_italica_v2.0:III:44221217:44225102:1 gene:SETIT_022203mg transcript:KQL16701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEQGAGAVRKEEGEGEQEQVVNPWEVSAGKGGIDYDKLVDQFGCQRIDDGLVDRVARLTGRPPHCFLRRGLFFAHRDFNDILDLYEKGEKFYLYTGRGPSSESLHLGHLIPFMFTKYLQEAFKVPLVIQLTDDEKFYWKNLTIEESKRLARENAKDIIACGFDVERTFIFTDFGFVGGAFYENMARVARCVTYNKAVGIFGFSPEDHIGKCTFPPVQAVPSFPSSFPHLFSGRDQLRCLIPCAIDQDPYFRMTRDVAPRIGYQKPSLIESRFFPALQGENTKMSASDANTAIYVTDSAKQIKTKVNKYAFSGGQDSIELHRKLGANLDVDVPIKYLNFFLEDDDELEHIKKEYKEGRMLTGEVKQRLIAVLSELVARHQRARAQVTEEMVDAFMAVRPLPNMFG >KQL16171 pep chromosome:Setaria_italica_v2.0:III:33207587:33208953:1 gene:SETIT_023925mg transcript:KQL16171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTRLVWLPRDTSMSWAPCALKNSSLWSLSNIKSDLRSHQDVGWVVLNQVCRHDLSPDEARAIHD >KQL13200 pep chromosome:Setaria_italica_v2.0:III:3139459:3139944:1 gene:SETIT_025441mg transcript:KQL13200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPHATAHALLLLLRFAVPLPHAVLHAPTVAPLPKHAWLRLRN >KQL16107 pep chromosome:Setaria_italica_v2.0:III:29747254:29748189:1 gene:SETIT_024070mg transcript:KQL16107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTRYWMLNSLSDQKEPLFTTAIAVGRGSSSSASYYESWEERAFAEDSAGPLGGCIWPPRSYTCSFCGREFRSAQALGGHMNVHRRDRARLKLAGVAEDGGTDNQIVSDHQSYLIQPCPPQIAALQQAYGVKPSAPSTETNPNLICSVLPRPSRSYVPVAAKRTVWGKQVLSSPLTSLQAYSNGYGKKQVILDAPRLSQDHPKPAERMCSNTELHGERCELKLSVLGCRTRKDFDASDDDEIFQVTCKRRKIDLVASPLVLCSSPGKFQEDDNYDGDDKPSCAKVLKLCPSSPDEELDLELRLGEVPKTK >KQL17287 pep chromosome:Setaria_italica_v2.0:III:49520404:49522823:-1 gene:SETIT_021423mg transcript:KQL17287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRARSSEVFVGGSRLRARRRLAPLLAAAAFAYLLFVSVKLAGFGGAGPAGAVSRLSAAGAGAGEPLRGRVEQPAVPRARARPAAAVSGYGRITGEILRRQESGGGRRRRWGQLGNFTELERTAAEAWALGAKAWEEASAFAGDVDSIASRDAGECPGSLALGGGGEAAAFLPCGLAAGSAVTVVGTPRAARPEYVEALERSGAGNGTVMVAQFAVELRGLRAADGEEPPRILHLNPRLRGDWSGRPVLEMNTCFRMQWGRAQRCDGTPSRDDAQVDGFRKCEKWQRRDIVESKETKTSSWFNRFIGRAKKPEMTWPFPFSEGKMFVLTIQAGVEGYHINVGGRHVASFPHRMGFALEDATGLAVTGGIDVHSVYATALPRAHPSFSLQQVLEMSEKWKARPVPVEPIQLFVGILSATNHFAERMAIRKTWMQFPAIQLGNVVARFFVALSHRKEINAALKKEAEYFGDIVILPFIDRYELVVLKTVAICQYGVQNVTADYIMKCDDDTFVRLDIVLQQITTYNRTLPLYLGNLNLLHRPLRRGKWAVTYEVP >KQL17288 pep chromosome:Setaria_italica_v2.0:III:49519539:49523310:-1 gene:SETIT_021423mg transcript:KQL17288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRARSSEVFVGGSRLRARRRLAPLLAAAAFAYLLFVSVKLAGFGGAGPAGAVSRLSAAGAGAGEPLRGRVEQPAVPRARARPAAAVSGYGRITGEILRRQESGGGRRRRWGQLGNFTELERTAAEAWALGAKAWEEASAFAGDVDSIASRDAGECPGSLALGGGGEAAAFLPCGLAAGSAVTVVGTPRAARPEYVEALERSGAGNGTVMVAQFAVELRGLRAADGEEPPRILHLNPRLRGDWSGRPVLEMNTCFRMQWGRAQRCDGTPSRDDAQVDGFRKCEKWQRRDIVESKETKTSSWFNRFIGRAKKPEMTWPFPFSEGKMFVLTIQAGVEGYHINVGGRHVASFPHRMGFALEDATGLAVTGGIDVHSVYATALPRAHPSFSLQQVLEMSEKWKARPVPVEPIQLFVGILSATNHFAERMAIRKTWMQFPAIQLGNVVARFFVALSHRKEINAALKKEAEYFGDIVILPFIDRYELVVLKTVAICQYGVQNVTADYIMKCDDDTFVRLDIVLQQITTYNRTLPLYLGNLNLLHRPLRRGKWAVTYEEWPEAVYPPYANGPGYVLSIDIARDIASRHANHSLRLFKMEDVSMGMWVEDYNATAPVQYIHSWRFCQFGCVDNYFTAHYQSPRQMLCLWDKLSAGRAQCCNYR >KQL16397 pep chromosome:Setaria_italica_v2.0:III:39124651:39125472:-1 gene:SETIT_025581mg transcript:KQL16397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLHCMRWKENCRQGSGGELAGKKRIRVDLELEAEELLYAVKEFLCTSMKMLRWTRSRGR >KQL15356 pep chromosome:Setaria_italica_v2.0:III:19148310:19150153:-1 gene:SETIT_021679mg transcript:KQL15356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVAAAAAAASTSRLLLLHHRAAAGSDRHHQHQLRYAAIQYPLSLRCGSGRRGAAAGALLPDRVTPFSYGVDEDADDHPREECGLVGVVGDPDASSLCYLGLQKLQHRGEEGAGIVAVGGDGKLKSVTGLGLVADVFGDPSRLASLPGPAAIGHVRYSTAGAAASLRNVQPFLAGYRFGQVAVAHNGNLVNYQALRNKLEARGSIFNTSSDTEVILHLIATSLSRPLLARVCDACERLAGAYSLLFLTADKMFAVRDPHGFRPLVMGRRRNGAVVFASETCALDLIDATYEREVQPGEVVVVDRRDMSVASACLVPQRPRRACVFEHIYFSLPNSVVFSHAVHERRTAFGRALAEESPAPGADVVIPVPDSGFYAALGFARASGLEFQQGLIRWHYSGRSFIQPTQAIRDLAVKLKLAPVRGVIAGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVVGSCLYGIDTPSEGELISNRMDLEGVRREIGSDSLAFLSLGKLHGIYGEEAGDYCDACFSRKYPVLPTLADPAAELEE >KQL14392 pep chromosome:Setaria_italica_v2.0:III:10977209:10978798:1 gene:SETIT_023611mg transcript:KQL14392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRAAAAANRAAARQELGCWILVPPGSSGSASPVRSLRAARSPAGLGTLAGLTDARRPGLRWRWRGATIDVSGAGGSASGCSAVRSFEPSGCCFPPLTSRSALFTSSGEILGRIRTGIAVHGKADEEFLARTRP >KQL15767 pep chromosome:Setaria_italica_v2.0:III:23578243:23578781:1 gene:SETIT_025391mg transcript:KQL15767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPVCAMEINNSSSTACQSISLRCLQVVIHEAWWLVLE >KQL12749 pep chromosome:Setaria_italica_v2.0:III:691469:696574:-1 gene:SETIT_021817mg transcript:KQL12749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAKGRLVPVLAVVAALAAALLYRAPFSKSLGGEGCSLLPHDHFWIASERVVTLGRVGPAAVEVKGGLINAIAVGDYRSFVLRRPLLDYGDAVIMPGLIDVHAHLDEPGREEWEGFSTGTKAAAAGGITTLVDMPLNNFPSTVSEETLKLKLEAARDKLYVDVGFWGGLVPENAFNPSALESLLNAGVLGLKSFMCPSGINDFPMTNSTHIEEGLVTLAKYQRPLLVHAERIPDVEDEDGLDGELDPRSYATYLKSRPPAWEESAIRDLQRAMKDTEAGGRSEGAHIHIVHLSDAKTSLELMKDAKRTGASVTVETCPHYLAFSADEVPDGDTRFKCAPPIRDGMNRENLWKALLDGHIDMLSSDHSPSAPDLKLMEEGNFLKAWGGISSLQFVLPVTWSYGKKYGITLNQLAAWWSENPAKLAGQKNKGAILPGYHADIVVWKPEAQFELDDSHSVYHKHRNISAYLGKELSGKVLSTFVRGNLVFAEDKHAKAACGVQILAK >KQL17103 pep chromosome:Setaria_italica_v2.0:III:48341875:48342339:1 gene:SETIT_025790mg transcript:KQL17103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLGPWWCVDGGVECWPCNRVAPKGCRPAGFATCLFFSPSHPSTYAKCLGFIQTHRRLFLNFFPLQKKSAWTTCNVFLPCHSDASLLSLVVVDGWVAI >KQL16667 pep chromosome:Setaria_italica_v2.0:III:43622292:43623608:-1 gene:SETIT_024610mg transcript:KQL16667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLHHTLTRLAHTHGPIMCLQLSPVSTVAISWDAATEAFTRHDRRLTRCYTINAVLVLGWAVRSLINMASSDPLWKLQRGILAAHVFSPWSLAAVRSVRERKVRDLVTHLRARTEREVDVGRALYSGMINLVLSTFFSIDMVDVDATGESAHGIREHMENIGDLMTKPNGQHRAVAWHLREIYRIMDGIIERRLAKNADGRHGDDFLQVLLDLMSMGKIDRDTVKAIVFEVFFTGGDTITITVEWAMAELLHNPSAMARLRAEIAGALGGKETIEETDVACLSYLQTVFKESMRLYPVAPLLVPHKAVEDGVEVCGYAVPKGCTVVVNVWVFMRDTAVWDEPDRFMPERFLGKAAEVDYMGKEFEFFPFGYGRRQCPGMPMAERIVPHLLASLLHVFKWRLPEGMAAEQLDVSERFKTGNVPAIT >KQL16846 pep chromosome:Setaria_italica_v2.0:III:45931833:45936718:1 gene:SETIT_021884mg transcript:KQL16846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKEKPVLIACQATSGRITRSKAAAANCTRSGAAPSLPLHLKNEQKHAATGKMKRKASDENSSTVAGASAPQPKRRTVLKNVTNNSCAKLASKKCIVVTKLQSGPSQKDGPSINKQCAKIPKLPPLDVGGSSFVNDSNSAEETRKVDLLAQKKKHNVLVENKGALSLQNTERNRDHACHEAFFEERNARNKLETAALKAGGSDGFNIVDIDKNNGDPQMCVTYVAEIYRNLMASELIRRPRPNYMETLQQDITKSMRGLLIDWLVEVSEEYKLVADTLYLTVYLIDQYLSQKCIQKQELQLLGITSMLIASKYEEFCAPSVEEFCIITDSTYQKAEVLDMERKVLNDLGFYLSVPTTNTFLRRFLRAAQPSCTAPLSTLCYLAKYLAELTLIDYGFLKFLPSVVAASSVFLAKWTLNQSDHPWNPTLEYYTSYKSSNIRTCVCALQELQHNTRDCPLNSIREKYGQQKFECVSNLRSPELLQSLFT >KQL12782 pep chromosome:Setaria_italica_v2.0:III:836896:841427:1 gene:SETIT_021784mg transcript:KQL12782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIDMAAILADLERGGPDARVPKTKLVCTLGPASRAVPMLEKLLRAGMNVARFNFSHGTHEYHQETLDNLRQAMHNTGILCAVMLDTKGPEIRTGFLKDGKPIKLTKGQELTVTTDYDIKGDENMISMSYKKLPVDVKPGNVILCADGTISLTVLSCDPDSGTVRCRCENTAMLGERKNCNLPGIVVDLPTLTEKDKEDILGWGVPNDIDMIALSFVRKGSDLVTVRQLLGQHAKRIKLMSKVENQEGVVNFDEILRETDAFMVARGDLGMEIPVEKIFLAQKMMIYKCNIAGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMARICIEAESSLDHDGVFKAMIRSAPLPMSPLESLASSAVRTANVAKAALIVVLTRGGTTAKLVAKYRPRVPILSVVVPVLTTDSFDWTISSEGPARYSLIYRGLIPLLAEGSAKATDSESTEVFLEAALKSAVQKQLCKPGDSIVALHRIGVASVIKICIVK >KQL16581 pep chromosome:Setaria_italica_v2.0:III:42625670:42629802:-1 gene:SETIT_021351mg transcript:KQL16581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESFVPLRGIKNDLQGRLACYKQDWTGGFRAGIRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFNFAKDRPDLGRNLFLAWTGWVCVWTAILLFLLAILGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVDEFRIPERENRKALEFVPSWCFANGMFAIVLSFGLLLTALRSRKARSWRYGAGWLRGFIADYGVPLMVLVWTGVSYIPYGSVPKGIPRRLFSPNPWSPGAYDNWTVVKDMTHVPLLYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPPSFHYDLLLLGFLTLLCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVATARKSMSQNASLSQLYGSMQDAYQQMQTPLVYQQQSVRRGLNELKDSTVQLASSMGNIDAPVDETIFDIEKEIDDLLPMEVKEQRLSNLLQAAMVGGCVAAMPLLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHTTFVETVPFKTIAMFTLFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKLFKGAHLTDLDAAEYEESPAIPFSLAAQDIDVALGRAQSAEILDDMFTRSRGEIKRLNSPKITSSGGTPVAELKNIRSPSISEKAYSPRLTELRHERSPLGGRSSPRTPSKLGEGSTPK >KQL14231 pep chromosome:Setaria_italica_v2.0:III:9758402:9760416:-1 gene:SETIT_022843mg transcript:KQL14231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCGVGGGEKSALASELAQMQAMVRELGAHMDQQDLPAAVRELCGELCGELASSVDRSIRIARSCGLAGADSPGSRDGSPRSASDGTQHPAAAGGGNAQSKRRKGTPCVRRQVRVASVDMAPLDDGISWRKYGQKDILGAKYPRAYFRCTHRHTQGCAATKHVQRAAGDPLLYDVVYHGAHTCAQAAHPGAEQLRQQLQLQPEPGHADAGQEQSSPPLALQETEGLQAGPEPMTPYWFGAPAPGDGADFPLLSPTGLEWQLRSMDHETQFEEFYTNAADPSQWDYQDLYATN >KQL15844 pep chromosome:Setaria_italica_v2.0:III:24417839:24422884:1 gene:SETIT_022149mg transcript:KQL15844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPANRRMEAIRRHLLLPPPPSLPLRSNPLSGHAVVEPSPVIIGGMVLDIHAKPSVRPHPGTTVPGMVKYVSGGVARNIAECMSKLGTRSFMISVVGNDMAGDLLLNYWRSAGLCTEGILQVHDVATPVVSNVFDGSGELIAGVASVGAVENFLIPSWIHRFHHHISNAPLVMLDANLPPESLEAACTMAYESGVPVLFEPVSVVKSRRIAPIAKYITFTSPNEIELVAMANSLSPSVKYNFQKMEQCKDKAEAVKYLFEMLSPAMFFLLEKGIKLLVVTLGSNGVFICCKEHTNFMKDQCKCKQTPFSRQLVEKLEGCFPSNNPINLCGESSSRTCVFHLPAISASVISLTGAGDCLVGGVLSALCGGLDIIRSVAIGVAIAKASVESEANIPDDISAASVADDAKRVLLSAKTLWCK >KQL13978 pep chromosome:Setaria_italica_v2.0:III:8040956:8041361:-1 gene:SETIT_025483mg transcript:KQL13978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYFFRIRKSIIIYKSIYGISELIVFFFLQTGSLVFC >KQL16396 pep chromosome:Setaria_italica_v2.0:III:39112971:39115160:-1 gene:SETIT_021328mg transcript:KQL16396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHASLLFTSLVLIGLLSHTTQPITHGKCEGSSWCTYIVRVHPPPNFSMDMSRMSLEDWYRSFLPPRMARSKPQSPFIHTYREAILGFAVNLTKDEAEYIKTNDGVLMVYQDNLIPLFTTHTPDFLSLRPNGGAWNSLGMGEGIIIGLLDTGIDFAHTSFDDASMATPPAKWRGTCKFGSVKCNKKLIGGKSLIGGENNPDAPHDDVGHGTHTASTAAGRFVQGASVLGSGNGTAAGMAPHAHLAMYKVCNEQGCYGSDILAGMEAAIADGVDILSLSLGGRPQAFHEDIIAIGSFSAMKKGIFVSCSAGNSGPLPKTLSNEEPWVLTVGASTMDRQMKAVVKLGDGRSFVGESAYQPSNLASLPVMFELDGSGNMTGKVVACELEGSQVEIGQFIKDNGGSGMIVLGAEGSGHTTFAAANVLPASFLNSPDATAVRQYIKTSSKPTASIIFNGTALGTAPAPVVAYFSSRGPSTASPGILKPDIIGPGVNVIAAWPFKVGPNTADGHGKVFNSMSGTSMSAPHLSGIAAIIKSAHPDWSPAAIKSAIMTTAYVVYDNKKPILDEKFNPAGHFSIGAGHVNPSEVINPGLVYDTDVEQYILYLCGLGYTDSEVEIITHQKGVCSKGTKIAEAELNYPSIASRASAGKLVVNRTVTNVGDAMSSYTVEIDMPKEVKATVSPTKLEFTEAQESKTFTVSLIWDASKTKNAEGSFKWVSNKHVVRSPIVIF >KQL16315 pep chromosome:Setaria_italica_v2.0:III:37179958:37183690:-1 gene:SETIT_024077mg transcript:KQL16315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSSVAAKMAFFPPTPPSYALVEDAGAGVTTLSGQPHRENVEVLRLRTRKGNTLAAMYVRHPDAASTVLYSHGNAADLGHLYQLFLHLSFNLRVNVLGYDYSGYGQSSGKPSEHNTYADIEAAYKCLIENFGAKEEEIILYGQSVGSGPTVDLASRLPRLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPQVTCPVLIIH >KQL13174 pep chromosome:Setaria_italica_v2.0:III:2954195:2954691:-1 gene:SETIT_023996mg transcript:KQL13174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRDRLISLHPPPVSVSVDSRGCQSCVHGPGNLFHEERKEIKRKADCGTASL >KQL12800 pep chromosome:Setaria_italica_v2.0:III:936320:954263:-1 gene:SETIT_020938mg transcript:KQL12800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASFQGTLFRFPLRSTEQASSSRLSRQSYTEDDILSLFAQLYQEAVYNLLFLKNVVSLEMYVWESGMTEPKIVYSCSLGSNAENLSWHRQALIRFSGSHAESSKHKVDSFSMDFISEAFLGNEFEKKRSTYFIVQGMAPALSKIGNFATAAAKEYDLHLLPWASVAACISEAGLEDTVLRQGHAFCFLPLPVRTGLSVHVNGYFEVSSNRRDIWYGADMDRGGKLRSDWNRLLLEDVVAPLFRELLMELRIILDPTVSYYSLWPTGLYEEPWSILVEQIYKVIYTSPVLHSEIEGGTWISPANSLLHDERFSGCSNLNEALVLIGMPIVRLPKAIVDMFSKFYTQSMLNIISPAIVRNFLKNYRKLATLGKSHKLVLLEYCLTDVDSADIGKCMNGLPLIPLANMQYGMFSDSSQEDYYYVCDNIEYELLSEVGDRIVDRSIPPVLLNKLYQIASDSQANIKLIDGPIFRQLLPRIFPPGWKCRDQVPWNPVLDGSWPSAAWFKLFWKYIGERSYDLYLFSDWPILPSTSGHLHRAHTGSKLIKTESLPSLMNELLAKLGCKILDTEYLSEHKQLSYYVYDGNATGVIQSIFGVVSLEGVDLQSLFQRITPGEKNELYQFLLDPKWYLGACLSDISISNCKKLPIFRVFDGGSPSSYGFSDLFSSVKYLPPLGVPDHLLNADFIFSICPSDEDIIMRYYGVKRMPKSNFYQRYVLNRLDKLQTDLRDSVLLTILQDLPQLSLEDPMFKEALKVLRFVPTVNGTLKSPQSLYDPRVEELYVLLQESDCFPHDLFQNPDVLDMLLCLGLRTSVSTDTIIQSARQIDSLVNIDQQKAHSRGKVLLSYLEVYAHKWYVNKISDGRKKVNMLAKVTTALRPRDKSWEFDLEKFWSDLRMICWCPVLVTAPSPALPWPSVSSMIAPPKQVRMQEDMWIVSASSRILDGECTSSALSSSLGWLSPPSGSIIAAQLLELGKNNEIVTDQVLRQELALVMPKIYSLLTSLIGSDEMDIVKVVLEGCRWIWVGDGFAKVDEVVLSGHLHLAPYIRVVPIDLAVFKDLFLELGIKEHLHPVDYASILSRMAIRKASASLEAVELRTAILVVQHLAEFRFQDQQTQIYLPDSSSRLCLSSELVFNDAPWLLDFGHDISGSASSMALSSKKYVHNFVHGNISNDVAERLGVRSLRRLLLAESSDSMNLSLSGVAEAFGQHEDLTTRLKHIVEMYADGPGILFELVQNAEDAKASEVVFLLDKTQYGTSSILSPEMAEWQGPALYCFNDSVFSPQDLYAISRIGQDSKLEKPFAIGRFGLGFNCVYHFTDIPGFVSGENIVMFDPHACYLPGISPSHPGLRIKFVGRRILDQFPDQFAPFLHFGCNLQQPFPGTLFRFPLRNEAAASRSQIKQEQYAPQDVEMLFSSFSEVVSEALLFLRNVKKVTLYVKENNSQEMRLVHCASKHNSSQMDKEPHALNTMLAYIHGNQPSGMDRNQFFSKLNRTKDSDLPWSCQKVAILEQNPSVHWVHSWILAECIGGGHARKLSTASGSKSHFFVPWASVAAYLHSVSVDDTKELPSVAEANHENSVSTNSDIGSSRFRKNFEGRAFCFLPLPINTSIPVHVNAYFELSSNRRDIWIGNDMAGGGRVRSEWNLALLEDVVAPAYGHLLAAIAEELGPSDLFLSFWPSAVGVEPWSSMVRKLYVSIAELGLHVLYTKARGGLWVSTRQAIFPDFSFPKAMELAEVLSQAGLPLVSVSKPIIDSFINACPSVHVLNPHLLRNLLIRRKHGFRSREEAILVLEYCLSDMGDPSFYDKLQGLALLPVANGSFTTFNKRGEGERVFFTSQIEFDLLKDSIPHLVIDNSLPDGVLKKLYDIAYSARMNMYLFTCTVLLELLPRILPPEWQHAKQLSWFPEQQGQPSVQWMMSLWNFLRHSCEDISIFAKWPILPLLDGKVVQLGNASNVVRDDGWSENMYSLLQKLGCFFLRSDMQIEHPQLASFVQESTAAGVLNAVQSVASNLQDINELFVGISLAEAHELRSFIFQSKWFSGNQITSSHMSTIRNLPVFESYKSRELVNLTNPRKWLKPEGVHEDLLSASFIRTESAKERSILVSYFDIKEPQKVDFYKDHVLPRMSEFVSQPAVVSAVIRDVKLLIDNDNSARAALCETPFVLSANGEWVQPSRLYDPRVPELQKLLHKETFFPSERFMMTEVIELLASFGLKRHLGFSTLLDMARSVSLVHGSGQDDAFTCGQKVLTYLNILESKTSNMEDRKTFLKDENPEAPEISENSEAETNGDGCDLSDQTIASLFSNFDHDMPEDLFWSELKNISWCPVHVAPLLKGLPWFLSEDSVAPPVITRPKSQMWLVSSKMRILSADSCSMYLQRKLGWCDAPNVNILSSQLVELSKSYDELKTSSADTDIDAILQKEVQIIYSKLQDIIGTTNAIILKEYLDGFPWVYIGDRFVTPQALAFDSPVKYHPYLYTVPSELSEFKKLLLELGVRQTFDAMDYLNVLRRLQEDVKGEPLSTEQLSFVHCVLEAFVDCYPDSQVPDVLLNSLVIPDSFGVLAPARNLVYNDAPWMNADSTSKNFVHISIGNDLANRLGVRSLRGSSLLDDELMRNLPCMEYAKISELLALYGESDFLLFDLMELADYCNAKKVHLIYDKREHPKQSLLQQSLGDLQGSSLTVVFEGTIMNREEVCSLQLPPPWKLRGNMLNYGLGLLSSYFVCDTLTILSGGYFYIFDPLGLTGGATSTATSSARYFSLLGNDLVERFHDQFLPMRVTQDASLSTANSTVIRMPLSSKCLKELEAGSNRVKQIFDRFTQNPSSTLLFLRSIIQVSLSTWEDGASQPTLNYSVLVDPSVASLRNPFSEKKWRKFQISRIFSSTSAAIKMQAIDVHVIESGCSYIDKWFVSLSLGSGQTRNMALDRRYLAYNLTPAAGVAAHIARNGVSTNIHPSSCILSPLPLSGFLSMPVTTLGHFIVRHSGGRYIFGSTHDASLPELKLDRDRLVEAWNKELMLCVRDSYVEMALEFQKLKKDPLSSTIELRSAESMSAILQTYGDRVYSFWPRSKQYPTSFTGHGSAVINSDSPRASKADWQSLIEQVIRPFYLRLADLPVWQLYRGNIVKVDEGMFLAHSGNGDNDNLPSASVCSFIKEHYPVFSVPWELVSEIQAVGVTIREIRPKMIRDLLKASSSILLRSIETYIDVLEYCFSDMDPYRFSDLRMPDESRVNSQHVGTMNSSSSYSMPSSSSSLSYNSSTQSPGTSGGDALEIMTYFGKALYDFGRGVVEDISKTSGPTSHRSQATENNVLSSIIAELKGVPFPTSRKCLTRLGISELWIANEEQQLLMSPLLDHFIHYKCLEKPFLALLLSTLVIHGPLKLRSFSPQLLAGNLKHILDERWVHFALNNKSSWIPWDNNAEPSTAWPTPKWIRSFWTIFSSLNGDLSLLSDWPLIPAYLDKPVLCCVKECHLIFVPPIDDSNTVTGPVNDVSGQLDTSDPPRDDAREAEQKNVLDTAFESMNSKFPWLPALLNQLNVPIFDSSFPECGAICNLFPSNSRTLGQTIVFKLVAAKNVAHLPSPLSLSSGDCDKLFGLFVSEFRLASNHLYQREELDVLRTLPIYKTVTGKYTSLLGSNHCILSPSAFFHPSDDRCLSCSSDATLFLQALGVEQLSDHEILVKFALPGFGNKTAQEQDAILTYLYANWKDLHLNSAVVETLKETNFVANANESCKEFFKPKELLDPSDALLTSVFSGERNKFPAERFMSDAWLGILRKAGLRTSTEADMIVQCATKIETMGHDVISSSEDPDDFVADFSDSKNEIPFEIWALAESVLNVIFANFATLYDSSFCEKIGKIAFVPAERGFPSIGGKRGGRRVLASYNEAILSKDWPLAWSSAPILTKQTAVPPEYSWGAFRLGSPPAFSTVFRHLQIVGRGNGEDTLAHWPTSSGIMTVEDAFQRILQYLDKIWGTISFSEKKELEKLAFIPVANGTRLVPVKSLFARLTINMSPFAFELPSRYLPFVSLLREIGMQESLTDSYARELLLDIQKACGYQRLNPNELRAVMEILDFMCSGINQNTTDRSDGIIDSVIPDDGCRLVTASSCVYVDPYGSHLLSNVNTSRLRFSHPDLSQNICKTLGIKKLSDVIVEELDEKEGIKLVNSIHSVTLGRIKEKLRSKSLQDALRIVMISIANHFPSFEALTLVQIELILEDISQNLQLVQCIHTRFLLLPSLQDVTKTVQHPSIPEWSSNGMHRSICFVNKSTGYILVAEPPSFLTIYDVIAIVVSHRLGAPVILPIASLFACPDGSEKEVLKILHLGTDIGVSKREGGYDASLGAELLSQDARQVQFLPLRPFYTGEIVAWKTGKEGERLRYGRVPEDVRPSAGQALYRFPVETAPGETRMLLSSQVYSFKSVSMADLSSTPFQVDGDRVAQGGLEDLLSINTSTEVTEDLAAGLEYGKVSSTELVQAVHDMLSAAGVRMDAEKETLLQTTLSLQDQLKESQVALLVEQEKAEAAIREADVAKAAWSCRICLNAEVNMTIVPCGHVLCNRCSSSVSRCPFCRTQVSRMMKIFRP >KQL14312 pep chromosome:Setaria_italica_v2.0:III:10478082:10480934:-1 gene:SETIT_025013mg transcript:KQL14312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNRHWPSMYRSSLACNFQQPQPDMNNGGGGKSLMSSRSEESGRNPEPRPRWNPRPEQIRILEGIFNSGMVNPPRDEIRRIRLQLQEYGPVGDANVFYWFQNRKSRTKHKLRAAGQLQPSGRAALSRACAPPPPALAPAPMTPPRHLLASPVAPTSSSSSSSDRSSGSSKSARTAVLLPPPAAAAIQGVLPATAIDLLSPKPTPALAAHQLYYHSQQLMAPAVPPPMPELITSPEPFLLHWQQQGGHYLPATELGGVLGAHTHEPPPAMHPAVSPSVLLGLCNEALGQDCVDIISSKQQGLGHGQYWNTTCGSDLSSNNKTDAVSDVIRDDEKARLGLLHYGFGAAAAAATSAPLAAPVQPAAADASTAMLLPSSAPSNVAAATSSVLTDQLQGLLDPGLIGGTPLPPPTATVVVVARDAVTCAVTAQFSVPAMRLDVKLAFGDAAVLVRHTGEPVLVDESGVTVEPLQQDTLYYLLMVMN >KQL16296 pep chromosome:Setaria_italica_v2.0:III:36536796:36541511:-1 gene:SETIT_024338mg transcript:KQL16296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASNANWKHYKDVVHEANVACLEVIVEIVRIPSPNVVMREEVTVVNHNGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAVMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEVCPPGANDEVVQRFARLWLWHFVSTFLLPDAAGNTVSWMVLPILGQVWENIATYSWGSAALAWLYRQLCEACRRTARDSNVGGCTYMLQIWIWERMPVGRPSRLRVDHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSEIVFSPTCYRDRELWSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQPERAPLHDYM >KQL14939 pep chromosome:Setaria_italica_v2.0:III:14984108:14984612:-1 gene:SETIT_025410mg transcript:KQL14939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPFLFLLCDLPIFSAIGNGTISPVATWFGSGFTKQDCPFVIFNANA >KQL13719 pep chromosome:Setaria_italica_v2.0:III:6285048:6290479:-1 gene:SETIT_022850mg transcript:KQL13719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPPVALFDSLKAAKPFFLLAGPNVIESEEHVLKMAKHIKGITTKLGIPLVFKSSFDKANRTSSKSFRGPGLEEGLRILEKVKATYDLPIVTDVHESHQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCASSVMVSSAEKIRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWLREANCPVVADITHALQQPAGRKLDGGGVASGGLRELIPCIARTSVAVGVDGIFMEVHDDPLNAPCDGPTQWPLRNLEELLEELLAIARVTKGKKPFKIDLTPFQE >KQL15345 pep chromosome:Setaria_italica_v2.0:III:19115210:19116508:1 gene:SETIT_023448mg transcript:KQL15345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSPQLSLSGCSSLFSLSSTSTSRDNDSAAAAAPPPSSLHPLPPRGPLLSLSVGGGGDEEQEEEEEYLLGGLDLQLTGAGGSNSSGCCDGDDERKNIRMMKNRESALRSRARKRAYVQELEKEVRRLVNENLKLKRQCKQLKVEMAALIQTSSSKGNSHIRRTSSSTQL >KQL16197 pep chromosome:Setaria_italica_v2.0:III:34230930:34231984:-1 gene:SETIT_0240922mg transcript:KQL16197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INECDHHNAYPCRSVCQNTLGSYECECHGGFHSDDPLHIPFSPKFSLAAKIVIGAIGGLFIITIVMFIILLC >KQL13214 pep chromosome:Setaria_italica_v2.0:III:3209186:3211816:-1 gene:SETIT_022921mg transcript:KQL13214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLATRGRLLASRGPPFHGASSSSSWPASSLLLGRRPSRRLRSSSPQADAAAAPGKGGEYRPSFADDLLLAFFRSKMVEEVGWDSEKPGYAGLMEVANRLMVKGKSALETEQAAVRVLQSLFPPLLLVLYKALLSPIANGQLAAMMLARATALSCQWLMGTCSVNSVTLPDGKSWSSGVFVEKCKYLEESKCLGICINTCKLPTQTFFKDHMGVDLYMEPNFEDYSCQFNFGVPPPPLDTDKALKEPCLDICTNARRRREIGRNSSPDELGCPQV >KQL16395 pep chromosome:Setaria_italica_v2.0:III:39100138:39100728:1 gene:SETIT_024126mg transcript:KQL16395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPINLTAAIFLLLLVAQVESQGCTPSSISVQQTNTGKTVGGIDTVFQVTVTNRCRCAVKNVYLRSNGFSSSTPVDPKLFRRAGSGYLLGDGRQIPSSKSVTFQYAWDHYFKMAPASVQAQC >KQL15298 pep chromosome:Setaria_italica_v2.0:III:18765548:18767429:1 gene:SETIT_024990mg transcript:KQL15298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSPAVWRLEDAVIARLRACATFRDVLRAHGHAVRLCLSQSSYVATQIVHLCNAHGRAEHAARVFAQVPDPNLHLHNAMIKAYAQNHLHRDAVEVYVRTLRCLPLPSSGGFSGGDRFTYPFLLKACGGLAAVELGRQVHAHVVRSGCEAHDIVRNSMIEMYTRCGDLSLARRVFDEMRNKDAVSWNTLISAHARLGQMRRARALFDSMPDKTVVSWTALVSGYTAVGDFAGAVEVFRLMQMEGFEPDDVSIVAVLPACAQLGALELGRWIYAYCNKHDMLRKTYICNALMEMYAKCGCIDQALQLFDGMPEKDVISWSTAIGGLAAHGRAREAVWLFEAMDREERVRPNGVTFVGLLSACSHAGLLDEGLRYFDRMKEAYGVEPGVEHYGCLVDLLGRSGRIQRALETVRGMPVPADAKIWGSLLSACRSHGDVDTAVVAAERLVELESGDVGNLVMLANVYASAGRWDDVASTRKEIRNRSTRKTPGCSMIEVDNVVREFVAGEDLGPELGGLAAVLDMLASELADDDEELIDSDCWHFDAKGLSSVKSAYKVALANRDASDASCDASGSDVLRLQEE >KQL15598 pep chromosome:Setaria_italica_v2.0:III:21556477:21559706:-1 gene:SETIT_022865mg transcript:KQL15598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPTRSRHSLYVSPIYTIPRPLFPSRPFCCAHLCLSPPPYSDREDRERNGELSVLREPAGAGPGRRGGEGRGQLRRAQGVRRRRRGLQGRRRPHRRRLRVRSAESEIADKVALSGYFVVVPDFFHGDAYIPENEKPIHEWLKSHAPEKGFEDAKPVIAALKEQGVSAIGAAGYCWGAKVVVELSKAQEIQAAVLLHPSFVSVDDMKEVKCPISILGAEVDKMSPPELVKQFEQVLSAKSGVGHFVKVFPGVSHGWSVRYSHDDAAAVKSAEEALADMIDWFNKNLK >KQL16217 pep chromosome:Setaria_italica_v2.0:III:34479073:34479744:1 gene:SETIT_023666mg transcript:KQL16217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIGDDGGLVVTMIITNVILDRRIGKGAATALSFEIRGSFVFTFKDVKGGTSFKRGLRLLSWFDMCRQPRRAGQAQARSVQLGIGGLLTATNTSLKTRQQSCYSIICSCFSWLDTLFVY >KQL14561 pep chromosome:Setaria_italica_v2.0:III:12004463:12005094:-1 gene:SETIT_025462mg transcript:KQL14561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYLVGLTYNACLVSGFHQNCLTDKKRSRDLLVGSLDIDLLLFLLTYILTVSNYKLIVHNSLLQKLGC >KQL13247 pep chromosome:Setaria_italica_v2.0:III:3393027:3395718:-1 gene:SETIT_022525mg transcript:KQL13247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSEKVVPSSSVSSSSDLDPLLKDLTEKKLSFRRNVVSLAAELKDVRNKLASQEQLFVRESQTRKVAETKARSMEEEVSKLQKCLQEKDEELRSSTSSTEQYLHELDDLRTQLSFTRATAEASAASAKSAQLQCLSLLKELNEKDCSLKEHELRVNKLGEQLDLLQKDLQARELSQMQLKDEVIRIETDIMDAVAKAGSKSDKDNELLKILSDVSPRNVQNLNNLLNAKDTEIARLREEIRILSAHWTNKTKELESQLEKHRRTDQELKKRVLKLEFCLQESQSQMRKLKRMGEKRDKALKELMDQVVAMKQPNGPCRDIKENFWESQGFKFIASMSMLALVILAKR >KQL15110 pep chromosome:Setaria_italica_v2.0:III:17061674:17062332:-1 gene:SETIT_023745mg transcript:KQL15110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KQL12639 pep chromosome:Setaria_italica_v2.0:III:157271:159269:-1 gene:SETIT_022358mg transcript:KQL12639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQNCRHLLSRIFVANPYKRITMSEIKSHPWFLKNLPRELKEEAQAAYYSRRGGGDASAGAGGSATSNNAPAATFSAQSVEDIMRIVQEAQTVPRPARPVAGYGWGAASDDEQYDDDDDAQDQEEEGEEDDYDRTVRQVHASGEFDMSKLQI >KQL12640 pep chromosome:Setaria_italica_v2.0:III:157271:159261:-1 gene:SETIT_022358mg transcript:KQL12640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYEPVREIGAGNFGVAKLMRNKETRELVAMKFIERGNRIDENVFREIVNHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICEAGRFHEDEARYFFQQLVCGVSYCHAMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKHADVWSCGVTLYVMLVGAYPFEDPKDPKNFRKTISRIMSVQYKIPEYVHVSQNCRHLLSRIFVANPYKRITMSEIKSHPWFLKNLPRELKEEAQAAYYSRRGGGDASAGAGGSATSNNAPAATFSAQSVEDIMRIVQEAQTVPRPARPVAGYGWGAASDDEQYDDDDDAQDQEEEGEEDDYDRTVRQVHASGEFDMSKLQI >KQL16419 pep chromosome:Setaria_italica_v2.0:III:39659129:39661639:-1 gene:SETIT_022957mg transcript:KQL16419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSLQHPWAFTFGLLGNIISFMTFLAPIPTFYRIYKSKSTEGFQSVPYVVALFSAMLWIFYALIKTNEILLITINVAGFVIESIYVILYFVYADKKARWFTAKIMLGLNVGFFGAILLFTLLVFKGDKRIVTLGWICVGFSVGVFVAPLSIIRRVIKTRSVEYMPFSLSLSLTLSAVVWFLYGLLIKDKYVALPNVLGFAFGIVQMGLYMFYMNKTPLVPEGKVAAGKLPAAGEEHVVNVHPATEMAVPRSCKVEAMSHHSPAVNMV >KQL13022 pep chromosome:Setaria_italica_v2.0:III:2168455:2173368:1 gene:SETIT_021014mg transcript:KQL13022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESGPWPDSWLGKAPWIGLSKRAACPCPNHYQKRKKKSCLNPSPPFIPYTRLPPPLPSPLPPPLHSAEIGGLSSPLPHPASPLSLAAATWRAAALLGLRQALCRRRAPTRKMSSNPDGGKSYARRDLLIAIQSEAQKLWDEKRVFEAEPGNGRPGPGEKFFGNFPYPYMNGLLHLGHAFSLSKLEFGAAYHRLRGSNVLLPFGFHCTGMPIKASADKLAREIQQYGNPPVFPAVEDEVSSEVADSQADQAVAVAPDKFKSKKAKAAAKTGVQKFQWEIMRGFGLSDEEIAKFQDPYHWLTYFPPLAKEDLKAFGLGCDWRRSFITTDMNPFYDAFVRWQMRKLKKMGKVVKDMRYTIYSPLDGQPCADHDRASGEGVQPQEYVLIKMEVIPPFPPQLKALEGKKVYLAAATLRPETMYGQTNCWVLPDGKYGAFEINDTDVFILTARSALNLAYQNLSRVPQKPTCLAEISGNDLIGLPLKSPLAFNEIIYALPMMTILTDKGTGIVTSVPSDSPDDFMALQDLVTKPALRAKFAVKDEWVLPFKVVPIINIPEFGDKSAEKVCLDLKIKSQNDKEKLAEAKRMTYLKGFTDGTMIVGEFKGRKVQDAKPLIKNKLLEEGAAVLYSEPEKKVMSRSGDECVVALTDQWYITYGEAEWKQMAEKCLENMNTFSAETRNGFEHTLGWLNQWACSRSFGLGTRIPWDEQFLVESLSDSTLYMAYYTVAHLLQNGNLYGKEISAIRPEQMTDDIWEYVFCNGPTPKSDIPPTLLSKMKQEFEYWYPFDIRVSGKDLIQNHLTFCIYNHTAILPEHHWPRGFRCNGHLMLNSEKMSKSTGNFRTLKEAIQEFSSDATRFALADAGDGMDDANFVFETANAAILRLTKEIAWMEEVVAAESSLRAGPPSSYADHVFANEINIAVKETEKSYNAFMFRDALKSGFYDLQLARDEYRLSCGAAGMNRDLLWQFMDVQTRLITPICPHYAEHVWQKILKKEGFAIKAGWPVADTPNPTLRIANKYLQDSIVLMRKLLQKQESGSKKPKKGAASAPPPSENKMSIGLIYVNEHYYGWKEQCLKVLQSKFDSQARSFSPDQEIIEALKNCSIGQEANFKQVQKLCMPFIRFKKDEAREVGPQALELKLPFGEMDVLQENLELIRRQLGLEHVEVLSASDEAARAKAGKYASLLNQNPPSPGEPVAIFMSKQEFEAQN >KQL14568 pep chromosome:Setaria_italica_v2.0:III:12039008:12039489:-1 gene:SETIT_023778mg transcript:KQL14568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEVALVYAKPTIAQDSICVGLMNTRRVQTDSSSIPGRSVGVVSTTTCMDAAYGQGKPIYKNICHREHIFAFTISSSFLHSNRPQHIFTRLIIPKS >KQL16362 pep chromosome:Setaria_italica_v2.0:III:38329463:38330534:1 gene:SETIT_024361mg transcript:KQL16362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVRSGSMSRAAHIRTREVLAKLDKDNKEIPPDIRPSSINGHQEAPGEVKILKRCMKYVRVHEGCATHSGEVRKMSLEIINGITIVALNLKLISRVPPPRANTKGRSIPASKKKEISLGVKGVKKGTRRCNICGYYATHNARTCPKLQHNKERLEVLKNRMRGRPRGAQHKSSASQHDSGGEEHNI >KQL13202 pep chromosome:Setaria_italica_v2.0:III:3149252:3150145:-1 gene:SETIT_023561mg transcript:KQL13202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSSEDEPCSGDTDATDLGQEHSSRLADRELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARSALMDWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVTGGGSSSGTTLYFDTGTIGP >KQL13186 pep chromosome:Setaria_italica_v2.0:III:3051271:3052236:1 gene:SETIT_023872mg transcript:KQL13186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWEEATVTLIQWLDRITMAAICLGQPYYVLAPAVASFSLSSRPHGQRRGTPSRKEVKKNEKRRSTRPASGYSKC >KQL15804 pep chromosome:Setaria_italica_v2.0:III:24040518:24041845:-1 gene:SETIT_024446mg transcript:KQL15804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSIVLAMPIVQWCELPTKICGGIISRIDVLDVMSFSSTCKSLQLVCKTLRATVLKSGNGWGIEDDLKTGKFGLHDVSNALSFCCVNEVLQHRIWLGGKGDWLVTTNTSLDLELLNTITRTKVPLPSFGNNLSGIELPSYRELTVIFSPFSHDVRRVVLSQMPSHADGYEAITLFSNGLLTHTAQEVFLDVIVNHGLVIAVEEDGDIFASDMSGMDLTPVQLPMPKTTPSEEELERVLYLAISPSNQLILACLSGHDFGHNNKASRMVWNEHDRFEQLADSISMFEFDDADVTWRRISSIRKDRSLFLGLNYPFFVTSTDLKGNSIYVAYVRNFAVGICSLDTEGQVSITNQGFLVDEKACLLQGWTIRTPMWFGPSTHARKQLVYIYSIDFLVTLLVLHSIVMLDD >KQL12993 pep chromosome:Setaria_italica_v2.0:III:2003211:2005085:1 gene:SETIT_022432mg transcript:KQL12993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICISGRREYHICGWLRWMYLAVSSKPTANGVHHGARKHETSQCRPDPPIHGVLQPSLLYWTTWLRRHGPAPAQPAPHPPTPCCSRVPQPTRLRRRAPCGGGSSSALAPRPWRRWRPRRRRRCCGMGRPHCSLPRAPTPWCAPSTCSPSGGSSRRQSLSRKIVHVLSGVLFMSSWPLFSNSTEARYFAAVVPFLNSMRLLTYGLRLSTDEALVKSVTREGKPEELLRGPLYYVLVLLFSVLVFWRESAIGIVSLSMMSGGDGFADIVGRRYGSVKLPFNEKKSWIGSISMFISGFLLSAIMLFYFSSLGYIHVSWEEAFGKLALVALAATVVECIPVIDVVDDNISVPLATMLVAFLLFGSNTQ >KQL15836 pep chromosome:Setaria_italica_v2.0:III:24364734:24367894:1 gene:SETIT_022041mg transcript:KQL15836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELTYRGGGASPVAGAGASSGGYSAKPSKPLAWLPRAARYAAAEHRPVFALAGMLIAAAVITIASPSTSSSTGAAVASYSSSNSHHNSNPLARFSVEPAHHRDLASTRHFVGGKVPLGLKRKALRVLVTGGAGFVGSHLVDRLLERGDSVIVVDNLFTGRKDNVVHHFGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVSLFLLPTLSDY >KQL15835 pep chromosome:Setaria_italica_v2.0:III:24364637:24369470:1 gene:SETIT_022041mg transcript:KQL15835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELTYRGGGASPVAGAGASSGGYSAKPSKPLAWLPRAARYAAAEHRPVFALAGMLIAAAVITIASPSTSSSTGAAVASYSSSNSHHNSNPLARFSVEPAHHRDLASTRHFVGGKVPLGLKRKALRVLVTGGAGFVGSHLVDRLLERGDSVIVVDNLFTGRKDNVVHHFGNPNFEMIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVVGTLNMLGLAKRINARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDPNARIEFRQNTQDDPHKRKPDISRAKELLGWEPKIPLREGLPLMVTDFRKRIFGDQDSAATTGNQQG >KQL17015 pep chromosome:Setaria_italica_v2.0:III:47633716:47635211:1 gene:SETIT_022802mg transcript:KQL17015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIPRKFVVNIREHLSEELKLEAPDGKTYAVQVATEQNELVLRTGWPDFATAYELKFGDLLVFRNCKNSHFKVRLFDPSGCEKELSCVLMDGAPCVQERKVSHGDHTQSPTGKRMEIGSPSGSRKTSKMNPIDSPSQRREIHHLQPPGHVPSARTKSPRHGVAKPPYILPRYTTLNDQQKNEVDKKVGAIESKIPIYVATMRNSNTARAFLEFAIDYATKYLPRENQTMRLRRPGPSKDDTWEALFRVKNRRYTLGRGWRHFVDDNKLKPGDICLFSLMKNTKNLTMDVHIIRKRSM >KQL16894 pep chromosome:Setaria_italica_v2.0:III:46501328:46502368:1 gene:SETIT_025294mg transcript:KQL16894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVRPAAEEEEAHQAQAAQQEQAVREEAAAEVKREVAKAHEEEEAPEEKDVAVVGEEAEAEGEAEAEAEGEGEAGVSAKKNRIQVSTNKKPLYFYVNLAKRYMQNYDEVELSALGMAIGTVVTVAEILKNNGLATEKKILTSTIGTKDESKGRLVRKAKIEILLCKSENFNSIMSSKKSDRPKSAEEEIKV >KQL17336 pep chromosome:Setaria_italica_v2.0:III:49813224:49815111:-1 gene:SETIT_021905mg transcript:KQL17336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVSDSLPAELHCPLCKKVMTDAMLTSKCCYDSFCDKCIRDYIIAQSNCICGVEILVDDLIPNHTLRSTISSMLSSRGGGISSGTGNLASSISSNLDGKSISFSASAVLKGDNKQHMDSAPSIAAEGSLLITVCKNPVGHHEKLKHSDLQSKTEETEKASVKKTIAVAGAMETAPELRRQKRLPPDGVVIVSGNLQRKVVKSKSSKKQKKAGTTGKGDTNCDDYDCNIPFEPSCYNSSFGLGGLPWGADPYSMYFMSNMASSGYPMGLYNVNGISNLPLHAPGMQGYPASYYSSGFQPTVFQDHEASAHASLSNSHKGAGPQSPKPEGYHSRASTQKGGSRSGGRSVPEMRDSSTESHDYYEEYHNRKKVGTHPASSSPRDSGQRTVDSSSFESHDYREEFHSRKKVGAHPARSPRDGGQHRRALDDGGSFASHDYDEEFHGRRKERARSRSRKSSSRHSYRRRAYEGSTWSNEESNLKQRW >KQL14573 pep chromosome:Setaria_italica_v2.0:III:12084293:12086498:-1 gene:SETIT_023140mg transcript:KQL14573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWRRRTLLKVIVLGDSGSCPVLGWGMLSAHHWFDMDSFGECRVGKTSLMNQYVNKKFSQQYKATIGADFLTKEVLIEDKLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVKRSFNTLNTWHDEFLNQASPSDPKHFPFILVGNKIDLDGGNKRMVSEKKAREWCASKGDIPYFETSAKEDHNVDTAFLCIAKLALAHEHDQDICFKTVAEQVPDPEQTTGCAC >KQL15057 pep chromosome:Setaria_italica_v2.0:III:16119016:16122700:-1 gene:SETIT_021798mg transcript:KQL15057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAEPSPLSAAAGDLETLALDSSSSAASASTDPLLRPPSSQTAAAANHDAFVIDDFLDEEDDFSPAPAPSIARHPAPRPDAAPPVFARITVSDPKKHAEPSGGGAAAGVIPGSGSYFTYLITTRLAGGGGGGEVCVRRRFRDVVALADRLAAAHRGLFVPARPDKSVLEGQVMQRHDFVSQRCAALQRYLCRLAAHPAVGHSPDLRTFLTEPGAIPAFQGEAPRYWTTTVNAAAPLVQAKAGRDLFGMFKGLKQTVVNGLVATKPPPVEQETDTEFLAHKARFEDLQQQLTTTSQQAETLVKAQDDLRETTGHLGMTLIKLAKFEREQATCNSLRRRAGEIHNFANHVLKMSRSQIKLNSEIVKHLGSIHEYLEAMISVNHAFTDRSNALHHVQSLSADLFSLHTRAGRLESSSARDMGHEWSTYQKVEGLKETIRSAEAAKSDALREYESIKENNKIEIKRFDKERRRDFIEMLKGFVVNQVSYSDNFANMWTKVAEETEVYANRGN >KQL13338 pep chromosome:Setaria_italica_v2.0:III:3893859:3897509:-1 gene:SETIT_022456mg transcript:KQL13338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAGVAAAAPGLPVAAGRDRDKDDRRRWASRCGFAVLGIMSTLLVYGVLQEKIMRIPYGVEKEFFRYSLFLVFCNRITTSMVSAMVLLASKKSLDPVAPLHKYGVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVIVTLGCSLFILYPASMDVSPFNKGRESTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCVLSLSGLILQNHLIPAVDFMFRHPDCFSDVVILSSVRLTSSSLILLCCLLLVSKSTSVECKATHLSLDG >KQL13337 pep chromosome:Setaria_italica_v2.0:III:3892970:3897509:-1 gene:SETIT_022456mg transcript:KQL13337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAGVAAAAPGLPVAAGRDRDKDDRRRWASRCGFAVLGIMSTLLVYGVLQEKIMRIPYGVEKEFFRYSLFLVFCNRITTSMVSAMVLLASKKSLDPVAPLHKYGVVSISNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMRKKYGGKDYFFAVIVTLGCSLFILYPASMDVSPFNKGRESTIWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTMCSCVLSLSGLILQNHLIPAVDFMFRHPDCFSDVVILSSVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCVWFVHPLSWMQWVGAAIVFGALYTRSFFRSKPQKPAVASPPRGSSPNPPNNS >KQL15030 pep chromosome:Setaria_italica_v2.0:III:15847865:15849886:-1 gene:SETIT_024545mg transcript:KQL15030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATIDAWPSSPYSSSAPDGEVLRSLHRLARDLSAAEAPAPFLRPIFASVARRARLLAAVFDDLLLSGAAAEPLLLLPRSASLCLREVLLVLQRFKALVADCAARSRMRLLLQSDEVASRARELQQDLATLLDLLPVADLGLADDVADLLALASRQCRRVAAAELELKAGVLALIQEVEREIVPERERLEGILEEVGINDPASCSDEIETLEREIGERVAERWTSSMIALVGLLRAATPRPADFKVDLDDDDGAEPPSPPLDFRCPVSLELMSDPVVASSGQTYDRDSIARWFGSGKSTCPKTGQVLTNLELVPNKALKNLIARWCRENGIAVEVSEAGKADPAPVVAANKAALKAARMTASFLVKKLSASFSPESTKRVVHEIRQLAKSGSDNRAFIGEAGAAALLVPLLRSEDSALQLHAVTALLNLSILEANKKRIMHAEGAVDALCHVMCSGASWRAKENAAATVLSLATIHTYRRRLGRNPRVVDSVVQLARTGPSSTKKDALAALLSLSGERENIGRLVEAGAAEAAVAAIGEAEAAAAVLASLAKRGGAEAIVGIDGAVARLVAEMRRGTEWSRECAAAALVLLCRRSGAKAAAQVMAVAGVEWAIWELLGTGTDRARRKAASLGRACRRWAAAGAEQSTTVCPTSGAAPALTMAS >KQL16090 pep chromosome:Setaria_italica_v2.0:III:28830100:28835507:-1 gene:SETIT_021208mg transcript:KQL16090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTCAVCAETLEWVAYGPCGHRDVCSTCVVRLRFVMDDKKCCICKTVCPSVFVTKALGEYTRVVNDFSLFPAGVNEGKTGDFWYHEDTQAYFDDVDHYKMIRAMCRLSCSVCDNAEDQVALAAQAKRKSKFRSIDQLKGHLFHVHRLHMCNLCLEGRKVFICEQKLYLRSQLTQHMKTGDSEVDGSEVERSGFAGHPVCQFCKTSFYGDNELYTHMSREHYSCHICQRQHPAQYDYFRNYDDLELHFWKDHFLCEDEACLAKKFVVFPSEAELKRHNAMEHGGRMSRAQRNAALQIPTSFIYRRNEQDQRRGRGRGRNAHHDGTESHISSSAQNGRATADDGHVGRVDNVSGFLQSLSVGSSSAGAEVSSRTGRALEQLSFPPLSDPDIPDTRVDSVPDETSFPSLSEQQSRYALALNQSARGTARLGDESLFPPLPGSSNNRAPASAQQGLQSLARSTLAARLQQRSKGPVKVLNTARPRPSENPELLPSSTQTWPTPDQGLLLSGSSQLRIGTQTRENGFMPAVFSNSAWNPVAPNKMKHSVSTPNLVSGGSSGQASSSTAYGSNRSQEPPQGSQALPVAEDVRAANKSLVERMRSALGMDEDRYSAFKEIAGEYRQGIIDTSEYLSYVEQFGLSHLVPEMARLLPDPQKQRELADAYYTNIRFKSLQENGGGGTTSHEASRKKKGKGKAPVTESSAAKDVKDALADNFLDTVRRLQSNHQAQEGEAEVLSKDGYRPSKGVQLSAGSSSSLDSDTGFNSKASGAKDNAGKGGGNCSSKQPKKTSKFLRARLGDNSLATLDLSRPSASPERPERESQGPQMGLPVRGAWKNGGGQKLFSSNGRK >KQL16089 pep chromosome:Setaria_italica_v2.0:III:28830503:28832938:-1 gene:SETIT_021208mg transcript:KQL16089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMSFTHTCPENTILATYANGSILRSMTIFGTMMTLSCIFGKIISSVKMKHVWQRSLLSSRVKRSSRDIMQWSTVGGCLVLRGMLHFSFLICLFQIPTSFIYRRNEQDQRRGRGRGRNAHHDGTESHISSSAQNGRATADDGHVGRVDNVSGFLQSLSVGSSSAGAEVSSRTGRALEQLSFPPLSDPDIPDTRVDSVPDETSFPSLSEQQSRYALALNQSARGTARLGDESLFPPLPGSSNNRAPASAQQGLQSLARSTLAARLQQRSKGPVKVLNTARPRPSENPELLPSSTQTWPTPDQGLLLSGSSQLRIGTQTRENGFMPAVFSNSAWNPVAPNKMKHSVSTPNLVSGGSSGQASSSTAYGSNRSQEPPQGSQALPVAEDVRAANKSLVERMRSALGMDEDRYSAFKEIAGEYRQGIIDTSEYLSYVEQFGLSHLVPEMARLLPDPQKQRELADAYYTNIRFKSLQENGGGGTTSHEASRKKKGKGKAPVTESSAAKDVKDALADNFLDTVRRLQSNHQAQEGEAEVLSKDGYRPSKGVQLSAGSSSSLDSDTGFNSKASGAKDNAGKGGGNCSSKQPKKTSKFLRARLGDNSLATLDLSRPSASPERPERESQGPQMGLPVRGAWKNGGGQKLFSSNGRK >KQL16471 pep chromosome:Setaria_italica_v2.0:III:40539299:40541107:-1 gene:SETIT_025323mg transcript:KQL16471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGEVSMCTPAFARRVVQSRWFVVFASIVVMAASGSTYIFALYSKVLRSKLGYNQETLNKLSFFKDLGTNVGIISGLVQQVAPTWAVLLIGAGMNLAGYLMIYLALTGRTAAPPVWLMCFYICFGANALTFSNTGALVACVKNFPESRGIVIGLLKSFVGLSGAIYTQLYLAIYGDDAASLVLLVAWLPAAFNIFTVYTIRVLPYARRRADGDGKAYNTPFYHFLYLSIALAAYLLVMIVVQKQVRFSHAAYIVTSTALLIILFSPAGVVVREEYKAASQLEESLQHPPAIAVEEPKAATATEKDDGEASPPLCAGGGMGCITNMFRPPALGEDYSIMQALVSVEMLVLFVISVFGIGGTLTAIDNMAQIGQSLGYPAKSINTFVSLISIWNYAGRAGAGYISEFLLARYRFPRPLALTAVLLVSCIGHLLIAFGVPHALYAASVIIGFCFGAQWPLLFAIISEVFGLKYYSSLFNFGSAASPAGAYVLNVIVTGRMYDAEATRQHDGVAAVGDKICKGVRPFLIITAVTFAGAIVSLVLVWRTRNFYRGDIYAKFKVAPVANADGNSDGGVEMAEASSSEEKSKKKKEVVNEDLY >KQL12958 pep chromosome:Setaria_italica_v2.0:III:1859127:1861860:-1 gene:SETIT_023056mg transcript:KQL12958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQSSPSASAPASADERWPPLESSPEVFNQFMWSLGVPEDEAEFHDMYGLDAYALDMVPQPVLAVILCFPDPPQDASYTSDLRLSCGEKETRDQVYFIKQIESLGNACGTIALIHAVGNSSSGINLVENSCLDLFFKSTASMDPHERALFLEKDDAMARAHLLAASDGVTELCDVVEEHYICFVAVNGTLNELDGMKDGPIKHGSSSSKSLLQDAVSIVKGIMHNIPDSINFNLMVLSRKQNSAGTTS >KQL13884 pep chromosome:Setaria_italica_v2.0:III:7425174:7425947:-1 gene:SETIT_023646mg transcript:KQL13884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAKALGVSCLLVVLAISPSPAQAYQVLDLKAKVLHKCMMYIEKTGELMPQRSSPCCDKVRKADVQDICNKLTTAEKARIKIEKWVQVTRKCENPLPVGFNCAGYVVPEPPSPPLPPPPRSAY >KQL16630 pep chromosome:Setaria_italica_v2.0:III:43159707:43161831:1 gene:SETIT_021919mg transcript:KQL16630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLEMAKIKLEAVLETSRQWHIKGASSLLRWRKKLKRAARECDDVLRECKKRAIDDEVREQEVKSSFFPRRLAHATKSLVKAFFNRDDNHDVLNSYAVERFERLADGASEFLRFVELGGTPRRYMMLHPLIGRLLAGQELRYRLVRRSKYHLFCVRPVRFEDRGMEAKLLFVYEDDEAPEKNLCLGSILRLSESMDVVGTIIKCLEMFFTPQFKPTAESARKEISQLPTQDFLWVPYVEASHKEHWNTIHSNMTRWFRPNPMCCKQKRPSYSSSSTVEQSSDVSDLEPVIEVSLQGHIPLSDEYNMDRSKAAEGESSCLKNSPHLKLGLLFSPHGSSEDLDPQVESSAIVVINGTEQSGVHTNVSLEELDELMLPKAIDCLHRKADTTAYQIFWKSKHGTAFLLVEKTCLTKMPPRCISSVGDRRWAIQRRRDPKLERWIRLIKDFLNLWVPHAPPRLQRSFIEWIQKANEMQLAGLTN >KQL14793 pep chromosome:Setaria_italica_v2.0:III:13906010:13911446:-1 gene:SETIT_021444mg transcript:KQL14793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAEPSSPPPPRRPRTGPPPGLKNLGNTCYLNSVLQCLASTPPLATFCLASRHSNLCKKVFPNRDKECVFCVLERQIARLLRADAGALDSPAKIIRCIPLFAEHFRWGRQEDAHEFLRYVVDACHTAGLRMRKRLPAAIANGNCGEEEGRGQGACMVMRETFGGALLSQVKCLVCKGESNKTDEIMDISLDLPGSSSVADALARFFQPEILEGANKYSCERCKKLTSARKQMFILRSPKVLVIQLKRFEGINGGKINRNIEFKEALVLSDFMYNKNQDPQPAYNLFGCIVHSGLSPESGHYYAYVKDAIGRWFCCNDSHVSLSSSQNVLSEKVYILFYILNSKNQKPSTNGYSSTAAKSFSTNGIGISSTSSSETLKIPLVKQNGSCSTKGNALLPLKNGKIASGPLIKPIHFKNSVTEKVKSNGKENLPSKMNPEVNESATLSVSNERMTGKFVEPSKKSADGTISCGKIDDHSERKLQDANGNGHPIHSQYLGEASNGNTTCAQQYSEKSSGALASKSPVLHHEIEESANSVKDVVISGKDRAHSKRQLEEDKFKEELAESASSELRLSVWVDDVCDFMRSQKRRRIQSSGIPQDVDSMRKHLKSDSERIFRSKVPESLVENLIKRLRPYFEGIYPPNA >KQL15431 pep chromosome:Setaria_italica_v2.0:III:20077444:20080688:-1 gene:SETIT_022329mg transcript:KQL15431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALLLLLSLGGTAAQVFPPWNGTFPGFGAGSGGGGGGAAAGTATGVPAMFVFGDSLTDNGNNNDLQSLAKANYPPYGIDFAGGPTGRFSNGYTMVDEIAQLLGLPLLPSHPSASSADAALHGVNFASAAAGILDNTGQNFVGRIPFNQQIKNFEQTLDQLSRKLGGAGKLAPSLARSIFYVGMGSNDYLNNYLMPNYNTRNEYDGDQYSTLLVQQYAKQLGALYKLGARKFVIAGVGSMACIPNMRARSPRNVCSPDVDDLIIPFNNKVKAMVNSLNANRPNAKFIYVDNYAMISEVLRNPWSYGFSVVDRGCCGIGRNRGMITCLPFLRPCLNRNTYIFWDAFHPTERVNVLLGRAAFNGGTDVVYPMNIQQLAAWQP >KQL13312 pep chromosome:Setaria_italica_v2.0:III:3742551:3746913:-1 gene:SETIT_022352mg transcript:KQL13312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKGSRKGKKAWRANISTDDIGDFFEKQTRDAHAGAAAIPSLPSDSLFYVDKPAASTSAAGASDTTTKDIPAKRKIEKKREKVLYHESLLKRNPFIQPIPSSVVSKKDKKKTKKKELHETQGDISVPMEDDSAGKNLDIWAGDDKGDTKARKRSTKSLIPAVEVEPQGCSFNPPFEAHQDALAQAVADERHKTLMKELGPTPVPLIISGEPITEEDKFFLDADDGDEDVTDDDGEQDADTSVGQRKNKTKRVTRVEMNRRARRKERLREEAEAKKMESISKEIDSLPNIIDEIAKEDEEKQKRRIRRTVIKEERLKSGPPRLGRHKFEPAPVQVLLTEEISGSLRKLKGCSNLTRDRYKSIEKRGLLAPSRRISKRR >KQL13313 pep chromosome:Setaria_italica_v2.0:III:3743915:3746849:-1 gene:SETIT_022352mg transcript:KQL13313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKGSRKGKKAWRANISTDDIGDFFEKQTRDAHAGAAAIPSLPSDSLFYVDKPAASTSAAGASDTTTKDIPAKRKIEKKREKVLYHESLLKRNPFIQPIPSSVVSKKDKKKTKKKELHETQGDISVPMEDDSAGKNLDIWAGDDKGDTKARKRSTKSLIPAVEVEPQGCSFNPPFEAHQDALAQAVADERHKTLMKELGPTPVPLIISGEPITEEDKFFLDADDGDEDVTDDDGEQDADTSVGQRKNKTKRVTRVEMNRRARRKERLREEAEAKKMESISKEIDSLPNIIDEIAKEDEEKQKRRIRRTVIKEERLKSGPPRLGRHKYNSKLTD >KQL16224 pep chromosome:Setaria_italica_v2.0:III:34568523:34569047:1 gene:SETIT_025438mg transcript:KQL16224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKSTNCDCYFLLFLLASSLLYLFFKIQSSLNA >KQL15723 pep chromosome:Setaria_italica_v2.0:III:23043917:23044595:1 gene:SETIT_024382mg transcript:KQL15723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRGLRLLCCVAAVAALLSEGGQAAAYYYVPSPGPAPAPAASPATNSSASPPPAQPTTFPTYGVTPGSLQPQECGGRCAARCSATAYRKPCLFFCRKCCAACLCVPAGTYGNKASCPCYDNWKTKRGGPKCP >KQL16887 pep chromosome:Setaria_italica_v2.0:III:46451900:46458077:-1 gene:SETIT_021236mg transcript:KQL16887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFLYRTAAQPAPPAELPQIPEDALPKPATTLEGLIIAEDSYQPSPARSEDGAVNNGPGDVGADSAPLASKSPVQLGTHTDVAEDEGWITIPYKRLPDNWNDVSEMVQLRPLDRSFLFPGEQVHVLACLSASKQDTQVISPFRIAAVMSKNGNSLQHSTDKSSPVNANGRDNGTTGENGCQDVDSDMQSVELNGEASPSEHDILETQSRLQMEDQKQQIELMLRRFRESNFFVRIAESDEPLWSKKRATATKMADGRSDSQGISKASRSNVYNTISDKGIFDGSTSGGVARDTVKCYSLQNGDIVVVLQVNVGVNKLEDPVLEVLQFEKSISSSCMPENLVDGLSDSNDDPCRELLSWLLPLDRTLPPRSLAPPTLNPSASHKQSYSAPGSQIFNFRSYSMPSASSVQTANNTRPPPISENQEFMPENPAKTPDIINDGQLSFRGVPLEPERYSVRCGLEGVYLPGKRWQRKVEIIQPIEVHSFAAKCTMENLLCVTVKNIAPTHAKDIVVFIDAITIVFEEASKGGAPLSLPIASIEGGHGHSLPNLALRRGEEHSFILKPATKSSSERRTNNDAPPALSLPMMTGSTLNTTPKVGEPYVAVGDQYAVLVSYRCNYTESKLFFKQATTWRPSAASDIMISVSSELSMRNPSLGARVPKLPVQVLTLEATNMTSENLTLTVLAPEASGSSSVVSLNSAPTTPNGSYDGVNESAKRSGLGKQEIGFRRLNSVLATSPKESDNGGNRISNASGCTHLWLQSAVPLGCVPPRSSTTVKLELLPLSDGIITLDTLQITAREKGLAYIPEHSLEIHATSGISS >KQL15606 pep chromosome:Setaria_italica_v2.0:III:21603669:21608448:-1 gene:SETIT_022096mg transcript:KQL15606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAELAGETDRAAWRRISRWQRGSFAGGCRGGEGPGGHKGGAPGGRPWRGSSGGCRGGAPSEGYDRGALAASIAGAARWGHRTHPIVGDCATAHQGPHSRLLAAILLHRDLAPDLAMQCSASPCGLLGFAESACHTSSQARSHAAGCSLQATQVTGHSHASRETAGASHNDAMMSAAASSLPSRGRAAPFGSRALSPPSLRRRAALRRRQPRVSALGGGGDGEAGRILDPRATPLQILGLDATTCYSAAQLKAAFRARVKEFHPDVCKDPENADLIIRRVIEAYQMLSGNQEMMVERNNVDPFDEPECEAGDIFVNELLCIGTGCPYSCVKRAPHVFSFSDDIGTARAVSQGNGEDDLVQLAVGQCPRKCIYYVTPCQRTILEDVLASVLMAPYDLAEAAVLDSLLTKAKFENNRYTKPKRGAKSSSDYVDWM >KQL14598 pep chromosome:Setaria_italica_v2.0:III:12252659:12254501:-1 gene:SETIT_023239mg transcript:KQL14598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCASSRQFGARAAGCKELAALASGTSFTVNEVEALYELYKKMSFSIIKDGLIHKEEFQLALFRNSKKANLFADRVFDLFDLKRNGVIDFEEFVRSLSIFHPKAPTSEKTAFAFKLYDLRGTGYIEKEELREMVLALLDESDLCLSDSTVEAIVDNTFSQGDSNGDGKIDPEEWEEFVKKNPSALRSMSLPYLQDITMAFPSFIVRSEAND >KQL12758 pep chromosome:Setaria_italica_v2.0:III:739640:742923:1 gene:SETIT_023199mg transcript:KQL12758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRMVRSCVQTTLKTVNSVVGLAGMAVILYALWMLRAWYREVAQLDQRLPAPWFIYTFLGMGIFLCLLTCSGHIAAETANGHCLSCYMIIVFVLIILEGAITVDVCLNNNWEEDFPPDPSGKFDEFKVFVRSNFEICEWVGLSVVAAQVLSIILGMVLRTLGPDRETDYDSDDDTTVPARLPLLRNQSQHGPADYAEPNTSRRSDSWKLRILDKVNN >KQL13603 pep chromosome:Setaria_italica_v2.0:III:5506443:5507129:-1 gene:SETIT_023884mg transcript:KQL13603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVYTYSTSHRFFAAPIGHLVLVMCMKDIRSTYLNLIQAAFFDCDASERGAPGGTIEQPGFTAFCYLAACRR >KQL13812 pep chromosome:Setaria_italica_v2.0:III:6914709:6915878:-1 gene:SETIT_024625mg transcript:KQL13812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKALLLLLFVLCCLATAVEAVGEGAAQAGAELAVVVDPSWRFPSQRLRDAYVALQTWKQQAIFSDPRNFTADWVGPGVCSYRGVFCAPLPQGEPGAGELAVAGIDLNHGDIAGYLPSELGLLTDLALLHLNSNRFCGLVPAALRRLRLLVELDLSNNRLVGAFPAVVLDLPALKFLDLRFNDFEGPIPRELFDRPLDAIFLNHNRLRSPLPDNFGNSPASVIVLADNSFGGCLPASLGNMSGTLNEILLINNGLDSCVPPEVGLLREVTVFDVSFNALVGPLPQQVAGMRKVEQLDVVHNHLSGAIPEAICALPRLKNFTVSDNYFTGEPPSCARVVPPDGDRRNCLPNRPAQRTPQQCAAFYSLPPVDCAAFQCKPFVPVPPLPPPPP >KQL13981 pep chromosome:Setaria_italica_v2.0:III:8055413:8061187:-1 gene:SETIT_021463mg transcript:KQL13981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPTERILSEGGRAARACFAYQELPVPQAWTDQKSSPQQPSSVLAEGERERGGRREEGEAAAVAACGLGTLACRGVASVGSRLIPGVESERRRSSQPDRPAGLESLLLVSPSSARASRQLVWSQAAPPSSSPPSTAANRPLGSAEFRVMDSQAPPPAAATDANTSVMCQLVSPEGDHLGAALYLPQNVGPPQLQEIVNHLLHNEDKLPYAFYIGDEELSVQLGTYMQQKNANVEVTLRIVYQPQALFRIRPVNRCSATIAGHTEAVLAVSFSPDGKCLASGSGDTTVRFWDLDTQTPLFTCKGHKNWVLCISWSPDGKHLVSGSKTGELILWDPKTGKQLGSPLMGHRKWITAVSWEPAHLQAPCRRFVSASKDGDARIWDITTRKCVISLTGHTNSVTCVKWGGDGLIYTGSEDCLIKVWETTQGKLVKTLQGHGHWVNSLALSTEYVLRTGAYDHTGKTYSTAEEMKEAALARYKKMRGNAPERLVSGSDDFTMFLWEPTISKQPKARMTGHQKLVNHVYFSPDGQWLASASFDKSVKLWNGITGKFVAAFRGHVADVYQISWSADSRLLLSGSKDSTLKVWDIRTHKLKQDLPGHADEVYAVDWSPDGEKVASGGKDRVLKLWMN >KQL17070 pep chromosome:Setaria_italica_v2.0:III:48031195:48034194:1 gene:SETIT_024958mg transcript:KQL17070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVADVWPPLPADVRFDPPSEDEMAAWLRAIVEGEDDLAINDGDGGRQQTAGARDVPEKERPSDTSSTTTADKKEKLPMAEGMGSTKQEMRKPPAGGGSSRRSHHGEAHNLTEKRRRHKINEGFRTLQQLVPGCDDKSNQAATLDQTIQYMRSLQQHVKAMSYDGPARSAAAVYPVVQPQYVPPVAPAAVPMPAAPMMVLVPAPAATMVVPFGDMVQLLPHYPAAAMMMPAAAAPQYPAAAASHRQGSSSSKGKGGSRSLRQKH >KQL14216 pep chromosome:Setaria_italica_v2.0:III:9611250:9615821:1 gene:SETIT_021528mg transcript:KQL14216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTTTRRPPLAIPVPRDDMAAHTSAASTLAFGHPKTLAAAAAAGPKTLPAAASVSFPATQPACLLSASATRRRDVAAMVAAPSAVGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGVKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEENGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALAWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEESAYKNTVESITGIVSKTISKKGMLEVYNSLSEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEKVRSTRPEGDLGPLHPFTAGVYIALMMAQIEILRKKGHSYSEIINESVIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTVDKDAPINQDLISNFMSDPVHGAIEVCAELRPTVDISVPANADFVRPELRQSS >KQL14217 pep chromosome:Setaria_italica_v2.0:III:9611250:9615273:1 gene:SETIT_021528mg transcript:KQL14217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTTTRRPPLAIPVPRDDMAAHTSAASTLAFGHPKTLAAAAAAGPKTLPAAASVSFPATQPACLLSASATRRRDVAAMVAAPSAVGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRNLFPLLPEAFKGVKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIVVKIGLRKGSKSFEEARAAGFTEENGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALAWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVEALFRRYTEQGMDEESAYKNTVESITGIVSKTISKKGMLEVYNSLSEEGKKEFNKAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGNIDQTRMWKVGEKVRSTRPEGDLGPLHPFTAGVYIALMMAQVRLRS >KQL13707 pep chromosome:Setaria_italica_v2.0:III:6211311:6211750:-1 gene:SETIT_025627mg transcript:KQL13707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTYRDRGCLENGQSSIQPQEPGYGCLYKKLGANVRGLEQEH >KQL14127 pep chromosome:Setaria_italica_v2.0:III:9050094:9051464:1 gene:SETIT_025804mg transcript:KQL14127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTTKGWATCNHSITMAIPEGQKLKTEAIYVLGALSLHKECMWDSSHPGGAARLSIAIGVYIMFYEYFCFHFLAM >KQL14128 pep chromosome:Setaria_italica_v2.0:III:9050094:9051464:1 gene:SETIT_025804mg transcript:KQL14128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTTKGWATCNHSITMAIPEGQKLKTEAIYVLGALSLHKECMWDSSHPGGAARLSIAIGVYIMFYEYFCFHFLAM >KQL14126 pep chromosome:Setaria_italica_v2.0:III:9050067:9051464:1 gene:SETIT_025804mg transcript:KQL14126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTTKGWATCNHSITMAIPEGQKLKTEAIYVLGALSLHKECMWDSSHPGGAARLSIAIGVYIMFYEYFCFHFLAM >KQL14124 pep chromosome:Setaria_italica_v2.0:III:9050067:9051464:1 gene:SETIT_025804mg transcript:KQL14124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTTKGWATCNHSITMAIPEGQKLKTEAIYVLGALSLHKECMWDSSHPGGAARLSIAIGVYIMFYEYFCFHFLAM >KQL14125 pep chromosome:Setaria_italica_v2.0:III:9050192:9051464:1 gene:SETIT_025804mg transcript:KQL14125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTTKGWATCNHSITMAIPEGQKLKTEAIYVLGALSLHKECMWDSSHPGGAARLSIAIGVYIMFYEYFCFHFLAM >KQL14129 pep chromosome:Setaria_italica_v2.0:III:9050349:9051418:1 gene:SETIT_025804mg transcript:KQL14129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWRRRLTALAYEFPDIELMLLAVGSAWSLQPRDGLHATTGYVSYFYLLVKQCYSKSLILNMSQNFKHYIISLAIFSLYTISITMAIPEGQKLKTEAIYVLGALSLHKECMWDSSHPGGAARLSIAIGVYIMFYEYFCFHFLAM >KQL14130 pep chromosome:Setaria_italica_v2.0:III:9050467:9051464:1 gene:SETIT_025804mg transcript:KQL14130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNFKHYIISLAIFSLYTISITMAIPEGQKLKTEAIYVLGALSLHKECMWDSSHPGGAARLSIAIGVYIMFYEYFCFHFLAM >KQL15699 pep chromosome:Setaria_italica_v2.0:III:22701707:22703991:1 gene:SETIT_022014mg transcript:KQL15699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSSTAVKMASDGLWQGENPLDFALPLLAVQIAVILAVTQGLALALRPLRQPKVVAEILGGILLGPSALGRWGAFRRTIFPAWSSAALDTVSGLGLLLFLFLVGLELDFRAVRRVGPRSVAVAAAGIVPPFLAAPCLVPLLRLAVPSPQHHAAAFLPLCVFVGAALSVTALPVLACILKELGLLGAQFGETAMAAAAVNDVFAWALLALALAVSGGGGGPTGASELAPVYILGSGAAFVAFMLCALRPLMARLARRTAALASSGALVACALLAGAATDAIGVHPVFGAFVFGLSVPREGGLAERSGEAVAPLVSGLMLPLYFATSGLHTDVDTVRGAAAWGMLALVVAVAFLGKFGGTFAVAAWTGMARREAAALGVAMSAKGLVELIVLNIGKERKVLDDTTFAIFVIMALTTTVLATPFMAALYRSTPTATTPESDGTELKGGDACPA >KQL15374 pep chromosome:Setaria_italica_v2.0:III:19377757:19378987:-1 gene:SETIT_022779mg transcript:KQL15374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVNIVRKFIEICLDYDELLDNKRRNILHCAVEHGRVMLVRHICGNPKFLRMMNARDGEGNTPLHLSVKHGQAMIFFFLMVDPRVNLAIMNNEGSTPLDVASNKIQSDDTLLSSLTDTSIIICLNLCGAYGSPCHLAKKLKDNRCSKEKKESSIYANVSRNMFNNSIFIGFSSALAAASTPPGGYIAEGADAVLSMLITSVMSVWLTFDPENRWGEYIFDRLVSADLAVAIVLQVATLLWTSKHRWQDISKVIVQAILLIHVVRASIGTVQPLVKSVLAGQQEPCSSTGCVIQDDAVFLYPT >KQL13628 pep chromosome:Setaria_italica_v2.0:III:5633816:5634829:-1 gene:SETIT_024051mg transcript:KQL13628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRDRARQPSLATAAPYVVEDCLGLLQVLSDGTVLRFSPPPFPAGDACDDGRVEWQDAVYDADNNLGVRMYRPRRRLCVSADKAAAEHKKKKLPVVVYFAGGGFCFGSYSYPKNHALCLRLATELPAVVCSINHRLAPEHRLPAAFEDAMAALLWLPDQIFHNPWLAGRADPRRVFVSGTSSGACVAHQMAVRLGTAGLHPLKITGYILLMPYFLSEEPTMSELSTPETALLSRERSDRYVRLAMPAGWNKDHPLLNPFGPYSPSLASADVGRVLVVAAECDLVRDKNLEYAERMKALGKDVRLALFPGQGHAFCAIKPLSPATDEVIRLIKRFIF >KQL13808 pep chromosome:Setaria_italica_v2.0:III:6860181:6861505:-1 gene:SETIT_0220312mg transcript:KQL13808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAPDLGPRRHPGPGRAHVGSLPELDGVKRLEYLAIDISADYIDHFKSQFAAASGGGRCSAFEVLIAKAWQSRTRAAAFDPDTPVHLCFAMNARPLLAARLPRAGVGFYGNCYYIMRVSSTAGKVSSSSVTDVVKIIREGKKRFPSEFARWAAGEEAGDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDCIATCILVKPWAHKPGARLITQCVTPDRVAAFHDAMVDMNC >KQL14758 pep chromosome:Setaria_italica_v2.0:III:13686292:13689031:-1 gene:SETIT_023233mg transcript:KQL14758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVSVDGNIVNLGLWDTAGQEDYSRLRPLSYRGADVFVLAFSLISRASYENVLKKWMPELRRFAPNVPVVLVGTKLDLRDHRAYLADHPGASTITTAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRREAMPARRKSRRGSGCSIMNLMCGSTCAA >KQL16183 pep chromosome:Setaria_italica_v2.0:III:33883396:33886679:-1 gene:SETIT_021659mg transcript:KQL16183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKTGLILINGRQEKLAYGTSAYVTQDNVLMSTLSVREAVYYSAQLQLPDTMPPPEKRAHADRVIREMGLGDAMDTRIGGRITKGISGGQRKRVSICIEMLTRPRLLFLDEPTSGLDSAASYHVMSHIARIAVREGMTVVSAVHQPSGDVFELFHGLCLLAAGKTVFFGTTSDATEFFTLSGFPCPHLRSPSDHFLRTINKDFDEENVESSKANRKTAAEAIDILTTAYKSSSYAEKTTYQIVEMKNMDGASFKRREQAGFCTKLLVLTRRSFLNMHRDIGYYWMRLAVYMGIGVCLGTIFYQVGYSYSSIQSRCEVIMYTTALLTFMAIGGFPSFVEDVKIFRKERLSGHYGVSEFVISNTLSATPYLSVIAVLPGAMLYYLTGLTKGVDHFTYFVIVLCICCLLVESMMMIIAAIVPDFLMGIIIGAGVQGVMMLNGGFFRLPSELPKPVWKYPCYYISFHKYAVQGFYKNEFMGLSFPSDQLVESNVTISGIQVLKSKLQVEMGYSKWVNLAILCGMMVLYRLIFFAIVKIAEEIRPKLGGKRGSVR >KQL16286 pep chromosome:Setaria_italica_v2.0:III:36343225:36344542:-1 gene:SETIT_023810mg transcript:KQL16286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLFLMLRRVSLTISKTTAKRVFRSQRYKKSRYYSFEKQEDSKKLQNGNCPTPLNHPSKYNHRLRCLRSLPKQLFSSTTLSRTLLTA >KQL16607 pep chromosome:Setaria_italica_v2.0:III:42857353:42858683:-1 gene:SETIT_023541mg transcript:KQL16607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSLSPAGRTSGSDGDSADTHRREKRRLSNRESARRSRLRKQQHLDELVQEVARLQAENARVAARAADIASQYARVEQENTVLRARAAELGDRLRSVNEVLRVVEEFSGVAMDIQEEMPADDPLLRPWQLPYPAAAMPIGGAHMLQY >KQL17397 pep chromosome:Setaria_italica_v2.0:III:50227067:50229330:-1 gene:SETIT_023463mg transcript:KQL17397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGKKKGVPALGWWLMLVGSLRLASVWFGFFNIWALRVAVFSQTEMTDVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALGHFLTEYLIYQTMAAANLSTVGFFAAWHLLVPSCKMARGACCACCICSLTDDENRNVNRMDASSVEFSWRSPVVPML >KQL17396 pep chromosome:Setaria_italica_v2.0:III:50226577:50229416:-1 gene:SETIT_023463mg transcript:KQL17396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGKKKGVPALGWWLMLVGSLRLASVWFGFFNIWALRVAVFSQTEMTDVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALGHFLTEYLIYQTMAAANLSTVGFFAGTSIVWMLLQWNSHGDPPWFPCCEAIMSDGWVVCLM >KQL15135 pep chromosome:Setaria_italica_v2.0:III:17325969:17327804:1 gene:SETIT_024916mg transcript:KQL15135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFPCFGGGKSKNDDADAEGPDAPATASNMTPPPMVQAPAAYSPAPAASAAPSAAAPPKPGGANNADPSSVDDASLRAAITAQAFAFRELAAATDHFTPYNLVGEGGFFRVYKGKLEKSGQTVAIKQLDKHGFQDNKAFLTGVAKLSQLHHENLVDIVGYCADGDQRLLVYESVPAGTLEDHLYDLSAGKKPMDWCTRMKVAYGAAQGLEYLHEKANPPVVYGEFKASHILLDESLTPKLSDFGLAQLGQAGGSMPVASPMMGSFGCAAPEYDRSGQATMKSDVYSFGVVLVQLISGRRAVDTSKPVAEQNVVTWAMPMFKDQKRYHELVDPLIKTEYPAKALNQVVAMAAMCLQEEDSVRPLMADVVMTLGFLTSMPPDPPAPVAPPATAPEPKKDKGRSDDHSDSSSESSDDDEGNEDEEEEEEADELYTSRSRSNDTSR >KQL13171 pep chromosome:Setaria_italica_v2.0:III:2947573:2948019:-1 gene:SETIT_023658mg transcript:KQL13171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFPAADRSSLSASAAASFARSLTHQIPPRLPAALNSAPPPRDRFALAPQHKRADRRPPDPRGSGPARAPRMAALDGCARRGSWRCRRRRGRRRAAEQARRDWVRGWDGVSGPVCPWELA >KQL13273 pep chromosome:Setaria_italica_v2.0:III:3537421:3538033:-1 gene:SETIT_023973mg transcript:KQL13273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERTANCVDILIAIILPPLGVFLKHGCGHEFWICLLLTFLGYLPGIIYAIYAITK >KQL15747 pep chromosome:Setaria_italica_v2.0:III:23306743:23309707:-1 gene:SETIT_021673mg transcript:KQL15747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPADVDPRSGYCAATKTFHSLRPPLLLPPPDLPLSFPSFTFSLLPATLPSRPALVDAATGEVVPFPAFLSQVRALAAALRARLGVSRGDVAFVLAPPGLHIPVLYYALMAVGAIVSPANPALTADEISGLVALSGPSVAFAVKATTGKLPPGLNTVLLDSARFLSFLHKPHDEDGTADGTDVVIHQSDPAAILYSSGTTGRAKAVVLTHRNLMASNATRGPATAEVLMLALPIFHIYGFTFCFRVAPSANTLVLHTARRFDAREVLAAVGRFGATRLALAPPTLLAIVRAAEEDETLIARAATLQAVNCGGAPMATELFRRFFHKFPDVCLQQGYGLTETTAGFCRAAGEEESGEIGSVGRLLWGAEVKIVHPETGLALPPGMPGELWVRGPFVMKGYAGDKDSTSKILDSEGWLRTGDLCYIDKDGFVFVVDRLKELIKYKGYQVPPAELESLLQTHPNIDEAAVVPYPDDEAGELPVAFIVRSSGSNLYEAQIKEFVAKQVVHYKQIHHVFFVNTIPKNAAGKILRKDLAKLALQHIRSRL >KQL14063 pep chromosome:Setaria_italica_v2.0:III:8493488:8494355:1 gene:SETIT_024789mg transcript:KQL14063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPCAGSSSGGCGQVRVVDARILALVRGFPIVAVATSHDVPAGPPPPPALGGTLDGSYEAVRAGVERVRFAQLGLALASRDGDLALGRVWRFHQGDHLGAEDKRFLAAVAVDPGRPDGVLVTRDGAEDVAYVVRHLKGVGALPPGREEFLRAFNTAFPELYDLKVMAEWTTLTQTEPPLAATWRDAFRGFLALVRDRMPGDVLVDYNAFLFGLGAADTIELMSIKRTRAKDAEGRRQMKELFRELCPGQDPANLDSLPFF >KQL16722 pep chromosome:Setaria_italica_v2.0:III:44451489:44452133:1 gene:SETIT_025174mg transcript:KQL16722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGWLPLDLSLATSGAGTSASAAERRTGTRAHRRTVSSLFAELGAMLPNDLPTNRPASREEIVDAAAARVRMLEEAAAVLETYRAVRGPPCPRPRPEVAVAVATVCFSARLPAPTRPSALTRVLEAFHRRGVEVLVATVARHGHGGGAAVVTVTATAAPSEVLELIRADIAAIH >KQL13494 pep chromosome:Setaria_italica_v2.0:III:4777825:4780558:1 gene:SETIT_022420mg transcript:KQL13494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGRAALSLGLVLLLCALRHEVAAAQRYNAIWSFGDSISDTGNLCVGGCPSWLTTGQSPYGETFFGRPTGRCSDGRVIIDFLAEHFGLPLLPASKAGGDFKKGANMAIIGATTMNFDFFNSIGLSDKIWNNGPLDTQIQWFRQLLPSVCGKDCKNYLSKSLFVVGEFGGNDYNAALFSGRTMAEVRGYVPRVVSKLIRGLETIIRSGAVDVVVPGVLPIGCFPIYITLYGTSNAADYDGDGCLRSYNGLSSYHNSLLKRSLGSLQRTYPRTRIMYADFYSQVTHMIRAPQNFGLKYGLKVCCGAGGQGKYNYNNKARCGMAGASACADPGNYLIWDGIHLTEAAYRSIADGWLKGPYCNPPIQH >KQL15234 pep chromosome:Setaria_italica_v2.0:III:18026642:18030272:-1 gene:SETIT_023078mg transcript:KQL15234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGEQSPIEPDAEDLERGERRRDAPEFADGGDGDEEESQYFSDAEDRSWPSHSRHDSTAYEDYISPCASARASSVDAEADADGEAAGEHCRKSSCVSEGSLDDIDLEAGLGEIIKASPEKSEQNCRICHLGLESAAAESGAGITLGCSCKGDLSYAHKQCADTWFKIRGNKICEICSSTASNVVVLGDPEFSDQWSETNNVAAVQAPPAETRRFWQGHRFLNFLLACMVFAFVISWLFHFNVPG >KQL16661 pep chromosome:Setaria_italica_v2.0:III:43421634:43423876:1 gene:SETIT_024389mg transcript:KQL16661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRRRLTLAPGLLLSRRVQAKEEPEKRKHSEKECLMAREALSEAVALAADASGRPPHRHGVEPLVTRFWATGDSDDKSSIAEEIEEEQEVDDLVQADKELAATTTANSGKPPIHKTPLTNKIRDAMIQRRRFGRPWQGPLPPPRVSPARTLGDELSKAWLSTKLPSSRKGTPTGLSPVFTGDAHKQEVRQAEALADAQNSKIKSQLQEPATLEATSKENQIHVASAVTVKECARVGPEKVLIGPGRWFRPTPGLVALFARRGTKRVPNRSCRTASSEKPKPRTYAEVVRSSGGAATAMVKEMSGGAAHQQNAPRGGGQGQLAGNANGTRFNPGFHPGFNPGYNGERGGYVHRTVTSVACVAAVVTAVAGTGTVVSMVATATTVATVVATTTATATVAGAQAEAATIAVVAAMAAKTRSREEEAMGRTSKRWKSGGKNKPYCYRCLTKGHINSECTTEISCDLCVTDTHVTKACPQAKAAKQTASLCGFAVDGLGFYYIPYTGKPRAQPESKAAVVKVIEGSMTAANNIAVELERLLPGSKNWIVEQNGEKYFTTTFPSCSELTRMVLWGSVETKSTQVYKYEIPKCWVQFRGLSKELREEVPIIWAVGSILLGITKMVDVRFTKQHNIARLRVAVLDPEPIPDLVTVVIGEYVYELQFGVEKESSANNPVPINMDIDPEKDGDGDANKENGKQSEEHNAGYS >KQL13697 pep chromosome:Setaria_italica_v2.0:III:6142300:6143022:-1 gene:SETIT_023773mg transcript:KQL13697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLGLDSCHRGRRDASCAGGFPRERETRRKKFYSAVPHEHCRDARRVPRGPYLVPAAKIQPADRCREPSDQAFFPSFPVARIADRFPPPSKKKKVDS >KQL16924 pep chromosome:Setaria_italica_v2.0:III:46708990:46722894:-1 gene:SETIT_020946mg transcript:KQL16924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFRRLFYRKPPDRLLEIADRVYVFDCCFSTETMDQYKYKNYLDSIILQLREQFADSSLMVLNFRDEGRSLVSGIFSMYGITVKDYPCQYQGCPLLPLDIILHFLRLSERWLMVEGQQNILLMHCEKGAWPVLAFMLAGLLLYRKQYNGEQRTLDMVYKQAPKELLQMLTTLNPQPSHLRYLGYICRMEDELGWPTQPIPFTLDCVILRKVPNFDGVGGCRPIVRVYGKDILTTDRSHSGVSSTSKAKKHVRRYRQADNVPVKLNVGSYVQGDVVLECLHVDDGPEDEKLMFRVMFNTFFIQSHILLLSFEDIDISWDADHKFTKNFKAEVLFSEFDAESDASTEVTPDDDDMDVASADEFFEAEEIFSNADSQEGLKDADTLSIASTDFGPTPRAEPQKNSPFSNCELDIGIDQSGNNKVNELGLLLETISDEKTCTSTEANKMHSNKTGVVKSSLAATIDGDRNGGISCSSSNKEHGCMLENCSSKHDTSMGSSQDSNQIDNVLVKEVIISETNSPMDIQMIKEVIISELTTPKLVLEGKSMDIELGETVHNSESTTLEEAEDNERLDTFLKQDVGDSGGDEYAAYENDLVIGHEEGSNKQQLSIRDANAEVIGPTDENNRVDLQLSGNSHPQSSSTSSESSSAEKHIEQLHACSSNGTAEQKEGIDTSFTSSQSQSSNTSSVNILPEGSSQSSNTSSVNILPEGSIISLNHVPTSINANTDTTDSSRLVLKKKPFHPLSTSRLFAPSSPRRSLLRAASTDLSFLSPLQTESKQNSVASTSGRDDSASTSVPPPSSLYTPLGSSSQISLVHPPLRPIKTVSSLPSSSFEAYIEMSMSSSISPKHQEHVKPHPPPIQAPWHLHPTLTQEKDVHSGSLLPSSNKYARHPPRPPPLPPRHNSCSQSDSSTLISAHEQTRADRYCSSSPDCRQTVLDLGDSSVTSPSKSSIDTTECLLGSSNFVDEEVASRHDTLTAIDVPSTSEDTKSLLHTVTCSSPPKTLQHSAPPPPPPLPPLPPPLQLPPPAICSDSGSLLPVFSIPPSDCPYKESAMLPEQQSPAPPSCLEGHEASEPCKMEISLSETINEVLASIGDDKEHEGIPIPPSPQKLSWPREHVKPPSPPPPPPPPPCHATLVPSPCLSLTPPSPREHYENPPSPPPPPFSREPPFVAPPPPPLPSRPSPWLIKFHCHHHFQEGVKFCCHLLLRALLPLPPQTKCGGAPQPPPPPKGYAGAPPPPPLEGYARDPPPPSGGYVGAPPPPPPPPPPPPPPSRAYIGALPPPPSPPKAYVGAPPPPPPPPPGGYAGASPPPPPPSGYSGAPPPAPPPPDGYVGAPSPPPPPRGDAGAPPPPPPPPGGYPGAPPPPPPPEGYVGAPPPPPPPGGYVGAPSPPPPPGGYVGAPPPPPLPGGIGGVPPPPPPIGGIGGTPLSPPPAGFRGGAPPPPPPPGGHGGPPPPPPRGHGGVGGPPPPPGAPAPPMPPGVPGGPPPPPGGRGMPAPPGGRGHGLARSLGPTLQSAVRKSSLKPLHWVKVTRAMQGSLWAELQKQVDANSRAEFDVNELESLFTIAPKTKAGSKSEGRGKSLGSKPDKVQLIDLRRANNTEIMLTKIKMPLSEMMSAALALDDSVLDADQIENLIKFCPTKEEMELLKNYSGDKEVLGKCEHFFLELMKVPRVESKLRIFAFKIQFQSQIRDVRKNLQTVSSACEELRSSEKLKVIMKNILLIGNTLNQGTPRGQAVGFRLDSLLKLIETRATNSRMTLMHFLCKSLAEKSPEVMDFHEDLVSLEAASKLQLKALAEEQQAVVKGLEKVELELTASESDGPVSDVFRKTLKEFIDHSSADVRSLSAFYSEVGKSADALALYFGEDPAKFPFEQVATTLLTFVGLFRKATDENLKQIEAEKKKAQKEAEKEANQDKTPVKSKNGNGDKSPRSPSSFK >KQL13145 pep chromosome:Setaria_italica_v2.0:III:2839747:2840220:1 gene:SETIT_025492mg transcript:KQL13145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAISSLPGGTGAGEFSRQPAASRFKQRHDNALSSNH >KQL13989 pep chromosome:Setaria_italica_v2.0:III:8103645:8104801:1 gene:SETIT_024376mg transcript:KQL13989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KNKPYCYRCLTKGHINTQCTTQISCALCVTDTHVTKACPQAKVAKQTASLCGYAVDGLGFYHIPYNGKLKAQPESKAAVVKVIEGSMTANNIAVELERLLPGSSNWVLEEKGADAFTTTPKMEVHDKKESDIYKYEIPKCWVQFRGLSQELRDEVPIIWAIGSILGVTKMVDMRFTKQHGVARLRVALLNPDLIPDLVEVVTGEYVYELQFRVETDGMANNPVPIDMDIDPENGGNSDGETEKGNQNDENNKDQKGSMAALGSGKDNNDEMLSPSTDNSDQNQGKQKPVVVLSPSTDGSNAWTATPMPTLVDKEAGNAAQLEPLHVNANVNITPSCTSKRNVSANDQDSLERAAKLKARKNLEEPQT >KQL15566 pep chromosome:Setaria_italica_v2.0:III:21333164:21334986:1 gene:SETIT_024302mg transcript:KQL15566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQPVIGPCVSDGWRARVAAFLGREVEEQGTRTSGVLISWLREHFGQCPQDADAEIVGHYCRTWILHLFACVLFPDATGDTASWMWIHCLTNWHQAGFYSWGSAVLCFLYRQLCEACRRTSGSPSVGGCVYLLQLWMWSRIPVGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSPYDGDDPLPFPLSIMCATDDDIYRMVCPLICFYAVEYHLPHRVARQFRMRQIWPPQATSTSIELHNVDRKKKQKVSEWPAFHHAYIQEWEQFEQNLDENNEPHTNSAYRQYQSWYQGATRHRLREAWTQDDYADIHSSDDEDTVYDQSTRVGRQVEAGPILDRMGRTLQTSVRDIEHIRPRVRDPEIRSVLERLSNRLRRAAARCGCRTATTRDVHVPSLREGGVGTSSQGPSGSKSIASEEVDDDDDDDDDEQRAEEIGPSQLQEAPLT >KQL14641 pep chromosome:Setaria_italica_v2.0:III:12600525:12609637:1 gene:SETIT_021106mg transcript:KQL14641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGPGVGTPGRPRWGSGATTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLLEDDNIVLRSVEPAAAAAAAAGLGMGASPSSVAPPPPRRHPEPPQASSSGAPSRSRSPAMRRTSSHRLLQFSQELKATASRAKQFSQDLTKRFTRTQSRANLAGDQAAAAPSGIDAALEARAQRRRRAQLDRTKSGAQRAIRGLRFISGGNKASNAWIEVQVNFDRLARDGYLSRDDFPECIGMMESQEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISSTLNYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRNRYVFHVMGYCVTTAKGAAETLKLNMALILLPVCRNTITWLRNTKAARALPFDDNINFHKTIAAAIVVGVILHAGNHLVCDFPRLISSPEAKYAPLHEYFGEKKPTYLELVKGVEGITGVIMVVCMLIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALVIHGECLYLIHDWYKKTTWMYLSVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRIFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKVEEEEEASSDLYPPIGRSKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVFEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIATKHPYAKIGVFYCGAPVLAQELSKLCYEFNGKSTTKFEFRKEHF >KQL14642 pep chromosome:Setaria_italica_v2.0:III:12600525:12609637:1 gene:SETIT_021106mg transcript:KQL14642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGPGVGTPGRPRWGSGATTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLLEDDNIVLRSVEPAAAAAAAAGLGMGASPSSVAPPPPRRHPEPPQASSSGAPSRSRSPAMRRTSSHRLLQFSQELKATASRAKQFSQDLTKRFTRTQSRANLAGDQAAAAPSGIDAALEARAQRRRRAQLDRTKSGAQRAIRGLRFISGGNKASNAWIEVQVNFDRLARDGYLSRDDFPECIGMMESQEFAMELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGHITEAEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYVNYSQALSYTSQALSQNLAGLRKRSPIRKISSTLNYYLEDNWKRLWVLALWIGIMAGLFIWKFIQYRNRYVFHVMGYCVTTAKGAAETLKLNMALILLPVCRNTITWLRNTKAARALPFDDNINFHKTIAAAIVVGVILHAGNHLVCDFPRLISSPEAKYAPLHEYFGEKKPTYLELVKGVEGITGVIMVVCMLIAFTLATRWFRRSLVKLPRPFDKLTGFNAFWYSHHLFIIVYIALVIHGECLYLIHDWYKKTTWMYLSVPVGLYVGERTLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPTFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHVRQLGDWTRELKRIFSAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKVEEEEEASSDLYPPIGRSKAHVDLDTLMRITSKPKRVLKTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVFEEGDARSALITMLQALNHAKNGVDIVSGTKVRTHFARPNFKKVLSKIATKHPYAKIGVFYCGAPVLAQELSKLCYEFNGKSTTKFEFRKEHF >KQL17029 pep chromosome:Setaria_italica_v2.0:III:47714062:47731474:1 gene:SETIT_020940mg transcript:KQL17029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLADYLRARLLAALRPWLAADPAELRVEPGLFARSRAVARGVELDPAALNAAAGAGATFDRAAAAEVELAASPWAAPAIDAVVRGIDVALTLRDPAPKKQRPDYKEWLSKEKKRVLASLDPQGEMLHEMIEGVVNSLGDKFASVFATVLLNCSQVRLHDVTIRVRYLDDSHVLVLRTTDLRFGPELVFRSSLFRGLVGSFIPSRKKNSLLVRCSEFEFLMKENDSVDCSASFTGISASVRLDNLQLAGFGIHVDKACWEISPKFAPSLMVILDITSQKEEFVVRNGRELWKIAAQKLGSSVVRRRFSLGKSVSCATFWRRYVHAYVLLLALVGYPSDKIIARNCGRGSRSRKLWSTVKDQWETVINLEEKIPAEAIARARCAARSKLTVSQQPSKQESSKALLVSSLLKILTPFLYLWRFLVFIWMSVWATVGPGNKASYAHIFPVSTHDVDTELQLSVHLGELSVTLLPVTDRFTDTKRSDKRNKTYQIDLPVNIVMRSSCLLYSAGCTTQSLFLVVGELTACLSGVPKLLQADNSNSPRRSPSFRTAEFTEDADSRILLWSDSASMDLLSRQQANGSFYYNDDLPTDLIKSNMDELWSTWMTISNLYNESGVIHHEKPSVIFEFKYFLIDPYKGISGFRQCRFTVGRLNLDLDYLCASSTYLLYRQFMHHKQLKELTVRSADLSNSAGTYVAPTSGLVDKLRSYDHGMKVAMLGVIPENTLQIVALAAGPRIRLFFDKYNTLQNSKDVYNPLLSQMNSRSIVFSLAYVECALWPASLASPTLMSAKSHAKESHSTFISVKEAQEHHQLQTERSARNVYPGYIVLDGWFVFAGLTLLIDNPEANQQCHIFGPMTANFQISTSRKYFYSFFGVSDIISVKLGARIAGCIGFFCMDELLIVCQLIGSMHLEVLKSDLGNIKYSEDFIGRLASFYKNDIQGSIMELVEHIAQEDKVDPHVELSVEMQLDLESAYIIFSASRDVLFTNPAEFINSFINYISSSPVFGGIATQELLDVLAPGVGICIRSSSMKLLLNGQCTDFLVSLSGIQGVVLENPGEMGIFNDIHQHGDISNGSLHSENQFIISECVFNISVGPMNANLIDEKLQDESRSCCISYLGIWYSIKIEFTEVYVGDYSIHSYLSELSQRNKHKISLLIHDDLQVVKCKIQGGLIFLETVSLAKLVLCCKVYFWLLVNLPLRATSNLVKDSVTPISAGGNYIVTTRDSEREAAAVPLGTNVQSEGSQLNAIKCLDIELCCLSLTLVVADKSGTHQGLTFEVDASLQQINLGMEFLFEVKRLSISTISSICKNANEQLRDVPAPRFRSSKAADLSPQSEIQEYLPFVEADNMDTYDHDAPSSSTSALRSSTDNTSLDFSSHENQILKHFSSYLKIERKKFDGDSSLVHLTGDWSGSGSVSGLEVTMSLSNIEMVSSLLAPFYGIMSSGSTQKEIPSGGITHQAQLDNMDYTIPDGAIVAIRDLNQQMYVSVKNTGNTYQVVGAYHYSLAGEHALFKVKHHKRWRSNIQCISLLSLCAKNDEGKELALSFSKGSDFVEVSSYVDKPCSIWSTLPFRTDNFDDDGDDGKSYKVIPRSSYHLVNKKYNYGIAFVDGLLEFVKKPGNPFKVQIFDESIVPHMSLDNNTYLDVEDDVPFSVRDRLASGASSQHVIINVDKIVFTITHEVFDTDNVFPLVQTCISDIRVVTQIFPSKIRILSSFKVSGQYFDARRNLWHFTFDDNISHDQDVVSICLFKEGVFSTIPISISLHESGIFAWRTRVSPVKDLRSFSGPFVVVKVSRNSEEGLSLSVQPLLRVYNKSDFPIELRFQRPNKTNEEAAFVTVRSGDMVDESTGVFDAMDLSGGSKRALMSLALGKFMLSIRPEISEYSENISQPASVNWSEDITGEKAIRISGVIEKLNYNLRKAFNVDSMKSSFSTLSCPLFANGHHVTDLHFLIHTLGRDVPVQPTNGTRLSERSAPVTLQVQREIFIYPTVQVHNFLQTDIQVVLTDCQQVTLISYGSKSMAVSSSDWVKRMRKQTSGAQYLDMLLEFVPGNFHSSLRLLRQDKGLLEVALFTRYTLHNISDYPLQCTPSHQKPLPASESGMNNINLPPRHGCVLPSMSMNSWFIKSSKLRISLHSEKGSEAIIDLEALSGFTEFFIEIQDNIAPHRMAAFGVSLQPVMYNLPVPSQVVLIVPRYVVSNESGAAIAVRQCFVEHEIDGLTVEAKQRATLQTWKPGKKREINYFDLFVKKHRDVFEDSRIFIQFCPKEPGFSWSGPICVSSIGRFFLKFRRSDGMLTDGIKRDPINDGKLKLFASVDVVQETTSFVLHFTKPPKVTLPYRIENYLNEASIMYFQKDSVESDVLCPQESEQYAWDDLSLPRKLIVRIVDTPALREIKIDKISPWKPFLKMRQNTRLNLDFSFSDGLSSRKQRFDESFGLRVFKIGYEVYADGLTRVLRICEHADNPKIEKIQRPIASLQFRISYVCIHLLDKGQSGENVQLPSTIVTAKLQHVSADSVVTDSFKHGSVAIHSVNVDEKWDGASFGSILRRNKLQDAALDENILRIVFVLNSTNSNVKQIQYCSIILQPVDLKIDEETLMKLVPFWRASLAPSGTPSTQFYFRHFEVHPIKIIASFRPGSRRTTYSSAQEALRALLHSFIKVPEVSNSAVELNGVLLNHALVTFRELLLKCAQHYSWYVLRAIYVTKGSSLLPPSFTSIFDDSASSVLDVFFDPSDGLLNVPGLTIGMFKFISQNMKSGGFSGTKRYLGDLGKTVKTAGSNALFAAVTEISDSVVRGAETNGLNGMVTGFHQGIMRLAMEPSVLGQALMEGGPDRKIKLDHSPGIDELYIEGYLQAMLDVMYKQEYLRVRVVDDQVILKNLPPNSALINEIVDNVKSFLVSKALLKGDSSTLRPLRHLRNEREWRIAPTVLTLCEHLFVSFAVRVLHREASKAIGEVMARAKKPATGGEGEGDSSPSGGVLLKRNRLWTVGRFAVSGMVAYVDGRLCRHIPNPIARRIVSGFLLSFIENRGNE >KQL12901 pep chromosome:Setaria_italica_v2.0:III:1544311:1544969:-1 gene:SETIT_023557mg transcript:KQL12901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVTVIKVDTSCAKCKRKVLQAVSGLQGVDKIEVDSEKGTMTVTGTVDPVDVIVQARKAGRRASVITIGAPPKPAEEKKPEQQQKKADENKPAADAEKKPSADAEKKAPEQPATVFVHHVPSWPACPRYQERVVYEQDPPPCSIM >KQL13948 pep chromosome:Setaria_italica_v2.0:III:7914860:7917127:-1 gene:SETIT_024747mg transcript:KQL13948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPDIYPLTGLQIGDIQSYISRAFLYFAPLSKKVFILVDNQPWLTTKQSRSARLWQFMVTKVTNRVVVAAAAAKSKHQLIAVQLQYRMSPFANSRAKQVPSLAAAAAAASAASGGGESDAMRRWFAVAEDLSRALHGFLVFEVSWRDVHGINYLNELLSDTSLALEARYMKKWEFYSAEQAAGCTHLWFLGRAAEARALRGYLAALHAHSDPSEQLEECGIALRRTCSSSSLSAVSEDDDDVPGGGEPDHSRPRYSSEAEGIVSSPSASARARRARAESPFVAPAQYSDTLILLRFRDSLLPLKLRRIIMSDIRLLTLLESGLPPWVIFFQSYPLLCQLYRPWMRPLARSLYLLASLATLLIGFYDLYKNVPLLKSAAARICGPLFGWIERWDMVTRIQYLGTILFLRNLRKCLQSLAALLRAARAVLRTVAAPLAGALGPVLAACGEVCGLVASGLAPAWALAVDLAEVVWAPFDMVLDSVAGCLCPLLQVAMLPARGAAALAGCAGALLSATYNFGKDIWETMSSIFELNHMSEAQQGALDMSQIKTLWNDLFSQIFRAIRGILNGISVFFASCNRHRLSIYNHAQSRLRHMLRVARLAPTPCRCKHKARRRPGQNKEDDAAAVECDVCK >KQL13510 pep chromosome:Setaria_italica_v2.0:III:4881671:4883310:1 gene:SETIT_024399mg transcript:KQL13510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKRRRADQSSPASTPPPPLRKPKRQPVVVFAHGAGAPSSSDWMVHWKKMVQDALDAVEVVTFDYPYMSGGKRRAPPKAEKLVDHHLGVVKDAASKYQGHPLILMGKSMGSRVSCMVASSDDIDVSAVVCLGYPLKGVNGAVRDETLLQLKVPTMFVQGSKDALCPLDRLESTRKKMTCKNELHVIDGGDHSFKIGKKYLESSGLNQHDVEMEAVKAILQFVQNSFTESCA >KQL14264 pep chromosome:Setaria_italica_v2.0:III:9979338:9980545:-1 gene:SETIT_024024mg transcript:KQL14264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASRAKRSAPWADLQPELLGLVLRRLPSLADRVRLRAVCHWWRRVARLEEPLLPPPLPWVALHDATFVSLPAGEIHRMPPPLPRIDSFARVRRRVMTALFKLVLPSSGHLSPDSLFAVLFSSDGDSTISVGQAATTTTSFWVPRESITDVAFFDGKLYAISRSNKLFVLDIDSSDEGQPRIQSMKRIAGCISNESQSFPKSKSYNYTCWRYLAESGGRLLQIRRLTRVPSTVRGCERSRRPCTVSFDVFEADLTNPCGQWRPVNTLGGQALFVGTHSKSLPASECGAQEDCIYFLSDYDWGYYDKDPFRDCGVFNMRNGMITPMSPKIAAMQTQGNKTVCQEQVQVSWASMEKKTECQGRPAWFFHAEAM >KQL15452 pep chromosome:Setaria_italica_v2.0:III:20328745:20335798:-1 gene:SETIT_022051mg transcript:KQL15452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKRFRGEDKPPTHLGASRDYNVDMVPKFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIYKVPATDMEALKSPLMGLFEKRRARNFFIYVQDYNEADPKTHQGLDLTMVTTRELIAKYGLSDDTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLLNKVRKIGRVVRAIAIMSHPIPNTNESHSVQIILPQKQLGRRSDMYVFCCSYTHNVAPRGKFIAFVSAEAETDNPQSELKPGLDLLGSVDEIFYDIYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLNMYTMITGKTVDLSVDLSAASAAEEY >KQL16023 pep chromosome:Setaria_italica_v2.0:III:27147592:27147852:1 gene:SETIT_025084mg transcript:KQL16023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTERKEKKQEDKARWMEVKAMEERKVIEERKVVVEECKVVIEEEKLQQKIMFVDTSCLDDEQKAFGSAMHA >KQL13386 pep chromosome:Setaria_italica_v2.0:III:4210654:4214046:1 gene:SETIT_022696mg transcript:KQL13386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAEQQLAASRERVAPIAAGPGGGEAGALDPRWKKMAAALRGRMAANREWKAESAAVSSALSLALKTFPRQGTREQLKGLKDQLRDLQSQLSETLSIKSCKESKGKLTTESISDATAMVEGLGNLVADLRDKRDKRTAVISEQLQALEPLEAKSNEDAALWEKIEEAVLWYGKFLGFQIVGRDEGVKFVFNKIDPQSPEKEYSFCINFDKDRYNLLECDPHIKDVEELVKDLNLSDHVVKFLRIIREKFQSSAMNGTLPTSPMVTSVDGRSEDVPNQSHSRSKNKRQSLPAKREATALSAASPGSLRRSLRSRAN >KQL13387 pep chromosome:Setaria_italica_v2.0:III:4210654:4214046:1 gene:SETIT_022696mg transcript:KQL13387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAEQQLAASRERVAPIAAGPGGGEAGALDPRWKKMAAALRGRMAANREWKAESAAVSSALSLALKTFPRQGTREQLKGLKDQLRDLQSQLSETLSIKSCKESKGKLTTESISDATAMVEGLGNLVADLRDKRDKRTAVISEQLQALEPLEAKSNEDAALWEKIEEAVLWYGKFLGFQIVGRDEGVKFVFNKIDPQSPEKEYSFCINFDKDRYNSVLECDPHIKDVEELVKDLNLSDHVVKFLRIIREKFQSSAMNGTLPTSPMVTSVDGRSEDVPNQSHSRSKNKRQSLPAKREATALSAASPGSLRRSLRSRAN >KQL15561 pep chromosome:Setaria_italica_v2.0:III:21310250:21311206:1 gene:SETIT_024616mg transcript:KQL15561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVNLITSEQITLPSVVTIEHVKPIFDKYGVVEKYEYSRHTARKLSHPDRTFDKEVYYEPSIYALAKLREFLRCKAFVFPETSKGSYIVALIHNPMKQLSFARPGDGKWTWLPPYIYYQDCSYKNGLLYAINIVGEIHAFDLSSSVVTRKMIMGMTEKVMWDCLYIVHAPWGDLLNVSRVIDHEDETVDPAVHLLTTTEIKINKEVKCLHDRVLFLGHNQSLCLSAKDHPHLKANHAYFTGNYDLYINGFKNGRRDIGVFNLESSNWDKLVSPEPWSNWPPLMCITLSLAKMKMK >KQL12884 pep chromosome:Setaria_italica_v2.0:III:1456009:1459676:-1 gene:SETIT_022118mg transcript:KQL12884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVVPAMQCQVGAKAAVRARPAAGAGGRVWGVRRTGRGTSGFKVMAISTASTGVVPRLEQMLNLDTKPYTDKIIAEYIWVGGSGIDLRSKSRTIEKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGEPIPTNKRHRAAQIFSDPRVVEQVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGAEKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYLLERITEQAGVVLTLDPKPIPGDWNGAGCHTNYSTKSMREDGGFELIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDTFSWGVANRGCSIRVGRDTEAKGKGMF >KQL12883 pep chromosome:Setaria_italica_v2.0:III:1455295:1459676:-1 gene:SETIT_022118mg transcript:KQL12883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVVPAMQCQVGAKAAVRARPAAGAGGRVWGVRRTGRGTSGFKVMAISTASTGVVPRLEQMLNLDTKPYTDKIIAEYIWVGGSGIDLRSKSRTIEKPVEDPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPAGEPIPTNKRHRAAQIFSDPRVVEQVPWFGIEQEYTLLQRDVNWPLGWPVGGYPGPQGPYYCAVGAEKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEYQVGPSVGIEAGDHIWISRYLLERITEQAGVVLTLDPKPIPGDWNGAGCHTNYSTKSMREDGGFELIKKAILNLSLRHDLHISAYGEGNERRLTGLHETASIDTFSWGVANRGCSIRVGRDTEAKGKGYLEDRRPASNMDPYIVTGLLAETTILWEPTLEAEALAAKKLALKV >KQL16497 pep chromosome:Setaria_italica_v2.0:III:41058289:41060022:-1 gene:SETIT_025042mg transcript:KQL16497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGRASAVLRAARWLLPLVVPACCVVWTILFFAPPTPPALDVGRRESFHVSVGEIVGATARRAAVTMPVPEREGIVHASPRLPERQEIIDTLPPPPPERRARAETSPPPPPLPPPEHELIVDASPPPPPKLEEITGTSPPPPQLARQGRQGRAANRAAAADRCAGRYIYVQELPSRFNADLLRNCRSLSEWTDMCRHVANAGMGPRLTRTGAVLPATGWYDTNQFTLEVIFHGRMRQYGCLTADASRADAVYVPYYAGLDVGRHLWGSSNGARDALAEDLAGWLRSTPAWAARGGRDHFLVGGRIAWDFRRQDGGNWGSRLLLLPEAANMTALVLESGPWHAGDVAVPYPTCFHPSRTAEVASCQRALRRARRPWLFAFAGARRPGGAGGGGTLRDAVIGQCARSRRCGLLQCGRGRRHDCYAPGNVVRHFKSAAFCLQPPGDSYTRRSAFDAMLAGCVPVFFHPGSAYTQYRWHLPADHARYSVFVPGDGVRNGTVRVEDVLRRFSRAEVAAMREQVIRMIPSIVYRDPRVPGDGFRDAFDIAVDGMIERVSRIKRGLPPWEEDRDQRRWDGYFDS >KQL14522 pep chromosome:Setaria_italica_v2.0:III:11749943:11753097:1 gene:SETIT_022590mg transcript:KQL14522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKESAYYDVLGVSVDASPAEIKKAYYLKAKLVHPDKNPGNPDAAQKFQELGEAYQVLSDPGKKEAYDKYGKEGIPQDNMIDPAAVFGMLFGSDYFEDYVGQLALASIASVEIEENANSQEARAKVQEKIKELQKEREQKLTQSLKDRLQPYVDGRKDEFVSWASAEARRLSQAAFGEAMLHTIGYIYVRQAARELGKSRIYMGVPFIAEWVRDKGHHIKSQVNAASGAISLIQLQEGMKKMEGSEDNEEQLMKSFEEKKEAMLGSLWKINVVDIESTLSHVCQAVLKDNTVSKDVLKLRAKALKKLGTIFQGAKSLYRRENSLRVETSTDQQATPSQ >KQL14523 pep chromosome:Setaria_italica_v2.0:III:11750089:11753097:1 gene:SETIT_022590mg transcript:KQL14523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKESAYYDVLGVSVDASPAEIKKAYYLKAKLVHPDKNPGNPDAAQKFQELGEAYQVLSDPGKKEAYDKYGKEGIPQDNMIDPAAVFGMLFGSDYFEDYVGQLALASIASVEIEENANSQEARAKVQEKIKELQKEREQKLTQSLKDRLQPYVDGRKDEFVSWASAEARRLSQAAFGEAMLHTIGYIYVRQAARELGKSRIYMGVPFIAEWVRDKGHHIKSQVNAASGAISLIQLQEGMKKMEGSEDNEEQLMKSFEEKKEAMLGSLWKINVVDIESTLSHVCQAVLKDNTVSKDVLKLRAKALKKLGTIFQGAKSLYRRENSLRVETSTDQQATPSQ >KQL14524 pep chromosome:Setaria_italica_v2.0:III:11749943:11753097:1 gene:SETIT_022590mg transcript:KQL14524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKESAYYDVLGVSVDASPAEIKKAYYLKAKLVHPDKNPGNPDAAQKFQELGEAYQVLSDPGKKEAYDKYGKEGIPQDNMIDPAAVFGMLFGSDYFEDYVGQLALASIASVEIEENANSQEARAKVQEKIKELQKEREQKLTQSLKDRLQPYVDGRKDEFVSWASAEARRLSQAAFGEAMLHTIGYIYVRQAARELGKSRIYMGVPFIAEWVRDKGHHIKSQVNAASGAISLIQLQEGMKKMEGSEDNEEQLMKSFEEKKEAMLGSLWKINVVDIESTLSHVCQAVLKDNTVSKDVLKLRAKALKKLGTIFQGAKSLYRRENSLRVETSTDQQATPSQ >KQL16815 pep chromosome:Setaria_italica_v2.0:III:45478484:45479603:1 gene:SETIT_023920mg transcript:KQL16815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQRSSSQKPEAEQVQQQPAASVDSQKRQEQQRKAVAAAHMNQPYHASSYDEMVLMVSLDSITKIM >KQL15572 pep chromosome:Setaria_italica_v2.0:III:21385651:21388661:1 gene:SETIT_022439mg transcript:KQL15572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQTPAAVLLNENMQIHKGKRAEAPSAKPLKPSAKPVLQERKALQDVSNIPKGTALNNRSAVKERSILKDKFTLKDRSILKERPALHNVSNTLKERSILKQKPALGSHEAIKNPVNVFADEETKKCHEWAKDGVEGTNFTGNDSQKLDKDMQDKRVQKKVVKVMSAFNGWSDVVFDPVMFPAKEVANFSEEVKELELEPEILPDNTRCLFTSGKRADAPTAKQLKSSVKPRLQERKALQDLSNTLSGRKDHQDISNTLKEKSILKERPSLRRHEAIKNPLKIFAVEETKKCHEWAKDVVEGTHLTGNYPQKLDKDVQEERDKAQLAEDSSTDDELDEYPFLDNNPVTFELRDEPGIPELRAN >KQL17019 pep chromosome:Setaria_italica_v2.0:III:47655902:47658365:1 gene:SETIT_024423mg transcript:KQL17019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEKGCESCRKWQEHYYWEHMDVTKIRFFKLMTGDFSKGISIPDKFAKNFKGQITRGFELKAPSGETWHMSVEKRGDELFLMSGWEDFVKAHELQENDLLLFTCCGNSSFQVLVFEASGCEKASSLFGSRISPDMCKHVNDIAGQHGEQHFSVSDSEDTTTPSQHIGSYQNASSSKKSCGKTKPSESEYPNTSNFVAKHPAIGEEDSDDEYAKSNCYYSMFANRLRDDIKEEIIGLASIRLNNPAFVTVLMKKHVQRRNNALIIPSRFAADHLEERAHDIILRRPNRKEKWLVSYYYSHYMRSFRNLAFFKFVHDNKLREGDICVFELMKGKRRVTMTIHVIRNANGRFILVG >KQL15815 pep chromosome:Setaria_italica_v2.0:III:24231149:24235948:-1 gene:SETIT_021785mg transcript:KQL15815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLLIFLPRLLLLLLSSHAATAFRFTVDDFPDGFTFGSGTAAFQYEGAIAEDGKSPSIWNTWAHSARNPNERSGDIACDGYHKYKEDVKLIKDIGLKAYRFSISWSRLIPNGRGAINPKGLQFYNDLINELVKEGIQVHAVIYHLDLPQILEDEYGGWLSPRIVDDFTAYSDVCFREFGDRVAHWTTILEPNIIAQGSYDMGAVPPGRCSNPFGVGRNCTVGNSSVEPYLFLHHSLLAHSSAVRLYREKYQAVQKGVVGINLYSLWIYTLTDSAEDIQATERANDYLFGSILHPFLFGDYPESMKKAAGTRLPSFTSYESELVTGAFDFIGLNHYSSIYASNNPDASKMPVRDQAADVGALFRATRDGETSTLIPSGRVVDPQGLEHALRYIREKYGNISIYIQENGRGQLSDDLMDVERVDFLKKYIASTLKAIRDGANVKGYSVWALMDLYEIFGGYKAYFGLIRVDFWDKRRQRQPRLSAYWYSDFLKKNASIQVESGAATTTYHAQI >KQL17166 pep chromosome:Setaria_italica_v2.0:III:48683007:48683565:-1 gene:SETIT_025429mg transcript:KQL17166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKTPHLGSGTWTAPAAGTSMVSPSAAFPAPAMAGPPRSTAPAVVVSPPRAPGSLGLTWNGLNSDAHRLSCSCRVAPT >KQL17399 pep chromosome:Setaria_italica_v2.0:III:50236412:50237497:-1 gene:SETIT_024255mg transcript:KQL17399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISNAAVLCLLLFSAGQLAAASKSFGGGGGGYGEEAGGQHEESEVLAQLPRLTPEARPVNNNYKAPEKAWSHSHGPEDESPTPAAPSKSSSTPPAVAAWSYSYGPEDESPTPATPSKSSSSSTPSTGGKASSSGGSSSTPSSTTTPAGAGYGLDPAGEPEYGLNQKAIDDILKEHNAFRAKEHVPPLSWNATLAKFSQQYAEKTLKGPCKMVHSTSPYGENLMLGTGGITWKTTVDQWSDEKRSYHYGSNSCDAGKMCGHYTAVVWKGTTTVGCGRVKCNNGDTMIMCSYWPPGNYDGASSF >KQL16579 pep chromosome:Setaria_italica_v2.0:III:42624342:42624791:1 gene:SETIT_025533mg transcript:KQL16579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKMMDHRAFFSAAPFLCHADEFHPPLFA >KQL16580 pep chromosome:Setaria_italica_v2.0:III:42624252:42624762:1 gene:SETIT_025533mg transcript:KQL16580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKMMDHRAFFSAAPFLCHADEFHPPLFA >KQL15880 pep chromosome:Setaria_italica_v2.0:III:24958365:24961432:-1 gene:SETIT_023341mg transcript:KQL15880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLLLHTKLAAFVFSLSLLLSSALGARVVCEQLAAELCAFAVSSTSRRCVLENTHCAGRPTAYQCRTSEVVVEDGRLAGTVETDRCVRACGVDRATVGISSDSLLDPRVAGAVCSPACLQGCPNIVNLYANLAAGEGVAFSELCEVHRANPRRAMAQLQSSGKAHGASTTVRLDAAAPSPAPAPSESA >KQL16501 pep chromosome:Setaria_italica_v2.0:III:41093219:41096395:1 gene:SETIT_025344mg transcript:KQL16501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILAPLCWPLAVAGPADAARRRKRTAARGKLVAAIAQLPNRFLSGQDARVAPGIFRKYVTMLEFDRFHMDYRLNEVNPFTCYGRLSDQIDVDFYSTVEGSRLKFIADHQKELRYESVQGIADAIDKGFTDADSVGGRVVVPASFTGGRRYHVMNYQDAMAICGVFGAPDLFVTFTCNTKWKEIADALRYEPGQQPSDRSDLIDNSACCCSFFVVILYTVEFQKRGLPHIHCLVWLADARSEFSASTIDGFICAEIPDINVDPLGYALVDEFMMHGPCGDANKKCPCMKENKCSKNFPKSFQDETIVDDFGFTIYRRRNDGRHFVKNVRLDNRNVVPYNMKILKKGRDRAKIYFEVTAKTSNASAGPQMAPRNEIQEYIDARFLSSCEALWRAFEFDIHYRMPPVERLCAHLPGMNHVRYEPTMLTAWFHANAKHEDARHLTYCHFPKKWTWAASDRCWQKRVPCAKIGRMYYVHPIAGELYYLRMLLMIVKGATNYADVRTFNNRVYKTFRDACEARGLLESDDEWNLLFDEAIVSASSHQLRHLFVTVVLFCSVSDVRALFDKYWLYFTDDIHKGLRNALGNPHYIDRGTLLDVMLWSERATSFPAEDVHRDGQTSPQVVIFVGTPVKSFGCMSLSGGSSCKWYMNPDVPEAKKLMA >KQL13341 pep chromosome:Setaria_italica_v2.0:III:3915130:3915946:1 gene:SETIT_024190mg transcript:KQL13341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKISLKLLVNNKTKKVLFAEAGKEFVDFVFSLLTLPIGAVAKLVSAGTMHGSVGRLYQSVEHIGASYLQPGTDRSDLLQPKVLYPDARELLLLQGGGGGEPALARFRLSTCAGYCATATMEAKATCPQCKLVMSTEVAFVLPSSAAAAAGSSSSSDDSGGYVKGVVTYMVTDGLEVTPMSAISSITMINKFTAGKDVELAEKFVTVGTDEGLALLKAALRSDTVLSDVFLARKK >KQL14246 pep chromosome:Setaria_italica_v2.0:III:9864753:9867974:-1 gene:SETIT_022695mg transcript:KQL14246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGRFANSTDPRSGLEVVRDWNGVAQVVLRSPKGASARVSLHGGQVVSWRNDHGEELLFTSSKAIFKPPNAMRGGIQMCFPQFGYSGTLERHGFARNRIWALDDEHPPINHNDNASKVSVDLILKPSEDDLKCWPHCFEFRLRVSLSKVGDLSLISRIRNVNGKPFSFSFAYHTYLSVSDISEVRIEGLETLDYLDNLSHKERFTEQGDAITFESEVDRVYVSSPNVVAVLDHEKKQSFVIKKEGLPDVVVWNPWEKKSKTMVDFGDEEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVSSTNCSDHLDHPVSI >KQL12921 pep chromosome:Setaria_italica_v2.0:III:1660078:1665656:1 gene:SETIT_021170mg transcript:KQL12921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSKNGCLKILVCAGSGSDPSAGSDADADEHPDENKAISDKSRWSFRRRSTRHRVLKNSDISEPETLSSSKAKAEITPSNNVYTSTYSYASEKPLHQDKPDEKILHEEKSEEKPLYQEMSDEKLMDKPIEKPVDKLMEEPADQINEKSIEQPAEETTETPTEESVEKITDAPTEVPAEKISEAASEDPAERIVENSIEETPEREVEELIEKPTESISVSSTVPKQEETTSLVEGSSADPEEDHMESAAAALQPGCGTDFARQELLNQKDLVKLQAVIRGRLVRKQASESLHCLLAIVKIQGLIRARQAQQSGGKVQETIVHSSGERLLRNGFALKLMDSMPTPKSVHIKCDPSESDITWKWMERWTSLIPPISGENLPEHRENGELMGENVKEDAQHDDEVVPLDSDLSFPKLVPDDVKETLGTSDSSALEAPASIPDESSEVEIKRDPEPELIENIDRDAEQVTDQKTENPVDEFLMSSDQQSSQADASSEPIPLPEKTESPNDDSGDAYSSEKTLEMEGKRSVGRKLCNPAFAAAQLKFEELSTNSTISRSSSSSYLDGASKSRVHTPRPEEDYSSKQDNDMGLPESSVAHDAKMIIAASECGTEISISSTLDSPDRSEGDGGEIVLEIGAMENRNYVPDKANKDDSIVHSEVKNAHEVEAQPQEEEQQNGHVSDPEVEAQAQEELIQELHVEPENSDLHDHLEKPVESYATPEGTPMSRATVPESHGTPSSEVSVNTKKSRSKKPKSHASKRSLASPSSDSVGRSSTDNFSKESRRAKRENSSKAAKSDHVDQEPRISNSNPLPSYMQFTESARAKASASASPKMSPDVQDSNPRKRHSLPMTNGKHDSSPRMQRSSSQAQQNVKSNSAVPHNSSDKRWHI >KQL13148 pep chromosome:Setaria_italica_v2.0:III:2843860:2846198:-1 gene:SETIT_022428mg transcript:KQL13148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSPASAAPRQELPVEVVSDEEMALIDAALAAAAAAGARPLLSSAARRAAAPLSCAAYSAAGGDIEDSPLPRRSLLARFRERRALAVTDITATEWCEKQMEFVLEHGKPERTEAMKAGSDRHAQLEQEVVERVDVTIKSAEEFWAVKFMNFIMGTNQLMFEGITRELPVIGVVEGSWMVGIIDEIQMPMDGISFQPILVDTKTRVRPTVPSEAQKRNGRLQLMCYKYLWDNLIAERFPADNFFSYFGLDPNYLLSDDVKQYISTLGFDAKTFEDVLNYYKVTCHTLPRSQELLFLRYELQADHSLLEEYQFTYDARWFKDQIQQVLGFWQGSREPKFVTEEERWKCSFCKFASKCPMIASISRC >KQL15185 pep chromosome:Setaria_italica_v2.0:III:17680575:17683619:-1 gene:SETIT_022879mg transcript:KQL15185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRPGYLTLPIFSALAAIGYVYYTAVFLAVPRWLGLATAAGVANAAAFTALAAACLATYAVAVRRDPGRVPPGFVPDVEDAESTVHEIKRKGGDLRYCQKCCHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFLVFVLYAVVASFYALILIIGSVLHSVPKDEQSGSDSSRSSIIICGVILSPLALALAVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGSNIFCWLCPVSNTVGNGLRYPARTSLF >KQL15184 pep chromosome:Setaria_italica_v2.0:III:17680226:17683818:-1 gene:SETIT_022879mg transcript:KQL15184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRPGYLTLPIFSALAAIGYVYYTAVFLAVPRWLGLATAAGVANAAAFTALAAACLATYAVAVRRDPGRVPPGFVPDVEDAESTVHEIKRKGGDLRYCQKCCHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFLVFVLYAVVASFYALILIIGSVLHSVPKDEQSGSDSSRSSIIICGVILSPLALALAVLLGWHIYLILQNKTTIEGLERCGWQKRVEISIIIHMTLVSMRILFQFWGLTYSAGFALYRIL >KQL15186 pep chromosome:Setaria_italica_v2.0:III:17680661:17683619:-1 gene:SETIT_022879mg transcript:KQL15186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRPGYLTLPIFSALAAIGYVYYTAVFLAVPRWLGLATAAGVANAAAFTALAAACLATYAVAVRRDPGRVPPGFVPDVEDAESTVHEIKRKGGDLRYCQKCCHYKPPRAHHCRVCKRCVLKMDHHCIWINNCVGHENYKIFLVFVLYAVVASFYALILIIGSVLHSVPKDEQSGSDSSRSSIIICGVILSPLALALAVLLGWHIYLILQNKTTIEYHEGVRAMWLAEKGGDLYHHPYDLGVYENLISVLGSNIFCWLCPVSNTVGNGLRYRTSYDIPISTQPL >KQL17281 pep chromosome:Setaria_italica_v2.0:III:49485296:49486876:-1 gene:SETIT_024005mg transcript:KQL17281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGMAELGTRQALAKRFARPTPRAASSALGYRGARRSQALPKLVSSGIMN >KQL16599 pep chromosome:Setaria_italica_v2.0:III:42833120:42836988:-1 gene:SETIT_021190mg transcript:KQL16599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFTPSQAHAASHHPAHHHSASAAAAATATARLHASAPPASASAAAAALCPPHLLAAASTASSSAACPPAHNPIFVGPGAPWVQQPQRAAAAAAALGPEFRRARTTRTISKRTRGGGGGAGAQDRWRASSAAAGRCVDKLLRLAPEDQRALSAALSSFRGELVAPEDYCQVLRELGDRDKSAYRAYEVFYAALPLVGGGAVEKGKLLTAAIGALGKIGRPDLARRAFDSGIAGGYGNTVFAHSALISAYARSGLATEAMGVLESMKGAGLRPTTVSYNAVIDACGKGGVDLRLTLGYFRQMLQDGLCPDRKTFNSLLAACSRAGHLEDARAVFDEMIHLGSGRDIYTYNTFVDAICKCGNMELAMQVVLDMEAKNVKPNVVTYSTLMDGFSKLEKYDEALKLRGKMKSLGIQLDRVCYNTLLAIYVKTGKYDEIATVCEEMESLGIEKDTVTYNSLINGYGKQGRLDMVALLVQDMRAQGVAPSVLTYSTLIDIYSKAGMHGDAFNVYLDFKESGLKADVVLFSSFIDTLAKNGLVECALSLLDEMMKMGIKPNVVTYNTIIDAFGKSKILPEEDPEIADLGIVGVYGGQIVRAANPATRGWRSAVDARMRRSQELFFILELFQKMVQQGVRPNVVTFSAILNACSRCNSFEDAALLLEQLRLFDNFVYGVAYGLLMGHREVWSQARSLFNQLGRMDSPTSSAFYNALTDVLWHFGQRQGAQQVVLEGVNRRVWENTWSEFCLDLHLMSCGAAQAMVHAWLLNVRSIVFEGRAMPEFLSILTGWGKHSKIAGSSTLRRVIEALLLSIGAPFQVERFNIGRFVSPSAVVAAWLRESGTINILLLRNERVQHANPPNLVPRLQALQL >KQL15507 pep chromosome:Setaria_italica_v2.0:III:20973894:20976827:-1 gene:SETIT_024644mg transcript:KQL15507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKRPYKVLHSYAERRYIVVCEKESCPWRVCAKKQKVTGKWNITKVVGPHNCADHELRMKHPQLTSTLIAKRTIIRTVEELYGGYVISYGKALRAKQRAWKMIYGDWEAGYEQLPVLFNAIKVVNSCMHYEYIPKPNEWKDMRQIFFRSFWCFPQCVKAFRHCRPIFSIDAISCDVNNNLVPLVFALVERENNDSWGWFLRLVWLHVVGPGREVGIISDTHQGILNAMREQIEGYAPLHHHWCTRHLAENLLRKDGVKDNFELFQDAARQLEDRAYDVGGWRYEFQCSNMVESFNKLLLGIRAMPVNAIEHLDKAKERAATHEVICFDLGTGNYQVEQRGGTTSDGKVRESRMHVVVLRDFTCTCGKPRQYHFLCSHLVVAAMHRNFDIESMIPHEFSADTLVHTWSPRFVPFRDPREWPPYDGPKYIADLAYRWNKRGSRKRMRHRMVMDQIPRRTRRGRATPFLTDPEQNECSKWRPETHTFHLPFGEMTVTLEDCQKMLGLTIRSNVVTGSCRSDGWREHEQTIGNYCRAWILHLFAYVLFPDATGDTAWGSVVLGFLYRQLCEVCHRFASSASLGGCVYLLQLWMWARLPIGCPEVLSCREWFLGQPPRQQPTWVYLWDQVRVPHTRLERAYHNFITNYCVYVLLWTFIMDFVYFHYELCRLSL >KQL14811 pep chromosome:Setaria_italica_v2.0:III:13998939:14001125:-1 gene:SETIT_024030mg transcript:KQL14811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSRAAIRGIRESLSTVQGSLLRLEVVVLLSALILAVLVLYGSANRRSSDKLLRGAMWMAYSMSYVVVSYAVGIIQDGPFRGEAFVLWAAALLLIQASAYSAPVHSRRDVDQRKKLLLQHVLQTGLVLWLIANATGRNASYRAAIWAFWALNVLKTAAKIAEMIETSRPDMSVKVVADYMAVEEDSTSGDDQPPDPATMRGYRYIFHGEEVMEPVVHDGVRHARDNMLSQSTDMSVVTIDQVYRWIDEQPYSDFEKDRARDFCLAFALFKLLKRRFYGYVPAEAGSDKARDLVLIGLIHAGATGPDAAFRVVEAELAFLYDFFYTRNIVLLGARTYICVAVAVAGLTMWTAFFGTLGPGYHRPHLGVRDLDRAVTVLVVVITAGLEVFQAVAGFSSNWRYVKTVYRCVRDGRPWSKRRRSHLWWKESITPPTTRYWEEKAGQYVLLKRFRHRPWNLLSWLTLYLVEPRRQGQKRGRRKPLPPEVRRAVLLSLKHSHCQLSNGVRALRKHHLLPRLAWACRLPRLTDQILAWHVVTTACEWYYDGGRPAGRATAGDEHHRLTATMLSNYCAYLVAFVPEMLPDPSNNAEQIFDSAVQQAGDHFDGCTTASDILHRLEQIEAAELPCVQEGGTYESAGSATVIERAAVLWGQLKWAVPSDARRWEVLAEFWTEFLLFLAPSDNVDIHAEMLGAGGEFMTQLWALLSHAGVLERPPVMHRQDGLSGMLDFP >KQL15477 pep chromosome:Setaria_italica_v2.0:III:20593030:20597368:-1 gene:SETIT_022815mg transcript:KQL15477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAARPTLALLASASSSLGAGRRARARLAVSSSLAASALPRLRGRCSLAAAAGGAVMGKAGPGAVDADAGMDAVQRRLMFEDECILVDEQDNVIGHESKYNCHLMEKIEAGHVLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENCVGVRNAAQRKLFDELGIVADELPVDQFIPLGRMLYKAPSDGKWGEHELDYLLFMVCDVKLNPNPEEVADVKYMNRNQLKELLRKADAGEDGVKLSPWFRLVVDNFLMSWWDHVEQGTLQEAADMKTIHRL >KQL15134 pep chromosome:Setaria_italica_v2.0:III:17320657:17323041:1 gene:SETIT_024787mg transcript:KQL15134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGKWFDTVQRILSASEPDPAETDAKKAAKQRDKPSFKKIWQFGRSNSSGASTSAAQAPDREAHQPQPPPSPRPDQQQAEEITAEAQHAETSCDEGDVRPTEVASKAGAGAAEAAAAARPTVTTPRVWTARSKEDIAATRIQAACRGYLARRAHQDRGMARLMSLVEGFTVKRQTEEALYCMQTMTRIQTQIYSRRLKTEEDKKALKGQIKVKQSMDKTKIGEGWDHSLQSKEQMEAVMMMKHEAASRRQRALSYAFSNQVVSDERWLFRMCVFRSSPLNSVSALIPTQWRNRNPSSARAAPAPMFMDPGNPNWGWSWTERWMAATRPWENQTAVPDNGRAAPKSAGRMPRVAVSIQIPTTTTPKGRSRPPNWPSLPSPSTPPPRSPSLSARTAVPASPRSGTLHSSSGLQPVRRPRSSQELSVSSPRRAVPASPRGGGGSGTPLHASSGLHPDRRPRSSQELSVSSPRRAVPSSPRGGGSGSPLHASSGMQLERRPRSSQERAVSSPRQGAKAAPLRRTTSLRAELPRRLSLGSATAAVAAGDDEGAPLTPSYMQPTRSVKAKARCASPSASAAAEMFDATESGPPPLQVTSPSSAKKRLSLAFVDKPSASSPSKAAAERVTRRHSQPPSPRMSRT >KQL14617 pep chromosome:Setaria_italica_v2.0:III:12393329:12394729:1 gene:SETIT_024985mg transcript:KQL14617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPFSSSRALATALCFLLHLSLQAAAVAAAMDPAEREALLRVMEAVSSDRDWREAAAADPCSSPWPGLECKPAPGGGSGAAALMHVARLDFGVPPNPTCKDTATFPLGAFTLPELRALFLVNCFKNPDAITAFTLPPPVNLSASRLQQLSVRSNPSLSGTLPPQLASIRSLQVLTVSQNALIRGEVPQGLGELKNLVHLDLSYNSLTGPIPSRLGELRGLVGLDLSYNSFSGPIPSRLGELTQLQKLDLSSNNLTGGVPGTVTRLKALTFLALSNNGLRGRLPAGLSDLRDLQYLIMENNPIGVPLPSEVGNIARLQELRLANSGLSGSIPETFGLLSSLTTLSLENNNLTGRIPAGLSRLKRMYHLNLSKNGLDGVVPFDGAFLRQLGRNLDLSGNPGLCVADRTVVPDVGVGVCNADAVACKTSAAAGSSVGRVVRGEGTRGRWPAAQLLRPAAVALCCCLLL >KQL14640 pep chromosome:Setaria_italica_v2.0:III:12594156:12596500:1 gene:SETIT_023652mg transcript:KQL14640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRNAFPGNRPVPAKEGLASIL >KQL16510 pep chromosome:Setaria_italica_v2.0:III:41127760:41133804:-1 gene:SETIT_021058mg transcript:KQL16510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESKRFDTKSPGCLEGLFNFLALNQRLQMPKMIAYRKHSEGSNNTLRVKVEKPKNRSEKDEAIPKEANSNSPTGKARTFIWRTLMFKKKTPEKDQKKSSSPASTPSSSRLRRSRSIHHSKCFDYEVPDDLAAHYHTTNNSSSNEMGSCHSELSPLSHESPQHPSTQENCRSCGSIGGKDSIDLEAPCETASGDLTAESEPPSMQKNRDAPTHHSKEFLDFLELFNAHRELFLKILHDPSLLTTAEQQGQEASSSGAVPLNRLESFPRPGGSSGKRNPIFDRSDSEKSRRSELQSSPSRPNADLEAAKVITTRIPSGVDGSAVSLAESRSLKKAGTTSNHFKAISRKIKDVVKENRKELARITKDGVFHRLPYGQKMSELTRSPSTEKFVQEEKQIRRSYSIAESVDKYSTLYESISRDSKVSPERLSITMGGNESLKDKKLPLGFKRITSLPEMRLCLAHQGGLSEVSDSGIGPKTCNVEPDRSSSHRTDAFSICEEGNFPDDVTERSGNIHSQATLDYFRIDCNPGITFSLQETTCLPSHILLYNQFIDCNPGITFSLWKRLLAFQTIYSYIISSWGSNNTTHTLYTILRGITSLDSNLSLRLSLPRTTPREINLCWRQRPRRMRGRSLDPSRRRGQAAAVADSSHRATSRRLQYPLRDAILAAPYRASSSPVLPFLLRHRYRCRERERSAAEEAREGARLPRWKEVLLPCASPPSAQVPLCAVGGVGPHHYPAIGLALCCCLAPHPEGLNCCMPASFCYSEPPFENFQLEDEGWLVKPAESPGAYAANFKDDEWLVTPLKHSSVLNGIDHEDEEWLVKASQLSGAKAADLEDEEWLVKPGQPITNDALDSDFQFIHEFAEQGAREPLHIYVSDKNEADFQYVKDILKKSGFSCGDVDWYASNQPVSPVVFEEAECSCQELSMASDEPQSIVRRMLLFDLINEVLLDIYDSSLVIGPWHSRFDLRTRPIPMGSHVLEEVWAKVSCYLGLHWREGQTVEDIVAHDLMRKDNWMNLVYDAECTALGIEDLMVEDLLDDVVIQIVLESIDE >KQL14556 pep chromosome:Setaria_italica_v2.0:III:11994027:11997256:1 gene:SETIT_023131mg transcript:KQL14556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEATPDNEVFSSNDEMQELWPLGEVDPKRARFPCCIVWTPLPVVSWLVPYIGHVGIAREDGTVLDFAGSNLVSVDDLAYGSAARCLQLDMKKCCFPANLAAHVCARSHEHSEAGTAVSWDDALRSEARRFEHKCYNLFTCNSHSFVADCLNRLAYGGSVGWNVLNLAALVWLRGRWLDRTAAVRSFLPFAAVSCVGVFMAGWSFLLGMAAFSLLLLGWFVLGVYCLKGLVG >KQL14557 pep chromosome:Setaria_italica_v2.0:III:11994184:11997256:1 gene:SETIT_023131mg transcript:KQL14557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEATPDNEVFSSNDEMQELWPLGEVDPKRARFPCCIVWTPLPVVSWLVPYIGHVGIAREDGTVLDFAGSNLVSVDDLAYGSAARCLQLDMKKCCFPANLAAHVCARSHEHSEAGTAVSWDDALRSEARRFEHKCYNLFTCNSHSFVADCLNRLAYGGSVGWNVLNLAALVWLRGRWLDRTAAVRSFLPFAAVSCVGVFMAGWSFLLGMAAFSLLLLGWFVLGVYCLKGLVG >KQL14558 pep chromosome:Setaria_italica_v2.0:III:11994116:11997256:1 gene:SETIT_023131mg transcript:KQL14558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEATPDNEVFSSNDEMQELWPLGEVDPKRARFPCCIVWTPLPVVSWLVPYIGHVGIAREDGTVLDFAGSNLVSVDDLAYGSAARCLQLDMKKCCFPANLAAHVCARSHEHSEAGTAVSWDDALRSEARRFEHKCYNLFTCNSHSFVADCLNRLAYGGSVGWNVLNLAALVWLRGRWLDRTAAVRSFLPFAAVSCVGVFMAGWSFLLGMAAFSLLLLGWFVLGVYCLKGLVG >KQL15254 pep chromosome:Setaria_italica_v2.0:III:18319917:18320352:-1 gene:SETIT_023818mg transcript:KQL15254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQAPSSDVVPRRTNNCQATPAVAVRYKQPTRQKCNNPWPPPKPQSPPRERSNPRQACHLAPCRLAAPQARCGEAAATDYSLP >KQL12947 pep chromosome:Setaria_italica_v2.0:III:1798648:1801579:1 gene:SETIT_022785mg transcript:KQL12947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLGSPAVSAPARASVDHAAVANSHPASTGKTRMCTKYNTVEGCKFGEKCHFAHGERELGRPAYMSHEGPPMGGRYGGRPEPPPPVAMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVAGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVSDLIASISASMPPKAPPAPAGGRGGAPGGRSNYKTKLCENFVKGTCTFGDRCHFAHGENEQRRGAA >KQL12948 pep chromosome:Setaria_italica_v2.0:III:1798648:1801579:1 gene:SETIT_022785mg transcript:KQL12948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAAAARKRSRPETANGVAAGGKRSKETESFQTGQSSKSKPCTKFFSTIGCPFGEGCHFLHFVPGGYPAVAKMLNLGSPAVSAPARASVDHAAVANSHPASTGKTRMCTKYNTVEGCKFGEKCHFAHGERELGRPAYMSHEGPPMGGRYGGRPEPPPPVAMGPPAGNFGASATAKISVDASLAGGIIGKGGVNTKQICRVAGVKLSIRDHESDPNLKNIELEGNFDQIKQASNMVSDLIASISASMPPKAPPAPAGGRGGAPGGRSNYKTKLCENFVKGTCTFGDRCHFAHGENEQRRGAA >KQL14345 pep chromosome:Setaria_italica_v2.0:III:10681578:10682073:1 gene:SETIT_025747mg transcript:KQL14345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQRTNLIQKIYQWGDSDDFPAKVNCYYFRSIRITMQSE >KQL15232 pep chromosome:Setaria_italica_v2.0:III:18008067:18010621:1 gene:SETIT_022457mg transcript:KQL15232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTECSDLGEEFWLPEEFLDDDFFSEEEKAAVAARSESDEEDSLAGLSRRLAGLLGDVGERKPPAKAEVTVGSPQSTLCGLPKSGQESPNGGASKGTSPPSSPLEQRPADPWELLYEAAGQVARMRAVANSIPVPTNGYGFNGHGGFAPPARKPSPPPPVAPPATKAPAGGYYHPLAHLVSQRQMQAAQFHLLKQQQLLRLQRERQLTAAWSARHGAGPKSVGCGGDAPLCLNPAAWPPLQKPQQHHQAPAPPAGGMRAVFLTPPGAKRERNGTGVFLPRPAGAPAEPKRKTGCSTVLVPARVVQALNLNLDDLGAQPRYPGGFVLDHDALISRSNAMLASQKRRAAAEVASPALCHSS >KQL13239 pep chromosome:Setaria_italica_v2.0:III:3366015:3367025:1 gene:SETIT_025196mg transcript:KQL13239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSTWLPFAMAALVVASVAHASPPAKLKVGFYKHSCPEAEEIVRNAVRRGLARDPGVGAGLIRMHFHDCFVRGCDSSILINSTPGNRAEKDSVANNPSMRGFDVIDDAKAVLEAHCPRTVSCADVVAFAARDGAYLAGGIEYQVPSGRRDGRVSIEAEVLNNNVPAPTDRLRELIESFKRKGLSADDMVTLSGAHTIGRSHCSSFTQRLYNFSGQLGKTDPSIDPAYAEHLKKRCPWPSSDDQMDPTVVPLDPVTPASFDNQYFKNVLSHKVVLTSDQTLLDSPWTAGIVKFNSAVEKAWQLKFAASMIKMGKIEVLTGDEGEIREKCFVVNPHY >KQL17038 pep chromosome:Setaria_italica_v2.0:III:47782401:47783149:1 gene:SETIT_025575mg transcript:KQL17038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVVWLESMHARGGHAGWWADLLSDADFIYFHQPSAPAGCLVVDLVHCLLPLAPSIS >KQL14157 pep chromosome:Setaria_italica_v2.0:III:9225501:9225905:1 gene:SETIT_025117mg transcript:KQL14157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVVFIFKLRSRRFKYCRCDEFGTRLHGRGASGLVRVTPLGRELILSKELRLITETYYKIPGMHGWDAYRQHLPSIRAHVATVSAALGRYQARRAERGVFQLVPVVNSVRFRVLSPLLDALPPPARAEALRSEE >KQL16276 pep chromosome:Setaria_italica_v2.0:III:35829387:35833137:-1 gene:SETIT_021242mg transcript:KQL16276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVQDGHYDSTSQSTDSLRVEPIYESFLCPLTKQVMRDPVTIDSGVTFERDAILKWFNDCLHSGKRLICPVTKKELSTTDLNPSIALRNTIDEWMNRNEAAKLDVARKSLTSDCTESDILQALQYVDEICQRSRSSRQVVRRDGLISMIADLLKNSSTKVRQKALETLRSISKDDNDNKVEIAAGDNIRTIVKFLNHGQTQEKEKAVSLLYELSENKDLSERIGSVSGAILILVGLSSSKVENSLIVDRAEKTLENLESCEKNVRQMAENGRLQPLLRLLLEGSPDMQLSMAAYLGELVLSNDVKVLVAQTAGSTLVNIMKNGNREAREAALKALNQISSYDASAKILIEAGILPPLITDLFTVGSNQLPMRLKEVSANILANVVASGARFESIPLDHSRQTLVSEDIVHNLLHLISNTGPAIECKLLQVLVGLTDSSTSVQSIVDAIKSSGAIVSLIQFVEAPQREVRMNSIKLLNNISPYMGQELADAFRGNFSQLSSLVRVIADNNGISEEQAAAAGLVADLPMRDSVLTRRLHQDGAFATINLKVLRIRQGEIRGGRFVNPFLEGLVRIVSRITYVLDDDPDFIAVAREYNLTALFTDLLQMNGLDTVQIVSATALEKLSHQSKHLTKILPAPNPGVCFSIFPCLSQKTVATGVCRVHCGICSARESFCLLEGKAVEKLVACLDNNNEKVVEAALAALSTLLDDGVDIDQGVMVLCDAEGINPILDVLCENRNEALRQRAVWAVERILRMDEIAYEISGNQNVGTALVEAFRHGDYRTRQVAERALKHVDKLPNFSGIFSKMGAQ >KQL13977 pep chromosome:Setaria_italica_v2.0:III:8040297:8040694:1 gene:SETIT_025758mg transcript:KQL13977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDDAWIYHSINHPGTAHSCCVHWELRAVPAPVVRVLVRPESSVRLT >KQL15882 pep chromosome:Setaria_italica_v2.0:III:24974629:24981202:-1 gene:SETIT_022578mg transcript:KQL15882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDVPTSPAPAPPSASSSSQHHHHRGPTSARGLLCHAVAGASAGVVAATFVCPLDVIKTRFQVHGWPKLGTGTIGGSVIIGSLQQIAQREGFRGMYRGLSPTVLALLPNWAVYFTVYEQLKSLLSSNDGSHQLSLGANVVAASCAGAATSIVTNPLWVVKTRFQTQGIRAGPIPYKGTLAALSRIAHEEGIRGLYSGLVPALAGVSHVAIQFPAYEKIKAYLAERDNTTVEALSFGDVAVASSLAKVAASTMTYPHEVVRSRLQDQGAHSETRYKGVIDCIKKVYYKEGVAGFYRGCATNLLRTTPAAVITFTSFEMIHRFLLDLIPPEPEPHIQPLKH >KQL16998 pep chromosome:Setaria_italica_v2.0:III:47475168:47476439:1 gene:SETIT_022417mg transcript:KQL16998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGVIALAMLALLTSAGGGGHAAAEQQQEAAGMPRWVEPRLRRLLGTRRHKVDAVVSNGGGNHYATINQALAAAPPPAADGTPARRYVIHVRSGTYDEIVNITRSDVTLIGDGMGRTVITGNHCNRTGHDVLQSATLSVNGTGFMARDLTIKNTAGVDAGPAVALMNLADSSICYKCEIDGYQDTLNADCNRQFYHTCNISGTVDFVFGYAKAVFQQCNLLVRRPVPDGHCVVTAQGRGGPNDVSGFVFQDSTVAALPGVDLNGVPTYLGRPWKNHSHVVFMNCFLDGIIHPAGWEGWKDYERLGNIFYGEYQNRGDGANTQGRVKWPGFHIIKDAAEAANFTVQRFIQGDGWLPEFGVKYKGGLE >KQL13442 pep chromosome:Setaria_italica_v2.0:III:4481958:4484067:-1 gene:SETIT_023198mg transcript:KQL13442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLHVEGKIIKAQIWDTAGQERYRAITSAYYRGALGAVLVYDVSKPTTFENISRWLKELRDHADSNIRIMLVGNKTDLRHLRAVATEHAQNFAEAEGLSYIETSALEATNVEEAFQLILGDIYRAISKKPVASDESGAGAAGGVKEGKTINVAADAAAEKKQCCST >KQL15177 pep chromosome:Setaria_italica_v2.0:III:17639684:17640588:-1 gene:SETIT_025736mg transcript:KQL15177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPYRRTSIWHHASSMVRPASQGTSWQGL >KQL13958 pep chromosome:Setaria_italica_v2.0:III:7975836:7980514:1 gene:SETIT_024716mg transcript:KQL13958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQMNQSLMMNHMHSNINDPYDLVYSNIPDNTHKLKPVENCKYCDAKKFHHEPEGLCCRKGQIKLANLETPHQLMRLWTSNDSDAIHFRKNIRFFNGHFSFTSLYCRLDRDTTTMKNSGIYTFRAHGQIYHNIRSFGKDGSDPKHLELYFYDDDPTLEHRYRYCRKEMYEQDKHVLLIITNILRNNPYSEQFRSLGQEENLEDYRVMLNLDQRLDQRTYNAPITSEVAAVWVEGNERRNTFDRNVILHGNNNEIQGIQSYAGCYDPLSYPLFFPRGELGWHADIPKVGITAEDVMKAHSNGRMWVTMREYYCYKFHVRPNIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDAVGKRTVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEEITNELEFGQTPQDRPDLVVRVFKAKLEEMKKELLEEHILGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISAELPNKQKYPELYKMNYYPRPFNATTIQGKDSYPLYRRRDDGHNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVEKSMLTAYFEANKLHEKARGILYRDFPEHYTWQTQGKFWQQRKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGATCYEDLRTVDAKILPSFREAAERRGLIEADNTLDDCLTEAELFRMPSSLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNARTVEQMVLINIREMLQSMGKDIRSFPLPEIDEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKAAYNEILTAIDRDEGGLFFVDGPGGTGKTFLYRALLATIRGQGKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTAKLLQAASLIIWDEASMTKRQAIEALDKSMRDIMDVPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSGLDKLIDSVYQMNNACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDSVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTAKNIKILTAENDDEDEDNKQEKRKKRKTKRDMSDKKEVNQKDITDRYTKNIVYSEVLTK >KQL13946 pep chromosome:Setaria_italica_v2.0:III:7902787:7910619:1 gene:SETIT_020979mg transcript:KQL13946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPAAAPPQGNLTTTDALTYLKSVKEKFQDKRQKYDEFLEVMRDFKSNKIDTAGVIVRVKTLFHGYPDLILGFNAFLPKGYEIKHEDLEKKPVDFAKAISFVNTIKSRFQQEDHVYKSFLGILNMYRMHNKPIQDVYEEVAKLFHGHPDLLEEFKHFLPDNPTPPQAATISRVRNDEKSTVIHSARSVQTIKRERAFLSTADRASSVDRPDPEHDLLRRCTKEKNNACHSQDRRDYERNDKYDNGGLCGRKPQRKLEETGDDALGGPSISPLSFNDNYVLKSSSTQGSQFCEKVKVKLEPEAYREFLNCLHIYSQEIITRSELKRLVKEPLQHYPDLVNGFSEFLEHCENIDGFLEGILKERQTSRTVKTVEREGDKEGHGDPEKERYKERGRPEKVSPFNSKEGASNKATAFPSKEKYNLCKPISELDLSNCQRCTPSYRLLPENHPMPPASNLTDIGAEVLNDLWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAAIKRVEELVEKMDKSLKPDSSIHIDEHLTSLNMRCIERLYGDHGLDVVDVLRKNADVALPVILTRLKQKQEEWSRCQSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDAKNLNAKVLLAEIKEINEKKRKGDDVLLAVAAGNRRPIVPNMSFEYVDSDIHEDLYQIIKYSCGELCSSSDQVDKVMRIWTTFLEPILGVQHRDYGTEDTGMVKAKSRIRKVGLAFGETRNNATTNGTVAVKPANGDENILKERVQPSRAIFVNEATGDAQDDSHEAEEAFSRGEDLPNAERHRRVRNTSPAADKVAALTAQNISTKGSVGNTDLSPSEKNQGRANMDLVAGSTSSTGAKAVVEFKGGNETIPFIGNREAGRITSLYRVGVNPFNEYSGSHNNLKPEREEGELSPNGDFEEENFGVFQVEASNGTSKLKEGSASRPLQGRHKEVVKFTSENHADADDEADESAQRSTEDSENASEAGEDASGSEYGGGEEFSREDHEEEEDDMDPDTKAQSEGGAEITEAQDLDVGISLPFSERLHSTVKPLYKYVAATLQNHEDKVTSVFYGNDSFYVLFRLHQILYERILSAKTNSSTSEKKWKASKDASSPNQYSKFISALYSLLDGSSDSTKFEDDCRSIIGTQSYVLFTLDKLIFKVVKQLQVIASDEMDNKLLQLYLYEKSRSPGRFLDLVYHENARVLLHDESIYRFECHSNPTRLSVQLMEYGNEKPEVTAVSYDPTFSQYLYNDYLPSSSDTKLADDVFLRRNKRKQGRNDDSPASLKTMDNVMFSNGLECKISCKTSKVSYVLDTEDFLFRVRKRRRAPSSGTMPAKANFVKAYTVKSQEFHRFLSRP >KQL17311 pep chromosome:Setaria_italica_v2.0:III:49674308:49678943:1 gene:SETIT_022419mg transcript:KQL17311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASKVEGEYSLLRKNSPLDQPEGVKTHLYCFSVEHGNDNLKSFVCSEQCGPSLLDTILLSQWDNFAWKGHLDYDVTACQLKVIEGGRNFVGQLNSKWNSFSPKEYDKFFESFRCLKPNSMKSYEGLLLCIAQGEKDRPEVAPSASPPKDGLLLIANEYPVEYGHIFLVPTAVNQLSCYWDKRMFGLATKIASEVNNAAFRVFFDSGTSVMSDRMFFQACYFANPLPVESASTVAVHDGKARSDIIVSETVDYPLKALVFTSNNLKALVNVVSEICFSLHDNTAAYSLLISNNGTKVFLFPQVKNLVAGCSLPAWECGGYFVYHTRSEFDNASETEISNRMASASLQDGAFEDLKHLCCAIADDLIK >KQL17120 pep chromosome:Setaria_italica_v2.0:III:48406415:48412416:-1 gene:SETIT_021097mg transcript:KQL17120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSPSNPMRKYSWWWDSHISPKNSKWLLENLTELEGRCLDLEQDRDKALDEVIKLRELLRLEKERHKEATSSDITQFSAIQKQISLLLKEVKHKENQLQEEEHKIVEAQTEIFILQRCLGDMAEANSDVVAQLQKQQEVCKVQEEKADFLSQNNQLLTEGIGSVMEVLHLDEKYGSLDLMKIDVVVQLLLHEIKCLLNTISDAQDVKQNQILEKSLVVTLLEHFGREVADLRSERSVLKQEWQAQSDELVKLQSERHDLLKISCELRKEMEARNRKVDELKSEAKFLVRQLTELQESRQSLQAEIIKLIEENTSLSSKVYGSREKEKSFEDDFSTLVGEAVRTDILGVIFRSLHDERTSQLQCLHEDFGSLHAAGNELYQEIKLMNKKLGDLQLENNYLEKELSRTLSICDGSGAEISIGSRRRAMRRDTKLLKSGRKSQETGQNMEQRKEVDNAGLEKSNEMLREELQKLKSELQVLRSKEQPVIDVKSCDAEITKLLANMQLATANASLFKEKVLELIVTCESFEISDMVQKEVLKEEITRRNSYVDELKDKLNAVEIENRRLKVDLNGDFTLLGALQTEVDALEKQTLSLAKDCLPPSMLKEENALSPQLSKIAVRPSEDQNTTKMVKDMELQKLHGTIKALQKVVSDTGVVLEQERLDFNNNLQDARKQIEMLKLKEILDSDASDVNYERMMKDIQLDLVQTPSRRAASHGHHRKKKSVAGQSDDKMLALWSVDRVSSGSRRHDVDLRPPQSEAAENDNKGKKRSCSEPVVTVKDLGVDKQEVLPRPVVTTVATTTMEPQREWKKKVIDRLSSEAQRLRDLRSIVQELRGGVEASSDAELDGVKVQMADAEDAIEELIDANGKLLKKAEEFTSAAAGDDVDLRSRSQRKILERVRKMSEKAGRLELELQRFQHALLRHEEERAARRAAKAAATVQVQRRSRVQLVEYLYGRRRDSRRPKQKTRGPSCCMRAKAIDD >KQL14951 pep chromosome:Setaria_italica_v2.0:III:15043470:15043975:1 gene:SETIT_025466mg transcript:KQL14951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILVFLCLSSHYCHALGFHYAPVPPDAFVGHVQTISAGVG >KQL13506 pep chromosome:Setaria_italica_v2.0:III:4861819:4862322:-1 gene:SETIT_025243mg transcript:KQL13506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNAAAAACSAAVLLLAVSVYAAGDTTGPRTAVQAPDLAAGVEETRSLLPVHHDGDKEVEEDIKAGGRRAASAGDVASLATAGEEDKEVGSDWGEPKKRDDKNDSDSDSDDDSDSDSDSDSDSDDEEDHNSKKGSKKHPAPWRNGASGGQHDDKQPEMVIKI >KQL13138 pep chromosome:Setaria_italica_v2.0:III:2798810:2802687:1 gene:SETIT_022841mg transcript:KQL13138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGGTSVLGIVNFITFLISIPILGGGIWLASRANSTDCIRFLQWPIIVVGLVLMVISLMGFAGACYRQTWLLRLYLFAMFFVVLALLFFIVFAFAVTDRGDGQVVMNRRFLEYQLSDYSGWLRERVADPQYWATISACLRDGHACSGMRRFARDPNTGMRVPETPDMFYGRNLSPIQSGCCKPPSSCAFTYNNETYWTPNPGVPVISDPDCNRWNNDQQTLCFQCDSCKAGVLAGIKKSWRKVAILNIVVLIILVIVYVAGCAAFRNAKRIENDEPIGMARMTKSQPSRFQF >KQL14017 pep chromosome:Setaria_italica_v2.0:III:8209340:8212117:1 gene:SETIT_022108mg transcript:KQL14017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMLYNADRELISKSELKTKTIVESGTMNAVFTLASGGEIILQVQFLLNDDDRKRIQEMRNSAMKRKQQELLGDGYELNFPDSPLSKQLIEKIPNIRSKGDERPKLRKSVSLDDLQERKVFSGISVDPRMKASRDLLLQRGVRNTWFEDPSGSQKGNGKVESKSSSSVKKMISAFEGTSPQGVASEIDASLTDSGNSSTQTGKAIVPFGDNKGSNYRPGTTVLLIDASLTDSCNSSTQTGKAIIPFGDNKGSNYRSGKTVLFQHKKSSAPEQIGIPSATESRSGRSSSSDRASKQKLRENELNRTKRGSQAKHRRSIGPSYSLERMHSRDYVERPLNYLVGTSNTWIHPHVCVTTASKQLKDLLELEHWKSRGRMKHTDKIQESTGDDESIASAQSRSGGFPMLNWWLINQGVSAAIVVIACGAMFLNNR >KQL14018 pep chromosome:Setaria_italica_v2.0:III:8209340:8211335:1 gene:SETIT_022108mg transcript:KQL14018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMLYNADRELISKSELKTKTIVESGTMNAVFTLASGGEIILQVQFLLNDDDRKRIQEMRNSAMKRKQQELLGDGYELNFPDSPLSKQLIEKIPNIRSKGDERPKLRKSVSLDDLQERKVFSGISVDPRMKASRDLLLQRGVRNTWFEDPSGSQKGNGKVESKSSSSVKKMISAFEGTSPQGVASEIDASLTDSGNSSTQTGKAIVPFGDNKGSNYRPGTTVLLIDASLTDSCNSSTQTGKAIIPFGDNKGSNYRSGKTVLFQHKKSSAPEQIGIPSATESRSGRSSSSDRASKQKLRENELNRTKRGSQAKHRRSIGPSYSLERMHSRDYVERPLNYLVGTSNTWIHPHVCVTTASKQLKDLLELEHWKSRGRMKHTDKIQEV >KQL15159 pep chromosome:Setaria_italica_v2.0:III:17515957:17519234:1 gene:SETIT_023113mg transcript:KQL15159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTPISMKGEQMRTMSTNKLSAIVRTASPTPFSAVLPPATPTTVALSSHPPHRRTRPPLAMETCPSVKNILLLDSEGKRVAVKYYSDDWPTLSAKLAFEKSVFAKTQKANAGTEAEIVMFDGQIVVYKFIQDLHFFVTGGEEENELILASVLQGFSDAVDRLLKLFSLY >KQL15161 pep chromosome:Setaria_italica_v2.0:III:17515957:17518008:1 gene:SETIT_023113mg transcript:KQL15161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTPISMKGEQMRTMSTNKLSAIVRTASPTPFSAVLPPATPTTVALSSHPPHRRTRPPLAMETCPSVKNILLLDSEGKRVAVKYYSDDWPTLSAKLAFEKSVFAKTQKANAGTEAEIVMFDGQIVVYKFIQDLHFFVTGGEEENELILASVLQGFSDAVDRLLKLFSLY >KQL15157 pep chromosome:Setaria_italica_v2.0:III:17515957:17517482:1 gene:SETIT_023113mg transcript:KQL15157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTPISMKGEQMRTMSTNKLSAIVRTASPTPFSAVLPPATPTTVALSSHPPHRRTRPPLAMETCPSVKNILLLDSEGKRVAVKYYSDDWPTLSAKLAFEKSVFAKTQKANAGTEGNLHLIPLYGRSCFILMMTGLLCHGCV >KQL15158 pep chromosome:Setaria_italica_v2.0:III:17515957:17518755:1 gene:SETIT_023113mg transcript:KQL15158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTPISMKGEQMRTMSTNKLSAIVRTASPTPFSAVLPPATPTTVALSSHPPHRRTRPPLAMETCPSVKNILLLDSEGKRVAVKYYSDDWPTLSAKLAFEKSVFAKTQKANAGTEAEIVMFDGQIVVYKFIQDLHFFVTGGEEENELILASVLQGFSDAVDRLLKNMVDKRTALENLDLILLCLDEIVDGG >KQL15160 pep chromosome:Setaria_italica_v2.0:III:17515957:17519234:1 gene:SETIT_023113mg transcript:KQL15160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTPISMKGEQMRTMSTNKLSAIVRTASPTPFSAVLPPATPTTVALSSHPPHRRTRPPLAMETCPSVKNILLLDSEGKRVAVKYYSDDWPTLSAKLAFEKSVFAKTQKANAGTEAEIVMFDGQIVVYKFIQDLHFFVTGGEEENELILASVLQGFSDAVDRLLKNMVDKRTALENLDLILLCLDEIVDGGIVLETEGREIAEKVTGHGLESASSAEQTLVNALTQAREHLAKSLLM >KQL16803 pep chromosome:Setaria_italica_v2.0:III:45353593:45354039:1 gene:SETIT_025746mg transcript:KQL16803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSPPEEMYAHFANRRSPGAGRRRRAKHGRRGAFMEPEHFVRIADAEPTQARPIQGLWKVLPLCAELARLLSHDVRAVLFLLQSLVVPVSCLLSARDVAAVNREMIFPVRAIAHE >KQL12681 pep chromosome:Setaria_italica_v2.0:III:371042:371332:1 gene:SETIT_024360mg transcript:KQL12681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFHRRTAAAVLFFLLLAATLLASRCWVVDGARSMDEPAATKRSGYVVRPAPAMRLYGGYLPRPKVIPPSGPSEGHNSIGPEMEQEDNNRVLRKP >KQL16664 pep chromosome:Setaria_italica_v2.0:III:43502659:43504623:1 gene:SETIT_021838mg transcript:KQL16664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEVWLLWATLAGVVSLLYYLAILSRRRGSGRLPPGPRPLPIIGNALDLRGNLHHALARLARTHGPVMRLQLGPVPAVALSSRDAAREAFTRHDRRLTGRYTVDAVHALGWADRSLVNMASSDPLWKLQRGILAAHVFSSRSLAAARGVRERKVRDLVAHLRARAGREVDVGRALYGGMINLVSSTFFSVDVVDVDATGESAHGMREHVENIADLMTKPNVSDLLPFLRRLDLQGRRRAAAWHLGEIYRILDGIIERRLAENADGRHGDDFLQVLLDLMSTGKIDRDTVKAIVFEIFFTGGDTITITVEWAMAELLRNPSTMARLRAEIAGALGGKETIEEPDVAGLPYLQAVFKESMRLHPVAPLLVPHKAVEDGVEVCGYAVPKGCTVFVNVWAIMRDTAVWDEPDRFMPERFLGKAAEVDYKGKEFEFFPFGYGRRQCPGMPMAERVVPHLLASLLHAFEWRLPEGMAAEQVDVSERFTTGNVLAVPLKAVPVAIT >KQL16068 pep chromosome:Setaria_italica_v2.0:III:28166677:28168800:1 gene:SETIT_025141mg transcript:KQL16068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGESGIGKTTLVRDLYKRIHKKKEFEVQAMERFAPYLTATNILQQIVQQLTDDDKNCPKEKALEMLKVVLGEKKYLLVIDGEVSGTEWKNILTSLPAGARGSRIVHITQGKPEEPPSSYRHLSIQVKKLKEEDIMELFIKRLPKEMQDENFIKHHREIICKITQGLPLAVVLLSGLVQTKEFPVEWEKVFDYLKSKQSNRLDSMLSVCFDDLPHELKCCFLYFAALPTNTTIEARNLVCMWIAEGFIRSKGGKSMEKIGYIYLNELINRNLVNPVKMDDDSSFGSMFVTIQNKVHEFLQIEAHEASFVEVHSGDDIPTLTSARRLSLQNYTEKYAVLAHPLPKLRSIFSQYEQEPKGPDHGRRSKRSPTYHVFHLSQRRVVSSMRKKDIRSHIKELFHGSEYLRVINLQGIDIGEKLTNAIGNVVHLQYLGITSCSVTKIPSSIGRLTSLQTLDVRETNVRKLPRAFWMIKTLRHVFGFALELPKQIGNLRQLHTLDSIDLKVSEQALNETLGEMIHLEFLSIWHISHDNVVDLPGALDNLESLRTLILHGIIPSSVFTTSSLRRLKFMFLNGDLPYSYYKDDDKHVFFLPNLIMLSLEGTNITQEFISKLSELHSLATLVLYPGSYKDKELVFSSLRFPRLRKIKIIDVQVLEKVKIEVSVLPKLKELEIHSHHTGHYYEIDMGDDEHGQIMRIMVDLKKEDAVNKE >KQL15798 pep chromosome:Setaria_italica_v2.0:III:24022261:24022669:1 gene:SETIT_025372mg transcript:KQL15798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPNVSLDISKYSNVFVGMSQGSLKMVNDPLPVQKGSCITVNDL >KQL15381 pep chromosome:Setaria_italica_v2.0:III:19412475:19416766:1 gene:SETIT_022808mg transcript:KQL15381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRRAPGCLRQRWGWEKDQGWRWNLQSGGPYVYVCRSHTFTPNSPPPLRLYQPSRALASPASRFGCSLRLPSPARSPRIRRGVNASSFPLSFGLRLLLPDPAPMARNPGCTVFIGNLDDRVPERVLYEILIQVGRVVDLHIPRDKETSRPKGYAFAEYETEEIAQYAVKLFSGLVRLHNKTLRFAISGQDKVDKPSSNGNMPVTPRLNPIPAPKPPQLMRSSDTPSSQHTVVNGRIAGYGISPNHSYDSHSQAPSSGLPSRGLSNGTYEYSRRVFGSVMNDVSRRATREPVPYPSY >KQL15380 pep chromosome:Setaria_italica_v2.0:III:19412475:19416766:1 gene:SETIT_022808mg transcript:KQL15380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRRAPGCLRQRWGWEKDQGWRWNLQSGGPYVYVCRSHTFTPNSPPPLRLYQPSRALASPASRFGCSLRLPSPARSPRIRRGVNASSFPLSFGLRLLLPDPAPMARNPGCTVFIGNLDDRVPERVLYEILIQISGQDKVDKPSSNGNMPVTPRLNPIPAPKPPQLMRSSDTPSSQHTVVNGRIAGYGISPNHSYDSHSQAPSSGLPSRGLSNGTYEYSRRVFGSVMNDVSRRATREPVPYPSY >KQL15382 pep chromosome:Setaria_italica_v2.0:III:19412475:19416766:1 gene:SETIT_022808mg transcript:KQL15382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRRAPGCLRQRWGWEKDQGWRWNLQSGGPYVYVCRSHTFTPNSPPPLRLYQPSRALASPASRFGCSLRLPSPARSPRIRRGVNASSFPLSFGLRLLLPDPAPMARNPGCTVFIGNLDDRVPERVLYEILIQVGRVVDLHIPRDKETSRPKGYAFAEYETEEIAQYAVKLFSGLVRLHNKTLRFAISGQDKVDKPSSNGNMPVTPRLNPIPAPKPPQLMRSSDTPSSQHTVVNGRIAGYGISPNHSYDSHSQAPSSGLPSRGLSNGTYEYSRRVFGSVMNDVSRRATREPVPYPSY >KQL13689 pep chromosome:Setaria_italica_v2.0:III:6093452:6093677:-1 gene:SETIT_025304mg transcript:KQL13689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGSRRGSSSPPFPLPLDLVLEIAALSDPATLVRCVAASKDLHRRIADPAFHGRLRLRHADRFVPSSCAATWSI >KQL16377 pep chromosome:Setaria_italica_v2.0:III:38691612:38692224:1 gene:SETIT_023965mg transcript:KQL16377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFRFSQSMCVTRKCKQSLNEQSYLADRKHPCQCLQISVGVTSAKEGTNMPNILLQY >KQL16944 pep chromosome:Setaria_italica_v2.0:III:46926964:46928828:1 gene:SETIT_022652mg transcript:KQL16944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPPKKLARVDTLELKAQIVKRLGHQRAELYFRGLRRFLGCQLGKAEFERICVAALGKENIRLHNVLIRSILGNACVSDGPAPSKQAPTGNSQTSTVSNGTLNNGLLPARRVRPLTRRFGDKLSPIGKSPLGHPGAGEFVSTGSKALQEVISVEDGEEVDQDRGSPVCVQSQSPIRAPLGIPKAQNSQPSTSCSSDVCYNNGELPDSQWLSKLLEDKLKAQGLSMRKECADALNSGLNMYMRQMLKACLGVAKARGNNARMRQPNGRTTAAAVSSGRNNGFPTESGGSYQASLLDLWTAVQFNDRLLGYDHALQREKIASHLHNR >KQL16319 pep chromosome:Setaria_italica_v2.0:III:37278455:37279117:1 gene:SETIT_024882mg transcript:KQL16319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYVENDHFKQKDRSNVQKNKKVAKQVYRVKRDGRKDKSLDLNSINEKPIDMLSTSASNGKDEEKLAIDLPSSKSEQKKSKKTKNKKRALLPEIEARPSCPLDLSNWQKKKLQKFTAQELRKKGIAWVPKRSIQTQSKDDVQAKDAAQLKEKRKFERQPPKLKFTPNHQNYWSLPHPFALQMPSVPISWNLSLDMFGYPSRTYFDPWVLCGSLYCDDPG >KQL16007 pep chromosome:Setaria_italica_v2.0:III:26873957:26876930:1 gene:SETIT_024830mg transcript:KQL16007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVRSLPTLSRDDPRSKSLGETESERAATALRVRRMAHQILVEAPDDEDDEEDFDDDDDDDDDEDVVVDEVNKKEEGWWQKLKELQSQYGPALVARDSEAKKRILDYDPKQGGLYYTRFAHVYDLASFDLDEESPLPPMRFTDAVYKSKHDYELCEAVNILSVKMGSLDIDFPIHVYGTVIARDSLDKKCVYLFRRGREDSQTINSKDESLILTGPKRGLALISDTYVETNLMIKGDDELQQDRELSKGMLTIRGIARRVLKNCELESCSLATRLSTVDVMHGVVKDAVEATISVEVLAGEYFGEITACTSSIKERLVLHDSRLTHHSASSGQNIAPGVIPLLRSVVAVYVKEMLLLTFAAHTDDGKITRCIEITPRINGSDLDEITVGAVTLGVRVVWSIIDF >KQL12802 pep chromosome:Setaria_italica_v2.0:III:962532:964654:1 gene:SETIT_021644mg transcript:KQL12802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLGANPANSCPLTPLGFLERSATVFGDCPSVVYHDTVFTWSQTHRRCLRLASALVSLGISRGDIVSVLLPNVPAMYEMHFGVPMSGAVLNTINTRLDARTVAVLLHHSGSKLVFADPASLPLIRDAMKQLPPGHPAPRVIPVEDPHEKEFPAAPPGTLTYERLLEKGDPEFAWVRPASEWDPMILNYTSGTTSAPKGVVHCHRGIFLVTMDSLVAWAVPPQPTYLWTLPMFHANGWSFPWGVAVVGGTNVCLRRVDATEVYATIARRGVNHLCGAPVVLNMLANAPDGVRQPLQGKVRILTAGAPPPAAVLNRTESIGFEVSHGYGLTETAGLVMSCAWKGEWNKLPASERARLKARQGVRTPGMAEVDIIDGETGRSVPRDGSTMGEIVLRGGCLMLGYLDDDKATRAAIRDDGWFYTGDVGVMHPDGYMEIRDRSKDVIISGGENISSVEVESVLYNHPAVNEAAVVARPDEFWGETPCAFLSLKEGSPGAVTASDVMAWCRERMPRYMVPKTVVFRAELPKTSTGKIQKYVLRNLAKEMGPTRKGASSSSKM >KQL13046 pep chromosome:Setaria_italica_v2.0:III:2290214:2297069:-1 gene:SETIT_021757mg transcript:KQL13046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMKVESLVLHGDALYGEKEFRRALNAYKQAMQYSRSIPRQATSSIRSSVSATGRSPSPNSSNLLSFNENEVKFKIALCHSALCEHREALQEMEGIPSKVRTLKMNLMLGKLYRISRNSRSAVVCYKECLRQCPYIFEAITALAEMGLSAKEFSLLFSQAPNRGGKLPSDSVDAQRWWNRYVEAQCCIASHDYKGGLDIYLELMQRFPNNVHILLEIAKVEAIIGRNDQAIMNFEKARLIDPNIMTYMDEYAILLKTKSDYIKLNKLVHDMLHIDPARPETCVALAAMWERKDERKALTYAEKSLRVDDRHITGYIMKGNLHLSLNRPDLAVTDFRGAQELRADLRSYQGLVRAYLALAKCKDALFTAREAMKVMHQSAKALKLVGDVHAISSSGREKARKFYESAIRLEPGFLGAALALADLHVAEGRNREAVMLLEKYLRQWADDSLHIKLAQVFAATNMLSDALSHYQSALRINPQNEAAKKGLERLEKQMKGVDPDAPEEDEDNEADDIDADQDEAELL >KQL14877 pep chromosome:Setaria_italica_v2.0:III:14506782:14509138:1 gene:SETIT_024800mg transcript:KQL14877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYALAHRENSLLREDTRALNLWAWTKNPSLIPKVTWLTLTNSGIAVHDGMVPPPSSGPGRRGLTFQVIVHLDLREDPPDRDGRATLHDYKWHCRVSRHHRDDDDYDRRGREEDNWSTRLFRSLSRAPKGRDRDRSESRHGYHDRNAAGGGRRRRERHLHRPAERRARSEPQPRHRPREATPEGRQSCKPPGHDAGEDNDHRSSQHPPGTTNTTSPLSTRLPTSPTRTPPRHQAAGNDTAALLSPTAATTTPSLATPPEPAASPTTLVNEDQLPRLATEVAVVALPPALVSEDQLPRLATEAVVAPSTGSFIDSITRPVDPPLLPKHQQQQATPHRAKKTPKEPTRQMLMKRLGITPDEEKSPEEDLQRFISLFRGPLTDLVIKALVALCGLDGATAVQVRAA >KQL16118 pep chromosome:Setaria_italica_v2.0:III:30169465:30170658:1 gene:SETIT_023134mg transcript:KQL16118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREGWQQGTVRINRSKLLRVAAAANCEETAVGAMAHGKAPVKPTNASKTTGKCRRPRSASCHYHPVSKARDKTKGAHKLHACDVALNHRLVSWRVVDGGSAGSSGIPDYKGTSASSLLAYLAGSGSSWHEDDEDGGSLETAPLVNGGLPDLYDIIVGRRADVTMLGRQEADLARATDIAVGDTDAIEEQEQDSDDVKEDGDEEDMGFCMVGITIAVEFSDGEEDWIVVDEI >KQL15407 pep chromosome:Setaria_italica_v2.0:III:19687430:19692168:-1 gene:SETIT_022416mg transcript:KQL15407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGRPVSGELDAWFESLMVSSGSQRGHAESGGPLPTLSGWKDLPVELLLRILSTIGDDRMVIVASGVCTGWRDALGWGVTRLSLSWCKLNMNNLMISLAPKFTKLQVLTLRQNKPQLEDSAVEAVANYCHDLRELDLSRSFRLSDRSLYALAHGCPWLTRLNISGCSNFSDTALTYLTCRCKNLKCLNLCGCVKAASDRALQAIAQNCGQLQSLNLGWCDDVTDKGVTSLASGCPDLRAVDLCGCILITDESVVALANGCPHLRSLGLYFCQNITDRAMYSLANSCMKSKRRRWDAVKDGLANLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACALHPHRAGRALMLNHAY >KQL15408 pep chromosome:Setaria_italica_v2.0:III:19687430:19692002:-1 gene:SETIT_022416mg transcript:KQL15408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGRPVSGELDAWFESLMVSSGSQRGHAESGGPLPTLSGWKDLPVELLLRILSTIGDDRMVIVASGVCTGWRDALGWGVTRLSLSWCKLNMNNLMISLAPKFTKLQVLTLRQNKPQLEDSAVEAVANYCHDLRELDLSRSFRLSDRSLYALAHGCPWLTRLNISGCSNFSDTALTYLTCRCKNLKCLNLCGCVKAASDRALQAIAQNCGQLQSLNLGWCDDVTDKGVTSLASGCPDLRAVDLCGCILITDESVVALANGCPHLRSLGLYFCQNITDRAMYSLANSCMKSKRRRWDAVKDGLANLNISQCTALTPPAVQAVCDSFPALHTCPERHSLIISGCLSLTSVHCACALHPHRAGRALMLNHAY >KQL14860 pep chromosome:Setaria_italica_v2.0:III:14379456:14381478:-1 gene:SETIT_022555mg transcript:KQL14860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRERQRLRPPPPLLVAAAALVVVSALASAPEAALQVGFYHETCPIAEDVVLAEMRLILMEDATVAPALLRMHYHDCFVQGCDGSIMLRSRKKGNKTERDALPNRSMRGYDAIERIKARLEAVCPLTVSCADIIAMAARDAVYLSHGPWYDVETGRRDGNLTVAEYVENDLPPPDSNIVDVKTFFSVKSLNSKDIAVLFGCHSIGTSHCGPIQKRLYNFTGSMDQDPSLNPDYAAELKKLCPPPRPGVDDDGGEGKTKVPLDPGSNYTFDLSYYRHVLATGGLFQSDGSLLHDPVTRGYVEKVAKAKSPDEYYADFAAAMVKMGRTDVLVGDLGEIRPTCGIFVD >KQL16794 pep chromosome:Setaria_italica_v2.0:III:45175792:45178575:1 gene:SETIT_025314mg transcript:KQL16794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMPTDVDISQQVLIPNKSNESEDVFEIQEGSYVWFIYQILAEPGREELVLIDGVLVNRNHMECLFCRNTYLYDEVINAYIHLLWTQHNMINRLGGTCYLENTSIAVLMRGDSEEKWSMEDHYPPGLYTHWYLVVVNARRRMIHLKGLRMQMEYTLQCTGLKKHAWSNVNVDTWDVVQVMVDRIQFDGVSCGLFMERMVKFNTKMAATLLSTIFNERLGKPLLRNEDENIGSPSDVREIVEPNEIQQIEQKRKSTNGHENALKPKKIDTEIDLDKQDVLLYYKDGPLKRDELAEIFCDYILTIEVPVELDMVWLTCHEKYRTCRSAKELGDVIGGWKIVKYDILGCRSPFHVVICSLHFVVLILSALQFLLPWKHVNNYLLYVLNIKRKKLIVIDTKPIPNYATDVPYKHYAIQIVGFCLKF >KQL15916 pep chromosome:Setaria_italica_v2.0:III:25297444:25300639:1 gene:SETIT_022231mg transcript:KQL15916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDEVREEKELDLSSNDVVTKYKAAAEILNNALKLVVSECKPKAKIVDLCEKGDSFIREQTGNVYKNAKRKIERGIAFPTCVSVNNTVCHFSPLATDEAVLEENDMVKIDMGCHIDGFIAVVAHTHVITNGPVTGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDKVTSHQLQELQPTKSIEDNAEIKAWLALGTKSKKKGGGKKKKGKKGDAAEPMEEATNGAPSQNNSDFWNCYL >KQL15915 pep chromosome:Setaria_italica_v2.0:III:25297444:25300639:1 gene:SETIT_022231mg transcript:KQL15915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIDMGCHIDGFIAVVAHTHVITNGPVTGRAADVLAAANTAAEVAMRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVVLSVSNADTKVDDAEFEENEVYAIDIVTSTGEGKPKLLDEKQTTIYKRAVDKNYHLKMKASRFIFSEISQKFPIMPFTARALEEKRARLGLVECMNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDKVTSHQLQELQPTKSIEDNAEIKAWLALGTKSKKKGGGKKKKGKKGDAAEPMEEATNGAPSQNNSDFWNCYL >KQL13593 pep chromosome:Setaria_italica_v2.0:III:5404095:5408198:1 gene:SETIT_023639mg transcript:KQL13593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMDYRAEDTVQCWRSFPLPTVPPHAPRSRFLLPPPPRLASPVVEPQRLGRREASTMSALFNFNSFLTVVLLVICTCTYIKMQFPAILNDRTGFRGFFWKAARIGERLSPWVSFGCLAMGISTLFF >KQL13592 pep chromosome:Setaria_italica_v2.0:III:5404095:5408198:1 gene:SETIT_023639mg transcript:KQL13592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMDYRAEDTVQCWRSFPLPTVPPHAPRSRFLLPPPPRLASPVVEPQRLGRREASTMSALFNFNSFLTVVLLVICTCTYIKMQFPAILNDRTG >KQL15025 pep chromosome:Setaria_italica_v2.0:III:15768934:15770899:1 gene:SETIT_023065mg transcript:KQL15025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGALFLLFSLLAREAAAGGYGGWQSAHATFYGGGDASGTMGGACGYGNLYSSGYGTNTAALSTALFNDGAACGSCYELRCQNAGGSCLPGSITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAEPAFLHIAQYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVRSVSIRGSRTGWQPMSRNWGQNWQSNAFLDRQALSFQVTASDGRTVTSTNAAPAGWQFGQTFEGRQF >KQL16013 pep chromosome:Setaria_italica_v2.0:III:27025196:27026725:1 gene:SETIT_024592mg transcript:KQL16013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCLQSFLLGISGAAGRGRNGRPPHHAPPSGGLVRVPIPFTCGNETSSAFPACPRAAAVSPSPSPTAPPSSDQRPAPSATCPEYFRYIHSDLSPWRESGITREAVESVRDKATFRLVVVSGRAYVEKLHRAYQTRDVFTLWGILQLMARYPGRVPDLDLMFFCGDIPEVRAAAYPDQSKAPPLFMYCTEDAALDIAFPDWTFWGWPEVNIRPWAPFLEEVARESRRTPWLDREPYAFWKGNPNVCGLRRDLMRCNASDSGKDWNARLFRQDWGYANRNGFKDSNLAKQCNYRYKIYAQGRGWSVSQKYILACGSPMLRVDTPFRDFFSRGFVAGKHYWPIDAARMCPSIKFAVDWGNAHPEQSQRMGEEGSNFARDELSMDYVYDYMLHLLTHYARLLRYRPTVPMNATELCLESMACSARGRAREFMMESMEKHIADYEPCELPQPFTADEVTQLAQRDAEVSRKVKRMEEEKES >KQL12708 pep chromosome:Setaria_italica_v2.0:III:499462:499875:1 gene:SETIT_025559mg transcript:KQL12708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTIQTCQVVNRKNRKKKKCKEILGTMLHSKSYIQ >KQL13254 pep chromosome:Setaria_italica_v2.0:III:3442978:3443255:1 gene:SETIT_025593mg transcript:KQL13254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKAKDLRVTTTRVREVLQACSLAVSLTLAHASVPCNGSV >KQL13201 pep chromosome:Setaria_italica_v2.0:III:3146171:3147458:1 gene:SETIT_023562mg transcript:KQL13201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSPSPDSNTDSGSAGGGFAADERKRKRMLSNRESARRSRARKQQRLEELVAEVARLQAENAQVQTRIATFDRELSKVDGENAVLRARHGELAGRLESLGGVLEVLQMAGAPVDIPEIPDPLLRPWQPPFPMQPIAADAFQF >KQL14529 pep chromosome:Setaria_italica_v2.0:III:11798155:11800815:-1 gene:SETIT_021758mg transcript:KQL14529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRERWCTYPGYPTHNVGLWAIWKTGTTVPAHVFGVPRPALRTHAAPCPRTSAPLRPRCSARFDFNCSSTLLAVVRPAASEWRADTLLLARPFRSVMARAAAAVPLLLAAVVAVLLPDAADSRTLLTLDDFGAVGDGVANDTKAFADAWAAACAAGDSVILNVPAGKAFQIWPLTLAGPCGSEIKLLISGNIVAPESPSDWGPGDRTQWLHFHQVQNLKVTGGGIIDGRGRQWWARSSCQEKKNCTAQPAPKAVHFEDCQGISVLGITLQNSQQHHLTFTRCSHVKANYLRVTSPENSINTNGIHLVDSRNVHVMDSLISTGDDCVSIVGSCTDVRLRAISCGPGHGISIGFLGVNNSVDYVEKIKVDTLFISNAQNGVRIRTTKIGGGGFARKVKFGSIIMKNVTNPIIVDQGNFSDLADSSEARAAATGVQVEKINYIDIRGTSASEHAVTFSCSDAMPCRRLSLTNVNLTRVDGRNASSYCHKAFGRSIGTVIPESCLSKEDYVQHVPRHSEEDEADSDS >KQL12982 pep chromosome:Setaria_italica_v2.0:III:1933815:1937441:1 gene:SETIT_024128mg transcript:KQL12982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDSSPKKWNLKEQRSTYLQWFSLADEDGDGRLTGNDALKFFAMSNLSKPELKQVWAIADSKRQGYLGFYEFMTAMQLVSLAQAGNEISQDTISSADLERLQLPTMEGLAKKLKKNSGGKGESAIVACHPSESPIPASWFNSKSGKKIPLKSVTSIIDGLKKSYIERLRPLEKTYQFHDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLKTSYPGAHIGPEPTTDRFVVITSGPDERCIPGNTIAVQADMPYSGLSSFGTAFLSKFECSQMPHPLLEHVTFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKYAYLALLSYSLMRVYGALLWSLGKVLNTPEVMRVYIGSFNDKPIRETAAGPLGMELFQKEQDDLLSDLNDIPKKACDRRINEFVKRARAAKVHAHIVSHLKKEMPALMGKAKAQQKLLDSLDEQFAKVQKELHLPPGDFPSVEEYRELLSAYNFDKFEKLRPKMIQGVDDMLAYDIPELLKKFRNPYD >KQL12709 pep chromosome:Setaria_italica_v2.0:III:498928:505710:-1 gene:SETIT_021873mg transcript:KQL12709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWVSKGTWCCRKQHNGITNESTDAVTEEQGEAYELPAFQEFTFEQLRLATSGFAVENIVSEHGEKAPNVVYKGKLDAQRRIAVKRFNRSAWPDPRQFLEEARSVGQLRSKRLANLLGCCCEGDERLLVAEYMPNDTLAKHLFHWESQAMKWPMRLRVVLYLAEALEYCTSRGRALYHDLNAYRVLFDDDCNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRITPESVIYSFGTMLLDVLSGKHIPPSHALDLIRDRNFNMLTDSCLEGQFSNEEGTELVRLASRCLHYEPRERPNVRSLLQSLAPLQKDVETPSYELMDIPRGGASSIQSLTLSPLAEACSRKDLTAIHEILEKTGYKDDEGTANELSFQMWTNQMQDTLTSKKKGDNAFRQKDFTTAIDCYSQFIEVGTMVSPTIYARRCLSYLMNDKTQEALSDAMQALVISPTWPTAFYLQAAALLSQGMENEAQEALKDGCNLEQSSSSGH >KQL17206 pep chromosome:Setaria_italica_v2.0:III:48947721:48950043:-1 gene:SETIT_0233082mg transcript:KQL17206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSVPGNSMLNIVTVRCGHCTNLLSVNLRALMHSLPEQDHQLQLFDSQENIKHGINGNHLEFGSSSSKFRLPMMYSPQNEHHLLQEQTLNARPPEKRQRVPSAYNRFIKEEIRRIKANNPDISHREAFSTAAKNWAHYPNIHFGLSSGREGGKKLVDEAVSVTPPPKKIQGLY >KQL14229 pep chromosome:Setaria_italica_v2.0:III:9756867:9760291:1 gene:SETIT_023409mg transcript:KQL14229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATACGAPPCPCARSRLLPSASLGIGYGGARVRSTRQMAPRRAAVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGSAESLLTLTNLFIVLGLRGALRKLEEEDTKESISEASQDSKEKSSV >KQL14230 pep chromosome:Setaria_italica_v2.0:III:9756867:9760291:1 gene:SETIT_023409mg transcript:KQL14230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATACGAPPCPCARSRLLPSASLGIGYGGARVRSTRQMAPRRAAVVRCGLLPVDPWAPTMDSQSVASQLFAVSLFPYLGFLYFMTRSKTAPGLTLFGFYFLLAFVGATTKVHYGTSLSNVDWLHGSAESLLTLTNLFIVLGLRGALRKLEEEDTKESISEASQDSKEKSSV >KQL15059 pep chromosome:Setaria_italica_v2.0:III:16129176:16131611:1 gene:SETIT_021420mg transcript:KQL15059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGEEKLLATVQHIVQTLGSSDTMTEDILKVFSNYDGRFSLDKLYAARAAAAAAASSGGGAGGIGGEHSMPASPPLPPPPAVAAAAAVSAAGARPTSMERTVRTLDRQISQFVAMDRLIWADSGDADAFLEAVDDLIGTVQELDAAGTNRALLDRADELLSRCMARLEDEFRALIERPDDAAPVAPGGFGSDGSDDEDDFGGGDGYGDEPIPIAKPVTDYDVVIDALSPGSIANVHQIAKRMVDAGFGRECAEAYAAARRSFVDESVARLGVRPRTAEEVHASPWEELEFDIARWIPAFNMVFRILIPSERRLCDRVFDGLAPFGDLAFIAAVRTQALQLISFGDAICSSSRAPERLFRVVDMYEAVRDILPDLDPVFSDPYSAALRAEVSAMCNTLGSSIKGIFMELENLIRRDPARVAAPGGHIHPITRYVMNYLRAACGSRQTLEEVMEGDLGANGGAPVAVDPDRPTSSLAVHIAWIMDVLHKNLDTKSKVYRDPSLASIFLLNNGKYIIQKVNDSELGVLLGDEWIKQMTTRVRRWSMDYQRTTWGKVTTVLQTGSPGIGGLPAKAMLQKLRMFNTYFDEIFAAQSEWVVADDQLRVDIRAAVEDSVMPVYASLIAKLKSSPETGRDLYIKYTPEVVVDRIHHLFEGAAK >KQL17309 pep chromosome:Setaria_italica_v2.0:III:49670109:49673421:1 gene:SETIT_021599mg transcript:KQL17309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADPLEYELQREISDIMIQDYVCGLQREFEMKVWEHQNCISTLNRNWKQKVSEIGVLRDELHSILSVVVGSESGMHPHQSHSSPEDQIVVKVKDDNEPPLTEKATDTSEVMLEIPDFSLLKHMPSEEITNFLKTEWLKLRRQHESELHQKTEELFRVKREYAKAKASLPLKKERELEFIKSKLLQTISKLGEIASRKENSCFERNESEDMCRLKDRIGMLLHENNRLRGLLADKREEVKHFSSQVSDAKSKIAQHSLSEANLLNNFEKLRAELEDVKIERQLNNLLDSSIFREAFDDYENQIYDMNQEGSFLKELLDEKEDQLNIIYEDRQKLKYENNQLVSIAGSIMQHHDQVNLVNDEILMFKEKVCEQELLILESKSEYNSMKRCLYEAMQEIQVCKQEILELTENLTSMAIALDEAKKQNASLDATIREMKKTPAQSIWRHSEQTGESDLASIEKLSKAYSDFESRLAETMKRNETRLTSMICQFNPLVQQVAVLRKKEFWYKQILEIKCSNLRKAEAEVDILGDEVDTLLSVLGKIYIALDHYSPVLKHYPGVTEILILVQKVLKGENI >KQL16590 pep chromosome:Setaria_italica_v2.0:III:42745694:42746928:-1 gene:SETIT_023092mg transcript:KQL16590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTIPEDVRAKAEIYVGDAAGQEKTRLLLEETGLPSGLLPLKDIVECGYVEETGFVWLKQRRKVDHYFAKAGRHVSYGAEVSAVAEKGRLKKITGVKAKEMLIWVTLHEICVDDPPQGKLHCKAIGGLSRTFPVEAFEADGPPPVAAAAAAARAGEVVANGNGNGAAAKEVVADKKEGAEEGKKEEPKKEAPAAAAGGEEKKEDKDEDSTAAAVDKVEEKLKEMSTEVPHKHAEAVAAKN >KQL15799 pep chromosome:Setaria_italica_v2.0:III:24023932:24024825:-1 gene:SETIT_023038mg transcript:KQL15799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHHLPPDPTDYHHRGGRKGVHADDLSRGRCYSYYYGYGGGGGGGGGRALCFALLVLLLAVGITWLVLYVVYRPSHPNLAVTSAAVLALYNATANTAGGATALAASFQFTLVLRNPSARSAARYDRLAAYAAYRGEPLTPATPMPPLAQDAGTAVEVAPVLGGGVAAPPVPVSPDTAAALAADVAYGVLALRVVVLGRVRFVSGPFHRGWHSMYARCDLLLGVRKAAGNNGAGGGPQQAPLLGDPACNVDM >KQL16141 pep chromosome:Setaria_italica_v2.0:III:30882372:30883557:1 gene:SETIT_024620mg transcript:KQL16141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLNQLPVFYTLLTEEDLRKPQLPVVGDCEVGETRKACKNCTCGKAEAEAKVEKLELTVEQVNNPQLACGSCGLGDAFRCGNCPYRGLQPFKSGEKVSLSGNFLAADI >KQL16449 pep chromosome:Setaria_italica_v2.0:III:40198882:40199522:-1 gene:SETIT_0251343mg transcript:KQL16449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRGNELSEIGNLKKLRKIGVLLPGSEQDINDLRRQISKMARRLRSLSIWITPGTLSDSASLDNAGSDGTLFLRPVYLESLSINGMEKSGLPSWVTADFNNLSKITLCDTHLTAPKLDNVVSNLPHLHCLRLRRKSYTEDGLTFRAGGFKALRFLLLEGADITALTFEENSGHQLEKIFWSMDTAVAAKASAIGIEHLVELKHLIIPEGRSCPL >KQL14589 pep chromosome:Setaria_italica_v2.0:III:12195044:12197903:-1 gene:SETIT_024796mg transcript:KQL14589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAARPAATAAFAVGAVALLLLVIPPPAAAAAAVGVNWGTMMSHPIHPSAVVGMLRANGVDRVKLFDADPWTVAALAGSGVQAMLAAPNDQLESLARDPRRARDWVRHNVTANLNAGVDVRYVAVGNEPFLKSYNGSFINITFPALKNMQRALDEAGFGQRIKVVVPLNADIYSSPENKPVPSAGSFRKDINALMVDIVNFLHVNDAPFVVNIYPFLSLYQNPNFPLNFSFFDGATKPVYDQGMVYTNVFDANFDTLVWSLRKAGVPDMRIIVGEVGWPTDGDKNANVKYAQRFYSGFLKNMAKNVGTPLRPGHMDVYLFALIDENQKSVLPGRFERHWGLFTYDGKPKFSMDLSGNGKDNYLAEVKGVQYLPSQWCVFNKDAKDKYKDLPASVNYACSNADCTPLGYGSSCNGLSHDENISYAFNIYFQTMDQDVRACSFGGLAKITTTNASQGGCMFPVQILSVSGRVAPLIFLPISLVLLVSVFTLL >KQL15562 pep chromosome:Setaria_italica_v2.0:III:21313853:21316080:-1 gene:SETIT_023244mg transcript:KQL15562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQRLLRLRMASHSCPHPAAVAPRAARPLSSFLLAPSPAAARPRPAPLSCAARPCRGHAAGRRFPGVAAMSSSTPPPAPVQKSEEEWQAILTPEQFNILRRKGTEYPGTGEYDKFFDEGIYECAGCGTPLYKSSTKFNSGCGWPAFYEGLPGAIRQTPDPDGRRTEITCAACGGHLGHIFKGEGFKTPTNERHCVNSISLKFIPASEEA >KQL12904 pep chromosome:Setaria_italica_v2.0:III:1560297:1560709:1 gene:SETIT_024579mg transcript:KQL12904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSNEHSFVSRETPNSAWTEIPDASTGLQAAAAPPMVTRWSQKSPGLKILWIWTLGTAAIVVGGIVRMRVNDMQKMLREEGEAAAAAAAAATPASREHVPKDDE >KQL17180 pep chromosome:Setaria_italica_v2.0:III:48751410:48752242:1 gene:SETIT_023832mg transcript:KQL17180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGHQWRLEIASPISRQALGFVRSSWLRRGRPLDVIVVLSRRRSILIDARLLGWMIFSRFQSHSRTRFIYIQVWTERNPVII >KQL13348 pep chromosome:Setaria_italica_v2.0:III:3957984:3960145:-1 gene:SETIT_023627mg transcript:KQL13348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAAAASAAATAARWAEGYPWREKLAKYKGELGKGMWGYWELGAWKPLGISARKRARLRKEVLLAGEDWTYDPPRGEMRTKRKGHKCDRISAEKRANTVELMKKMPQMLLDYKKRRWEKKMKEEEGGKS >KQL16413 pep chromosome:Setaria_italica_v2.0:III:39490279:39494000:1 gene:SETIT_021794mg transcript:KQL16413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGASRRSSSVATAKRPAVVAAESAGVGAKAAQANKRAALGDVTNVGVEGGGRGGRAGGGSRKVSSAPAGSAASKLNSATSAAPVKKVSLASSCNVGSGRGSAMKLASTKPGQAVSRHDNTMQRQNVSPAEVPTVVQVLNVVPATALCSSIVPPPHLEDSVSTDGAMSTCDSMKSPDFEYSNNGNSSMLSSLDGRENLHLCILKDRDGNETKWKKNAPDPMEIDHVCAVENKKDDSQLYPSFASDIYMLLRESESKKRPSTDFMETIQKDISASMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSCNKISRQRLQLLGVACMLIAAKREEICAPQAEEFCYITDNTYIRDEVLEMEASVLNCLKFETSAPTAKCFLRRFLHAARACDEDPAYIEVLANYITELSLLEYNLLCYPPSQIAASAIFLAKYILYPTKQPWNPTLARYTRYKPSELCECVKAMHRVFSIGPMNNLPAVREKYGQHKYKFVAKLRCPASIPTGFFEDVTC >KQL16690 pep chromosome:Setaria_italica_v2.0:III:44059124:44059510:1 gene:SETIT_025688mg transcript:KQL16690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSSSMFHDGDLRYHGTQQPSIPASSPMQVMDG >KQL15367 pep chromosome:Setaria_italica_v2.0:III:19294273:19296944:1 gene:SETIT_021198mg transcript:KQL15367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASTIPFQGLAYLVTGEVREGAAYSLLGILRLWRLRKVKQFFTRLEKDIRFSYFWIRCARLVAVTLFLVHCAGCVYYLIADRYPHRDKTWIGAAIPNFRQASLRIRYISSIYWSITTMTTVGYGDLHAENTLEMVFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSVRAASSFVVRNRLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSIYKSICERLFLPVVKDVYLFRGVSREGLLCLVTKMKPEYIPPREDVIVQDEAPDDVYVVVSGEVEVIRFDGAEERVEATLVSRDIFGEVSALSNRAQGFTFRTRTLSQLLRLKQATLKEAMQSRPEDSVVIIKNFLKHQVEMHGMKVEDLLAENAGEQDDANNVLTVAAMGNAGLLEDLLRAGKDADVGDAKGRTALHIAASKGYEDCVLVLLKHACNVNIKDAQGNTALWHAVAAGHHKIFNILYHFARVSSPRAGGDVLCLAARRNDVGALRELLKLGLDVDSEDHDGATALRVAMAEGHADAARFLIMNGASVDKAGLDDDDGSGSSSGSGAARLAMSPGELRELLKKRELGHQITIIDSPAVVPDGGGSSRHRRQGRFQQSTSSDSARWPRVSIYKGHPFLRNHSSEAGKLINLPGTMEEFKAIIREKLKVDAEKALIVNDEGAEIDSIDVIRDNDKLFVVTEEDLRRLAAMDPVSAS >KQL15366 pep chromosome:Setaria_italica_v2.0:III:19292398:19297113:1 gene:SETIT_021198mg transcript:KQL15366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSTSNTGGSGSGTDSGRSGPGSRSSGSGSGSFNLRNLSKVILPPLGGPSSGHGQSHGGSDKRVISPLDSRYRCWETFMVVLVAYSAWVYPFEVAFMNASPKGGLEVADIVVDLFFAVDIVLTFFVAYIDPRTQLLVRDRKKITFRYLSTFFIMDVASTIPFQGLAYLVTGEVREGAAYSLLGILRLWRLRKVKQFFTRLEKDIRFSYFWIRCARLVAVTLFLVHCAGCVYYLIADRYPHRDKTWIGAAIPNFRQASLRIRYISSIYWSITTMTTVGYGDLHAENTLEMVFNIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSVRAASSFVVRNRLPPRLKQQILAYMCLKFRAESLNQQQLMDQLPKSIYKSICERLFLPVVKDVYLFRGVSREGLLCLVTKMKPEYIPPREDVIVQDEAPDDVYVVVSGEVEVIRFDGAEERVEATLVSRDIFGEVSALSNRAQGFTFRTRTLSQLLRLKQATLKEAMQSRPEDSVVIIKNFLKVEMHGMKVEDLLAENAGEQDDANNVLTVAAMGNAGLLEDLLRAGKDADVGDAKGRTALHIAASKGYEDCVLVLLKHACNVNIKDAQGNTALWHAVAAGHHKIFNILYHFARVSSPRAGGDVLCLAARRNDVGALRELLKLGLDVDSEDHDGATALRVAMAEGHADAARFLIMNGASVDKAGLDDDDGSGSSSGSGAARLAMSPGELRELLKKRELGHQITIIDSPAVVPDGGGSSRHRRQGRFQQSTSSDSARWPRVSIYKGHPFLRNHSSEAGKLINLPGTMEEFKAIIREKLKVDAEKALIVNDEGAEIDSIDVIRDNDKLFVVTEEDLRRLAAMDPVSAS >KQL16322 pep chromosome:Setaria_italica_v2.0:III:37413082:37414088:-1 gene:SETIT_023189mg transcript:KQL16322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSPLLSFAIVVAVLLLPSPSLAGDPELLQDICVADITSTMKVNGFPCKATVTEDDFYFKGLANPGNTNNTYGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEIVFVLQGTLDVGFITTGNKLIAKTITAGDVFVFPRGLVHFQKNNEDVPAAVISAFNSQLPGTQSLPMTLFAATPEVPNYVLTKAFQVGSKEVEKIKSRLAQKKS >KQL15199 pep chromosome:Setaria_italica_v2.0:III:17776017:17777026:-1 gene:SETIT_025183mg transcript:KQL15199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCLFDLRKLGHHIFRNGPAKDVEVLLDPCFVSALREHALTHLKDPAQCHLCRGPLQPAGNGCHDRMFVLLPH >KQL17368 pep chromosome:Setaria_italica_v2.0:III:50047654:50048974:-1 gene:SETIT_022714mg transcript:KQL17368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEKPLLERIKKTGGGAEEVENEEDDLVFPGFRFHPTDQELVGFYLARKVEKKGFSIDIIKEIDIYKHDPWDLPNEARHGVVQGASGDKDRYFFCLRGRKYRNSIRPNRVTSSGFWKATGIDKPIHGGGGECLGLKKSLVYYRGSAGRGTKTDWMMHEFRLPSTAASAAAAAEIWTICRIFKRNMSSYNRRCQQQQLKQEHSSERSHQQQQQQLQYYYDYHYLHQHRISVAMASSFDFDSSETKEAYGATDHNQPFLLHGFHGVSSAITTAAATSGLMMGAPSLPASTSGWSELTTLRESGSTWDELGRIMEI >KQL12983 pep chromosome:Setaria_italica_v2.0:III:1947745:1953792:-1 gene:SETIT_021999mg transcript:KQL12983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPPPPAGGFVLFLHGSAGSGDESRAQVAPYFAAPELASSVRLSFPTAPTVPIACYGDTVITAWFGISEVPITAKTVRDEKEVLKAVDYVHELLDKEIASGTSPSDIFVCGLSQGGALAIASVLLFPKTLGGCVVFSGSVPLSKSFADKVSPEARKVTPSSFPYTPVLWFHGMADGLVLFEAGHAGCAFLEELGMTCEFKAYPTLGHSMVDEELQYFQQWILSRLGISGATETARPSSFVLWLHGLGDSGPANEPIRNFFSAPEFRLTKWSFPSAPRSPVSCNNGFVMPSWFDIHELPMSAGSPQDEAGVLKAVENVHAMIDKEVADGIHPDNIFVCGFSQGGALTLASVLLYPKKLGGGAVFSGWVPFGSSVTERISPEARKTPILWSHGIADRTVLFEAGQAGPPFLQKAGVSCEFKAYPDLGHSLSKEELLYLESWIKSRLSASQEKDN >KQL16694 pep chromosome:Setaria_italica_v2.0:III:44164455:44167477:1 gene:SETIT_022759mg transcript:KQL16694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLLPFLLLLALLPSPAPAAASARNKAPVEPDSGWCPGLEEGGLPSFAAALRATCPVSAEGYSAEEVNGEELVRMLDGKKEYTAVLFYASWCPFSQRMRPLFDDLSSMFPQIKHLAVEESNVMPAIFSRYAVRTLPSIIITHGSYAFWPLGSKDLDSMANFYTAVTGQEPVAYIGPRKWSAAQNTHYAKFWNSSISEAVKQEPYLAFSILFICLRIFMFFFPKCFALIKGFWTQYFQQINLGILAKLNQLLECVPHAVDVRKVWSKLRLVAGAKNARAWASSLASVSLGGQSSPRAAVGLMGSTF >KQL12604 pep chromosome:Setaria_italica_v2.0:III:20842:22861:1 gene:SETIT_022216mg transcript:KQL12604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSLPPGFRFHPTDVELTVYYLKRKLLGKHLRCNAITEIDLYKFAPWDLPEKASLESNDLVWYFFCPRDRKYSSGLRTNRSTGVGYWKATGKDRPVFYNSRTVGMKRTLVFHLGKPPRGDRTDWVMYEYRLEDEELAASGVKLDACVLCKIFQKSGPGPKIGAQYGAPFNEEDWNDASNVECSPFAPSVAPRAPESSHGGLNSAGQHLAVSYDGKVSMGLLSESNNERAVNRVHPDRPSNIPIDCIHIELLTEIIRCSSTNLLCTAAEDGLLPDSTAGYGNEDGVSLDDTETIFCGVDEVASQPVVNNSNHCDSCEHLMHPMPEARGTEQYLELNDLSFSLADGPDSCGMLSSHDISIEHPFDLEPRSEQDSLDCISNTGNTSTSTTGGSFPSVPAVDERRT >KQL16039 pep chromosome:Setaria_italica_v2.0:III:27306502:27307281:1 gene:SETIT_024710mg transcript:KQL16039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLSNVRTIVGIAQDILAAVETASRNKTRCGRVARRVRRLRDVLELDGAEAGTTTDAAMRSLLEELEEALCRALQQVRRCQRTGFLRALVVAGGRMADPLDEVERDIDRCVQDLGLASYVRIARLEKRLRQQSVAASSSDDEVATASVPDDNKADRSGAEKDDEEDATAAEDVTATGVPLRMVAAGMREHGHEIVMLPSHGHAHGYCYWHFSHGHATGTCDCWHYYAGCPSDAASYYIQYSPYPSIFSDDNPNACSII >KQL17466 pep chromosome:Setaria_italica_v2.0:III:50575274:50577993:-1 gene:SETIT_021203mg transcript:KQL17466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPDLLSRLILLLSLAVAAAARIVPVQYLYPPFNLTYFHFIDTNGAFLLSPGSNFSAAVYNAGATEASDSQSRFFFSVLHTAPAPRLFDPSAAQLDPAWSTPRLREPVAALRLLDTGELALIDARNATLWSSLDRPTDTLIHGQPLRLGTPLTSSASDQDLSPGAYRLVLTPNDALLQWATSSSSASPAFLTYWALSSDPAAVQDSSHAVASMAVNASGIYLLAENGRGTVYRLLFPSPPPAASSKGIILKVDPSGRLRALTLAAGAAVATVWAAPANDCDLPLPCPSLSLCTAGGNGSTCMCPDALSTYSNGGCAPADGSSLPAAIPPDSSCDAGKAVKKVSYVSLGAGIGYLPTKFAQADASGDALPACRDLCSANCSCLGFFYRNSSRSCFLLHGRIGSVFRAGADAAAGFIKTLPPPPPASSRRSLSLITIVFGIVFPTVAAVLMSLLLYAMGAQCLRRRRPQHLKKSTSSWFKLPAMLSLSRAASSAPSASEDLGDDEDVLIPGLPTRFTYGELDAATDGFKWQIGCGGFGSVFRGELPDRSTVAVKRMNGLGAGQGRREFLTEIAVIGNVHHVNLVKLRGFCAEGARQLLVYEFMNRGSLDQTLFRRSGNSTAALEWPARLHVCVGAARGLAYLHGGCDRKILHCDVKPENILLDDRGGVKIADFGLAKLMSPEQSGLFTTMRGTRGYLAPEWLMNAPITDKADVYSFGMVLLEIVRGRKNSKKQPEEEEEHATTGSSGGASSEHTTSGYFPAVAVELHEQGRHAELLDPRLEGRADVAQWSGCPARDLLRYLRMYGRGLVDLRPAAVGWMAKGSDTTAGVSSSWSPPSCVSAQQLSGPR >KQL12919 pep chromosome:Setaria_italica_v2.0:III:1645657:1653532:1 gene:SETIT_021668mg transcript:KQL12919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAEPEKDAAAAAAEGDEKAEAKGSGSGWELLYCGGTSFDSMGRKVVGGAQGNLVSPTRLRPLVGVDIRFVGSGCTACHCVALDAEGRCYTWGRNEKGQLGHGDTLLRNLPTVVSQLSKYKIIKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIESSPVPCIVAEATTAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNAKDSSVKLTYDPQPRPRAIAALSGKTVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKQNVLPPNAIVSAGSASSACTAGGGQLYMWGKMKNTGDDWMYPKPVMDLSGWNIRCMASGNMHHVVGADDSCISWGVAQNGELGYGPNGQKSSANPKKVDILEGMHVTRANIGDRLDKLEIYDGDTSTEVEVEVQAAKKASTSTNSRANKRKKTKDDSESEEDDDEDESEDDENGEIEEAKGKRGRKPSNRGRGRGAKKAAPEPKPSGRGRGRPKKTESPAQKAGSSGGRGGKRGGKRGRPRK >KQL12920 pep chromosome:Setaria_italica_v2.0:III:1645657:1653532:1 gene:SETIT_021668mg transcript:KQL12920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAEPEKDAAAAAAEGDEKAEAKGSGSGWELLYCGGTSFDSMGRKVVGGAQGNLVSPTRLRPLVGVDIRFVGSGCTACHCVALDAEGRCYTWGRNEKGQLGHGDTLLRNLPTVVSQLSKYKIIKASVGRNHTVVVTDDGKSFSFGHNKHGQLGTGSLRNEIESSPVPCIVAEATTAVCGADFTVWLSSVEGSSILTAGLPQYGQLGHGTDNEYNAKDSSVKLTYDPQPRPRAIAALSGKTVVKVACGTNHTVAVDSSGFVYTWGFGGYGRLGHREQKDEWQPRLVEVFQKQNVLPPNAIVSAGSASSACTAGGGQLYMWGKMKNTGDDWMYPKPVMDLSGWNIRCMASGNMHHVVGADDSCISWGVAQNGELGYGPNGQKSSANPKKVDILEGMHVTSVGCGYGLSLIVVDRANIGDRLDKLEIYDGDTSTEVEVEVQAAKKASTSTNSRANKRKKTKDDSESEEDDDEDESEDDENGEIEEAKGKRGRKPSNRGRGRGAKKAAPEPKPSGRGRGRPKKTESPAQKAGSSGGRGGKRGGKRGRPRK >KQL16904 pep chromosome:Setaria_italica_v2.0:III:46591369:46593924:-1 gene:SETIT_024265mg transcript:KQL16904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKSVPLCGSDSAKWSTLGGDSRSFVQVLKSKPIHHQQMDDRGSFGARRAPRGGGRIWRGCGKIGHRSRNCFKSLVCGRCKKEGHVPRACPEFMPWECIAAFCGLAASGQGFHIIQDEDYGENSKDMANCAPITITGGSVIARHLEGEFKAQAGPSSPWRWYAKKLADNKFQMKFPLAKKVEELAFFTGIQMRTWNPHVGAKAELSAAWFRIFGIPPGKRIERKACYIGSLVGIPLEVDRLNLKRWEYVRVKIGCRNVSKVPAIVEGLLDLHFYDFTFQREVPTEGITNLAGNGSDTVAVIPAENPKMTEANAPGTTVLPSPETGTQEAPVPIWSSQEDQVLEELVVEGKSTLVEKEMSKAEATDELIVADSLGQLRQSDQIKKQGVRGIKIADKAELATKKKNLDVNHLSSQKSFAVLYNNELMLRSSKMGVNINSIDLEQFDVLKDMERDRANLNERNKCTL >KQL15150 pep chromosome:Setaria_italica_v2.0:III:17431924:17432711:1 gene:SETIT_023389mg transcript:KQL15150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKLISLGFIVLMSMGLANAVRVARYSSADGTGTGGGGGSGSGTGAGESGSNGVHATAGGGGGGGGTSQYGGSGYGGGSGSGSGSGTYSQGPYSGYGESSNAGGSGGGGGGGQAGGHWGSSAQGSGSGTGSGSSYSNRYWYGPSYAGANANGNGGGSGSSQNGGGGGGQGAGSGYGNANP >KQL13432 pep chromosome:Setaria_italica_v2.0:III:4445387:4449271:1 gene:SETIT_023722mg transcript:KQL13432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFELYRRSTIGMCLTETLDEMVSSGTLSPELAIQVLVQFDKSMTDALENQVKSKVNIKGHLHTYRFCDNVWTFILTDAQFKNDDNTEQVGKVKIVACDSKLLSQ >KQL13130 pep chromosome:Setaria_italica_v2.0:III:2768576:2770135:-1 gene:SETIT_024549mg transcript:KQL13130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPIVAEGDVALRVAVPPKNAAFGEESTVRRPGRLRVMHPHVAEFLRSPRRLARQAKKPAPEPEAEPARARYVCAFEDDEDGARGTTGVAAPGRLVWGKVRHHPWWPGQVFDAADASGFALAHRRPRRAVLVAYFWDKSFAWNEKATLCPFRAGFTRHAARCGMPPLAAAVDTALDEVARRVEAALSCCCGVGGGKDEATANRQEIDNAGIREGAYGAAVDAAFARVALRGEAFVGYISALATAPLGGADRVDLAIATAQLKAFGRWRGSTRGLPEYTVVHGIDGVAVKAATGRAKRRRSSSTGGGGGDGSGKRRVSRSGAKGNTASDGGDYEALELEDFPQPTPQQMSTKIGKLMNRAAKQMSVSPVILRANGDAPPAMPRMASCPRFEDKLPPMNNGDHTSVGLVLNFSSTTAVPSATHLTMIFSRFGPVKEVRTENSTALVIFKKGAHADEAFAGTAKISSISSSMISFRLTNLLPAAPIDPPQSMCVGLGSPAC >KQL14334 pep chromosome:Setaria_italica_v2.0:III:10630159:10632655:1 gene:SETIT_024813mg transcript:KQL14334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGAPSLTPLLPVLSSPASHLPIRSEQLPLPLFLLLRLSSSPRRKSARSDSTTTPRRRGLSRPVAGRDRAESRRGGRGAELLPPPPQQQQEERGRRKRSRRIYPSRAEPMDSEHWISRLAAAKRFYAAQLGHSDRAGMEELDMDEEVRPEFACPYCYEDHDVASLCAHLEEEHPFEPHAAACPVCSEMVTRDMVNHITMQHGYLFKNRRRLRRFIIPGSQALSLLSRDLREAHLQVLLGGGHRSSNNNNTTNISADPLLSSFGLSFPTSDAEQTSKSTISVPDDATMAKETPAQARKLSIDSSLTSEEREQKRKQASVRATFVQDLLLSTLFGD >KQL14011 pep chromosome:Setaria_italica_v2.0:III:8190116:8190582:1 gene:SETIT_024735mg transcript:KQL14011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINAENSSLKTMNTDQLLDLFTSTPASRKASVLPRGSSDGQSKDLKKKSGGKGLKSILNGLDELWDQSQYADEYDLNQFLAKLNG >KQL13624 pep chromosome:Setaria_italica_v2.0:III:5618178:5618860:1 gene:SETIT_024148mg transcript:KQL13624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGHVAVICLAVAALALAAAAMGIVGEATKSKAITRTRVTTHPCTMSNRRLTDLAVVQSFVRYDGASCVYRRTPAFGCGVAAASSLLTGQVVLTAAAGCWGRCRTRPDGRRAAVVCSSLLSWFLAVLAASAFLVGALRNQSGERRPREGIASTYYRCTVLVAGVFAGGSFLAVAAAVVGIASYVALEAVAGSGPPRPRAPP >KQL17393 pep chromosome:Setaria_italica_v2.0:III:50193876:50198128:-1 gene:SETIT_021618mg transcript:KQL17393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGGGCCSLRLPDDSLFLGLDCSTQSLKATVLDASLGIVAADSVHFDSDLPHYGTHGGVRRDPAERGRIVSPPLMWAEALDLLLARLRPRADLRRVAAVSGSAQQHGSVYWARGAAAALAALDPARSLAPQLAAAFAALESPVWMDSSTAAQCREVEAAMGGALRLASLTGCRAHERCTGPQIRKMHQTRPQVYDATERVSLVSSFMASLLVGGYACIDETDGAGMNIMDIATRQLREDALQATAPNLKGRIGKLAPAHAVAGKIAPYFVQRFQFASSCLVIQWSGDNPNSLAGLTLSNPGDLAISLGTSDTVFGVTESPEPTLEGNIFPNPVDPKTYMVLLCYKNGSLTREDLRNRYAEGSWDMFNRLLEETALLNGGKLGFYYKEHEILPPLPVGFHRYIVKNVSSRSLDQMVEEEVDEFDPPSEVRAIIEGQFLSMRGHAEQCGLPVPPKRIIATGGASSNPIILKIMASIFGCPVYTSQRSDSASLGAALRAAHGWLCNQQDEFVPFSCVYSGRIDRTSLSMKLAVPFGDCKRDTELLNNYTLLVRKRLEIEQKLIERFGR >KQL12738 pep chromosome:Setaria_italica_v2.0:III:647919:649348:1 gene:SETIT_022630mg transcript:KQL12738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDWQELGQTAAIGLIFAFLVAKLISTVIAFKEHNLRITRSPPASPTAASSSPPRPDTPAPPQPSRGDDVSSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAMPTEEAMQEYITIVHELFPNWDAGSSMKRKDEDSMASASGSKGPMGPVFSSLMYEEDEGNESELGDIHVLAREGATDDILKHLAAGEDVNVRGLCL >KQL12739 pep chromosome:Setaria_italica_v2.0:III:647840:650557:1 gene:SETIT_022630mg transcript:KQL12739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDWQELGQTAAIGLIFAFLVAKLISTVIAFKEHNLRITRSPPASPTAASSSPPRPDTPAPPQPSRGDDVSSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAMPTEEAMQEYITIVHELFPNWDAGSSMKRKDEDSMASASGSKGPMGPVFSSLMYEEDEGNESELGDIHVLAREGATDDILKHLAAGEDVNVRDTEGRTPLHWAVDRGHLSAVEVLAKANADLNAKDNEGQTALHYAVVCEREDIAELLVKHHADLQIKDEDGNTARELCPSSWSFMNQAN >KQL12737 pep chromosome:Setaria_italica_v2.0:III:647840:650557:1 gene:SETIT_022630mg transcript:KQL12737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDWQELGQTAAIGLIFAFLVAKLISTVIAFKEHNLRITRSPPASPTAASSSPPRPDTPAPPQPSRGDDVSSDSDWEGVESTELDEEFSAASAFVAASAASGTSVPEEAQLRLYGLYKIATEGPCTAPQPSALKLKARAKWNAWHKLGAMPTEEAMQEYITIVHELFPNWDAGSSMKRKDEDSMASASGSKGPMGPVFSSLMYEEDEGNESELGDIHVLAREGATDDILKHLAAGEDVNILKVELHCTGLLTVAI >KQL12862 pep chromosome:Setaria_italica_v2.0:III:1312084:1313306:1 gene:SETIT_023251mg transcript:KQL12862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLVFNEGRERKSVTLKRPSIIVSSPDPVFPTAPPSPPPAPALAREIARPSLQIGAQSLTPSLQNRPPPVPRLPIRPSTSRPAAPVRCPAEEDPQRRLAAALRRLAAAVLLPQLHLRRQRHRSPLFSRRNSPADAAPLPAPTQWGSSYSESPPTAGLWPGSRESSGPSHSPHGNQSANPCGQVAGNRKDLVDHIWNKLGRNPNISTV >KQL13359 pep chromosome:Setaria_italica_v2.0:III:4012559:4014603:-1 gene:SETIT_023591mg transcript:KQL13359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVDDECKLRFLELKAKRTHRFIIYKIDEKKKMVVVEKVGEPVLNYDDFAATLPANECRYAIFDYDFVTEENCQKSKIFFIAWSPDTARVRSKMIYASSKERFKRELDGIQVELQATDSAEVGLDVIQGRAN >KQL15989 pep chromosome:Setaria_italica_v2.0:III:26578710:26579064:-1 gene:SETIT_025452mg transcript:KQL15989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMLKPNPILTRMHRLPTHRVNYTPIKHVAIRSSVSLFPYVYMHG >KQL17109 pep chromosome:Setaria_italica_v2.0:III:48346234:48347192:1 gene:SETIT_024988mg transcript:KQL17109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPATSTSGRSGFPDWVLLDTVAHMGRRRDNATAAVTATSAGYPIELPPLMPVNVNRLGVDSDGFVPCLDRVRDVTFRNGWFRFIEIGFPQLDARSELNFRWTVAMFKRMIHSDNWEACGTADSAELSPADSCLPDLFPAIWKSEDRKLSLNNVISSFPTLDLYNEDTVYVMAKMTGTDPSGWVLAVNTENKKLEKISSFSKERLHFSRIYLQCDFTNHISKVPGTHLTKDLDRCTI >KQL16526 pep chromosome:Setaria_italica_v2.0:III:41312185:41313088:-1 gene:SETIT_023160mg transcript:KQL16526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALAFVIVLAASTCASTATTLTIHNLCPHPVWPLVTPNSGLPSISDNTARLDTNALLSLSFPATFWAGRVAARTGCDAAASGCWTGAAPPATVVQVTVHDGGSSQDRAAYSVSLVDGFNVPTVVTPHAAAGGQCPALGCAVDLNCDCPPAQRAADGVACRGPPGYFKSRCPLTRTTPTDVEPVPQSCRAPGEIKIVFCQTTIVTGGAAAADAEAMVIRSVVADS >KQL13251 pep chromosome:Setaria_italica_v2.0:III:3408822:3413411:-1 gene:SETIT_021946mg transcript:KQL13251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGGDGGAGAVDERGRGGALLGEYELGRTVGEGNFGKVKLARHRGTGAHFAVKILDRARVLSQRIGDQIRREIATLKLLHHPNVVRLHEVAASKTKIYMVLEFVNGGELFNRIAIKGKLSEQEGRRLFQQLIDGVSYCHEKGVYHRDLKPENVLLDTKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYMMLVGYLPFDDRNIVVLYQKIFKGDTQIPKCLSPGAQNLLQRILEPNPMKRITMAEIKTHEWFQKDYVPSVPFDSDDEDSQLDVVLPAKEEINQPPADKTTHQINAFQLIGMASSLDLSGFFEEEDVSQRKIRFTTTHPPEDLFDKIERSASEMGFQVQRGHGKLKVTRNCTGTKNPKNPASFLVCTEVFELGPSLYVVELKKSHGEPALYRQLCERIGSDLGVFKMEQIFGTRPVADDLASLDNRSATPLVAL >KQL13250 pep chromosome:Setaria_italica_v2.0:III:3409455:3413212:-1 gene:SETIT_021946mg transcript:KQL13250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGGDGGAGAVDERGRGGALLGEYELGRTVGEGNFGKVKLARHRGTGAHFAVKILDRARVLSQRIGDQIRREIATLKLLHHPNVVRLHEVAASKTKIYMVLEFVNGGELFNRIAIKGKLSEQEGRRLFQQLIDGVSYCHEKGVYHRDLKPENVLLDTKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYMMLVGYLPFDDRNIVVLYQKIFKGDTQIPKCLSPGAQNLLQRILEPNPMKRITMAEIKTHEWFQKDYVPSVPFDSDDEDSQLDVVLPAKEEINQPPADKTTHQINAFQLIGMASSLDLSGFFEEEDVSQRKIRFTTTHPPEDLFDKIERSASEMGFQVQRGHGKLKVTRNCTGTKNPKNPASFLVCTEVKCPLFSGKKMALEKYGDRLTMKWYAVCDPRCLSLAPLCTL >KQL14766 pep chromosome:Setaria_italica_v2.0:III:13727780:13729144:-1 gene:SETIT_022833mg transcript:KQL14766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGNLTNEELAASRCTKAEGPAAGAVAVAAKSPAVPSSRHWPPSTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAAQHEIDKLPPLQFPPHAQDLVAHLPSSMMAPFANGGGADRASAAANATTGAASAAMVDGDKRHCHGAGIKGLMGLNNPVGLVNGAMPLAHGLYYTAGEPWANGNSVHDQLVFYSPEGGGFAMKEAADHQFPVDNLDHSQGQLSLSSARSFLHPGSQG >KQL14768 pep chromosome:Setaria_italica_v2.0:III:13728178:13729062:-1 gene:SETIT_022833mg transcript:KQL14768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGNLTNEELAASRCTKAEGPAAGAVAVAAKSPAVPSSRHWPPSTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAAQHEIDKLPPLQFPPHAQDLVAHLPSSMMAPFANGGGADRASAAANATTGAASAAMVDGDKRHCHGAGIKGLMGLNNPVGLVNGAMPLAHGLYYTAGEPWANGNSVHDQVSHHGTSPQTVAHHSPFSSLLSLAPGPQLVFYSPEGGGFAMKEAADHQFPVDNLDHSQGQLSLSSARSFLHPGSQG >KQL14767 pep chromosome:Setaria_italica_v2.0:III:13727780:13730287:-1 gene:SETIT_022833mg transcript:KQL14767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGNLTNEELAASRCTKAEGPAAGAVAVAAKSPAVPSSRHWPPSTESRIVRVSRVFGGKDRHSKVRTVKGLRDRRVRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLDAAQHEIDKLPPLQFPPHAQDLVAHLPSSMMAPFANGGGADRASAAANATTGAASAAMVDGDKRHCHGAGIKGLMGLNNPVGLVNGAMPLAHGLYYTAGEPWANGNSVHDQVSHHGTSPQTVAHHSPFSSLLSLAPGPQLVFYSPEGGGFAMKEAADHQFPVDNLDHSQGQLSLSSARSFLHPGSQG >KQL12891 pep chromosome:Setaria_italica_v2.0:III:1483775:1486303:1 gene:SETIT_021976mg transcript:KQL12891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDGSHSLELAAAPELDDDGHTPRTGNLWTCFAHIITAVIGCGVLALSWSVAQLGWVGGPVAMVCFAFVTYISAFLLSHCYRSPDLEKRQRNYTYMDAVRTHLGEKRTWLCGLLQYLNLYGTAIAYTITTATCLRAIKRANCYHNEGHGAPCDAHDEHFYMLLFGAAQLVLSFIPNFHNMTWLSVVAAIMSFTYATIGLGLGLAKTIENGTIKGSIAGVPMSTPAQKVWRVAQAIGDIAFAYPYTLVLLEIQDTLKSPPPESETMQKGNVIAVLATTFFYLGVGCFGYAAFGNAAPGNLLTGFGFYEPYWLIDFANACIVLHLLGGYQMFSQQIFTFADRCFTAKFPNSAFVNRFYAVRVPGLPAASYKLNLQRLCFRTAYVASTTGLALLFPYFNEVLGVLGALIFWPLVIYLPVEMYCVQRGIPPWTRGWVALQAFSALCFVVGTFAFVGSVEGVIRKRLG >KQL13495 pep chromosome:Setaria_italica_v2.0:III:4791636:4794573:1 gene:SETIT_022088mg transcript:KQL13495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQEAGPAQRRSHPTHQASKQARHCSSACLLFACLLPTISFSPFSFFHTVGFSLARTKQVRQLVAMAARSGFVPPACVLVLLCLAAGVAGEALPQYYNAIFSFGDSFSDTGNFVIINSGKLPNMPKFPPPYARCSNGRLVIDFLAEAFGLPLLPPSANKGTNFSQGANFAVMGATALDLKYFKDNNVWSIPPFNTSMNVQLQWFDEVKQTICSDPEECRAFFSKALFVFGEFGGNDYSFAWKADWSLEKVKTMVPAVVSSLVRGIERLLDEGARHVVVPGNLPAGCIPITLTMYPSEDRSEYDPRTGCLKKYNSVALYHNAMLRIALDRLQRRRPEARIVYADYYTPYIQFARTPHLYGYKRGALRACCGGGGPYNYNMSASCGLPGSTTCEDPDAHVSWDGIHLTEAPYRFIANTWLKGPYAHPPLATVVREDMVD >KQL14747 pep chromosome:Setaria_italica_v2.0:III:13609849:13611392:-1 gene:SETIT_022073mg transcript:KQL14747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHTANAKKSSKFEDADSRMSRPRAVSELPQRPSQRLKPPPPPPAGAEATGGTPRRALGVRCAAPRSPLHEKKPAGPGAGPRVAELEAKLEKAHGQLMGMRDQLAAAEKARKDARAALVEAKKRLGAKKRDDAASSAPPVEHGDDKVPAPTDDGAEGANGEKGYMSSPVTDAFEAVVPSESRNNNDGPVVKEGNKTSDEEEARSNAVADGDDGKKGSPEVELLRAKLMAKDMEVYELRARLMVIDTEVDDLKRKVMSKGTELEEMKAKLMLNDELVDKLTANLMVKDAEIAALEADNADLTKVAEEAAEAVKAASARARETEHALRESAAREARLAERLRASEHAREALEAEAQRSRVQSEQWRKAAEEAAAVLGGGVDRVAGARNTDKRRYGSASAGACESEGTTAKEGDEDGTSGKRKAGGAMRALSDLWKKKAQK >KQL16514 pep chromosome:Setaria_italica_v2.0:III:41142198:41143915:1 gene:SETIT_021945mg transcript:KQL16514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQPRLGDGKERLLPAYVQPKEFLHAQVLGCRPVRQPPREPMHQVRHRRHHLLHREVVRRAHAPPGPERQQPEVRPAHVDTLAAAPGEEPLRPERVGLVGPRRRVAPHGPHVHQQARAGGDVVAADHRVLPGVVRDEERAHRVQPHRLLHDGLDVGEVRQVPFGDPAGASHHAVELLGGGRRGVRVAQELQYGPLHRRRCCLRAAADDVQEEGLDAITREPCLRWLLLPVLGQLQQHVHEVHVVVHPCSCTALPVLLVLVEDLLVELVEEHMHSLHPPNTTLHVEPAEPRHPLSYIAQQTSGRERFLERSPECFVALGATTTIGARRRPFLPQRHANNVAHREVQQVLLHLQDGAGALEHAARQGAHLLRAGVLERVDAPRGEELGGAELARHAPVGAVGRLNDALVAVAEDLAEGRGRAVGEGEVVGLEDQPRRLLGGGDHDVEGSEPEVHERAVARRELRQYAVWRERVC >KQL14200 pep chromosome:Setaria_italica_v2.0:III:9509990:9511088:-1 gene:SETIT_022648mg transcript:KQL14200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLAPAQPDGLLAAFGPKEPCGFGDRRFTSPSQLTNGDDLFYGYSSPSSPFGLASVLSTPSPCAASLSRGSSDSGSVVDDGDDAAAAADRRHRLARLALQYQEVLARFELCLSYLADASNEAAALRRENDELRVANEDLARRIKMVGDKLADEFSGLRLAEGHGHPTPLRPLAPLPVVPALPKSISVRSPGYLKMNQNGKHRPSKPTKLGSQRVFVGMDGSVKEDGERKGGEEKKLNSGLEFEVYSQGTLKTELCNKWEETGACPYGDQCQFAHGIAELRPVIRHPRYKTEICRMVLAGVLCPYGHRCHFRHSVSPADLFPQHDV >KQL15142 pep chromosome:Setaria_italica_v2.0:III:17352594:17358228:1 gene:SETIT_021091mg transcript:KQL15142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVGRVGSVISQGVYSVATPFHPFGGAVDIIAVEQPDGSYRTTPWYVRFGKFQGVLKGAEKVVTITVNGVEASFHMQLDNSGQAYFMRELVPGSEDSGTGSESEAINEPEPPARSKSDGDLYIGPSDRLGSQELNVEHQEKQTGDEFESYDGYGRLEEAEDLPTQADGGNSEVVLVSVDGHVLTAPISSTEEGMDDVQLSDPQFHLGPGQSSSGDFSRSGEVWDAGILDDLYISQEKVQFDSGHQSKVFIENEEVPSEKDESHHILVDKGEALHVSINEDEVLSVSTNEYEVHALSTNEDAAHVVSTYKDEVQNVSKSGNNDGVYQPMTTEGESRGVSGDIDVGYQPLTNEDESPDASGDNVVGYQPSTNEPKAHDIPETNDEEHKSLTNEDESCDVPVLERAKGCKSPANKDEVCDLSNEDTELEDAGASFGKKETFQSCLDLTLQIDDGDSGNELFSPGSDYQRDSELSLGNTSVAETDLQEGETKTAYCDQDGPLQEGVDVSKFTTEADRTRNKRASSPYGKESDLSPETEAAVSEGLPLSMATSDKDKLGSIPEHSEEEEQDKEEHSESPKGLGVEISLCGNMLRPGMGRESAEEAFLQHLVSEEDFKLSGSTIIKNANLIVKVDNKYFPWSKVSHVILGKAVFGSNFNIEPFDAIPVERQETPNSREDSFRVSPSSRRWRLWLNPFRITRSLQRSNSDSSEDIFLDSETVLSPMDEQALENNKSQSPRKQFVRTLIPTSEQVASLNLKEGQNLVTFSFCTRVLGKQQVDAHIYLWKWNAKIVISDVDGTITRSDVLGQVMPLVGRDWSHSGVARLFSAIKENGYQLLFLSARAIVQAYLTKNFLFNLKQDGKALPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPSDYNPFYAGFGNRDTDELSYKKMGIPKGKIFIINPKGEVAVNSSVDVKSYTSLHTLVNDMFPPTTLVEQEDYNNWNYWKVPLPDVDL >KQL13377 pep chromosome:Setaria_italica_v2.0:III:4148248:4148942:1 gene:SETIT_023755mg transcript:KQL13377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAASAAATAAGDEEQDQARMDAITEKLQTRDAMRLYNWLSQRCFSDCVVTFYRRALGKREEECVRSCVRKYQLFSTSSAARFAYLADPTSSSSAASDD >KQL15736 pep chromosome:Setaria_italica_v2.0:III:23197527:23197898:1 gene:SETIT_023975mg transcript:KQL15736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVAKTEYLIFPNAMSICFGVCYMSNRCSSTPDYITISIPTSPKLRYHITPAMRK >KQL16671 pep chromosome:Setaria_italica_v2.0:III:43675300:43679097:-1 gene:SETIT_023182mg transcript:KQL16671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMGVLARTAAPLAGAGRRHSGAVRPSASLSFAAAAATRSRGRLGVGVCARSGRSAGARRAVPRGIVASAEVEQSYIMIKPDGVQRGLVGEIISRFEKKGFLLKGLKLFQCSTDLAQEHYQDLKDKPFFPTLIDYITSGPVVCMAWEGVGVVASARKLIGATNPLQAEPGTIRGDLAVQTGSDSPDNGKREIALWFKEGELCQWESVQTPWLIE >KQL16672 pep chromosome:Setaria_italica_v2.0:III:43675610:43679050:-1 gene:SETIT_023182mg transcript:KQL16672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMGVLARTAAPLAGAGRRHSGAVRPSASLSFAAAAATRSRGRLGVGVCARSGRSAGARRAVPRGIVASAEVEQSYIMIKPDGVQRGLVGEIISRFEKKGFLLKGLKLFQCSTDLAQEHYQDLKDKPFFPTLIDYITSGPVVCMAWEGVGVVASARKLIGATNPLQAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIALWFKEGELCQWESVQTPWLIE >KQL12682 pep chromosome:Setaria_italica_v2.0:III:375390:375974:-1 gene:SETIT_025350mg transcript:KQL12682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIIPDSRTPTSRQQQPPLMQQRHHGLQGPRPATLKVTNSKAADLQAKTRRRPPVQQQARQPVIIYVESPKVVHAHPGEFKSVVQRLTGAPPPPSASSAMPPPPLQFPFQLYGQLPLVASSLSATTAAPPASPLPADDAAGGVRSGLGFFISDDQLISPAAFLYDHQSMVANPLVVPPSLGACYRHGHVFVNQLQ >KQL17097 pep chromosome:Setaria_italica_v2.0:III:48287064:48288917:1 gene:SETIT_024639mg transcript:KQL17097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPEELFVEGLTEKSPQSPSVFLDVFSPKPDDRSEGCHHVPSDMVLSYISRMLMEDDIDDKPSDHPALLQVQQPFAQILSSPSFGSNHGDTEGANDLLQDSSGGERTLHLALSKSTYAVGAFLKGMKEANMLLPIANNGFRRDELVNQMVRESSNHSGAKKRYASDDHIEEEEARRTSKSLMMIKEPKDICAHEMLDDMMLRGTETFIIRCMEKLRIAMANEAEKSTRKGSRNAVANVVDIRTTLILCAQAVAANDDMRASKLLKQIKQHASETGDVTQRLAQCFAKGLEARLEGMGSQVRQLRMADRLELLEACNLLTAAWSFNRVVLLFSTMTILQAMVGKGRLHIVDYGMRYGFHWADLLRLLASREGGPPKVKITTIGHPNLRRRSKEQIEETGYRLSKCAHEFGVPFNFYAIRKKWEEVSIKDLNTDAGEVLIVNDLMNFNTLMDESIFFDDPCPKDIVLHNIRKMRPAVFIQSIVNSSYGPSYLSRFREVVFYFTAIFDILDATIPRDSKLRVVLEQDLFGRSVLNAIACEGTDLMERPEKYKQWHARNQRAGLRQLPLKPSIVNVLKDKVMRCYHRDFLICEDGQWLLQGWMGRILFAQSTWVADDTS >KQL15323 pep chromosome:Setaria_italica_v2.0:III:18897241:18901321:-1 gene:SETIT_022115mg transcript:KQL15323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQRVYEAWKGNNKFLFGGRLIFGPDAKSLILSVSLIVVPVLVFCAFVARHLRHHFSAYNAGYAIPAVALVFMIYVLVLLLITSAQDPGIVPRAAHPPEEEFSYGNALSGGTPGRLQFPRVKEVMVNGMLVKVKYCDTCMIYRPPRCSHCSICNNCVERFDHHCPWVGQCIGQRNYRYFFMFVSSSTLLCIYVFAMSALYIKFLMDEGYPTVWKAFKHSPASLGLLIYCFIALWFVGGLTGFHLYLISTNQTTYENFRYRSDSRPNIYNQGCLNNFLEVFCSKTKPSKHKFRAYAQEEVRPPTVSFGREVEEEPVGGPRSKVEDDLEIGSDLLKISQRRNYEDVDVEMGGRRSNEMEGMASGKLVIGSESHIPAVGSDVRVRHSSWDRRSGNWDMSSDVLTRSASDVIERSVFATEAAHPSQAETHK >KQL16635 pep chromosome:Setaria_italica_v2.0:III:43203502:43203878:1 gene:SETIT_023968mg transcript:KQL16635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNPMSSNHINMLEWISLWSSHTEFELSCKDVLQVHSEINFFEMQTVENKRQEQLL >KQL17394 pep chromosome:Setaria_italica_v2.0:III:50209214:50213609:1 gene:SETIT_024933mg transcript:KQL17394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVGSMVVGPLLSLVKEKASSYLLDQYKVMEGMEEQHENLKVMLPAILERITDAEKQATYRQAIRPWLQKLKVAAYEAIQVFDEFNYEALRRQAKKEGRYIKLGMGNRIMFRYRMGNKLCKIVRDIEALVKQMRDFRSRDEEKQKIVRMLVKEQANNKDPMVVPIVGMGGLGKTTLAQLIYNDPEVKKHFHQLLKWVCVSDDFDVCNLANKICNASESNLENALQNLQRELAGKRYLLVLDDVWNKDANKWNKLNACLKHGDVGSAILTTTRDKEIAQLMGTVEEHGIARLDNKFIKEIIEAKAFISQERKRADLAGLVDDVVDRCAGSPLAAKALGSVLRGKTTKEWEAVLSKSIAHNKDDQILPILKLSYDDLPSHMKQCFAFCAVFPKDHEIDVERLIQLWMANDFIPEQKDGHHETIGKQIFSELVSRSFFQDVKQVKGSRYGSVYWYFSTSTCKIHDLMHDVALSVMGKEVATITEKPKQSDEFLQNTCRHILLSCKKPEAVLNDSLNIRSPAMQTLLCDEDIESSLQHLAKYSSLRALRLCQIKSTILLKPKQLHLLRYLDISGSDIVALPEDISILYNLQTLNVAYCQKLGRLPKGIKYMTALRHLYTHGCRELKRMPPEVGHLTSLQTLTNFVVGACPDCSSIAELQHLNNLGGPLLLSQLENVTKAADAKQANLGNKEELRELSLNWTWTGSQEEKLHCHKVLEGLEAPPGLEALRIEDYQGTSFPTWMGTLTKMVELHVSNCNKSNKLPPLRSVPALQVLRLKRLKKLESLCSGGTFFHFPNLKELTLDELPEFDRWCEVNWVQGEQIMFPQLEKLFITNCGKVTALPGPALLGGSCSGDYKEQDERKLWSAFPVLKVLELKCLAKFQRWGGAAEATQGQQIIFPQLEGLSIEKCPELAALPSATSQGVSFDHSDVTAWSAFPKLKKLLLEDLDSFKSLGMTEATHGDQQIFPDLETLRVEKCPKLTTLPGLQDLKIERINHALVYWPEKEFQSLVSLRRLTIDDCSGLIGYAKAAPGQPISERNQVLPRLEDLDLWDCESLVEVFNVPASLKTMDLRGCPKLKSIFGEQQDEPTFNQGPSAAPKLSSSARDHLLLPCLESLYIYRCESLSEVLNLPPSLREIDITRCGKLQLLSGQLDGLQRLVIRICPELRSLESCLGEFSTLEHLSLRECESLASLPDGPQAYSSLRHLQITSCPGIQSLPSSLKKRLDNIPEEYKYLDARYE >KQL12674 pep chromosome:Setaria_italica_v2.0:III:325385:325896:1 gene:SETIT_025385mg transcript:KQL12674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQHQLYSRMLGNYDKLISLSFETKVSYLANSCVDTQICI >KQL15563 pep chromosome:Setaria_italica_v2.0:III:21318236:21319729:-1 gene:SETIT_021844mg transcript:KQL15563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSLLFSTHPTPPLLPAPRLRSLLRLRASSSSASASAPPRAARRSPRPAPSRRPPSSSLYARPSLLDMERERAARRADVDAFLASLGVDPGELAGFELPVTVDVMRERAEFLASLGLTREDLAAYPLALGCSVRKNMVPVLDYLGKLGVRRDALPDLLRRYPQVLHASVVVDLAPVVKYLQGMDVRPNDVPRVLERYPELLGFKLEGTMSTSIAYLVGIGVARRQIGSVITRFPEVLGMRVGKIIKPFIEYLEGIGVQRLSAARIIEKKPYVLGFGLEDKVKPNIEALMEFGVRKEALASIVMQYPDVLGLELRDKLVTQQSLFESSILVSHDDFGRVIERMPQAINLGRTAVLKHVNFLTACGFMLSQVSKMVVACPQLLALNMDIMRMNFEYFKNEMERDLEELVEFPAFFTYGLESTVRPRHEMVSRKGFTCSLAWLLNCSDARFDERMKYDTIGVEEMEAEESSDMNAFLEEVESEEEEYSDYDDSDDEFVR >KQL14322 pep chromosome:Setaria_italica_v2.0:III:10548895:10550052:-1 gene:SETIT_022977mg transcript:KQL14322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPRLAILVVLLAASSALAAAQKAKAKPASGPAGAADALPPTDVNKALKDDQFSEFKQLLHDTRVDTQINAQLTDSYNGLTIFAPTNDAFDKLKAGVLNGLSPQDQIQLVLYCVLPRFYSLSMLGTLNGKVNTQGSGHDGPYRYDIKRAGNNVNISTGVNSMLLGSPVSKDFPLAVYPVDKVPLPYELFGPKPPTPAPAPAPAPTKSKTKKKHKKSTGIAEPPVADDSTASDEDKKSAAVPGAGVARWVAAALAAAVVGSLF >KQL17011 pep chromosome:Setaria_italica_v2.0:III:47583339:47587814:-1 gene:SETIT_025264mg transcript:KQL17011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRGLGVGVAPRRAPAAGPSSGGDENEPPGVSSAAASRPPLRAIQPRPAPAASSRKLQWPALTPSRGPGARAAAGKAPARRSASHAPARSLRKPAPRLGLATTGAASSGEAPPPFKVREAISFWEENRHNVQIDSCSDEGSVRNTEEILSLQLELDMLKTILMEEVKARAEAEDRAAALGDELKAANFHVLEACRQKEATEKDMETVESNLIDVIKELNELQKNNFQSPVFPKKMDMETSRLNSALDTHSRNFNTRYQQDQASRGCAEQENDEVCREVILSLTEQLFSVQLQLNASKKNELLARQNLDEIRRIEFLLDESIEALVQTEVLEQNYVSLLRGMEEEICQLKSQLNQSDRCYEVRLKELEIKMQELKVEASASLASWNKEREIAEQRKAYVEQKNEEIKILELSNEDLKVDVNMKEEAEHQRRQQGKIEVELQNVRQQLRAVTSSGKAGSFLEDGIVDLADTTRFQSDMNSELSGAQEGRIFQREVSVEPAPQVDQSDVEMEKAQSSDMDYWSENSKFEHPSACEELEQISASKQPELFGSGACSDQSLPAVESVIEVLKVNELPPVAPVRPNDPVNYMRAPSDELKRLRSRNHYEGHRTATDRRFWSIEQQDLYTSIYSRAKLFDMKWIDWEHIDSIDQFACVREQCAHLGLEQIISYHCDWNSELIKQFYSTVHISADKSSMTWMADGRRITTNKRAWEERFGIPGGVQTEIHSQFLLDDDDKRVLYTDAEWTLGQISGLSPLPSIANKIIRTTIYPKTGNTLHAHNWNLLHHIVEQHPFDIIALIFGEIELLISDRNRTKDLLLYAPYIMGMIMGAFEYDGPRESRHHSYKPRHSYKLKRTRRVSRPPASSVAAPSEQPPSTFQPEVEAHVDADHHRQFKAAGHRPQGEGEAVKEQTVLAQAITRTDLLQVVDDRLRPIRDSLTSMEGRIGRIKGGHALPVQHTTPAQIPAPHSFLAPSLQATTPAPAVSSSKLSSRMHTHNSSVSAQPAHMSPCPFSQPVLVRPSPFSRFPWYQGKK >KQL16105 pep chromosome:Setaria_italica_v2.0:III:29581314:29584364:-1 gene:SETIT_023573mg transcript:KQL16105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARCAPGPRAHHRGRSPTHRVEQGGGGGSSLCRHSLGARHRLAARVEHGVVVSSRSSTSYYSFTHQISLLLPFSDFPLIELIPDLGFRPWELLLRCTAWLSIPCINFCIWTQISSLINPQSLFFPVFSIYHCSVVLQFLMLY >KQL13365 pep chromosome:Setaria_italica_v2.0:III:4042828:4043055:1 gene:SETIT_024061mg transcript:KQL13365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVKPEAEEEGDRAEATQPEMKTFDPWPVFFRREWRRNWPFLTGFAITGFLITKMTANFTEEDLKNSKFVQEHKKH >KQL15679 pep chromosome:Setaria_italica_v2.0:III:22486702:22489386:1 gene:SETIT_025357mg transcript:KQL15679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPAPDSATIAEGRRRGLSTRETPRLRLRRRLADLDHPPLHGRRPSSSRRRIPLSRRCSSTSCGAEQHSCRCLLVHPHAVLLFLAVDSGKHGSHQELLLGLAQLRQQFPRPVPPSAAALAPGTRAALMPLRVVRAASRRSHRAGPRASSVARMSGACNAITSANMLMGQHLRAGRLDAVREVFNGMPRRDVVSWNTLMATHARSGAHGSVAAAFAEMRRHGFHADNVSFSTVLLACSRMEALELGMCIHGLATKTGASRNVFVGASLTTIPNVALWNALISGLVMNHRVEDARRVFDKMTERNVVSWTAMVKGYVRVHELGWAFELFNLMPAKNSVSWCVMIGGFVNHKRFGEAVDLFKSLVSSGEEVTSAVLVKIVRACSSLKSIGGGQCIHGFAVKSGFILDQIIEASLVMMYCNSLDIDEARLEFDRMERKHVGSWNAILSGYIHANRLYEARQLFDSMIDRDRISWNLMVSGYIKDGRIADATELYSKMPEKSVEAGTALMSCFIDNGMLDKARHVFYNMPEVDVMSCTTLLFGYVKGGYIDDALVLFNRMRKRTVVTYNVMIAGLLHQGKVTEAYKLFDESPMHDLVTWSCLINGLAQSGLNNDALKLYKKMLLSNIRPSDSMLSSLIGCFSHHSMMVHGQQFHGIIIRLGFESHLLIQNSLISIYCRCGEMLMAQGIFDSMARRDVVTWNTMICGYALNGLGQNAIQTFGNMNKAQVDPDDITFIGILSACNHMSLLEEGKHFFNIMTCSYGILPNKMHYACMVDLFSRRGMLEQAEELVKSMPFEPDSAIWTSLLSSCRLNGNGRLAEHAASQLISINPTTKMPYLHLISVNRSTERWSVMDNLRSQIGNAATDKE >KQL13080 pep chromosome:Setaria_italica_v2.0:III:2467918:2471386:1 gene:SETIT_024652mg transcript:KQL13080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTAHEQGGEAGADVNGKGTITSPLLIATERGGYTNFIQLLLKAGADPNIPDYLGRLPIELAALNDRRDEVKLLFPLTLPIPDVPNWSVDGVISRAKLKNSKPLDERQSKRRKAILKSQADMAFRRKEYDVASKAYDFDRDKHSSILLRKLLMGDGEGALSDAYRCRMMRPDWAKACYCQAAAHMLLKEYKQAHDAPLDAQNLDPGNDEIERELRQLMWKSEKLK >KQL15285 pep chromosome:Setaria_italica_v2.0:III:18591749:18593152:1 gene:SETIT_022966mg transcript:KQL15285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQLAPGFRFYPTEEELLCFYLRNKLDGVRSGDIERVIPVADVCALDPWQLPEAYRGEFSGNGEPWFYFCPRQEREARGGRPSRTTPSGYWKAAGTPGLVYAADGRPIGTKKTMVFYRGRAPAGAKTEWKLNEYKSLEEEDEYAAAAHAAVPAPSFALQTRSEFTLCRLYTKSGCPRQFDRRPGAAAAAGGGSENPAAAALANGEETGRKRRRAAPSEDTSSSDGGGDPSTQQRLRQRGADEGPNDDMVNLSELLDYWFDTENSF >KQL13167 pep chromosome:Setaria_italica_v2.0:III:2931778:2933745:1 gene:SETIT_022823mg transcript:KQL13167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPYRFSNVLIGYLNLGTLLASIPIIGAGLWLAKGSNTTCSSILQTPLLVIGFVVLLISLAGFVGACFHVAWALWLYLFAIMLLIAFLLGITAFGFAVTAGGGGTQIPGRPYREYHISDYSSWLQHHMQDMKYWRPALACVVGSKACDKIESWTPMDYLQHDLTPIQSGCCKPPTACQYSGGMPVGAQDEDCYRWNNAPNILCYQCNSCKAGVMEQIRQDWHKISVLNVIVLVALICICSCGCCAFRNARRSLSEYPYGVAMVP >KQL13166 pep chromosome:Setaria_italica_v2.0:III:2931778:2933745:1 gene:SETIT_022823mg transcript:KQL13166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPYRFSNVLIGYLNLGTLLASIPIIGAGLWLAKGSNTTCSSILQTPLLVIGFVVLLISLAGFVGACFHVAWALWLYLFAIMLLIAFLLGITAFGFAVTAGGGGTQIPGRPYREYHISDYSSWLQHHMQDMKYWRPALACVVGSKACDKIESWTPMDYLQHDLTPIQSGCCKPPTACQYSGGMPVGAQDEDCYRWNNAPNILCYQCNSCKAGVMEQIRQDWHKISVLNVIVLVALICICSCGCCAFRNARRSLSEYPYGVNRMSKINPRWDYYWLRWFRDRREQLY >KQL13165 pep chromosome:Setaria_italica_v2.0:III:2931778:2933190:1 gene:SETIT_022823mg transcript:KQL13165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPYRFSNVLIGYLNLGTLLASIPIIGAGLWLAKGSNTTCSSILQTPLLVIGFVVLLISLAGFVGACFHVAWALWLYLFAIMLLIAFLLGITAFGFAVTAGGGGTQIPGRPYREYHISDYSSWLQHHMQDMKYWRPALACVVGSKACDKIESWTPMDYLQHDLTPIQSGCCKPPTACQYSGGMPVGAQDEDCYRWNNAPNILCYQCNSCKAGVMEQIRQDWHKISVLNVIVLVALICICSCGCCAFRNARRSLSEYPYGVNRMSKINPRWDYYWYSAKKNYVFTLFFPIWHLFFSS >KQL15179 pep chromosome:Setaria_italica_v2.0:III:17644470:17649762:-1 gene:SETIT_021238mg transcript:KQL15179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLASLARALGRSARSSRPRQGFQLGGLGGVRSPPLPPPVHGGEGGGLGLVRGYLTAASAAGLGRPAGGKAVDWRYLLASPQFRRLFSDESKKNYENYYPKGKKEVPKGDGSNKSESKQESTDEGGWNFQETAMKQLQNFLAPLLILGLMLSSMSSGTADQKEISFQEFKNKLLEPGLVDRIVVSNKSVAKVYVRISPQPKSQGQNSDTHISTIDVPGKPAPSRCKYYFNIGSVDSFEEKLEEAQEAMGIDPHDFVPVTYVAEVNWFQEVMRFAPTAFLVGLIYLMGKRMQSGFNIGGGPGKGRGGIFNIGKATVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKSPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLKKLKLDNEPSFYSQRLAALTPGFAGADIANVCNEAALIAARNEQTQITMQHFESAIDRIIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQRDDGFEMTKPYSNQTASIIDDEVREWVGKAYKKTVELITEHKEQVAQIAELLLEKEVLHQDDLTRVLGERPFKATEPTNYDLFKQGFQDDDDKSQAPAKNAELPDDDASPSLGEVVPT >KQL12767 pep chromosome:Setaria_italica_v2.0:III:767064:768455:-1 gene:SETIT_024335mg transcript:KQL12767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGVMSGCIIFIQKDLHITEVQQEVLVGCLSFISLFGSLAAGRTSDAIGRKWTIGLAAAVFQAGAAIMTFAPSFAALMTGRLLAGIGIGIGIMVAPVYISEISPATLRGTLASFPEIFISFGILLGYVSNLAFAGLPDHINWRVMLGAGILPSISIAFVLMIIPESPRWLVMQSRAADARAVLDKVSDSEEEAHERLAEIEEAARVTASDKAAWRELLRPSPVVRRMLITGLGVQFFQQATGIDALVYYSPTIFRNSGITTESQLLAATVAVGVSKVAFIVIAIILVDHVGRKPLLYISTIGITACLAVLAASLSLLARGALPGGVAIGLAMLTVCGFVAFFSVGIGPINMVLSSEIYPLRLRAQAVAIGFALNRMASGGVAMSFLSICRAVTVAGAFAAFAVVSALSVVFVHLFVPETSGKTLEQIESLFGGDGGGVTTMSGEVELGDAERLEHKRLVPLASS >KQL15099 pep chromosome:Setaria_italica_v2.0:III:16838300:16842796:1 gene:SETIT_022162mg transcript:KQL15099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQSNRLFSIPDWVVLDHQIFPKDLDSFRNNAVTSAEVCASNSELVRVSFILSALPGTSRLCVHLKEGHELSCLDTVVAAHGKAVLFRLKVDFEGLTGKAIDYFIYWAYTSGPKLSLVPRYYSTVKEIAAAEEGSWRRRLRYRMANYRDAPLEGELFRLRSDGAGAAGEWEVKNTSVRDGKPTFRDIHGWWEAHKVVPYARYLCWVDYYRGVIFCDVNNDNPELQYLALPVGYVLPGYPVPFRSVLPQVFRAVCITKDETMKFINVVHDDSFPMVSAGSSFTIVISTLVHDYDEMRWQEDLKIESHELWEMEGYDDQLPRIAPLFPLMSVDNPNIIYFVLRERKTLDAGAKTCVVTLDMVNKKVLSYKDIKAIPEEDPVMASYNIFLNVPFFPSEFSKHLQKAAPMKKKESQEVIGC >KQL15100 pep chromosome:Setaria_italica_v2.0:III:16838300:16842796:1 gene:SETIT_022162mg transcript:KQL15100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQSNRLFSIPDWVVLDHQIFPKDLDSFRNNAVTSAEVCASNSELVRVSFILSALPGTSRLCVHLKEGHELSCLDTVVAAHGKAVLFRLKVDFEGLTGKAIDYFIYWAYTSGPKLSLVPRYYSTVKEIAAAEEGSWRRRLRYRMANYRDAPLEGELFRLRSDGAGAAGEWEVKNTSVRDGKPTFRDIHGWWEAHKVVPYARYLCWVDYYRGVIFCDVNNDNPELQYLALPVGYVLPGYPVPFRSVLPQVFRAVCITKDETMKFINVVHDDSFPMVSAGSSFTIVISTLVHDYDEMRWQEDLKIESHELWEMEGYDDQLPRIAPLFPLMSVDNPNIIYFVLRERKTLDAGAKTCVVTLDMVNKKVLSYKDIKAIPEEDPVMASYNIFLNVPFFPSEFSKHLQKAAPIRKRARK >KQL14103 pep chromosome:Setaria_italica_v2.0:III:8832747:8834143:1 gene:SETIT_022824mg transcript:KQL14103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRVLRLLAARRAVLTTITTPAPASSEVPDSDVEEEEDEGPFFDLDFSASSVRASSSSAGSASSGSESDDAFTELDFIISLHRSRSASPSYDALFFGGLAPPPPPPPPMPRLKFCASEPSAKATGMQAQYGGKRAGGGGLRTLSFGARKAAFYGGRPSFARSSSSARSLRLFMESPADDEDEEVTEEPRRTPSRDVIRRYLTKISRRLRGVRLRAGAAAEARGLRRLRKSRSASAAVTLASPAPSRRDDSLVEKQDGIASAIAHCKESLHRASLSECDSPLLRSRSDPGKCEAAA >KQL15375 pep chromosome:Setaria_italica_v2.0:III:19383629:19384645:-1 gene:SETIT_023246mg transcript:KQL15375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRLLKAVATGDLGLLEQALGLKPSPATAEQGDLSCLDGVTAGGGSALHVAASRGHLELVKMVCAQAPSLIRRRNHLGDTALICAARAGHADVADYLAARAVEENEDGNPTLRATNSGGETAMHEAVRNGHALVLEKLMSRDSGLAGVVDGDGVSPLYLAVASNRADMVRVLIRESPDGVKSSASFSGPDGQTALHAAVYVSRGRLHII >KQL14452 pep chromosome:Setaria_italica_v2.0:III:11328584:11329203:1 gene:SETIT_023681mg transcript:KQL14452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMADPAPNTSLIMLLGASAVVVFSVAGEAPVHAGFALAGFLLWLLGVARLLLLGRIGDGLRRPLFMGALVPAAANLAVDKLKHFVFGRQDPAEPAPARPFDLPDRHGEMRPLR >KQL15793 pep chromosome:Setaria_italica_v2.0:III:23902442:23905243:-1 gene:SETIT_022999mg transcript:KQL15793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKARECLPLILILRNRLKYALTYREVISILMQRQVMVDGKVRTDKTYPSGFMDVVSIPKTGENFRLLYDTKGRFRLHSIRDDEAKFKLCKVRSVQFGQKGIPFLNTYDGRTIRYPDPLIKANDTIKIDLDTNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKSREKHKGSFETIHVEDSLGHQFATRMGNVFTIGKDKKPWVSLPKGKGIKLSIIEEARKRNAEAAEA >KQL16517 pep chromosome:Setaria_italica_v2.0:III:41218543:41222001:1 gene:SETIT_021390mg transcript:KQL16517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGRDRGAAAERVAAAVEAAASGGWEFRNAYRRQLLALSRRIRLLGPFAEELREARGGAAEGEQERALAPLADALGTALDLLRLGRDGSRIFLVLERDNIMKKFQGVIAQLEQALCDFPYSKLDISDEVREQVELVHAQLRRAKERADMPDDEFYNDVLSLYNKSYDPSAELDILKRLSEKLHLMTITDLTQESLALHEMVASGGGQDPGEHIEKMSMLLKKIKDFVQIQNPEMGPPIGTKLMDSNGEPRPANIPDEFRCPISLELMKDPVIVSTGQTYERVCIEKWLASGHHTCPNTQQRMANTTLTPNYVLRSLIAQWCEANGIEPPKRSSQPNKPTSACSSSERANIDALLSQLCSHDPEEQMSAAAELRLLAKRNANNRICIAEAGAIPLLLSLLSSSDLRTQEHAVTALLNLSIHEDNKASIISSGAVPSIVHVLKNGSMGARENAAATLFSLSVIDEYKVTIGGTGAIPALVVLLSEGSQRGKKDAAAALFNLCIYQGNKGRAIRAGLVPLIMGLVTNPTGALMDEAMAILSILSSHPEGKAAIGAAEPVPVLVEMIGSGSPRNRENAAAVMLHLCSGEQQLVHLARAQECGIMVPLRELALNGTERGKRKAVHLLERMSRFLVQQQEEKEAQLLASTQAIPQIPEQVQEIDIPEQLDSPSSQYPTVA >KQL16918 pep chromosome:Setaria_italica_v2.0:III:46685191:46686975:1 gene:SETIT_025034mg transcript:KQL16918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENQIVPYVLATICSFTNPVKFIPFSIRFVRIPTDSTPGGSGDLSGRRGVRFSAGSGEIARSRTPPLFQDKMAAARRDFDEQDRRAAKGTEVFVGGLPRSATESTLREVFSPCGEIVDVRIMKDQNGLPKGYAFVRFAKREYANTAKRQKNGMELQGKRLVVDLSMDQDTLFFGNLCKGNLHPW >KQL12622 pep chromosome:Setaria_italica_v2.0:III:74124:75688:1 gene:SETIT_025676mg transcript:KQL12622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELCSKIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDYPERDPFEEEEI >KQL12621 pep chromosome:Setaria_italica_v2.0:III:73523:75688:1 gene:SETIT_025676mg transcript:KQL12621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLQLPADDSVLLLVTHSNLSTFAADIRVSQQTTVEALKDKLWRKTGTAVASMRLQLRDDTGAKVADLDDDDAPLAAYGPYNGYRIHVLDLDPSSITSGGWLEDTSLVDKYKMSDEAYDKLHTNFRKFKEKMAPKTSTSEDKQQSEKHMEELCSKIKVGDRCEVEPGAKRGTVKFVGRAEALGRGFWVGVQYDEPLGKHDGMVKGIRFFECPQGHGAIVRPEKVKVGDYPERDPFEEEEI >KQL14083 pep chromosome:Setaria_italica_v2.0:III:8682936:8683399:-1 gene:SETIT_024002mg transcript:KQL14083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLYLLACFMWRFVFVVIPSTACPFRGLPREVQVWCRFVFGCNSYGFRTT >KQL12917 pep chromosome:Setaria_italica_v2.0:III:1622984:1626634:-1 gene:SETIT_021939mg transcript:KQL12917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALEEAHLAAAVAAACACEEEDDDLELLGGEAEPLAAVAAADAMEPAIRALLVGLGEDERREGLRRTPKRVAKAFRDGTRGYRQKVKDIVQGALFPEVGVDKRTGSAGGTGGQVVVRDIELFSYCESCLLPFSIQCHVGYVPSGGRVVGLSKLSRVSDVFAKRLQNPQRLANEVCGALHASIQPAGVAVALQCWHIPLPENLECKTLQGWIRTSHSSRSGVFEGENSTFWSDFLALLKLRGIDMEVDNHSASIPWCPLRSHEVPLSNGHCKKSSTNGMVSAVTSMLLSLGEDPLRKELLGTPQRYVQWLMKFRACNLDVKLNGFTLGNVSMYERPGGGKADHGAIHSELHLPFCAQCEHHLLPFYGVVHIGYFDDGSGEGIDRSHFQALVHFYGCKLQVQERMTRQIAEAVYSVSHNGVMVVVEANHICMISRGVEKIRSNTATIAVLGQFLTDPSAKACFLQNVLDTAGSAV >KQL14202 pep chromosome:Setaria_italica_v2.0:III:9518045:9518678:-1 gene:SETIT_023532mg transcript:KQL14202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSISCALKPPAPVKEASARLQPSPPATNTPWSGGLRRACAAAAACVVIGTAGGGDAALARGGATVVPRAAGDVVAAVDARAPPRWSDRRECPPWRANSLENIVPENLPRPSARRSFNSIKAPERGPALAPEAVAPFLEPHSGLGCFSL >KQL13462 pep chromosome:Setaria_italica_v2.0:III:4584376:4584900:1 gene:SETIT_025767mg transcript:KQL13462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTEASMNTAKPTSSSRFSGLRLPGLSFLGASSTLS >KQL14235 pep chromosome:Setaria_italica_v2.0:III:9806259:9806877:1 gene:SETIT_024661mg transcript:KQL14235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRQHALLLLSAVVASLVGGSTAGVYHIVGAGKGWRMPPNKTYYDDWARSRHISIGDKLMFLYRSGVHNIVEVPTRALFDACSMRNITSRYQNGPTIIELTEPGQRYYFCGVGEHCEVGQKLAINVLLVAPPLPDTPSSGAAARRGTGLFGLAAACLVPALLMAV >KQL15026 pep chromosome:Setaria_italica_v2.0:III:15774873:15778777:1 gene:SETIT_022530mg transcript:KQL15026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLLNVPDGRLLHDVPHLEPLDGLVLRPERQEPRPDQKIFNKRGREMRRPSRPLLTLGQHLAQLEQRMYLTWPRPCLLRPPLRRLKVCAQRAAALPVHLASPVFTSPRSHLSRGLLPRGDCWASGAPLRQALRRRRAYTSELTKMSGNQPVGVDNTSRRKFDKEEYLERARQREQREKDEARKGKERGPLVQRQPLKHRDYEVDLESRLGKTQVVTPIAPLNQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLEQVQKRFEALKKRKDPSTFTEQDLDERIMKQQQEEEERKRQRKEKKKEKKREQAAQHEPEDVDPDIAAMMGFGGFGSSKK >KQL17145 pep chromosome:Setaria_italica_v2.0:III:48523332:48523960:1 gene:SETIT_023725mg transcript:KQL17145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKSAATALVLLLLTFGAEVKGSCNKAACNLACMRMGYQYGGRCVGTFLRRYCKCRSFWKDVDDARVGAEQGGDDGGKAPPPQYGLGEDELATFMVMARRGRGHV >KQL12662 pep chromosome:Setaria_italica_v2.0:III:244526:246236:1 gene:SETIT_022524mg transcript:KQL12662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLAAAVGLLLAAAAVVSARAPLSLTELQATNATSGDGLSVYFHLESCPQLETLVRSNVDAALRQNVRLTAGLLRLFFHDCFPQGCDASILLDNGERNLPPNVGLQQEVLNLIEDIRAKVHAQCGATVSCADITVLATRDAVNLAGGPAFSVSLGRLDSLAPASDNDVFKLPPPTATVDELLSAFNAAGLTDPADLVSLSGAHTVGKARCSAFGAISGPASDDITRCITEPCSAAGSGDRLRDLDFLTPSVFDNIYFIELTLKKNKGVMLPSDQALVTDPRTSWLVQGFADNHWWFFDQFKTSMIKMSNLKGPQGNVGEVRRTCFRRNSAGSTVQNAGDEGLVSPA >KQL13935 pep chromosome:Setaria_italica_v2.0:III:7846209:7847406:1 gene:SETIT_025311mg transcript:KQL13935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYKALIAKVCSMDLIIVAIATSGTAASIMPSGRTAHSRFKIPIKLGDSTMCSFTKQSGTAELLRRASLIVWDEVAMTKRQAVKALDRILQDVMDCLQPFGGKVMLFGGDFRQVLPVVARGTRAQITDATLLKSYIWESVWRIRLTQNMRAQSDTWFADYLLRIGNDTEETIGDDYVQLPHDILIDSPTDDIFIDTLIDHFKRKQFPIRLSFAMTINKAQVPHRQLYVALSRGVSRETTWVVARKNKDMDRSGKGTKNIVYRDVLES >KQL16011 pep chromosome:Setaria_italica_v2.0:III:26980460:26982518:1 gene:SETIT_024038mg transcript:KQL16011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEEISSPIAAHILDFYDGGLGMTSLLRRLPPPVHSLPLMMIYGDDAPAAGATAYSPLPSFDTTLTALLEEEQHRGLDTELLPQIDGLSEVAYYPTATDEAGIGQFNQMGLPETLAEQVPPMQMSSSASVLMPPTSDYDECYTAAWQTGAILPGCNAEASQQGFFNSASSNSTVMIGEYQKMMEDSMQGTFNTNAEMQVGGNNQHLINGCNGNPTTLPPTELSGLEDSTFKVVRLSPEERKEKIHRYIKKRNERNFSKKIKIAGPVSVECFAKNDELCEAAQSGSQSHEHYEQTDHMKEEDMMDTSDILAQLSGLNSYNYKYKCTIESWI >KQL15564 pep chromosome:Setaria_italica_v2.0:III:21323134:21324330:1 gene:SETIT_024629mg transcript:KQL15564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASICRRRLLRLRQIPSAAGTNPSWPNPIDAPPSHGYSAAALAGATVSEPCPITVSYLISCGLSPAVAAAHKLRIRSTDRADTVRALFRSYGFTDADITEMVRRDPRILTLDPDRILRPKLDFYASLGVQPRKLATTPLFVTRSLNKHLVPCIQFLRGILGTDGKVCRAISRNPSGLTQADLDKNMRPAVDTLRRLGLPEESISKLLTIELGVLRLSPDRMSQIFEDLKSLGLGVTDTGLLYGIRALSCISRETWLRKVSLYKSFGVSEGELLKAFKTQPTMLLFSDESIKKKLRFLLDELKLELSEVMRLPVVISYSVEKCIIPRCAVLRVLMREGKIEPNINLLSALIGSAKIFSKRYVLRYAHDVPDVVKAYEGKIAFEGFRDRDVLVPLKPSG >KQL14669 pep chromosome:Setaria_italica_v2.0:III:12822673:12823449:1 gene:SETIT_024871mg transcript:KQL14669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYEFCLSRICQNEIELDAKALCSIPFTKISVDVLEKMSFQIKKSSNWSVTEVTLQNGCLRYEVSFQGNNKRCFHVTCTFGSSLIDARCHCRKLEREGIPCAHTFCVMKYSRIESIPPCCVYLRWTMNAKSAFPTEMRTNMHVWTEQMDRYHFFRSKGNRALFKVSRSQGETERVMKLLDDILKEDTHEQGMEEETPFGPLPAHFSAANQPGGTKVLDPVKIVSKGAPRSNKRWKASHEFWGTV >KQL13329 pep chromosome:Setaria_italica_v2.0:III:3852657:3853639:1 gene:SETIT_025727mg transcript:KQL13329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIETNVEDDSSDEVYVKHSSSLLLTYAGLSEPLLVRGSEPSSPLSTNKSVYANLLDDQDQYTTKGGLRRLWDNIPLESSSRM >KQL15474 pep chromosome:Setaria_italica_v2.0:III:20555275:20555777:-1 gene:SETIT_025471mg transcript:KQL15474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATAIAVRAPSQVPSSKRLLRLSWIDGGPLSHLCHPRTPSEGRTRWRWLRLAREGRVEGATASPRLTADRRRRADSVSALSRH >KQL16796 pep chromosome:Setaria_italica_v2.0:III:45204017:45205886:1 gene:SETIT_021896mg transcript:KQL16796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRPDAEAPLLGKPGAASPPSPPAKRTNKYPFFCAVLASMTSVLAGYNVAVMSGAQIFMAEDLGVTDAQVEVLSGVINVYSLVGALLAGWTSDRLGRRLTIVLANVFFLVGPLAMTLAGGYAVLMAGRFVAGVGVGYAFVIAPVYAAEIAPASSRGLLSSLPEIFINSGVMLSYVANLVFSGLPVHLSWRLMFAAGVVPTVFLAAGVLTMPESPRWLAMKGRVAEAKAVLDKTSDTPAEAEQRLLEIEDVVNGGGRSGEGSGGGAWKEVATKAGVRRVLAIVLTLQFFQQSSGIDSVVLYGPRVLAMAGVTSNTLLLSLNVLFGVAKAGSILIAMALADRVGRRPLLLVSTGGMTASLLVLGSLFAAFTGAKDDAAVAAVSFAAVVAFVVSFSVGFGPLAWVYSSEILPLRLRGQGAGLGTAMNRITSGVVTMTFISLYQAITMAGAFYLYAAIAAASFVFVYACLPETRGRSLEDMEELFHTK >KQL16659 pep chromosome:Setaria_italica_v2.0:III:43413975:43414660:1 gene:SETIT_024347mg transcript:KQL16659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGIDDSDHSYYALEWTLQHFFAPGQPQQYRLVVLTAKPPASSVIGIAGVGSAELLPTVEADLKRTVARVIDKAKMLCAEVTDVGYEAMEGDARSVICEAVDRHHAEMLVVGCHGYSKWKRAVLGSVSDYCTHHAHCSVMVVKMPKHKH >KQL14509 pep chromosome:Setaria_italica_v2.0:III:11658326:11659144:1 gene:SETIT_024567mg transcript:KQL14509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPSASGRGLKRLRGTVTLSLKTPGEQPAAASSPVGEEEEQQPAAACGATMMRGPVQTGKKAASEGCRAIADMAARLGVAPGVRDRALEMFRRMEEVKGRAHHYYTKGAGRSGDALYAACLYLACRSAGAPRTFKELAAATRDGAAARKDIGRLITLIRTRLGDEAGGEAMDIGVVRAADYMERFGSMLGMGEGEVRAVQEAARRMQDHLDVRHNPDSTAAAIIYMAMERRSPGAGTRKSIRDVSVATGVADNTIKQAYRELYQHAHLLFD >KQL12707 pep chromosome:Setaria_italica_v2.0:III:496898:498006:-1 gene:SETIT_023150mg transcript:KQL12707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSEAPAAATVIPIDDVAHHHGKAPAVATAPPATSSAVPAAAAATTAPRKTGVPFFRRADRGSRCVALVDFVLRIAAFGPTLAAAIATGTSDETLSVFTQFFQFRARFDDFPALLFFMVANAIAAGYLVLSLPFSAVVVLRPQAIGLRHLLLVCDTIIVAMLTAAAAAAAAIVDLAHSGNLRANWVPICMQFHGFCQRTSGAVVASFLAVLVFVLLVILAAFAIRKR >KQL17012 pep chromosome:Setaria_italica_v2.0:III:47599802:47602873:-1 gene:SETIT_025302mg transcript:KQL17012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIHVINCTRLTILPESIGKLKKLRTLELNDTWNSLTLEGSNEQLLSSGCFFHPHHASHAPGNKASPSLCIADVKPRHITRLKLGRMTESSSGWEMLQHLTGLHDLEITGCKDLKQLPESMSLRRLELKHCDNLCMLPEWLGELQSLQSLDIINLRAMSALPEPMQRLTSLRSLFIFVCDALRQLPEQLGELCSLADLVIVDVPALTHLPESMRRLTSLRYLNLASCGALTQLPESLGELSALLRLGIQSCSGLTSLPRFIGRLTAPEELTINKCPELVRRCREGVGEDWHLISHVPELRLLD >KQL15547 pep chromosome:Setaria_italica_v2.0:III:21250354:21252991:1 gene:SETIT_021795mg transcript:KQL15547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALFSALLLAALLAAAQYVLRLLHSFLWVPLRLERRFRRQGIRWPPRSLLSGNAADYRNLMAAARSAPLASFRHDGVVSRATPQYSVWPARYGRPFVYWFGPRPRLVISDPELVKAALTDSTGAFDKAGSGGNNPLARQLIGEGLVGLSGETWARHRRVIAPAFNMERVKAWIPEIAATISSVLGKWEAQGESRTEFEIDVHKGFHTLSADVISCVAFGSSYEEGKRIFQLQEEQMKLALLAMRTVYVPGFRFVPTKKNRTRQKLNTEIQCSLRKLIEINGRKCEDSKNLLGLMLSASKAGSEFKMGIDEIIHECKTFYFAGKETTANLLTWAALLLALHQEWQDKAREEVLKVCGKYEHPNADNLSNLKIITMVLKETLRLYPPAVFINRTATKDIKLGKLDIPGGTRFDFPIIDIHHDHDVWGNDADEFNPLRFADGKSYHLGAYLPFGIGPTICVGQNLAMVEAKVALAMTLQRFAFTVSPSYVHAPMMLFTLQPQYGAQVLVQKM >KQL15895 pep chromosome:Setaria_italica_v2.0:III:25099403:25101654:1 gene:SETIT_022252mg transcript:KQL15895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVTSSASTQAGLIQKPRNHGVTRYSGLKTSSSSVSFGLESSFLGRNASLRASVASRIVPKATSGSQISPQASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNTPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPNAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVALKKNLKLIDVDIPVVGGHAGITILPLLSKMRPSVTFTKEEIEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFIQSEVTDLPFFASRVKLGKNGVESVVSADLEGVTEYEAKALEALKAELKGSIEKGIAFANKQQEAAASV >KQL17351 pep chromosome:Setaria_italica_v2.0:III:49903837:49904426:-1 gene:SETIT_023379mg transcript:KQL17351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAATSRPAILLLLVVVLALNAGGAGAATFYVTNLCPFPVWPAAIPTGGGTQLNPGQTWLVQVPAGTTGGRIWGRTGCYFAGDHGGCSTGDCAGARSCVLSGKPPATLAEFTIGGVDAGAVDFYDVSVVDGFNVPMDLKCLTAGGDPVRCRDPGCADGSHPGDARVRTCRGNSDYQVIFCP >KQL13473 pep chromosome:Setaria_italica_v2.0:III:4671003:4671546:-1 gene:SETIT_025724mg transcript:KQL13473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAYHFLKQSQVERLLLSLRLVYWLLGKRDFSRTGDVVELHFFRIFLNWDGNILWCSRNLKPK >KQL17435 pep chromosome:Setaria_italica_v2.0:III:50400846:50407989:-1 gene:SETIT_021000mg transcript:KQL17435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVGSMVVGPLLSLVKEKASSYLLDQYKVMEGMEEQHEKLKVMLPAILEKITDAEKQATSREAIRPWLEKLKVAAYEAIQVFDEFNYEALRRQAKKEGRYIELGMGNRIMFRYKMGNKLRKIVRDTEALVEHMRNFGFDKQPQAQVQINYLRENDSTMVDPEIVSRSRDEEKQKIVRMLVKEQANNKDPMVVPIVGMGGLGKTTLAQLIYNDPQVKKHFHQLMKWVCVSDDDFDVCNLANKICNASESNLESALQNLQRKLAGKRYLLVLDDVWNKDDNKWNKLNVCLKHGDVGSAILTTTRDKKIAQLMGTVKGHDIAHLDNKFIKEIIEAKAFISQERKPTDLAGLVDDVVERCAGSPLAAKALGSVLRGKITEEWKAVLSKSIAHNKDDQILPILKLSYDDLPSHMKQCFAFCAVFPKDHEINVEMLIQLWMANDFIPEQKDVRHETIGKQIFSELVSRSFFQDVKQVNGKAWEDVYWYLSTSTCKIHDLMHDVALSVMGKEVATITEKPKQSDEFLQNTCRHILLSCGKPEAVLNDSLNIRSPAMQTLLCGQRIESSLQHLAKYSSLRALQLCQNNSTILLKPKQLHLLRYLDISWSHIVALPEDISILYNLQTLNVAHCHKLGRLPKGIKYMTALRHLYTHECWELKRMPPEVGHLTSLQTLTNFVVGAGPDCSSIAELQHLNNLGGPLLLSQLENVTNAADAKQANLGNKKELRELSLNWTWTGSQEEKLHCHKVLEGLEAPPGLEALRIEHYQGTSFPTWMGTLTKMVELHLSNCNKSHKLPPLGSVPALQVLRLERLKKLESLCSGGTFFHFPNLKKLTLDKLPEFDWRWGGAAEATQGQQIIFPYLEELSIEKCPELAALPSATSQGESFDHSDVTAWSAFPNLKKLLLEDLDSFKSLGMMEEQRFPDLETLSVKKCPKLTTLPGVIEAPKLGVLQIHGSQLAAIIVPTFINSLSELVLSVEFTETTLPTGHSAFELLDASNKYPLTSLKLSGCNFLFPSSPLALWTCFVQLQRLKIKKINHALVYWPEKEFHSLVSLRHLSIKKCRGLIGYAKAAPGQPISERSQVLPRLESLHIEDCESLVEVFNVPASLKTMDLRGCPKLKSIFGEQQDEPTLNQGPSAARDHLLLPCLEYLYIERCESLSEVLNLPPSLREIVIWECGKLQLLSGQLDGLQRLLISVCPELRSLESCLGEFSTLERLSLCECKSLASLPDGPQAYSSLHDLQITSCPAIQPLPSSLKKRLDNLGHVRFSSRGVWIRGTKV >KQL16026 pep chromosome:Setaria_italica_v2.0:III:27157507:27164109:-1 gene:SETIT_024462mg transcript:KQL16026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSPPLAAALSKTAAAATRRAATAAASVAAHRILLRVRAPTHNPSSPSSLIPRRRFGHMPQEERMAPLAPLPPLGRNIAVAVGGGAVDGEAPALVVVSFYRFADFPDHAVFRRPLKELCEELRVSGGIILAPEGINGSICGTPAAVDKVLNFIQEDNRLKGLRMIQSPVTPEDEAIHHGHTCQSPVGAGDDAPFRWDHVRVKLKKEIVTFGDPGVMPTKMVGKYVKPKDWNALISDPDTVVIDVRNMYEIRIGKFKRAVDPCTNSFREFPTWVDDQFELVESDTQESGVNNDNGAGQPAQDLNANKSKQLPRVAMYCTGGIRCEKASSFLLSKGFKEVYHLEGGILKYLEEIPKAESLWDGECFVFDKRVSVEHGLAQGTHKLCYGCKQPVSDEDMESPEWEYGVSCPYCFATKSEEEKERARARQRQFETWGVIGGPDKGRNPKRLDAKNAAEDKQLPNSI >KQL14826 pep chromosome:Setaria_italica_v2.0:III:14057230:14058558:1 gene:SETIT_024833mg transcript:KQL14826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFFENARSVRLKSHLGTYLCAADDAEAVSHGYRRNSRGTVWTVEPVGDEYVRLQGQRGLYLGADDPAAALDAATPSCGVVQGLPSTPNDSAFLWTPRRELGERGAGCLTLSGPLGRLLRASFGETPRDNAVTLDFEVGQEESTWVVEVVPAEQAAPPPPPCRAQSCDARLEAATAMLDTASSAFVRLYSAKESRTKLEEPPSIEEPLHMPSRRTIFHNTAREDGGVDDFDEGTWRYFTFDEQSLAALHRRLQEETKHKDFVVCRRSCGAAPRLFPVVLDLPPGNNEMEFVLVLVPSR >KQL16191 pep chromosome:Setaria_italica_v2.0:III:34133958:34135633:-1 gene:SETIT_023020mg transcript:KQL16191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAVLHDTFQSGTIRSTKSPHHIPPSSSLPDSNHDMNRINNLTDAIYCEEQSNPMHTLPASRTTQFEDQAKTDQNNMVSGTLHVSEQRTGKCMTRKSAKYCSSFKYEIMSCPAPNVDAAMSLFGYMCADDSTLKSMPVIQFGSTPLTCDMIAQSFADGAIPDSTFITGFVKCLSYDDYWIRPECHGYRIFFDAALSAILNVEGNKRDNSEPKYSQFAAVIAIQRCLPFTDLKKTKMVNLLFIHLHFSISGLYQE >KQL16434 pep chromosome:Setaria_italica_v2.0:III:39948393:39950058:1 gene:SETIT_024407mg transcript:KQL16434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTSWCTAPYLGLSKPPEQLVLRDISFHLKSMGKDIRHYGLPELNESEDLRTRDHYRELIEEQNLGYEEEHLVIIDTLNVEQRAEQGQGFFVDGPGSTGKIYLYNALLSKVRSMGLIAVAMATSGIASSIMLGGHTTHSRFKIPIKLDNSTMCSFTKQSGIAELLWRASLIIWDEVMVTKRQCVLPIVAHGTRAQITVATLLKSCIWESVRRIRLTQNMRAQSNTWFADYLLSIGNGTEETFGDEYRAILSTRNEHVDAVNALMIYRFPGTKQVYYSFASIEDDTRNNYPLDFLNTKRNCPVILLRNLDPHNGLCNGTRLIVRGFQKNCIDAEIVNGQHAGKRVFIPRIPMSPSEDLSLPFKFKRKQFPIRLSFAMTINKA >KQL14227 pep chromosome:Setaria_italica_v2.0:III:9734407:9740019:1 gene:SETIT_023041mg transcript:KQL14227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFEENKIYWWISQGYSSLANSGFRWRWISRRTMGLLVRRRCKIQARNGSITSRDNLSGSPCQQDDTTQIGETNGYSWPYFPKDIWRLIHSLMPLRDAARTAWVCRGFLYSWRSFPNITFSNQTLGLTENARGKDEIARDFTRKVDRIMKNHLGTGVKTLKLLGAPNYNRRYHRFLDSWLEKAITPGIEELNLALAEHFVAKKYKFPCSILSSGNGDSIRHLSLDDCAFRPTVGFWLFEKPGETRHVLCVY >KQL16747 pep chromosome:Setaria_italica_v2.0:III:44643687:44645036:-1 gene:SETIT_025197mg transcript:KQL16747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEQSRESSSQSQGWQLEEVSRVARELSNQVTTLERRVQDLERKNTELSGDKGKLEKNLEEKTKAAHVLSNQVSTMKHRLQELERRNAEQCNELVQQLEDTRKAGLVFMDAAGLYQEVAERQIKAKVEELDDTRKAGLMFMSAADSYEEVAEKQIKAREMELGDTRKAAVLFMDAADAYQEEAEKQVKAKAEELEDTRKAGLVFMDAADMYQEEAEKQIKAKVEELEGTRKAVLVFMDAADAYQEEAEKQIKAKVEELKVLRAQNVEMDERVENDKLGTEVSTVEQKYVLPEVEVERLKMEEIMEAVLKEFDAEKAEIIKVPEDLKTNGENEKLSEVYEIEQKHSLFEVEVERLKMELGALVGKEAVADAFDVQKEENMKESNDLKRKVEEVYAIKDFVRGENDKLRLEVLTTEQ >KQL13653 pep chromosome:Setaria_italica_v2.0:III:5799028:5799453:1 gene:SETIT_023963mg transcript:KQL13653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNRGKKRKEPRFRRKEENFPRILNKYGDKPRAFPPGGTRNGVGTDPPSAGEEEGDWK >KQL14531 pep chromosome:Setaria_italica_v2.0:III:11825004:11825724:-1 gene:SETIT_023764mg transcript:KQL14531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSSETFRRSGSSGLVWDDKNFSGEIKPAGDDAEPRAAVERSRSAGHAHGHGGYRTTGRVPPALDPPSPRVGVCGFCRLFGGSGGGKAKPKGRRH >KQL16146 pep chromosome:Setaria_italica_v2.0:III:31504265:31505553:1 gene:SETIT_024192mg transcript:KQL16146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEADKDETKELKKSRSFSKHTKTLCSMAKDLSEEFGTHVAIITFSPTYEPKAYCATTADFVLRTYLPEFHSSPSLACVEIAEEAAARVDGMKREAEETPFLAEAERVCHAAAWSKILVAQTSVVKQNWWEVDVEALRADELSVFVRALEVLRTNVQCHLDAKESSRKDKMHP >KQL13258 pep chromosome:Setaria_italica_v2.0:III:3455060:3460787:1 gene:SETIT_021108mg transcript:KQL13258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESSRAFQLDAPSSAATAGDGRGGKAGPSGAGGSVPFYRLFAFADGADAALMSLGALGAVANGAALPLMTVLFGRLIDAFGGAATTSDVVRRVSGVSLQFVYLAVASASASFVQVACWMITGERQAARIRSLYLRTILRQEVVGRMSGDTVLIQDAMGEKVGKFIQLLVTFAGGFAVAFAQGWLLTLVMLATIPPLVLAGAVMSGVVARMASLGQAAYAEAAAVVEQTVGSIRTVASFTGEKRAVEKYNESLKSAYSSGVREGLAAGIGMAIVMVLLFCGYSLGIWYGAKLILEKGYSGAQVMNVIFAVLTGSLALGQASPSMKAFAAGQAAAYKMFETINREPEIDAYSATGRKLDDIQGDIEFREVHFSYPTRPDEQIFRGFSLAVQSGTTVALVGQSGSGKSTVISLIERFYDPQLGEVLIDGVDLKEFQLRWIRSKIGLVSQEPVLFAASIRDNIAYGKDNATDEEIRAAAELANASKFIDKMPQGLSTSVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDTESERIVQEALDRVMTNRTTVIVAHRLSTVRNADTIAVIHRGSVVEKGSHHELVTDPEGAYSQLIRLQEASHASEGANYQNKSSTKDDSWIYAGKQTPTNQSATIRSPQNNSRNHSLSVSFSVPLEINVQDRSSKNVDEEIEQEVPLSRLASLNKPEIPVLILGSIASAISGVIFPIFAILLSNVIKAFYEPPLILRKDAEFWSSMFLVFGAVYFLSLPLGSYLFSVAGCKLIRRIRLMTFQKVVNMEIEWFDYPENSSGAIGARLSADAAKVRGLVGDALQLAVQNSATLIAGLVIAFISNWQLSLIILALIPLIGLNGWIQMKFIQGFSADAKLMYEEASQVANDAVSSIRTVVSFSAEEKVMDLYKKKCEGVLRAGIRTGIINGIGFGVSIFLQSGVYAASFYAGARLVEDGKTTLPKVSWYFLL >KQL16363 pep chromosome:Setaria_italica_v2.0:III:38348167:38353676:1 gene:SETIT_021086mg transcript:KQL16363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILETLDQTAPVSGSSTVVSPENFIKCLKKFYGRWKEDVSDLWGSSSAIAVATPPPSDNIRYRKSLALSMWFFGSEFADTIMVFLSSQIHFLSGQDGYDLLQHLKMPASKAGFDIVLHNLEKADNGSLLMDQILNSVFAQYESKNVVMGHIAREKPEGKVLEEWYQKLHGSRLKLYDVSSGISELLSVKDASEIMYVKKAAHLTASVMRKDVVPKLENIVMDEKKVPHSKLMDLTEKIMLSPSKISVKLKAGNVDICYPPIFQSGAKYDLRPGALSNDDDLYYDYGSLIVCAMGAKYSGYCSNVARTFLIDCSASKSYQAAVDVVREEAPDLLPFLTKSGGTGIGIEFRETWLSLNEKNDLIVKEGMVFNVSLGFQNLLAKSSDEKIEEISLWLADTVLICKEKPEVLTACISKAEDDAFYSFDEEKIGSPSKPAPKTEQMAPLKVKPMLKSDMMLSLKDNLRSSSRTPKEDLRRQLQSEILRKKTNETAMRSDGTNLNLLEGHGQFRAMSELVAYKNANDFPNVNQLEIQVDKQNEAVLLPIYGFMVPFHVCTVKKAEIRGDSLQANISLAFLKSVTLLSRDRRHAEEVVQSMKILQEGVLERAKRASLVSQEKLQLHDGLTRDRIQLLDLWIRPALSGRGRKVFGALVAHVNGFQYTVSKSEKVDIMFGNIKHAFFQPAERDMITLLHFHLYNEIMVGNKKTRDVQFYIEVMDAVDSISLKRRSAWDPDEIEEEQRERARRREMNRQFELFVRRVDSIWSKPRFNQLALQFESPLQKLGFNGVHGRTSCFIAPSPSCLVQLIETPFLVTSLREVDIVCLERVVLGQKSFDMVFVFQDYTKDVVRIEVIPMTDLDKIKDWLNDCGLKYYESKLNLNWRKVLKTMMNDPESETNNRWEFLNPDASDSDSESSETEDDQYEPSDMETGSESDNEGSDSESVVNSGDDDGGSDEDDGGESWDEMERKARDANAEIGSESDSEDERQHRRVKAKAKSRSS >KQL16010 pep chromosome:Setaria_italica_v2.0:III:26946603:26951371:-1 gene:SETIT_025251mg transcript:KQL16010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSGLDLDGGDDKINTQPSISMFETDGDKFTLSKLDIVLGGGESKRVCLNGKEVLKVELGIGAVCCLIYSSAGIRYRKRGPRRSLNNSLAVIKVIISPDFSSQTLVSNDGLPKLTGSIDRMPTKAFAGDLKLLTLNLRNHSEYAVKGIKMKLSSPRFLIPGDSSDIGREFPDCLKRHMQSESSVVSSKTMKDNFRSLLFAFPQVLPSLDVSFSISTSSSRLQEYIVRMDVMNKTPSESFVLHQLSCVGGKWAVSALPSCSSINSVETVSENQAVSYFFKIKDCEADSCKEAESGSCTGDMALCPGSSTDLFDIARSPLADFHYQERYRQGKVAKTLNYFLITHVIAGNSLSQNPIWWLMEGPRTISHDFSKSYCEVNLQLVIHNSEAHKILVRVVTFDVMPEESQTVHPHDSTSAQGGWYDVSLENDVKVISSSKSTHQEKKSSKSISPYVWCSLSCAQIELQPDSCARVPLKVCIFAPGTYNFSNYELRWKVHPSEGPHVDENEKLLSGGGLGHPFYVTVLQSV >KQL15075 pep chromosome:Setaria_italica_v2.0:III:16424519:16428098:1 gene:SETIT_021511mg transcript:KQL15075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCRRRVPAVCFFFWFLMGFQLCASLNHEGAALLKFKEVVDADPYGALKSWDEGSMSPCSWFGVECSDDGLVMTLNLANLGLKGVLPPEIGKLVHMKSLILHNNSFYGIIPTEIGHLQDIKMLDLGYNNFSGSIPSELQNILSLEFLFLKGNRLSGGLPVGLHELTRICESENQDINWLNRVLTARFATIRVRRLLLSKRKDSEMIHIPEHRVRIPPFQRGFPPLNSPLAPSPPEPIPTPPASPPIEQTKSKENKSNSSSKIYASIGATFGFLVVALSAVCFFYYCHRKTSTVVPLSATTSSKQLQTTAMEGITIFRRSELETACEGFSNIIGTLPGFTLYKGTLPCGAEIAVVSTMVAYAGGWSAIAEAHFMNKLKKPSTWIGNRAYG >KQL15076 pep chromosome:Setaria_italica_v2.0:III:16424519:16428098:1 gene:SETIT_021511mg transcript:KQL15076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCRRRVPAVCFFFWFLMGFQLCASLNHEGAALLKFKEVVDADPYGALKSWDEGSMSPCSWFGVECSDDGLVMTLNLANLGLKGVLPPEIGKLVHMKSLILHNNSFYGIIPTEIGHLQDIKMLDLGYNNFSGSIPSELQNILSLEFLFLKGNRLSGGLPVGLHELTRICESENQDINWLNRVLTARFATIRVRRLLLSKRKDSEMIHIPEHRVRIPPFQRGFPPLNSPLAPSPPEPIPTPPASPPIEQTKSKENKSNSSSKIYASIGATFGFLVVALSAVCFFYYCHRKTSTVVPLSATTSSKQLQTTAMEGITIFRRSELETACEGFSNIIGTLPGFTLYKGTLPCGAEIAVVSTMVAYAGGWSAIAEAHFMNKVEALSKVNHKNLMNLVGYCEDETPFIRMMVFEYVSNGSLFERLHVKEAEHLDWQSRLRIAMGVVYCLSYRHQQNIPVTLRSLNSSCIYLTEDDATKVSDISFGAGKKEDEDEDEDDAPDEYSTVYKFALLLLETISGRRPYSDDDGLLVLWSQRYLNGVSPVMGMVDPTLNSVPEEHVRAFSELIRLCITEDRRRRPTMAELTKRMQEITGITQDQAIPRKSALWWAELEIISA >KQL15077 pep chromosome:Setaria_italica_v2.0:III:16424519:16428098:1 gene:SETIT_021511mg transcript:KQL15077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTCRRRVPAVCFFFWFLMGFQLCASLNHEGAALLKFKEVVDADPYGALKSWDEGSMSPCSWFGVECSDDGLVMTLNLANLGLKGVLPPEIGKLVHMKSLILHNNSFYGIIPTEIGHLQDIKMLDLGYNNFSGSIPSELQNILSLEFLFLKGNRLSGGLPVGLHELTRICESENQDINWLNRVLTARFATIRVRRLLLSKRKDSEMIHIPEHRVRIPPFQRGFPPLNSPLAPSPPEPIPTPPASPPIEQTKSKENKSNSSSKIYASIGATFGFLVVALSAVCFFYYCHRKTSTVVPLSATTSSKQLQTTAMEGITIFRRSELETACEGFSNIIGTLPGFTLYKGTLPCGAEIAVVSTMVAYAGGWSAIAEAHFMNKVEALSKVNHKNLMNLVGYCEDETPFIRMMVFEYVSNGSLFERLHVKEAEHLDWQSRLRIAMGVVYCLSYRHQQNIPVTLRSLNSSCIYLTEDDATKVSDISFGAGKKEDEDEDEDDAPDEYSTVDILMVLAP >KQL15850 pep chromosome:Setaria_italica_v2.0:III:24512618:24512871:1 gene:SETIT_024020mg transcript:KQL15850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGKATFLILLMEEATSSKLIIMERVHSYRQLTADPTIPANRN >KQL15103 pep chromosome:Setaria_italica_v2.0:III:16983359:16984352:-1 gene:SETIT_024445mg transcript:KQL15103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRRILGLSAAVSGRLCRGFSSSASRPAWAMIHPLARLAESTAPRASLRFAEPPCLSHLIIPAHLADPPSRDPRSEDVTIIYGGVLKTSSGDGLLLLAFMDISGTAPIVATHGGTQERKLTGVDLDVDMRRFVCNPLSGQMFRLPDIDGTKKTEWFSEMGILTQSERPDRPPDRYAVAVLSEDRDGQEGRFVMRRFLSQTGEWEKLTARCWPWPAGWLWWVDVSWGVVSSDPFSDQPDLRFIELPTGSVTEPVEGWEDQRVQSRYRHMGVSEGRLRYAEVSREKPFMLSSFALDDDDSGWTLEHRVALSRVFAL >KQL16242 pep chromosome:Setaria_italica_v2.0:III:35031116:35034078:1 gene:SETIT_024198mg transcript:KQL16242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLQLRFTSCRVPQPPPSFYARSSTDSVEEQVKGRLWAHRGLSQWHSSFSTCVKPNYKIITQVDEQATSNNVPQGPITRSCAKKLQQEVNSLLAELKLHRNENCLLPKCCTLIVLRFTHEDMDNTQLEKGYVKSRMSYMKTNKVAVQAETGYMCETLSCAADASNSRASLYQSAMTRGA >KQL13682 pep chromosome:Setaria_italica_v2.0:III:6038757:6040544:1 gene:SETIT_021812mg transcript:KQL13682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPYLQELVISTLLVVSLCMYIKFWRLRNPLYPMDWPVVGMLPSIVANLHNFHDVLTVFLATNGCNFKARGPVASGMRFFVTAEPANVRHIFTSNHANYPKGEDFAEIFDIISGTLFTIDGEAVRQQRAMFQNIFSNPRLLALMASCCRDKVVNGLLPFLTRMGSTRTTFDMQDLITRLVFDLTATPIFGVDPGCLSISMPSIHVATAMDTFMEVGLFRHTIPACFWKVMRRLNIGPERKLAMAQTVMHAFIREMTEKSKARCTDILDDVLAMDIISANPSVGRDDVLLRNVLIAHMIAGRDTVGTTLPWVFYNLARNPRVVSCIRKELAPIASLKATALASNSISSMVVFDPKETEHLVYLQAALLESLRLYPPGPIERKVVLADDVLPSGHQLCSGETILISIYAMGRMESLWGKDCHVYRPERWLSEDGAKLRYVPSNKFMAFNTGPRMCLGKDIAIAQMKTIVAAVVWNFDMEVLEGQSIEPKLSCILQLKNGLMMMVKQRE >KQL14741 pep chromosome:Setaria_italica_v2.0:III:13499971:13500687:-1 gene:SETIT_024337mg transcript:KQL14741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVHLDPNLLLSAVFVVSCFVIIRSLWSGRKDDGRVLPPSPPPLLIIGNLHQLGRNHYHRRLQALARCHSPLFLLRLGSVPTLVVSSAPVAEEVLKTEDHVFCDRPLQHTTRGLLYDCRDVAFSRYGERWRQLRRIAVVHLLSAKRVDSFRALREEEVASFMERIRAAGGAHEDDNGGRKRRAGVNVSELLVSLTYTVISKAAFGNKLGGMEPGAVRTKTRETNELLETIAMSNVSS >KQL14055 pep chromosome:Setaria_italica_v2.0:III:8433995:8438859:1 gene:SETIT_024566mg transcript:KQL14055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCGEDLLERALKTHGKEAVGFLILAKSILSVETYKELIKAAREIVNRSSCTEGGITAKKCEEILSEVFVGETHILKCFHHFLQGRGPSYDHNSQALQGAISFLVNVKMSPNMSNEDYEDLLATLTQCMAPKTMEIEDIYGKVKRAMHQCPELIKTFETYLPDSLRVTLLNDEQSCRSPKTSPTDKAVLCFTPDANHSLDGNEETLQAEEYKGDKTDPLPDWSPLRENELPPKVNLDTCTRCTTSYYLLPKNCLTLKSSYRTELGQSIFNDTTVSATSGREDCFKFRTKNHYEENIFKCEDDMFESDMLLQRYKATADFIGNLQDHVDSDMKIQEHLTPLHRRCIEQLYDEHGLDMLDALWEKIDTSTALVILHSRLNQKIDDLSEARLSLNKTCSNIIANNYHRSLDHRSSSFKQLDKRRMGPKALLAEAREINMARLNNGDRHLSSAYNNQSSLISKNVLKDTDLHIHKDIDLHIHKDIDRMVRCASKSCPSELKPMMIWTKLVQPFVSINYQLPESNGTVASKEACEYCGLGKTFRRSIPDSSFANNIPLPSKRGGYLVNTSNKSASMHDAYQTEIEEGEFIPDVGNIQLGSITGPGNGAASCDVAAPSEDGSSFRCLGNKSAVHHESREGCNVEMGSLAYSKRTAEPHCVKSGVPCCSLAVLLRLHQILYERLLVAKVLSRKARAEAPSRDSLTCDVYAGFKEELFNLLTGSTNSSNFEKYCLTFLGPKSYVLFTLNEVIGRVIKQLCKICPCAEDNSLLQSHEKVRGPDPPKDLSHHQNARSSPARPTNVSLEQDHHEEGEKGSNKPLDDTVKPMQNHFQRRKRRKLETGVPSISQPGADGSNS >KQL16306 pep chromosome:Setaria_italica_v2.0:III:37098564:37105933:1 gene:SETIT_025445mg transcript:KQL16306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIVSCSEFFPEKRYNLSLTKENADAIEITVLSNQSVGSLKPGITPVVEYFPAPAPASLRVINLKLDILCYSSIDFPVAVAVSELVIPGLADQMSVMKKIIASEIAKQAQLCPYHFIPSGLHIPVTAIYDTRYGEIEEKQSELRKELHVRLGLPLDRPLLRISNALTFGDMGKRVKKTSRNGSSLLRDVHREIPSSGVSGGIMSLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIVSWYRLQQYSSIDVPSHREIQQVLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKIINVRSGDELPEKCRELARHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNGGWCGWKKSVDNKGRSFFLKDKFYNLLLPQRPNMV >KQL16308 pep chromosome:Setaria_italica_v2.0:III:37102021:37105933:1 gene:SETIT_025445mg transcript:KQL16308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGWGCAYRSLQTIVSWYRLQQYSSIDVPSHREIQQVLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKIINVRSGDELPEKCRELARHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNGGWCGWKKSVDNKGRSFFLKDKFYNLLLPQRPNMV >KQL16307 pep chromosome:Setaria_italica_v2.0:III:37098564:37105933:1 gene:SETIT_025445mg transcript:KQL16307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVMKKIIASEIAKQAQLCPYHFIPSGLHIPVTAIYDTRYGEIEEKQSELRKELHVRLGLPLDRPLLRISNALTFGDMGKRVKKTSRNGSSLLRDVHREIPSSGVSGGIMSLIDGSYEYYHYLHDGIDDNGWGCAYRSLQTIVSWYRLQQYSSIDVPSHREIQQVLVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKIINVRSGDELPEKCRELARHFETQGTPVMIGGGVLAYTLLGVDYNEASGDCAFLILDPHYTGADDLKKIVNGGWCGWKKSVDNKGRSFFLKDKFYNLLLPQRPNMV >KQL17310 pep chromosome:Setaria_italica_v2.0:III:49675506:49676031:-1 gene:SETIT_023828mg transcript:KQL17310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYICINVTLAEYLVIYNPNSGFSRTTTSKICQIRRMPSSRGEGTTTTVKRRGCKQKLRKTLGTLSSPSWCSPGSYPWSRG >KQL15706 pep chromosome:Setaria_italica_v2.0:III:22797504:22798268:1 gene:SETIT_024895mg transcript:KQL15706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPSIIGEQQLRSYECFLASRHRNKQGINRHQRHLSSSFPARATPPGTSAMGKVRSFFSRSGKRAGGGGSFRAGTSSSPRSSSAAASAPPSSSPSPRNAAGAPREQDEMERVFRKFDADGDGQISRSELAALFESVGHAATDDEVSRMMEEADADGDGCISLPEFAALVRSADADAAAVEEDLRHAFMVFDADGNGLITPAELARVLRGIGEAATVAQCRRMIQGVDKNGDGLVSFDEFKLMMAAGGSFGRIAYS >KQL17447 pep chromosome:Setaria_italica_v2.0:III:50506827:50507093:1 gene:SETIT_025763mg transcript:KQL17447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCLAGWLFHQLFKGPEQRDPRAIVLSSPSFPPLLSHRQRHPTAKPEEVNLVGGI >KQL14458 pep chromosome:Setaria_italica_v2.0:III:11356808:11357752:1 gene:SETIT_025636mg transcript:KQL14458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGESHAGQKIFLDGGFVSASVKTSNLMGDLRN >KQL14084 pep chromosome:Setaria_italica_v2.0:III:8683498:8684563:-1 gene:SETIT_025563mg transcript:KQL14084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRSRWVEVGQGLDDELQGDLGRRQLHGCWAAAERSRARRRVPVGPQLGLLRPGALPLRRQCAGAQNVAHRSL >KQL14085 pep chromosome:Setaria_italica_v2.0:III:8683755:8684563:-1 gene:SETIT_025563mg transcript:KQL14085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRSRWVEVGQGLDDELQGDLGRRQLHGCWAAAERSRARRRVPVGPQLGLLRPGALPLRRQCAGAQNVAHRSL >KQL13922 pep chromosome:Setaria_italica_v2.0:III:7729736:7732737:-1 gene:SETIT_023033mg transcript:KQL13922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATSFASLAISRPATAAAASGQRALLASKASSPPLSLRAARLPSQAVSFSGGLAPSPSHSRARFVTSASAEPKEADIQSKVTNKVYFDISIGNPVGKNVGRIVIGLFGDDVPQTAENFRALCTGEKGFGYKGSSFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGVVSMANAGPNTNGSQFFICTVKTPWLDGRHVVFGQVLEGMDIVRLIESSETDRGDRPKKKVVISECGELPVV >KQL14455 pep chromosome:Setaria_italica_v2.0:III:11333799:11334475:-1 gene:SETIT_023887mg transcript:KQL14455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHIVNKVDLDTHSAKNEARKYRHQMQSLRHQSRAIGKLGSCNRYIFQTCSNVKYDGLHSSQLGRVFYLYKY >KQL12987 pep chromosome:Setaria_italica_v2.0:III:1976635:1978708:1 gene:SETIT_022005mg transcript:KQL12987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGAVVPVPVAIRHAAVVAVLVLAAAHGALCGHVEFAAGVVARGGGNAPSVRPGANVTGAAGEPAPSPESSLVGCGCGPAPAPWQFLNYKLAALWPVIQAFKKTITCDPLGVTATWEGPDLCSSFFNGTKYRGFYCEYPPNANATLTVASIDFNGFGLCAPSLVGFVDQFPDLALFHANSNNFSGDVPDLTHLPFFYELDLSNNNFSGSFPDAVVPLGGLLFLDLRFNRYAGAVPPAVFALTVEALLLNNNGFDGRIPDSFGSTGAKYLVVANNQFTGPIPRSIYNTSATLSEVLFLNNRLSGCLPYEIGLVEGLAVFDAGGNEIAGPIPLSFGCLRDVEEINLAGNQLYGQVPDVVCLLAKTGKLQNLSLSDNFFHSVGHHCMELVRSRVLDVRRNCILGFPDQRPALECAAFYADPTKHCPFIPHIPCDLPGYHHYPPKAAHGHVQGQEGGN >KQL15352 pep chromosome:Setaria_italica_v2.0:III:19132940:19133816:-1 gene:SETIT_023795mg transcript:KQL15352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKARMLFALSLVVVLLLVETTAPHGQAHAIDCGASCSYRCSKSGRPKMCLRACNTCCQRCGCVPPGTAGNENVCPCYANMTTKNGKHKCP >KQL14078 pep chromosome:Setaria_italica_v2.0:III:8617375:8618052:1 gene:SETIT_025660mg transcript:KQL14078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVYGEVWVGRLPLPVTDGRELFTLGLLGAKLGPDDVPPFAARPDWCPVFLKASVRQFEGLEDADNVLVNSFHDMEPKEADYMALTWRAKTIGPTLPSFYLDDDRLPFNK >KQL16591 pep chromosome:Setaria_italica_v2.0:III:42754017:42757868:-1 gene:SETIT_022219mg transcript:KQL16591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTDVVPYPFRQNGDYLYITGCTQPGGVAVLSEETGLCMFMPDTNKEDVVWQGQTAGIEAAVEFFKADKAFSLSQMQKILPEMIEQSKGVYHNVKTSSSSYKNLDAFRRASLNNKVKDLTYYTDELRWIKSKSEIKLMRESASIVSQSLLQTMLLSRTHREESQLAAKIEYECKMRGAQRMAFHPVVGGGANGSVIHYSRNDRKIKTGDLLLMDVGCEYHGYLSDLTRTWPPCGRFSPAQEELYSLILETNKECIKLCKPGTSINEIHNHSVKMLIKGFQELGILEKGKSIQYNYLNPTAIGHSLGMDIHDSVTLPKDKPLEPGVVITIEPGVYIPPAPVLNERAPGRFRGIGIRIEDEVLVTEDGHEVLTASVPKEIPHLTTLMSMGSESAAAGGHERRAACS >KQL14723 pep chromosome:Setaria_italica_v2.0:III:13166493:13169573:1 gene:SETIT_023403mg transcript:KQL14723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGAEKDANPSMSKPADATATGVFGEEQEALVLGAWNAMKGDSASLALKFFLRIFEIAPGAKQMFSFLRDAVDAPLENHPKLKAHAVSVFVMACESATQLRNTGDVKVREAALKRLGATHVKAGVADAHFEVVKTALLDTIRDAVPDMWTPEMKAAWEEAYDQLAAAIKEEMKNAAAA >KQL16502 pep chromosome:Setaria_italica_v2.0:III:41099732:41100259:1 gene:SETIT_023480mg transcript:KQL16502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQHTLLHERTLSSTPSVVISPAGRRSWVVAYTRAGRSPAALLPVRARPGVGRSLAGASVAARRPVLFQRLVGDLALARPQRRQQAAAAELRRHLVLQSPAVPLAATIKGARGGDPCPGGADDDGHPAAAVLVSGGRTGRRGEQEGGGKEEEDREGRARRHCAQV >KQL12712 pep chromosome:Setaria_italica_v2.0:III:529215:532252:1 gene:SETIT_022255mg transcript:KQL12712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKELISPSDLQSFYQQQQQQQQHRAALGSGGGAHSPSSLAGMHSVIRPMPNMPNMNMSATAILNSIGGGSLAGMQFQMDTAPPPLLHNNAMGSVSGSASGTVPPAPPPAEPVKRKRGRPRKYGPDGTMKAAASAAQQQHLVSAPPRMGSSMSGAEMLGGQGMEDPAQKKRRGRPPGTGKKQQTSPSLGNAFAGSAGTSFTPHIITASPSEDVAAKIIAFANQSSRAVCVLSAMGSVSRVDLRHPGDTSPMSRVHTSQPYRNPAIYEGFYEILSLTGSYNLAEGSQGQQSGGLSVTLCSPERNVIGGVLGGALVAASTVQVVLGSFHQGGSRSKSKKAAKQAAFSPDSLTGGQEASPSSGHNQQNLTPPSVTGGWPTSGIFDTRSSSIDINSSRG >KQL15272 pep chromosome:Setaria_italica_v2.0:III:18517955:18518458:-1 gene:SETIT_025403mg transcript:KQL15272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGLMRLLFSSHFTRHQSNNKPYVHLACSKFFRVLTDGMINLNEVK >KQL15710 pep chromosome:Setaria_italica_v2.0:III:22842835:22847165:1 gene:SETIT_021522mg transcript:KQL15710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWSPASAAVEPRSVQLLLLGVALVAASFYAGTLFGSSASPALILPPSRPRSPDSSRSKVADARMFTNRVSLTYRTKPVRVPDHGVDVCPLEYNEYVPCHDVAYISSLKNLDRSRHEDLESICPPQEKRLFCLVPPPNDYKIPIRWPTSRDYVWRSNVNHSHLAEVKGGQNWVHEKGKLWWFPGGGTHFKHGASDYIERLGNMTTNSTGDLRSAGVVQVLDVGCGVASFSAYLLPLDIQTMSFAPKDGHENQIQFAVERGIGAMISVLATKQLPYPGNSFEMVHCSRCRVDWHENDGILLKEVDRLLRPNGYFVYSAPPAYRKDKDFPVIWEKLVNITTAMCWKLIAKHVQTAIWIKPADESCRQKNADMKLLNICESNDNVSPSWKIPLMNCVRLNKDKSEIKKFPSRPDRLSFYFKSLEMIGVTSAKFEKNNEFWKNQVHKYWSFLDVEKTSVRNVMDMNANYGGFAAALSNDPVWIMNIVPYTMSNTLPIIYDRGLLGSYHDWCEPFSTYPRSYDLLHAFHLFSHYEGRNEDCLLEDIMLEMDRIIRPQGSIIIRDEKNTLSRIIDLAPKFLWDVSTHMLENEENRTEKVLICRKKFWAIV >KQL15095 pep chromosome:Setaria_italica_v2.0:III:16673891:16675035:-1 gene:SETIT_024101mg transcript:KQL15095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYDMEELVRSPALRASFQLAEPPCPSRLRVPEHLIDPRPRPDPNSDIMPLVGGGVRAASGDGLLLLDFMDARATAPIVGKVGTARARRLIGFDTDPDRTRFVCNPLSGQLFRLPDIDGTKKTSECQSLGILTQSESPNGPPDRYAVAWLDVVVGSFVMRRFLSQTGEWDKLVGLPSPLPLARQMVINHDVVAFAGRLWWIDVTWGAVSVDPFSDRPELRFVELPRGSVTEPEQGLPKLGTCRRMGVSEGRIHYAEVSQKKPFVLSSFALEDDGSCWTLEHRLALNRLRDWADGGHPGREEDAPCIGVIDPLDASIMILTIGSYAISVDMDRGKFPTPCILPPWLGSTLIPSAGERLSRVVI >KQL15331 pep chromosome:Setaria_italica_v2.0:III:18969144:18969806:1 gene:SETIT_023728mg transcript:KQL15331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFFVGGVEQGAGRALKEAAGRCLRCGGTADLVETEKVLKLFFVPVWRWPGKDPAYLCRECGLLAPGSLGAEPGPSLLPREARCGACSRAVDPQFRFCPFCGSAL >KQL16184 pep chromosome:Setaria_italica_v2.0:III:33896868:33897619:-1 gene:SETIT_024418mg transcript:KQL16184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNRANWDEGTTKTLPDLCIAEKSQFNWSNRCLTKLGWKHVYRSFNQQTGMNLGNKQLQNKLNALRRAFLSWRDLQIQSGLGRDKQTGGVATDPSFWDDEEAETSAGAAKPSSQPSSVKPLPFLDELYELYGRDPQDRGTLLTAGGIREATPSVGTEGNVADLYQDPIAASSAHNLSKRPSWEISVDSPPKKKSGSLEDYIRDISKTVANRSQKRGDRE >KQL16953 pep chromosome:Setaria_italica_v2.0:III:46997380:46999190:1 gene:SETIT_022365mg transcript:KQL16953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNDDILGLVLERVSSHVALIRAAAVCRRWRRAIADAAFLRRYRSLHAPTAAGYYHNCYPPIRFHRGSGGPAFVPSSPRMVDARRFSLDFLPGGAWSWDIKDSRGSLLLMDSPLATSVPFGFRDQLVCEPLTRRYKRILPPTGLGLDNRCSFVASYLLDGEASEADGLISMSNFRVLCTFSRGVVTQAAMFAVNSTWSKKSIDHIAPSSVLSRLFGRGGDSWYFYGGDNTLISLDGSTGEFSSSVLPAIEDWDVLILGSRCFVTDGRDGKPRIFTVVKNTMKVFARLNGGEWTLDKRVLLSEATRRLKAYQPSSFSRSPSILTSGAGLVVLSPQYGGIWPFSIDLDTMDSAPVTRDMGKMVYRCELPWPPALHTCLS >KQL16739 pep chromosome:Setaria_italica_v2.0:III:44538182:44547308:-1 gene:SETIT_024938mg transcript:KQL16739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAFGLSKTVVEALALKVKDAISLEVEQWRTVGRELEFIVGEFEIMQSFFKIADEERAKSNLVITWVTQLRNLSYDLEDCIEFVLHLDTKNTWYLRFLPHCAGKVVLPVDEAVDQVKQLKARVLDMSERNMRYRVINESGSKLDARMQEPSAASATEFDILFKARNAVKEQSGFWDLTGLINGKDADLQVISLCGTGGDLGMSSIIKKAYDNPKICKRFKLRAWVKLMHPFNPHDFIQGLENEFFINSCGTQGEAEGVDFLKMKANEDDSDLLDKFHTKVKEHKYLIVLEDLSSMVEWHNVRTYLPDMKNGSRIVVSTRQLEIASLCIGQHYQVLELRQFSADHSVFVFLKEVSHQDHLKDFRLEDMNERNAREVRNWLDTFLHVGCNSEADKLDSDITLTRNNVKPPMVSSFCGFAAVKNSNIVKIAYRRHMLKETFDKYAWVNVSCPFNLKDFSWHLLSSLNPESLRNEDPVEECWKLLHKYHCLVVIVGMQSKECWDMIKANLTSGTYRSCIFVITEKESVATHCAASEDATYNIKDFPIEKELEKAGVHQQRDMTKLKHILYKCGGLPEVIVALARHMADLREDTWEWEWMRLNDNFMHLLQTKSELGSIGSLFTWIHSKIRGCPQPVKKCMFYLSIFPQNSIIRRRRLVRRWIAEGFSEPTDSKSLEDYMEELFHKLAALGMVQRPPQTNTMAGAKRMSFFQVSGFFREYVISRPREEKVFFPVEVTVLDEGHRLTTERVGQHIAIGENWERDRIVFDGMNFERLRSLTVFGDWTPFFISEKMRVLRVLDLENASGSVTNKDLEVMVALLPRLKFLSLRGCKEITHLPSSLGTLRQLQTLDIRHTSVEYLPVSILRLKRLNYIRAGTMHSAGYNGGVDLPRIEQMTALHTLGVVNVIYHYGLRRLTQLHKLGVSGISQKNSRQLLSAISGHNHLESLSLVLAKDNHVVSWDGINYPKNLRSLKLYGHVQMLPPQIKELRNLEKLSLEIISFTEEDIKVLGKLENLQILRLFVKMFDNGQLQFPIMGDDFPQLKVLEIDCNSNLHICFPQRTVRNLEVLKVCYHSGSPLQLSGLDHMISLKKVWLKGPSDGALLDSVRQQLDRNPKKPVFVPVE >KQL13947 pep chromosome:Setaria_italica_v2.0:III:7912429:7912907:1 gene:SETIT_023838mg transcript:KQL13947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDKMRVAMLSLALVGLLLAATASAKNNIHVLGVDGSGGESEGRVVYADLKLAKTRSGGESDAPVPAPAPAPAPGPSSSDD >KQL15570 pep chromosome:Setaria_italica_v2.0:III:21361099:21363243:1 gene:SETIT_025091mg transcript:KQL15570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKVFIETLLFLVVDDAALKGFSAVRNPTARVWDPAAAFYLARPSEVKRFGGQAKFLRKVHPSTTDLRSFVEAFKSRKMERRFQQSHDGRDGRDLGRDSRGMNREGRGEEEYRASIKHQGEPREARDVRQMTKGDLRYKLNYEQEERKRMATQNPHQAASMTCYNYHQVGHHKSQCPNPSFCYACKQSGHIDTKCPSTKTNKGMKLCGFGMPGQFFCSLIVPEEQVEIDNAIRAIVIMLEGRGKKFRISTELKYLADADWDWQVKRLTSNTFLVTVPSMAVLNLLRNIGRIRFTCLDMVATVEETKMDPDSFATLETIWVKAVGIPKVARKESYVMELAYLMDDDGDITDEEEPTHKTATDPDGVNLKIRSSPTQKDSEPSNTTKE >KQL13770 pep chromosome:Setaria_italica_v2.0:III:6634640:6635688:1 gene:SETIT_023458mg transcript:KQL13770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNIGVAVDFSSCSKNALRWAAANLAAAGDRLILIHVKGSYQYEEGVAHLWEHDGSPLIPLLELSDPRVSKIYGLAPDRETLEILTLAAGQRGVQVFAKVLWGDPARKLTEAVHKVPLQWLVVGNRGLSTVKRVLMGSVSTYVVNHAACPVTVVRENMLPPALTTNS >KQL15719 pep chromosome:Setaria_italica_v2.0:III:23012187:23013080:-1 gene:SETIT_022664mg transcript:KQL15719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIHHDRKASCRPEKEEVKEVPIQEEGGLFSSIPMVQHQNFWLSPKLLESIELVQEQFVPRADDIILATFPKCGTTWLKALTFAITNRSRHALAHDHPLLTRHPQDAVPFLELPDRQIHPVADLEAIPSPRLLATHIPFSLLPASVTAAGSRVVYMCREPKDVFVSKWHFENRVSEKLFVDLGLAFHLFCEGVSVLGPVWNHYLEYWNESKARPDKVLFLKYEEMMADPVKEVKRLAEFIGAPFTDAEERSGVVDEVVRLCSFEHLTSLEVNSTGVADRIGGFPMENS >KQL17107 pep chromosome:Setaria_italica_v2.0:III:48340242:48341319:1 gene:SETIT_025551mg transcript:KQL17107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLLFGFSTYLHMNAGIVLCENMGYTVFISVAMIHCDPAHNNVDGMMCDIARHVCIYDYFLLIKKINE >KQL14830 pep chromosome:Setaria_italica_v2.0:III:14145363:14146153:1 gene:SETIT_024617mg transcript:KQL14830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEEAYMKSRIGYMNTNKVAVQAEPGYIRKTLSRYTAEAPTSHASCVGWFFESVIGRILDSGCRIETVLVILFKILRREDQDNIRITG >KQL15991 pep chromosome:Setaria_italica_v2.0:III:26607952:26609338:1 gene:SETIT_023548mg transcript:KQL15991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREQKRGVLHEKLQILRSVTHSHAGDNMSIIADASSYIKDLKQKIAKLNQEIASAQHANVCQPLVSVEILENGFLINVFMDKCSPGLLASILEAFDEIGLSVLEARATCAGSFRLQAVGEEEGEDLIDAHAVEQAVVQAIKNCHSN >KQL12660 pep chromosome:Setaria_italica_v2.0:III:230434:231826:1 gene:SETIT_022622mg transcript:KQL12660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGNALAMGTLLLAAAVVCAAAAAEADDLLVELQLQQDPCPQVEDIVRSAVQKAVRRNIQLTAGLLRIFFHDCFPQGCDASVLLAGERDVPPNAGSLQPEALKLIEDIRREVHGKCGGPKVSCADILALATRDAVVAAGLPFFPITRGRMDTRTPAPDVLGNLPSPSDSVGNLLDMFRRKGLGDDPRVLVALSGGHTVGKTSCGLIRGNDDFSRALAANCSASRSRKQSLDVITPDAFDNRYFVALRNGKGVLGSDQGLADHPSTRDIVRDFANDQQLFFTQFRASMTKLSQLKAPAGGSRFEIRLDCSKPNARVGDEDDAAAGQLAAAA >KQL13087 pep chromosome:Setaria_italica_v2.0:III:2517342:2519185:1 gene:SETIT_023419mg transcript:KQL13087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLHVKSAAPAASSSSPDADEGTEFLYECAASAAVADVAVAIGALAGLQTRLLSLCRRLRARCADAGAGATGELERALDEAEAYASKEQVQHNRFLSPRALREHIKNIEKKCASALQEPPEALSLQESSSDNKHERIQLWWAGKELAMDHKLCDYIGVNDKTKIVVKLTQAHDER >KQL14403 pep chromosome:Setaria_italica_v2.0:III:11015575:11018135:-1 gene:SETIT_021746mg transcript:KQL14403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSGGDAGDPNQKHVNGVDRSGQQHAAGIMKFSYYDNRNEPPRSVELDEHMMENGSISAAAGSYKQYYDDAASDDWQSSSPSSTRQMLGGGFADDHQGNNGSSRSAAGRRRRLAPVAIVKKIDWASLWDQAKEWIKNPMNMALSVWVVAVAVSGAILFMVMTGMLNRALSTKPQRDTWFEVNNQILNALFTLMCLYQHPRRFYHLALLCRWRAGDMLQLRQAYCKDGTCKPGERRHMVVVVMLLHLNCFAQYALCGLNLGYRRPQRPVVGVAITVSVAICAPAVAGLYNNLSPLGNDYEAQPEADDEESCGGSDPQLQRKTALHEESSGGGGAFRMQWAGGLFDVWEDISLAYLSMFCSCCVMGWNMGRIGFGNMYVHVVTFLLLCLAPFFVFNLAAINIDNEDVRDALGLAGIFLCVLGLLYGGFWRIQMRRRLGLPASRACCGKPDLTDCMQWLCCYSCALAQEIRTADAYQQQVASVQDRTVHPTRQQHLRFAGSSPPPAARSVSDGVTVPPPVSVVIRTST >KQL15371 pep chromosome:Setaria_italica_v2.0:III:19347745:19352935:1 gene:SETIT_021244mg transcript:KQL15371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINIAVLAVLATVCTPAAAAERRRWVEEGDGVRREVTLDSRALVVDGTRRVLFAGEMHYTRSTPEMWPRLIAKAKEGGLDVIQTYVFWNVHEPVQGQYNFEGRYDLVKFIKEIQAQGLYVSLRIGPFIEAEWKYGGFPFWLHDVPNITFRSDNEPFKQHMQRFVTDIVSMMKHEGLYYPQGGPIITSQIENEYQMVEPAFGSSGQRYVRWAAAMAVNLQTGVPWTMCKQNDAPDPVVGIHSHTTTLNFHNYLIYGNDTKLRSPEDIAFAVALFIARKNGSYMSYYMYHGGTNFGRFSSSYVTTNYYDGAPLDEYGLIWQPTWGHLRELHTAVKQSSEPLLFGTYSNFSLGQEQEAHIFETESQCVAFLVNFDQHQISEVVFRNISLQLAPKSISILSDCKRVVFETAKVNAQHGSRTAEEVQSFSDINTWKAFKEPIPQDVSKAMYTGNQLFDHLSTTKDETDYLWYIVGYEYTPSDDGQLVLINVESRAHILHAFVNNAYVGKNLIKHHGRIHGSHDGPASIILSTNISLNEGPNNISLLSAMVGSPDSGAHMERRVFGIRKVSIQQGQEPEHLLDNELWGNQVGLFGERNHIYTQEGSKSVEWTTINNLAYSPLIWYKTTFPTPAGNDAIALNLTSMGKGEVWINGESIGRYWVSFKAPSGNPSQSLYHIPRQFLNPQDNILVLFEEMGGDPQQITVNTVSVTRVCSNVNELSAPSLQSQDNEPAVNLWCQEGKQISAIEFASYGNPVGDCTNYGSGSCHAGSSDSVVKQACLGKSGCSIPVTTDKFGGDPCPGIQKSLVVVASCG >KQL14532 pep chromosome:Setaria_italica_v2.0:III:11827540:11832481:-1 gene:SETIT_025005mg transcript:KQL14532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKVRAQKSPARPHPPVHRRPQTPVDRRRPRSAAAEMSLTSVKIGEEVWLTCLSHALTTETEEVMGLLLGDIEPSSKGGATAVIWGASPQMRCERKKDRVEVNPELLAAAMTATIKKTTRVIGWYHSHPHITVLPSHVDVRTQAMFQLLDTGFVGLIFSCFSEDAQKVGKIQVIAFQSQGGQQRAALPLAIAPVIDLDSSWSSSENAFTPHSAFEGIEQDTGDSRASKNSKAWGRSPDMDFYSHPDTNHSAKHQPRENAVIPYNPDNTQEVSMDPYDSDMTPSIQEALHRSNMDISGAEYVRKEVPLIVLPTRHLLKLDTTMTSYCDMQRVLFEEEQTAYNQAMQQNICDGKIHPLTSIHHTSTYNSSLCKLMEYCLSPAITVLQDRVKENELRLSMLQEEAKQLEAETLGMRNVSPRRFMNHGSVGSSSPMAQNRHPFSNQGSPRSPRSPSGGSRRRAC >KQL13242 pep chromosome:Setaria_italica_v2.0:III:3372915:3374545:1 gene:SETIT_025461mg transcript:KQL13242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDHLIYVTLSYSSRREKILAFDASSVLTIQKCMSEIFCDKVCIVCLWVSYESR >KQL13243 pep chromosome:Setaria_italica_v2.0:III:3372915:3375834:1 gene:SETIT_025461mg transcript:KQL13243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDHLIYVTLSYSSRREKILAFDASSVLTIQKCMSEIFCDKMFREAGDRKSHQSAESMGCKAQPVYAHTTTCNKVQATSRFRPWQLSPAIG >KQL16592 pep chromosome:Setaria_italica_v2.0:III:42764169:42767138:-1 gene:SETIT_025346mg transcript:KQL16592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATRQQEQHTGDGGGDRYGVLLYYKYAEVPDAAALAAFYETHCRSLSLVGRVRVGPDGVNATLGGRMTALEKHIAEMSSKALFDGTDFKLASCEDPVDERVARECGFTSLSVRVVKELVTLCSNPTSAPPEILGAGKHLSAAEFHSVLQNVAGTSSDAVASAEKNEVVVVDARNVYETRIGKFNVPNVETLDPEIRQYSDLPSWIDEHTEKLRGKSILMYCTGGIRCEMASAYIRSKGEGFENVFQLYGGIQRYLEQFPDGGYFEGKNFVFDHRISVGSLKENILGTCLICGSSYDDYSSRCRCSNCRMLVLVCPTCQDSTKEYVCELCQKNGKEPCQISTRQDCEIQTGLSEPSGFEKPSISNHILTSKVPWCNGSEQLKKLRILCLHGFRQNASNFKGRMSALAKKLKHIAELVFIDAPHELPFVYQPIQSHCSDKPSPPPETPKRKFAWLIAPNSSCSTEQDWKVADAPFDPLQYQQQTEGFEESYAYLENTISQMGCFDGILGFSQGAAMAALFCRKQQETSGAPKFRFGMFCSGYPAPVGDFDAELIKLPSLHCFGNGEGHDRQIANRASCELADQFQQDCCSIVEHDMGHIIPTRSPYIDRIKDFLSGFL >KQL17115 pep chromosome:Setaria_italica_v2.0:III:48381664:48386643:1 gene:SETIT_021553mg transcript:KQL17115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEVLEQIGKGAFGSALLVRHKVEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVEKGCYVCIVIGYCEGGDMADAIKRANGNHFSEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFIARDQSIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGTKSDIWSLGCCIYEMSALRPAFKAFDMQALINKITKSIVSPLPTRYSGAFRGLIKSMLRKSPEHRPSAAELLKHPHLQPYVLQVQLKSSPSRNMSPIYQSLTDKVKKMTFPSDVTDSVRRRVARRNSLGNERTVTFCKPSPERNSISSTRSIKEYTTTQSVKEFSIDSSQVDDEVTSKAVITKTSGILRTPKSTPSKSLTTRNWLDPPKTSYSRTNHSELSSRTPLNKSARTARRASLPLPTYGTPSNRTISILDRLDSPDVSVNAPRIDRIAEFPLASSEDPLAPINNKLSPAPGYGSCSTPPSINRSITKDKYTVQVLHTGDGDNGSDSSGRNATAASSRGSNDSRLQRFDTSSYQQRAEALEGLLEFSAQLLQQERYEELGILLKPFGPEKASPRETAIWLTKSFKETAS >KQL13772 pep chromosome:Setaria_italica_v2.0:III:6654458:6654715:-1 gene:SETIT_025250mg transcript:KQL13772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCVKILLLVSLIPLVLRGVSLLIPSPDEQPSNSRNGASTSVSVSGVGRGRLSGFSQRRFGRGGGSFFRDDKRFSPTGSNPLHNL >KQL13749 pep chromosome:Setaria_italica_v2.0:III:6458934:6462731:-1 gene:SETIT_022491mg transcript:KQL13749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALWMKFFEHKPFDSRTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRSIQMSLSVLLLGVGVATVTDLQLNAVGSTLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFNYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTVETQQKNTEVSPQQVKESEAAPLISDSLSKVENGGGVADDEPLKVPMWSSKYSRE >KQL13748 pep chromosome:Setaria_italica_v2.0:III:6458934:6461260:-1 gene:SETIT_022491mg transcript:KQL13748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLAIIPCTVILETLFFRKKFSRSIQMSLSVLLLGVGVATVTDLQLNAVGSTLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSLTLFLIGPFLDGFLTNQNVFAFNYTSQVVFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVVGMVLYSYFCTVETQQKNTEVSPQQVKESEAAPLISDSLSKVENGGGVADDEPLKVPMWSSKYSRE >KQL13566 pep chromosome:Setaria_italica_v2.0:III:5261012:5262618:1 gene:SETIT_021949mg transcript:KQL13566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITPLGKAAPPKKCKMKTIAALTGGSAGGAVDARNQENAGNSQHPGCPRQEPKVAVQVALVLPLPLRAQEPEKPKRRRDASTAMFWVSKKPRSSVAAARERGSVIAEANRAAADATPSPIVQEPAVDMDGGEPICKKARRSPGGSIGGKEEQKLRPFVFADPVHGLDWLRQDSEVAVLDIASPSRRAKKSVKRDHHKIVEEASRQIKRSCSAPSLAVCKGSNGTVDQENIRVLSDPPTGRTPESASRRRRCKTAAKHSDRKTTRQPFMRLSPELHRLGLTNVTPIVSKILTSTDCNLNAHRLLLPRESILSSPLMSMLTQEELGKVNRGGKEDGVPLELLDQHGRSYQIIFKFLNSDKEYRLIGEWTMFVKHNGMRKGDVVDLGASRSKGRLLLMLLHHAMEDQNSEEAGAAEGTGEEWVLEKIEAVAGAGEEPASADMEDAGSMEWTLEEMEAAEGLLALSHFKDGTKL >KQL15297 pep chromosome:Setaria_italica_v2.0:III:18757118:18759190:-1 gene:SETIT_022018mg transcript:KQL15297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAVAGQQSRRRIRPPEPLVMAAAPPTPAAFRCPISLEVMRSPVSLPTGATYDRASIQRWLDSGHRTCPATRLPLASTDLVPNLLLRRLIHLHAATLPPSPSPEQVLSQLAAADGEPAAAEKAVRSLAAKIAPEKGKRASVASAVAADLDSAVPALLSFAKGGAGADARVDAVRILATVAPELVAYLTGDGKEKPGRVSMAVEALAAVLSADGVAEEAKDAVVVTLVAEDLGRIATTLLGAGSNGAAVLEAILTLPAADADAKTAIADRPELFPDLVRILRDAASPAAIRCMAAAVQVRGRPARASMVRAGAIPALALAVAAAPTAAAESALRLLAEAARCGDGKAAIAADAAEVAAAVMGRMIRVGPAGREAAVVALWLSCCAGGGERRMREAVASAPEAVGKLLVVMQGDCAPTTSRMAGELLRAVRLEQERKGMAASYDSRTIHVMPY >KQL15119 pep chromosome:Setaria_italica_v2.0:III:17226896:17227409:1 gene:SETIT_023972mg transcript:KQL15119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYCYQYNSVSSSNLAKEKRPAPKRGHVKMQIVRTISNIVAPSSGNNSFRRERSYN >KQL16108 pep chromosome:Setaria_italica_v2.0:III:29756234:29756932:-1 gene:SETIT_024341mg transcript:KQL16108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLIIIAGDITATSFKPMEDLGNLRAVCRVMERACGDPSVGQHVAMLRIYMEGLEWLDPDRYYNLLALVVGVANPQACTFKGIANFFAGTHPSLNELSHAAAGGQNVGAYLNNAGAVDDDIAKMYICHLECEDDLVAIRSADPKRLCNDGCRVCHKEAAYLVNSVTWCMHGEPLPSAPVWGDFPCTRGDCGKDKGYEQAALFCNEDCRIRHEIVEFEKRMGIDQ >KQL13340 pep chromosome:Setaria_italica_v2.0:III:3908701:3909453:-1 gene:SETIT_023052mg transcript:KQL13340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKISLKLLVETRSKRVLFAEAGKEFVDFVFSLLTLPIGAVAKLVSAGTMQGSVGRLYQSVDLMGASYLQPGADKSELLQPGVLHPDARELLLLPPGAGDGEAEEKPRLPKFKLYTCAAQCVTVTMEREAACPQCKQAMATEMAFVLPSAAPRAAAAGGAKGGSGAAGESEESGGYVKGLVTYMVTDGLEVTPMSAISSITLINKFSVGNDVELAEKYVSVGMDEGLGLLRAALSSDTVLSDVFLARKK >KQL14858 pep chromosome:Setaria_italica_v2.0:III:14373981:14375323:-1 gene:SETIT_025028mg transcript:KQL14858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPAIQSKVLLVPFFATSHISPCIDLHLTEARPPGAVEATVVITPANASVVRSALARRAVPTSGGAMVQVAMYAFPAVDGLPPGESLIRDLAPDAVVTDTHFFWNVDVAADLGVPCAIFSPIGTFPTLATSHLALAGVHGATGGVVTVPRFPSPNIRIPITELPEFLRSSNVSNRWARIMPARKKCLGLYVRNGYVRRAYFVGPLSLPPLPSAGASTDGSPCIDWLDTKPARSVVYLCFGSLTHMAEAQLHEVALGLEAYGKPFLWVVREWRRQVGDRGMVIIGWAPQTSILAHPARMACLCSSTWPMVVEQFITERFVTQVLADGQRMWPEDAGVRSTRSKENQFIPAKAVARAVAMFIWCPEGPGRGEEQGE >KQL15750 pep chromosome:Setaria_italica_v2.0:III:23347768:23348811:-1 gene:SETIT_024032mg transcript:KQL15750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPAASADGCATAPGAVDGRSGYCKETKTFRSLRPPVPLPPTDSPLSFTVFAFSLLPSPLPAHPALLDAATGETVSFPAFRSQVRALAGGLRSRVGLRRGDVAFVLAPARLDVPVLYFALLSFGAVVSPANPALTAAEIARLVALSDASVAFAVSSTAAKLPAGLPTVLLDSDHFRSFLHNDDSGEVLAPETAVVHQSETATIQYSSGTTGRVKAAALSHRNFTAMLAGAHALAHKPRHGRDRMLLGAPMFHSLGFYCALKGVALGQTTVLVTDTVARRGVKGVVEAAERWAVSEMAASPPVVMGMAKERCGLEALEWVVCGGAPLPTTAAEMFQRRFPNVNLCM >KQL14530 pep chromosome:Setaria_italica_v2.0:III:11809389:11811909:-1 gene:SETIT_022189mg transcript:KQL14530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLPPPPALALAALAAAAAILLLCGAGAAEARVLLTLDDFGAVGDGIANDTQAFLDAWAAACDSSEQAVLAVPVGKAYRIWPVQLYGPCKRKLKLLISGSIVAPASPDEWAGRDPMKWLYIYGVDGLSVSGGGTIDGSGQQWWASTCKRKKTPPCYSGPRPKTVHFEECRGVSVQGVTLQNGQQFHLTFTRCSDVKASFLRVIAPGDSPNTDGVHLNSSSHVQITDNLISTGDDCVSMVGNCSDVRVKDISCGPGHGISIGSLGKNRTTDMVENVKVDTCFLTNTTNGVRIKSWQGGMGFARDLRFESIVMKNVSNPIIIDQYYCDQPTPCANQTRAVEVRKVEFAGIRGTSATAQAISIACSDAVPCQDLELKNVNLTLEGGGHATASCYRASGKTAGAVVPPSCLAKS >KQL14729 pep chromosome:Setaria_italica_v2.0:III:13212276:13215037:-1 gene:SETIT_023263mg transcript:KQL14729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDVDGGNSRVVSEKKAKAWCASKGNIPYFETSAKDGTNVEDAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >KQL15085 pep chromosome:Setaria_italica_v2.0:III:16479820:16482673:-1 gene:SETIT_024947mg transcript:KQL15085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AATHPSGPRASPPARHRHRHERSGMAASSAYAASLPAVPDWLNKGDNAWQLTAATLVGIQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASSLLVWVLVGFRMAFGERLLPFWGKAGVALSQGYLVRRASLSATAHGDTPRTEPFYPEATLVLFQFEFAAITLVLLAGSVLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGVAGFTAAYWVGPRLKSDRERFSPNNILLMIAGGGLLWMGWAGFNGGAPYAANIAASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVQTWAAVIMGVFAGSVPWFTMMILHKKSALLMRVDDTLAVFHTHAVAGFLGGILTGLLATPRLLEIESPVPGLRGAFYGGGIGQVGKQLAGAAFVVAWNVVVTSLILLAIGMVMPLRMPDEQLMIGDDAAHGEEAYALWGDGEKFDATRHDAARVGGGGGGMEREGSAEQRLSGMGARGVTIQL >KQL16767 pep chromosome:Setaria_italica_v2.0:III:44898615:44902343:1 gene:SETIT_022017mg transcript:KQL16767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAGSVSWVAAQPSVLGRCGGGGGAQSASVKGRAGGGGGGGGGGASVRGVGVVRCSTRAQEKRPPRVRKSKEERREMVESFINSYRVANDGKFPSVNLTHKEVGGSYYIVREIVRDVIQENRVLGPGGLDSMALSFEDCPDSSEVSMKHELGQDNIESLAMSDDGQAGQGSVSESSSSEESFSLQNNSINTDILLGSSNILEAGVLKSIVQNGTASGTAFLETNLEKQDEVPSGGSIEVGLNSSEELNSQEDAHEGMGSVATDILPSEATDVYETNGALLREHEMLPNDSHGGSNDSVVDDGNLLAAANGALQEKQASFQEHDPSNESVQGDVQSLDCQISTTLSANPINGFDSEAEVTTKTIEASDTKGLLHTEDQHSVVQVDGSEFKKSTSGFTKEVVEATDARHEQGMSTTTVISRRTSKAQQKKDDNLFWLVLRAFVVAISKIWAK >KQL15209 pep chromosome:Setaria_italica_v2.0:III:17807796:17808294:1 gene:SETIT_023741mg transcript:KQL15209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNNHDSWMLENGMGCTGTQILHLKPRNPGAQEIIVQSRSAKLCTFSLSNVGILAGANLAARLSKICMARSKNLFTTRARQRELANWTMPKAYNNLGSICMSSC >KQL16693 pep chromosome:Setaria_italica_v2.0:III:44092904:44098147:-1 gene:SETIT_020974mg transcript:KQL16693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELASGAVSSLLGLLRNEVLLLSRVGSDVEFIKEEMESMHSFLEHLARTAPPAGGHDEQVRTWMKQVRDLAHDCSNCIDLYLRRGDPAVYRARGGRWRYLWWASWLVQKMVTQHNAAIRLRELKERARDVGKRRLRYGVEIPQKEAWGSAVVPSMPSSSQAAATEEEEEDHQNQASVVAADGSDPRQRALEPRLLEEYCTEKLANWLQLQAETNKDVSISSIAIVVPDDTEDAAGAIAREALTLASANFTCKVWINLSALHLPWDLPLLGSEILSYILRECEQQQGTVGEQDPREQAYRYKDELQDTIWNMIDDDDIVERIEEIKSKIGEVDEGKIGFDRNKKLEESKTLGILILLRVLQLMQSAPDRSMPLSSEDAMKETASRLKSHMEAGKPQICLDNNQYMDILRKVFPASKPLQPQTQEASHGATTLGEDHIKEITNNHKITLDIIWELLCKQQLLESTSAKKEHATGCNKLHGGHDQVGNSAAVASTEQSKEKVEETSGEVQVSSAAAAAAVKEAKEKMKEFRGEVKARNAIAATINETKEKMDKISEEITDKLFIKGIVDKIKPHLENNKTLIILQDDEDYISTEDDEDDVSTWEETRNALNLLGCAPGSAVIVSTKSSQKAKEFCYPQREPITYSLIGLYHDIVLQLTQQREYDPQVLLKILYMCDPHEFCMKIFAHALYANPKRSYDELTKLYQDLGAQKTLGSEAKRMIKFSYRDLPREYKTCFLYLAIFPQGHNISRSTLIGRWVAEGLITKEDWSTAVFHAEQCFEALIKRGLVLPCDIGVAGKVKSCMVGHQVHGFITKIAKKEHILDARLSDVVARHFSIFCGLRLRASDGIGTFVSKLPKYLPQLPLLKVLDLEGWVNSEKLNHYLKDICRKILFLKYLSLRGTKVTDLPNEINNLHELEVLDIRQTEVPARKTKGLLLLKLRRLLADCNDPKMNGDPLHSPVQIPRKIRRMENLEVLSNVKASSDGSELKDIKYLWQLRKLGVVIQDEHRHLDNLLSSINDLKECLQSLSITISNTRRKRKNITLRKSPQPKDMGTLSTLSPRRLESISINGFIPGEKLLEVLAKDCDELAKVTLSSTRLEQGDLMVLALLDKLRCVRLRSYAYSGRKLIFNKDEFPHLKYFLVEGHNMTNIEFQYNTSAELEKIVLSFTNIRSLCGIGNLPQLKELELEENRSLLSFSQDEAALEEKTESRAPEQNTEEKFQQLKYFCVKESKTTNITFKEGAAPELKKITLSLRDENSKITGVEYLPKLKEIELKGGKFLLQLFDNAVKVAKVTLSDTNLKQEDMKILGMKTNLRCLVLSDKSYDEKHLAFNEDAKFPVLDLLIVECPNINSISFTAGSAQKLEKIVCSFTNMNSLSGIDKLPKLNEIEYSGGRVPYLVRKEIAALKRQPVLTYNNPQQQNQARTMKAKQKREMLQKDLQLS >KQL17400 pep chromosome:Setaria_italica_v2.0:III:50240417:50240887:1 gene:SETIT_023685mg transcript:KQL17400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWTALPYTCYGGGGGVDQMRVVGAGGGTTDNVIASTNSAAVVSQPADASYSSEPMAAATELDEIFRSMGTTGGEHSDLSQSSTETETSWYQYCQHAGESVLQQHLEMPYLYW >KQL15089 pep chromosome:Setaria_italica_v2.0:III:16504256:16504902:-1 gene:SETIT_024147mg transcript:KQL15089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPIQVLYEVKNASSIIKMLDSGTSYGVSEECNIDEATEEKGDEVSQRSLQFGIMPPENMGAWESSSSLETPYQIGSLHPPNIGSGS >KQL15825 pep chromosome:Setaria_italica_v2.0:III:24294814:24298878:-1 gene:SETIT_022907mg transcript:KQL15825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRNGCTIYVGNLPGDIREREVEDLFYKYGRIVEIDLKIPPRPPGFAFVEFEDPRDAEDAIYGRDGYNFDGHRLRVELAHGGRGPSFDRSSSHNSVGRRGATRRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGATIGIADYTNYEDMKHAIRKLDESEFRNAFSRSYIRVREYDARRSRSRSRGRSHSRSKSRSRSRGRSYSRSRSHSYSRSRSPRSRSASQSRSPVKARSPSRSQSPRASPPRDKSASRSPARSKSLPRSDSPAKAE >KQL15817 pep chromosome:Setaria_italica_v2.0:III:24268372:24268748:-1 gene:SETIT_024458mg transcript:KQL15817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGYGGSDGTLNDLDRIGYLTKYIASTLKEIRNGADVRGYSVWSFMDLYEIYGGFKTHYGLVAVEFDTEERRRQPRRSAHWYSTS >KQL15757 pep chromosome:Setaria_italica_v2.0:III:23498870:23500272:1 gene:SETIT_022087mg transcript:KQL15757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLFFHHLLAVSALLLAAQWGGGRAVHAPLVSPLAKDPATSLYTIFIKDGSGPLVVDLAGPLIWSACACASGHPTFPCGSAECAAASGFSCPPQGQEDYGGGGAAVDGGDHRCMCTARPCDPVTRARCAAGDLTSFAMSANATDGRNALYPVSFQAVGACAPNWLLRSSSLPAGAAGVAGFGRAPLSLPSQLAAQRGFGRRFALCLPGVAIFGDTPIHLGSYPPDLMTTIASTPLAANPRGSGGYYLPVEAISVSWSNWNVAPTRAALPPGALELDAATGRGGVTLSTVRRYTAMRPDVYRAFVQAFGEAIGRPGYVKTMPAVPPFELCYDTFSLRRVKVLGWDVPSIRLELGAGATMNWTVGAGNSMVQAAERTLCLAVVEMHGAEAAAPAVVIGGYQVEDNLLVFEEDKEVLHFSGLLWGSGATCSGFNFTAPL >KQL13782 pep chromosome:Setaria_italica_v2.0:III:6704965:6708199:1 gene:SETIT_022207mg transcript:KQL13782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSGGARLQCADTKDWGCCFLALPPAAPAAAGVDGDGGFNLSWTLHQSFHPPAGLFASVGQQVGVGFPGASSTAPSPETPRDPYMKYVSPEVVETPLPGEGVGLRDKGKKKVVKLKIKVGNHHLKRLISGAIAGAVSRTAVAPLETIRTHLMVGSNGNSTTEVFQSIMKHEGWTGLFRGNFVNVIRVAPSKAIELFAFDTANKFLTPKPGEERKIPIPPSLVAGAFAGVSSTLCTYPLELIKTRLTIQRGVYDNFLDAFVKIVREEGPTELYRGLTPSLIGVVPYAATNYFAYDTLKKVYKKVFKTNEIGNVPTLLIGSAAGAISSSATFPLEVARKHMQVGAVGGRKVYKNMLHALLSILEDEGVGGLYRGLGPSCMKLVPAAGISFMCYEACKKILIEEEDE >KQL15262 pep chromosome:Setaria_italica_v2.0:III:18371205:18374195:1 gene:SETIT_021566mg transcript:KQL15262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIAIFAALLDRGWRRSGCFLYKPEMERTCCPSYTIRLKANDFICSKEHGRVLKKMQRFLDGELDPQVGIPQCKASPTKRTLSEPLNSPTSKVSKVSTNEFQAATCPNFLKEDEFIRCLSSKINEAIDTCFQGGILGSTVQLPKAVVKTVKPQVKKKVGEAAQEHKVGGVIDLVYTCNISFQIVAAVRRALPKENGANQTEVLADLSPNSVAEKLAMTTERLGGLSGFEVKACNGHLNFYSATNPAMQNHTGVVPAQASDDSSRSKQSSVNKISAKQPQKRKSLEIRMSTSHFDPEEFALYRKYQTKVHKEKTVTEGSYKRFLVDTPIIFVPPKSGDDTVPPCGFGSFHQQYRLDGKLVAVGVVDILPKCLSSKYLFWDPDLAFLSLGKYTALKEIDWVKTAQEQCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPVRYEWVRYDLAKPLMDKSQYSILSDYATMQDETPQPQICGPSDDSSAKVDHHESPSDEDDEDFNDYESEMMVDEELVDSEKADTTESDSSIKDIENITLDLNGSKVKYKDLQQVFGPIERVHLNALDGQLSRYAKVVGKELSDRMVYCLS >KQL15373 pep chromosome:Setaria_italica_v2.0:III:19370454:19373433:1 gene:SETIT_022594mg transcript:KQL15373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNKNKPPELYYEILHVARDASPQGVRAAYRTLARQWHPDKHPPESRPEAEARFKAITEAYKALLDQQENRAVFAAREGGGRSRPAEKDLCGCGGENVATAVVRAARGEKPGAAPPCTLAREEPAAKAKVYSACSNVGGGGRRAFAEFSSYVVRKAPPLERRVECTLEELCTGCRKEVRYTRDVVTKNGLITKKEVTQTIRVRPGMRKGSAVTMEGAGDERPGCLTGDAVFVVSERKHKRFKRLGDDLVLRARVPLVSALTGWQLSFRLLCGDRFRYAFRDEVICPGYVKVVKGGGMPVAGGEKGARGDLMVKFEVVFPEDLTDEQRKGLAEILRGCA >KQL12875 pep chromosome:Setaria_italica_v2.0:III:1415030:1415218:-1 gene:SETIT_024857mg transcript:KQL12875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRGALVKLHDDIQTCAYEDVQVMWEMLQRSETERLAREPSPKGARALVWLRRHHKMDPRRRC >KQL12939 pep chromosome:Setaria_italica_v2.0:III:1756867:1758687:-1 gene:SETIT_025317mg transcript:KQL12939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVYYNGMYHLFYQYNPHGALWDVGNLSWGHSVSGDLVNWADLGNALDPTALFDANGCASVSVTILPDGNPVILYSGIDADRRQVQNIAFPKNPLDPLLREWVKPSYNPVVPLPADVSPDNFLHRRRGAASRDAVMAECPDLFPVAPRGAAEGLDTSARGPGVRHVLKVSMPDKLQDYYAVGRYDDETDTFTPDEEDARGGGGDYRRWRRIDHGHLYVSMTFFDARRNRRVLWAWVNESDSEADDVARGWSGLQSFPRALWLDAGGRQLVQWSVEEIETLRRRRADLVDAEVEAGGLREIGDVESSQADVDVVFEVPSLVRAEGLDPSRLLDADALCGEKGASVRGGVGPFGLLVMASGDDLREHTAVFFRVFRILHEYTVLMCTDLTRSSTMAGVYKPMHGGFVNVDIEKDEHIESASPIPCTLGTEPLTIDHSIVESFGGGGRTCMTARVYPEHVATGSGHLYVFNNGSDAVKVPKLEAWELATASVNVVAAEDDDGLVASETRQVLC >KQL13601 pep chromosome:Setaria_italica_v2.0:III:5494027:5499529:-1 gene:SETIT_024115mg transcript:KQL13601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPNLLRFLKPFIEPVHINKYAGKRVKTDPHSRFQSAVSLAFSIPPDFLAFCAASAAYSCSMELCMNPKSTAARRYISYFMHHINLLRHYKVIPVVVFDGGSMPCKAATDNERQRRRELSLNMAKEKLEQGNTAAAVDLFRKAVHITPSMAYELIQILRSENVEFVVAPYEADAQLAYLTTLDADQGGIAAVVTEDSDLIAYCCPAIIFKMDRFGNGEEFTMERTLKTDKDGLSFRDFNQQLFTGCDFLPSISGIGTKRAYSVISKYKDINRVISNLKLDKRYSVPNDYADSFWKTLAVFNHARVALSPSIARGIAEGHLNPITMKAFDQYSRIISPIDFLDTSAFEVANQCGSQEISTQKSCITILSSQESNENMIVDEISSDGKKCKKGVLALSKFLLQKQSPRVEGNEVEPKNIPENNPFKKRKLPTDKGQEIGQNELVLDLQDEKSSLSCSSLSQESNHTIKNTKQLSLGQEDYDEPSLLVNEVPVAICSSLTRHSVKSVPNKIVSKRQKILKRSMDKTNKKVNGSSGILKFFTRL >KQL15934 pep chromosome:Setaria_italica_v2.0:III:25601661:25605036:-1 gene:SETIT_021534mg transcript:KQL15934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMRRRRWMVGVLLLVALVSVLPHLGSSNDAGQGTLNPEEDIRSDSEARITHGDRTRKILQEQQNKYWSGRFDSNKAAWPDFTNNNPSAGGGGFQGPPSTFTPNRQPQYPQWPPWPWTPKATPPISFPPSPAPAPSPAVFAKPLPLPAHDSNPSQSIALPPQPADNGSRPVIAGVATGGRHSNHVGRPVYVIAAAGASLLAAVSVAVFLLCYRSSKVVTVRPWATGLSGQLQKAFVTGVPSLKRSELELACEDFSNVIGSLPDYMVYKGTLSSGVEIAVVSTTKSSAKEWSKHCETQFRKKITSLSRVNHKNFVNLLGYCQEEQPFTRMMVFEYAPNGTLFEHLHVREDGHLDWPTRLRVAVGVAYCLEHMHQLSPPEILRTLDTSTICLTDDFAAKISDVFFCDEPREEESLPPSPALADREGVVYSYGMVLLETMAGRFTASAGGLLEGWAAGYLRGERRLRDVVDPALRRSFNAATVDRLDGVIRGCTDREPRRRLTMPEVARRLREITAMPPDAATPKVSPLWWAELEIISTEVN >KQL15933 pep chromosome:Setaria_italica_v2.0:III:25601955:25604644:-1 gene:SETIT_021534mg transcript:KQL15933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMRRRRWMVGVLLLVALVSVLPHLGSSNDAGQGTLNPEEDIRSDSEARSPNVVHKYLAHVSTILPSCTALHELYVEWWIEQVELGIMHWLSERRKLTAEPPKAEAPEITHGDRTRKILQEQQNKYWSGRFDSNKAAWPDFTNNNPSAGGGGFQGPPSTFTPNRQPQYPQWPPWPWTPKATPPISFPPSPAPAPSPAVFAKPLPLPAHDSNPSQSIALPPQPADNGSRPVIAGVATGGRHSNHVGRPVYVIAAAGASLLAAVSVAVFLLCYRSSKVVTVRPWATGLSGQLQKAFVTGVPSLKRSELELACEDFSNVIGSLPDYMVYKGTLSSGVEIAVVSTTKSSAKEWSKHCETQFRKKITSLSRVNHKNFVNLLGYCQEEQPFTRMMVFEYAPNGTLFEHLHVREDGHLDWPTRLRVAVGVAYCLEHMHQLSPPEILRTLDTSTICLTDDFAAKISDVFFCDEPREEESLPPSPALADREGVVYSYGMVLLETMAGRFTASAGGLLEGWAAGYLRGERRLRDVVDPALRRSFNAATVDRLDGVIRGCTDREPRRRLTMPEVARRLREITAMPPDAATPKVSPLWWAELEIISTEVN >KQL16358 pep chromosome:Setaria_italica_v2.0:III:38259815:38261629:1 gene:SETIT_021658mg transcript:KQL16358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDGDASGGGEVRYPLEAESYRLLCKIGSGVSAVVYKAVCLPLGSSSPAVVAIKAIDLERSRANLDDVWREAKAMALLSHRNVLRAHCSFTVGSHLWVVMPFMGAGSLHSILSHGFPNGLPEPCVAVVLRDTLRALCYLHGQGRIHRDIKAGNILLDSDGSVKLADFGVSASIYETPSSFSGPAVHAPPLSAAASLGSSSSCCFNDLAGTPYWMAPEVIHSHVGYGIKADIWSFGITALELAHGRPPLSHLPPSKSMLLRITSRVRLEDAAETCSSAKRKKFSKAFRDMVSSCLRQEPAGRPSVEKLLRHPFFKGCRSNDYLVRNVLAAVPSIEERCKGDTNLCGCARGARCVSPCRHAAAAGVAAKNRRISGWNFNEENLEFDPAEGAAAEKKRCGLPFHDEEEDDRELESNSAGDDNDDDSRTAATQGSCHGERDQAVGFKEVVVPQLMTILESLKLERSMVMHALGSGGCHVAADGDGCGGMAAAPGEEREEMLLGYVRQLEHRVEELSKEVEEEMARNARLEKQLRLRERVHDHKTNSSQASESN >KQL14462 pep chromosome:Setaria_italica_v2.0:III:11364695:11365204:1 gene:SETIT_024172mg transcript:KQL14462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPAAAMAPPPPASSSSSSRARDPLLLGGFDLPAGWGCRKPMAFCRDIGAPVASESDAAAAQADNNGPRSSPAKGAPAGQHAPAAEEAQEAPRRQWNLRERTTWRDYRAEDARQPKKLGSTDAGGQNSRGFSVALTKQEIEADFIAITGRKPPRRPKRRTKSVQRQIE >KQL14945 pep chromosome:Setaria_italica_v2.0:III:15024311:15024768:-1 gene:SETIT_025709mg transcript:KQL14945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTAVCAPVVCALLLLHCLACTPRAQADRDASASGDSSRFQPRKLLPVTIARAGGTQLLNAAAAAGKDSRVGVGASLKKQTPSKSNPKQN >KQL12867 pep chromosome:Setaria_italica_v2.0:III:1370178:1374846:-1 gene:SETIT_022620mg transcript:KQL12867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGLLLRGAAALTVAVLMLGIAGAARAQLRQNYYASSCPSAESTVRSVISQRLQQSFAVGPGTLRLFFHDCFVRGCDASVMLMAPNGDDESHSGADATLSPDAVDAINKAKAAVEALPGCAGKVSCADILAMAARDVVSLLGGPNYAVELGRLDGKSFNRAIVKHVLPGPGFNLDQLNSLFAQNGLTQTDMIALSGAHTIGVTHCDKFIRRIYTFKQHLPWNPPMNLEYLRSLRRVCPINYSPTAIAMLDASTPRVFDNAYFNNLRYNKGLLASDQVLFTDRRSRPTVNLFAANNTAFQEAFVAAMAKLGRIGLKTGSDGEVRRVCTAVN >KQL12751 pep chromosome:Setaria_italica_v2.0:III:708281:711595:-1 gene:SETIT_025234mg transcript:KQL12751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HTPAPDSSTTRVLTLPPPPPQRVREAMAGKKRKSGSEKQPKHRLPLGADADAVADASKRRRSGAAKQHQADEEASIPSSLSAKILREARKQQQEEMLADSSDEGPSASAAAANAQAAAGPSTSSSFLVPAADDDEDDDVDEFDGFDALSEYDGGEVEINEEDERALAAFMSKDKAAELTLGDIILQKIREKDAEVLTEGRPRVKLDNSIIDLYKEVGKFLSRYTSGKIPKAFKRIPSLECWAEVLQLTEPENWSPNAVYQATRLFSSNMNTKNAERFYEAILLPRVRNDIRQNQRLHFALYQSLKKSLYKPAAFNKGILLPLCRERNCTLREAVIIGSIIQKVSIPFLHASVALVKLAEMEYCGTTSYFIKLFLDKKYALPYRALDAVLAHFMRFLDDERIMPVIWHQSLLAFVERYKNELEKKDKEKLARLLDHQKHYLVTPEIRRELRGSCNRGEKDSNLQTYILSVHAFFYIHSIFS >KQL14697 pep chromosome:Setaria_italica_v2.0:III:13003084:13005153:1 gene:SETIT_025745mg transcript:KQL14697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVVEPARKEVLALPPPASPGTPPLATTAEAQSSVTPAQAPVRTEPVANGGEAEREEWVTVFGFSIGDTNLVLREFEKCGVILRHHSGPRDGNWIHILYQRSYDARKALQKNGIQLSSGLIVGVKPIDPVHRQQLDQRLIGSNQGGFMVSLPSKSLALKSTGSSNQLGALPRPYDPKANTNVNRDAGRRATGSVAAPAKSIVTNVMDLIFGI >KQL17025 pep chromosome:Setaria_italica_v2.0:III:47677927:47682694:-1 gene:SETIT_021138mg transcript:KQL17025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKAATPPLPCSAFPSPPRVSHPHASPGTLAVSSPPLQPSPAMAAAAGAGAGATDYSFAAEYDGPPLPYSLPRAIPLDLSRIPLAALSSSPPASPSASSSPLPVVRPLTPSSFCSAIHGHAHPAPRSAAPAPAAGGAVVDSPTSVIENHHAAAHHSAELPSSPTDDEGAGDGDGGPQALPPKPRHQTAVTFAETSGSLLQSSDDEGEYEDEDEEDDDATAGEARPRAAAGQSSGSLSPAHWRGGRSRGCYRCGKGGGFWGRDKESCLACGARYCAGCVLRAMGSMPEGRKCLDCIGRPVAESRRDALGRGSRVLRRLLSAAEVELVMRSERECVANQLRADDVYVNGSRLSPEELVVLQGCPCPPSRLRPGFYWYDKVSGFWGKEGHKPHCIISANLNVGGSLDQKASNGNTGILINGREITKSELQMLKLAGVQCAGKPHFWVNADGTYQEEGQKTVKGKIWDKPIVKLLSPVLSLPTPNKAANQCGGEAVHMVNRPIPDYLEQRTVQKLLLVGSGASTILKQAKFLYKNKPFSADEREDLKLIIQSNIYNYLGILLEGRERFEDEDLADRRRNSQRDTSSSGCGESGSCDEVTEYSLIPRLKAFSDWILKAMALGNLEDIFPAASREYAPLVEELWKDRAIQATYKRRSELPFLPPAANYFLDKAVDISRTEYELSDMDILYADGITSSDGLASTEFSFPHMSLGGQGADEPDPQDTLLRYQLIRINSRGLHENCKWLQMFDDVRLVIFCVAASDYDEYYEDANGTIVNKMIESRQLFESIALHPTFEQMGFLLLLTKFDLLEQKISSSPLTSCHWFDDFTPLISSSLLNGSSRSTRSGSQTSSTLPQMAAHYMATKFKRLFDSLTGRKLYVSYVNTLDQESIRSAIRYGREIIKWEEEKPVFGASETVYSEEPSSFTH >KQL13143 pep chromosome:Setaria_italica_v2.0:III:2831843:2835831:1 gene:SETIT_021950mg transcript:KQL13143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFGAVKKVFSPESKEKKEERQRRKSAASNPTPLDLTPSTSLEVNVSVPPPPAPPVLHQTEEIRVPEAEQEQRKDVTVEEAPAAPAQALVQPPGVPSEELAAIKIQTAFRGYLARRALRALRGLVRLKSLVEGDSVKRQSASTLRCMQTLSRVQSQIRSRRAKMSEENQALQRQLLLKQELENFRMGENWDDSTQSKEQIEASLISRQEAAIRRERALAYAFSHQWKSTSRSVNAMFVDPNNLQWGWSWLERWMAAKPWEGRNGADKESNIDRGSVKSMSLNLGEGEITKAFNRRDLKPEKPSPPTPKLTRPASRQSPSTPSAKVAPLPARRKSATPKNGLSQVDDDARSVFSVQSERPRRHSIATSTVRDDESLASSPSLPSYMVPTESARAKSRLQGSALTNGAETPEKGGSAGPVKKRLSFQGGTAAASPMRRHSGPPKVESAVKDIVAPPQPEALVINGGSK >KQL16385 pep chromosome:Setaria_italica_v2.0:III:38801781:38804865:-1 gene:SETIT_024870mg transcript:KQL16385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERRVLQIAPTIPVRNVQALAASSADELTAETLDRYIRPGIDQDAVLEEHSDELPVVDLGRLLNPESWEEEAAKLRFACEEWGFFQVLNHGVPEEVIVNIKRDIQEFFNLPLEVKNAYAQRPGDLQGYGQAYVFSEDQKLDWADMLGILTQPPEARDTKLWPAQPLTFRKSVEDYSAELKKIAHSIASIIAKMLNIKPELMDDKYAVQVLRMNYYPPCMSMPEKVLGFSPHSDGSFFTILKEVNSVNGLQIRRHGAWIPVKPHPKAFLVNVGDLLEIMTNGKYKSIEHRVTIDAHMERLSVSAFINPKFDDVVSPVLGSTTEEVLYKTVSVEDYMKHYMSNKLDGKKALDHAKVSNVNY >KQL16555 pep chromosome:Setaria_italica_v2.0:III:42382643:42383138:-1 gene:SETIT_023811mg transcript:KQL16555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHILPAEKNPGRPSPKKDRMARPGLKKPEKAGSKAVRGRVWSSFLSKTFQAFCQPGPSLVRILLRSTPTTIDLSILSPAQPKPDRF >KQL16218 pep chromosome:Setaria_italica_v2.0:III:34480402:34484936:-1 gene:SETIT_021317mg transcript:KQL16218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGDRSPELEEDVNVCDICGDVGVEDKLAICSRCNDGAEHTYCMKVKIEEVPVGEWLCEECQAEVQIEIEKKKLEISQAKAGTISSENDVEAEHVGNKKPNKAFKCNETSRATIDNTSTSTPKVQDGVSCFGGSEAVNRDKSYRDCEQTSGERSTSFNLRSPDGERHSYEKCNYDVSCNFEVKDTSNVAAMNGQIKIQSEVILCDVCGDVGKKKHLAVCSRCTDGAEHIYCMQVMVKEVPQWWLCETCQSEVQAEKKNNKLENSQVKIDASEGEPIEVKVNKPANGANTQSSSKDEVDAKYVGSGESKRRNHASLVGQNSPDSRKRVLLSRGCSFKFGTEKGNHSTSQVPLSLAPNAPKNRSQPHHGSLSMSVSFNSCKIPKVKQLLSEVPLKPKHSKEPSSSITKQVGPMSTLTTSSSFKRSNFCDIAHKAKTLIKPNSETRVLNPPTRQTMNTNRGTSIGCPSVSASMAALVPSPAESAFQHLTKGNNMVESSYVSIPYGQSSKTLVQIPYPSHLDYKLNNPCLGLNAKHYDTVCAIIGRSVDSPTMPSDLANKALTFSSQHFPPGYEQLALTAPELNYIWQGGFELWRTGGSPELCDGFQAHLSCSASPKLLELAKKFPSKIQLEELPRDNVWPLQFQENCPTFDSIGLFFFARDIQSYENHYSKLVENIIKCDLALRGNIDTAELLIFASSTLSKNCQRWNMLIFLWGVLRVGTNNPLSLPLDTTVSECSNLNF >KQL14814 pep chromosome:Setaria_italica_v2.0:III:14005120:14008042:-1 gene:SETIT_021547mg transcript:KQL14814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKAINGKSPIVVGPWGGTGGYPWDDGVYSTIRQIVITHGAAIDSIRIEYDLKGRSVWSETHGGTDGSSQADKVKLDFPDEALVSVSGHYGSVCGTPVIIRSLTFQSNSSKYGPFGTEDGTPFSLPVSSGKIIGFHGRSGSYLNSIGFYLKQVHFPNPLNSPATPRSLPSEHIRNGYSFTGDDTGADMVLAVRDRGDSYAVYASNEPKQQYVNPSPDYSDGAVWNKMVSFPSYYGDKGAAAMNSTHTYGPWGGSGGTIFDDGVYTGVWQINLTRAVGISSMKVLYDRNGQAVWGNKHGFSGGVPPDKIVFDFPSEVLTHITGFLDSAIIMGPTVVRSLTFHTNKRTYGPYGDESGTYFSTSFTNGRIVGFHGREGWYIDGIGVHVQEGKVAPQRFVSRPTTPTSPSLHYNMLAQAQSNTYTNDEVAYGMVKEPVPMGPGPWGGEGGRPWDDGVYTGVKQIYIMRGAFIGSIQVEYDRSGYSVWSARHGNSGHITHRVKLDYPHEVLTCVYGYYNTSREDGPRVLRSLTFVTNRGKYGPFGDEFGAYFSSAMTEGKVVGFHGRSGQHLDAIGVHMQHWLGDRRPAPKYVLSKYLF >KQL14025 pep chromosome:Setaria_italica_v2.0:III:8264788:8271381:1 gene:SETIT_021221mg transcript:KQL14025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSASTSSVNWLVEDDILLKNAVETGASLESLAKGAVCFSRKFTLQEIQDRWNSLLYDPEVSTQASSRMAEYENELSTSDPAKAHKLFNSKAKDFSFQKRKIDSVKNLYYAMRKRVCNDPCYTADLGFLVAPCSCIANGSECVCGGLPNNIEPGLSSVSRNGQVGASYNGGHTYPGMNGHSFHTKHAESMVRDGDDTNNIAYGYSDVGQMYEHHAYTANNHGSGDRNNVSLKSITDFQDSMQFQQLDSNQCGNGVVDSKALVIPNHFSGNVQEPIPLQVIGQPEGPEAPGGAIWSGVQRRGTLTLADDKNVKLENRDPHPFEANLDGGICTSGLEHEADFMDFPFFSNSEEFDILNGENFLNSPSEGNQEDLDDSAFKVVPGVRSTMQSLAHSNEANTSCDQIDPGHVESNVDVSGIMLAPTSLVVPCPGVYVECKLNTEDPEIPCNDDVATPPEYPLECCTLGQKSENTIYPVSPATSPASNAEHSKANDLALIKVEDMANAQPLQTVKIGPSTSEQKEDSVAHDKGGVLGAKPLEGPSTTGGLLTTANIVTNDANTCMLALPSFSAAGFGEGSPCSLGQHESFNNSHGLTVQNSVQAPDQMQHNSFDGQPELGDEAALQNCMPSNALSDLGIQDPISTVPTPAQAEECPDNENDVPNYYDIEALILDQDLIPWDQDSDLMHPEVTRFHHPESRKALIRLEQGARSYMNRAIMSHGAFAVIYGLHLKYYIKDPEVTLGRETEDVKVDIDLGKEGRANKISRRQAVIKMDEAGSFHIKNIGKCPIFVNSKEIPSCKRINLSSDSLIEVKDMRFIFHVNQDAVRQYIDRELKPER >KQL17236 pep chromosome:Setaria_italica_v2.0:III:49150610:49152717:1 gene:SETIT_023798mg transcript:KQL17236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCRRSGEGACAAHLPAGGGISTPPLRELGSDLLGTGKGGGMRPPVDRHPWLPAMEHLDELGGDWHCQMAILRRLTEHVYEMVSFADWI >KQL16202 pep chromosome:Setaria_italica_v2.0:III:34290758:34292305:1 gene:SETIT_025336mg transcript:KQL16202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETIVSAVIGDAVSRVISLLTGRFSHQQSTEAKLRRICHMLVRIHSAVEEAKARQIINDGVLQWLSELIDGEYQGRYLLDMISCGDRKELDEDKDSYAKQVPLPQPQASSMSLFNPAKRMRVAAGAMKKVLSRCDLIGAADEVDRVLESLQGVSGDLGEFILLLQGFNPIGRPLPTNIFIDGQMFGRHVEKQRIINFLLHNNEGRSPGGLDVLPIVGAIGVGKTTLVQHSCDDDRVRRHFSVIMFFNFSCTYAIAATRETGTAVAIRSKHVIGDAQLSLNDPLQWIKRNCHDKRFLVVFEGVDMCRKQKLQELLLKLRCAEQGSKVIFTTNNRRVSTLGTVEPIVLKVLPIPEYWFFFKAHAFAGRDLEENPRLVRAGKAIARKLNGSFFGAKIVGGLLKDHPDPRFWFKVLRSNIGGLSMLGDGMGYIADLSENLLPNHVNVCQVNISKVPFASQIELPRFQDLCEPHASETSLADNVSFARVLLCKSVMPFYNNYYIASCTIGSGNICCERAM >KQL16795 pep chromosome:Setaria_italica_v2.0:III:45184730:45184855:-1 gene:SETIT_024090mg transcript:KQL16795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSNLQSSGHEPDESTNSSTPLLPLIFLSLFPPGFPLLAWPG >KQL17474 pep chromosome:Setaria_italica_v2.0:III:50620503:50625860:1 gene:SETIT_021127mg transcript:KQL17474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGEPSPSPPSPAKHSRSPDDAAQPDASPKRRKRHHHRRHHHHRRQRHDDSPLAVAPDDDVEEGEILDDAAAADSAAPQDSLVPERLDNGADTHSNADAMMPQASALPTLPPSSKDGRKSLSGAPESESGGILSSDADENKGYEQSQSLSKSTREKERRHIDERHSLSYKDYHSKNHSRTSPYPRHHSEARSRDHSRSTEKSDGANGPRASLRDGFDHDSNDRNGKSGRHATSRQENERERSSSRVVHDRPGDRHGSRERYRDDRIDGDKFDSLEATRRLRERSRSRSRSDLRESARVRDQSRERERRSGSSRHKDHERNRDTSKDRHRESDRVDSVREREKYDRDREWHRVKGSETRRTREARDKVSDSDRHRDSTHSKYSTSDGYKERARSREKYRDADHKSRRSEEMKENSLNKEEDEEEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRQQQLQKQHMESKPSSNNEEVKAMDGDETTHLKDDNDSGSTGNDEAENKHDSLEVFVGEADFTVGKSPARNDTLVSTGAFSDEMTIGVSGLGEGSPKSERSADMFCDDIFGESPAGIRKSGKDDGLHVERNALHDNWDDADGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNDTMYKAGKQEVSILEKLASADREDKRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDIWSVGCCLYELYTGKVLFPGPSNNAMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDLVTKTAVRRLILNIKPKDIGSLISNFPGEDPKMLSSFKDLLDKIFILDPEKRITVSQALSHPFITGK >KQL17475 pep chromosome:Setaria_italica_v2.0:III:50620503:50625447:1 gene:SETIT_021127mg transcript:KQL17475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGEPSPSPPSPAKHSRSPDDAAQPDASPKRRKRHHHRRHHHHRRQRHDDSPLAVAPDDDVEEGEILDDAAAADSAAPQDSLVPERLDNGADTHSNADAMMPQASALPTLPPSSKDGRKSLSGAPESESGGILSSDADENKGYEQSQSLSKSTREKERRHIDERHSLSYKDYHSKNHSRTSPYPRHHSEARSRDHSRSTEKSDGANGPRASLRDGFDHDSNDRNGKSGRHATSRQENERERSSSRVVHDRPGDRHGSRERYRDDRIDGDKFDSLEATRRLRERSRSRSRSDLRESARVRDQSRERERRSGSSRHKDHERNRDTSKDRHRESDRVDSVREREKYDRDREWHRVKGSETRRTREARDKVSDSDRHRDSTHSKYSTSDGYKERARSREKYRDADHKSRRSEEMKENSLNKEEDEEEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRQQQLQKQHMESKPSSNNEEVKAMDGDETTHLKDDNDSGSTGNDEAENKHDSLEVFVGEADFTVGKSPARNDTLVSTGAFSDEMTIGVSGLGEGSPKSERSADMFCDDIFGESPAGIRKSGKDDGLHVERNALHDNWDDADGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNDTMYKAGKQEVSILEKLASADREDKRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDIWSVGCCLYELYTGKVLFPGPSNNAMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDLVTKTAVRRLILNIKPKDIGSLISNFPGEDPKMLSSFKDLLDKIFILDPEKRITVSQALSHPFITGK >KQL17473 pep chromosome:Setaria_italica_v2.0:III:50620503:50626637:1 gene:SETIT_021127mg transcript:KQL17473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGEPSPSPPSPAKHSRSPDDAAQPDASPKRRKRHHHRRHHHHRRQRHDDSPLAVAPDDDVEEGEILDDAAAADSAAPQDSLVPERLDNGADTHSNADAMMPQASALPTLPPSSKDGRKSLSGAPESESGGILSSDADENKGYEQSQSLSKSTREKERRHIDERHSLSYKDYHSKNHSRTSPYPRHHSEARSRDHSRSTEKSDGANGPRASLRDGFDHDSNDRNGKSGRHATSRQENERERSSSRVVHDRPGDRHGSRERYRDDRIDGDKFDSLEATRRLRERSRSRSRSDLRESARVRDQSRERERRSGSSRHKDHERNRDTSKDRHRESDRVDSVREREKYDRDREWHRVKGSETRRTREARDKVSDSDRHRDSTHSKYSTSDGYKERARSREKYRDADHKSRRSEEMKENSLNKEEDEEEYQEKIEQQLAMQEEDDPEKIKEEARRRKEAIMAKYRQQQLQKQHMESKPSSNNEEVKAMDGDETTHLKDDNDSGSTGNDEAENKHDSLEVFVGEADFTVGKSPARNDTLVSTGAFSDEMTIGVSGLGEGSPKSERSADMFCDDIFGESPAGIRKSGKDDGLHVERNALHDNWDDADGYYTYRFGELLDGRYEITAAHGKGVFSTVVRAKDLKAGKDDPEEVAIKIIRNNDTMYKAGKQEVSILEKLASADREDKRHCVRFISSFMYRNHLCLVFESLNMNLREVLKKFGRNIGLKLTAVRAYSKQLFIALKHLKNCKVLHCDIKPDNMLVNEAKNVLKLCDFGNAMLAGMNEVTPYLVSRFYRAPEIILGLPYDHPLDIWSVGCCLYELYTGKVLFPGPSNNAMLRLHMELKGPFPKKMLRKGAFTMQHFDQDLNFHATEEDLVTKTAVRRLILNIKPKDIGSLISNFPGEDPKMLSSFKDLLDKIFILDPEKRITVSQALSHPFITGK >KQL17292 pep chromosome:Setaria_italica_v2.0:III:49548552:49550393:1 gene:SETIT_024690mg transcript:KQL17292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRSIHDVPDDLLRQILLRLDSPLWLLRAASACRQFRCAVASADSGRAFLRLASSLHPPVVIRHYHNRWHHNCSSSVRPIAFVPSPSSPLAPPIDVTDWEVADCHGGLVVLCDRQNSPPNLSVCDPLTRRYQGIPHPPGKRAGFVVALLDGDGKISISNFRVLYYCFHGVCVFSAADGGDGWRFLRPPSAGSNYMGHVAGRVDGSIYLDSLTGNVRVLDNVSLELSEVCLPFGIDKSKAPWRSAFTVVHGAGANPTWPPSTWIIHVHGEELEFFRRVRGGIGGEWVLEHSIPKLSEVARGLQAGCPEKRLERTVVHVIAVGTGIAVLSARNHCRWRWLFSVNMDTKELQVVRQQKAYGGTEERTFTYTLPWPQFLRACPS >KQL16086 pep chromosome:Setaria_italica_v2.0:III:28700997:28701522:1 gene:SETIT_025110mg transcript:KQL16086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVGWVAEICLPRNRTQLWLGTFDPAEDAAHLNFPSLCRGGAHIAGLLDSSVDAKLTAISQGLAAMSASASTTTMEGGESVHSAGSAPLQAFQKQRQQLVPLSEMASLDITEAPWDESAALHLNKYPSWEIDWDSILS >KQL15333 pep chromosome:Setaria_italica_v2.0:III:18983814:18984615:-1 gene:SETIT_024414mg transcript:KQL15333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSVIALSVVVLLFAVASAVLGFIAETTKLNPDEIKYSGGVCVYPAKPAYALGICAAALLAAAQIIASVAGVSGCFKPQGGAPGPKRKKAVSSAVLSWILAVVAVASYAQGVVWNDAATTRDAVTDGWFIKCHYLKGAVFRRAALLGLAAAVLGICAYAILRERPAGDEPKPDGQQPAVGEVQNTRTPPPQVQAHPQV >KQL17178 pep chromosome:Setaria_italica_v2.0:III:48743576:48748853:1 gene:SETIT_021661mg transcript:KQL17178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPASGGGGAYSCETAARTREWMEALAAFLGRHRPLLEAHVVNFFKDRMWELVDAEWMECLRREPVGSLLKLPSGCVQEHWPATLREFVLTARSLVVPREQKAPQSLVPDIHVASIGTVLAQGMNSKKKHEIENLAAVVHAIAKSCGAKTVVDVGSGQGYLAQTLSFEYQLPVVAIDASSHHASVTIARAERIKKHYAAKCVEKQLLTVPRTVTCHVLSSDTLAAVTLDACKDNHGEHVSETKACTMKSPQILEPTQNSPPLILAGLHACGDLSVNMLRVFVSCEQVKALVSVGCCYNLLSEDSYEDTNTCSGFPMSKAAKLSKLVLGKSIRDLACQSAERWRSLTVDIALQNFDVHAFRAAFQMVLEKYFPEVSRLSPSIGRQGKALRRQRLRKVVESQMATEKIDDLSCSTLKEQNMNTDNVDSVIYGVDTGPDDIHHDQCRKFALFKDFALSGLGRLGCGSVEDMSLLEVWKSVQPFSEYIGPFWCLRAALGPLVETYILLDRLLFLQEQSSLVEALLFPLFDPTMSPRNMAIIAWKLSANSSEA >KQL12670 pep chromosome:Setaria_italica_v2.0:III:295403:295955:-1 gene:SETIT_025633mg transcript:KQL12670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGLVNDTYKMDLILIYAPYMIALACIYIASVLDTTSWFEELRVDMNIVKNISLEILDFYETYKIDHQRGLPEDKISPVLNKLPTKS >KQL13075 pep chromosome:Setaria_italica_v2.0:III:2412979:2415757:-1 gene:SETIT_0246422mg transcript:KQL13075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPLDEVLLILYITKDNLAKRRWQGSTKCCFCNDEETVQHLFFDCHLARCIWNTVFFSFGIQPPVSGPNMLVPIDPPKRLPRFRKEVMFADDIFLLGRDKLDLQIGDGKVLNKGAGSCQRHHYMYYDGGIFPCGFGGAVIDLEGDVIGMVHSSIDFIPSSTILKCLHLWRTFSCVPRIHLGMKCLGIKCLNLVSKEKISQKYRVDAGLIIVEGPFGKGIGCDSDVDVMVVLAVFNTTKRVSGRIQLMAKLSHDAEIIAIAIHLLSTLDQSLAQ >KQL13466 pep chromosome:Setaria_italica_v2.0:III:4608423:4609628:1 gene:SETIT_025293mg transcript:KQL13466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKVSSSELFGELSIGGGSSEVAETVRCACCSVPEDCTAAYIRRVRAAHCGSWVCGLCAEAVGERMRREPGAGVEAALRWHTAVCRDFNATTRLNPKLSLAGSMRDIARRSFNRRSSSATTCHDELRASSKTMERAVSCQPRFFA >KQL13610 pep chromosome:Setaria_italica_v2.0:III:5553522:5553775:1 gene:SETIT_024234mg transcript:KQL13610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKINRPDILGRSILIKPCLFKNCIQYFLSLLIGIMHAYQCKYLDVSSIYFTSDQTDSEIIDGYCSD >KQL16219 pep chromosome:Setaria_italica_v2.0:III:34508770:34509982:-1 gene:SETIT_024225mg transcript:KQL16219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQSVRGGLEERYFAPEVVSIGPYHHRASPHLVEMEQVKEAVAHEFCRSALKGNNTSRKPAVDLFLDAVRPVLPEARLCYADAFDTIAGNDFANMMVVDGCFLLAVAAILTKDYPAELEHWSWTHGRMLRIMKDILLFENQIPWAVVRALMALRPVRVDEFVAKLLAYLDVRSREPRFDDTPWDALSPVHLLDLVHQRHLGGPGAATRRRRPLAEAGIRIHGSGTCRVTDVRVEPAALRVGRLALPQLALSWLPRCWLINMVALECVTDRSDQSGVSSYLAILGSLIRTERDVRELRARGILFSTMSDQRTAEFFESLMDPLPRQELYLRTLEGIVQLRGTRRTRSGLHAVYYRNRRIILAAAPLLSLLVAIIGIALTNSLKRKYS >KQL16816 pep chromosome:Setaria_italica_v2.0:III:45481965:45482870:-1 gene:SETIT_024550mg transcript:KQL16816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAECASYWCSVDAFCEESVMIASLQSLLWSSSDFDLIPGLCSSNVPYSLPGSSPFCINDNEKSTLVSSTPNEVLDTLALAHGEKVAGSKRKAETDEEKNHGGEGRTTPLAPRARKQSKANSQSCYAKMRRERINARLKILQELIPNGKKVDISTMLDEAVQYVKFLHMQIKLLSSDEMWMYAPLAYDSVNIGIPLCSSVQE >KQL17161 pep chromosome:Setaria_italica_v2.0:III:48664434:48665238:1 gene:SETIT_024270mg transcript:KQL17161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGGGMVAAGAGDAGGSGGRDHDKGKGIVLGYRYGSARSRAKGRARNLSARTPSQVERENNRRRERRRRLVSSRIYTALRAEGNYTLPRNCNNNEVLKAVCREAGWVVEPDGTTYRRAHEASLLPPVHSCVLCWRGIETLQGGAWQRN >KQL15881 pep chromosome:Setaria_italica_v2.0:III:24975807:24976033:1 gene:SETIT_023993mg transcript:KQL15881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCKYGCLTIDEVQDDDDNNNKIAFHSQASWGRLDLKLNKNHKSEPQIRVRAHE >KQL16048 pep chromosome:Setaria_italica_v2.0:III:27523701:27527334:1 gene:SETIT_021180mg transcript:KQL16048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLIRCLTLLCILSLAVAADNTTIGSGQIRLDCGASSPTAPDANERTWDSDTGSKFAPLLKGTAATASYQDPALPSTTPYMTARIFTSNYTYSFPVGPGRMFVRLYLYPSTYGNHAPANAYFGVTAANLTLLDNFNASQTALATNSASFVLEYSVNVSASKLDLTFSPSTHQNGSYAFVNGIEIVPTPDLFTTPTPTLANGGNPNPFPIDPATGFQTMYRLNVGGQAISPQGDIDFYRQWDDDSPYIYGSGFGVSFGKDKNLSITYTPSVPNYTAPVGVYESARSMGPNAQVNLNSNLTWILPVDAGFCYLLRFHFCEIQYPITKINQRSFFIYINNQTAQQQMDVIAWSGGIGRTAYTDYVIITPGSGQMDLWVALHPDLSSRPEYYDAILNGLEIFKIQNYGNNSLNGLNRPIPSVEPDGKPGGRNPKVAAPAAIGGAVGGFVVLLIASIGVCIICRRKKKVAKESGKSDDGHWTPLTDYSKSQSNTSGKTTNTGSRTSTLPSNLCRHFSFSEIQAATNNFDQTSLLGKGGFGNVYLGEIDSGTKVAIKRGNPMSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEDMNEMILVYDYMANGTLREHLYNSKKAPLSWKSRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDDKLIAKVSDFGLSKTGPNVDNTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALSPSLPKEQVSLADWALHCQKKGILGQIIDPYLQGKISLQCFMKFAETAEKCVADHSIDRPSMADVLWNLEFALQLQESAEDNSSLTNGTSSNTSPLIVPRLHSDEPSTDTTTTTSTTMSLTGQSLASMESDGLTPSSVFSQLMNPGGR >KQL12754 pep chromosome:Setaria_italica_v2.0:III:731588:732002:1 gene:SETIT_025628mg transcript:KQL12754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDKFSISLFKFIWLTKNIFKHCDMGYPMGP >KQL13791 pep chromosome:Setaria_italica_v2.0:III:6753254:6754355:1 gene:SETIT_025711mg transcript:KQL13791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYIICVKLWMKYRNYMTWRANELHPSRDEKMSFQTANFDCIESPHWIRADWVFRLTSVPCTYISFRSCYYVCQKGIMFEHIK >KQL13792 pep chromosome:Setaria_italica_v2.0:III:6750368:6754400:1 gene:SETIT_025711mg transcript:KQL13792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSSQFDDPAAARRPPQRERKPPYMLLLPLVYAPVLPLIRIGLRHNPVVRDRLFYGVLAGAFAHGAYLISELYDVESK >KQL16154 pep chromosome:Setaria_italica_v2.0:III:32056690:32057100:1 gene:SETIT_025027mg transcript:KQL16154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLMVVLLLVLLAATVTDAGSATIAAGRQARRSRFLLANSAAYNPPLPSPYACSKKSAAVCLAPGSPGPACCRGQCVDTTASADHCSGCNKVCRQDRSTCCGGRCVDLLSDKDNCGTCGNQCNNKCSYGFCDYAM >KQL16264 pep chromosome:Setaria_italica_v2.0:III:35356732:35357271:1 gene:SETIT_025712mg transcript:KQL16264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDTRLMRWQLVLVAQMLCQLTLVCLPFMWRQPTLSELTVPGRILLSWSPMKSSLSGWSLL >KQL12752 pep chromosome:Setaria_italica_v2.0:III:712331:714427:-1 gene:SETIT_024464mg transcript:KQL12752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTWRGLGTMDLGAKLDAANLIVGAITKESIYGAFENGITAEQIISFLKQNAHPRVVDKIPAVPENVTDQEWFEQCCDHARDHGYLLWEDPKRMRLIVRGEFHPEMREFLRRQR >KQL14846 pep chromosome:Setaria_italica_v2.0:III:14285935:14286354:-1 gene:SETIT_025705mg transcript:KQL14846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANSDNTRLLFPLQYHEQHLFHMNIDRGIPYSYCHGPGWAWALCAVCFFP >KQL12714 pep chromosome:Setaria_italica_v2.0:III:534438:539291:-1 gene:SETIT_021079mg transcript:KQL12714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYKLGVEVVSAHDLMPKDGQGSANACVEVTFDGQRFRTAVKEKDLNPVWNERFYFNISDPSNLPELALEAYVYNVNKTIESSRSFLGKVRIAGTSFVPFPDAVVMHYPLEKRGMFSRVKGELGLKVYITNDPAIKASNPLPAMDPVSSNPPPAPSPAEQIAADITGTNLHRSQEHRSEAKTLHTIAKEAHHHHNHGHLPASFGEQPSKYSVDQMKPEHQQPRIVRMYSAASQQPMDYALKETSPFLGGGQVVGGRVIRGEKHASTYDLVERMQYLFVRVVRARDLPDMDVTGSLDPFVEVRVGNYRGITKHFEKQKNPEWNAVFAFSRDCMQASVLEVVVKDKDLLKDDFVGLVRFDLNDVPIRVPPDSPLAPEWYRLVGKSGDKSMGELMLAVWIGTQADEAFPDAWHSDAATLEDPSAVTHMKSKVYHAPRLWYLRVNIVEAQDVAIFDKTRYPDVFVRVQVGHQMGRTKPVQARNFNPFWNEDLMFVAAEPFEDNLILTLEDRAAPNKDEMLGRVIIPLTMIDRRADDRIIHGKWFNLEKPVLVDVDQLKKEKFSTRLHLRLCLDGGYHVLDECTNYSSDLRPTAKQLWKPSIGLLELGILGAQGIVPMKTRDGKGSSDTYCVAKYGSKWVRTRTIMNNPNPRFNEQYTWEVYDPATVLTVGVFDNGQLGERSGEKTSSGKDGKIGKVRIRLSTLETGRVYTHSYPLLVLHSSGVKKMGELHLAIRFSSTSLVNMLYLYSRPLLPKMHYVRPIPVLQVDMLRHQAVQIVAARLSRMEPPLRKEVVEYMTDFDSHLWSMRKSKANFFRLMTVFSGLFAVSKWFTGVCAWKNPITTVLVHILYIMLVCFPELILPTVFLYMFLIGIWNFRYRPRYPPHMNTKISHAEAVHPDELDEEFDTFPTSRNPEIVRMRYDRLRSVAGRIQTVVGDIATQGERVQALLSWRDPRATGVFVLFCLIAAVVLYVTPVQVLAALAGFYVMRHPRFRHRLPSVPVNFFRRLPARTDSML >KQL14271 pep chromosome:Setaria_italica_v2.0:III:10039426:10044767:-1 gene:SETIT_021829mg transcript:KQL14271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRAGGGGGGYESGSDHDGALQKPLLPNSGSWYRMGMGSRQSSLNAGTSSMAVLRESHVSALLCTLIVALGPIQFGFTGGYSSPTQDGIIRDLNLSISEFSVFGSLSNVGAMVGAIASGQMAEYVGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGIISYVVPVYIAEISPQNMRGALGSVNQLSVTLGIMFAYLLGMFVPWRLLAVIGTLPCIVLIPGLFFIPESPRWLAKMNMMDDCETSLQVLRGFDADITAEVNDIKRAVTSANKRTTIRFQELNQKKFRTPLILGIGLLVLQQLSGVNGILFYASSIFKAAGLKNSDLDTFALGAIQVLATGVTTTFLDRAGRRILLIISAAGMTLSLLAVAVVFFIKDNIPHDSDLYNILSMVSLVGLVAYIVAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLLLSWSAGGTFSAYLIVSAFTLVFVILWVPETKGRTLEEIQWSFR >KQL14270 pep chromosome:Setaria_italica_v2.0:III:10039901:10044767:-1 gene:SETIT_021829mg transcript:KQL14270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRAGGGGGGYESGSDHDGALQKPLLPNSGSWYRMGMGSRQSSLNAGTSSMAVLRESHVSALLCTLIVALGPIQFGFTGGYSSPTQDGIIRDLNLSISEFSVFGSLSNVGAMVGAIASGQMAEYVGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGIISYVVPVYIAEISPQNMRGALGSVNQLSVTLGIMFAYLLGMFVPWRLLAVIGTLPCIVLIPGLFFIPESPRWLAKMNMMDDCETSLQVLRGFDADITAEVNDIKRAVTSANKRTTIRFQELNQKKFRTPLILGIGLLVLQQLSGVNGILFYASSIFKAAGLKNSDLDTFALGAIQVLATGVTTTFLDRAGRRILLIISAAGMTLSLLAVAVVFFIKDNIPHDSDLYNILSMVSLVGLVAYIVAFSFGMGAIPWIIMSEILPVSIKSLAGSFATLANWLTSFGITMTANLLLSWSAGGTFSAYLIVSAFTLVFVILWVPETKGRTLEEIQWSFR >KQL14765 pep chromosome:Setaria_italica_v2.0:III:13708507:13713282:-1 gene:SETIT_022326mg transcript:KQL14765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALLRHRHLLLTGARSGAAAHPFAAAASSSRAASSHTARSVHPSAAAAAAGAQAEPAAAAAAAAAAPESSAGAGEQPSPPPPPAARGRWGLLKFGAFAAVAGATGGVGYATYAYSLPEVEEMTREFRTKQAPTVPDDASAFEKYKAMVYSKAMKAPVAAIEMYLDVRSTIEDHVRGFTEPTSDKLLPDLLPEEQHVFTLVLDLNETLVYSDWQRERGWRTYKRPGVDAFLEHMARFYEVVVYSDQPPMYVEPVIERLNSRGTILHRLSRPATKYMDGKHYRDLSKLNRKPQQVIYLSAHALETCLQPENCVEIKPFKLESDDTRLLDLIPFLEYVAMARPSDIRTVLASYQGHDVAAEFIERSKEHQRRMHDQSKHGRLWRR >KQL17093 pep chromosome:Setaria_italica_v2.0:III:48217557:48220016:-1 gene:SETIT_024908mg transcript:KQL17093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLVYDLAQIILDKELISIDASEQMSQSNLENHYSRHMQLINYQKQSISLKEFPKKIRSLHFTKCSKLQLQDKSFSKSKYLRVLDISGCSINGKPVPSSILLPSSIQHLLLLRYLDATGLPITALPKSLHKLQNMQTLLLSNCELETLPDSIGCLLNLCYLDLSSNTNLNKLPMSFGELSTLSFLKLSKCSKLKELPKSIHKLKSLRHLDMSGCCALQNLPDEFGSLPKLLFLNLSNCSKLVKLPNSVSLKSLERLNLSNCHQLQSLPEDFGNLDKLKFLNLSDCYKQQVLPKSFCQLKHLKDLDLSDCHDLKELPECFGSLSELHYLNLSSCSKLKTLPESFGDLSKLKHLNLSYCIRFEKHPSTFCNLKLQTLYMNSLQSLWDMPDGIGNMSSLTLFEVSTASYFINNMKAPCILSRLKLQETIVHNVHDVQEEDYGWCSSIVSLGELTCQRLQIEGLHNVKRPEDAEVAKLRDNPDLRELILTWYDARGTENRRDAEVLENLVPPRTLEGFELRGYMSRNFPNWMVDISSYLPYLTSIHLRGLEACDSLPPLGRLPNVRLLHMELIPNIRKIGKEFYGEEGTCKKLRIIQLKGLSNLDEWWTTRSGDEDDEFLIPNLHRLEVHSCPRLKFLPCPPKSMYWELHGSDEVLPVHGFGWISSSTLPFRAEIQSTDFSHDKWGRLQHLTTLEELWVMDSGSFSTFPEASPCFPSLRYLRMSLANLEMLPEWLGQLTTLKQLVIYDCPNLTSLPASIRNLTTLKTLEIWSCPRLIERCKGEDAHKISHIPDVRLGHPLEAYLFSGQPIERSEVRKLPKAK >KQL12938 pep chromosome:Setaria_italica_v2.0:III:1754817:1756692:1 gene:SETIT_025212mg transcript:KQL12938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPGSLAGPFYHNGIYHFFYQYNPHGPTFGTGKLSWGHSVSGDLVNWAFLGAALEPTSPFDADGCWSGSATVLPDGRPVILYTGRDTDTVQVQNVAFPKDPTDPLLREWHKPSCNPVIPQPADVTGNNFRDPSTAWLGGDGLWRFSVAAEVAGVGSTLVYRSADFLRWERNATPLHASPDVPALECADFFPVAERGTEGLDTSAANVAGVRHVLKLSNMADEDYYMVGWYDDAADTFVPAEGERGSDVRKWRRIDHGHLFGAKSFFDASKKRRVLWAWVDETDGHSDDVAKGWAGIQAFPRALWLDTDGKQLVQWPVEEIETLRRRRVALLGAEVGSGGLHEIAGIETQQADVEAIFEIPKLEAAEKFDPKWLQDPGKLCSESGGVGPFGLIAMASGDLQEQTAIFFRVFKHDDMYKVLMCMDLTRSSTKEGVYKPVHAGFVDVNVEKDRSLSLRTLIDHSVIESFGGGGRTCITARVYPEHAATGSSHLYLFNNGSGSVKVSKLEAWELGTASVNLEDVNLPLAVPILSNVSE >KQL17035 pep chromosome:Setaria_italica_v2.0:III:47771283:47772703:-1 gene:SETIT_024075mg transcript:KQL17035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAVSSSYCASFPAPATSTRPRRGRSLRLAAAGGRDTPASLNNWRDAALQVRPAAVDRRRQRRNHSDTLIALQHPPVFSLPPRKVIIRALRSAFSIRASRVDPSSVTFFLSDGDQKVASIGIHVVFPRYITCQGVTLNVTTNLSPFEMTVPCGIKDSRMGSIKEILQKAPDGRGIDETSLMNQAYKEFADVFKLSLDHSPDWSLQAEPWFQLTEQCFIGFFCTRHESVQADNRGKHYFLKV >KQL17408 pep chromosome:Setaria_italica_v2.0:III:50295097:50296644:1 gene:SETIT_022046mg transcript:KQL17408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQLGTTRRAIWRTWPVLCSHHKLPTSSHSAKKVSMATASLPPAEKKRTKKKDTVIVISGPTGAGKSRLALEVAKRLGGEIISADSVQVYRGLDIGSAKPSAAEMDAVPHHLIDILDTTDDYSAGSFFRDARRATQDVLDRGRVPVVAGGTGLYLRWYMYGKPDVPQSSMGITSAVYSELVSFRESGQWEEAVELVARAGDPKVLDLSVNNWKRLSRRLEIIRSSGSPPSAFALPYNSFHEQHDPELTESSADGNCEATKLDYDFFCIFLASPRIELYRALDLRCEEMLADTGGLLSEASWLLDIGLHPNMNSATRAIGYKQAMEYLLHCRQNGGESTPQEFLKFLAKFQSTSRNFAKRQLTWFRNERIYQWVDASQPFEPVVQFICNAYHDPDARVVPESLEMKRESCIHKTQDLKTYRSVNKVFRGDDNCSHVLDWIRRTQGK >KQL14379 pep chromosome:Setaria_italica_v2.0:III:10871956:10876214:1 gene:SETIT_022643mg transcript:KQL14379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGDNKASVDKNKQTVVYPMDWAKHGKSSLVHEIPGPSTYAPKYANPWVDNLKMFQDDAAYNYSDEGFDEDYAYDEDEYEDDGYDASLVESEYNYSLSSKFDSLDIPLGVEASLPWTQTIAIEIANKTKPTKIVDDKIEEKYKAFKQFDTVDDHSDHYFSKPELRKVQVVKKPSKDWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVIMGPAGTPYHDGLFFFDIYFPPQYPNVPPMVNYRAGGLRLNSKLVCLWEGVP >KQL14378 pep chromosome:Setaria_italica_v2.0:III:10871734:10876214:1 gene:SETIT_022643mg transcript:KQL14378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHASKSYLCAGSSSFDDPDVVEVSPATAAAGGWASGHHKRKRSQVVPHEVIEIDDDDPDGVMIIGDNKASVDKNKQTVVYPMDWAKHGKSSLVHEIPGPSTYAPKYANPWVDNLKMFQDDAAYNYSDEGFDEDYAYDEDEYEDDGYDASLVESEYNYSLSSKFDSLDIPLGVEASLPWTQTIAIEIANKTKPTKIVDDKIEEKYKAFKQFDTVDDHSDHYFSKPELRKVQVVKKPSKDWAKRIQHEWKVLEKDLPDTIFVRAYEDRMDLLRAVIMGPAGTPYHDGLFFFDIYFPPQYPNVPPMVNYRAGGLRLNSKLVCLWEGVP >KQL16376 pep chromosome:Setaria_italica_v2.0:III:38690717:38691268:-1 gene:SETIT_025502mg transcript:KQL16376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGCIIKFYHMISGLVSMKSLPLLINFWNISDMLAVIQVKFHAEAQSLKDELEPSNWRRQIVSMPQTGRG >KQL15494 pep chromosome:Setaria_italica_v2.0:III:20869708:20870685:-1 gene:SETIT_025216mg transcript:KQL15494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPSGSPDWSSLPRDLLIAVLSRLDAPSALAYAGVCAAWRAAVAAAAGVPLARTPCLFSWEPDDTHMCGGPTSATLRCLLGAGNASFPTAPFPGGRSLRCCGASHGWIVASDERSNLVLYNPFARPSAAANFIPLPPVTDFECVLPGYGSDDGGVVAYVHDEYSGFDAESFGSCFYQKAILSSAPSSTGTGGAAYTAAIIHCHRRSLSFAKAGDSEWRQASTMGEENVYEVTRDIFEGGQHFTAKFHQYDEYSDIIHHDGRVYTVTVHGVVECWDLSGPNVEVIGTLGCVADDVKLLSRHLVSTPWGDLLQVRATMARNLDKYPQ >KQL17117 pep chromosome:Setaria_italica_v2.0:III:48396196:48400697:-1 gene:SETIT_024680mg transcript:KQL17117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESDAADGGVAVQLIDGEGEFAGEGAERFMAAAGVAGCGLSYAVVSIMGPQSSGKSTLLNQLFGTNFREMDAFRGRSQTTKGIWIARCVGVEPCTVVLDLEGTDGRERGEDDTAFEKQSSLFALAISDIVLINMWCHDIGREQAANKPLLKTVFQTPLEHLEPVLREDIQKIWNSVAKPEAHKDTPISEFFNEWLDLESAVQSGPVPGFGKKLGYIVDVHLQEYDKEAVYFDEAVRKGKRQHLESRIMN >KQL17422 pep chromosome:Setaria_italica_v2.0:III:50347446:50348337:-1 gene:SETIT_0228392mg transcript:KQL17422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFVVGHDWGAQVAWHLCLFRSDRVRAVVTIGIPFFPRSPRSISETFADLGDGFYITQFQEPGRAERAFARYDVATVLKKFYSIEFDNLTAPPGVEIIDFLEAPSSPLPWITEEELSQYAEKFQKSGFTGPLNYYRMMDMNWRLTAPWSGAKITVPAKFIAGDKDIGAKSFGIEQYIKSGGLKSNVPDLDVAIIDGHHFLQQEQAERVNSEILSYLNKFASE >KQL14931 pep chromosome:Setaria_italica_v2.0:III:14943314:14945262:1 gene:SETIT_023237mg transcript:KQL14931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQVAALYPVKVFHDLSQHAENHRADTNGEHGTLSEENRTPSGAYGTHVPSAIKSPQVRAWTFFGWQIMKPKRRQKNYSDKELQRSATVLGYAAHAVLLIASYLDVPLRYPLRFGGSRSYVSDRLPSAETSAASAENASTNNTGSELTEYPLFMECQEDDSTRASYAIYLLHKDTEQLLNYIGAESSGRHVFGNLRELLRIVLSDEYVYR >KQL13701 pep chromosome:Setaria_italica_v2.0:III:6164728:6170003:-1 gene:SETIT_024904mg transcript:KQL13701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFEGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCEKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHMLGETERDFDLAIANDDFPNNIFKRDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVPKREHVQCTAKYLARRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSHRQKSNRVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPIAGIIEYTFEKCNTYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNIPQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVSEGRLSADYDTGIAHAAVENQKALNLDRAVIGRVIRTIGMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMEGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDIVEMHIGIRPPEPEGGDSSKKTYGFGSECPWPWHRDDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSEIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQPERAPLHDYMRVRKSCRRMAQRMNCMTSSDAHDGANVQGTSSGSRRTALVTTPRAATPSTAAGPSRRSRGKEPASPQESEDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSQ >KQL17376 pep chromosome:Setaria_italica_v2.0:III:50111906:50112417:1 gene:SETIT_025594mg transcript:KQL17376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQSPESPFVLAVSVCNCRGHCVSSKPKTYAPRNSIPEYYKRSCVFMLI >KQL13774 pep chromosome:Setaria_italica_v2.0:III:6669715:6671555:-1 gene:SETIT_024621mg transcript:KQL13774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASRAPRARLADATPRQRLRSPTGQRSGTLPDEPGSRASACVGHAVPMPSNTRAGVTASPVQPTASLPRSAPLPSRSLAVARPSCSPRPLQQLAFTRANVHHAIAAGSAMAVSTARTAAAAAAVMGLVVAAAALLSPAAAAADWDDDEVRALVAIRAALVDPNRVLRDWDVTAGGDPCAWPMVTCNQGHVYQLSLRHQNLSGTLSPAIEKLTLLQNLFLCNNTISGPIPDVIGRMEFLESLDLSNNQFTGSIPSTLGGLTNLQYLDLSFNNLSGTWPIFHERINV >KQL13649 pep chromosome:Setaria_italica_v2.0:III:5784984:5785673:-1 gene:SETIT_024484mg transcript:KQL13649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGLADGMHPLADAKPDLEEVVAGGLVGFCTATSPPDIASLPYLPALPLVTPSPATDELARLLLAHHNPFHLGRVAVPAYLRRRRCSLGGPPCPAPPPPPRRVQARALTPPRWMPPPVHGLRAGPYDAVVDALGRARQFDATWRVVVDASADGAASPRMVVVLETRYVTAGMTRQAIRTFDNMEAFVGRELDASEFATLLETLCKYKSPKVRDDETCSCMLADKIII >KQL15379 pep chromosome:Setaria_italica_v2.0:III:19408307:19411643:1 gene:SETIT_024878mg transcript:KQL15379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTLRRKVNHAPSYGDKPDDFTVQVHHGGFFVGFGHLRSYVVGKVSCDNEDSDFWDSENELDDDDDDLFVDHVDKDVMDEGIGSAKSTGKVKKAKGSRLKVNGAAMTEELSTDDEEEELLLPNEDRDAQVNIKNERKRIRAHCADGCPWALYASYDSRVKAITIKIYVGGHNCQNEWVLKRCTTNWLADKYLDSFRANDKMSISNFARTVQKDWNLTPSRSKLARARRIAMKTIFRDDKLRKSNRGTSFFLNLSNGHFSTCYMSLDPCKRGFLSGCRPLICLDGCHIKTKFGGLILTAVAIGPNDFIYPIALAVVEVESKASWKWLLETLKQDLDIGYTFPWTIMTDKQKGLIPAVQEVFPESEHRFCVRHLYSNFKDRMPTNTWVRAYFYEFPKSDILLNNNCEVFNKYILEGREMPILSMFERIKQQLMTRYYNKQKELAEQFVSNICSKIRKKVAKNAEFANVCYALPAEKKIGRSPRCRRKPPCEIQGTNGPKLSKHGVIITCSYCKQDNHNAKGCSIKKMGIRPEDYIPDEVEHQEHEDAEPENQEPQMGSQSTSSFQPPRPLPDSTFISSNIPVERPALLTTATKQGKVVIRKRK >KQL14058 pep chromosome:Setaria_italica_v2.0:III:8451784:8452223:1 gene:SETIT_025699mg transcript:KQL14058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKSHRSAKQRLNLEASISKASNHSSALIPCLILIKHLLQHQQA >KQL16938 pep chromosome:Setaria_italica_v2.0:III:46859978:46860162:1 gene:SETIT_0250562mg transcript:KQL16938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAQTRWAILAGVPELVKDEEAKRTFPPGADVSVAIDEPPRASVLTVPLRVSSSPPCLR >KQL17006 pep chromosome:Setaria_italica_v2.0:III:47509764:47510515:-1 gene:SETIT_023200mg transcript:KQL17006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPPKMRVLAAVVLTAFAAAASTCAAAGANKPLLVENLPASAEAKDFIRAGCNETCIRRPDAARACYELLLPYAASINSSYNRASLAITTVMVSKLTDLAKDLRSFGEAGKLEGCIRMLDETVAGARDQVLPALDRIGAIADDKLKAKDPGFLLVWSWFVGVDNNFVKCWDGGLKRIMDRVPSSIVADHSEYAAAAIIFRPRLKWAPQSPDGENP >KQL14100 pep chromosome:Setaria_italica_v2.0:III:8814760:8815268:1 gene:SETIT_023820mg transcript:KQL14100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYLVTCARGAAASAPTSDRARGIRSRGYVRCGGLLIHSGPILPLGHLRLLRAKKLDPAASTKKKGQLDQSRVRGCVHGPAAAPT >KQL15899 pep chromosome:Setaria_italica_v2.0:III:25158435:25159023:1 gene:SETIT_024013mg transcript:KQL15899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTSSGQPRLLQRTNEWHTPYPVYEKSYHFNLFLLMESLSSSCHWHEF >KQL12984 pep chromosome:Setaria_italica_v2.0:III:1956386:1958069:-1 gene:SETIT_022689mg transcript:KQL12984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSHPSSSGQSQLIVVSQHAPWKFSRTWLSNINGDQTMMTRRRAPSPPLGVVIVSQVPIQMEDRMPAAGTGTGRARRCGFVVWLHGLGDCGRANEFIADHFSAAAFSDTRWAFPTAPTAPVTCNRGALMTSWFDIHDAPPITSARQICSSNEEDVLRAVQIVHTMIDREIAAGTNPEDVFVFGLSQGGALSIASVLLYPKTLGGCAVFSGFLPFNSTSFAARVTEEAKKTPVLWIHGGADSLIPIQEGRDGVKFLRGLGMTCEFKAYDRLGHRLAPYEMEYCERWASENIQNEHREDLKLENGGLQGSKFCGVFSCFSK >KQL16920 pep chromosome:Setaria_italica_v2.0:III:46688972:46692731:1 gene:SETIT_022110mg transcript:KQL16920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDVLHPAINWADKESHVDADEMAKITTAFVGNLPANVNEEYLRKLFEQFGEVIRLAISRKGQCPVGFVHFASRSELDNAIKEMDGETVRGPDQGPAFKIQVSVARPAVDNEKKRSREEVRTRRSNISGDRPDYSYGRYGHDSHDRQVKAPRLSNYVADASDPYESALASLPSAVKEVLLRILRLGIGTRYDIDVHCVKSLNELPESSAVAVLNKFLISGGDKRNKGDYFASLIAKHQAEAFGYSLHDTTYLPRNSEMHGKRYTHEDYDYMAPGRYNSGHDPSSYYDDLPVSQSRIRRYGEERSTIVRNTESRHNELDIKRYSEPRLAHESRHTTGKLIDRRYIQDQSLSIERSAEQAVLSRERRFLPAAGYSTDLSSDFRSRSPAEYSAERQQVRFDPFTGEPYKFDPFTGEPIRPEQNQRRSGSLY >KQL16921 pep chromosome:Setaria_italica_v2.0:III:46688972:46692731:1 gene:SETIT_022110mg transcript:KQL16921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDVLHPAINWADKESHVDADEMAKITTAFVGNLPANVNEEYLRKLFEQFGEVIRLAISRKGQCPVGFVHFASRSELDNAIKEMDGETVRGPDQGPAFKIQVSVARPAVDNEKKRSREEVRTRRSNISGDRPDYSYGRYGHDSHDRQVKAPRLSNYVADASDPYESALASLPSAVKEVLLRILRLGIGTRYDIDVHCVKSLNELPESSAVAVLNKFLISGGDKRNKGDYFASLIAKHQAEAFGYSLHDTTYLPRNSEMHGKRYTHEDYDYMAPGSSRYNSGHDPSSYYDDLPVSQSRIRRYGEERSTIVRNTESRHNELDIKRYSEPRLAHESRHTTGKLIDRRYIQDQSLSIERSAEQAVLSRERRFLPAAGYSTDLSSDFRSRSPAEYSAERQQVRFDPFTGEPYKFDPFTGEPIRPEQNQRRSGSLY >KQL15027 pep chromosome:Setaria_italica_v2.0:III:15780461:15783528:1 gene:SETIT_022733mg transcript:KQL15027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKIKSTTLSITHKCRNILAAGWEAHLNTIKADAKGSKEEIYTSRVHYMIQKGTPYLIVPENDMHNINIVIDERGSLAVCSPIRGRVVSLLKSLQKMPPRVAMTGDVLRLKDSKVPIIADSLKKAIMKEHEASSAASHGVSAILSSAGATCRSRSEGLLSLLNEESSYSIFKFDIGSCVYIDSSGSSHNIELDSFEPPKPDMLMPFSAKLIDGINRSDSRRRALILFCFEYFNVWARKAVMLSIDHHGFDVLAKVPERITLANVSQQYHWKEFRFTFKEPAKDAEGFCRTLVELEDEVLQSVKTYSGLG >KQL13805 pep chromosome:Setaria_italica_v2.0:III:6839293:6842883:1 gene:SETIT_022208mg transcript:KQL13805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPVESERESQTDIHPLLMEHAIGIPRDDVASSSTPRRENRDGMDQLARDSESSSGTIPISPLARRDDNRNNRRQSPLNSGFWISIELVVNLSQIIAAICVLYVSRNEHPHAPLFEWVIGYTIGCIATLPHLYWRYLQRNHLATVQESANQNYIPNNIPEGNSFTEISAPRVSEAGVITGTNGVSRNNVVTANPRAQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCTLICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKLKKPRNGEGNEGGGGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSTTVPAIGFGRRHSDNRVGNDIESQQ >KQL13806 pep chromosome:Setaria_italica_v2.0:III:6839293:6842883:1 gene:SETIT_022208mg transcript:KQL13806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPVESERESQTDIHPLLMEHAIGIPRDDVASSSTPRRENRDGMDQLARDSESSSGTIPISPLARRDDNRNNRRQSPLNSGFWISIELVVNLSQIIAAICVLYVSRNEHPHAPLFEWVIGYTIGCIATLPHLYWRYLQRNHLATVQESANQNYIPNNIPEGNSFTEISAPRVSEAGVITGTNGVSRNNVVTANPRAQAFADHFKMALDCFFAVWFVVGNVWVFGGHSSAHDAPNLYRLCIAFLTFSCIGYAMPFILCTLICCCLPCIISVMGFREDLNQNRGASSDAINALGTYKFKLKKPRNGEGNEGGGGVLAAGTDKERVVSAEDAVCCICLARYVDNDELRLLPCGHFFHKDCVDKWLKINALCPLCKAELDVVSTTVPAIGFGRRHSDNRVGNDIESQQ >KQL15963 pep chromosome:Setaria_italica_v2.0:III:26063822:26067616:-1 gene:SETIT_022105mg transcript:KQL15963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTTTPSPAPAAAPAPSSKGAKRSLMSSLMDATALLRSASFKEDSYVAAALPPSELRALADLKALLATHPDPISIWGVPLNPQSPPPAAAADGTAATPAADERADVVLLKFLRARDFRVRDAHAMLLRCAAWRAEFGADAVLDEDLGFKDLEGVVAYMHGWDRDGHPVCYNAYGVFKDRGMYERVFGDGDRLARFLRWRVQVMERGVRALSLRPGGVNAIIQVTDLKDMPKRELRAASNQILSLFQDNYPEMVARKVFINVPWYFSVLFSMISPFLTERTKSKFVIAREGNVAETLYKFIRPELVPVQYGGLSRAGDLENGPPKPASEFTIKGGEKVFLEIDGIEAGATITWDLVVGGWDLEYGAEYVPAAEESYTLCVEKTRMVLATAEEPVHNAFTAREAGKMVLSIDNSGSRKRKVAAYRYFVRKPSA >KQL14239 pep chromosome:Setaria_italica_v2.0:III:9833290:9835542:1 gene:SETIT_025347mg transcript:KQL14239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPVASLLPRFASGLPSCSPAAQLRRPRRSIPFGRHPSPVFVSASPRLQAPVRSSLAVVLSRYPASSSQPCRSPTGPRASPRRTPVQAPAAAALAWTPGSACAGSSRSQVRGWRPAGPSQPRKGRRAVGGSGTPIPSTDDPTAPMAEPPPWADGLPHAALREIVRRVPCAVDRGSMAGACRSWRARLADLPPPPPPLPWLVLPSGGSTRFYCALSGCVHHYETNAPPHGARFFGSHEGAWLFLAFNQNTDHELVNLRADRTFLIPDKYRLQQDGPLAAAHDDMVILAAALSSPPDQGKCVGACIISRWPANVPTLRRFAFWHVEFGGDADAYDLMPDPDPTPPHLQVEDVLCYQGAFYFLTQGEHIRRCEPVHDAGGDLEVLSDVWFFQREGRNYDGLVVQARYLVVSRDELLMVVRLTCGANKPAAAFRVFRALRPVQDVEEVADEDGEDNQVAEADDQGEEIEYPWSWGELDTLGGQMLFVGRGCSRSYKTAEYPGFEDGIYFLDDRSFYDDHCVCERQYPCSNNGRWTQGPPPNIELYFPEQGPSDHSPPAWLLLYNRPYAKALPLDMVREISRHIICDTERVRTLRVCCLVLSDPGARAIQPQLPWLLAASTDGPLLYCLNCGSGGNGTTHTTGAP >KQL16162 pep chromosome:Setaria_italica_v2.0:III:32456523:32458281:-1 gene:SETIT_023859mg transcript:KQL16162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIRENVPHRGSRKLESLKRIRSLIKLLKLDSRTPTSCDFLDIQSGYSEYYTNFIYVLGIIMHVMQTYKLYLTILEPN >KQL13560 pep chromosome:Setaria_italica_v2.0:III:5242637:5242990:-1 gene:SETIT_024981mg transcript:KQL13560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHCVNVDTSQECRDKGYEATLHLLCPKKIVSPLVMKVLVVPSDLMPTLFYTHYFRGATVGRGLFTVDTYTAGHVRWFAHDREGFFGAFASTFVKLAGFGVLTGEEGEIRKRCDVVNH >KQL14606 pep chromosome:Setaria_italica_v2.0:III:12299651:12300631:1 gene:SETIT_024931mg transcript:KQL14606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGQPHAHEAAGGGGGGAANHSNHHHLAAHSPPPPPALPAEVVPAYPPPESEDDETWVWTQIKAEARRDADAEPALASFLYATVLSHPSLPRSLSFHLANKLCSSTLLSTLLYDLFLATLTAHPSLRAAIVADLLAARSRDPACVGFSHCLLNYKGFLAIQAHRVAHVLWAQQRRPLALALQSRVADVFAVDIHPAAVIGKGILLDHATGVVIGETAVVGDNVSILHHVTLGGTGKAVGDRHPKIGDGATILGNVKIGAGAKIGAGSVVLIDVLARSTAVGNPARLIGGKKTEGEKDEDMPGESMDHTSFIRQWSDYTI >KQL13030 pep chromosome:Setaria_italica_v2.0:III:2212077:2219274:-1 gene:SETIT_021554mg transcript:KQL13030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVGVGRDRFFVALGRAYTQEEFDLLCFAFGIELDDVTTEKAIIRKEKHLDDDGDVEGDDEVIYKIEVPANRYDLLCLEGLSRALRVFTGSEAIPVFRVSSIPRGSMLQMHVKPQTSQIRPHIVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLQAPFSYEALPPHEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLATRNVFIECTATDLTKAKIVLNTMVTMFSEYCENKFEVEPVEVVHHDGSKTVYPDLSCYKMEAPLSHILGPIGISLDAKQVVCLLNKMQLQAESHSLKGEPWISVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKIRAEVARAGYMEVLTFILSSHEENFDMLNRTDDKSKAVIIANPRTSEFEVVRTSLMSCLLKTLKHNIDHPRPIKIFEVGDVVTLDPSRDVGASNNRRLAALYCNRVSGFEEIMGLVDSIVKVVRAPHVNFGEKYYVPTNEPEFFPKRQCKIVTSDGKQVGYLGVVHAEVLRKFGIPDPCTFVEMDIEALL >KQL13031 pep chromosome:Setaria_italica_v2.0:III:2214303:2219274:-1 gene:SETIT_021554mg transcript:KQL13031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVGVGRDRFFVALGRAYTQEEFDLLCFAFGIELDDVTTEKAIIRKEKHLDDDGDVEGDDEVIYKIEVPANRYDLLCLEGLSRALRVFTGSEAIPVFRVSSIPRGSMLQMHVKPQTSQIRPHIVCAVLRGVTFDEARYNSFIDLQDKLHQNICRKRTLVAIGTHDLDTLQAPFSYEALPPHEINFVPLKQEESFRADKLMEFYKSDMKLKKFLHIIENSPVYPVIYDSNRTVLSLPPIINGAHSAITLATRNVFIECTATDLTKAKIVLNTMVTMFSEYCENKFEVEPVEVVHHDGSKTVYPDLSCYKMEAPLSHILGPIGISLDAKQVVCLLNKMQLQAESHSLKGEPWISVSVPPTRSDILHARDLVEDVAIAYGYNNVPKSKPKCMTIGGRQPLNRFSDKIRAEVARAGYMEVLTFILSSHEENFDMLNRTDDKSKAVIIANPRTSEFEVNW >KQL12960 pep chromosome:Setaria_italica_v2.0:III:1864181:1865039:-1 gene:SETIT_025521mg transcript:KQL12960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAATARALRAGSSARSAEAQPRRAAAARPQASGSGASRCDGGGVAGARESSAEGDCDGGLRWARPWGQRPWRGEQHREREAAAVPRPKQRPICRRGKGDAFGRWLGARFRPRRR >KQL13096 pep chromosome:Setaria_italica_v2.0:III:2577967:2581482:-1 gene:SETIT_022610mg transcript:KQL13096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRYGSTRLYVGRLASRTRSRDLEYLFSRYGRIREVELKRDYAFIEFSDPRDADDARYNLDGKDVDGSHIIVEFAKGVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRSVRRERSYSRSPSPRRGRGRGRSRSYSRSRSRSRSYSRSRSLSGSPRRGHRDLERSRSLSYSRSPMQSASPPAKERSRTPDGSRSPRSPSPRGEVSPPPKDNGERNGPDRGGSPGMMEKENSRSRSRSPSDGNRSPAANGRSPSPRGDPSPSPRGDRSPSPRGDRSPSPKGNGNNDDDDRGASPRGSKSP >KQL13097 pep chromosome:Setaria_italica_v2.0:III:2578220:2580470:-1 gene:SETIT_022610mg transcript:KQL13097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRYGSTRLYVGRLASRTRSRDLEYLFSRYGRIREVELKRDYAFIEFSDPRDADDARYNLDGKDVDGSHIIVEFAKGVPRGPGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRSVRRERSYSRSPSPRRGRGRGRSRSYSRSRSRSRSYSRSRSLSGSPRRGHRDLERSRSLSYSRSPMQSASPPAKERSRTPDGSRSPRSPSPRGEVSPPPKDNGERNGPDRGGSPGMMEKENSRSRSRSPSDGNRSPAANGRSPSPRGDPSPSPRGDRSPSPRGDRSPSPKGNGNNDDDDRGASPRGSKSP >KQL14299 pep chromosome:Setaria_italica_v2.0:III:10239589:10239699:-1 gene:SETIT_025037mg transcript:KQL14299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREE >KQL16389 pep chromosome:Setaria_italica_v2.0:III:38920137:38921384:1 gene:SETIT_025150mg transcript:KQL16389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDTVVIGANAADMTEVATRLAAVCDMIEEHRKSGTAICVRRATAICAMINDVAAAAAEGRPTTTGSGDGRKRPRMESACSYEEVDELGVGLSGFVVRGRHRATGEDVALKSLHREGCGVGGGIGRLLREACFMAAFRGHPFLVALRGVVRVPGVAADGDDDDDYSLVMDYVGPSLLDVLRARGRPFTEPNVRVAMRQLLAGAEAMHRHRIVHRDIKSENILVAVGGGAVSVRICDFGSAKSTAEQSPPGQIAGTMEYMAPEVLVRNADHGVPADAWSLGCVMAELLTRELPFRGEDMADQLREIFDVLGVPDERAWEAMRPRVLAGEVKQWRAQKRRVGHGNRLRELIPEEVLSDDGFEVLKGLLTCDPEKRMTAAAALRCAWFADMVEDACVCVPAASAVSMIDATGKRGHLL >KQL15859 pep chromosome:Setaria_italica_v2.0:III:24770053:24771105:1 gene:SETIT_025667mg transcript:KQL15859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKASLKISCYLANNSLHQRRDIRRYLILSLMKMRLFLCFQMCQVAIMKTSMKNQ >KQL16923 pep chromosome:Setaria_italica_v2.0:III:46698249:46699853:1 gene:SETIT_022579mg transcript:KQL16923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPAGMARGGAAVTAAGRATRVMRAAVSAFFGGYHCFTSVAALLALPFSAAVLASEAAAPSSATLRGTAARLRSVFAAAGFPPSPFFALLEAKLSQTVFTFAATLPFALTFLLLAKACVAAMLRDRDDGGVAPPRRQQRKLASALPPCGAVVRAYPAVAATHLLNAFLMLSANAAVFSLLLLAFGAADLLGLTSHFWTLALSAAGAIVYSLAIGVATVVCNLAVIVAATEPGCAGHAAVLRACVAIRGRVSTALALALPTNLGMAAAEALFGLRVVAQRRSAGRLAPGVAGEAFSIAYIHAICVVLEIIVSCMFYRSCKRSEADELRELEPEEKGDLQA >KQL13127 pep chromosome:Setaria_italica_v2.0:III:2752512:2753560:-1 gene:SETIT_025710mg transcript:KQL13127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMDLLRYMAPVAVLLLVPATLIMEREAFGVVATLAREDPNFIWILLCNSSMAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTFVGMLGYGITVAGVVLYGEAKKRSK >KQL13128 pep chromosome:Setaria_italica_v2.0:III:2752512:2754032:-1 gene:SETIT_025710mg transcript:KQL13128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVGATAGRALKTVLQGILLSSEDEKMNSMDLLRYMAPVAVLLLVPATLIMEREAFGVVATLAREDPNFIWILLCNSSMAYFVNLTNFLVTKHTSPLTLQVLGNAKGAVAVVVSILIFRNPVTFVGMLGYGITVAGVVLYGEAKKRSK >KQL15488 pep chromosome:Setaria_italica_v2.0:III:20773328:20775779:1 gene:SETIT_021539mg transcript:KQL15488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLPLVHASNIITNYNGTANLTPLVGGLIADSFAGRFWTITFGSFVYQLGMVCLTLSAALPSLHPPPCAKHAADCQRASSYQIAVLYLSLLCTSIGTGGTRPCTVAFGADQLELNAQGRRGARPMWSFFNLYFFTVELAKLTAVTAVVYVQENVGWGWGLGVPTIAMLAAVIAFVSGYSLYVRMPPAGSPMVRLAQVATAAFKKRKATVPDPSLLYEDKELDAGISTTGRLLHTDQLKFFDKAAIVTDGDVLPSGEPKLWRLSTVHRVEELKSIIRILPICAAGIILVTSSSHNHSFAIQQARTMDRDLTPHFKIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRFTGRPNGITHLQRTGVGLTIAMLSNAVAAVVERQRRSVAAASGLLDDPKATLPMSVFWLVPQFTIHGIANAFMDVGRMEFLYDQAPESMRSTAAALYWLTFSIGSYLGTLLVTIVHAKTRRSGQWLPDNLNRGKLDNYYWLVVSLEVVNLVYFFVCVKYYTFKPLETVGGEEEVELYHGSGNGTDGAKKQGGSFK >KQL15487 pep chromosome:Setaria_italica_v2.0:III:20771462:20775520:1 gene:SETIT_021539mg transcript:KQL15487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDGREEKRGAEAAVARKAKKQGGFRTMPFILANDFCDRLASVGFTSNLITYLTLQMHLPLVHASNIITNYNGTANLTPLVGGLIADSFAGRFWTITFGSFVYQLGMVCLTLSAALPSLHPPPCAKHAADCQRASSYQIAVLYLSLLCTSIGTGGTRPCTVAFGADQLELNAQGRRGARPMWSFFNLYFFTVELAKLTAVTAVVYVQENVGWGWGLGVPTIAMLAAVIAFVSGYSLYVRMPPAGSPMVRLAQVATAAFKKRKATVPDPSLLYEDKELDAGISTTGRLLHTDQLKFFDKAAIVTDGDVLPSGEPKLWRLSTVHRVEELKSIIRILPICAAGIILVTSSSHNHSFAIQQARTMDRDLTPHFKIPPASMLIFTNLAMLLTLAFYDRVLVRVLRRFTGRPNGITHLQRTGVGLTIAMLSNAVAAVVERQRRSVAAASGLLDDPKATLPMSVFWLVPQFTIHGIANAFMDVGRMEFLYDQAPESMRSTAAALYWLTFSIGSYLGTLLVTIVHAKTRRSGQWLPDNLNRGKLDNYYWLVVSLEVVNLVYFFVCVKYYTFKPLETVGGEEEVELYHGSGNGTDGAKKQGGSFK >KQL13476 pep chromosome:Setaria_italica_v2.0:III:4680888:4681556:1 gene:SETIT_023777mg transcript:KQL13476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRTAIVIVTLLLSASSQSLVHARMAPRDQPHVHAVHSPSSTSSAVSQDLLHVFTAPPALVLTDDKPEIAADKRRQIVEVAADGSVPSPGVGHHH >KQL15012 pep chromosome:Setaria_italica_v2.0:III:15582593:15583440:1 gene:SETIT_024816mg transcript:KQL15012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASAPAPVCAALKLVARGRESAAVLQTLLLGQQAPADGSASAAPHGLQELTNQILRCCDRALAALRHSGTEEEDADAAGGIRKRKPERGNGAPAASPARASKRMRMRGGEMGTRVEKRATMEDGFIWRKYGQKEIHGSKYPRFYFRCTYKEDHGCTARRQVQRWEADPSVFLITYFGDHTCCRDDDEPPAPFVINFSSSSSDGKPS >KQL17087 pep chromosome:Setaria_italica_v2.0:III:48154378:48156365:-1 gene:SETIT_023434mg transcript:KQL17087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFAAMKSPLPVAASAAAGDAKSPLFCPKPRRPVAPLRCHQSGGYSDAGVDLLDLLLSKGEESGLMAASPQPPLFCGSPPRRASNPVVHDSRFGMDYPPMPVPLPGLPAVVAAPVAVARPNPRPSVAPSMSPRGASCARARFAFQPAAVRVEGFDCLDRSRGGRGHGITAMA >KQL15917 pep chromosome:Setaria_italica_v2.0:III:25301700:25306031:1 gene:SETIT_022911mg transcript:KQL15917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIVGPTLASPWRTKTLARGRSQPSPQSFWRHLPVPLPPSRPLRIESRSASTAIIHPMADKEPVAERPGAAEEEEDASAAAAAGEEEDTGAQVAPIVRLEEVAVTTGEEDEDVLLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKETSKVRLVMRQAKTLKICANHLVVSSTKMQEHAGSDKSCVWHAVDFADGELKEEMFAIRFGSVENCKKFKDLIDEIAESLTKNEGKENEDSSSTAGLLEKLSVSESKSEESVKAESTESGKEAESKAGATPSE >KQL12610 pep chromosome:Setaria_italica_v2.0:III:34678:38499:1 gene:SETIT_022471mg transcript:KQL12610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVASTASASGGGRWYTGMSADNIKGLVLALSSSLFIGASFIIKKKGLKKAASSGVRAGVGGYSYLYEPLWWVGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLAHVMLREKLHIFGILGCVLCVVGSTTIVLHAPPEREIESVTEVWDLATEPAFMCYAAVVIGIAVILVYRFVPLYGQTHVMVYIGVCSLVGSISVMSVKALGIALKLTFSGTNQLIYPQTWAFTLVVISCVITQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMADGGLSTSSSFRLPTSSSVRFSKQTDNDSEGIPLRSESFRAPH >KQL14123 pep chromosome:Setaria_italica_v2.0:III:9044888:9045446:1 gene:SETIT_025626mg transcript:KQL14123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYLGPARHSPSPMDSNLYRTLLFYGAGVSS >KQL14284 pep chromosome:Setaria_italica_v2.0:III:10101753:10102547:-1 gene:SETIT_024648mg transcript:KQL14284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTMALSSTAFVGKAVNVPSSLFGEARVTMRKTAAKPKPAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KQL16140 pep chromosome:Setaria_italica_v2.0:III:30800449:30801300:-1 gene:SETIT_023185mg transcript:KQL16140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPSPSLSFAVVVAVLFLPCPSLAGDPDFLQDICIADLTSTVKVNGFPCKATVTEDDFYFKGLANPGNTDNTYGSVVTGANVEKVPGLNTLGVSLSRIDYAPGGLNPPHTHPRATEIVFVLQGTLDVGFITTGNKLIAKTITSGDVFVFPRGLVHFQKNNEDAPAAVISAFNSQLPGTQSLAMTLFAATPEVPNKVLTKAFQVGSKEVDKIKSRLAPKKS >KQL13829 pep chromosome:Setaria_italica_v2.0:III:7000270:7005785:1 gene:SETIT_0212131mg transcript:KQL13829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQRDLASFPFRVSEGPDGFPLVHARYLGEEQAFTPTQLLAMVLSNLKVIAEGNLNAAVVDCCIGIPVYFNDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHTYDRSLGGRDFDEALFKHFAVKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDDFEQISAPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNDGFPFTIALSWKPDAQNNETQQTIIFPKGNAIPSVKALTFYRANTFAVDVVNVDANDAQIEPKISTYTIGPFQSSNGEKAKLKLKVRLNIHGIVSVESATMLEEEEVEVPVSATNEAQKEATKMDTDDAPNDPASGTDVNMQESKGATDTAEGAENGAPTSEEKTVPMDTDAKAEPSKKKVKKTNVPVSELVYGALGAAELDKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYGDFVTPEDKEGLISKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEARFKEWEIRDSAVNQLAYCINSFREAALSNDLKFEHIDISEKQKVINECSEAETWLLEKKQQQDALPKHANPVLLAADLKKKAETLDRFCKPIMTKPKPAPKPQTPPPAETPAPEPQTPEQQQSNGENAASEPTSEDAAEEPAAEQMETDKPEGGADASA >KQL13827 pep chromosome:Setaria_italica_v2.0:III:7000270:7005785:1 gene:SETIT_0212131mg transcript:KQL13827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQRDLASFPFRVSEGPDGFPLVHARYLGEEQAFTPTQLLAMVLSNLKVIAEGNLNAAVVDCCIGIPVYFNDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHTYDRSLGGRDFDEALFKHFAVKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDDFEQISAPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNDGFPFTIALSWKPDAQNNETQQTIIFPKGNAIPSVKALTFYRANTFAVDVVNVDANDAQIEPKISTYTIGPFQSSNGEKAKLKLKVRLNIHGIVSVESATMLEEEEVEVPVSATNEAQKEATKMDTDDAPNDPASGTDVNMQESKGATDTAEGAENGAPTSEEKTVPMDTDAKAEPSKKKVKKTNVPVSELVYGALGAAELDKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYGDFVTPEDKEGLISKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEARFKEWEIRDSAVNQLAYCINSFREAALSNDLKFEHIDISEKQKVINECSEAETWLLEKKQQQDALPKHANPVLLAADLKKKAETLDRFCKPIMTKPKPAPKPQTPPPAETPAPEPQTPEQQQSNGENAASEPTSEDAAEEPAAEQMETDKPEGGADASA >KQL13828 pep chromosome:Setaria_italica_v2.0:III:7000270:7005785:1 gene:SETIT_0212131mg transcript:KQL13828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQRDLASFPFRVSEGPDGFPLVHARYLGEEQAFTPTQLLAMVLSNLKVIAEGNLNAAVVDCCIGIPVYFNDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHTYDRSLGGRDFDEALFKHFAVKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDDFEQISAPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNDGFPFTIALSWKPDAQNNETQQTIIFPKGNAIPSVKALTFYRANTFAVDVVNVDANDAQIEPKISTYTIGPFQSSNGEKAKLKLKVRLNIHGIVSVESATMLEEEEVEVPVSATNEAQKEATKMDTDDAPNDPASGTDVNMQESKGATDTAEGAENGAPTSEEKTVPMDTDAKAEPSKKKVKKTNVPVSELVYGALGAAELDKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYGDFVTPEDKEGLISKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEARFKEWEIRDSAVNQLAYCINSFREAALSNDLKFEHIDISEKQKVINECSEAETWLLEKKQQQDALPKHANPVLLAADLKKKAETLDRFCKPIMTKPKPAPKPQTPPPAETPAPEPQTPEQQQSNGENAASEPTSEDAAEEPAAEQMETDKPEGGADASA >KQL13830 pep chromosome:Setaria_italica_v2.0:III:7000270:7005788:1 gene:SETIT_0212131mg transcript:KQL13830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDLGNESCIVAVARQRGIDVVLNEESKRETPAIVCFGDKQRFIGTAGAASSTMNPKNSISQIKRLLGRKFSDPELQRDLASFPFRVSEGPDGFPLVHARYLGEEQAFTPTQLLAMVLSNLKVIAEGNLNAAVVDCCIGIPVYFNDLQRRAVLDAATIAGLRPLRLFHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVSIVGYKKGQLKMLSHTYDRSLGGRDFDEALFKHFAVKFKEEYKIDVYQNARACLRLRVACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDDFEQISAPVLERVKGPLEKALAEAGLTTENVHFVEVVGSGSRVPAIIKIITEFFGKEPRRTMNASECVARGCALQCAILSPTFKVREFQVNDGFPFTIALSWKPDAQNNETQQTIIFPKGNAIPSVKALTFYRANTFAVDVVNVDANDAQIEPKISTYTIGPFQSSNGEKAKLKLKVRLNIHGIVSVESATMLEEEEVEVPVSATNEAQKEATKMDTDDAPNDPASGTDVNMQESKGATDTAEGAENGAPTSEEKTVPMDTDAKAEPSKKKVKKTNVPVSELVYGALGAAELDKAVEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLYDKYGDFVTPEDKEGLISKLQEVEDWLYEDGEDETKGVYIAKLEELKKVGDPIEARFKEWEIRDSAVNQLAYCINSFREAALSNDLKFEHIDISEKQKVINECSEAETWLLEKKQQQDALPKHANPVLLAADLKKKAETLDRFCKPIMTKPKPAPKPQTPPPAETPAPEPQTPEQQQSNGENAASEPTSEDAAEEPAAEQMETDKPEGGADASA >KQL16641 pep chromosome:Setaria_italica_v2.0:III:43244151:43248263:1 gene:SETIT_021011mg transcript:KQL16641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRLSLPAGSQVTVTVSPTRGGKAVESPGGGDAVVKRGAGGIGITSPAPRHSLGGAGSSSATLQLSPVRRSGGSRYASRDGGGGVDESAEFVHYTVHIPPTPERAVAASADSVDAPAPAASEEGAGADEVRAQRSFISGTIFTGGLNQATRGHVLNNTSGTGGAAAAASANMSCKMRGCDMPAFLTSGAGGGPCDCGFMICRECYVDCEPYSAGSDTDDGGEDDDEAVSSSEERDQLPLTSMAKRFSLVHSMKFPSGNAGCAAGVGGGGKPAEFDHARWLFETKGTYGYGNALWPKDGGHGGGATGFAGFEEPPNFGSRCRRPLTRKTSVSQAIISPYRLLIAIRLVALGFFLTWRIRHPNPEAVWLWAMSVTCEVWFAFSWLLDSLPKLCPVQRAADLDVLAERFELPTARNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLACYLSDDGGALLTFEALAETASFARTWVPFCRKHGVEPRSPEAYFGQKRDFLKNKVRVDFVRERRKVKREYDEFKVRVNSLPEAIRRRSDAYNAGEELRARRRQQEEAMAAGTLPGALPEAAAIVKATWMSDGSHWPGTWLNAAPDHSRGDHAGIIQAMLAPPTSEPVLGGEPAESGGLIDTTGVDIRLPMLAYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYVHNSAALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNLVFFDVAMRAMDGLQGPMYVGTGCVFRRTALYGFSPPRATEHHGWLGRKKIKLFLRKPTMGKKTDRESDNDKEMMLPPIEDDGFKQLDDIESSALLPRRFGSSATFVASIPVAEYQGRLLQDTPGAHQGRPAGALAVPREPLDAATVAEAISVISCFYEDKTEWGRRIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASPRMKLLQRVAYFNVGMYPFTSIFLLVYCVLPAISLFSGKFIVQSLNVTFLALLLIITVTLCLLAVLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKPGTGDDGEEDAFAELYEVRWSFLMVPPVTIMMVNAVAVAVASARTLYSEFPQWSKLLGGAFFSFWVLCHLYPFAKGLLGRRGRVPTIVFVWSGLISMTISLLWVYINPPAGAKERIGGGGFSFP >KQL13187 pep chromosome:Setaria_italica_v2.0:III:3052732:3054345:-1 gene:SETIT_022805mg transcript:KQL13187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGAGWPEPVVRVQSLSESGAATIPDRYVKPEAERPATALADDGAATAATEVGIPVVDLSSPGDPATVRAVSEACRDWGFFQAVNHGVPAELLRRARGVWRGFFRQPMEVKQRYANSPATYEGYGSRLGVEKGAVLDWGDYYFLHVRPPHLSDPDKWPYLPPELRGTTEEYSREVAALCGRLMTAMSLGLGVAPSRLHEAFGGAEGAGVCVRVNYYPRCPQPELTLGLSSHSDPGGMTVLLADDRVRGLQVRRRGAWVTVDPVPDAFIVNVGDQIQSVPDRTAEQRPAGLGLSQRR >KQL13602 pep chromosome:Setaria_italica_v2.0:III:5501619:5502381:1 gene:SETIT_024977mg transcript:KQL13602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EYPYRPPLVFAFPAAPSAALVPDHPFVDHRTGRVHRTLPYLEGWSVPRSSLAGLVRSLVAALRMCHPLTTASFGFAAGDARTTRAGATPVEEERRRMHAVLVDELAARLGRDAAAFRGGVDEDIHAMSSMQAGLRTRGDAMGRAVRDLEEERTRLERAVTASLAHRGKLLAWLHKTSPAPDPGVALAPHAAAGRGDAPRWLESKAAELAADDAIDTLGRALENGELSFQEYIKRVKILAREQFFHCYAASKST >KQL16534 pep chromosome:Setaria_italica_v2.0:III:42093354:42097615:1 gene:SETIT_025092mg transcript:KQL16534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVHDKAGQFICYLDLVRLNSPAAGSNRNRGLVLKGMPGPKVVVQCLDGTVVTVNARNVRVADRSYFCPGMVVASASDRGGQLGVVTGAAVELDLVRLDGEDAAAALVARGVSPAELRRVSEFCLGDYVVSGPWLGRVFEVSLDVDVLFDDGTVCRVTTADGKLWPVGEGSSKRYTNNVFYPGQRVGGRSSVFKAARWLKGYWKPSDGEGTVSKVETASVLVYWVASSQLGAERSVVQASSTPAHQQSPRDLTFFRAGDDVLARFWGVGDRCFFRAPCRRRVLPAGDVGANRNRLRRLGAKRTPRRESWSHAGFERPLSVASTRTTLDVLWQDGTRQCQAPSVSLVPTMPQNTHHLFPGQRVVSRTSSDGDGDVARSGVVRSLNFTDQTVRVSWQKAAAEHADDETLSSTYDLGRDFDSNVFYGDVVVRRRPTDSSIGVAGDICGSTEEPVLTPCRKKEPTRAHDLSWVGHIVDFCDAHHVQVKWGDGNMSKVSFHEITVVKEQSFGEFLQEIGEWVSEDGGMSNDAIDHEAQVTAAAVVSINGGGGGEGKQAEADATGDDKSFSFPQFDIVQSPSDHHYLDNMEQGTGGGKKWMKRVQKEWNILENNLPDTIFMRAYEDRMDLLRAVMVGASGTPYHDGLFFFDLQLPPSYPAAPPLVCYRSFGLRVNPNLYPSGTVCLSLLNTFGGHGAELWSPEASTVLQVVVSIQGLVLNAQPYYNEAGYAVLVGTPQGRRNELPYSENTYLLTLQTMLHLLRRPPAGFEEFVRDHFHRRGQHVLRACEAYLDGCLVGTLDGEGSGARRPCSAGFRLALANVVPRLVEAFTAIDADGCKEFDRIRVPTVCT >KQL16079 pep chromosome:Setaria_italica_v2.0:III:28438575:28439592:1 gene:SETIT_024154mg transcript:KQL16079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDALYKPTVSANIISSSLALTFLGDEPLAPIDKTFRSSSADLLWVWDLIKHVYRHRDVKAALEFHVFEVQNFDILIGHPVENCLLDAPNQRKLDVTSDVFHIY >KQL14610 pep chromosome:Setaria_italica_v2.0:III:12365740:12367953:1 gene:SETIT_021849mg transcript:KQL14610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSVGAKQPTARRRPLRVVSANKARPAPASLLRKPPPPAAAAPAAAAPAARAPAAAADAALDRLLLTRSDLAGMIDELISDALRCETVSKRGKQEIESFNGFLSDTNYSLKQWSSRLKQALETGPAKTENISEHTLGTCSNSAAPGNDKLICSSSSSNLPDTDPVASPCSNFTEADMIVSPSPLVSWRTGACMVESGKQLFLLTPLPKTKACSSRCPTSKTQMKTASSTDQLNLPTLPVWKLTISDGDHPDLNRKKDDRHPDLEQGMKVKEARTVAMTPHVATANKGSLEDRLCSPCTFSIRKSMGALPRSCLKTALSSKQQFSPIPEGSRKEDIDSNGPTQGYKRSESSDEVSKDLASRYDIYGLNQTTKNTYRTRNAQDTLQWYLSPPKTCVLMDLSDDKPHPTPARSNTKGKHDVSDDKPIQTPAVHSKALFGTPWKGLESTNLKGRQAGETTLKKELWTRFEAASTNELHYDKSLFQKMDGKRFLDMLEEAS >KQL15467 pep chromosome:Setaria_italica_v2.0:III:20487447:20490365:1 gene:SETIT_021529mg transcript:KQL15467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHFPLSALLLLLLSTTCFSSDLDVQCLRDIMKSVIDPNGILKSSWIFENNTVGFICRFTGVECWHPDENRVLSLHLSNLGLQGTFPQGLKNCTSMTALDLSSNNFTGPIPPDISLQVPFLTSLDLSYNGFSGEIPVLIYNITYLNTLNLQHNQLSGQIPGQFSLFARLQSLNVADNRLSGTIPPTLQKFPPSNFAGNPGLCGPPLGDCQASAKSKSNAAIIGAVVGVVLVVIIGAIVVFFCLRRIPAKKKAKDEDDNKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATDQFSKENIIGTGRTGTMYKAVLPDGSFLAVKRLQDSQHSESQFTAEMKTLGQVRHRNLVPLLGFCIAKKEKLLVYKHMPKGSLYGQLNQEEGSKMDWPLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLMATPKGDVYSFGVVLLELITGEKPTQVSTAPENFRGSLVEWINYLSNNALLQDAIDKSLIGKDADGELMQFLKVACSCTLATPKERPTMFEVYQLLRAIGERYHFTADDELVLSPLNTDGETLDELIVAK >KQL15466 pep chromosome:Setaria_italica_v2.0:III:20487447:20491124:1 gene:SETIT_021529mg transcript:KQL15466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHFPLSALLLLLLSTTCFSSDLDVQCLRDIMKSVIDPNGILKSSWIFENNTVGFICRFTGVECWHPDENRVLSLHLSNLGLQGTFPQGLKNCTSMTALDLSSNNFTGPIPPDISLQVPFLTSLDLSYNGFSGEIPVLIYNITYLNTLNLQHNQLSGQIPGQFSLFARLQSLNVADNRLSGTIPPTLQKFPPSNFAGNPGLCGPPLGDCQASAKSKSNAAIIGAVVGVVLVVIIGAIVVFFCLRRIPAKKKAKDEDDNKWAKSIKGTKTIKVSMFENPVSKMKLSDLMKATDQFSKENIIGTGRTGTMYKAVLPDGSFLAVKRLQDSQHSESQFTAEMKTLGQVRHRNLVPLLGFCIAKKEKLLVYKHMPKGSLYGQLNQEEGSKMDWPLRLRIGIGAAKGLAYLHHTCNPRVLHRNISSKCILLDEDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYARTLMATPKGDVYSFGVVLLELITGEKPTQVSTAPENFRGSLVEWINYLSNNALLQDAIDKSLIGKDADGELMQFLKVACSCTLATPKERPTMFEVYQLLRAIGERYHFTADDELVLSPLNTDGETLDELIVAK >KQL13736 pep chromosome:Setaria_italica_v2.0:III:6380462:6382407:-1 gene:SETIT_024510mg transcript:KQL13736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVCDACGRAEAAVLCCADEAALCRRCDVAVHSANRLAGRHSRVALLPSTTTTGPSPDLAVDGTGSHHPACDICQEKTGYFFCLEDRALLCRPCDVAVHTAGGAHVASHRRFLITGVRIGGGVECHNVPGVDAAAGVVSPSTSSGNGSSSAPSGGNPWSMPDKERRPSSSVGAEAAREGLGDQQWPWSEFLADDAGLGMELCCPAGLSEPGSSSLTG >KQL13819 pep chromosome:Setaria_italica_v2.0:III:6975927:6976240:-1 gene:SETIT_023948mg transcript:KQL13819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDLPRLAGHTCEGEPWHVQLWRNIMLSTPCDEPRASSSVMEVEAVPWRGPSGQTSRPGM >KQL13655 pep chromosome:Setaria_italica_v2.0:III:5821735:5827027:-1 gene:SETIT_021319mg transcript:KQL13655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLPCDGDGVCMVCRAAAPPEVDLLRCSTCATPWHSPCLSERPALSDAASWSCPDCSGSSSAPAAAPAAGGELVAAIRAIEADATLSDQDKARRRQKLLAGSAAADDENKDEGEGDDVLEMLGRSFSCAFCLKLPDRPVTTPCGHNFCLKCFQKWIHSGKKTCGKCRAQIPSKMAQQPRINSNLVEAIRMAKISKNANSDSSAATYHYIRNDDRPDKAYRTERAKRAGKANASSGQIFVTIAPDHFGPILAENDPKRNIGVRVGETWGDRLECRQWGAHFPHIAGIAGQSTHGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKEQSFDQKFEKMNAALRISCMKGYPVRVVRSHKEKRSSYAPESGLRYDGIYRIEKCWRKIGIQGKFRVCRYLFVRCDNEPAPWTSDDHGDRPRPLPKIPELQDATDITERKGRPAWDYDEKEGWRWMAPPPISRKPVLTGVSETDKQIRRRTRCAQMSVAERLLKEFACSICKEVIKEPLTTPCAHNFCKTCLLGAYDTQSSVRERSRGGRTLRAQKIVKKCPSCPTDICDFLVNPQINREMMDLIESLQKAVEEGGDDTNECGDDSDDLEETDGGLAKGEDDISLNEDEQGSDEDKKMDCEIEDSDVNADGSVKTVAEIKEGDEQPNKKDKGDAEEGKDAMKNKTNATEVVDALVEEDAVEEIKGSKEVDNNESQQPQKGDDTDIGIDGSKRMKTSASLDETAGV >KQL16499 pep chromosome:Setaria_italica_v2.0:III:41063868:41064136:-1 gene:SETIT_025137mg transcript:KQL16499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDTGTNGLLGAMASKVDFKLSLLKDAMAEYSEIKHVIDGVVKEKERLQQEKERLQNEEEMLRHEQHEVVPLGHSV >KQL15599 pep chromosome:Setaria_italica_v2.0:III:21558659:21559139:1 gene:SETIT_025402mg transcript:KQL15599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYRTFLHIVFFLKGFLLKRISPSRGHEFPHRTSRRW >KQL15823 pep chromosome:Setaria_italica_v2.0:III:24281947:24284703:-1 gene:SETIT_024037mg transcript:KQL15823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSNIGGADSKARNAVVKSEPADVEYAQDLELPFGSYGDKVAEDEHEHGGDTTECSSSFGDSGFASDDDTESDAGIMEVESPLYSHINVHDTPAASHIVRKKKVTADWRKFIGPERWRCQWLELRMNDLLSQVAKYDKELALINHEKYLQLEMVKADRHKSELQQLDLPSYEAMKRKKRKRYEDSTDTSAYIKKHQIFSYYNHENRRSRTENERIGADNELLAIDDCNNLDAEDTKISIGSNDTLLESKENNAVLEQYSLRKILLAIECIQTRIINLQNDLSEAYNKIGHPQKSQKKKDTHGLHKKKNAVKPYGTTQPDGDEITPEMLFDVNSSLLDPHIEGICHESVDDVLINNEAAIEEEFCLFERIKNAAKTYSEPIINVAEAPTAKLTKKRGPKPKMKHGSAQPIKDQIKKSKKKNMGTCLNYPNTGNTVFVAVDTRKSQRVRKPKFF >KQL17355 pep chromosome:Setaria_italica_v2.0:III:49927592:49927891:1 gene:SETIT_024380mg transcript:KQL17355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADDAPHVANGNYGVLPADVLYNIFLCLPANPLCHLRLVCHSWWSLTPDPLFARAHSSRHPHVAALHCNLQALHVVDLHDKIVVKRLHLGQPGFFLGT >KQL17448 pep chromosome:Setaria_italica_v2.0:III:50507568:50510293:-1 gene:SETIT_023443mg transcript:KQL17448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQRQQISSKDDKTAELDPVLHSLGFEIEEVSPSQLTGRLPVTARCCQPFKVLHGGVSALIAEGLASMGAHMASGYRRVAGVSLSINHFRSAAVGDVVLARAAPVHVGRSTQVWEVKLWKQEPSSSSPGKKGPQISESRVTLLCNLPVPENLKNAGDALKKYAAAATISRL >KQL13584 pep chromosome:Setaria_italica_v2.0:III:5359147:5361219:-1 gene:SETIT_021549mg transcript:KQL13584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEIEEVSIGGFWSEEDKALCASVLGSDAYTYLTKCGGAISEGLVAASVLADLQNKLQNLVEADGQSLHWNYAIFWQLARTKSGAVVLGWGDGSCREPHDGEIGFATSVGAGDASSVTRQKIRKRVLQRLHTAFAGADEEDYAPGIDQVTDTEIFFLASMYFSFPRHVGGPGKVFAAGRPLWIPNNELKVSPANYCYRGFLANAAGLKTIVLVPFKAGVLEVGSTQNVPESAEALQTIRSLFLGTYSTRAAIEKHEENTSVQMSPGSTKIFGKDLNISQPSATEGADPSKVDGGSRDEQKSSGGDNMLLPNLRKGLQNFTWSQARGLNSHQQKFGNGILVVTSEAAHRSNGSTPVTGVSPFQLQKPEQILTQPPPQPRGPMQIDFRVGSSSKFGVLISQKAMLDGENGGIDGLFKEEREDRQPRKRERKPTNRREEQPLSHVEAERQRREKLNKRFCALRAIVPNISKMDKASILEDAVTHITDLKKKLEKMEAEREKLLECGKVDASEQTTRPEVDIQVVHGEILVQVVSPIDNHPIKKVLQAFEEAEVKVGESKVTANNGTVVHSFVIKSPGSEQHTRNKLVASISNVTRSV >KQL14191 pep chromosome:Setaria_italica_v2.0:III:9460738:9463047:1 gene:SETIT_025109mg transcript:KQL14191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWHDLYTVLCAVVPLYVAMILAYGSVRWWGVLTPDQCSGINRFVAVFAVPLLSFHCIAASNPYVMNLRFVAADTLQKVIVLAALAVWSCLPARGGGGGGGATRAPPIDWSITLFSLSTLPNTLIMGIPLLVAMYGRYSGDLLVQVVVLQCIVWYTLLLVLFEFRAARALIAGQCPDTAAAIADVRVDPDVVSLAGSQAEAQAEVAPDGRVRLVVRRSTSVSRRSLATPRPSNLTGVEIYSVSSSRNATPRGSSFAYGDVSATGAAPPLHGASMRMSSFGAADLFSLHSSRQHTPRPSSFDEHAVRARSAAAVAPSYDPKDMPTFEWSSGASAASEVSGLPVFRGGEHRAKDVRRLVPSEAPPVGMSRAMRPGERVASFKAEAVQDALAKLESGSADQRQNVKDDGGENGGGAGAGRAGGQAPAGVMMRLILTMVWRRLIRNPNTYASVVGLTWSLISFRFHIAMPIIVRNSISILSDAGLGMAMFSLGLFMAMQPKIVACGNSVAAITMAIRFLLGPAVMAATSAAVGLRGTLLCIAIVQAALPQGIVPFVFAKEYDLHAAILCTGVIFGMLIGLPIALVYYIILGLL >KQL14059 pep chromosome:Setaria_italica_v2.0:III:8448594:8460892:-1 gene:SETIT_020963mg transcript:KQL14059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLSSAPPSEELFTHSVTDYIYNSTGYTDANALSLEASIQKSVEEELYSSLEEKDVRVEHHLHRGRALAAFRHLLVKRASQLKSASACQVIPAQSNVQADVQLILAPLSQAERSILISVAPLAITNFEDSALVASCIFLLELCGLCANMLRLDIAALRRISSYYKSVQQKKHFDLSSPKAPELHMQSHGADIAPALARALAEDYVQSDHLHVLEQTQTSMAPKREQTPQPLIAILQHLEKASLPSLDEGKTCGFWLLTGIGDASVYRSQQNEASQHWNLVTEFCQAHHLPLSTKYLALLANDNDWVGFLTEAQIAGFPIEVVIEVAAKEIRDSRLRTHILTVLKNMMSLRRKSSGNIPSGSSDSSFSAVDGNNPVELFGILGVCEKQKNPGEALLNKAKQMQWSLLAMIASCFPDVTPLSCLSVWLEITAAREMSSIKVDDISSKIAKNVESAVVATNKLPGTCRNVEFRYNRKNPKRRRFLEASPEKFTMCFSLDSSCGPNSAATSYPADIDAHQESGRSISGETIMSVDIDERLACLSSMVAVLCEQQLFLPLLRAFEMFLPSCSLLSFIRSLQAFSQMRLPEASAHLASFSVRIKDEASHTQLNSSKEVSVLAGWVAATAVKAADAVLSTCPSIYEKRCLLQLLSGVDFADSGSSSSYFSRRYWKINLSEPDLHKDTDIYDWNDFMDDACLLTSLEKDGQWEQARTWARQLESSDIAWESTLDHVTESQAEAMVAEWKEFLWDIPQERAALWGHCQSLFMRYSLPPLKAGLFFLKHAEAVGKEIPARELHEILLLSLQWLSGTMTKSSPVYPLHLLRDIETRVWLLAVESESQSKADGEFATPAVAHNIAVGNGTSIIEQTADVITKIDSNMGSPHMKATERNGIRDNLSCQHAQLFESNSEASSTTINNTRGKRRVKTNLPLRRGVNDNFESRTSDLDNNSNNFQSSKIGEQARNILSEEEFAKMEESLSGWEQNVRPVDMEKAVLSLLEFGQITAAKQLQQKLSPSYIPEELVLVDVALKIANNSSIGISLSCFDTEALSILQSLGVASSSDMIDPLQVMEKLAVKCGEGRGRALIRRIIAVIRTAKLLGLPFSEAFEKQPIEILQLLSLKAQDSFDEAKFLVETHIMPASSIARILADSFLKGLLAAHRGGYLDSQKEEGPAPLLWRSSDFLKWAKLCPSEPEIGHALMRLVMTGHEVPHACEVELLILSHHFYMSSSCLDGVDVLVTFAANRVDSYVLEGDFPCLARLITGVSNFHSLSFILSILVENGQLELLLQKYSATDTATGTPASVRGFRMAVITSLKQFNPNDDDALSMVYRHFDMKHEAASLLELRAEQYMNSWLSRYDKERRNDELLEAMHHLVETAEVLSTIDAGQRTHRACARASLLSLQIRIPDLLWIGLSETNARRIFVEQSRFQEALIVAEAYNINQPMEWAPVFWNQMLKPDLIEQFVAEFVSVLPLQPPMLLELARFYRAEVAARGEQSHFSVWLSPGGLPAEWVKHLGRSFRSLLRRTRDMRLRLQLAALATGFVDVLDICNKVLDKVPENAGPLILRKGHGGAYLPLM >KQL16727 pep chromosome:Setaria_italica_v2.0:III:44478229:44478546:1 gene:SETIT_024912mg transcript:KQL16727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLQCLKPIQSLRCVVPKQVQKWTPPPVGLVCLNVDATIFSSSSSSSVGVVVRDHLGIRRMGCRQSFHGITTPEVAEALALRCAVTLALDEGLHRVMIQSDCLSL >KQL15701 pep chromosome:Setaria_italica_v2.0:III:22728951:22735128:-1 gene:SETIT_022091mg transcript:KQL15701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARRALQEHCVGKRIVRCAAADDTKVIDGVARDRLESALVGRAITAARRKGKNLWLELDSPPHPTFQFGMAGAIYIKGVELSKYKRSAVGPTEEWPSKYSKLFVQMDDGLEFSFTDKRRFAKIRLVDNPEAVPPISELGPDALFEPMKLDDFMKPLGRKNVPIKSLLLDQARIHPMQTASKISKDKCATLLCIKEVIDKSLEVGADSSQFPENWIFHSREKKPGKAFVDGKKIDFITVGGRTSAYVPELQKLDGADAATSRSKRSEDKDIDDDQKSRKGINAPKPAKGRVKVAKGLSGKAANASDDGDEEEEGKPAKRGRKQSAREANVSTKNAGSIVGDEAADQDQEAEEDAKPAKRGRKQIEKTAKGSLKEAGHEDDDEEADDKIEAKPGKRRGQKMQRTEAKSSSPNKVEDAGPARRPQRKARQT >KQL16699 pep chromosome:Setaria_italica_v2.0:III:44215422:44215902:1 gene:SETIT_025421mg transcript:KQL16699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSSQSQSSGEGALEFDIAIAGWSNQMSIWETS >KQL13499 pep chromosome:Setaria_italica_v2.0:III:4820089:4820346:1 gene:SETIT_024489mg transcript:KQL13499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVKPVMVKFIVTKYVDADAAHFKSVVQSLTGKNSTAAAAAGQSPVAAGVDGGRQNQPAWQTTGGSFLGPMPSTDEMVVEFLKY >KQL16439 pep chromosome:Setaria_italica_v2.0:III:40051668:40054212:1 gene:SETIT_024259mg transcript:KQL16439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPRNLLCILIFFLICTAHASDSEQAAVALLQWKSTLDGSSTRNLSSWSPNHTMCLWFGILCSNTTSHIIGLRLPGAGIKGRLDTLNFTAFPQLTELNLSSNGLHGDIPASISSLQALVYLDLGFNSFKKFVPPELGSLSNLIDLRLNNNNLTGALPYKLSKLPKIVRLEISDNNFDKPEFSPMPTLQFFSMYNIGVNGSFPEFILECPNLTFLDLSWNKLSGPILELIPKTAPNLTYLKVRSNRFSGPIPPTLATLRQLRYLELSNNNFTGIIPWELGTLAALLVLDLQNNPLSGPIPVEICNLSNLYWLNAAGINLSGTIPPCISGMAGIGTPRTLEQSTGGLMYLLVGFNRLTGPVPKDLGQGQPLVIVDLSNNDFSGELPPTLCNNFRLEQLVVNNNSLSGLLPACPNLTYAWVGQNNFSGDISHVIGKYPILRGLDASRNQFTGMIPPEFCQLLFLEFLDLSNNQLYGELPSCLMKLQLLDFVDLSRNAFSGKFPTLTSPNCSLTSLHLANNNFTGGFPSSLSYCSNLTILDLGNNKFNGEVPSWVSQKMPSLKVLQLRSNMLQGCIPWQLSHHSQLQLLDLANNQLNGSIPRHFANFASMIQQNNGSYLGSTHILLTYSNRSLTPYSDRINLFWKGKYYTFEKAIAQMTGIDLSSNLLSGEIPTELTNLKGLQLLNLSRNNLSAGIPNDIGNLKALESLDLSCNELSGHIPDSLSSLTFLSSLNLSNNQLSGPIPTGGQLNTLNDPSIYSNNAGLCGLPLNITCLNRSSGSAADMDEDRGIYYSTLVGFALGFWLWFGALIFSDSWGIAVLSFIDHVLNKIMQRIQNCY >KQL14354 pep chromosome:Setaria_italica_v2.0:III:10745283:10745818:-1 gene:SETIT_024003mg transcript:KQL14354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVASTQKPPSQAKYRKLAILTTTKTVFKAVETTFAGTGALLTPSFRSSK >KQL14189 pep chromosome:Setaria_italica_v2.0:III:9448189:9452135:1 gene:SETIT_025328mg transcript:KQL14189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGSQPSAGDAGAETEAFVPRGAPQGLRRRTGPVPLDSSPRSGRAGDGTRSTFREDVGHAAAETYLVTGLAFTLLGYLGIGYRWISQLIALLLYAVLLMPGFIKVGYYYFFSSQVCRSVVYGEQPRNRLDLYIPRDHSKSSPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTISDMVSDASEAISFICNNAVSYGGDPNKIYLMGQSAGAHIAACALLEQAVKESKGDHTSWNVAQIKAYFGLSGGYNIQNLVDHFHERGLYRSVFLSIMEGEESLPHFSPEIVAKKSSAEAIALLPHIVLMHGTADYSIPSSASETFANVLKQTGGKVKLHLYEGKTHTDVFLQDPLRGGKDQLVEDVISVIHADDADARENDALAPTPERLVYEWQIKLARQISPF >KQL14353 pep chromosome:Setaria_italica_v2.0:III:10729513:10732899:-1 gene:SETIT_021732mg transcript:KQL14353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVGSSSGTRATNGAAAISAAATAAGSADARFHSQLLQQDRRSRWAGCFSGLSCFGLQKGGKRIVPAARSDGNGSTTRGNGVQSGANSNQNVPLNLSLLAPPSSPASFSNSALASTAQSPNCFLSVSANSPGGPTSNMFAVGPYANEPQLVSPPVFSTYTTEPSTAPLTPPPELAHATTPSSPDVPYARFLSSSMDIKTASKEHNMSFLSTTYSGGSGLQASYPLYPESPCSSLISPASATPRTGLSSPIPEQEVPTAHWKTSRSACDTPYFRASPIPEQEATAQWKTSRSACDTPYARTSPANIFGLDSSTPRNYMLDSNFFRPAASAQFYLDQAQQTFPHNSGRVSVSREKQDADEIEAYRASFGFSADEIVQTQSYVEIPDALDESFSISPFGNIAPATEICAFNDLPNEAQKVDKSFVYVKDGTSPKKSANQLSIDSPNKVLHLDIFKGTKGGHQCEHEGIVKDSHPFRKATDEILLKPIEVRKKSPPGHSCSDAEIEYRRARSLRDANGVLSRRSALARQLH >KQL17098 pep chromosome:Setaria_italica_v2.0:III:48302695:48303168:1 gene:SETIT_023956mg transcript:KQL17098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISNALCCAFQFQTSSFSLLLLYIYSWFGGHRSIHRPQHFHFLRNIRGYQERRLCPPI >KQL13815 pep chromosome:Setaria_italica_v2.0:III:6938728:6941540:1 gene:SETIT_024081mg transcript:KQL13815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDETPAKKPRLCSAGDTSCGDAAAAASPVDRLSALPDAMLHHVMSFLRAWEVARTCGFAKFVYRFLLEREESAPVDTLQLLSSPACGDPYWRLPSPSCDGDRVDYSSDDVDMWIHAAIKRKARVIQLARHPKEEDCPQFERVSIASRHLKHLIFIQENLTIAAPNLLSLCCVKLYFRVPLFQNMGSIATATIVLDDSLHVGYEHEPKLTHDDASTCEYNEVLSDNEDEQSDDHGQGQDRCKHCKCNGDPYWIKRGYGRRNGFGDDKILGGHNVLHSLSNATSLKLLADAGEVILNRELKARPVFSNLKTLSLGEWCMAADFDPVVFFLQHSPNLERLFLELKLVKEEMEDNTRLVGRSFVCAHLKLVKIKCSEYETRVHLLAELFKANDVPVEKIYHEALKPDGGSHAGTAVLDVYMSCHVRCDDLVYLCGQETAALFLKKNGAKLTDDMPADLFGMAVL >KQL16174 pep chromosome:Setaria_italica_v2.0:III:33478531:33480325:1 gene:SETIT_023715mg transcript:KQL16174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCSSLGRKIYKKLCRLALQIKWRCRWRRRCASRTMCSCCSMAGSGRASSPPMRPRASSVRQHRDRPANSRVGEHFRCSSTFGCSCTPTNKNRQKIYKIFNQKIYMYK >KQL13567 pep chromosome:Setaria_italica_v2.0:III:5263926:5265290:1 gene:SETIT_024169mg transcript:KQL13567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATPLGTAASGRGVAGPQFTLLASFKKRKIKTIKNRRLTGSCAAGAVDARNQEKAGNPERPAGSRQEPGVVVVAALAPPPPPHVQEPVNKKRGHEFNAEMIWASKKQRSSNAAARESGNAIAEAAAAATSSSIGQELAGNWDGGNPICKKGHHLAWGSFGVAMDEKKTEEKLRPCVFASPVHGLDGLRQDWEVTVLDIALPSQGVQKPVERDHHRNGEESCWLIKRSCLATVAVCEGSNGAVDQNRAIASAPSTGTQEPTRRSSPMQEAKGAGRRGRKNNHSRRRTSIRKTPMRPFMSLSSGLQFLGVADVTPVLARTLTATDSCSNQSRVQFSPRDVMESPLMSILTPEECRSVDKENGLELEAIDRHGYSYKMRFKYVDSARQYRLMQEWVPFLTQNGVREGDMVEVGALRAKGRPMLTLLNYAREGWIPEETEAADGLLMLSDFNDGTSS >KQL16858 pep chromosome:Setaria_italica_v2.0:III:45997264:45999617:1 gene:SETIT_025308mg transcript:KQL16858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPQFLLCHMLLSTLYYVPQAISVSFDFDFSQPSSWYQAAKLSLQGDARMEDKVIELTRKGSENSVGRASYSEAVAIWDEITGELTSFTTVFSFQILPDTYFSTGDGMAFFLGHYPSIIPASGVGGSLGLFSTDTTNATGDNRAVAVEFDTHDNPAYDNSNNHIGIDVNSLISREYANTSNVPGRNLTSGLVMTCRISYENSTQRLAADLQIGNVTYHVDSIIDLRKVLPSVVAIGFSAATGVSSELHRLLAWSFNSTLDGPRAPAPNSTLDGPRAPAPNSKIRVWKIVVIITGAADVVVVIAGFVYLRRRLRRQNNTGYETPAHVARCFSYHELAEATHNFAEEQKLGEGAYACVYRGELANPSRSVAVKRFKRGTSSSIGMMRAFEDEVEAISQVRHRNLVELVGWCNDGKKHRLLLVYELVTEGNLDEHLHGGRSWLSWTMRYKIILNLGRALQYLHEDCSFCVLHGDIKSSNILLDSRHVAKLGDFGLARFTEHEIELKNTCNIAGTPGYVDPDFVTTGKRSRVSDVYSFGIVLLEIVSGRRPAVVDHQTMVTPLLLWVWGKNNGEAILEAADAALREESTAVDRGQMERALLVGLWCAHPDPTQRPSIAEALRALQSRDVEIPHLPLPVFMAG >KQL12818 pep chromosome:Setaria_italica_v2.0:III:1017707:1019060:1 gene:SETIT_0216212mg transcript:KQL12818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELCDLKVHINGHHTLHLHQSVMCAFSGRLRTMVKQEKKKASRKEALSIKLADFPGGAEGFELVARFCYNNGRVLLCPSNLPLLHCAAVFLEMTEEVCPCNLLAQAEAFVNGLYYWTWADVLTAVKSCEPFAAAADASGLLERLISALFSKITASPETPTAIAAVGTPNRSSSSCSSSPDTVGFGRSSSTKTPESMWPCVGREWWFDDMTSLSPQTIEKVMRVLGCYGIENKNLILTRFLLHYLRAATRRPALVLCKEATLAGLADTAVHGVALVGGTAFSCRGLFWVLRIVSAVGLSKECRHKLERLMGLMLDHATLDDLLVSGDDGGVYDVNLVMRLVRVFVGSEEEADAPSQRMRKVGRLIDKYLGEISPDHALKVSKFLAVAESLPDSARDCYDGVYRALDIYLE >KQL13232 pep chromosome:Setaria_italica_v2.0:III:3314492:3318017:1 gene:SETIT_022557mg transcript:KQL13232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKVSAALLCAAALLLVVAPLAAEASELKLGYYKKTCPGWKNVVKYHVAKAIRANRGSGAALVRLIFHDCFVRGCDASVLLDPTPANPHTEKTAPINIGLAAFEVIDDIKAALEERCPGTVSCSDIVVFAARDASSILSNGHVHFDPPAGRLDGPISRAADAQRDLPDSTFTIGELIRNFRRKNFTVEELVILSGAHAIGVGHCSSFRGRLSSPPSQIVPAYRNLLSAKCAAGPDPVVTNNVRDEDPSAVAAAFPSFLKKLRKNKDFLDNSYYHNNLARIVTFNSDWQLLTEKEALGHVKEYAENGTLWDEDFSDALVKLSKLPMPPHSKGEIRKHCRFLNHH >KQL13311 pep chromosome:Setaria_italica_v2.0:III:3736599:3742477:1 gene:SETIT_021958mg transcript:KQL13311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVRRSARGEASGKAHRELDRFDMANEVFHLTRARSELCHRARGATSARRKRPFSTFELLSARECGRTGGAGFAAADRAYVGSRHIPTKGPWGVDEVDSEAYVSQFSADGSLLVAGFRGSRIRVYDVERGWKIHKDISCRSLQWTVSDIALSPDQQFLAYASLSPIVHIVNVQSSGKESHANVNEIHEGLDFTGNEHDEDFGIFSVKFSKDGKEVVIGNNERSIYVYDLAANKVSVRIRAHTDDVNAVTFADESGNILYSGSDDTLCKVWDRRCLVGGKSAGLLTGHLDGVTFIDSRGDGRYFISNCKDQRIKLWDIRKMSSAVRARPVKMVDWDYRWMPFPSEAHHFKHPDDQSLATYRGHSVWKTLIRCYFSPMHSTGQRYIYSGSSDKSVYIYDMVTGKTIERLSWHGSIIRDCTWHPYHPTLVTSSWDGYLARWEASGNNDDLSMPKIMRTRWPVRLGAAAPTE >KQL16041 pep chromosome:Setaria_italica_v2.0:III:27314444:27316608:-1 gene:SETIT_024499mg transcript:KQL16041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDLDSTSLEDDVEGLTVRHVDPLFFPIVNGSHTIQQPNDKNAQLDGGATYFYNYYGIEVTSDVYGFPIDKDERSGIFVQINNLGDQTKWNHNAINVGWHVEPGLYSDSKTHFYVHWTSDGYEATGCYNLMCPGYKIDDNSHILPGGDEIRDWLVYIEINSEPCLIGQFPKSLFTSLGDKADNIRLGGFVVTRTTKMALMGSGFLPNNTKAASLSNIQLINKDGKASKNIYSVSPISVEGKFTCGGPLE >KQL14608 pep chromosome:Setaria_italica_v2.0:III:12358775:12362233:-1 gene:SETIT_022376mg transcript:KQL14608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNPFDILGAVDNDDPTQLLAAAAAAKQKAEAKKQAAAAAGKGAQPAPAKLPTKPAPPAQAVRESRSGGAPSRGGYGRGERGRGRGGRGYGQNRDYGSEDANGFQGAYGAGGGARAGGEEGAQDKGPRPPYRGGGGGRRGGYRDGEFGDDSERPPRRTYERHSGTGRGYEMKREGAGRGNWGTTTDEIISQETEEGLKLDEKAPVPEKQGALEDAPQAEENKDSKDANAEEEKEEDKEMTLEEFEKIREEKRKALLALKTEERKVEVDKDLQSMQPLSNKKENDEIFVKLGSDKDALKKKENAERDERAKKSVSINEFLKPAEGERFYGGRGRGRGRGDRGGFRGGYGGGYRAPPAAPAIQDQSQFPSLGGK >KQL15287 pep chromosome:Setaria_italica_v2.0:III:18650394:18651379:-1 gene:SETIT_023161mg transcript:KQL15287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSCKHPRTQSFRTPSAAAKTIASLFLDSGESSFANSSARTTHRVVCASDDSPSTESEPSAADDMADAIVRGLRSDDRLLFEPQAPSSSILERKPPGPATRRATAAAGHAEDASSSSFGDGVAVAFDSADPYRDFRASMEEMVSAHGVGDWEWLERMLAWYLGANGRDTHPAIVTAFVDLVVSMAASASACTCSSSSRVSSFTLARSEPGESSSAGGGHFSFGLR >KQL14541 pep chromosome:Setaria_italica_v2.0:III:11896818:11898033:-1 gene:SETIT_022274mg transcript:KQL14541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFGGWSMQYAAEPCLPSRPSDDGLLSAFLDGGFDLRSDHGHLDLPSSYPVQSLMLCHDAESLSDGLTADFMGLDTADVVPSVVAGAVEDSLLDPFVYAPNVVTVAEEPAQTAASNTAFSGYSSSTGGGNWNISSGESNTCGGGGGGYDTEVASPCAVSRAALLQTTTGVPPSKRKPGKYPAVAAPGTKAVAGRRGEKRAAATSSSSTSITFTGQGRHDHGAAGGPASGGYEPDSEAIAQVKEMIYRAAAMRPVHQLVCGAAEPPSSQAARPRRKNVRISSDPQTVAARLRRGRVSERLRVLQRLVPGGSRMDTASMLDEAASYLKFLKSQLKALERASPSNGSYHIGSFLQSYMGSSLGGGGGTSASTVHAFGKDSAIGGYAKSNRNMQL >KQL13687 pep chromosome:Setaria_italica_v2.0:III:6083499:6083717:-1 gene:SETIT_025011mg transcript:KQL13687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPTPKLTDSSDDWRRDYHTPFPRGDALRVFHRADNTFACPVYPGTRHRWGILNEVKDHILGPPPEGREP >KQL16965 pep chromosome:Setaria_italica_v2.0:III:47165583:47167961:-1 gene:SETIT_021790mg transcript:KQL16965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVASCDAVPLLVAVAVSVLLAAAVWSRRGRGHGNAAPSPPSRPLLGHLHLLGKPLHRSLAALAAAHGGGGGQSAPLLSLRLGARRALLVSDHAAAEECFTARDAALAGKPRLLAGERLGYGCTTVSWTPHGDNWRALRRFLAVELFSASRLAARAADRSAEAASLVGGLLRHAAGGDAAAVTLRPRLFELVLNVMLRALTGAPGRGGDVRRIQEMIEESFKLAGAHSVGDFYPALRWVDRLRGFDAALIRLQARRDAFVAGLVDDKRRSREAGARDTETKSAIDELLSLQEIYPEFYTDTVIKGIVLVLLSAGTDTSALTTEWAMALLLTRPEAMQKVRAELDGNVGRSRLVEESDITNLPYLQCVVKETLRLCPVGPVIPAHEAMEDCTVGGYHVRRGTMILVNAWLIHRDPKLWEEPEEFRPERFLDAGTTPMLPFGLGRRRCPGEGLAMRLVSLTIAALVQCFEWDVGEGSVVDMAEGGGLSMPMAKPLAAVCRPREFVKGMLSAST >KQL13269 pep chromosome:Setaria_italica_v2.0:III:3503434:3504679:-1 gene:SETIT_023446mg transcript:KQL13269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMGADDKRPPPPTPPAGEEGAVVADKALHALGFEFTRISAGEVAGRLPVTGTCCQPFGVLNGGVSALVAESAASVGAYMASGYQRVAGVQLSVNHLRPARLGDLVHAQATPVRLGRSIQVWEVQIWRTDPSTGECKDLVSTARVTLLTANPSRPEEMTSHEASIKKYAKL >KQL14923 pep chromosome:Setaria_italica_v2.0:III:14917536:14920277:1 gene:SETIT_021429mg transcript:KQL14923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRLLPILLLCSSLLVATTNADNEPQYKGCPSDANYTRGIAFQANLDALLSSLPAAAASTSGFAENATGAAPGQQAYGLAQCRADVNASDCRVCLDGLVQDMASDINCSVQKNAMFIYDKCKLRHSNTSFFGDLDTSVVFSAWNWRNATGPEQFTFAVGSVTVTPLVNIYGMAQCTRNVAADDCNRCLVGAVNYIPTCCDGKQGGRVISWSCSIRFEVEPFYNAQAAEEAMSPAPAPGGGSVNGGAGSNHTVRTALLVSIPVAVALLVLLLVAVYLCRRNRKPHKHVQIATARHEDIDEGMRSSESLLYDLSMLRAATDNFSEENKLGEGGFGPVYKGTLQNGQDIAVKRLSATSQQGQVEMKNEVFLLAKLQHRNLVRLLGCCIEEHERLLVYEFLTNNSLDKILFDPARQQELGWGLRHKIIEGIGRGLLYLHEDSRLTIIHRDLKASNILLDGDMNPKISDFGLAKLFNIESSVGNTSHIAGTYGYMSPEYALHGIFSAKSDVFSYGVLVLEIVTGRRNTYTHASGPSEDLLTYVWRHWSRGNVQALLEGCSAEGRRPQEMLRCIHVGLLCVQEDPQLRPGMASVVVMLNSRSITLPAPDAPAYAVPGRRAFTDTADARGADREDRWVGSREHSINDVSVSDLEPL >KQL13883 pep chromosome:Setaria_italica_v2.0:III:7423408:7423879:-1 gene:SETIT_023673mg transcript:KQL13883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSARVTGAYLCCLTVVLAAMCCSSSSYEVQDLKDDIMKNCRFYIEKNMGSTFPPEESVCCQDVRRANVANICQEFTDQDKEKIALHKWAAVTKVCRNALAAGTNCAGYIVPPLHA >KQL16898 pep chromosome:Setaria_italica_v2.0:III:46540525:46543852:1 gene:SETIT_022495mg transcript:KQL16898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVSILYIYLLFDKISWVFLGIPGIPPSSAQLAAAKSGKAGMHHHGPSTSCNCTTVPEREFGELAKYSSLHEAPSNSSGEKKNKIIDHTSPPMMRSPVFLQFAPSYHQKLRNYGPNENPFPHFPLMYASPSPAGRPFSVPSVVNSSLLSPPPTLRARPNSGGWCEQGESSRGAGTVSCQGVSIGPWTGAAMKILKENAGSLTNFEVLDFLRSRGAKIDPMGCLGAVAASECKVYEYLLKTPACNQTRESIYEFVKRSEGFRLADADKLNVINWRPSSAADAYAMIEECGRRFNRDERGETCNEDKRVQEFLDIVKEVLPPPPPKAEGEGEGEGVEAEAEAEGEAEAEAEATQE >KQL17471 pep chromosome:Setaria_italica_v2.0:III:50611058:50616820:-1 gene:SETIT_021536mg transcript:KQL17471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSGGLSANNAAAAHDDDDANTAPFPDTVQVGGSPEYKVERKLGKGGFGHVFLGRRLTAAHAARSSASAAQEVAIKFEHTSSKGCSYGPPCEWQVYTALGGTHGVPKVHYKGRQGDYYVMIMDMLGPSLWDSWNSLGQSMSSEMVACIAVESISILESMHSKGYVHGDVKPENFLLGQPSTPQEKKLYLVDLGLATKWRDAASGQHVGYDQRPDAFRGTVRYASVHAHLGRTASRRDDLESLAYTLVFLHRGRLPWQGYQGDNKSFLVCKRKMSTSPESLCGICPQPFKQFLETVVNMKFDEEPNYSKLISLFDSLIGPNPSIRPINTDGAQKVGQKRARLLNDDDGHVKKKIRLGAPATQWISVYNSRSPMKQRYHYNVADTRLAQHVEKGNEDGLLISSISSCANLWAIIMDAGTGFTAQVYELSPHFLHKEWIMEQWDKSFYISSVAGSNNGSSLVVMSKGTPYTQQSYKVSDSFPFKWINKKWKEGFHVTSMATSGSRWAIVMSRSAGFSEQVVELDFLYPSEGIHRRWDNGYRITAMAATMDQSALILSKPRRRPRDETQETLRTTQFPSQHVKEKWAKNLYLAGICYGRTVA >KQL16772 pep chromosome:Setaria_italica_v2.0:III:44952082:44954422:-1 gene:SETIT_024408mg transcript:KQL16772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSFDSPSKIVGLNYDDEQVLHAPALRFAVTPNPFGAGTGLKDTEDGRRLIAAVDAALARRAGDVEELEINFVYGSPRNRYIDMTSGGFYLFRHDHAADITSDHVAAWLRFGERLVTGRFTLAVPVPPRHAKKTAAPAPGRKLYAAMPASARSQRMSLTLGNATLAVPVAGAGAFDTLADVLLSHARIAPTIANQRNLGDLLSAACCPRLRRLRLEYITGLDALRLRAAATLEELRLDHAKNWKDMEEMMSPVPQLPNITSLTADAQWQHLEASIAKLIAKCSRLERLTIDISRPCDPCSNPHCFCNQEAGWDDQKISLEHLREGKITGLQPSNEHLSLIRRVIASAPALERMTVELCIGKELDCSSIPCNRGHWAPCVSGQSSRRVCDQAYEWTPGKKGEAGQDKGA >KQL17370 pep chromosome:Setaria_italica_v2.0:III:50088413:50088892:-1 gene:SETIT_025643mg transcript:KQL17370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHYIETDRYTSLVMVHTEGCITCVRTISHNTIFQLLFQSY >KQL13441 pep chromosome:Setaria_italica_v2.0:III:4478602:4481474:1 gene:SETIT_025130mg transcript:KQL13441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFLVQWDTAGQERFRTITSSYYRGAHGIIIVYDVTDMESFNNIKQWLSEIDRYASDNLCKLLVGNKCDLVDSKVVDTEKAKAFADSLGIPFIETSAKESINVEEAFLTMSSEIKKRMATQTQPSVERRPTVHVHMKGQPIQ >KQL12605 pep chromosome:Setaria_italica_v2.0:III:24156:26930:1 gene:SETIT_023208mg transcript:KQL12605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSSSSLSQQPEFDYLFKLLLIGDSGVGKSSLLLRFTADSFEDLSPTIGVDFKVKMVNIGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSDIWAKEIDLYSTNQDCIKMLVGNKVDKESERAVTKKEGIEFAREYGCLFLECSAKTKVNVEQCFEELVLKILDTPSLLADASSGAKKNIFKQKPPEADAAASSCC >KQL16621 pep chromosome:Setaria_italica_v2.0:III:43118023:43118686:1 gene:SETIT_024511mg transcript:KQL16621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSKAADAVAALFSLLIAVAAPLFDSQVVLSRRLYPAPLVNVYRWFAAEVDHYLVADPPPFFRGLVWLALAFLWPVCVANLYGVLARRRWAATTSLMAGVFMLTYLSAMFGEMLGSGRATPKLVQFYVPFVVIAVVLVLRGLCSCSQVPAAAVSSVESDVHKKSV >KQL15910 pep chromosome:Setaria_italica_v2.0:III:25246577:25248386:-1 gene:SETIT_0211871mg transcript:KQL15910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGSASSYKLQLALAVLVGASSTAAAAYYLHCRAVAQVGGDLARSASTRRRRPRAPAGASGGKPPPPRRAAGGSASLPDLSAFYDVGGRGGGGGLAAGGYLVEEEEEEGLVGPHANGGALDPADFLQIPEGLPRLHVGPDGNKQLARSGSNRRVAVIRPNSPKSPAASASAFGSADGSDEDDATQNGGKLDNGYINTNGNL >KQL16770 pep chromosome:Setaria_italica_v2.0:III:44943382:44944538:1 gene:SETIT_025129mg transcript:KQL16770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRGSQCQGSRCWHGPRRATRTACNSTAQRLSGVWRRSPSGRTGTVTTTATTEPCVFCSTAPLTSFIQFRFSNPSYRKHLHLYRLTKSFNCCSYALNQDQTMKQWDDIQDMKSHAPQLPNITNLTIEISPGHWHNLKAIIAGLITKCSRLECLSINIQCANDACSKPQCFCNGKDDQKISMEHLREVKFTGFHPSKYHMSFVQLTMEGAPALERMTVQLYIGKDLDCSGIPCDRGYWAPCLMDSSKRVHTKAYEWTLDNKREEDEEVKG >KQL14659 pep chromosome:Setaria_italica_v2.0:III:12725109:12725327:1 gene:SETIT_024023mg transcript:KQL14659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLSCLKSFNIRTSKEATSQTRQAR >KQL15265 pep chromosome:Setaria_italica_v2.0:III:18449685:18452089:-1 gene:SETIT_023688mg transcript:KQL15265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIAAYLLAVLGGNTSPTADDVKSILESVGAETDEEKLDFLLTELKDKDITEVIAAGREKFASVPSGGGAIAMGAPVAAAGGAAPAEEEKKEEKVEEKEESDDDMGFSLFD >KQL15499 pep chromosome:Setaria_italica_v2.0:III:20910265:20912995:1 gene:SETIT_021521mg transcript:KQL15499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCADEASLQGKKRARVLELSRRLKHRGPDWSGLRQVGDCYLSHQRLAIIDPASGDQPLYNEDESVVVTVNGEIYNHENLRTHLSAAGHKFRTGSDCEVIAHLYEEHGEGFVDMLDGVFSFVLLDTRHGRFMAARDAIGVTPLYIGWGIDGSVWISSEMKALHDECEHFEIFPPGHLYSSKEGGFTRWYNPPWYDEEAVIPSVRYDPLALRKAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTVRHLAGTKAAKRWGTKLHSFCVGLEGSPDLKAAKEVADHLGTLHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINEAMSIDPEWKMVRPDLGRIEKWVLRKAFDDEENPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAASNVTDKMLSNAKFIFPHNTPTTKEAYYYRMIFERFFPQKPAILTVPGGPSVACSTAKAVEWDAQWSANLDPSGRAALGVHLASYHQSESESESGAQQQNKHAPATIAAGAKKPRTIKATTTPPGVVIEG >KQL15497 pep chromosome:Setaria_italica_v2.0:III:20910265:20912995:1 gene:SETIT_021521mg transcript:KQL15497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCADEASLQGKKRARVLELSRRLKHRGPDWSGLRQVGDCYLSHQRLAIIDPASGDQPLYNEDESVVVTVNGEIYNHENLRTHLSAAGHKFRTGSDCEVIAHLYEEHGEGFVDMLDGVFSFVLLDTRHGRFMAARDAIGVTPLYIGWGIDGSVWISSEMKALHDECEHFEIFPPGHLYSSKEGGFTRWYNPPWYDEEAVIPSVRYDPLALRKAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTVRHLAGTKAAKRWGTKLHSFCVGLEGSPDLKAAKEVADHLGTLHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINEAMSIDPEWKMVRPDLGRIEKWVLRKAFDDEENPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAASNVSQFS >KQL15496 pep chromosome:Setaria_italica_v2.0:III:20910488:20912052:1 gene:SETIT_021521mg transcript:KQL15496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGCADEASLQGKKRARVLELSRRLKHRGPDWSGLRQVGDCYLSHQRLAIIDPASGDQPLYNEDESVVVTVNGEIYNHENLRTHLSAAGHKFRTGSDCEVIAHLYEEHGEGFVDMLDGVFSFVLLDTRHGRFMAARDAIGVTPLYIGWGIDGSVWISSEMKALHDECEHFEIFPPGHLYSSKEGGFTRWYNPPWYDEEAVIPSVRYDPLALRKAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTVRHLAGTKAAKRWGTKLHSFCVGLEGSPDLKAAKEVADHLGTLHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINEAMSIDPEWKMVRPDLGRIEKWVLRKAFDDEENPFLPKVRNIRLPKVTSRDICSSD >KQL15498 pep chromosome:Setaria_italica_v2.0:III:20910265:20912995:1 gene:SETIT_021521mg transcript:KQL15498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGVFSFVLLDTRHGRFMAARDAIGVTPLYIGWGIDGSVWISSEMKALHDECEHFEIFPPGHLYSSKEGGFTRWYNPPWYDEEAVIPSVRYDPLALRKAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTVRHLAGTKAAKRWGTKLHSFCVGLEGSPDLKAAKEVADHLGTLHHEFHFTVQDGIDAIEDVIYHTETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINEAMSIDPEWKMVRPDLGRIEKWVLRKAFDDEENPFLPKHILYRQKEQFSDGVGYSWIDGLKAHAASNVTDKMLSNAKFIFPHNTPTTKEAYYYRMIFERFFPQKPAILTVPGGPSVACSTAKAVEWDAQWSANLDPSGRAALGVHLASYHQSESESESGAQQQNKHAPATIAAGAKKPRTIKATTTPPGVVIEG >KQL12999 pep chromosome:Setaria_italica_v2.0:III:2014659:2019230:-1 gene:SETIT_021959mg transcript:KQL12999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSHHYPWLNFSLAHHCDLEEEERGAAAELAAIAGAAPPPKLEDFLGGGAAGGGPVPGAETAAAEMYDSELKFIAAGFLPSGGAGAAAPSPSPVSSLDQADPKLALPAAAAPAPEQRKAVDSFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPSTTTNFPVAEYEKELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEISRYNVESIISSNLPIGSMSASGGRSSKALECTPSNSSDAMPVEASTTQQFAALPVKYDQQDYLSMLALQHHQQGNLQGLGFSLYSSGVNLDFANSHGTASSMANCYANGASHEQHQHHQQLQDHQQDQAQQSSNSCSSPPFAMPIAFSGSYESSMTPGPFGYSYPNVAAFQTPIYGME >KQL15871 pep chromosome:Setaria_italica_v2.0:III:24880724:24885537:1 gene:SETIT_023119mg transcript:KQL15871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADDWGHSSSSSSSAAAAAAAVRRLQARYDLYMGLNDADAGGDEAVDPRGGAELYNCPFCGEDFDFVSLCCHIDDEHAVEAKSGVCPICATRVGMDLIGHLTMQHGSYFKMQRRRRVRKISSGSHSLLSLLRKDWRDGSLQSFLGGSSYVSNPPAAAPDPFLSSLICSLPVAEPSKDLHSNSSDNNFLLNKFPDEKTVERAEPSLSEKDQKERAQRSKFVRGLVLSTIFDDDDL >KQL15870 pep chromosome:Setaria_italica_v2.0:III:24880954:24885032:1 gene:SETIT_023119mg transcript:KQL15870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADDWGHSSSSSSSAAAAAAAVRRLQARYDLYMGLNDADAGGDEAVDPRGGAELYNCPFCGEDFDFVSLCCHIDDEHAVEAKSGVCPICATRVGMDLIGHLTMQHGSYFKMQRRRRVRKISSGSHSLLSLLRKDWRDGSLQSFLGGSSYVSNPPAAAPDPFLSSLICSLPVAEPSKDLHSNSSDNNFLLNKFPDEKTVERYVTSSLFFLPPHSAPSLPRYHCI >KQL16674 pep chromosome:Setaria_italica_v2.0:III:43695995:43701622:1 gene:SETIT_024817mg transcript:KQL16674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADEMDVDLDGAAAADHIDSPFSASASASPASASGSLPAVLNELAALHRRASSSFATSPSLSLPSITFLSSAPAAVASLFPRLAAAGIPASSLLPPLEASLSAHPLPAAVAYLRLLLAPASPLLTLFSPLPFLSLLLAIRKAAASAAGAANPSSGSGGGNPRKRKNQRHQPPPTQRAGPSLLPRALSLLADAAGRLPLRDHADARRSLVDTAAELAAFDVLAAVLGSDYHAEAVQDVIRALAPVVLSATKSATRVAAVQFLVTKLVPLGAEEGEDVVRKAVGYLPRYLAVKAPDKSEARALAVEAIVEVVRALGAEERESFAGYVVSMSKGKAKGRLLAVDLVLAMLLVLLPSDGDDCDLEEGSWGLKCLRMLVERCSDSVGGVRARALTNAAQALDVLSERGVEVDRLQEVMRIGDMGLGELLRRRCTDDKAAVRKAALVLITKAIGLIGRPIDESLLCAMGSACSDPLVSIRKAALAAISEVFRKFPDEKVMKEWLQAVPPLVIDSETSIQEECENLFLELVLNRICQASNLNLDDDTISLEKAFPEGTLDLLENICDGEVAPCIKKICASLGKKKKLKPLLANSLQNIITISESLWLRNRMPIENWTAPIGSWWLLSEVSSFAPKSVNWKFLSHHWKLLDNVGQDDRGKACSQVEPNSALWAVNRVSLLQTISNVSMELPVEPAAELAHSLLTRIENFDMNLSEVDAHVKSLKTLCKRKAKTAKEGDTLILKWAQQLIRSAVDILEQYLKEISESARGHSFVTPMSSKRKGKKQASTSKSTSEAVIAVFTVGSLILACPTANVKDITPLLHTIITSGNSESRPNNLVGGTISFKELAPSLYIQSWDTLAKICLVDDKVAKRYIPIFVQELERSDMATLRNNIMVAMADFYVRYTALVDCYMSKITKSLRDPCEVVRRQTFILLSKLLQRDYVKWRGILFLRFLPCLVDESEKIRHLADYLFGNILKAKAPLLAYNSFIEAIYVLNDCTGHGAYSESQGSSDRRPALFAIRGTDERSRSKRMHIYASLLKQMAPEHLLATSAKLCAEILAAVCDGLLSVDDAGGRAVLQDALQILACKEMRIHPNICAENTEMDDEGGEGGGGTASALLAAKGRAVTQVAKKNLIQIAVPIFIELKRLLESKNSPLTGCLMECLRALLKDYKNEIEEILVADKQLQKELLYDMQKYEAGKGKGKAAADSEAGPSGTARSPARQTPAAAAAAVHASARAAVRSVLKEVNRNTPLHSMSVPKVKSILGTAGPGSRLPGVLESVRRLQPFESDDEN >KQL14195 pep chromosome:Setaria_italica_v2.0:III:9498561:9499061:1 gene:SETIT_023478mg transcript:KQL14195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRQQHAHGHGERPGHPPPDSPSSATLLRRVQTHAPNSTQVVGFLTLLVSGAVLLLLTGLTLTGAVVALVFLGPIALLTSPIWVPVAVAAAVLAAVALSACGFAVAALAAGTWMYRYFTGRHPVGADRMDYARSRIADTASHVKDYAREYGGYLHGRSKDAAPGA >KQL16777 pep chromosome:Setaria_italica_v2.0:III:45021159:45024051:-1 gene:SETIT_024403mg transcript:KQL16777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLFTPPPTAAAASTSTSRSGVLPPVSASASARAAPAAPPPKPALQGAAITPLLATVATGVLIRLGPAPSGVPRKAWQLLAIFLSTIVGIITQPLPLGAVALLGLGAAVLTRTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGSRVAYAFVAAFGSSSLGLGYSLVFAEALLAPAIPSVSARAGGIFLPLVKALCEACGSRAGDGTERRLGAWLMLTCFQTSVVSSAMFLTAMAANPLSANLTAATIGEGIGWTLWAKAAIVPGMLSLVLVPLILYVIYPPEVKSSPDAPRLAKERLAKMGPMSKEEKIMAGTLLLTVGLWIFGGMISVDAVSAAILGLGVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLIAWFSETVVKFVGGLGLSWQLSFSVLVLLYFYSHYFFASGAAHIGAMFAAFLSVAKALGTPSLFAAMVLSFLSNLMGGITHYGIGSAPVFYGAGYVPLAQWWGYGFVISVVNIIIWLGVGGFWWKMIGLW >KQL13229 pep chromosome:Setaria_italica_v2.0:III:3299921:3302728:1 gene:SETIT_022279mg transcript:KQL13229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAEVMHQAPVPVLEVQYHRCVTKGVDEVVGMSAAAAAVAAPAEVEVEVEVAVEVSRMGLEQPEAAPSVSVEMLQFVPNIRSGSFSDIGPRRYMEDEHIRIDDLSAHLGSLLVCPLPSAFYGVFDGHGGPDAAAYMKRHAMRFLFEDSEFPQASRVDEMYLQSVENSVRRAFLQADLALADDLDISRSSGTTALAALVFGRQLLVANAGDCRAVLCRKGIAMEMSRDHRANYIEECERVAASGGFIEDGYLNGVLSVTRALGDWDMKTPDASASPLIAEPEFRQATLTEEDEFLIMGCDGIWDVMTSQHAVSLVRRGLRQHDDPARCARELVMEAKRLETADNLTVIVVCFVSELGAQQQEQLARPRSCKSLSTEALCNLRSWLETDHR >KQL17153 pep chromosome:Setaria_italica_v2.0:III:48604006:48605995:-1 gene:SETIT_022887mg transcript:KQL17153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGGAMARLAVATRAFSASASAGAGGVAMVQGASRGIGLEFVRQLLRRSDQGRVVATCRAPGSAAELQKLKEEHAPGRLTVLPIDVTDEGTIEAAAASIGETHGSLDLLINSTGILSIPNVIQPETTLSKVQKSSLLLAYEVNAVGPILVIKHMWPLLKAGGRSETGRGFSLVANMSARVSSIGDNGLGGWHAYRASKTALNQLTKTVSVEFGRKDNIACILLHPGTVDTDLSRPFQKNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGEEIPW >KQL17152 pep chromosome:Setaria_italica_v2.0:III:48602676:48605995:-1 gene:SETIT_022887mg transcript:KQL17152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGGAMARLAVATRAFSASASAGAGGVAMVQGASRGIGLEFVRQLLRRSDQGRVVATCRAPGSAAELQKLKEEHAPGRLTVLPIDVTDEGTIEAAAASIGETHGSLDLLINSTGILSIPNVIQPETTLSKVQKSSLLLAYEVNAVGPILVIKHMWPLLKAGGRSETGRGFSLVANMSARVSSIGDNGLGGWHAYRASKTALNQLTKTVSVEFGRKDNIACILLHPGTVDTDLSRPFQKNVPKDKLFTREFSVQKLLSIIDNVKKSDNGKFFAWDGEEIPW >KQL13916 pep chromosome:Setaria_italica_v2.0:III:7717206:7718727:1 gene:SETIT_023369mg transcript:KQL13916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYTIQISTKLIDQLARDDEKVKRKARKPKPKKKATVERHEEPQDSAPKEFPSEPKTSSPAPAPGWPLQPPPMFLPVTPAPPPPPATMPEVEAIRSILKESEAVLEKLDKQEAGARQELSKRAKELHDKEFKLPYQNPMPCTEERAGCLECYKSNAKDPLKCAEAVRRFEACARMAVKNAPTKAD >KQL14251 pep chromosome:Setaria_italica_v2.0:III:9877919:9878046:-1 gene:SETIT_025658mg transcript:KQL14251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACIDLRFPLLKFESLQLIHGTVYMSNDYRIFL >KQL13767 pep chromosome:Setaria_italica_v2.0:III:6618204:6620964:-1 gene:SETIT_021836mg transcript:KQL13767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHGSSIIASFVSFLFLLVVSSSAVIPASAGAGGSSSNGTAVPFRSADELLRFQRIKAQLARTRDASVKTIQSPDGDVIDCVPTHLQPAFEHPKLRGHKPEVADDEQLGTDDLCLKCAMCKARRVLVCMALIGHRWLVGNLMQKEPAERPRSSGRADADGDQDDEEALPQAWRRSGESCPEGTIPVRRTTEADMLRASSVSRFGMKARGGGFTRRDSTAGGHEHAVGYVSGGQFYGAKASLNVWPAQVASPAEFSLSQIWVISGAFGNDLNTIEAGWQVSPQLYGDNNPRFFTYWTVSSLTSLELAATNFLRPYTGCSSMQLMQDDAYQETGCYNLHCSGFVQTSSRVAIGAAISPISSSGGRQFDITLLIWKDPRRGHWWLQLGSGALVGYWPSSLFTHLGTRAGMVQFGGEVVNARPAGAPHTATQMGSGRFPGEGYARAAYFRSVQVVDWDNSLVPAAGLRLLADRPGCYDIAGGSGGAWGTYFYYGGPGRNARCP >KQL15427 pep chromosome:Setaria_italica_v2.0:III:20052751:20053770:-1 gene:SETIT_023691mg transcript:KQL15427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSEAPPGNPKAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTAGYSYSAGNKNKAVVWEENTLYEYLLNPKKVLLLFSLVTEML >KQL15428 pep chromosome:Setaria_italica_v2.0:III:20051455:20053860:-1 gene:SETIT_023691mg transcript:KQL15428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSEAPPGNPKAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTAGYSYSAGNKNKAVVWEENTLYEYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKEATA >KQL16349 pep chromosome:Setaria_italica_v2.0:III:38009252:38009716:1 gene:SETIT_025413mg transcript:KQL16349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGEICIALISNYVMTLTFHSLSGSSLKLIDFPNSLI >KQL13524 pep chromosome:Setaria_italica_v2.0:III:4988161:4988824:-1 gene:SETIT_023603mg transcript:KQL13524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFIVLALLTLAASSASAQQPGYYGSLHPCGEFLRQRCPAVTGWPYAWSRTWQPSSCMAMHQQCCQQLRQVEPLHRCQEVCSLVETTVQQMMLQGSEYYELQHAALGAKNLPAMCGISLPSYCTTPCAIAGGGACC >KQL17154 pep chromosome:Setaria_italica_v2.0:III:48615561:48615977:-1 gene:SETIT_024324mg transcript:KQL17154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAHLGLKFISWCEEQCQIKRDHPTEKFCTFLEKSNCTVAKLREYSHNWADAMIGEYLMSLVSFDHSQMRSKLRDSGLTWPFHENSVHIYDNMPSLLQKILDWDKSKNCREQNISDFFSYVIVLGISTSIIRICLRSSR >KQL16476 pep chromosome:Setaria_italica_v2.0:III:40791665:40793099:-1 gene:SETIT_022523mg transcript:KQL16476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNIGGNKPRITAALMLLAVITMMGHIFVEVEARDMSAGGYSEEAMKARHHKWMAEHGRTYNDEAEKVHRFQVFKENAAFVDRSNAIGGKKYRLAVNKFADMTNDEFLAIYTGFKSVPTGAKKMPGFKYENFTLSDDQQAVDWRKKGAVTGVKNQGTCGCCWAFSAVAAVEGIHQITTGNLISLSEQQVLDCSTGNKGCNGGSMDSAFQYIINNGGLTTEGTYPYTAAQGMCQSVQPTVTISSYQDVPSNNEDALATAVANQPVSVAVDAHNFQFYNGGVITGDSCGTNLNHAVTAIGYGTTEDGSQYWLLKNQWGQNWGEGGYMRLERGTGACGVAQQASYPVASY >KQL14321 pep chromosome:Setaria_italica_v2.0:III:10525247:10526406:-1 gene:SETIT_025001mg transcript:KQL14321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHAHRIERARPTEEGGIVAAVSGGELGNVQPSQQIARGDTRDVGAALSADAIASSTTPRRCRRRPSPGPTEGQPSVTDHVTSHALHVPRAPSRSPPIPSVVTDRLIGCPGGRGVAGPPNHLQPRLTPRHATPRARRGWRERRGRRPPPAPVLPTAWALPHGMTPSPFPADLTALYISGPSCLCPSHAHTTQVAARIANRTKKKESEVVQARGRQGRRMYQAIPYSAASRPWPPRPRPAPMATAEAAAVVDAVAAAAEEERLQAAQQPAVAREEVRRAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVAGEAELAGIVAAGDVALPAVFVGGRLLGGLDRLMAVHISGELVPILKEAGALWL >KQL16158 pep chromosome:Setaria_italica_v2.0:III:32198082:32198875:-1 gene:SETIT_023003mg transcript:KQL16158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYGPYATQDYRPCAGGYHATQVLMAGGGAIATRIAGGAGGGKGDQRQGCNYGSQFRALHGGGRLSRPYGRGRSRDRAGPDLDQGGRGEGHTGRAQRDGNAGGAHGVGHGGSHSDKAPADDHNRQGKEKGESSESMIEKHKVPQPAGEPVSKKKKKFVLYCEICEEEHFTNQCPLLHGPKPAATYCGPAGDGLGFFHIPYTSAAKAPRKVSAPALIEIIEVDVPADLVKSVLARAIPIKWDWVAANKIQHLELEKAEIAV >KQL12911 pep chromosome:Setaria_italica_v2.0:III:1580072:1583179:1 gene:SETIT_024273mg transcript:KQL12911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVKAAQLSGRTLERVVVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSSRGSVDVTNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLDVHALFNSYVPNPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTSKLAALKGLDARLREIRSYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNMLNKEHEKAEDSKPTAIPTAAGS >KQL13895 pep chromosome:Setaria_italica_v2.0:III:7557644:7560196:-1 gene:SETIT_025088mg transcript:KQL13895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLNQWHAHPDPEDELAYMYQRQEEYAMMQGMQQQYTLPPAMAPPPIAGPSSSRPPHPRHSSTSFRGGFGVPPALPSLPFGEVAVKNDPGQPSSSSHRILSFGGQLPGTIDISGGDWPDGIEAALQLPAPERRSRAHFWNTQKQHVVAERKRREKMQQQFVALATIVPDLTKPTVGRGHAAPARRRAWVAWPLAARCGRIIWSSEEPGAGGRETKASPDRDNPSTIEYVKQLEEKVKTLKGRSARRRMSKPTVFESKYRISTDGSDTSGSSESAFSAGGFSPTVEARIHGDTVLLRIWCKDRKGVLVMLISELEKQGLSIINTSVLPFTDSCLNITITAKASILSPD >KQL14655 pep chromosome:Setaria_italica_v2.0:III:12711972:12715155:-1 gene:SETIT_022761mg transcript:KQL14655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRRRQPRRQETGAAERYREMGIAAALSRPWDYPTACGELAALLRLGYVDLPKAAQALVASDVLLAFRLLPDVQTGYALSAANALLQAVEVALPKQKKAQAVSEFKHSVIAHKRRARVQQNSGLPHIPHDVLVHIFSFLDMHSLVAAGLVCWYWNSAANDNDLWKMNYSVFFGICHLSCNSIPVSGVQNSRDLVQSSMDLVSIGPSFRWKESFHSKYTECASWKFASNRALCGHCRSVIWLSNLTCTSPHHCPKNGRDEIKLQPLLPDTVAKYILHNDDLAASSSESDDTDDSDYENGHPRFWTF >KQL14656 pep chromosome:Setaria_italica_v2.0:III:12711795:12715288:-1 gene:SETIT_022761mg transcript:KQL14656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRRRQPRRQETGAAERYREMGIAAALSRPWDYPTACGELAALLRLGYVDLPKAAQALVASDVLLAFRLLPDVQTGYALSAANALLQAVEVALPKQKKAQAVSEFKHSVIAHKRRARVQQNSGLPHIPHDVLVHIFSFLDMHSLVAAGLVCCIPVSGVQNSRDLVQSSMDLVSIGPSFRWKESFHSKYTECASWKFASNRALCGHCRSVIWLSNLTCTSPHHCPKNGRDEIKLQPLLPDTVAKYILHNDDLAASSSESDDTDDSDYENGHPRFWTF >KQL14942 pep chromosome:Setaria_italica_v2.0:III:14994979:14996237:-1 gene:SETIT_024165mg transcript:KQL14942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGASWLVGALEAPGSAGKLGAELDGGTGASGVGELDGGADESRAKLDGGAGASGAGRRSTTPRSSRGRGRARRRQGEIYINDIQERILSELGGFTNLIGLDLHSNRISEPIPLALGNIESLKFLDFFHDAMRLLFLPAACCRDLSSNDLCGTIPTSGAFKDVPSSSFANSPWLHQGGKYEANC >KQL15073 pep chromosome:Setaria_italica_v2.0:III:16328456:16329091:-1 gene:SETIT_023833mg transcript:KQL15073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGKAMASGLLLCLLLVHSGMVVLGSDDCWVNNNADYPICFHQPKCRGHCQDKGKVDGRCNSNFPNLVPICECLLPNCNH >KQL17204 pep chromosome:Setaria_italica_v2.0:III:48933099:48933624:1 gene:SETIT_025743mg transcript:KQL17204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDKIVGEGGADKDRRRRRPRGTKKAMKKVRFADDVVEPSSNNEEYRRRVWSSSSTTISGGAVAAAGGSVDEELLSLSRGPPPRTGDALLALPAPTHAMRRRTVRLPEPDACRQA >KQL14507 pep chromosome:Setaria_italica_v2.0:III:11648193:11649252:1 gene:SETIT_022741mg transcript:KQL14507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAADDLIFCPDCHRRTEVVLDHATGDTICTECALVLDAHYVDEGSEWRNFADDGGGEDRDPSRVGGASDPFLNNAPLDTRIVFNGPQKTQADGGHALPRMRINTGPDPEQSLVEAFRAITDMADRLGLVATIRDRAKDVYKKMDEAKACPRGKKRDQFYAACLFVACRNEGKPRTYKELATATSGGATAKKEVGRMTTLIKKVLGEEAGQVMDIGVVHAADYMRRFCSRLGMGNQEMRAAQEAARRLDDTLDVRRNPESIAAAISYMVVQRAGASKTVKDVSMATGVAEATIKEAHKDLTPHVELLFA >KQL15024 pep chromosome:Setaria_italica_v2.0:III:15735541:15736016:1 gene:SETIT_025765mg transcript:KQL15024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPAAVWSVVALVVTSSLRRSRCPAPAKQVGPASRSLVRGDLPRSPRVAC >KQL13011 pep chromosome:Setaria_italica_v2.0:III:2089522:2092660:1 gene:SETIT_023086mg transcript:KQL13011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASASVLSLPTAAALPATATAVAGAAGCFALGYLLALTRFPRHAAAPGPGGLSDDDSEDDSEEDDDDNSGRSRAAKRAGGQKRTGLRLLFWARNVVTKSDSAREVERAQAQAAASPLEIENLAEIIEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLFKKLQQRAPKSLRRWERCGQVKVVVKIESEEDMLVLQGRAKSLNLPTHITIDAGRTQIAPNSRTVMAILGPADMVDDVTGGLKLL >KQL15205 pep chromosome:Setaria_italica_v2.0:III:17800119:17802320:1 gene:SETIT_0226292mg transcript:KQL15205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESFVLNTGARIPSVGLGTWQIEHEAVCSAIYAAVKAGYRHINTAVAYRNQKEVGVALKKLFEDGVVKREDLFITSKLWPGNHAPEDVQEDICSALEDLQLNYVDLYL >KQL15204 pep chromosome:Setaria_italica_v2.0:III:17800269:17802320:1 gene:SETIT_0226292mg transcript:KQL15204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESFVLNTGARIPSVGLGTWQIEHEAVCSAIYAAVKAGYRHINTAVAYRNQKEVGVALKKLFEDGVVKREDLFITSKLWPGNHAPEDVQEDICSALEDLQLNYVDLYL >KQL15369 pep chromosome:Setaria_italica_v2.0:III:19343963:19344985:1 gene:SETIT_024143mg transcript:KQL15369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAEVVAGIVVLWLAVCTAAAAGSGRWRSEEGWGVQGEVTYDHRALVLNGTRRMLFSGEMHYPRSTPENERAPGARDRGRARARACVVNTIGSPTRPALALGLAAGALRMTRRRGARHGACAPPPGLSWQTGRGCASRPLLVPRLPRARTRRWPRRPLAPRCPLPSSEKARLSWLAAALSSCPGLLPSAHRRWRINHCARP >KQL13573 pep chromosome:Setaria_italica_v2.0:III:5305257:5307157:-1 gene:SETIT_023406mg transcript:KQL13573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQSMLTKQETINDDDTSLKDMLSGLHGMDKIFIALDRTMDELSSRQKGERVLDERLSIERSKVQSLEQVIDQLRSQVALLQSKLHHGDNSSSSTKVPYAIDSLVVDTEAKPNLNETEDLLWAVEELKGQTGGAKKQPVFIEICDDEDTCMWCDDDGEKPSLISNDSGSGEPGISSYL >KQL15462 pep chromosome:Setaria_italica_v2.0:III:20432031:20433039:1 gene:SETIT_024114mg transcript:KQL15462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSASSVPPASTGSVVTVVSPSTGGGSISGSLGAGAGSPCAACKFLRRKCQPDCVFAPYFPPENPQKFVHVHRVFGASNVTKILNELHPCQREDAVNSLAYEADMRLRDPVYGCVGVISILQHRLRQVQQELARASYELSKYQAAAEAAAAAAASVAVGSNGAAAAGMADFVGNPVPNCTQNFINVGHPTAAAAIGGAGFVQHDHFASVQMLARSYDGEAATARLGMNGGGGGYGFAYSSAMGAGHGVVSGVGQIGGGPFLKSGTAGGDEQPAAAQ >KQL12892 pep chromosome:Setaria_italica_v2.0:III:1487007:1490147:-1 gene:SETIT_024189mg transcript:KQL12892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGGRGLGVWRQAMAVRGRCLMRGRRLRRRRRNWWAGATQAPRRSSRGTRWQPQQERASVPYLLHPLPAPRRYLLAASHSPRLASGGGSPRLLQKVTFFSTQNLKLFLLHKCTTSLCCIFFHLSIDPRRNLPTVVKPKDPYTAESVSSPREKALIREAARSVVSVSAIAHDGKFINQCTGICIGWKETKKCARILTSSGVVCTLDPKFKSDLPLQTPSFGSNPNYGQEVFMLGRGEESNLGGTGGPVIDNDGNVAGMAFDNGGPNLSILSISTILTYIEMWMKFSCIARPVHGLSLRTVELLDVSLQEVISLDHNINNGYIVDRVDIGSTAEKLGIRYGDVIVSFDGLRVQTLPQLEDYLLSLGWGFLQGNTDSSSTVDLKLEVYDLLERGTRSIALPVELCGASA >KQL14733 pep chromosome:Setaria_italica_v2.0:III:13274338:13275557:-1 gene:SETIT_025572mg transcript:KQL14733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTLTGFGSAPPPLNLKDYMIGDILKWVVDGIQSLGVNGRAFGDLGAAVSARTLAHAICSLMTTPIDGSELVISKSDLHRLHDRDYAWPNNVSADKIFLPFLRTS >KQL12626 pep chromosome:Setaria_italica_v2.0:III:97774:98643:-1 gene:SETIT_023583mg transcript:KQL12626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSPFVVSPPPLRVSPSPQQPQGCRPVGLLRIEAAKQLTGRVVTTKADKTVGVEVVRLAPHPKYKRRERIKKKYQAHDPENQFKVGDVVELRSSRPISKTKHFVAIPLPPRDTRRKSQLLPPLQSQADGDQPPPSTAD >KQL16652 pep chromosome:Setaria_italica_v2.0:III:43366075:43370644:-1 gene:SETIT_021404mg transcript:KQL16652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREGQRLYCAAAAGAGGVGIGVLSMDLLGQVLDRLREPRDRKACRLVSRAFERAEAAHRRALRVLRWEPLPRLLRAFPALERLDLSACASLDDASLAAAVAGAGGGLAGLRRVCLARASGVGWRGLEALVAACPRLEAVDLSHCVGAGDREAAAVAAATGLKELRLDKCLAVTDMGLAKVAVGCPRLEKLSVKWCREISDIGIDLLAKKCPELRSLDISYLQVGNGSLRSISTLEKLEELAMVGCSCIDDEGLELLSKGSDLLQSVDVSRCDHVTYQGLASLIDGRKFLQKLHAADCLHEIGQHFLSKLATLKETLTVLKLDGLEVSDSLLQAIGEGCNKLFEIGLSKCSGVTDEGISSLVARCSDLRAIDLTCCNFITNNALDSIADNCKMLERLLLESCSLINEKGLERIATCCSNLKEIDLTDCGVNDAALQHLGKCSELQILKLGLCSSISDKGIAFISSNCGKLVELDLYRCNSITDDGLAALANGCKKIKLLNLCYCNKITDSGLGHIGSMEELTNLELRCLVRITGVGISSIAIGCKSLIELDLKRCYSVDDACLGALARNAFNLRQLTISYCQVTGLGLCHLLSSLRCLQDIKMVHLSWVSIEGFEMALRAACTRLKKLKMLTGLKTVLSPELLQMLQAYGCRIRWVNKPLVYKDC >KQL14552 pep chromosome:Setaria_italica_v2.0:III:11971578:11976969:1 gene:SETIT_021450mg transcript:KQL14552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTSRCTAPYLGLSKPSGGPIDLSNVPPPPPPPPPPARPEEQLESAHEPPRTYTSATMYRAATSAISRSSSALRKHLARGGGGEPQRLWARGYAAKEVAFGVGARAAMLQGVNDLADAVKVTMGPKGRTVIIEGSYKGPKITKDGVTVAKSVEFEDSAKNVGANLVKQVAEATNKTAGDGTTCATVLTQAILTEGCKAVAAGVNVMDLRNGINKAINSITSHLKSKAWKINSPEEINQVATISANGEKEIGDLISKAMEKVGKDGVITIVDGKTLDNELEAVQGMKLSRGYISPYFVTDEKTQKCEMENPLILIHDKKISSMNSLLPVLEISIKNRRPLLIVAEDVEGEALSMLVLNKHRAGLKVCAVKAPGFGDNRRHNLDDMAVMTGGEVVSEERGLDLGKIQQQMLGTAKKVTVSLDDTIILDGGGDKKQIEERCQQLRESFETSTAMFEKEKAQERLSKLSGGVAVLKIGGASEAEVGEKKDRVTDALNAAKAAVEEGIVPGGGVALLYATKELDKISTANEDEKIGVQIIKNALKAPLMTIAANAGIDGAIVIGKLSEQDDLSLGYDASRGEYVDMIKAGIIDPVKVIRTALQDAASVSLLMTTTEAAVSELPATKARIASRMPQMGGMDF >KQL12618 pep chromosome:Setaria_italica_v2.0:III:62455:66376:-1 gene:SETIT_021320mg transcript:KQL12618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGHTRLDARRPPQSSYCSCSTATIVVALCLVAVWMASTMLVTPAEFPPFQPKVRPLAPHDSPPATGGLTNAGEDDATGEMERDVPLDPVPAEGTTTTDDDPPPVTEQVPPVTKDAATESSTDGPQQNVREQVKQPDEQSASKLKEKLNENKQAPKEDKPTEVFPDGSQAELLNETTTERGPWPTQAAQSNKDTKEQTATSSTPMSFSWKLCNVDARADYIPCLDNVQAINKLRSTKHYEHRERHCPEKPPTCLVPLPEGYSNPIRWPKSRDQIWYNNVPHTKLIEYKGHQNWVKVSGEHLIFPGGGTQFKHGALHYIDFIQEAKKDIAWGKRTRVVLDVGCGVASFGGYLFDRDVITMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSRVFDVVHCARCRVPWHIEGGKLLLELDRLLRPGGYFVWSATPVYQKLPEDVEIWEAMSALTRSMCWKMVNKVKDRVNRVGIAIFRKPTDNSCYEERSEANSPICGEYDDPDAAWNVSLRTCMHKLPVDLTIRGSKWPELWPLRLEKPPYWLKSSEAGVYGKPAPEDFQVDYEHWKRVVSNSYMNGLGVDWSAVRNVMDMKAVYGGFAAALHDLKVWVMNVIPIDSPDTLPIIYERGLFGLYHDWCESFSTYPRTYDLLHANHLFSKVKKRCELLPVVVEVDRVLRPEGRLIVRDNIETISEVENIVKSLHWEVRMSYSQDKEGLLFVQKTSWRPNEVEAKL >KQL15340 pep chromosome:Setaria_italica_v2.0:III:19082916:19084412:-1 gene:SETIT_024954mg transcript:KQL15340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKMDKTTIIVCAVVGSLGVLSAILGFSAEGTKLTPYTIFVYGDDCIYPQNPAIGLGICAVIFLLAAQVTISAVGGCCGCCKSRSIPSGTKRIVGIVCAVVSWIAAVIAWALLIQGASWNANVVRVAAAPYCPYLKDGIFAGAGVLSLAATALGITSFIMLRRPQPVEAAATPAASVGGTPSRPGQQSPLNEVVMGRPLLPPPSKVPPTASHPQGNGVNGQQAPQDLQAPPLPPPAAQGNGPQAANQQFLPQGLPAAAVLAAAASCPPQEPGEQPPRPLGVAMGGQTQVQLPQVPVPNDSLQYAMPVPVPQLCAEIPVTPLAAPGPSQDNGLSTAIRNELARATIRFAGKAVEHAVFSNNAGDILSMVTDPTGVDVGATDNGVSAA >KQL15573 pep chromosome:Setaria_italica_v2.0:III:21393514:21394091:-1 gene:SETIT_023908mg transcript:KQL15573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTCREFNCTKAHRTRHACGSLIHFTQQTSPAPNIKEVQLHMQWQVHNIVERNFRTTTHFYCLNLIFL >KQL13558 pep chromosome:Setaria_italica_v2.0:III:5233691:5234109:1 gene:SETIT_025794mg transcript:KQL13558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLCGFLSIDTAGLNSYVYPGSQDDLIA >KQL13712 pep chromosome:Setaria_italica_v2.0:III:6248457:6250134:1 gene:SETIT_024608mg transcript:KQL13712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHYPKYLLYCLLIAGSWLLSCLLHFQYLHVALSSSSFAAPRRAALVVLPAALDASFLPAPAVEADDKRWSTSSVVASSPSPSSSPPPPPSCEGRYVYMLDVPSRFDMLRDCVPGSPLFDDMWSWCAITVNAGLGPKIAGNGSDGDTDIIPSTGWYSTDQYALEVMFHNWMRRYECLTGDPSAATAVYVPYYPALELHQHLCGYNTTARDGPSEAFLRWLSSQPTWAALGGRDHFMVAAKTTWMFRREPGGGDGGCGNNFLGQPESGNMTVLTYESNIWAPRDIAVPYPSYFHPTSAGEVAAWQARARAAPRPFLFAFAGARRIKGQLAIRDRVFDVCESAAARGRCGLVDCSHGLEGSITCRTAAKLVALFASARFCLQPRGDSFMRRSSIDSVMAGCIPVFFHRASTLEAQYRWHEPEPGRDGDGGRRRYYVLLNADDVLEGRVDIEEELSRYSDEEVAEMREEVIRMIPRFLYRDTRVRFEGEMRDAFDITMDGVMDRMRRIKNGENVVLKGYDDSDEAMAASDS >KQL14348 pep chromosome:Setaria_italica_v2.0:III:10712958:10716794:-1 gene:SETIT_022503mg transcript:KQL14348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLVSRGVQVRREGVAAAEEEDRWARLLPELVAEVVRRVEASGGERWPARKDVVSCASVCRRWRDVAVTVLRPLPESGKITFPASLKQPGPKDFPIQCFINRNKKNSTFYLYLGFTNNLTSTTGKGKFLMAAKRVRRGAHTEYIISLDADDLSQGNSAYVGKLRSDFWGTNFKIYDSQPPYDGAKASSTRSIRCFGSRRISPQVLSGNFDVGQVSYKYNLLKSRGPRRMCCTMECPSVQETWENSLEVKSLRRTGTTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATADPTHPDSAGDDETVLLQFGKVDTDIFTMDYWRPLSAFQAFAICLSSFGTKLACE >KQL14470 pep chromosome:Setaria_italica_v2.0:III:11433091:11433438:-1 gene:SETIT_025051mg transcript:KQL14470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSHHPKFKILPDLVAHTTLAMKPAQLLFAALAVLLLCAAAAPRGAEAATCDATQLTPCAGAIIGNSPPTAACCSKMREQQPCMCTYARDPNLQRYVSSPNGKKAMAACKVPVPSC >KQL13287 pep chromosome:Setaria_italica_v2.0:III:3631959:3635794:-1 gene:SETIT_022165mg transcript:KQL13287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALTAAPPLPLSAHRIRPPRVKMSSSSSILPGRRTFGSMRIPSRLTEGENLGGSSRRRGGGYIGESPSPIGSDSPILPAVSRVLTESVSVQWHGLYDSAKIYGAKLGVMMDGAKPPLRRHPRQQLRMDLGARVAGGRDVYSARGVFMRIFRKKAPHISEAVHGSYPYGVGDINTAAAATLTPTNRAGAAKTAAMAAAAAVESVVVVHNVAKRHNVGTLARSATAFGVAEVVVVGRRDVSAFGSHGATSHLRFRHFASLALARAYLKDERGCDICGVEITDDAQPVTAHPFRRSTAFLFGNEGTGLSQKECEICDFFVYIPQYGGGTASLNVTVAASIVFHHFAVWAGFPERGREGNKFIVADRPQGHSRGLYCTDSIEALIEERKMRKENACDILEENGSSHPQESNGLDLMFTE >KQL14881 pep chromosome:Setaria_italica_v2.0:III:14570009:14571169:1 gene:SETIT_024479mg transcript:KQL14881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARYGRRGAVAGVTGRQDEASVRALGLWLAAAAATRLLGATTEQSNQAAARPTSDGRRVVRVCVREMQMEWDMGGGGGGHGGGAVGDGGARAGGLVVGGVVVGGGDQHHHHHLQHHQQQQRVEAHYRGVRKRPWGRYAAEIRDPWRKTRVWLGTYDSPVEAAMAYDRAAVALRGSKARLNFGGDGGAGGRGRDPPVQLLRMLQPPGCHQLGGLGMGRQHPGHVIYSLPSWLHHEAAVASPVGSLLGAADVAASQPSTALELRTGPKALPFDLNEPPPSLLFGS >KQL16487 pep chromosome:Setaria_italica_v2.0:III:40937478:40940608:1 gene:SETIT_025256mg transcript:KQL16487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDEEMGHGDRSLLFIGDEDDDLGADRDGGSPPTSSDEGSFSERSDDDARTPRGGRGRGGDERGDAPDDGQKGTWPQSYRQSIDMLSAVPSPTVSTLMAASPSLTKFGSSFIKAGSSFFLKKGEGSLLPLTRPLLPTSLSQLSQSSLHRPPVKQSTDNLGLPPRPPAAHEAGLPERPSRACLKSDYIELPPPASKCSSGQSIINGFNVLCGVGILTTAYGIKEGGWLSLLLLPLLGGSSCYTGLLLKRCIDSSPNIETYPDIGQVAFGIFGRIFVSVVLYMELYASCVEYITLLGDSLSSVFPSAHLAFTGVDLNAHNLFAITMALAILPSVWLRNLSLLSYLSAGGVIATITVIVCLFWVGIGEGIGFHPSGAIVNVTHLPVALGLYGYCYSGHSVFPNIYSSMKDRSQFPFVLLFCFTVVTLVYAGVAVSGFLMFGESTMSQFTLNLPQQYIPSKIAIWMTIVNPYTKYALTMTPVALSIEEALPQKMQSYLVGMSVRTCLVLSTVAVALLFPYFALVMALLGSVFTMLVALILPCACYLSIKKGAVPLWEIILCIIIIMIGVVCACVGSYTSINQMISSR >KQL13665 pep chromosome:Setaria_italica_v2.0:III:5882432:5886829:-1 gene:SETIT_022931mg transcript:KQL13665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCAGVPPSLLLVALLSACSLLLVAGRNQDQEFHGAARSRILLQDPHKHEVHCSRERSRAAWEAIDEYLMPFVEKEKYELPSKCRLRPDNDMFREQEQHKIHFDINEWRCGFCKKAFRAEKFLDQHFENRHKNLLDNSEGRCLADLCGALHCDMMMEFKKPKSKCNAAAALRNRHLCESLADSCFPINQGLAASRLHEFFLRQFCDAHTCNRGTKHFPKGGRKQTNRFYLALCVLTLILLPLFYLIVFLHQREMKKGAQDLRRFSKIGQKKKPS >KQL15458 pep chromosome:Setaria_italica_v2.0:III:20386319:20386784:-1 gene:SETIT_025774mg transcript:KQL15458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSISSSNSGIQSNQVQSSQYLAYVHLCSRVRCRSRTGLGRRETLGSLNRRGGGPRHAAT >KQL15945 pep chromosome:Setaria_italica_v2.0:III:25891595:25892809:1 gene:SETIT_023118mg transcript:KQL15945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPRGTGRTSQGRRRIEIAYIEDPARRMVTFSKRKSGLLKKASELSLLCGARVAAIVFSQAGKPFAFGSPSVDHVLRLCAPLPAGDKDEDSLGIGFTGDAIGGGDREVVEATARRKEAATARVAEEAARMSYIGKKVLRAAAGRFWWEADVEALGAEELREFARALRRLRDNLLAAAAHRHLAVPGRPHSSTGHHCDLVLLAVIAEINNKLRLCVRGSGGGRREGMQPSVPARAG >KQL16370 pep chromosome:Setaria_italica_v2.0:III:38574165:38577452:1 gene:SETIT_021081mg transcript:KQL16370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHAMCVIFSSHMAFLILLSGAWKAAAAPTVNQTTYTADRKALLCIKSHLLTFKPASALTTWSNSSLDFCRWHGVWCSWRNGPTPRVVALRLEEEGLTGKIPACISNLTYLKHIHLPVNELSGPVPPELGNLGRLRYVNLSFNALSGVIPAELASCSGLRIIALKKNNLDGGIPTFLVNSSLIQKIDLRMNNLSGPIPPLLTTSYTSLKFLALTRNSLSGVIPSSLGNLSSLAVLIAAENQLTGGIPASLARLSNIQLLDLTYNNLSVISENNFQGEIPESLANATNLEIIHLAQNSFSGVIPSLGSLPNLHRLVLYQNRWLEAGDWMFLSSLTNCTQLAMLILDGNNLQGDLPSLVTDLSRSLEYLVLGSNHITGTIPTGIGNLVSLSMLYLDDNKITGPIPASIGNLHNLYTLDLSKNRFYGKIPTSFGNLGQLSELYLQENNLSGGIPAELAGCKNLLALNLSSNILSGPIPDGLFGKLNQLSWWLDLSHNQLTDSIPDDVGSFINLKSLNISNNNISGQIPSTLGSCELLQSLRLGGNFLEGQIPISLATLRGIEEVDFSQNDLSGDIPEFFELFNSLEYLNLSFNNLDGPIPTGGVFANATCRLFLQGNPSLCTTTHLLDFPLCANEHSERKTRTEVHVLAIVIPCGAISLLFVLFLKKRTSKDSRLVHESSKKLKIRSYYNLSKMTNEFSSANLIGSGQSSVVYKGSLPEEGGQMFAIKVFKLGQSGASKSFLAECRALRNIRHRNIVKVITACSTYDPLGNEFKALVLEYMSNGTLADHLHTKSPRYGCLSLGARIGIAVDVASVLEYLHIWCVPPMVHCDLKPSNILFDDDHLAHVGDFGLARFVLDFSSYGGYQNSTSLIGPRGSVGYIPPEYGMGSRISTEGDIYSYGIVLLEMLTGKHPTDELFDDGFTLHKYVEEALPHIAKILDPVLSKEIGMDHSSHTQSQEQGNITEVQKCILQLLNLGLICSEEAPKTRPNIQDVYSEVVEVKEHFLSCSMNET >KQL16724 pep chromosome:Setaria_italica_v2.0:III:44457569:44457844:1 gene:SETIT_024501mg transcript:KQL16724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEHCKLIWTHNIIQTLGIEIKCPLRKFSEKESIRIKKSHQIHNNIYRENSTNFDHLWNL >KQL16345 pep chromosome:Setaria_italica_v2.0:III:37999881:38005134:1 gene:SETIT_025161mg transcript:KQL16345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRRLSAKTTRLSATLQPSSDLEPGASFSSGAHYKPPAAGRLTMILNAPLHLRRPCSPAAHHPKTSASSPTLIPLPCGLLARRACACAHSPLRHVASPAEEEGAGEGEGGEEEEDLGPASAAAVAAAIRRASNASPVRFRRVRLGETGEAGPHREDGDLAEPSADFRRLCAEQLEMFRVVVSRDAVLSVYVRPAGSYIMDQLELRRVALYPGINNVPERDTVVLVGNFSISAGLRAAEAFLVKQQMEVVTEFGAIVLPMVKHPFVVGFLVAELPELHGGRAINFHTADIQLPSSTSMDKSSEITPHTKFKAWDVQTSGDQANNYSQLVNEWKNTALMISRTLAMAYVMDQKAYLVQQTSWQNNVRMSGLVEQIRGPLSNIQTLAKMLSVHMKRTEIPYDIIEDILIQGDHLKDALQQIQEAVYLTKANIVRSSEETLKKIQGSPHPSRALSDYGSVHGNDSQNVDPVLALNSDKDDM >KQL14752 pep chromosome:Setaria_italica_v2.0:III:13662729:13668954:-1 gene:SETIT_021669mg transcript:KQL14752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGVVVGSGGLGGRGARAGTSRSGRWLVCRAWGADEGERGGPRRGDLSIQLQSPFFSSAGRALFDAAVLGSLTPKLSSPRRLVATAVRWLDWGVVSSPHYKGHRRGVMYSFFFLDSRLVFSVNSFSSFLPCSLARFSSLLRSPLYSSPAPLAPIDLLPHLVSKLLDASGGRKSAPGRPTMVSWRRSASWLSSASRSSLGGAVGGEAKVTPEVGPAAQEEEEVDEERWSRLLPELLTEIVRRVDAGAERWPLRRDVVVCACVCRRWRDAAFSVVRPPLEGGRITFPSSLKQPGPRDAPMHCFIRRDKKNSTFSLYLSLTQALTDKGKFLLAARRFRQGAHTEYIISYDYDDLHPGSTSYVGKLRSDFLGTKFIIYDSQAPYNGAKPSRSRSSRRFTSKQISPQVSGGNYEVGQVTYKFNFLKSRGPRRMQCSIQCPVGQDTASDPSKEKTPAPCSLDLKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATAGSGGPWGVGDEETVILQFGKIEDDAFTMDYRQPLSAFQAFAICLTSFGTKLACE >KQL13205 pep chromosome:Setaria_italica_v2.0:III:3180564:3183107:1 gene:SETIT_025202mg transcript:KQL13205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKWKGPAIGIDLGTTSSCVGVWRNGRVEIIPNDQGNRTTPSYVAFTATEWFNGDAAKKQVAMNPVNNVFDMKRLIGRRFSDPSVQSDMKLWPFKVVAGAGDKPMVMVNYRGEEEQFSAEITSMVLTEMKEIAEAHIGSTVKNAVVTVPACFNDSQRQATRDAGAISGLNVMRVINEPTAAAIAYALRQESQQHWREERAHLRPWRPPDCHLGGEDFDNRMVNHFVQEFKRRQRMDISSDLRALRRLRIACELAKRALSSIAQTTIEIDSLYEGMDLYTTVTRARFNKLNMDLFAKCMELVEKCLHDAKMHKSSVHDVVQVGGSTRIPKVQELLQDLFNGKELHCSINPDESAAYGATVLAASLSGEDNVNVQVHDITVSRSGDRWWHDVYEGEGARTEDNSLLGKFELSGIPLVARGVPQITVCFEIDVDGILNVSVVDKVTGQSTITVTTDKGQLSKEEIEKMMQDVEEYKVHKRKMDARNALEDYVESMHIIASKLSADDKKCVEDAINWLDSNELADTDEIKGKMKDLKGICDPIL >KQL14241 pep chromosome:Setaria_italica_v2.0:III:9837663:9838970:1 gene:SETIT_023326mg transcript:KQL14241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLWNASSGQGFTQFTEHRKRAWSVSFSEVDPTKLASGSDDCCVKVWSINQKNCIDTIRNVANVCCVQFSPYSSHMLAFGSADYKIYCYDLRNTRIPWCTISRHGKAVSYVRFLDPETLISASMDNALKIWDLNRTNCSGLSTDSCSLTLNGHTNEKNFVGLSVHDGYITCGSETNEVSVLCNNVMHTFSLAF >KQL14540 pep chromosome:Setaria_italica_v2.0:III:11884060:11889831:-1 gene:SETIT_023147mg transcript:KQL14540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEGRNHYHTRGSSRGGGGGTAAERDLLLQWGNRKRLRCVKVQRRDVEAAATAAAEKAAIGQRRAAAAAAAAAQHHPTGHTHHRVLRNSEEFATMKSPAHQQQNNGIHTVASPDRERPGRGNNNGVPQTFPDDKKGSSSGSEGSIWPKFAITLSNREKEEDFLVFKGSKLPQRPKKRAKVIQRTVNFVCPGTWLCDLTLERYEVREKKVSKKRPRGLKAMHDMDSDSE >KQL15677 pep chromosome:Setaria_italica_v2.0:III:22432892:22438692:-1 gene:SETIT_025044mg transcript:KQL15677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPPRRLRNLNLEEEEDAEPIENGQDNLAENDNGHDNLVENKNDDDLQDPPDIDGLLAHLPYHHPQTPIKIIWPNGEVRQLLGGIRVSNIEDLDGGKVIVGTNENGVPNKRSTSILGQHLGQIAEKPSLAPLHIQRWDNALFNTHKQQIIKDVEEGWLELWEVAHKKKNGRYTTHKVDAIIELEKMQQNNNGNLSTQDFNSVFNEIVAKELKACGCYDNKYYSEVRVSQGLTFVTQFEKERRYEEKVNEIENKMQHIGGFMKHWLGFMLKKFPEEDFIKEMVADLHDDESRYPVYLLSLRNKGRIVSYVKLVTTVAKRDIGGSVLRKEYVGVYVEGLENVNSRNKGDELIPRPIFDIRTLIDAIGYIVAGPRSHDAVWRPTACGEGQAKGSCRWTKSGEG >KQL15230 pep chromosome:Setaria_italica_v2.0:III:17979908:17983289:1 gene:SETIT_025366mg transcript:KQL15230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAAASAATGALQPVVEKLTALLGDEHERFKPTRGEIELLAQELDAIKAFLIEKSEVEDPGEEDRLWMKDVRELSYDIEDSLDEFMLHAGDKSAKPDGFMEKIRSLLENTKSCHRIAKEIEDLKKKAIDAAERNQRYSAGDQPVASAYIASVDPRALAMFEDVTKLIGIDGPNGELIRLLEKDETGDGSAQQQTRVISIVGSGGTGKTTLAHQVYQKLEGRYNHRAFLSVSRNPDIKGILRTILYEVVRQKDFEAVIKGDYVSRVDGEDQLVTKIREYLTGKRYFILLDDIWDVQTWNSIKDIFPMTSCGSKIITTTCTNDVAQECCRSSTNGHIYNITPLSMADSTQLFYRRLFNPEEKCPSHLEEISGQILEKCAGLPLAITAISGLLSSKKKTKEKWDRVKKLIGCGLERNSSDEVMSKILSLSYFDLPLHLKACLLYLSIFPKYYTIGKQNLIRRWIGEGFIHEQNGISAAYDLGERCFNDLINRSLIQPAGRDKFFEVNSCRVHDTVFDFIVSKAIEENFVTLIGEPGVNPIAGNKVRRLSIQNDGEIPSELVLSSVRSLNVFGGNMEIPSLLEFRLLHVLAFEDCKQLKDDHLADVGNLLHLRHLRLNHANAVTKLPEATAELKHLGTLEVHGHDTLMEIPAAICQVGRLECLVTLVVTDDYAVLPDKIVDMKALRVLEGVSVYRQSINFIRRLGELTDLRKLGMIFVNSYADEEWEEKYEEIVSSIYKLTKANLDSLHIYTLNEPPELLDNLSKEHPDPLGLRELVIEGDAVSGLAAWWGLLVNLQKLLFCADESVSEEDVETLRSLP >KQL15072 pep chromosome:Setaria_italica_v2.0:III:16324565:16325804:1 gene:SETIT_023005mg transcript:KQL15072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSSWVKTRSSDSAAASTTSTALVASPRLSFPSPSLKDLRTLLAPDSPAASPCSSASSPSPRVFHRIRVAASALRVLRTLQQSPTAPANGCAGEHHPAAPGGGGGGRVVLYFTSLRVVRGTYEDCRAVRAILRGLRAAVDERDLSMDPAFLPELAALLPHPQRRRVTLPQVFVGGRHLGGAEEVRRLHESGELRRIVAPSPAFPSACARCGGERYVLCGACDGSHKRYSLKGGGGFRACADCNENGLVRCPGCCAPAAA >KQL13144 pep chromosome:Setaria_italica_v2.0:III:2836232:2839244:-1 gene:SETIT_025321mg transcript:KQL13144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISGALVAAAAGARPLLSSAARRAAAPLSCAAYWATSPGGNGIEDSPLPRSSHLARFRERRALAVTDITATEWCEKQMEFVLEHGKPERTDAMKAGSDRHAQLEDEVVRRVDVAIRSAEELWAVRFMNFIVGTNQLLFEDITREIPVFGVVEGSWVIGIIDEIQLPKNGISFQPILVDTKTRRRQKSPSEAQKRNGRLQLMCYKYLWDNLIAEKFPTENFFSYFDLDPNYLLSNHVKWYINSLGFNAKTFEDVLKYFKITCHTLQWLQSQEQLLLRYELQADDSLLEEYKFTYDARWFKDQIQEVLSFWQGSREPKFVTEEERWKCGICKFAPDCPMIDWTPKC >KQL16972 pep chromosome:Setaria_italica_v2.0:III:47195950:47197134:1 gene:SETIT_024417mg transcript:KQL16972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKASDSVEFEDGNDVLINSPPPLPSTDAWASVAAAAAAAQQQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGSNTRTNFWPRPTPAAAAAHPVQVQVQVQPPLAVASSSPAPALPSKVTNLLLLRLRARNQQLFSAATAAQHEAALLPGPLCADEPYGGGGGEECAFHVDDFLSYDSGSSDGNSSQEMEDEEEEEEEEELDFQFMDEHAAGCEGGLCSPFDVVAAELGGAVGAADGGEPESESAVQELMRRRMDYERKISASLYALSGVSECLRMRHGAGVGDQLTGLREACRKKQRDAAQQQQQEEEAPSPEAAEDGNAAQEECSGGSGAPEAASSSSSSEASDGDGDGDGDELLWSSLDLAPIC >KQL13694 pep chromosome:Setaria_italica_v2.0:III:6132376:6136928:1 gene:SETIT_021574mg transcript:KQL13694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELADLAAAAEAPAPSLLSLCLDAVAAHLTRDSAGVGADRNGWAGGCCSGGGPGEFAEEVGEEAYDEHMGPEQVAEALPWELLHRLASRLPPAALESLHHAAHAGCEDFNTAWQSLFKLRWPLGVNAGHDSVAIVDWQQQYWEKHLQECLDEAAESAFLPSFCGGISESSISAKIMNFIYRSEDIPRQHSRLTNHLSRFGCYTRCLRLQGVLCTAETYLYPAVMDKICKAVLQEGSQSHGIKQFSIKSSRICETKPLTISAGLLKFLSSGKSLHLLSLHDTKMQSSFAQMIIHTLLESSCGLQTLEISENNIAGWLSKLNRSSTSSSLALKSNNSLNSLSVVNLRGNNLQQDDVVDLHKILIQMPNLRDLDISGNPIMDEGIRSLVPFISWAIQKENPLLKLRVENCDLSSIGVSELLECLTYVKQPLDVLSIADNPLGSSVAAVLAKFLGSHVRDLNIEDIDLGALGFQILEEALPMEVALSHINISKNRGGIRAAYFVSKLILQTPNLVSVNAAANILPPESLEVICNTLKQRTCNLERVDLTGNFHLSSTSFPAFLEFKKHGKPILVVPSNLSTCAPYDDDP >KQL16990 pep chromosome:Setaria_italica_v2.0:III:47414913:47420462:1 gene:SETIT_021075mg transcript:KQL16990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLEERFGGVQPKNSSEEALRRWRRLCSVVKNPKRRFRFTANLEKRGEAEAIKHANHEKLRVAVLVSKAALQFIQGLSLRSEYVVPEEVKAAGFQICADELGSIVEGHDSKKLIIHGGVNGIAEKLATSKTDGLSTDEDSIKRRQDIYGINKFTESEIRSFWVFVWEALQDTTLIILAVCAFVSLVVGIAMEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKIQVQVTRNGFRQRLSIYDLLPGDVVHLAIGDQVPADGLFISGFSLLINESSLTGESEPVAVSEDNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGQIGLFFAVITFIVLSQGLFSKKYHEGLLLSWSGDEALELLEHFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSATTICSDKTGTLTTNHMTVVKACICGNIKEVNGSQNASKLCSELPEIVVKTLLESIFNNTGGEVVFNQDGKYQILGTPTETALLEFALALGGDFKAKRDETKIVKVEPFNSTKKRMGVILELPGGGHRAHCKGASEIVLAACDKFLDETGSVHPLDQATADKLNGVIDSFAGEALRTLCLAYREMEEGFSIMEHIPLQGYTCIGIVGIKDPVRPGVRESVATCRAAGIMVRMVTGDNINTAKAIARECGILTEDGIAIEGPEFREKSLDELLKLVPKIQVMARSSPLDKHTLVKHLRTTFNDVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALLVNFSSACFTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKREPVGRTGKFITNVMWRNILGMSFYQFFVMWYLQTQGKNFFGLEGSDTDVVLNTIIFNSFVFCQVFNEISSREMEKINVLKGMMKNYVFMAVLTSTVIFQFIMVQFLGEFANTRPLTVHQWIASVLLGLAGMPIAVAIKLIPVGSS >KQL16759 pep chromosome:Setaria_italica_v2.0:III:44758840:44762748:-1 gene:SETIT_021928mg transcript:KQL16759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSETSSLLQIELNTISTSFPGLGPLVSELHRTLINQYGRVLSLEPKRVPGNAASSKFAEALARAWTEFDVDSAIVMMIVQPEERNMYDQYWITKYLKESHGITTIRKTLTQVEAEGHVLPDGTLLVDGKKVAVVYYRAGYTPNDYPSEAEWSARLLIEQSSSVKCPSISYQLVGTKRIQQELANPNVLERFLENKEDIAKLRKCFAGLWSLDDEEIIKTAIEKPELFVLKPQREGGGNNIYGLDLRETLTRLQKEGGDALAAYILMQRIFPKASLAYLVRGGICHEGLVISELGIYGSYLRSKDKVITNDQCGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDK >KQL16758 pep chromosome:Setaria_italica_v2.0:III:44758840:44761657:-1 gene:SETIT_021928mg transcript:KQL16758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIVQPEERNMYDQYWITKYLKESHGITTIRKTLTQVEAEGHVLPDGTLLVDGKKVAVVYYRAGYTPNDYPSEAEWSARLLIEQSSSVKCPSISYQLVGTKRIQQELANPNVLERFLENKEDIAKLRKCFAGLWSLDDEEIIKTAIEKPELFVLKPQREGGGNNIYGLDLRETLTRLQKEGGDALAAYILMQRIFPKASLAYLVRGGICHEGLVISELGIYGSYLRSKDKVITNDQCGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDK >KQL16760 pep chromosome:Setaria_italica_v2.0:III:44758840:44763767:-1 gene:SETIT_021928mg transcript:KQL16760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVPPPADPAAAGEMAREAAAWCALHGLVVGDRADPRSGTVPGVGLVHAPFSLLPAHLPETFWKQACELAPIFNELVDRVSLDGNFLQDSLSKTRQVDDFTSRLLEIHRKMMEINKEENIRLGLHRSDYMLDSETSSLLQIELNTISTSFPGLGPLVSELHRTLINQYGRVLSLEPKRVPGNAASSKFAEALARAWTEFDVDSAIVMMIVQPEERNMYDQYWITKYLKESHGITTIRKTLTQVEAEGHVLPDGTLLVDGKKVAVVYYRAGYTPNDYPSEAEWSARLLIEQSSSVKCPSISYQLVGTKRIQQELANPNVLERFLENKEDIAKLRKCFAGLWSLDDEEIIKTAIEKPELFVLKPQREGGGNNIYGLDLRETLTRLQKEGGDALAAYILMQRIFPKASLAYLVRGGICHEGLVISELGIYGSYLRSKDKVITNDQCGYLMRTKVSSSDEGGVAAGFAVLDSLYLTDK >KQL16766 pep chromosome:Setaria_italica_v2.0:III:44892728:44895417:-1 gene:SETIT_021222mg transcript:KQL16766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSDRSVRLTIEAQPSDTPGFLAGTPFEPQLSDSPPRPSVAGAADDGNNNNEASCSARREWTDDMSAGGVVAAVGPERRLTLLALRLAVLEKAASGLGALGFIWATVVLLGGFAITLERVDFWSVTAILLVEGARIFSRSHELEWQHQATWSLAAAGRSSARLVARSFRFVFRAGGCGSANKAARGGAAASCGGRRRGGWACTWAWPSSWSWSFLSCHVGRVFYWLQLASATACVALSAVRLARQDFGDAVDARTNRRSALDIFYGLALAEALLFLAEKAVWEWEVSHGRLLEHVAAECRLAGATGLAAVRRFFYDAYSRCVEGSIFDGLRMDLVSFAEELIVGGSHDEQRIGVGILVNVAASPQLGEDALRRVGTSAAVVERLVEMLGWNGADERGARASAALVVSKLASKKRNALRVAGVPGAIESVSSLLYAADEECNLLGLLIIKKLARDHDNCSKIGNARGLLDKIIDFSAIGGGASSPPSSPAAALLTASRAKAVQRSLQVIKMLAETTGSTGMQLRREVAEIVFTVSNIRAVLQHAPAGLRRLGAEVLTRLAMDADARERIGSTGGVVAILLDMFLRPGCSDEAAAADAARVEAGKVLAMLALESPRNCERILRAGGVDGAVDRLVDALGDAAIGVGAGRILTNLCAYAGGRGEWFPHLRRATRGAGAALRGVVEVNESKPLEVSLGLAAQLVRLMGPRELGHHLAGAGFSEAGLVGRLVAVLAAYACPSIRAPRIRRFAVELVIALLRTAPAPTATATMAELMAAAGMREELRRVAETTSELECFHVFSGSAGLSRHAVGLAALVDAALELMAAAATAAKAEPAHV >KQL13692 pep chromosome:Setaria_italica_v2.0:III:6109341:6112090:-1 gene:SETIT_021602mg transcript:KQL13692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQGLVDWKGRLVNPKQHGGAKATMFIYFLVVMTNIGNIPMLLNIVSYLHGTMHMGIADASTTATNLYGAICVFTLFGAFISDSYIKRFYTILIFAAIEILGYMLLACQAHFPSLHPPPCDITNHPEDCTAVSGRNLSLLTLGLYVIPLGEGAVRVCAAALGGDQFDGGDPEELRGKMSFFNWYAFCISLGGFVGLVFVVWVQNNEGWDLGFVLSALVALLGAIVLLAGLPFYRHQKPTGSPLTRILQVFVAAFRKRNLSVPDDLMEMHQATQGTGTSIEVLERTSGFKFLDKAAVDDGDTRRWSLCTVTQVEEAKIILRMLPIFLSSVLGYLPIPLLLTFTVQQGGTMDTRLGAAHVPPASLFVIPVVFQMLILVAYDRAAVPWLRRATGYAGGVTHLQRIGAGFACSVVALSTAAAVEARRRGMGAAAAAEMSVFWLAPQFFLLGVMDVTSFVGLLEFFYGEASAGMKSIGGAVFFCILGVASWLGSLLIRLVNRATARRGGGTGWLDGANLDAGRLDLFYWLLALFGLVSLLLYLLCASRYTYRHDPRTMQSRMEDDRVSPASLKKQAEV >KQL13177 pep chromosome:Setaria_italica_v2.0:III:2989861:2990025:1 gene:SETIT_025074mg transcript:KQL13177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIRMVVHWIQLWSHLLPMDQWEPIVTGCNQLLMVAQDFYFQAAGWQHTRKIANG >KQL16932 pep chromosome:Setaria_italica_v2.0:III:46801134:46806027:-1 gene:SETIT_021715mg transcript:KQL16932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRLSPSMRSITISTSHGLLDLMRLKAAARHFSYRTVFHTVLILAFLLPFVFILTAVMTLEGFNKCSSLDCLGRRLGPRLLGRGNDGSMRLVRDLYSMLDEINSEEGPVDLKVPESFDEFIWDMKNNDYDLRSFAFKLKATMESMDKELRSSRLSEQLNKHYAAIAIPKGLYCLSLRLTDEYSSNALARKQLPPPELVPRLSDNSYYHFVLASDNILAASVVVRSTVKSSLNPERIVFHVITDKKTYPAMHSWFALNSLYPAIVEVKGVHQFDWLTKENVPVLEAIETQRTVRDRFRGNHLARTSASDSPRVFAAKLQAGSPTYTSVLNHIRIYLPELFPSLNKVVFLDDDVVVQHDLSPLWDIDLAGNVNGAVETCRGGDSWVMSKRFRNYFNFSHPLIASNFDPSECAWAYGMNIFDLNAWRKTTIKDKYHHWVKENLKSNFTLWRLGTLPPGLIAFKGHVHPIDPSWHLLGLGYQEKTDISSVEQAAVIHYNGQSKPWLEIGFKHLQPFWTRHVNYSNEFIKNCHIMEPQL >KQL13192 pep chromosome:Setaria_italica_v2.0:III:3095867:3096333:-1 gene:SETIT_023989mg transcript:KQL13192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAILGQLMCEATDELLCSQGLPLPRNVQQRWASEIAEPEDLRSLHSLCSVKP >KQL16405 pep chromosome:Setaria_italica_v2.0:III:39299060:39302494:-1 gene:SETIT_022628mg transcript:KQL16405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSFDDADDDPPAAASGGEKRKREDGPAEAAGGWEPPKPRILVARGGKPEGSAVVGAGTAEGSGRNAVETVVGGEADGISVRIDPDVLDCSICFEPLQPPLYQCQNGHVACFSCWSRLTNKCHICSYDANFARNIALEKVVESVKSSCSYAKWGCRKLVSYSLRHAHEESCLFAPSICPIPGCGYKGFTGWWSGHFLTNHNTDGSLRFSYGQWFEVSLEMSVPFLVLLAEDDHLFLLVNKNVLPFGHALSVGCLRTGNLNWNFTYEMRAASKANTENNLQLKACVTNIREWQGLHPTEAFLLVPYAFCKSNKLTLNVCIQRSADLRVNM >KQL15063 pep chromosome:Setaria_italica_v2.0:III:16181451:16184121:-1 gene:SETIT_024987mg transcript:KQL15063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGINCYVVPQTTGTGRNIFQGGSPLQESLPLLGVQLVLIVAVTRVLYFLLKPFKQPRVVSEIMGGIILGPSVLSRCSAFKETVFPARGDPVLHTVATFGLMYVIFLIGVRMDPMLVVRSGKKGVIIGLSGFVLPLAMTAVGFSGAAMAAEADVTRRSTFLFALSTSISVTSFAVLSPIMSELSLLNSDLGRTAMSASMTTDGIAWLIMVGYTLAEAFLVSPATSLWAFLSVAALAAVILFAVRPVALKVIERTPPGKPVDENYVFFFLLIVLLVGFYSDIIGTNSFHGALMLGLAIPDGPPLGTALGEKIDAMVSGLILPLYYAMTGLSTDVWCLHWGRLQLVIFLGWFGKLVGVMVPSLYLEIPLRDAVSLSLFMNSKGIVEVITFTFFLTNELIGKGTFSVLMCSSVAITAVSVPVAACLYDPARRYAVYKRRTLQHLKADADLRILACVHDQSHVPGTLALLEASHATPQTPIGLYLLQLVEIAGRSAPVFIPHNPRRNASRIGAPGAPSSDSDRVINSFFRHELRHPEGAVSVHPFTTISPYSSMHDEVCRLAVDKRTSLILLHHHKRHMLAGGAHAAAGLRVVNRKVLEVAPCSVAVFVDRNAGCVGLSSFIPGPLQDYSGSSAGSGGGRSTGAPQFHAAVAALFFGGGDDREAMSYVARMARHPGVTVAVVRFLPARGIKDDPADRRVDNRAIEEVKALAARSRNMKVREELVGDMERIVEVLRGLDKAGYDLVVVGMRHRWYPVMPANGLSDWSECPELGVIGDLLASSDFDTPYSVLIMKQQDQAGLNAAVPGAQDLWRSGSVSGPPPRTMSTSGSS >KQL15421 pep chromosome:Setaria_italica_v2.0:III:19965264:19966299:1 gene:SETIT_0251432mg transcript:KQL15421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPENPHHSSRGTVHPVGMDVARRVLRPVPPADADGDAAVISLCWGQPKIPDPFVWPQADALASSERELDAPVVDVGAAMRGDGPGMRRAAEQVAAACASYGLFQVTGHGLDPALARAALDGAAGFFRLPLATKQRARRAPGNVTGYAAAHVDRFTANLPWKETLSFGHRTSGGRVVVDYFASVLGSDFKPLGTVYQDYCEAMEQVSLAIMEVIGASLGVGRSCYRDFFADGGAIMRCNYYPPCPEPERTLGTGPHCDPSALTLLLQDGAVDGLQVLVDGEWRPVRPRPGALVVNIGDTFM >KQL15468 pep chromosome:Setaria_italica_v2.0:III:20501711:20503241:-1 gene:SETIT_025126mg transcript:KQL15468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASDMLDMPVRPPRPIAVGEDENTCRGVFMEFMSNPQIPNGSDVMSQIVKSNSSGRMRSYLEAGCRLHCQNISNINQLHSCEDGLKDHINKVKTLLEELECLVEDVYAITLTANISALKVSDSHTIDSKLTIDSCIIGVEVSVQTFPFTNHLHSVLFNREYLAVYNDEVRWKPGNMLHLLQEDKSADQLDSDVFLVTVMIIVHNMLELDYAMQENIVGALSLKTLPSELEGYCLMWDLRPYIDDDVMHLAWEMCP >KQL17452 pep chromosome:Setaria_italica_v2.0:III:50520570:50522444:-1 gene:SETIT_021728mg transcript:KQL17452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWVDKWTGLGSALASFLFLWSVVQSHLPAAFHHRLSTWGTNLASCFSPYLHITISEYGAERFSRTDLFLAVEAYLSDACARRARRLRAELGKDSSNLQVSVDDNDEVTDTFSGAKLWWYAAKNLPKSQVISFYPGEEERRFYQLVFHRRHRDLVVASYLPYVLAEGRAVTVRNRERRLFTNNPSSSWNPYRTRNGVWSHVPFEHPATFATLAMDPADKEAIVDDLEAFREAKDYYAKVGKPWKRGYLLYGPPGTGKSTMIAAMANYLDYDVYDLELTAVKNNTELRKLFIETTGKSIIVIEDIDCSVDLTGKRKDKKKKPDDGDGSDDKPKLPVDPDKDDSTKVTLSGLLNFIDGLWSACGGERIIIFTTNHKEKLDQALIRRGRMDKHIEMSYCSFEAFKVLAKNYLDITEHDLFGEVRRLLEETQMSPADVAENLMPMSKKKKRDTDACLAALVEALNKAKEEAAAAKAKEEAEAKEKAEAEAKEAKEKAAKEAKGEEDKGKGKTSLSTEAEMSNGDTKGSDATNDN >KQL13435 pep chromosome:Setaria_italica_v2.0:III:4459381:4459892:-1 gene:SETIT_025638mg transcript:KQL13435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVSGRIGYLFRCWAACSQFPCREVKGGHQDPAATRWTQQGGVKILQAGKAGGGRWRSGSR >KQL13872 pep chromosome:Setaria_italica_v2.0:III:7369680:7373152:-1 gene:SETIT_024917mg transcript:KQL13872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATARLVLLVALVAGSSCSAWAAVQVAADERQKEADVAAPVIHALRPMVGSAGDLGRRGGVPCDSWRLAVEAYNKRDWRTVPVDCEGYVGHYMLGGHYRQDSGDVVDEAVAYAEGLKLGGKGKEVWVFDTDETTLSNLPYYATDGFGTKPYNATSFNEYVMEGSAPVLPETQRLYNKLISLGIKPVFVTGRTEDQRAITVANLRREGYSGWMKLMLKPVGYNGTAIGFKSGERQKLQDAGYVIVGNIGDQWSDILGAPEGARTFKLPDPLYYIG >KQL15535 pep chromosome:Setaria_italica_v2.0:III:21195956:21201054:1 gene:SETIT_024508mg transcript:KQL15535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPHLKTNVEHIYIMGGGVRSKNPTGCCPKNATTSCTRQQCGDHGNLFTSYSTNPNAKFNIFEDPFSAYQVFHSGIPITLVPLDATNTIPINEEFFYEFQQHQSTYEAQYCFKALKMARDTWFNDQFYTSYFMWVPLLLLEYMNVTVITSNKPYAMHDGSNTLFDYRTTPKFGLKKGGVHSGHVQTGISDSFCRVKGSNKGRCEDGYTKEVSSPEAAHIRVATKAKPNMDKYSPLNREFFKSFLEALNPRENSGRFNIKAQLPFNREGILVSGNGWAHVQSIDIVYDILHMMGRDDIPVGRGNTTALGTPTLGCNYVSIIPQGSGGFIDSDTLYGLARSLPRSPRRYTAENSVKHGAPTNTDHPELQQPLAFEVWQSSKEQLDPSQKITILTNGPLTNLANIVLSDRNASSVIEEAYVVGGHIRDENDSKGNVFTVPSNRYAEFNMLFDPLAAKTVLESSIDITLIPLHVDHTPESSFVHLLMLLLHDLQQKHRLYNHMDMFLGEVLGAVYLVEGLNLKPSLQSNPISIVANSTISTDGQIVVNKESANSVKVLVDFSREEYYNRVANSLSNTEQSAVISSFEEQTRIWSRPPVKLEA >KQL16888 pep chromosome:Setaria_italica_v2.0:III:46458435:46458890:1 gene:SETIT_025715mg transcript:KQL16888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGPRRRPPLPSEVRKEEADVATAREEETEGMPAPRKKMRADGRAEEEEAQLRGRR >KQL13740 pep chromosome:Setaria_italica_v2.0:III:6397154:6397635:-1 gene:SETIT_023661mg transcript:KQL13740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIAHSGREGFRIQIRVVQFRSPTRQPHWHTQQPGIKLLSILGWALHMLPCPPAHPQLSPLLGPPAPVIIQPDFPSLLDVRNALVCAVVAGTSSSAPSLSPIINPQLPPDPATIISLIQP >KQL15394 pep chromosome:Setaria_italica_v2.0:III:19595081:19596996:-1 gene:SETIT_023371mg transcript:KQL15394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFAGQRSRPWVGMAGVGTPSEPAGDSVAARDDAAAASSMRGGADASTNASAISFGFAATAVLVSMFLLMAIFEHLIKPGLASSSSSSSSSSPRSDDDSGEGRGGRRRMGLPPARLHHQHDASPDKVGHSPKVDEDPVAAAPDLTVLMPGHRYPTFLAQPAPLAPCPREGVRWPPHEHLRSFLPP >KQL15392 pep chromosome:Setaria_italica_v2.0:III:19595353:19596160:-1 gene:SETIT_023371mg transcript:KQL15392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFAGQRSRPWVGMAGVGTPSEPAGDSVAARDDAAAASSMRGGADASTNASAISFGFAATAVLVSMFLLMAIFEHLIKPGLASSSSSSSSSSPRSDDDSGEGRGGRRRMGLPPARLHHQHDASPDKVGHSPKVDEDPVAAAPDLTVLMPGHRYPTFLAQPAPLAPCPREGVRWPPHEHLRSFLPP >KQL15393 pep chromosome:Setaria_italica_v2.0:III:19595353:19596160:-1 gene:SETIT_023371mg transcript:KQL15393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFAGQRSRPWVGMAGVGTPSEPAGDSVAARDDAAAASSMRGGADASTNASAISFGFAATAVLVSMFLLMAIFEHLIKPGLASSSSSSSSSSPRSDDDSGEGRGGRRRMGLPPARLHHQHDASPDKVGHSPKVDEDPVAAAPDLTVLMPGHRYPTFLAQPAPLAPCPREGVRWPPHEHLRSFLPP >KQL15395 pep chromosome:Setaria_italica_v2.0:III:19595081:19597471:-1 gene:SETIT_023371mg transcript:KQL15395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFAGQRSRPWVGMAGVGTPSEPAGDSVAARDDAAAASSMRGGADASTNASAISFGFAATAVLVSMFLLMAIFEHLIKPGLASSSSSSSSSSPRSDDDSGEGRGGRRRMGLPPARLHHQHDASPDKVGHSPKVDEDPVAAAPDLTVLMPGHRYPTFLAQPAPLAPCPREGVRWPPHEHLRSFLPP >KQL16297 pep chromosome:Setaria_italica_v2.0:III:36566849:36572170:-1 gene:SETIT_024950mg transcript:KQL16297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFEGPPSFTDLVDRVMRKYGCRVDEMSLRGCFDCGKARAHYVLMKHYKDVVHEANVACLEVIVEIVQCGFDLAIANDDFPNNIFERDEANIDDDNVSMGSEDCEFEEDGVVGDISMVHKAICESSMVNSKGTSVGESPVIKKGMKFNSLQELKFFLADYAVRLHRPFSVVHYDKNLRYSVITEQWRISNVVQPHTCRSSQPKRVHVQCTAKYLGRRILGIIRKDSETSMPSLVESIFAFSGYRVKYLKAWRAKKHAIALLCGDWKELYGMVPRVLTAIAYYNPGVKWFIDSCGMMHPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVGVDPEEQLVPLAFALAESENNESWSWFMKLVRRHVLGLHEVCMISDRHHGLLNCAKDHMDGFPPLVHRWCTRHFAANMSRRQKSDRVIGKLKTLCKVHTEREFSEKLEDLVKDLNDDAQAFDEGGMRWGIMTTNYSESLNTIFKGIRSRPISGIIEYSFEKCNAYFVDRWQKARAMLDEGHRIGKVADDYLSQAELRSVHHLAEPYELERMVVDLNDVSCTCNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTIRIWESSFQPYLYPSQWPAYEGVGYVPNPNLMRNKVGRRQKKRFIGDMDVSQGRLSVDYGTRIAHAAIEILKVRNLGRTVIGCVLRTIGYACCSYFGWMAAPAYPLLESAYDLQHRAHHLADLNEDLKPLRARVHSPLRWDERYTEYLQRVGFLDLAMQVVAGVSPMDGPLLTAMVDRWRPETHTFHLPFGEMTITMQDVAMILGLPLEGHPVMGIIQNENWRDMVEMHFGIRPPEPKDRDNSKKTSGPWHRNNAHPTFYHVWKHVQPIRCNPDRCYMAYTNELDVVTQHQLSQIVFSSTCYRDRELWRCTTPMILYYVVEFHMSHRVMRQFGRMQLCPPLELSTSQQLHRIDRRKRYKENDWRVKHAQYLIMWENRQRCDPDGGPYWRVGPNNEYIRWYCTSTRTKVKPAWSNVPIEDAPSDSSDDIADVYDTVTRYGTQPERAPLHDYMQLARLSNEAGVVMERAVGSGDGLLRQFAEAPASPQASEDSEGEQSEDDDPTYGEELEISGMIDAPPV >KQL12756 pep chromosome:Setaria_italica_v2.0:III:737708:737990:-1 gene:SETIT_025458mg transcript:KQL12756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPSSVRLYSVRRSLPPLAKKYALQMLYVLAPLTAAAMEEWVLDEYASKHRVAIDKLLQLRVFVEVRDR >KQL12757 pep chromosome:Setaria_italica_v2.0:III:737563:738441:-1 gene:SETIT_025458mg transcript:KQL12757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVMVVARNFMDMVAALPASKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVLAPLTAAAMEEWVLDEYASKHRVAIDKLLQLRVFVEVRDR >KQL16019 pep chromosome:Setaria_italica_v2.0:III:27107657:27111044:1 gene:SETIT_022135mg transcript:KQL16019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAISLPHSLSPPFQATRRRPAPPACTHTERGVSFDPGSAFYRSDSAAGRDLAVLAATLHRRHGRLDPSAPFLCLDAMCGCGVRALRYLAQVGADFVWANDASEALRPVIVANLSRFERGSPPAEAGRRRWVVSHNDATRLLAERYLRREYFDVIDVDSFGGDAAYVRAALLALKIGGLLYLTSTDWRSARGYGSRSSLSSYGAYVRPMPYPNEVGLRMVIGGAAREAALLGFHITPVFSYFAYHGPIYRVMVKLCNGKDDGISNYGFICHCKSCGQSQTFGFDELGQISCGCTYRTDADSISVVGPLWTGPLHDASFLAEMLSLANEWGWAYTSENGVTLEKLLSTMIEESDPQLPPGYIRLDEISRRAKVNSPPLGTLINSLRKEGFSACRSHIGTNVIKTNCPIASCMDVAREIRNMR >KQL13590 pep chromosome:Setaria_italica_v2.0:III:5388096:5394338:-1 gene:SETIT_021160mg transcript:KQL13590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDFDSPDGGDAWLLDAMATSLHFSAASPPLPPPWPCGDPHHPSVPLDTAALQDDAPGARAGKSEITKKGEPINGKCQVHLSMVDDYSHSSYFLKEKLTLALRYFKDSTNQHLLVQVWVPIRKGDRYMLSTSGQPFVLDKRSIGLLQYRAVSIMYMFSVDGNNVKDLGLPGRVYKQGVPEWTPNVQYYSITEYARLNHAISYNVHGTVALPVFDPSTKSCIAVVELIMTSKKVNYANEVGKVCKALEAVSLKSTEVVEHPYVQICNEGHHAALVEMLEVLTVIGEELKLPLAQTWVPCKYQNSLVPCGGVKKSCFNIHGSCAQELCISTSDVAFQVIDAHMWGFRDACVEHHLQKGQGVSGKAFILHRPCFCKDVTRFSKMEYPLVHYARMFGLAGCFSVCLQSAYTGNDDYVLEFFLPPDCRKDDEQKVLLESILALLTQHLHSLHLATDEGSSEELQVSAITVINNDVQHLNFEGGIHASHESKTNGILGPDSQKRIVSTEYEMWLSPENDTKCNGKLFVGPKGGCTSDSLLPDNNSKHQVRRRGKAEKTFSLEVIQHYFTGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRQISKVNRSISKLKKVIESVEGSESGFTLTSITGPLPVPFSPSNPINIKNGQQTEVIDLSIPSVQENRGSSLQSKLLENDDRLGMAIPQQSFLANLSRQIEREKASNLRSSSGEPSTHSGTSEESCLGSPANKTFVSTLMEPQQNMWKPDSFTQELFQTQDLLLPGLFVNGSGSSENCKNHITDAVNEPSVVPLGSLMSADNSGIVTVKARYKEDLLRFRFPCSASIIDLKDEVAKRIQADVGVFDIKYLDDDHEWVKLTCDADLEECMEISRLSGSNVLRLLVTDIAPILGSSCGSTG >KQL16189 pep chromosome:Setaria_italica_v2.0:III:34059891:34061582:-1 gene:SETIT_023485mg transcript:KQL16189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVATNGSEVLAAPAAVPAPAVFSGLKVQVTVPAGRAEEAVAFYKAAFAAEEVSRSTHPKRKGDGEAAALLCAELKVGAATLLVCDQAGDDVPAVGKEGAAASGLVLRLETDDVNAAAAQAATAGAALQGEVTEDGCGLGATLVDPFGVTWAFASSTSAKKCG >KQL16365 pep chromosome:Setaria_italica_v2.0:III:38446534:38446905:-1 gene:SETIT_025809mg transcript:KQL16365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHGMNSFPDNGLPRINLVYTLKLISTTQAPKLPKLCFKS >KQL15390 pep chromosome:Setaria_italica_v2.0:III:19554250:19555642:-1 gene:SETIT_022700mg transcript:KQL15390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPCFSLLVLLLAAHCGLLLASAHGYPGGSALSSTFYDASCPSAHDVVRRVIQDARVSDPRIPASLIRLHFHDCFVQGCDGSLLLDDDLPAIQTEKNVPANDRSARGFPVVDDIKAALEHACPGIVSCADILALAAEISVELAGGPRWSVLLGRRDGTTTNVQSADNLPSPFDSLDVLQEKFRNVNLDDTDLVALQGAHTFGKVQCQFTRQNCTAGQPVDSLENLDQATPNLFDNKYYGNLLHGRAQLESDQVMLSDPAAPATTAPIVHRFASNQKDFFRNFAASMIKMGNISPLTGKDGEIRKNCRRVNSKGY >KQL14108 pep chromosome:Setaria_italica_v2.0:III:8997871:8998488:-1 gene:SETIT_024618mg transcript:KQL14108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSSIQEAEAEAPASAQWRPKQYVLAGMAIILVASTVTIVTSIILRPAQIDFSIANFSMPKVNTTTAAEDNGLAFNFDLNAYNPSRRARVIYRHVVVSLELQKNSSPSVRKTSVPGNVIDILPLSQGTNNSTSMGVNGSFDSVFFSFYSSESSVSTTIKVIAQVQFKIGLAKTRLYSIRVLCSRIPNLGLSMHPSVANCSA >KQL13400 pep chromosome:Setaria_italica_v2.0:III:4266447:4266659:1 gene:SETIT_024175mg transcript:KQL13400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVDTTGGENKKTSWPEVVGLPVKEAKEIILKDMPRADIVVLPVGTFVTQELNPNRVRIFVDTVAQTP >KQL13137 pep chromosome:Setaria_italica_v2.0:III:2790150:2790468:-1 gene:SETIT_024454mg transcript:KQL13137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETFLEILLAILLPPLGVFLRYGIGVEFWICLLLTILGYIPGIIYAVYVLVA >KQL15048 pep chromosome:Setaria_italica_v2.0:III:16054924:16055681:1 gene:SETIT_023746mg transcript:KQL15048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNKPSNARSNPQQKKYETSSSNYLYLIGRMVGGQLTDELRLEAQFGGGPRRRRWCAKNRAAEDKWEFCATGAQTLSNSARVPTFSCQTCAHACKTKWKQPTT >KQL17230 pep chromosome:Setaria_italica_v2.0:III:49118867:49124150:1 gene:SETIT_021719mg transcript:KQL17230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRESGFGRHLAAAEDWAAAVQRTTASAGGGARRPASGGDRRPPLAAAGRGRKRGGVEGAVGLVGGDCDASLRAFLQVLAVGHTEERDIMGISAKWIKSLVGIRKHEKGRNAGCSTARTSATQLLDKQDHSVDTEGVIAAEELRVQAEPLAGEANTEAISYSASSPSTYLQVSQTEHDTKEHQAAVAIQSAFRAFLARRALRALKGLVRLQALVRGHAVRKQAAETLQCMQALVRAQARVRARRVRVSLESQGTQKKPPEKNVHEDHVRDIEEDWCGSVGSVEEMKIKALKRQEAAAKRERAMAYALTHQWQAGSRKQKAASLQDQGLAGDENQWGRNWLERWMAARPWENRLLDSNAKESVTVGDDKVAEEDKTKAPNKPKGKVLVSTTQANGQQHKKGTSHKKSHSDVSGSSSGQSASVQPTASLDSSKVKEKPSDEITDEVSSQPSKLASRSTSNPKERPAQVNAPAKKRLSLPNNATANGGVGKRPTNSNRTTQATRSKNASKGASKSESRDQPKPSSTAVKPVEAQA >KQL13757 pep chromosome:Setaria_italica_v2.0:III:6558513:6559074:1 gene:SETIT_025731mg transcript:KQL13757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLHLPFLKIITSEVKLKALILSRKQMPDCKRKNLAYRDACSSF >KQL14786 pep chromosome:Setaria_italica_v2.0:III:13841383:13847277:1 gene:SETIT_021241mg transcript:KQL14786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVRADGGGGGGGGAAASSSSTAENSRFDAAQYSFFGKAPMEGPELGGFLEDGGVDGDGSGFGGHDDGGYQFSSMGEEIDCMSNLSEIDDLASTFAKLNRSISGTRNPGVIGDRRSISRESSLTTDWVQDADFHSWVDQGMLDGDEFLDSKQWCSQLQSSPHFGESKPLSRTSSYPDQPLQHRSSEPILLHRSTSFTSYPPPGGSAGLPYPAQGLTRHASIPSPGAGHHMGSPSSSLSGSPYHMSGLSHGLPYGRSTSYTAADPSTNSLMQNEWPNQAGPLAFDHLNRRPSLLQPQLSLPSSSMSSLLYSQQHQRLPPVQPSFQNYLNLHPHLFYHHQSPEIMGNFDHIPNVPSPRDKRSRSGRGKRSIRLPQQPSDASSQHSESVGIKFRSKYMSSEEIENILKMQHSASHSNDPYIDDYYHQACKAKRSVNTQKSNFCPMSIKDFPSKARSGGDQHSYLQVDANGGVSFSAIRRPRPLLEVDLPGSGDGLYDHKSSTRPLEKEPMVAARITVEDSLRLLLDVDDIDRFLQSSQPQDNSFQLRRRRQVLLEGLAASLQLVDPFGPNKPGHSSGLAPKDDLIFLRIVSLPKGRKLLARYLRLLVPGSELTRIVCMTVFRHLRSLFGGLPTDSGAAETTIGLAKTVSSCVHHMELSALSACLAAVVCSSQQPPLRPLGSSAGDGASLIIKSVLDRATDLLADPHSAANYSRSTRSLWQASFDAFFGLLTKYCDSKYESIVQRFAMHGSNSLGGPEATKAVSREMPVELLRASLPHTNEQHRQTLLDFARKSTHVSGFSPNASRGHINSESVPG >KQL14890 pep chromosome:Setaria_italica_v2.0:III:14637016:14642977:-1 gene:SETIT_021063mg transcript:KQL14890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRSWSIDSFLNEHFDIPAKNPPGEARLRWRRAVGLVVRNRRRRFRMFSSLHPVDDAQRRKILGKVQVVINVHRAALQFIDGVRRYPLSNELIKEGFCISPDELAAITGMREDPAIFKTHGGMNGICRKIKASLEDGINETEIETRQKLYGTNKHAEKPPRSFWMFVWDALHDLTLIILMVCAVVSLVVGLATEGWPMGIYDGLGIILSILLVVLVTASSDYKQSRKFMELDREKQKIYARVTRDRQTKKVLIHDLVVGDILHLSIGDVVPADGLFVSGYCLVVDESSLSGESEPVHVSEEKPFLHAGSKVQDGTAKMLVTAVGMRTEWGKIMDTLNDDGVDETPLQVKLNGVATIIGQIGLVFAILTFLVLLVRLLIDKGMHVGLLNWSANDALTIVNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLAACETMGSATCICTDKTGTLTTNHMIVDKVWISEVSKSVNGATNINKLKAAISESVMEILIQGLFVNTGSEVVKGDDGKRNILGTPTEAALLEFGLSLQGDLYDEYNKLARVRVEPFNSVKKKMSVLIQLPNGGLRSFCKGASEIILEQCDTVFNSEGNIIPLSEIQKQNVLDIINSFASEALRTLCIAFKDLNEISDDQTIPEEGYTLIALFGIKDPVRPGVRDAVMTCMAAGIKVRMVTGDNVNTAKAIAKECGILTEDGIAIEGRELHDKSTDELKELLPKIQVMARSLPMDKYKLVTSLKSMYQEVVAVTGDGTNDAPALCESDIGLAMGIAGTEVAKENADVIIMDDNFSTIVNVARWGRAVYLNIQKFVQFQLTVNVVALIVNFVSACIIGTAPLTAVQLLWVNMIMDTLGALALATEPPNDEMMKRPPVRRGHSFITRVMWRNILGQALYQLLVLGTLMFAGKRLLNIEGPHADRTTNTLVFNSFVFCQVFNEINSREMEKINVFRGIFKNWIFIGVLSATVLFQVIIVEFLGTFANTVPLSWELWLLSAILGSVSMIVAVILKCIPVESRETGNKPHGYELIPEEPETV >KQL15329 pep chromosome:Setaria_italica_v2.0:III:18956668:18959095:-1 gene:SETIT_024262mg transcript:KQL15329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPCSAISQASLPSAPLVPGCLAIRPHRGLALSNPAAARRLVARCATGDKAEAETPIEKRFPPFPTIMDINQIRDILPHRFPFLLVDRVIDYKPGEYDVAIKNVGGIVMLQPEVGGSRENFFFAGIDKVRFQKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGADLVCEGEFLMATGSE >KQL16324 pep chromosome:Setaria_italica_v2.0:III:37554044:37557227:-1 gene:SETIT_021104mg transcript:KQL16324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPAGTAGEGARTEGPKDPSWEYRLRKYLLLLATLVATVTYGAAFNPPGGVWQEGDPSRDRIAGDPIIRETSYARYLVFFYSNATAFASSLVVIVLVLILSILHDRGGISLAPVLAILRLVMVLDLLSLMVAYAAGTFRDGLTAIYSLVLLASVVAYLAVHTLLASFPASDEQRMDRRAVAKVHKVLMLLASLTAPADKGKDGAPEKKMDKGEDESPEHAGESEAERADKSALLRLRKVLMLLATFAVSVTYVAGLSAPGGFWDNDGGGHRPGRAILKGGRHDARLKAFFVFNTTAFVASLLIIIILLDKKLAFSKNFRSVELYVFIAVTLIGLVGAYSAGSCRQIDTTIYVNSLVGAVIGFILVQAAIVKFCKDAITNSCLWKQLKRIRDKVSGCLCGTEQSCLEQSTQRQPNTGNSNGSAKQQVHQVLERARSLVLLLATLAAAITYQAGLNPPGGLWQRADAGGRYMAGDPILLTTNPKRYKAFYYCNSVAFVASLVAIVLVRMKTLHHHNALEAAMILDLLGLIGAYAAGSCRDVNTSIHAMALAGAVLVYVVIHLVLVDRKDGSTRGQDQASPENEEEEKAKQLEKRRKRLLLFAILAATITYQAGLTPPSGFLLKDDQATGHLAGDPVLLNNYPRRYTAFFYCNSVSFMLSIALIIVLVNPNLYRPAIRTNALSVCTAAGMMSIMGAYAAGSTQHLKTSIYIFALAAFVLFVVIVAVVFLVIHEKRDQRDKAKKKKDRKAEQASKERDNGAEKPSASLETDADLEKNLKPENDAEKPSTKETPKTEDEVLQKKLNAKRKYLMLLGILVASITYQAGLAPPGGVWQDDGAGHAAGDPVMHDNRRHRYLAFFYSNSTSFVASVVVIVLLLPPSLNKKWWSWWLGVMNTTIVLDLLGLLIAYAAGSSRSWKTAGYVSALVIAVLAYFVVHVAVSCFLRSKGGDSSGHSDRQNEGANGQSPARSN >KQL16556 pep chromosome:Setaria_italica_v2.0:III:42389402:42393517:1 gene:SETIT_022098mg transcript:KQL16556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHRDEESAASPLIAAPAPAAGGRSHAADAHVLSAAFLFVFSAYGAAQNLETSVNTEGGLGTVSMGILYTSFTLFSVAASPVVTRVGPKRALVVGSSGYVLFILANLVPKWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARENNLPEGPTLGSFNGEFWGMFASTQVIGNLISLALLRNGKDGGSVTGKNLLFAVFLGCMIVGIVLMCLLSKRDEKRDNAPTHSSFGAMLKYIVAPLKDRRMLLTIPLIAYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSAAFIVSVGAILQAIVLFWLLLFYRRLMGCW >KQL16557 pep chromosome:Setaria_italica_v2.0:III:42389402:42393517:1 gene:SETIT_022098mg transcript:KQL16557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHRDEESAASPLIAAPAPAAGGRSHAADAHVLSAAFLFVFSAYGAAQNLETSVNTEGGLGTVSMGILYTSFTLFSVAASPVVTRVGPKRALVVGSSGYVLFILANLVPKWYTMVPASLYLGFTASIIWVGQGTYLTSAALSHARENNLPEGPTLGSFNGEFWGMFASTQVIGNLISLALLRNGKDGGSVTGKNLLFAVFLGCMIVGIVLMCLLSKRDEKRDNAPTHSSFGAMLKYIVAPLKDRRMLLTIPLIAYSGLQQAFVWAVFTKSIVTPVLGISGVGGAMAIYGAADVVCSLVAGRLTSGLHSAAFIVSVGAILQAIVLFWLLLFYSPMAGLLGAAIPLFIGALWGVGDGVLNTQLSALLGLLFEDVKEAAFAQLKVWQSGAIAVIFFLSPNITLQAMLILMTTSLFISFGSFLFLTLVVEKSSTARP >KQL15874 pep chromosome:Setaria_italica_v2.0:III:24894367:24898594:-1 gene:SETIT_021035mg transcript:KQL15874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLEQAAAAAVLNNVMGRLFEALGLGQAYKMLKDLEPESESLLQDLRMLAAAVDDELTGSRGARRTAVARAYSREMRALTHDVEDCIERFVHRVTRDGVEGASWLRRAAHRACALRTCYRFAAEIKRLKKRVQEASARVLRPPEGQLPGARRRTADHAARRPVGIGKPMEELLALLDLDQEKGQPRVIAVVGFGGVGKTTLARAVYHAAPVADAFPCRAWVAVRSPEDGDVAGILENIHQLLLPGQQYSESSLTKYLKDKRYLIVIDDVDDIEEEQWDIITSAFEENREGSRIVVTTTFRATANRRSNANGCVYKMRTLGMRDSMKIALGGRCTAELMQGSETLLKKCGGLPLALVSVARQLSGEDEPTGQFCSELCSKLGSYLEREDGEPNFARLRDVLMDSYTSLSDLTVRTCLLYLGIFPNDRPLRKNVIIRRWLAEGYARSEDITLSEQSVANGNFKTLIDRNIVLPVKTRKNAEVKMCKTHGIMHEFLLHRAMCEKFILCSHTPSDQIVRHLFVHDDVNDTQSKMTFKTDLSRVRSLTVRGNAGVAISDFGRYKLMRVLDLEECTDVNDRHVRKICKLWNLRYLSLSRNVTNLPKEIAKLKLLETLVLSKTVVNVLPVEVIGIPCLTNLIGKFKLSDQDCTSNSNMERLSKNEGLEDLFRKSKLETLAGFVGDGSHMQGFLQLMVHMKNLKKVKIWCESAADIEGNDHLNAELVKAIRQYIKTPMGAGDVRSLSIDFQGVPGGSLNALQELCRHSTSLQETYYLSSLKLHGNLSTYPEFVGIFSRLTELCLSCATVSTDLLFAISAMPFLLYLKLIVDEIDGFVIKGGTYQSLRRLCFLVRHQNPVLPEIEEGALPELVSLQLLCEHLAGPSGIKIRHLRMLQEIELHPEISEPARQEWEEAARNHPNRPIVLPFVTVNDLVENDNEKNPVASPEESRHEGGEAPRPSCVQHMPLSTCNNSGLSSEMDDAVHHDPRESPVETEETTPESVIDEQLLTEEPLKHTPVQTRHENSTVQPRTNGILGSISDHSGLNSPRDDSRNSKPLGKYVALEEPTYENGIQGCPAEEAIRYSLVLTDQTVKYTSRGPLNIATTPFNSTQTRI >KQL12945 pep chromosome:Setaria_italica_v2.0:III:1789225:1792053:-1 gene:SETIT_022099mg transcript:KQL12945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNTKKIKLHDHHFGSPLYDPQMFPATAAAGLSFHPGLVGSLPQQHGGAGGWLQEEYSPTPRTVLATQGSCVGSDPAAFFAAEHLLGMARFDCTLGSTALPAMTATKTAAPFVRSPEAEQLYRPLDPLLLRDGSVRTYYVRPQQRDATEAPPPLKLPLQQQQERGHGLYGNGSTGRLLGGGEPKAPSFSPHATANTLIQAMESPGMQSPIENTLSRSCSIGAPASHTGNVVAAAGHGAPSKTRIRWTQDLHERFVECVNQLGGADKATPKGILKLMNSDGLTIYHIKSHLQKYRIAKYMPASTSSEGKQEKRAAGNDAQNLDPSTGSQITEALRVQVDVQRRLHEQLEIQRNLQMRIEAQGKKLQKMFEEQLKASRTVMEPREELQLQDAGGIGAAAFPGVSEQEGEDAFDDVQLLSVASSGYNDARFPSKIS >KQL16438 pep chromosome:Setaria_italica_v2.0:III:39988080:39988280:1 gene:SETIT_024281mg transcript:KQL16438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSVNKIAVVVCFRPFGSKSLWTNPFFSLGCRFLGYSFKLTFWCCQCRFGFSD >KQL14542 pep chromosome:Setaria_italica_v2.0:III:11905694:11906774:-1 gene:SETIT_023711mg transcript:KQL14542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRKAEMARQSEAFIALPGGYGTMEELLEMITWCQLGIHDKPVGLVNVDGYYDPLIALFEKGATEGFINPDCKQIFVSAPTASELLTKMEQYTRLHQEVAPGTSWLCP >KQL16035 pep chromosome:Setaria_italica_v2.0:III:27255665:27255889:-1 gene:SETIT_025394mg transcript:KQL16035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNQEVKASRKTAVELRGKAGGQQRREVLRVTKSDS >KQL13522 pep chromosome:Setaria_italica_v2.0:III:4980776:4982055:-1 gene:SETIT_024785mg transcript:KQL13522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRDARSTSYSNPPFHLTLRISLVSMSSTKSTSQPPERPLATLTRKNSAPLLWSPKFISLTSPLISNPWRPPGFNTDLIGASVSTSACRSQTGQQQLPAAVRTQSETLISLATSNQVCIRGSPEPTGEPDRPGRAGDDVVGEHAVVAAVHEPVNDAAEDDRRVEGVCEAPPRPAMADELAGAPLPAAGVRRRRHGLHPDRTPEVEEAGHVRREQHEGAADGAVGGGDHDVRVDGADEGVPADVRREWEEGAAAGHGVVHAQVGPALGSPPQVDLAALQDCEPAARRHDLVLLQEAGGAAANEGSEVVLGEGLGGRRLGVGEGEGPAAAEEAHEPGRHDADAVGRRSADAVDEGRAEDLPPEELAGGGAPYDGGGVGARGDLEQEIRRKRQQMSRSTGMFGGVCVVASLITT >KQL12942 pep chromosome:Setaria_italica_v2.0:III:1774454:1775987:1 gene:SETIT_024018mg transcript:KQL12942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFLTGLEANQDKSKCQQQFDDYKECKKKEREARLERNKSRSLFG >KQL13164 pep chromosome:Setaria_italica_v2.0:III:2925872:2928968:-1 gene:SETIT_024653mg transcript:KQL13164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWLPAILRRAAPAVSGGGGGAARLFSSSSLLFDETQEQFKESVHKFAQEAIAPHAAAIDASNHFPKGVDLWKLMGDFNLHGLTAPEEYGGMGLGYMYHCIAMEEISRASGSVGLSYGAHSNLCINQLVRHGNPAQKQKYLPKLISGEHVGALAMSEPNSGSDVVSMKCKAEKVDGGYVINGNKMWCTNGPSAQTLVVYAKTDLSAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPHENVLGEEGKGVYVMMSGLDLERLVLAAGPIGLMQACLDAVLPYVRQREQFGRPIGEFQFIQGKMADMYTSLQSSRSFVYSVARDCDNGKVDRKFFSRQNQASSSSHTNLFNQDCAGVILFAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKLFEIGAGTSEIRRMIIGRELFKED >KQL14094 pep chromosome:Setaria_italica_v2.0:III:8770367:8772319:1 gene:SETIT_024082mg transcript:KQL14094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRASPNLGSGGNINSLNNLCHWSTVQLAAEYKDTATGTLVYSNFSKDNPRALKRKWIDMAGVEGPENPLPTLGLGRSPSSSENSKGSSPTACIMSPSSVKETDEESSMDLGLNFDLCLGHDVVHHHKKPHAGAENIPSASAPKLDLQLSLSTCSPESAVTNASTASLDVHDGLETVVPNSVTDTIGRKSEPSSWVFGHYMASSSYASEATYSFSLAKIPQKVDDAVPSPDVSSAITVSVKSPAACTSGATNPLKRNTNTKCCQFPGCEKGARGASGYCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQYLGCTKSAEGRTDHCIAHGGGRRCSQEGCSRAARGKSGLCIKHGGGKRCQRENCKRSAEGYTGLCISHGGGRRCQFPDCTKGAQGSTKFCKAHGGGKRCTFPGCAKGAEGSTSFCKGHGGGKRCSYQGGGVCPKSVHGGTQYCVAHGGGKRCSVSGCTKSARGRTEYCVRHGGGKRCKFEGCAKSAQGSTDFCKAHGGGKRCSWGQEGSSFGVGGPPCDKFARSKIGLCAAHSALIEDHCVHGGGSLDPAIKQFTTDAKSDEMNVTATKGDVDMANSDNEAVMVWSDHGIPTDPGTTPFPEGRVHGSGLLALLSGRSHACASSSENDASTSLPCAPG >KQL13434 pep chromosome:Setaria_italica_v2.0:III:4458517:4458821:-1 gene:SETIT_025468mg transcript:KQL13434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFILALYDVPCLHRHQYTNACQNRIKKKKQNVTQQIH >KQL13961 pep chromosome:Setaria_italica_v2.0:III:7995706:8000115:1 gene:SETIT_021069mg transcript:KQL13961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALLSRLLLLLLLLRTAIATTVTAPQADDDDDAHSSSLASQAATLLSIKAAFAPPLPPTLRAWTLANTASLCSSWPGVACGGPGGRTVVSLDVSGFNLSGALSPAVGGLAGLRFLSAAANSLSGALPPAVASLRGLRHLNLSNNQFNGTLVGIDFSAMRGLEVFNLYDNDLAGPLPAGLSALPSLRHLDLGGNFFSGTIPPAFGRFPAIEFLSLAGNSLTGAIPPDLGNLTTIRHLYLGYFNRFDGGIPPELGSLASLVHLDLASCGLQGPIPASLGGLTRLDTLYLQTNQLNGTLPPSLGNLTGLRFLDVSNNALTGEIPPELAALRGLRLLNMFINRFRGGVPEFLAGLESLEVLKLWQNNFTGAIPAALGRAAPLREVDLSTNRLTGEVPRWLCARGRLEILILLDNFLFGPVPERLGACPTLTRVRLGQNYLTGPLPRGFLYLPALTTVELQGNYLTGPALEEDDAGVPARLSLLNLSGNRLNGSLPASIGNFSALQTLLLGGNQLRGEIPRQVGRLRRLLKLDLSGNNLTGEVPGEVGDCASLTYLDLSGNRLSGAIPGRLARIRILNYLNVSWNALSGGIPRELGAMKSLTAADFSHNDLSGRVPDNGQFAYFNASSFEGNPRLVMGAPRQWAGASAGGGMEQQQQKASSSSLVGRLKLFAALGLLGCSVAFAAAAVATTRSAMLRRRRHGRSPSSSRWRMTAFQKVSFGCEDVVRCVKENHVVGRGGAGVVYRGAMPGGEVVAVKRIVAAGGGGFQAEVETLGRIRHRHIVRLLAFCSSSSSSSSSPGEADQAARLLVYEYMVNGSLGEMLHGPDGGSLSWAARLRVATEAARGLCYLHHDCSPAILHRDVKSNNILLDARMEAHVADFGLAKFLRGNGASECMSAVAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELLTGLRPVGEHLGGDGAVDLVQWARARSSAGGGVVALLDPRLGGDVPVGEAAQVLFVSMLCVQEHSVERPTMREVVQMLQQAKHHPPPLPTPPPAAARPDDAC >KQL14547 pep chromosome:Setaria_italica_v2.0:III:11956057:11957913:1 gene:SETIT_024523mg transcript:KQL14547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRRSAAVAPSPGLISDGRKAKKPRAAVISFSVTSETFSWVRSPPFSVSGSHLVVLDGHLCMVRDLLRRWPSMLEIWKLEDYSSGDFVGSKAVKVIGSFGDSKPSEKIIIATSRHKVSTYDTMSRTLETIHSTMETETCHRIEPCDIRFSLFRETLVPVYRTKEEIALSSPMAKVTKEILLRLPAKSALNFKFVCQKWHSLIRSDSFCHAYFLHKNVDRRPKIMLVGKLTGEKGFSSIPLDKWLQQASDDQGALLDTKKYRNQGLMLHQDLERHVNPVEPDHHPFAVGNKNVGLGFNPLTQEHVIVEMFYHIKDYQSRRYYLSCSVTDCDSRNVQQLPPPPLPVNDMPSAYLEGMLYWMSEPRLGLNHERAIVSFNIATRMFDVIPCPSRIARSWDSQSPRRAFVAELEGVLCAVLANPVRDELCMWTWEHGQWDRSYTIYLKSCLDYSLGTNIVVPWAIDPTDGRILLNTGRRLGFYDPLKREVENYIALDQVPLLKWKEQTLCPGAGDQMPLDQPSSSSTSPGKNLSHSIDRSEELNGMSCNLSPLVPMLYEESLAYYRTPGRARILQALPI >KQL13760 pep chromosome:Setaria_italica_v2.0:III:6572367:6574530:-1 gene:SETIT_022043mg transcript:KQL13760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGGGERPWAPAGAAGLAVGATLLCNVASLAYLLRGYVVDGRRRRRQGCAARSGADDGALLADEETEAPDHAVALPPDAVLNLELGDPTMYEAFWREVGDRAATVIRGWQAMSYFSDPDALCWFLEPEFEREVRRLHRVVGNAVVDGYHLVVGTGATQLYQAAMYALSSPARGDKPVPVVSPAPYYSSYPPQTDLQLSGFYRWAGDASTFAGGECIELVCSPNNPDGAVRESVMSSAAGAKPIHDLVYYWPQYTPITGRAAHDIMLFTVSKITGHAGTRLGWALVKDREVAKKMVYFVDRSTIGVSKDSQLRATKILSVVSDAYEAPPAGDGGAAVPRLFDFARRRMEERWRTLRATVAASGAFSLPEEIAGYCDFNKQTVAACPAFAWLRCEKEDVEDCAEFLAGHKIVARGGKQFGGDARCVRINMLDRDQVFDMLVQRLSAIN >KQL15802 pep chromosome:Setaria_italica_v2.0:III:24034065:24034330:-1 gene:SETIT_024500mg transcript:KQL15802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLELGDFRDGFSVLLLMHAAIGGREGRRENYWLLLQSWWTEELRRGVLLIIFDLLLANLEEEKERNWLAGC >KQL14209 pep chromosome:Setaria_italica_v2.0:III:9555323:9559758:1 gene:SETIT_022574mg transcript:KQL14209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSALLKSTSELLRRSRRGYASSANPERKVAVLGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINSPAFVKGFMGDDQLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKALCTAIAKHCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTTLDVVRAKTFYAGKAGVPVTDVNVPVVGGHAGITILPLFSQATPASNSLSQEDIEALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDIVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGELNDFEKKGLENLKGELKASIEKGIKFAHGN >KQL15164 pep chromosome:Setaria_italica_v2.0:III:17557346:17560738:-1 gene:SETIT_023460mg transcript:KQL15164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASQASLLLQKQLKDLAKNPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMTFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKDWREKRDEFKKKVRRIVRKSQEML >KQL16708 pep chromosome:Setaria_italica_v2.0:III:44313134:44313916:-1 gene:SETIT_023743mg transcript:KQL16708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVSRLSTEKAVVIFTRSQCPMCHTVLSLFSELGVCAAVHELDKDPRGRDMERELARRLGRAPPVPAVFVGGKLVGSTDTIMSLHLAGKLVPMLKAAGAIWL >KQL14638 pep chromosome:Setaria_italica_v2.0:III:12578336:12578569:-1 gene:SETIT_024106mg transcript:KQL14638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHQVKLHSNRSRLCHLKLTRISIAFIILKSYRRNKLWLKFWKLKFQLNSKTNYSHHPSHTAFRFESIIRNCFVRT >KQL14169 pep chromosome:Setaria_italica_v2.0:III:9317089:9317918:1 gene:SETIT_023770mg transcript:KQL14169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSMTSSASARAQWTKKQNKLFEQALAVYDKDTPDRWHNIARAVGGKSAEEVRRYYELLEEDVKHIESGKVPFPAYRCPGGAGTLGYEADRLRHLKI >KQL14810 pep chromosome:Setaria_italica_v2.0:III:13984844:13995181:-1 gene:SETIT_021004mg transcript:KQL14810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAVTDDSAASTAGMRDDERSLSGESLSEWRSCERADSDSPSTSPPFWDTDGEDDDPAGPKPSELFGRYTWKIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVANHDKLLPGWSHFAQFTIAVGNVDPKKMKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKVDRPFRCLDRPYRRELLRVYMTQVEQIYRRFVEERRSKLSKLIEDKTRWPSFCGFWSAIDPSTRRRMSREKTDTILKVLVKHFFVEKEVTSTLVMDSLYTSLKALEYQVNGKKGSTKVSDLEELPAPMVHIDMDMFVLAGDVIPLIKRAASEPLPCQPLAPKDDKTSQSRMKDGTAGEVYKVSMEREERRLTELGLKILETFVLSHIFSGIEVAYQEAVALKRQEELIREEEEEAGLLENHMKGKRGGGANEKDKRAKKKQAKQKKNNRKVKDRERDEKCEVKILERFHDEIAIDNSDGLPVVEVTAKVDALEEGSSDGSDMPNRGKNQHNKGLSIVGFAEEGDGLPSTSSVAGGLGRNSSGFCTVPKLDQDTVLLTLRDKLRKLGQRLHEKNIEGQKLLKAHFEARDAKAKEAESSNSSSSLEKPPDVPESPKHSSEVAVDLKANGMPTKDVSVVNCMPEEAVSGIPPPTNTEAVTAPATAKIDLVSNKVNGSSSKMKGNTASKPPAVDVDKDAPLPSKSPRINRAASVPPKLPLVDKVTPVPPKSPPINKAPAVRPKSPAIDKTTQVRPKSPAVDKAAPVRSQSPAVDKATPIRPKSPAVDKAPPVCPKSPASDKATPVLPKSTPVDKASPALVKSPTGGKDASGPSRSSVHEKSIPAPPRLPQVDKAALPSSELPQTSSDANSEAPEAATFRKVTANLVSEVTASRPSSAPVFPTPRSTAPTTSHVHISSLLSCSMSEAAGRSVNGPSPSAPPYTPQTYRNAIVGKAGLCTTSASLSYHSTSLSQDTTLSQPLSAYASSTAVMMPPAGRPDQLSTRHVLKSGLGKLEAHDSWQQWKGDSNINKHLWRDQAPYQQMTNGQAYEQPRRDDSYQQASSRGTEKLSRYGGLQSRQFQSGTSDSHVWHQQQGPVPEEFPHLDIINDLLEEDHINSNMPDSFRQDYHAFGRPFSSRGNLADMEMASVSSPGRFNSTDHYYDDGFSRSYDMSALHGLRERQFPSMGTYSNGLSDISVSKPWLNGSPNPAVSLGANTNGYHHQVGDYTNLGGGVNGVSVWRRHANGRW >KQL14294 pep chromosome:Setaria_italica_v2.0:III:10207407:10209066:-1 gene:SETIT_024474mg transcript:KQL14294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDFVTTGLIEDGRGRAPSSETVPFPQDNEVVIFRDLFNAGLRFPLDPIIIGILLKYKMFLHELTPNGVLRLRVFMWICKTMGVAPTVENFSGNLVKEEAQFGCLNFHYKTESSTPETSYKNRWDDDWNCFWFYHTVEVILRLVPIYLFASNFSYDKRDLVEEYCTVKIFPVKVDWAIASWKDFAKVEERANIILGPESAKEYNELEKRLDGSCSNRVFDPSPQKTKQAQEISCGSRQKHSRFEPAASTEVVESSPGESENQGTGSGPGEDERNFLDNALNVSPAHEEDVEITSPSSYHAPEDPAIPSTVSTEAIAGTASKPFEIHYCDDEPKSDDEPLLRRPQNRAPQAVVDTVVPSVACQGPFAAPEVPSTPVDETVAKEEAVSAGIMSSDLKTESDDPSSVLF >KQL15694 pep chromosome:Setaria_italica_v2.0:III:22639998:22643230:1 gene:SETIT_023311mg transcript:KQL15694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKMGRFFESVGNFFTGGDNIPWCDRDIIAGCERELADASTEGQRNDSLMRLSWALVHSRQTDDVNRGISMLEASLDNSGSPLQTREKLYLLAVGHYRNGDYSKSRQLVERCLEIQPDWRQAISLKKAIEDKIAKDGLIGIGIATTAVGLLVGGIAAAVADRAGVPDLSVRGITSICGGGDSCDPTTNEQVVAP >KQL16051 pep chromosome:Setaria_italica_v2.0:III:27610148:27612814:1 gene:SETIT_021189mg transcript:KQL16051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDNDSTDSGQIRLCCGNPSPMVADAINRAWYSDMNSKFAPSLLESSVDAYAWYPDPGLPSAAPYITARIFTSNYTYSFRVSSGRMFLRLYFFPITYVSQDSNNFNASQTALATNSGFFVLEYSVNVIAGRLDLTFSPSTHQTGSYAFINYIEIVPTPDLFTTTTPTLANGGNPNPFPIDPATGFQTMYRLNVGGQAISPQGDIDFYRQWDDDSPYIYDSGFGVSFGKDKNLSITYTPSVPNYTAPVGVYESARSMGPNAQVNLNYNLTWILPVDAGFYYLLRFHFCEIQYPKTEVNRRSFFIYINNQTAQQQMDVIAWSGGIGRTAYSDYAILTNGSGRMDLWVALHPDLSSRLTFPDALLNGLEVFKLQNDEHNSLAGLDPPLPSARNPNGALPTAIGKPGGRNSKGVAQAAAGGAAGGFAILLFACFGMCIICRCKKNVAKDYGMTGVKGNMEPRHRNVTPVPGTQEQFRPWHVTNFRKYHATFLDTVPQPDRPGDMVTMGRTNNKHLNQIECNNMPSNLCRHFTVKEIQAATNNFDETCLLGKGGFGNVYHGKLDDRVKVAIKRGNPLSQQGPHEFRTEIETLSMLRHRHLVSLIGYCNENNEMILVYDYMANGTLREHLYNTKKSPLHWKQRLEICIGTARGLHYLHTGAKQTIIHRDVKTANILLDDKLVAKVSDFGLSKASPDMDDTHVSTLVKGTFGYLDPEYFRRKQLTQKSDVYSFGVVLFEVLCARPVINTQLPEEQVSLRDWALSCLEKDVLSEIIDPHLQGEITPECFIKFAESAAQCVADRSIDRPSMSDVLSNLEVARQLQESSRDKSSGAEAMASRAKTCVDSANPAKDSTMSVAGQEVVFSDIAYAEGR >KQL16057 pep chromosome:Setaria_italica_v2.0:III:27775283:27778383:-1 gene:SETIT_0219831mg transcript:KQL16057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEPERDGEEQRRPLLSSTSSSSPAASAAEQHQQQYQFLGRSSSSVLRGGGLAWGGPEVSADEVRSAASFSPAAGFYPPPQALAAHGDNLYPYPPSIHSAVLSPSPSHAPSSPHPNEGLAIVPQGPYPYGGSYQPSESVARDVLDEVEIRQLLIDHVGHRCCWGSRPARTWKITSVEDCNVYVGTLETFIEERDIVPRKEPCESGKIDGRDKGPVLGVWELDLRSEFPLLFVPEKEVMVKIPHSEVIEKCS >KQL16876 pep chromosome:Setaria_italica_v2.0:III:46163886:46164396:-1 gene:SETIT_025509mg transcript:KQL16876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPRVARRSGDGGGQRAIWPEAKVGNARSGRRWWYAARNPATGSDRECPGRPPNPLFADLCSSRAIANVRIRAA >KQL17273 pep chromosome:Setaria_italica_v2.0:III:49389932:49390246:1 gene:SETIT_024835mg transcript:KQL17273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASTSNTSLVVSMLWYLVPSEATGLDGFVLVTNCIWSLLSISRRSATSFIVGLSSPLGLRQCMTSSASFSSTTITSSSIISQSTKSQSLFWLTSV >KQL13131 pep chromosome:Setaria_italica_v2.0:III:2778623:2781082:1 gene:SETIT_022095mg transcript:KQL13131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIQRLKQQLEATSESDAARAKQCEYAEAEIEGLKQEMEIRLATIEGLKVNVGESDKAAAEANAVATEAKQQLETARTTIDSLIAEGVRMQECLRSKDMELNESKAHIVLLEEDLKKAQEMANTEVSFGDPEPEALKKVVTGDGNGFCDSSDQEIEHLRTALEVAEIRYQEEQTRMTIETKTAYEMLENMKAEYTRRVGELELELKNKNDALMEATATACAAGKVQQDPHKSDAMQPELEAKLMRSITDIAELKASLMDKENALQSLAEENETLKTQAGRTEAELQQKYEVAVAELELAKAAEQDVRMRLGLVTEEADKSSRRAARASEQLDAAQAASGEMEAELRRLRVQSDQWRKAAEAAAAALSVPCGGDNNGVGRTVERMGSLEPEYNNSIGGKLMSSPFSDEVDEGSPKRRNSGSVLRRMSGLWKKSPK >KQL14488 pep chromosome:Setaria_italica_v2.0:III:11560664:11561102:1 gene:SETIT_025508mg transcript:KQL14488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMETAAVDCVAKNLRLYLHQAIYPSQLARHQQCPACLGDLRGE >KQL15116 pep chromosome:Setaria_italica_v2.0:III:17180026:17180238:-1 gene:SETIT_025227mg transcript:KQL15116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYYSYQQHSHSSGGNFGSKEKRPPLKRGQLKRQIVRTISSLVAPRNAESASAREKADRGSGFSREPSYN >KQL16014 pep chromosome:Setaria_italica_v2.0:III:27062581:27064451:1 gene:SETIT_024687mg transcript:KQL16014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFGQVPIGLFFIDKVKVRDIVITSYAYESLTTLTSSVPSFDLPSWIGTESHLMNRAVAHYYKRLYPNYAPSDGEENDIDTPLVSQGGKQIAYGPPTSETILGADAPPPSRSKRKPPSKLLRKRKKKATVSLLLMGKSVSTSASASASAPPLNTETQKITLCRQQLPIQEIIFVISPSMQSNASVSNTYYNPYLLCRMNHRLKKRTTQPETCPLAPQSPRIIADEEDPKRGIQQQEIPVQATNPSMSDLFSFSIEEFADEEDTDSSHSVQNNPDIKDQLSAILQLLRQDTSVLLENAKPIQRLFGQIRTHLTDELMTLLTLAAFIESRYSEVQGAKKRIADRQANYQANAQSNIVKLKARVLKQEIDSFDASLSLDAQEIERDLMLELDRMNKALVEAQDSLNKYSLIIQEKKKELADSINQVRHQHHQVNDIPGSNKEDMQLLADVDQIRLRAVEAIKKVL >KQL15987 pep chromosome:Setaria_italica_v2.0:III:26562309:26563846:-1 gene:SETIT_024289mg transcript:KQL15987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKEKEKQEEKHEGTDVEEEEDEDGNKRIVVLGPQVPLKEQLELDKDDESLRRWKEQLLGQVDTEQLGETAEPEVKVLNLTILSPGRPDLVLPIPFQADEKGYAFALKDGSPYSFRFSFNVSNNIVSGLKYTNTVWKTGVRVENQKMMLGTFSPQLEPYIYEGEEETTPAGIFARGSYSAKLKFVDDDGKCYLEMSYYFEIRKEWPGTQ >KQL14405 pep chromosome:Setaria_italica_v2.0:III:11033164:11034072:-1 gene:SETIT_024400mg transcript:KQL14405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSTTTVALQVVALLLTLTIAASTATTGSAAPVNTSCVTGSAGATVSIGYSGARASAGAGVSLGAGVYRATCPRAEEIVRAAVERAVAADPRMAASIIRLHLHDCFVNVRCLFARLDPGLRRLRAPGRQAALLHRREDANSLRDFEVIDAIKAELERECPETVSCADLLAIAARDSVVVSGGPSWEVEAGRKDGRTASLQGANVNLPAPTSGVATLRAEVQERRPLRQGHGRPLRRAHHRQVT >KQL15992 pep chromosome:Setaria_italica_v2.0:III:26620043:26625060:1 gene:SETIT_021598mg transcript:KQL15992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANAISTASLLRPLSQGRARRARNGRSQRFVVRADAKDIAFDQKSRAALQAGVEKLANAVGVTLGPRGRNVVLDEYGAPKVVNDGVTIARAIELYDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKKGIDKTVQGLIQELENKARPVKGGGDIKAVASISAGNDEFIGSMIAEAIDKVGPDGVLSIESSSSFETTVDVEEGMEIDRGYISPQFVTNLEKSIVEFENAKVLITDQKITSIKEILPILEKTTQLRAPLFIIAEDITGEALATLVVNKLRGILNVAAIKAPSFGERRKAILQDIAIVTGAEFLAKDLGLLVENATEEQLGTARKVTIHQTTTTLIADAASKDEIQARVAQLKKELAETDSVYDTEKLAERIAKLAGGVAVIKVGAATETELEDRQLRIEDAKNATFAAIEEGIVPGGGTAYVHLSTIVPSIKETIEDPDERLGADIIQKALVAPASLIAHNAGVEGEVVVEKVKESEWEVGYNAMTDKYENLMESGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKPKPRVAEPAEGALSV >KQL13061 pep chromosome:Setaria_italica_v2.0:III:2348989:2350678:-1 gene:SETIT_023191mg transcript:KQL13061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMKVHGWAMSPFVSRALLCLEEAGVGYELVPMSRYAGDHHRPDHLAMNPFGQVPVLEDGDLTVFESRAIGRHVLRKYRPELLGEGNLERSAMVDVWLEVEAHQLHPAMGAISVECFAPFLGRARNQAVIDENVEKLKKVLEVYEARLAQSRYLAGDLVSFADLSHFTMVHYFMATEYAAVLDAHPHVKAWWEELAARPAARKVAAFMPLDFGAAKEV >KQL14575 pep chromosome:Setaria_italica_v2.0:III:12088046:12095170:1 gene:SETIT_021508mg transcript:KQL14575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPHSCRGKLKAPAAIHDDSSTRLWECRVAESEPNKPSLPSNLSGRSRGRSHLTSPPMSRLLSRRHLAAAAAARRSAPLACVSRWLHTPSFATVSPQEISGSNPAEVHNFVQGSWTASANWNWIVDPLNGEKFIKIAEVNGTEIKPFVESLSKCPKHGLHNPLKAPERYLMYGDISAKAAHMLGQPAVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQRSNGYRWPYGPVAIITPFNFPLEIPLLQVMGALYMGNKPLLKVDSKVSVVMEQMLRLLHDCGLPAEDMDFINSDGVTMNKLLLEANPKMTLFTGSSRVAEKLAADLKGRVKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSVLFMHKNWSSSGLLEKMKKLSERRKLEDLTIGPVLTVTTEAMTEHMNNLLKIPGSKVLFGGEPLENHSIPKIYGALKPTAVFVPLEEILKSGNFELVTKEIFGPFQVVTEYSEDQLDLVLEACERMNAHLTAAVVSNDPLFLQDVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPVPKNWSLPSAT >KQL14574 pep chromosome:Setaria_italica_v2.0:III:12088046:12091884:1 gene:SETIT_021508mg transcript:KQL14574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPHSCRGKLKAPAAIHDDSSTRLWECRVAESEPNKPSLPSNLSGRSRGRSHLTSPPMSRLLSRRHLAAAAAARRSAPLACVSRWLHTPSFATVSPQEISGSNPAEVHNFVQGSWTASANWNWIVDPLNGEKFIKIAEVNGTEIKPFVESLSKCPKHGLHNPLKAPERYLMYGDISAKAAHMLGQPAVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQRSNGYRWPYGPVAIITPFNFPLEIPLLQVMGALYMGNKPLLKVDSKVSVVMEQMLRLLHDCGLPAEDMDFINSDGVTMNKLLLEANPKMTLFTGSSRVAEKLAADLKGRVKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSVLFMHKVKTNCKCGLLCWWAWVVIVDYVHRL >KQL14576 pep chromosome:Setaria_italica_v2.0:III:12088046:12095170:1 gene:SETIT_021508mg transcript:KQL14576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRPHSCRGKLKAPAAIHDDSSTRLWECRVAESEPNKPSLPSNLSGRSRGRSHLTSPPMSRLLSRRHLAAAAAARRSAPLAWLHTPSFATVSPQEISGSNPAEVHNFVQGSWTASANWNWIVDPLNGEKFIKIAEVNGTEIKPFVESLSKCPKHGLHNPLKAPERYLMYGDISAKAAHMLGQPAVSDFFAKLIQRVSPKSYQQALAEVQVSQKFLENFCGDQVRFLARSFAVPGNHLGQRSNGYRWPYGPVAIITPFNFPLEIPLLQVMGALYMGNKPLLKVDSKVSVVMEQMLRLLHDCGLPAEDMDFINSDGVTMNKLLLEANPKMTLFTGSSRVAEKLAADLKGRVKLEDAGFDWKILGPDVQEVDYIAWVCDQDAYACSGQKCSAQSVLFMHKNWSSSGLLEKMKKLSERRKLEDLTIGPVLTVTTEAMTEHMNNLLKIPGSKVLFGGEPLENHSIPKIYGALKPTAVFVPLEEILKSGNFELVTKEIFGPFQVVTEYSEDQLDLVLEACERMNAHLTAAVVSNDPLFLQDVLGRSVNGTTYAGIRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDIGPVPKNWSLPSAT >KQL16313 pep chromosome:Setaria_italica_v2.0:III:37172089:37173091:-1 gene:SETIT_025644mg transcript:KQL16313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFAWLLVLITLLLICRELLMKWWIGLMVSNYGNFAKRNMSPYGSGEGSTVIWSSSQNTSGTSRNLSTQ >KQL13568 pep chromosome:Setaria_italica_v2.0:III:5270636:5272093:1 gene:SETIT_025181mg transcript:KQL13568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHTRYLPQASSTCADESECFACDHQPAINEIEKVQALVAQLRAIILPEYEMIPDLRLELVSQLFGSLQDCASKAILELQLLTTHPNMNVLCSNSINKRERIYFMHTNIYQSWRYGESTSYMTPVPHYDGHQWRKYGQKNITNSKHQRSYYRCTYKHEQNCMATKTVQQQEHNTTETVMYTVVYYAHHTCKANTGPALPHVIETSTPQSAMSPDRIIASQETVSPHTGSHKILENGHATQQLTEDMQVLLKKIACAPLDSDIWEMDAIL >KQL15096 pep chromosome:Setaria_italica_v2.0:III:16685941:16687514:-1 gene:SETIT_024437mg transcript:KQL15096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRRLLGLSAAASGRLRRGFSAASWRPPWAMVQHVAALNMPAPGPRASLRLAEPPCASRLVVPAHLVRPPRDLDPPGDTIYAAFAGIVSAASGDGLLLLTFYDFPATAPVVPGVVMPGGIRGRMLTEVAEEPEVTRFVCNPLGGQLVRLPDIDGTTKTLWYSDIGILTQSELPDQPPDKYAVAVLSNGQDRSFVLRRFLSQTGKWDKMVGLPSPLQLARPMDMYIAHEAVAFAGRLWWVDVARGALSVDPFSDRPELRFVELPKGSVTEHADCKKRRDLCRYRRMGVSEGRMRYAEVSQEEPFLLSSFALDDDGSCWTLEHRVALRRLWPHEDLCKNKPQIAVVDPLNASVMHLTVGKQCLSLDMGTWNSLGCTLIGEDDHRRAEFELLKPCVLPQWLQSSQIPSSGTLSRNKDNVKSKSLSDILVRVDRVKKN >KQL14545 pep chromosome:Setaria_italica_v2.0:III:11913384:11916210:-1 gene:SETIT_022151mg transcript:KQL14545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWIKSLVALKAPEKAAGHKGGRKWTRLWRSSSSAASRGASAGEGGALASEASSASADSFSSVLAAVVRAPPRDFRLIRQEWAAVRIQTAFRAFLARRALKALRGIVRLQALVRGRLVRKQLAVTLKCMHALLRVQERAREQRARSSADGHGSQDALKGRATSTKDAEEQWCDRQGSVDEVKSKLHMKHEGAAKRERAIAYAHFHQHRNSKSSGRPSSPARFIRSHESNRCNHNLNYLEGWMATKPWETRLVEQNDTDSQFAKNCEDLNLAVSNASSVKIRRNNVTTRVAAKPPSVLSASSSDLVCEESSPSTSSVTPVSATTTILASEARSDSGHIGGPNYMSLTKSAKARLHGCSSHRGSFQRQRSGDMSRVALSSIDTQSNAGSDISVTSKRLNNMSLKGRSMTRSMDKENDY >KQL17278 pep chromosome:Setaria_italica_v2.0:III:49444234:49445643:-1 gene:SETIT_024693mg transcript:KQL17278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSYLLLLVFLSFISSSPSMAQINSGDYETLLTPQEPVLPRHSYNNLTDQFPTVLYGCSALSYLDLSNNLFSCALPADIDKLSSEMEHLNLSTNGFTGSVPSEIVVFPKLKSLLNELGTLTLAYNPFAQGLIPDEFNKLTNLKTLWLSGMNLTGGIPDKLSSLTELTTLALYSNKLHGEIPAWVWKLPKLEILYLYSNSFTGGFGPEVTCFNLQELDLSANLLTGTIPEAIGKMKNLTLLFLYQNNLTGSIPPSIGLLPNLFEIQLFNNMLSGPLPPELGKHSPLVILEVHNNLLSGKLPDTLCYNKKLYFLAVFNNSFSGVLLANIGDCHTLYNIMAYDNNFTGEFPEKVWWAIPKLTIVMIQNNGFTGTLPCVISPKMTRIQIRNNLFSGALC >KQL17391 pep chromosome:Setaria_italica_v2.0:III:50176235:50183395:1 gene:SETIT_020959mg transcript:KQL17391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDNGDADDLGSGWFEVKKKHRSSSKYTLQRSSGGSSQKIPNSSSRSRPNCSSDSSRWHDRPQHPPPNINANVGVDESGTGETTNVLAERCNDVGASDLKGVLNTSASEYVAERPDELLVAEETSEPPKTSLADHANPSVPHESSTCSGSVAKCADDSQHVKCSPKTESLGVLSNTPVKFGDFDEVPGLSLPSDSYRDNSSSRDHGHGGDAAHSRNEQKDESKPKVETNSCATIDEASPIIIQGTETPSDDTIGPLDAHETPESMLNVSGSAASTDSVSLPCSSNDHEVPVTSSSVASTESRTLLPNHAPASADFGSETAESKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEAISDFQELKSRAEHFDNTKKSPGVPKEGMPMAVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELACKQAGITAERFTSSSSGEVLSSSSELTTASATVRNISLKVESQVKLPDSGSEKKIAGEKQIRDAFKSDKSHPQSMPSYSARSRRGSLEPISEIEKHTFKNDRELPENKFDRLKSADVVKKSTVHLEKEKQITAPWKSMDAWKEKRNWEDILKSPARSSRVSHSPGVGRKVTDRGRVLHDKLMSPEKKKRSALDMKKEAEEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREVMNARHQRGESRHEAYLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLYDSEMRRAEKLQVIKTKQKEDTAREEAVLERRKFLEAEKMQRLAEIQRKKEEAIFRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYHLEQIRERASMDLRDQTSPFQRRFPSKDGQNRSTNSGEDSQITGNSSTADSVVKSSNNVQMKRRIKKIRQRLMALKHEFIEPPIGESTGITHRAALGAAKAKLSRWLQDLQKLRQARKEGTASIGLIVGDMTKYLEGKDLELHASRQVGLLGFIASALPASHTSKPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSASLENYIKVAASNSGSSNLLPNKTSTENTESSGEVLDGFLWTVTMIVGHVHLDDEQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSIRFGLNLLAVLTSKPGNFSTIDWESCKCRTLGGTIVQEYEYLSSQDSMGNQLMTLEQSGDNKLASLCSELPEENKSCKLHDLSIPGDRKLVDEARKDLIPVSGGLNNPAMQPPDLGIATEKRSEIPSQGDENSTIDSFLEGRKVNNVGSGYNSSPGKGNETSLKHPVMLLLSAMTETGLVSLPSLLTAVLLQANNRSSSEQTSAILPSNFEEVATGVLKVLNNVARLDINLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAENQAVLRWGKSPTILHKLCDLPFVFFSDPELMPILAAALIAVCYGCDQNRSVVQQEISTDMLRSLLKSCQTSLTSPDSIAVDGSGNNSSDNTQSLLDTRSPQGDIPIRSSRKIGRPVVGKGVSGGIRFNRNRVQKDGRGRGVDDGPLKQRAGEASSNFMLHRKIPASFLDRAEEFFCSET >KQL17390 pep chromosome:Setaria_italica_v2.0:III:50176235:50183395:1 gene:SETIT_020959mg transcript:KQL17390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNDNGDADDLGSGWFEVKKKHRSSSKYTLQRSSGGSSQKIPNSSSRSRPNCSSDSSRWHDRPQHPPPNINANVGVDESGTGETTNVLAERCNDVGASDLKGVLNTSASEYVAERPDELLVAEETSEPPKTSLADHANPSVPHESSTCSGSVAKCADDSQHVKCSPKTESLGVLSNTPVKFGDFDEVPGLSLPSDSYRDNSSSRDHGHGGDAAHSRNEQKDESKPKVETNSCATIDEASPIIIQGTETPSDDTIGPLDAHETPESMLNVSGSAASTDSVSLPCSSNDHEVPVTSSSVASTESRTLLPNHAPASADFGSETAESKERFRQRLWCFLFENLNRAVDELYLLCELECDMEQINESILVLEEAISDFQELKSRAEHFDNTKKSPGVPKEGMPMAVKADHRRPHALSWEVRRMTSSPHRQEILSSSLEAFQRIQLELACKQAGITAERFTSSSSGEVLSSSSELTTASATVRNISLKVESQVKLPDSGSEKKIAGEKQIRDAFKSDKSHPQSMPSYSARSRRGSLEPISEIEKHTFKNDRELPENKFDRLKSADVVKKSTVHLEKEKQITAPWKSMDAWKEKRNWEDILKSPARSSRVSHSPGVGRKVTDRGRVLHDKLMSPEKKKRSALDMKKEAEEKHARALRIRSQLESERVQRLQRTSEKLNRVNEWQAVRSSKLREVMNARHQRGESRHEAYLAQVAKRAGDESTKVNEVRFITSLNEENKKFLLRQKLYDSEMRRAEKLQVIKTKQKEDTAREEAVLERRKFLEAEKMQRLAEIQRKKEEAIFRREEERKASSAAREARAAEQQRRKEIRAKAQQEEAELLAQKLAEKLRESEQRRKYHLEQIRERASMDLRDQTSPFQRRFPSKDGQNRSTNSGEDSQITGNSSTADSVVKSSNNVQMKRRIKKIRQRLMALKHEFIEPPIGESTGITHRAALGAAKAKLSRWLQDLQKLRQARKEGTASIGLIVGDMTKYLEGKDLELHASRQVGLLGFIASALPASHTSKPGACQVTVYLLRLLRVLLSLPANRTYFLVQNLLPPIIPMLSASLENYIKVAASNSGSSNLLPNKTSTENTESSGEVLDGFLWTVTMIVGHVHLDDEQLQMQGGLIELIVAYQIIHRLRDLFALYDRPQVEGSPLPSSIRFGLNLLAVLTSKPGNFSTIDWESCKCRTLGGTIVQEYEYLSSQDSMGNQLMTLEQSGDNKLASLCSELPEENKSCKLHDLSIPGDRKLVDEARKDLIPVSGGLNNPAMQPPDLGIATEKRSEIPSQGDENSTIDSFLEGRKVNNVGSGYNSSPGKGNETSLKHPVMLLLSAMTETGLVSLPSLLTAVLLQANNRSSSEQTSAILPSNFEEVATGVLKVLNNVARLDINLLQCMLARSDLKMEFFHLISFLLSHCMNKWRVPNDQVGLLLLESLLLLGYFSLFHAENQAVLRWGKSPTILHKLCDLPFVFFSDPELMPILAAALIAVCYGCDQNRSVVQQEISTDMLRSLLKSPQGDIPIRSSRKIGRPVVGKGVSGGIRFNRNRVQKDGRGRGVDDGPLKQRAGEASSNFMLHRKIPASFLDRAEEFFCSET >KQL13388 pep chromosome:Setaria_italica_v2.0:III:4214353:4216223:1 gene:SETIT_023621mg transcript:KQL13388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKARSSAKQSRAQAQAQQQNGGHALSSKLARYLDPEASWDKDQLLDAVHWIRQAVGLICGLLWGAVPLVGAVWIALFMAISTGIIYWYYAYVLKIDEEEYGGHGALLQEGLFASFTLFLLSWTLVYSLAHF >KQL14764 pep chromosome:Setaria_italica_v2.0:III:13701562:13705978:-1 gene:SETIT_022332mg transcript:KQL14764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQAAAGEALAAQINAMSRSEMYDMMSKMKTLIDHDQETVRRMLVDNPDVTRALFRAQVVLGMVKTPKTAQPSDTVQPTAAPTAPSSVKATAPDHVSLPPPLLPANQQSVAQHSTPFPSGPSNVGSTMDLPTMSANPPQAAQAKGYPIHQMPSSIPQSSQHPMTLPHAPPQYSNLPSHMPIVHSQPQQPLQNPGMFNQQFQPPLPQMPRPQSMQSFSHQMHPQVPNSFGLTHGNAPQHILQQQMFHPGGNPQTLQPGGNPQTSFLAGQPPLPSQPPPQLYPASSHYNTQSTTPMQVDRSAPWGRGPEAPAAGSHFPGQLPGLPGQMAQGIGGIQAGQAPLTPEMEKMLVQQVLGMSAEQINMLPPEQRQQVLQLRDMLRQ >KQL14763 pep chromosome:Setaria_italica_v2.0:III:13701206:13706101:-1 gene:SETIT_022332mg transcript:KQL14763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKQAAAGEALAAQINAMSRSEMYDMMSKMKTLIDHDQETVRRMLVDNPDVTRALFRAQVVLGMAQPSDTVQPTAAPTAPSSVKATAPDHVSLPPPLLPANQQSVAQHSTPFPSGPSNVGSTMDLPTMSANPPQAAQAKGYPIHQMPSSIPQSSQHPMTLPHAPPQYSNLPSHMPIVHSQPQQPLQNPGMFNQQFQPPLPQMPRPQSMQSFSHQMHPQVPNSFGLTHGNAPQHILQQQMFHPGGNPQTLQPGGNPQTSFLAGQPPLPSQPPPQLYPASSHYNTQSTTPMQVDRSAPWGRGPEAPAAGSHFPGQLPGLPGQMAQGIGGIQAGQAPLTPEMEKMLVQQVLGMSAEQINMLPPEQRQQVLQLRDMLRQ >KQL14921 pep chromosome:Setaria_italica_v2.0:III:14908506:14909135:-1 gene:SETIT_025682mg transcript:KQL14921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVGPCLSTTTILCGCCSAGDPRRCDAILCEAWPCGTTCPCKAAKPLHLLAGHARSGSGRHRDQGASVARRGLIV >KQL13874 pep chromosome:Setaria_italica_v2.0:III:7380264:7381619:1 gene:SETIT_025686mg transcript:KQL13874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPHSVRGERGRCQAPLHDLGKFLSSCSGRMPSSASVAPDVWGGARTSVWPDLGCYLWHLVQLDGSACCCVLLRPTVNVGFAPVNRLGANDSIQVGLSPPVADTHRRSVVVPSFVCLICFFFVE >KQL13360 pep chromosome:Setaria_italica_v2.0:III:4018995:4019468:-1 gene:SETIT_025522mg transcript:KQL13360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMSGLPPACAVRWKVEGRLLDRARTTSRCDVIMTFP >KQL14401 pep chromosome:Setaria_italica_v2.0:III:11005742:11010410:-1 gene:SETIT_021641mg transcript:KQL14401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGPAAGDPACSPRAPSTTLRRRPVPISTDTSRRGDDGAPRELERGAGEARQEGGSMASAPGVEEQAAVAAAARGRDDLEAGEPMSPAGRLFRETHLNCHIVALIGLGAAVDVAAARAGLEATLVRHPRFSSVQVKDDVKNKNAKPRWVRTTVNLDDHIIFPHLDPAATSANPDQAVEDYLSSLSTAPMDHSRPLWELHVLDFPTSEAASAVAVRMHHSLGDGISLLSLLIACTRSAADPARLPELPPAPRRAGPVHARPRPPLSAGLAALALWVWSYAVLAWHTLVDVACFVATSWFLRDPRTPFAAASEGVEFRRKRFVHRTLSLDDVKFVKTAMKCTVNDVLIGVTSAGLSRYYFRKTSDTNERKKSQKNICVRSALLVNIRKTPGLHALAEMMDSSKNNGAKWGNLIGYVILPFHIAMHDDPLEYIRQGKRTAERKKTSLEAVFTYWSGNLIVKLFGMKAAAALCYGMFTNTTMSFSSMVGPAEKVEFYGHPIQYIAPSVYGHPHALTVHYQSYMNSIKLVLAVDDAQFPDSHQLLDDFAESLRLIRQAASTK >KQL16618 pep chromosome:Setaria_italica_v2.0:III:43053873:43055664:-1 gene:SETIT_024922mg transcript:KQL16618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAREALQGGLELRVTVPEGASVTVEHEAAGGAAARAWAWLLACVAAAWGRVAGFAREVWRIGADDPRKVVHGLKVGLSLALVSIFYYTRPLYDGVGGAATWAIMTVVAVFEYTVGVHWVADKTGEFEPYILTGSLFLLAAAATFSRFIPTVKAQFDYGVTIFILTYNLVAVSGYRVESVDELAALVQQRISTIAIGILTCLAVAIFVCPVWAGQELHLLTTRNMDKLAAALQGCVEHYFAEGPAAQPQARSDCDRCVLNSMAYEDEQVNLALWEPAHGRFGFCHSYDQYGKVGAAMRACACCVEALSSCASAETQAPEHVKRLLRDACTRAGARCAQVLREASRSVATMTASSRALDVAVADMNTAVHELQGDMRSLPSMLAETSLVMDTMPVFTVGSLMVEIVVRVQGIVDVVNKLAACF >KQL13052 pep chromosome:Setaria_italica_v2.0:III:2326718:2328252:1 gene:SETIT_022729mg transcript:KQL13052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPVIDFSKLDGAAAERAETMAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSECYRIREAGFKASEPVRTLEALVEAEQRGEAVAPVDDMDWEDIFYIHDGSQWPSNPPEFKETMREYRAELRKLAGRVMEAMDENLGLEKGAIQRAFSGDGRHEPFFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLYQDDQVGGLEVLKDGQWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRDANRRSIASFYNPANEATISPAAVAGGEAYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAPKSSPAA >KQL16565 pep chromosome:Setaria_italica_v2.0:III:42498058:42500816:1 gene:SETIT_024965mg transcript:KQL16565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGETTTPPFSSVHVNRRLATANRLMAAVHAALAAAAIAHRALHLHQLSGGVARNAAMVAADLTLLFLWTLSQSGLWRPVSRAAFPDRLLESRRRGDLPAVDVLVVTADPDKEPALGVMNTVVSAMALDYHGGRLSVYLSDDAGSPLTLMAARKAYAFARAWVPFCRRHSVQCPWPDRYFAGDDGQDDGGDRCGEAAEERRRMKKMYETFKGDIEEASKENSISRSWTKEKRQDHDAYIITAGEEDDDQQGEETMPLLVYVSREKRRASPHHFKAGALNALLRVSSLVSNAPYLLVLDCDMSCNSRSSALEAMCFHLDRSPPAPESLAFVQFPQMFHNLSPNDIYTNDLRSFFATRWIGQDGLRGPLLVGTGFYVRRDALYGAMPSAATSLPAHGAEFSSMEAGELVRRFGHSDDLISSVRNLHLQKPPATGLQRRRLPRDAALVASCAYETGTGWGDEVGFMYQSVVEDYFTGYRRFLSRGWTSAYCYPAPSSRPPFLGTMPTNLKDVLVQNKRWMSGFLAVGLSRRYCPLACRGLLAVSVPQAMTVAYFGFLSLYAFPALCYATLPQLCFLRGVPLFPDAAAAPWFAAAFASSLVQHLVEVSVARRGLAVRTWWNEQRFWMLNAVTAQLFGCVSAVQDLVGAAALRFDLTSKADDDDGGRLYQKGVFDFTGCSTLLLPATTLCALNAAALVGGTWKMMTGGGGGGLSGEMLPQLFLLSYVAALSYPLLEGMFLRMDSARVPGRITALSVALAAVLLSLFG >KQL15539 pep chromosome:Setaria_italica_v2.0:III:21219492:21220233:1 gene:SETIT_025578mg transcript:KQL15539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGSPLSHASRRPRRRRRKLKAVNEILLRFLNITENFLVIFCSDLQFFLVCA >KQL15897 pep chromosome:Setaria_italica_v2.0:III:25104769:25107466:-1 gene:SETIT_024242mg transcript:KQL15897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQLLALLLSSTLMLSSPPLIGAAARDTLRRKSSIAVEDHDTHTLRSPDRTFSCGFHRVYKDAFTFSVWYTDDEAAVVWSANRGTPVHAWGAAATLRKDGAMVLTDYDGTVVWQADAKSAEYAQLLDTGNLVLKNSSGDVVWQSFDSPTDTFLPTQRITDASKLVSTTDQLHVPGHYTFRFSDQSMLSLIYDNANMTSVYWPDPDFMYYENNRNLYNSTRIASLDDSGEIFSSDFAKSSRVRYASDTGAGIKRRLKLDNDGNVRLYSLNNSDKKWTVSWIAEFQPCMTHGLCGPYGICHYSPAPTCSCPPGYKMRNPGNWTQGCMPIFDISCQGEQNLTFLELRNTDYWGSDQQRIEKVSREICQNVCRDDCTCKGFQYQEGNGTCYPKSLLFNGRSFPTPTVRTMYIKLPSSLDTSKLQIPQSNVLDSAPRKPVCGPISTIFTEQNPSYLHKPSQEEPKWFYFYGFIGAFFIIEVFFFTFAWFFVLRRELKSSQVWAAEEGYKMMTNHFRMYSYRELAKATEKFAHELGWGGTGVAYKGILDDDRLVVVKKLGNIRHSREEFNDELHVIARINHMNLVRIYGFCSERSHRMLVLEYAEKGSLAHILFKSKISLEWNQRFNIALGVAKGLAYLHHECLEWIIHCNLKPENILLDQDLEPKITDFGLAKLVNRSGDNQNVTRARGTIGYIAPEWISGLPITAKVDVYSYGVVLLELVSGTRVFDLVKGEDEKVHVMLKKFIKMLSYRLDREEPFWIAEFVDFRLGGEFDYSQAKALIKLSVSCLEEERKKRPTMESVVESLLSVDLAIDQ >KQL14215 pep chromosome:Setaria_italica_v2.0:III:9609704:9609973:-1 gene:SETIT_0223511mg transcript:KQL14215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAADGGCAAAASMRAAVRRLSFGAAEERREAAGVVAALARSDDRRKRLLPELGVVPPLVAMLADARGGRRREAGRGGGAAGARQRDAQ >KQL15876 pep chromosome:Setaria_italica_v2.0:III:24940823:24941344:-1 gene:SETIT_023779mg transcript:KQL15876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDSSRTSFTQHLAAEAGASTFDGSTRYLSINSWRWCLRRRILFFSPLRSRSHWSASGDSSRSSLAGRERTTPSETLTGASAISHSRSEKILSSCL >KQL12824 pep chromosome:Setaria_italica_v2.0:III:1036620:1037101:-1 gene:SETIT_025453mg transcript:KQL12824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIYPLPTNPPINKLSRLDSKPWLNILVSLV >KQL13851 pep chromosome:Setaria_italica_v2.0:III:7205149:7206591:-1 gene:SETIT_022540mg transcript:KQL13851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGEGNTTTRIKRAKATNWPRVMSKFLLDWYLEKKRGMPPKTKFKKMHHVWCTSAVNAKFRTSYSVDQVHRHFRRFKEIWIVVTRYANETGSRFNNKHKMLILPAAIMASLPIAERAILAKPIPFFDHLLQLFNDGELDAACMRDPIMDDDSHEELETQIAMNIIAQGADTRDQDGANLDIIELEGEDNHHEVAASSGGVPCEVMSDTSAPSVQPSGSFAESTMAALKPSAKKMKIISKTKPNPKLQALVPRDGRNMDALNSTLVGIRDSAPKLVRTQPTTSDPNAPLWDMLKEIPLSHPDRLSVGMYLCKPESEVHRSFFMSMGKEYLESWARKFLAGEESGAL >KQL13009 pep chromosome:Setaria_italica_v2.0:III:2082919:2085857:-1 gene:SETIT_024529mg transcript:KQL13009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLRHHTTTRQGRLPAAEKRLAASVLKCGKGKVWLDPNELFEIALWVVAVLICGAVAGRDRKPQKIHSRFRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYCEAKKIDKHMYHDMYMKVKGNMFKNKRVLMESIHKSKAEKAREKTLSNQFETKRAKSKASRERKIARREERLAQGLREPAAPAAAAPAPAAAWLESIK >KQL16564 pep chromosome:Setaria_italica_v2.0:III:42441582:42444724:1 gene:SETIT_024209mg transcript:KQL16564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLLLPLLVVALVSPAMATCQKKCGGLKIQYPFGIGRGCYLETEDGNRAFEVTCNSKGRATIEGRHELLSIDVPRGQVRVVSQVNSWCYDAASSSMGVKNVTTYNSTAFRVSTDNMFTVIGCSTLAFIVMDDGGDDDNPYVMGCITGGCRKGARSLAGGSCTSKGGCCQVPVPRGSIRSFDVDFSDFNTSSVVASFSPPCGYAMLVDQKAFKFKRTYVTTGKLMGAASTKLPMVLNWAVGNQTCQDLRRNKRTAHACVSVNSECVDSKYGTGTGYLCTCSKGYQGNPYLPDGCKDINECEANPQCPDYSICRNTNGSFKCYELKWPLVIGISIAVVLLGTTGMSFAYTIRAKKRLAAIKRLHFKQHGGYLLFEEMKSRQGQGHSFTLFTKEELEDATNKFDERYVLGRGGNGTVYRGNLKDGRVVAIKRCRVADDERQRREFSKEMLILSQVNHRNIVKLYGCCLEVEVPMLVYQFIPNGTLYELIHRDGDGTPPSFPVRLKIAHEAAEGLAYLHSMASPPIIHGDVKSPNILLDDNYTVKVSDFGGSVLAATDNSHLVTLVQGTRGYLDPEYMQTCRLTDKSDVYSFGVVLLELLTRRKALTMAAPAEERSLAAHFLSSMRDGKLDGLLDTWIKDEVRGDVIEMVATLAKRCLEMSGEKRPSMLEVAEELDRIRKLCL >KQL12873 pep chromosome:Setaria_italica_v2.0:III:1405113:1410348:1 gene:SETIT_022346mg transcript:KQL12873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPCRGEGALSGNSPIKPICLPLHFSPSRLQFHCAARRARRCSPRGRDMSASASSPAAEEKQEAAPVTHCKGVNDLDKVVLREVRGSSVEVYLYGGHVTSWKDEHGDELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEQHGFARNRFWTIDTDPPPFPVPTTNTAYVDLILKPTEEDLKIWPHSFEYRLRVALSPGGDLMLTSRIRNTNADGKSFSFTFAYHTYFKISDISEVRVEGLETLDYLDNLQDRARFTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAVVWNPWDKKAKAMPDFGDDEYKRMVCVEAATIEKPVTLKPGEEWTGKLELSAVPSSYYSGQLDPDRVIQDSSVPEDSIS >KQL12872 pep chromosome:Setaria_italica_v2.0:III:1405113:1409940:1 gene:SETIT_022346mg transcript:KQL12872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPCRGEGALSGNSPIKPICLPLHFSPSRLQFHCAARRARRCSPRGRDMSASASSPAAEEKQEAAPVTHCKGVNDLDKVVLREVRGSSVEVYLYGGHVTSWKDEHGDELLFVSNKAIFKPPKAIRGGIPICFPQFSNFGNLEQHGFARNRFWTIDTDPPPFPVPTTNTAYVDLILKPTEEDLKIWPHSFEYRLRVALSPGGDLMLTSRIRNTNADGKSFSFTFAYHTYFKISDISEVRVEGLETLDYLDNLQDRARFTEQGDAIVFESELDRIYLGTPSKIAIIDHEKKRTFVVRKGGLPDAGRNTDLLFVYLSLKSFKNEVHEVSYIICYSCLESLGQKGKSYARFWG >KQL12980 pep chromosome:Setaria_italica_v2.0:III:1918284:1922929:-1 gene:SETIT_023248mg transcript:KQL12980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRRLGGAGRALLTLPNIRRRATNSWAAVRDTFFSTKQVFESHRIVFTVGTSVASVLTAWAGYSLRHVQQSRIDRRLESIESSLKDNHKVEHEEIKKIVTSSNISTPACVATAMTTMVVGYALGWRGGAWYARRAFRREQQKLMMGHMKSQGRWHWRPFNRLKNRLRRASKNKSEDAHRSLAQSTDAPSSSGASANISNTAQPAAGNA >KQL14989 pep chromosome:Setaria_italica_v2.0:III:15384722:15386665:1 gene:SETIT_025182mg transcript:KQL14989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRKQGIQRSATFVEDHRRTSSGGSASPAIASPRATRFADDNRRPDRSSRLAAQAMVSSSAALGDLTLPDLGDRFPAAAASHGDSQPSSPMQDPVTQLYTSTTKLNDDGPKYDLELSQKDDTRHGFWSLVAQKAKVMLDENGTPRTQPAESRWSYDRVRSSESPTSRKGAPEGGRIDIGGKIKNVLEQEGLAAVADNTTPCAGAGGSAVVAARKLQIRRKACSMDFRSANLVSPDTPMLSDDVESPQIKASRDVANAMAAKVKLLQRELKTLKADLAFSKERCAQLEEENRQLRDGNPDADEDMIRQQLETLLAEKARLAHENTLYARENRLLREIVEYHQLNMQDVVNLDEDDDDIEEEDEEDVDAEDDDDAEQYQDRGTSSPSHLAQEEKEHQAAAPEGDPDAAPQSPSRQTESPRTPSTNSGGAMDNEPPRMLNTNSGGTVEYEPHRLLSTNSGVISDDDSSA >KQL16463 pep chromosome:Setaria_italica_v2.0:III:40419264:40420868:1 gene:SETIT_023260mg transcript:KQL16463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCVILLPIAITFYTTWWFIRFVDGFFSPIYVHLGIHLFGLGFVTSITFIFLIGVFMSSWLGASLLGLGEFCIKRMPLVRHIYSASKQISTAISPDQSSRAFKEVVIIRHPRIGEYALGFITSTVALRGAGVRGDQDLACVYVPTNNLYLGDIFLMSRADVIIPDLSVREAIEIVLSGGMSVPKIISAVEGAVGLGDHGCAMKDS >KQL12912 pep chromosome:Setaria_italica_v2.0:III:1584344:1586637:1 gene:SETIT_023431mg transcript:KQL12912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAFSWPFRRRGSGSGGGGGASKPVAAAAEECEELGVTPQLLDFLRTLSPDAFKAAALQLQGGYAEATAGDLTSWQERHAVLVLSKAKELAKIRYDLCPRHMKDKQFWRIYFLLAKSYISPYELRAIQKEKIRRMETGNGKSKEVITVEVEMQESKGSRGSQPSEFDLESQS >KQL13012 pep chromosome:Setaria_italica_v2.0:III:2092983:2094285:-1 gene:SETIT_024665mg transcript:KQL13012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPDERGLRGGDEPPAGVGRRGGELAETCSWADDERRRYPWSGSLHFADTPGDCQFFYDRDCHNMNGEKDMCVVGGINNYTTALMNSSAPLVDPTISLMFLAHFVGDIHQPLHCGNTADFGGNTIIVHWYNTTTTNLHRVWDLDIIQKAMKDFYNDDLSIMTQVIMQNITTCADKYAMESAQLACDVAYAGAEQGSILGDHYFFSALPVVQKRIAQGGVRLAAILNRIFGESSRPQSS >KQL15443 pep chromosome:Setaria_italica_v2.0:III:20178401:20180471:1 gene:SETIT_022322mg transcript:KQL15443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAAHHHRLYSSSAAAPAPGRRLRFSPRPSSHGRFSSRAAARVLTRASGGGGGTSTSSAAAPPAAAATTASLSLEELRRGCTTWTWRGMRVNYLARGQGPPILLVHGFGASVAHWRRNIGVLSESYTVYAIDLLGFGASDKPPGFSYTMETWAELILDFLEEVVKRPTVLVGNSVGSLACVIAAAESNRDVVRGLVLLNCAGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRPIASALFERVKGRDNLKDILLSVYGNKDAVDDELVEIIRGPADTEGALDAFVSTVTGPPGPSPIALMPRLADVPVLVLWGDRDPFTPIDGPVGKFFSKLPSELPNVTLHMLEGVGHCPHDDRPDLVHNRLLPWLDGLPPPAAEAAGAAAV >KQL16840 pep chromosome:Setaria_italica_v2.0:III:45912963:45917226:-1 gene:SETIT_021519mg transcript:KQL16840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGGAPKRCYYEVLGLPRDCSPTDIKLAFRRLALSLHPDKQGPGADLAAATAAFQELQHAHSVLSDPQERAYYDSHRSQILFSDASAAGAKSASPVPDLFAFFSSSAFSGFSDTGRGFYKVYGDVFDRVFAQELAYARRMGVPEPAAPPVIGNLDSPYTQVTAFYNYWLGFGSVMDFGWAAEWDAARGENRRVRRLMEEDNKKAMRKARREYNDAVRGLAAFCKKRDKRVVDMALKKKAEEEKRKAEEKERKKEEEKRKKERAMAYQEPDWARVEEEEGLYDDEEEEEMKAKRKEELYCVACNKKFKSDKQWKNHEQSKKHRDKIAELRMAFKEEEGSLKEAEEEGEGDLDEVDVGFDFKPMQESDDESAFSDAAEELAEDLEEGLEVRDKEDGDKVFDSAEQEVGSYDEASVLEAMLSSRKNRKGGYVAPTEKTSSGAAEEEDDDDRSSEVNNTKRKGRRRRAAKKEQDEGTYADNERHGKSEVQPEESGNGNGADDKMEGPSSSNEGSASASKGDEQNGKNSNPKKNKKNKKGAEKKTTVSADQKSTSKAEQKSKGKKQKEVSKAPSNDCETCGGTFESRNKLFSHLEETGHAMLKTRQKNR >KQL14952 pep chromosome:Setaria_italica_v2.0:III:15041835:15046146:-1 gene:SETIT_022739mg transcript:KQL14952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPCKRSRPPALARLLLLFLLALLAGRGGAAGGGGKGSSVYPAAVVYPHHSRQISWKPRVFLYQHFLSDDEANHLISLARAKLKRSVVADNLSGKSTLSEVRTSSGTFLRKGQDPIVAGIEDKIAAWTFLPKENGEDIQVLRYKRGEKYEPHYDYFSDNVHTVRGGHRYATVLLYLTDVAAGGETVFPLAEEFDNGKDATLSECAQKGIAVKPRKGDALLFFNLKPDGTTDTVSGHGGCPVIKGEKWSATKWIRVASFDKVHHRQGNCTDENESCEKWAALGECIKNPEYMVGTAVLPGYCRRSCNVC >KQL16853 pep chromosome:Setaria_italica_v2.0:III:45956749:45957352:-1 gene:SETIT_025694mg transcript:KQL16853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVTRGRGRSQAEGAPRPGVCEAGGVGGAAVGLGEPRPRRIQAAASLAREPIRETGTIVGGSR >KQL13290 pep chromosome:Setaria_italica_v2.0:III:3648798:3649895:-1 gene:SETIT_024374mg transcript:KQL13290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGFEGFEKRLELVFSLPSCGDGARAQHGLRLLPVGALREALDTVQCAVVSAAGNAAFDAYVLSESSLFVYPSRAILKTCGTTRLLRAVPILLRAAAGELGLALRSCRYSRGSYLFPEAQPFPHADFADEIRFLDGAVLSALRFRRSSVMPPSQRHSQQQHKWHVYAASTSDDDQHVDGATHFTVEVCMTELDRTLARQFYLTTTPGDGRRTSHAIGDAMTAASGLGDVNPRSLAFGYAFAPCGYSMNALDGARYATVHVTPEDGHSYASYECGGAEAGCALASVGKAIAVFRPATVSVSVCYDGAGTEAINTASIWSAVADAVEPMGLACRSRAAEAFPGATTVTYQTFTRTPASLDMINHS >KQL12656 pep chromosome:Setaria_italica_v2.0:III:214231:215987:-1 gene:SETIT_022636mg transcript:KQL12656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHAKTDSEVTSLAPSSPPRSPPRTRPVYYVQSPSRDSHDGEKTATSVHSTPALSPMASPRHSHSSVGRDSSSSRFSGHPKRGHHKGDKSSAGRKGAPPGKGWQEIGVIEEEGLLDDEEHTRIVPKKCYYFLVFVLGFVALFSFFALVLWGASRSQKPQIVMKSIKFENFIIQAGTDASLVPTDMATTNATVKFTYRNKGTFFGIHVTADPFQLAYSQLTLANGDLKKFYQARSSRRTVSVAVLGNKVPLYGGGPTLMAAPAAGGGKQAASSSSVAPVPMVLRTTLHSRAYVLGALVKPKFTMAVECRVVMNPSKLNKIISLEKACHYS >KQL15363 pep chromosome:Setaria_italica_v2.0:III:19270766:19272820:1 gene:SETIT_022394mg transcript:KQL15363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMRASRELRETTTRRESSRGKISEREAASLRRRASGGGLYPKLQQFPDGAGGRGCRGGAPVVAPSTVVASAGTPGTCLLVSPRRAERTPHHNTCVIFPTQKPFCAAGHPLTRRRQGRPLCSQAEVAGTTVDDDEACELVSGSDLVIGEGDDSVSAYLLKAVKNNNGTGILLLSDVFGFEDSATRDFAYRVACNGYNVLVPDLFRGNPWKQSPPFDDDLFARWLAGQAPARVSSDIDACTRWLVDEFKAAGVSRKLGVVGFCYGGGRLVEALARDAEGCFSAGVCFYGSRMDASLGDRIAAPVLFVCGDGDPLCPVETVRELGRRARGARAAVYAGRGHGFAHRPQSVEDDADAEDAFNAMRGWLHDHLLA >KQL15362 pep chromosome:Setaria_italica_v2.0:III:19270766:19272820:1 gene:SETIT_022394mg transcript:KQL15362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMRASRELRETTTRRESSRGKISEREAASLRRRASGGGLYPKLQQFPDGAGGRGCRGGAPVVAPSTVVASAGTPVPTQKPFCAAGHPLTRRRQGRPLCSQAEVAGTTVDDDEACELVSGSDLVIGEGDDSVSAYLLKAVKNNNGTGILLLSDVFGFEDSATRDFAYRVACNGYNVLVPDLFRGNPWKQSPPFDDDLFARWLAGQAPARVSSDIDACTRWLVDEFKAAGVSRKLGVVGFCYGGGRLVEALARDAEGCFSAGVCFYGSRMDASLGDRIAAPVLFVCGDGDPLCPVETVRELGRRARGARAAVYAGRGHGFAHRPQSVEDDADAEDAFNAMRGWLHDHLLA >KQL17068 pep chromosome:Setaria_italica_v2.0:III:47979765:47980422:1 gene:SETIT_025089mg transcript:KQL17068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRRCKINEKFKTLQQLVPGCDKSNQVSTLDQTIQYIKSLQQQIQAMSSGCGVKPTAVYPVVAAPPAAAASGLITPAAAAAAPGVLVRGHAQVLLAPPPAMVPFGALLPLVHHHQYPAAMASAPMLYPAAAAAAPN >KQL14389 pep chromosome:Setaria_italica_v2.0:III:10918177:10918681:-1 gene:SETIT_024717mg transcript:KQL14389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITSAGAVLTAPVAPPGRLLLLLVNYAALLVGSFAASLLSRFYFTHGGQNRWVATLVQSAGFPLLILIAAVFASGRPTAAPRPFLWFSPRFLAGCMAIGALMGVNNLLLSYSSSFLPVSTSSLLLSMQLAFTLVLAGVIVLHPLTFVNLNAVILLTLSSVLLPLRES >KQL16180 pep chromosome:Setaria_italica_v2.0:III:33675792:33679919:-1 gene:SETIT_022125mg transcript:KQL16180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSSLASSSHLSRRGTATAGAAAVVPSSPLQPQPQLLRHACSARRTQRVRCSWAGGRAASRRRTLGVCFVVSPSQPAGLAAIDVPAASIPNTTTIPERISVSSLLEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPELREIIDSEFSETDSLAAAIDLVHRSGGIRRAHELAREKGDLAIQNLQCLPRSDFRSTLEKMVKYNLERIE >KQL16181 pep chromosome:Setaria_italica_v2.0:III:33674628:33679919:-1 gene:SETIT_022125mg transcript:KQL16181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSSLASSSHLSRRGTATAGAAAVVPSSPLQPQPQLLRHACSARRTQRVRCSWAGGRAASRRRTLGVCFVVSPSQPAGLAAIDVPAASIPNTTTIPERISVSSLLEVVSDDLLKLNNNLKSLVGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAELAGLSELTTEHQRLAEIIEMIHTASLIHDDVIDDSGMRRGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDITLDDYLLKSYYKTASLIAASTRSAAIFSGVSTAICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPELREIIDSEFSETDSLAAAIDLVHRSGGIRRAHELAREKGDLAIQNLQCLPRSDFRSTLEKMVKYNLERIE >KQL13764 pep chromosome:Setaria_italica_v2.0:III:6606270:6606990:1 gene:SETIT_023946mg transcript:KQL13764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQHYKLGGKTGPHKLYVGSPDVTEILNMPFSLTLTESQKKSRILPNYTEVPCKTFKSLS >KQL14242 pep chromosome:Setaria_italica_v2.0:III:9842771:9843949:-1 gene:SETIT_024160mg transcript:KQL14242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPVATPGATTATQDLNDDVLTEILLRLPSEAVLRFRAVCKAWRRITSSPVFLAAHARRRPLELIVQRRGVSGAVLDTIPLLTLDETRRRCLPVKYPEYTGPPEPFWRGYSLIGSCDDLLLFQRGPWIDHYVYSPATRQWTMLARPPGTCMLLCGFYLHGPSGEHRILYFTDDQEGSHYVSSLEVAGARRLGPAVSVVVYSRRIPFFSLDYRGKLHWLRHPWVLFPGDALEVVYADMILAFDTVSETFRRISRPPRRSTNRGVEEFFLLEMDGKLAMAAFLDGSMDLWVLEDYDNDGSWARRFRVRLPPALRHATLAMKLGVEGQNNVILLGDCWNATVGLYHLTKKRLLKKIQFVTADGPRDSLPRTQLNTIVFRDSLKRHAFFDSRGAQ >KQL13664 pep chromosome:Setaria_italica_v2.0:III:5870594:5881265:-1 gene:SETIT_020942mg transcript:KQL13664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAEDALYEIRRHASGSHVIPHEGYQGDATSSGSSDAGGGVLSYLSLQGVSKLRERWARYSALGRSSQRKRGDGVSLFVSMNAEYVSVTVGNRITILRKRDGYASPCGVYTNNDRITFFTNGAWLEAQGIFGVVDDLSTLYLIKENGELLARRTCDQLKLSSSIIDLVVQDGSSLLRPGFYIFTSDCMVHRFDYTQEPEASLCQVPISTKDVVSARTIQLPRSLSCIDYDQRHSLFVLVADSNASFNSNSYSGTYFLYLLHVDGNLELSLSFKSVQLEGVFSPLKDQKTFVSSPKIRISPDGKHIATLDLTGSVNLFALDGDKHTFSLHTLGSGRCLIDVKDISWWTDNVLMLVRADGSISMYGITESEVVSKDDPVLSTPLLEKAKATEGHAFILQSSRYERNTSANKRMDSDLEPNLPSGSREHQQTEMDKMFWSLISFSKVTVTEMYSVMIRENRFKEALDFASRYNLDKDEVLKARWLHCDGDTSEIDSYLAKIKDQVFVLSECVNKVGPTEAALRALLSFGLRITDHYKFSRLDNSSEGSTWDSRIIRLRLLRHRDMLETFLGINMGRYSAEEYSKFRSMALVETATALAESGKIGALNLIFKRHPYTISSDILRVLSAIPETVAVQTYSQLLPGKSPPSVVILRDGDWVECEQMVSYISNCPTQSDKIGEIKTEILVKQSTGFSWPSVAELCEWYKNRARDIDCLSGQLENCLAMIELACQKGIAELQPFFDDIKCLYQVVYSNELNEFIMNLVTWEDLPDYEKFKIILKGVKEDTVVQRLEENAIPFMKKRFHLISSSNERKQEESYLVRWLKEVAAENELSICLAVVENGCGELPIYGLFKDLAEMIETSVHCIYMCSATNLWNTMSSILSKLLHKTKREKSLLASEEECNLKDAKQALGSSVVSYDEMQCVCADILSALGNGPEDFYHYDSASYKLNNVKYLDILEKRLKVAEGHVEVGRLFAYYQVPKPTHFFLSAHLDKKNVKQLIRLLLSKFGRRQPVRSDNEWANMWRDLKLFQEKAFPFLDSEYMLAEFIRGLLKAGKFSLARNYLGGTSAVSLSTEKAENLVIQAAREYFFSASTLSGNEIWKARECLNLLPNSKNVQAETDIIDALTVRLPYLGVTILPVQFRQIKDPMEIIRMVITSQTGAYLHFEEIIDVAKLLGLRSEEEVAAVEEAIAREAVVNGDLQLAFDICLNLTKKSHGAVWDLCAAIARGPPLDNLDTGTREKLLGFSLSHCDEESVGELLNAWKELDVHGKFEKLMITTGTNPPNFLIGGSSITPLPVQSVQDILDLRDDRGHNRHKDHVEIVKEMLSKVCLDLSNGDAHTWESMLVDNRKFLSFAVLELPWLLKLSNEEMWDGENQTSRTDHTTRKYRFSTKVEATISIIYWLAVNGLAPNDNLIMILAKSIMEPPVDEEFDVLGCSVLLNLMDPFNGVKIIEEELKRRECYQEISSMMSIGMLYSSLNNSKKECSTPEQRRNLLLHKFHEKFTSADTDDLDQIDMANTTFWREWKSKLEEEKQLADQARMLRQILPDIDTSRFLSGDVNYIKRVIFSFVDSVKLEKKHILKEAVKIAETYGLQRTEVLLRFLACSLLSEYWDNNHILNEISDFREDIVRSAKGVIDMIYSDVYPEIDGYNKQRLSYIYGILSACHSYLKRTNEIELRYPEHVHTHKLEPFQYYKVLEEECKKVSFIDGLNYKNIAGLDNLNFEHFNEEVCKNIHASTVTALADMVQALVSMYVDVLAKGLVSRQGVYKHYVLGLLASLEGRSEAGSNCTDYEKLQAFLCEIELNYDSCREYIQALPATDISYIIGRYCTLCFPSNLARSHPQEPSWKKPLATLLTFWSKLVDDIPGESIDASSYEMTEYLNSNRLSLCMGAFRQLLIHDGITVHQGWGAISMYVKDCLKSGMMVETSRFCRAMILSGCSFESVVEVYYGGQGQLGGESADPSNSLDLLELYNAATEECLSDLIEGSCEYQILFHQLLSSLSRSTGKHAGILEMVRSGVWGKLIRFSEDMQLESQLRVYALQLMQCITGRNLKTLPNEIVSQVEPWESWYEHGTGAAIADESINSSSTITGTLVALRSTQMVAAFLPDANITPESLATLDSAVSCFLQFDGWDDGWEALPEELESPKNKQESAPLSVHPLHSCWMEIIRKRVELGELHKVIELLDRASSKHSVFLEEEEACSLVELMSALDCFMALKIVLLLPYETLRLQCLQMVELKMREGTVSTSSNADDHELLALVLTSGTMQKIATEEAYSKFFSYLCHLVGHLARSFQTDLLMQWNDEATSKTNRSLLFGSVLFPYFISELVLKGQYLLAAFVISRWMHTHPSLGLMDIAETSVRRFLQGQVAQAEESRGGDASFTDDEVSVRLTISTLRSKFVSLLQAALSALPNQEL >KQL15067 pep chromosome:Setaria_italica_v2.0:III:16268313:16271287:-1 gene:SETIT_022494mg transcript:KQL15067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAAALCYLLLAVFLFPDLAAAVECSCSDDAAGRDKARALRLKVAAIFCILAGGAAGAAVPALGRRFPALRPGTDLFLAIKAFAGGVILATGLVHILPAAFDALGSPCLASGPWSHFPFAGMVAMLAAIATLVVDTVATGYFRRTVARKAAAVVDEPPEPGHCEGDLEEASDGHAHGMSVVAPAPTAAGDELVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQLFEGIGLGGCIVQWFAATGFSVQRLKSAALIYSVHKSSCPTSI >KQL15066 pep chromosome:Setaria_italica_v2.0:III:16267293:16271287:-1 gene:SETIT_022494mg transcript:KQL15066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAAALCYLLLAVFLFPDLAAAVECSCSDDAAGRDKARALRLKVAAIFCILAGGAAGAAVPALGRRFPALRPGTDLFLAIKAFAGGVILATGLVHILPAAFDALGSPCLASGPWSHFPFAGMVAMLAAIATLVVDTVATGYFRRTVARKAAAVVDEPPEPGHCEGDLEEASDGHAHGMSVVAPAPTAAGDELVRHRVISQVLELGVVVHSLIIGMSLGASDFPSTVRPLVPALTFHQLFEGIGLGGCIVQAKFRLKSVVAMALFFSVTTPAGVSIGIAISSVYDETSPTALLVQGLLEAAAAGILVYMALVDILAEEFMSAKVQSRGRLQLALNASLLLGASLMSMLAMWA >KQL14453 pep chromosome:Setaria_italica_v2.0:III:11329701:11332315:1 gene:SETIT_021265mg transcript:KQL14453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSELPLHHSFRLSSRPHLHRLLPLRFLCSRHAASSSAASAASPSSSGGNRAAPPVPSTGAPWLQKWAPSDPSQPAPAPAPSPTTSIDRIVHRLRNLGLASDDDDPSAATATATAPPDGTERLGDLLDRSWARPDRQFAAASFDDAVLPWERDDEAAAGGRDEEDGAKRRRVKAPTLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTTAITEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSQTLNGASSQVKGEDGALFIPDASSPAENDSQGKDLAAQHANASQLNMQNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPEYKTPYRVLPTGMRSTLTNAELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMAEEIKNLTGGTLLLRNKFYIVIYRGKDFLPTSVAAVLAEREELTKDIQNMEEQRRNVSIGQPPDDGLDGHALAGTLAEFQEAQARWGREVTAKEQEEMKEASSRSEKQKLYRKLEHKLSVVSSKHFLYVLKSL >KQL14454 pep chromosome:Setaria_italica_v2.0:III:11329701:11334497:1 gene:SETIT_021265mg transcript:KQL14454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSELPLHHSFRLSSRPHLHRLLPLRFLCSRHAASSSAASAASPSSSGGNRAAPPVPSTGAPWLQKWAPSDPSQPAPAPAPSPTTSIDRIVHRLRNLGLASDDDDPSAATATATAPPDGTERLGDLLDRSWARPDRQFAAASFDDAVLPWERDDEAAAGGRDEEDGAKRRRVKAPTLAELTIEDEELRRLRRLGMTLRDRITVPKAGVTTAITEKIHDAWRKSELVRLKFHEDLAHDMKTAHELVERRTGGLIIWRSGSVMVVYRGSNYKRPLKSQTLNGASSQVKGEDGALFIPDASSPAENDSQGKDLAAQHANASQLNMQNTEDMTEEELEFNQMLDELGPRFVDWWGTGILPVDADLLPQTIPEYKTPYRVLPTGMRSTLTNAELTNLRKLARNLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMAEEIKNLTGGTLLLRNKFYIVIYRGKDFLPTSVAAVLAEREELTKDIQNMEEQRRNVSIGQPPDDGLDGHALAGTLAEFQEAQARWGREVTAKEQEEMKEASSRSEKQKLYRKLEHKLSVAQAKIHRAERLLSKIEASMVLADPCDDREMITDEEKSVFRRIGLRLKSYLPLGVRGVFDGVIENMHLHWKHREVVKLISKQKTLSFVQETARLLEYESGGILVAIERVPKGYALIFYRGKNYRRPINIRPRNLLTKAKALKRAVAMQRHEALSQHIDQLENNIKQMKLDLGIEYYEEQEDDSSDSENEDGTAVTSASYDEDQDDFSESADEDEYDYDDDEDEENDSL >KQL16949 pep chromosome:Setaria_italica_v2.0:III:46959484:46961462:1 gene:SETIT_024493mg transcript:KQL16949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSCLRTGFFFLQLLLLGHGSMAIELQAERISGLSFAQVAPAAASASASSSSSCEFAFDRLRPLDPLPEVIRAEAGTLQYFDDPNQQLTCAGVFFVRIVVDDRGLVLPRFNNGGTLIFTVQGRGVVGVTIPECGGEKRYRFAQHDVIAVPPGVPAWIYNDGGNGPLEIVVLFTISGKANQLEPQHRDFSLAGSNGNRSKNIFNGFAVESLSRSLRISQYLATILQGQMDQRGTIVRVPAGLLQLQPKNNLNATMAVQFQGSEEQEEDVQDEAGDMCRMKVTKKLEEKVLTGYEFPILNSVGLSIERGTYKPNTISSPFYTIKAQIVAYLTRGSARVQVVDNRGVAVFDGVLRRGQPLVVPQYYVVIVEAGKDGFEFIAFKTNANPVISYIAGRVSVLHDLSVDVIAAAYNISKYEAERIKDGRRWAAL >KQL16464 pep chromosome:Setaria_italica_v2.0:III:40420935:40425963:-1 gene:SETIT_023037mg transcript:KQL16464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRELGGTGLRVSAVGFGASPLGHVFGDVPRDAARAAVRRALDLGVNFFDTSPYYGGTISESVLGDCLRHAAVPRNQVVVATKCGRYKDEGFDFSAARVTRSIDESLARLGLDYVDILHAHDIEFTHLDQIVNETIPALQKIKESGKARFIGITGLPLSIYPYVLDRVPPGSVDVILSYCHFGINDTSLVDLLPYLKSKGVGVITASPLAMGLLTDNGPPDWHPAPEQLKLACRAACRAL >KQL16465 pep chromosome:Setaria_italica_v2.0:III:40423196:40425963:-1 gene:SETIT_023037mg transcript:KQL16465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRELGGTGLRVSAVGFGASPLGHVFGDVPRDAARAAVRRALDLGVNFFDTSPYYGGTISESVLGDCLRHAAVPRNQVVVATKCGRYKDEGFDFSAARVTRSIDESLARLGLDYVDILHAHDIEFTHLDQIVNETIPALQKIKESGKARFIGITGLPLSIYPYVLDRVPPGSVDVILSYCHFGINDTSLVDLLPYLKSKGVGVITASPLAMGLLTDNGPPDWHPAPEQLKLLPLDQHLHTYAHDDMNARNQE >KQL14578 pep chromosome:Setaria_italica_v2.0:III:12126604:12128488:1 gene:SETIT_022186mg transcript:KQL14578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLMMVKNEIESYAAGPAPAVAIGAAEGGEASQVVVRRRRREPALLAPISGGANGSGIGKPVPSITVKRSSRFRGVSRHRWTGRFEAHLWDKNSWNPTQRKKGKQVYLGAYDEEEAAARAYDLAALKYWGPTTYTNFPVVDYEKELKIMENLTKEEYLASLRRKSSGFSRGVSKYRGVARHHQNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYKGVNAVTNFDLRSYITWLKPSPSAPVTFNPEALMMQTTPAEQLLPAETQMLLPRGNPFLLDHINASPAASSGAGGQEASMSMMMSPGGARKRGSPTALGLLLRSSMFRQLVEKNSDAEEAGHGIGEAAAHQEAYEYHNFFQGEAPDMCDLFSSGNNGRARDGGFQGEIACYDDGERLDGWSGFGNVSSLQ >KQL14329 pep chromosome:Setaria_italica_v2.0:III:10602697:10604507:-1 gene:SETIT_022710mg transcript:KQL14329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCNGFNDVESSLSPAAAVAAAGKKAAASLAVLVKMCPSCGHRAQYEQETTTIQDLPGLPAGVKFDPTDQELLEHLEGKARPDSRRLHPLVDEFIPTIEGENGICYTHPERLPGVNKDGLVRHFFHRPSRAYTTGTRKRRKVHSGDGDDGASGAGGETRWHKTGKTRPVLSNGRPRGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEERDGELVVSKVFFQTQPRQCGSTAAKEAVAANAVANSNAHALGGHQQGGSVLREANGVDQFYNPGTMMGYGQGVPNNRVPPAPAAAHFMPNFAVHAARATFGP >KQL14362 pep chromosome:Setaria_italica_v2.0:III:10760351:10763772:-1 gene:SETIT_022019mg transcript:KQL14362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTRRNSGIILREGPVRDWSEFNDPSPSPKLLYSQSYVAMRGLLASVVSLDFFLLSSKLKSAWAGMTSHRHIRSQERSKTRGLSCKRAAIHLLLCFMVGIFIGFMPFFSVDVYKKIVSENERLPFHENVIEAEMMDTKVKELETVVVEKEVELIDEPEVGESPPVPAMLDDEADFAESTRALPAIKESDIAVKKLLIIVTITSVRPQQPYYLNRLAHVLKDVHAPLLWLVVEWPEQSYETAEILRSSGVMYRHLICRKNTTSVRKIAVCQRNNAIYHVKKHHLDGIMHFADEERSYSADVFEEMQKIRRFGSWPVAIHVGTKYRAVLEGPICKGSRVTGWHTIQTALKKSMIRRFPIGFSAFAFNSTMLWDPQRWNRPAMDSVIVHSGGRGGLQESRFIEKLVKNERQIEGLPDNCNRVMVWNFNLEPPQLNYPTGWALYKYLEANMPVI >KQL14105 pep chromosome:Setaria_italica_v2.0:III:8839567:8841315:1 gene:SETIT_024247mg transcript:KQL14105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFRRIRCRNRKPTPPDKRAAAAFRPIHRPRLGSAISRKGPYRCRGLRAPCRHDRLSALPDDLLLLILQRLDTRTVLATATLSKRWAYLPRCLDFRVSDILSARYYRGCWDWDMVTQQYQWVNEEKVKILNQIADSAPCAATPIQVVLE >KQL16764 pep chromosome:Setaria_italica_v2.0:III:44838486:44841979:1 gene:SETIT_024512mg transcript:KQL16764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVVVTAATSKKPSKEDQFPAGRINPLLLASACVGSWKALNFLLEREDAKKAPMVAPTQEFLELLAGGSGTKGRIAVSAAGDVEEGVDHEPAPPAAGALLKGVTPDGDTALHAVASNGDNGDDFLKCAGIICDRDRDLLFAKNHMGDTPLHCAVRSGSSKMVSRLIALAEHEGAEGKLKLLRMENERHETALHEAVRIEDEKNMVRLLMGSDPELANYPAKGISPLCLAILLEKDTIAVTLYKKSGGNLSYSGPDGQNALHVAVLGATRMVEVLLRLNRSLPTQGDKHGSTPLHFASSLHQDSSGFFWCPPWIRNYWRTRISNIVAKVFEANPAALYPIHVAASVGTTSTVEFFLQKSPSSAGLRNAKGRTFLHVAVEKRRREIHSGLRCDHIEQKHRRPLNQKEKKKESNLIKDTTQMFIVVAILIATVAFGATFAIPGGYKADDHLNGGTPTLAGRYIFDAYMMANTLAFVSSTVATVALVISGTTMVDLGTRQWNLIAAVYLLSSSVTSMTVAFALAAYMVLAPVARSTAIAIFMISPLPVLYRNVDRIFKWGLLARARLVRKGPIPTILNFFGMAVFGTILMDLWPLIVTFAWAAFARIHH >KQL15907 pep chromosome:Setaria_italica_v2.0:III:25214965:25222154:-1 gene:SETIT_021337mg transcript:KQL15907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGLLYEGSAHALTMKILLGEIGRRSGGDNVLEREGYAVAAGSALGFVALGHGSDAFGFMDTFLDRLFEYIGSKEVYHEKHLNATTADDQSGNTGQMMDGAQINVDVTAPGAIIALALIFLKAESEEIAARLSIPNTYFDLQYVRPDFVMLRIIARNLILWSRIQPTKEWIDSQIPETVKSGVSNMSEGAIDIDEFDAEALFQAYVNIVTGACIALGLKYAGSRNGDAQELLYAYAAHFLNEIKHIPVRTANILPKGLLQYVDRGTLELCLHLIVLSLSLVMAGSGNLQTFRLLRYLRGRISAEGQMNYGLQMAVSLAIGFLFLGGGTHTFSTQNSGIAALLVSLYPRLPTGPNDNRCHLQAFRHLYVIATEPRWVQTVDVDTELPVYCPLEVTIAETEYYDETNYCEVTPCLLPERSVLKSIRVCGPRYWPQVIKLTPEDKPWWRSGDKTDPFNGGVLYIKRKVGSCSYSDDPIGCQSLISRAMHEVCDTPSASCSNQPNSTDHSSFRVDQIVSTFSANPSLIAFAKLCSESWKNRCNGNFREFCSQVLYECMSKDRPSLLQVYISFYTIIESMWEHLKMGHFPFYDSLFLPNLKVALAYNEALVDGRITNGGIIQSMFLESLMKRMGDIFAELPNLKDNLGRYLTTGRWPDAQNDVVILSWYLQWYSIPPPHVVASAVNKVRPRVPAGVSMLPLLRLLLPTTHLVGLMEIEKFHAALKA >KQL15656 pep chromosome:Setaria_italica_v2.0:III:22265359:22266792:1 gene:SETIT_024744mg transcript:KQL15656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAISAISGEILSRFISFLVKKHTDQVCLEDQLERLQHLLLRVHTVVEESEGRYITNSRMLVKLGMLVDAMYQGYHVLDTFRYKPHEEIPLQQQVRDSCDTSCADRSKSIRAVSNSMRISTSANHELQAALRNLESVVANMTEFVILLGGCKQMPKRPYDTYLYTDNFMFSRLVEKQHIINVLLEDNSPHGSLVVLPIIGGYRVGKKSLVGYACNDNMVRSYFSSVLHLNSDNFWKVSHETFNPGRILVLIEFISDVDDNEWFRFYSAASRTGTGSKVVIISRFQEIARFGTVKPICLRSLSQAEFLYLFKVLAFGSTDPENHPQLASIGMKIALLLKGLLVVGNTLADLLRKNQNVQFWFDMLKRLRNSIERNFSNFGEHPKQLLERDQQTDITMLISPSSSPLHVMPSHDESNYGRNELFKMKFGDLIEGSTTIPQKEEFQMIVWESRIPPFTKFVTNCIQDKHPCTSSYNKRRR >KQL13032 pep chromosome:Setaria_italica_v2.0:III:2223222:2225747:1 gene:SETIT_022971mg transcript:KQL13032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILIIRNRLKYALNYREVISILMQRHVLVDGKVRTDKTYPAGFMDVISIPKTNENYRLLYDTKGRFRLHPIRDEDAKTKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKIDLETNKIVDFIKFDVGNVVMVTGGRNTGRVGVIKNREKHKGSFETIHVEDALGHQFATRLGNVFTIGKGNKPWVSLPKGKGIKLSIIEEQRKRDAAAQAAANA >KQL13226 pep chromosome:Setaria_italica_v2.0:III:3278819:3280363:-1 gene:SETIT_022829mg transcript:KQL13226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHTCRMLVAGEAPDQELAKGGAPVPATKGRPRQREGGRRGGLEKESRKVNGLGHNSDLCPVAKWRAEERTESTEDQTSPERRTTTEDYSMSPATSATAVSVPGVLIRQSLSTLRSFPAVRLLPSGAARQLQATSASRRLPATAVRAQSAAHPGYSPESEFYKIEAIIRPWRVSHVSSGLLEMGIRGVTVSDVRGFGAQGGSTERHGGTASLCLCLCTCVALPLTGLHCVVSTSFSFSPIIAQKCVPWSD >KQL13224 pep chromosome:Setaria_italica_v2.0:III:3276847:3280363:-1 gene:SETIT_022829mg transcript:KQL13224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHTCRMLVAGEAPDQELAKGGAPVPATKGRPRQREGGRRGGLEKESRKVNGLGHNSDLCPVAKWRAEERTESTEDQTSPERRTTTEDYSMSPATSATAVSVPGVLIRQSLSTLRSFPAVRLLPSGAARQLQATSASRRLPATAVRAQSAAHPGYSPESEFYKIEAIIRPWRVSHVSSGLLEMGIRGVTVSDVRGFGAQGGSTERHGGSEFSEDTFIAKVKIEIVICKEQVEAVIDKIIEKARTGEIGDGKIFLIPVSDVVRIRTGERGKEAERMTGGLSDRLSSVVSIL >KQL13225 pep chromosome:Setaria_italica_v2.0:III:3277040:3280363:-1 gene:SETIT_022829mg transcript:KQL13225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHTCRMLVAGEAPDQELAKGGAPVPATKGRPRQREGGRRGGLEKESRKVNGLGHNSDLCPVAKWRAEERTESTEDQTSPERRTTTEDYSMSPATSATAVSVPGVLIRQSLSTLRSFPAVRLLPSGAARQLQATSASRRLPATAVRAQSAAHPVVLSGYSPESEFYKIEAIIRPWRVSHVSSGLLEMGIRGVTVSDVRGFGAQGGSTERHGGSEFSEDTFIAKVKIEIVICKEQVEAVIDKIIEKARTGEIGDGKIFLIPVSDVVRIRTGERGKEAERMTGGLSDRLSSVVSIL >KQL16859 pep chromosome:Setaria_italica_v2.0:III:46023278:46024277:1 gene:SETIT_025122mg transcript:KQL16859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSRTHLGDGACSTLRLKIDGCNHTGAMTTLGCRCEVDGYEWEICLFYPWRFFSGDHRIALTLVFLSDAGANRVRVALSCRLVDPTGSIDHRQQRPLRLRHIMVPSPNLPKHLGELLESKVGADVTFPVSGKSLAAHKNVLAARSPVFMAEFFGEMQEKSSGRVKIRGMEPSVFGAMLRFIYTDAVPELDRMETATANLAQHLVVAADRYQLDRLKVLCEQRLAFAIDNIMLALAEQQGCSQLKVKCMEFIAGGSSENLDTVMKTEGFKDLMANSPTMMAELLVAAHGRKK >KQL15387 pep chromosome:Setaria_italica_v2.0:III:19510674:19515667:1 gene:SETIT_024233mg transcript:KQL15387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYNIESNNADCLDSRPLKKPKCEQLNDYNDRRILLDDENHQNQHEQYKVDQTYDYLPQDYEMRDLDYCAQITIETSSEFDILVKIDDIFVTQAQLLCLLDPLKFLNDDVLIPINIKELHWYLAIINTLKCEIQVLDSLCWDSNRGDLIDSLQGLQYHLNIIGKQQNMISHKWKDLQIISWKITEQLQEPMQKDGSSCGLFMLKFMEYWTGESLSHPVTQEDINCFRYKLAGILLYWKTNIAQTTPQNISLLGSSDDQKEPKSSVSLSEETKYQSLLSVLSKISVNELVGCLCDYIKSINCPETLEEVWVRNSKPYSISLTLRKLQEILNEDMPMDRDCFNLVIRKFMFDDIQMMKKTKGTISKHYLDTRFWLITDFGRHPNFRKKIDVEQLAETVSSWPGVNYNLSRCKLIFIPIVQFNKTFILLILNQDKRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWNEDVFLWRQIILSDVPIESKELSGYLVSLFMCIWKDEELQLPVLKDGYELRKQFMAQLLTYKENECEDNMPAGVRDFLRCINATQS >KQL16705 pep chromosome:Setaria_italica_v2.0:III:44266608:44267291:-1 gene:SETIT_024200mg transcript:KQL16705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAARSTAAVAARAAAARHVASGTGRSSPLFPRRGFAAVTTTTTLPAAWTRPLPSHAAAAPMPSATRLDLASEEAMWALYERWCAFYGVKRSRDDMLRRFGAFKEMARRIHEFNKSGASYTMKLGERADLTAEERDRFYRSNSF >KQL15614 pep chromosome:Setaria_italica_v2.0:III:21653070:21654149:1 gene:SETIT_023643mg transcript:KQL15614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTNKKSPMPNLLQKILLPCTARPRTATRTRPPAIKPSPEKPGWKQKIPGENLTGKSSNPNLHPMVLKEPTLSPSPPSSTPRWRDSSLHSASPLNPSASPKSPPTADPPWREIELVAGILTTRRI >KQL14882 pep chromosome:Setaria_italica_v2.0:III:14572360:14582971:-1 gene:SETIT_021007mg transcript:KQL14882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMETSPPQSPARTPGRAARPRLFIKEMVLRNFKSYAGEQRIGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLDSAGVSVHFQEIIDLDDGNYRAVNGSDFIISRVAFRDNTSKYYINDRGSNFTEVTKLLKGKGVDLDNNRFLILQGEVEQISLMKPKAQGPHDEGFLEYLEDIIGTNQYVEKIEEAYKQLEVLNEKRTASVQMLKLAEKERDSLESAKNEAETYMLKELSLLKWQEKATKLASDDAISRVAQCQENVADLEKNLSSEREKIQQNSQTVKEMESIYNKHVKRQEDLENNMKSCKDQFKEFERKDVKYREDLKHLKQKIKKLEDKAQKDMSKRDDSTKEMEESSNLIPQLEGKIPKLQEQLNEEEKVLERIKESSREETERLRAELTQVRAELDPWENQIIEHKGRLDVASAEKELMKQKHDGAQAELADAQHQMESIKEKVKAKDSYIIELQEKIEKHHAEASEARKIEQECQKQEDSLIPMEQAARQKLAEMKTTRNSEKNQSTALKAILQAKESNEIQGIYGRLGDLGAIDAKYDVAISTAATAGLNYIVVETINSAQACIELLRRRNREETVTCLILEKQTHLLHKIKEKVKTPEGVPRLFDLVKVKDEKLKLAFFHVLGNTVVANDLDQASRIAYSAPKEFRRVVTLGGELFEKSGTMSGGGNRVQRGMMGTAIRESISEEAIRKAENELNNLVDELNRLREKMNAAKKHYRSMEEAKSRLEMELAKAKKEVESMNAQYIYNEKRLDSLKAASQPKADEVRRMNELDGIISSEQVELNRLTKCSSKLKDQASELQQKIENAGGQVLKDQKTKVANIQSELDKTSSEINRHKVKITSGEKLVKKLAKSIEESKIDTEKLLAEKEKMMSIFKEIEKKAFVVQEQYKKTQEMIDNHKDEFDKTKEEYSKLKKALDELRASEVDAEYKLQDTKKLAKEWEMKVKAFRKRLDDIQTNLAKHMDQIQKDAIDPEKLKVTLRDEQLNDTCDMKRAMEMVALLEAQLKDLNPNLDSIAEYRTKARLYSERVDELNATTQERDDLKKLHDGLRKRRLDEFMAGFNIISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFAESMKVV >KQL17144 pep chromosome:Setaria_italica_v2.0:III:48516454:48518844:-1 gene:SETIT_024562mg transcript:KQL17144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRLAVALATFALLCAAAAGQDDKILRPWPPDCSTADNYTADSQYKKNLDQLLAALPAAAGDNGWFYEGSVGTGADRVYGLIMCYADYNATACMDCLSRAPAGITTVCPGSRSVRAMYDACTLRYSAAPIPATADLAVLYIVYLTFPEVAVTSEGVRAAWVPLMSELTGGAAASPLRLASGSTPYSSSQSSQEMYGLAQCSRDLNASECSRCVSSYVGQLGKLFPNNSGGVIKGYSCYLRYQVAALDITLPPAPAPATPPPAGGPSSSSKTGIVVGVSVGSVSILILLGFSMWLLLRRRRRKEANLHEETRAMEDEFEKGSGPKRFRYGELAVATDNFSDKYKLGEGGFGSVYRGFLKEMNLHVAIKRVSKGSNQGRKEYASEVRIISRLRHRNLVQLIGWCHGGGDLLLVYELMPNGSLDKYLHSADNKLSWPLRHKIVLEIASAILYLHQEWEQCVLHRDIKPSNVMLDASFTAKLGDFGLARLVDHARGSHTTVAAGTLGYMDPERRARGRASARSDVYSFGVVLLEVACGRSPAVVLDDGAVIHLAWHVAELHGQGRVLAAADPRLNGEFDAREMESVLVAGLWCTLDDRRLRPSIRQVVGVLRLELPLPRLPMRTPAATYKPPPAAGL >KQL14381 pep chromosome:Setaria_italica_v2.0:III:10882363:10885095:1 gene:SETIT_021498mg transcript:KQL14381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSFGARRMTAQEAAEAAVGAVGCGYDLTGDLRLGRAKPAGRLVEIDAAPAPARDLTLPGGAVVSGVPAGIVADKGERTRFRSDVLSFAQMAEQVNQSLSLAGKIPSGAFNVMFDYRGCWHRDAAATRSLCFDGCFVELYSVEAVRAQLALRDSVKEDLPPFWDPPALAEFIDKYGTHVVVGVKMGGKDVVCVKQLKGSSLTQSDVQARLKKLADGKFSQDGPAGNPTAAGDDRLTHGLNGNFGPGSAAWQSFRSPVVSHKDDIVCIHIRRGGVDSGQGHGKWLSTITGYPDVISMSFVPITSLLSGVRGCGFLNHAVNLYLRYKPPIEELQQFLEFQVPRHWAPEFGELPLCLHRRKNSLPSLQFTLMGPKLHVNTAKVDSGNRPVTGIRLFLEGKKNDRLGVHLQHLSVTPGTITVAGEAASAEDAIVDERDYIEPVMSPLLSHVCTAPVQYNGARIDDCAAIVTRAWLEVRDTCCLKKVLFLRLGFSGVAATKIRRSEWDGPSVVPLKSGSLSARLSAALSGGLAQAVPPPPAAEEKVEVNSAIFPKGPPVPLPVQKMARHVDTTEVMRGPDDQPGYWVVTGAKLCVEGGKIALKVKYSLLIAVQEDTDV >KQL16115 pep chromosome:Setaria_italica_v2.0:III:30090033:30090823:-1 gene:SETIT_024068mg transcript:KQL16115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLELEHFIMLGEEIVTQMFYRLILLVSDIRTLGCTDWDDHKVTKKMLRAFTPRNPTLSTMIRRDPSFKTKTPNQLLGEILHQELVERDVAKSLSMRMNKSLALNASSSTMIESSPKALKSKKEDSGEEGSTDEETAFAIRNYKIFLKKKAFKKNGDDRKKISQRRCYECKEVGHFIADCPHKKKKEMEEKRFKEKSKDFKKKYQGQAHVGQE >KQL13394 pep chromosome:Setaria_italica_v2.0:III:4245620:4246637:-1 gene:SETIT_023669mg transcript:KQL13394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVNAPPAGCCTVAARPSMMMRARSRAGGRLVAVNAVGDVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFIRKAGSTLRANAARKDQAQIVCPNCNGLGKLGQIDK >KQL13393 pep chromosome:Setaria_italica_v2.0:III:4245644:4246637:-1 gene:SETIT_023669mg transcript:KQL13393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVNAPPAGCCTVAARPSMMMRARSRAGGRLVAVNAVGDVAAEGNTYLIAGAVAVALVGTAFPILFSRKDTCPECDGAGFIRKAGSTLRANAARKDQAQIVCPNCNGLGKLGQIDK >KQL13085 pep chromosome:Setaria_italica_v2.0:III:2494806:2503396:1 gene:SETIT_021270mg transcript:KQL13085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRAQVNKAHKTRFASKASRHAHKIDKVRSGKPESSHRAAVKGARAARIQQSKAIRDKKRAALLKEKRSSVGSSGAPRVIVLVGLSSSTDVGSLAKDLLTFAEGDDGKLRSSTVASPTYKLRTTVLQAPYGDLTSCMELAKVADLLAFVLPANSLYSSDSSSPIDEFGSQCLSVFRAMGLPSTAVFIRDLPADNRSRQELKKAATSFLSAELPEDCKFYLADTKDDLHKFMWLFKEQHLSSPHWRNQRPYVMSEQICIKPDDNTGLCTLLVSGYLRAHNLSVNQLVHVSGAGDFQLGQIDVLKDPCPLCERKSSDVMETEDDGIQIVNTFVSDPSNQEPLLVENVPDPLAGEQTWPTEEDMKEANINNKERKLVKRKLPRGTSEYQAAWIVDDTDDEDNDSDNDNQAGSGMVIDEQGHADEGSDGSDIDAVSHFTEKFDTETVGDTEMADDENLTKEQIEAEIKKIKEANTEDEEFPDEVETPLDVPAKKRFAKYRGLKSFRTSTWDPKESLPPDYARIFAFDNFTRTQKHVLAKIAELDGGTKDCALVGSYVRLYVTNVPTDIASKLCHPSRRIPVVVSGLLQHESKMSVLHFSIKKHDSYEAPIKSKEPLIFNVGFRQFTARPLFSSDNINCNKHKMERFLHHGRFSVASVYAPICFPPLPLIVLKNRDGEQPAIAAVGSLKSVDPDRIILKKIVLTGYPQRVSKLKAIVRYMFHNPEDVKWFKPVELWTKHGRRGRIKETVGTHGAMKCIFNSSIQQHDTVCMSLFKRAYPKWPEQLYHV >KQL14003 pep chromosome:Setaria_italica_v2.0:III:8134049:8134564:-1 gene:SETIT_025260mg transcript:KQL14003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSRPEAGKNAPHEGTCLLLGYRPDLFGEYLRHDAVGKLDQDGRAQAAVGPWHGDLIRKLPDDILGRILSLVGYKYAVRASATCRRWKDMHLQAPHVWLYTVSRGAGATQSMERMLRRRRRVQRLRVVYRADVPAQRECMARLIELADAPALEVCAQCTDKRLPEGGAGGA >KQL13675 pep chromosome:Setaria_italica_v2.0:III:5992097:5992753:1 gene:SETIT_025186mg transcript:KQL13675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVGMDAFDIEKREFAGDRCSSVMEPSLFEMLFSWPRRKPSASYFIDEIDAIGTKRFSSIFWRTKRMPVGQDYQAL >KQL12988 pep chromosome:Setaria_italica_v2.0:III:1979104:1982506:-1 gene:SETIT_021989mg transcript:KQL12988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRGLLAAAAAVLLLAATAVSAAPDMSIISYNEEHGARGLERTEAEARAMYDLWLAEHGRAYNALDEHDRRFRVFWDNLRFVDAHNARAGDHGYRLGMNQFADLTNDEFRAAYLGARLPARGDDRAAGERYRHDGVEALPENVDWRDKGAVAPVKNQGQCGSCWAFSAVSTVESINKLVTGEMVTLSEQELVECSTANSGCNGGLMDSAFDFIIKNGGIDTEDDYPYKAVDGKCDVNRRNAKVVSIDGFEDVPKNDEKSLQKAVAHQPVSVAIAAGGREFQLYKSGVFSGSCTTNLDHGVVAVGYGTENGKDYWIVRNSWGPNWGESGYIRMERNINAITGKCGIAMMASYPTKKGPNPPKPSPTPPTPPPPVAPDNVCDENYSCSAGSTCCCAFGFRNVCLVWGCCPIKGATCCKDHASCCPPDYPVCNIRAQTCSASKNSPLSVPALKRTLATLNTA >KQL13159 pep chromosome:Setaria_italica_v2.0:III:2897230:2899489:-1 gene:SETIT_021705mg transcript:KQL13159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNHLFCRFKNRAVLPALAVIRLVVANFGTSSAVERSRKQRCANIHNALSVLDLVPTKHHCGEEEVPSHHRLINDCMYDILGVQSKRNVLHKRKYDFFNSSSNQRRPKGGNVVSSNISVYPHKTRFPKEDMLMQVVELHRSGSIGSDASILAPALSSCADRKTLTGGAQLQALLVKVGYDSSILVGSSLISFYSRCGQLGNAHMVFLGMAAKNTVSWTALISGYAQDNQVEPCLHFFALMRQSVCKPNDITFATIFSVCTNHAFLALGKSVQGLQLKMGFDSYVHVSNALVSMYAKCGSIGEARAIFESIACKDLISWNSMIFGYSQHGLAEHCLDLLKEMQGHIMPDAISFLGVLSSCRHACLVAEGRRCFMEMIEHGIKPELDHYSCMIDLLGRAGLLDEAWDLIQTMSMPPNGVVWGSLLASCRVHGSVSIGIQAAEHRLKLLPGCAAAHVQLANLYASFGCWSDVARVRKTMKERGLKTNIGCSWIEVGNKVYTFTAENRSKSQVNYVLAILDCLRSHMDYKCDILIDGLDWD >KQL12661 pep chromosome:Setaria_italica_v2.0:III:240468:241842:-1 gene:SETIT_022396mg transcript:KQL12661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIETRSSSSRGSQALVVVVGGGSGLLDAGVAPEAVAADLASLAHAQLAHLLHGLGELAEEPALVFGEAVDEPPGAAVEQEPLVGGEHPLPLHEVLEVHVVEGVRRPHVQVLLPVVVVAAEAGQPVGELVVGAAPVDAVAEGAAARLADGVGAGERDEVGGVTLVVAAEALVAEAGDEGVDVGVRAREGLDGPARSGLQAVAASHGHGDPGAAGLAHGVGGGERQDVGARHGGPALVVHGAADALDEVQRLLLQALVLDLVLLAVPPVQQDGRVATLREAVVEEDAEEAGGDLRAAVGIGARGPHGGAHHAVQVGARQRMEVNRQDRSTSVVGHRLQRQRQRRRCGDEHGQEDDAATPPSRRHRCCWMVPSK >KQL14346 pep chromosome:Setaria_italica_v2.0:III:10705460:10709440:-1 gene:SETIT_022565mg transcript:KQL14346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVREMAMAAAAAAAAAGGGGGKLPPPNPNLPYREDCWSDGETAALVSAWGSRYVELNRGNLRQKQWQEVADAVNSRRGASARRRPPRTDVQCKNRVDTLKKKYKAERARNAPSGWSFFHELDRLVGPTLSASASKRPPSSSPATQFAMPMPLHPPAVRNHASPSPSPPPPMALPLPNYHHRRSPLPAAALIQKEAAAAAAPVSDSEDSDDVGGNNNHNSQRSPSHSVSSLSGNNKKRSRDEAGSGGDKGCKELARAIEAFAEMYERVESAKQKHALQMERQRIEFLKQLEVKRMENFVEAHVKLARAKRPKKTKVGTTDGAGAMELVATVASLPFVSTSTLL >KQL14804 pep chromosome:Setaria_italica_v2.0:III:13962831:13968332:-1 gene:SETIT_021383mg transcript:KQL14804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSSLSEVEAGISCFASSLPGFRGVLKHRYSDFIVHEVARDGTVVQLTSFDLPSECVDVKEEEKAAPSADADHSQALESFRALCGDADCDALRGLLERVAAGGDGDVSPVILSPDADKAHRSEVHNFFKKNFKFLVTDTVEHSDGIQRCIRVRLGSGAGGGRGCGGGGRGKGRKRKNMGGSDWRDDRPFDSRGSSNWSDSVGKFLRFHLYKENKDTQEALGIIGKMLGLQPRSFGFAGTKDKRAVTLQQVTVFKVQANRLAALNNRLFGIKVGNFCYVKEGLVLGQLMGNQFTITLRGVIAESEDVIKAAADGLGKNGFINYYGLQRFGSGSIPTHLVGAALLRGEWKAAVNLILDPREGERDDINEVRKHYKEHGDIDKALRNFPRHLVAERAILQCLKKCPGNYLQALKGIPRTLRMMYVHSYQSYLWNHAASMRVEKYGMSQVVEGDLVYNKESPPEESTSVDIAETDDGHTNSSEIDLCSEAQPEETIQSVKIVDSGDLLKGMYTFDDVVLPLPGSQAMFPGNEVAEIYHEMAKKDGISLMENAHGVKEFSITSMKGGYRRVFQRPIDFQWELMTYTDDSSSLAETDLDVLSRIKPKEANELVTTDQSQDKLEKDSDTSTPTNGSDSLENKPTGSPDTAPRKMAIKLVFTLPASCYATMAIRELLKTSTSVAYQKTLSC >KQL16631 pep chromosome:Setaria_italica_v2.0:III:43161853:43162489:1 gene:SETIT_023933mg transcript:KQL16631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRTRAARAEQEIEEMRSEAEKFGELMGRLFDVLGRGMRVFGALARDVVIISLGYILVKNV >KQL17130 pep chromosome:Setaria_italica_v2.0:III:48470004:48473497:1 gene:SETIT_022425mg transcript:KQL17130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGDGASAAAKNETYVRADKIDLESLDIQLEKQLAKTWEKHKGKSTLGPREDWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATETETATLRASFKQEVAVWHELSHPNVTKFIGASMGTTDLKIPANSSHTELPPRACCVVVEYLAGGTLKQYLIKNRRRKLAYKVVVQIALDLARGLSYLHARKIVHRDVKTENMLLDTQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLEGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDIPRCCPSAMANIMRKCWDANPDKRPDMDEVVRLMEALDTSKGGGMIPEGQAGGCLCFFRARGP >KQL14895 pep chromosome:Setaria_italica_v2.0:III:14689148:14689664:-1 gene:SETIT_024062mg transcript:KQL14895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPCPSLVLVLLLICSCAAADGELIHHLHFYFHEVDTGTRHAQRHLHQRRQPAPARVRNTPSASTFGDVNVFDDELPEGPDPASRLIGRARGLAAHASLDESRGLSPVDFDRLLRLPAALRFARGYMHDQQALSATDTAIVVVFDIH >KQL17194 pep chromosome:Setaria_italica_v2.0:III:48833635:48835047:-1 gene:SETIT_024116mg transcript:KQL17194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLESYEEKEKNNAQSYKDFINSLDNDEIHNLENATDALEIKNKKTSNSKDARIKLIDQGGDCEHDWIKGRGDYNIKCAFCIYYPSQDNRFTCSICLKQACASCLKNTNQKWRQEIEIVAEDKILVSRVRNLENRINILETELEDLRSRIEFNNKIEGNGSGNIELNNQAIIDRNKDKALQLKDAIINFGNKYIVRLPFKEIVGIRIPVKVKLTPTITYKILALVDIGCTKNIIHDEYYARCLEIVHTIDQDKAEISTDMSGTKKLHNQLAYNIEVQINNTKYIMDKITIRDLSMINDDMILGLRFLKFSLQTTIIHEQGITFIPYQDNIPYITEVQTAISSNVRKSKLELQETDDNKTTDNFIDEELGESIEEFHVANSCIECISLQSLSPNWYRDIKSKKDTDKIVQRLEEIEIIGEILMKYWDKNGIVCKLNIINPDYIIKTSPIEATSKDIEEFKMNSRCILNNY >KQL13516 pep chromosome:Setaria_italica_v2.0:III:4914219:4915869:-1 gene:SETIT_024657mg transcript:KQL13516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSIRVIVGIIASAVSIVLYAVPILTFKRVIKEASVREFSCVPYILALFSTLTYSWYGFPVVSYGWENLSVSGTCSIGGIFETLFISIYIWFAPREKRKFVMLMVSLVLAIFCVTACVSSLIIHTHHMRKVFVGSIGIVTAMSMYSSPLVAVKQVMRTKSVEFMPFYLSLFSFLTSLIWMIYGILGRDPYITSPNAAGCFTGILQLAVYCIYSRCKEPPKKLSDTEQANDMDVVTTCEEANGFKP >KQL16369 pep chromosome:Setaria_italica_v2.0:III:38539230:38540478:-1 gene:SETIT_023964mg transcript:KQL16369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSAHRSTQMHHLLQLAAIVIALLMLFCLQLSFGSGAHHYPSGDHVKPPTVDPPKKG >KQL15509 pep chromosome:Setaria_italica_v2.0:III:20993201:20994580:-1 gene:SETIT_022603mg transcript:KQL15509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRSHLLPALLVLCACTVAPPPAPVAAANVPITTCRSFCGNITVDYPFALHPGCGHAGLRDLLFCINGALMLHLPSGSYRVLDVDYAYRGLTLHDPAMSDCRALDRTPAGRGNGFVLEPWREPYLSPDPDNAFLLLGCRATSPLFQGFPDRHLPCRNVSGMGCGDYLACPAWDDYYAGDGRRRAPGDDAYGDAVGAPPECCAVPWAAIRAVNVSRLECEGYSSAYSLAPVRAAGGATGWAYGIRVSWSLPESNRGFCGACRATGGACGHDMESHADLCLCGDWNSTSNCDSSADAAPSGAAARFPRAVAAVRWAVLASGLTSLWWHASKPNLW >KQL15327 pep chromosome:Setaria_italica_v2.0:III:18938745:18941862:1 gene:SETIT_021786mg transcript:KQL15327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPPLLRDQLSRRTAVLGLRLWVLVGIAVGAAFLLLLALISLHLAAARRRRPRKGVAHAHAPAAPLSPSTIPPVSKEIQEVAVHVGSLRHYLEMGTAFLKDAGGAPHHGDGDGDSVGAHGSQRVHIEAGKGRRMVAYADGGEGVGPVASDVSAAVGPEVSHLGWGHWYTLRDLEEATAAFAPEHVVGEGGYGIVYRGVLADGYQVAVKNLLNNRGQAEREFRVEVEAIGRVRHKNLVRLLGYCAEGAHRILVYEYVDNGNLEQWLHGDVGPVSPLTWDIRMNIVLGMAKGITYLHEGLEPKVVHRDIKSSNILLDRRWNPKVSDFGLAKLLGSDSNYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRSPVDYARPNGEVNLVEWLKNKVTNRDYEAILDPKLPEKPSSKALKKALLVALRCVDPDSQKRPKMGHVIHMLEVDDFPYREDRRTLRPGNGSPLERARTPGKPPVAGSCDSSCYEGNTTTASTPSRLVQDM >KQL16619 pep chromosome:Setaria_italica_v2.0:III:43071508:43072086:1 gene:SETIT_024379mg transcript:KQL16619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRPCRSLSDLPIEVVIDIVGHLAMTSDNPLEDLHRLRATCRLMLHACGDRAVRVRLSFLQCWEKMSRNQSSRMHALLHHLVALGNPKPFLHKLSHATVSGLNVAAYLYALFLYRNDGSAADDDIARMYIQRVEGEGEDGAAARVTTGPMKLGNLGCRERREEVYSYVWSYT >KQL16642 pep chromosome:Setaria_italica_v2.0:III:43254588:43255630:-1 gene:SETIT_023502mg transcript:KQL16642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACSVTEECPVAVSAELLWKVFLAGDASIMTKACVGMIDAVEVEGDGGPGSVTTMKLNPSVGDAKVFKTRLLARDAAALVVRSEMVVEGGEVAAKLKSQVSELKVVPAGEGACVCKVTVEYERLDGTPLAPEDQAKLVHGYLGLIKKVEEYIVAHPGEFA >KQL13796 pep chromosome:Setaria_italica_v2.0:III:6785801:6790160:1 gene:SETIT_021911mg transcript:KQL13796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSPSPSPSPRAASTRAVEPTAHGSSPESSRLSYSAATPSRASDDSCVVNDVDAFARTIAAIRSKPPSAAAASGGSSSLASVLSHYAARWLPDAASASPSGRFVLLPPESPTAAWLKKRLLLESLVAALPPDDGGGGDGITCDFLLRLLRAGSTVGADAALLGDLEARAARRLDQASLGAVMIPAFGGLAPCAPSPTLLDVPLVLRLVRGFLREGASGGGGGAAAARVARLVDAYLAEAALEAGLRPVEFEELARAVPAHARATADGLYRAVDTYLKAHPRASKEERRSLCRLIDPRKLTAEAAAHAVQNDRLPARFVVQVLFLSEHGGGKLGHHRLAEWSGGSSFRDLQSRSPAPAGGLELPSAAVGTAGARCPSKREVVAAQHHELRRLREDVARLQVQCHALQAQVDRLSSESRRRRGLLGWGAALLFRGGAGASRVDDSDSGVDRTPLGGRKQGRHATTPARGTPPTVARWRRSHS >KQL16326 pep chromosome:Setaria_italica_v2.0:III:37620737:37620937:1 gene:SETIT_024575mg transcript:KQL16326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFRENCKPRPCLDELAHAAAGGHNVATYVATLFLYRANSSTGDHDTMMQYIRKVEGEEESRAAVAD >KQL14408 pep chromosome:Setaria_italica_v2.0:III:11059272:11061751:-1 gene:SETIT_023350mg transcript:KQL14408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALPLPRAAAPLILLRASRFAPWAAPAPRRRLLPGPPTAGEPPPPALPPASKLAGPPVVVVAPEPPLPFRAAEAEILRDIEPVVQLIKDILHSDRYGDGECLCPNDENVVVQKLLAFHPRAQDKIGCGLDAIMVDRHPEFRKSRCLFVVRTDGVWIDFSYQKCLRAYIREKYPSHGERFIREHFKRT >KQL15399 pep chromosome:Setaria_italica_v2.0:III:19625455:19629798:1 gene:SETIT_021461mg transcript:KQL15399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLEPRYRPSGAPEDATKRRTSKSKSFKDVESFEVLVLEKNCGCKFKSLKILIIAIISATVLTLITPTLYEHQLQSASRYVDVGWMWDKTNSDPRYASSVDVQWEDVYRALRNLKSGNQDLKVGLLNFNSTEYGSWTQLFPDSHVSTIRLEHAKDSITWRTLYPEWIDEEEETEIPSCPSLPEPNVRRGVRFDVIAVKLPCTRVAGWSRDVARLHLQLSAAKLAVASSKRNHKVHVLFVSDCFPIPNLFPCKNLVRHEGNAWLYSPDSKALREKLRLPVGSCELAVPLKAKSRLFSVDRRREAYATILHSASEYVCGAISAAQSIRQAGSTRDLVILVDETISDHHRRGLEAAGWKVRIIQRIRNPKATRDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNVDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFQLLMDHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDDEEMKAKKTQLFGADPPMLYVLHYLGLKPWLCFRDYDCNWNNAAMREFASDVAHARWWKVHDKMPRKLQSYCLLRTRQKAGLEWDRRQAEKANSEDGHWRRNITDPRLKTCFEKFCFWESMLWHWGESNNRTKSSPATVTTPSLASS >KQL12613 pep chromosome:Setaria_italica_v2.0:III:41149:43491:-1 gene:SETIT_021864mg transcript:KQL12613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLFVFLVLLLPALPLPRASADICIVGAGISGASTAFFLTNYTTSLHGAQLRVFERRHRVGGRLATVAVAGDHFEAGGSIIHPRNLHARRFADLLGLAVKAGGDDDWLGIWDGKRFVFQTLRPLPPGSSWWRRKLHGLLNSLLLLRRYGLSLLKMDKFVQEMLQRFMLFYNGFESRPVFATVEEMLKWTGLYGLTRRTLEEELLDAGLSSQTIAELVTVITRINYGQSTRISGLAGAVSLAGSESGLWAVKGGNWQLAAGLLKTSNATLHLQEGIDSITDAGDYYVLRSNTGNEYNCTATVVATPLDEMNITFSPPISIPPRKMQHTHATFVRGLLNPGYFGLNSASSIPELIGTLELPDIPFSCISVLKRYSEDDMTYKMFSCAKLDDGLLDQIFSARKETIRINWAAYPHYEAPEEFAPIVLDGKQLYYVNTFESAASAMETGAVAAENVARLIISRLSLPMRGVEPPGPHIKSFAGEDEEGSWRRHVEDL >KQL16527 pep chromosome:Setaria_italica_v2.0:III:41314692:41317406:-1 gene:SETIT_024149mg transcript:KQL16527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDVTQVLLSAQSADGAIRKHAEESLKQFQEQNLPGFLLSLSTELANEEKPEESRRLAGLILKNALDAKEQHRKSELFQRWLALDAGAKAQIKGLLLQTLTSPVASARSTASQVIAKVAGIEIPQKQWPELIGSLLSNIHQVQPNVKQATLETLGYLCEEVSPEAVDQDQVNKILTAVVQGMNASEANSDVRLAATRALYNALGFAQVNFSNDMERDYIMRVVCEATQSPEVKIRQAAFECLVAISSTYYDKLATYMQDIFNITAKAVRGDEESVALQAIEFWSSICDEEIDILDEYSSEFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDLDEGAWNLAMAGGTCLGLVARTVGDDIVPLVMPFVEENITKAEWRQREAATYAFGSILEGPSADKLAPLVNVALNFMLSALMKDPSNHVKDTTAWTLGRIFEFLHGSALETPPIITAENCQQILTVLLHSMKDVPNVAEKACGALYFLAQGYVDAGSASPLSPFFQDIVQNLLMVTHREDAGESRLRTAAYETLNEVVRCSTEETAPIVMQLVPVIMMELHQTLEAEKLSTDEREKRSELQGLLCGCLQVIIQKLGGMESTKYSFLQYADQMMDLFLRVFACRNATVHEEAMLAIGALAYAAGANFAKYMPQFYQYLEMGLQNFEEYQVCAITVGVVGDLCRALEDKILPFCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLIYAMPMLQSAADLSAHTTATDDEMLDYTNQLRNGILEAYSGILQGFKSSPKTQLLMPYAPHILQFLDALYNGKDMDDTVMKTAIGVLGDLADTLGVHAGPLINQSSSSKAFLEECLASDDPQVKESADWASIAITRAVSG >KQL13079 pep chromosome:Setaria_italica_v2.0:III:2432779:2433207:1 gene:SETIT_025093mg transcript:KQL13079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAFNATHPITAKQVEQLFEARILYDHREQRFKMREDLARIYCKACVAQISCAKDLILLSVPRGQFANYCNQSSGILSIAPNPPSPLQRVAMLFWPPNRHRTSVSGEASHPGRLISSVSVGNPFGYSMRLAEVNITSEKGSS >KQL15637 pep chromosome:Setaria_italica_v2.0:III:22046871:22054576:-1 gene:SETIT_022140mg transcript:KQL15637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGDDDTGGKLLVNRYLKGEQLGEGTYGIVNKAIDTKTGNTVAIKRIRIGEKKEGVNFTALREIKLLKELKDPNIIELIDCFPYKENLHLVFEFMDTDLEAVIKDKRIVLSPADTKSYAQMMLKGLAFCHKKWVLHRDMKPNNLLIGADGQLKLADFGLARMFGSPGRNFTHQVFARWYRAPELLFGSKQYGSAVDIWAAGCIFAELLTRRPFAQGSSDIDQLGKIFAALGTPKSSQWPDMAYLPDYVEYQYVAAPPLRTLFPLASDDALDLLSKMLTYDPKARITAQQALEHRYFSSLPAPTIPSQLPRPRRKGDSGNNKIPDLNLQDGPVVLSPPRKLRRVTAHEGMEGNMHRADKVDEHPSGTRHTDDMSSQSSRIPMSVDVGAVFGTRPAPRPTLNSADKSHLKRKLDMDPEFGFGE >KQL14755 pep chromosome:Setaria_italica_v2.0:III:13672805:13673211:1 gene:SETIT_025590mg transcript:KQL14755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEFLSEVSTLFFLPPVTHPNRCELFVLLAISHLIWIKESSQLLNM >KQL16715 pep chromosome:Setaria_italica_v2.0:III:44396721:44400746:-1 gene:SETIT_022529mg transcript:KQL16715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLLFRTNKTREKSAAVLPPHLRYRAVLPGGPCSPSLFTALPPRTSPPPPPPGMGGAHFPGDNDAAEVDAACCDGEVDLRNVGKMEHGCEHYRRRCKIVAPCCKQVFPCRHCHNEATVSGDRHTVCRQDIEKVVCLLCDTEQPVSQACVSCGANMGEYFCDICKFYDDDTEKGQYHCNDCGICRVGGKENFFHCVKCGSCYSVALRDNHQCVENSMRQNCPICYEYLFDSLQGTRVLNCGHTMHMECFTDMVKHNKYTCPICSKTALDMSHHWEMLDQEIEATIMPPVYRYKIWVLCNDCNKVSEVNFHVIGHKCSHCNSYNTRSTSRPVDSSGNSSPTTDSSDNNL >KQL12918 pep chromosome:Setaria_italica_v2.0:III:1639513:1643763:-1 gene:SETIT_024573mg transcript:KQL12918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WISKPAARDPRDNLPTVVEPEDPETAESVASSRDKALVRDAARSTVSVSSIALDGKVIDQQTRIVIGWKETKKCARILTSSDIVDGLGPNIKLHIGLPNRTILEGQLLFFNKYYDIALLEISSESDLPLQLPSFGSNPNYGQEVFVLARGKDSNLMARHGRVLWFEDPKYLNRNYLMLLSCNTPNIRSCPTYSRKRCSMALEDHILAISTILTCIEMWMKFSRIARPEHGLSLRTVELLEVSLQAVISLDHNINNGYIVDKVSIGSTAEKLGIRYGDVIVSFDGLRDQALPQLEDYLLSLGWGFLQGSTDSSSTVDLKFGLT >KQL13124 pep chromosome:Setaria_italica_v2.0:III:2721764:2726297:-1 gene:SETIT_025305mg transcript:KQL13124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEDAEQEASAPNRKKIFSRSHSTICHIPPRVLFFLDKSRPAATHQISRTQGAQIRKDFKVNRQPPATARDPSFFPAPSSIYYPPPFLPPFAPVSPLIPSHPSSSTPSATATARRRCRSSESSTPPPRAVLEFSILRIESDAAGADDDDDATPSPPRHHHHHQQQPQLITRELFPAVAGPPPQHWADLGFFRAAEPPRPQPDIRILPHPHPHATPPAPPPVQPQAAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEDDMKQMKSLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKCNGREAVTNFEPSTYDGELLTEVATEGADVDLNLSISQPSSQSPKRDKNSLGLQLHHGSFEGSELKRTKIDTPSELAGRPHRFPLMTEHPPIWPAQSHPFFTNNEGASRDINRRPEGGTGSGVPSWAWKMTAPPPTLPLPLFSSSSSSAAASSGFSNTATTAAPATPSASPRFDPPSSSSHHHR >KQL15136 pep chromosome:Setaria_italica_v2.0:III:17329795:17333625:1 gene:SETIT_023567mg transcript:KQL15136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCKGCASGD >KQL15137 pep chromosome:Setaria_italica_v2.0:III:17329795:17333625:1 gene:SETIT_023567mg transcript:KQL15137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIKTSRVKYPEGWELIEPTLRDLEAKMREAENDPHDGKRKCEALWPIFRISHQKSRYIYDLYYRRKEISKELYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEKVIECVHCGCKGCASGD >KQL13458 pep chromosome:Setaria_italica_v2.0:III:4552325:4553584:-1 gene:SETIT_022539mg transcript:KQL13458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAFSQQPHGRLLVLLLFCCLVGAATSGSTMQCHDEDQAALLAINDALGSPQHFTSWTPDTFCCDWYDVDCDNATGRVAGLTVIGDGNVTAAIPDAIANLTSLRTLVMRHLQGLTGVIPESLAQLSGLSQLTISYTGVSGPVPAFLSQLTELTLLDLSFNALTGSIPASLADLPALASIDLSRNRLEGPVPALLLSKCAGEAELWLSHNNLSGAIPAGFAAVNFTHLDLSRNSLSGDASPVLGRGKPLQHLDLSRNDFRFSLTAVEMPEGLTYLDLSHNAIRGRVPAQVADLAGLQLFNVSYNKLCNVLPTGGVMAKFDAYSYQHNKCLCGSPLPACHRRYLL >KQL15429 pep chromosome:Setaria_italica_v2.0:III:20054543:20060499:-1 gene:SETIT_021294mg transcript:KQL15429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVNQNFFAWSQEETSVQDISQGTSQVFGHGSISFGRFDLESLEWEKWSVFTNDRRHEEFGKFNGLVAKKKAYFEEYFKRIRELKALQQQNQQTELHLEYSGDGSNSSQTGEYEPAADHGAPTESGTPVDDSMEQTTTATTFENEMESYGYHENESLVNETPVSTHSSPVGGLQQIGKQMRGDVSGKDANSSQDNPGMAHEIMISPKRITEKDSRIGQASKIIPKTIKMTSSNVSDHTIVTKGLGSGKPSVINQMVKPESIHSLRRPREATSNLIGTTARSGITGLRRPSSAASQRPSTRDQRPVTRDASRKPTEVITPCRPSTSERRPATRESALKHAGNATPRRPSTADRRPMTKESAPKQCNIATPRRPSTANKRPVTKESTPKLSNTATPHRPSTAGRRPITKESAPKHFSVASPHRPSTGERHTSNRDMATKHVGISTSCQPSAVKQHPITREGAQKHADIVILCRPSTAERRPIVRDIASKHATVAPPCRPSTAERRPIARDVALKHGSPYRPSTAEKRTVVRDTEPKHAPPHRPSTPDRRPVNRETTLKQTNVATSRWPLTPDRCLTRKGDISTPQRPSTGERRPIANRSTMKPNPKTPIESRAMASYSKGAMAKEGTPEKAMTPSIVKSRKLENISYAKERVEFQVDWTQKSPFNLPTRKMLTSNVRDDRGLENSRKSNKEGLREGVRAQAYKSNNMTPSPTGSVKTRAPAPPPPPPPLRRPSCFERKLNASNLPAVGRKPKASTPHWH >KQL14002 pep chromosome:Setaria_italica_v2.0:III:8132167:8132442:-1 gene:SETIT_024105mg transcript:KQL14002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQSCRVCWACEVRVHSVGRLSSVAVELAYGEMPRIIPEKIRTITKEEAHGLMRSILAGLWPGLRHQGVALKQLLGYVYYYYYCTDATSC >KQL16521 pep chromosome:Setaria_italica_v2.0:III:41234041:41238226:-1 gene:SETIT_021433mg transcript:KQL16521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVISGPGEKPMIVVQHKGEEKQFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVLRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKNINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQDAEKYKSEDEEHKKKVESKNSLENYAYNMRNTIRDEKIASKLAADDKKKIEDAIDAAISWLDANQLAEADEFEDKMKELESLCNPIIAKMYQGAGADMGGAAGMDEDAPAGSGGPGPKIEEVD >KQL15258 pep chromosome:Setaria_italica_v2.0:III:18347133:18348860:1 gene:SETIT_0218802mg transcript:KQL15258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGELAEDAIVADAGNSKDGEVRAMGIGDDAEQQQQRDGGFGLKSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGVLLQVFYGLMGSWTAYLISVLYVEYRARKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATSVFIPSFHNYRVWSFLGLGMTTYTAWYLTIAAAVHGK >KQL15693 pep chromosome:Setaria_italica_v2.0:III:22635215:22639259:1 gene:SETIT_022167mg transcript:KQL15693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCIFLLSDSGEVMVEKQMAGHRVDRAICSWFWDYVLAHAAGDPSKVLQVVVSPTHYLFHIYRNGVTFLACTQVEMPPLMAIEFLSRVGDVLTDYLGDLNEDIIKDNFVIVYQILDEMMDNGFPLTTEPNILKEMIAPPNIVNKMLNIVTGKSSTLGSKLPDAAASYVPWRSTVVKDASNEVYVNIVEELDACVNREGALVKCEAYGDVQVNCSLAGVPELTMSFANPAIINDVTFHPCVRFKPWESNQVLSFVPPDGQFKLMSYRVKKLKKTPIYVKPQLTSDSGNCRVSVMVGIRNDPGKPIDSITVQFQLPPLIISADLTANYGTVDILANKTCLWTIGQIPKDRAPMLSGNLRLEEGLAQLHTLPTFQVKFKIMGVALSGLQIDKLDVKNTPSAPYKGFRAQSQAGKYEVRS >KQL12730 pep chromosome:Setaria_italica_v2.0:III:637714:639218:-1 gene:SETIT_023588mg transcript:KQL12730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLCHSPVNKCPPDNMDPYVILTCRTQEQKSSAANGAGSEPEWNETFIFTVSDDTPQLHLKIMDSDVTDDDFVGEATIPLEALFQEGSLPPTVHPVVKEEKYCGEIKIALTFTPAETRRPDNEEGTYSSWD >KQL12732 pep chromosome:Setaria_italica_v2.0:III:637714:639638:-1 gene:SETIT_023588mg transcript:KQL12732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGKLEVLLVSAKGLEDTDFLNNMDPYVILTCRTQEQKSSAANGSEPEWNETFIFTVSDDTPQLHLKIMDSDVTDDDFVGEATIPLEALFQEGSLPPTVHPVVKEEKYCGEIKIALTFTPAETRRPDNEEGTYSSWD >KQL12731 pep chromosome:Setaria_italica_v2.0:III:638109:639548:-1 gene:SETIT_023588mg transcript:KQL12731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGKLEVLLVSAKGLEDTDFLNNMDPYVILTCRTQEQKSSAANGAGSEPEWNETFIFTVSDDTPQLHLKIMDSDVTDDDFVGEATIPLEALFQEGSLPPTVHPVVKEEKYCGEIKIALTFTPAETRRPDNEEGTYSSWD >KQL13457 pep chromosome:Setaria_italica_v2.0:III:4548177:4548875:-1 gene:SETIT_024085mg transcript:KQL13457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMRAILVLLIAASPAAASPRRCHPGDIAALAAISKAFGNYYSAWTQHDSQCCGGGIHCDPFTGRVTGLSLFQDANLTGTIPDAVAGLVHLESLMWHHLPAISGPIPPAIAKLSNLSMLIISWTSVTGPVPSFLGALTKLTFLDLSFNSLTGVIPASLAALPNLNGINLSRNRLTGAIPPLLLSKSPDQAYLVLSHNNLTGSIPAEFSTLGFAHVDLSRNAFTGDASALFGR >KQL15050 pep chromosome:Setaria_italica_v2.0:III:16090101:16093275:1 gene:SETIT_021610mg transcript:KQL15050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGNGILKADTQRVESTASDNPGTTGVATQGVSQQGKRWSGFRNFLNRLSSGPRLKKLGPSPSFKFQQLALQRDEFSRSIHSDNHGSHDHFQFIRKINWGHLWMMAKDWIKEPMNMALFVWIAIVAVSGAILFLVMTGMLNRALPSKSQRDTWFEVNNQILNALFTLMCLYQHPQRIYNFVLLCRWEQKDILRLRKTYCKNGTYKPNEWMHMMVVIILLNLNCFAQYALCGLNLGYRRSERPPIGVGLTISVAIGAAAFAGLYNIISPLGKDYDTEQADIDQEAQIEVASTESGRAASRFKSFERRYSFIQSDERRFVESRPEWVGGLLDFWDQISLAYLSIFCSCCVFGWNMQRLGFGNMYVHIATFLLFCIAPFFIFNLAAVNINNESLREALGLTGLFLCFFGLLYGGFWRIQMRKRFNLPGNTTCCRNPDVTDCFQWLFCCSCSLAQEVRTADYYDITEDRSHRGQVTEDSQRIMSMSPLRREDGLPLFKSNPSSPYRSGNASPSIFILESPSAPRRSSGSTPQGGSPTMGDRAMKAPIPSVLHRGGGPDHDTGTSNEAATSPMR >KQL17200 pep chromosome:Setaria_italica_v2.0:III:48887109:48892794:-1 gene:SETIT_021310mg transcript:KQL17200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGSSTCCCFSALVLLRGAEVAARAVLKESLRLPGARMVRRQASWTKDANKEDIPELVLNRLLVAEVFLQMLASGLGTVALVWATVVLLGGFSTMLVRLDFWLTTAIVFVETARIVGYNSAPEAKFFVDVPASAFFGRNILQIGSATGFVGLITMGPALIALAPIFAASACMTLSIWRLLSIDGYGGSSTESSNANLKPALILFYALVLSQGVLFLMWFVVAMSRSAQAKKLRQYFKNEEMITNRFIASTLDTCVEKGVVNTLNRTVITFAEELLQSEQSGDHGVAVSVLHVVVIERKERRAGAIEQICSSEQLVGMLFRLLSSKSPLDLDTRTLAAKIVKKLSNKLYLGNIPGAANVLSSMLDACFMETRAQTSHKTGETLVSIKSDNGEIIVHGLQILCKLADDPGNCTEMYNTKDLVSKIIASLTHGLHKAIASESATVEVVIASLGLLVKLTSRTGESSTELRRTILDDSRTVGNILWILSNSSHTDMKILAVKILTTLTLGQLSKKRKRLILSQVGSPDLQQLVTMLSDDGNSKENRAVTAQLLAQLCANSRTSHDRNRLRPISSALSTVLKTISNTQCTNDDADTWRFLGCFLGLTMQICVNLGVGADAFATAVKEIPEEDLVLVKKLKEIVDTCIERLSRNSCMDGENDISLMIMKAAAKLATWMMKMNHHYITHFCQVNILEKLEDATNAMAKLEEYMILTGGCPDGSGRHETLSSLVKGVKDLVSQQQAWAHMRQIV >KQL13437 pep chromosome:Setaria_italica_v2.0:III:4464892:4468910:-1 gene:SETIT_021509mg transcript:KQL13437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKASAQGAAEAAIAAIGRGYDVVSDVRLKYCKGKLADPDARLIDLSRDEVQDVVLPGGIKVDAVPKSIKCDKGERTRFRSDVLSFQQMSELFNRELSLTGKIPCGMFNSMFDFSGCWQKDAAATKSLAFDGWYISLYKVALSKSRILLRDHVTQAVPSTWDPAALARFIEKFGTHLVVGIKMGGKDVIYLKQQHSSSLQPAVVQKRLKDMSDRRFLDANGHYDMSIKDAYGKDKSDAREQRLRFVQSSPSSSYCSKEDLVMVVKRRGGREWDKEMPHSEWINTVQLEPDVISMTFLPITSLLNGVPGCGFLNHAINLYLRYKPPIEELHQFLEFQLPRQWAPVYSDLPLGPQRKRQSSASLSLSFMGPRLYVCTNMVDVGERPVTGLRLYLEGKKSNMLAIHLQHLCSLPQILQLQDDPYNHRTPEPYDSKYLEPFGSWKRFSHVYTEPVESDDDSSIVTGAQLQVSSHGLRKILFLRLHFSKVINAASVKNPEWEGSPNLGQKSGLISTLISTHFSTAAQKPAPRPADVIINSAVYPGGPPVPVQAPKLLKFVDTAEMLRGPQDTPGYWVVSGAKLQLERGKLSLRVKYSLLTAMVPDDEYPLDEHS >KQL15777 pep chromosome:Setaria_italica_v2.0:III:23660039:23660795:1 gene:SETIT_023839mg transcript:KQL15777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRLLPQRLPHLIRQVEQDVETVIHVLQPGPIGIVEHKFTDVEILEARATVKRAVDNWQRNWALERNLGSGSFDKWKK >KQL15778 pep chromosome:Setaria_italica_v2.0:III:23659059:23660795:1 gene:SETIT_023839mg transcript:KQL15778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRLLPQRLPHLIRQVEQDVETVIHVLQPGPIGIVEHKFTDVEILEARATVKRAVDNWQRNWALERNLGSGSFDKWKK >KQL14149 pep chromosome:Setaria_italica_v2.0:III:9155895:9161570:1 gene:SETIT_021421mg transcript:KQL14149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQASERRVAPNATTTIPSTQPTNIQQSAAPFSGFPPIISHVLPARPRPRPATVSPAPPRPGGCFRSPLPPHPERPARQQRQPARHCTRALLLSSGSGGDRSQAESGGGAGSRAHGMEVFGPVTPGQVSFLLGLFPVLIAWIYSEILEYRKSSSHGKVHSDANLDNGTIKEEDKTVLLEGGQLKSPSTKFRNLSTKANLIRFITMDESFLLEHRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDLMLYYICPMHTLFTLMVYGALGLFNKYNEIPSVMAIKIACCFLSVILIWEIPGVFELLWAPFTFLLGYKDPSPAKAHLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIVTLSVMAGYLWYEYIYKLDKVTYNKYHPYTSWIPITAYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLCFIPDYPMLNFMLTTAIYLLLSYRVFEITNVLKGAFIPSRDNNRLYQNFVAGIAISVCLYFCSLILLKIPVV >KQL14150 pep chromosome:Setaria_italica_v2.0:III:9155895:9161570:1 gene:SETIT_021421mg transcript:KQL14150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQASERRVAPNATTTIPSTQPTNIQQSAAPFSGFPPIISHVLPARPRPRPATVSPAPPRPGGCFRSPLPPHPERPARQQRQPARHCTRALLLSSGSGGDRSQAESGGGAGSRAHGMEVFGPVTPGQVSFLLGLFPVLIAWIYSEILEYRKSSSHGKVHSDANLDNGTIKEEDKTVLLEGGQLKSPSTKFRNLSTKANLIRFITMDESFLLEHRAVLRAMAEFGIVLVYFYICDRTNIFPESKKSYNRDLFLFLYILLIIASALTSLKKHHEKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYIKKDFSIARFAQMMWRLNFFVAFCCIVLDNDLMLYYICPMHTLFTLMVYGALGLFNKYNEIPSVMAIKIACCFLSVILIWEIPGVFELLWAPFTFLLGYKDPSPAKAHLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIVTLSVMAGYLWYEYIYKLDKVTYNKYHPYTSWIPITAYICLRNCTQQLRSASLALFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLCFIPDYPMLNFMLTTAIYLLLSYRVFEITNVLKGAFIPSRDNNRLYQNFVAGIAISVCLYFCSLILLKIPVV >KQL17074 pep chromosome:Setaria_italica_v2.0:III:48074788:48076072:1 gene:SETIT_023613mg transcript:KQL17074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGPSAAAIQAARDGNLCLLKEMVSVLDLRGVKGPKGRTLLHFAAADGHLDVCKFLVEGPGLHVNSTSVEGNCKAVKLLLSKGVPVDPLNHRGTPLHLAAGYGHGQALKNLLDHGADPNSVANHFNSPLMAAC >KQL13193 pep chromosome:Setaria_italica_v2.0:III:3096891:3099433:1 gene:SETIT_023689mg transcript:KQL13193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLTAAGGQRSATSSIAMYALVLDLGRQGKHAAAAARRTFRPPSIRTPSIPSVTGGDFDRERSIRRLRPMHASSPSVALVWSARTRWCLRLRRADADGEAFCRCLQTESK >KQL13194 pep chromosome:Setaria_italica_v2.0:III:3096891:3099440:1 gene:SETIT_023689mg transcript:KQL13194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLTAAGGQRSATSSIAMYALVLDLGRQGKHAAAAARRTFRPPSIRTPSIPSVTGGDFDRERSIRRLRPMHASSPSVALVWSARTRWCLRLRRADADGEAFCRCLQTESK >KQL15328 pep chromosome:Setaria_italica_v2.0:III:18947222:18949787:1 gene:SETIT_021287mg transcript:KQL15328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVATLRCMAWPWLLALVCLLAMLRVPAASAETESYIVHMDKSAMPRAFSSHQRWYESTLSAAAPGADMYYVYDHAAHGFAARLRTEELESLRRSRGFVSCYRDDPRAVTRDTTHTPEFLGVSAQGGLWEEAGYGDGVIVGVVDTGVWPESASFRDDGLPPVPARWKGACESGTAFDGSKACNRKLIGARKFNKGLIASQNVTIAVNSPRDSEGHGTHTSSTAAGSPVPGASFFGYAAGTARGMAPRARVAVYKALWDEGTYPSDILAAIDQAIADGVDVISLSLGQNGVPLYKDPIAIGSFAAMQRGVFVSTSAGNDGPELGFLHNGTPWTLTVASGTVDREFSGVVTLGDGTTVIGESLYPGGPTSLAAAGIVFLDACDNSTALAKNRDKVVLCEPDSLDDAVSALQEAKVRAGLLLSNDSFRELYEQFSFPGVILSPQHGPLLLQYIRSSKAPRAAVKFEVTILGTKPAPVVATYTSRGPSGSCPTVLKPDVMAPGSLILASWAENISVATVGSQQLYSRFNIISGTSMACPHASGLAALIRAVHPEWSPAMVRSAMMTTASALDNTGASIKDMGNRNHPASPLAMGSGHIDPSRAVDPGLVYDAAPEDYVKLMCAMNFTAEQIRTVAQTSSSYAVDCAGASLDLNYPSFIALFNPDGSGAGERTFTFTRTVTNVGGVPASYSAKVVGLKGLTVAVTPDRLVFNGKNEKQKYTLVIRGQMNSNTGDVLQGSLTWVDDAGKYTVRSPIVATTARSQLL >KQL15769 pep chromosome:Setaria_italica_v2.0:III:23613288:23613497:1 gene:SETIT_025257mg transcript:KQL15769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPESASAVSSLRPMWNYVDPQGNTRGPFPMSWLFRWSSFFDKDFKVWRTGETAEQAILLTDAFLMYL >KQL14426 pep chromosome:Setaria_italica_v2.0:III:11201714:11203753:1 gene:SETIT_023581mg transcript:KQL14426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVESRAAQIEISAPSYLRHRISREKLVTAMAAAGGVSSDDVPILQAENLTSNVKSIYYSRTFLSIIGGVVAGIWGFTGLMGFVFYFLIMMVASIGLLAKSKFSVQTYFDSWNRILIEGVFGSLMSFVLFWSFAYDIVHIF >KQL14673 pep chromosome:Setaria_italica_v2.0:III:12843986:12845293:-1 gene:SETIT_023491mg transcript:KQL14673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRLGGAVGREEGDEELFETASYVSGGEESDADEGDHFPDSGAAGQGDQDHQRLFVPQPLRRMNSDSIYDMASMMSQLPAKKGLSRYYEGKSQSFACMSEVRCLEDLRKKDNPYQQKIKSCKSYVALGGMAKKPSSGSCANLSLAAASGFRTTPIQNGYHQ >KQL16582 pep chromosome:Setaria_italica_v2.0:III:42681371:42686609:1 gene:SETIT_021347mg transcript:KQL16582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAEWDSGSEAAPTGEWPPATASPVKGKAALPEESDAGASASGSSEAKVDDGNIQEAESSLREGLSLNYEEARALLGRLEYQRGNVEAALRVFDGIDLQAAIQRFQPSVSEKPLSKRSNKLRSDSSNSGSQHAASLVLEAIYLKSMSLQKLGKAMEAAKQCKSVLDAVESIFQCGIPGVMVEQKLQETVSKSVELLPELWKQAGAYQEALASYRRALLSQWNLDDECCTRIQKRFAVFLLYGGVEASPPSLASQTEGSFVPRNNLEEAILLLMILLKKWFLGKTHWDPSVMEHLTFALSVCGQTSVLAKHLEEVLPGIYPRTERWYSLALCYFAASHNEAALNLLKRSLNKNESPNDIMALLLAAKICSSSYLLASEGVDYARRAVKDVESSDGHLKSVALHFLGSCLAKKSRVASSDHQRSLLQTEALKSLNEAFSLDRHNPELIYDMGIEYAEQRNLHAALKYAKEFIDATGGSVSKGWRLLSLVLSAQQRYSEAEVVTDAALDETAKWEQGPLLRIRAKLKVAQSLPMEAVEAYRTLLALVQAQRKAYGSIKNGAEEDEDKVSEFEVWQGLANLYSSLSYWRDAEICLQKAKALKTYSATTLHAEGNIHEVREKIQDALAAYFNALSTEIEHVPSKVSIGALLAKKGPKYLPVARCFLSDALRLEPTNRMAWFYLGKVHKHDGRLVDAADCFQAASMLEDSDPVESFRSL >KQL16658 pep chromosome:Setaria_italica_v2.0:III:43411655:43412919:-1 gene:SETIT_023439mg transcript:KQL16658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRRGERGLLGGAGRGGEKRCIGRHGFWVSLRGRNQGAMVEEGVDFAQDSVVGGGDDFLFVSDSEEEVSNDFNDRSFAPHSKVPGANDVHASPSLAKADDYNPLSTPQQPGAVCAPFTAPPYSDGLEAVAWHDIAGHSQPPEEEAYVEHPDLQGQDAGADDEFRERGEKI >KQL16657 pep chromosome:Setaria_italica_v2.0:III:43409551:43413167:-1 gene:SETIT_023439mg transcript:KQL16657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRRGERGLLGGAGRGGEKRCIGRHGFWVSLRGRNQGAMVEEGVDFAQDSVVGGGDDFLFVSDSEEEVSNDFNDRSFAPHSKVPGANDVHASPSLAKADDYNPLSTPQQPGAVCAPFTAPPYSDGLEAVAWHDIAGHSQPPEEEAYVEHPDLQGQDAGADDEFRERGEKI >KQL16275 pep chromosome:Setaria_italica_v2.0:III:35738380:35739137:1 gene:SETIT_023903mg transcript:KQL16275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKCAISIEALVNEDHQNIISTDQIHQIYIFLFFVLKAISQNIVYLINDEYNPQLFVILMKKLARQSLLM >KQL16571 pep chromosome:Setaria_italica_v2.0:III:42572318:42575309:-1 gene:SETIT_023730mg transcript:KQL16571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGYVVGVLGGAILAHAAYATIQYRTVLKITEEEFTRPPMDVMIELLLGLALCMWAGLAVPAKFCSVLPHSEENRIVSLPANLDFMIFNHRGRALPSDPDLKLKT >KQL15628 pep chromosome:Setaria_italica_v2.0:III:21996276:22000095:-1 gene:SETIT_022651mg transcript:KQL15628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAPALPTSLRPRPASPLLLSAGPHVPGRRSPIPLRLSPLRPARSLFPISAVEKTKGAAAAEEAELEGMPPEFYDEEWQARQRERTKEWHAYRQKEEAEEERITSEYQEIGMRLKAYPQEEVRKARILVSSFIRAGEDVEEEIEKAAERGDLTELVLMVIWNRLDVARRDDERDAIRSLDLLYRRVEAEILKSEATPAMRLLNELLNLHDGGDDDKWLKKCRKRMLEVFPREDPFTVVFPASFNMEKHEGRIELPPQDDDLLLRVDFVREVDELLKEVQAEQEKNKLQTGYDPESVANMLKQQEKMQTIRQVESLQDLASSLKW >KQL16231 pep chromosome:Setaria_italica_v2.0:III:34831448:34846304:-1 gene:SETIT_020939mg transcript:KQL16231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAMAAHRASFPLRLQQILAGSRAVSPAIKVESEPPAKVKEFIDRVINIPLHDIAIPLSGFRWEFNKGNFHHWKPLFMHFDTYFKTYLSSRKDLLLSDDMAEADPLPKNTILKILRVMQIVLENCHNKSSFAGLEHFKLLLASSDPEIVVAALETLAALVKINPSKLHMNGKLISCGAINTHLLSLAQGWGSKEEGLGLYSCVVANEGNQQEGLSLFPADMENKYDGSQHRLGSTLHFEYNLSPTQDPDQTSDKSKSSNLCVIHIPDMHLQKEDDLSILKQCVDKFNVPPEHRFALLTRIRYARAFNSARTCRLYSRISLLSFIVLVQSSDAHDELTSFFTNEPEYINELIRLVRSEDFVPGPIRALAMLALGAQLAAYASSHERARILSGSSIISAGGNRMVLLSVLQKAISSLNSPNDTSAPLIVDALLQFFLLHVLSSSSSGTTVRGSGMVPPLLPLLQDNDPSHMHLVCLAVKTLQKLMEYSSPAVSLFKDLGGVELLSQRLHVEVQRVIGTVDGHNSMVTDAVKSEEDVLYSQKRLIRALLKALGSATYSPGNPARSQSSQDNSLPVSLSLIFQNVEKFGGDIYFSAVTVMSEIIHKDPTCFPALKELGLPDAFLSSVTAGVIPSCKALICVPNGLGAICLNNQGLEAVRETSALRFLVDTFTSRKYLMPMNEGVVLLANAVEELLRHVQSLRSTGVDIIIEIINKLCSSQEYRSNEPAISEEEKTDMETDVEGRDLVSAMDSSAEGMHDEQFSHLSIFHVMVLVHRTMENSETCRLFVEKGGLQALLALLLRPSITQSSGGMPIALHSTMVFKGFTQHHSTPLARAFCSSLREHLKSALEELDKVSSSVEMSKLEKGAIPSLFVVEFLLFLAASKDNRWMNALLSEFGDASREVLEDIGRVHREVLYKISLFEENKIDSEASSSSLASEAQQPDSSASDIDDSRYTSFRQYLDPLLRRRGSGWNIESQVSDLINIYRDIGRAASDSQRVDSDRYSNQGLPSSSQDQSSSSSDANASTRSEEDKKKSEHSSCCDMMRSLSYHISHLFMELGKAMLLTSRRENSPVNLSPSVISVAGSIASIVLEHLNFEGRSVSSEKEINVTTKCRYLGKVVEFVDGILLDRPESCNPIMVNSFYCRGVIQAILTTFQATSELLFTMSRPPSSPMDTDSKTGKDGKETDSSWIYGPLSSYGAVMDHLVTSSFILSSSTRQLLEQPIFNGSVRFPQDAERFMKLLQSKVLKTVLPIWAHSQFPECNIELISSVTSIMRHVCTGVEVKNTVGNGSGRLAGPPPDENAISLIVEMGFSRARAEEALRQVGTNSVEIATDWLFSHPEEPQEEDDELARALAMSLGNSDTSAQEEDSRSNDLELEEETVQLPPIDEILYSCLRLLQTKEALAFPVRDMLVTISTQNDGQNREKVLTYLIENLKQCVMASESLKDTTLSALFHVLALILHGDTAAREVASKAGLVKVALDLLFSWELEPRESEMTEVPNWVTSCFLSVDRMLQLEPKLPDVTELDVLKKDNSNAKTSLVIDDSKKKDSESLSSVGLLDLEDQKQLLKICCKCIEKQLPSASMHAILQLCATLTKVHAAAICFLESGGLNALLSLPTSSFFSGFNSVASTIIRHILEDPHTLQQAMELEIRHSLVTAANRHANPRVTPRNFVQNLAFVVYRDPVIFMKAAQAVCQIEMVGDRPYVVLLKDREKERSKEKDKDKSADKDKATGAVTKVTSGDIAAGSPASAQGKQPDLSARNVKPHRKPPQSFVTVIEHLLDLVISFVPPPRSEDQADVSGTASSSDMDIDCSSAKGKGKAVAVAPEESKHAAQEATASLAKSAFVLKLLTDVLLTYASSIQVVLRHDADLSSMHGPNRPSAGLVSGGIFNHILQHFLPHAVKQKKDRKTDGDWRYKLATRANQFLVASSIRSAEGRKRIFSEICNIFLDFTDSSTAYKAPVSRLNAYVDLLNDILSARSPTGSSLSAESAVTFVEVGLVQSLSRTLQVLDLDHPDSAKIVSAIVKALEVVTKEHVHSADLNAKGDNSSKIASDSNNVDLSSNRFQALDTTSQPTEMITDDRETFNAVQTSQSSDSVEDEMDHDRDMDGGFARDGEDDFMHEMAEDGTGNESTMEIRFEIPRNREDDMADDDEDTDEDMSADDGEEVDEDDEDEDDDEENNNLEEDDAHQMSHPDTDQDDREMDEEEFDEDLLEDDDEDEDEEGVILRLEEGINGINVFDHIEVFGGSNNLSGDTLRVMPLDIFGTRRQGRSTSIYNLLGRASDHGVLDHPLLEEPSSMLNLPHQGQPENLVEMAFSDRNHESSSSRLDAIFRSLRSGRNGHRFNMWLDDSPQRSGSAAPAVPEGIEELLISHLRRPTPEQPDDQRTPAGGTQENDQPTNVSEAEAREEAPAEQNENNENTVNPVDVLENAGPAPPDSDALQRDVSNASEHATEMQYERSDAVVRDVEAVSQASSGSGATLGESLRSLEVEIGSVEGHDDGDRHGASGASDRLPLGDMQATARSRRPSGSAVQVGGRDISLESVSEVPQNSNQEPDQNANEGNQEPARAADADSIDPTFLEALPEDLRAEVLSSRQNQVAQTSNDQPQNDGDIDPEFLAALPPDIREEVLAQQRAQRLQQQSQELEGQPVEMDAVSIIATFPSEIREEVLLTSPDTLLATLTPALVAEANMLRERFAHRYHSSSLFGMNSRNRRGESSRREIMAAGLDRNGDPSRSTSKPIETEGAPLVDEDALRALIRLLRVVQPLYKGQLQRLLLNLCAHRDSRKSLVQILVDMLMLDLQGSSKKSIDATEPPFRLYGCHANITYSRPQSSDGVPPLVSRRVLETLTYLARSHPNVAKLLLFLEFPSPSRCHTEALDQRHGKAVVEDGEEQKAFALVLLLTLLNQPLYMRSVAHLEQLLNLLEVVMLNAETQINQAKLEASSEKPSGPENAVQDSQDNTNISESSGSKSNAEDSSKTPAVDNENILQAVLQSLPQPELRLLCSLLAHDGLSDNAYLLVAEVLKKIVALAPFFCCHFINELARSMQNLTLCAMKELRLYENSEKALLSSSSANGTAILRVVQALSSLVTTLQEKKDPELPAEKDHSDAVSQISEINTALDALWLELSNCISKIESSSEYVSNLSPAAANAPTLATGVAPPLPAGTQNILPYIESFFVTCEKLRPGQPDAVQEASTSDMEDASTSSGGLRSSGGQASLDEKQNAFVKFSEKHRRLLNAFIRQNPGLLEKSFSLMLKIPRLIDFDNKRAYFRSKIKHQHDHHHSPVRISVRRAYILEDSYNQLRMRSPQDLKGRLTVHFQGEEGIDAGGLTREWYQSLSRVIFDKGALLFTTVGNDLTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDAHFTRSFYKHILGVKVTYHDIEAIDPAYYKNLKWMLENDITDVLDLTFSMDADEEKLILYEKAEVTDSELIPGGRNIKVTEENKHEYVDRVVEHRLTTAIRPQINAFLEGFNELIPRELISIFNDKELELLISGLPDIDLDDLKANTEYSGYSIASPVIQWFWEIVQGFSKEDKARFLQFVTGTSKVPLEGFSALQGISGPQRFQIHKAYGSTNHLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEANEGFGFG >KQL16263 pep chromosome:Setaria_italica_v2.0:III:35355934:35356554:1 gene:SETIT_025684mg transcript:KQL16263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGDEVGRAHIGEGPRCARGCAQGLVERRRLYSQT >KQL16203 pep chromosome:Setaria_italica_v2.0:III:34294460:34294800:-1 gene:SETIT_023890mg transcript:KQL16203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRRERAAVFHDENSFQLPTEIIAHKFVEDLISVSSNKGECSAHVFAPLLLASLRDAEECQHFIYPKRIA >KQL13908 pep chromosome:Setaria_italica_v2.0:III:7679352:7679945:-1 gene:SETIT_024898mg transcript:KQL13908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYGTIPTSSSPPPPGGSSSTTTYPLDFISRAKARGASALATRRPWRELADPHALSVPRGFSDAYRRARANLAHFAANYALVVLAVVFASLLWYPVSLLVFLACFAGWLFLYFLRDRDVDQALLICGRPVGDGVVIAFLSAVTLVLLLLTGATSNILISLLVGLLVVLFHALLHRPADSIDEEAGRWYTPVPPSSY >KQL17095 pep chromosome:Setaria_italica_v2.0:III:48231831:48233283:-1 gene:SETIT_022703mg transcript:KQL17095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSTLLLIVVVSAGAAVVLGHPATTNTLAAQFWQKALPGTTMPDAIADLVKNGIDHSPLVEHYSAPPSISVCIMFNSACNPLTVAETGIFFHEAQLRPGSAMTLSFPAEAETAILPHGVAEKVPFGNLGDVLATFNIPAGSAEATQVRDTLSRCQEPPVAGEVKSCTTSLESTVRSAMDMLGTVVNDGGQQGVWAATSELPRGGLPRQPYVVQGVAPLDGERYVSCHKVPFPYAVYQCHSAGTMGYRAYVVSLSGLRGGGRPAASMLAFCHVDTSSWNPAHPAFEILNTRPGGSPVCHFMPYGDLAFVKKAGRAY >KQL15860 pep chromosome:Setaria_italica_v2.0:III:24794855:24796600:-1 gene:SETIT_023132mg transcript:KQL15860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAILMAAGAVGIGGDREADEWELFGKDDLELVNQWAFQGEKIIHGKPSGIDNSVSTFGSMIKFKKGEMTNLKSRNPVKMLITDTRVGRNTKALVASVSERASRHPDAMASVFHAVNSISEELSSIVELAAEDEIAITLKEEKLAELMEMNQGLLQCMGVSHSSIETVLQTTLKYSLVSKLTGAGGGGCVLTLIPTLSASIVLEKVATELESHGFCCFKVEVGGRGLQVCRG >KQL13223 pep chromosome:Setaria_italica_v2.0:III:3266528:3268029:-1 gene:SETIT_022474mg transcript:KQL13223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGMCGLDELEVLAMSPGGSAASAAAAPVASEDEGDLRRGPWTVEEDLLLVNYIAAHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITAEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLRCDVNSRQFRDVVRHVWMPRLVERIQAEYSGGSAVVAQAPAAPAALVAPATTTASAPAAYYHPSPYGGHGQSADGVVPPSKAHGGGYYHADYYSYSEPGQEPAPAAMSPDDTSSALRSSLTDATSHGTQHHYAASAATPTNEGCCGAAGPTAAGGDDVVQEEEEDVFAGTWSELLAAAGSDGGSKIGLPDFEFADFEDNLWSLEDLCLQQLC >KQL15847 pep chromosome:Setaria_italica_v2.0:III:24486563:24486927:1 gene:SETIT_024758mg transcript:KQL15847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVASSCAAILAQRRMLSAAAVTVAEESTKKAGETAVKLGTVAKDIASAMATTTEEKTAFWEPDPETGFYRPVTGTKEVDAADLRAEMLKQRMLQD >KQL17476 pep chromosome:Setaria_italica_v2.0:III:50637837:50644265:1 gene:SETIT_022338mg transcript:KQL17476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRSEAGSPPTAGDEEEQRLRAALRHLQAEAGVLERLVYKHRNQHRGAAYFQYLLKVRRDLKLLLGADLAQVLNAVFPVLASRKPANTILVLTEQTKKKPGANHSHHERLLGVARLLSQMAEPVMKAAIQITFLLARSFFIDLCTAVFSLLARIRVLIQQMLLDVVLLYNKVTDLTGRKQAVKISIGGVQAFREYYPSMNDACTILECVWVKDKFLLHEKMKDSCQETQVEDQKPCGPESSIQYETLPLVSEDTLNLEETNLPAKQADAALAEQPDKMNHCSGAGGSQSGRQLEKESGACSVPDTLNTCMHSVPHSNLKHETRKRVAFVAVGNPKVPGAASETKSSEVNKKQRLNMISHTSVESGLYNKLLDYENVEKSLL >KQL14435 pep chromosome:Setaria_italica_v2.0:III:11238110:11240195:-1 gene:SETIT_023855mg transcript:KQL14435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLLIQKLSSGVYIRSTGRLGMAVAPVTRTASAVRYFSIVPCSHAEVKTIWPMKRAFSSSSFNKHKVSSEPKMTPR >KQL16292 pep chromosome:Setaria_italica_v2.0:III:36463999:36464707:1 gene:SETIT_023949mg transcript:KQL16292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTLSQKKSKKRKITKRIWNSMATAIRILKIFTLEWPWINGKHDQQEYSNAAVGQHGRRQ >KQL13183 pep chromosome:Setaria_italica_v2.0:III:3040851:3043060:1 gene:SETIT_024447mg transcript:KQL13183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILGLPLDGQPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFDVCPPGANDEVVQRYARVWLWHFVSTFLLPDAAGNTVSWMVLPILGQHVRPVRGNPDRRYRAYTNEFDVLTQHQVEWKPYDRDQLSHIVFSPTCYRDRELWRCTTPLILYYVVEFHMPHRVMRQFGRMQPCPLLELSTSQQLHSIDRRKRYKENDWRLKHAQYLLMWQNKQGCDSDGGPYWRPNNEYIRWYCTLTRTKVKPSWTNVPIEDAPSESDADIADAYDTVTRYGTQPERAPLHDYMGQQLARLSNEAGVIMEHAVREGDGLLRQFAERVRKSCRRMAMSMNCMTSSDVHHGGNGQSTSSGSRRTPLATTPRAATPSTAAGPSRRSRGKEPASPQESEDSEHEQSEDDDPTYGEELEISHDAPPVTQTQGESSQEPAPCTRMPRRQRRSRDHTDVGSANVLPTHPRRERRPRDPFSPPDERRSRH >KQL15346 pep chromosome:Setaria_italica_v2.0:III:19117588:19119364:-1 gene:SETIT_023489mg transcript:KQL15346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVQAAMTAHLDQVSGLVQALSSELRRGMGPAADNLRAFVRAVDWTEPWLVCLMAFHVILLLTAVGFRRNANFQLLLLFLAYSGVYMAEKMNRYLGEHWKSFASQNYFDRSGVFISVIWSGPLIFISIVSVVSSLIALCRLMVKWKRAELRHRARLARDKQD >KQL15442 pep chromosome:Setaria_italica_v2.0:III:20169279:20174155:-1 gene:SETIT_023009mg transcript:KQL15442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGAGAHYSARTAEEVFRDFRGRRAGMIKALTTDVEKFYQLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLAVAFYFAARFGFDKEARRRLFNMINNLPTIFEVVTGVAKKQTKEKGPNSTSKSNKPSSKMPSRPESHSKATKGSAPPKDDDDDESGEEYEEEEERDNTLCGSCGTNDGKDEFWICCDSCERWYHGKCVKITPARAEHIKHYKCPDCSNKRARA >KQL15368 pep chromosome:Setaria_italica_v2.0:III:19326761:19329845:1 gene:SETIT_025448mg transcript:KQL15368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVDQSSGAKHAMMWALTHVASKGDFLTLLHVLPPQTGNGGGGGRGGGVDASALANSLGALCKACKPEVEVEALVIQGPKLSTVLSQVKKLEASVLVLSQRKPSPFCCFMRSGSEAFVEECINRAECLTLAVRRQSKGVGGYLVSTRWQKNFWLLA >KQL14156 pep chromosome:Setaria_italica_v2.0:III:9221740:9223821:-1 gene:SETIT_021471mg transcript:KQL14156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFSRLRASSPPHAAADPFVLSPVFKACASAAAAADAGILRHAAALHAFAVRSSAVSSVFVSTALADAYAKGGRLDLALQVFDEMPCKNVVSWTTLVASLARAGRRHDALRRFAEMRASGVACDSHAYAAALTACADAGLLPRGREVHALCAKLGLDATPYVANTLATLYARFGDVDRALAAVSRMGSRDVAAWTTLIASYVQTGREEEAIEAFVRMLHDESSNSAAPNEYTFSAVIAACANIERVCLGEQLHAQAAQRGLSHSRSVANSLAKLYARCGRLSAAHDVFRGSVVKDVVSWSAIISGYAQEGFAEEAFALFSEMRHHSSCPRPNEFTLASLLSVCASAAAMDAGRQLHVLAVAAGLEHHAMVRSSLIDMYGKSGSMSDADLVFSNRTSDDVISWTAMIVGHAEHGHSKEALELFEEMCRVGLKPDHVTFMGVELGLKYLNAMSKRYGVEPSKEHYGCVVDLLGRAGRIHEAEELIGRIAANERDGVVWTSLLRACAARGAEETGKKAAERVLQAEPWGSGAHVVMANLYASKGQWREAAQERHLMKQKGVVKGAGWSSVEVGGEDRGVGVFVSGDRTNPQDNAIYLMLDLMYYGAGMVRHIPDQLHLGSEVELTVN >KQL14548 pep chromosome:Setaria_italica_v2.0:III:11960186:11966739:-1 gene:SETIT_021925mg transcript:KQL14548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGLPKTPFSSSLEKKSRPPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAAEKEKLNFLMCIASNEYYLRVRKRESTVCHVVLCCELNILAHVAWQWLPFTSSARSDNLQLYHWVRVVNGVPPTGDYQFAKYNKKVDVLKYTDEEYEKYLIDPAWSREETDQLFELCERFDLRFIVIADRFPTTRSVEDLKSRYYSVSRSLLIHRSRSFDDVSGNPLVKDSYDAAHETERKRALSALLSQTKQQERKDAETLAEAKRIMESRAASKNVDEAGMPSSSDNAMVPVDGVSPLSSTHPPLTHPNTAANSSIPNSLRTLRVYLRTHALDQMVQAASASAGLRVIKRVDQTLQDLGVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRESSFTEAPSTPKVKEQANGITSGRPLEDL >KQL14549 pep chromosome:Setaria_italica_v2.0:III:11960666:11966739:-1 gene:SETIT_021925mg transcript:KQL14549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGLPKTPFSSSLEKKSRPPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAAEKEKLNFLMCIASNEYYLRVRKRESTVCHVVLCCELNILAHVAWQWLPFTSSARSDNLQLYHWVRVVNGVPPTGDYQFAKYNKKVDVLKYTDEEYEKYLIDPAWSREETDQLFELCERFDLRFIVIADRFPTTRSVEDLKSRYYSVSRSLLIHRSRSFDDVSGNPLVKDSYDAAHETERKRALSALLSQTKQQERKDAETLAEAKRIMESRAASKNVDEAGMPSSSDNAMVPVDGVSPLSSTHPPLTHPNTAANSSIPNSLRTLRVYLRTHALDQMVQAASASAGLRVIKRVDQTLQDLGVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRESSFTEAPSTPKRSNRDIDRTFIPDTVGFSGERAGKRDHKRKTTGRFIDAPPSPTQSKRPRKLKGSD >KQL14550 pep chromosome:Setaria_italica_v2.0:III:11960666:11966739:-1 gene:SETIT_021925mg transcript:KQL14550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGLPKTPFSSSLEKKSRPPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAAEKEKLNFLMCIASNEYYLRVRKRESTVCHVVLCCELNILAHVAWQWLPFTSSARSDNLQLYHWVRVVNGVPPTGDYQFAKYNKKVDVLKYTDEEYEKYLIDPAWSREETDQLFELCERFDLRFIVIADRFPTTRSVEDLKSRYYSVSRSLLIHRSRSFDDVSGNPLVKDSYDAAHETERKRALSALLSQTKQQERKDAETLAEAKRIMESRAASKNVDEAGMPSSSDNAMVPVDGVSPLSSTHPPLTHPNTAANSSIPNSLRTLRVYLRTHALDQMVQAASASAGLRVIKRVDQTLQDLGVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRESSFTEAPSTPKRSNRDIDRTFIPDTVGFSGERAGKRDHKRKTTGRFIDAPPSPTQSKRPRKLKGSD >KQL14551 pep chromosome:Setaria_italica_v2.0:III:11962831:11966739:-1 gene:SETIT_021925mg transcript:KQL14551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGLPKTPFSSSLEKKSRPPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAAEKEKLNFLMCIASNEYYLRVRKRESTVCHVVLCCELNILAHVAWQWLPFTSSARSDNLQLYHWVRVVNGVPPTGDYQFAKYNKKVDVLKYTDEEYEKYLIDPAWSREETDQLFELCERFDLRFIVIADRFPTTRSVEDLKSRYYSVSRSLLIHRSRSFDDVSGNPLVKDSYDAAHETERKRALSALLSQTKQQERKDAETLAEAKRIMESRAASKNVDEAGMPSSSDNAMVPVDGVSPLSSTHPPLTHPNTAANSSIPNSLRTVILELFQIKSYS >KQL13811 pep chromosome:Setaria_italica_v2.0:III:6884343:6885429:-1 gene:SETIT_025282mg transcript:KQL13811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARVFGNEDAETTVVLAHGYGGTRFIWEDVVPALAERFRVVVFDWSFSAAAAKDDGGEGYCCSYYGLADELVALMDELGVRRAAFVGHSMAGMIGCIASVARPDLFSHLVLVGASPRYINEDGYEGGFEPGDVDAMLAAAGADFAAWAPRFAEAVVGPGHPSAAARFAKQLGAMRPDAALRVLRAVLTSDARGVLPGVAARCTIVHCARDAVAPLAVARYMQRAMAGCGGGGGADTVVIESSGHFPQLTAPKEFVRVLETILLDH >KQL15822 pep chromosome:Setaria_italica_v2.0:III:24275831:24278444:1 gene:SETIT_025620mg transcript:KQL15822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRPIRSVAGEGSSRPRALPPIHLPSPSAAGRFEPVLRRFEPVRADSSSSTGPPSQGRQAGGPAGPLRSSLTQAHGWISHGSGKDWSGSSLLRRSYRVAFHWRQ >KQL15821 pep chromosome:Setaria_italica_v2.0:III:24275831:24278444:1 gene:SETIT_025620mg transcript:KQL15821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRPIRSVAGEGSSRPRALPPIHLPSPSAAGRFEPVLRRFEPVRADSSSSTGPPSQGRQAGGPAGPLRSSLTQAHGWISHGSGKDWSGSSLLRRSYRVAFHWRQW >KQL16991 pep chromosome:Setaria_italica_v2.0:III:47428726:47430426:-1 gene:SETIT_022512mg transcript:KQL16991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPVEAAAAEDWSACAFSLTCEEDGADLGDGSVDDGVLFSPYNAGDEEEEEEYLEQLVFKEASFCCSSSDSAADCDGDGDVDGECQSVVSEEWFRQARLAAVKWILETRGYFGFGHRTAYLAIAYFDSFLLRRRVDREAMPWAARLLSVACVSVAAKMEECQAPALSEFDAGGYDFCPASIRRMELLVLSTLGWRMGAVTPLDFLPCFSSRLHPHGGAGAGAALKAIGFIFATAQAGSVLDHRPSTVAAAAILAATYGPLLTKEALDSKMRYLSPSRLIEKEHVHACYSVMVGGMNRRGNKRSLPCSGSDEVATSTYDSVLVDDVTDTAAFATVVAARNKRIRLELPGIR >KQL17007 pep chromosome:Setaria_italica_v2.0:III:47515525:47516189:-1 gene:SETIT_023203mg transcript:KQL17007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPPKMRVLAAVVLTAFAAAASTCAAAGANKPLLVENLPASAEAKDFIRAGCNETCIRRPDAARACYELLLPYAASINSSYNRASLAITTVMVSKLTDLAKDLRSFGEAGKLEGCIRMLDETVAGARDQVLPALDRIGTIADDKLKAKDPGFLLVWSWFVGVDNNFVKCWDGGLKRIMDRVPSSIVADHSEYAAAAIIFRPRLKWAPQSPDGENP >KQL13236 pep chromosome:Setaria_italica_v2.0:III:3350463:3351572:1 gene:SETIT_024554mg transcript:KQL13236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISACQALLLMALAAAALMMSTASGTLQYNFYSSSCPKAEEAVRNATVKIISNNPTMGAAIVRLFFHDCFVKGCDASILLDQSSSNPQPEKLAIPLRGYDAVNTIKAAVEAVCPGVVSCADILAFAARDSAMVSGGFTFPMLGGRRDGLASDLRDIFGSIPAPNMQVQQLVGSFSAKGLSAGDLVALSGAHSFGITHCSFVTPRLYPAVDPTLNATYAAALRKVCPQSSGGGTVLNNNNITDPNVLSNQYYKNLGTREVLFKSDQTLTSDAATAKMVQDNADNPVAWMARFAGAMVRMGGIEVLTGNQGEIRKVCGATNSGS >KQL16850 pep chromosome:Setaria_italica_v2.0:III:45949454:45952605:1 gene:SETIT_023758mg transcript:KQL16850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSDEAPKSAEKPAPVQKPTPPSSAEKLKDIPAGIQSSRSNNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGSKDGK >KQL16852 pep chromosome:Setaria_italica_v2.0:III:45950675:45952605:1 gene:SETIT_023758mg transcript:KQL16852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSDEAPKSAEKPAPVQKPTPPSSAEKLKDIPAGIQSSRSNNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGSKDGK >KQL16851 pep chromosome:Setaria_italica_v2.0:III:45949454:45952605:1 gene:SETIT_023758mg transcript:KQL16851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGVSSGGGQSSLGYLFGSDEAPKSAEKPAPVQKPTPPSSAEKLKDIPAGIQSSRSNNYMRAEGQNCGNFLTDRPSTKVQAAPGGGSSLDYLFSGSKDGK >KQL14308 pep chromosome:Setaria_italica_v2.0:III:10453196:10455332:-1 gene:SETIT_025542mg transcript:KQL14308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAHRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRAPCIQIIKTATVHFKLCKRDNTKQFHNSKIKFPLVYRKVRPPTRKLKTTFKASRPNLFM >KQL14601 pep chromosome:Setaria_italica_v2.0:III:12273125:12275035:1 gene:SETIT_021453mg transcript:KQL14601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSKPISARRLVPALFPLAHADAASAAASRRERRRDTFVATPPVPAPSPSAVRLAEPLPTLAPSRLAFHNRILALLSGPQADLPEAALLTRHALHSNCRPCSFTCAAVLAALLRARRLDDFFALHRFALQAAVPPTAATHALYLSALAARRLPDDALHHLRLLARPGSPVPPSPTAYRVVVECLVDDHGRLADAVELKDEMLDSGVVGPDPKVYSLLMAGFVGAGDGAKAVELYQELEDKVGGKPVLDGIVYGSLMKAYFLMGMEEKAMECYNEVLGVESEVRFGAESYNEVVDALGQNGRLEDALKLFDRMLGEHDPPLRIAVDLRSFRVMVDAYCAAGRFEDAIAVFRRMGEWKLVPDVASYNNLIRHLGLNQSIGEMEVLYSEMCECGVGTNEETHVLLMEACFSVDCIDDGISYFDKMDGLELKPDATAYHKLVDRLVGFSKLDKAQEYFDQMKRKGVSPSISSYETLLKAYVAAGQLDDAAKIAKGILLDEKVVFSDELRQLLEGALRGGGREDDITKLYEDVEREKAEAEAQAAEEKARAEALAKEEREKRRAEAAAKDEAAAKASAAAIEAILAHKRKMENGVSPAADANTLDSGFLSKLGLKSAGEDTLQGTPQIIERTGEDGQGQL >KQL16650 pep chromosome:Setaria_italica_v2.0:III:43354782:43356019:-1 gene:SETIT_025078mg transcript:KQL16650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPAASSSSYTDSTGSSSDSSSSASGSDRRRRHRHRSSRRKEGASSSSSALKARKDRRSRHKRRRRERDRRRSPSDDDGYSSTSSYESDREASGRSRKHKKSSRSRKSRERERSKDRHHRRDKSKHKEVNFLFIQTLFPFTMT >KQL14396 pep chromosome:Setaria_italica_v2.0:III:10986138:10986670:-1 gene:SETIT_025744mg transcript:KQL14396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLRSTTFIINIVLFVIYHNIFMWAYLWIYYSMLRRCQVYTSTKKQS >KQL16058 pep chromosome:Setaria_italica_v2.0:III:27887923:27888883:1 gene:SETIT_025432mg transcript:KQL16058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCGLIRIRPTLQYGPAQQQPYPHPLNPVAALLSHFQPDPDAHTATHIALPASARCPHDYTSAAAH >KQL14739 pep chromosome:Setaria_italica_v2.0:III:13478798:13480237:1 gene:SETIT_022455mg transcript:KQL14739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTTSSANQVLLDAQVELWNSTFAYIKSMALKSALDLRIADAIHSHGGAATLAQIVATVKLHPSKIPCLRRLMRVLGATGVLSAQNPSGSGEPAYALTPVSRLLVGSQNNLAPITAMILHPSFVSPFLELGAWFQKELRPESCVFEHTHGQTLWEHADRDAAFDALVNDGMVSDSHFIMDIAFKECAGAFQGISSLVDVGGGLGAAAQAIAKAFPGVKCSVLDLDHVVAKAPSGTGVQYIAGDMFESVPPANAMFFKWVLHDWGDEDCVRILKNCRKAIPPREEGGKVIIIDIVVEQGSSSNSKQRETQALFDLYIMLVNGIERDEQEWKNIFLKAGFTDYKISPVLGARSIIEVYP >KQL14038 pep chromosome:Setaria_italica_v2.0:III:8343093:8345963:1 gene:SETIT_022844mg transcript:KQL14038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWYANVREVVGGGGATVVLAHGYGANQALWDKLLPALSQRNRVILFDWDFTGGGEQQPEEEGRYTFGRFADDLIALLDNKGVRGAVMVGHSMSAMAACIASVRRPDLFSHLVLLCASPRYINSPEEGYVGGFEKAGIDGMLDAMSSDFVSWVKGFVPNAVGDPASVPPVEESFLAMRPGVALEVARMIFLGDQREALGAVTAPCTIVQVEGDFAAPPGVAEHMRRLMARAAATDVVIIDSVGHFPQLVAPQQLLGVLEGVLRRHGGGEDGRAHGGAVEEQVADGGINIITA >KQL14928 pep chromosome:Setaria_italica_v2.0:III:14927808:14929208:1 gene:SETIT_023432mg transcript:KQL14928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVAVSTSPCSGSGHLGLLQASQAHHLRRTLLGGRMAGRCGRSRLAVLAAAGKPPGEAEEQVPAWAKPGADEPPPWEREGGAVQGQEAGQVPFYAYLLASAVTAIAAIGSIFEYTNQRPVFGIVGSDSALYAPLLGFFVFTGIPTSAFLWFKAVQTANRDAEEQDRRDGFL >KQL12693 pep chromosome:Setaria_italica_v2.0:III:428808:433497:1 gene:SETIT_021495mg transcript:KQL12693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRAAATEEEEAILRDKANLLRESMRRSEIIQRCAAAAVTSITTRMAAIDDAVRPAEARTYDACRVHDNVRRSLRAVDPIVRRLDLVQEAESVVLNRPSKDLGAYLHAVDKLRSVECFFNSKRSYRTSDSVLKHVNELLSKAAVGLENEFHRLLSRCSKPVELECLFNSLPCLSQRVSSENIFGGSINSSSKDSSVDVRGSTLELNIKSLGVEYVTAKEMQIVQAETLDAEIAQWMQFYRIGVKLLFAAERKLCDQIFEGKHALKDHCFAQLTAKSLSILLSFGDAVAKSQGSPEKLFVLLDMFEATLELQSDVEMLFEGHACSENRKSALGLTKSLAQTAERTFSNFKGNILRDSPNSTTADGAVHRLTSYVINYVKLLFDYQSSLKQIFKTHVTEDGTNSDLVSQIVDVVHALETNLEAKSKQYENHCLAHLFLMNNIQYIIRSICRSEVKEFFADDWIQRRRRIVQQHATQYRRISWRKALDFLSVRGITSSLSSTVESTQGSMPTIGSNSSTTSKSVVKERFRSFTMQFEEVCQTQINWVVPDRELRDNLILAIAEILIPAYREFLKRFGPLVGSSRNPSKYIKYNPDELEEALGNLFAKKLLIEKQF >KQL13474 pep chromosome:Setaria_italica_v2.0:III:4676687:4677289:-1 gene:SETIT_023881mg transcript:KQL13474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPDSQSMYGTVHNYTRRAISMDGGRRRGSAPIRPASSRRCSQDGRRRPRRSPAATALRPLLLVPAAEVRWS >KQL15270 pep chromosome:Setaria_italica_v2.0:III:18514970:18515446:-1 gene:SETIT_024780mg transcript:KQL15270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSDLDFQPGRAFHEAAISRFGPGTLITCCHPSDDFFLIASFSCSALRINADSTALVLQSLLGGSILYFQVVHQDAWCFHFSVASKHVGLMIHRMAKFVCKEFAIFFTLWRNGGPDYMREELLWNQMQEAGWNHVHRKKKSYAEVARSHSTHLLIC >KQL17102 pep chromosome:Setaria_italica_v2.0:III:48324743:48326697:1 gene:SETIT_023155mg transcript:KQL17102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLPCHFSDDAPSLCTSAEASSSFSKPALFCSAHCRCVDRALVLVETRTSRSMDLLNPEQISEFREAFAFFDKDGDGCITVEELATVMGSLQGTRPSAEELREMIRDADADGNGTIDFAEFLGLMARKTAGAGGDGDGADPDEELREAFKVFDKDQNGYISATELRHVMINLGEKLTDEEVEQMIREADLDGDGQVNYDEFVRMMMLSDGHGGGHAGAAAVTQHQ >KQL14712 pep chromosome:Setaria_italica_v2.0:III:13094141:13095404:-1 gene:SETIT_023066mg transcript:KQL14712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAAKQLLPMARAPNSPSSSTSSSSPSSAASPSPRQQQHHPVPPSPKPVPRIIDTTPFPTTFVQADTANFKQVVQRLTGSDTPSSAQNKPAKGHHHHHHHHHNHGGASGLAGGPKKPAFKLYERRIGKNNLKMIAPLAMAAAAAAGASPRKVGPEVLSPSVLDFPSLALGSPVTPLVADPFNRSPASASPGEEEEAAERAAIARKGFFLHPSPRGAEPPRLLPLFPVTSPRMAPSPAAAAAAPSSQ >KQL12663 pep chromosome:Setaria_italica_v2.0:III:248709:250335:1 gene:SETIT_022514mg transcript:KQL12663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSRVAVLLLAISSAALCAVLSSATVTVNEPIVDGLSWSFYDASCPSVEGIVRWHVTEALRRDIGIAAGLIRIFFHDCFPQGCDASVLLSGSNSEQLEIPNVTLRPAALKLIDDIRSALHHACGPKVSCADITTLATRDAVVASGGPYFDVPLGRRDGLAPASSNLVGTLPAPSFDVPTLIEAFKNRSLDTADLVALSGAHTVGLSHCNSFSDRLPPTADDTMDPAFREKLTAKCAKDPNGTVVTQVLDVRTPDAFDNKYYFDLIAKQGLFKSDQGLIDHPATKRMATRFSLNQGAFFDQFARSMVKMSQMDVLTGNKGEIRLNCAVRNARASGLQTAADEGLAADA >KQL14199 pep chromosome:Setaria_italica_v2.0:III:9508653:9509068:-1 gene:SETIT_025571mg transcript:KQL14199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDCSCFRMQEMQLCPVSSMVCCKHSSVQGWYKNRGYWCTVQG >KQL15171 pep chromosome:Setaria_italica_v2.0:III:17588270:17594938:-1 gene:SETIT_021559mg transcript:KQL15171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQAYIRLGSFGGAPGAAGAPSPPPPPSSPSQAGSGAGGGSRAPAKGGGPARGAPGTAASATAAARAGVGRGGGAARRAARAVLAALLRRQAVFLFAPLLYVAAMLLYMGSLPLDAVPRIIARQPPGSVYRSPQLYARLRADMDADNSTDALATVWRHTYKGGTWQPCINNGTNSLPESNGYIYVEANGGLNQQRTSICNAVAIAGFLNATLVIPNFHFHSIWRDPSKFSDIYDKDHFVQRLQNDVRVVDKIPDFIMERFGHNLSNVFNFKIKAWARIQYYKDVVLPKLIEERFIRISPFANRLSFDAPPAVQRLRCLANFEALKFSKPIVSLSETLVSRMRERSVESDGKYISVHLRFEEDMVAFSCCVYDGGDEEKKEMDAAREIGWRGKFTKRGRVIRPGVIRMNGKCPLTPLEVGLMLRGMGFSNKTAIFLASGKIYKAEKNMAPLLEMFPLLQTKETLASEEELAPFKNFSSRMAAIDYSVCAQSEVFVTTQGGNFPHFLVGHRRYLNGGHSKTIKPDKRRLAVLFDNQRIGWKSLKRHLLNMRAHSDTKGIEMKRPNESIYTFPCPDCMCRLNRTEHSKPKYSR >KQL16375 pep chromosome:Setaria_italica_v2.0:III:38673669:38674062:-1 gene:SETIT_025662mg transcript:KQL16375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYIKIFREHVYKLNFFTCSRFSCLLLASLGTSSAS >KQL17042 pep chromosome:Setaria_italica_v2.0:III:47796358:47798825:1 gene:SETIT_021750mg transcript:KQL17042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSRPRRGSPSAQARVRTVPIAVTPEGFWCCPSPAALHKSLKNPHHHAAGGGGNKHAPQQPHKTPSAPPSKAPSVQTAPSVTDEPPAPADEQQPQQAEDQTAAEAPAPAGGQQEPQHKICVGFGRPETSDLTVMLYGKEGIAVKIGVHRDVLCRSSAFFAARLAGGHGLPPPCVEIHDCDDAEIYVETVGLMYCDEAKHRLLKQSVPRVLRIMKVAEVLGFHGCVKSCLDYLEAVPWVGEEEDSVVSSIRHLQSKDYGVTPLLRRITSDNLNSPFETLANIMEMVLTSTDDRGRREMKALVLNLLKDSSHCTDGSSDICSETLYSSCQGCLDRLHRLFTEASEEDYSVSVTRRITLETDNLLWLVEILVTQRICDDFVVMWSSQTELAELHSKLPGASRHTVSCITARLFVGIGRGEMLPSKNTRLRLLQVWLQALIDDYSWLQCSCRSFDRKLVEDGIGQTILTLPLEDQRSILLSWFGRFLKLGDNCPNLQRAFEVWWRRTFVRPYVNQAEAGNVLTSDRSSP >KQL12684 pep chromosome:Setaria_italica_v2.0:III:386980:388989:1 gene:SETIT_024706mg transcript:KQL12684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDHLAALLRSGGGGGRRAQSVHGAAIKLGCIASTFLCNNILLAYLRHPVPVDARKLFDEMPRRNVVSWSVLISGSARLGALAEAFALFSDMLRGAGRGNWERPDSFVLGGLAAGCAHARDISAGAQVHACAVKFGVDEDESVAAALVDMYAKCGWVDLSWRAFTLAPQRSVVSWTSMIACLVNQGSYGYHDTALVLFKKMLVLKVWPTNATFSCILKVFDVPELLPVGMQVHGCLLKMGTEVDTALGSALMTMYGRCGGVDEIARLASRIRHDSFSRTSLLGAYARNGYNVEAVGVFREMIVENMTIDQSAMTSLLQVCSSIGHLRMAREVHCYALKTFFMLDTVLLNATITVYSRCGDITAAETVFNLMGKKDIISWTALLTCYAQNGLAQEVLVFFREMLRRGLGSPVFCITGVLRACSTTSNLAAGLQIHSRALKLGIDDDTSVENALVTLYASCGSVQIAWKIFNSMSNRGIISWNSLLTSFSQHGNEVAAIQLFDMMQEAGVCPDDFTFAGLLSSCSRMGHVSQGCVYFKQMKEKYNMEPKMVHYTCMVDLFARAGRFSDAMDFIDAMPCEPDQIVWEALLASCKVHGNVELGRIAARKILEIRPEDPSPYIILSSIHASVDMWDEKAWNRSVFDTQRARKNVGRSWIGAQELSENIFDALQVG >KQL13955 pep chromosome:Setaria_italica_v2.0:III:7939943:7941262:-1 gene:SETIT_024232mg transcript:KQL13955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRQLFLPLLAVVLSAAVCSAAAQPTTAEAAPDNNSTPGAGIKVSFRPSVAIVVGIFTMIFSLTFLLLMYAKFCHPSSSSPLPAAIPTAAAAAAGNDAAAAALAPAQAGVPKPVIEALPFFRFAALRGARQGMECSVCLARFDDADHLRLLPRCRHAFHLACVDRWLESNASCPLCRARVDDGDASLGFKYPSSASIVFGGHGLSSGRFDGDADAGSGRDLLDIFVERVPSARFAAGGAGPKQQADEEAASARAPPSPELDRHKHRIIVSDVVFKSRWSELNSADLIALDTEMLRSMSSGRFSFPDYSPEYNEAKLSLSAAGEEEAYGAVPTTTGETERKRLLVDGRSGGGRCSSSAAVDAAVPAAARMISSGVRSMSEIVSLPRLRGAARERLSEEENRRWLPIARRTARWFAGRARGEEGEPGAAGVHVAAAAHV >KQL17445 pep chromosome:Setaria_italica_v2.0:III:50494563:50498477:1 gene:SETIT_021909mg transcript:KQL17445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWPPCLQPTLSTARRATAFSFSRSRRSRLSSSSSIPASVCASPGDHTHEQQRDNEEQSLVVVGGGAAGVYASIRAKTLAPRLNVVVVEKGRFLSKVKVSGGGRCNVTNGHHLEPMGLSRNYPRGDKELRGSFFTAHGPQDTMRWFTDHGVELKTEDDGRVFPVTDNSASVVDCLLNEARRLGVSLQAGKAVPSVSVTQHGKFVLKVEKRTADLVDYLNANYVLVATGSSQQGYSIAAQLGHSIISPVPSLFTFKVADKRLADLAGVTFPVVKAKLKLDGVQKSAPELTQTGPMLVTHWGLSGPIVLRLSAWGARELHQCNYQGKLMVDFVPDIHIEDMRRILFHYKDQHAKHKVNNTFPMEFGLVKRFWRFLLEQENLDGDMHWASMPNNHLNAIALRLKQWIFEVVGKGQFKDEFVTAGGVPISEISLGTMESKKQPNLFFAGEVLNVDGVTGGFNFQNAWTGGYIAGTSIGTLALTSN >KQL15680 pep chromosome:Setaria_italica_v2.0:III:22502254:22504733:-1 gene:SETIT_021505mg transcript:KQL15680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSCRADSSVATCRSITAISPLPISRRSGGSGGSRPAALPPAAIERFDYAELEAATSHFADAALLGRGSHGAVYKAVLPSGRAVAVKRPSPRRPEVDNEIRILSSVRGPRLVNLLGFSNPGPGPAARLLVVEYMPNGTLYDLLHSNPRPPGWPRRLRLALQTARALRALHDADPPVIHRDVKSANVLLDANLDARLGDFGLALRVPRATGANAAAAATPAPAGTLGYLDPAYVTPESLSTKTDVFSFGILLLEIMSGRKAIDVQHSPPSVVEWAVPLLRKGRVSSLFDPRVAPPRDPVARKDLAALAASCVRSCRERRPSMADIVERLVVLSKAVSAKVWNGLADGLAVVGNPCAVVDVQRTISKRAAASSRAESERESTSALAFDDDEKEEADADALEEDQVPLVGARKSPRPLKNGIVLSEAGARERRNLLELMARIDGVAGQRFGISRARTVRATGDLIEKDAVLLLRRNKTVRVIGSEALPKSEKVSHFDVKIKHKVGKEQEKAEEVQAKAGEVQEKKEKNQEIACGTQEGSKEIVGKANKLLEETEANLDKEERIQEKKGQSLEKAESVKGNEGKIQGTVEKIRESEGEIQNKVEKIHLKSGES >KQL16530 pep chromosome:Setaria_italica_v2.0:III:41993501:41993958:-1 gene:SETIT_024036mg transcript:KQL16530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRGTNPLRATTRLWLLPPHDSWAVSAQWLRRCPPSRRELQVLVPHPCTRKHMWQQRHSRQSGEQLCLMLVKLIWMHKN >KQL13598 pep chromosome:Setaria_italica_v2.0:III:5448999:5454396:-1 gene:SETIT_021261mg transcript:KQL13598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASPAASPPRRRHSHRDDESPRRRKRRASPSPPRSPSPGADADRRRRSRASPPDPDRRRGDRDAKPSEEKENGHAKPGRDAEVYDRPPRRARVSDGEEDGDRRRRRARVSDDEKEDGRRRRRARDSDEEREDRRGKRDRERDSRRHRRRSASSESGSSPDDRRRRRHRRDEGSRRRDDRRRRDDDRGERRRSPEKKEPTPPLPPPPPLLPEMIPGRTGGIYIPPFRMAQMMREVEDKSSPEYQRLTWDALKKSINGLVNKVNATNIKNIVPELFAENLVRGRGLFCQSCIKSQMASPGFTDVFAALVAVVNTKFPEIGRLLLVRVVLQLKRAYKRNDKPQLLAATKFIAHLVNQVVAHELVALELLTVLLENPTDDSVEVAAGFVKECGAMLQDLSPQGLHAIFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGFPAIRPELDLVEQEDQFTHEISLEDDLDPETNLNVFRANPNFVEDEKAYENLKRSILGAESSEDEEGSDAASDDEEEEESDEEEDEEEMEIRDRTETNLVNLRRTIYLTIMSSVDFEEAGHKLMKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVYQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRLLNERLNDPNMQGSFESIFPKDHPKNTRFSINFFTSIGLGGITESLREYLKNMPRLIMQQQKPESSESESSGSESGSESSGSGSSSESESESSSDESERRRSKKRRKRT >KQL17404 pep chromosome:Setaria_italica_v2.0:III:50274799:50275754:-1 gene:SETIT_024586mg transcript:KQL17404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNCYGARRLSDLLQEQQEPFLLKTPQRRSPVQACGRRLRELCAVRKRPSVSDGGGGGYKTARKALLQWADLAGCFRCGARQRFRRLPRAGDIADRCDVVSEPGLDGGDDALEDCGRQLSPVSVLDLHSDDEESPVLSHWDDDSDDNASTSASSPPSDHDLPGAATPPCSTFFATSNGKIRALEVEVEGNSKKRLQRKSGEPSLEEMERATVSGWERIAADISRIPTLVALDLSASARECRRHVAGDEEARQVGQSIEAMIFEEVRWEAVRDMLI >KQL16891 pep chromosome:Setaria_italica_v2.0:III:46468855:46469336:-1 gene:SETIT_024862mg transcript:KQL16891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVVRSLRQLRRFIWRHAESHPSTTRLIRQQNALIMFASPGVDLVRSMFSTAAADSIRGGPMVEYERRIASGELVDGDSFQVDTIQQL >KQL17134 pep chromosome:Setaria_italica_v2.0:III:48495903:48497508:1 gene:SETIT_022460mg transcript:KQL17134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYYIERFIREAPERFTIDKLGMFSCSWFNPEDASDLRKRIRALLLEEFESARLDNVMSDGAASDGSSIEDSIKGGESEGDAPSDSSEMAVDFGNTGKSSEGIKVAASEEECGVSADAGKSNEGIKFAESEEASGESGDAGMSIEGIKFANSEEATGESGDAGKSIEGYVAESEEESGDSGDAWKSIEGINASEPVEASVEFGDAGKGIEVINVAESDEASVELGHAGKTKKGIKVAASEEACVECVLSTDKSMESVSDEEHTSSSRRGERTAGCALSESDSAKDEEGTMKADFDSSKTEKEGLIAIVSPERPRFNEGATRSRRAPIPDIVPDSDSDNETKMEVLRVYRRKSELINLE >KQL13404 pep chromosome:Setaria_italica_v2.0:III:4285073:4287453:-1 gene:SETIT_023618mg transcript:KQL13404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVYTLEEVAKHNSKDDCWLIIGGKVYDVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYLLGEIDSSTIPARTKYVAPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSESA >KQL14236 pep chromosome:Setaria_italica_v2.0:III:9811683:9813206:1 gene:SETIT_025322mg transcript:KQL14236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTTATWSSSPPPSSPPGHPNCVGACIIGSWPDNPALRRLAFWRMRSLSAKPDWLPPVDFEVEDVVYHGVAFHFLTRGEHIRVCEPVLGEGGRMLLLPELKFLQPEGRNYDGLVVRARYLVASGGELLMVVRVFRARLRFPSPTMTTRRTIKARRLSTPGAGASWTRWVLFVGRGCSRSYEVAQYPGFKAGIYFLDDRSFYDEGMMFRGVNEKQYPCNDNGKWSEGPPPGIKGYAPQDSSNNCSPPAWLLP >KQL16483 pep chromosome:Setaria_italica_v2.0:III:40883648:40887533:1 gene:SETIT_021499mg transcript:KQL16483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGISLVLSGDPLVVGPSAAAAAEIHRSGEGKGVSVDELKEALRRRGPDNLGCVRRHLCADGTVLGDDGCNGGEGKAGAGDGGVAELLFIGATLHLRGAEPVAQPLLSPSGSVLVYNGEIYGGIEVADDENDTQALFSSLESCCSCDCHALGRDKTCPCCVSDGKSVPQVLSTIKGPWALIYWQMDSNTIWFSRDAFGRRSLLVHWPTSDDPRFVLSSVAPPSFATNNSDPDMSDCTKASYWEELPCGIYSIHMKGIGKDGTCVKEGWIVEVNTHDWMDSSLNKLIQWERKLTVPTVENNSVNGGNHHLSQNFISSGKSEENNKNGLSKIDLLSDSSLCSANCLTQSAQKVLAALRESVMLRTKMNTLFQGGLNKLRDEELAPIAVLFSGGLDSMILAALLDQCIDSKWTIDLLNVSFDGQLAPDRISAIAGLRELQRISPLRRWRLVEIDTALTDLKGESEHVMSLIHPSNTYMDLNIGIALWLAAGGDGWVDGSACLMQDGSRHKYKSRSRVLIVGSGADEQCAGYGRHRTKYRLGGWNALDEEMRLDVQRIWKRNMGRDDRCISDHGKEARFPFLDEHVIKTLLEIPLWEIAKLDEPVGKGDKKILREVCT >KQL17270 pep chromosome:Setaria_italica_v2.0:III:49363860:49367714:1 gene:SETIT_025657mg transcript:KQL17270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYLINVKARLVFSRSQLYSSKPSSGTNKLVETSNRRIDFESRGRPGGSKAEG >KQL16163 pep chromosome:Setaria_italica_v2.0:III:32455697:32458281:1 gene:SETIT_022868mg transcript:KQL16163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSRKAMSYLFQTRRSDATQKKPASSEEQQQKIDDVRELLGDLRTEMPSFLSDGTIRRFLRARNWSTEQAAKALKEAVKWRRQFKPETICWEDLAGTENEVRRAYIPDYLDKNGRTVFVVMTSIKSLTSTKEHIKQLVYNLENMAMNSESAQEENVIWMCNFRDWTLSSTPLWESRESLHIIQNYYPGLIRTAILSNPPKIFESFWKIVKHFIEPTLQERVKFIYSNNSESQRIMADMFDMDKLESAFGGRNTARLDINQYAERMRRRDQLRGTNKHANGNISSS >KQL14516 pep chromosome:Setaria_italica_v2.0:III:11714345:11719402:1 gene:SETIT_025281mg transcript:KQL14516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDDNALITLKKGSKLIKYSRKGKPKIREFRLSSDETTLVWYSHSKEKYLVLSSVSRIIPGQRTAVFRRFLHPEKDYLSFSLIYKKGQRSLDLVCKDQAEVEVWFSTLETLITSTSGRKSCSTDGPSDRLSVSDEVSHYQDNNFHDTTLDIASSITRTFNSGAYSATNSLSSAKADVGSDRANMLRASTDGGRVSISSSVPSSSSQGSGQDDIESLGDVYVWGEVWTDVIPAEGSSNYLCSKADILIPKPLESDVVLDVQQIACGSRHIALTTRQGEVFAWGEELGGRLGHGTDADISRPKLVEALAVSNVEYIACGEFHTCAVTSSGDLYTWGDGYYNAGLLGHGAGTSHWLPKQVSGPLEGVQILSVACGSWHSALTTSSGKVFTFGDGTFGALGHGNHESVAYPKEVETLSGFRTMKVACGLWHSAAIVETSNQAGVNVVSRKLYTWGAGDKNLLGHGDKDARLVPTCVQSLIDYNFHQVACGHSMTIALATSGHVFTMGSSSNGQLGNPKSDGKQPSLVQDRLAGELVEEIACGSCHVAVLTSRSEVYTWGMGANGRLGHGGVEDKKKPTLVEALKDRHVKSIACGSNFTTCICIHKWVSGADQSVCSGCRQPFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFMKLKTAETSSNSSYHKRNVNARRSVDSKDKSERPEIRPSRLATGSPAEPLKQAEIKAVRNEIKPDPMSMMKAGQVPSMLPFNNLAFGGTFGPSLKPMAMAAAMPMAMPMSPSPLMKKANPPAAAPLCGKSDTDNLKRTKDGLNEDISKLQSQVNKLKQKCDAQEEQLQKSERKTENSSSIAAEESSRCNSVLEFIRFLDNELKSIADKVPGDTADSLKALQSQSERFLTGQGIHPLEVTGASGRAHATAHQRSASMGNLMLSQDGSSGTASSSATSLTSESPCHRIMENSTKANGDFAPKLGTHGEVQLIEQFEPGVYVTLIQLRDGTKVFKRVRFSKRRFAEQQAEEWWRENQERVFRKYNHPSN >KQL14940 pep chromosome:Setaria_italica_v2.0:III:14978400:14985797:-1 gene:SETIT_021059mg transcript:KQL14940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNENIIDLISDSDDDFDQQPASASTRFGQNGEERPINFEDEDWQRSAPAPSSSRNIHNNNGQYRTLPPSSTNGRPMESARHTFGSGDMTRSHPSSYMPMRQARGLSASNRVDSVGEKHNSSTADANGNNKRVLPSSFSNGNTSKSMHANVASATRKLPPLFADRNLHSLGEHRMGTNMTNGNAHPSSSRMAIGSFNASNTQKKVDDDDVIVYGGPSTHRVLPSSLGVNNSNNSEVNAFDPQGRLNPENRLLDSDERAVYQEALQHISREKREDDLPEGVLTVSLLKHQKMALAWMVSKENSSHCAGGILADDQGLGKTVSTIALIQKQKSQQSEFMSVDSDRLKSELLNLDEDDEGEQTVNDEPKKDQGASSSLTVAGTSSVEPKKDQGASSSLTVAGTSSVEPCVSQPNNVPDKMAESNAEWKKKAKTCTSSASTTRSMTRPAAGTLVVCPASVLKQWANELTDKVSESAKLSVLVYHGGLRTKDPSELAKYDVVVTTYTIVANEVPKQIADDDADRKNSGEPSAGNKRKPPSSAKSKSKKKKKKLKDSDFDLDSGPLARVRWFRVVLDEAQTIKNHRTIVARACCGLRAKRRWCLSGTPIQNAIDELFSYFRFLKYDPYSTYNSFCTMIKHPIARNAVHGYKKLQAVLRVVLLRRTKETLINGEPIINLPPKTINLNKIDFSHEERSFYLTLEERSRQQFKAFAAAGTLKQNYANILLMLLRLRQACDHPLLVKGNQSEYGGDGSIEMAKQLPKEVVIDLLAKVEVGSAVCTLCNDTPEDAVVTICGHVFCYQCIHERITTDENMCPAPNCSKTLSLESLFSSGALRICISGKSSTAGTSSSEDNESSSISQSSYISSKIQAAIDILNSIINRDALTESDTTESNRSRVAPAKAIVFSQWTGMLDLLELSLNSNLIQYRRLDGTMSLNLRDKAVKDFNTDPEVRVMIMSLKAGNLGLNMVAACHVILLDLWWNPYAEDQAVDRAHRIGQTRPVTVSRLTVKDTVEDRILALQEEKRAMVSSAFGEDKSGGHTTRLTVEDLRYLFKI >KQL14422 pep chromosome:Setaria_italica_v2.0:III:11162751:11163203:1 gene:SETIT_023703mg transcript:KQL14422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGEAALHDRGRPPPLLIGLLPPVKALVAAPLLRPVAAPLLRLVAVPLLGCVAVPLLGCVAVAPAGELLLNGVVRHHGGYGAVDGEGEGEGTRAQELGPVSGHHRRRRQD >KQL16917 pep chromosome:Setaria_italica_v2.0:III:46681840:46684159:1 gene:SETIT_025231mg transcript:KQL16917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATVMRSARGGGARRPFAPVVVSPPPPSRAGNQLAPEEAVLGGAGAGGKAAKKCGSGSPPVSFTSKKTPAAAAGRVSSMRRVGSCAKRCSTPGAAAYVAPRAPVRGVSEAVGNKVRAMISSPTCSEARQERRGSEASASRKRATRSATMEEAIAGLPEPGEGRVKYLVDTFERLLFLAGGDGPEARGRGARRKNEATVTAASAPATPPGADVSYPSIASSSEVSFPGIAGVACILDASDRTRITRARGQRRQRTYNSTGSSERGWSRKVTRVTSQHPFNLRTEQRGRVKEENFVQRLRKKQMEEERLRNPLAQGLPYTTDEPETPVKPPMKEPTEPIDLVLHSDVRAVGRSKFDHQVAERNSFMEEVKLERERQQRVDEEFEIKQLRKEQVPRAHPMPDFTRPFVPKRSVKPKTIPREPRFQPRLMRHISKA >KQL12606 pep chromosome:Setaria_italica_v2.0:III:28380:30410:1 gene:SETIT_024321mg transcript:KQL12606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKKACAGGGCDIEARGISYRIAVSTGRSRHHPPLKVWSRSDDDAAQDHHQQLNGGGVRQVLRNVTCRARPGELLAIVGPSGAGKSTLLEILAGRLSPSPAPELLLLDGTAATSADLRRVSGYVTQQDVLFPLLTVRETLLYSARLRLGATLPGKDMDARVDALLDDLTLRRAAATRIKDLSGGERRRVSIGVEAVHDPAVLILDEPTSGLDSASALQIVGALRAMAETRGRTVLLSIHQPGARIVKMFDSVLLLAAGSVLHHGTIDGLRSLLAGAGLSLPPHVDAVEFSIDSVDALRLHRRHLTSPQQAAPPDPQPHPASSREGRCTLQQLFQLHSKQQVADEDAAKTTAMAKTGSRYANSRAREVAVLSQRFFKNVARTRQLFACRTVCMLVAGLALGSIFYDLGEEKVAERVGLFAFLLTFLLSSTTEALPIFLQEREILAKETSSGAYRVSSYALANAVVFLPFQLALAVVFAAPVYWLAGLRRTAAAFGYFLLVVWLILYTANSVVVCFAAAAPDFVVGNAAIQGVMGSFFLFSGYFIARSAMPGCWVFMHYLSLFKWPFEALLVNEFAGGGRCVVRALGSCVATGDEVLRREGLGEECRWRNVGVMVAFMASYRLLGYAVLRIRCTLALNRGAMADISLGRRLKSQLAIVGAAASSSATTPSVSLAAAR >KQL14482 pep chromosome:Setaria_italica_v2.0:III:11489815:11490315:-1 gene:SETIT_025719mg transcript:KQL14482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMDTLEGPPLCIRPRGKNARLDVAWSRFQNMSTWHGNTGARMPDACHFFSKHETIQDTVTTRYSSTVRRSATVYCMQLEITEARP >KQL16238 pep chromosome:Setaria_italica_v2.0:III:34985138:34985308:-1 gene:SETIT_025255mg transcript:KQL16238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALITTSTGSGQLPVRCSIAAGWTPVAWPVHVQVCSRPWEKGRKPQLMSASFYLY >KQL15176 pep chromosome:Setaria_italica_v2.0:III:17634488:17637004:-1 gene:SETIT_021577mg transcript:KQL15176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAVPSSAVAVAAAVLLLAAVGAEAETRKYQFNVQMASVTRLCGTKSIVTVNGQYPGPTLFAREGDHMEVTVVNRSPYNVSLHWHGVRQLLSGWADGPAYITQCPIQPGGSYVYRYQIVGQRGTLWWHAHISWLRSTLYGPIVILPPAGVPYPFPKPDEEVPLMFGEWWRNDTEAVIAQALQTGGGPNISDAYTINGLPGPLYNCSAQDTFRLKVKPGKTYMLRLINAALNDELFFSVANHTLTVVDVDALYVKPFAVDTLVIAPGQTSNVLLAAKPAFPGARYYMEARPYTNTQGTFDNTTVAGILEYEDPSSSSSSSSSSSATTAAAANLPIFAPTLPQINDTNFVANYTARLRSLATAAYPAAVPQSIDRRFFFTVGLGTHPCAVNGTCQGPNGSRFAAAVNNVSFVLPTTALLQAHFAGRSNGVYTTDFPAVPLMPFNYTGPPPNNTNVMNGTRVVALPFGTTVELVLQDTSILGAESHPLHLHGFNFYVVGQGFGNFDPVNDPAKFNLVDPVERNTVGVPAGGWVAIRFRADNPGVWFMHCHLEVHMSWGLKMAWLVQDGSLPNQKLPPPPSDLPQC >KQL16681 pep chromosome:Setaria_italica_v2.0:III:43798276:43799208:-1 gene:SETIT_023520mg transcript:KQL16681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVVKIGPFGSTSFAEGDRDITVAPQRLQSITIRHGNVVDAVAFTYKDSNGLEHTTGQWGGNGGNSTTITLEPYEFVKEVHGLYGFYGYGSDGIANFTIVTNLRTYGPFGLSKSIKEPKSFDIPVTNNGSIVGFFSHCNKGYVTAIGFYIKPF >KQL13702 pep chromosome:Setaria_italica_v2.0:III:6182631:6184437:1 gene:SETIT_025779mg transcript:KQL13702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEHAEVFVASIEALYGSACMSEATEELRKIWDQVLLAGKASADQVEALRQLRSKYIQGGCQDNAFSSS >KQL17254 pep chromosome:Setaria_italica_v2.0:III:49268764:49270089:1 gene:SETIT_022752mg transcript:KQL17254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLNMEAPPPPPQSGKPPHQLMRTVSISVLVMSLPVLYVSFLHVPPAALFRDTTFWFLMSNSIIIVIAADSGMLFFGSSSSADDGGIPFAVVSSGEPSAGVKSGHASMGGVSVVSDEVVVQDQALVVTMEHGGDHLPVIAENDDLAYALVVREDQAERVVASTPASREIIISPSSAAAEVVATKNVDVGAVLPGTRPRSRLTASRSLAAREERPAATRRRHGHGHRPSHSHALVPVQDKSVVVSEEKRLRRAATDRRPSPEEENEKESEYSRLSDEELNRRVEEFITRFNREIRLQVEMEQAAAA >KQL16153 pep chromosome:Setaria_italica_v2.0:III:31830169:31830795:-1 gene:SETIT_024624mg transcript:KQL16153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANRQQPSLEMLPSELLTVIAIHLAATSDQPMEDLGRLQVTCMVMRRVCSQRAIGRCVALLRYYSLLHLLLDVGNLEASLLTGIPDFFKGYQPSLDQLSRAVVGGLNVAAYLYALMLYRNTGGATAADMAKMYIGRLEGEEGTTASGTISPKMLHNFAYRECREDAVYLVVRILWNNVVLQEDLCPSVVCLIYVI >KQL15672 pep chromosome:Setaria_italica_v2.0:III:22377918:22378721:-1 gene:SETIT_024046mg transcript:KQL15672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMGKRTLAMVVYEPAAAAATSQQGAAKPARPSADPGAVVPHDVEPISAVPLNSIAPPWLRQAPAPAAIHPEEPARLRTHILPALGLREDLPVHFIDRKRVTGTDLDAHQNRFRVPSDGVLRRLRPILTPGELDSANLLHDPAPKPRRQPEPVPLLQSVEGEHQEQRKKRKGKVHGGLPVRLVDLAAGSSGELLLSRWESSSGTIVKGEGYMDFVRRCSFKEQDVVDIWAFKQREFRLFGKKMFDESDLHLLIVKAKSDGTQQQSRPE >KQL16453 pep chromosome:Setaria_italica_v2.0:III:40211714:40213153:1 gene:SETIT_024245mg transcript:KQL16453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQVVKHARCLGGCTRCFRLDHSCFECQTPAENHESLCFAAAGNGGTIQNVQNDLLPQHSTSVSSETRDFFRAQGPPITLELPLPVSSDGIVTDRQLQCSSRPTLSFECDYQIREMANQFHLHKGFGPPLSVEMLLKELALLAGETQKLLPLKDALPSTSWNFFPPLFPMKQWFLDVDNPSRGGNAEASTSTGFTIPRLVPINNNSVEEWIPPSNMENTPIEMLNRDIVVYDQQFAIKQIMENLHPLSSIPGHSPEPLNMESSTVSEVGMEIAMEIDDEYTEEHETGTDLMEIPSLEISTPVTSLKKRKGRPKTPIVDDEVRRSSRFGKNVNQTHVQLDREPRRKPGAARKTVYLSTVEDLKSAIISQSLEYDPDVEYVEPIQADTLFLLGTSFCGIPPEELTDAGLHYAPED >KQL17362 pep chromosome:Setaria_italica_v2.0:III:49990134:49994427:1 gene:SETIT_025312mg transcript:KQL17362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVGSMVVGPLLSLVKEKASSYLLDQYKVMEGMEEQHENLKVMLPAILERITAAEKQATSREAIRPWLQKLKVAAYEAIQVFDEFNYEALRRQAKKEGRYIKLGMGNRIMFRRRMGNKLCKIVRDIEALVKQMRDFRFDKQPQAQVQINYLRENDSTMVDPEIVSRSRDEEKQKIVRMLVKEQANNKDPMVVPIVGMGGLGKTTLAQLIFNDPEVKKHFHQLMKWVCVSDDFDVCNLANKICNASESNLENALQNLQRELAGKRYLLVLDDVWNKDDNKWNKLNACLKHGDVGSAILTTTRDKEIAQLMSTGEEHGIARLDNKFIKEIIEAKAFISQERKPTDLAGLVDDVVERCAGSPLAAKALGSVLRGKTSTEEWKAVISKSIAHNKDDRILPILKLSYDDLPSHMKQCFAFCAVFPKDHEIDVERLIQLWMANDFIPEQKDVRHETIGKQIFSELVSRSFFEDVKQVKGTRYGFVYWYLSTSTCKIHDLMHDVALSVMGKEVATITEKPKQSDEFLQNTCRHILLSCDEPEAVLNDSLNIRSPAIQTLLLGEDFLPTYIFLKDPRIKSLQHLAKYSSLRALRLWQTKSTILLKPKQLHLLRYLDISFSDIVALPEDISILYNLQTLNISNCWKLGRLPKGIKYMTALRHLYTHGCEELKRMPPEVGHLTSLQTLTDFVVGTGPDCSSIAELQHLNNLGGPLLLSQLENVTKAADAKQASLGNKKEIRELSLSWTGSEEEKQHCHKVLEGLEAPPGLEALRIQHYQGTSFPTWMGTLPKMVELRLFDCNKSNKLPPLGSVAALQVLHLKRLKKLESLCSGGTFFHFPNLKELTLEDLPEFDRWCEVNWVQGEQIMFPQLEKLFITNCGKVTALPGPALLGGSCCGDSKEQDERKLWSAFPVLKVLKLQCLAKFQRWGGAAEATQGQQIIFPQLEKLSIKKCPELAALPSATSQGVSFDHSDVTAWSAFPNLKKLLLEDLESFKSLGMTEATHERSQVLPRLESLRIRDCESLVEVFNVPASLKTMDLHDCPKLKSIFGELQDEPTFNQGPSAAPKLSSSAWDHLLPCLESLNIRQCESLSEVLNLPPSLREIDIWECPELRSVECCLGEFATLERLVLSECKSLASLPDGPQAYSSLRHLQITSCP >KQL15646 pep chromosome:Setaria_italica_v2.0:III:22123020:22124192:1 gene:SETIT_024459mg transcript:KQL15646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQLPQHHKPSKPSAPSCCSSWIRRSPPSSPPHKKPGGGGRSRYACRLVPLLVLTIYSVVTVLRIPSSSLVVTTADSRVERREDLEALKTHLPSNQNSLEAREETRSVASLPCSAFINGEAGYGEEGVMCCDRSHYRSDVCYLRGDVRTDPSTSSVLLYNAPRGSSPEKVRPYTRKFEDSIMSTIDEVTILPVAGAYNASASAGDGGTLRRRCDVRHPRGVPAVVFSTGGYTGNVYHEFSDGLIPLFITAQRFAGEVVFVVLEYHYWWLGRYGAVLERLTNYKIVDFRYDRRVHCFDEMIVGLRIHGELVVDPKLMPN >KQL14175 pep chromosome:Setaria_italica_v2.0:III:9342378:9342838:-1 gene:SETIT_025714mg transcript:KQL14175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWKGYLSMLLSCKENLLKFINIPVSRKEITVQYGTDYI >KQL12632 pep chromosome:Setaria_italica_v2.0:III:132823:136875:-1 gene:SETIT_021805mg transcript:KQL12632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRFLFLCVMVCLMAQLGAANVVLMGNNLTLSFDDIEASFAPGVKASGVNGIVYAAEPLNACSPLTINAVEGLPSPFALVIRGGCAFDEKVKNVQDAGFKAAIVYDNENSGVLVSMAGSSSGIHIYAVFVSKASGEVLKKFSGHTDVEVWILPTFENSAWVIMAISFISLLAMSAVLATCFFVRRHRIRRDHPRNLEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYSFGEKLRVLPCRHKFHAACVDLWLTSWRTFCPVCKQDASSGVSELAATEATPLLSSAVRLPSQSSSSRLSVAASPPRPISRRPSSHSVSRAYSVSSTPQSPNPFRSYTNSPGISTSRSNADLANMSSPHPRISHLSSTHSLVGSHLSPPISIRYSSPHVSQFGHGSPSVHVGSSYMSNSLYGSSSYYYLGASSQHGSYLRRCGESGPSLSTMVPQSPQQSQLGHGGESSEANVTAGASSAQSLQQSYLRHCGDSDASLSDMMSAQSLPGC >KQL12633 pep chromosome:Setaria_italica_v2.0:III:132334:136875:-1 gene:SETIT_021805mg transcript:KQL12633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRFLFLCVMVCLMAQLGAANVVLMGNNLTLSFDDIEASFAPGVKASGVNGIVYAAEPLNACSPLTINAVEGLPSPFALVIRGGCAFDEKVKNVQDAGFKAAIVYDNENSGVLVSMAGSSSGIHIYAVFVSKASGEVLKKFSGHTDVEVWILPTFENSAWVIMAISFISLLAMSAVLATCFFVRRHRIRRDHPRNLEAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSMCAICLEDYSFGEKLRVLPCRHKFHAACVDLWLTSWRTFCPVCKQDASSGVSELAATEATPLLSSAVRLPSQSSSSRLSVAASPPRPISRRPSSHSVSRAYSVSSTPQSPNPFRSYTNSPGISTSRSNADLANMSSPHPRISHLSSTHSLVGSHLSPPISIRYSSPHVSQFGHGSPSVHVGSSYMSNSLYGSSSYYYLGASSQHGSYLRRCGESGPSLSTMVPQSPQQSQLGHGGESSEANVTAGASSAQSLQQSYLRHCGDSDASLSDMMSAQSLPGC >KQL12743 pep chromosome:Setaria_italica_v2.0:III:667557:667841:1 gene:SETIT_024141mg transcript:KQL12743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCEAMRFKVIRDTFSDKNWEKETTISPTKAKYVVNWGSLSTLLILWDRNPTKQWSVTKSKYKSLANKVERNSNDKNMHNAWNIVDFLLHPTDI >KQL13906 pep chromosome:Setaria_italica_v2.0:III:7653196:7655857:-1 gene:SETIT_025528mg transcript:KQL13906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPPRTGGGAPRPGPRHDVAAMLRRLPSTPLEMLKTRR >KQL16955 pep chromosome:Setaria_italica_v2.0:III:47005031:47006137:1 gene:SETIT_025329mg transcript:KQL16955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRRSKRRRCPAASLTDDLIVEILSRLPARSVCRFRCVSTAWRDLIYANHRKLPQTLAGFFTMHDGEGSMSSVPHFTNVSGRDGPLVPSSFEFLPNRVYLQHSCNGLVLCSYWPNQPDELYCFVCNPATKKWMQLPGFLFGGRCWGLSLGFDPIASPHFYVFQLFEDYRNLVAGVQVYSSETGQTVGEETEWDNRVIGRCAPFSVSVFLNGCQHYLTYDPAIAVVDTRGKLRRSIPVPDNKDDGFIHQSQGRLHYANFEADDEDEVVRLVVYVLEDYDNQQWTLKHSAEAEYVLGRTSSNLFRDFELVAIHPDCNIIFYTVGWDKTLMSYDMDRRRVQVICTLGQDTRERYLPYVPWFSELQALHA >KQL17155 pep chromosome:Setaria_italica_v2.0:III:48623586:48624127:-1 gene:SETIT_023607mg transcript:KQL17155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRFRSVVLRRCKSLSRVGALRPSSAPYSNLRSMSTRDAAGGEEEPAASAGGGAVVFVGSSRRRYVISAEHLSHPLIAALIDEGRRNKDDDDDETVVVSCEVVLFDHLLWMLDNAADDLRGGDGAAMRELAQLYAC >KQL13741 pep chromosome:Setaria_italica_v2.0:III:6402565:6405886:-1 gene:SETIT_022475mg transcript:KQL13741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACAARYLFLFPLLAPKFPLPHPPFRRRRGRGACIGAARCSSEANAAWGGIVEDDLTELLQILPRDLRDNLQNEPRKDQLLEVILDLGRRPEARFLGDSGGQYLRDSEISQQELEAAQQAVGEFGGDNRAGIEGTLHRISAIRSRKGMVVGLTCRVGRAVTGHVDMVRDLLNYKESILFLGRPGVGKTTVMREIARVLADEFQKRVVIVDTSNEIGGDGDIPHAAIGGARRMQVPEPSMQHRVMTEAVENHMPEVVIVDEIGTEAEAQACRSIAERGVMLIGTAHGERLANIIKNPTLSDLIGGVETVTLGDDEARARRSQKSILERKAPPTFPFLIEMRERHYWVTHRVCLFLHV >KQL15714 pep chromosome:Setaria_italica_v2.0:III:22943348:22945447:1 gene:SETIT_024025mg transcript:KQL15714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPANKLTDFMKIIVTAKGRLVNNHNINCFTEDEIKRITNSYSTLIGEGGFGQVYKGALDDGTTVAVKRYMRQNLTEGFVKEVIVHCQINHKNVVRLLGFCMEENAFMIVTEYVSGGNLHNLLHGSDYPISLDARLRIAIECADALGDMHSMYQPIIHGDIKPDNILLDSKLVAKLTDFGLSRLLCMDKTQYTVHVAGSRGYMDPEYIETGLLDPKSDVYSFGVVLLELITRSKASESGFCTRLGRNFTDALRKGKKEARKMFDTEIANDKYTKILDGIGNLAAECLTKGIKERPEMKNVQERLQFLRRALHREQAQEKVGQKSSILGIFNGNNARRKHFERNGGLILQETSGLNIFTEQSLEKITCNYSNVAGKGYLGCAYMGWVDDNTRVVVTRFILPEEAETDSILNERFILPEEARTYSILNELLFLNERFILPEEARTYSILHELLFEHRISHKNIIRLVGCCLETVVPLFVYEFAANGSLYDVLHGDNGKCRLSLDSRLNIAIGSAEALAYLHSVRATRRGYGNITSSNILLDHNFLPKVSVSFLESITFKRFLYEISFAYVDPVYLKTGKSITKSDVYSFGIVLLELITRKGAKYRDGATTLWKEQNSGKPMFDKEVAVEGCIAILEEMGKLAVECLKEDVDERPEMVEVAERLQNLRRDWKHGEGGCGSSHAKEETTGGH >KQL16229 pep chromosome:Setaria_italica_v2.0:III:34814577:34814915:1 gene:SETIT_025675mg transcript:KQL16229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKMSMAGGYYVICELKNFSWCHSMSSLLNIFVNLIQYIDIIKPRNGYSFFFR >KQL17460 pep chromosome:Setaria_italica_v2.0:III:50548798:50549300:1 gene:SETIT_023568mg transcript:KQL17460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWVARQQAAVPPATSMMARVDRLDLVLGYLEEMTMQQHGHRTSTAASGAWSPSTASSSSAASTPRGSKTWRRRPAKEALEEAQAKGTLVDRIGVLEDRVLKMEEDMDINITTMSAGSSSSRKKSKGIKSLVKSCVRGKLKTKE >KQL17464 pep chromosome:Setaria_italica_v2.0:III:50562443:50563244:1 gene:SETIT_023323mg transcript:KQL17464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSDARAGTQVAGVLALVAAVGPRAPSRHAVCGPHRGLAVLRVLRILWAFVPMLRVGLTVAALAPPRHRCAAAWVATCPPWGHEFVPPRLLMSPVLHLRMTLYFGAFCRATKVPSPTRGEVLHFRTVCPSLSCHDLRFSSAEFLPLLALALSSPLLAWLALVANFLLHSPLGNSPPSACCSALNLLASCLAFR >KQL12801 pep chromosome:Setaria_italica_v2.0:III:957757:960503:1 gene:SETIT_021476mg transcript:KQL12801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLMTKTSATLVMAAAFLLLAAADASSSYDYAGAFDKCLQFFEAQRSGKLPADRRVKWRGDSALTDGFSQGVDLVGGYYDSGDHVKFGFPMAYAVTMLSWGVLEFEKEMVAANNLQRALDAIRWGTNYFIKAHTEPNGLWVQVGDGDSDHLCWERAEDMSTPRTAFKIDRNHPGSEVAGETAAALAAAAKAFRPYDSMYADLLLLHAKQLFTFADTFRGRYDDSLQSAKKFYPSESGYQDELLWSAVWLYEATGDEEYLRYVSQNAEAFGGIGWSVLEFSWDNKYAGLQVLLSKVLFEGGAGVAAYADTLKQFQAKAEFFLCACLQKNNGHNIRMTPGGLLYVDDWNNMQYVSSATFLLTVYADYLAVSHGSLKCPDGEVKPDEIVRFAKSQVDYVLGKNPKGMSYMVGYGSYFPTHVHHRGASIPSVHGEKATVGCMDGFDKYYNSKGADPNVLNGAIVGGPDGNDGFVDDRCNYQSAEPTISGNAPICGVFARLASEPPAASDSSPAPAYSPPHESSPSKGSPLELVHTVSNSWTTNGVEYYRHVVTAKNTCGHPITSLKLHIKELSGPIYGVSAAKEKDTYEFPAWLTRLGAGEQLTIVYIQPGGPAAKISVVNYKTA >KQL14848 pep chromosome:Setaria_italica_v2.0:III:14315700:14317645:-1 gene:SETIT_022548mg transcript:KQL14848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEWWSSVRAGDGASACSTDQDAAEPGATSATSTDYFRSGLHVDAAASPPSSFLADPPHMADWTQAYVGGGRAAAEATASFNALLRLHGDDAGRHFLLDQQPDVVDGAAPLAPEAAASRSASLCAENQYSGYGDVPAAPMTTTKPFSQQHFVSGFFASSTRNFSDVASEPRPMTTKPLLLQALEQKAFRSHKEHVQDACYSATRRSVPDSPAAAKKLRIATPSPMPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIRFLHDQVASLSSPYLRCGRPVQVQQLQQQQVSYHAKDGGEAKEDLRSRGLCLVPVASTYAVASETAPEFWHPNFGGTFR >KQL13959 pep chromosome:Setaria_italica_v2.0:III:7983731:7985576:1 gene:SETIT_023445mg transcript:KQL13959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSALAKGKSAIGSSSGSAKFEAKGKSSSAAAAATKRATTTAARGRGKAVKKVYSLPGQKFDPPEEREPLRIFYESLSKQIPSSEMAEFWLMEHGLLSPERAKKAYERKQKRQQQVRMGTPIKPSGSKDRPESSKKPVASSNMDSKAKKRVYYSNDDDEFIVKMKRSRG >KQL17365 pep chromosome:Setaria_italica_v2.0:III:50027740:50028500:1 gene:SETIT_024439mg transcript:KQL17365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAELARSSSTLVALVRAAALWASFALLPIGGHGSRHRHAAGVVVVGGGGAADRGTPAPTVDSPAAVPTSTVVTATPAAAALLPSSSSPPATPAASSATATTAADTASKKFPLPHPGGGEPYNPAAEVASALPVPTPDELPSASSLGFDGHGLGFGGPGDVCCGGGGYGWFGGPGGYGPGTYGYTGPLYWGAAPAGGWGSVAAANVVVPLVVACVSAALAY >KQL13905 pep chromosome:Setaria_italica_v2.0:III:7640131:7641984:-1 gene:SETIT_021870mg transcript:KQL13905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNRNLSRGEGRRLGNVALIAFMLGSLLLLSLIRVRFSPIGKTGEAIKAEEQQEMRKESIKMETLDETAASAAEEETQPKPTDNSGSSGGGVGSVSSTALAAGDEHLSLSKPVCYESSRRSDTCEAAGDVRVQGRIQTIHVGPLEQEWKVKPYCRKHDAFALSHVKEWALRPLPGDAPQCTINSSATAFVLSTGGFTGNLFHDYTDVLIPAFITAHRYAGEVQFLVSSFKSWWTNKYLQIFQQLSKHEVVDIDNDDEVRCYPSVVVGPTFHKELGIDASRTPGSSSMVEFRAMLRGAFGLERATATPSSDRWDIRRRPRLLIISRRSSRRFLNERAMADMAMSLGFDVRVGDPDVSTDVSKFARLVNSADVMVGAHGAGLTNMVFLPAGAVLIQVVPYGGLEWLARGTFKEPSADMQIHYLEYMIQLDETSLSEQYPKDDPVLKDPNSIHKQGWNALKTVYLDKQNVKPHLGRLKNTFMEALKLLPHGQSD >KQL14553 pep chromosome:Setaria_italica_v2.0:III:11978028:11982526:1 gene:SETIT_024045mg transcript:KQL14553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSTVFSHLWNCRSAYHRQNRLDIAAASFPLPSSSRCSLQSATRAASPPALKSETRGFKMGLRVWLLWWRWTGVSPRRENTQPTGWSKGGKNRNSSRFLAPLRLRRRPPRAAAVGDEMGIKGLTKLLADNAPKAMKEQKFESYFGRKIAVDASMSIYQFLIVVGRTGMETLTNEAGEVTSHLQGMFNRTIRLLEAGIKPVYVFDGKPPDLKKQELAKRYSKREDATKELTEAVEEGDKDAIEKLSKRTVKVTRQHNDDCKKLLRLMGVPVVEAPCEAEAECAALCTNDKVYAVASEDMDSLTFGAPRFLRHLMDPSSKKIPVMEFDVAKVLEELELTMDQFIDLCILCGCDYCDSIKGIGGQTALKLIRQHGSIESILENLNKDRYQIPEDWPYQEARRLFKEPDVTLDIPELKWTAPDEEGLISFLVKDNGFNEDRVTKAIEKIKSAKNKSSQGRLESFFKPVVSTSAPLKRKETSEKTTKAAANKKTKAGGKKK >KQL16773 pep chromosome:Setaria_italica_v2.0:III:44959110:44961118:-1 gene:SETIT_025115mg transcript:KQL16773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGVPSGVLFPNTHVASWILFAARRVTGRFTLKVPMVPKTRMPRRYASTVPVLRYKYEDEKEEERVLLAKLPSSIKAREMSLTLGKAILTIPAAGAGAFHALTGILLSHAKLDAGGDGHAHLGHLLSSSCSPRLRRLRLRYVEGIAELRLDAAATLEELQLLRLNGLRSLDVAAPGLRVLAIEECYGISAPRLEALACDHIGCVGRLRFDDDGTACLRSMEKLRLSSHRRIWLRGTGSSEDDGCDMSAATLWFLRHCTAVNRLGVQLELPWRQMKVMNGEEVDYEDNMLQIPQLPHVSNLKIEVGDWPTGGHTIGATVAKFIAKCTEIENLSIDISYLVERCSDPNCFCSHPKGWEDQKLLLEHLTNIEIKGFLPFDSQIRLVQLLLASTPSLERMTLALQMLGVEGSEAGLTWATECEWTRASDEGEEGDSVNLEGICICPSRS >KQL16112 pep chromosome:Setaria_italica_v2.0:III:29886661:29887325:-1 gene:SETIT_024656mg transcript:KQL16112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLNAYEEVDDDLFALACGPDMRVRSYSTCVVNGVRYNTVERDKHKKTQNSEIIELQYTNKDDGTKRSVVVFRCDWYKLDGKHTVVKDDGFFKSINISSLWYKKDCFMDCFILVTQATQVFYLPDNKHGMNWRVVQTFKHHHLYNVSEIDGVVSIAAPYQEFTCVVDNGKRPEVSKILPNIPLKLDDEDGLIVDVAEVAMLT >KQL17349 pep chromosome:Setaria_italica_v2.0:III:49895061:49895725:-1 gene:SETIT_023305mg transcript:KQL17349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAAGSKPAVFLLLVVVALAAGAGGASAATFLVTNMCPFPVWPAAIPSGGSTGVLNPGQVWLFRVPPGTKDGRIWGRTGCHFTGDHGQCATGDCAGALRCEVPENPPAATLAEFTLGGGGGAEDDLYDISVADGFNLPMSFTCDDRSGEDPAPIRCEDAGCPDANHRAGEGKVRTCKADRVYHNHRYFVVFCPNE >KQL13738 pep chromosome:Setaria_italica_v2.0:III:6384823:6389350:1 gene:SETIT_023579mg transcript:KQL13738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYDVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >KQL13737 pep chromosome:Setaria_italica_v2.0:III:6384823:6389350:1 gene:SETIT_023579mg transcript:KQL13737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSMCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >KQL16804 pep chromosome:Setaria_italica_v2.0:III:45358966:45361312:-1 gene:SETIT_023315mg transcript:KQL16804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFQGTTTKCTACDKTVYLVDKLTADNRVYHKACFRCHHCKGTLKLANYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKVVKPERNVENENAIKVSSVFAGTREKCVGCSKTVYPIERVTVNNTMYHKSCFKCCHGGCTISPSNYIAHEGKLYCKHHHIQLIKEKGNFSQLENDHEKTSQAGSLEDEEAEY >KQL14328 pep chromosome:Setaria_italica_v2.0:III:10595329:10602664:1 gene:SETIT_021055mg transcript:KQL14328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQMLGLRGGAGGGSPSAGDATPARNGDGGAAAGPARPLRLVYCDEKGKFVMDPEAVAALKLVKGPVGVVSVCGRARQGKSFVLNQLLGRSSGFQVASTHRPCTKGLWMWSAPLKRTSLDGTEYSLVLLDTEGIDAYDQTGTYSIQIFSLAVLLSSMFIYNQMGGIDESALDRLSLVTEMTKHIRVRASGGRSTASELGQFSPVFVWLLRDFYLDLTEDNRKITPRDYLELALRPVQGGGRDVSAKNAIRESIRALFPDRECFTLVRPVNNEKDLQRLDQLPLTNFRPEFRSGLDAFTKFVLDRTRPKQLGASTMTGPILAGLTQSFLDAINSGAVPTISSSWQSVEEAECRRAYDSAVDAYNSSFDQKKQVEEDSLREAHEDAMRKAITAFNASAVGAGPARSKFEKLLHSSLRKAFEDYKRNAFLEADLQCSNRVQNMESKVRAACNRPDAKLDDVVRLLDGLLTEYESMAYGPGKWKRLATFLQQCLAGPVLDLFRRQLEHIDAERNALRLKCNSSDDKLALLRKQLEASEGHRAEYLRRYEEVINDKQKISKDYSVRITELQAKGSKLEERCMSLSSSLETAKRESNDWKSKYDHIILQQKADESKLKSQIASLESRVSISEGRLSATREQAESAQEEASEWKRKYEVAVSEAKTALQRAAVAQERTNKKVQEREDALRAELANQLSEKEEEISRLSAKVSQTEIHATSLISRLEATEAKLKSHESDSLALKEEIRLLTDNLESIRSEVLSREKEVRILEQEKNHLQEKYLAECKKFDETDIRCKEAEREARRATELADVARAEAAAAQKDKGEAQRLAMERLALIERMERQVEALERDKVKMVEEVEKLHQSEKDAVSKVALLEKSVDEREKEIDEMLKRNNQQRSSTVQVLESLLATEREACAEANKRAEALSLQLQATQGKLDMLQQELTSVQLNETALDSKLKTSARRLRGEATESVHDMDIDNDNNGRRRKRSKSTTSPFKNNHTEDGGSVFIGEDTYTGSQQGTETEDYTKFTVQKLKQELTKHGFGAQLLQLKNPNKKDIVALYEKHVVGK >KQL15673 pep chromosome:Setaria_italica_v2.0:III:22380325:22385865:-1 gene:SETIT_021952mg transcript:KQL15673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSPPPPPISNPTTTPRRPQTVTRYASLRCAVQHRTNPSPSSRLGTAPTDLSGRRRAAMASPNPDAAGLAVPGAGEGSSSSFGAVAGAIVAAGEQPPRRALTVRKERVCTAKERISRMPPCAAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGAGTQINFPVSDYARDLEEMQMISKEDYLVSLRRKSSAFSRGLPKYRGLPRQLHNSRWDTSLGHLLGNDYMNLSCGKDIMLDGKFAGSFGLERKLDLTNYIRWWLPKKTRQSDTSKAEEIADEVRAIESSMQQTEPYKLPSLGLCSPSKPSSMGLSACGILSQSDAFKSFLEKSTKSSEECTLSKEIVEGKAVGSVPATGHDTAAVNINMNELLVQRATYSMAPVMPTPMKSTWSPADPSADPLFWSNFVLPSSQPVTMATITTATFAKNEVSSSDPFQSQE >KQL12971 pep chromosome:Setaria_italica_v2.0:III:1902432:1904282:-1 gene:SETIT_021492mg transcript:KQL12971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNLDSAIKSQVPALLLRRLFGASRRDEAKQLSRSPSTAPVGRRRVYVQTETGCVLGMDLDRGDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSSIRSDSPLLLTRNSINRSCSTPCLCPVSKDFEQKDCSGLVEMLGCSSSCDRVKRLVEDVVTAIRSGVDPVPISSGLGGSYYFRNVIGDRVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHDNFANVPATALVKITHSIFNINCPVNGGNPSPTHDQKQQVNSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLNGGTGRFGCQTELFPIDHGMCLPENLEDPYFEWIHWAQASIPFSEEELEYIRNLDPGKDVMMLRRELPMIREACLRVLVLCTIFLKKAAAFGLCLAEIGEMMTREFRGMEEEPSQLEVVCMEARKRVAEWEPFSATAEQEGDIDFQFSMDMLGEYNDLMRSPRFNGSGFKGSSYRSPLSKLVESVEEGNDGHEDQNESDRVFYTGWNTPNFPSKATKSAMNRSADEQLQSSMCFVRLSDMSADEWNVFLEKFQELLKEALQERARAAAGQRMKQRLGTSCKF >KQL12972 pep chromosome:Setaria_italica_v2.0:III:1902003:1904787:-1 gene:SETIT_021492mg transcript:KQL12972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNLDSAIKSQVPALLLRRLFGASRRDEAKQLSRSPSTAPVGRRRVYVQTETGCVLGMDLDRGDNAHTVKRRLQLALNVPTGETSLTFGDRVLENDLSSIRSDSPLLLTRNSINRSCSTPCLCPVSKDFEQKDCSGLVEMLGCSSSCDRVKRLVEDVVTAIRSGVDPVPISSGLGGSYYFRNVIGDRVAIVKPTDEEPFAPNNPKGFVGRALGQPGLKKSVRVGETGFREVAAYLLDHDNFANVPATALVKITHSIFNINCPVNGGNPSPTHDQKQQVNSKIASFQQFIAHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNVLVRKLNGGTGRFGCQTELFPIDHGMCLPENLEDPYFEWIHWAQASIPFSEEELEYIRNLDPGKDVMMLRRELPMIREACLRVLVLCTIFLKKAAAFGLCLAEIGEMMTREFRGMEEEPSQLEVVCMEARKRVAEWEPFSATAEQEGDIDFQFSMDMLGEYNDLMRSPRFNGSGFKGSSYRSPLSKLVESVEEGNDGHEDQNESDRVFYTGWNTPNFPSKATKSAMNRSADEQLQSSMCFVRLSDMSADEWNVFLEKFQELLKEALQERARAAAGQRMKQRLGTSCKF >KQL14065 pep chromosome:Setaria_italica_v2.0:III:8501143:8503536:-1 gene:SETIT_024926mg transcript:KQL14065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRLQTLASSLRRAAATSSSCARAAPLSTAPAAFRRTSPLLFSPGDKPAPTKVEDVMPIATGLEREELEVELQGKKRFDMDPPVGPFGTKEEPAVIESYYNKRIVGCPGGEEEDEHDVVWFWLEKDKPHECPVCSQYFVLKVIGDGGDPDGHDDDEDEHH >KQL16781 pep chromosome:Setaria_italica_v2.0:III:45061230:45063875:1 gene:SETIT_025097mg transcript:KQL16781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPALLTVLPIDLLFLLSGLAINAVQAVVFLSIRPLSMSLHRRINGFLAELLWLQPVWLLDWWAGVKVKLHADPETHQLMGKEHALVISNHRGDIDWLIGWILAQRSGCLGSALPTMKKSSKFLPVIGWSMWFSEYIFLEGSWAKDQNTINWGLQRLKNFPRLFWLALSQEYAASQGLPSPRNVLIPRTKIHVHVKRHAMGPMPKSDEDVSRWCKDIFVVKDALLDKHMATGTFGDEIRPLGKSLINTLYPVLAFPAKTANGWSTMVVLSWPCLLLHGAYRFFEWTQLLSTWKGALLPTAVLALVAANMHFFITVSQSARPSSTTAASQRGIR >KQL16394 pep chromosome:Setaria_italica_v2.0:III:39080363:39085202:1 gene:SETIT_024253mg transcript:KQL16394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCRKARAHYVLMKLSSDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCQSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNGVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFQSPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMTNKVGRRQKKRFTGEMDVMAAPGYPLLEAAYDLHHRAHHLADMNEHLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEPWHRHDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSDIVFSPTCYRDRELWRCTTPMILYFVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQPERAPLHDYMGQQLARLSNEAG >KQL15007 pep chromosome:Setaria_italica_v2.0:III:15522832:15523331:-1 gene:SETIT_023712mg transcript:KQL15007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYAMDNQIQLQTPRWYCAIRANSSCPDVTRKEYLVIHSVLSFTTHLGYLPFAQVQSLRPISVPNTEDLVQRSLFRRCSPDVPHHLQHSANRTMIQISWIRNLLQAANG >KQL16508 pep chromosome:Setaria_italica_v2.0:III:41116458:41117400:-1 gene:SETIT_023860mg transcript:KQL16508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGSCNCGSSCGCGSGCGKMYPDLAEKSAAPAAMVLGVAPEKGRLEEGFEKAMESGEGGHGCSCGSGCKCNPCNC >KQL13112 pep chromosome:Setaria_italica_v2.0:III:2650178:2652135:-1 gene:SETIT_022904mg transcript:KQL13112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAAAFLELVAKQVDPGAPGFWRDFLVGMLKPVAATAVVALAVALSFSQRLGLEGEMLYAIARAFLQLSIIGFVLQFIFTQKNALWILLAYLFMVTVAGYTAGQRAKQVPRGKYIACVSILVGTAITMFLLVVLNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLREDVKIQRNLVETALALGATPRQATLQQVKRSLVIALSPVIDNAKTVGLIALPGAMTGLIMGGASPLEAIQLQIVVMNMLMGASTVSSILSTYLCWPAFFTKAFQLEDKVFAD >KQL14966 pep chromosome:Setaria_italica_v2.0:III:15181743:15188730:-1 gene:SETIT_021326mg transcript:KQL14966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSRGVRAALTRWTPQLSTHITYSHSHSPPHPAPVRCFLALPPPPLPVPRRRRLHLAGPPPQRREIRRRWPHSRAPPRLDKRYHAIRWIIFEVLQAHSFLDIIKMSGPLNSNISGATSNLRDSTGRSFASSFAGQSGSLPGFHHSGSHNIHGNLNLTNISGSLAPRNNSMAGIPSPGVQQPGGSISSGRFPSNNLQASMSQIPHGHSGISNRGGMNVGGNPGFSSSMNAIGGSMQGLSSNLANVGNRNSAPGLAASPVLGNLGPRITNSGNIVGGSNIGRSISSAGLSMPSIASRMNLSGNSGSGAINIQGSNRMSSMLQQASPQFMNLLGSSYPTPGGSLSQNQVQAGNNSLGSSGMLHDGSSGDNAPFDINDFPQLTGRPNSAGGGQGQYGSLRKHGVSVNAIVQQNQEFSIQNEDFPALPGYKGSSSDYGMDMHHKDHLHENVNIMQAQHYPMARSSGFNLGSSYPPRQHQQSANSVQNAGLENIGLRTANSPSPSSNSGVYEQFMQQYHQPQTQNSLRLQATSGPQSFKDQSQKPVQGNPAVPDPYSLLGLLSLIRLKEPGPTALALGIDLTSLGLNLNSQDNLYKTFGSPWSNEPAKGEPDYQIPACFSAEPPPPLQPLHFQKFHPLTLFYIFYSMPKDVAQLYAANELYSKGWFYHKEYRVWLTRAPNSAPLVKTPLHERGSYICFDPNIWDTVHKDNFVLHYEAVEKRPVLPSAAQNLRREL >KQL14965 pep chromosome:Setaria_italica_v2.0:III:15182301:15188730:-1 gene:SETIT_021326mg transcript:KQL14965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSRGVRAALTRWTPQLSTHITYSHSHSPPHPAPVRCFLALPPPPLPVPRRRRLHLAGPPPQRREIRRRWPHSRAPPRLDKRYHAIRWIIFEVLQAHSFLDIIKMSGPLNSNISGATSNLRDSTGRSFASSFAGQSGSLPGFHHSGSHNIHGNLNLTNISGSLAPRNNSMAGIPSPGVQQPGGSISSGRFPSNNLQASMSQIPHGHSGISNRGGMNVGGNPGFSSSMNAIGGSMQGLSSNLANVGNRNSAPGLAASPVLGNLGPRITNSGNIVGGSNIGRSISSAGLSMPSIASRMNLSGNSGSGAINIQGSNRMSSMLQQASPQFMNLLGSSYPTPGGSLSQNQVQAGNNSLGSSGMLHDGSSGDNAPFDINDFPQLTGRPNSAGGGQGQYGSLRKHGVSVNAIVQQNQEFSIQNEDFPALPGYKGSSSDYGMDMHHKDHLHENVNIMQAQHYPMARSSGFNLGSSYPPRQHQQSANSVQNAGLENIGLRTANSPSPSSNSGVYEQFMQQYHQPQTQNSLRLQATSGPQSFKDQSQKPVQGNPAVPDPYSLLGLLSLIRLKEPGPTALALGIDLTSLGLNLNSQDNLYKTFGSPWSNEPAKGEPDYQIPACFSAEPPPPLQPLHFQKFHPLTLFYIFYSMPKDVAQLYAANELYSKGWFYHKEYRVWLTRAPNSAPLVKTPLHERGSYICFDPNIWDTVHKDNFVLHYEAVEKRPVLPSAAQNLRREL >KQL16192 pep chromosome:Setaria_italica_v2.0:III:34160630:34163859:-1 gene:SETIT_022247mg transcript:KQL16192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGALRGPSDYSGEPPRHPSLRINAKEPFNAEPARRDLVASYITPVDLFFKRNHGPIPVLDDIRSYYVTIGGLAGGPRRLSLDDIRRLPKYNVTATLQCAGNRRTEMSKSRKVRGVGWDVCALGNATWGGAKLSDALQLVGVPYHTEITPSGGKHVEFVSVDQCPEEKGGPYKASIPLGQATNPAADILLAYEMNGEVLKRDHGYPLRAIVPGVIGARSVKWLDRIDIIEEESQGFFMQKDYKMFPPSVDWDNIVWSTRKPQMDYPVQSAICSLEDMSAVKPGQVTVAGYALSGGGRGIERVDISPDGGKSWLEAHRYQKKGVQYVAGNVTSDKWAWVLFKAIVDVNSDTEIVAKAVDSSANVQPESVESIWNLRGILNTCWHRIRLLATPNLRSSM >KQL13181 pep chromosome:Setaria_italica_v2.0:III:3026352:3029230:1 gene:SETIT_021226mg transcript:KQL13181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLPALLLLVLIAAAPAPAPASASTLAVSGGASPVVCGVAKENRTLYCAPVSAGSSNASAVALPLTFAEVSAGRGFVCGLQAGGAALFCWPPAAAPQWQQLKRIYNNGAGALQDLAVGADQVAAYDEAAGRVLWWRGGGRFPERADGDFRSLVSGDGFSCSVEANASAAVRCWGPRGSAVQADFANATSVRYLAAGGTRACAVLASGAALCSGSDSTSGSANASAVLPRDLSPYGLAVGDSHACALRRTNHTAVCWSLGGPTTTVYYPAVGTAFQFLVADGNITCGVASIDFSVMCWSLGSDATTVSLPRILPGVCVRDESSCGGCGYLWQSQQFCGGSGGICRLCDGSSAPPPRGPVSPPPSSPPPPGSSSKRVSKAWIAFCVVGAVGSFAGLCSIVYCLVFGFCSNKRVHNSVQPNITTAGAAAAADNNNNNNNGGGAAGSPYGSPNGSRARGLFRRQLSRVMTRQRSGPSSFKDPAEEFTFAQLEAATKGFAAEAKIGEGSFGTVYRGKLPDGREVAIKRGESGTRAWRFQEKESAFRSELAFLSRLHHKHLVGLVGYCEENEERLLVYEYMKNGALYDNLHPKPGSAAQQSPVASSWKLRIKILLDASRGIEYLHSYAVPPIIHRDIKSSNILLDGNWTARVSDFGLSLMGPPESEETQSQSQRHLTVKAAGTLGYMDPEYYGLHHLTVKSDVYGFGVVMLEALTGRRAIFKEAEGGSPVSVVDHAVPSIVAGELTKVLDPRAPEPAEHEAEAVELVAYTAVHCVRLEGKDRPAMADIVANLETAFALCEGSAGDRGGGGFGNSSSSASMSVTSMDRSGALV >KQL16359 pep chromosome:Setaria_italica_v2.0:III:38297258:38298701:1 gene:SETIT_023512mg transcript:KQL16359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQRGRRRQHMHIPVFGEWNQLQCEELPMTQYFESAMQAGLVARAGHCFHDGAAEVVLFRTLSGSPPPHKPAKKVRSAMGSHQQDQQQVHAVSRRRQQGPLAVADGGPRAPRRPCRVVRSVDEDLYEVHPDLLPKKGKGRKHVRSLWMGCVGLNCVA >KQL12934 pep chromosome:Setaria_italica_v2.0:III:1705957:1706447:1 gene:SETIT_025209mg transcript:KQL12934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTETQKINYQAHKRIQAQVRQRSRKPWSFFRAFKFPPYMDNGLRPEEDTVAISQSS >KQL15663 pep chromosome:Setaria_italica_v2.0:III:22310502:22315558:1 gene:SETIT_022123mg transcript:KQL15663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQHIVVGGGAVSSAAAETTGNLPNRAPPMLKPQTSWTASLPLFLLRRCSRSAPNPFPPGGRRLPCLPVCKRRMSTQAQPRFAPLPTEQSESQADAGAAGYQFRLVSYNILAQVYVKSAFFPHSPSACLKWKSRSKAVLTELKSFDADLMCIQELDEYDTFYKKNMEASGYSSIYIQRSGDKRDGCGIFYKPKSAELVQKEAIHYNDLVEKYVHSDHVNSALPNNSSPEEDTNAKQDNSKRGDPNDPRVRLKRDCVGLLAAFKLSDPYDHILIVANTHIYWDPEWIDVKLAQAKYLLSRVSQFEQLISNKFNSKPSVIIAGDFNSTPGDKVYNYLVSANLESTDEAPIKLRSLYAVNGGEPEFTNYTPGFTGTLDYIFLSDGSSIKPTSLLCLPRGDSTDVQGGLPNFQHPSDHLPIGADFLVVNS >KQL15664 pep chromosome:Setaria_italica_v2.0:III:22310502:22314464:1 gene:SETIT_022123mg transcript:KQL15664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQHIVVGGGAVSSAAAETTGNLPNRAPPMLKPQTSWTASLPLFLLRRCSRSAPNPFPPGGRRLPCLPVCKRRMSTQAQPRFAPLPTEQSESQADAGAAGYQFRLVSYNILAQVYVKSAFFPHSPSACLKWKSRSKAVLTELKSFDADLMCIQELDEYDTFYKKNMEASGYSSIYIQRSGDKRDGCGIFYKPKSAELVQKEAIHYNDLVEKYVHSDHVNSALPNNSSPEEDTNAKQDNSKRGDPNDPRVRLKRDCVGLLAAFKLSDPYDHILIVANTHIYWQVKQSEFLTIFGRCFHISLYLFVLFDLI >KQL16240 pep chromosome:Setaria_italica_v2.0:III:35006679:35007266:-1 gene:SETIT_025276mg transcript:KQL16240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSAALNGTFLVAAAFLVLLHSSMGRQPGPPHHCPDDNAGQHPAAPAQHCRDDNPEEQPAPTPAPTPAPTPMPTLAPAPAADCSLNCSMQCGPQCEVNRTAGLAKCHTDYVSNWNGCYDSCTSSICPDKSACVNSGCVFANCACDHTNASSCCQWCGQALLNTYFNCVNFEDRYVPYCINNCTNDCNKNCTQG >KQL12852 pep chromosome:Setaria_italica_v2.0:III:1263804:1268302:1 gene:SETIT_022078mg transcript:KQL12852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGVEVHGAKAWRHHGGRQAPATSASALATAHGAWRQDGWRRDVEKPKPPSPLLPLPLPPPAASNPRVLPAATPHRSAMEPTSSASIARQTWELENNIPAAATDPDAMDAIYRYDDAAQARAQQEKPWANDPHHFRRAKISALALLKMVVHARAGGTIEVMGLMQGKCEGDAIIVMDAFALPVEGTETRVNAQADAYEYMVEYSTINKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFTEPFLAVVIDPTRTVSAGKVEIGAFRTYPKDYKPPDEPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDSHLLDLLWNKYWVNTLSSSPLLGNRDYVAGQIFDLADKLEQAEGQLAHSRFGGMLMPSQRKKEQDESPLAKVTRDSSKITAEQVHGLMSQVIKDILFNSVHPSSKASTSAPDSSGPEPMVEA >KQL14176 pep chromosome:Setaria_italica_v2.0:III:9359524:9361848:1 gene:SETIT_022366mg transcript:KQL14176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDMRGRPGPRVGDCSAPRGHMTRPPPAFDRPIARDAVDTSRVDLAISATAREALSKLLHCRPSPSSIATSSVPHLLLGSSARRLVFNSRTVASLRTMLRHCTGNSHHQSVATAAPGKTMASTTFSLFFPLPTKGPWPPAAADEAGAFDDDRSSITTSPSSPSSSSSAGSVDCTLSLGTPSSRRAAAEPAEQAKRAAAQPAYPSVSASASASAVSWDVAADQPYYYCCHGSKPAAAGAAKGAVARAEHDQLLVDRRCANCGTSSTPLWRNGPRGPKSLCNACGIRFKKEERRAAATAMDQGQGACGYVAQRAQYGTKQAPADAVPYYGEAAFPCGGGDVADAEAAPFLAWRLNVVAPSPAPAPAFAVWPERTSLFQYN >KQL14715 pep chromosome:Setaria_italica_v2.0:III:13113512:13114172:1 gene:SETIT_025619mg transcript:KQL14715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRKMPTGPTFFVAKKEKRPHLILDLSFYLFLAIQFYLPHLKLQKHNANNQTFLHNTDDKQASYGQEKGFPGSGADHDRKR >KQL13057 pep chromosome:Setaria_italica_v2.0:III:2340262:2341101:1 gene:SETIT_024837mg transcript:KQL13057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQVLEVTLISAKDLKRVTLFTKMRVYAVASISGGDPRLPTHRTYADREGGRNPMWHAPLRFTIPPAADPRGLALHVLLRAERAFGDRDVGEVFVPVRDLAAAAPEGSEQRHLSYQVRSPVSGRKRGVLHISYKLTDAPAAPDAAAPAPYAHRQYMPDHPATSKWHNHKGSSAITAYPVAPRSGPPYPPYGPPYGGAYPHHHQYGYGAYGYGAPPLGVAYGYGGSGAAPAARAGGGMGTGLGLGLLGGAVGGLMIGDMIADAEVDGAYDGGFMDGVGF >KQL16226 pep chromosome:Setaria_italica_v2.0:III:34582963:34584865:1 gene:SETIT_024998mg transcript:KQL16226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFVEKILDASILPLNFDIDYIDWSNITQEQLDSMHLNACVTNFLCKILNDAHLIWSLLMELYAKPECDDEKQAEEKSLEKPVWPADQTSSIREASPVCDMDASQDSSQALSLPGSTTSNEVALYMMAKKNKQAKKGKSQKIEVCSSLAKELSSSNSIMPPWYVTSLEKPNEGLIAKLEKLTSEHIALQATHKELECSHEKLVKSYAILDIAHEIILTSVERLKKDLSDLKGKGQVQPSQDNHEVMVKKLEKGSTVTCSAPQQNLKTSKSKIQQKKNFEHIKCFNCSKMGYFASTCPTKLKRKETLSKRQRSLAKKRVCYGSSLHCSKEKKSTSLSKKPTSKQRKEKQEDILSNKDKNLIFYTCRQKGHMNKDSPNGNTLKSNLIHYDFSKLGKDKVGTCAIRVISSPQSSIRAIWVPKHLVANLNGSNKSYDVEFT >KQL15527 pep chromosome:Setaria_italica_v2.0:III:21150820:21151225:-1 gene:SETIT_025608mg transcript:KQL15527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGHQHQPGCKLGSSMQLKYIIDAALTCNNT >KQL12949 pep chromosome:Setaria_italica_v2.0:III:1801831:1805413:1 gene:SETIT_022806mg transcript:KQL12949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVALAPASPALLPSFRGCRDGRVRLSPRRPRYSSGRCRAMAQTFQGGPAASYAREMERLSAKESLLLAFKDAGGFEALVSGKTTEMQRIDVNERIVGLERLNPTLRPTTSPFLEGRWNFEWFGDSSPGAFAARLLFERSPTTVAHFTGLDVLIKDGYSKLSSNLKFLNTIQSKFLLTTQLSVEGPIRMKEESVEGLIEIPKISEETLPEQLKGLLGQTAGALQQLPSPIRDAVAEGLKLPLSGTFQRLFMISYLDEEILIIRDAAGAPDVLTRLEGPQPNPIDGTADAVISEYES >KQL14079 pep chromosome:Setaria_italica_v2.0:III:8644457:8645488:1 gene:SETIT_024899mg transcript:KQL14079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSGGGDIHALCDDALAEILVRLPSESVLRCRAVCKSWRRIATGRSFLAAHAARSPREMITLTQSWTLSAVPLSPGLDAADDGARRRYLCDLSRYHGDGKWAGWSTLVASLDGLLVLQQRPGLYVVCNPTTRQWTNLPVLAPQPCAAAFQCGFYHHRPSGEYRLLCHAEEHREPGSSDWKDYYYTLSAGSTLPRRLARAPADRPYKTDFYELPVAHRGTLYWLCLHPERRRTGKMLAFHTDSETFRLVSRPPTGYAPPVSALLELDGALCAVANRNWMLLDVWVLQDYEAGRWTLRHRVAAPPPKCSDGRGLLATWAISVDGSTILVGDGISGVIGLCDLEEK >KQL16474 pep chromosome:Setaria_italica_v2.0:III:40739821:40740300:1 gene:SETIT_025792mg transcript:KQL16474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIIMYHIFPVNKFEEWKNSTQDLALAGQH >KQL14791 pep chromosome:Setaria_italica_v2.0:III:13900969:13901369:-1 gene:SETIT_025510mg transcript:KQL14791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDCHAITGFELSIKRTGKIRCLKLVEAKYAVCSLTRWTAQFWKGCLFPSLSIMSIVGVVRWSHGL >KQL13095 pep chromosome:Setaria_italica_v2.0:III:2581464:2584982:1 gene:SETIT_022120mg transcript:KQL13095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALWRLGPGGGEGSRGSLSREVGGGGTLVSGGIGTGGGGRGFHFRVPSDLQGGPLEGWVHGPERKGLAQVAYLVHRSPPYPFPNPSPSLPSCVLPPTRRRRRRERLDPDPGDMPRYDDRYGGTRLYVGRLSSRTRSRDLEYLFSKYGRIREVELKRDYAFIEFSDPRDADDAQYNLDGREVDGSRIIVEFAKGVPRGAGGSREYMGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCQNSPRNLRRERSYSRSPSPRRGRGRSRSYSRSRSYSRSRSVSGSPRGGRRDRDERRSRSLSYSRSPRRSASPSAKEKERSPTPNGSRSPRSPSPRDQVSPPPKDNGERNGSDNGDSPGRRENSRSRSRSRSPSDGYRSPAANGRSPSPRDDRSPSPKGNAGDDDGRGSPRGSQSP >KQL15715 pep chromosome:Setaria_italica_v2.0:III:22963342:22964817:1 gene:SETIT_023558mg transcript:KQL15715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRERDGVRGNLSKIGQHILDCLVSSSISNSQLLDFRSSSDGQQQEPLAASSGLPEDGRRGAAGRRQEEEGVLRRIVAAPRDVGKAWRGELHRGMHRRALLLLALRALLRLACCSKNQAKVADLLCANAEAGVIRRKPFIICLCV >KQL15936 pep chromosome:Setaria_italica_v2.0:III:25633468:25633881:-1 gene:SETIT_025436mg transcript:KQL15936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASGLLIQCLPHLVISFSGLMDPTNMILGSAYSHIIAQSLNHTISLGVGFLLHQTHLG >KQL15949 pep chromosome:Setaria_italica_v2.0:III:25944039:25948549:1 gene:SETIT_022319mg transcript:KQL15949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSLSVRTLHSTACWTQRLRQLVSSPSPSKVQNLSSNVSRGACPLRSRIRSKKSRIRFGDGGPSPESPSLPPARHETRRPVALRQRHPVEQAAGVEPTLRILARGDHQSKAVKGRRTEASPTSSPGRRWAVASTRVGEGDEEAVELVFIRTLPCPLISSCCPPTAAILRGASAPALTAVSREAAECQLKLEKMFTARRKIQKDKGVEPTEFEDTVAQAFFDLENGNQELKSDLKDLYINGAVQMDVPGNRKAVIIHVPYMLQKSYKKIHVRLIRELEKKFSGKDVVLIATRRIVRPPKKGSAVVRPRSRTLTTVHDGILEDVVYPAEIVGKRVRYHLDGAKVMKVFLDPKERTNTEYKLDTFSTVYRRLCGKEVVFDYPVAESA >KQL15420 pep chromosome:Setaria_italica_v2.0:III:19927499:19931985:1 gene:SETIT_021243mg transcript:KQL15420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAPPADADPPECPVCLSPFDAASAVPRVLPCGHSLCGPCIVALPPASTAATAGSSLRCPLCSQCVPFSRALGPSSLPKNLALIALLPSSSPSPSHTPTATAPPPLPLPLHAAHSRLLSRFRHAVLPQSTSPLRSAPTPARLAFGSLDTDLGEPWFCAGGRPVSLLPIETHPGEGRPSAEQEAVFYRPSHAARVLAAIGALSDAAREELAGLIASSARLARQVCRIYGVWMDSDAPPLWMVSERHTRSVSRLLEEETIIGEEMVAQIGFVVMEACEVIMRLHCEGLVLGCLGLDCFCLDRFGHCLLDFNQVLALCRGVRAGTHNIGAFVAPEVVAVLGDTLRRMDHDFDGLVGCSSDIWSLGCVLVSFLTRDEQLVAGWNSEGSYDDWEKEVVTRLNASLLGTQLEPLAAIIVSCLSYDPKGRPEIADVWKRIRGLLMKSSDVALAPDDDSAAQKSFRCLLFGELSLMFKSDCKAQLYQGAEENISNQDDGSNGGCIDNRVIDGPQSAGMFKSATLVAHCDCVTGLAIGGGFLFSSSYDKTINVWSLQDFSHVQCLKGHEHKVTAIVVVDNDNHSLCISGDSGSGIFVWRVDSTLNEEPLNKWYEHNDWLYRGVNCLAVSGTGYLYTGGRDKSVKAWSLEDYSLRCTMTGHKSTVSCLAVASGILYSGSWDGTIRSWWLTDHTPLSVLEDDTAGSMAPVLSISTEANFVASSYENGYFKIWKNDVLVKSEKLQNGAVYAVKLSGKWFYTGGWDKVINIQELLENESEVELRDVASITCDSIITSILSWNERLIVGLSNRDIKVYYKAS >KQL16611 pep chromosome:Setaria_italica_v2.0:III:42885951:42887844:-1 gene:SETIT_024863mg transcript:KQL16611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATTTTAPVSGEYPSPVSPPYPAVSKDVELRRAMTASARSAVFASADVVFEDEWLAVVDKPAGVYCDALLTALPRSAASGDSATKPNLHLANRLDRDTSGLMIITKCNKVAGKLVKAFTDHKVKKAYLALCIGFPPTWEKIKICSGHGRSKHGAWRVYAMPDIGRSLPGGSVVRDMSTQFEVLGVNGKGQFREPYNFFTDDIESITVQEKAPAQTCNDDVKNSVILVRAYPQSGRTHQIRLHCQYLGFPIRGDVKYGGVIEWNGLECDGHALHAESLSFVHPVTGLPVTFQSPLPSWAKDFISTME >KQL17057 pep chromosome:Setaria_italica_v2.0:III:47865724:47873022:1 gene:SETIT_021034mg transcript:KQL17057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLIEDNAGDGRSSSTEEMPSDQQSHSGDSLAEWRSSEQVENGTPSTSPAYSDTDDDDCGPRPSELYGKFTWRIDNFSQINKRELRSNSFDVGGFKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVINRDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKLNDGFVVEDVLTIKAQVQVIREKADRPFRCLDGQYRRELIRVYLSNVEQICRRFIDERRSKLSRLIEDKLRWSSFSAFWLAMDPSVRRHMTREKTDTILKVLVKHFFIEKEVTSTLVIDSLYSGLKALEYQSKNKKGIPKLTETDARSTPMVLIDQDMFVLADDVIVLLERAALDTLPHQPLPTKDDKSSQNRTKDGSSGEEFNKDSIERDDRRLIELGWKTLELFALAHIFSRIEVAYQEAVALKRQEELIREEEAAGLAEIELKAKRSAAEKEKRAKKKQAKQKKNSRKSNKGKNGKSDIKEILMDSSPSDDRILDDFSGQAEEMSSNADNPEEVSDMSDNRDDNSDALHVDIEERESSPVNWETDASETQATVPGSGEVQNDQAGKRTSFVDDSSSTCSSDSVPSVILNGSGGAWTNVRSSSNRGNNRRNKDTDTRAGLGQGGPNSVYNGFVGSGSNASVNSKDARHESEDDKVVSQRKQHAQRHVDVMSPSKSRMAESSFSSVSPAKKQPNVSQQSTNSSNYRASQASGAVTGTTTAGVSPTPAAQSLSNKGPLSSPATHHEKSFPIASRPLQVPIQSKSEAQKQASLDGSATTQAVTVSRPLSAPQVPAGKQSTPVTSTSQSVPLLSRSMSAVGRLGNEPSANAPSFIPRSRTYRNAMMQTSSAGGSSFTHQPGSSEQGVAHSQPIFTSQPSILSSETLSGKEETSLKPGFTFGTVKPESLNQYQCREQSSQQATSSSSISNSSDCAPSSSNIRSEIAKLNLNGRSRSRQLLSEISTRFTPYQPQGLVADEFPHLDIINDLLDEEQSERRRVLRPGFAQQFSMPNDASTPDYGLFGEPYLLDQSEPYFDDEPPRFYSPLSSAPRGLRDRSYSHFDLPSYSNSSQFDDLMMNQWQYSSDISMTSFMSDASGYTYQPQDFPVNGVSRYPSYRPANGH >KQL15455 pep chromosome:Setaria_italica_v2.0:III:20378000:20378383:-1 gene:SETIT_024896mg transcript:KQL15455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKHQTKHEPFPTNPSPPHAPAIRTLMEGLIPLLYKAIKDRRSNVAGGAPSAASAPVDLEDPEQRRRWLQQELRSPVHAASAEGPLLHRRNLSLEELAGEVGLSHDRRLRVPLPKARSVRAFACIGAA >KQL15585 pep chromosome:Setaria_italica_v2.0:III:21456692:21457797:-1 gene:SETIT_023456mg transcript:KQL15585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAQRSMASALLFLNLIMYVVVAAIAGWAINYSIDESMNSLQGASPPVRLFPIYFPIGNLATGFFVIFALITGVVGISTSLTGLHDVSQGFPANMMSAAASALVTWTLTLLAMGLACKEISISWRPASLRTLEAFTIILSGTQLLCAGSLHAGAHEAIVATPIGGRV >KQL16003 pep chromosome:Setaria_italica_v2.0:III:26768361:26770049:1 gene:SETIT_024526mg transcript:KQL16003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSIIPRASSGEHAGASATTKLGRLNASVERSWVGRRFRLAARETTFTTELRAGTTTFLTMAYILAVNASILSDSGATCTVDDCDAPSPGCKFPPVDPGYAACVARARRDLIVATAASSVIGSFIMGAFANLPIALAPGMGTNAYFAYTVVGFHGSGVLPYRTALAAVFLEGLIFLFISVVGLRSKLAQFIPKPVRISASAGIGLFLAFIGLQSNEGVGLVGFSSSTLVTLGACPASQRASVAPVVTFPNGTVALMPGGTVSGGILCLSGRMTSPTFWLAVVGFLIIAFCLIKNVRGAMIYGILFVTFVSWPRGTAVTAFPDTPAGDDSFHYFKKVFDVHRIRSTAGALDFRGIGHGYFWEALFTFLYVDILDTTGGLYSMARFAGFVDDATGDFEGQYFAFMSDASAIVFGSLLGTSPVTAFIESSTGIREGGRTGLTALTAAVYFAAALFITPLLASIPSWAVGPPLVLVGVMMMRAVSEVDWNDMRQAVPAFLTLALMPLTYSIAYGLIGGIGSYMLLHSWDWACEAAARLGCGRKVGGGAERSSGGEAEQGKETESA >KQL12774 pep chromosome:Setaria_italica_v2.0:III:784552:785429:-1 gene:SETIT_024276mg transcript:KQL12774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWPPPSTSPSLVVRSPRQTVSLIRNRRPHRDWDNSSRSPSFAARDHGPKPSEVYGFVGSITTVIATAVYLAWAYTPEPALRSLGITYYPSKYWALAVPSFVIVAVALSMAIYMGLNFVATPPPTSVSTIFDENSRERMTFSPAMEEERPIEPISDISIDQINNLMFGDR >KQL17047 pep chromosome:Setaria_italica_v2.0:III:47808648:47812887:-1 gene:SETIT_021759mg transcript:KQL17047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSQSLALRAPASLPSGRLAAAAAPTAGRVALRGRSAAFPSVVVAAAASTPMAGDEEKKEVKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHASMLASQGLITASDRDIILEGLDQIERQIQEGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIQTDLRLWCRDAIDKILIRIKQLQVSLVMLASKHVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLANCRERVNFCPLGACALAGTGLPIDRFQTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSSRVVGDLMTVLILCKGLPQAYNRDLQEDKEPLFDSVKTILGMLEVCTEFAQNISFNSERIQSSLPAGHLDATTLADYLVKKGVPFRTSHEIVGKSVALCVSKNNCQLADLQLDDLKAIHPVFEADVYEYLGVENAVNKFISYGSTGSNQVKKQLEDWRVQLGISS >KQL15170 pep chromosome:Setaria_italica_v2.0:III:17587717:17588213:1 gene:SETIT_023772mg transcript:KQL15170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFHSKFVHDDRLLMNHVQEQHYPKPYDTSLTMDSSLLVLDLLLRFIVSRCIVSIMVDLLLHRILGYPRWHMLYKEKRPPGEQTSVQCCGKNALQMK >KQL14313 pep chromosome:Setaria_italica_v2.0:III:10488608:10490348:1 gene:SETIT_023209mg transcript:KQL14313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRHVTFENVERWMRELKDHTDANIVIMLVGNKADLRHLRAVPTEDAKAFAEKENAFFMETSALEAMNVEDAFTEVLTQIYRVVSKKALDIGDDPAAPPKGQTINVGGKDDVSAVKKSACCSS >KQL17187 pep chromosome:Setaria_italica_v2.0:III:48777396:48777667:1 gene:SETIT_024867mg transcript:KQL17187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WMEQIHPGRHHHHRPSGLDLCELVGYRKKSRSSIRGDIGDLCELKRFVVAVRLNSPLFLYFKAPALGVDPICKFAFRAVSHELDSSSTIE >KQL15406 pep chromosome:Setaria_italica_v2.0:III:19681170:19684957:-1 gene:SETIT_021635mg transcript:KQL15406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVRQADPSASCAESPYIHKFGPPGSVFPAQFASGTELLHHGPQPYNAEGYRQSGFNGTAAHAFQSSFYNTDSHVESHFNEATCSPANSNISQQNSQSLSDNQTADLEVEFDEDEMRLKLQELEHALLDDGDEIFSDLSGIIDDEWNDNMKNNDWTNTMKNIMSPDSPKESSPESSLCCPDSNNGEARHPKQLLFDCAEAISEYSVEEAQSIITELRQKVAIQGDPSQRIAAYLVEGLAATIQSSGKGIYRALRCKEAPTLYQLSAMQILFEICPCFRLGFMSANYAILEACKGEEVVHIIDFDINQGSQYINLIQFLRNNSNKPRLLRITGVDDPESVHRAVGGLKVVGQRLEKLAEDCEVPFEFRAVASNIEDVTPGMLDCRPGEALIVNFAFLLHHLPDESVSIVNERDQLLRMVKGLRPKLVTVVEQDANTNTTPFLARFREVYDYYSALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMAMAGFVPSPFNSNVIDGIKSLLKSYCDKYRFEKVHDGLHFGWGDKTLVVSSAWQ >KQL16408 pep chromosome:Setaria_italica_v2.0:III:39423703:39424674:1 gene:SETIT_024131mg transcript:KQL16408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTAAVAAVGDHTAAGVPRKRQRIPIASAQDYEEVCCLGAGSFGVVTKARHRATGETVAIKRHRSTDGRNGELLREARFLDACGGLPFLVGYHGLARDRATTELCLLMEYVGGPTLRDYLRDRRRRHRPPLPESTVRAAMWQLLTGARGMHESRVVHRDIKPANILVGDDHRIVKICDLGLAIYTSEPPPYAQAGTLTYMAPEVLLGKNDYDARVDAWSLGCVMAELLEGWPLFLGNVEAEQLSAIYEVLDDMPDDGHRHDGLRELFPEETLSKDGFEVLSGLLALDAENRLTAEAALKLPWFDNVGALALPKEEEVVTASAM >KQL17344 pep chromosome:Setaria_italica_v2.0:III:49877318:49878070:1 gene:SETIT_024921mg transcript:KQL17344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCRGFATRSFAILLFGVWTVEHPPGVLPSTMLVDTLILRSSTVECSKFLSGASYMLDMSCHNNFNMSWQSQNHCIQIAIELADVHRGSVALRVDVALVATGLDRAEAHAGVQALDGDARPLHGDGEEPAVVKTAAVRFQ >KQL15894 pep chromosome:Setaria_italica_v2.0:III:25092882:25099235:1 gene:SETIT_021691mg transcript:KQL15894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVERRREEHTRRSRSPAGDRERRGTPPRRRSPSERRKSSPARARSPHAKPGASHRDRERSPPKEKAKERARSPRSPAKASLSHKEKDRSPPRERAKDQRVRSPKHAREQSRSSSPARRRGSRSPSPRTKRLRRGQGEREATQVTDSDRRKSSHREERDTGRHREPDEGRDTSRDRKVEREAAQVTNGDRRKSSHREERESGGKHREHDEGRDASRDRKAEREDAKGTAKDKKSDRDDGKDHSRDRRAGRDDRSGASKETLSSRDDDRQDSRGGRLDRDDWKAASSREQRVDRSDKRDSTREKLSDWEESNGGSGRSSRRGRSASPDEHRHRGRHESHPSPRVPRSGTRTEDINSRGGEASRSGDPDALARMNSTAEALEAKEKQKPSFELSGKLAEETNRVAGVNLLYTEPQEARMSEIRWRLYVFKGGEPLNEPLYVHRLTCYLFGRERKVADIPTDHPSCSKQHAVLQYRLVEKEQPDGMMAKKVRPYLMDLDSTNGTFINENRIEPRRYYELFEKDTIKFGNSSREYVLLHENSTG >KQL14600 pep chromosome:Setaria_italica_v2.0:III:12269903:12272286:-1 gene:SETIT_022986mg transcript:KQL14600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSSRFARALPNLLDPVSRPLKPPHHHRRRRAVHTLASSPGPTADALAPSPSSAWPPPSLSRLLAAALRGGRAGGELPDLVAAATGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGLVAWAVAQAAKALLTSVVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDALFPVCLGFTLIVMYDATGVRRHAGMQAEVLNKIVEDLFEGHPISERKLKELLGHTPSQVFAGAILGILVAWYCCQGCIVPI >KQL14599 pep chromosome:Setaria_italica_v2.0:III:12270981:12272180:-1 gene:SETIT_022986mg transcript:KQL14599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSSSRFARALPNLLDPVSRPLKPPHHHRRRRAVHTLASSPGPTADALAPSPSSAWPPPSLSRLLAAALRGGRAGGELPDLVAAATGGAGIGTLLMSTTAAAVTKARESPYLLALAANPTFVSGLVAWAVAQAAKALLTSVVERRWDLRMLFSSGGMPSSHTALCTALTASVALCHGVSDALFPVCLGFTLIVMYDATGVRRHAGMQAEW >KQL15292 pep chromosome:Setaria_italica_v2.0:III:18719145:18724861:-1 gene:SETIT_024514mg transcript:KQL15292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVRFFDGGIVKQNRELENMNESVEFFEGPSSFTDLVDRAMSKYGCRVDEMILRGRFDCGKARAHYVVVEIVRMPGPNVVLRDEVAVVNRNGTQELEILQHVLGETKSAFDLAIANDDFPNDTFERDEANIDDGDVSMGSEDSEFEEDRVVGAEAEEESPSQSGGHKNEDEELEYEEDGPQFDTATVHDNELRLLKERNAKLPFVPNDKDISMVHKAICESSMVNSEGIPFGESPVIKKGMKFKSLEEVKFFLADYAVRLHNLSGIGLNYESPLYMSPLYSREYTIRIWESNFQPYLDPSQWTPYEGVGYVPNPNLMRNKVGRRQNKCLRGDMDVSQGRLSADYGTENLTPRNLGMAAPAYPLLEATYDLQHRAYHLADLHELLLCFLKYLWDERYAHYLQRAGFLDIVVQVVAGVPPMDGPLLTAMVDRWCPEIHTFHLPFGEMTITMQDITMILGLPLEGHPVTGIIQNENWHDMVAKHIGIRPPEPEDRDNSKKTSGVSSAWLREHFNVCPHGANDEVVQRYARVWLWHFVSTFLLPDAAGNMVSWMVLPILGQDWDNIRLYSRCVRPSDALRGIQMLEGVHKHCKSGFGNECPWVDLPLSQIVFSPMCYRDRELWRCTTPMILYYVIEFHMPHRVMQQFGRMQPIDRRKRYKENDWRLKHAQYLIQWENRQRCDPENGPYWRAGPNNEYIRWYCASTRTKVKPSWSNVPIEDAPSDSSDDIADVYDTVTRYGTQPEHAPLYDYMGQQLARLTNEAGMVMDSQRYKHNQVCTDARTTFAMAMRMNCMSTTNVHHGSNGQGTSLGSWWTPLATPPRNASPSTAAGTSRRSRGKAPASPQASEDSEGNQSKDDDPTYGEELEMSGMLDAPLVTQMQEESSQEPAACTRMPCRRHSRDHTNIGSANVLPMHPRRERRSRDPFSPP >KQL16411 pep chromosome:Setaria_italica_v2.0:III:39479277:39485837:-1 gene:SETIT_021935mg transcript:KQL16411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPAVDVILHNATVVTVDGALRVLRDGAVAVAGDRIAAVGPSADVLAAFPGAAQTLDLGGRIVLPGLVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVTCFAEAGGQFVSEMARAVELLGLRACLTKSTMDCGEGLPPNWSSCSTDDCIQSQKELYKKHHNTADGRIRIWFGLRQIMNATDRLLLETRDVALKLNTGIHMHIAEIPYENQLIVRTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLNEPEIGHFSKAGVKVSHCPASAMRMLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYISGTTNPTALPAETVLEMATINGAKAVLWDNEIGSLEVGKKADLVVVNPFIWSMVPLHDSIANIIYCMRTENIESVMCNGQWIMKDHKIMSLNEEEVISSAVKRANDLLERAGINLPKRMNYV >KQL16412 pep chromosome:Setaria_italica_v2.0:III:39479743:39485770:-1 gene:SETIT_021935mg transcript:KQL16412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPAVDVILHNATVVTVDGALRVLRDGAVAVAGDRIAAVGPSADVLAAFPGAAQTLDLGGRIVLPGLVNTHVHTSQQLARGIADDVDLMTWLHGRIWPYESHMTEEDSYASTLLCGIELIRSGVTCFAEAGGQFVSEMARAVELLGLRACLTKSTMDCGEGLPPNWSSCSTDDCIQSQKELYKKHHNTADGRIRIWFGLRQIMNATDRLLLETRDVALKLNTGIHMVLTQNLLHIAEIPYENQLIVRTKGIDHGTVTYLEKIDFLRSNLLAAHSVWLNEPEIGHFSKAGVKVSHCPASAMRMLGFAPIREMLDSGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREAYISGTTNPTALPAETVLEMATINGAKAVLWDNEIGSLEVGKKADLVVVNPFIWSMVPLHDSIANIIYCMRTENIESVMCNGQWIMKDHKIMSLNEEEVISSAVKRANDLLERAGINLPKRMNYV >KQL15203 pep chromosome:Setaria_italica_v2.0:III:17792480:17793713:-1 gene:SETIT_022899mg transcript:KQL15203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAATSPPPSVTQEDLKRVAAHMGDAAAASPLPSAKLTQEDLKRVAAHRAVEFVEPGMALGLGTGSTAAHALDRLGDLLRAGALPGVAGVPTSLKTELHATRVGIPLLPLGGDRGPTMIHLSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGERFVVIVDESKLVPRLGCTGAVPVEIIPFGAPHTLGLICKVFDGLPGFHARLRMVKKDAEEDTPFVTDNGNYIVEMFFEDGIHGDLHDISNQLLRITGVVEHGMFLGMATTVIVANKDGTVTVMDKN >KQL13146 pep chromosome:Setaria_italica_v2.0:III:2841121:2841684:-1 gene:SETIT_025443mg transcript:KQL13146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLAVRLQLMCYKYLWDNLIAERFPAENFCSYFWPGPQLLVFG >KQL12665 pep chromosome:Setaria_italica_v2.0:III:257420:261303:-1 gene:SETIT_022083mg transcript:KQL12665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSMVRDLRESFGNISRRNFEVRIHHRGKSLGSSSDLQDRPVVIQQSRWASLPPELLRDVMKRLEEDESSWPSRKDVVSCASVCTTWRDMCKDIVRSPEICAKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYRLYLCLSSAVLDENGKFLLAAKRSRRTTHTDYAISMDSKNFSRSSTGYIGKLRSNFLGTKFIIYDTQPPYNAGRLCSQERAPSRRFSSRKVSPKVPIGSYPIAQVNYELNVLGTRGPRRMQCTMQSIPESAVEPGGVVPGQPKELLPRLFDESFRSTATSLSKYSIADSSMDLSSCRYSEFGGGNQQGDDNDADKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIACVAQAAAARASAPPGQTQPQASSSHDTVILQFGKVARDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >KQL12666 pep chromosome:Setaria_italica_v2.0:III:257608:260101:-1 gene:SETIT_022083mg transcript:KQL12666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSMVRDLRESFGNISRRNFEVRIHHRGKSLGSSSDLQDRPVVIQQSRWASLPPELLRDVMKRLEEDESSWPSRKDVVSCASVCTTWRDMCKDIVRSPEICAKLTFPVSLKQPGPRDGVIQCFIKRDKSKLTYRLYLCLSSAVLDENGKFLLAAKRSRRTTHTDYAISMDSKNFSRSSTGYIGKLRSNFLGTKFIIYDTQPPYNAGRLCSQERAPSRRFSSRKVSPKVPIGSYPIAQVNYELNVLGTRGPRRMQCTMQSIPESAVEPGGVVPGQPKELLPRLFDESFRSTATSLSKYSIADSSMDLSSCRYSEFGGGNQQGDDNDADKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIACVAQAAAARASAPPGQTQPQASSSHDTVILQFGKVARDMFTMDYRYPLSAFQAFAICLTSFDTKLACE >KQL13081 pep chromosome:Setaria_italica_v2.0:III:2472283:2472915:1 gene:SETIT_023510mg transcript:KQL13081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIHRFVYFSKRSTSCPAPGSWLVFHRRLRSVDGVSRIPRRMLRCDLLADQQRELRSDEGRWRRRRGVVRAGVGSPGNLPQRRGVVVCARRWRRRGSSALEVGRCQGQVGRPHQRVARRQRRGHQHHQRKEHQQRRRRRRDDVLVRGHGNWLFSATI >KQL15434 pep chromosome:Setaria_italica_v2.0:III:20131686:20132148:1 gene:SETIT_025735mg transcript:KQL15434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEKLLFLVVEIWFLCSFFQQKHMCASLQQNV >KQL16199 pep chromosome:Setaria_italica_v2.0:III:34238359:34240895:-1 gene:SETIT_021411mg transcript:KQL16199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIAFSATPEAESTSGCPDSCGGIAIQYPFGIGTGCFRKGFEIICNQSMNKPVLAGTTKPVPVNYLSIGTAEARAMLPVAWQCFNSSDTVYAWSDGDVKFNDEEVYRISDTNNQLVVIGCNTMGYTQSQQSEGNDYDYGYYTGCMCYCNNSRSPMDGACAGVGCCRLDIPPGLTDNRMSFNQYTRKGRLVYSPCDYAFLVDRHNYTFHTADLKMNINTMKPVWLDWAIRDNLTCNEAKKDAESYACVSPNSECRDSSNGIGYVCNCSMGYEGNPYIANGCTDINECERHLEYPCRGVCRNTLGSYECKCRSGSHSADPFSDPCNPNFPLAAKIAIGAIGGFFIVAVAVFVSLLSKEKRKMKDYFRKNGGPTIEEVQKIKLFRKKELEHILNRSNCIGQGGFGEVYKGYIRDETQPVAVKKPKIDVKLAGQFANEVIIQSRVLHKNIVKLIGCCLEVDVPILVYEYVPNGSLDRILHDSNRLPLNLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMITVDENYASTIIGNWGYMDPEYVLTGLYTSKSDVYSFGVVLLELITRKKALDPDNNIILGNSLDTYTKKKRVIELVDPEIAAIGNTGIFHSLAEIIVQCLSSDVDQRPEMADVAERLQYLLK >KQL16350 pep chromosome:Setaria_italica_v2.0:III:38052813:38056823:-1 gene:SETIT_021730mg transcript:KQL16350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIGLSNGSEEQKRQNLLHCWIGWMFSDIVIPLVQAYFYVTERESRRYEAFYYLNTVWRDLTSSALSSLNRQNFKILRGTSRKAIRWSCFSSRVRFVPKAKDMRPLVNLKAQSKDGLLNKCHLILKKVRDENPEMFGSSVFDYNNVHQNLCDFLSSVRSHLKEKLKIYVVVADVSKAFDCISHDMVLKVVDDVLKYDNYVLRKCTKVVCNRSKNAIYRFGSNVSISNGNDICDFSIQLSSTSGILVDQINSDEDVSAPKSLLMRFIDDFIFISFSKEHALNFFNRVRRGFVYYNCYMNDSKYGFNFEVANSEHCCNRIYRGDDGFSFIPWSGLLINCETLEIQADYTRYLDILISSTITVKMHSSTKYLQSKLCHYMRPKCHPIFYDSMINSPGTVRLNIYQAFLLCAMKFHCYVRSMADANMSKLELLYIIKRTFRYMHSLIIRRIQDVELQYNVHPVLKLRRKETMWLGLSAYLRVLQKKQSRYKDLLALLREEIGTYGHLDHDSDGLRYAVDDLHSSMFWKFKF >KQL14745 pep chromosome:Setaria_italica_v2.0:III:13592298:13592978:-1 gene:SETIT_023793mg transcript:KQL14745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTGAREILCVVLMLLAAVVQQHACSARPLQQQEAAPAMEGSGLLRPAMVHVDAKVTAFGDGAAGEGGDAGIAGPYEGKRLSPGGPDPQHH >KQL16001 pep chromosome:Setaria_italica_v2.0:III:26687752:26689098:1 gene:SETIT_022074mg transcript:KQL16001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPDDSSAASSATAPSESYAKVLQGRYELGRVLGRGGSSKVYRARDVRTGVHVAVKAVRKPRHPCPPEDAAAARRSVERDLAALRRVRGHPHVARLLDVLASRSAVYIVLDLARGGSVQSALEERGRYDEPAARRLFGQLASALAHAHARGVFHRDVKPENLLLDERGDLKLTDFGLCAFADRQLGADGLTATACGSPAYVAPEILLKRRYDPGKADVWSCGVVLFSLTAGYLPFNDGNIMGMYRKICSGRFRCPKWFSLELRSLIGRMLDREPNTRIKIGEILDHPWLRKDGMSFVITATTSSSHPTPEVVKWEAESELAREMNAFDILTFASGCDLSGVVGAFTDRVRFLVSGRNAKSVLDKVEELGREEGFAVRRKEEAGFGGVLLEAIWGKFIAQVSVHPLHEQILLIEAERASNQEEPEFWEKLQASLKFSKN >KQL16221 pep chromosome:Setaria_italica_v2.0:III:34518452:34519021:-1 gene:SETIT_025148mg transcript:KQL16221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRARRSNGAWEIDLFRPGPSTARSDRCAKLLLLWSAAVAVPVLVFVLAGYVWGSVATAVVVVALIWFTCCYYRAAPPEPPLLPEHLGALRVDVPVGQPRPGQVNGVGGLSQEDVEAIPAFEYQRKKGVPAEQCAVCINVVRDGETVRRLPACGHAFHAPCVDGWLRAHATCPMCRADVKVAASEPPTWG >KQL16871 pep chromosome:Setaria_italica_v2.0:III:46123581:46123916:1 gene:SETIT_023897mg transcript:KQL16871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPPRLRKQDRPKDAILLIFNICGSKLEHIFKKEKKKQRYTAKKSVMYILEMLQVISHTPFYNKAPVLI >KQL14637 pep chromosome:Setaria_italica_v2.0:III:12573109:12576308:1 gene:SETIT_024368mg transcript:KQL14637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNKSPLSLNPMGGRDRDRELLIPVSGGGSAPGDGAENGDRASSSPPRGALLLRTRGQSPPPPPPPPPLPPSPLDSVAFHKVVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGINIFGLGFVTSVTFIFLIGVFMSSWVGASVLSLGEWIIKRMPLVRHIYNASKQISAAISPDQNKQAFKEVVIIRHPRVGEYAFGFITSSVSLQSYSGQEDLYCVYVPTNHLYIGDIFMVNSKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPQVILGDRTGPSRS >KQL12770 pep chromosome:Setaria_italica_v2.0:III:777288:777680:1 gene:SETIT_025392mg transcript:KQL12770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFENDGNLQTYPITRLHFKINQSSQTAVKHLFS >KQL14004 pep chromosome:Setaria_italica_v2.0:III:8135014:8139199:-1 gene:SETIT_021308mg transcript:KQL14004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGAGKDEAPDKVMGPLFPRLHVNDTVKGGPRAPPRNKMALYEQFSVPSHRFSAAAAAPAAPAPAPPWHAHRPAPGAATSAVPSTSASQAGGSDRPLFPSYCVPSTEPVRSSDHMNANSNGRAGNATRTESGRLSTHLKSKDTNAAGLTAECSSKHRENTTKNSSGKKLTNDDDFTVPSVLYSGIPPHSTQEKFTPFPTKSPYKSMPAMYKSSAKCSNTDRTHLEGMKVSDAISMGSPGIKEKEPTKVRIDLEIEERTSSFQTSKEKSGRLDPKVSSYRDKLNKYNVADKQSSEIASYQTRNRKENAGETQNPPEAEMAPSAKPYAGMEQNGNSDLLELGLRETGEKRKRSHHGVEHNDDLSDSSVESLPEMEISPDDVVSAIGPKHFWKARRAIVNQQRVFAVQVFELHRLIKVQKLIAASPHLLIEGDPCLDKALAASKKKLAGGDAEKQHQSAKYKDDVQQTLQQLEHSKDNTEADQPSPTQDDVVAVQHNNQAAATAAVNSNPPTMPTPSDNKQNSWCIPPPPNQWLVPVMSPSEGLVYKPYAGHCPPAGSFLAPFYPSCAPVSLPSTAGDFMSSPYGIPMPHQPQHMGVPGPPPPMPPMYFPPFSMPVMNTAVSASAVEQVSHVAASRPNGHIEQHSRSSCNMSNLRSEALSADIWRFHASKDSELQGSSASSTFDRQQGEGRGPAQPFPSSSVGNGQPQPSSGSRENPGRVIRVVPHTSRTASESAARIFESIKMERQQND >KQL16424 pep chromosome:Setaria_italica_v2.0:III:39757422:39759244:-1 gene:SETIT_022924mg transcript:KQL16424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAKETVTKPRLPPGFRFRPTDEELVVHYLRRRALSSPLPAAVDIPDVRILAHDPSDLLPPGWSEQERYFFTCKEAKYVKGRRANRATGAGYWKATGKEKPVAVAVPAPASKGGQGQAQAVLVGMKRSLVFYRGKPPTGSKTDWVMHEYRLAGAGLAPCRRAAVQPDGGDAAAANADVSRPAEGWVLCRVFRKKGSASANAAAAAPASPADEGSDGEAEEGGGRAFIDFFARADARQRQRRASSPVVSSSCLTDASHEQHGREQETTSRGRGGALP >KQL17141 pep chromosome:Setaria_italica_v2.0:III:48505771:48507051:-1 gene:SETIT_022130mg transcript:KQL17141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSVQSPYLPATTESISKAQEAKDASESISILYRVLEDPSSSADALRVKELAITNLTNYLTKENRAEDLRNLLTQLRPFFAVIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRTEKRTFLRQRVEARLAALLLENQDYTEALTLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPSQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNSLEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDTKTEEIFPATLETITNVGKVVDSLYMRSAKIMA >KQL17140 pep chromosome:Setaria_italica_v2.0:III:48505379:48508300:-1 gene:SETIT_022130mg transcript:KQL17140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSVQSPYLPATTESISKAQEAKDASESISILYRVLEDPSSSADALRVKELAITNLTNYLTKENRAEDLRNLLTQLRPFFAVIPKAKTAKIVRGIIDAVAKIPGTSELQISLCKEMVEWTRTEKRTFLRQRVEARLAALLLENQDYTEALTLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPSQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNSLEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIAHIAEMIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDTKTEEIFPATLETITNVGKVVDSLYMRSAKIMA >KQL15540 pep chromosome:Setaria_italica_v2.0:III:21221411:21221926:1 gene:SETIT_025646mg transcript:KQL15540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEASIPSLSAQGRPSSAPSGLSPPHRTASPPPKSERALRFVMAHGTGRTPCRW >KQL17220 pep chromosome:Setaria_italica_v2.0:III:49034662:49035416:1 gene:SETIT_024052mg transcript:KQL17220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSMMRSSGIGGTRTYRRYKGSLTTSSAKPLVPVIRREWRMRPSRASRKPQRLGSGRKEKARSACRARRWGGATVWKPWTSKNPSTEGAWRDLKRRRNARAETTRRKDAQAADARVRSAASLSRRKISSNSSSGKGGCAAAGAAAIRRAAEAAISWFDDSLNGGPRKMADLVAI >KQL13564 pep chromosome:Setaria_italica_v2.0:III:5255283:5255761:1 gene:SETIT_025519mg transcript:KQL13564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIIIPSNKILLIPMQNHEQYLQGIVNVIMAVTQVHWFRCTFCVIFRKYVTRIFV >KQL17165 pep chromosome:Setaria_italica_v2.0:III:48675920:48682059:1 gene:SETIT_021248mg transcript:KQL17165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGGQSYVSAPPAFSADGRLLLVCSGRAVSVFSTATAMLVSELEGHEGDVTAVVVVPPPAAATATPAVKLASYCWTAGLDGVLIYWDFVAAEAVRKVQVGLPVHSMVVPNICRTSKGAEVSTPVAFISVEDMSKPANEAKALRGQMRVYDLMKGRKVGLLAETRKPEKIVASSSGEFLGITNKRKLHIWNIPTKDFKPDKIRKTKLRHTKNLTTLAFHPSERTVAAGDVTGRILIWRDFGNAKFSESSKSKVDEGRDGVRGDDDADMCTTWHWHSSRVRFLKFSSDGAYLFSGGLEGVIVVWQLDTGKRRYKPRLGSPLLFFVDSPDSSISCVSCTNNQVYLLKMPNMEVMRSIAGIKLPIASTSLGGSDRSVYGFDYTNRLVAIPTEDYCIQFYDLFENTEISELQVCQRNFQPVDDITMYISLVSLSIDGSLMCTVDVKLPEDELGGLVTLKFWNHGSSAGNYFLSTVIYEPHSDAEVSAVAFRPGRNMAVSSSFGGNFKVWVQSLSSQSSNEKNCAGWRCQSVGSYKKKPMTSAAFSADGSVLAVAAESVITLWDPDNNALVGVIAETLSPVTNLSFVGTSVFLMSVCQSSRPQVTVWNVSNLCMQWSYSIYAEAACCSPDGNEFAVLALLSCPDGGASTEQDGAILLFNAENPNPVASWSVKKARGGSISFVKGDISLDANANNSRDRDTVSLVYVNGSHEYAIFDPRKSEELVISRNADKKIQADEPALIGYASIYGELRKLESKKEVSDVPFIPSDRPWETIFSGSSHVLPPLTKLCSAFLSSLLEKRSVANE >KQL16801 pep chromosome:Setaria_italica_v2.0:III:45293190:45298182:-1 gene:SETIT_021026mg transcript:KQL16801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGYTSVCSHGQLQLAHNPGLRRLGLVDGSGFAVAIELGSLSFNSVSFMLPSVKAQGISYVRLLTPPETPLFHSLDDEEYQRIGMAHRGRAQIKPISISRSSTMDSTRRSNRSSASPSRLSPSPRSCTSTVFTRTRSSNSSSRCSTPLALQPATPSWRSSTPPASKTLTPPRRSPSPASRRMSTGSSDPISNGRRRNSPVKASHRSYSLNLQGVQSSDPGFSFEAPSNLRTSLSDRPVSSSRGGSPSSFSGSDMNRRGRRQSISPTPSRRASSYNSNDRDHFGSYSKASARSSAEDDLESMQSIPISYSRSSSPAVRKNLPVMKSRTIASPKKPSKNFYPSSAPKRSFDSAVWLMDQRKAPQDKFRPLLSSVPSTTFGAVKGDDVHSSMLSHDSSFMTSSNLSSEHGVTFGPCMVNDQEQNDVVSQCEATSSSVIHEDIFMFDKLDVLNGGPNCHQCSLSTTQSGPKSPRTVKYAESTIEGLEMEKSRIAQSSCNVASSSEVGHTKMVTCTRCGKLFNEIGDDGEIDFCDECALVDEILFVDPKIQTLEEAHQPDHKIGNSKPCVAWEAPHITPDCIEGIKKSSLDGQLLNDEPQGGCLQKCPESKSTMDTTDRMLSWQHGENVSQNLKPHDIGDSPLGNKIDISSHQCSVSDCQQKEPISVTECDILRDQTANHNNEVSKCLAQSLPESIEFVSDKHTTHKVGSEHLNLKTENTRGAGISVLLLQESSSNKWPVVEGRPLAATNILCSEPYYTKDCVNALKRTIGWDSSSAASSINQGSSRQSVHFERHKSSNHYDFEKSQISSTVSCQSIASMSDMSTSNCSVSICPRRNAIVDIGFLTDNSESSASRTMICTEELDESCKCTLSSAIECWSAAKAIVNDDIDSFGDAIQNLSANTCSSDTETHNNIPLSLAPEESCIQKTEEGTSAVSQCYSVGIPEHPGDECGVDNYQMQYEAVPASNEAKRLDDGCVSVISEEDVLFSATEANTMELPDNEGLLATVEGSREQIQRCFTLEEVTDTILFCSSIAHDIAFRAATIGLEREQQSEFASAPRPTVTMVGQSIPRGDSSLKPTHRRMPRHRKLSEGGTVTETAKMEVVATDHIPVQQVHEFSRTSDSMKPPKIESKCNCAIM >KQL13380 pep chromosome:Setaria_italica_v2.0:III:4166827:4169982:-1 gene:SETIT_025363mg transcript:KQL13380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDLSRGAVAAMSRHQLAEGLRPVLQVADGPAPRLVGSSAAAARYRLVLSDGAHLQRGLLATSLNGLVADGALRRGSVVRVLDYVCSCIQNQSKLAEQYFDLIEVDKVYLISEGSLKPAQKKFNPLNNDNEIFVDHRTSIEICSIELENMGSGAIVDLVGIVMTVEPSAKIMRMDDTETQKRTLQLKDMSGRSVEIIFWGKFCDAEGQQLQLLCDLGSNPILALKGGRISDFSGKSVVTISTTQLKVNPDMPMAEMLKQWYMAGGKTAPCVSLSQDISIISRIYVQKTIAQIKDENLGYLLMCQIMDHTGTTSATAFQEAGEAIIGYTAHELFIIRNVDQDEVRFREIMDAVVWRKYLFKLTIKEETFNGEQRLKFYIDGVEKLDALDVSHHLLEEIDNLLKDVSHSAPVDASSYNPNVGAGNLGAEQGMQTSNDAHGYTAGSVVMFSASRYVMGTSMGYML >KQL17056 pep chromosome:Setaria_italica_v2.0:III:47858233:47862884:1 gene:SETIT_023163mg transcript:KQL17056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQCLDGVRQLLAVLLKCCDVELKQPRGLEDPQVLARETVFSVSEVEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFRLYDLKQQGYIERQEVKQMVVATLAESGMNLSDEIVESIIDKTFEEADTKHDGRIDKEEWRNLVVRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDN >KQL14623 pep chromosome:Setaria_italica_v2.0:III:12453635:12454273:1 gene:SETIT_025673mg transcript:KQL14623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAPLYHNLRFSVIYTRILAIVMLTPASCLIFFLNITDEHAPVHCLLLYPYLYKLFMLSNIGPIARVMHAVFF >KQL13536 pep chromosome:Setaria_italica_v2.0:III:5079874:5081872:1 gene:SETIT_025232mg transcript:KQL13536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFVYNGFANANLSFEGEASIDERGRLGLTTGLDIVGVGHAFYRYPISFRKTPSDPAMHSFTTSFLFEMTSLYEHYGSTPGSDGVAFVISSTNKFLNDSLLPGPYLGLFNMSNRSSASRNILAIELGTIMNPKLNDIDDNHVAININSLISVNYHTAGFYTPDGGFQSVRLNMEQVFQLWVDYDGKAQQLNVTLGFPGSPKPKYPLLSNTLNLSSLLPSELFVGFSASTSTLSTRHFILGWSFKTNGEASQLNYSAFQAAGNTKESDWEMNCGPPSFTYKDLVSATGGFKDKMLLGKGGFGRVYKGFLPASKQNVAIKRISPESKQGTKEFASEVTILGHVRHRSLVRWSQRFCIIKSVASGLFYLHEEWEHVVIHRDIKSSNVLLDDAMNGRLGDFGLARLHDHGVDAHTTRIAGTFGYIAPELARLGKATKAADVFAFGVFMREVTCGRRPVEVNACGEPQVLADHVINAWQDGSIMDSRDPRLEDCVAQEVEMVLKLGLLCSHPSPKVRPGTRLVMRYLENVATLPDFPLNFFNADPANDEVYDQFVASVAAATISLSGGR >KQL17111 pep chromosome:Setaria_italica_v2.0:III:48354732:48355721:-1 gene:SETIT_024623mg transcript:KQL17111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAGGARRAGGGGGDLPLRPPEPPRDPLEFLSRSWSASAADVSRALAAAPAPALVPIAGAGAAIDEDVAGELDCDGSGACGGGGPASGSSFSFASAATSQLIMDRIMAQSQEVSPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDEAKVCFPSPPPVPLHVVTASTITGCHIN >KQL14417 pep chromosome:Setaria_italica_v2.0:III:11121994:11124412:-1 gene:SETIT_021839mg transcript:KQL14417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQFLSKLPRKSSASGDSGQCSNGTGIQRTSSLGSIPPSRPASAIRRMSSAVFPSSVVAGIEPLVSFKDVPNSEKQNLFVSKLSLCCVVFDFSDPNKSSVEKDIKRQALLDLIEFVESSNARFSEASIAACARMCAINLFRAFPPNYRSGSCGGEGDEDEPMFDPAWCHLQLVYELLLKFIGSSSLDAKVGKKHFDHSFIVKLLNLLDSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYQFVFETDRHNGIAELLEVFGSVISGFALPLKEEHKIFLWRVLIPLHKPKSVGLYLQQLTYCVTQFLEKDPKLASSVIIGLLRYWPITNCQKEVMFLSEIEEILESTSQAEFQKCMVPLFRRIAHCITSSHFQVAERALFVWNNDHIISLIAQNRQVIMPLVVPALEQNIQNHWNQAVLNLTLNVKKMFSEMDEDLFSSCLAKYKEDEEKRVSFEVKRKLTWEKLESAAAFQPVTGHTAVLVGHQPSANMTATLI >KQL15705 pep chromosome:Setaria_italica_v2.0:III:22779101:22781303:-1 gene:SETIT_021848mg transcript:KQL15705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGAASSIFSSTPPRRLPFPPAPARKPLAAAAPKALTLSSQKLLRLPCPPASCAPAPPPPPPEGTEKPDPIKLAFARAAAYKKERDSPSPSPAPPPTPTPPSPPSPPSQPQASAGESGSKEAFKRALEYRNGNGTGARAGGGEAPLLGGSPDFGQNALLSEDVTLGKKGEYEFDETDFLGLDFFEKKRYKGPPPGLAPAFEPLTDNDFPEVEIIIGDRSKFEKSRGSTGIQPADDRESEDSQSTSETNEADKVDKAPPSTVIEPEEDEDVYRPTVRSWGMFPRPQNISKAYGGGRNIRLGGETQSAEEKAAKDKRTKELIAAYRNRQNMVVDAKTKAECIEVLIEI >KQL15704 pep chromosome:Setaria_italica_v2.0:III:22775775:22781303:-1 gene:SETIT_021848mg transcript:KQL15704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGAASSIFSSTPPRRLPFPPAPARKPLAAAAPKALTLSSQKLLRLPCPPASCAPAPPPPPPEGTEKPDPIKLAFARAAAYKKERDSPSPSPAPPPTPTPPSPPSPPSQPQASAGESGSKEAFKRALEYRNGNGTGARAGGGEAPLLGGSPDFGQNALLSEDVTLGKKGEYEFDETDFLGLDFFEKKRYKGPPPGLAPAFEPLTDNDFPEVEIIIGDRSKFEKSRGSTGIQPADDRESEDSQSTSETNEADKVDKAPPSTVIEPEEDEDVYRPTVRSWGMFPRPQNISKAYGGGRNIRLGGETQSAEEKAAKDKRTKELIAAYRNRQNMVVDAKTKAECIEALREGDEMMNTGRLKQALPYYEKVMDAVDFKTELHGRAALQWSICLDSLCRSKEAMSMYSKLKNHPNSEISKKANMFVFSFQAMDFMKVNSTPVPKSTGYETYFTKFSGQKNYYASLDEPEVGFDQIIPYMLFLVSPIFIVAFAALRKSFQL >KQL15703 pep chromosome:Setaria_italica_v2.0:III:22776801:22781303:-1 gene:SETIT_021848mg transcript:KQL15703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGAASSIFSSTPPRRLPFPPAPARKPLAAAAPKALTLSSQKLLRLPCPPASCAPAPPPPPPEGTEKPDPIKLAFARAAAYKKERDSPSPSPAPPPTPTPPSPPSPPSQPQASAGESGSKEAFKRALEYRNGNGTGARAGGGEAPLLGGSPDFGQNALLSEDVTLGKKGEYEFDETDFLGLDFFEKKRYKGPPPGLAPAFEPLTDNDFPEVEIIIGDRSKFEKSRGSTGIQPADDRESEDSQSTSETNEADKVDKAPPSTVIEPEEDEDVYRPTVRSWGMFPRPQNISKAYGGGRNIRLGGETQSAEEKAAKDKRTKELIAAYRNRQNMVVDAKTKAECIEALREGDEMMNTGRLKQALPYYEKVMDAVDFKLSAD >KQL14165 pep chromosome:Setaria_italica_v2.0:III:9280913:9288355:-1 gene:SETIT_020977mg transcript:KQL14165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAARRERRHHRKAAAAAAGGGGGAGGVGGGAAAAAATRAAYGDVFGGPPRFAAPFGAAPLDYAEVFGGVAATCSIPYLDLPPSAAAVGGDGGFFACRGKGDYGEIFGRFDFADFALPYEDLFGGPGAEPEEKEPEIASPSSGSSRSSIKKDFSQLEDEPPALPQHYQILDHHHHFKDHKFSPISFSPETESQQFVMSYNKTTERRPDDLIEMPTCTVEPSMDFVIDSRNLSHGPATNHVSRIDNGTMANDDSDKNPSSVSASVRSPESDFIVDQKQPSASWTPISGNVSANENHKTSDSHSTRSTVTPDYAFLRGSDTDAQAQSVKVQPLLRQEPKLINKRESAAKGGINLVNHSHTPTAAAHNSSSSNMPHADKKAGANPTSASAAMKEAMDFAEARLKAAKELLEIKGDSFKLRKKPSHHRSTRSTEIKAPVSVEVDTSEQILSVKKSSEEEKNHDDSLSDKHKKLSVDRFYHFDDNGKKVFPLEKPQKMMQRSTESCQTSSKLEKLGKWKSGNDFFELTGDDQKCKTDEARREDDKCEQMNPITSVINDHSDTELSAADSDLARYEKLWEVNDGRNLGVKHVDLREGKTVPVDKDRASVILEASMENMAHQETNNSISEGLVTPEVAKESHDTDECLELPSTSDASTKLDVIKDMRGSLPEPCSSGNASDFRDLGNSIPKVSPVAGTSQDTNSKLVLEVPCNGGMQCTSGSNEKLQEPSDVPNAAVSQGSNIKSLILEELKESDVCDTFPRPRPSKIEQEAETYGREKFSFIDGSLLHNKGAKINEVLFEEVDKVEIEEKVSPYTHPEETVVDLDAECPEDENDIILQNDNLADREESNMLNVFEVASNLIKRELDQEMHGSMGHGEAENVEEGMDGLVSDVNDKEADETPLENTDKTGSEEGSDPCNREDQKSPESTNRGQSDVDAKCDTTCDEVGSESFSGDEVAIKAASDSATRTTINSKDEQAPSSEMYTRMQHSMQKDDSATSQTSCTVPSLGETGEISNSGERELPTERSTCEEKSRASKMEEKDTAARISKAEHGPSPLETTHASPASAETWKKDSLGVQRAKERENITRADSAFEKDNWSSRKTQEAKESERRLQKERELAEEKERRKLEEEERERERKKDRLAVERATREAHERAFAEAREMAEKMALERITAARQRASAEAREKEERASAEAAAERAAREARIKAERAAVERATAEARERAIEKAKAEKALAEARERRERYRSSFKEGFKSNRDIRQESQYQRAASSNFSRNPDSVNRVVEVESALRHKARLERHQRTAERVTKALAEKNMRDVLAQREQAEKHRLSEFLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTDLITAVAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >KQL14164 pep chromosome:Setaria_italica_v2.0:III:9281111:9288355:-1 gene:SETIT_020977mg transcript:KQL14164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAARRERRHHRKAAAAAAGGGGGAGGVGGGAAAAAATRAAYGDVFGGPPRFAAPFGAAPLDYAEVFGGVAATCSIPYLDLPPSAAAVGGDGGFFACRGKGDYGEIFGRFDFADFALPYEDLFGGPGAEPEEKEPEIASPSSGSSRSSIKKDFSQLEDEPPALPQHYQILDHHHHFKDHKFSPISFSPETESQQFVMSYNKTTERRPDDLIEMPTCTVEPSMDFVIDSRNLSHGPATNHVSRIDNGTMANDDSDKNPSSVSASVRSPESDFIVDQKQPSASWTPISGNVSANENHKTSDSHSTRSTVTPDYAFLRGSDTDAQAQSVKVQPLLRQEPKLINKRESAAKGGINLVNHSHTPTAAAHNSSSSNMPHADKKAGANPTSASAAMKEAMDFAEARLKAAKELLEIKGDSFKLRKKPSHHRSTRSTEIKAPVSVEVDTSEQILSVKKSSEEEKNHDDSLSDKHKKLSVDRFYHFDDNGKKVFPLEKPQKMMQRSTESCQTSSKLEKLGKWKSGNDFFELTGDDQKCKTDEARREDDKCEQMNPITSVINDHSDTELSAADSDLARYEKLWEVNDGRNLGVKHVDLREGKTVPVDKDRASVILEASMENMAHQETNNSISEGLVTPEVAKESHDTDECLELPSTSDASTKLDVIKDMRGSLPEPCSSGNASDFRDLGNSIPKVSPVAGTSQDTNSKLVLEVPCNGGMQCTSGSNEKLQEPSDVPNAAVSQGSNIKSLILEELKESDVCDTFPRPRPSKIEQEAETYGREKFSFIDGSLLHNKGAKINEVLFEEVDKVEIEEKVSPYTHPEETVVDLDAECPEDENDIILQNDNLADREESNMLNVFEVASNLIKRELDQEMHGSMGHGEAENVEEGMDGLVSDVNDKEADETPLENTDKTGSEEGSDPCNREDQKSPESTNRGQSDVDAKCDTTCDEVGSESFSGDEVAIKAASDSATRTTINSKDEQAPSSEMYTRMQHSMQKDDSATSQTSCTVPSLGETGEISNSGERELPTERSTCEEKSRASKMEEKDTAARISKAEHGPSPLETTHASPASAETWKKDSLGVQRAKERENITRADSAFEKDNWSSRKTQEAKESERRLQKERELAEEKERRKLEEEERERERKKDRLAVERATREAHERAFAEAREMAEKMALERITAARQRASAEAREKEERASAEAAAERAAREARIKAERAAVERATAEARERAIEKAKAEKALAEARERRERYRSSFKEGFKSNRDIRQESQYQRAASSNFSRNPDSVNRAVVEVESALRHKARLERHQRTAERVTKALAEKNMRDVLAQREQAEKHRLSEFLDPEIKRWSNGKEGNLRALLSTLQYILGADSGWQPVPLTDLITAVAVKKAYRKATLCVHPDKLQQRGATIRQKYICEKVFDLLKDAWNKFTSEER >KQL16371 pep chromosome:Setaria_italica_v2.0:III:38639385:38640374:1 gene:SETIT_024688mg transcript:KQL16371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWRTISAVTLVLLHFMLPQHGSECSNTLFSMAKDLSQEFGAHIAVVAFSPTAELKAYGAPTADFILRTYLPEIHSSPSPACFETVGEATTRVDRMKREAEETAFLAEAEMILVAQTSAGKQNWWEMDMEALGVDEPDVRQGVGGAKGQR >KQL15520 pep chromosome:Setaria_italica_v2.0:III:21119481:21121016:1 gene:SETIT_025038mg transcript:KQL15520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRPARSLLPLLRRRGLHESTTRWSFTSVSVPHDAISSTSFPWPPHSLPPTLPPPDPAGTRWSASWSPASPPLSPFTAAQLRAAVSSIAASLLALPGPDPDPAPDLHAHSFPTLLAVSPLASLELLSLLRPKPLLGLAVFSFRRALSPAPALGEFALAISLASRARDPDAAASLFADATAAHSPDQALYNALMAAYMHNGLLDNCLMTFRALESDRRCGPPNVDSYNILISLFGRSLLVDHMEATLGSLDASGHPRTIGTYNAIIAGYVTAWMSDKMEAVFQEMLSGHVAPDATTHLLMLRGYGHAGMIYKMEQAYEHAYKYAGKVDIVHIRTMLCAYCKFDHVDRIQKIEELLQRLGPDDYRPWLHVLLIRVYAQEGLVEGMELRIAEALEHNVIVTTAKVMRSVISSYFQCDAVDKLAHFVRQAEEAGWKLCRSLYHCKMVMYGKHNRLEEMHRVLDEMECSKFGRTKKTFWIMYKAYVSCGRRTEANTILGMIWKHGFGLPRSVSVQ >KQL15113 pep chromosome:Setaria_italica_v2.0:III:17141952:17142717:1 gene:SETIT_024737mg transcript:KQL15113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQSTQLGLATALFGVLSFVLAVLAELKKPPYGTPVQGRDVVVCRFPSDPTVALGALSALAAACSAALGALAVFFPYGGRHVPRKVLLGHTPLYVFLHIAVGVTVSGAGLTVWATASEAVHHVRNVHRDPAYACPTAESGVLGGAAFLNLDAMLFWIVCLMLVCNVREDYFDEHGGDGGGDGGGVEEK >KQL15148 pep chromosome:Setaria_italica_v2.0:III:17427899:17428721:1 gene:SETIT_023334mg transcript:KQL15148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKLISLGFIVLMSMGLANAVRVARYSSADGTGTGGGGGGGYVNGAGSGSGSGTGAGESGSNGVHATAGGGGGGGGTSQYGGSGYGGGSGSGSGSGTYSQGPYSGYGESSNAGGSGGGGGGGQAGGHWGSSAQGSGSGTGSGSSYSNRYWYGPSYAGANANGNGGGTGSSQNGGGGGGSGAGSGYGNANP >KQL13142 pep chromosome:Setaria_italica_v2.0:III:2820174:2826077:1 gene:SETIT_022408mg transcript:KQL13142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGAVAVAAPDRAKQRRGGHLWKKALLHFSLCFVMGFFTGFAPSSSSSWKAATQHLPHRAGDQLAASRVAVDARVNMVPSPPDAAGEVGLAGAAAAGGGAMVDVGDDDEEAGPRRLLIVVTTTRSGAGERRRRRAELLRLAHTLRLVRPPVVWVVVEPAADAPATAEVLRGTGVMYRHIAFKPEENFTTAAAEAHAQRNAALAHVEKHRLAGVLHFADAAGVYDTGFFDQIRQIEAFGTWPVATMSAGEKKVVVEGPLCSASMVVGWFSRDFNDGTTRAVTYNTEADSNPAGAAGTRAHTIDVSGFAFNSSILWDPERWGRPTSLPDTSQDSIKFVQEVVLEDRAKLKGIPSDCSQIMVWQYSVPSSQ >KQL14256 pep chromosome:Setaria_italica_v2.0:III:9907675:9908847:-1 gene:SETIT_024666mg transcript:KQL14256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRSWADLPADLVAEIARRVPCLTDRVRAARACRTWLEGALKDPCPLKIPWLLLPADALPPDAIRRASFFCVLCNRAHRVAVPNDMGGARFFGAYPGGWLFLAYGQSCRHGLINLRTHESLYLPDNAVTFDESASNRNHPMLIRAATLSAPPAPLEGCVAAAIVTDLTPDYFFDPVHITLWRMGSRLGSTMFQFDAEDVIYHNGAFHFLSQHGDLLICRPEFQDEAPLERLQVRREYHPMVGVGFVLNAGACYLVECRGELLMVMRVQPHQDVTSQFKVYRMTQDQAQDADQAFYRWTELPALDGRMLFIGRGCSRSFEAAHFPGSQEGIYFFDDGNFYSAPIICVGDKPPQYGCCKNGVWSGPPDHVRYWFPEQRPSTYSSPVWFLH >KQL13571 pep chromosome:Setaria_italica_v2.0:III:5303144:5303893:1 gene:SETIT_025211mg transcript:KQL13571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSVHSTSSGTVGGVSKDAAADGDVITANQGGCIQPSSFFPRILQPRRSRKPSKSELGLGSGPPAVEFNAEPTDARSMSFVGTHEYLAPEIIRGEGHGSAVDWWTLGIFLYELIHGCTPFKGSGNRATLCNVIEQPLRFPSDAVGGGPAASSVAKDLIRGLLVKEPQKRIAFTRGATEIKQHPFFEGVNWALVRSMTPPSVPEPVDFRQYGSTKEKTKAAETAAVEAAPPAGPVAKPNSGDSYTDFEYF >KQL14333 pep chromosome:Setaria_italica_v2.0:III:10625925:10628346:1 gene:SETIT_022498mg transcript:KQL14333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDYYKILGVDKGATDDDLKKAYRKLAMKWHPDKNPNNKKEAENKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGATFFSTGGDGPNVFRFNPRNAEDIFAEFFGGSSPFGGMGGGGMPGMRTGGTRFSSSIFGDDIFGSAFGGGPDGHGMHTGGRAVKAPAIERKLPCSLEELYKGTTKKMKISREIADASGKTIPVEEILTIDVKPGWKKGTKITFPEKGNETPNTIPADLVFIIDEKPHPVFTRDGNDLVVTQKIPLAEALTGYTAHLTTLDGRSLTVPISSVIHPGYEEVVRGEGMPIPKDPSRKGNLRIKFDIKFPSRLTADQKSGVKRLLGQ >KQL14538 pep chromosome:Setaria_italica_v2.0:III:11859210:11861130:1 gene:SETIT_023556mg transcript:KQL14538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPGDLIWQIVRKNNSFLVKQFGNGHAKVQFTKEPNNLYNVHSYKYSGLANKKTVTIQPAAGKESAVVLSTTKTKKQNTPAKLNHKSVMRKEFRKMAKAVKNQVSDNYYRPDLTKPALARLSSVYRSLQVAKSGVKKKNRQPKH >KQL16055 pep chromosome:Setaria_italica_v2.0:III:27769321:27771347:-1 gene:SETIT_024854mg transcript:KQL16055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLAAGDPHPRTTRKPSSGFTSAAARLLLRHGGGREVANGESIEFFSALRKCLPDPQISGQNAAQGTAQPADGKGKARRGGSGGSADDVLSLTSGIGKHDYDWLLTPPGTPLWSPATSTSGDHQVSSAVPRRLAKAGSASYGKSNSRFTRDKVLRVFVILPLIQVSPTGIGEKETPKASRLSNCSSATSVNNAVPSSGRPLRIRISSASSINTASNASVSSTPLWSGGSSPRTPGTAGSPAAATAIAQTRRRDKPRLATSYVVVQSMAPASKPKSGAPSPTCTRARPAPGVSSPRSTASTSSRQPSLTRRADVATARSRLASQSGGTGSTPQPRDAHQTSRGRASGVASSRNGVRSSRQVTVAVEQGGVAAATPASTTTQRWRRSLAPAIAAARNVRRGNALDNDSPVYSAGQKINDDKARPHRTAAAASMGSGLTRTGSRKSANTAIVKRAVNENEDCRRRQDARHGGAGGAPDHRKPALLQGTRRSVTTRSRLGLVAAATSKSGWIASGHQHEAPGAAVAKVAGVDAFPSMRYDAMLLREDPKNLTWLRGCDEEGDGGSVGGIDLVDSSLELFDVATGLSRTAVRI >KQL12831 pep chromosome:Setaria_italica_v2.0:III:1085982:1086487:-1 gene:SETIT_023977mg transcript:KQL12831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVSVYHRQCKQRPKALLPSYFRSMQALNKQGTCYLSIDFFFCSVSSQIQLMPLY >KQL14737 pep chromosome:Setaria_italica_v2.0:III:13442651:13443986:1 gene:SETIT_022422mg transcript:KQL14737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKEQHSSSADQQAVRLDAQVQLWHHTFGYVKSMALKTALDLHIPDAIHQHGGSATLPQIVTEVTLHPSKIPCLRRLMRVLTVTGVFSVQHHSADGGGDELLYGLTPASRLLVGSALNVSPFLTLMLDTLFVSPFLGLREWFQHEMPNPSPFKMANGRDLWDLNDHDASFGKLFDRGMVADSDFIMDIVVRECGNVFQGISSLVDVAGGLGGATQAIAKAFPHVECSVLELSRVVARAPTGTDVKYIAGDMFESIPSANAVFLKWVMHDWGDADCVKILKNCKKAIPSKERGGKVIILDIMVGAGSSSDQKHVETQVLFDLFIMFVNGAERDEQEWKNIIFEAGFSDYKIIPVLGVRSIIEAYP >KQL14738 pep chromosome:Setaria_italica_v2.0:III:13442776:13443986:1 gene:SETIT_022422mg transcript:KQL14738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTALDLHIPDAIHQHGGSATLPQIVTEVTLHPSKIPCLRRLMRVLTVTGVFSVQHHSADGGGDELLYGLTPASRLLVGSALNVSPFLTLMLDTLFVSPFLGLREWFQHEMPNPSPFKMANGRDLWDLNDHDASFGKLFDRGMVADSDFIMDIVVRECGNVFQGISSLVDVAGGLGGATQAIAKAFPHVECSVLELSRVVARAPTGTDVKYIAGDMFESIPSANAVFLKVHGHTNAGSCMTGVMPTVSRF >KQL13308 pep chromosome:Setaria_italica_v2.0:III:3723074:3723912:-1 gene:SETIT_023546mg transcript:KQL13308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVKIGPWGGSGGDPRDDIVAAGVAPHRLQSVVIRCQGAVDAISFTYAGVDGAPRMAGPWGGSGGQKHKVKFGAGEFVKEISGTYGPFGGHTVVRSLTFVTNVGKHGPFGNPGQTPFSVPVQDDARVVGFFGRSGSLLDAVGVYVHP >KQL16085 pep chromosome:Setaria_italica_v2.0:III:28695330:28700554:1 gene:SETIT_023090mg transcript:KQL16085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANADPSGSLGGPHRRLSSPVPAPAPLPLPQHQHGVAANTVAALRHDPGLAARWSPEEQVLLDKGLAKFVADAPVVRYAKIAMTLPDKTVRDVALRCRWMAKKECSKKRKEELSKKSKEKKERVGDSSSKGPAHIVSRPNAPSYTVPVLPIDDDDVSYKAIGGPTGQLLEHNAQILNQIHSNISNMQVQDNLSLLGQTRDNILTVLKEVNDVPEIMRQMPPLPVKMNEDLANLILLRPPGT >KQL13116 pep chromosome:Setaria_italica_v2.0:III:2678922:2684362:1 gene:SETIT_020983mg transcript:KQL13116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVRTGPGVRLPRLEGGGEDSPEATEDEEEESRATPSQESGADGFTGGEEEEENGGGGEEPEEVEEELGGDDELELEEEGGDSGMGSDELEVTELGEPGAEMCQVGDQSFAVPLELYDLASLRDVLSLDAWNTLLSEDERLSLAALLPDMDLETFSRTLVELLGGQNFHFGSPLAALFERLKGGLCDPRINLYRRGTRFAERRKHYYWLQSYHNSMVRGLREIKDCWKGREGYSLDERLRMLDTLKAQQQQRKALASARRAASETDSESRESGEKVLNQLKLDKIGQKKSGKLVKERSKGLLRVCMPKGVDEEYGGGSGRHSAMSLAELSHRDNAYGYDSSGHRGKLHRSIDGLYSEELGYEQDSSRTRLPRLLPKPVKKKELTMSYDGNLYGNNYHDDNTASPYYYGRNPSANQGVTLAAAYDPPYFETRRNARYSERDWVQGGKGAQGKALTGDEMHWTAGTHTGHLDDWQKGKLAGDYRSRKDQAGHGLKVKSYKSIEQQANDTRVGSDPRSKISQVKMAGKPSSQFDRIGQKHSRGNAVYSQSEETESDSSEQFEGGGDVHFLERKPEHHHSGFHRPAHGAKKSKKLAKVVKTSYPTADADLEPSRSNGFKGKVSETGYLRDVDVKMTEQISDVMKPPSASGERKRKGMANLETHVHDNSEVHEINENANDPFRLTESERLASRSDHAVQDSNGDFGGTERVSGSSGSKKTKGRVEVPSQDEQSEHVPSGSKMTENIGGSKKKSKKKPESTTDAVTVAEPAADVPENNVVAVEPEKLEKPEKIEKPKKKYVPISPTIHTGFSFSVVHLLTAVKKAMVSPAEDTPAVAKQPDGEEGKKWFNNEENSKTPQEQNTTEQTQQVLEGADASAAEQAAQSNSPALTVQEIVNRIRSNPGDPRILETQEPLQDLVRGVLKVLSSRTAPLGAKGWKALVAYEKANKSWFWVGPIPSVSSYDDPDEETSAEAWCIPHKMLVKLVDAFSNWLKSGQETLKQIGSLPPPPPPNPANLDLKERFKELRAQKSLNTISPSSDEARAYFQREEFLRYSIPDRAFCYTAADGEKSIVAPLRRGGGKPTAKARGHPMLLPDRPPHVTILCLVRDAASRLPARTGTRADVCTLLRDSQYLNHEEANKEAAINQVVSGALDRLHYERDPCVLYDNDKKLWTYLHRGREEEDFEDDGTSSTKKWKRPRKDPSDSAEPGAANDDFDDDGTGTPSANNAKKQKTDHGDPSVSGEANDEGDHATQNPSFGGMEGDPDLNAVPSTKNYEESGGVVYVDARPNDGGSDSVDAKPGSRADDNPEQNKINTALPENTSSMDALLHE >KQL13855 pep chromosome:Setaria_italica_v2.0:III:7247983:7248937:-1 gene:SETIT_024113mg transcript:KQL13855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSEVTVEGIVFPPVARPPGSALTHFLAGGGVRGMEAEGNFVKIAAIGVYLEDAAVAALAGKWAGKSAGELASDPAFFRDVYTGEFEKFTRVTFIWPKAVAAEEFAGKVMESRVAYLEAAGAYTDAEGAAVEEFKAAFKNLSLAPGASVLFTHSPAGVLTVAFSDDSSVPEASIAAIDNKALCEAVLESIIGERSVSPATKQSIATRVPEILKGGA >KQL15109 pep chromosome:Setaria_italica_v2.0:III:17059508:17061262:1 gene:SETIT_023473mg transcript:KQL15109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRALDSYSSVKDVAYSCGYCGYALNLSSSTRNTANIGSKYGKQIRKGVVSFFAIDENRFTQTDEVSCMPYFHSSRSWGFFRNRTRLLCRKCSGHIGNAYEDEDFTLCEASDDLDMSSKSSSTSTRKKYVIKINALQPSSDDDSGALFSRDGNLNSELQPCVPCHVN >KQL13607 pep chromosome:Setaria_italica_v2.0:III:5545226:5545660:-1 gene:SETIT_025548mg transcript:KQL13607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCGHHTNVEDGGSTTSTRIGKQSRNNQS >KQL14707 pep chromosome:Setaria_italica_v2.0:III:13042700:13043821:-1 gene:SETIT_024065mg transcript:KQL14707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPWRRAAACLLLVLLLGTLSCHHVSTARPAPAAAAAGLHRHPNGAAWRSSKHPRDAGRGSRVAGLAELKSNLARFGYMDPRAGPHDDAFDGRTEAAVKRYQSRLGLPVTGRLDAATLGRITSPRCVVGDGRVSVPMSDSKTSRFTFLDGEPRWTGPPGHLVLTYSISPTAVGYLPPEAVRAAFRSAFARWAEVIPVEFVETDVYYNRQADIRVSFFEGDHGDGAPFDGEEGVVAHAYGPMDGRVHFDAAERWTVDVGSEAAGSSTMDLESVATHEIGHILGLGHSSSPEAVMYPYIDAGERKVELSVDDIDGVQLLYGSNPLFSRHEQHAPAPPRRSDPSPSPSASSPGRGSRLAGSVSFVGVVLVMLVTHM >KQL14219 pep chromosome:Setaria_italica_v2.0:III:9644821:9645084:-1 gene:SETIT_024902mg transcript:KQL14219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTEDEKAKPVTVKIIETVYVEADTADDFKSVVQRLTGKDAIAEPEEEESGRPAASQDAQSRIGQGHGSRGDHHKATGASGTRQNG >KQL17454 pep chromosome:Setaria_italica_v2.0:III:50527540:50532021:1 gene:SETIT_021375mg transcript:KQL17454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRPSAAICALLLSLLPACLAFPLCTDAKAPVLLNTTLKFCASAPANSSSCCDAAADAALSAQFDAMKVSDAACAALLKSILCAKCSPYSADLFDAGPKIRTIPFLCNSTSSATSAQSKETTQDYCKLVWETCKDVKITNSPFQPPLQGSAPPPSSSSKLTDAWQSENDFCTSFGGAPSDQSVCFSGNTVSFNATQPSPAPKGICLERIGDGSYLNMAPHPDGSNRVFLGSQAGKIWLATVPEQGSGGSLQFEEASPFADLTDQVHFDSAFGLMGMAFHPDFATNGRFFASYNCDRTKSPSCTGRCSCNSDVGCDPTKLGTDNGAQPCQYQVVVSEYSAKGSSANVSEATSADPSEVRRIFTMGLPYTSQHGGQVLFGPDGYLYLMMGDGGGKGDPFNFAQNKKSLLGKIMRLDIDNTPRASEISNTSLWGNYSIPKDNPYADDSELQPEIWALGLRNPWRCSFDSERPSYFYCGDVGQDQYEEVDLISKGGNYGWRALEGPLVYHPAWAPGGNTSLDSINAIPPIMGYSHSDVNKNIGSASIMGGYVYRGSADPCLYGRYLYGDLYASAMWTGTETPESSGNYTSNLIPFSCSKDSPIPCDTAAGSPLPSLGYVYSFGEDNSKDIYVLASKGVYRVVRPSLCSYTCPTEKPATKNGTTPAGPSSKAPATALGNQMGVLLLSIIMFWVLMR >KQL16103 pep chromosome:Setaria_italica_v2.0:III:29381680:29383060:-1 gene:SETIT_025279mg transcript:KQL16103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTLYNPTVGANIISGSYALTFLGDKLLAPTDKSFRSSSGDLLEQFGVLQNMSIGHRGTDAILDFHAFEVQDFDILIRHPIQNFLLAALTLGKLNVQLGKDSPKPTATEEEWLKEVKRSSKAIRISSPPTTISCSIRGTTLDALDDSTAEACIMFEFLTDTFLGNMPLVPTNRLLKGLSRLIFECSGEGPCLSR >KQL15303 pep chromosome:Setaria_italica_v2.0:III:18780366:18784090:1 gene:SETIT_022310mg transcript:KQL15303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAAEAQRTDLMTITRHVLNEQSRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVIQPGTNMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPKKGKIYSVNEGNAKNWDAPTAKYVEKCKFPQDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRYIKADNLSLTISRTVVQMLITIVIIVIKNAYKYTNPELGSMWNNSVLYEVFPMSFLMEQAGGQAFTGKQRALELAPTKLHERSPIFLGSYDDVEEIKALYASESSTV >KQL15304 pep chromosome:Setaria_italica_v2.0:III:18780366:18784090:1 gene:SETIT_022310mg transcript:KQL15304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAAEAQRTDLMTITRHVLNEQSRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVIQPGTNMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPKKGKIYSVNEGNAKNWDAPTAKYVEKCKFPQDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALELAPTKLHERSPIFLGSYDDVEEIKALYASESSTV >KQL15302 pep chromosome:Setaria_italica_v2.0:III:18781060:18783562:1 gene:SETIT_022310mg transcript:KQL15302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAAEAQRTDLMTITRHVLNEQSRHPESRGDFTILLSHIVLGCKFVASAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEENEEAIFVDPALRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLDDVIQPGTNMLAAGYCMYGSSCTLVLSTGNGVNGFTLDPSLGEFILTHPNIKIPKKGKIYSVNEGNAKNWDAPTAKYVEKCKFPQDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADQKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRVCLRSFFSDPKFHLKNIDATNSTSSCDFGAF >KQL14896 pep chromosome:Setaria_italica_v2.0:III:14689980:14698965:-1 gene:SETIT_020956mg transcript:KQL14896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTVLSDDEEEELEEVDEEDQRPSRRDRDDGEDDEDEEDDEEGQDEFEKDGFIVDDEEDEDEEEEQRSDDERRKKKRKKRKDSEDFMLDEDDYMLLQDNNITGISRPKPGNKFKRLKKAGRESEMDERGLSDDDGTGKKRTGKDRVEYSLFGDAQDAAPIEEDFIEDDQPVDDNDVDDDDDEMADFIVEEDEIDGNGQVVRRKKVKKKVPRQAAGVSSSALQEAQDIFGDVDDLLARRKQEIEREAANSGELRGKRLEDEFEPFILAEKYMTTKDEQIKENDVPERIQLSEELTGYPPTDTTRTDEESLWIHNQLTGDGFLSFYGNEHMNKDIDQKDIVNVLNMLHINKFEIPFIAMYRKEMCPSLLSDLDSNEQANEHDKRTMRWHKLLWAVQTLDRKWLLLQKRKVALEMYYEKRFDDEKRRIDDVTRQELNQQLYDSIIEALKDAKSEKEVEDVDAKFNLHFPPGEVEEEGQFKRPKRKSLYSICHKAGLWEVASQFGRSAEQLGHHLTLTKIPEAGELDSGKGSPEEVAANFTCAMFETAQDVLRGARHMAAVEIGCEPIIRKHIRGIFMKKAVVSTSPTHEGNTIIDPYHQLSGVKWLREKPLSKFVDAQWLLIQKAEEEKLLKVTVKLPENAKKELMSDARENYLSDCVSKTAQLWDEQRKMILDDAFFNFLLPSMEKEARSLLTAKAKNWLHMEYGKQLWNKVTVAPWKKKDADKKDADIDLDDESELRVMACCWGPGKPATTFVMLDSSGELVDVLYAGSISNRSQGVAEQQRKKNDQQRVLKFMTDHQPHVVCVGASNYNCRQLKDDIYEVIFKIVEDHPRDVNPQMENFSIVYGDESVPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMIATLCGPGKEILSWKLHALEQFLTPDEKYDVVEQVMVDATNQIGFDVNLAASHEWHFSTLQFIAGMGPRKASALQKDLVREGSIFSRKELVKPLGRKVFMNASGFLRVRRSGAAAASAQIIDLLEDTRIHPESYVIAKNLAKDVYAESAPHDVNEMDDDEQEMAIEHVRENQGLLKGLDIDEYIKSISEEFRKKETLKDIKHELLSGFSDWRTPYTEPSPDEEFWMLSGETEDTISEGRIVQVTVRNIQENKIICTFDSGLKAIVMADNYSDQGFDPESSQLHEGDVLTGKIRNVNKNRFMVYLTCKASEMRRRPFSRGDQDPYYHEQDMTSQTVEDKARKQKELAKKHFKPRMIVHPHFQNLTAEEAMQFLSDKEPGEKVIRPSSRGPSFLTLTLKIFDGVYAHKEITEGGKDHKDITSLLRLGKTLTIDNETFEDLDEVIDRYVDPLVGHLKSMLSYRKFRKGLKNEVDELLRAEKAENPMRIVYSFGISHEHPGTFILSYIRSTNPHHEYVGLYPKGFRFRKRDFDSIDRLVSYFQKNIDKPPPDAGPSMRNVAAMVPMKNSAWGSGGGANDGWRGDSNNDRDRSGGRFDSRNSSGGRGRGRGRGRGNFGNDNNGGGWSGGGSGNGGGWTDNIGSGGGGWGTGGSGGSSWGAGGTGGGDAAGWGGAGGDSNSGGGGGGTWGAAVGGTDGGGSGGGWGTVAGGSSDSGWGSAKKAVPAQDGGSGWGSGGGGGGGSNDSGWGSAKKAVPAQDGGNSGWASGGGGGW >KQL15632 pep chromosome:Setaria_italica_v2.0:III:22012735:22017250:-1 gene:SETIT_021712mg transcript:KQL15632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTRPLHAAAALLLLLLPAHLALAAAQGFRGFSYLLNCGSASATTDGRGLRWEPDAPYVSAGAPSAPTLPGAGGLLDPTLATFRSFPHRPRSKFCYELPVDKNRRYLLRPTFFYGALSASSSSSSSSSAPPPPVFDLIVDGTFWTAVNTTDDALAGAASSYEGVFPASGRNMSFCLGVNPDYTDAGPFISALQVIQLDDSVYNATDFKTSAMGLIARTKFGSTGEIERYPDDSFDRYWQPFPDSKHAVSSTQNVTSADFWNLPPPNVFNTAFVAEQDAPLVLQWPPMPVQNDSYYVALYFADTLPENSRTFNVYINDYLFIGDLTVTSAGLSVFATQWILSGLTRVILQPASPSALPPLINAGEVFGLFPLGRLTYARDVRALESIKKNLQNVPEDWNGDPCMPSGYSWTGVTCDEGSRIRVISLNLSGMGLSGSISPEIASLTALTNISFGHNSLLGPIPDLSNLSKLERLHLQENNLSGSVPRTLGTINTLRELFLYSNSLSGPVPDNLLNKQGLTYRFLPGNLFAPPPPH >KQL15631 pep chromosome:Setaria_italica_v2.0:III:22012169:22017250:-1 gene:SETIT_021712mg transcript:KQL15631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTRPLHAAAALLLLLLPAHLALAAAQGFRGFSYLLNCGSASATTDGRGLRWEPDAPYVSAGAPSAPTLPGAGGLLDPTLATFRSFPHRPRSKFCYELPVDKNRRYLLRPTFFYGALSASSSSSSSSSAPPPPVFDLIVDGTFWTAVNTTDDALAGAASSYEGVFPASGRNMSFCLGVNPDYTDAGPFISALQVIQLDDSVYNATDFKTSAMGLIARTKFGSTGEIERYPDDSFDRYWQPFPDSKHAVSSTQNVTSADFWNLPPPNVFNTAFVAEQDAPLVLQWPPMPVQNDSYYVALYFADTLPENSRTFNVYINDYLFIGDLTVTSAGLSVFATQWILSGLTRVILQPASPSALPPLINAGEVFGLFPLGRLTYARDVRALESIKKNLQNVPEDWNGDPCMPSGYSWTGVTCDEGSRIRVISLNLSGMGLSGSISPEIASLTALTNISFGHNSLLGPIPDLSNLSKLERLHLQENNLSGSVPRTLGTINTLRELFLYSNSLSGPVPDNLLNKQGLTYRFSPTRR >KQL17072 pep chromosome:Setaria_italica_v2.0:III:48055841:48056219:-1 gene:SETIT_025698mg transcript:KQL17072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDAAAALPRENGGFGDMAFVAHAVVASMAAD >KQL15575 pep chromosome:Setaria_italica_v2.0:III:21392618:21396189:1 gene:SETIT_022983mg transcript:KQL15575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSEMQPLAPAGYRRAPEMKEKVEASEVDLEAGTGETLYPGISRGESALRWGFVRKVYGILAAQLLLTTAVSALTVLHPTLNATLSDSAGLALVLAVLPFILMIPLYHYQHKHPHNFVFLGLFTLCLSFSIGVACANTQGKIVLEALVLTAGVVASLTAYAFWASKKGKEFGYLGPILSSALTILVLTSFLQIFFPLGPVSVALFGGLGALVFAGFILYDTENLIKRHTYDEYIWASVGLYLDILNLFLSILNMLRSMQSDN >KQL14892 pep chromosome:Setaria_italica_v2.0:III:14669758:14670129:1 gene:SETIT_023899mg transcript:KQL14892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKCGGNRNRLSCMIGIIYVTIARRALSSVKSWQTELVCFIRDERISSVCPEETYLGGIIFNDICDAYVG >KQL15433 pep chromosome:Setaria_italica_v2.0:III:20124359:20127667:1 gene:SETIT_023501mg transcript:KQL15433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRARPTTNAKAKPARQPQACRDRTTSTTAPEPHPPPHRSQPRGKEGAERPGRSSRSEMRGGSRPTPAALFLLAAAGICAQFATVLAGDPKDDKKTEAQPKGHTGKTVLFVLLGVGAVILLSFFIFKYWQKKKREEQHARLLKLFEEDDDIEVELGLRD >KQL13089 pep chromosome:Setaria_italica_v2.0:III:2523049:2523969:-1 gene:SETIT_022766mg transcript:KQL13089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPTMVIQDDYIDMDLTPAATPMPPSSPRFEFQSTAAGSTKLREPAFASPADELFYKGNLLPLHLPPRLQLVQRLLQEQQPAQTLQGVVDKREVESDAASEGGDAAAAGKACAAKKPSWAKKLKVVKRWASREYIRSFFLARPTPSDIVVDGTANGNGIGSVSARGSVLDQEEVCHHRKSFSGIIRRVRLVATKAPGTSPLCSSSSSSSSSTPSCGNANGFFFRAAAPAAPALKRSSSAGSEEGAIQGAIAHCKRSQLLQPGMVVSARRSVSDVMFYSVTNTPRASSVTAGEVAQERRQEMCRG >KQL13654 pep chromosome:Setaria_italica_v2.0:III:5805841:5810845:1 gene:SETIT_021143mg transcript:KQL13654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLRTKTRKDSAFHVDFNILIQEISPWPPSESLKSLRSVVLFWENGERNSGKTSTVAPSIGSGSASGKIEFNEFISLQAVFQKEGSSKSGKWQKNLLELNLYEPRRDKLKGQHLGTATLDLAEHAMFHEDSSVPVPLNSKRSFKSNAQPMVYLRIQPLDGDNSSVSSRDALSKEASIDKDSKEFASATMSEEYTEDTEFASFTDDDEEEAPYPYRSGGTVPTGSNRSQESLKGKDISLAVNEGTSSTFDSQHEMASSSTKTRSEEVEKYPIQARKTNGHPGNLSLSSDLPREQTPSLPPHNAFRSGRKMSFAYGMTESNQRHFGDRTYSTLTTDRARNMRFSMRVPDVNGSVINKKVDVQKEEVKEFDSQDVAIAHENTTSADDGLQVQEPIRISNNRNDSKVRELELKVELLEAELREVAAAEIGLYSIIAEHGSSVNKVHTPARRLSRHFVHALKNFSRDKMGSAARSATSGLVLVAKSCGYDIARLSFWLSNCVVLRGIVTETSKQSGTINGINSASYSSKPAYRKNSASMWESLNRKKGKLVSPEFDNWEDVDTFIAAIKKIESWIFSRIVETLWWQTFTPHMQSAYITSDLKTSSNAKRSYGRITVVGDQQQATISMDIWKKAFKEASERLCPVRAAGHECGCLPMLAKLVMEQCIARLDVAMFNAILRESDDEIPTDPMSDPITDPKVLPIPSGKFSFGAGVQLKNAIGSWSRSLTDLFGMDMDDYPEVENADGENGFAESRKPFYLLNALSDLLMLPKDVLMDTSTRKELCPTFSSSIIKNILVGFVPDEFCPDPIQNSLLEALELEDHLECNKGIHSIPCGASPILYSPPASGAILSVIGDPRKSGSAILRKSNTSDDELDELSSPLTFISNTLSNPLAKLKRISNSSTSRYRLLHEVWRLDDQ >KQL14010 pep chromosome:Setaria_italica_v2.0:III:8178517:8189740:1 gene:SETIT_024638mg transcript:KQL14010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSTQATRFAAARQIGEIAKSHPQELNALLKKDASDITMDEDNLEYSENGRWPFQQFVDQLIHDMFDPSACAGVYFPDLSLPSSILDGKTNFDSLKRAHGIDLNEDVHVEHLEPASKRHKKEANPSEFMYMDYDKEIVNGGYSKTEADLSNVPIVSTGELSSAHVKVEPEFCVDDSTDPCKGDSSCKPVHEKLNSISNPSSHMHAPENSKFMKLMKLAKYSYMKNWEFLQDCAIRFLCVLSLDRFGDYVSDQVVAPVRETCAQALGAVLKYMHPSLRRQEWEVRHGSLLGIKYLVAVRQEMLKDLLDYVIHACKAGLEDPDDDVRAVAAEALIPAADSLEKLLEVGNTGSLSGTTPSKFWPTSILGSRSRAAAKIRSAGLEHEYTRMISFGSTGESTSHERHFDVPTSVSKIIVGADSDKSVTHTRVLTSMALGLFASKLPVDSWQVVLSPLANDLMSLSGVQRQVASMVIVSWFKDLRGRDPVSVGALLAFLSSVKEWLLDLLTCSDPALPTKDSVLPYSELSRTYTKMRNEANNLIHSIDSCAAFKDCISGVNLNVDMLSVDDAINFASKLLLPSESDLHSESEKTVLNNIESAKQGLLSTSGYLKCVQEEVLQDKAADALAELIFSCVGRKPGPNDKLTKNLCTLTCTDASETPQAAIINSMQVVEDQNLLSIGKRFGSHRSRGHTASGSEERSKMEGFISRRGSELAFKHLCEKFGPSLFEKLPKLWDCLTEFLKPVKSKDGLKDDTSIAQLGRSYEDKDPQSLINNIQVVRSITPHLAESLRPQLLSLLPCILGCVRHPHVAVRLAAARCITSMAKSLADDVMVLCMSDPDGSVRQTVTHSFAALVPLLPLSKGASLPGGLSERLSSSAEDVQFLEQLLDNSQIDDFKLNIDLSVELRRYQQEGINWLAFLRRFKLHGILCDDMGLGKTLQASAIVASDIAESRAQNDEKDPTSLIICPSTLVAHWEYEIEKYIDSSIMKPLQYVGSSQDRATLRSQFEKFNVIITSYDIIRKDIDFLGNIPWNYCVLDEGHIIKNSRSKITSAVKQLKAQHRLILSGTPIQFQATYGKPLLAAKDSKCSAKDAEAGILAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSLLQLKLYDKFSSSNAKEEVSTIVKANESEESAPQPKATRHVFQAFLDIIEKDLFQSHMRSVTYLRLDGSVEPEKRFEIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMKDLQVSFA >KQL15978 pep chromosome:Setaria_italica_v2.0:III:26497089:26497886:1 gene:SETIT_023895mg transcript:KQL15978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWMVLHVPIFSTEHKLSQHDEPSHQVVSLAGVAESRLRFLPSLISGSHFACSSAHPCLGYSCIYVPLHL >KQL17409 pep chromosome:Setaria_italica_v2.0:III:50301917:50302794:-1 gene:SETIT_023655mg transcript:KQL17409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQAELRRPAAHEAALRAVQKPPAKPWRGGSSAATPPPPPKVYRVEPREFRDLVQRLTGAPPAAAARGDVMRPQHHQVAAVQPVPVRASGDQQQQQQLYGSPWFSFPLAGVDGANGGGGLI >KQL14111 pep chromosome:Setaria_italica_v2.0:III:9007672:9008755:1 gene:SETIT_024144mg transcript:KQL14111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMHSSSPSNLSSAAISLSSSEEAAAALLLLVTREMRLADGFLDEDATAMVAADEMEATAENTASKADAMAATDSASTPETSTSARVAEDPASCGKYLAALRTEEARRARRSHRKKTRLGRCGDSCGGCARDEDKGGCCGCLCWCCCFLLLIVAALAGTAAYFFFVYKPKAPSYSVSNMSVSQFDFSSKDLTLYVKLTAAVRAENPNDMIGIKYGEGSHTVVSYRGTPLCSGKLPAFFQGYKNVTVMDISMEGRQGFGSGLQQALEESEKLGDIPLDVFVSVPVELRLGTVDLRQVKVNVHCALVLDSISPKKRPTIKSATYQANVEF >KQL14254 pep chromosome:Setaria_italica_v2.0:III:9892044:9893101:-1 gene:SETIT_024824mg transcript:KQL14254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNICLLCGWWWKLETGEGLWQTIVRRKYVKQDTLPQLKWKSSNSPVWNGLLKVKELYLRGRVMRIGNARYVWSIIAMVLGTNCRPSSLDQYWIWWASLQKPEDKENLEAGADALKDAALHFHPLEATPEDNEVVLIQ >KQL12710 pep chromosome:Setaria_italica_v2.0:III:520042:520667:1 gene:SETIT_023536mg transcript:KQL12710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAYITKHACMAMIDPSAISMDNSRSVISCLPIRLLQFFFIQEARGGLQRDRIDLTFRRSRSPACKHVHVARPSCARGQDQCNPFGIFHLRLRTYSLGLRAAAGTSSIDEPGIHVHGLKFGELDTAHRRPPAGVSKAFDYTKDDDRQLN >KQL13370 pep chromosome:Setaria_italica_v2.0:III:4089087:4091168:1 gene:SETIT_021497mg transcript:KQL13370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRKALGAVKDQATIGIARVSGAVKPDLDVAIVRATSHDDAPPDDRHAREVLRLASGGSQRACVASLARRLARTRDYVVAAKCLALLHRLAAEGDPHLRGELLRPAPSGRRAGEPVLSLLLDFRDEAHAASWEHSAFVRAYALYLDERLRFLVSLLPPPRAVRFADDYNNAVSGASSPPAPAAMAPVGDMDPDGLLIRARQLRQLLDRFLACRPAGAARTSRVVLAALYPLLGDSFQLYDEFSAVLAALLDRFFDMEYAECVKAFETYVGAAKQIETLLAFYAWCDDAGVARSSDFPDVKRVDEKLLETLEQFLRVRGRAGLGSPPPLPPQSVHQSAGRDQDEPAEYVDMNGVKALPAPPPVRDSAETTRSVPAKSSADQARQPDLVDLREPAATADEQENKLTLALFSAPPPATKGADSSWVAFPSESDDAPVAITSAWQTPAAEPGKADWELALVETASNLSRQTASLGGGMDPLLLGGMYDQGAVRRQVAAQAVSGSASSVALPTHGHGAAAPVLMLPAPDGTVQAIGGDPFAASLAVPPPSYVQMAEMERKQQLLVQEQQMWAQYRQGGMQGQPVGFNGLAAGSVFAANTAVAMPYGMPVAYNHVGGYY >KQL14730 pep chromosome:Setaria_italica_v2.0:III:13222618:13223365:1 gene:SETIT_023504mg transcript:KQL14730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSQLTHTCRTPEPHLPPPLPSLLPRQAPAMALDMDSEPSTATAQSSYFSGCMASPAWLPQGVRRSPARFQLLARGGGGDDAAGRGGRRAWRGLLRRLVRESKSICSNACRAPAAAATFKYDADSYAKNFDSGRWHPCAVGHALSPLQSRRPVDQSTRES >KQL15735 pep chromosome:Setaria_italica_v2.0:III:23182777:23187265:1 gene:SETIT_024585mg transcript:KQL15735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAQWLSVAVGCAAAVTCAVAAALVSRRAAARCRWNRAVEVVRGFEEGCATPTERLQRVVNSLSVEMFAGLASEGASKVRMLLTCVDELPDGSEEGIYYAIDLGGTSFRVMKLELGPGSMVINKKVEHQPIPEELTKGASEDLFNLIASALKNFIEREGGKDEGRALGFTFSFPVRQISISSGSLIRWTKEFSIEEAVGMDVAQCLNEALVRNGLNLQVTALVNNAVGTLAMGHYYDEDTVAAVIIGAGTNASYIERTATITKCQGLLTNSDITVVNVEWGSFRPPQIPLTPYDICFNVEKDRNHYDQAFEKMISGVYLGEIARLVLQGMAQESDVFGSSVDFLSTPFIFSTPCLAAIREDDSPDLRVVGRVLEEQLKIQDVPLKTRRLVVRICDIVTRRAARLAAAGIVAVLQKIGRDGTLCGTSMVRKIRGKPKRSVVAIEGGLYQGYSVFREYLNEAVDEILGDEIASTVSLRVMEEGSGIGAALLAASYSSTRQNSA >KQL15783 pep chromosome:Setaria_italica_v2.0:III:23777356:23778190:1 gene:SETIT_023294mg transcript:KQL15783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRTLELTLVSARDLRSVNLVSKMEIYAVAYLAGDPRSRQRVPTDRAGGRDPTWNQTVLITVPASGAGCGVVRVLLRTERALGGDRDVGEVLIPLPDVLAGAGDGPTEATAACFPVRRIGSRKPQGVLNVSYKLGGVVHPDLVARIEGAQPVQAGDPASPMMAYLAAAAKVYSAAARPPQCLPSTPYPVAPMGASMRSRS >KQL14062 pep chromosome:Setaria_italica_v2.0:III:8490414:8492888:1 gene:SETIT_022786mg transcript:KQL14062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTQNFRSPKAPIGPTRPAFLPSLLSITRPPPARLQFFARPPLHLEFSSRGRLPPPPCPSLYWKGMASSGGDLSGFVGRGVCMMSTSWRDKQHPNLINFIATFLAANLYRLNFLSVSPDFIFNNGGTSVAFIFETNWDSENESAVFSRVNTLKRQFKHLYVVVVVPTGEQNESFNQSYFKYGMELGCPTFVPVCDPEMGFEKIVKIAHARGVCKQQDIVTTMRNERVQAVQCMDAFLRVLTSIPGIDSHDANALSQAIGSIEAIAKASKEFILENTDLSTEKAERIVRFFRDPQYYLSPKIK >KQL15464 pep chromosome:Setaria_italica_v2.0:III:20463087:20468198:-1 gene:SETIT_021738mg transcript:KQL15464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAARLLLVLLAAAVGLCAGAGRGGGRVDLWPMPASVARGAQTLLVSKDLKLSTAGSSYTDGKGILREAFQRMVAVVELDHVINGSYPRGSPVLAGVRVVVRSPNDELNFGVDESYKLSVPATGNPLYAQIEAQTVFGALHALETFSQLCNFDFNARLIELHSAPWTIMDMPRFPYRGLLIDTSRHYLPVPVIKSVIDSMTFSKLNVLHWHIVDEESFPLQIPSYPKLWNGAYSYSERYTFDDAIDIVQYAEKRGVNVLAEIDVPGHALSWGVGYPSLWPSATCKEPLDVSNDFTFQVINGILSDFSKIFKFKFVHLGGDEVNTSCWTTTPRIKSWLIKHGMNESDAYRYFVLRAQKIAISHGYDIINWEETFNNFGDKLDRKTVVHNWLGSGVAEKVVAAGLRCIVSNQDKWYLDHLDATWEGFYMNEPLTNIYNPQQQKLVLGGEVCMWGEHIDASDIQQTIWPRAAAAAERLWTPIEKLAKDAGSVTARLARFRCLLNQRGVAAAPLAGYGRSAPSDPGSCLRQ >KQL13182 pep chromosome:Setaria_italica_v2.0:III:3032241:3036013:1 gene:SETIT_022453mg transcript:KQL13182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLWNLTKQYKKNPCEISSGAERPPVRRRQQQPPPMGVDYYKVLGVGRGATDDELKKAYRRLAMKYHPDKNPSPQADSLFKQVSEAYDVLSDPQKRAIYDQYGEDGLKAGAPPPSASTHGAGAHGFRFNPRSAEEIFSEIFGGAFPGAGPRTPGGSVPHGFPGFGSAAGPGETSSAGLQRKAPPIERQLACSLEDLYKGATKKMKISRDVLDAAGKPTNVEEILTIDIKPGWKKGTKITFPEKGNEMRNVVPSDLVFIIEERAHPKFKRDGNDLIYTHKISLVEALTGCTVQLTTLDGRNLTIPVKSVVSPTYEEVVQGEGMPITREPSKKGNLRIKFQIKFPTNLTADQKSGIQQLLS >KQL15977 pep chromosome:Setaria_italica_v2.0:III:26467136:26470881:1 gene:SETIT_023255mg transcript:KQL15977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRSPGVSFKLVLLGDGRVGKTSLVLRYVNNIFSDKQEATVQASYLTKRLVVEGVPITLSIWDTAGQEKFHALGPIYYRDADAALLVYDITDSDTFVRVTKWVKELKQMASKDIVMAIAANKSDLVRLKNIETQEAVSYAESIGASLFVTSAKAGTGIDDVFSDIAKRLLEKRKNSADGLSPPQPKKGILIVDDEPEKEPPPKCCS >KQL13465 pep chromosome:Setaria_italica_v2.0:III:4602070:4602576:-1 gene:SETIT_025784mg transcript:KQL13465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSCLATPPTSLIGWPAVQPTSPLHLGVNTVGPYSNSTQSTSDPNP >KQL15430 pep chromosome:Setaria_italica_v2.0:III:20071427:20074426:-1 gene:SETIT_022563mg transcript:KQL15430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALNNAKAGGPCLTPRRPAPPSLASLPPAAAGRRLWRRGAAWAPLVAVRASGGGRKDGPVGGEGNGEEAESKASSSGHDDASAPEGDTSAGLNKPHDEPKSSDPINVSSSSYWRDVRANLVRREQELFEDPSAPTESKASSVDPVQLPQKWAHAITMPEAGCVLVATEALDDDSIFERTVIFLLRLGSRGTFDGPFGIILNRPLYTKIKHVNPSLRDQATPFGDSPLFFGGPVDMSMFLVRTNDSSRLKGFEEVIPGICYGFRTDLEKAAALMKSGAIRTQDLRFYVGHAAWDYEQLLGEIRAGYWAVASCSTELISDALAGDPSCLWTEILQLMGGQGSTRS >KQL14821 pep chromosome:Setaria_italica_v2.0:III:14033834:14036910:1 gene:SETIT_022744mg transcript:KQL14821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAIRVPKTKRARRELLKHAPKLVETGKKTLILHGTKTSAVLNSVLSDIYHLKRDNAVKYTKKNDNIRPFESGGESSLEFFSLKTDCSLIVYGSHSKKRPNNLILGRTYDHHIYDLVEVGVENYKSMESYVYDKKLAPKLGSKPFFAFIGEHFESSELKHLKEVLLDLFRGEVVENLNLAGVDRMYVCTAISPTTVYMMHCALRLKRSGTSIPRMELVEVGPSMDLVVRRHRLPVESLKKEAMKTAEHAKKVKNVTKDPVQGRLGKVYMPDQQVGKLTLSNDIKGLKRERREAKKNKEHSKKQKVNPE >KQL15319 pep chromosome:Setaria_italica_v2.0:III:18876665:18880342:-1 gene:SETIT_022976mg transcript:KQL15319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDKEYAPVPLGQAAAEAAAAPDPEDPVKSPPRPSSPATSTRKACFAVLQSWVSRKFMTGCVVLFPIAVTFFITWWFIQFVDGFFSPLYAKLGFDIFGLGFLTSLVFILLVGIFVSSWVGSTVFWVGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRVGEYAFGFITSTMVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSAEIIRPNLSIREGIGWFLALFLCFPLLLAREKNV >KQL15320 pep chromosome:Setaria_italica_v2.0:III:18875708:18880670:-1 gene:SETIT_022976mg transcript:KQL15320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDKEYAPVPLGQAAAEAAAAPDPEDPVKSPPRPSSPATSTRKACFAVLQSWVSRKFMTGCVVLFPIAVTFFITWWFIQFVDGFFSPLYAKLGFDIFGLGFLTSLVFILLVGIFVSSWVGSTVFWVGEWFIKKMPFVRHIYSASKQVSTAVSPDQNTTAFKEVAIISHPRVGEYAFGFITSTMVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSAEIIRPNLSIREGIEIIVSGGMTMPQVITSLEPTPRKSQNIRLDRVMTV >KQL15634 pep chromosome:Setaria_italica_v2.0:III:22027602:22028113:-1 gene:SETIT_024271mg transcript:KQL15634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRAAAHPAPGPNAAFTSAPVEEEEKVVLRCCDGEEFAVAASVAKKCGTISNMIDDDCVEGGVPLPNYLNKKHSGGGAFAAVEVKEFEKAFFEKMTKEALFDVILAANYLHAEKLLDAAMVCVADRIMGKTVPELREYFGIEIDFTPEEEEEIRKENS >KQL16461 pep chromosome:Setaria_italica_v2.0:III:40331749:40334526:1 gene:SETIT_023754mg transcript:KQL16461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVGSGEKQLEDCTVANALGTWFFSVAGALVAIPVGIKKKSFAPLVFFGTTGTMLDIIMGISQCEREHAERQMKLLEAQKLPADASDGIESAESSGNADK >KQL13029 pep chromosome:Setaria_italica_v2.0:III:2210795:2211009:-1 gene:SETIT_024757mg transcript:KQL13029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAQEGLTHRVAARDDDARNAAVAGGAPTSRDPSSRKPGARRGLRSLAAAVSLSAGLAALSFFLSTGSA >KQL16782 pep chromosome:Setaria_italica_v2.0:III:45064893:45066526:1 gene:SETIT_022320mg transcript:KQL16782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAEEAAGAFDRLSELKAFDDTKAGVKGLVDAGITAVPAIFRHHHQGPLVSSVSSSGSGGGGAISIPVIDLSASSSSDARARAEVVAQVKAAAETAGLFHLVNHGVPGELLSDMLASVRRFHEAPPEAKRPYYTRDPGRRLRFNSNFDLFSSPAANWRDTLFCAAAPDPPPPEELPPAVRHVMPEYAAAARGVAARVLALLSEALGLAAGRLAGMGCAEGLSLVCNYYPPCPEPELTLGCGAHSDPSFLTVLLRDAHDEGGLQARLGCGGGRWVDVPPVAPCALLVIVGDLLQLVSNGRFRSAEHRVVARRSTDKARVSVACFFNADVARSTRLYGPIAELTSGGNGDDGDGALYRSVTVPEFLAHYDKKGLDGRPALDHFRLR >KQL17156 pep chromosome:Setaria_italica_v2.0:III:48625377:48625631:1 gene:SETIT_024455mg transcript:KQL17156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEADCLRVIEMLKLGGVNRFLVAPVIMDALHESQQLQSLYFVKVKREKNKIAHELAHLAQRANQYCVSFSHVPECVYMLVHS >KQL13390 pep chromosome:Setaria_italica_v2.0:III:4223316:4225371:1 gene:SETIT_024539mg transcript:KQL13390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTHLSILNCRFYTGLQKATEKPFYDILGQVYPSPAKEIVLNSDSQGPDDISNNYHEGACSGSLDNDFLGAQGMHLIANDYGSETDHLSLQFTKGAEEANKFVPIVEKLVVDLGSSELAVSKQMTQATVGQKGNHVNKIRSHPHVNLELLNTKNSKHLAISGSETIRDETFDSVLLCTGQLSRDAAHLREMKAKEARDSSQIAQSKEYGKGKVKSRARKQQEEAIDLRALLTQCAEAIASNNQPFDRELVTKIRDHSSPYGDDSHRLAIYFVDALEARIAGTGSQMYQKLMARRTSTTDMLKAYRLFTAACPFTKVAYYYSNQTIVDVSVERPRVHIIDFGIVFGFQWPSLIQRFANRQGGPPNLRITGIDVPEPGFRPCKKIEETGKRLAEYAEMFNVPFQYQCVASRWENICIKDLNIDKDEVLIINCLHQLNNLSDETEDIDSARDRVLRIMMRMNPEVLIIGVTNGLYNSPFFLPRFREALFYYSSQFDMLNSTVIRSHEARILIERDLLGADVFNVVACEGAERIEKPETYKQWQVRILKAGFKQLPVNQTILKSSVARKKDLYHEDFVVDEDSGWLLQGWKGRILHALSSWKPKESYTNQ >KQL15299 pep chromosome:Setaria_italica_v2.0:III:18768841:18770225:1 gene:SETIT_025205mg transcript:KQL15299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARMHYSRPLLLQLQQGPAVSPAPAPATTAGAVPDALSVPAPADAFTFLNANAILILALLVCGLVVALALHVVLTCALRVTRRACRHSGAADGDAPQQGPGNGTGGGGGAGQQAAAARHGGKPRRLTKLVQALPCLAYSSGLELAGSSRSECAICLAAFARGEAVRVLPRCNHGFHARCIDRWLASRPTCPTCRQAPFAQTTALLQPDGSGQAPAAVPLVRVVIKIQ >KQL16390 pep chromosome:Setaria_italica_v2.0:III:38966733:38967946:1 gene:SETIT_024686mg transcript:KQL16390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIERIASDTFGAAEAAKPEPEDEGAIGPLDFPRGEASPPRGSGRRFPERRRDRSDARELAPLAATPPLRLRPGAVAPPPSRRHRSSAFPSGQRHHAVLPRPAAACQASRSPLHHPVKAPPVRLPILICFSASTSAASSAATTAPPPRLHHHSSSCSSQRSSSPSLLPEFISHGLCN >KQL13517 pep chromosome:Setaria_italica_v2.0:III:4921380:4923380:-1 gene:SETIT_023067mg transcript:KQL13517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLRVIVGIIGSVVCVLLYAVPALTFKRVIKEASVGEFSCLPYILALFSALTWGWYGFPVVSNGWENLSLFGTCAIGVLFELSFIIIYIWYAPREKKKFVVLMVSLILAILCVIVSFSIFTFHTEHMRKLFVGSIGIVTSMSMYSAPLVAVKQVMKTKSVEFMPFYLSLFSLLTSLMWTIYGILGRDPYLTAPNSVGCLTGILQLVVYCIYSRCKDPPKTHDDIEQVISELEVATTLGDTNGCKP >KQL14374 pep chromosome:Setaria_italica_v2.0:III:10838381:10844967:1 gene:SETIT_021323mg transcript:KQL14374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPTPQKPHPVPRETVAGAVASLTKWMKKRAEEAPPNLLADERDDLVIVQLSLRRVPASPTTRPRLLPLPHPVVGHDGASVCVISDDRPNSRSPPASDLLDASKSLHRLPVSEVIPLSTLRTDYRPYESRRRLAASHDLFIADRGILPLLPRVLGKAFYSTKKAPIGVDFTRVGWPEQVRKVLGSAFLYLRTGTCSGIKVGRLDMDEEEIVENVMAAVEAAVEKVPKKWANVRALHLKAVDSVALPIYQVVPELGMKIEVPGDVESGEVIDTVELETREKKTDKKKALMDAEANGDEGVANESGKRKRNKKDQIKNIEMQGEVQVETEKKKWRKSVVVSVDEEKKVGKKGKDKGKRDLENEMEEPSIGNKKNKKGKIEEGKKKKSMKGDEVCVDESLEDKKSKGKKLDGKIKKTRRGCQASPPKSGVKRSSSTARDVLASRHLWQPWPGAATRPIQYSYLALIYALRRSWRRRASQAASPPHAREATAAGGQDKLRASEAMTVARAPPVDLARPRLAGGGLAFRKGWGAAAQCSQHAAPTRGVPRLAAARAPTRRPCAENLLGYIDWIPQRLEVQFSASHGGSLPSATGHTHEGAYALSVSNATTEKQSANGISDGTILRKGFSSIYQRFSFLIASSVNHMAGLPIFCMASGWVLHRFWTTLKGSCEDIGWLQRTRASLCSVDGTGRFTEILHEISESVPRMTNNTKSCFMFQKWSALPARYTGLPIYSWPF >KQL13008 pep chromosome:Setaria_italica_v2.0:III:2076449:2077692:1 gene:SETIT_025556mg transcript:KQL13008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRMGRGHRRSQCRRTFQKVPHKGARCGVVDRRRLHHHQC >KQL16698 pep chromosome:Setaria_italica_v2.0:III:44214205:44215079:-1 gene:SETIT_025349mg transcript:KQL16698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCRQWRLAAQQQQPPPRRLPPALPLLLSQRTFRIIPGGERLRFMLVPGNLGRCYGCFDDWLLFLLRPPYERNHRTCFLVNPISRATITTIPLCFDDGRPMAVFPMTKIIVCSPDLVASIIGHNSSVAFYRSGAASWSACAPDPRNRGWYVDIALYRGRLYALNGKEQLFAHELRGGGVVEPPEQLASRAVRRVVRAQPPPAARRDTALPRRVVWEDADAFLMILLLADLVEGVKMKVFEADLEIGRWLEVDDLNGQALFVSPGSSKALRLSGTSQMFAFIFRDMI >KQL14685 pep chromosome:Setaria_italica_v2.0:III:12940034:12944486:-1 gene:SETIT_021555mg transcript:KQL14685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWDGIPERERRQMEEILQLDMEELNVEVVDEDEEEEEEEQGAGNEEDDDVDAFLRANDGDGVASTSGPFTFNTSLASLHTYLGEVDDTRGRVSLLDGGTVINLPMFYLQGVVLFPGATLPLRVIQSRLVVTIDKALRLADAPCTIGVVLMRRHSNHRHYAALVGTTAEIRQLGRLDDGSLNVVARGQQRFRLRRHWIDVDRVVWGEVQIIEEDIPLRTPRDAFAQLAACNRFNLHTSSSVISLDMSPIKQDHIDSELECDTPSPNASNHSAVDIRLSHLGSQLSDSMKSSSDEEGDLMHQRWRQKQRSMRESGASSRSDKKTNTSNEDDLCLTPLRSLPTARTRDTKRLRQYHAYSMQASQAPLSFWHRWVYEMYDSYTLARRAAELWRQIIAKPSMDDHVRKPDILSFHIGSKLPVSESVRQKLLEIDGISYRLQKEIQLLKAFNLIKCRICQSHIAKRSNMVVMSTDGPLGAYVNPHGCVHETITVSNATGLALIGNPSTVHSWFPGYSWTIASCAACESHIGWLFRATKKNLRPRSFWGIRSSQIADDAQVDQSE >KQL14686 pep chromosome:Setaria_italica_v2.0:III:12940298:12944478:-1 gene:SETIT_021555mg transcript:KQL14686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWDGIPERERRQMEEILQLDMEELNVEVVDEDEEEEEEEQGAGNEEDDDVDAFLRANDGDGVASTSGPFTFNTSLASLHTYLGEVDDTRGRVSLLDGGTVINLPMFYLQGVVLFPGATLPLRVIQSRLVVTIDKALRLADAPCTIGVVLMRRHSNHRHYAALVGTTAEIRQLGRLDDGSLNVVARGQQRFRLRRHWIDVDRVVWGEVQIIEEDIPLRTPRDAFAQLAACNRFNLHTSSSVISLDMSPIKQDHIDSELECDTPSPNASNHSAVDIRLSHLGSQLSDSMKSSSDEEGDLMHQRWRQKQRSMRESGASSRSDKKTNTSNEDDLCLTPLRSLPTARTRDTKRLRQYHAYSMQASQAPLSFWHRWVYEMYDSYTLARRAAELWRQIIAKPSMDDHVRKPDILSFHIGSKLPVSESVRQKLLEIDGISYRLQKEIQLLKAFNLIKCRICQSHIAKRSNMVVMSTDGPLGAYVNPHGCVHETITVSNATGLALIGNPSTVHSWFPGYELNPSLFFFSSLLSVIKPSTLKIKSAAASFNFVSMTFAAQVLVDNCIMRSLRVPHRLAIQSHQEESASEVLLGDPQLTNCR >KQL15453 pep chromosome:Setaria_italica_v2.0:III:20340181:20344454:-1 gene:SETIT_025006mg transcript:KQL15453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGDSTSLNLNQLWKKFRGEDKPPAHLGASRDYNVDMGSKDTLALVTLVRRGTCIAWYRNMPILTASSLSCQCMIFMMANGTLVRTLIHTDVTKYLSFKAVDGSYVFSKGKIHKVPATDMEALKSPLMGLFEKRRARNFFVYVQNYNEADPVTHQGLDLTRITTRELISKHGLSDDTVDFIGHALALHRDDCYLNEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDMEGKVCGVTSEGETAKCKKVVCDPSYLPNKVRKIGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDMYVFCCSYTHNVAPKGKFIAFVSAEAETDNPQSELRPGIDLLGQVDELFFDMYDRYEPVNEPSLDNCFVSTSYDATTHFETTVTDVLSMYTAITGKTVDLSVDLSAASAAEEY >KQL17433 pep chromosome:Setaria_italica_v2.0:III:50386210:50387937:-1 gene:SETIT_024561mg transcript:KQL17433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAFAVQVLRGRWFMAYGSFLIMSAAGATYIFAIYSKDIKTTLGYTQEQLNTVGFFKDVGANVGIHAGLVAEVTPPWLVLAVGAAMNLGGYLMLYLSVTGRVRPAPPLWLVCLYIAVGANSQAFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAIFTQLYLAFYGPGGGGGVDTKPLILLVGWLPAAVSVAFLGTIRIIRAPRSPVAARREYRAFCGFLYVSLALAAFLMVSIILQKRFPFTRAEYGVCAAVVLSMLLVPFAIVLREESALFRNAHEARAEAPEPSPATVAAKPAPLPEPLPVTSKPARVGARLVAALRPPPRGEDYTILQALVSVDMLFLFTATVFGVGGTLTAIDNMGQIGESLGYPQRSIATFVSLISIWNYLGRVAAGFASEALLARHRIPRPLILAAVLLLTVPGHLLIAFGVPGSLYVASVMIGFCFGAAQPLILASVSELFGLKYYSTLYNFCGTASPVGSYILNVRVAGRMYDREAARQGAVAAVAGKGVTCIGVRCYKESFLVITAVTVAAAVVTAVLAWRTRDFYAGDIYAKFKAGIGEDRVEPKEQL >KQL13066 pep chromosome:Setaria_italica_v2.0:III:2371464:2374956:1 gene:SETIT_023487mg transcript:KQL13066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSKMSDVNDISSDGNIESMLSVEKGLRELASLKVEDAIMLALAENRHIKPLSGQASEGRSPSESLELSAEEREDVLFKQAWLTYYWRRAKNHDIEEDIADERLHFWIEQSKHPVTTTDVIEVERGLHELKKLGIESQLWDATRRALNDDLSNHGSPTGSEA >KQL15084 pep chromosome:Setaria_italica_v2.0:III:16476552:16477904:-1 gene:SETIT_023276mg transcript:KQL15084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSLSLVTCKNHGTAPIISSAPPQTQRRKSRHGTRRFYLVAEVHLVVERVELELDAVPARLRHGPAPPGLLPVVDALLDAAVLAPRRGLLAGEPLGEALGGGRDVGHHPVRPRHDGALRVRRVDVLHHQRQRPRAVGDAVPLQRRRHVLLRPLTLQRVLPRQTPVVRRDRVRHQPQALLRHACDYAGAAMLRFVCARALFG >KQL16899 pep chromosome:Setaria_italica_v2.0:III:46556866:46566772:-1 gene:SETIT_021051mg transcript:KQL16899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHNTRNKNKRQRSDESSSPSAAVFKKIHSDGNISKSDIRQLYMVWKPLCQGCHGNTKDSPNCFCGLIPTANGVRKTGLWQKMQEIVRGLGPNPSRDLRDSTETPAGLTNLGATCYANSILQCLYMNSSFRSGIFSLELDILRKHPVLDQLAQLFAQLHSSKMAFIDSAPFIKALELDNGVQQDSHEFLTLFLSLLEQSLSHSKVPGARTIVQNLFCGSVSHVTRCSSCGKDSAASSKMEDFYELELNIKGLNNLEESLNDYFNEEALDGENQYFCESCQKRVDATRCIKLQSLPPVVNFQLKRYVFLPKTTTKKKISSTFSFPGQLDLGKRLSNPSSSCTYELAAILIHKGTGANSGHYVAHIKDESNGQWWEFDDETVSKLGLHPFGEKPGKASNKDDQKSQGMSAAGSIINNNSNNGHQEAAPTSTTAEMFSSTDAYMLMYKCTSRDVNATESNKNVEINESLPRHLSDQINELNASYVKSCEEYQSKKDSHLAYITERRQEVKSILTEAPVDPENDSYFWISTDWLRQWADNTAPPSSIDNGPIQCEHGKVPASKVTSMKRLSSVAWQKLFSKYGGGPTLSNDDYCMECLKDGAKNAVSADVYRERKASLKNIAEAALAGSCPDGPSYFISKTWLTHWLRRKNTDITSDADSGPTSALRCCHGDLLPEHAPGAKRISVPESLWLFLYQTINEKKADDIMTFPSDCQPCEICNQELSDVASVEGNLRAVKLKQRQNHEKLISGKSFALHPGQKYYLVPSSWLSEWRAYVTATGKNISSLLEPQSLEAIVNSLICEKHSRLLQRPLDLVCKRGSITQKTSNGDGLTMIPEYNWKLFSEEWSATPEKGISAEIAFSKSSQEKLPGSSEAMPIMDGDLDQSLDDANDDLGAREPYVRTDPEVCEDCIGERESCALVEKLNYQNEDIHVYLVRGKEAPKSIKEASKAVAVSDRRTSKRSRRTSSGTSISLKVSGSTSVYQLKLMIWESLGIVKENQKLHKGSVEIEDDFATLADKSIFPGDVLWVRDSEIYENRDIADEISDQKADMLQAEEGFRGTLLTSSVSAQLCQDIAFSE >KQL14174 pep chromosome:Setaria_italica_v2.0:III:9340905:9345986:1 gene:SETIT_021831mg transcript:KQL14174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDQESGGEDGGRADLRKPLLNTGSWYRMPPAGGMMGSRQSSLMERLGSSTFSLRDVSVSATLCTLIVALGPIQFGFTCGYSSPTQDAVIADLGLSLSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLFMGRLLEGFGVGVISYTVPVYIAEIAPQDQRGALGAVFQLSVTIGILLSYLFGMFVPWRILAVLGVLPCTILIPGLFFVPESPRWLAKMGKTEDFEYSLQVLRGFQTDITAEVNEIKRSVASSRRRTTIRFADIKQKRYSVPLMIGIGLLVLQQLSGVNGILFYAASIFKAAGITNSNLATFGLGVVQVIVTGVTTWLSDKAGRRLLLIISTTGMTITLVVVSVSFFVKDNITAGSHLYSVMSMLSLAGLVAFVISFNLGLGAIPWIIMSEILPVSIKSLAGSVATLANWLGAWVITMTASLMLSWSNGGTFAIYAAVCTMALIFVCLWVPETKGRTLEEIAFSFR >KQL16270 pep chromosome:Setaria_italica_v2.0:III:35477600:35482930:-1 gene:SETIT_022050mg transcript:KQL16270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQSVKARQIFDSRGNPTVEVDVCCSDGTFARAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVNNVNSIIGPALVGKDPTAQTEIDNFMVQQLDGTKNEWGWCKQKLGANAILAVSLAVCKAGASIKKIPLYQHIANLAGNKQLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGAASFKEAMKMGVEVYHNLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVSEYPIVSIEDPFDQDDWVHYAKMTEEIGEQVQIVGDDLLVTNPTRVAKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMTSHRSGETEDTFIADLAVGLATGQIKTGAPCRSERLAKYNQLLRIEEELGAAAVYAGAKFRAPVEPY >KQL15639 pep chromosome:Setaria_italica_v2.0:III:22067133:22069121:-1 gene:SETIT_024301mg transcript:KQL15639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKPLLSRLLPLPLRLRPQLRLLCLATPTPTPTDDAQAPADVAAERRRRKRRLRVEPPLSRGPAPQRAPGAPRTASNPNAPKVPESASVLSGKRLDLHRRILALIRENDLDEAALLTRHSIYSNCRPTVFTCNAVLAALLRQARYADLLSLHRFVTQASVAPTVATYNLLLQAYCDCRRPDAALEHFRLLLKDDSPVLPSPTTYRILARSLAENGKLDQAIELKDGMLERGLVAPDTQVYAFIMGGFVNAGDGYRAISLYEELKEKLGGGPILDGVVYGNLMKGYFLKGMEKEAMDCYEEVLGEGSNVRFGAVSYNMVLDALGRNGRLEDALKLFDRMCMEHDPPKTIAVNLGSFNVMVDAYCRAERFQDAIEVFGKMAEKRCAPDALSYNNLIDWLGKNELVGEAEGLYEEMAERGVKPDEYTYVLLIESCFKVDRVDDAVGYFNKMFDAGLRPNANAFNKIMGGLVKVDRLDEAQRFFDMMPEKEVKPNIASYELLLKAYVDAARLDDAIKIAKGTLLDENVVFSDEMKALLEGALEKEGRDGDMTKLYEDVEREKAEAAERAAEEKARAEALAKEEEERKKAEAKAKEEAAARASRAAIEAVLGRKREAENEESADGPNVEEAESEGDEQKKQESVEASSGP >KQL15813 pep chromosome:Setaria_italica_v2.0:III:24167213:24171896:-1 gene:SETIT_021753mg transcript:KQL15813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPARSICRHVLLILLSLQLLLVAPWQGGTAARALNFTRQDFPRDFVFGAGTSAYQYEGATDEDGRSPSIWDTFTHAGRMPDKSTGDLGAGGYHKYKEDVKLMSDTGLEAYRFSISWSRLIPRGRGPINPKGLEYYNNLINELVKRGIEIHVTLYHLDFPQILEDEYHGWLSPRVVEDFAAYADACFREFGDRVKHWTTMDEPNVISIAAYDNGAFPPCRCSPPFGINCTEGNSSVEPYIVGHNSILAHAAAVRLYREQYQATQKGVVGMNVYSIWNYPFSTSPADMAATRRSMDFMIGWIINPLVYGDYPETMKRIVGSRLPKFTKEQSEMIRGTADFIGINHYTSVYVSDRSNSADTGPRDYNGDVAATFRFSRNDPPTGQFIPINMPTDPQGLQCMLEYLSNTYKNVAVYVQENGYGAFFNDSIEDHKRVEYLSGYIGSTLTALRNGANVKGYFVWSFLDVFELLAGYYSRYGLYHVDFKDPELPRQPKLSAHWYSKFLKSEIGINIESTTNTDGGSHAAQ >KQL15132 pep chromosome:Setaria_italica_v2.0:III:17309896:17314303:-1 gene:SETIT_024909mg transcript:KQL15132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGAVAASDPGGGEPKLVAGADVAMTEADGVVAAPAAEVKAEGKAAAATDVGLEGGDAALSDPLYATESAGMVGVEGPGDEPVTGVEGVNGGEEGRLEAGAGGQQNETERKPVPAGDVAAAAAESNKLEENHINAEHGTENNEIDYGIARSDKEIQNHVPAEVEGSSKIYADDGAPAVDQPGDGYVMMPQTGEQFPDSGNGLSSNEESASLGNVVHGARYCLPPLDKGGFQVADLVWGKVKSHPWWPGEIFDPSDASELGLKHQKKDSHLVAYFGDNTFAWCDESQLKPFVTNYSQMEKQSSSDAFVGSVNNALEELSRRILSGMSCSCLPEELADNGMSYTVDNAGLKDGVTCSAVNRPEILNCFSPEKLLHYIKELALFPGQGGDLLELVIACSQLTSFYRSKGCPELASFQTGDAWVEDGLDGTDTSATQNVVAEEVVINEVPPTQDKPKRGRGRPRKQKPGDGQVVMEKRDLSNRANDASHDDFDDFDNLQNKKKRNFDSFEDLEKASAPTGGKSFKIGECIRRAASQLTGSSSIVKAQNEPTGYKNAAEAENGEFDISSDDAVDELTVEKRAKRRRLHRNHTADPKELLSQLCLVATEPMNGYSFSAMLISYFNDYRNYIVSTTTEASIVEKELDLVKMFSRYGPLKEMETEVDKDTNTVKVVFKKRADAERAFSAAGKYGTFGPSLRSFRLVNMPFSLKALSANNPVKHPEHHGAEIPGSSKSETPNDAMEVDLVHKTEKVEVGEQSVEQVEAVKQTSQVEAANAAFANQVDMVEKTGKIDAELIGHVNQIGTTAQAASVAEGSSEQVGNVEQAYTQKEASIGGLPDITQSGAVTGASIGGMVDEIQLHETPNQASMADTANTFQVESAPEAQTLHSDATIKESPLDVSDNMQMDVAAETPKQSHISGDNTVSEAITEAPGTAQVCTEVDTANEVSEELMVSPALQSQTAGEKLVEQDATEQHVGSEGSKLEAETFAGEPAVQGVVKQVEVEVESKTTVEVSGEQVYSIEQTVQLEAVTEASGGQLEVGRQTPGDESMADATTEHSTIMVEETVEAKAVPFKEDIEDNAAAVGVAEETAEGETKEEAPDNGKMGNKAAADRLAGETREGEITVEAPDEKAETKAIAEPITRETAEAIGEEPAEEARTSEEIVEDVKTLDDKTTSAEKLVEDATVVTEQDERTTTVEKTVEDAKVEQPNEKTTTAETTVENAVAEPPNTNTSEAEKTVEGATLKSPDEKGTAAEKKIEDTTIEAQDVQAGASE >KQL17320 pep chromosome:Setaria_italica_v2.0:III:49755201:49758345:-1 gene:SETIT_024978mg transcript:KQL17320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVITTVQIVLVLMTCTEPIVICGLLYRNETDELSLLDFKKAISLDPQQTLMSWNDSVHFCNWEGIRCRVKIPRRVTSLNLTNRGLVGQISPSLGNLTFLKFLFLDTNSFTGEIPPSLGQLRHLQAIILSNNTLQGRIPNLANCSSLKVLWLNGNNLVGKIPADLPQGFRTLEISINNLTGAIPASLANVTTLRSLHCQYNYIVGNIPKEFAKMLGMLSVQLGVNKLEGWFPEAFLNLSTLTELSLAYNYLSGVLPFNIGNSLPNLQVLRLGSNLFHGHIPCSLTNASKLYLLDMAINSFTGVVPSSVGKLIKLSWLNLEMNKLHAHDEQDLEFMTSVANCTELQMLSIYGNRLKGHVPNSFGNRSTQLQYIHMGLNQLSGSLPSGLANLPNLIALELGGNLFTDALPGWLGSLKSLQILALYNNLFLGSIPASLSNLSQLVNLELSTNKLDGYIPPSLGDLQMLEVLYVSHNNLHGRVPNNIFRIPTISVLWLSFNQLDGELPTEVGNAKQLMYMHLSYNKLSGDIPHTLGSCKSLEDIKLDRNVFSGNIPTTLGSISSLKALDLSHNNLSGTVPVSLANLELLQQLDLSFNNLEGEVPTKGIFRNATAIHIVGNRQLCGGVPQLHLPTCSVMPLNLTKHKHSVELKVVLPVASMVSLAIVVFVLFIWRGKQRRKSIAFPSFDSSSFPIVSYNDLARATDGFSKSKLIGRGRHGSVYQGKLFAREAVAIKVFSLEIKGAQNSFIAECNVLRNVRHRNLVPILTACSSIDGNGSDFKALVYEFMPRGDLHLLLYSTCEDENTSNHITLAQRLSILVDIADALEYLHHYSQGTIVHCDVKPSNILLDDEMTAHVGDFGLARLMIDSSTSTFADSASSTVAFWGTIGYVAPEYATDGGQVSTAADVYSFGVVLLEVFLRKRPTDNMFKDGLNIAKYVEMNFPDRIVDIIDPELLRDLRSQEAPMAMKENCLGCLLSVLNIGLCCVKTSPNERVDMQEVAARLHGIKDAYLCEHQ >KQL16498 pep chromosome:Setaria_italica_v2.0:III:41061156:41061978:-1 gene:SETIT_024961mg transcript:KQL16498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGYGRLSDRSIAYELWNYKEGRRATTTECVSYMSNQVKQLSVTKRRKIRRSSGRA >KQL16106 pep chromosome:Setaria_italica_v2.0:III:29610905:29611330:-1 gene:SETIT_024072mg transcript:KQL16106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNMDMPAMRMAFFWGHRVQVLFSNWPGDRDGVGMYVLCVLVAAVLAALVEVLSAASRGLSRRSRGSNALGALLMTGIHAVKMGLSYLVMLAVMSFNGGVFLAVLAGHAAGFLLSREGMIGPAATRDDVPTNGALPPSEPKP >KQL13580 pep chromosome:Setaria_italica_v2.0:III:5340599:5341112:1 gene:SETIT_025569mg transcript:KQL13580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSTMISSNCRMAAFSGIIEGCLLWPQADIILLHL >KQL14682 pep chromosome:Setaria_italica_v2.0:III:12931867:12932650:1 gene:SETIT_025507mg transcript:KQL14682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGHIIAERIRICSRKHCRRPRSKRRRNNPKKETPTRFLKVGVGIPHGFIFARRVATAAVGRRT >KQL15021 pep chromosome:Setaria_italica_v2.0:III:15705258:15708197:-1 gene:SETIT_022278mg transcript:KQL15021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMGARGLAALVVLLLASALLPCPASGSVRRVLEGEKHSSGQVAPAPTVAAGGSPKGDSPKESGQSSAAGQKPESHRHQKSLPPAPSPPKDTKVPSSEKGKEGGEAQASTTPPPPPPAQDTNSQKASPPPGGLGPNGGGEAKSGTDQEDTGSQGKEEDMDKMKADMEKCDTSHKCSAKEFSACLQASDHASVGSLIIVHNEGKNDIIVNIKEPSNIDIDKTPLHLAKGAFQQINIPNVSANITLTDGHGDCFIHLGQSVESQSVSNWQQQIQMFAAYATRLNPIYGASFFIFTVVLVGIMCACCKFARRRGNDAVPYQQLEMGAQAPNSSVVDSTTSTTDGWEDGWDDDWDDEEAPARPSDKKPTSSVAANGLSLRTQTNSKDGWDVDWDD >KQL13637 pep chromosome:Setaria_italica_v2.0:III:5715987:5716434:1 gene:SETIT_0212462mg transcript:KQL13637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAADPASALPLTLDLEDFKGDFSFDALFGGLVDELLPEYRGEDDAAPAPPPPPLVLGAAPPVFPAVDELLGLFKHSCKELVDLRKQ >KQL14750 pep chromosome:Setaria_italica_v2.0:III:13650473:13651818:1 gene:SETIT_025073mg transcript:KQL14750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRFLYLLLDNINQEQSTFALRRINISRGAGSSANNTATIEKARLPRPVITFRPPSSESELDFGSMDFMLFSSTRDSKYQIIGTYQRGNTLLYSMDSNTIRVMPTVNKRKRMPLSHIVGDSLYVMDRFPRPSDTKCFEALAHGRASSDRFSRLDWYWQSLSPPPDIFEPGYKLPDSYIRSYAVVGHSNICVSAKDIGTYSFDTVSQAWSKTGDWVLPFSGRAEYIPEYNLWFGLSYGDNNLLCTSDLSAASELKPPKLRHVWEDNHKTPEDWVRGMANVVHLGSGKFCVARFFQTPEEEPCEDGGFIRRECERFVVLTGVEVERCGKAGRGLRMITHRSKRYSKPHC >KQL13617 pep chromosome:Setaria_italica_v2.0:III:5580434:5585450:1 gene:SETIT_021465mg transcript:KQL13617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEIRAELESSGFSIGGAGPEDAAQILSTLLTYCINYKMSPADLVSNWEVYYLNRQLDGLKLQRSYLDGFQSHLQNEVKERIIEEEADLHIYSSNDVDMLLNNSHADEAAFLETPGSKQEKTPGEPFNSELTLTSDRPSSSRVAKTNGDRITPFATRVNKFTQQYVLNADNAASMPSTHEAETTDDEVIKRIQPSQRCSLQVQRSQPEPGCRFMYDRMEDRFNYLEDRLRKSATLFSASGFCGEPADATLASEEKMFAVGMVTCDGEGRLNEKSILLQGSVEHSRGQRVRLDLKDLDHFSLFPGQVVGIEGHNPSGHCFVASKLIDSIPVSVDAQLPSAKKQAVDNGNHHQNSDAGTLPRALSSVIAAGPYTTTDNLLFEPLQELLSYACRKQPQLLILMGPFIDSDHPDIKKGTVDQTFHDIFHFEILRKIQDFTQYLGNTVRVILIPSVRDAHHDFVFPQPAFDLNLPEDITHQISCLANPSLFSCNQIHFGCCTVDILKQLSGEEISRKPPVGKPGDRIGRLATHILKQQSYYPLYPPAAGVPLDFSLAKEALEISSAPDVLLLTSDLAPFVKVLSLGEGSDVQKQFICMNPGRLAKGIGGGTFVELYYNEDTDRTKASIIRI >KQL15296 pep chromosome:Setaria_italica_v2.0:III:18751870:18754251:-1 gene:SETIT_024049mg transcript:KQL15296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRGPPFDFDLNEPTPPEEDDGAADASGARAPEPMREPSPRDLLPPSPLDTLPPPQHDALPSPEPSPRDPLPAPSPEPSPRDPLPAAVPESSPRDPLPSPTHEPSPRDPLHPPSPVLDLEAPLSSLDDEDDYDYDEEELPPPPPLPPFGLPNAAAPARSSSSVDAPHALPVRPNGQGEPLGDTARLSSPENSAPRGPSRSASGTASSHRSRRRPYSYDPRDDDAISKQRRVDNYDDDARSSRSGNRRSGCPRRYERSELASPPHYEQGGGRRAPGTHGPPGAPPRNRRRQRRPQHGYHQYPGPIQKQQGRGREQPQGFRGQERQQAHQGHHEVPKVGYSSYDPLSGSFVRWGSPDDDRQSPEREPIDGNGGYHQRREAPPFRQSSRPRGREDSCHGRQNQAQEPPKTGGYHQRWEAPSLRPSSAHGRDSSSGGRKGPPQQPINGGAYQQRKAPRGGDHFPDRPYHPYARDGGASDRANGGHQARREPPPNYEYRRDSKQRPVGVQTSQASVPTVAPSATMLSSQDQQQAHSVGHVQGIPTIPPSTGRAAARQRVERSNMAVSRVRRCPGRSGGRPHKNGGPPLPRAREHHHLPVAPAPARPLAAPDGRLHTNRAGRFA >KQL15533 pep chromosome:Setaria_italica_v2.0:III:21173686:21176049:-1 gene:SETIT_022236mg transcript:KQL15533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTARLRAVVACVVLAAVATAPLPAAGILDPVDFLALQAVRRSLDDMPGSAFFDGWDFTADPCGFPGVFCDGDRVASLALGDPRAGSPGLTGRLDPALGRLSALTELSLVPGRVEGQLPASLASCSNLRFLAVSKNLLSGSIPDGFGALTNLRTLDVSFNQISGAIPPSIAALPSITNLILCHNQLTGGVPAFQDSSPLLRLDLKHNALTGGVPTLPAGLQYLSLSANKLSGTVDQVLPRLTRLNFLDLSMNQLDGPIPPAVFALPLSVLQLQRNFFAGPVQPANDVTIPVVDLSYNRFWGQLSPLLAGVGQLYLNNNRFTGEVPSRLVQELVGSGGLQVLYLQHNFLTGIEISPSSSLPSTVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >KQL15532 pep chromosome:Setaria_italica_v2.0:III:21174132:21175337:-1 gene:SETIT_022236mg transcript:KQL15532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTARLRAVVACVVLAAVATAPLPAAGILDPVDFLALQAVRRSLDDMPGSAFFDGWDFTADPCGFPGVFCDGDRVASLALGDPRAGSPGLTGRLDPALGRLSALTELSLVPGRVEGQLPASLASCSNLRFLAVSKNLLSGSIPDGFGALTNLRTLDVSFNQISGAIPPSIAALPSITNLILCHNQLTGGVPAFQDSSPLLRLDLKHNALTGGVPTLPAGLQYLSLSANKLSGTVDQVLPRLTRLNFLDLSMNQLDGPIPPAVFALPLSVLQLQRNFFAGPVQPANDVTIPVVDLSYNRFWGQLSPLLAGVGQLYLNNNRFTGEVPSRLVQELVGSGGLQVLYLQHNFLTGIEISPSSSLPSTVSLCLMYNCMVPPVYAPCPIKAGSQNTRPADQCPEWRG >KQL12933 pep chromosome:Setaria_italica_v2.0:III:1702043:1702561:1 gene:SETIT_023880mg transcript:KQL12933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICEFFFGRVEWKLFAYSSILWPGLAVMFQKHICFPSFWINNLYCEVKTELKTPQLTRFLQTSSNKLAPRLVF >KQL13771 pep chromosome:Setaria_italica_v2.0:III:6646318:6649396:1 gene:SETIT_021120mg transcript:KQL13771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKQSSFPLRVLADLSLLFCILLAPVCSATPATAPATLLQLKSSLTDPEGVLSGWSPEADVCSWHGITCLPGVIPPAIGGLISVESIDLSSNSLTGPIPLELGLLENLRTLLLFSNSLTGTVPPELGLLKNLEVLRIGDNRLHGEIPPHLGNCSQLETLGLAYCQLNGTIPAELGKLSRLQQLALDNNTLTGGIPEHLTGCASLRVLSVFSDLQSLNLANNQFSGGIPSEIGNLSSLTYLNLLGNSLTGAIPEEVNRLSQLQVLDLSMNNISGKLSISGAQLKSLKYLVLSGNLLDGTIPEELCTGDSSSLENLFLAGNNLGGGIEALLNCSALRSIDVSNNSFTGAIPPSIDRLSGLINLALHNNSFIGALPPQIGNLSNLEILSLFHNGLTGEIPPEIGRLQKLKLLFLYENQMSGTIPDELTNCTSLEEVDFFGNGFHGPIPERIGNLKNLAVLQLRQNDLSGPIPASLSECRNLQALALADNRLSGALPETFGQLAELSVVTLYNNSLEGPLPESLFQLKNLTVINFSHNRFSGGLVPLLGSSSLAVLALTSNSFSGVIPAAVARSRNMVRLQLGGNRLAGAIPAELGNLTRLSMLDLSFNNLSGDIPAELSNCAQLTHLKLDGNSLTGTVPSWLGGLRSLGELDLSSNALAGGIPADLGNCSGLLKLSLSDNHLSGSIPPEIGRLTSLNVLNLNKNGLAGAIPPALRQCNKLYELRLSENALEGPIPPELGELSELQVILDLSRNRLSGEIPASLGDLVKLERLNLSSNRLEGQIPPSLLQLTSLHLLNMSDNLLSGAVPAGLSSFPAASFAGNELCGAPLPRCVPSSTRRLPGTEVAVIVAGIAVISAAVCVVMLYTMLRVWSNWRAVSVSSSDGEESAHGGGRADKWGAAGDGKYWKVGSPVSSSAGEKHSSGSETSALHGKSTEAAGAAKS >KQL12860 pep chromosome:Setaria_italica_v2.0:III:1293208:1299419:1 gene:SETIT_021625mg transcript:KQL12860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFGARRRRSPEDDGEDHRSGYGRAQRRRLSPEEDAASPAEAGPGAATGSSPGWLSGFVSGAKRVISSVLLFSSPEETGSGEEEEDDEDGNGLNSDENEDVPDTHGAIVPYSESKLAIEQMVMKETFTRDECDKMVELIKSRVTDSTFPEAREYGSPEEIPSRIAGIGHDFTGAWRSLSRDRNFTKSVPFSSMRPGSFSPGSPLQASPELCTVAVTEAKKWLEERRQGLGLKPEDNGTCTLNTDMLSSGIDSDMGSPVDLAKSYMQSLPPWQSPFLGSQKFNTSSSKYSSSLSKVTTKEDYLSNFWGKLEESRRAHIGSSGGSVDAPKFWNYGSTSRLFENDTAIFSLGTDEKVGEPTKTNNGSEKVAATEPISGYSIPITPAEDRIDGIGEPVELAKDNGNASEIQPDKVAEGNNVSSTSNTKDATDHIGDVKAPTAEPNLGESHINSASEFRPKDAGPPIQARVNGSSKKTSVNGLVDQSKANSGLESSANDNPSCTNSSSAVPPTSNDLTESAAGAADVHSVENCTGINPEEPVKGASRQNVRRGGRKRVVRGPKGRGK >KQL15681 pep chromosome:Setaria_italica_v2.0:III:22528298:22532124:-1 gene:SETIT_021937mg transcript:KQL15681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAHLITNCTFSASPAVKTLSGSPSYCCNVGRLQNSKSSNLSLKSSSKRQKKSYVTCASAAVQGHTQTPLAGSQEASSSKPKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLVRRLFDHQLGLDSLTPITSIQNRVRRWKSLTGKTIQLFIGDICDFEFLSEAFKSFEPDAAVHFGEQRSAPYSMIDRSRAVYTQHNNVIGTLNVLFAIKEYSEECHLVKLGTMGEYGTPNIDIEEGFITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAMHEELSNRFDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAKPGEFRVFNQFTEQFSVNELAKLVTAAGAKLGLDVQTKSVPNPRVEAEEHYYNAKHTKLIELGLEPHLLSDSLLDSLLNFAVQYKDRVDIAQIMPSVSWKKMGAKPRTVSV >KQL15197 pep chromosome:Setaria_italica_v2.0:III:17747837:17749860:1 gene:SETIT_022480mg transcript:KQL15197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPQGCSWFGGPAGAWERRGEAPSFLFHQGSHVLLVRQYSKREPPLFPGNPFSPPEKGPPGVPPPPPPPPPPPVFCAAVLLAGYRHIDCAQAYNNEKEVGLGLKRVLDEGVVKREDLFITSKLWNTNHAPEDVPVALDGTLKALQTDYVDLYLVHWPVRMKKGAGFGPQSVIPSDIPATWAAMEKLYDAGKARAIGVSNFSSKKLADLLAVARVPPAVDQVECHPVWQQGKLRAFCESKGIHLSAYSPLGSPGTASVKAGAVLEHPAVVSAAEKLGKTPAQVTLRWGIQMGHSVLPKSTNEERIRANLDVYDWSIPDDLLAKLSEIEQERLIRGDFFVHPEGVFKSIEEFWDGEI >KQL15475 pep chromosome:Setaria_italica_v2.0:III:20557211:20560907:1 gene:SETIT_022141mg transcript:KQL15475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGGSQKKRHKSVANVDIISNLPDVIKDKILCCLPIKEAVGTCLLSRKWRYTWASMTELTFREDDFDLGNGIEEGESNKFVYFIIMVLSLHNGPILKFELNVRRVHLLSPGGHIHRWMLMLSRNGVKEIQIRTKIWRNYKIPSSFFSCEELEYACLQGCVFQLPPVFTGFKRIHTLHFIDFCATENNIGELVASCPNLEKLVLSRLLSFADIIIHSTKLKILRVDGMFKHLSLVTPHVSSAAINLQVNTGYVPRAGCNFNLSQFIGSLLDIENISLLGHAFECAAHGILPGKLPRLLNRLTEITLGIDLGNLKEANAAHCLFQVAPNLRCLELQLIYRGYGTPTSNFWDSIDHQSGLFNNLDTVVLNNFAGSCAESGFLKLLLEDAPVLRIAQIKDNNKLDKESLRRLLKMRRASKDAEVILL >KQL14855 pep chromosome:Setaria_italica_v2.0:III:14359499:14361013:1 gene:SETIT_021855mg transcript:KQL14855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEQSKKLRILLIPFFATSHIGPYTDLAVRLAAARPGFVEPAVAVTPANVPVVRSLLERHGPAACGLVEIATYLFPRVDGLAPGVENLSAAGDDAWRIDAAAIDEALTRPAQEALLMERCPDAVVTDYHFFWNSSIAAELGVPCVAFSVIGAFSLLVMRLLGGAVRDGGSESQVVVVPGLPEPEIQIPVAELPEFLRRPPESDDKRNQGRAGLSSCLGVAMNTYQDLEQQYCELFVRVASLKRGYFVGPVSLPLPPAAAGTDESPCIRWLGSKLSCSVVYVCFGTFAVISEDQLPELALGLEASGRPFLWVVRADGWTPPDGWEERVGERGMLVRGWAPQTAILAHPAVGAFLTHCGSSSLLEAAAAGVPMLTWPLVFDQFIEERLVTEVLRIGERVWSGPRSTRYEEREVVPAEAVARAVARFLEPGGAGEAARGRARELAAKAHAAVVEGGSSSRDLHRLIDDLIEARAAAGLTTSPSVAPVETSNNTDGK >KQL15766 pep chromosome:Setaria_italica_v2.0:III:23534363:23537092:-1 gene:SETIT_023110mg transcript:KQL15766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPAPVPAGRWADLPEDIAVAVASRLQEADVCALGGCSRSWRTACDADCVWERLFRCRWPAAAAEAAVASRVQGWKALYMNQHRRMAVAISNVVEFVGSSLNNGSLESEYYLKAIADLALIADIGFLDVQFFLFSRNHSAIINLIGLHYSISSLHVPPTEVSKALQACQVAGRKVCVNLLKLGRWFYGFRLRDEHESRKISLNELTMSEGAEVLAILNRGAVHEVFRLRVSLADMDK >KQL16637 pep chromosome:Setaria_italica_v2.0:III:43217850:43221378:1 gene:SETIT_024801mg transcript:KQL16637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERERGVVEQPPAKRMRLEVPVRDGVGGGGGVLSPRSLTLRQILLVVLFLVRASARVTVTASVSQIGVTRQVDGKLKAFQGQVESKLETFHGQIEGLHQEVRQLARLHSNHHPERHTRLEPNQEHAASSGSNTNIHLRFRNKWKAPIYTDKDITDENKVVIKVEVFEGDKMITTGPLSKAKIEILVLHGSFYKKFHDNWTEEEFDKHTVQGRDGQMLVLGTVQLTNGEVELSQIHFKEGSCRKKFSMAARFCKTEKIAGRVREAIMEPVEVKDRRNESNEKSKSPRLDDAVYRIEAIARDGAYHKRLQEANIHTVQDFLKALNKDSEELYKILKMKKKGKSWSKMTGHARKRVLEDRHELKAYQTEDGTLMLFFNCVHDLVGARFGSRYIACEQFDINHKASVKRLKEHVYNRLEDIPYDYVMKGNAPERISLGTGGAAGPSVVSVDARQPNSIANNLEAYQDHQGAGAPENCPSDVFNPVTEPIDTYAYGPMYTDPRNTYDCQ >KQL13773 pep chromosome:Setaria_italica_v2.0:III:6668953:6669583:-1 gene:SETIT_025652mg transcript:KQL13773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRVRIISVLALCVAIVCVATLITVVVVLFRHGRRREQVTAVDDVPSAISVTDSGLSQYSISSSILILP >KQL16958 pep chromosome:Setaria_italica_v2.0:III:47053782:47057868:-1 gene:SETIT_021675mg transcript:KQL16958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAGAGDGASAARAVKEEEEARALLPAPAPAGYGDEEEEEDLEERAYEAAEKVIVCISDGPDLESSGCDDAALCSSSGAAPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAVAGDTLLWLLMWATAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVAMVGADIQEVIGSAIAIKILSRGYLPLWAGVVITSLDCFIFLSLENYGVRKLEAVFAFLIATMAIAFAWMFTDTKPNMKDLLVGILVPKLSSRTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDQNKEYQVREALRYYSIESTIALAVSFMINLFVTTVFAKGFYGSKEAGNIGLENAGQYLQEKFGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWVRALITRSFAIVPTIVVALFFNTSDSALDVLNEWLNVLQSIQIPFALIPLITLVSKEQVMGIFKIGPNTQAVTWTVATLLITINGYLLMDFFSSEIRGPLSGALLCVAVLIYASFVLYLILRGTELSEKIAKGIRNTFS >KQL14796 pep chromosome:Setaria_italica_v2.0:III:13923383:13923824:-1 gene:SETIT_025787mg transcript:KQL14796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWGLELGCRTGRAADRDVAGGPGCRRMDIHLDRDLTGGGTAWMRRARRWHGSARGHRQLGCAGPVSGVDEQGGGGRRR >KQL14870 pep chromosome:Setaria_italica_v2.0:III:14421722:14433287:-1 gene:SETIT_021280mg transcript:KQL14870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVMQGRRVGVAYYDTNTRQLFVLEIWEDSAGEFPLIDLDGNDEAPVVKLMKSSTFSYEPAWHRLIYLKVAAMDDGLSVKERICFLNSMMDLGSDVQVRAAGGLLAILDNERLLDTIEQMEGGASIAIDSLALYALTFHRDKFLKLDATAHEALQIFQVDKHPSYMGIGRAKEGFSVFGMLNKCVTPMGRRLLRAWFLRPIIDIDVINNRLNTITFFLCCEEVMSALCETLKSVRDVPHMLKKFNSPSSFCTSSDWNTFLKCICSLLHINKIFEVGISEHLANKLQHMNIDLIGKANSSITAELDYVSDLVVGVIDVQRGKEKGYETVVKEGLCDELDELRMVYEGLPDFLEQVSANENASLPFEFRIPPLIVYVHQIGYLMCFFDEKISDALLVGLPDYKFAFSEEGEERRFYYHTQKTRELDNLLGDIYHKILDMERAIMRDLVCRVLQFLPQLTKAVNFAAELDCILSLAVVARQNNYVRPILTEDSILEIHNGRHALQEMTVDTFVPNDTKIRDAGRINIITGPNYSGKSIYIKQVALIVFLAHIGSFVPADSAIVGLTDRIFCAMGSKSMTTEQSTFMIDLHQVGTMLRHATLRSLCLLDEFGKGTLTEDGIGLLGGTISHFANCDFPPKVLLSTHLTEIFTGNYLPQSEHIKCYTMSVLNPDGQTSNDDITFLYRLVPGQAHLSFGLHCARLAGVPNEVVQRADSILEDIHSKRPIRRMSGEKLEATDKQYQDAVTKLMAFDTQNGDLNSFFQELLASEL >KQL15189 pep chromosome:Setaria_italica_v2.0:III:17707128:17711072:1 gene:SETIT_022571mg transcript:KQL15189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSSIRSALARALVAPKLRGPHRFAATAAAGDTQPERVAAEMVRYALGGAVHRSSPEEAMRILEQGASNLQGGGEGSAEAVGLLMLAMSTLLYQSGRRQDAMEKLKATQQVAPSAAFRVAAWEALMGLHMEAGQEISNSVSPNDSVDLSIKDDSQWSDQDHLKFRVNAIKGLIALLNGETESAAQLFTDGCKDFAGGKHQTESAAFSYGEYLHCVGDFPMATQVYESVLEAARMEDMSGNLLAAGNMVPEEVSLGATCSYGQLLSHSGKFGEAEDYLTRALQKAQEQFGSNHPKVGIVLTCVARMYKLLAMSEGSSSIMVQEGLYRKALEVLKAPAINSEG >KQL16259 pep chromosome:Setaria_italica_v2.0:III:35288864:35289726:1 gene:SETIT_025065mg transcript:KQL16259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTIRDVLHTYRNAHAGYERIIGIGTAPQLARNAVALLVWIDQGHDDLMSHLPALTPDAVVHLTNEAGAVIDSLHLGTLVPPPTPLISALSHDGVGIDPGTFAYNQELIVRTLADFLEGVGNLVFDDRLYRLFNRHQTGLLGRYQELEEPYVSPLPVTVPEDCRSMFITFSRGQPVERDEIFDYFRHKWGDCIVRVLMEKTTGGMPPMYGRIIFKRPAFVSLVLNGEEHVYIFIRDREIWLRKYIPRPNNG >KQL16400 pep chromosome:Setaria_italica_v2.0:III:39223092:39223685:-1 gene:SETIT_024381mg transcript:KQL16400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSEARIGGDQLLHPSTFHNTPPQSPSSSGGAVPPHLSSPPPPSPPAQLTECLTPLISMMPCMNYLTNLTVLAPPAECCDGLKSIIRDAPICLCHGMTGDMNDLMPVPIDPVRMIILPLACGAMLPLQTLFSCNTQQVPPIMPPMAVPAPANPPASPIR >KQL12858 pep chromosome:Setaria_italica_v2.0:III:1290298:1291335:1 gene:SETIT_025331mg transcript:KQL12858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVDRFLDDILREKPARFTPENLREFTRNYAERLGSGGFGVVYRGALPNGVQVAVKILNSTLDRRAEEQFMAEVGTAGRTYHINLVRLYGFCFDATTKALVYEYLENSSLDRVLFEREQHRDVIDGGALGFDTLYGIIVGTARGLRYLHEECQHRIIHYDIKPGNVLLTADYTAKVADFGLARLCNRDNTHLTMTGARGTPGYAAPELWLPLPVTHKCDVYSFGMLVFEILGRRRNLEPQHPAVSQEWYPKWVWQRFDQGRFGDVMAASGIHAKDRDKAERMCKVALWCVQYQPEARLSMSSVVRMLEGEEEIARPVNPFTYMASLHTISCSSSGGSAAASSYS >KQL15231 pep chromosome:Setaria_italica_v2.0:III:17983903:17984410:1 gene:SETIT_025497mg transcript:KQL15231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVNRAIHPPYPTQTRRSSRWCGVTPATGTRVRAIATALFRRPAALAG >KQL17160 pep chromosome:Setaria_italica_v2.0:III:48656503:48658082:1 gene:SETIT_023496mg transcript:KQL17160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLEGWRVITDKFNEKFPVAHFSKKQEQEKEKELKTNYKALRDAKRDSGNGWNESLCMILTEPRVWEKLIANHPKVAKFRKKPFPLFYQLEALYEGEEEGGSGRKRKQSHIGSALEGYVEYKKSQTNKTLQAFEERKRSEKEFSVEKCVDQVVSMVELTDK >KQL14709 pep chromosome:Setaria_italica_v2.0:III:13071576:13076020:-1 gene:SETIT_021503mg transcript:KQL14709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGASLGLRSSGSYGSLLQQQLGGCHSSPSPAPSASPPLAARKPAKMSLGGAGGGGGPRVFARICKLAGRRQRMLLLLLVAVAVAFCFLFSSLVSKDEDASPGVETMLVFSDHVRSFVNPVWTSSGRPVAQRDSLTVNGLNTASQMEKQSDSSRKQVQSPMRRFPPAVVLNHHPCENFSLSPPPIDRKRTGPRPCPVCYLPVEQALALRPAQLSASPVLQSLNYISEANLVSEESNGGSLFGGYPSLEERDKSYDIKDLTTVHCGFVRGKIPGLNTGFDIDEADRSEMQQCERTVVASAIFGNYDILQQPENISDFSKDTVCFFMFLDEETEAALKNSTTIDHTKRIGLWRVVVVRNLPYSDARRNGKVPKLLLHRLFPNVRYSIWIDGKLKLVRDPYQVLERFLWRKNVSFAVSRHYRRFDVFEEAEANKAGGKYDNASIDYQIEFYKSEGLTHYSSAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKIRSRVNWTADMFLDCERRDFVVQSYHRELLEQRQATLRSRPPLRPPMVQIQPRKMLPDNAAKEPGKASSAKKLPGKRTRDKKSSSKRAHQTKVIGGKEAIQL >KQL15538 pep chromosome:Setaria_italica_v2.0:III:21214761:21218796:-1 gene:SETIT_022543mg transcript:KQL15538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGEEAVPPAAVVGDDDAGEAAEQQDFGLPGELMAVLPSDPYAQLDVARRITSIALSCRLGRLEAEVARLRAQLAERDAEAEDLRERVEQLDASLAVATGRLRRVEEEKETLVRENSSLSNTVRKLNRDVAKLEVFKKTLMQSLQEDDGSDNTAPSARVAASPNFSSAPSDEDSALPTSKSSQFSETASSVSEESSKVDPDAPRPPRPHVFLPSYNNTPRMTPPGSPPRGGYASVSPPRRHSISVTSMNMFNDRPSSYSGHYSSPFDAASQTGRTRVDGKEFFRQVRNRLSYEQFGAFLANVKELNAQRQTREDTLRKADEIFGPENKDLYTIFESLITRNVQ >KQL12851 pep chromosome:Setaria_italica_v2.0:III:1252759:1257086:1 gene:SETIT_022314mg transcript:KQL12851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLRSRSLKRLLSLGRRSNAEESAEECAEIADPPPPYKPTWRCFSYDELHQATDGFHQDNMVGKGGYGEVYRGVLDGGRAVAVKRLAPTAAADEKKEKDFLTELGTVGHVRHPNVSALLGCCVDRGLHLVFEFSTRGSVSANLHDLKLPVMSWKQRHGIAVGTARGLRYLHKGCARRIIHRDIKASNILLTADYEPQISDFGLARWLPSEWTHHAIAPIEGTFGCLAPEYFTHGIVDEKTDVFAFGVFLLELISGRKPVDGSHKSLIAWAKPYLSDGVVQGLVDPRLGDGYDAGQLRRLMFVASLCVRAAAAWRPTMTQVLELLESGEISQDQWQMPEKEEQDELWEFDDLDDFEEDDDDDYDNYDDESDSPSISSSACSIHPND >KQL12687 pep chromosome:Setaria_italica_v2.0:III:393800:395299:-1 gene:SETIT_024518mg transcript:KQL12687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAWTRVDDTLALRFPSHWVSVLVPFYRLAHSLRRLELQHMAGDETTTLPRLHSLYLSNVDVSEAALHRMLDGCTAFRDLTLLRIHGFRRLVLPFQDPRHRAAHQAPSPDGRALLQLESIVLLYMDLWRVRAITQAAKLREVTLKKLALPIVTVLVLHMKFGDGEELMKAARMLTLFPCLNFLQIWHYTFSLHLKPAWLHCKQQLAVVKPQNISLTSTYSTSQRYRDV >KQL17051 pep chromosome:Setaria_italica_v2.0:III:47822419:47826362:-1 gene:SETIT_021822mg transcript:KQL17051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLNKIFKGSVNNRVSRGHYDGDWHEGHSSDYNRDTYGDSDNEDIDRAIALSLAEEDQNKGKAAIDTHYDLEEDEQLARALQESLNAESPPRQNVPVENVPPRRNVPIEDVPPQQYVPAKEPPPHVYPGSGFRTCAGCHNPIGHGRFLSCMGSVWHPDCFRCFACNKPISEYEFAMHDDQPYHRSCYKEFFHPKCDVCDNFIPTNRDGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPREIKYITLDDGRKLCLECLNSSIMDTPECQHLYMDIQEFFEGLNMKVEQQIPLLLVERQALNEALEAEKNGHHLPETRGLCLSEEQIVRTILKRPQIGPGNRILDMITGPYKLSRRCEVTAILILYGLPRLQTGSILAHEMMHAYLRLKGFRNLSMAVEEGICQVLSHLWLESEIIAGSSSNVASSSAASSSSSSSSAPTSSKKGAKTEFEKKLGAFIKNQIETDSSEAYGDGFRAGYPAVERYGLRRTLDHIKLTGSFPY >KQL17052 pep chromosome:Setaria_italica_v2.0:III:47823415:47825865:-1 gene:SETIT_021822mg transcript:KQL17052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLNKIFKGSVNNRVSRGHYDGDWHEGHSSDYNRDTYGDSDNEDIDRAIALSLAEEDQNKGKAAIDTHYDLEEDEQLARALQESLNAESPPRQNVPVENVPPRRNVPIEDVPPQQYVPAKEPPPHVYPGSGFRTCAGCHNPIGHGRFLSCMGSVWHPDCFRCFACNKPISEYEFAMHDDQPYHRSCYKEFFHPKCDVCDNFIPTNRDGLIEYRAHPFWMQKYCPSHEDDGTPRCCSCERMEPREIKYITLDDGRKLCLECLNSSIMDTPECQHLYMDIQEFFEGLNMKVEQQIPLLLVERQALNEALEAEKNGHHLPETRGLCLSEEQIVRTILKRPQIGPGNRILDMITGPYKLSRRCEVTAILILYGLPR >KQL14519 pep chromosome:Setaria_italica_v2.0:III:11739978:11740280:1 gene:SETIT_024252mg transcript:KQL14519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEGLHCYLDIIQNDEKEDYHRWKDFNVKTWDIDMLDGLPQQEDRTSSGLFMLKYMEHWNGYRLQKGFTQNLIDEFRSKLAAILVNSVFNEEQTMKGSPEI >KQL14984 pep chromosome:Setaria_italica_v2.0:III:15348100:15349189:-1 gene:SETIT_023692mg transcript:KQL14984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSSLLPLASLLAGSCALLAAAAAAAALLLAISAWLQRPRRVAGAFRRQGIDGPPPSSFLSGNLPEMQARAAAAAVAETGGRDFEKEGFDDYCKRIFPYFDKWRKAYDYHG >KQL16798 pep chromosome:Setaria_italica_v2.0:III:45232307:45233415:1 gene:SETIT_024662mg transcript:KQL16798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLINKDFIEFAADGSNYLTWAMGVKIVLTAKGFIGTLNEPNPQAHIPKCLQQKVSLHFVRHHFHPDLKNEYIMEDNPKKLWDSLKERYNHQQSIILSKARREWSLLQVMDFKFITEYNSIVHKICSKLHFCNQPFDDVEMIEKTLPTFLPANRILQQQYHCHNYTKYSNLIYYLLQAEKHDELLTKNHQLRPVGAAPLPEVHFNAQNNNKQFGGKKFKKDFKCGCHNHTTRKCHIAKHLVDLYQKYAGKQVHGDKFEAQFTTQSTDASCSKDVPAKHNNEQIPPQLDDLFSTDNMLVDSTDDMLVDFQSNNMFGDKN >KQL13472 pep chromosome:Setaria_italica_v2.0:III:4665807:4668825:-1 gene:SETIT_022896mg transcript:KQL13472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAELANGLHESTVAMEEGRGGGDEACRESSEQDGAGSRPMFSVPFVQKIIAEIFGTYFLIFAGCAAVAVNLRTGGTVTFPGICIVWGLAVMVMVYSVGHISGAHLNPAVSVAFATCGRFPWRQVPAYAAAQVMGSTAASLTLRLLFGNAREHFFGTVPAGSDVQSLVIELIISFNLMFVVSGVATDNRAIGELAGLAIGATVLLNVLFAGPISGASMNPARTLGPAIVAGRYAGIWVYFAGPILGTVAGAWAYNLIRFTDKPLREITQTSSFLRSARRN >KQL12781 pep chromosome:Setaria_italica_v2.0:III:832364:834851:1 gene:SETIT_025247mg transcript:KQL12781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAGKGRERVVEVEDLSATTKDAAVVSTKPAKRHPLVLWIAILGLIMLVGMYIFSLSLKQNGMLFGLLQTNMIEKEREKPCHDPRIPDTEIPYVHYPTPNTYDREECACTEVRFFAILSMQRSGSGWVETLLNSHPNISSNGEIFSVKPRRSNITEITKTLDKLYNLDWYSSAAKNECTAAVGLKWMLNQGLMKHHQEIVKYFNQRGVSAIFLLRRNLLQRYVSILANAHDSAMKQLNGTHKAHVHSKQEAEILAQYKPTIDKKTLITELKRSDKLAADALMNFKNTRHIVLYYEDVVKNRTKLMDVLDFLRLPKRKLSSRHVKIHTKRLRDHIDNWADVSNALTGTRFESFLNGRSRR >KQL14258 pep chromosome:Setaria_italica_v2.0:III:9937994:9938587:-1 gene:SETIT_024588mg transcript:KQL14258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDDFTFAAVPPPLLAAGGGGGGRMGPLYPVFGRPRSPPRPAPEPVTATARVPLGRLLLVDREPTPAEDGDLDSVPAEMYCPWSPGWAAAAASPARCKKSGSTGSVLRWRPRLVGRSQSDGKEKFVFLSTSASGRKGRTGGGDGGVAAALGGHAWSHYAKGGGNGGARRRSFLPYKQDLVGLFANTAVFRRSYHPF >KQL14314 pep chromosome:Setaria_italica_v2.0:III:10490525:10493868:-1 gene:SETIT_021814mg transcript:KQL14314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRNMVWTHQSVNPGWEQVHVQVQTESSYYGGPGSDPSNLGVQVAVGVPGSTANVGICDLRNHEHQHVHNSYPHAGVASSFVFPTTVYNPSMATAAVSVYVPQTQSFGLGNAQPPSLYHSTGTIDESSSSVNFGDGASGFIKRKNAMVAGNHHFFHGFAGSSSSAHVPQNPAYGPWNASFQSNCFPNSAASNPPEYRSNNGWLFLEGSSADVPSSFSSMAARPELVPHGNYVFPACHMSQCNTWIPQAANGVAHGVPQWGYMNAVANPPGTTDMPSGNIQAGHSSIHGPLPHFCQNSLHTMQVPQIQVPHQQFLSNNVVHGLNPSAAGLPLDPRMLALPFNSEHTFGHPMHPPLANQVNNGVLRILPYQNATVMDRSRIHEAGHVIDEHRDMRLDVDNMTYEELVALEEQIGDVNTGLTESYIQENLRSTFYVPGAAGVSDQFSELSLENDACIICQDEYEAKELIGILECGHKYHATCIKQWLMMKNLCPICKTTALSSDRRNG >KQL14506 pep chromosome:Setaria_italica_v2.0:III:11641396:11647072:-1 gene:SETIT_021112mg transcript:KQL14506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGPPSSSTRSGGGWPGDAPWRTRRRGRWGWGRLFRFADGADAALMAAGAAGAVASGVAQPLMTLVFGEVVDAFGSGSRHNVLHRVAGVCLKFFYLAIGSWFACFLQVACWMITGERQAARIRGLYLEAVLRQDIAFLDKEITTGQLVERMSGDAILIQDAIGREVFQLTATFLGGFVVAFSKGWLLAAVMLSSIPPIVIAGAAMSWTISKLSSQGQAKYNEAGNVFEQTIGAIRTVTSFNGENRAIALYNKYIRNAYISDVQEGTATGLGFGFVMFILFCSYGLTAWFGAKLIIDKGYEGGQVVSVWMAFMTGAMSLGEATPCVTGFVSGRAAGYRMLQIIQRKPEIDPKGTDGIVLAIIKGDIELRNVYFSYPSRPDQLVFDGFSLHVLCGKTMAIVGEGGSGKSTVISLVERFYDPQAGEVLVDGVNIKSLRLEWLRGKIGLVSQEPLLFAISIWENITYGKEDATDEEIMAATKLANAANFIDKLPNGLDTMVGEHGAQLSGGQKQRIAITRAILKNPQILLLDEATSALDMESERVVQEALNRIMQGKTTIIVAHRLSTKKDADTISVVHHGIVVEQAIQLQDITGEPDACDAGYQRSTSAVRSVKSSKYIHSASLKRSISGGASFGSTSMHLITTASMIVRYSTHAELLSKVSDEGEECRKVPLSRLISLNEPEMPVLLLGTMAAVVSGVIFPILGLLISGSIKSFYEPPHQLRKDSRFWTLMYVASGVVSFICLPVEYFLFGVAGGKLVERIRSLSFKSIVHQEISWFDKPSNASGTIGARLSVDASNIRRLVGDSLALMVRSTVTVLAGFIIAMAANWRLALVATIVLPLGGLQGFLQIKFLEGFSADAKAMYEEATQVANDAVSIIRTVASFCAEAKVMKTYYRKCKAPVQQGIRQGIVSGSGFGVSFFILYCTYALCFYVGAKFMLDGKATFTEVFECSLLCSWQP >KQL16351 pep chromosome:Setaria_italica_v2.0:III:38062380:38068132:-1 gene:SETIT_021960mg transcript:KQL16351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRRRASRGSAPPELRLAYGARARTLGRAVLSLLPPPPPQGAPCPACRGGGGAGCLACRRWAHLLRDGDPVAYCGLVTRAVCAVAPAGAAPPPPRYTPGNAGHSQAKVPSDLPSSVVSDCRGGGQTGCVSELVSSSSWDILLHRIGDLLMCYILRHSSIFLPVKKNVFFQVTGLPLNVLLQKPIFTSTMGKHQQPQSTKQRCPMFSLCRNPDTPQNIPVGCVNNSNVAYASSDTSTWKFDTLQSSGSFGTAKCTELNCVSEGCNLFECPLTNGSIKCSGLDNQNPRKRKRLYSWQRRNKQKEICSEDRLSSQQSKRNNSDTIVQDVLLKDLGAMVNDELHPLELTVVKNSVAMISDVNNSLTKEPYGVLCYEKPPSSVFDIRPSQGNITSRIQSTCYQSGPICFDCLLLNSSKSVSVDSLISRHAVFYNRRTSYNIFHGNRILLLPFVLAYTSSVCCVSVTLPAII >KQL16669 pep chromosome:Setaria_italica_v2.0:III:43654526:43655206:1 gene:SETIT_023871mg transcript:KQL16669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGKISGLPLDNDAQCHKQKKKKNTANIRSPLMPHLHRCSRMSPRAPWPIAARHERERRMYKYLNITGSISPLT >KQL12798 pep chromosome:Setaria_italica_v2.0:III:923394:928262:1 gene:SETIT_021341mg transcript:KQL12798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTALDFLPAPLRSLRLKTKQQELLLRVSTLALIYVLAFAVRLFSVLRYESMIHEFDPYFNYRTTLFLSENGFSEFWNWFDSESWYPLGRVVGGTLFPGLMVTAALLHRLLRALSLAVHIREVCVLTAPFFAANTTLVAYAFGREIWDSGAGLVAAALIAIVPGYISRSVAGSYDNEGVAIFALLLTFYLFVRAVNTGSLAWALASAFGYFYMVSAWGGYVFIINLVPLYVLVLLVTGRYSQRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLLQVFFFLDWVKYLLNDARLFKSFLRITLTCVITVGALALGIGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVAAPAVCLISAIAASATIKNLTTLIRAKSKSPQATSGKTTGSKAAAKGAVDQSLPFQHNAAIALLLGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGHNGGRVIFDDYREAYYWLRQNTPPDAKIMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMQSLDVNYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLCYYRFGELTTEYGKPPGYDRVRGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRS >KQL12769 pep chromosome:Setaria_italica_v2.0:III:775876:776052:1 gene:SETIT_025070mg transcript:KQL12769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLFRKIRANQPLIDANLYIGSNQQDSLWSHIN >KQL13098 pep chromosome:Setaria_italica_v2.0:III:2589936:2592184:1 gene:SETIT_021378mg transcript:KQL13098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGHAVVDIDAAKPALAPVPYVLSFADLSYSVRKGGGLAGCLPSRASSSRLVASADAPPSSSGSRHTKTLLNGVSGEACAGELLAIMGASGSGKSTLVDALAGRIARESLRGSVTLNGEPLQGRRLRAISAYVMQDDLLYPMLTVRETLHFAAEFRLPRALSPEKKRARVDALIDQLGLARAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVAIMTIHQPSARILGILDHLLLLSRGRTVYAGTPAGLKPFFAEFGAPIPDNENPAEFALDTIREYERQPDGAAALADFNAKWQNNASSTDKDSKLMSTMPLELAIAESVSRGKLVAGSGSGSPVSGTVPTFANPLWTEVWVLIKRSFTNTARMPELFAMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVLANAAVAFPPLVLLSLAFAVTTFWAVGLAGGASSFLFFVLTILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFITRDRIPGYWIWFHYLSLVKYPYQAVLQNEFGGAARCFSRGVELFDGSPIGRLPEAVKLKVLEAISATLGTNVTANTCVTTGADVLALQAVTDIGKWKCLLVTVACGFLFRALFYVVLLVSSKNKRK >KQL14501 pep chromosome:Setaria_italica_v2.0:III:11598890:11599126:1 gene:SETIT_025262mg transcript:KQL14501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWPCVVKCPEFSYLLRFFLGLKIHLKFNFSYFVLSIV >KQL17386 pep chromosome:Setaria_italica_v2.0:III:50154531:50155067:-1 gene:SETIT_023870mg transcript:KQL17386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKHWRKPIYHDKFYIFIPPSSCGRYGRRRSRSIQFAIADKFYMFISPSSCGRYGRRRSSSNVAMPASQRQCGESD >KQL14402 pep chromosome:Setaria_italica_v2.0:III:11012476:11015079:1 gene:SETIT_021745mg transcript:KQL14402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAADDLAALREQLALASSAAISASDLDHAYRLQLAEVIQASLLHSPNGNTSSSPSPSLPVPESSSDADYAFAVQAADLARAEQDRLVAAHDALFARELAAIPEDQWAHDGDYFERPLDADASLRPLFRVLSKGMASKEVVGPRERDPRVAVLAVAVCGPQGEVLLRMHKPLERYVGGRMMVEVMALMEGLQSALALGITSVTIATAYRPLYNHMLGIWRPSGKNLADMVNQVLSVRRKFDQCAISFVEPTEVSYVVKLARDSIAVLIAKALATNASTSMERRETCTICLEDTDITKIHVVEGCAHRFCFSCMKEHVKVKLLNGMLPACPQDGCATKLSVEGSKMFLSPRLLEIMVQRVREGQIPPSQKIYCPYPKCSALMSLREVILPMQESCSKYTAADAATLRKCVKCRGSFCISCKVPWHDRMSCYDYKRRYPHARPEDVKLQNLARQRLWRQCVKCKHMIELAEGCYHMICVCGYEFCYTCGKEWKEKKATCACPLWDEHNIIREDDEDEDDYEEDEDDLY >KQL14060 pep chromosome:Setaria_italica_v2.0:III:8466976:8479594:-1 gene:SETIT_020967mg transcript:KQL14060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSTSRQADDGPAVLQLCRWELSETQLKLSKFREAFISPTRRLFGLLSDCGDLVLATAEVNPSQVELPRALSDTCSQAVFETFSSIPRVKSLAWGYCSDASSQLEDSGFNEILVVSSDASITVHAFCHPRKSTLTVNSTSDAKELHGEWKEWGPTECSVLEDGESGPKNWFCSFLTTITASVSSGKYQAKFPVKSSLPHSAEVVSFSLYDITLSFLKFWYSKCSPKTMMETDSESPQSFFSSLPLAEASCSCQWECLKVLSSSSGYLIGLVLTPNDSVTCEAHPCNTECILIAVLELNQWGIQWNFVADLQDVRDGVKASPKWVDFQLSDMFLACLNATGFVAIWNVKTGGLATSFSVLQQCRTGLEMPTRSSMPDVTNLHGGNISVESFAGRMFKRLVLASYSHLLAVVDEVGVVYVFYADDTLNFKANVLENFDLSVTNHFGDCLSAWEAAGHEIGSLSFSTHQSIRQGSLNPAKLVPEVSWKNDVGIVRPRKRRKCRCDENEVDSWPSGFVTTGQMKVGPAYPDTLNSSSTLRRILLPPCRSYEDVISLSPLGLTRIFKGSNADGNEHVKIFHTELLMYSSFLGERDIDVGFMDKRLPFKKDSAFVGDSVVCCCQGYLYLITQNGLSVVLPPVSISSFSSHGDAIKFWQPGFAVGSACNALNLLSVERSETRWKPWQIEVLDRALLYEGPALADRLCWENGWDLKVSRLRWLQLALHYSMIADLEQSLDMLAEVNLAEEGVLQLLLASIHRLSGRSGSDNEVAVSSRLMVLAVRFATRMIKCYGLQKQNTDMPDNSVKLHEMSSLLMVIRSIQHRVSAKNQNSVRMGDDKNSLKIGTELLQNDSSLSVVVVDGLSSGLSGGLDAHDRQESAHVLVPDSDSLLALAPAESSLSASNFHDINTNKGTAQDGRQIIQGNIKEMINRWEMNNFDLKTVVREALQSGRLPLAVLQLQLLRQRELVSNEDSEDAFSEVHEIGRSIVYDLLMKGKTELAVATLERLGDDVESDLRQLMQGTVRRSLRLQIADEMKKRGFIRSSEWKMLETITLIERFYPSSSFWDTYFVRENVIRDAAKIVTLPGEDKPALSLHIRNQPLIECGDVDGTVLGSWVNIDDYTDSKESSGSNISDGYWACAAVWSDAWDQRTVDRILLDQPYHVHAHIPWESQFEYFVGHNDAGKVCELLDMIPNSVLLEGIIRVNVDSLQAADNTVSDLTVPDYNMYICDSEELEPVCMEIPHVKVFRSLYNHESTSYIRMLIQQELAKKHIFVKEYWKSTTEIIPLLARAGMLIKVGPRKEYSTTFSASEMPDDANFQGREGALHKLVIRFCVQYNLPYLLELYLDNCNLAPEKDCIPLLKDAAGDCKWAQWLLFSRIKGLEYEASFSNARWNLSQKMINSSNLTAIEIDEMLYTVDDMAERIGEMSALATLMYASAPIQKSICTGSVNRSRGLPSQCTLENLGPCLQQFPTLWKTLYSACFGQGEYGCLNYSPANGDTSLLQMVPCWVPKSIRRLIQLFEQHLPPSPYH >KQL13836 pep chromosome:Setaria_italica_v2.0:III:7034529:7035967:-1 gene:SETIT_023359mg transcript:KQL13836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSELLVLLYQFVRIRPQLVAGLGIAVIDLVQALVLLVFTVAEHEHDKAREDDQGRHAPDEDHQRRRHDQRHPVRERAAEDGGEKPHGGLQPPPLHGGGARREPLGLPEAPEERGEDGARAGDEGQVPRVAARQPRRPGQAAGHDGDGGDADDQGHEDEQRAAAACCLVDRPVADLAVADWRIARSP >KQL12887 pep chromosome:Setaria_italica_v2.0:III:1471825:1475008:-1 gene:SETIT_022880mg transcript:KQL12887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSTVIGNPTDGSPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETKIDCVDGQIVGLFGVFDGHGGAKVAEYVKENLFSHLLRHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAVAVSKDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVVDPEIREEVVDDTLEFLILASDGLWDVVSNEEAVAMTRSIQDPEEAAKKLLQEAYKRESSDNITCVVVRFLHGQGSSGYA >KQL12886 pep chromosome:Setaria_italica_v2.0:III:1471499:1475262:-1 gene:SETIT_022880mg transcript:KQL12886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLSTVIGNPTDGSPVSGGGLSQNGKFSYGYASSPGKRASMEDFYETKIDCVDGQIVGLFGVFDGHGGAKVAEYVKENLFSHLLRHPKFISDTKVAIDDAYKSTDSEFLESDSSQNQCGSTASTAVLVGDRLFVANVGDSRAIICRGGNAVAVSKDHKPDQTDERQRIEDAGGFVMWAGSCRHDQIHSGPGRGCKEALARGLQEGEQ >KQL17401 pep chromosome:Setaria_italica_v2.0:III:50242388:50246562:-1 gene:SETIT_021286mg transcript:KQL17401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISALLTSAGINIGLCVLFLSLYSVLRKQPANVRVYFGRRIAEENERLRGAFILERFVPSTGWIVKALQCTEEEILAAAGLDAVVFNRILVFSIRIFSLAAILCVFGILPLNYFGQDIKHVRIPSESLDIFTIGNVEVRSRWLWVHCVALYIISGVACILLYIEYKHIARLRLLHLTSATPNPSHFTVLVRGIPKTAKESCSEVVDDFFTKYHSSSYLFHQVVYKVGKVQKIMTGAKKAYKKFKHFTDETVDQGCRSITYRCCLCGASSNSFKLLNTECKQNRRKPDNESSLNLDDEECTAAFVFFKTRYAALVASEILQTTNPMKWVANLAPEPEDVYWSNLWLPYKQLWVRRIATLLGSIVFMFLFLVPVTFIQGLSQLEQLQQRLPFLRGILKKKYYMTQLVTGYLPSVILQIFLYTVAPIMMLFSTLEGPTSHSERKRSACCKVLYFTIWNIFFVTVLSGTVISQLSVLSSPKDIPIQLARAVPGQATFFITYVLTSGWASLSSELMQLFGLIWNFIRKYVLRMREDTEFVPSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLVYFFLGYVVYRNQLLNVYRTRYDTGGLYWPIAHNTVIFSLVLTQVIGLGVFGLKESPVAAGFTIPLIILTLLFNQYCRNRLLPLFRTFPAQDLIDMDREDERSGRIDEIHHRLHSAYCQFPDTEDVPLEKIKIIGGDEEQGCSSGESTGKDTCVDPKMDLSHPTLKGLPVSRLRHAVRSITFLIRLQKRGLSE >KQL12771 pep chromosome:Setaria_italica_v2.0:III:775713:779349:-1 gene:SETIT_022992mg transcript:KQL12771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLWMAFAAGAVLLWAISLGRIFTFPAPTCVPPSPPFLPPLRRDRRSRNVLLVVAHPDDESMFFTPTILFLKSKGHNIHVLCMSQGNADGLGVTRKEELYHACDTLKIPREQVKVLDHPKLQDGFHEKWDHGLLAELTMEHVQLWAIDTIVTFDSYGVSGHPNHQDVHQGICKLLHVNGQGNIEAWELASLNILRKYSGPVDIWLSSLISSSSKQPIYTLVNSSPSRSYEAMAAHRSQWVWFRRLFVMFSSYTYINMLQKV >KQL13168 pep chromosome:Setaria_italica_v2.0:III:2935240:2937020:-1 gene:SETIT_023372mg transcript:KQL13168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRALRRALPPLSSPAAALLRHTPHPLPPPPARLWLLDPIGFRPFSAAATASQAPAMGATLFGGLMNTRFPKRRPGFANRRKRASLRPKGPYYWVKCNPEEPIPTSQPNKGSVQGRKEKKRIKQRKDFIMAEKKKRRAQYSAAVKRKEAERTERKMAAVARDRAWTERLIELKQLEEEKKAAMA >KQL15237 pep chromosome:Setaria_italica_v2.0:III:18070698:18072532:-1 gene:SETIT_024708mg transcript:KQL15237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFVHLLANDLKGGYTLRNIDVGPLFADAHAGTGRRFSRLPRPLACFECPIKAGRMEFFLLGSKIVVTSAVRMGPDLLHSKSVDPAWAAVGGRLFALRFDDRIHDWSWDLLPSPPLLDMPFEGDDSDIQSYGAGDDKNIWVSTAGKGTYTAGGWALPFDGQVQYIPDYDPCLGFCNKTKDLCSAELTAWANDEALEPPVHRKIWDDDVVDDLCSTRWYLARSHLTYLGYGKFCVTRFFNITHDFLHVAVMTAVEATLTYGTGELQMVSRASRRYKFKLGTSIFYALLN >KQL13709 pep chromosome:Setaria_italica_v2.0:III:6221993:6224900:1 gene:SETIT_021639mg transcript:KQL13709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPMSITAGTTAQPRNKPSRQKLLRSSLRPPIMDRIRETLPIKVGPWGGSEANPFDITEEPKRLESVTVRSGAVINSFGFTYVDLAGQKHTVGPVGGNGGKLTTIQFAPTEYVKGFGGSVGPTQGTWVVTCLNIETNLRTHEIYDQGNMFLSLPGKVPFGVPSPGKEIPFSIPLPEDTSIVGFFGRAGANLEAIGVYVKSTNIDTIEETTSNLDTCSPDEPIIIAEAVPIKIGAWGGDGGTEFDVTEPPKRLQSMTIRAGDSIDSIGFSYIDEAGKKHSEGPFGGTGGQLTTIKFAPLEYVKKFSGTIGRAVGSGEMLFVASLEIETNVKKYGPYGKEDNDYPFSIPLPENTSVVGFFGRAGRLIDAVGVYIYYCKSPLIDATEETSGNKDEETSKNKDTTSAPPFTITGQTSPIMIGMWGGDGGEEFDVTEPPKRLDSVMIRAGEIIDSFGFSYIDQAGQKHTLGPYGGKGGNLTTIQLAPTEYVKGFSGTTGTYVGSQVVASLAIETNLREYGPYGEEQDMHFSIPLPKNASVVGFFGSAGNLLDAIGVYVMNGSIPN >KQL13643 pep chromosome:Setaria_italica_v2.0:III:5757360:5758618:-1 gene:SETIT_024398mg transcript:KQL13643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKNMLQLLPTHTSAIPDCFVLPADQLKAATIAAVLLPVIDMSRGRDEVRRAILDAGREHGFFQVINHGVPEQVLRDMEAVCHEFFQLPAADKAEFYSEDKSKPNRLFSGTNYETLGERYWRDCLRLVYPLPSGDTSGWPHKPLRLREVVGNYTGLARGLAMEILQLLCEGLGLRPDYFVGDISGGRVALDINSYPPCPDPSRTLGLPPHCDRDLITVLLPGAVPGLEVAYEGDWIKVQPVPNSFVVNFGLQLEVVTNGMLKSVEHRAATNSAEPRMSVATFIVPADDCVVGPAEEFVGEDNPPRYRTMRVRDFKRMHNVVNLGSSLNQITNLKNNQKGI >KQL14660 pep chromosome:Setaria_italica_v2.0:III:12728525:12730279:-1 gene:SETIT_024432mg transcript:KQL14660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPPSLPSGCDGNSDGDLDRISKLPDEIKGLILFLLPLKEAGRTTTLSKGWKGVFASSPISLDDEHVTRRRVGRPRHEAILHPERVDVISQILEKHKGPIPRVRLAKTHFHGHGDHGYGIDTDAFVGRGVEELIVHSTDPIQFFPAPPLRSIVVVRCDWFPPEQPLPAVFGSIKELSLCAVNFSAAGVHALLEQCFKLESFLLSSYYKDEKDRLIDVEGTEDYGCTLQIRSRSLRSLCVEVLGLKGVVIVDAPNLERLLGEVSIDTSYCKVTLVHAPKLEILGFLTMDLPRTRRSIAGNIMMLGMILEPSCPIRSVKILGLCLNLCNPAQVELMLQVLNYFPCVETLNIKIYTDPSIRHTLYSEAPYANLLELAGRVACLRDSVKTIVLSDLWVHTHTFGLQFANMLLESAKKLQLMKIFHVPVDKRKQARSTRHKLGLKSNPSIKAQVVFPRDYISYRQVSDVLMDTSSLALPDPMFCQRKFQSSNFIRILWNSYLNPVNKDYYSDALVG >KQL16467 pep chromosome:Setaria_italica_v2.0:III:40473043:40473376:-1 gene:SETIT_024707mg transcript:KQL16467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EPKTILTASFGVTGYSLPWDQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQG >KQL17018 pep chromosome:Setaria_italica_v2.0:III:47652389:47654497:1 gene:SETIT_024966mg transcript:KQL17018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEKRCERCRKWQEHYYREHMDVTKIRFFKLMTGDFAKGVSIPVKFVRNFNGQITEVVELKAASGETWHVGVDKIADELLLTSGWEDFVKARELQENDVLLFTSSGNSSFDVLIFEASGCEKLSSLFDHKTGPYRMHKHLNDIAGRHAEQYITDSEDTVVPSQLVGSTHKASTAKKHNCKGKEPQSLNSSSFHVKHEAIEEEESNDSYADSKLYYSRNANQVTEEEKEKILSLASIQPENPAFVAVLRRNHRQRRNNFLTVPSRFAADHLHERPQEIILCRPRRKDRWFVRYYYTSYIRGFQNLQFFKFVHDNKLREEDTCVFELMKGAKRVTMTVHVIRKVGDRFDLVG >KQL13493 pep chromosome:Setaria_italica_v2.0:III:4764120:4766721:-1 gene:SETIT_024941mg transcript:KQL13493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAASAVSCRGPLPSKGWKWQSPIATWKYMRSGRHNAVIKFATKSTKRERRKFQNKPNDSLLISEEASSGSGGSASTGLEVDGEDVATDDQISGAPRSAVLQACTLTSGLLLAGGLLLRQASHLASLNGWPISDPTDVSFNFETWHLELVAGLVIAISSSRYILLQTWSDFRDSSEAANTQILTSLEPLDYIFVACLPGISEELLFRGALMPILGLNWISALIIGTIFGVLHLGNGRKYSFAIWATFVGFAYGIGTIASSSVIVPMVSHSINNIIGGLLWRFTKNSQK >KQL12882 pep chromosome:Setaria_italica_v2.0:III:1454037:1454810:1 gene:SETIT_023699mg transcript:KQL12882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLLCVVLVSSLLLATLSGASSSSLTSSLGRDQAQVLGRKGAVHYEHLSRKMQQPEELAVEVKKPTETKAGWTVDKGADAEEGLIYSADYSAVAMHAGSPPKPKHRHPKP >KQL12630 pep chromosome:Setaria_italica_v2.0:III:120282:131349:-1 gene:SETIT_021165mg transcript:KQL12630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALWQPQEQGLREICTLLEAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSVEVRQAAGLLLKNNLRTTFSSMPPPSQQYIKAELLPCIGATNRAIRSTVGTVISVLFQIVRVAGWIELFQALHKCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRILQFFQSPHASLRKLALGCINQYIVVMPSALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEPHLKNVTELILQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPQLIPTLLSNMVYADDDESLADAEEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDSILPTLMPLIEQNLARTDDDSWKERETAVLSLGAIAEGCIDGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLDHPNGREQFDKILLGLLRRILDTNKRVQEAACSAFATLEEEAAEELVPHLEVILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLIMKWQQLQNSDKDLFPLLECFTSIAQALGSGFAQFAEPVFQRCINLIQSQQLAKIDPTAAGALYDREFIVCSLDLLSGLAEGLGAGIESLVAQSNLRDLLLQCCMDEAADVRQSALALLGDLSRVCPIHLQPRLQEFLTVAAKQLNPQSVKDAVSVANNACWAIGELAIKIGKEIEPVVISVVTCLIPILKSPEGLNKSLIENSAITLGRLSWVCPDIMAPHMDHFMQAWCRALCMIRDDFEKEDAFHGLCAMVAANPSGAVGSLAYICQACASWTEIKSEGLHNEVCQILYGYKQLLGNGGWEQCMATLEPAVVQRLARYGV >KQL12631 pep chromosome:Setaria_italica_v2.0:III:124007:131349:-1 gene:SETIT_021165mg transcript:KQL12631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALWQPQEQGLREICTLLEAHISPNSDQARIWQQLQHYSQFPDFNNYLVFLLARGEGKSVEVRQAAGLLLKNNLRTTFSSMPPPSQQYIKAELLPCIGATNRAIRSTVGTVISVLFQIVRVAGWIELFQALHKCLDSNDLDHMEGAMDAIYKICEDVPEELDVDVPGLPERPINVFMPRILQFFQSPHASLRKLALGCINQYIVVMPSALYMSMDQYLQGLFNLAKDPSADVRKLVCSAWVQLIEVRPSILEPHLKNVTELILQANKDSDDEVALEACEFWSAYCDVSMPPEGLREFLPQLIPTLLSNMVYADDDESLADAEEDESFPDRDQDLKPRFHASRLHGSETGEDDDDDDAVNVWNLRKCSAAGLDVLSNVFGDSILPTLMPLIEQNLARTDDDSWKERETAVLSLGAIAEGCIDGLYPHLPQIVAFLIPLLDDKFPLIRSITCWTLSRYSKFIVQSLDHPNGREQFDKILLGLLRRILDTNKRVQEAACSAFATLEEEAAEELVPHLEVILQHLMCAYGKYQRRNLRILYDALGTLADAVGAELNQAKYLDIFMPPLIMKWQQLQNSDKDLFPLLECFTSIAQALGSGFAQFAEPVFQRCINLIQSQQLAKIDPTAAGALYDREFIVCSLDLLSGLAEGLGAGIESLVSFSLWI >KQL14188 pep chromosome:Setaria_italica_v2.0:III:9419203:9420967:1 gene:SETIT_024694mg transcript:KQL14188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQWEKIREEEDSKGSVVIFHGRSARGGGSPRHQNGSHARGLVLFHFHRIKHLGQAVSKPDPIANSEQANRVVLVQRYSRRRPVRMAKRSAPWADLQPELLGLVLRRLPSLADRVRLRAVCHWWRRVARLEEPLLPPPLPWVALHDATFVSLPAGEIHRMPPPVVADCDHHCHGSVGNWLFLQHKIDGICSLVNPFSKDVVQLPRIDSFARVRSRVMTALFKLVLPSSGHLSPDSLFAVLFSSDGDSTISVGQAATTTTSFWVPRESITDVAFFDGKLYAISRSNKLFVLDIDSSDEGQPRIQSMKRIAGCISNESQSFPKSKSYNYTCWRYLAESGGRLLQIRRLTRVPSTVRGCERSRRPCTVSFDVFEADLTNPCGQWRPVNTLGGQALFVGTHSKSLPASECGAQEDCIYFLSDYDWGYYDKDPFRDCGVFNMRNGMITPMSPKIAAMQTQGNKTVCQEPVLVSWASMEKKTECQGRPAWFFHAEAM >KQL13612 pep chromosome:Setaria_italica_v2.0:III:5552708:5554770:1 gene:SETIT_025469mg transcript:KQL13612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSATGGVSAGETTTWACPAADAPYLRQNVESRYQRNKENIKHTLTPCKSKSYAFIAPDA >KQL13631 pep chromosome:Setaria_italica_v2.0:III:5672235:5674951:-1 gene:SETIT_025334mg transcript:KQL13631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAESRGSIAFFTTYRPPVPLDIFSCPADPQLSSAHSEVLLTDGESYNQNCRPIPAAALSEVLTFLARNPEAASRCGATPEDAAAGRVTGLVFVSERDDGLETLHVALRFNGAGGGGGCGRAPGKVAVLRLADIYGAGTFGGARMEDSGCIAGGFEEGGRAVGHSLVYVSTKELVRARRTPWTVVYKTNLADGRTERLTPPDQYDLSPAVSPSGKKVAVANFKFHRWTGEIQRLKTDIVVMNVDRKAQGGLRRKVLIRDGGWPSWGSDRVIFFHRGIEEVQTTWGVFRYDIATGETVRVTPEDLDAVTPAAISETRVAVATIRQKAGQNDASRVEAQYRHIEIFDVGVPNEPARITHKIRADEDYYNPFILDGGARIGYHRCRTICNHVQDGSNNTVSKNFHKIQSPKSHEDVGLFRVSGVFPTISKDGSKLAFVDNSFKSVWLADGPNLHKVHERRSSNSIFSIVWNQNPEKDTLYVCIGPSFDATKTLDIYAISNVSGAHNQQVVNRLTKGDFNNAFPSSNPEGTKFVFRSTRDGGDKHHKNLYIMEDSDMGEHGEGTVTRLTDGPWTDTHCSWSPRGDWIVFSSSRDKPAGAPEKDILDPGFFAIFLVNANNPDVVVRVMKSSDTIAGHVTHPMFSPDMRSIVVTADLAAVSAEPISMPQFLHSVRPYGDVFSVNLRDTVDIAKNENIEEFHRITHSRYEYATPAWTKFATDDPDTQWSQLITKSSTGFGAACPR >KQL16310 pep chromosome:Setaria_italica_v2.0:III:37123802:37125796:1 gene:SETIT_024386mg transcript:KQL16310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVGVTDQQQLVGRFSYDSIVTYFHQVDLDKYTIAKILGKINVHELYIGYTNQDGASSSKKDDIQDDEVDSDDEEVDIALLVRRTSKMLKKLDKKGVFDYKKKKFFTKEATKEGEEEGKAYLGEWVTNDDTSEDDSSSSDSDNEGVAGLAIKESLPPPPSLFSTSSSHICLMEKGDNKVRKPKDDPRYSDASDSDNGYTPPSYEVLASLLKDYTKIIIKSNEKVDKLKLDKKNLVTKYNEHEAKCLELEKKNAELKGIHNDLNKDYTKLKKEFQELTTTNKNIELTFDAMTNDKFNEPKFVKVDCSTSCDDLIEKVHSSTHCELVNPPMKPSSCEACKGKSMEVKSCDLTTSSVNDELMKENELAMREIKDLKQQLIFLKSGYVTLSNGRCLYDEMMDVKAINFNNKGLGFPSPKLMQAHKSRPKAPNISYCTECRQDSHFAIDCKSPLMRTPRVHLHQQHLRRLQLLLQLGQSLEP >KQL12755 pep chromosome:Setaria_italica_v2.0:III:729462:734385:-1 gene:SETIT_023306mg transcript:KQL12755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWSVHVHRCQSFIGGPVMDFERRVLGITFSYRETTPFLPVEIAARCLKYYKQSKTLPWLRIRGQALHTLDLYVLESIWCKFSEPPSGVLVNKICGLSAEKCGGIAVGDVISQLDGVNLCSVAQFSAIFLDKMVDATGTQNTVTLQAVVHRPTDQTTFVAKLNVQHVASDECDKSFQNRWMRGRSYGSREHFAVRR >KQL14728 pep chromosome:Setaria_italica_v2.0:III:13208037:13210787:1 gene:SETIT_023184mg transcript:KQL14728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGGGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSLQVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRRATFDNVGRWLRELRDHTDQSIVVMLIGNKSDLRHLVTISTEDAKEFAEAESMYFMETSALDATNVDNAFSEVLTQIYQIVSKKTVEAPEEGAAAPGKGEKINVKDDVSAMKRVGCCSSN >KQL16596 pep chromosome:Setaria_italica_v2.0:III:42796693:42800469:-1 gene:SETIT_023343mg transcript:KQL16596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAASTPQGVAERRGIPAAAFVEDVEAYLRQAGLDVNSALAFLQERLQQYKIVEMKLLAQQRDLQAKIPDIEKCLDIVAALQAKKALGEALVADFELSEGIYSRAKIEDTDSVCLWLGANVMLEYSCDEANALLKKNLENAKASLEVLVADLQFLRDQQTITQVTIARVFNWDVHQRRSKQAVKET >KQL14874 pep chromosome:Setaria_italica_v2.0:III:14474853:14480004:1 gene:SETIT_021343mg transcript:KQL14874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQEIAAAARYFAAMARIVGPDPKAVKMRRHAFHLHQSGSTTLSASALLLPRGALAEPPPLLDRICVAHGHAAGDVALTAASLVEPFLVAEQRGNPGEEFRPRLVPEARLDVLVETLGNTRDGKSGPPQWLSARLLAMVDVPTAADSVLSLIKHDGSFIGRPSWDVGWSLANVNEKQVENDIRSSPASNRNHASVESMDPLMLAKSATRIAILGISTVNSNNERQIDVSVMQHRGDPLLIAGSPFGLLSPFHFFNSISVGAVANFLPPCAVRCSLLMADIQCLPGMEGAPVFDRNSCLVGLLMNPLRQKGSSVEVQLVITWDAICTGWNNMKLVEIEREPSKLPNDKNEESKMMELKHPDNYGRFVSSTVNKINQYCISSPSIREAISAVVLVTVGDSSWASGIVLNKGGLVLTNAHLLEPWRFGRTSPLGAQTSSAGEYLSARENRSLQPQQCKFSNDDAVKHEVSLFNLGFKREKRISVRLDHAERQVWCSASVVFISKGPLDVALLQMEEVPIELNTIRPEFVCPTAGSSVYVVGHGLFGPRSGLCSSLSSGVVSKVVQIPSTQLSHPSGTVEAHNMDMPVMLQTTAAVHPGASGGMLVNSHGLMVGIVTSNAKHGGGSTIPHLNFSIPCKSLEIIFKYSENEEPAILEQLDKPNKVLSSVWALAPSSSQFIDNPPEKGGEEKVMEFSKFLSNKQATLKSSTDLKELFKRMMPSKM >KQL17431 pep chromosome:Setaria_italica_v2.0:III:50374891:50376590:-1 gene:SETIT_021926mg transcript:KQL17431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKPAAAAALVVVVVVVLAAALFSVGEAAHPRRCVTSTYRRKHQASDDMPMDADVFAVPPGRNAPQQVHITLGDQTGTAMLVSWVTVEAEGNSTVLYGRAADRLDLAAEGTTTRYTFYNYTSGFIHHCTLTGLDHGTRYYYAMGFGDTVRTFWFTTPPPPGPGAPLRLGLIGDLGQTPDSNSTLTHYEQHPGDAVLFVGDLSYADKHPLHDNNRWDTWGRFSERSVAYQPWIWTAGNHEIDYAPEIGETVAFKPFSHRYPTPYRASDSSEPYWYSVKMGPAHIIVLSSYSAFGKYTPQWKWLEQELKRVDRETTPWLFISAHVPWYNSNNFHFMEGEPTRVQFEQMAVDARVDIVFAGHVHAYERSHRYSNIKYNITDGKCTPVADRRAPVYIVIGDGGNIEGLADELTWPQPAYSAFREYSYGHAVLDIKNHTHAHYAWYRNHDGNKVTADTTWFTNRYHMPNHDDSVYSNTAYV >KQL14562 pep chromosome:Setaria_italica_v2.0:III:12002783:12005899:-1 gene:SETIT_022647mg transcript:KQL14562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEAAARAGVEESIGRRRERDERGLAAGRVWEFERDLVAGAVMGGAVHTVVAPIERVKLLLQTQDGNAALLGRARRFRGFADCVARTVRDEGVLSLWRGNGTAVIRYYPSVALNFSLKDLYRSILKDAGTSADNKFASIALTNFFAGAAAGCTTLVLIYPLDIAHTRLAADIGRTDTRQFRGIRHFIQTVYKKNGIRGIYRGLPASLHGMVVHRGLYFGGFDTAKDVLVPLESPLWQRWVAAQAVTSMAGLISYPLDTVRRRMMMQSGMEVQMYSSTLDCWRKIYRLESVRSFYRGALSNMFRSTGAAAILVLYDEVKKFMDRGRL >KQL13184 pep chromosome:Setaria_italica_v2.0:III:3048167:3048781:-1 gene:SETIT_023882mg transcript:KQL13184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAADERLSVALFYNPRSDLPLSPMPELVSPDRPSLYKNMTFDEYRLYIRRKGPRGKSQVESLKAAAVGAAT >KQL14920 pep chromosome:Setaria_italica_v2.0:III:14894286:14894972:1 gene:SETIT_025546mg transcript:KQL14920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSGLDFLRVRDSTPKLALSWVFQLIALASLPPRISCCWFLGSEIQHQSWLSPGCFS >KQL14173 pep chromosome:Setaria_italica_v2.0:III:9334461:9335072:-1 gene:SETIT_025156mg transcript:KQL14173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLAFKAAASVYSRFLSLLGGEASVTPDAVLALTPQQLRQIGVSPRKASYLHDLARKYVSGILSDSAIINMDDRSLAAMLTMVKGIGAWSVHMFMIFSLARPDVLPSADLGVRKGVQMLHGLEDVPRPSQMDKLCERWRPYRSVGAWYMWRLIESKVPQPAPAIPVGPLALPSPDGQIMLQQQQQQQQSVIQMIDPLQMLPGMG >KQL12807 pep chromosome:Setaria_italica_v2.0:III:979871:980466:1 gene:SETIT_024491mg transcript:KQL12807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDSGVGSGGSLLTTDGGVPDTSGLCTHSQALAGASRPRSQARAIPSSFVESKCSYPCIGANFRAFISGKSITHLRLSLPCGVVLIVWVIFHLVSAKFPEIPRYVSVVKENASPLRKGSWFGTSGIDFLFMLASRMLLLMIFRVLVLWSTKP >KQL16863 pep chromosome:Setaria_italica_v2.0:III:46076392:46077006:-1 gene:SETIT_024890mg transcript:KQL16863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSRMAIQLTLILISCSLYSMYSSSSSSSFSASSSLALLVLVISTCISLLFSNLRHLLKATITHKGKAPPSMEEAVHQEKSIVPQDEVPEDAPEDLMGSLSDSSESMTNDEECSEEGSISDDIDDDDESLIEISLVDGHYVGAGQAEQCAYKKELLAEFFPDLVLDKRDFIDILSEISEEDSLIEIDITRGSIKCSNFGIKT >KQL15886 pep chromosome:Setaria_italica_v2.0:III:25009794:25010394:1 gene:SETIT_023486mg transcript:KQL15886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYHRPYRGDLRSPPSSAPDPASTHANGYFSSSASPHSNNGYFSPAFAKNDAFPGAGGDRRIEIYTTAPPPHLPPPPGHTLALPPPPGWKEGRMGGGGGGAGRKGGGGGGGASMWCLSDPEMKRRRRVASYKAYSVEGKVKASLRRGLRWFKGKCSEIFHHGW >KQL17196 pep chromosome:Setaria_italica_v2.0:III:48857887:48858648:1 gene:SETIT_025277mg transcript:KQL17196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIPAAQCLYDEEEMLANLRDLVENDDNQGLSDDFRALRSKAALLEDAEYLNPESWDWVESAEPMALQAAEMLAREAADIQRALSLLSRRPGPEDEAFVAALRRQAVTTAAQRADAEWFAATTRRIREKELRRVAAAEHAVGPAIAAFLGYIAGETDASLARGEAPDADVLALAQQVEDDAVRMEESMAALAGGLRRGAAEFAARPGEEELVAALERQAATADAARATVVAAFTASVRRYRAAGSSLPPAAQP >KQL13844 pep chromosome:Setaria_italica_v2.0:III:7166273:7168828:1 gene:SETIT_022286mg transcript:KQL13844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSFILLTTTPVASASLLPIRRQLAAGPSLSFPLKPHRFPSRFRIPPKPPRFSRGPSVSPSCEAPTVSALSPVASTSRTLLFLLAAGLLSLSGIRPLPALASAPPPTQQPQEIEGQDEQQPQEIEGQDEQQESEERKEQVEDQVEKAEVKENEEQQEDEEEEDDDEVRMYSAILSRDPGDVDTLKCALYAKMRRADWGGALRYTRRLRDAEPGEVEWRLMEAQLHELKGDLAEAERQFRGVLAEEPLLIRALHGLAICMQKKHEGPAGFEMLDNALQLAASDKRVPEERNIKLLIAQMHVVMGQLGIASEKLQNLINEDPRDFRPHLCQGIVYALLDRKEDADKQFDIYRSLVPDEFPDKSFINDVILAARMESNDRIQKEFGTEFLSKK >KQL12641 pep chromosome:Setaria_italica_v2.0:III:159375:159867:-1 gene:SETIT_023923mg transcript:KQL12641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRCGSESQGTSASMGHWAVSLSDSSFVRACGSCYLRLWIHSDYCSFLWMDAGWVDATAATVPGR >KQL12913 pep chromosome:Setaria_italica_v2.0:III:1587322:1588863:-1 gene:SETIT_025201mg transcript:KQL12913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEQKLLKKTNFLEYDKGKGHREGLVTQRYRIVERDDYKKYNGICLMVQKQVNIIKQMDPRDPFRIEMTGMLLDKLYNMGVISTKSSLVKCENLSVSSFCSLAVTYIEQGHVHVGPEVVTDPAFLVTRNMDDFITWVDSSKIKKKVMEYNDALDDYDAMA >KQL15775 pep chromosome:Setaria_italica_v2.0:III:23646329:23649630:1 gene:SETIT_023179mg transcript:KQL15775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRILARSKALPLAAALTRAAADAAPPLAGTRALSSLPRYPGAPSPHGLGKVLGYEPRSHLSGAQVLPRWFSSVASNGSPMQKSQISETNKSGAELKQSDAQKSSEGVAPKVMAFSPLEAAIAKPRSSPLTSESSKVRRSEIATEVTFYMIPAMLLVSRNSISTSLLVGAVFHQVYMFHKEILLDYVHHDITRKWSLIYFKLLLLVMAKDTIMYFNLFPFF >KQL13809 pep chromosome:Setaria_italica_v2.0:III:6861606:6862573:-1 gene:SETIT_0220311mg transcript:KQL13809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAAKTVDRLSQRLVPPAEPTPTAPHRLSWLDRYPTQMALIESLHVFKPDPARHGVSPAETIERALARALVEYYPLAGRLAVSEDAGGLHVDCSGEGVWFIEASVRCRLEDVDYLEYPLQIPKDELLPHPLPRPSHEEENKLILLVQVTTFACGGLRGGVPLQPRGGRTGWA >KQL15785 pep chromosome:Setaria_italica_v2.0:III:23813458:23815467:1 gene:SETIT_021399mg transcript:KQL15785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSNPLVHFLRHVSFPPDPHLLPTALKSCPALPLARALHAAALAAGFARDPFVSSSLLHTYLRFGATADARAVFDGTPQKTVVGWSAVVAAHAARGDAEAAWRLLEEMRLGTAGGGGVEPNVITWNGLVSGFNRSGRARDAVVALARMHGEGILRPDTTGVSCALSAIGDLGTEAIAVGEQLHGYAVKVGCRLDACVVTALIDMYGKCGRADEIVRVFSESCHLDVASCNALVSGLSRNGLVAEALRLFREFVARGVQLNVVSWTSIVACCVQNGKDLEAVELFREMQAQGIEPNSVTIPCVLPAFANVAALMHGRSAHCFSLRKGFLHDVYVSSALVDMYAKCGRVRNARTIFDVMPSRNAVSWNAMIGGYAMHGEAENAVQLFDSMLMCKQKPDMVTFTCVLAACSQAGLTEVGRHYFNKMQHGYGISPRMEHYACMVTLLGRAGKLDEAYDVITDMPFEPDGCIWGSLLGSCRVHGSVDLAEVAAEKLFHLEPDNAGNYVLLSNIYASKKMWGGVNRVREMMKDMGLKKEKGCSWIEIKNKVHMLLAGDDSHPMMTAITDKLKQLNIEMRRLGFAPSTDFVLHDVEEQEKDDILAVHSEKLAVALGLISTSPGTPLRVIKNLRICDDCHEAMKFISCFEGREISVRDTNRFHHFRDGKCSCGDYW >KQL15737 pep chromosome:Setaria_italica_v2.0:III:23191453:23202776:-1 gene:SETIT_020964mg transcript:KQL15737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVAPDPPRQNPSGPELAAAAHMANPGLGLGLAPPGAEPSAGAPPPSRRAPRLAKRRHPPASSRSRAPQAPAGSWNPFGGGGTDGPRQDGIGGLGGGGAGFGNGQTGGFVFGAAPSVSQQPPEPVASPSEAPFVFGGVRESLPRFEEGLPTSSKLLDKMEKLNLRPTGEVGVGFSQGKDQKDGSSVFGVDISGLVSNSGVNVLPEKLTQLNLGCGAPFQSEKGDIANGVPKSLVFGGNGAGSFADSGNTAAPGAHSYAPTSVQATDAKAMPEKSTQFNIGDQAPSRGRGIEHNNGAPTAFTFGSTATSIHLDSTNNVASDANMSSSTAVNGLDDEGVLQEKITRLNIGSDIPLDDMKSAGGNHQPEVFTFGSRGAPGAVFGKEASSTSDRSSEFFSANSNASSSNSDFLSTANSNAYSSANAADSLPPEKTSDLSARGGVMSQSMESDGANCPPEALFGRNGTRSSVSHSASVAMDDGSNFVNDANTNIPEKMTKLNIGSGIPSQSRQDETATRPPEGFVFGSNVSSFSSAQAASTPSTSFQTNLFSQPKEEGRNFIDENISKSTYSEANSNQGCGRSSFVFGRGSNAAAPSEGAAQYTLHDEIKKLNINREGPPLGCTKLNDSAAPEFLFQSKADASTGYGAVPRPKQESHPFANLNCSSSFSTFENTVPAFSFGTMNAEGETAPDDPCVVKQDLPGCSRETLFGLDSIKSAYRDKKEVHKSKRKNKRPTRLKQHAQVHHAASKEPCTNGDLAGEYSPMDCSPYPAETERVSTEAYVASDQSVHIGDSGISNWNSSCADDLISATEHLVIDADPPVFANEGREPNVDASESNFGSIFSSFEGDLSNASQHSFTNVNICLNGEHKTGTTEACADGYGYNVNGQACDENAYRTQHNFGEAVALQSSSSNFSGLNFSFGASSSPQISASAQRRNTRRKLRTKGTPAPKSSTTNSFVQPKSSQDTKGMQVFHETSRNEDSLKEQATGDSSTSAALETCETWRTSGNKAYANGHFATAEDYYTRGINSISHHGTSGRCSRALMLCYSNRAATRMSLGMMREALQDCLTAMSIDPSFLKAKVRAANCYLALGNLEDASRNYMSCLNSNTSSSDPKMFTEASDGLEKVKRVTEWASQCKGLLEKRTSPEATTALELISNALHISPHWDSLKEMKAEALLMLRRYEEVIQLCQESVNPAERNSVLFNANGEPKSSSVSEKTQFSGRHWRPYLICKAYFHSGKFDEALDLLKKHEQVTPVKESDESLYQERLSSLSATIRQLLSLKAAGNELFQAGKYSDAVEQYSAALACNSESRPFSAVCFCNRAAAYQALGQVTDAIADCSLAMVLDTSYPKAISRRATLYEMIRDYGQAANDVRKLILLLEKKVNVSGVSPKFFNKHSDLKQARARLSSIEDEAKKDTPLNLYLILGVESSCSAADIKKAYRKAALRHHPDKAAQFLVRNENTDDGFWRDVVKEVYADADHLFKAIGEAYNVLSDPDKRQDYDFEEDVRKASKRVSKSRSMHRSPEQNYSNRGFNPRQWQSSRGSRSRWYGHSDDYW >KQL17463 pep chromosome:Setaria_italica_v2.0:III:50559143:50561362:-1 gene:SETIT_021417mg transcript:KQL17463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVAAKTASSHRASFAQGTHQFDIVGYGALKALGRSHSITSGTFRVAGRDWAVVCHLDPALAYVSLELAAGDNSGAAVTAMASFAIDDPTGGSKPMQIGDGDEATVFTPSSRALKVPVPDSFRKREARYVSDDRLRIRCTVRVLEEESRPTGYCFVAAPAPPDITGWLATLLESGRRADVTFAVEASRFDAHKLVLALRSPVFRAKFFGDSRDSSQEWFRVYDIGAPVFEAMLRFIYTDEPPPDMTTAMAHDLLVAADLYDLERLRVACEKSLWESVQTDGVSAALSVLLRLNGRQSCHQLEDLCVGYIAGAWDAATATEEYRELKASCPAVLNDILEKLVVEAKASASSSEKSSSSSEKSSSTYRASDVWRGTHQFSILGYSGVRRMHGMAGEFIRSGTFEVGGYEWQILYYPSGYDEYSDDDVAVYLQLVTPIDPDLVVEVAGRFMVGSPNDVNAMVERFGHEFSYDYPVSGIRELATVDDVRSNLVEREAEEEVKKKAGGTSKEEVVVLRVEGITAVAFKALLHFVYTDELPPLDDLVRAATAGDSSVPSSETSRTRMARDLLAAAERYQLVERMRPLCENLLCEVITPEDAAATLELARRHGRQELKAFCLDYMSSPGVLTAVVATDGYKELSAEALRDMLNHIASAAASSS >KQL16691 pep chromosome:Setaria_italica_v2.0:III:44060001:44060678:-1 gene:SETIT_024609mg transcript:KQL16691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CAADPPCAAVLCAAHRPPARPLGAAFRCVRHLHTLIPTPLSLSSPSLSLSPPLQTLSLSTPHELASPLDTKTAQAFSLPNALSPPPSSPPRRRRRFLHSVEHLREAQAMAKLALALILLLAVAASASASASIDMDLGFLSAGAGRRECRGTVAECLAEEEEEELGSASAESHRRILRGRGYISYGALRRDNVPCSRRGASYYNCRPGAQANPYHRGCSRITRCRG >KQL16056 pep chromosome:Setaria_italica_v2.0:III:27772763:27774328:-1 gene:SETIT_0219832mg transcript:KQL16056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPCPTCNAGQEHGFYKANQMTRCGACHGRGLLAHQDGSDTVCGMCNGKGMLPCIACGSRGLVTCNTCTGYGALLAQSIAHVRWKTLSSRKVSATRGAASVPEEVFHRAKGVQLCNIQAYQCTPAFFADSYPLNQFSSEVIASRLPVPPSARVISERHIISVVPVTRVTMAHRKQSFSLYVIGYSRDVFIRDYPSKFCWGLCCCFEWLGK >KQL14849 pep chromosome:Setaria_italica_v2.0:III:14335030:14337324:1 gene:SETIT_021468mg transcript:KQL14849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPVAMRETAAAVLPEAHREALEYIERVTAGAAQVQRRVLAEILAQNAPAEYLRRLGVEGDAPGAVEAFLRAAPLVTYEDILPDVLRIANGDTSPILSGKPIREFLTSSGTSGGERKLMPSIADEMDRRSLMYTLLMPVMSQAVPGLDKGKCMYLYFVKAESRTPAGLPARPVLTSFYRSRHFLERPHDPYTVYTSPDEAVLCVDSYQSMYAHLLCGIVHRADVLRVGAVFASGFLRAIRFLEKHWPRLCRDIRSGTLDAEVTDRSVRAAVERVLRADPALADAVEAECARPSWEGIIRRVWPNTKYIDVIVTGAMAQYIPTLEFYGGGLPLTCTMYASSECYFGINLNPMCKPSDVAYTLIPTMGYFEFLPVPSSGGSPAAEPQPCDLVNLVDVKLGHEYELVLTTYSGLYRYRVGDVLRVAGFKNQAPMFNFVRRNNVVLSIDSDKTDEAELHAAVAGAVQHLAPFGASLVEYTSYADAATIPGHYVLFWELRGGGATPVPASVFEDCCLAVEEALNSVYRQGRAADRSIGPLEIRVVSEGTFDKLMDYALAHGASINQYKAPRCVRPGPLVELLDGRVQARYLSPKCPKWSPGGGQQWSGNAAALAAGATKADGNGGVAVA >KQL15862 pep chromosome:Setaria_italica_v2.0:III:24805521:24811475:-1 gene:SETIT_022819mg transcript:KQL15862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPLVSLLPLLLLLLLPATNAIYCDEDDCYDLLGLKQDANASEIKKAYYKHSLKHHPDKNPDPESRKLFVKIANAYEILKDASTREQYDYAIAHPEEVFYNTAQYYRAYYGHKTDPRAVLIGLLLIVSTFQYINQLTRYNQAIESVKQTPAYRNRLKALEFERTRGIANKKKGHKQADKKAEEEVSNEVELQIHGVEKPSVWRLYGVQFILLPYSIGKVLTWEICWFWRYRIKKLPYAWEDACYLTQTSLKIPAGTWKNIDDPRKEDLVMRRLWEKSNMERYIAETRKESKRRR >KQL14757 pep chromosome:Setaria_italica_v2.0:III:13682272:13686276:1 gene:SETIT_021881mg transcript:KQL14757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPFLPPAARERDPRDHARTERIHRTPTAPRKRRNEKPDPSRFTRLALGRTPNLRGAAGRGPRQPRGIRLAPGSSLVKTRKTTGRLQSYQPPSPIGRTQMISHLPFPHALASPLRAHCPTPPRLSRPPPHPRGAGIGGLGCVQAKGARRSCRASVSASAAARTEMDPSEVKKGLYVQVDPYDTGFLKVSDVHTIYYEQSGNPHGHPVVFLHGGPGAGTSPGNRRFFDPEFYRIVLFDQRGAGRSTPHACLEQNTTWDLVADIEKLREHLDIPEWQVFGGSWGSTLALAYSQTHPDKVTGIVLRGIFLLRKKELDWFYEGGAAAIFPDAWEPFRDFIPEEERNCFIAAYSKRLTSSDPTVQIEAAKRWTMWEMMTAHLIQNNDNIKRGEDDKFSLAFARIENHYFVNKGFLPSDSYLLDNVDKIRHIKAFIVQGRYDVCCPMMSAWDLHKAWPEAEFKVVPDAGHSANEVGIAAELRSATEKLRDMLRK >KQL15020 pep chromosome:Setaria_italica_v2.0:III:15704980:15705171:1 gene:SETIT_023935mg transcript:KQL15020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGKGCWSVGSQICTANSSCISTETKGNGGILLYKPYEERQSSPLFQDMGHFVKHESIQFQV >KQL17024 pep chromosome:Setaria_italica_v2.0:III:47676151:47676584:-1 gene:SETIT_023719mg transcript:KQL17024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDRFRLELLGQFYGPPLGPAGIGPPSVISVLISLILLFISLILLFDICYMVACCLGSQASPWRCTIVWAWRSLTKASFIVLFDSIQFHSKNKDKLLLKASTKTES >KQL13898 pep chromosome:Setaria_italica_v2.0:III:7595453:7598254:1 gene:SETIT_022298mg transcript:KQL13898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGLRRHAGQFLSTSNELMAASLSTATCAEEMQKAEGGGCRDDAVALRLKEVAMAAILVAGVLGVGLPLAGRKRRALRTDSSAFRAAKAFAAGVILATGFVHMLHDAQHALSSPCLPAAPWRRFPFPGFVAMAAALATLVLDFLATRFYETKHRDEAARVKAAAAATLAAASSASDEDITVVTVAEDDRKAPLLQTHCHGHSHGHGHNHGHGHELVQVEGREGDMSDHVRSVVVSQILEMGIVSHSVIIGLSLGVSRSPCTIRPLVAALSFHQFFEGFALGGCIAQAQFKNLSAVLMASFFAITTPAGIAAGAGLATFYNPNSPRALVVEGILDSVSAGILIYMSLVDLIAADFLGEKMTGSLRQQLVAYIALFLGALSMSSLAIWA >KQL14297 pep chromosome:Setaria_italica_v2.0:III:10228336:10230544:-1 gene:SETIT_023183mg transcript:KQL14297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGSLGLLHAPSFTAVKCQPVASRAAAPARRAPRALFAVRASAAGAADKDAVLKAFRENRALKIISGLQNFDRSSVASVVTAADKGGATHVDIACHEDLVKLALDLTTLPICVSSVDPSAFHSAVQAGAKMIEIGNYDSFYEMGIEFSSEQILKLTRETRKMLPGIALSVTVPHTLSLPDQMRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKVW >KQL14298 pep chromosome:Setaria_italica_v2.0:III:10228198:10230655:-1 gene:SETIT_023183mg transcript:KQL14298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGSLGLLHAPSFTAVKCQPVASRAAAPARRAPRALFAVRASAAGAADKDAVLKAFRENRALKIISGLQNFDRSSVASVVTAADKGGATHVDIACHEDLVKLALDLTTLPICVSSVDPSAFHSAVQAGAKMILKLTRETRKMLPGIALSVTVPHTLSLPDQMRLAELLEEEGADIIQTEGGKCSSPTKPGVLGLIEKVW >KQL17004 pep chromosome:Setaria_italica_v2.0:III:47493099:47493731:-1 gene:SETIT_024155mg transcript:KQL17004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLAAVVLTAFAAAASRCAAAGANKPLLVENLPASAEAKDFIRAGCNETCIRRPDAARACYELLLPYAASINSSYNRASLAIVTVMVSKLADLAKDLRSFGEAGKLEGCIRMLDETVAGARDQALPALGRISAIADDKLKAKDPDFLLVWNWLRDVDINFVKCWDGGLKRIMDRVPSSIVADHSEYAAAAIISRPRLKWAPQSPDGENP >KQL16656 pep chromosome:Setaria_italica_v2.0:III:43409551:43409981:1 gene:SETIT_023817mg transcript:KQL16656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHLDLNVPLDATGEQGHEVEEGDQVQEDGFVHEEQRHAAHPLEHAVQDEQGYATHNFDMNEQAEDEDYHDESGIYIGNQTFSCN >KQL15106 pep chromosome:Setaria_italica_v2.0:III:17042317:17047817:1 gene:SETIT_021667mg transcript:KQL15106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSGNCCRSPAAAAREDVKSSHFPASAGGKKKPHQARNGGGAGGGGGGGGEKKRLSVLGEEGCDVGAGIEDKYALDRELGRGEFGVTYLCMDRGTRELLACKSISKRKLRTPVDVEDVRREVAIMRHLPKSPSIVSLREACEDDGAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSDNAKDLVRQMLQPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKVMDTDNDGKVSYEELKNGIAKFGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMANDEHLRRAFLFFDKDGNGFIEPEELQEALVEDGGADSMDVVNDILHEVDTDKDGKISYEEFVAMMKTGTDWRKASRHYSRGRFNSLSIKLIKDGSLKLGNE >KQL16878 pep chromosome:Setaria_italica_v2.0:III:46213650:46219104:1 gene:SETIT_024340mg transcript:KQL16878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAADDDDDGPPPAYAAQRGPRRDPRLKDLGISCMLNTEVAALLAVIRRRPDPYSYLPPAVAAAEEATFAGLISSLKTLRGLLFQPRHGAWRCSDPSTYLTPFLDVVQSDEAPPAATGVALSSVLKILRIDVFDECSPGARDAVHAILAALTSCRMDRISDASAEEAVLLRVLQVLAALLRARAAPLLSDSSVCTAVNTCFQIVQHAAGSRGSELLQRTARHCMHEILQSVFARLPDIHDDSAGDADGDVAAGAGASSGAGFGARCMVDVFNFLCSLLQNASDMVITADGQGAFTSEEDVMLFSLVLVNSAVELGGEAIGKHAKLLRLIQDDLFYHLISYATEYSPLVLSMICSTALNLYHFLRRHLKLQLEAFFMFVLLKVCGGANGPQLQEVAVEGLISFIRQPTFVIEMYVNYDCDPLLRNVFEEVGKLLCKAAFPSSPGPMTAVQLQAFEGLVNMITTIADNVEVDKAPDTDAYAVEVSEFRLFWTERWDPSTGAGAAAGNGERETWVDFVRKRKLRKKKAAIAANHYNRDQKKGVEYLKLCHLIPTPPEPRSMAYFLRYSPGLDKNKIGEFLGDPDDFNLKVLKEFTETFDFTGAILDTALRTYLETFRLPGESQKIQRILEAFSERFFEQQTTGVFATKDAAFILCYSLIMLNTDLHNPQVKKKMSEEDFIRNNRAINDKKDLPREYLSELFHSISSNAITVFSSSAAAAAEMTASRWADLVRRSRAMEPFTPCDFRHKLSREVFVAVSGPAVAALAAVFDSADDEETLSQCVEGLVSVARVARYGLGDVLDELLCCLCKFTTLLNPYATTEETLFTFSNELKPRMATLALFTIANRFGESVRGAWKNVVDCLLKLKRLKLLPPSVIDPGGGGSAVAERPAGHRHRASVSDAGGGVIFPPTHKGAGTSRHVSGMIGRFSQFLSLDGGGGSESLLTVGSEFENNLKIVQQCQAGRIFTESAKLPDEALQNLGRALVFAAGGKGQKFSTPVEEDETVGFCWDLLALLASANLHRLATFLPPLLDCFAAVSQLPLFSPCPFAEKAIVALFRVAVRLLSAPPQPPKPGAADGRVAEELVFKSINMMWKLDKEILDTCCEGISESVVRLLTEHAGGVQTPLGWKTLLHLLTVTGRHPETFDQSVAAMIKVMSDDGAHVTRFNYAAVIEAAFGFAALKISPLDVSTKILELMAESVNWLVQWHKSGYSDPGNSAGFSGGGGSSSSSATSVDAADASRMGNLATNMFIKLAEALRKTSLVRREEIRCQAVFELGRAFNLAAAGDLDFGPGGCLACFNLVIFAMVDDLTEKTLEYSRREGAERETRSMEGTLAAAAELLADVFVLLLPMLAQAPGFRTFWLGVLRRMDTCIKCDLAAGGGAGLMQELVPRMLKRMIMEMKAKEVLVPREGDELWEITYIQIQWIAPAAKEELFPE >KQL14073 pep chromosome:Setaria_italica_v2.0:III:8595792:8599263:-1 gene:SETIT_021196mg transcript:KQL14073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAISLSLPTPPTHHHHAPPHHARPPPPPLQHARAPPPHLHKASSLSEALRGVDSFRDGRLLVSLLRQCAELLHGDQDAECVSVVRRLAPQLHSLAVRADRARDPHVACALVDLLARLGRGASSRRLLEEASDAEDGKDAVLWNKHVAMLAEAEEWGEAIDVFGEMQARGVPADGYACARVLHACGRAGALRQGRAVHAHAVKAGHVDAHTLVPGFLAGMYAENVDVAAATRVLETTEAAAVAWNAVLACCARLGLVDDALELAERMARSGPEPSLATWNTVLSGCSRHGRDREAFGVVRSILEQGLLPDSSTMSSLLKSVANLGQLAHGMEAHCFFLRHQLEADVYTGTAFVDMYAKCGRLDYAKKVFDALELRNMTTWNSLVAGYANAGQFDNSLKLVEEMKMNRLDPDITTWNSLITGYSMNGLSSQAVLLLRQVKAIGLTPNVVSWTSLISGSCNNGDYEDSFYFFNEMQKDDVQPSLVTMSVLLRACAGLALLKKGKELHSFALRRAYDYDMVVRTALIDMYSKAGSLTSAKRIFERIQKNNLVSCNAMLTGLAVHGHGREAIELFHDMCNSGLKPDSITFTALLTACRSMELITEGWEYFDSMESRYGVTPTVENYACMVDLLSRCGYLDEAMDFIKKSPFKSAASLWGALLTGCTVHGNLALAEVAARKLFKLEPYNSANYLQMVSLYEQEQMFDEAESLKYVMKARSLNTRPGWSWIQIEQSIHVFEVEGKPHPDTAEIYEELIRLVFQIRKAGYVPDTSCIVYNVPEEEKEKLLLSHTEKLAITYGLIHSDTSQAPIRVIKNTRMCNDCHEVAKHISAICDREIILRDADRFHHFTGGKCSCNDCW >KQL15344 pep chromosome:Setaria_italica_v2.0:III:19108794:19114853:1 gene:SETIT_021556mg transcript:KQL15344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAASSDGESAGELLLRAAALVPWTHYALAALALATALLYRFLELHFIGDLLRGLRGGRVALTFHPESQVYHRVASKCRSLHGRYLATPWLASPHLQTLFLSISGRPPSFTYRRQLYTVHDGGTIALDWLLASDLEAADVDSCDESISKDDSTPLLLVIPGLTSDSSAAYVKHLVFSMASKGWNVVVSNHRGLGGISITSDCFYNAGWTEDMREVVNYLHQKYPEAPLFTVGTSIGANIVVKYLGEEGESTPVAGAASICSPWDLLVTNRFISRKLVQRCYDRALAIGLKGYAKLHQPVLARLANWEAITSSSSIREFDRHATCVVAKYETVDTFYRKCSSANYIGNVSVPLLCISALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFEGLTAGRLWWVRPVTEFLCALHDSCYMHRQKAQEHGLHSSLESLIDKSPYVNFMEDGMVAAVTNDGPDNDDSLHNQIVDEIKLSDDMVAIEQNEQTGEIQNESDSGVGDKNNSEGNVTSFQGHEGNHEQREEPNANNIRDAIAPVRRSINQITRSQGKSVWLLAYIAVVTSWPLLGTLGFFLFRKRFSNSLLAKKMKKL >KQL15969 pep chromosome:Setaria_italica_v2.0:III:26195315:26197148:1 gene:SETIT_024595mg transcript:KQL15969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRTAVPAHQWELNHASDPPPRRPSPSQPCATQRESKVAAAAVQELPAQQYPPAAASRANGLASCVLAALAASFSPLAADRPARALVLEEDDDIELLERVKEDRKKRLEKQRIISASGAETGYLQDLIYKLSKVGQAIDKDNLPDASSVLGPSTDAQWVQNINAAFSKFSSSPEERSTVDSFNASLASLFTSVNKLDAGSSKSAFVSSATALEKWIALAGLSGQLKGF >KQL14983 pep chromosome:Setaria_italica_v2.0:III:15333983:15335607:-1 gene:SETIT_022463mg transcript:KQL14983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVKGMVELMVDAAQPLLRSWEGKVAAAPGGVAEVDVDDDIRSFSFDVISRACFGGDYSRGREIFLRLRALSGLMSETSVIFTIPSLRHLPTEKNRRIWRLTQEIRSLILQLASERRRAGAGAAAPDFLGSIIENSRGQPRADDFVVDNCKNIYFAGHETSAVTATWCLMLLAAHPEWQDRARAEVLEVCGGAAGAAAPDFDAVARMRTVHAVVLETLRLFPPSSFVVREAFRDMQLGKLRAPRGTYLFVPVSTMHHDAAIWGPTARRFDPGRFRDGVAAACKHPQAFMPFGLGARTCLGQNLALVEVKALVALVLSRFAVALSPDYRHAPAFRFIIEPEFGLRLLVRRLGHEDDGH >KQL14829 pep chromosome:Setaria_italica_v2.0:III:14076627:14077382:1 gene:SETIT_023752mg transcript:KQL14829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKAYKKFRIMAEHYRRSAKTPSALKRACTIAPRARHQDVTCAFALLMNMCVGDICLGFMYRFTNLCVLAIFTSASVRTLAIHNSEVCLHIVHTRSEHAGLI >KQL16374 pep chromosome:Setaria_italica_v2.0:III:38667553:38672351:1 gene:SETIT_0230591mg transcript:KQL16374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTSFAPSSSPSQCPPCGRRRPGTPPWGAPASPAAAHMAAVVAPPSTGAPQPRRPASVGRRHPPLYISLTRGPICPTPSDPPFPYTFTPTPSPQTPLAEATTRSTNRGPRVHETVPEPAPSHCVSQRENARCSRAQPRSSRK >KQL13468 pep chromosome:Setaria_italica_v2.0:III:4615194:4617474:-1 gene:SETIT_024313mg transcript:KQL13468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKATIERYKKTTSDNSNSAGTVAEVTIQHYKQESARLRQQITNLQNSNRTLIGDSIATMSHKDLKQLEARLDKGLVKIRARKNEVLCSELEYMQRREMELQNDNLYLRSRVDENERAQQTVNMMGAPSTSEYQQGFIPYDPIRSFLQFNIMQQQPQFYSQQEDRKDFNLGGR >KQL13185 pep chromosome:Setaria_italica_v2.0:III:3049215:3049780:-1 gene:SETIT_025539mg transcript:KQL13185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPADHVRRSHCWAMHGWSRRRAVSCAGQPVIDLRPAAQSARPG >KQL16907 pep chromosome:Setaria_italica_v2.0:III:46601766:46602007:1 gene:SETIT_023966mg transcript:KQL16907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPTKQHPSVLVVSRATEHLSFPCHGRAVMCSRLKLAAWCKANLKKDFTGSLENAS >KQL15807 pep chromosome:Setaria_italica_v2.0:III:24152684:24153465:-1 gene:SETIT_024292mg transcript:KQL15807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVSPLHMAIDAGRWDGERLLGRLIIVVHAAFLDAGFVPVPHRSGRNPSRVPRQAGRTALALSLRYDAPQLLHREDAEAAVLRIQAHGRWHLVLYVLYEPKPWLVEHCVLVDALAAAPGGRPGPHDAALRSDARLAGLWRRLSDDLCRRALVATCRRRNGVALEPTFTSLPGRDLARLTNGADLARAELVCTGLRRLVAHRDRELWEPMYEALRLASSFTRDGGSPERRSRLHTPPPFSFQPGDEAVLSYLWQLVD >KQL17022 pep chromosome:Setaria_italica_v2.0:III:47669444:47671374:1 gene:SETIT_024683mg transcript:KQL17022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTSRVTATTATPDLSLHISPPSPAAAAGEMQAAEPRLLLGLELDTAAPAAAKTDDAAAQRHGGVHQVQQRLHQPNQTAHGLKKSSGGGGGGGRRSARAPRMRWTTALHAHFVHAVELLGGHERATPKSVLEMMNVKDLTLAHVKSHLQMYRTVKGTDRSCIAGHGQARDMVFLRRRGSAGEVDGFDVFNSNYAVNTTTIFNNNTPSRFAHSIS >KQL13670 pep chromosome:Setaria_italica_v2.0:III:5962870:5963534:-1 gene:SETIT_025701mg transcript:KQL13670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRGARMRRRWCRQLQGRSSFSGKLWLARPFAALSPLPAARSLRWRAAAGRRSYEGWGKVQRSQCNRQVRCLVEAQIGDQWLSFGCDMFCL >KQL13860 pep chromosome:Setaria_italica_v2.0:III:7295244:7297438:1 gene:SETIT_023136mg transcript:KQL13860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNGGFGDDEEGRGLELSLGLPGYFSRSPCQAAGLEEKGSAGSAAAGAARAPKGSNGSKARAAAAAPVVGWPPVRAFRRNLASSSSKPASHEPSSQRGSDSTTGAAKAIEAGKKGLFVKINMDGVPIGRKVDLRAHAGYDTLSAAVDHLFRGLLAAQTSGGEQEVITGVLNGSGEYTLVYEDEEGDQMLVGDVPWEMFIGTARRLRVLRSSDLSPSSLRAASRKRAAAEC >KQL14225 pep chromosome:Setaria_italica_v2.0:III:9730299:9733439:1 gene:SETIT_022243mg transcript:KQL14225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRETSATRGEGPVCKAVAGGDGDGDGKGAGAVAASARRRRRRLELRRLGRTAEAAEEGVSAKRVRSGSDRSSSDSSVEAHHGARWPACLSHGAVSVIGRRREMEDAFSVALSFLASAGAGAKGGGGGSDGEEDFFAVYDGHGGARVAEACRERLHVVLAEEVGLRRGVGSYARWKEALVASFARVDGEVTGGLAPPPKQAAAGADPDLPYHTVGSTAVVAVVGQRRIVVANCGDSRAVLSRGGVAVPLSTDHKPDRPDELQRVEAAGGRVINWNGYRVLGVLATSRSIGDYYLKPYVSAEPEVTVVDRTDQDEFLILASDGLWDVVSNEVACKIARNCLSGRAASKFPESVAGRTAADAAALLTELAMSRGSKDNISVVVVELQRLKRSGGGGGGAGAA >KQL14428 pep chromosome:Setaria_italica_v2.0:III:11206422:11209744:-1 gene:SETIT_021850mg transcript:KQL14428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRAPLLLPQYTLREEKCGGAGTGDERWRRDLAREAGKVGYVALPMAAVSVSQYAVQVASNMMVGHLPGVLPLSASAVATSLASVSGFSLLIGMASGLETLCGQAYGAKLYDKLAMHTYRAIVTLVFVSIPISLLWVFIGKLLILIGQDPLISKEAGRYIVWLIPGLFAYAISQPLTKFLQSQSLIIPMLWSSIATLLLHIPLCWLLVFKTSLGYIGASLAISLSYWLNVIMLAAYIGYSNSCKETRSPPTIEAFKGVGVFLRLALPSALMLCFEWWSFEILILLSGILPNPELQTSVLSICLTTITLMYTIPYGFGAAASTRVANELGGGNPEGARSAVRVVMCIAVMEAAIVTIILLASQHILGYAYSSDKEVVAYVNAMVPFVCVSVAADSLQGVLSGIARGCGWQHLGAYVNLGSFYLVGIPTALLLGFVLKMEGKGLWMGISCGSIVQFLLLAVITFFSNWQNMSDKARDRVFSDEPSDKEPLESGGSDLP >KQL12929 pep chromosome:Setaria_italica_v2.0:III:1684328:1685528:-1 gene:SETIT_024219mg transcript:KQL12929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVGGKSVVGGGAGAGGTTGGGAAACRASGSRWTPTPEQIRILKELYYGCGIRSPNSEQIQRITAMLRQHGKIEGKNVFYWFQNHKARERQKRRLTNLDVNVPAAAAADVGHLGVLSLSSPSGAAPPSSPLGLYPGNGGASALQLDTSSDWGSTTAVATETCFLQDYMGVMRSTAGHHDSSAGGAAASPWACFSSPDSWAAAPAMTRAPETLPLFPTGDNVQPPRPRRGAPAGDAIRGGGGGSGYLPTLPFWGAAATAATATTTTTSVTIQQQHHQLLQLQDQYSFYTTNSQPPSSHDASSAATASLELSLSSWCSPYPAGTM >KQL17113 pep chromosome:Setaria_italica_v2.0:III:48370700:48375578:1 gene:SETIT_022662mg transcript:KQL17113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGGEGVSPSAALKDQGNEQFKSGSYLKAAALYTQAIKLDPDNATLYSNRAAAFLQLVKLSKALADAETTVKLKPEWEKGHFRKGCVLEAMERYEEAISAFEIALQLNPQNTEVSRKIKRLSQLAREKKRALDVESMRSNVNVGKNLESLKTELAAKYGDVETGQSIFSFVVNVIESAIKAWHDTGKVDPRVNFLLDDQKTNTQKYAPVVNVDKDSFAKAACMVAPKTIISYPQVWKGQGSRKWKLDQSDGFFVQFESPALRKIWFVPSTKEKGRTLCRSPETLDIGIHEVIPRIFKEGDPQT >KQL17114 pep chromosome:Setaria_italica_v2.0:III:48370700:48375578:1 gene:SETIT_022662mg transcript:KQL17114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGGEGVSPSAALKDQGNEQFKSGSYLKAAALYTQAIKLDPDNATLYSNRAAAFLQLVKLSKALADAETTVKLKPEWEKGHFRKGCVLEAMERYEEAISAFEIALQLNPQNTEVSRKIKRLSQLAREKKRALDVESMRSNVNVGKNLESLKTELAAKYGDVETGQSIFSFVVNVIESAIKAWHDTGKVDPRVNFLLDDQKTNTQKYAPVVNVDKAFESPQTHSECFTFLRQYAEDSFAKAACMVAPKTIISYPQVWKGQGSRKWKLDQSDGFFVQFESPALRKIWFVPSTKEKGRTLCRSPETLDIGIHEVIPRIFKEGDPQT >KQL14445 pep chromosome:Setaria_italica_v2.0:III:11263238:11264659:-1 gene:SETIT_024600mg transcript:KQL14445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHFEVMAGRLLTDSTLQSAINEASALPSSATTACDAAAEDGRATSGVLVECRICQEEEDEAYMEAPCSCKGSLKYAHRKCIQRWCDEKGDTICEICLQQFTPNYTTSSKLFQHGRNTIFFSAPGYIQARPMLNADQTSATSTSYEYGHQTSTPTGVICCRIIAITLMVLLVLHDALSVLLGDQGAYTVAMLTLLMLRTAGVVIPVYIILVAVTELLHRRRQRQIPGPAGAESTQPQQHVISIQ >KQL15764 pep chromosome:Setaria_italica_v2.0:III:23528179:23529309:1 gene:SETIT_022915mg transcript:KQL15764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKEAVATGNTTASGADGKLLRRKSSVSTGQNHTSSSTSSSANNAVAVKDVVKEPAAGEAKAGVVEAASDEKPAATAVDEKKENDGFVKERAAGEAKADVVVVSVEKPAATAVAGEKKEDDDAAAVKKDVADDVAVPAAAVSTAPEASAAPAPEAQQAEKVEEEQLPESTMAEEAPVEEADKAAEEEKAEKKPEEEEKATSPAPTKEDGESSAGKQNTTEPVEAKPVDEPKAEGAAAPVVPAESSAAEKKINASDEQTATAVAATTTASEPPAN >KQL16540 pep chromosome:Setaria_italica_v2.0:III:42205083:42206428:1 gene:SETIT_023902mg transcript:KQL16540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGAVGDRREASSRGEALPPLAASRTRCAWYRSLLAGLRICQQSLGTPWRRSTSGPCRLAWIESQTTAG >KQL13436 pep chromosome:Setaria_italica_v2.0:III:4460413:4464738:1 gene:SETIT_021487mg transcript:KQL13436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEVFNPPEMEGETEAKNGPCTSIRNDVVFEQDLGSSYQLWGNMKFEEHGQLLSQAKRDLSCVDYQARPVESNYVTDLRSHAYSEDPSPLGREYHRQKSCLPTSSCSCEKSSALEAAPSSPDALGHALGKMRTKINTLSARPDYFSSYPTTASHVRKHREVELDYGLDHSEHCYRRSGRVTAFSSHNGQSAGHCNEMVDYARGHHYVDDINPVSRQWCFDDGGPSLPRGLQYGDEIPSLSSKKYAPSRSSQWHYGPQTPLFSRRYEYGDEIPSLSPNWRYRDKIPSCSGHWCHDVGPHAPSRYRQGASHRNGHSRQNFSRINTNEQAKVTTSKHAFIKPRMVNRVANSSDHYRTNMKDNRCRNPEAVMDQVRGPRANKLNDASASSPVKDIMSPLVCREQFNRSDFSVQYKQAKFFMIKSYSEDDIHKGIKYNVWASTPNGNNKLDAAYHDAQILMKENGEKCPVFLFFSVNTSGQFVGLAEMLGPVDFKKTMDFWKDDRWSGFFPIIWHIIKDIPNRLFRHIILEYNDNRPVTFSRDTQEIGLLQGVQMLKIFKDHPQGASILDDFDFYEEKDSARGAQKRENPESTHQARFSEDLEPMGSLEASMESWSLYNNWD >KQL13289 pep chromosome:Setaria_italica_v2.0:III:3645026:3646598:1 gene:SETIT_022589mg transcript:KQL13289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDAAARHPIATPREAEEGEEGHHPGRDSVDSPSSECRFCEMTRQHHPQCARRLPKRIILVRHGESQGNLDMSAYTTTPDYRIPLTALGAEQARAAGRGIRDVVASGGGNWKVYFYVSPYARTRATLREIGRAFPRDRVIGAREECRVREQDFGNFQVEERMRAVKETRQRFGRFFFRFPEGESAADVFDRVASFLESLWRDIDMGRLDQDPSCETNLVIVSHGLTSRVFLMKWFKWTVAQFERLNNFDNCEFRVMQLGPGGEYSLLVHHTKEELEQWGMSPEMIADQQWRASANRRSWAEECSSFIDSFFEDPKDSESSSEDEEEEKENGKIKHLE >KQL15172 pep chromosome:Setaria_italica_v2.0:III:17597074:17598869:-1 gene:SETIT_023575mg transcript:KQL15172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEVNTPKKAVLPSVTPCKEKHEASLRKALSPISSNMEPQTPIETPKVEKIPGATPLDKFNALGSNLKEALVQQYLDFLNEGNKEELQQLKGIGARRAEYILELREESPRPFKTLVDLENIGLSSKQVQDILRKTASGIFK >KQL15904 pep chromosome:Setaria_italica_v2.0:III:25202929:25207739:1 gene:SETIT_021740mg transcript:KQL15904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIPRRKGGAGPLAVGVPSRRAQVAAVFALAALLGVSVLYDSAHIAASLRRHGGGPRAYAKLSSDDAAAVSSAAREEAAAAAVEAASARAPPAKGAESAPVEGTDRPEPPPHQQQVEKAEVEAVAKPGATAGSSLQDAPLIEEVVQSGGAAQGGGSAQEERQEGTCDLYKGHWVYDETRAPIYKESGCSFLTEQVTCTRNGRRDDDYQKWRWQPDGCDLPRFDAKLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQAEAPWDKKSLVKNGSLNVFHLQEYNATVEFYWAPFLVESNSDDPDIHSISDRMIKPTSIAKHAANWEGVDYLIFNTYIWWMNTPQMKTVHGGSFSRKHVKYDEVERVVAYRKVLKTWSRWVETHIDPKRTTVLFMSVSPVHMQSEGWGSPNAVKCFSETQPAINYTKKLEVGTDWDLFATAQRVTRSMKKVPVHFINITALSEIRKDAHTSVHTLRQGKLLTAEQKANPRKFADCIHWCLPGVPDTWNEFVYGHIMSSPPQLQMPEDQPHR >KQL13382 pep chromosome:Setaria_italica_v2.0:III:4187143:4188602:-1 gene:SETIT_024059mg transcript:KQL13382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRRDADTQEGNTCSARAVAAAAARRCTPAKGAGNGQASSGVEGTRTHVRAGGLPSGSGSPGPSPPHRQADLTRSPRAQAAGGATWPPEVRPRRRPTLHGGAMQCQAPAGRPATRRPPLSLSIPFRLHCIGMHANCTPPSPPCAYKYRRQAARPSHHQQQQQQRLPLPPFHLVSQQPPQHQQGGSGKVAGGGGGRKCCPLRRSRKGCMKGKGGPENQRCPFRGVRQRTWGKWVAEIREPNRGARLWLGTFATALDAARAYDAAARALYGDCARLNLLPAAALPAAAAAAPTNSNSMVVKASPAASPSSSPDAAVADHHHQYYDYKQEPTTMAMNMMMVAAVPSPSCCSADGASPNSNYSNSSSSAAPTPTAMQQMMMADELAAAEQHQQAEADDFEDYVTRLPKAEDFGLGGFQEVPPEVFDEAAGGGIWDHTVGWPSAMMSGGPSQIVPF >KQL13622 pep chromosome:Setaria_italica_v2.0:III:5596513:5598510:-1 gene:SETIT_024264mg transcript:KQL13622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAAPCSAGLSLTAVARVSPPPPRHGGRFELQQLCRRPAATLSSTPGNFNQTNLRRGDRIIRPASVVSMDGWAQLEAPVAVVTGASRGIGRAIAVALGKAGCKVVVNYAKSSTEAEEVCREIEESGGTAISFAADVSCETEVESMMTTVIDAWGTLDVLVNNAGITRDALLMRMKRTQWQEVVDVNLTGVYLCAQAAATVMMRRRKGRIINITSVSGIIGNVGQANYCAAKAGVIGLTKAMAREYGSRNINVNAVAPGWVASDMTAKLGEDVERKALETIPLGRFGRPEEVAGLVEFLAVHPAASYITGQVLPVDGGLSI >KQL16704 pep chromosome:Setaria_italica_v2.0:III:44259478:44260678:1 gene:SETIT_024704mg transcript:KQL16704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPYEINAYLILLFYLICACLSLDCWAHAAIGATEGINAIRTGELVKLLEQQVLDRNNLSNGFYDYGRGYPHLSFDYICRNGGIVPEALYPYVGRRGPCQKIHAEKVTIDGYQFVPKNCEFSLRQAAANQPICVDICFDERLEYYCGGIADDMGSCGKLNHSVLLTAYGKTSKGKKFWVVKDSGTGKFILIARDVGSKGGAFGIAKSACFPVKISPNCDGSVEDLRMLERN >KQL16529 pep chromosome:Setaria_italica_v2.0:III:41714824:41720124:-1 gene:SETIT_024159mg transcript:KQL16529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSIVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTAQWRISNVVQPHTCRSSQPKREHVQCTANETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRLFWCFPQCSEAFQHCHPVILVDGTFLTGKYKGTLMMEVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGKRQKKHFTGEMDVSEGRLSADYDTGIAHATVENQKALNLDRAVIGRVLRTIGMAAPGYPLLEAAYDLHYRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRSPEPEGGDSSKKTYGFGSECPWPWHRDDALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSEIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHLWQNKEGCDPKGGPYWRPNNEYIRRYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTCHGTQPERAPLHDYMGQQLARLSNEAGVIMEHAVGEGDSLLRPSRRSRGKERASPQESEDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSQ >KQL14132 pep chromosome:Setaria_italica_v2.0:III:9055636:9056970:1 gene:SETIT_022591mg transcript:KQL14132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTNHAATTYRPLRYITAPAARCRFSTVRLGASRRVGLRVRAEAEPSSLLPPPAFPKFPCLASNSRNPKASGPNQTLRASARAPTRDIAKFHVPGRQRPTGMIRIPRGSARGAHAARPPPVNFPAILSRLAVAATTAIHNPRESRRARFAMASEHAEPKQKQSLMDKAKEFVVDKIAHIPKPEASLDSVSFKSMSRECITLHSNVNISNPYDHRLPICEVTYTLKCAGKVDAQINNQTCSSSQGRCVRHHARPRLDRRQRQHQAGDPGQGALRLPDLDRQGRGQGLGHRLRAPGGAHHRPPHRRQVHHPALHQRRVQAPNHQGHAVQSHPIRGELTL >KQL14131 pep chromosome:Setaria_italica_v2.0:III:9055636:9056970:1 gene:SETIT_022591mg transcript:KQL14131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPTNHAATTYRPLRYITAPAARCRFSTVRLGASRRVGLRVRAEAEPSSLLPPPAFPKFPCLASNSRNPKASGPNQTLRASARAPTRDIAKFHVPGRQRPTGMIRIPRGSARGAHAARPPPVNFPAILSRLAVAATTAIHNPRESRRARFAMASEHAEPKQKQSLMDKAKEFVVDKIAHIPKPEASLDSVSFKSMSRECITLHSNVNISNPYDHRLPICEVTYTLKCAGKVVASGTMPDPGWIAASDSTKLEIPAKVPYDFLISIVKDVGRDWDIDYELQVGLTIDLPIVGKFTIPLSTSGEFKLPTIKDMLFSRTPSEAS >KQL13385 pep chromosome:Setaria_italica_v2.0:III:4206977:4209514:-1 gene:SETIT_022918mg transcript:KQL13385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPVAPGSGRVSRLRPRPTRVGLRGAGAVVAAAEGPSCLYVGPIETASQEKLEALYHQARDSYYSGQPLIVDDMFDKVELKLRLYGSKSVVKYPRCSLIRQSTYADAEEDQSMFMALSSIWMLLLLFGTSAFLVPSLYTLNLAFGDAFGARHLLYGAESLDAITRVNDLALVGLGYLVGYPIASASVGALRGLLSNNLVALKGSCPNCGEQVFAFVKTDKSIRAPHRAECHVCECPLEYRTKIEKSLSGPRRTWVYGRVYLVKQGHPRKRKWIND >KQL17076 pep chromosome:Setaria_italica_v2.0:III:48084619:48085658:1 gene:SETIT_024029mg transcript:KQL17076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAHEHRELVEILFPQTKPIPFVPDWSVDGIIRTTKYLLLNSEVSVEKQIDYFKSQGKEAFAKGDYLDAAYFYLLAIEKDPLDGTLFSNRSLCWLRLREGEKALSDAQHCKTLRPRWAKAWYREGAALSLLKDYKGAVDAFLEASKLDPASDEIQKALRHYSSLPHTA >KQL17176 pep chromosome:Setaria_italica_v2.0:III:48727943:48732507:1 gene:SETIT_021005mg transcript:KQL17176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVDESIEIEADDIRAAAGDILDFLEDTSKEKEIYFKGWDGFGASAALKAVAKMLQSAESMKNPKLKFDRVIHIDCSLWKSRRALQKAIAEELKLPNPVMAIFDQKDRDDDFKGVDEAARREIDDVTKEIFMNLAGRRFMVIFHNGGNEYIDFFEFGIPIYGHLRSKVLWTFHGRFRPHVQVDDEVKETIEKRTDVFLRAVPTDRSDVHESVALSNAVLEEANEVATYLTTYKHPNMVLECILHMWAHKYVGGMDWGAHASSYWVCDGIIRDGGKNPELLLKERMWEIAGDLHSNIHLNWDLEYVSRAVHSFKWVMPDERWIRILLPPLIPHDTDFQQSSHSFQTIIEKNQEPTMATSFIAPNRDKPSTDEQQGFTTDTTGLSSHMFKHYENLRVIQLSWCTFNFSAPPFLYCKKLKFLRLVHCKDLGTSASSDGGEVKDQTTHGTGSLSCDGIIRDGGKNPELLLKERMWEIAGDLHSNIHLNWDLEYVSRAVPSFKSVMPDGIRILLPPLIPHHTDCQQSSHSFQTIIEKNHDPTMATSFFIAPNRDKPSTDEQQGFTTDTTRLSSHMFKHYKNLRVIQLSWCTFSFSAPPFLYCKKLKFLRLDHCKDLGTSASSDGGEVKDQTTHGTGSLSRPCPDDLLVLHLNHTNINLLWCTGPPMNPRELYFSGVKNWIEHHLHAVQLGELGKLGVTTDQMETFPNLLQAKSLRTITLDGCTELKEVGPDVLPPSLESFTFFVESSTANKGTPSKGVGEGGAKVHTISLRCCTQLKGLFLRGWFKKLKMLDLSGTLLKTLDLSMVEAPFLCGFRLLGCHMLCAILWPPARPRLQELQIDTTTTQLSPSCCLGGTHFNISVRDGRLLGSLVPIGDHFLGEGLHIDISSPDHAASYASSINDDDDSIIIATSGCSISKKQMLLGWRPGQPYTKDVSFDCTTSSGSTTLLAPLLTIHRDDNASATAWMWDCPRAPYRGAYIRVEDRMQKTGLVLGPGQGTELLCDHATILHVHDSASITGIPFPAILSWAKLLWCRVERCQRLDTVFCTPEGEGVGGGSRQAMFWYIQTLWVSQLPVARHVWSWSVAAQPDERSFEDLRYVHLHNCPRLLHVLPLSMSVNLGRLHTLEIVCCDSLTEVFPVPAKREVVNFWRLRRLHLHELPALLCLSGRRMLTPELETVRVRGCWSLRRMPAVGDGTGVGSKRKPTVDCEEEWWDRLVWDGVRLGHDPSLYRTLHPRYGRETGLRPGTLLR >KQL17339 pep chromosome:Setaria_italica_v2.0:III:49845734:49846361:-1 gene:SETIT_023684mg transcript:KQL17339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLIRRLSRVGDCASSSSPARRRGGGGGGKKACAGRTPEGHVPVYVGGGGEEGEEAERFVVRAELLGAPALAELLGRAAQEYGYHHQGPLRIPCPVDVFRRALASVAGDDDDE >KQL15491 pep chromosome:Setaria_italica_v2.0:III:20843105:20845138:1 gene:SETIT_024876mg transcript:KQL15491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTAEREGNGEQHQKRWKQGGYKTLPFIMANEICDKFATAGFNANLISYLTQQLNMTLVDASNTLTNFGGTTSLTVVLGAFFADSYIGRFWSIVAGSIFYQIGIMGLVLSAVVPSLRPPPCNAPNPDACQRPSGGHLAVLYISKLCMCLGTGGIRPASWPALLQCLLLHHGVATLLALTLVVYIQDHVGWGWGFGIPAISMFVSIVEFVVAYPLYVRAKPGGSPFTRQAQILAAAFRKRNAAVPEDRNMLYQDKELDALISTKGRFLHTDKLKFLDRAAIVTPGDVSDSGRPKLWRLSTVHRVEELKSVARLLPIWSAGIILAAAGSHNGSFTIMQARTMERRITRNVEIPPATLSIFTTGTTLVSIILYDRVFVPLARRVTGLPSGVTYFQRMGIGLTIAIFGVGAAALVETRHRGTAAAHGLLDTPKAIVPMSVFWLVPLYTIHGIADAFASVGQMEFLYDQSPESMRSTAVALFWLCGSFGSYLSTVLVTVVQRATRGHGDWLQDNINRGRIDNYYWLITFIMVLNLGYLCCFYFYTLKPLELAEAQPGDHRDKEGDELHSPQKNGAGNGVGMA >KQL14650 pep chromosome:Setaria_italica_v2.0:III:12687769:12689712:1 gene:SETIT_021955mg transcript:KQL14650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKTFVLYPSLGVGHLIPMVELAKHLLRHGIGALIAVVDPPDTDAVSAAAVARLAATNPAIAFRLLPAPASPDAGAHPVKRSLDTLRLANPALRGLLRSLPAVDALLLDMFCVDALDVAAELGVPAYFFFASAVGDLAVFLNLPYLYPTLPSFRDMGKTLVRCPGMPPIQALDMVITMQDKESDPTKVRLYQFRRIPEGSGVLVNSFDWLEPRALKALEDGVCVPGRPTPRVYCIGPLVNDGNKGENGEMHECLAWLDTQPERSVVFLCFGSKGAFSAAQLQEIAGGLESSGHRFLWAVRSPPEEHGQFPEPDLERLLLAGFLERTRGRGMVVKNWVPQAEVVRHEAVGAFVTHCGWNSALEAIMSGLPMICWPLYAEQGMNKVFMVEEMKIAVELQGYEELVKAEEVEAKVRLVMETEEGKILRERLAVAREKALEATKEGGSSEVAFAEFLRDLEKKSSYGNGKCR >KQL16328 pep chromosome:Setaria_italica_v2.0:III:37632576:37638785:-1 gene:SETIT_021697mg transcript:KQL16328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFLAVVGKIGTFLLWVLFLVLQTATRIVGSLLVGPAEQQDDAQQEPAAAAVARRRSPPASPLRDPYEPASAPLQQLWDPPPPPYPPSAPVADEYSSSSSFRRRASAPPPAEDVVVSSSAYSRPPPAAAHAHSVSAPPLRETRAVPARAAAAGGKRPRLERKYSKIVDQYHSFDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKNSFNGMSLHHIGETPNPYEQAISIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFAPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQFVNFTAIMSKKISQSKKETEFALSALMEIPLQYKATLELGLLGRRLAKSPERVPLPPPFASYSTVSRAAPYRANSYRSVPSRPREEPTVDSTITASVTSPPAVETRVPEPQMCPVCLSKPRDMAFGCGHQTCSECGPQVADCPICRRPIDTRVKLY >KQL16327 pep chromosome:Setaria_italica_v2.0:III:37634124:37638606:-1 gene:SETIT_021697mg transcript:KQL16327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFLAVVGKIGTFLLWVLFLVLQTATRIVGSLLVGPAEQQDDAQQEPAAAAVARRRSPPASPLRDPYEPASAPLQQLWDPPPPPYPPSAPVADEYSSSSSFRRRASAPPPAEDVVVSSSAYSRPPPAAAHAHSVSAPPLRETRAVPARAAAAGGKRPRLERKYSKIVDQYHSFDEVIEALAQAGLESSNLIIGIDFTKSNEWTGKNSFNGMSLHHIGETPNPYEQAISIIGQTLSAFDEDNLIPCYGFGDASTHDQDVFAFYPDERPCNGFQEALARYREIVPHLRLSGPTSFAPIIEMATTIVEQSGGQYHVLVIIADGQVTRSVDTEFGQLSTQEQMTVDAIVQASEFPLSIILVGVGDGPWDMMKEFDDNIPARSFDNFQVVCELHCDHVKEDKSKQKGNGVCPFSTHGNSIAVQGNIRAWTFRTSTCKIA >KQL15577 pep chromosome:Setaria_italica_v2.0:III:21416068:21424235:1 gene:SETIT_021419mg transcript:KQL15577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVWLATLPWTPSPGRAARHAPQEGRPGVIRDRAIEATRYFARRAMLPRSEPSGELPSVSEGQRVGRKRRLAIAGAMTTGGMPGSPVGSAAGGPAAPEVAARDAVIGWFRGEFAAANAMIDALCGHLAQIGGGGGAEYEAAFAALHRRRANWFPVLHMQKFYPVADVTAELRRVADARAAAAAGSCCYSDEAASTVIHEPMEDLPAEPEPEPEQEQDPVQQDPAPAAEEADGGAVASPAAEYHEPDAEVDSSGDSSERKAASTEDDTVADGHHTDQGSQGEHSLPESYPICSDHEECIARPERIKIQKGFVAKESVKGHMVNVVKGLKIYEDVFTTSEIMKVADFINEIRQAGRNGELSGETFIFFNKQIKGNKREIIQLGVPLFQPTTEEANCHIEPIPLVLQAVIDHLVLWRLIPESRKPNSVIINFFDEDEHSQPYFKPPHLDNPISTLLLSETTMAFGRSLVTDSNGNYKGPLTLSLKQGSLLVMRGNSADMARHVVCPSSNRRVSITFARVRPSTPVDLSPLPSPTKAMTPWQPQPAVAAQVTAPGCMAQKAPVGGAIIGYATAPQAVLTPAAWGMAVRAPVMMVAAAPGRPMVMASSGSGGGGGNVGKRMGRSGTGVFLPWTVGPKRYNKHLPPRIQKRRFSAMMSPIEAQG >KQL13443 pep chromosome:Setaria_italica_v2.0:III:4488377:4497122:1 gene:SETIT_021039mg transcript:KQL13443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKRTGPGESSGEAPGVPGQGSSQRPETTQQHGGGRGLLPQQGARGAGQHQGRGGYQGRGGPPESQPRDYQGRGGYQGRGGPPSQHPGGPPEVQLRGYQGRGGPPSQHPGGGPPEYQGRGGPRPRGGVPQPHYGRRGGGSVGPSVPPGPSRSVPELHQAPYVQYQAPVAVSPSTLGASSSSQPAEAEVSTGQVQQQFQELAIRGQSSTSQAVQMAPASSKSVKFPLRPGKGTYGSRCIVKANHFFAELPDKDLHHYDVSITPEVTSRGVNRAVMGELVTLYRQSHLDGRLPAYDGRKSLYTAGPLPFTSRTFEITLQDEEDSLGGGQGGQRRQRVFKVVIKFANRADLHHLAMFLSGRQPDAPQEALQVLDIVLRELPTARYCPVGRSFYSPNLGRRQQLGDGLETWRGFYQSIRPTQMGLSLNIGMSSTAFIEPLPVIDFVAELLNRDVSVRPLSDSDRVKIKKALRGVKIEVTHRGNMRRKYRISGLTSQATRELSFPIDDRGTVKTVVQYFLETYGFSIQHTSLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREKDILQTVHHNAYFEDPYAQEFGIKIDERLAAVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSSWACINFSRNVQDNAARMFCQELAQMCQVSGMDFALEPVLPPSYARPEHVERALKGRYQDAMNILRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKANKQQYLANVALKINVKVGGRNTVLVDALARRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMVKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDQRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYLEPDTSDSGSMVSGATMSRGPQPGSSRSSRAVGNVAVRPLPALKENVKRVMFYC >KQL13444 pep chromosome:Setaria_italica_v2.0:III:4489411:4497122:1 gene:SETIT_021039mg transcript:KQL13444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKRTGPGESSGEAPGVPGQGSSQRPETTQQHGGGRGLLPQQGARGAGQHQGRGGYQGRGGPPESQPRDYQGRGGYQGRGGPPSQHPGGPPEVQLRGYQGRGGPPSQHPGGGPPEYQGRGGPRPRGGVPQPHYGRRGGGSVGPSVPPGPSRSVPELHQAPYVQYQAPVAVSPSTLGASSSSQPAEAEVSTGQVQQQFQELAIRGQSSTSQAVQMAPASSKSVKFPLRPGKGTYGSRCIVKANHFFAELPDKDLHHYDVSITPEVTSRGVNRAVMGELVTLYRQSHLDGRLPAYDGRKSLYTAGPLPFTSRTFEITLQDEEDSLGGGQGGQRRQRVFKVVIKFANRADLHHLAMFLSGRQPDAPQEALQVLDIVLRELPTARYCPVGRSFYSPNLGRRQQLGDGLETWRGFYQSIRPTQMGLSLNIGMSSTAFIEPLPVIDFVAELLNRDVSVRPLSDSDRVKIKKALRGVKIEVTHRGNMRRKYRISGLTSQATRELSFPIDDRGTVKTVVQYFLETYGFSIQHTSLPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQEREKDILQTVHHNAYFEDPYAQEFGIKIDERLAAVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSSWACINFSRNVQDNAARMFCQELAQMCQVSGMDFALEPVLPPSYARPEHVERALKGRYQDAMNILRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKANKQQYLANVALKINVKVGGRNTVLVDALARRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMVKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDQRSVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQSLTNNLCYT >KQL12672 pep chromosome:Setaria_italica_v2.0:III:313637:314741:1 gene:SETIT_023031mg transcript:KQL12672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARTKRNDKSNDDTPEACGVLDDLSRSTSSYSACFEEPHEAEGDAHGGPAAGHHPRAGPRDGGAAQHGTDAAERGERGGGQRDDDADAEPVVADEACGQQRHERADGEGERGRDGGLDGARERLLLLAAALAVAIELSQLDVALGADGDELADGHAAGARQEAREAGDDHGARVRLHGAHAQHQRRRRHQPVVGAQHGGAQPVGALRQDVVLVNAAAREGTRVLGVHNAGQLVGAVHVIDQGRHGYAADDLSE >KQL16976 pep chromosome:Setaria_italica_v2.0:III:47203672:47208217:-1 gene:SETIT_021454mg transcript:KQL16976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYMKLGSKPDVFQTDGNSIRFVTTELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTNDESNDEVDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIVLQSTKSLQQWTENLKVINHCVDSIASKASMDPSEVDWSYTYNRKKLPSESGLDSHWNGVRKQQTVPRDWWVEDLCDLEVCLYKKVILAIKAKGRTSSDVIGEALRAYACRRLFSSLDNAINNGLDCTKHCAALETIISLLPTEKGSVSCGLLLKLLRASCLLGSGEIYRNDLIKRIGTQLDRASASDLLIPADSSNDAMYNVDVVTAILEEFMLHNKDVSEPKLQEDDDDTMDVANNLIASISGSSKVAIVAKLVDGYLSEISKDPSLPLEKFIALAESVPPASRPVHDALYRAIDVYLKEHPGLSKSEKKRLCALMDCKKLSADASAHAVQNERLPLRVVVQVLFFEQVRQSSASAAAVPSADARSFLLPREDGTSCGSSRSATTTATEDEQWAGVVGAPTSTSGGDASSLRSVSLAASKRGDGSGGKKKSKGGAVVPAPAKRVLGKLWSGKASSGENSGSDDTSESPAGSVNLEETKSTPSRITRHSVS >KQL16975 pep chromosome:Setaria_italica_v2.0:III:47203672:47206438:-1 gene:SETIT_021454mg transcript:KQL16975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILMLFRFVTTELATDIVITVGDVKFYLHKFPLLSKSSRLQTLVASTNDESNDEVDISDIPGGPAAFEICAKFCYGMIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLSASIFRTWKDSIIVLQSTKSLQQWTENLKVINHCVDSIASKASMDPSEVDWSYTYNRKKLPSESGLDSHWNGVRKQQTVPRDWWVEDLCDLEVCLYKKVILAIKAKGRTSSDVIGEALRAYACRRLFSSLDNAINNGLDCTKHCAALETIISLLPTEKGSVSCGLLLKLLRASCLLGSGEIYRNDLIKRIGTQLDRASASDLLIPADSSNDAMYNVDVVTAILEEFMLHNKDVSEPKLQEDDDDTMDVANNLIASISGSSKVAIVAKLVDGYLSEISKDPSLPLEKFIALAESVPPASRPVHDALYRAIDVYLKEHPGLSKSEKKRLCALMDCKKLSADASAHAVQNERLPLRVVVQVLFFEQVRQSSASAAAVPSADARSFLLPREDGTSCGSSRSATTTATEDEQWAGVVGAPTSTSGGDASSLRSVSLAASKRGDGSGGKKKSKGGAVVPAPAKRVLGKLWSGKASSGENSGSDDTSESPAGSVNLEETKSTPSRITRHSVS >KQL16586 pep chromosome:Setaria_italica_v2.0:III:42718049:42718904:1 gene:SETIT_025561mg transcript:KQL16586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDNGEVERIWFRYIFFGRRSCCSSAEDERCLMEGPFGCSTQTVGDLTLPI >KQL15454 pep chromosome:Setaria_italica_v2.0:III:20371128:20371316:-1 gene:SETIT_024984mg transcript:KQL15454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGQYGLGSAMAESHHDHGGNYGPVVGALFFIAIVTAGSLAVARYCVGAQAFYRTGYDLDAY >KQL15062 pep chromosome:Setaria_italica_v2.0:III:16149414:16152464:-1 gene:SETIT_024100mg transcript:KQL15062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILESFVGLCAKKLQEMVTEEAILILGVKDELTELQRRMDRIHHFLNDAEQRNLKESGVNSWLGQLRDAMYDADDIIDLARSKGSKLLPDHSLPSTSKSGLSPFSCFSNIQTRHEVAVKIRNLNKRIDNILKDKIFSSVPNIHPTGKGLAPKLRKSSNLVEPNLVGNEVTHACRKLVDLLLEHKSKRSYKLAIVGTGGVGKTTLAQKVYNDRKIIGYFNKQAWVCISKDYSEIAILKEILRKIDVQYTQDELIDELQSKLQLAINKKTFFLVLDDVWESHTWAHLLKIPMHTAAKGIILLTSRLDIVAAEIGVDHIHRVDLMSVDVGWELLWKSMDINEEKEVENLRDLGIDIVRRCGCLPLAIKVVARVLASKDQTENEWKNILRKDSWSMSKPHSEVTSALYLSYEELPQCLKQCFIYCAMFPEDAIIYRNDIVRMWVAEGFIDEQDGQLLEDTAEEYYYELIYRNLLQPDYLRSNLSKCTMHDLLRQLACHLSREECFVGDPESRAVSEFRRISVVTVKDMVVLPSMDKERCKVRTLRTSYEKSLRVDNTIFRKLPYIRVLDLTRSVIQSIPYCIGKLIHLRLLDLDGTDISCLPESICYLINLQVLNLQRCDALYSLPLGITRLCNLRRLGLAGTPINQVPKGISELKSLNDLEGFPIGCGSDNSARMQYGWNLHELGPLWQLRKLDMIKLERACTCSIDSLLVDKKFLKQLCLCCTHGTDEPYYHEEDVINIEGIFKKLIPPRNLEDIAIEDFFGRRFPTWLDTGTHFPSLMYLKLLNCKSCVHLPPIGQLPSLKFLRIKGATAVTKIGSEFLGYGVCYLGSAEAVAFPKLEMLVIEEMPNWEEWTFVVEGDEADVAGKEGGQDGAAAKQKDEAPPPRMQLLPRLTKLHIERCPKLRALPRQLGQEATSLKELHLRRVGSLKVVENFPFLSEVLLTTTCEGLETVSNIPQVRLLRAQLCRNLRRVEGLDSLDQLFLTEDMQGVSSQWLPGLQERHRELHGEELEVYSWT >KQL17229 pep chromosome:Setaria_italica_v2.0:III:49114399:49117667:-1 gene:SETIT_025352mg transcript:KQL17229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSKRHGLFGMISSRYMLITSCLIHAVHVLPICIAQPSDEQALLAFKSAISADPNGVLAAWTPTYGRVNATDNICGWSGVSCRSRRHPGRVTALELMSSNLTGVISPSLSNLSFLHTLNLSSNRLSGSIPSELGLLRRLQVISLGGNFLTGEIPTSLTNCARLTHLELQRNGFHGEIPANLSYCRDLRVFNVSVNILSGGIPPSFGSLSKLEFLGLHRGNLTGGIPPSLGNLSSLVAFDVSENYNLGGYIPDGLGRLTKLNFLRLAFTGLKGTIPASLFNMSLLITLDLGNNELSGVLPPNIGVTLPRIQFLSLYNCQIEGVIPLSIGNATGLRFIQLQSNALQGTVPPDIGRLKDLQVLNLQFNQLDDKWDKDWPLMAALGNCSRLLDLSLSSNKFQGVLPPSFVNLTIGIRQLFMNANRISGIIPPEIGKFSSLRVLALADNTLAGTIPDTIGSLRNMIALDVSGNNISGEIPPMLVANLTQLAILGLSRNNLQGSIPESFETMSNIAILDLSYNQFSGMIPKQVVSLSSLTLFLNLSHNLFSGPIPSEVGRLSGLGVLDLSNNRLSGEIPQALSQCQAMEYLFLQGNQLVGRIPQSLVSLKGLQYLDMSQNNLSGSVPDFLSTLQYLRYLNLSYNQFDGPVPTKGVFNDSRNFFVVGNRVCGGVSELQLPKCSGTDNSGKRLHKSRTALIVSITIGSFLALVLITCTFVVYARNRVNQQLVQSNETSPVPKLIEQHWKLSYAELHRVTDGFSAANLIGIGSFASVYRGTLGNERQEVAIKVLNLLQHGAERSFLAECEALRSIRHRNLVNVITACSTIDHSGNDFKALVYEFMPNRDLDKWLHPSIWEGESSSRTLTMTERVSIALNVAEAVDYLHHHGHAPIVHCDLKPSNVLLDNDMVAHVGDFGLSRFVQGANRIQHTSNTAGIKGTIGYIPPEYGMGGEITVEGDVYSYGILLLEIFSAKRPTDPLFQGGQSIRSYVAAAYPERVMEVADPMLVQHEENNIGDGSLKECLLSVFRVALRCTEESPRARMITRDAIRELIAVRDACDD >KQL12797 pep chromosome:Setaria_italica_v2.0:III:920458:922107:1 gene:SETIT_025098mg transcript:KQL12797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGAWPAAAAATSAPLSAAVRAAMRTLGGLLPRAHRPHSTTATTTTTASDAAASSSSSHTLHDYNRLLAAFARDGDGDAALRVLRRMLLSSPACAPTAVSYTSAMSALAKAGRPADAASLFDDMLANGVTPDRAAFSLLLHVYSSHLHLPAAAHSVLLWMTRLGLPPTPIDYTDLIFSFCRAGRLADALQLLDEMRALNYPLTPHTFAPILKAFCDNADIQAADALISSMRCSGCLPDVVIYNIYIQGLCKMGYFDAVEQVIDESSRNGWVPDAVTYSTYVAGLCRFGYIEEAFRQLEIMVAKGLQLTVVGLNILLDYVAQDLDMWAGKEVLERCQELGFVVDVVTYNTVMDHFSKKGKWLRVLKLFTDLLKKPITPNVQTYNILISCLCRAGKFQFAKFMFSSKGFVADTVTCNILIHEFYEAGKEGELGFLFADVNAGKIAPDTITYNTLVDCLFRSGRRSEAANFVRHIDDGYPTEPVAHLTYWLVRSGNTHEALRLFDDIQIKGLVLDSKIFANVIKAFCRKGPVECSDMSQLCSVLDRMLGIG >KQL13305 pep chromosome:Setaria_italica_v2.0:III:3708807:3709971:-1 gene:SETIT_025176mg transcript:KQL13305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKFNGEWSACDIKIVKSLIASRNANNNYADDLNKKHNDIVNDIQARFPWKERDQVIELYVELVVEMISPTQSGNQFVVATNNSVNDNFGIPVEDPNMDTLYAYMSNKTPESMRMVVEAPQSQAIIPQQERQQKGRFWTIEEHRQFLCGLREYGRGKWKDISRDFVTTKTPVQVSSHAQKYFRRLERTSEKQRYSINDVGLYDAEPWVLNNSSSWEALAFTGDAYNPNFYGTGRQLSTMNNFSQVWPPFLYGADQASSSQATTWAGQQMGATALALEGAGSQMAWTGDQQGDFLPEQWMDMDKEY >KQL14904 pep chromosome:Setaria_italica_v2.0:III:14746220:14751700:-1 gene:SETIT_022720mg transcript:KQL14904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLDTRFPAPRIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYDITIRKGVKTVGSSHLKQCIQTYNVYDFLREVVSKVPDTGTSDAIADDKLGKRRKAEEDGSEEELKRTRNEAESHTSNGRGRGRGRGRGRRGGRGAWKEVVITHEQFVENQSSKPAGLKVEIADEVPDATEAKEATPVSSARASIRNIDLNLDPAEEDDEVAVPPEAQPSAPATDPAAANLGLTAPATSSAAANLGLTTPATSSAATTAGPSVPGLNEGAKLKDLLGGWELPDMNKMDMDPVQFALSSNHKLDDDEDYDNED >KQL16020 pep chromosome:Setaria_italica_v2.0:III:27120552:27122172:-1 gene:SETIT_023127mg transcript:KQL16020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRTLASKKTLSLALGGARPLAAAGSARGVTTVTLPDLSYDFGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVVKGDASAVVQLQGAIKFNGGGHVNHSIFWKNLKPISEGGGEPPHGKLGWAIDEDFGSFEALVKKMNAEGAALQGSGWVVCTICFVLSRILDVLILSEGMLCW >KQL16021 pep chromosome:Setaria_italica_v2.0:III:27117279:27122273:-1 gene:SETIT_023127mg transcript:KQL16021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRTLASKKTLSLALGGARPLAAAGSARGVTTVTLPDLSYDFGALEPAISGEIMRLHHQKHHATYVANYNKALEQLDAAVVKGDASAVVQLQGAIKFNGGGHVNHSIFWKNLKPISEGGGEPPHGKLGWAIDEDFGSFEALVKKMNAEGAALQGSGWVWLALDKEAKKLSVETTANQDPLVTKGASLVPLLGIDVWEHAYYLQYKNVRPDYLSNIWKVMNWKYAGEVYDNTLA >KQL14824 pep chromosome:Setaria_italica_v2.0:III:14042020:14046040:-1 gene:SETIT_021088mg transcript:KQL14824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAFSGGESESDPWCKKSSAGGESDPWGKKTDSDSDPWGNKVVPSGDGDSDPWGKKVVAPADGDSDPWGKKVVVPADGDSDPWGKKVVAPADGDSDPWGKKVMAPSDGDSDPWGKKVVAPADGDSDPWGKKVVAPADGDSDPWAKNTTSSAFRVLNTGTTQKESSSGKVWDKQAGVGGSDAAGSSWDRTSVNKECEKSDNWGEACRVADMGTGGDADPWGNKVKAVDMEGTDSWDKATMPPDNKLEGVSQGWGQPLGNSNEGQGKDNFSKAEGNNGGWDTALPATEDGTWGKSKDSNGDGAGGLNEARSSDKNGGAGGWDTSAANWNKSSVVAEAQEGGWGKGKGASDQAGLGDWDKPKSFGGGGSSSWNKGEETRADDQNNSWSRHGSFGGGRGFVRGRGRGSWKSPWGGDNAGRPSWRSDSNVDNEVGDSGGYWGRGRGGRGQYGGRGRGRDNGWRNGDRSNSGIGRENDGADGQKWGNGGSSDWNKDTSNKGSWGGDNWNATNPPSNQPWSSSGGTKSYGENKPSTWNSSEDNKTSVGEQDDPWASKVASTEGKEQRNDTWASKMTSAGAEDSSGGWNTKTKDSCSDGGEESQNDSWANKIGSNKGKEQETDPWASKVPSTVGSDDNNGSRSTTAKGTPSEEKADDPWSSKGGNDNIKIDSWGAGSSDGNQESSWSKPNFSLGDQENTWSKPRFGDDNGGNSRGGFGRGYRGRGRGRNFGDGGSSWNGGNRNDESGGERSEQPWNRRDLDAGRGRGRGCYGRGDRNQGNSNFGSADGGSWGSGRGNGGRGGYRNWNGNNGSGEGGQGGGWSSNWNGNKGSGEGGQGGGWSSNWNANKGSGEGGQGFAKSKPSWEAQNTSGGDQAGKSDANNSWSQNRSSPSILGQPSSDVNKSSTWGATSGGAGGGGSWGKSNGDRWNSSGGAAAEKSSWGGGSEGAPKKDDDGPWGKGGEESGSQGGGGGGSSWDKAADNAWNSNKGGDAGSGGW >KQL16612 pep chromosome:Setaria_italica_v2.0:III:42899873:42903435:1 gene:SETIT_023327mg transcript:KQL16612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLATVPFLILGNKIDIPYAASEEELRYYMGLSNFTTGKGNVNLGESNVRPLEVFMCSVVRKMGYGEGFKWMSQYIK >KQL17181 pep chromosome:Setaria_italica_v2.0:III:48752927:48757249:1 gene:SETIT_022747mg transcript:KQL17181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISFLSMVEAELPPGFRFHPRDDELICDYLGPKLGGKVGFSGRRPPMVDVDLNKVEPWDLPVAAAVGPREWYFFSLKDRKYATGQRTNRATVSGYWKATGKDRAVARRGALVGMRKTLVFYQGRAPKGRKTEWVMHEYRMEGTLEQSSKDEDWVLCRVICKKKLPGGASSKASRSLATNGGHDTAPTSSPPLPPLMDTTLAQLQAAMNTTAGAIEQVPCFSSFNNIASNSNSAAAAQPCYLPMVTGSHGMSFLDHGLPELGGCFDPLNCDKKLLKAVLSQFGGEVVPSLPHEMAAGTAATSTWMNHF >KQL13982 pep chromosome:Setaria_italica_v2.0:III:8065665:8067614:-1 gene:SETIT_022660mg transcript:KQL13982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSCNGCRVLRKGCTEACTIRPCLQWIKAPDAQANATVFLAKFYGRAGLLNLIDAAPGDEQRPAVFRSLLYEACGRIVNPVYGSVGLLWSGNWHLCQAAVEAVLKGAPIVQISAEDAAAASPAHLHKAAACYDIRHVAAKAKPAPAASSPAAGAADAAAAPASSCPPADDAQSSKAGGGSSLLHKVAKPGRTRFKRASSSSSSKRQAHSKPPSDTEDDGHHVHLTADHAPPSRASSDDDTHHQEVSAASLDTDASHVSQAEQATTVAGEEDQHQEQQQQQPAGLDLTLGFGSFAPLAAARPPTPPTVAGWSAADEPGVVGFRFL >KQL14706 pep chromosome:Setaria_italica_v2.0:III:13034306:13041905:1 gene:SETIT_021316mg transcript:KQL14706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYYQGHGGGGGGGASMEVVSTPNQELALTNCAYVSSGDLRRFPNALALVADAWVFTLRAHDAVTSGRIALNAIQRRQAKVSAGDSVTVSSFVPPEDFKLALLTLELEYAKARANRNDELDAVVLAQQLRKRFLDQVMTLGQRVPFEFYGTNYVFTVNQALLEGQESSTPLDRGFLSSDTYIIFEAAPNSGIKVFNQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVSKLGIKHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAENEQKTQGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRLQILQIHTNKMKENSFLSPDINLHELAARTKNYSGAELEGVVKSAVSFALNRQITMDDLTKPLDEESIKVTMDDFVNALHEITPAFGASTDNLERCRLRGIVDCGKAHKHIYQRAMLLVEQVKVSKGSPLVTCLLEGPAGSGKSAMAASVGIDSDFAYVKIISAETMIGFSESSKCAQICKVFEDAYKSQFSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESVGMCDVFSVTYHVPKLKKEDAKKVLQNLNVFDEGDLDAAAEALDDMPIKKLYTLVEMSAQGPTGGSAEAIYAGEEKIDINHFFSILSDIIRY >KQL16254 pep chromosome:Setaria_italica_v2.0:III:35179159:35181698:-1 gene:SETIT_022373mg transcript:KQL16254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASLKSSFLLPSPISDFSGAAVSVSTQKRRRSWQPRGARIQVSAAADSKNILVMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDAEYAEFSSKIQHLKGDRMDFEFVKTSLAAKGYNVVYDINGREAVEVEPIIEALPNLEQYIYCSSAGVYLKSDLLPHCESDAVDPKSRHKGKLETESLLTSRGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKEGRPIPIPGAGNQITQLGHVKDLATAFNLVLGNPKASQQIFNISGAKYVTFDGLARACAKAGGFPEPELVHYNPKDFDFGKKKAFPFRDQHFFASIEKATRELGWTPEFDLVEGLTDSYNLDFGRGTFRKAADFTTDDMILGKKLATV >KQL15651 pep chromosome:Setaria_italica_v2.0:III:22218771:22224407:-1 gene:SETIT_021686mg transcript:KQL15651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAEAPSPSPSSSSGSDDFAALLDSELELASGADSAFPGDPSSASPDTDDEGEDEDSEEVEVELLEQNSAKRRRVEEQSQDQGTSIRPDKIATGPSKNVQVEVCPHPGYFGGLCFRCGKPQDEEDASGVAFGYIHKGLRLGTSEIDRLRGADLKNLLRERKLVLILDLDHTLINSTKLQDISSAENELGIRTAALKDDPDRSIFSLDSMQMLTKLRPFVRNFLKEASNMFEMYIYTMGDKAYAIEIAKLLDPSNVYFPSKVISNSDCTQRHQKGLDVILGAESVAVILDDTEYVWQKHKENLILMERYHYFASSCRQFGFGVKSLSESMQDERESDGALATVLDVLKRIHTIFFDTAVETALSSRDVRQVIKTVRKEVLEGCKLVFSRVFPNTSRPQEQMMWKMAEHLGAVCSTDVDSTVTHVVAVDLGTEKARWAVKNKKFLVHPRWIEAANFRWHRQPEEDFPVIPPKEKSTDKEPSKDKEENAVAGQKETSNDKKEENAVAGQKETSNDQEDNDVAGQKEKDGAKENAVATTATGPADS >KQL16455 pep chromosome:Setaria_italica_v2.0:III:40270096:40270522:1 gene:SETIT_023874mg transcript:KQL16455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGRSGNPTNLSRVFRVPKIGFFGFPKTQVLKTATEICPKYWKPDNSGSGTPELHDFCSSTSHHNFRDQNLDK >KQL15360 pep chromosome:Setaria_italica_v2.0:III:19243385:19247153:-1 gene:SETIT_021793mg transcript:KQL15360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLSLTVIDAAAAMPAALRLGGSPALRLAAARRPGPRPPPWLRFGVGGGAVARRGLLCSAEAARRGGEGEDTEVEDARRGSGSRAGAERRTRGGSAAAAVGTSVELLAIPGVGPRNLRKLVDNGFEGVAQLKQLYRDKFFGKSSEQMVEFLQSSVGIVHKNHAESITSFIKESVDEELKDTDSSKPTQKKRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIDKWQDVGPDHFNILDAFYAEPHRYAYTFQNYVFVTRVMQERESQAGIKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMHRRRSEEGGVTLDYLQGLHEKHESWLLPSKGSGPGVLSVSQLPMHMEGSLPPEIRDRVFYLEGNHMHSSIQKVPALVLDCEPDIDFNKDIEAKRQYARQVAEFFEFVKKKKEEAPSDQTSADKDRMNPHVMLPNRGRLWVPDGNPFTGSPMNLDFRRAMSSYLST >KQL15465 pep chromosome:Setaria_italica_v2.0:III:20476074:20481347:-1 gene:SETIT_024695mg transcript:KQL15465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPALRLLLVLMVFMPCTGDVNVWPMPKSVSNGKQTVFISKDLKMTVVGSNYSDEKAILRDAFGRMVAVVEQDHVISESYERSPVLVGMNVVVRSRDDELNFGVDESYSLFVPSTGHPLYAQIEAQTVFGALHALETFSQLCSFDLESRQIILRSAPWSILDSPRFPYRGLLIDTSRHYLPVPVIKRVIDSMTYSKLNVLHWHVVDEQSFPIEIPSYPKLWNGAYSYSERYTKDDAIDIVQYAEKRGVNVLAEIDVPGHALSWGVGYPSLWPSAICKEPLDVSNNFTFEVIDGILQDFSKIFKFKFIHLGGDEVNTSCWTTTPRIKEWLILNGMDETDAYRYFVLRAQKIARSYGYDIINWEEPFNNFGDKLDRNTVVHNWLGGTVAEKAVAAGLRCILSKQESWYLDHLDAPWEGFYMNEPLANIYKPEQQRLILGGEVCMWGEQVDASDIQQTIWPRAAAAAERLWTPIEKIATNTSMVAPRLARFRCLLNQRGVAAAPLVGYGRSAPSDPGSCISQ >KQL15848 pep chromosome:Setaria_italica_v2.0:III:24507214:24508067:-1 gene:SETIT_023417mg transcript:KQL15848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHRRLLLLAVAAAAAAGAAASAKPTAYEALAGFDFPPGILPKGVVAYTLNNATGAFTATLDASASGAGSSVCEFSIQGSYSLRYQTKITGKIKPDHLTDLQGVSVKVLFFWLNIIEVTRHGDNLEFSVGIASADFGIENFLECPTCGCGFNCNDLLMLQKQGATTAKLRLRGAF >KQL15971 pep chromosome:Setaria_italica_v2.0:III:26209153:26209750:1 gene:SETIT_023922mg transcript:KQL15971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVWQLRATVWSGRAAVVTTKFGKEAGVGRGGQQKCVDEIIGMACSGLRGLALLGNVWIGVGHHW >KQL16733 pep chromosome:Setaria_italica_v2.0:III:44501463:44506159:1 gene:SETIT_021701mg transcript:KQL16733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMSVSAVSPAAAAVARPRTLVCVPAMARAPREMVAELATAAALGADVAELRLDRLAGFAPRRDLPVILAEPRPLPALVTYRPKWEGGEYEGDDEPRFEALMLAMELGAEYVDIELKVADKFMRLLSGKKPENCKLIVSSHNYENTPSAEELANLVAQIKATGADIVKIATTATDIVDVARMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKYGGYLTFGSLEKGKESAAAQPTVADLINVYNIRQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGFNAVYVPFLVDDLAKFLNTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTMVRRPDGKLVGYNTDYVGAISAIEDGIRASQDPSTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELANLIGGPALTLADLENYHPEEGMILANTTAIGMHPNVNDTPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPVDDDKFRAITSSLDNPNTMKPRL >KQL16732 pep chromosome:Setaria_italica_v2.0:III:44501463:44505355:1 gene:SETIT_021701mg transcript:KQL16732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAMSVSAVSPAAAAVARPRTLVCVPAMARAPREMVAELATAAALGADVAELRLDRLAGFAPRRDLPVILAEPRPLPALVTYRPKWEGGEYEGDDEPRFEALMLAMELGAEYVDIELKVADKFMRLLSGKKPENCKLIVSSHNYENTPSAEELANLVAQIKATGADIVKIATTATDIVDVARMFQILVHCQEKQVPIIGLVMNDRGFISRVLCPKYGGYLTFGSLEKGKESAAAQPTVADLINVYNIRQIGPDTKVFGIIGKPVGHSKSPILHNEAFRSVGFNAVYVPFLVDDLAKFLNTYSSPDFAGFSCTIPHKEAAVRCCDEVDPIARDIGAVNTMVRRPDGKLVGYNTDYVGAISAIEDGIRASQDPSTSPLAGRLFVVIGAGGAGKALAYGAKEKGARVVIANRTFARAQELANLIGGPALTLADLENYHPEEGMILANTTAIGMHPNVNDTPLSKQALKSYAVVFDAVYTPKETRLLREAAECGATVVSGLEMFIRQAMGQFEHFTGMPAPDRLMRDIVLTKT >KQL16830 pep chromosome:Setaria_italica_v2.0:III:45655758:45657554:1 gene:SETIT_021780mg transcript:KQL16830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCLYYALSITFCLALSFLFSSLRPSTRKPIMPSLPPGPTILSPLGPLLLLAWTSFNIEPIIRAAQYWYGPVFTLYLLPSSPVIFITDRAVARRVLVQSGASFADRPPTNLATAIFNGNQRTITTGGYGPLWRVLRRNLTGRALHPSSLRRYAAARADAVSGLTAGIARQSRSEGVVVVQELLHHAIFHVFTRMCFGEGLGDGVVASVTSLQREFLSSVVGFQVLGACPPVTRLLFRRRWKQMLSLRRRQEELFIPLIRARRALRGAGGENLAAADCYVDTLLDLRIPEDGGGRNLTEGEMVNLCSEFLSGGPDSTATAMQWTMANLVARPEVQAKLRAEINGVVASSKGGRVDDMHLPEMPYLRAVVLEGLRRHPPGRFMLPHAAAEEGGATLDGFSVPRHTLLNFTLGGMAMDGAVWRDPERFRPERFLPGGEGEDVDLTGVKEIKMMPFGAGRRICPGIEVSLLHLEYFVANLVGAFEWREVPGEPVDFGERLELTMVMRRPLRAIVVPCH >KQL16186 pep chromosome:Setaria_italica_v2.0:III:34000710:34001678:1 gene:SETIT_023626mg transcript:KQL16186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSSVCFFLVNLILLHVLVESSLQSWILSIGRCYLDGPDCKQIEHYLSPYFLSRSSLSSLAFLITCTFLGISFDLLAPIPWIKHIMKKFRNNDMVQLVPEENEDYLMMQNGEETNNLTAPLMPEREDPFG >KQL17060 pep chromosome:Setaria_italica_v2.0:III:47883386:47886192:-1 gene:SETIT_021918mg transcript:KQL17060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPLLAPATALPAARLARVVAASSGSGGATQRGPPRRGRRGKPGFSRQSAIKKSFHQEQVVFSTPVPADPTVAVIGGGASGLACASALAARGVRSVVFDTGMHGLGGRMATRFVEGGEQLVFDHAAQFFTASDERFQRLVDEWLDRGLVREWSGLIGELEAGGRFKPIPSSTPRYIGVNGMRSLADAMLPESDMIKVVRPCWISKLEPFNGLWRLFENEKPRGEYDAIVIAHNGKCANRLLSTSGLPLLTKQMKRLELSSVWALLAAFEDPLPIPHDDSHGAFEGAFVRDVDSLSWMGNNTLKLFPAQTGTPECWTFFSTAAYGKRNKVPQENIPKVTAEKVKEDMLEGVEHALGLSKGSLHQPIYTRVQLWGAALPMNTPGVSCIFDPLGRAGICGDWLTGSSIEAAVLSGMSLANHIANYFMSQGERPEEFAIGLHENLSQVEGHDIGQFPGLDSQKPQVAQAKLLVAQAQLMPSI >KQL16889 pep chromosome:Setaria_italica_v2.0:III:46461779:46462717:-1 gene:SETIT_023763mg transcript:KQL16889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQALNQCMVILFSCILFITILTYCSLVMCGCVYHAIGLRMLRGIKATPTSGTTQTINIDKTEFVHARRTDLCSMTTPLLVQTTAIHRTPGAPDERKSR >KQL16877 pep chromosome:Setaria_italica_v2.0:III:46199776:46200900:1 gene:SETIT_024058mg transcript:KQL16877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVVSHRTQTSPTTTAAARAATTFGDLSDDLHADIFLRSPPQPHWLLPISQVCRRWHRLVTSWDFLRSFRARHGGTPPLIGVFHDNCQEGLHRFIPTAAMPATVGAFHCRTSWRILDCRHGRVLFLDAHAAARDGWRRLVLILWDPMAGSCQGIPMPPAWTVYDNGGSITGAMVCPGGDCRYSPFKVVLMIRRAARLLVSVYSSVASEWSEVISYQGLPRWADPLMDPCVVTGSTVYQPLLGHQTLSFDLETKSFAVIPHPPATKWLHQHVRILRLDGGVLGAVAVDNVEFSMALWVREDAGWVQQWTVQVNMLGKGELRHVKLLGACENGNFILLWTRLGIFMLHLQSMELKEMPIDHTMTVGTLYPYQSFAR >KQL15127 pep chromosome:Setaria_italica_v2.0:III:17290509:17290919:1 gene:SETIT_024809mg transcript:KQL15127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVLQQIPLQKVLQQILGNNTTTNIKFRFQLLNLTIFFSSTSLPCWDPFRHQLQKARVPTEGSPRAAVAINIGSPQRRPRRTALGDAWSMTRTGRGIGSTLTVFSRMDNVVRPLTLSSQLQMQSLTLNPCFDYVQ >KQL16697 pep chromosome:Setaria_italica_v2.0:III:44180458:44185472:-1 gene:SETIT_021158mg transcript:KQL16697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQAGSGGGARAGPPPATAFKSPSTDGKRRSTRFKDEDEYVEVTLDIRGDGDAVAVRSVKGVPGGGGGGGAADMQEEALLERQVPAAPGPGGLSSKLRALRRIASGNNNTKRGAVPLSALLRGDRPARLDRSVTGATSALRGLQFLNQAAVTEGWPEVEKRFHRLAVDGFLLRSRFGQCIGMVGSEEFAVQIFDALARRRGITAQVLTKDQVREFWEQLSDPGFDAKLRTFFDMVDKNADGQITEEELKEVLTLTASANKLSKILERVDEYTALIMEELDPDNLGYIEIANLESLLLQPPSQAQSRLLTQSSNISQLISQKLAPAPDRNPLRRTARSLLYFLEDNWKRVWVMSLWLAINAALFTWKFIAYRRHPTFDVMGYCVCVAKGGAETTKFNMALILLPVCRNTITWLRSRTRLGAVVPFNDNINFHKVVASGVAVGVALHAVTHLTCDFPRLLHASNAAYEPMKAYFGQRRIPNYWWFVKGVEGITGVIMVVLMAIAYTLAHPWFRRGRLSEGNPLRRLSGFNMFWYSHHLFVIVYIAFVVHGVCLYINRTWYKQTTWMYLAIPLLLYAGERLLRALRSHGLTTVRIEKVAVYPGNVIAIHMSKPCGFSYKSGQYIYVNCGEVSPFEWHPFTFTSAPGDDYLSMHIRCRGDWTTSFRALFSQVCRPPAAGQSGLLRADLTSPVAAVSGKFPKLLIDGPYGAPAQDYRKYDVLLLIGLGIGATPLISIVKDVLNNVIPISGAGGEQQPSPEFMTRRVYFYWCTREEGSFEWFRGVMNEVAERDAAGEVVELHNHCTSVYGEGDARSALLVMLQALHHAKSGVDVVSGTRVRTHFARPKWRDVFKRVACDHQGQRVGVFFCGDQKLTPELRRLSQDFSHKTTTKFVFHKENF >KQL14300 pep chromosome:Setaria_italica_v2.0:III:10253001:10253297:-1 gene:SETIT_024557mg transcript:KQL14300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPESIIMLQDKKMVISARAPGSKVIPKPEDNKVVIFRDLLFASLCFELDPVVMDILHLFYIYLHQLISNALVHLLVYMWICWTTKIKASAEGFTAAH >KQL14468 pep chromosome:Setaria_italica_v2.0:III:11426825:11427326:1 gene:SETIT_024426mg transcript:KQL14468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPTPLPSLVGSSSLLALPFSLFASLSLGSRGDGTGFDEGEPSHARPPPHGPPQQHLQHTAGRTTALRGRLTAPSAVPADSSAVSAGEEHTGPHGSRPAMRRQIRTIRRRIHQPQGRPGQPSTQRRRRSSKILQENGREKGGGDL >KQL15669 pep chromosome:Setaria_italica_v2.0:III:22361719:22362399:-1 gene:SETIT_025030mg transcript:KQL15669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WKAELRGFGSGHAPANYLKLRFDDVCGGDGCAALRAELAALGATAPSCVYARKLPAAQADLRHGRLSVGGKHLGRHITEALTDGELDAIIDDDRTGGLDVLVLGRDGQRYGFKCVYAEDTGFYRLTGAAEYERFMADSNVVKDVEEGKELFMELWAFRTPALRRKGGGGRPSVGGDHPDGALGMVILFFDLDADGLGDELFDDDSITIKQLLRHYPNKGSEEEATN >KQL12715 pep chromosome:Setaria_italica_v2.0:III:557640:559523:1 gene:SETIT_021736mg transcript:KQL12715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAPTLTALLKKAAAAFPSRRAVTVPGKLELTHAALDALVDAAAARLAADAGVRPGHVVALSFPNTVELVIMFLAVIRARAVAAPLNPAYTQEEFEFYLSDSESRLLLTNAEGNPAAQAAAAKLGLPHAAATLKDAAGPVHLHGLAAANAANGNGFHQEKDDHNEASDVALFLHTSGTTSRPKGVPLTQANLAASVGNIRSVYRLAETDATVVVLPLFHVHGLLCGLLSSLASGASVRLPAAGRFSASTFWADMRAAGATWYTAVPTIHQIILDRHASKPEAAGYPALRFIRSCSASLAPVILEKLEAAFGAPVLEAYAMTEASHLMTSNPLPEDGPRKPGSVGRPVGQEMAILDEEGARVAAGKPGEVCVRGANVTAGYKGNPEANEAAFRFGWFHTGDIGVVDEEGYLHLVGRIKELINRGGEKISPIEVDAVLLDHPAVKQAVSFGVPDDKYGEEINCAVIPREGLVIGEDDVVAHCRKNLASFKVPKKVFVTDDLPKTATGKIQRRIVAQHFVVQPKAGSAA >KQL15082 pep chromosome:Setaria_italica_v2.0:III:16462164:16463686:-1 gene:SETIT_023321mg transcript:KQL15082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEGAAGGSQQVVMRWRYGDVGDSNFAVHGRAVPLLVGLLCAVVFFVALCLYLRWRCHRYTPDPEAGASSSSAAAAATASMPGLDADAIRGLPVTLYRPPPSALPPRLPGKGDDGVDGNEEVDDHAAALCSICISALVAGEKVKVLPPCGHCFHPDCVDAWLRSQASCPLCRCLLLAATSKPAAVNGSDDAV >KQL13769 pep chromosome:Setaria_italica_v2.0:III:6631739:6632448:-1 gene:SETIT_024307mg transcript:KQL13769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHGGGGAAIPGQDVDDELLFMTALRRLVAEDFSQTCAPDRACGTCPAPFCGHCCGEHHRGHDGVVAALLEVGAGEKKRYRRDSFCVGCGAAFCSRLCAHHGGEGHDVMRVDEYGGRHFARCTGAERWFHFFFDGVEVDLRGQRWQPDDPAAAPAAGCSGPRARLEV >KQL13907 pep chromosome:Setaria_italica_v2.0:III:7660235:7661958:-1 gene:SETIT_023206mg transcript:KQL13907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDGKFAWLEYIDYMNWRRSNPEVTDPEVLDKMLRRRYTVDDDEAINQDNVKIREQESMRVDDEAMKERFEDWMKEYDKTYQSEEEKVRRYEIFKKNAIASDKVNAAFPNGPHHAPNNLGDWTEEELYSLRSRQGDFPSESYFRRLSKAYAEGRVDGVPGIVDAHDEEVQCTEAVKQRFKELTARKAKQDAREAEQAAAKSQQDAREAKQAATKSQ >KQL14211 pep chromosome:Setaria_italica_v2.0:III:9578908:9580223:-1 gene:SETIT_024919mg transcript:KQL14211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHGGLGDTARRWRELHGEGGWDGLLDPLDLDLRRTVLRYGEMAQATYDAFNHEALSPHAGLSRFARARFFDRVRLPGHAAAYRVTRFLYATSSLPVPGAFILRSASGAGRCRESNWIGYVAVATDEGKAALGRRDVVVAWRGTVQALEWVEDLEFAMVPPRGLLGDREACDAMVHRGWLSMYTSADPVSSHNQDSARDQALREVRRLVDTYKDEELSITVTGHSLGAALATLNAFDIAANGYNVAPAAAGMAACPVTAFAFACPRVGGSGFKKRFDAVSGLRLLRVRNARDIVPRYPAVFYHDVGAELAIDTGASPYLRSPGHEQTWHNLEVYLHGMAGARGGAGGGGFELAVARDVALVNKAYDALRDDHGVPPGWWVPHNRGMVKGSDGRWRLMDCEDEDDADSE >KQL17328 pep chromosome:Setaria_italica_v2.0:III:49782165:49785199:1 gene:SETIT_022727mg transcript:KQL17328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVHSANAAADSLGDLFPHQAAALESDESNLEWLSGYVEDCFSSSTSYTNPVFARPAPTMANQGAGKPKLPPPPPSNGRRKRRSLASVMGNDDDQQYIIPLYVEPPLLLIDQKHWMAESELILPKKDKDQEVCQQQEQEQEEEKCEKGALVPRQERLVKRCSNCLSCETPRWRNGPSGIQMLCNACGLRLKPENRFATISEEHYSQETKKEQEPGKRLDKKKKMIKKTYVSKELSSEQAEKRCTHCMSSKTPQWRSGPLGPKTLCNACGVRYKSGRLLPEYRPANSPTFVSCLHSNSHKKVMQMRQAIAYKE >KQL14315 pep chromosome:Setaria_italica_v2.0:III:10490525:10491398:1 gene:SETIT_023733mg transcript:KQL14315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKRRNLFVLSIPECTELTRFSCFSFFEKKRKVKINTMYSRRDHLARPSWGSFTHHGGCLTPKLSYKMSVQFSEAQFMSTAKGTQIQAGHRVYIQNRANFARGK >KQL13983 pep chromosome:Setaria_italica_v2.0:III:8071631:8072423:1 gene:SETIT_025535mg transcript:KQL13983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFQLSSGLQFLQKNLKFPNLYICTGNSGCLDILINAMQS >KQL13415 pep chromosome:Setaria_italica_v2.0:III:4346716:4349001:1 gene:SETIT_022284mg transcript:KQL13415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRKKAYVVAIIIQLIYAGMYVVSKAAFNHGMSTFVFIFYRQAAATVLLLPLAIVLERRNAPSMPFRLFLKLFFYALLGNTLTMNMYNISLKYTSATVASATSNSVPVVTFFLAVLLRLEVVRLRSPSGMAKAAGVALCLAGVLTIALYTGPSMSPVNHHRAFAGSGAAEHHATTSSSKGTWIKGTFLMLLSNTTWSLWIVLQASLLKEYPNKLLSTLVQCMLSTAQSFLLAVAVERNPAAWKLKLDVGLLAVGYSGFVVTGVSFYLQAWCIEKRGPVFLAMSNPLGLLLTIFCSFFLGEIVHLGSLLGSALLVGGLYSVLWGKSKDHLHQPPPPQPQPSQEAPKHQSTGGVCSNDDDDEEKQQQHKDGEETQLKDLFAMEASPLRHQVG >KQL13864 pep chromosome:Setaria_italica_v2.0:III:7334054:7334285:1 gene:SETIT_023918mg transcript:KQL13864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFYPHLLSLCPEKNIRKFTQYKQIYSDLLNVGSSQSIYILCQSFTHKKVKIKGFSLEIVDIRNND >KQL15586 pep chromosome:Setaria_italica_v2.0:III:21465483:21465770:-1 gene:SETIT_024596mg transcript:KQL15586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVSGERCQPEGHDGRPGAGRSGPRLRRGARARPAVRCGAQAGGALCPNNLCCSKYGYCGRSCDHCGTGCHSQCAHGGGAARRPEARCAPTTSAA >KQL14658 pep chromosome:Setaria_italica_v2.0:III:12723756:12726395:-1 gene:SETIT_024607mg transcript:KQL14658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGRLGRLSEAREVFDAMPFRDIIAWNSMISAYCNNGMPDAARSLADAISGGNLRTGTILLSGYGRAGRVRDARRVFDEMPVRNTVAWNAMVTCYVQNGDVTLARRLFDAMPCRDVSSWNAMLTGYCHSRQMVDARNLFEQMPERNTVSWTVMISGYVLIEQHGKAWDMFRMMHYDGMSPEQPNLVSVLSAISHLGNLNILESIHVLVHKAGFERDVVIGTAMLNAYTRGCGSADSLKVFDSMEERDIFTWNTVITGYAQHGLGREAIRIYQQMESAGVLPNEVTFVGLLHACSHSGLVDVGRQFFKSMSCDYGLTPLLEHYACMVDLLGRAGDVQGAEQFIYDMPIEPDAVIWSALLGACKIHKNVHIGRRAAEKLFSIEPSNAGNYVMLSNIYSSQGMWDEVAKVRKLMKEQGVNKEPGCSWMQIKNRMHSFVTGDEEHEQIQDIYATLQELYTLLKATGYVPDTEFVLHDIDEEQKESSLLYHSEKLAVAYGLLVTPKGMPIQIMKNLRICGDCHSFIKFVSHVTKREIDIRDGNRFHHFRNGNCSCGDFW >KQL17028 pep chromosome:Setaria_italica_v2.0:III:47707799:47709565:1 gene:SETIT_024318mg transcript:KQL17028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHKKLLQFLRPDPAVAAAPKPPPPSSSDDDDDDYACSTPTTPTTGATTPTTATTNSAPSSPFAMSPWTQLPGLGFGAGDGDHHGAAGDTTRTGLLGSLVKADGHVYSLAAAGDLLYTGTDSRNVRVWRDRRELGGFRSSSGLVKAIVVAADGRIYTGHQDGKVRVWRRASSPPEDPAAAAHHRRVGSLPRLRDVLTSSLLPSQYVETRRRRAALWMRHFDAVSSLCIDAAAGLIYSGSWDRTFKVWRVSDSKCLESVIAHSDAVNAVAAAGFDALVFTGSADGTVKVWRRGSRGRKGRDTWHAMERVLREGDSAVTAIAVSVEARVVYVGSSDGAVTHWQWRRGAAPGAAPRNGGALRGHKMAVLCLAVAGRVVVSGSADRTISVWRREEGADHARLAVLRGHTGPVKCVAMDEEEEEDGAAGAPRRWVVYSGSLDGSVKVWRVSESDGGAHEDAMTPARTPAAARAWKGASPSPLRAWTPYAATPEPKHMGAAWWDRDVDALACVVAIWSVTTSAARGPGGG >KQL17216 pep chromosome:Setaria_italica_v2.0:III:49022905:49023415:1 gene:SETIT_025781mg transcript:KQL17216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSLLTLSRTPSRHRKPKAKEPKSSAIVFNDHQTS >KQL13609 pep chromosome:Setaria_italica_v2.0:III:5549029:5551743:1 gene:SETIT_022611mg transcript:KQL13609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHDRSIATTPGPLHSFPSVSDQSRTRNNYAASGNSNHALTTKERGVPSELGHSVGQAEDSGADHGGDVVEGGVPPLGFAGGGDGKPVVDGLLLLRRHGRGRHRSDHGRRRTRRAAGVLVTEGGMGSGASSWKREMRVASSTHFTRVPSRPRPSAPPHASRSFFHRSDVASPRRHPQIGAARRSIPSTRSLIGSSTRRENFNQGMAMSWTRGLKHVVAPRHTAQLVESRGLAIASKAKKGGKGGADAAKTPALSKELKSSTVFGANILKEGSDPKIQADSEYPEWLWHLLEKRPVLSELRRKDPKTLPYEDLKRFVKLDNRSRIKENNALTAKN >KQL14843 pep chromosome:Setaria_italica_v2.0:III:14268181:14271138:-1 gene:SETIT_025081mg transcript:KQL14843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKPSIAPWKEPRELCARDDRASAAARRASMLMAASSGDRRQLCLWRGDILAAAAAAPPTHEVGIDVVVADDDPALEAIVTDETGSSPLHVVAAAGDDRRYLESASEICRRARRLLDTPNRDGDTPLHCAARAGNAGMVAHLVELAGAEDEARALVRAQNRRGETALHEAVRFGGPEMVRALMAGDRGLACVVANDGTSPLYLACSLGRGGIARELHQKADGHGLSYSGPDGQNALHAAVIHHKGITKLLLEWNKDLVNQRDINGSTPMHFAASAADPSFQFTSFVFTTSNLESHFLGSSFLLPQRCLTRFYEWKELPFPLLLDAEPSLAFQPDVQGSYPVHVAASAESMVAIIVLLTKYPGCAGLRDAMGRTFLHVAVEKKRFHVVKFVCHRASSFKPMLNVQDKDGNTALHLAVKQGELDIFRCLIRNRNVKINLQNNQGNTPMDLALGKVRSGFYFGLTAPRRILGMLTFANAQTSSANRRRDQMEEYNPSLNEEEESSKIKDFAQIVGIGSVLVATATFAAAITIPGGVRTPGDAAPTPAPAPAPAGTPLLTGKYAFDGFVVSNTLAFICSTLATFSLVYCGVAAVDIQRRFTLVSFSLALLLCAARSFCAAFAFSLYLLLAPVERGTAIAACVMTSLALLDGLWFLITSFHDTTVLLSGRTKLTFLKLGTGFVANIIYLFWPYLVIFGYLSIDDAKNLGDF >KQL15574 pep chromosome:Setaria_italica_v2.0:III:21394313:21394702:1 gene:SETIT_025501mg transcript:KQL15574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIWCKGGWPQLCQDNVGIGLMVEFELGNDLRI >KQL14356 pep chromosome:Setaria_italica_v2.0:III:10756381:10756898:-1 gene:SETIT_025419mg transcript:KQL14356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPHQAEKLEEFTGTSTTRLRCEKSKFVWLKNKLTAGVGLFPALGHRTGMPGGAAGPS >KQL16409 pep chromosome:Setaria_italica_v2.0:III:39455583:39457281:1 gene:SETIT_025039mg transcript:KQL16409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAAVAAVGDHTAAGVPRKRQRIPIASAQDYEEVCCLGAGSFGVVTKARHRATGETVAIKRHRSTDGRNGELLREARFLDACGGLPFLVGYHGLARDRATTELCLLMEYVGGPTLRDYLRDRRRRHRPPLPESTVRAAMWQLLTGARGMHESRVVHRDIKPANILVGDDHRIVKICDLGLAIYTSEPPPYAQAGTLTYMAPEVLLGKNDYDARVDAWSLGCVMAELLEGWPLFLGNVEAEQLSAIYEVLDDMPDDGHRHDGLRELFPEETLSKDGFEVLSGLLALDAENRLTAEAALKLPWFDNVGALALPKEEEVVTASAMVPKKKKRLLITLPPLPKKPK >KQL13661 pep chromosome:Setaria_italica_v2.0:III:5868126:5869976:-1 gene:SETIT_022393mg transcript:KQL13661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGEDCISGLPDELLHAILVRLGSTRAAVRTGVLSRRWRHVWASLPELVLEERVDAPPPPASFLDTVDAALAACDAPALERLSIALRAEYGGGVLAGRIEPWLRFASERVVGALFLYVPPRILPFFEPEVDGEEAAVLELPASGGVTGMDLSLGGPWRLRPPSSGFELTSLVCTQCPCLRDPNLLIMLVDASNLSVRSESLQSLWFSVWKTRQLEIVASRLEKLSVSNAIDEARISALKLAELSWSNAAYDPRCHQFDDVGHRLRLLELGQSSTVASLMQQFDEVDELKLGISIPQGIDGYESFLNATNKLPK >KQL13663 pep chromosome:Setaria_italica_v2.0:III:5868650:5869903:-1 gene:SETIT_022393mg transcript:KQL13663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGEDCISGLPDELLHAILVRLGSTRAAVRTGVLSRRWRHVWASLPELVLEERVDAPPPPASFLDTVDAALAACDAPALERLSIALRAEYGGGVLAGRIEPWLRFASERVVGALFLYVPPRILPFFEPEVDGEEAAVLELPASGGVTGMDLSLGGPWRLRPPSSGFELTSLVCTQCPCLRDPNLLIMLVDASNLSVRSESLQSLWFSVWKTRQLEIVASRLEKLSVSNAIDEARISALKLAELSWSNAAYDPRCHQFDDVGHRLRLLELGQSSTVASLMQQFDEVDELKLGISIPQGIDGYESFLNATNKLPKCKILSISSAWEHHGLVPGMLHLLRSCNSTRKLSLFDCYLYMGIFLILVAPWFELLIVV >KQL13662 pep chromosome:Setaria_italica_v2.0:III:5868864:5869903:-1 gene:SETIT_022393mg transcript:KQL13662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGEDCISGLPDELLHAILVRLGSTRAAVRTGVLSRRWRHVWASLPELVLEERVDAPPPPASFLDTVDAALAACDAPALERLSIALRAEYGGGVLAGRIEPWLRFASERVVGALFLYVPPRILPFFEPEVDGEEAAVLELPASGGVTGMDLSLGGPWRLRPPSSGFELTSLVCTQCPCLRDPNLLIMLVDASNLSVRSESLQSLWFSVWKTRQLEIVASRLEKLSVSNAIDEARISALKLAELSWSNAAYDPRCHQFDDVGHRLRLLELGQSSTVASLMQQFDEVDELKLGISIPQVCLYQQSLLKSNCFSDYSVATLSLLVTGNRWL >KQL13756 pep chromosome:Setaria_italica_v2.0:III:6553945:6557818:1 gene:SETIT_024241mg transcript:KQL13756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVLATMVVGPLVSMVKEKASSYLLEQYQVMEGLEKQHKLLKRKLPAILDVITDAEEQAAAKREGAKAWLEEVRQVAYQANDVLDEFKYEALRRKAREEGHYKELGMDVIKLFPSHNRFVFRIKMGNKLRMILEELDVLIAEMNCFGFKFRQGPPVPVNHLRENSSKIIDPVDIAGRSRAGDKKKIIKSLLDKASNVNLTVFPVVGMGGMGKTTLAQLVYNDPDIQKHFQLRLWVCVSDNFDVDSLAERIVEEAKKNGCQANGSSALDKLQNAVSGKRYLLVLDDVWNRDEAHKWEKLKSYLQHGGSGSSVLITTRDQAVAQLMMGTATGAYELGRLGENFIEEIIRSRAFSSKQEKDWPRELVNMVGDVAKRCAGSPLAATALGSVLSTKTTAREWKDVLRRKKICDDRNGILPVLKLSYNCLPSHMRQCFAFCAMFPKDYEIDVEMLIQLWMANGFISVLQGEEHPEISGKNIFIELASRSFFQDVKGIPFEFTDIEVSRVTCKIHDLMHDVALDSMGKECAAIATEQSKSGDFPHSARHLLLSVNEPETFLNASLEKGSPVIQTLICEGDVDKDLQHLSKYRSARALKIRGGLELKQTSFLKPVWLHHLRYLDFSGSYAIKSLPEDISILYHLQTLNLSSCHYLERLPKGMKYMTALRHLYTHGCLKLKSMPADLRHLTSLQTLTCFVASAGSDCSRVGELRRLDDLGGQLELKQLENVKEADAKEAKLGNKKKLARLTLRWTDVDKEARNSDREVLEGLEPHDGLKVLEIYSCSIDTCPTWMNKLQGIVKLKLSDCKRLEKLPAFWQLPALQILCLYGLENIRYLCSSDIAFTFQKLKNLEIFKLPNFEIWWGTSEVRGERPIFPLLEKLLIKECKSLAALPKASVLKETFEGVKTEYRSAFPALKEMELENLEMFQRWKDGEGTPGEELTFHWLEKLIIRSCPALTTLPEAPKLSVLEVGGVSQQISSLHAASRYITSLSSLELSGDNTETESVAEQNSSELVHGREKWEHRSPLTRMYLRGYNLLFSHSSALPLWTCFAQLVDLDIQDCDALVDWPENVFQALVSLRNLRIWQCSKLTGRTQETSEQSAPERSGLLPRLELLRLCAVLKLSSSSSHPFLPCLESLYIINCDGLSEVANLPPSIKTLRIYYCNNLRSLSGQLDGLGRLPALEDLHLWNCRSLQSLPNGPQAYSYLRALMIESCPSIKLLPPSLQQRLDHLEKKAIDARYEGNLQSFFFHFF >KQL15708 pep chromosome:Setaria_italica_v2.0:III:22831490:22831687:1 gene:SETIT_0213642mg transcript:KQL15708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTTTHKALSIVVLLLKVDSLSSLVLKLNLPFALILMRLEVPLVHCTTWALEPPSLPRTRESFAL >KQL16711 pep chromosome:Setaria_italica_v2.0:III:44342959:44343760:-1 gene:SETIT_023740mg transcript:KQL16711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVMKLASERAVVVFTLSSCCMCHTVTKLMQDLSVNALVHELDSDPRGKEMERALLKMLGGRGPAVPAVFIGGKLVGGTNRIMSLHLGGELVPMLKNAGALWL >KQL14429 pep chromosome:Setaria_italica_v2.0:III:11213813:11214150:-1 gene:SETIT_025379mg transcript:KQL14429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCICSSSCVSLTTSTSLKLQETDGAFLERHA >KQL13217 pep chromosome:Setaria_italica_v2.0:III:3218645:3224185:1 gene:SETIT_022820mg transcript:KQL13217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRPAAGAKPRGGGGGAGRRSAWLAADGSKRWGEAFFLLYTPFWLTLCLGVVVPFKLYERFTELEYLILGLVSTVPAFLIPLFLVGKADSVRSLKDRYWVKANIWIIIFSYVGNYFWTHYFFTVLGASYTFPSWRMNNVPHTTFLLTHACFLFYHMASNMTLRRLRHSTAHLPQSIRWLFEAAWILALSYFIAYLETLAIANFPYYEFIDRDIMYKVGSLFYAIYFIVSFPMFSRIDEKDEKWNLSRVAVDSLGAAMLVTIILDLWRIFLGPIVPIPESRRCGQPGLAWFQSI >KQL13729 pep chromosome:Setaria_italica_v2.0:III:6330068:6333298:-1 gene:SETIT_023240mg transcript:KQL13729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKPILYSAWISSCSFRVRIALNLKGVDYEYRAVTRTDPDYEKINPIKYVPAFVDGDFVVSDSLAIILYLEDKYPQHPLLPQDLKKKAINLQIANIVCSSIQPLQCYAVIGLVDGTLGSDESLQIVRRYIDKGFRAIEKLLEGCDSKYATGDEIQLADVFLAPQIHAGVTRFQIDMSKYPLLERFYKAYMEIPAVQVAVPEKQPDAPSP >KQL16142 pep chromosome:Setaria_italica_v2.0:III:31023565:31024542:1 gene:SETIT_024097mg transcript:KQL16142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAVPVVLLLSLLCGLDSHAVDGQYYWSPATATFYGGGDGSGTMGGACGYGNLYNAGYGLSNAALSTALFNDGAMCGACYTIVCDISKSRWCRPGTSVTITATNFCPPNWALPSDNGGWCNPPRRHFDMSQPAWTTIAIYQAGIVPVNYQRVSCKRSGGMRFTINGRGYFELVTVTNVGGSGVVSQMWIKGTNTNWLTMSRNWGMNWQSTAYLNGQSLSFMVKIDDGRVVTVWNVVPSNWYFGATYTTSWANF >KQL16361 pep chromosome:Setaria_italica_v2.0:III:38314964:38315868:1 gene:SETIT_023453mg transcript:KQL16361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKARRRHVPAFGEWNYYYSSSSPEEPQLQYSGAAAGDSGSWWYAAAEPEACSDAWFRYSPPPRSRPPAPKKPRRPAVAVPVDQNLPYCDGGNGGVPMTEVRARASVAGAAAVARAAPAKGGRRVVRPVDADLYRVPQPEDTTVSRRPRRKRASRSLWMGCLGGFNCVA >KQL14956 pep chromosome:Setaria_italica_v2.0:III:15068616:15070872:1 gene:SETIT_022618mg transcript:KQL14956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAAITRYWCHECEHAVDIEEAMAEEIKCPFCDGGFIEEMIGAEFEGLASQRSERDSSQWGTSDNPFEQPGGTADSEDDEEEDDDDMGREFEGFIRRHRRASALRRVLDSIQDDLRADRERDNSILINAFNQALALQGSVLDPDEVRDDQGTSSNDDGLLEEYVLGAGLSLLLQHLAESDPNRYGTPPAKKEAVEALPTVKIEEVVSCSVCLDDLELGSQAKQMPCEHKFHSPCILPWLELHSSCPVCRFELPSEETKDLNEPSSVVRTESIHEEVRADGPGSVSESSNRAWALVPWFNGLFSAPEPQTARGAFTDQQPSSATGTNPNAGES >KQL17369 pep chromosome:Setaria_italica_v2.0:III:50064144:50066207:1 gene:SETIT_024531mg transcript:KQL17369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLHGRYLIVIDDIWDASAWYVIRCALPESMNGSRVITTTRIEAVARACSTNHIECVYKMKALSDQDSKRLFFKRIFGLEDACPSYLKEVSGEIVKKCGGLPLAIITISSLLANQSNKLKENWDYVRNSLGSNFEPSLEGMRQILSLSYTNLPYHLKACMLYLGIYPEDYTINKNDLARQWVAEGFIYKASATDPEDIAKNYFNDLVNRSMIQPADTDYNGEVISCKVHDMVLDLILHKSREENFVTVIDDIQDMTGHKDKIRRLSFNQDSVIDSRFMGSVQLSQTRTLARFGSSSRLPPFLQFKHLRVLIIETSRAIGPSPLLDFDRICHLFQLRCLKIVAEDYDAVLPSKIGDLQQLETFEVQLDYKFLQGRKSLKLPSDIVHLSRLLHLIVPVRTILPDGIGNMRSLHTVHRFNLANSLHSIKSLRELTNLTDLEIGFAGSIIDHEVVERGREVLHICFEKLCNLKYLKVNIFPSEAYLDAFSPIPRFHGSSFSRVPGWIGELHSIYDLVLTVQEVLEDDVGILAQLPSLIHLILHIRGAPKGKVLIRGGTGLFPVLKYFEARCCRVSYLSFEAGAMPKLERLVLCLNAHRWDRYGAPPAGIEHLSGLKEICVDIGALGAKKSNGSAAESALRNAADMHPGRPVANIRINNFQCWACDTMDDEPCEKDEEGWNSSST >KQL16294 pep chromosome:Setaria_italica_v2.0:III:36517649:36520363:1 gene:SETIT_024156mg transcript:KQL16294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVGCCSVAGASRTAAPFDGKREKKSAPVNEPLLHREVQLRRQYITTSEIRELGINATFASCSATTTVTAKCSMLAGIHSWQPRR >KQL15400 pep chromosome:Setaria_italica_v2.0:III:19625926:19626421:1 gene:SETIT_025720mg transcript:KQL15400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSSPIHRIPGRVRLHRVAFSFSPLAFTWSAVGDRCPLLRC >KQL15526 pep chromosome:Setaria_italica_v2.0:III:21150400:21150781:1 gene:SETIT_024383mg transcript:KQL15526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEENPNAADT >KQL13492 pep chromosome:Setaria_italica_v2.0:III:4761792:4762513:-1 gene:SETIT_023531mg transcript:KQL13492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTPIIVYLAHQALAGDLGGEPGHDVQRRLDELHRRLQQQRHAQAARADARDGQPAPTASPASDTIHMESKAYQVQERSIPDDPDDGADDGDEDGEHRERQAQQKPQRPALAAVVAAAAHRRPGRSLSLSFPHTLCSFACLSSELIDSCY >KQL15930 pep chromosome:Setaria_italica_v2.0:III:25568876:25572488:-1 gene:SETIT_023800mg transcript:KQL15930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSSSMASEDDYETEQKKQAAADVLFHYSQFVMVCIGEDVRPTDLRLHLMKEVSGMPTSLKEPQQAAASPDSSGEPSSSGTMKTEIS >KQL16988 pep chromosome:Setaria_italica_v2.0:III:47403180:47405428:1 gene:SETIT_022287mg transcript:KQL16988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARKEDHHHHHLRALAARAVTDSLRAAASRATDADRAARFEDCVRNLEAEKAKMEVFRRELPISVHLVADVIEWLKEELAQHRRLAPSPAPAPELFAPAPTSPAPAAKRKAAAPEGGAVKAEADANDKRSWMSSAQLWSCGGGHDGSSSSTATNNGAAAAAKPAHKVSDAFMPLSGLPTLARSPDDAAEKPTAVPVPELTLSSPAIDAACPAAPSATSSAVTDGGGAAQRQHQQQQRKARRCWSPELHRRFVAALQRLGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRASSSDGGGGDHHAASAAAAGLWSSAAPEQQYTTSQHSTSQSGSPQGPLQLTVSSRAMSATAGDSCDGDEAEGGRSESYSFGMQQQHGTKAASS >KQL16696 pep chromosome:Setaria_italica_v2.0:III:44173798:44178180:-1 gene:SETIT_023325mg transcript:KQL16696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSEASPAEQPAPPTAAPAASFESSPTPSPARKEELLPVGEKISELNESQSELLGRLRGLKEDLQNWRSNLDTQVTKYKVELSDIKSALNNEIEQLRSDFQELRTTLKKQQEDVSLSLKNLGLQDATENDGSKGSGEENTNEDLSANLGSLKLGDASENHDESRDAKDDKTEESPAADGAADKGTKEGTPSDE >KQL16295 pep chromosome:Setaria_italica_v2.0:III:36534141:36534419:1 gene:SETIT_025033mg transcript:KQL16295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCD >KQL16595 pep chromosome:Setaria_italica_v2.0:III:42793293:42794850:1 gene:SETIT_024770mg transcript:KQL16595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMSTERATWSYTYEKGLVDILKELANVPMFKGQNGWTAEGWRNITNKFNDMFPTTHFTKQQVQEKEKELKGNYKIIKEARKSGVGWNDTLGMIIAEQKGWEKLIKDNHKVAKFRKKPFPLFNSLELLYEGSVATGDLNFTSIQPPPQRTEPTPHNSELPTEPTPQTKSIEVQSAPASRNSEDQDVTGGKKRKQSQMAAKLGDYIDFRKDQIGKTLEKLEEKRKREEDYSIEKCIDIVDAMEGLSDEQKADANEVFQSETNRKILVGTKNPNVRLIWLKKKIAQ >KQL13684 pep chromosome:Setaria_italica_v2.0:III:6067546:6067764:-1 gene:SETIT_024725mg transcript:KQL13684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPTPKLTDSSDDWRRDYHTPFPRGDALRVFHRADNTFACPVYPGTRHRWGILNEVKDHILGPPPEGREP >KQL16422 pep chromosome:Setaria_italica_v2.0:III:39741751:39746404:1 gene:SETIT_023609mg transcript:KQL16422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHARAASQTHTWAHQGPNPNLLLNPSQPERRRRQRLCARSRRKKNRRTDLAVAAMAGGGMLGGAFWATRALEVVKRNDGPGLLWKRIKLTTTRKNNAKKRLKRLWQNEAVIRACGQSESSSASNTASAAAKQQ >KQL15214 pep chromosome:Setaria_italica_v2.0:III:17816355:17820382:-1 gene:SETIT_023249mg transcript:KQL15214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSAVTAEDLVDALREVDWSTPPRPVPEFFSRFTVPRSYSKWTSRLKCNLYYYRTNYFILIMFILGMGFLWKPVAILAAFMTGLSIAFLNDSFAVTFNEKVTRTVRQFSPHLAAKMRPPITPVLRGRPSSKRSIHICGRPRWVFVLFFSAVSCILWLTSCSLLTVLWALLIALFATVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >KQL15782 pep chromosome:Setaria_italica_v2.0:III:23739639:23740538:1 gene:SETIT_024176mg transcript:KQL15782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDENNACRVVTDVCSFSTIEDGRATYQKGRCLEWWVDSDEYSIIDMEKDVFKHFAWASNQEANFWFANKKGQMTHLATNQELLTLLQVSKNVKFIMTVDRCVTQMEDQSLEYEGDEWADEPELRVSGAGPARVEEEEEKEHYMDYGFDPKGDDPVGADVEWRYFKKQQKEKKKVEKKGKVYEGTDPDAVPSDEATMMKDAPYAAHTTYDRGNPEIKKGSTFADKDTFILVIKQHAIKREFQTFVEHRDTIRYRARCADSACEWKIHAKKLLGCPTFM >KQL17090 pep chromosome:Setaria_italica_v2.0:III:48184106:48188373:-1 gene:SETIT_022975mg transcript:KQL17090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLGFEETELRLGLPGGGGGGGGGDGEGTRSASGKRGFAETIDLKLKLEPAAAAVVAEDEEVDAAAEESSPAGKMKRSPSQSSVVTAAAQPDPAEKPRAPKAQVVGWPPVRSFRKNIMSVQSEKGAGSKDATDGDKSSPAASGGAAFVKVSLDGAPYLRKVDLKMYKSYQELSKALEKMFSSFTIGSCGSQGMNGMNESKLVDLLNGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAIGLAPRAMEKCKNRS >KQL17246 pep chromosome:Setaria_italica_v2.0:III:49214185:49214944:1 gene:SETIT_023771mg transcript:KQL17246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPIAAGLLRSVHLKLEPNDSQAQAHLNELKNRSPTQVPQEDTHIQACSMYKQKEPGSLHYCYHHTIASEDQMMITPHSWRRRRRKGKHTIYSERFS >KQL16689 pep chromosome:Setaria_italica_v2.0:III:44054297:44056933:1 gene:SETIT_025082mg transcript:KQL16689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RERGTTVKAAVRPRVPPFLPRTQQPPDRNVHLRDARAAARARPCPLVAGGLYLPHLHIASTARGGLPHCPFPPAPTMEATYRRRLALVAYLAGALLAAAAAASALSDAEASAIARRQLLALREGDGDLPDDFEFEIRVDVTFANDRLRRAYAALQAWRRAIYSDPRNFTGGWVGADVCSYFGVTCAPALDDNATTVVAGVDLNGGDIAGYLPAELGLLTDLAFFHINSNRFCGVIPKSFSRLALLHELDVSNNRFVGGFPHVVLEIPVLKYLDLRYNDFDGELPPQLFEKDLDAIFVNSNRFVGVIPENFGNSTASVVVLADNAFVGCIPRSVGRMVGTLDELVLLDNRLDGCIPPELAELVNTTVVDVSGNELVGTLPEGMVNMTGLQQLAVSRNRLAGGVLERVCELPALSNFSFAHNFFSVEPAACVPAANKLVALDDAGNCLGGGRPEQKPAPECAPVLAHPVDCRKNACSAGPSYTPSPKKHAPAPPTPVPPTPVAKPQTPPNPPAPISSPPVMPAPAPAPVPPPVKSSPPPVPVSSPPPPAPVSSPPPPVKSPPPLAPVSSPSPPVKSPPPPAPVSSPPPPVKSPPPSAPVSSPPPQVKSPPPPAPVSSPPPPVKSPPPPAPVSSPPPPVKSPPPPAPVSSPPPPVKSPPPPAPVSSPPPPVKSPPPPAPVNSPPPPVKSPPPPAPVSSPPPPVKSPPPPAPSPPPPAPVSSPPPPVISPPPPVPMSSPPPPVVPSPPPPPALEDVILPPILAQKYASPPPPQFQGY >KQL13758 pep chromosome:Setaria_italica_v2.0:III:6562814:6564965:1 gene:SETIT_024635mg transcript:KQL13758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAAVAADDSTAVDTDDDNSFRLLSVSWNQDSSCFAAATTADFRVFSCAPFHEKLRRVHPEGGGYAVVEMLFRSNIFALVAAGEAGRHRVELWDDSQGQSVYDIPGIRSAVRAVRVSRAYLAVVLDRTVRVYRLTDPARPRWKIPTALNPRGLCCLSSHAGAPPVLACPGTARGQVRVEHLGTKEQAATSVAAHSSDIACMAMTPDGAVLATASVKGTLVRVFSTMDGTCLQEVRRGRDQADIYSIALSPNVQWLAVCSDKGTLHVFSLRVRDVKKDAGGKQSAEASSVVQTNTASNARSSLSFMKGILPDYFSSEWSFAQFRLPETTRYVAAFGEQNTVMIIGMDGSFYRCSFDPVNGKEMVRKEYFRFLKDKDSPPIRT >KQL12804 pep chromosome:Setaria_italica_v2.0:III:968090:972358:-1 gene:SETIT_024074mg transcript:KQL12804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVSIYYGGTVERDEYGCVKFVGMQCEVVIFDEKPSFSELVARAREELHCHENDEIIVEGILHLGSPLNIQRKIVPIRCAGQWEKYVRTVMNGHSPSVEVVVRPVGVDRNPRRFSRPMGQRAHFDPPVQEPVMNVDVAPTIPDAESAPNERWLQAFAVIRKRPYKVLHSYAERRYTVLCDKERCPWRVCARKQNITGKWKITKVVGPHNCADHELTVRHPQLTSTLIAKRLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWRMIYGDWESGYEQLPVLFNAIKAVNPGMHYEYIPKPNAWKEDGRQIFGRAFWCFPQSVEAFRHCRPVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHVVGPGREVGVISDRHQGILNAVQEQIEGYAPLHHRWCTRHLAENLLRKDGVKDNFDLFQVAARQLEDYYFQRKLEQVRTATNAEGRHWLAGLMRDVDKWTRAHDAGGWRYEFQCSNMAESFNKLLLGIRGMPVNAIVEFTFYRLVAWFNERHAKAEALQVAGERWAEKPKRHLIIANERASTHEVQCFDLGSGTYQVEHRGGTTSDGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAARHRNFDIESMIPHEFSVDTLVRTWSPRFVPFRDPREWPPYDGPKYVADPAYRWNKRGTRKRTRHNMTMDQVLPLLRSRAHDGFLALEYDDRYTPLLQMAGLDVISYQVRRGMPRFNSAAITALVDRWRPETHSFHLPFGEMTVTLQDCQKMLGLSIRGQPVTGPCVTGGWRARVAAFLGREVDEQGTRTSGVLISWLREHFGHYPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWIHCLTDWHQAGIYSWGSAVLCFLYRQLCEACRRSSGSPSVGGCVYLLQLWMWSRLPVGRPEIMPRRPWFPGEPPRRQPTWAYIWNQVKVSHTRLDRAYLDYINEIDALTAHSVNWQPYDGDDPLPFPLSFVCVQDNDIYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSIELHK >KQL16044 pep chromosome:Setaria_italica_v2.0:III:27421075:27421487:1 gene:SETIT_025798mg transcript:KQL16044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNFRISQLQRVTFHKPQIQSPILLHHYYVPCYGIY >KQL13391 pep chromosome:Setaria_italica_v2.0:III:4226949:4229775:1 gene:SETIT_024734mg transcript:KQL13391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFYDILGQAYPSSPKDTRISTDSQIDCLQDSSSSYTKGACSGRFVSDILGPQGMHLVANDWASECDHFSLQFQRAAEEANKLVPSIERLMVDLDSNGLSDSNQMIGEAIGQKSKHLHCDAAHLREMKAKEESNSQQNVQSKRYGHGKVKSRSKKKEEGIDLRALLIQCAQAIAVNSLPFAGELLKKIRHHASPYGDGSQRLAIYLADGLEARLAGTGSKMYQKLMEKRTRATDMLKAYRLFIAVCPFTRVAYYFSNQTIADVLNGRPKVHIIDFGITLGFQWPSLIQRFAKQEGGPPKLRITGIDVPQSGFRPCATLESTGKRLAEYAEMFNVPFQYQGITSQWENICIDNLNIDNDEVLIINCMYRTKYLGDELEDIDCPRDRVLRIMKRINPEVLILGISNGLYSSPFFLPRFREVLFHYSSLFDMLNTTVLQSHEERIRIERDLLGAGALNVVACEGAERIERPETYKQWQVRSLKAGFKQLPINQAILKRSIDEKNKHYHNDFVIDEDSGWLLQGWKGRVMHAVSSWKPKESYTNQKDRSRSSCTNQLY >KQL15120 pep chromosome:Setaria_italica_v2.0:III:17245150:17246035:1 gene:SETIT_023813mg transcript:KQL15120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIIQAPAPAMPAVAGRTAAAAGGAGGNGRAGLPPPRRGQIMVKILKDVVAALTAIAAGLVKNTRGGAGGAVVGGLPTSDDADEK >KQL16500 pep chromosome:Setaria_italica_v2.0:III:41086821:41091429:-1 gene:SETIT_023008mg transcript:KQL16500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSPPYRSPPRRGYGGRGRSPPPRRGYGGGRKEGSGSLLVRNIPLSVRAEDLRVPFERFGPVRDVYIPKDYYSGEPRGFAFVEFVDPYDASEAQYHMNRQVFFGREITVVLAAESRKRPEEMRSRARVRGYSGHEGRRSSYYGRSRSRSRSPRYRGRPRSRSYSPAPRRRDDYSASPRRKEAHRASPPRRPPKELDEDKKRRSYSPASRDDAENGYEKRSPPPDSDGSPPHRRSPKEYSGSPPGSRSRSAESPARSD >KQL13679 pep chromosome:Setaria_italica_v2.0:III:6022428:6027487:1 gene:SETIT_024140mg transcript:KQL13679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFEGPPSFSDLVDRVMRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPDPNVVMREEVAVVNHNGETERDFDLAIANDDFPNNVFERDEANIDVDNISMGSEDCEFEEDGSPVIKKGMKFNSLEELKFFLADYAVRLHRPFSIVHSNKNLRYNHVQCTAKYLGRRILGIIRKDSETSVPSLVKSIFAFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWCIDSCGMMHPDNGLVPLAFSLAESENNESWSWFMKLVRRHVLGPLRIVCMISDRHHGLLNCTKDHMDGFPPLVHRWCTRHFAANMSRRQKSNRVIGKLKILCKVHTEREFSEKLEDLVKDLNDDAKEWLKGEMEDKDKWAIGKVADDYLLEAELRSVHHLVEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLNEVSCTCNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTVQIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRQKKHFTGDMDVSEGRMAALAYPLLESAYGLQHRAHHLADLNEDLKPLGAKVHSPLRWDERYAEYLQRAGFLDLAVQVVAGLPPMDGPLLTSMVDRWRPETHTFHLPFGEMTITMQDVAMILGLPLDGQLVTGIIQNENWHDMVEMHIGIRPPEPEDGDNSKKTSGPWHRDDAHPTFYHVWKHVRPVRGNADRRYRAYTNEFDVVTQYQLSHIIFSPMCYRDRELWRCTTPMILYYVVEFHMLHRVMRQFGRMQPCPPLELSTSQQLHKIDRRKRYKENDWRVKYGQYLVDWRPGPNNEYIRWYCTSTRTKVKPFWSNVPIEDAPFDSDADIADAYDTVTRYGTQPERAPLHDYMGQQLARLSNKAGVVMEHAVGSGDGLLRQFAEEIRGKKPASPQASEDSEGEQSEDDDPTYGEELEISGMIDAPPVTQTQGESSQ >KQL13339 pep chromosome:Setaria_italica_v2.0:III:3900325:3901370:-1 gene:SETIT_023079mg transcript:KQL13339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKISLKLLVDNKTKKVLFAEAGKEFVDFVFSLLTLPIGAVVKLISAGTMQGSIGRLYQSVDHMGASYLLPGADKKDLLQPKVLQPDGRELLLLQGAGTGDGTDDASPLSRFKMYTCAGHCVTVTMEAGAQCPQCRQAMATEMVFVLPSATARPGGGGAAGASAAEDSGGYVKGVVTYMVTDGLEVTPMSAISSITLINRFSVGKDVDLAEKFVTVGMDEGLALLKAALRSDTVLSDVFLARKK >KQL16744 pep chromosome:Setaria_italica_v2.0:III:44624400:44626892:-1 gene:SETIT_022047mg transcript:KQL16744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVVVVSSAGCKGGGGKRSSGGGGGEEERRRRAAVLELLLAAVRRSVVACRVERGGAGAGWPAGEGEGEEEDAAAAELGEMEIGWPTDVRHVAHVTFDRFHGFLGLPVEFEDEMPCRVPSASASVFGVSAESMQCTYDGKGNSVPTILLLMQERLYAQGGLKAEGIFRINPENDQEEQVRDQLNKGVVPEDIEVHCLASLIKAWFRELPEGVLDGLSPEQVLQCNSEGEFLQLVTLLRPTQAALLNWAVELMSDVVEEEELNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNFLKTLILRTLRERDDAATGGEYTPYSSPASSIQQDAAECCYSSERDMDRSCELSDMHSQISKSGRHADYLVRYNTCFDSEQEIDDHLSEVEEGFLRRLERDIEADRPKESAREQCEMNLEVMAMEDVELKDEDKAVEKGLQKEEGLESMA >KQL15226 pep chromosome:Setaria_italica_v2.0:III:17944546:17946276:-1 gene:SETIT_023302mg transcript:KQL15226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGRRASQLLKEIDSSEAGQLAPFNSDVFDQVIRECNEHNSQFQSLIRKMVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAEVIQSFRWKVGPVLPHDIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFISQGLMEEFLE >KQL13255 pep chromosome:Setaria_italica_v2.0:III:3443348:3444012:1 gene:SETIT_025425mg transcript:KQL13255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRYKYCCTGRRERTLSMTREGMRMSQLAPARTCILFLLIISFRLGRVLRRCNHNFSEVGHHLTYLPTCLPLQDTGQDRTTKLHASPRPSL >KQL16663 pep chromosome:Setaria_italica_v2.0:III:43490168:43490263:-1 gene:SETIT_024202mg transcript:KQL16663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGKRATGVVVLVAMMVVLQLMAAPMAMARS >KQL15589 pep chromosome:Setaria_italica_v2.0:III:21489996:21491105:1 gene:SETIT_024048mg transcript:KQL15589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSGAPSPAAIATAVPQCGSQAGGKLCHNCLCCSRFGFCGDTDPYCGAGCVTPPGPRPVPGDVASVVPRDLFERLLLHRNDAACPARGFYTYDAFIAAAAAFPGFGTTGSDEQRKREVAAFLGQTSHGTTGGWATAPDGPFSWGYNYNYGPAGRVIGVDLLNNPDLEATDAVVSFKMALWFWMTPRDNKPSCHAVITGQWTPTDADRAAGRGAPGYGVITNIINGGLECGRGPDLRVADRIGFYKRYCHAFRIGYGSDLDCDGQRPFNAAVAAGLAAQ >KQL14588 pep chromosome:Setaria_italica_v2.0:III:12190167:12193518:-1 gene:SETIT_022433mg transcript:KQL14588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKESQQSPAEAAAPGPGPAPAARSIPEEARRLLRELAAAWEDVAGCGLEPEVVPLKGAMTNEVYQARWPAGGGGGEREERKVLVRVYGEGVEHFFDREDEVRTFECMSRHGHGPRLLGRFSNGRVEEFIHARTLSAADLRDPEISAIIASKLREFHNLDMPGPKSVLIWERLRNWLKTAKSLCSPDEAKEFCLDSMDEEIAALENEFSGEGECIGFCHNDLQYGNIMIDEETKLLTIIDYEYASFNPVAYDITNHFCEMAADYHSEKPHILDYTKYPDTNEQKQFVQTYLSSSGDKSDAEEVENLIKSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWLKKPTILTSQAAE >KQL14587 pep chromosome:Setaria_italica_v2.0:III:12190167:12193378:-1 gene:SETIT_022433mg transcript:KQL14587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKESQQSPAEAAAPGPGPAPAARSIPEEARRLLRELAAAWEDVAGCGLEPEVVPLKGAMTNEVYQARWPAGGGGGEREERKVLVRVYGEGVEHFFDREDEVRTFECMSRHGHGPRLLGRFSNGRVEEFIHARTLSAADLRDPEISAIIASKLREFHNLDMPGPKSVLIWERLRNWLKTAKSLCSPDEAKEFCLDSMDEEIAALENEFSGEGECIGFCHNDLQYGNIMIDEETKLLTIIDYEYASFNPVAYDITNHFCEMAADYHSEKPHILDYTKYPDTNEQKQFVQTYLSSSGDKSDAEEVENLIKSIEKYTLASHLVWGLWGIISVGFSHADSITRHSCYL >KQL17426 pep chromosome:Setaria_italica_v2.0:III:50354940:50357739:-1 gene:SETIT_021994mg transcript:KQL17426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGRRRLALVAALALVVLAADAGVTSEYRRKLEATVEMPLDADVFRVPPGYNAPQQVHITLGNQEGTAMIVSWVTPSELGSSTVMYGGAPHKLELRAEGTHTRYDYFNYTSGFIHHCTLKNLKHSSKYYYAMGFGHTVRTFWFTTPPKPGPDAPYKFGLIGDLGQTFDSNRTLSHYESNGGDAVLFVGDLSYADNHPLHDNNRWDTWARFVERSVAYQPWIWTAGNHELDFAPELGETTPFKPFSHRYPTPYRAAGSTEPFWYSVKIASAHVIVLASYSAYGKYTPQWTWLQDELKRVDRKTTPWLLVLMHSPWYNSNGYHYMEGETMRVQFEQWLVDAKADLVLAGHVHAYERSHRVSNVAYDIVNGKSTPVRDQGAPVYVTIGDGGNIEGIADNFTRPQPSYSAFREASFGHATLEIKNRTHAYYAWHRNQDGAKVVADGLWLTNRYWMPTDDTN >KQL16278 pep chromosome:Setaria_italica_v2.0:III:36094898:36096885:-1 gene:SETIT_022935mg transcript:KQL16278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLFILQMIPVAANDVAFSLHAVALTSFTVFQVFIYERGIQKVSKVCISITAVVWTAAIVCLIIAWPKSDWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLETVFFDVLFIIQHYVLYPAKKDENGKAIISERVAPLIRPSDKPEEDNV >KQL16279 pep chromosome:Setaria_italica_v2.0:III:36094898:36099478:-1 gene:SETIT_022935mg transcript:KQL16279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWNSVGLEVLYQVLGWVAFFAWSFSFYPQVLLNYKRKSVVGLNFDFLVLNLTKHSSYLIYNAAMFFSPFIQRQYHDKYSDKEMIPVAANDVAFSLHAVALTSFTVFQVFIYERGIQKVSKVCISITAVVWTAAIVCLIIAWPKSDWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTLVNFYGNIGKTLLSLETVFFDVLFIIQHYVLYPAKKDENGKAIISERVAPLIRPSDKPEEDNV >KQL14692 pep chromosome:Setaria_italica_v2.0:III:12961653:12964246:-1 gene:SETIT_022423mg transcript:KQL14692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALWYYGPVELAVGPGCSRLVQASSVFVQGFKVSCLKAESQGNDGGLVLYGLAGAPPLDVPAEWSEARRVVVPANSHKEWAYFLNKGAQIEAAYSVKSETDAPYPLCITIAQGKERFMQWTEIPSAQSNTLSWDSVQDCITTENGTIEQKIDLTSEYYIAVHNLNDHQDATVQLNITIRTMFYNTTGADYRCSPGHDLCTYRLPFLGQNVAVLSSGLKEGLNSDAQHVELSYEPRWIVYIVGSAILAIVLLLLHEILDMLFGPCTGGRRGADRRRTPLLSGSNEDDGASLGSSYDSVSHDGSDGAEERGEGGCVLCCDAPKDCFFLPCGHSATCYACGARILEGDGGCPICRRKMKKVKRIYAV >KQL12936 pep chromosome:Setaria_italica_v2.0:III:1711800:1716887:-1 gene:SETIT_021121mg transcript:KQL12936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQEKATSGVLRNAAALLDEMQLMGETQGAKKVINSELWHACAGPLVCLPQRGSLVYYFPQGHSEQVAATTKKVPNSRIPNYPSLPSQLLCQVHNITLHADKETDEIYAQMTLQPVHSETEVFPIPSLGAYTKSKHPTEYFCKNLTASDTSTHGGFSVPRRAAEKLFPQLDYSMQPPNQELIVRDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVGAKRLKAGDSVLFIRDEKSQLLVGVRRATRQQPALSSSVLSTDSMHIGVLAAAAHAASSGGSFTIYYNPRTSPSPFVIPLARYNKATYMQPSVGMRFATMFETEESSKRRCTGTVVGISDYDPMRWPNSKWRNLQVEWDEHGYGERPERVSLWDIETPENSLVFSSPLNSKRQCLPSYGVPGLQIGSVNMSSIPRAQGNPFGNLQHMPGIGSELALMLLNQSGQNLGSPLACQQSSFSSIIQNVNHGYIPPSTFGASTGSIKQESMLSNEAQQQLSAPNIQKDDQQGIDSTSAQELDVRARGPRNTDSYSSQSISDQNSKGEHRTKTRRSKKGLSHKSISDKSELSSVPSQICDDQRHGSEPKLVDCETEQVNCGNNEDSSGALTRGGFAGEPQVQQVEQHELLAPPKFEPSKSPDGGKSVSSFPNQGCSPQFFEGLDWVIQPSYYQDSNGIHSVSASENIFNQSADITSTINADTMEAFQNSCLSECFPNSVQEFISSPDLNSLTFMSPDMQHLDGQHDVNNLPSTSNSYVQMSYSEESGNQSASLSGLHMEAIHINSSCSEPMTTGSFDAGMFSKLPNLRDSQVLPLQEIHNSSMGTPSCSMDAASVKPIKPPVRTYTKVQKLGSVGRSIDVTRFRDYHELRSAIACMFGLQGKLEHPGSSDWKLVYVDYENDVLLVGDDPWEEFINCVRCIRILSPSEVQQMSENGVHVLNDCIQIAQ >KQL13802 pep chromosome:Setaria_italica_v2.0:III:6811484:6814603:-1 gene:SETIT_023354mg transcript:KQL13802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEPARSSTESSSAASSGLDFEDTALTLRLPGSDPDRKRAASTSDPAARSPRASDAPPSPKARVVGWPPVSRNRRNALPRGKFVKVAVAGAPYQRKVDLEAYAGYEQLLAALQDMFTAHFTVRRGANEEMELIDAVSGVEYVPTYEDKDGDWMLVGDVPWRMFVETCQRLRLMKSSEVVNLAPRAAE >KQL15112 pep chromosome:Setaria_italica_v2.0:III:17135418:17136573:1 gene:SETIT_024852mg transcript:KQL15112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHPSSSSAPNYSDLSMQHAVSFSPAVSTAPTEIPRGGFFHDNGGLLALPNVAASAPPPYPSSLPSYYIHRNTSSHFLPLHLQLSEQLSSNATFSCSSPSACQLPAAHVPCSPSSSSGDFLEFSTGALRRVFSTGDLQVMNVSPSPPPPPLSGDTHGQDAGGPFTQKVGRYSAEERKEKIERYRTKRNQRNFHKKITYACRKTLADSRPRVQGRFARNAETEAEAEAVSGLEREASDNSYEHCNYSELTTNSSSCFDSMCRESGKTTTSDDGKWWWEPPVANGHYGHHHYQQQQLLDFDDTELNEEDLWASLADMYSGI >KQL13424 pep chromosome:Setaria_italica_v2.0:III:4396227:4401695:1 gene:SETIT_021141mg transcript:KQL13424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMKGPSSDVLRASISSAPSTSSHGSAQDECDSLGDVYVWGEVVCDNSVRTGSDTVIRSTGRCDILLPKPLESSLVLDVYHVDCGVKHAALVTKSGEVFTWGEDSGGRLGHGTREDSVHPRLVESLTVSNIDFVACGEFHTCAVTTTGELYTWGDGTHNVGLLGHGNDVGHWIPKRISGALESLQVAYVSCGTWHTALITSMGQLFTFGDGSFGVLGHGDMKSISYPREVESLSGLKTIAVACGVWHTAAIVEVIVTRSSSSVKLSAGKLFTWGDGDKHRLGHGDKEARLKPTCVATLIDYDFYRVACGHSLTVALTTSGQVLSMGNAVYGQLGNPHSDGRIPCLVEDKIASEHVLQIACGSYHVAVLTSRSEIFTWGKGANGRLGHGDIEDRKVPTLVEALKDRAVRYIACGANFTAAICQHKWVSGADQAQCTSCRQPFGFTRKRRNCYNCGLVHCNACTSRKALRAALAPNSGKPYRVCDSCFLKLDSASDPNSANRRKDPVPYQSGESNGDAKATKAAIPSNMDMIRSLDIKAARQGKKTDGLSFLRNPQVSSLLQLSDIALSGGLDMTRSAPRAVRISATRSVTTSRAVSPFSRKPSPPRSTTPVPTAHGLSLSKSATDNIVKANELLNQEVERLRAQVDNLRNRCELQDLDLQKSAKKVQEAMTLVAEESAKSKAAKEVIKSLTAQLKDMAERLPPDHCAYNVNETKQAHIPNGIESHVASYSSMNGIHPPRNELLNASIAHSPNSGRSSHSNGISGQHKLLGNVSENSDCSTHSLRITSPLDSELPSRRARSSSDEMLTAGSRVDDNLSMDARSLQNGEDGYKPRGAISLPSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAENWWNENREKVYERYNVKSSERVSSAASTRSAY >KQL15905 pep chromosome:Setaria_italica_v2.0:III:25204891:25205263:1 gene:SETIT_025768mg transcript:KQL15905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYLFSHACSYKLLSLLTVLSTSYILVMHFLNM >KQL17099 pep chromosome:Setaria_italica_v2.0:III:48304987:48305910:1 gene:SETIT_024309mg transcript:KQL17099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLDAAQSVGCGGYSNSSMSASATPPPRRRKVVATRNKQTNFSAYEDDVLCKSWLEISCDPVINTGQRRESFWVRVVNRYNSKCSTYPERTQKSIMSRWDHIKAEVSKFSGYMAEMIRSNPSGMLDADKSVATAADFAAIEKHNFTLMHCWQILKDEPKWMELKRKMDTPQNSASRENVLTSEQRNILDLDPDDSSAASSTGKRPMGRDAAKAAKEKVAAASSEYVSKMHDLSVQKIELFKESEVEQKARLDKIVTLEKVKVEEAREHCKMMLELQRERLAMDKQRLKMEAEKKEKEEYERILEINLDQ >KQL15471 pep chromosome:Setaria_italica_v2.0:III:20517056:20520911:-1 gene:SETIT_021046mg transcript:KQL15471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAKSCASDRIRWQGDGVPKALERMKDLLAQLRAVAGAVQARGSPDKSRDLRAWLQQLIDAVYEARDVLDDFDDSAPQPESPVARFGKRILGADERVNRLKDVVEKLQAVQANSPALMQAAAAHGSGLGSGDLSGHHQGLHGGATGSVRDREHVVFGRDRELQDMVSWLVGTPDGGDARSVPVAAIMGHGGMGKTTLAQLLFEDQEVDSAFDLKIWIQPAATDNEFELAKQLLHSVNVDVPNGMKNFNWLQVKLQEEVSSRRFLLVIDDVWNWNREDINGHAYREMWSKVLAPIGNGKTTGSKIVITTRQKIMADLLYASKEVWLDDLPADAIWSLFKRCAFGEEDINKQPQELQDIGRKIAEKLKGSPMVAKAVGQMLEGSRRVTHWKRVLDMDSFDNIFKTLELCYHNLPEHLQPCFAICSLFPKKWRFKRDKLVKIWMALDFIQLEDVGSDYFDQLVDRSFFHRQKVGRRRYYYIHDLMHDLAENVSRFDCVRIEDAKQEIPKTVRHLSVSSDTMAQLKSRCELKRLHTLLILKGPSSSLDQLPDDLFTELRSLRVLGLEGCNIVRLSERIGNLKYLRYLALCKSITRLPQAVTKLYRLQTFSSPKGSGLEVPQNIVNLKRLRHLDMDTSKITGIGKLVHLQGSIKFHVKNEKGHTLGDLNGMSGLRKELHIKNLDVVKDQEEAYQAGLNKKENVKVLELEWNSTGKSVPSVEAKVLDGLEPHQYVKKLIIRRYHGNRSPNWLSESLRASDLYIKYLHLINCRKWEALPPLGQLPCLKVLHLKEMCSVKKISCDSYGTKLTAFPSLEELEFDDMPQWVEWTQEERNIEVFPKLRKLRLLNCPELIKVPHLPLSVRKVSVKNTGFVSQLKLSSSSSLSKASKFALDTCSATVLTNGLMHQQQVEAVAILTLRNCEDVKFEELQVLTSLKRLQISHSNINDEQLETCLRGLQALTWLEISNCNNITCLPQMESSDCLTKFHELHIQQCPEFSSLHSLPSFVALESILIENCSKVTVESFPTNFNNNSLRKLSIMNCAELESLPSRFPSSLQVLHLIGCKPTLMNRLQVKDGPEWDKIASIPIKQIR >KQL16015 pep chromosome:Setaria_italica_v2.0:III:27073908:27075830:1 gene:SETIT_022673mg transcript:KQL16015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAARRPSAAGGRPCAHAPDDRAARSPRSQPDGTTAHTVPMPRRRVVPARSSSAVLPALLARPCIVLAIPILLGRPISTRPAHTRRTPTLSSPPSKSKKAVMCSSPSIASTRSLGSSFPFSAQFLSTERSKQISTASLQIVKHQGTSFKTVPCFGCTRLRGECCWPEFADPGTGAIPAAAPSDLRRLILDTQPPSATSTHGSRPPPLARLQLVRRYAPTRSCNHDRAALWLWSSLGVLVLLPGAAAQPHATSRNCRGAGAGGVLAQAARRPSPRSGGAKALIVLTPSSRKASPGVTFIFKTMQCFIQVLCIYVFKLNETIDA >KQL13358 pep chromosome:Setaria_italica_v2.0:III:4009161:4012156:1 gene:SETIT_023010mg transcript:KQL13358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRSCCLESVPVVTSGDDSPTSAAHTGLPLPIEKRCASVDKTCEATEFGNGLLETKICTCFSKSISLEVNKGLQKCATFPPSSGKAQQEDHSCCHEDDGHTVAPAYERSVSLPPTLKLISALKGGREKNGMTSPTENRHVKWAPDVYDPPVTSVCHSVNSSYQRRSKSRKEKNKQKKKQKQKGKSKKNHQNSIQSSSAMEVSDHGSKGVSTTGGKSSVDDHEDIIMDYSMGNQEAKCGSSFLRESVAKMHFSTAEAS >KQL15330 pep chromosome:Setaria_italica_v2.0:III:18964893:18965972:1 gene:SETIT_025300mg transcript:KQL15330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPAPAAAGASRKRRRVSMGSTEHYEEVSRLGEGNFGAVVKARHRVTGQTVAIKRLTTAAADAAEDPMREASLHEACGDHPFIVGFHGLARDPATSRICLVTECVDGPSLHDYLHHRRRRGLPPLPEPTVRAVMWQLLTAAKAMHDARVVHRDIKPENILVAGDRRAVKICDFGLAMSMSDAPPYEQAGTLSYKAPEMMLEMPDYDARVDAWSLGCVMAEIINNGRPPFQGGDEDGQLRAIFDVLGVPDDETWPEFCSTPFAAKVSPEREAEHRENRLRELFPEATLSKEEFEVLNDLLTCNPGKRLTADAALKHMWFAKVDALELPRKDEVASALPGKKKPLMAPAACAKRRKLQCV >KQL15313 pep chromosome:Setaria_italica_v2.0:III:18827749:18828263:-1 gene:SETIT_025610mg transcript:KQL15313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNSTESFSIAFRGFIKNLKSSWGKKHVSTREGEMSLAYICWVKCQISEN >KQL13963 pep chromosome:Setaria_italica_v2.0:III:8004085:8006853:1 gene:SETIT_023039mg transcript:KQL13963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVYGRIEVFPQYFAPSKEAMESPDGLSTSKSNLDTPPSSQRRSWTPKRAKGAASLLRLLSIPRLRWSTSNEDDDKIELSRAEVESLRTEIADAEERESHLKARLENIDEVLRYARLSGYLYIRSRWTQLPGEPPILDDADVDDWLPRFVVLQGQCVYYYLKSTDLSPQESTLLHDIVEVGRLPNFVPEDEKIRYAFYLLTRQGLKFQCSSTSEIQVDSWVRALTSDCKLRDGAGEDVMKKTSSQLEDGSW >KQL14077 pep chromosome:Setaria_italica_v2.0:III:8610534:8613197:-1 gene:SETIT_021904mg transcript:KQL14077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTVTTPSRPLAAGCRCAAGPRRSGPAVLALNNGPRRRAPSTSCSALASPEKQRTAKLPPPQRPSRAPAEEGEGTDYNEVAAALESIYKLSPAVVEEKHGEDDEAKKDKKKRKGRVGRSTVIVRSRRRRRGRRMDLGKRVEMKQKEGDAGGKQEEEREFEEMLLREHSVSTDMGSLDWKRMKIPPVLSSAQSARLFKTMQPMKAIFEVQESLREDLQRDPTDAELAEATGMTVQQLRRRLDVGRAARNKVIKHNLRLVLYAINKYYPDMANDERFDDLCQAGANGLITAIDRFEPKRGFRISTYALFWIRHSIVRAMTLSNFTRFPFAMESERQEINKAREELAFELGRAPTDEEVIKRVGISQQRYRDVLRMTRPTYSLHSRNRVTQEELINEVTDDDAIGVDAGKHNTLLRLAIDDLLDSLKPKESLVIRQRFGLDGRGKRTLSEIAGNLSISREMVRKYELKALMKLKHPTRVEYLRRYM >KQL15423 pep chromosome:Setaria_italica_v2.0:III:19971550:19973493:-1 gene:SETIT_022381mg transcript:KQL15423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPHGARFHASSRLAAGLEERGGIESAADLTSQFELRKRNQPPIEEEEMSGGGVPAAQPQELQLPPGFRFHPTDEELVTHYLCRRCAGLPISVPIIAEIDLYKYDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGTPKPLAIKKALVFYAGKAPRGDKTNWIMHEYRLADVDRSARKKNHSLRLDDWVLCRIYNKKGAAEKPSSGSSDGARASGSHGVQAPMAMGSPPEQKPSVLPPPAAGAGYAPPPFSELAAYYEVRPSDSMPRAHGADSSCSGHALAATSSCGGGGGERPEVQSQPKIAEWERTFAGGAGPGVNPAGALLGGHHQLGPAAGGGGDPLLQDILTYWGKPY >KQL14198 pep chromosome:Setaria_italica_v2.0:III:9508147:9508598:1 gene:SETIT_025408mg transcript:KQL14198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSAEATQLPRIDFSGVDPSAPGSGIWPAVRAQVVDALATIGCFDARYPALAPELRAALFDGAVKPLFALPVDAKPCNNYGPEKPFPGYLGESQGLDGQESLAMVDAPKPEAVRAFADLMWPDGGGNPSFW >KQL15511 pep chromosome:Setaria_italica_v2.0:III:20997073:21000477:1 gene:SETIT_021483mg transcript:KQL15511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASKSKSKDRSGAKVAKEQPKVAGKPMGNGTLVGSYNNLSGKFHVLEPSASLLGSQGIDKFRNTDEIDEHSRSSHGTGDFDCASNNGSCSGESEDPKEKATSTASRVDSVPGCDIDKREKIRQKNEKKHQRQKERRAQELHERCKGYLMSRKLEVLAQKLVAMGFSADQATMALIQNEGCVEESVTWLCNFDGSEETKQQLAADQQSGVNLKIDIADELAKIASLEAKYKCTKQEVERAVVSCEGDLEKAEEVLKTHKQESAAVPPKPEGSGDSSGLPNKQQVVLAQNPARPQTNGFSSVGVQQMRREEKDLNYKLLMNGNGPKESAIKGFQPLAAPIKPDIGRQQFVQPEKRRLNASSVPSVPYVASSPLPVTVPQLKSDMRHVAGGNEVKSAMPNGTLRESITVMQRPQSAGTKQSLPSTSHSMFASEPSSREWYLNGASGVDMMLNGGLGHGLRNMSLDNVSSARAFGHTNHQQSFVSNPIEIAANGWGGTWSSGGTSSSRSVASSLGAFRGWNSSESSSTLSHSDWRTNGLAPYDYTSVDWSVDTTLLNPAAKSERLSDTWSTMFMGGRSARAPGNLTGAGIAGLHDSNHPMDPAPSPRPYDWPSFCRGGSS >KQL12930 pep chromosome:Setaria_italica_v2.0:III:1701479:1705011:-1 gene:SETIT_021687mg transcript:KQL12930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSTANPSPEAHEQREQETLASAALALPLLRAAFTRTAADAGALPDALAPPRASFRLPGSPPPPHFHDLLARLGPAIASLFSADGAAAGDAGWVPFLRGFNRCCARVSASRSLALLLRVYAAACDATGAPCGVQFQPDEGGDEDGKVVGELAPEEIAAFLWMCWVMTWSGSAPKASGDGGDKSEEPVVVLLPDVTHLVLSALVSAGAVADDDGIWGWKIPSGGKGVKVQEFTSWMLSTASGLGNCLSRYVQDRFRSLVADPAEESSVSTVNTTFDTSDVYLLTRGRAWAIALSLRNKLSEKFLSASVIGMDTEDLLYRSAIHGKGLSRFWSGVEGYNGPMLILLSAFSKGGVENVDSNRRWVIGVLTEEGFESKDTFYGSSGFLCAAHPIFHMLPPSGKEKNFVYSHLHPQIRVYEAHPKPVGLAFGGTVGNERIFLDEDFSKVVVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGGATRRQQDMYKKRENIFSEQRRKVDLKTFGNWEDSPEKMMMDMMADPNRVRREDR >KQL12932 pep chromosome:Setaria_italica_v2.0:III:1702749:1705011:-1 gene:SETIT_021687mg transcript:KQL12932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSTANPSPEAHEQREQETLASAALALPLLRAAFTRTAADAGALPDALAPPRASFRLPGSPPPPHFHDLLARLGPAIASLFSADGAAAGDAGWVPFLRGFNRCCARVSASRSLALLLRVYAAACDATGAPCGVQFQPDEGGDEDGKVVGELAPEEIAAFLWMCWVMTWSGSAPKASGDGGDKSEEPVVVLLPDVTHLVLSALVSAGAVADDDGIWGWKIPSGGKGVKVQEFTSWMLSTASGLGNCLSRYVQDRFRSLVADPAEESSVSTVNTTFDTSDVYLLTRGRAWAIALSLRNKLSEKFLSASVIGMDTEDLLYRSAIHGKGLSRFWSGVEGYNGPMLILLSAFSKGGVENVDSNRRWVIGVLTEEGFESKDTFYGSSGFLCAAHPIFHMLPPSGMTLAYHAFVTPDSSLLCL >KQL12931 pep chromosome:Setaria_italica_v2.0:III:1701479:1705011:-1 gene:SETIT_021687mg transcript:KQL12931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASSSTANPSPEAHEQREQETLASAALALPLLRAAFTRTAADAGALPDALAPPRASFRLPGSPPPPHFHDLLARLGPAIASLFSADGAAAGDAGWVPFLRGFNRCCARVSASRSLALLLRVYAAACDATGAPCGVQFQPDEGGDEDGKVVGELAPEEIAAFLWMCWVMTWSGSAPKASGDGGDKSEEPVVVLLPDVTHLVLSALVSAGAVADDDGIWGWKIPSGGKGVKVQEFTSWMLSTASGLGNCLSRYVQDRFRSLVADPAEQESSVSTVNTTFDTSDVYLLTRGRAWAIALSLRNKLSEKFLSASVIGMDTEDLLYRSAIHGKGLSRFWSGVEGYNGPMLILLSAFSKGGVENVDSNRRWVIGVLTEEGFESKDTFYGSSGFLCAAHPIFHMLPPSGKEKNFVYSHLHPQIRVYEAHPKPVGLAFGGTVGNERIFLDEDFSKVVVRHHAVDKTYQHGSLIPNQGYLPVEASVLDVEVWGLGGGATRRQQDMYKKRENIFSEQRRKVDLKTFGNWEDSPEKMMMDMMADPNRVRREDR >KQL17305 pep chromosome:Setaria_italica_v2.0:III:49646977:49649849:1 gene:SETIT_023146mg transcript:KQL17305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWARHVRDRRPFQYVVGNEHWRDLVVAVRDGVLIPRPETEAVVDMVRNVEGFADGWWADLGTGSGAIAVAVARELGPEGKVFAVDVSEVAIEVARLNVQRYGMQDKVEIRHGSWFEPLEDVKGKLMGVISNPPYIPTDDLPRLQPEVGWHEPKLALDGGKDGLEHLLHLCEGLSSVLKPGGFFVFETNGNKQSEFLVDLISTKWSSSFHNVEAVLDFAEIKRFVTGYRR >KQL15818 pep chromosome:Setaria_italica_v2.0:III:24269196:24270746:-1 gene:SETIT_024918mg transcript:KQL15818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQINAVLYHMDLPQIFEEEYGGILHPLVFGDYPETMKKIAGSRLPSFSSYNLSLLLTHLTSLD >KQL13693 pep chromosome:Setaria_italica_v2.0:III:6126351:6129197:-1 gene:SETIT_021615mg transcript:KQL13693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCVARPSCKPRRGGGGDGRGGGAGNRGGRLGGANVRSHSTLSSISDAARAAAQPWTPLTVLGEGLAAAASAEELLRRYQLGEELGRGEFGLTRRCTDTTTGEVLACKSISKRKLRSSVDIEDVRREVAIMRSLPEHSSVVRLREAFEDGDAVHLVMEVCEGGELFDRIVSRGHYTERAAAAVIRTIMEVVLHCHKHGVMHRDLKPENFLYANTSENSPLKVIDFGLSVCFKPGDRFSEIVGSPYYMAPEVLKRNYGQEIDIWSAGVILYILLCGVPPFWAETDEGIAQAIIRSNIDFEREPWPKVSDNAKDLVRKMLDPSAYSRLTAQQVLEHPWIQNASAAPNIPLGEAVRSRLKQFTVMNKFKKKALLVVAEYLPAEELEAIKELFHMLDTNKDGHLTIEELRKGLQTIGHNVHDTDVDMLMEAADIDGNGTLDCKEFVTVSIHLKKIRSEDHLPKVFSYFDKNGSGYIEIEELKEALSPRGDQKAIDDIILDVDKDKDGKISYEEFELMMKAGMDWRNTSRQYSRAVYNTLSRKMFKDVSLKLDINNGPLGAVAPKEQQAVD >KQL15223 pep chromosome:Setaria_italica_v2.0:III:17929434:17930045:1 gene:SETIT_023748mg transcript:KQL15223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDNISRSGPTAAQRVYPVNGSGSDCHLAGALLLDRTKRCQGIYAGIYAAGRGDMAMAVDSLRSFLRGPGMQDHSATAGTAAGQARGGQCRWIGLFAAAAS >KQL12889 pep chromosome:Setaria_italica_v2.0:III:1479543:1479920:1 gene:SETIT_023831mg transcript:KQL12889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCSSRQGQHGSNRWGRHGPGGRGSTMIAAQLRSAGGRSPAAPVGGGGEVRRAGAVRSSGRGWAGSGGRWRSGAVWFGGRG >KQL16410 pep chromosome:Setaria_italica_v2.0:III:39470673:39471228:1 gene:SETIT_025472mg transcript:KQL16410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDGTLVPVLTLRTSRDRILMLDWQLLQPVLMLMLEEFD >KQL13591 pep chromosome:Setaria_italica_v2.0:III:5405809:5406297:1 gene:SETIT_025544mg transcript:KQL13591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVNTLERMVPPPLENTNRCWMVSLASLHRRQQAG >KQL12609 pep chromosome:Setaria_italica_v2.0:III:33559:34153:-1 gene:SETIT_024011mg transcript:KQL12609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSASEPLRRSKHAMIHRNSTDYLFKINSLAYLGRGKREMCIANVRQ >KQL14035 pep chromosome:Setaria_italica_v2.0:III:8321914:8323979:-1 gene:SETIT_025764mg transcript:KQL14035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMHSNKISLQYCKAILACHQGNSCLLTFYHMAAGCAGFRDFLRCIDATCSYTRGENR >KQL15088 pep chromosome:Setaria_italica_v2.0:III:16501782:16502186:1 gene:SETIT_024135mg transcript:KQL15088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREKPPHDEPPLLPVAVLAEHGDGVPFRRPVGAFRLGEDAHLREPLRLLRAVDVREAEHLATEGVADESPHVDVQVDASQLALLSAAVRGDDGGGAAYIHEGEEEEAVAARGFEDAAVDYGYVFAAGVAAGRVGE >KQL12838 pep chromosome:Setaria_italica_v2.0:III:1109946:1111904:1 gene:SETIT_024593mg transcript:KQL12838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLLIRGQPVTGPCVSDGWRARVAAFLGREVDEQGTRTSGVLISWLREHFGHCPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWIHCLTDWHQAGIYSWGSAVLCFLYRQLCEACRRSSGSPSVGGCVYLLQLWMWSRIPVGRPEIMPRRPWFPGELPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSPYDGDDPLPFPLSFVCIQDDDIYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSIELHNVDRKKKRKVSDWPAFHHAYIQEWEEYEQNLDENNEPHTNSAYRQYQIWYQGATRHRLREAWTQDDYAEIQSSDDEDTVYDQSTRAGRQVEAGPILDRMDRTLQTSVRDIEHIRPRVRDPEVRSVLERLSNRLRRAAARCGCRTATTRDVHVPSLRVPGVGTSSQGPSGSKSIASEEVDDDDDDDDDDEQRAEEIGPSQLQEAPLTQPTQVVGGTRLRRPRSPYTPGTDVLGHKGKGKTRRQ >KQL14070 pep chromosome:Setaria_italica_v2.0:III:8557741:8561584:1 gene:SETIT_021363mg transcript:KQL14070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADRMRLRAAALSLHDDEGVRDKPDRKADVFADLGSPVSPLRLRPAAGTPSSSSSSAGSAKSPAPGNAAAAAVGRGGARGNHSGELDGSNPPRPPGHRRSGSGPLIFSGGSSSAGSVGGCGGGGSTASSPLTNALPTGNICPSGRVAGAAAAPQPPRARPVVLGSGTGHYGHGSIMRGGGGSAGGATPARSSIDAAPLHRNSSRSPASCPAPPPASSAGLQEITRAGNERYKKGRYGEALQHYDRAVALCPDSAACRGNRAAALIGLGRLAEAFSECEEAVRLDPASGRARGRLAGLSLRLGMVDKARMHFTLAGNVNQSDHAELQKLHEVESHQGRCMDARKIGDWKSTLREADAAIANGADSSQLLLALRSEALLRLHKLEEADSTITSLLKLDNASLPSMPTKLSGMAADSYVLVVQAQVNMAFGRFDSAVALAEKARVIDCGNPEVEVILNNVRLVARARAQGNELFKAGKFAEASIAYGEGLKYEPSNPVLYCNRAACWSKLGRWAKAVEDCNEALRVQPNYTKALLRRAASYAKLERWADCVRDYELLRKDLPGDTEVEESLFRAQVALKTTHGEEVSNMKFGGEVEAVTSLEQLRDAIHSPGVSVLYFMATMNQQCAQITPSVDSLCSECPSVNFLKVNVDESPMVARAENVRVVPTFKIYKDGTRVKEMICPSLQVLRYSVRHYAVSSS >KQL14178 pep chromosome:Setaria_italica_v2.0:III:9373493:9379546:-1 gene:SETIT_022375mg transcript:KQL14178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLRSTQSLEAEVEEMRAALLLHGGAGFGARRSGGAAAKRAARPEEAAGAEARTVCVTGGTSFVGFAVVDRLLRHGYNVRLALETQEDLDKLREMEMFGENGRDRVWTVMANVMDPVSLHEAFDGCVGVFHTSSLVDPGGISGYTKHMARLEAKAAELVVEACVRTESVRKCVFTSSLLACVWRQNYARDRRFPTTVDENCWSDESLCRDNKLWFSLGKTMAEKAAWRAARGTDLKLVTVCPALVTGPGFRRRNPTPSIAYLKGAHAMLAEGLLATANVERVAEAHVRVYEEMNGTAGGRYICYDHVVRRAEEFAELQRQLGLRAPAGSRVPAASEPDGDDRAARFELCNRKLTALMSARRRCTYDAYIPVSYE >KQL13425 pep chromosome:Setaria_italica_v2.0:III:4402390:4403930:-1 gene:SETIT_025220mg transcript:KQL13425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPYLLSIGRAVGNVVEHGNTKSLQFTIWADTHHPSYEHCVLLGERFMSFFHACPSAFRWLTNLILQNITFGETDISKILNACTKLEFLSLTYCDSVIDPATGDDVVLTIDVPHSALVALDITTCGYAGVDLIQAPKLQRLVCANWIGANPPLRFGNVPHLQSITLRHAALHWQRPFPLSHCFSNTTSLSIMYLNFADQMIWIEPEDPKHLSPIFSHLRDVYLYNIFYECDLNWTMFVLEAAPSLSNFYLKLSRHPCERSRCEDSATKVNVPWDQTSPDFKHRWLSLLEIVGFVVDEKLTKYIRLIMELAMGLKRIRLLDQEPCARCNAMNNGQPLSPTRWRFPAEEEEKNAIRQQLVDGFSSPVEISIG >KQL15187 pep chromosome:Setaria_italica_v2.0:III:17687902:17693686:1 gene:SETIT_021008mg transcript:KQL15187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAVFPIAVRLARGERVALAPAVLASLYRDLRDIKSFLVAAGAASTTGNADMLSSLSLYSPLYLLHLWIWERFPALRPGRENLLGDGEPMAARWHDLSRKANPTLIREVLNSRDNFLWQLPYATALKKYSGWVCSSDLTGNDQLRLLAHCLRPCELVGMDCIEQYLPHRVARQFGLDQDVPMDVRRANQDWAVAWQTYELEGKNVSLFIPQSEPGITARYAQWWKQQVQPSDLRAGAPSIPLESKTSKRRVKKTPAAMEAEAEKERRMKKARVSPSDKKRKLEELYDPKFSGWLAAGRSGISDAAGSSYKKGSLPKYDMGSDEALLPNVGATNDDVVLLLPRLQTASPAVVVPKKDDIMNPVIGDGGNSIVDISPEISTNELEGGATAMQKEETLNNPVVRSLDITDKPEGDTAVMKSEEEAMEISVARSLDIKDRPEEGTTLVMELEKEAMETHNIPEDNTMKVPQLGYEKLRDTAPAPIEEDTKEKPCADDKDLAEKDVDESMEVYKVKQAEGEGCDLLMEKYGDNVADALGEGCDLLIEKDGANITDALGVEQAAEGQATSLTKKSIHDHVEEITLVEELDEQSERATRIRAEDIPEEITQAHEKESDNNDMMKDSKNSTDSEMLCSSATVQFKGGMMEKQCIQNVEPNNQRELSSESDAAAMKVEGIYDHRTMDMEELALTQKHDHKIIGENKATAILERSHMLDSGVKSDLITLEVDEIHTAGGMQNQEILDLDKHKMVPKQKQDRIIIWENKETMVLEGSHMLDSRVKSDSVTLETDETHAGGGIRHQEILGLDKDHIIACENKETTELRGIHMLDSRMKSDLATLEVDKTPPAEGNENQDILDVNKQQGTSGTQDLGTAIGNNKMNMSEDEDIPVCSGYQIGPTIESNKMNMSEDAGIPDCGEYQIDPTVADESRSQEAAVQEKQDHEMAGEDNRDVADVNALECRVKPDGVVKLSHETLLTTQSVSSEDKENAPSFEEHNITEVAGFESNQTTGMEPEGALPLEPKNMVEVKQENLESETERSIFRENDEVTCKDQTSACVVISPSNVDDQCDDDNGWAEEPTKSNDKLASDSINTSCRDPVKFGKSSNEEVKKAQNIRSMYLKDIKESLGRIRAEPLNRVQATNFCYPSRHAVQESHSACKEIKVPLRDSGRDFGRDRALELVATSPAEESSRWRQEQYALQILEDVQNARIAEKTRMEMEIRILKAQIASMERQVMNLDHFSEVKSRSKRH >KQL16809 pep chromosome:Setaria_italica_v2.0:III:45381289:45386557:1 gene:SETIT_021571mg transcript:KQL16809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSDIWKAHAGSSQSEGSALDMERNGCNHNCCPSPLQPIASAGQHSESSAAYFSWPTSTLMHGSAEGRANYFGNLQKGVLPGHLSRLPRGQQATTLLDLMIIRAFHSKILRRFSLGTAIGFRIRKGTLTDTPAILVFVARKVHRKWLSNTQCLPAALEGPGGVWCDVDVVEFSYYGAPAPTPKEQLYDELVDGLRGSDPIVGSGSQVASLETYGTLGAIVKSRTGNKQVGFLTNRHVAVDLDYPNQKMFHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFADDFDINSVSTSVKGVGVIGDVKAIDLQSPIGSLIGRQVVKVGRSSGLTTGTVVAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLIILTGQDGEKPQPIGIIWGGTANRGRLKLKSGQGPENWTSGVDLGRLLDLLELDLITTSEGLQAALEEQRITLAAAAAAANSTAAESSPVAGPQENDKVDKIYEPLGIFQPIPRDGSATSTDQANENVEEHQFIPNGPNLSGISPTRDGQEGNGELNNLPDLENPADDTNICIGLHLGEREPKRLRSDSTLNIDLQK >KQL16252 pep chromosome:Setaria_italica_v2.0:III:35161421:35163812:-1 gene:SETIT_023333mg transcript:KQL16252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILEQVDEEGAGTGLVAPPANFGMVDAGVYRSGFPDAASFGFIRGLGLRSVVYLCPEPYPEPNAAFLKAEGIRLFQFGIEGTKDPYVSIPVDAIVGALRVLLDVRNHPVLIHCKRGKHRTGCLVGSFRKLQNWCLSSVFEEYHRYAAGKSRLSDLRFIESFDVTCMRDCMLRLIYRYHDCLQKSKRLQYDVR >KQL13862 pep chromosome:Setaria_italica_v2.0:III:7302119:7305588:-1 gene:SETIT_021993mg transcript:KQL13862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAERVVAELREACAAPAARLNEVAAAMEAEMRAGLREEGGSKIKMIISYVDNLPTGNEEGFFYSLDLGGTNFRVLRVQLAGKEKRVAKRESKEVSIPPHLMSGNASELFGFIASALAKVIVDEGRNDVFEDKHRELGFTFSFPVRQTSIASGTLIKWTKAFSIDDAVGEDVVAELRTAMEKHGVDMRVAALINDTVGTLAAGRYNDEDVVIGVILGTGSNAAYVEEASAIPKFEGELPKSGNMVINTEWGNFYSSCLPITEYDQALDEESLNPGEQIFEKLISGMYLGEIVRRVLLKIASQSTLFGKVNHTKLKTRFILRTPDISAMHHDETPDLRIVAEKLADNFKIKDTSLETRKMVVEICDVVTSRSARLAAAGIVGILRKIGRAVPGDERRSVVAIDGGLFEHYTEFRQCLESTLVELLGEEASRSVAVKLTKDGSGLGAALIAAAHSQYQH >KQL14913 pep chromosome:Setaria_italica_v2.0:III:14836398:14838336:-1 gene:SETIT_025463mg transcript:KQL14913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPGVFCKDLQRGIVAESRTRSRRMDARGLAV >KQL15052 pep chromosome:Setaria_italica_v2.0:III:16100279:16102993:1 gene:SETIT_021634mg transcript:KQL15052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTPGSFRTLANSGPVALSFATSSFSNFLGGPASSGGADSGLSKFKAMPPPSLPLSHPPASPSAFLNAFSGFLDSPILLTPSLFPSPTTGAIPSEPFNWMGTAENLQASVKDEQRQYTDFTFQTAAPVPETVTAALPAASFPQSSSMLMAPLGGLGDSYNGELQQQQPWSYQEPTTQFEAPSAATTQPDMLGNGGYSAVPAPASFREQSNRPSSDDGYNWRKYGQKNMKGSENPRSYYKCSFPGCPTKKKVERSPDGQVTEIVYKGAHNHPKPQSTRRSSSSAPAPAASSYVLQSASDAAAEHSFGALSGTPVATPENSSGSFGDDEINGVSSRFAGNFGAEELDDDEPDSKKWRRDGGDGEGVPVAGNRTVREPRVVVQTMSDVDVLDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRRHVERACHDTRAVVTTYEGKHNHDVPPARGTASLYRAALAAQQSAAGYQQQGGAAVPADGRFGFGAGSSHGAFSGAPAQAAESSGGFALSGFGNQVGTAYSYASQQQQQQQSDAMYTYAPLAKDEPRDDLSFFEQPLLF >KQL13589 pep chromosome:Setaria_italica_v2.0:III:5386616:5387520:-1 gene:SETIT_023493mg transcript:KQL13589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLHRLISIVLRLAAAGAAAAAAIIMVISHETATFFGIEMEAKYSYTPSFVFFVVAFAVASAYSLLVLLVRPGSTVSRLVLLTDVIVGMLLTGAVAATGAISDVGKNGNAHAGWLPICAQVQAYCGHVAGALISGFVSLVVYFLIIMYSLHAVAEPMCSCH >KQL15004 pep chromosome:Setaria_italica_v2.0:III:15509725:15515361:1 gene:SETIT_021457mg transcript:KQL15004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHLRLIAIFSAALFFTHLPCAKGADLNSDKQALLAFAASLPHGRKLNWTSTTQVCTSWVGITCTPNGKRVREVRLPAIGLFGPIPGGTLGKLDALEVLSLRSNRLTINLPPDVASIPSLHSLYLQHNNLSGIIPSTLSSSLTFLDLSYNSFNGEIPLKVQDITELTALLLQNNSLSGPIPDLHLPKLRHLDLSNNNLSGPIPPSLQKFPASSFLGNTFLCGFPLEPCPGTPPSPISPSPQNGRRSIWKKLSRGVIIAIAAGVGAIVLLLIIILLVCIFKRKKDAEPGAASSSSKGKAIAGGRAEKSKAEYSSGIQEAERNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVAGKREFEQQMELIGKVCQHQNTVPLRAYYYSKDEKLLVYDYVPLGSLSAALHGNKAVGRNPLDWETRVKIALGTARGMAYLHGEVGGKFIHGNIKSSNILISQELSACVTEFGLAQLMAPPHVHPRLIGYRSPEILETKKPTQKSDVYSFGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTSEVFDVDLLRHPNVEDEMVQMLQVAMACVAVVPDERPRMEEVVRRIEEIRNSYSETKTSPEDKPREGSF >KQL12602 pep chromosome:Setaria_italica_v2.0:III:9090:10451:-1 gene:SETIT_024405mg transcript:KQL12602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGTLKSRRGGIEEEASGSGGGGEYSFPLAPARREYCWSSGLLKAVVALVILMGGVIIGLAASSANYYSSTSTSTSVWGSAALSSSSMPAEAAAAAAAASVAASNNDDGDDDNNGAAATGRGSSHHQKKKLKMSPRAQGLLLDFRGFVDPGPPWGHSMSDPELFWRASMVPRAEEYPFQRVPKVAFLFLTRGPLPFAPLWERFFRGHEGLFSVYVHALPGYAGRYRRSSPFHGRQIPSREVSWGSITLVDAEKRLLANALLDWSNQRFVLVSESCVPVFNFRTVYEYLVNSAHSYVESYNIDVPQCAGRYNPAMAPEVMEEQWRKGSEWFELSRELAVDVVADQRYYALFRRHCTPSCYPDEHYIPTYLHLRHGARNANRTVTWVDWAATTAGFVAAIRNNGTRCLYNGKPTTVCYLFARKFAPSALGTLLNLTTTILDF >KQL14190 pep chromosome:Setaria_italica_v2.0:III:9456618:9457523:1 gene:SETIT_024305mg transcript:KQL14190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTITARNPHDSLSFSRRHFKWPVLGKSKSHGATFGDEEYMKSSEAEEEDEATMAFSSACPSFHSEDFVSQPLKAAAGTAPAQQPQQPPPPRRRKVRTAVSRLRSALANAVAGRHRQVGLGARLTGTLYGHRRGHVHLAFQVDPRACPALLLELAAPTAALVREMASGLVRIALECERAKGSALPTPTAGPNGGNGKKLLEETVWRAYCNGKSCGYAVRRECSAADWRVLRALEPVSMGAGVIPAASCGGSEGDVMYMRARFERVVGSRDSEAFYMMNPDNSSGGGGGHGGPELSVYLLRV >KQL13986 pep chromosome:Setaria_italica_v2.0:III:8087278:8087519:1 gene:SETIT_025696mg transcript:KQL13986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAVQMMEGSAEVRRPPPPASFSQSLVRSASS >KQL15940 pep chromosome:Setaria_italica_v2.0:III:25795626:25797849:-1 gene:SETIT_024942mg transcript:KQL15940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEIFRVIANYLRVENSLRDTRGVRVEEQLGMFMFMLSHNASTDRLKKEFQHSGETIHRKITEFFDIITTLTHRFLNLPNVNHTHVKIVSDPCFMPFFQNCIGAIDGTHLRNHIERAFGVLKKRFPILKVGTFHPIENQIKIPATAVVFHNLIRGLNGDEGWLDHQPNNINPSDYVELPDGKKELKENYKIIKEARKSGVGWNDTLGMIIAEPKSWEKLIKDNHKVAKFRKKLFPLYNNLELLYEGIVATGDLNFTSIEPPPQRTELQVEPAPQSSELRAEPTPQTSISDQSNHSMASIDRNPLSFGLGGVESTEVQFALASRNSEDQDVTGGKKRKQSQMAAKLGDYIDFRKDQIEKTLEKLEET >KQL13921 pep chromosome:Setaria_italica_v2.0:III:7728344:7728886:-1 gene:SETIT_025043mg transcript:KQL13921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMFVVLAVLALAAAASSAQQVSWDWLQSGMYGAGGVYHCAELLRQPQCSPAAAPYYLRREQTMWQPSAVCQPLRQRCCQQLSLMDPMSRCQAMCGVAQSVAQQLQGGAGTGAGAGALYDPPPPPALMRQWQQLLPAAQAPMAVAQAAQSLPAMCGLYPLPGYCTTPCALSAAVNPPYYY >KQL16729 pep chromosome:Setaria_italica_v2.0:III:44488797:44491935:1 gene:SETIT_023181mg transcript:KQL16729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLQKQLRRTCHRANPRRACTHQESPVTRGHLVSQFVAGASVLPAASPHLTSPESTPTSHHLSIPPAACRRRPDPRASMAHSLATATAAAASFSSAAAPRQVTNVISSRNSVSFRSYRMTSVSIRSRPSSLRFKICCSAKKETVDKVCSIVKEQLALPDGTAITGESKFAELGADSLDTVEIVMGLEEAFNITVDETSAQDIATVQDAADLIEKLVLEKAS >KQL17451 pep chromosome:Setaria_italica_v2.0:III:50517078:50517491:1 gene:SETIT_023951mg transcript:KQL17451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNWAAQAGPNACVILGYIHASCMTNSCLRVIVIHSHHHARALPPRLFVCRRLLSSEGSS >KQL16209 pep chromosome:Setaria_italica_v2.0:III:34365379:34367608:-1 gene:SETIT_021901mg transcript:KQL16209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAVRLLLLPPLMAVAFCCYGVSGRSHFHKKPPRGSGGRRSGGGGKEGSVVSSPVVPPADDDTQPVTPQPPTGIVPSDPATPDEPCVFDVRAYGAVGDGTTDDTQAFREAWKAACASDFAVLLVPSDGTFTITTTTFSGPCKPGLVFQVDGVLMPPDGPDCWPASDNRRQWVVFSNLDGMTLRGSGTIEGNGEDWWNLPCKPHRGPNGSTLRGPCDSPTLVRFFMSRNLVVEGLRVENSPEFHFRFDGCSDVRVDGLYISSPANSPNTDGIHVENTERVAIYNSRISNGDDCISIGTGSYDVDIQNVTCGPGHGISIGSLGVHNSQACVANVTVRNAVIRNSDNGLRIKTWQGGMGAVSGITFDTVVMENVRNCIIVDQYYCLDKRCMNQSTAVHVTDVSYTNVRGSYDVRAAPIHFACSDTVPCTNITMSEVELLPASGELVDDPFCWSAYGTQQTPTIPPITCLQEGLPDALLDNPDLKCR >KQL14505 pep chromosome:Setaria_italica_v2.0:III:11629442:11632004:-1 gene:SETIT_024503mg transcript:KQL14505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDGRVKFHSTDMMLMLIGMVSAVASGVSQAIMMIIFGQVITAFGDATRETILHRVNKVALDYVYLGIGAGIVSFLQVSCWTVTGERQATRIRSLYLKSVLRQDTAFFDLEMTAGQVISCASADTILIQGAIGDKVGKFAQLVTTFIGGLTVAFVKGWLLTLVMLSTVPLFIAAGAIVSRMHSKISGEGQKSYSDAGDVVEQTVGSIRTVISFNGEKEAVSRYNKQIKKAYKATVWEGAGQGFGAGSLSFIYFSTFGLVIWYGTKLIQSRGYIGGHIISILFAIMVGGRALGDATPCLSAFTDGRAAAHRLFKTIKRKPEIDSDDATGMVLEDIKGDVDLKDVYFSYPSRPGQLILNGLSLQVSSGKTMALVGEKGSGKSTVISLVERFYDPQAGEVCIDGINIKCFKLDWIRQKIGRVSQEPLLFTTSIKENISYGKEDATLEEVKRAAELANAATFINNLPNVLK >KQL17193 pep chromosome:Setaria_italica_v2.0:III:48827112:48827921:1 gene:SETIT_025103mg transcript:KQL17193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIPTAQCLYDDDEEMLAALRELVEDDDDQGLAEDFRALRSVAVPLENASALSPEFWDWVDSVELIALRAAEILAREAADIQRALSLLSRRPGPEDEAFVAALRRQAVSTAARRADTEGFAATTRRIREKELRRMAAVEHLVHPTTAGFLGYIAGETDASLARGEAPDADELALAPQVEDAAVWMEESMAALAGRLRCSVAEFAARPRGPARRTSSPRWRGRPPPPTRRAPQSRRSPRPCAGSSLPPAAQPDHAWRITIYVVIQFLHH >KQL14369 pep chromosome:Setaria_italica_v2.0:III:10822766:10824634:1 gene:SETIT_024932mg transcript:KQL14369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSLPRAAAFAVALLLLASSGEAFFDIFNIFRPRSESDDFFQNAFDGSQEQAVPTQTEHEEQGAAPATATGLTRVPPSGPPSKAAQDTVELAADTGGGPVGEWTIVSENSGVSAMHMVLMRHGRAVMFDTSTTGRSLMRLPQDNCRIDPRAKEEGTMDCWAHAVEFDYHTGGLRPLKILTDTWCSSGAFDADGNLVQTGGYFEGEKVVRVLSPCDTCDWLEHPNSFAEGRWYATTLVLPDGRFIVFGGRRAFSSELVPMPGRTNDRATYMPFLRETTDDVENNLYPFANLLPSGELFLFANNRSVIFDHRAGRIVRELPQLGGGSRNYPASAMSALLPLDLRNATGGADPEPVVIICGGTFKKAFRFGENNTFLPALRDCARINLAALDAQWETEDMPVGRVMGDMLILPTGDLLLLNGAAKGCAGWGFGRQPVLTPVLYSPRKEKGSRFRALASSTIARMYHSTSAVLPDATVLVAGGNTNTAYNFSDVDFPTEVRVERFCPPYLSKDHAATRPVIDAASVPAGGMRYGSPFTFRFSMPSEPVGEADVKVTMYAPPFTTHGYSMNQRLLILSVTAFREEGRSYTVTVDAPGKPELAPRGYYLVFVVAKGVPSVAAWVKIL >KQL15037 pep chromosome:Setaria_italica_v2.0:III:15984426:15987343:-1 gene:SETIT_025288mg transcript:KQL15037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVAYFLHVISPLVLSVATLIIAVLCNLLRHLNASKWPVINKESILRLLGIRLGDIPTTVILDSAVAVDALVRRADAFSDRPAGGGATTIISNGRLQIITTVPYGPHWVALRRNLTSEAFHPVRGLARAAPHRARALAALVAGIAARSAGGGGAVPVRECLYAALFALNAATCFGDGVDGALVEAMRAAQQEFLRILPSFRVFATFEKVARLLYRDRWKQLVHSRRRQEELYLPLIRGRQEWRCTGGTTTAAAASYVDTLLNLEVPDEGNPHGRRKLSDGEMVGLVSEYLGASTGTVLAVLEWTLANLVLRPDIQSRLHGEVEAAGGEACAYLRAVVMESLRRHPPVPSVQRHMSRDVVVGSTTVARGTLVNFSLEEIGRDSKIWTSPEEFIPDRFMPGGEGEGVRLTIGTKEAAKVMMMPFGAGRRICPGMGYATLHMEYFLANLVTAFEWHPVEGEAVDLKADHGFFTTMCNPLHARVVPRVKLA >KQL14183 pep chromosome:Setaria_italica_v2.0:III:9392802:9399608:1 gene:SETIT_024753mg transcript:KQL14183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKATGQAQPRKERPPPKESMVLVPPLFDYPPIAARTRMSVPAYEVMFGKLSLQNLFEDYFDQSGNMTSRIMLKADPHADLIATVSAAADKKCGNVKGDALFHWQKESDDPHTFVNLLVSTSNPMWQLRSSAYYPEYGIGAFGTLQLMGNRVRSEESGVVGLRYGSESISLGASFVPFPLSGVVPYGAWLVGRKGNLSAGVQYKPLSGNKNTMPFTDPENWNCAISYSVGSTSPLSPLSTFTLELARSKQLTTSFYQHMVVQRGVKNPSEDKEVVEITNYIDFGLEFTGRIDKDKPENDNSLFQLAASWQVNKNFLLKGKLGPSKSSVVLALKSWWRPSFTFSITAVNDHSKGTTSFGFGIRTEDLRQPSYQRADPNYIMLTPNMEHSSQGAGERPVFQARSGSYDHLPTDLRPIDRIM >KQL13175 pep chromosome:Setaria_italica_v2.0:III:2956776:2957331:-1 gene:SETIT_025124mg transcript:KQL13175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HEASSPMEQPTDMEKKDASALQRSLSAVTYCCGACGYDLRLRSSDRNTAGIVGGGYGRAARRGVVPFDAIDDARFGHADEFRCVDVRARRLFVRRTRLLCRKCGASLGFAYDDRAGDARSPRYDIKIRALQPLASAADDDGGSADMATSPPGP >KQL13417 pep chromosome:Setaria_italica_v2.0:III:4358049:4360307:1 gene:SETIT_022395mg transcript:KQL13417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKKPYVIAVVIQLIYAGMFVVSKAAFDMGMNTFVFIFYRMVAASLLLLPIAIVLERKNVRSLSLVLLLKLFFYALIGNTFSLNLYNVSMKFTSATVASASSNSMPVVTICLALLLRMEVVKLRSLSGTAKVAGVALCLAGVFILAFYTGPALSPVNPHRAFAVAHATNSKIPSRMTWIKGTFFMVLANVTWALWIVLQSALLKEYPNKMLVTVTQCVFSTVQSFVVAVVAEKDFSKWNLRLDISFIAIVYTGFVVTGVSYYLQAWCMEMKGPVFLAMWNPLCFVFTIFCSSFFLGEIVHLGSIVGGALLVGGLYSVLWAKSRETRITLMSSMAKMTDEMQDEQGHKKSQEKDDGNKEQEKSTSALGVEQV >KQL14838 pep chromosome:Setaria_italica_v2.0:III:14240057:14242234:-1 gene:SETIT_022242mg transcript:KQL14838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECPSSTSSQGHHVNGKQSTDPPGPAILKNGPRHRPLTPIRRCRGVLCLVIMLLTAFMMMVYLSPITTFLVRLFSVHYSRKSTCFLFGMWLAMWPFLFEKVNKTRFIFSGESVPPKERVLLFANHRTEVDWMYLWDFALRKGRLQCIKYMLKKSLMKLPVFNWSFHLIEFIPVDRKWEIDEPIIRRRLSEFKNPRDPLWLAVFPEGTDYTEKKCIKSQEYAVEHGLPVLKNVLLPKTKGFNCCLQELRSSIDAVYDITIAYKHRLPTFLDNVYGIDPSEVHIHINSIQVSDIPTSEDEVAGWLVERFRLKDELLSKFSALGHFPNEGTEGDLSTLKCLVNFTAVVSVTGILTYLTLFSSVWFKVFVAFSCAFLTIVTCYSIHLPQLIGSPGLSSRAKYA >KQL16563 pep chromosome:Setaria_italica_v2.0:III:42433197:42436041:1 gene:SETIT_024604mg transcript:KQL16563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQVLLPLVITALVVSSAHPPAAAAATCQRRCGDVDIPYPFGIGCGCYLDTGEDGDRAFEVTCSGNGTATVDGFEVLGIDAHRGKLRIRSPVGSWCYDAASRSMANPVTWSYDSSAFRISGADNRLTVLGCNAFAYMDSRDGAVENRYVLGCRAVCSGRAPSSSLGKANGSCDGTSGCCQAPIPPGIRSFEVGFFDDYKNDTSAVAGFSPCSYVVLAEAAAFEFRSAYVTTRDLEDPAGRQAPPVVLDWAVGNRTCKKAQRNTTAYACVSANSECLDSNNGPGYLCSCSKGYQGNPYLVDGCQDINECKDKAVKYPCSSHSICINTPGSFKCSELRWQVAVGVSIGVVVVAIALSCAYATKEKKRLAAVKKRHFKQHGGLLLFEEMKSKQGRLSFSLFTKEEMEEATNMFDERHVLGKGGNGTVYKGTLRDGRVVAIKRCNKLVYDERQQREFGKEMLILSQVNHRNIVKLYGCCLEVEVPMLVYQFIPNGTLYQLIHGDATPSFIVRLKIAHEAAEALAYLHSMASPPIIHGDVKSPNILLDENYTTKVSDFGASALAPTDEAHLVTLVQGTCGYLDPEYLQTCRLTDKSDVYSFGVVLLELLTRRKALALTTPEEERSLVAHFLAAMRDGRLNELLDTRIKGEVVGEVPKMVAMLSKRCLEMSSEKRPSMCEVAEELDRIRKLCHHACL >KQL17198 pep chromosome:Setaria_italica_v2.0:III:48869985:48873900:1 gene:SETIT_021473mg transcript:KQL17198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLIMVPVLSCYASGVGSTIGAGVYVLVGTVAREHSGPALTLSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGSDSLPWILARHEIPWLDVVVDPCAAFLVFLVTGLLCVGIKESSFVQGVVTVLNCFVMLFVIIAGSYIGFQTGWVGYKVAGGFFPYGANGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSICCSLYMLVSVVIVGLVPYFAMDPDTPISSAFERHGMHWAMYLVTTGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFSDVHKTTQVPVKSTIVTGICAASLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSLHSSFRLSQGNDEEKLRDTLGDEDHEQEASEISDVVVVESVKDPLIEKQLYASKLDETKRRKIAACSIAAVCIGVLVLTTSASATFLPFLVRCFVCAFGGLLLLTGLGVLCWIDQDDGRHSFGHSGGFICPFVPLLPVMCILINTYLLINLGGGTWMRVGVWLVMGVFVYIFYGRSHSSLTDVVYVPVVQANEIYGSSSSSGFVA >KQL17197 pep chromosome:Setaria_italica_v2.0:III:48868817:48873900:1 gene:SETIT_021473mg transcript:KQL17197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVRALMRRKQVDSERARPGGSSHQLRKELSVIQLVTIGVGSTIGAGVYVLVGTVAREHSGPALTLSFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGSDSLPWILARHEIPWLDVVVDPCAAFLVFLVTGLLCVGIKESSFVQGVVTVLNCFVMLFVIIAGSYIGFQTGWVGYKVAGGFFPYGANGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGTALSICCSLYMLVSVVIVGLVPYFAMDPDTPISSAFERHGMHWAMYLVTTGAVLALCSTLMGSILPQPRILMAMARDGLLPSFFSDVHKTTQVPVKSTIVTGICAASLAFFMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPSSLHSSFRLSQGNDEEKLRDTLGDEDHEQEASEISDVVVVESVKDPLIEKQLYASKLDETKRRKIAACSIAAVCIGVLVLTTSASATFLPFLVRCFVCAFGGLLLLTGLGVLCWIDQDDGRHSFGHSGGFICPFVPLLPVMCILINTYLLINLGGGTWMRVGVWLVMGVFVYIFYGRSHSSLTDVVYVPVVQANEIYGSSSSSGFVA >KQL12721 pep chromosome:Setaria_italica_v2.0:III:585835:589668:1 gene:SETIT_023281mg transcript:KQL12721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSSPVVNVYPLANYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLCSKLAVNSPSFPPNWQVGECVAVWWRPNFETVMYPYCPPHITKPKECKKLFIVHLSEREYFAVPRNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMVSS >KQL14954 pep chromosome:Setaria_italica_v2.0:III:15053338:15057511:-1 gene:SETIT_022910mg transcript:KQL14954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLQGQRLETTVALAVAVVAVAAGAAYLFLRSRKPMGCLDPETFREFKLVEKRQLSHNVAKFKFALPTPTSVLGLPIGQHISCRGQDAAGEEVIKPYTPTTLDSDLGHFELVIKMYPQGRMSHHFREMKVGDYLSVKGPKGRFKYQPGQVRAFGMIAGGSGITPMFQVTRAILENPEDNTKVHLIYANVTYDDILLKEELDSMAKNYPDRFKIYYVLNQPPEIWDGGVGFVSKEMIQAHCPAPAADIQVLRCGPPPMNKAMAAHLDDLGYTKEMQFQF >KQL12683 pep chromosome:Setaria_italica_v2.0:III:384413:385751:1 gene:SETIT_022170mg transcript:KQL12683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETQPKVEVPCYFLCPISLQMMRDPVTLPSGITYDRDGIERWLLTAGTCPLTKQPVPADCEPTPNHTLRRLIQSWSALHAAAGVERVPTPKPPIDRARVAELVSRIAATSRTTAPQELLAALRELRDVVAESERNRKLVAAVPAAVDVLATVFVASAATKAESVAVCDEALEIISSLQLSEQCLARVIFETNEALVDALVSVLQRSNTASRAHAALILQNVTAAMSPSRLVSLPEQVFGEVVQLIRDEVSKAATKAALHVLVGTTSWGRNRVKAVDAGAVPVLVDILLDAPQRRACELALGALDRLCGCAEGRAALLAHGAGVATVGWKAFRVSDVATDKAVRVLRSVARHAATPAVVQEMAHTGVVGTLCAVALSEYCAERTRERARETLRRHARAWRTSPCLHHHLQAMYPC >KQL17380 pep chromosome:Setaria_italica_v2.0:III:50127988:50129174:-1 gene:SETIT_025395mg transcript:KQL17380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDEDSSDDRHDEQACDQKHRWATTGNRRRTQVGDDDEDTRHIGITLVTVVATR >KQL12844 pep chromosome:Setaria_italica_v2.0:III:1181616:1184733:1 gene:SETIT_022309mg transcript:KQL12844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKVLLLCGDYMEDYEVMVPFQALQAYGVSVDGVCPGKKAGDVCRTAVHQGIGHQTYSETRGHNFALNASFDEIGANGYDGLVIPGGRAPEYLAMDDKVLDLVRKFSDAKKPIASVCHGQLILAAAGVVQNRTCTAYPAVKPVLVAAGAKWDEPDTMAKCSVDGNLITAATYDSHPEFISLFVKALGGSVAGSDKKILFLCGDYMEDYEVMVPFQSLQALGCHVDAVCPDKGAGEKCPTAIHDFEGDQTYSEKPGHDFTLTASFESMDASSYDALVIPGGRAPEYLALNDKVISLVKGFSDKGKPIASICHGQQILSAAGVLKGKKCTAYPAVKLNVVLGGGTWLEPDPIDRCFTDGNLVTGAAWPGHPEFVAQLMALLGIKVSF >KQL13746 pep chromosome:Setaria_italica_v2.0:III:6447471:6451263:-1 gene:SETIT_025301mg transcript:KQL13746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCSFRPATAPSPFPSAPSSSSSSPRAPCPNLRFPRPRNGRQVGVRRRASGFDAFPPLPGKVFVDEAIGAEYGEGFETFRMDGPLKIDVDYLNEKLQECFLQRIRHAMKPDEAFGLIFSWDNVIADTDSLKLDAWRQLALEEEGRISPSAAHVRKSILHGAADHVLRKVLYWAREEDKMEKLKARLIELYYENLFKLDTPVEGLREWLDAVQTAGIPCAVASSLDRRCMIEALDRMALSKYFKAIVTDEDDMESIANRFLSASMKLDRKPSKCVVFEDDPRGVTAAHNCTMMAVALIGAHPAYELVQADLAIAKYSELSVINLRRLFANKGISFMDLQKQIIEKAPPKRRLTVDTIF >KQL15522 pep chromosome:Setaria_italica_v2.0:III:21125693:21126809:1 gene:SETIT_023781mg transcript:KQL15522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRETQIAKVPGTIELKVLHGNCGWMFVLLHLHLVLSYKLLTNQCLAVNLWSFTVLKQSALCFGTLQYNGARLPGNSTFKLRSMACKALVNQKEL >KQL13256 pep chromosome:Setaria_italica_v2.0:III:3441893:3446695:1 gene:SETIT_022109mg transcript:KQL13256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGFPVTRTSRSLVAPSSATPQETLRLSVIDRVAGLRHLVRSLHVFDGRSGGGAGGDEALLATPARTLREALGKALVDYYPFAGRFVTEEDGEVRVACTAEGAWFVEASAACSLEEVKHLDHPMLIPKEELLPEPAPDVNPLDMPLMMQVTEFTCGGFVVGLISVHTIADGLGAGQFINAVADYARGLPKPRVAPVWARDIIPAPSKIVSRPPRFELFDLRYFTVDLGPDHIAKVKSSFFEATGQRCSAFDVCVAKTWQSRVRALRLDADDPSRPVHVCFFANTRHLLPPAPGFYGNCFYTVKATRPCGEIAAAGVVEVVRAIRDAKARLAADFARWAGGGFERDPYELTFTYDSLFVSDWTRLGFLEADYGWGTPAHVLPFSYHPFMAVAVIGAPPAPKPGARVMTMCVTEKHLPEFREQMNAFAAGN >KQL15726 pep chromosome:Setaria_italica_v2.0:III:23075480:23076839:1 gene:SETIT_025140mg transcript:KQL15726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNLEYGAARGRWLGEHRSKGGGPALRRRRAAPPTASCGRRAYARQCRGLLRRIDWLQGLWLAHADMLRLHSSLWRRRALLHTNYRKPCSTRPEPYTRPAADQKPARRTMAAAVSCSDSGRTHNHLMMTTAAAARPWCARRPPRPPPARRSVAPWEKDFCESRGVPWRKVTDPDVGLNADAEGGVARWDDSGAVEALLAAKKRYWAEINGGLKVVAPPPPGPGMYCDEIVEGGVDPELDAEYEAALRAMEDAWEENVRLQEAKLRDDFVPVPTGWDVDC >KQL15289 pep chromosome:Setaria_italica_v2.0:III:18696008:18700665:-1 gene:SETIT_021200mg transcript:KQL15289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELMKKSNGTYFPEEVLLKWFAQLVLAVDYLHSNYVLHRDLKCSNIFLTKDQDIRLGDFGLAKTLKEDDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSMGPLPACYSVSMKTLIKSMLRKSPEHRPTASEILKNPYLQPYVNQYRPLYDASNPMRVPEKPLPTSWSSQRSMSDSQSSSVSSSDIDSTQSSDRSTSGGTSSDRKTIDTGSIRVVDQAMSDEKCSTPEDLRGNKDNSSVQFKRQDSSKSIHVDHHPRTEIKQPKIIEKIMTTLREESRLREINSPVRGGVKPSSGLGNNNQVDQPLPVSRTNGDMPYSLKSGNILSHDEHVNQVEASPPLKQLSPIAEHNPKIKTAGPSTPEPAKLITENGAVASGKIKSKTPPATRRPSPQRQAGVGAPSLPVTVTRRAYTKVTIEREKTPERPSCCSDNALSNPSCNVMISMNPSEGQHMKLDGLQAKSTNLWEFFSVSTKEHSSACSNSTVGCTENMDHSELSDPNSPVSLISPCTGSAPNTVNEEDDLITTEISTDKIIVTNNGGSILRSALEPSFLSSEQEFVSKDDAQSSQHEKSTTFQSGEDKFTVQELLSSAPEAPPILSALEVAPSISLAPEVAPIPATKSTLLESPISLQSWKKHVVSHLNPPADDVAQTTRQSTFRVNDEQQPTQESVQREAQSTDIIKLLNVVPEDADARSSSSNTLPPARTSSVTATSHVSEANAAPTVQVTSALVKLSAATSEISNGMKEEAPPTKEVLDVTSFRQRAEALEGLLELSADLLENQRLEELAIVLKPFGKNKVSPRETAIWLARSFKGMMSDDAGRPSL >KQL15315 pep chromosome:Setaria_italica_v2.0:III:18856707:18857218:1 gene:SETIT_025616mg transcript:KQL15315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAGGGGEGHAYRDSSEGERRRKKKKRAAEVIPQDWFMDMHVN >KQL16380 pep chromosome:Setaria_italica_v2.0:III:38698387:38700508:1 gene:SETIT_024906mg transcript:KQL16380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQRSFLILLTVVSSLAGAGVVVVIGQNYTPHEPYCSTTGKYTTGSQYQVNLFKLMSELPSSAIANRGFQHGTAGVAPDSVFGLAMCYADLNWTACGNCLRAGAASVQQTCPFGREMKSFYDEACFLRYSDTPFASVADIDIAFYERSLDSFVADIKSFNATRWELMTRLTAEASVSSLLLANGSQGYRDSQGVDQVLYGFAQCTRDLNASECYRCLANFLADISVSLPNNTYGSAMGYSCYLGCSVGEEISLTIPPPAVAEPPPPSSTSSHSSGPAASLVVGVSVGCAVFVICVGISIWFLLRRRRQKDIAQELDVFDEEDALEDDFEKGTGPRRFRYRDLAIATRFFSDEEKLGEGGFGSVYHGYLKDMDLHVAIKRVSKTSKQGRKEYISEVRIISRLRHRNLVQLIGWCHGGGELLLVYEFMPNGSLDAKIHNTNKVLPWAHRHEIMLGIGSALLYLHQDWEQCVVHRDIKPSNVMLDLSFNAKLGDFGLARLVDHDRESHTTALAGTMGYMDPECMVTGSTSAISDVYSFGVVALEIACGRRPIVVLHQEIKEPTTMHLVQWVWDLYGCGRIVDAADARLNGDFDNQEMERVMITALWCAHPDRRLRPSIRQAVNVLRLEAPLPVLPAAMPVATFVPPGRGFPSDFVDLTGSSGGSGGTGTTRSSAVLNEASSLLR >KQL16300 pep chromosome:Setaria_italica_v2.0:III:36790652:36792908:1 gene:SETIT_021396mg transcript:KQL16300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQGISPNIVTFNTLMSIYVQQGKTDDVFRVYAQIEDRGLVPTAATYSTVMSAYKKAGDAFAAIKFFVTLRERYKKGELVGSHDDWEQEFVKFEKLTVRVCYMSMRRSLVSRKNPVGEVLKVLLAMDEAGVKPERSDYERLVWACTGEEHYTIGKELYQRIRELNGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNILLNAAKRRGIWRWGVRLLNKMQEKGLKPGSKEWNAVLVACSRASETSAAVDVFKKMIEEGLKPDVVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDAVLHDMLSKQIEPTVVTFNAIISACVKNKMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYMRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGPRPTNREEPIRIENNFSSFSHIKDLPNSTHHFGGTGMYGFFRYRMARP >KQL16301 pep chromosome:Setaria_italica_v2.0:III:36790652:36792908:1 gene:SETIT_021396mg transcript:KQL16301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFRSAAGHVPRPRRQQQSAADGRSSSLVRPLHLPPESLPRLCSRRVAAEFKTGGTDTSTGDGGGSGRTRGGVGIDVAAVAAVLREARTADDVELLVNGFLDSGGEGGLLPLQVYTSVIRGLGKENCLEASFAIVEHLKRRGVGLNQFVYNCLLGAVKNCGDFGRIEAVLADMEAQGISPNIVTFNTLMSIYVQQGKTDDVFRVYAQIEDRGLVPTAATYSTVMSAYKKAGDAFAAIKFFVTLRERYKKGELVGSHDDWEQEFVKFEKLTVRVCYMSMRRSLVSRKNPVGEVLKVLLAMDEAGVKPERSDYERLVWACTGEEHYTIGKELYQRIRELNGEISLSVCNHLIWLMGKSKKWWAALEIYEDLLDKGPKPNNLSYELIMSHFNILLNAAKRRGIWRWGVRLLNKMQEKGLKPGSKEWNAVLVACSRASETSAAVDVFKKMIEEGLKPDVVSYGALLSALEKGKLYDEALRVWEHMCKVGVKPNLYAYTILVSIYIGKGNHAMVDAVLHDMLSKQIEPTVVTFNAIISACVKNKMGGTAFEWFHRMKMRSIEPNEITYQMLIEALVQDGKPRLAYEMYMRACSQGLELPAKSYDTVMEACKAYGSLIDLTTLGPRPTNREEPIRIENNFSSFSHIKDLPNSTHHFGGTGMYGFFRYRMARP >KQL13931 pep chromosome:Setaria_italica_v2.0:III:7801172:7803288:1 gene:SETIT_023405mg transcript:KQL13931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHYMMRLGAGGGQQLSRVEPIPDLRSRFWQMDVQPGARIDLICPQPRRASRPPLLVDSLSRPSPKPNGALPVYRAESTCDILDLILSKNDPDVDTDPSSQAGFFCGSPPVRTNNPVIHDPLFGKKTPSFSPLGSSFGKMGAGRAEVGSPSCGASSPKVRIEGFACGNKEPAHCAVTFA >KQL12809 pep chromosome:Setaria_italica_v2.0:III:988810:990626:-1 gene:SETIT_021913mg transcript:KQL12809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEVHFGVPMSGAVLNTINTRLDARTVAVLLRHSGSKIVFVDPASLPLIRDAMKQLPPGHPAPRVVPVEDPHEEEFPAAPPGTLTYERLLEKGDPEFKWVRPASEWDPMVLNYTSGTTSAPKGVVHCHRGLFLITLDWLVEWAMPPRATYLWTLPMFHANGWSFPWGMAAVGGANVCLRRVNAATVYAAIAIRGVTHLCCAPVVLNMLANAPEGVRRPLPGKVRVLTAGAPPPAAVLQRTEAIGFEVSHGYGLTETAGLPVSCTWKREWDKLPAPERARLKARQGVRLPGMAEVDVIDGETGLSVPHDGSTMGEIVLRGGCVMLGYLNDDEATRAAIRDDGWFYTGDVGVVHPDGYLEIRDRSKDVIINAGENISSVEVESVLYGHPAVNEAAVVARPDELRGETPCAFVSLKEDAAGAVTAADLMAWCRERMPQYMVPRTVVFRAELPKTSTGKIQKYVLRNLAMEMGPARKGGGTAVA >KQL15101 pep chromosome:Setaria_italica_v2.0:III:16902719:16904978:1 gene:SETIT_022089mg transcript:KQL15101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQSNRLFSIPDWVVLDHQIFLKDLDSFRNNAVTSTEVCASNSELVRVSFILSALPGTSRLCVHLKEGHELSCLDTVVAAHGKAVLFRLKVDFEGLTGKAIDYFIYWAYTSGPKLSLVPRYYSTVKEIAAAEEGSWRRRLRHRMANYRGIGLLLTGDSEEFVVAELRLNLSKLEDDVDAPLEGELFRLRSDGAGAAGEWEVKNTSVRDGKPTFRDIHGWWEAHKVVPYARYLCWVDYYRGVIFCDVNNDNPELQYLALPVGYVLPGYPVPFRSVLPQVFRAVCITKDETMKFINVVHDDSFPMVSAGSSFTIVISTLVHDYDEMRWQEDLKIESHELWEMEGYDDQLPRIAPLFPLMSVDNPNIIYFVLRERKTLDAGAKTCVVTLDMVNKKVLSYKDIKAIPEEDPVMASYNIFLNVPFFPSEFSKHLQKAAPM >KQL15779 pep chromosome:Setaria_italica_v2.0:III:23681395:23682581:-1 gene:SETIT_024692mg transcript:KQL15779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIRRRRRLASAIDPTIVSKHAPPSASSNPSSSPHRRLASAIDPAIASKHAPPSASSNSSSSPLGWPPAQAEGSGGDTGVRRLLDWASSPVQDGPCGPGPLSSSPRAPAAGAGGSEGLSGGAPIFNRPSIAACFSHCSDEFSCYSGSSSSSSYSGVSARSCVSDSTRRGRLVDPLRVLSVVASLRRINPKMFAEAIGALFHSGAEKKRKGVWIKVDNYEDQSERSSAVASEGSTVTAATSAGSTATSGRCRRPPRASGGRGGGGEKAPRRAEAIMQWFSRSQAGPATENDICAAVGDNSGMSKAIRWLLKQEGGLRRAGTGGLLDPYVYM >KQL16175 pep chromosome:Setaria_italica_v2.0:III:33482111:33482239:1 gene:SETIT_025297mg transcript:KQL16175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPWETAASIAHNVFLLLDGWVWTCVLAADEAARLLRSATH >KQL17348 pep chromosome:Setaria_italica_v2.0:III:49891404:49893081:-1 gene:SETIT_024934mg transcript:KQL17348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFKPAAVFLLLVVVALAAACGGASADTFRVTNIGGGTQLNPSETWVFTAPAGTKSGRIWGRAGCQLPLSPATTGGSAVPGQWPRGQGVATGDCAGALRCMLPGNPASDGTRSRMGMYGISVAVMTKKATKRLRRLQERHAKRLVRGPAVEFHFYPSANVTVAYGFNVPMDFMCGAGDVLRCREPASADASRSPDEPKVRTCAAGSDYHVVFCPTARGADASSFV >KQL15746 pep chromosome:Setaria_italica_v2.0:III:23283662:23287221:-1 gene:SETIT_022045mg transcript:KQL15746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQL16688 pep chromosome:Setaria_italica_v2.0:III:44011225:44022762:1 gene:SETIT_020986mg transcript:KQL16688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSSLSCRGPFVPTPERRRPRRSASGEGCAGPQVPPSLGPRISSAGSRRYPHHAPRLARATPNPRCFSSAAGAASLLLLLVVAEPCLGPVAVQGMGLGVGLRSSARAPGGCRGFKSYREEISTEPFSPKVNVVVGANGSGKSNFFHAIRFVLSDMFQNLRSEDRGALLHEGAGHSVVSAFVEIVFDNTDNRIPVDKEEVRLRRTVASKKDEYYLDGKHVSKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEDRRRESLKIMQDTANKRKQIDQVVRYLEERLRELDEEKEELKKYQQLDKQRRSLEYTILDHELNDARNELASMDDNRRKISESMSHADNEVVDVREMIKSFDKEIKVSTKGINDTKAQKEGVEKRRTEALKVVAQIELDLRDIKDRIVNEKRAKDEAARDLHSVRRESEKSKSELAEISKVHQAKLKEEEEISKSIMDREKRLSILYQKQGRATQFANKAARDNWLQKEIEDLEPVLLSNRKQEGLLQEEIQKLKDEISNLTNYIESRKSESSKLEATLAKRHNDYNDLRKQRDVLQEERKSFWTEETDVGAEIQRLRDELTKAQKSLDHATPGDIRRGLNSVSRIIRDHGISGVFGPVLELVDCEEKFFTAVEVTAANSLFHVVVENDDISTRIIQILTREKGGRVTFIPLNRVKVPDLNCPQSPDFVPLLKKLKYRADHRRAFEQVFGRTVICRDLETATKVARGNSLDCITLDGDQVSKKGGMTGGFYDSRRSKLKFVKIIRDSKIAIEKKTAHLENVGNKLKDIDKKITDLVTRQQQMDAERDHAKSELEQIKVDITSAMKQKGSLEKALGKKEKSLENIRNQIEQIQSSIAMKNDEMGTELIDQLTSEERDLLSRLNPEITELKEKFLLCKNSRIEIETRKEELETNLSTNLIRRQKELEAIISSADSRTLPLEAESKEQELKSSKRNLDELTSLLKANVDAINNFTRKMDDLKRKRDDLKTREAILEQTVQDGAKDLEQLMNSRSTHLAKQEECMKKIRDLGSLPADAFEAYKRKNKKQLQKMLYECNEQLKQFSHVNQKALDQYVNFTEQREQLQRRRAELDAGDQKIMELISVLDQRKDESIERTFKGVARHFREVFSELVQGGHGYLVMMKKKDGDAGDDDNDEDGPRDPDPEGRIEKYIGVKVKVSFTGKGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADVADTQFIATTFRPEIAKVADKIYGVTHKNRVSYINVVSKEQALDFIEHDQTHNAS >KQL17316 pep chromosome:Setaria_italica_v2.0:III:49707356:49711283:-1 gene:SETIT_025118mg transcript:KQL17316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHIVEQLRLVQQKVSHIITTLGPNWSAAPNIARLITTSESTEPKLYGRDHIMNSIVHDITQGKHSGEVLTVIPIVGPGGIGKTTLAQYVYHSGQVQQYFDVKVWKCVSLNFNANKLIEEIEKYIPKVDGESTTDTAGELIGQRLRNKRFLLVLDDIWDCSSEDEWKRLLVPFKKSQVHSNIILVTTRFQAQSQIMIKKIDHQISLKGLEHEEFMELFLDFIFDDDQSREAQPDLLLETGDKIAQRLKGSPLAAKTVGRLLKTQPDLVHWNRVLESKEWEQIDGKDDDIMPALKLSYDCLPFQLQQCFYYCALFPKDYKFDREELINFWIGLDILHSSRGENKRVEDIGLSHLTRLVGHGFLENEGKNDGSACYIIHDLLHELARKVSSRECLSIESQLNSLQVLPSIRHLSINIDGTSVKDRLTLKGCIYAGFQYIGSEKLRRHCRELIGIVPIVRA >KQL12950 pep chromosome:Setaria_italica_v2.0:III:1806197:1806655:-1 gene:SETIT_024180mg transcript:KQL12950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPAKLPSRGAASSSAATSAHRLSHSIAKAPPRKIRIIHVLAPEIIKTEARHFRELVQRLTGKPSPNGSGSAASTEDASSSPPQQDSCDSARDVEGPGAGAAAAIQLKVKEEAETSSGDEGGGFLRALELDGCNDMFFQGLEDFLFSSCDME >KQL13797 pep chromosome:Setaria_italica_v2.0:III:6795764:6797055:-1 gene:SETIT_025127mg transcript:KQL13797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPMTTSPAAAAATPCFELRSAERVPETHAWPGIDDHPTVKAAAGGDAVPVVDLGGDPDVARAAAGRAAEEWGAFLLVGHGVAAGVAARVEEQVARLFALPAAEKARAGRRPGEFNGYGRAPRLNFSNHMWSEGYTFPAAAVRAEFRRVWPDAGDDYLRFCDVMEEHHAEMRALGVRLLDMLFGALGLTDAQIAAGETEREIRETLTATTHLNMYPRCPQPERAIGMAAHTDSGFITIILQSPVPGLQLLRRQPDRWVTVPAPPGALVVVLGDLFQVLTNGRFRSALHRAVVNRERDRISVPYFLGPPADMKVAPLAAAVPPGTKAAFRGVTWREYLEIREKQAISVDASVMKMLQVAEEEEEGGVPPNN >KQL14893 pep chromosome:Setaria_italica_v2.0:III:14671601:14673167:-1 gene:SETIT_023077mg transcript:KQL14893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSSILQSAADGTELSSQAPATSELPLKHRAGSADTTEPTLHGFWKGNAPTSASILPRTSRRRVLSLTTSASSTAWSCTLLRSCWLAARSFLTSSSKKAACAFFFSRYLRTATLFPSGFRGLAGSSSLASSSSAPPARTWVCVWRHVWVCMGADGPGGLQLCVWVQVVVALFRSSSKLSGTSGWEKSNWQGRSAPSSIVTENVPRTNFPPPVVNGQVARERCPKVKEKDEGIKNSVLRGCCLRL >KQL14032 pep chromosome:Setaria_italica_v2.0:III:8286727:8289943:-1 gene:SETIT_024544mg transcript:KQL14032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFQLRKFTFNELRFATRNFRPESLLGEGGFGRVYKGWIGENGTAPVRPGTGLIVAVKTLNREGQQGHKEWVAEVNFLGNLQHPNLVKLIGYCIEDNQRQLVYEFMPRGSLEHHLFRKAVPLPWSTRMKIALGAARGLAFLHEEAERPVIYRDFKTSNVLLDADYNAKLSDFGLARDGPIGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLELMTGRRSMDKNRPAGEHNLVEWARPHLKQRQGFQALMDPKLGGNISMKGAYKVTQLARACLTRDPKARPLMSQVVEILKPLPDLKDMASSSGLYYSLQAEQAARLGYPSGSRSMSPQSSFAWNGQQPMRSLSHAPRGHASPYRPQGHASPYLQLPRSNAK >KQL16333 pep chromosome:Setaria_italica_v2.0:III:37829452:37832168:1 gene:SETIT_025287mg transcript:KQL16333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSNLWRTTSEEKRELEWLPKPMYNSVRNYMRSIVKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAYWTPNSGDKTVLQFADIVKLDFGTHIDGASIICFILFLFYGWCIVDCAFTIAFSPMFDPLLQASKEAMNPGIKEAGIDARLCDVGAAIQEVMESYEVEISGKVFQVPYQIRAGKSVPIVKGGEQTKMEGEFYAIETFGSTGRGVVNEDLECSHYMKNFDQLLGTINNNFGTLAFCRRYLDRLGETKYLLALKNLCEAGIVQGSYVSQYEHTILLRPTCKEVISRGEDY >KQL17046 pep chromosome:Setaria_italica_v2.0:III:47802463:47807128:-1 gene:SETIT_021869mg transcript:KQL17046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAANLLSRSLLPALNPNPSGHPSRGGSPAAAAVSFPRRHARLPSVRASVSATSPSPPPQPTAAAAAAPKHCFRRGADGYLYCEGVRVEDAMAAAARSPFYLYSKPQVLRNFEAYREALGGLRSVVGYAVKANNNLPVLRLLRELGCGAVLVSGNELRLALQAGFDPARCIFNGNGKTLEDLKLAAESGVFVNVDSEFDLENIVRAAKATGKKVPVLLRINPDVDPQVHPYVATGNKTSKFGIRNEKLQWFLDSIKSYSNDIKLVGVHCHLGSTITKVDIFRDAATLMVNYVDEIRAQGFELEYLNIGGGLGIDYHHTDAVLPTPMDLINTVRELVLSRDLTLIIEPGRSLIANTCCFVNKVTGVKSNGTKNFIVVDGSMAELIRPSLYGAYQHIELVSPPSPGAEVATFDIVGPVCESADFLGKDRELPTPDEGVGLVVHDAGAYCMSMASTYNLKLRPPEYWVEEDGSIVKIRHEEKLDDYMKFFDGLPA >KQL17280 pep chromosome:Setaria_italica_v2.0:III:49486068:49486518:1 gene:SETIT_025495mg transcript:KQL17280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSYGYQAKGKSYQPHGQVKSPSVELFIN >KQL13636 pep chromosome:Setaria_italica_v2.0:III:5709032:5714556:1 gene:SETIT_021036mg transcript:KQL13636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGWGQGQLQLAENPGPCSRGLAAGSGFALVIKLLTPPETPLFHSLDDDEDHRIGTAHRGRTQIKPISISRSSTMENTRRSNRSSASPNRLSPSPRSYSSTVLTRTRSSNSSSRCSPPLALQPATPPRRSSSPASKTLTPPRRSPSPASRRMSAGSSDLISNGRRGSSPVKTNHRSSSPKPQGWQSSHPGFSFDAPPNLRTSLSDRPVSRSRGGSPSSFSGLDMNWRGRRQSMSPTPSRRASSSHSNDRDRSSSYSKTSVTSSAEDDLESMQSIPISPAVRKNLSVMKSKTIASPKKPSKSFSPSSAPKRSFDSAVWLMDLRKAPQDKFRPLLSSVPSTTFGAVKGDDGHSSILSHNSLLTTSSNLSSEHGVPSGPCMGDDQEQIDVVGECEATPSSIIHEDISMFDKLDGLNEGPSIHSLSTTRSGPECPSSVKYAESTIEGLIMETIRISQTSCNVVSSVKVQHTKMATCTRCGKSFNAIEDGEEVNFCEECALVGEVLFVDPKIQTLEEAHQQDHKTRDSKPCGASEAPHITPDCIEDIKKSSLDNQLVNDETQAGCLQKCPQSQSTMDTTERMLSQRHGENVAENLRPHDIGDSPLRNSIDISSHQCSIGDCQQKEPTSVIECDILRDQTANHHNEVSKCLLESMHGSIEFVSDTLTIDNSHETGSVDHLNLKAENIEGAGISLLLLQKSSSNKWPVVEGRPLAATNVLCSEPYYTRDNVSTVKRTIGWDSSSATSSIDQGSSRQSLHLERLKSSNRYDFERSQISSTVSCQSIASVSDVSTSNRSVSVCPRSNAIVDTGFLTDNSESSASRSMICTEELDESCKYTLSSAIECWSAAQAIVNDDIDSFGVVAVQNQSTGGMAYKDNSSANSCSSAIKTHSNISLYLPPEESCIQKTEECTSAIIQCCSVGTPEYPDDECGIDNYQMQFEAVPTSNEANRLDDGCVSVISEENVLISATEDNTMELSEESLATVHGSREQTQKCFTLEEATGTILICSSIAHDIAYRAATIGLEREEQLELASAPRPTVTMVGQSISRGNSSLKLPNKRMPRHRKRSEGGTVTETAKMEVVIKDPVPVRVVPESLRTSDSMKPPKVESKCNCAIM >KQL14047 pep chromosome:Setaria_italica_v2.0:III:8397090:8400619:-1 gene:SETIT_022384mg transcript:KQL14047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRNQFDLLGDVDNDDPAHLLAAAEKKAAAAPKPAPVSAKLPTKPPPPAQTVREARNYGAPPRDGAGRGGPGRGRGGRGGRTGPRREFGDADANGFEGGYGGGFGDGGVARGENGEGRQAERGRGPRQPYRGGGRRGGYADGQAGDEFGHPRRAYERHSGTGRGYEMKREGAGRGNWGTVTDEVLAQDTVEAVNPEETTAVAEDEKKPEDAPQSEVEKDKEGVENEEEEKEPEDKEMTLEEYEKVLEEKRKALLALKAEERKVEIDKELQSMQQLSIKKDADEVFIKLGSDKDLKKKENAERDERAKKSLSINEFLKPAEGERYYNPSGRGRGRSRGRGERGGFYGGYNGGYRGPAAAAPAIEDQAQFPALA >KQL16316 pep chromosome:Setaria_italica_v2.0:III:37206428:37208150:1 gene:SETIT_025405mg transcript:KQL16316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMTTTSGHLPSTLPPSTEICSLSSPQQRASCGDTATALLHEGMKTATTMVPQPKTLQL >KQL13533 pep chromosome:Setaria_italica_v2.0:III:5048095:5052500:-1 gene:SETIT_0213811mg transcript:KQL13533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGSNVEAWRGAVSPAARYAESGGASLTWENLTAVLPGGGGRATKKLVQGLYGYAVPGRVVAIMGPSGSGKSTLLDSLSGRLARNVVLTGKVLLNGKKRRLDYGVVAYVTQENILLGTLTVRETLTYSALLRLPSSMRKSEVRRIVDDTLDEMGLRECADRHIGTWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSAAAFSVVQTLRQLAVDGGRTIVSSVHQPSSEVFALFDDLCLLSSGECVYFGDAKLATQFFAETGFACPSRRNPSDHFLRCVNSDFDDVAATMKGSMKLRAEAELDPLLNYSTTEIRERLVEKYRISDYAMMVRNTIHEITKIEGVVEEVIRGSEASWFKQLRTLTSRSFTNMSRDLNYYWLRIIIYIVMAFCLGTIYYDVGTSYTAIQARASCGGFVSGFMTFMSIGGFPSFIEEMKVFTLERQNGHYGVAAYIISNFLSSMPFLLTVSWASASITYWMVKFRPGFSYFAFFALNLYGGVSVIESLMMIISALVPNFLMGLILGAGVI >KQL15864 pep chromosome:Setaria_italica_v2.0:III:24840250:24842842:-1 gene:SETIT_024553mg transcript:KQL15864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSKAQRWSDSFPAFGKSGSDFLPSFKDILLAGAPRAAASQARGVASHQVASAAVSSGAPRAAPRIVLRDEGRTTRRVVHVLEADGWTKVRRRDRRPSKRLERPRRPVPADLRGKCFNCFSPSHCAADCRLKTRCFRRRGLGYRSSACLRQPPAPNSCRPRLLVWRLVSTPVAVSASPDNSPIEAQPRRILDRSASISQRDDGLIARALVVTVLNGSADSILTTIAGRFEIEVTLLALQRFGPARFLLILPTARVLERVYNGSRPIITSSIRLHVMRWTRFLQSTLAALSFVVEVDIQGIPAHAWELSTAELLLNEYCWISGIHPDMADCRDSFRVSAWCSCPSVFPSEMELEILEPTMAVDDPQAVKRTLVYPVKVFVVTAYDLLAPAPVSGIPRCDLSDPRDIEVPAPVSGVRWRAPRNIEGPTPSSSPEGASPPGFPWATASLGPEREASTSPPLAERTQQPASPVRHTPPSPGRSTSFMARLTKRTTGILPTPCTDRIRTPARTPAAPPHRSRRIAGMEPELVGNSASSRNKKKVMRALDIIGETEGIDQQALDEYSKLFTQSSSLTASHVQALAALFG >KQL16145 pep chromosome:Setaria_italica_v2.0:III:31366050:31368782:1 gene:SETIT_024660mg transcript:KQL16145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRCCPRLRPLYFLRRGIHSTSAPIPADAATDATLLGRLTRLLLLHRFSAAARLLSSSGPLTPSLLHAALRRVRLDPDAALHLFHLAPSRPSLLAHAQLLHILARARRSADARALLASLLSPRPPAPPLFPHLVEVYKEFTFSAASFDLLLRALANAGHLDGALQVFDEMRKLGCRPTVRSCNSMLNRLTQVGDLGTVVAVFEQMQRVGTLPDEFTVAVMAKAYCRDRGVAHAIEFVEEMKKIGVDANLVAYHALMNGYSEMGRTEDARRVLDSLPSRGLSPNVVTYTLLVKGYCKEEKMEEAEDVIREIRKNKHLAVDEVTYGAVINGYCQRGRMEDAVRLQNEMIHVGLQVNLFVYNTIINGYCKLGRMVEAHKILHEMEGAGVRPDTYSYNSLVDGYCRKGLMTKAFEICDTMVRNGFTVTVVTYNALLKGFCSLGSIDDALRLWFLMLKRVVAPNEISCSTLFDGFIKAGKTEKALNLWKETLARGLAKNITTFNTVINGLCKTGRMLEAEELLGWMKESRCPPDSITYRTIVSGYCKTGDMVGAIRIMKEMETLGFVPSIELFNSLITGLFIAKQCGKVDDILFEMSTRGLSPNTVTYGALIAGWCKEGDLQKAYNLYFEMAGKGLTPNLFICSSLVSCFYRKGKPTVFTYSILIHGLCIHDYMEEAIKLLDQMIENNVDPNYVTYWTLIQGYIRCGNMKEISKLYDEMHIRGLLPTLVAGD >KQL16070 pep chromosome:Setaria_italica_v2.0:III:28210269:28212355:1 gene:SETIT_023068mg transcript:KQL16070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSESEEHGSLLEKINEKIHEYKHSSSSSSSDSDDDKKPKKSKKKKLFGRKHPLHHVLGGGKAADLVLWRNKQTSGSILAGVTVIWLLFEGIGYHLLTFLCHTLIVFLTVWFVWSNAASFVNRSPPKFPEVILSEVQCLKVAHIARKEINEAFYTLRNVASGKDLKTYLMTVAVLWFISIIGSCFSFLTLSYTIFLMAYTLPMMYEKYEDQVDVVGEKALIEIKKQYKVIDAKLLSKIPMLSEKKQH >KQL13399 pep chromosome:Setaria_italica_v2.0:III:4265631:4265852:1 gene:SETIT_025146mg transcript:KQL13399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LASMNTTTEETSGWTSWPEVVGMSVEEAKKVILKDKSDADIVVLPVGSPVALDLRLDRVRIFVDTVAQTPHVG >KQL13912 pep chromosome:Setaria_italica_v2.0:III:7693506:7694960:1 gene:SETIT_023313mg transcript:KQL13912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLRQQFQEFIAGLISLPIKLPGTQLYRSLKEENMELKRRKSETLEWTDYMSLTFTQHVITETLRMGNIINGIMRKAVRDVEVRGHLIPKGWRVLVYFRAVHLDAAVHGDPHAFNPWRWKERADVTTGGGGGGFTPFGGGQRLCPGLDLARLEASIFLHHLVTDFRWVAEEDAVVNFPTVRLRRGMPIAVTPRT >KQL14056 pep chromosome:Setaria_italica_v2.0:III:8440744:8441658:1 gene:SETIT_024877mg transcript:KQL14056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNADAVRNIVGICGNIISFGLFLSPLPTFVQIVKKRDVEQFVPDPYLATFLNCALWVFYGLPIVHPNSILVVTINGTGLAIEVVYLSVFFAYAPRPKRLKMLGVLAVELVFVVAIAAGVLLGAHTHEKRSLIVGSVCIFFGTLMYAAPLTVMKRVITTKSVEYMPFTLSFVSFLNGICWTTYALIRFDIFITIPNGIGTFLSSAQLILYFCYYGSTPKNDKSVELPVTASDDSNN >KQL13957 pep chromosome:Setaria_italica_v2.0:III:7966487:7971449:1 gene:SETIT_025192mg transcript:KQL13957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYNIESSNADCLDSRPLKKPKYNDRRIHQNQHEQYKVDQTYDYLPQDYEMTDLDYCAQITIETSSESDILVKIDDIFVTQAQLLCLLDPLKFLNDDVLIPINIKELHWYLAIINTLKCEIQVLDSLCWDSNRGDLVDTLQGLQYHLNIIGRQQNMISHKWKDLQIISWKITEQLQEPMQKDGSSCGLFMLKFMEYWTGESLCHPITQEDINCFRYKLAGILLCWKTNIAQTSPQNISLLGSSDDQKEPKSSISLSEETKYQSLLSVLSKISVNELVGCLCDYIKSINCPETLEEVWVRNSKPYSISLTLRKLQEILNEDMPMDRDCFNLVIRKFMFDDIQMMKKTKGTISKHYLDTRFWLITDFGRHPNFRKKIDVEQLAETVSSWPGVNYNLSRCKLIFIPIVQFNKTFILLILNQDKRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWNEDVFLWRQIILSDVPIESRELSGYLVSLFMCIWKDEELQLPVLKDGYELRKQFMAQLLTYKENECEENMPAGVRDFLRYINATQS >KQL14899 pep chromosome:Setaria_italica_v2.0:III:14727111:14727556:-1 gene:SETIT_023805mg transcript:KQL14899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLGFAGPMRTSSPTQSTVQQQASSLIEPPNRKLATSTIQAANQHVRRPNLCLPISGVSVHHVSLALSTGTLPPNPVQDHLHQILFVS >KQL16482 pep chromosome:Setaria_italica_v2.0:III:40880840:40881894:1 gene:SETIT_023324mg transcript:KQL16482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSLTGVAATTARPSSSGGRGRRLRVASMATQKGQKPTPKTVSSGTRRSGGTTVFPLGEPGPRPATASGKAPVKLLTNVEKLRLLTKAERAGLLSAAERAGLSLSAVERLGLLSKAEELGALSAATDPGTPGALLALALPLLAAGPAVVYLVPEEQAWQVALQAVAALVCVVGGAAAVAASTFVSRLQSSSG >KQL14493 pep chromosome:Setaria_italica_v2.0:III:11577404:11577783:-1 gene:SETIT_025799mg transcript:KQL14493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRKSFNLALKVPVFPTKENRFPRENPTCYKGHLKW >KQL14853 pep chromosome:Setaria_italica_v2.0:III:14355758:14357200:1 gene:SETIT_024831mg transcript:KQL14853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAESSKKLRVLLVPFFATSHIGPFTRPRRPKPDAVEPTVAVTPANVKVVRSAVERHGPEASGLVKIATYPFPRVDGLAPGVENLSAAGDDGWRIDAAAIDEALIRPAQEALVREQSPDAISTDVHFVWNNAVAAELGVPCVTFSVIGIFSTLAMHHLTIRIPVSELPEFLRQQQELGGLKQSLVAIGRCFGVAMNTFQDLERPYCDTCLRSGFLKRTYFVVPLSLLLPPAGAGTGDSPRVRWLGTKPSCSVVYVCFGTFAVISEDQLPEMALGLEASGRPFLWVVRADGWTPPDGWEERVGERGMLVRGWAPQTAILAHPAVGAFLTHCGSSSLLEAAAAGVPMLTWPLVFDQFIEERLVTEVLRIGERVWSGPRSTRYEEREVVPAEAVARAVARFLEPGGAGEAARGRARELAAKANAAVAEGGSSYRDLRRLVDDLVQANIAAAGQPGRRARE >KQL14193 pep chromosome:Setaria_italica_v2.0:III:9490238:9494414:1 gene:SETIT_021128mg transcript:KQL14193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRLGFVVVASVAALTLKRVNSGGRHNKDNGQARERKDKTHHSEHGEKEEEKEEVKTISGIINSARSLDDDDDDMLSEIESLLSGDIDIPIPSDRFDVNGRSQYNAHMANEAAEIDRLRSLVREMEEREVKLEGELLEYYGMKEMETDVTELQKQLKTKTAEINMLNNTINSMQAERKKLQDEVARGAVAKKELEAATSKIKELQRQMQLEAGQTKGQLMLLKQQVIGLKAKEEEAAKKEAEVQRKLKKLKELEVEVIELRRKNKELLYEKRDLIVKLDAAEGKITESDVVANAREEINKLRHTNEDLTKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQMPSGKVSARDLNKTLSPKSQERAKQLMLEYAGSERGQGDTDLESVSSMPSSPGSEDFDNVSIDSSSSRYSFLSKRPNLMQKLKRWGRSKDDSSSLASSISGSPRRKPRGPLEALMLKNAGDGTAITTFGQRDPNDILDEENVASSFQLMSKTVEGFADEKYPAYKDRHKLATERENAIKEKAGQVRAQRFGGGHSSALISSPKGALPPKLAQIKERAPAANAASSEQSSDNQNNILVVSQLKLANIEKRATRVPRPPPPRSTTASGATNTASGVQTPRPPGAPPPPPPPPGKTGGPPPPPPPPGALPRSVAGSDKVHRAPEIVEFYQSLMKREAKKETSLGSVSSNVSDARSNMIGEIENRSTFLLAVKADVETQGEFVESLASEVRAASFVNIDDVVAFVNWLDEELSFLVDERAVLKHFDWPESKTDAIREASFEYQDLIKLQNKVSSFTDDRQLACEEALNKMYSLLEKVEQSVYALLRTRDMAVSRYKEYGIPVDWLSDSGVVGKIKLASVQLANKYMKRVASELDALQGTEKEPNREFLLLQGVRFAFRVHQFAGGFDAESMKAFEELRSKMTTQTPAPQISEV >KQL14277 pep chromosome:Setaria_italica_v2.0:III:10070045:10072439:-1 gene:SETIT_024078mg transcript:KQL14277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLGKRKLNGNGAPGAEREPSVAPPAGFQAVVLGYHDAGEEAAAEARRVVGEMDFFKTEKRKEEAAGRSRSAAGAPGDLSINKDDLTINMGLHVGRRKSGSEDSIVDDGVSSNEVDHRETKAELALAKSEIGRLNEENKQLKNMLGRVTTSYNSLQVQVLTLMQQRNNHRSLGAPSHELNVDPENKDQDGSLLPRQFISLGTAALPDEPPLRSAGGECSASPSNTDAAMPTPPDYFPGKGNGVVLGSKDVMPLPTFDHHHRAQEREQGGSSPEDPPPPHHVSQGWLPSKVPKFLPAKGSEPVPEAATMRKARVSVRARSEAAMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDTTVVITTYEGSHNHPLPPAAMPMATTTAAAAAMLLSGSMPSADGSLMAGSNFLARAVLPCSSNVATISASAPFPTVTLDLTQPGPGAQSQQQPQQPARPDPAQLQAALAEAARPVALPQLFGQKLYDPSKHSAVQAAAAPDAGDTVSAAAVIASDPNFPAVLAAAIKSYIGGSSGGGGGGAGGSSGTVPPPPASGGGDSSRDDKIGE >KQL16895 pep chromosome:Setaria_italica_v2.0:III:46506660:46519232:-1 gene:SETIT_021031mg transcript:KQL16895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMTPPPLEQQQEDEEMLVPHQELPVAGPEPAPQPMEVVAQTEPANTAESQPAEDPQTSRFTWTIESFSRLNTKKHYSDVFVVGGYKWRVLIFPKGNNVDHFSMYLDVADSGNLPYGWSRYAQFSLAVVNQIHPKYTIRKDTQHQFNARESDWGFTSFMPLSDLYDPSRGYLVNDTVVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIEQLFEGHHINYIECINVDYKSSRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTMVKINDRYEFPLQLDLDRDEGKYLSPDADRSIRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKKALEEQYGGEEELPQINPGFNNTPFKFTKYSNAYMLVYIRESDKEKIMCNVDEKDIAEHLRIRLKKEQEEKEHKKKEKAEAHLYTIIKIARDEDLKDQIGKNIYFDLVDHEKVRSFRIQKQLPFTSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTAHEEAQSVGQLREVSNKAHNAELKLFLEVELGPELRPIRPPEKSKEDILLFFKLYDAEKEELRFVGRLFVKALGKPSEILTKLNEMAGFSPNEEIELYEEIKFEPNVMCEHIDKKLTFRSSQLEDGDIICFQKAPVPDGDTQVRYPDVPSFLEYVHNRQVVHFRSLDKPKDDDFSLELSKLHTYDDVVEKVAHQLGLDDPSKIRLTSHNCYSQQPKPQPIRYRGVEHLLDMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFHHATKDEVVVHSIRLPKNSTISDVITDLKTKVELSNPDAELRLLEVFYHKIYKIFPPHEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDPNQNQQIQNFGDPFLMVIREGETAAEVMERIQRKLRVPDEEFSKWKLAFISMNRPEYLQDTDVVSARFQRRDVYGAWEQYLGLEHTDTTSKRSYTANQNRHTYEKPVKIYN >KQL12724 pep chromosome:Setaria_italica_v2.0:III:612482:613087:1 gene:SETIT_024413mg transcript:KQL12724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVFGRKMHKKGNFKVGKKKCKLGVCNEYGIRVFDFKKGEQDSVLWGHMGIMLKTTSLEREVSLDVQMRIMSEYVHRLKGMGTSKWEAYKENKESINNTIRFLREQLARYKDRRLKFGLFYLAPHSTRMDIIVIRHLDHMPLNEAFRRSRLELEKRRCILEKYNASCQQPHASASLSSIVINNKLMMYTILSMFLGCMIIFC >KQL15626 pep chromosome:Setaria_italica_v2.0:III:21977933:21978028:1 gene:SETIT_0214522mg transcript:KQL15626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIIPGLERILCNRIRAQLSHTRSSRVPCSH >KQL14740 pep chromosome:Setaria_italica_v2.0:III:13481210:13485021:-1 gene:SETIT_021589mg transcript:KQL14740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRNNHDRETEVNCEMEDGDGGKKLRTSHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYEQLRPSQELIAKDLHGMKWRFRHIYRGQPRRHLLTTGWSSFINKKKLVSGDAVLFLRGNDGELRLGVRRAVPLKNELLLEAVNSTDSKLRTLSAVASSLENRSIFHICFNPRTGASEFIVSYGKFLKGLNYPFSTGMRFKVGFENEDANQRSHGLISGISEVDPIRWPGSKWRCLLVKWDDDTKCNHRNRVSPWDIEGISSSISMTNCLSSVSKRTKLCFPQGDLDAPILDGNGRPDSMETECFHRVLQGQELVRTRTHGVAWSHSSDTPKCQGSCERRFSADVWNCKMNDAMSGFRHRNANGFAYQPLGFSESVRFSEVLQGQEMSQAVPSFLGAALDARVQNGRIGSFDYVHRSTVSQGYPLQQFNLPATEVHSPSSVLMVNQTTALQPELEGMTNREEANGSRYAPIAVRREAERWPSTQQPRASGNGSEVFNTTEASAPATAAKPVDRGVGRSSCRLFGFSLTDKILGAEEDGVKEGNYEADHQTPRALDLFGHSQSAPSALHALCAAPLGI >KQL13578 pep chromosome:Setaria_italica_v2.0:III:5334617:5335100:-1 gene:SETIT_025702mg transcript:KQL13578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCTVWHAMSIVKIYNVFVMNSCLAMSVVSLGKYY >KQL16957 pep chromosome:Setaria_italica_v2.0:III:47030621:47033321:-1 gene:SETIT_021991mg transcript:KQL16957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTKKQGFFSALREEVARGLSPARARRRSASNAAEVAAALRVAGGAGEALAPLMEGPDPEAGPGAGGGGVRREGWGRWVRGQLQLARAPAPAGADAGAARRNDLRLLLGVMGAPLAPVHVCAAEPLPHLSIKDTPIETSSAQYILQQYLAASGGQRLLSSIRNAYAMGKVRMVATEFETGGRVVRNRMAAQRAEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLTAASMFAGARCIGERKVNGEDCFILKLCADPEMLRARSEGLAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQSTTGGDAVYWETTINSFIEDYRPVEGIMIAHSGRSAVTLFRFGEAAMSHTKTRMEEAWSIEEVAFNVPGLSMDCFIPPTDIKSGSVSETVELPQGEKSKVGLLPCHRAKVAALEKADDNVAWSGALQLDCK >KQL14613 pep chromosome:Setaria_italica_v2.0:III:12375773:12376377:-1 gene:SETIT_024185mg transcript:KQL14613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVKCVLLSLSAVVLSIGSASAMGLPPPPPMVNFSIGVQGVVWCKSCRYPGYFAPMDASPLPGAEVYLRCKHGRRALTVPGRSGPGGYFLIQTSQQMSAFTSQQCRVYVPRSPARVCGVADYPSRANKGLPLKFQEFVKRDNGLQGMYSVGNRLFRPKYPGRCY >KQL13700 pep chromosome:Setaria_italica_v2.0:III:6152608:6154477:-1 gene:SETIT_022507mg transcript:KQL13700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAGTEEVPNRRVILKRHVTGFPAEDDMEVVAGAARLAVPPGSAAVVLKNLYLSCDPYMRGRMSKHDRPSYVPDFVPGEVLAGHGVSKVVASGHPDFKVGDHVWGITGWEEYTLIPNPGSLSKINHPEMPLSYYTGALGMPGLSAYAGFYDVAKPKKGDYVFVSAAAGAVGQIVGQLAKFTGCYVVGSAGSDEKVNLLKTKFGFDEAFNYKKEQDLNAALQRYFPEGIDIYFENVGGTMLEAVLLNMRVHGRITVCGMISQYNLEQPEGVRNLLSLITKRVRMEGFLVSDYLGEYHRFEEEMHSLGSSQGAMLASNWSPLPGSNVMLRRFQQPCSACPIHLVI >KQL13699 pep chromosome:Setaria_italica_v2.0:III:6152803:6154430:-1 gene:SETIT_022507mg transcript:KQL13699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAGTEEVPNRRVILKRHVTGFPAEDDMEVVAGAARLAVPPGSAAVVLKNLYLSCDPYMRGRMSKHDRPSYVPDFVPGEVLAGHGVSKVVASGHPDFKVGDHVWGITGWEEYTLIPNPGSLSKINHPEMPLSYYTGALGMPGLSAYAGFYDVAKPKKGDYVFVSAAAGAVGQIVGQLAKFTGCYVVGSAGSDEKVNLLKTKFGFDEAFNYKKEQDLNAALQRYFPEGIDIYFENVGGTMLEAVLLNMRVHGRITVCGMISQYNLEQPEGVRNLLSLITKRVRMEGFLVSDYLGEYHRFEEEMVSYLKDAKIAYVEDVAEGLENAPAALIGLFAGRNVGKQLVAVTRE >KQL16942 pep chromosome:Setaria_italica_v2.0:III:46918416:46920564:1 gene:SETIT_021637mg transcript:KQL16942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTRSTNNIDYLPITNNDNDKILSKLNHTIRHVKNTRAPPTGTRHYSSAHPPTSPLICQLLRARAWRRPASAVDAMTGSPADPPARPRLTVLPLIALIFYDVSGGPFGIEDSVRAGGGALLPLLGFLVLPALWSLPEALVTAELASAFPTNAGYVAWVSAAFGPAAAFLVGFSKWASGTLDNALYPVLFLDYLRSGGGLVLPPVLRSLAILALTAALTYLNYRGLHLVGLSALALTAFSLSPFVALAVLAAPKIRPSRWLSFNAGAVNLRGYFNSMFWNLNYWDKASTLAGEVEEPRKTFPKAVFGAVGLVVGAYLIPLLAGTGALPSETAAEWTDGFFSEVGRRIGGPWLRVWIQAAAAMSNMGLFEAEMSSDSFQLLGMAEMGMIPAIFARRSKHGTPTFSILCSATGVVILSFMSFQEIIEFLNFLYGLGMLVVFAAFVKLRAKNPDLPRPYRIPVGTAGAAAMCVPPVALITTVMCLASARTVIVNAVVVAAGVALYYGVEHAKRHAWVEFLAPVPPPPDSSHGSTTALEDADVEDVRAGLLADETADEGGSKVE >KQL14631 pep chromosome:Setaria_italica_v2.0:III:12495965:12501349:-1 gene:SETIT_021049mg transcript:KQL14631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPAAPDRAAASVAAGSSGGGGGGDHLRGHAHLTNCIHLRHHHAHAHGASGRRRSPTGSSASASAALMRDLLALQRSRSLRDPSTRRSVDSASNNNNRVAADPDPDDDADHPDRSSRGALKTLLDQLAENPHPKPARRPRRRFKRGAGRRAAPAAAARQREAVCGNKNLFRAGGADGDGGGGDELMQQQVSQESRNVCGIPWNWSRIHHRGKSILDMAGRSLSCGLSDPKSASAARRSEAATSAASCGNMNGSRSHPHFPVTARLTSSTSSDSDSLPLLVDGARNGVGGISSSFSGELGIFSKSSELDSDLASEARSGQKSRGSHRGRHRSLAQKYAPRTFKDVVGQSLVVQALSNAILRKKIGLVYVFYGPHGTGKTSCARVFAKALNCHSAEHPRPCDSCASCIAHNLGKSRSLLEIGPVGNIDLDSIVDILDNVMLSPVPSQHRVFIIDDCNTLPPDTWSVISKVVERAPRRVVFILISPSLDLPHIIVSRCQKFFFPKLKECDIINTLQWISTSEGLDVDRDALKLIASRSDGSLRDAEMTLDQLSLLGQRISMSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGTYTFARERVRRKFFKRPTLSKDDMEKLRQALKTLSEAEKQLRVSNDKMTWLTAALLQLAPDKQYILPSSSPSTSLNQGLLTRPEGDIARNSGMDHREIYAGNHGLPRASDLGNQQYRDVNLAAGLSNNMASNYHAGRRPGEHTPDSHVLSTGATRVNEGSRYSKTDSEMIWQAVLDNVQSDSLRKLLAREGRLISVSLGTAPTVQLIFSSRVNKSKAEKYRGHILQAFESVLSSAIILEIRYESKDDLTAGHAPVVSPYPEDGSNMVLRRSFTKHSSVSSGGENLIRRLQKDSVVQGGSSNQTRWMQSDPHILTEGEIIEVGSQMDWRAEPDNSIVTSKKRQEGDWGECLSSQNQEPPQGGSNANNEHGRQKNIVRGKVSLAHVINQAEACSQQGGWSRHKAVSIAEKLEQDNLRLEPRSSLLCWKASSTSRRKMSALKIRTRRSRALSRLALCGRCISVRSPR >KQL14982 pep chromosome:Setaria_italica_v2.0:III:15332479:15333155:-1 gene:SETIT_023221mg transcript:KQL14982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESGGMPPQRHCLHHGPQKVRRRRVLLCLAFAVLVLLLLAAAVAIVLLAVLRPRDPVTELLSVNATGVLPGVVPLPTVSVQLNVTFLLVVRVRNPNPAAFRHGPATTSLYYRGAAVGYGEVPAGTVPSRGAATVRMNMTVQADRVVAAAGIGGLVADVLAGEMEFEARTEVPGTVVLLGFVKRGVEARSVCRVVIGVADVKVRRQECHNEAKL >KQL16835 pep chromosome:Setaria_italica_v2.0:III:45747324:45748851:-1 gene:SETIT_022665mg transcript:KQL16835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQPNGPATPVDMALDYYKFDYEFAEPPCVTSVQNCVPLPTFSDFGDDVYDVADQRGYESVVYNLAGQYLKTDKSGKIVDPRLKLNKVVREISYSPNGVTVKTEDNSVYQADYVMVSASLGVLQSDLIQFKPQLPAWKVVAIYQFDMAVYTKIFVKFPKKFWPEGKGREFFLYASSRRGYYVVWQEFEKQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIMEVLRKVFPGKDVPDATDILVPRWWSDRFYKGTFSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLAGIDSAEILINCAQKMCKYHVEGKYY >KQL16119 pep chromosome:Setaria_italica_v2.0:III:30170835:30171263:-1 gene:SETIT_025536mg transcript:KQL16119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTSESRVGRADTCQTVQKESLDITTTSRSSAGHQKNSA >KQL17264 pep chromosome:Setaria_italica_v2.0:III:49341776:49344227:-1 gene:SETIT_022668mg transcript:KQL17264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVAGSYERFIWGFSLKTLTSSTASSSETLTLAPLFSYQAHTGPVRCVAAAPRAGLAASGGADDSVRLYDLPTAADLGPLLDPTAAVSALAFYSRGPVPRNLLAACDDGALHLYDADGFALLSTLRAFPRHEAAEGLAVHPSGRVALAVGRAGALAMVNLVRGRRSFACRLERPASAVAYAEDRDGGDRFVMAAEEKVTLHDSEDARIIHEMDCGKRVLAFAPAKNGVLYSGGEDRGITAWDLSSGKVSSRIEGAHATRVKGVVVFDNRKDGSELSNLIASASSDGVIRIWDVRTIGNGKPTPLAVANTKARLTCLAGTSLK >KQL16539 pep chromosome:Setaria_italica_v2.0:III:42196974:42200185:-1 gene:SETIT_022939mg transcript:KQL16539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRAAPAAATGFFSSPSTVSPRRFSFATPPASLSAGRRIRLHRLRAFPSSELPLEELNPSVDLLRKTAEAVGDFRKTPIYIVGTDCTAKRNIGKLLANSIIYRYLCSEELLEDVLGGKDALRAFKESDEKGYLEVETEGLKQLTSMGSLVLCCGDGAVMNSTNLGLLRHGVSIWIDVPLEMAANDMLKSTGTQATTDPDSFSQAMSKLRQQHDDLKERYGISDITVSVQNVASQLGYSSVDSVTLEDMVLEIVRQIERLIRTKAMMEAAGKPF >KQL12727 pep chromosome:Setaria_italica_v2.0:III:618649:622763:-1 gene:SETIT_025259mg transcript:KQL12727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGGASETAVGAGEENTGASRSPSRRFFVALHVGAGFHAPANEKAYRRAMKRACLAAAAVLRESSGTSLDAVAAAINVLEDDPITNAGRGSNLTESGHVECDASIMDGSTGSFGAVGAIQGVKNPIQVALHLAKEQIAGSSLLGRIPPMFLVGEGAYKWAKSKGMDLLESTSEANSWLVTENARAQWVKYTALLVNSKKLLEHNTGSGTDSENTADGKKMFTQSPMEDNQDCVMDTVGVICVDSYGNVASGASSGGIALKVDGRVGLAAMYGSGCWASSKGPFGTPFMVACCATGAGEHLIRGFAARECCISSSLSQSGPASACTKVLRTVVQSSSKMSHDTGAGLLLVQVDAQKGGDLSELEAAELVAAYSSPSFGVGYFGSNMNNPKVSMLRASEGASGIINHFATRIKIDAKSSD >KQL13765 pep chromosome:Setaria_italica_v2.0:III:6604307:6608031:-1 gene:SETIT_023427mg transcript:KQL13765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREVAESCVDGVVMEMVAAYCGRFYAAKPELAARRIEAIGFQVGHQLSERYTMERPRFSDHLEAIKFICKDFWSELFKKQIDNLKTNHRGTFVLQDNRFRWLTRVSLDPPAESTDATDNDSAPLGDTAAQTTSMLLYFPCGLIRGALTNLGISCSVSADMSNLPACSFVVRIKT >KQL17211 pep chromosome:Setaria_italica_v2.0:III:48981545:48981813:-1 gene:SETIT_0211451mg transcript:KQL17211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPDPPPPPAAAADAEDAPPLAPDPDPAPAPPAPAPSPPPAAADEEDDRVFLVPR >KQL15610 pep chromosome:Setaria_italica_v2.0:III:21622953:21623318:1 gene:SETIT_025613mg transcript:KQL15610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHDISTRVLLMIHGRPSILNLGVTSGVQCAMFQLKVVCYV >KQL15621 pep chromosome:Setaria_italica_v2.0:III:21843632:21843742:1 gene:SETIT_024251mg transcript:KQL15621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERKESSKLGKHRSCVKQQKGKLYIIKLCITMLICG >KQL14206 pep chromosome:Setaria_italica_v2.0:III:9542481:9545631:1 gene:SETIT_023235mg transcript:KQL14206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPAATATAKPIALPPAPIRGGGCCFWAASPSPVAAARLRVSASAASSDVPDFLSSNWLETRKRKPFGPRLNFSAEEAVEYQLESLKYNDQHRQDYGIEVMYRFAGFDPFERSTYFGRQFDLGQFERFRRLFHHSAYRVLLGHKERKILSSLWVEENQFKQRVWVQGARPEEEEIFQFTMVQRVGGLWDGYWLTESLINDGDAFSGGIAY >KQL17423 pep chromosome:Setaria_italica_v2.0:III:50348599:50348866:-1 gene:SETIT_0228391mg transcript:KQL17423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGAVEVRHWNADVNGVSIHVAEQGPADGTVVLLLHGFPELWLSWRHQMAALAARGFRAVAPDLRGYGDSS >KQL16378 pep chromosome:Setaria_italica_v2.0:III:38690706:38692810:-1 gene:SETIT_025653mg transcript:KQL16378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACNYGKIFGKFLRIQFTIGEPWLLHCNYGYKEALMEIQALRVNYSTKNKKREALESHISYLNRDNE >KQL12941 pep chromosome:Setaria_italica_v2.0:III:1768540:1771391:-1 gene:SETIT_021569mg transcript:KQL12941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLPLSAVAFYLCLLASSSSALRVAPATTSEGRHVRRTAYHFQPAKNWQNDPNGPMYYNGMYHFFYQYNPHGALWDIGNLSWGHSVSGDLVNWAALDTAIDPTAPFDINGCWSGSATILRGGTPAILYTGIDANKEQVQNLAFPKNPADPLLREWHKPSYNPVIPLPADVPGDKFRDPSTAWLGRDGLWRIAVSAEVSGVASTLVYRSADFVHWERNDAPLHSSRAAGMVECPDLFPVKAHGEEGGLDTSASGAGVSHVLKLSVMDTLQDYYMVGRYDDAADAFVPAEPERGDDVRNWRRFDYGHVYAAKSFFDARRNRRVLWAWANESDSQADDVARGWSGVQTVPRKLWLDKDGKQLRQWPIEEIETLRRKRVGLRRNTMLSAGAMNEIVGIAEAQADVEVAFRIPSLEEAEALDSNWLLDPQKLCGEKGASVPGGVGPFGLIVMASGDLQEHTAVFFRVFRHDDKYKVLMCTDLTRSSARAGVYKPPYGGFVDIDIEERKTIKLRTLVDHSVVESYGAEGRACITARVYPEHVETSNSHMFVFNNGTDKVKVTKLEAWELAAATVNVGDEGLIVSESKDESESY >KQL15636 pep chromosome:Setaria_italica_v2.0:III:22039873:22041281:-1 gene:SETIT_0209651mg transcript:KQL15636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAGRRARGCRGESLAAVRAEAPSSSRARRRDKGPVVVIDLVDDDDDGCAGGRGREAAGGAAGRRRGSTAAPSPSPSPPPPSPPPPMMVPAGAVAMRTRSRRRAMQAAIAPEESRAKRRRKGTSSDVAEASGGRASKATGASRSTPRDKRRGRDHDRSRRASEPSSTGRSRRASEPSSTGRAPRAPEPSSTGRARKRRGKELEAETEVEARARRGERVKVSRGNESDGDGGRGDDASHDGNGEARTAGANAKQGNRDRPRATGGHQIQEHCVAREVTALDLDHLTDEVASGDAEEVECGGDDGGGRDGGFNVDEDTQDSGNRELAPIVNEVAEEMAPFEDDYDNEMLEEQLVGDVIRAYSNGGEVDWEAEDEMEFDDDADDGDFMDDADDGDFMHGADEGGMTPVQDHDKMEMQDLVNHHVVLVGGRCQEEEAEEEEEEREDDTDNGDFMDGADKCGMTPVQDHDKME >KQL13067 pep chromosome:Setaria_italica_v2.0:III:2375286:2375945:1 gene:SETIT_024443mg transcript:KQL13067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMEPLSPASQRRRQCAAAVAAAAAACLAPLVVFLAVLVLAPSLLPRLLLRPHHAAPYVASAELRRLSFDAAASALAYNLSAVLRFEDGPPGVHPRRRYTGVRAAPFYAGQELGAAVALPAFTLSRGGGGATLPVAWAGVQRVAPGRGARAVAAALARERAQGWISVRVAVRAAQDGEESDFACVLSFPVPRKRDGSGSGAAAGVFDGGSCADAVRVEF >KQL17186 pep chromosome:Setaria_italica_v2.0:III:48769066:48770949:-1 gene:SETIT_025513mg transcript:KQL17186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHISTRVAGTLGYLAPEYAIRGQLTKKADVYSFGVLLLEIVGGRCHTDPRLPLDEQFLLEKVWMLYESGDLDSIIDRTLKLDFDTEEARRLLKIGLLCTQDSPKIRPSMSMVAKMLKGECAVGDKIMRPGLITDVMDLKVRTVEPVQFSLSPSMSPALSNSLLSTLAVAGSTVVEESP >KQL13035 pep chromosome:Setaria_italica_v2.0:III:2234483:2236969:-1 gene:SETIT_022846mg transcript:KQL13035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIIDGKAIAADIRREVAAEVATLSSAHNVVPGLAVVIVGSRKDSQTYVNMKRKACAEVGIRSVDVDLPEDISELALVAEVHRLNADPAVHGILVQLPLPKHINEEKILSEISIEKDVDGFHPLNIGKLAMKGREPLFVPCTPKGCMELLSRSGVTVKGKRAVVVGRSNIVGLPVSLLLLKADATVSIVHSRTSNPESIVREADIVIAAAGQAMMIKGDWIKPGAAVIDVGTNSVDDPTRKSGYRLVGDVDFAEVSKVAGYLTPVPGGVGPMTVAMLLKNTVDGAKRGIVE >KQL17248 pep chromosome:Setaria_italica_v2.0:III:49240633:49241033:1 gene:SETIT_0214552mg transcript:KQL17248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRRPPPRSSSGGVEPRFRQVGFVTSAEPGPAAAPVPAAPAAASPTASDGLSPVMIPPPLIPDHLPVPVPASESLMPSSPPPPSSSRIDAVSDLDDDEDDDVD >KQL13641 pep chromosome:Setaria_italica_v2.0:III:5739417:5740439:-1 gene:SETIT_024272mg transcript:KQL13641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMLHLTPSHASSIPDSFVLPADHLRPATTADVSVSLPVIDMSRGRDEVRRAILDAAKEHGFFQVVNHGVPEQVLRDMEAVCHDFFKMPAEEKAEFYSEDKSKPNRLFSGSVYETLGERYWRDCLCLVYPLPAGDTSDWPHKPQRLREFVENYTVLTRGLAMETLRLLCEGMGLRPDYFVGDISGGVAVDINHYPPCPEPGRTLGLPPHCDRELITVLLPGAVPGLEVAYKGDWIKVQPVPNSFVVNFGLQLEVVTNGTLKSVEHRVVTNSAAPRMSVATFVAPADDCVVGPAEEFVGEGNPPRYRTVRFRDFKRMYNVVNLGSSLNQITSVKNNRKEM >KQL13652 pep chromosome:Setaria_italica_v2.0:III:5796093:5799663:-1 gene:SETIT_023101mg transcript:KQL13652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVTQAQKLDEMLAKQGANVDKVLNFAIDDAILEERITGRWIHPASGRTYHTKFAPPKAPGVDDVTGEPLIQRRDDTAEVLKSRLEAFHRQTEPVIDYYSKKGLVANLHAEKPPKEVTVEVQKALS >KQL13198 pep chromosome:Setaria_italica_v2.0:III:3133683:3135820:1 gene:SETIT_021951mg transcript:KQL13198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLQSFLAVAPVAAKPASSRVPGAGSRIARPSSRRARVSACLAAEAPPPPPTAGAGAARRELTAASLAVMEDEARYLVGTYNRSRVVLEAGRGCKVYDLDGREYLDMAAGIAVTSLGHGEPEVTATIGQQSGTLIHVSNVYYTRAQVALAKRLVESSFADRAFFANSGTEANEAAIKFSRKFQRVAHPDSDDPPSEFLAFTNCFHGRTMGSVALTSKSQYREPFAPVMPGGTFVEYGNLQEAKKVIQSGRLAAVFVEPVQGEGGIHSATQEFLQGLREACDEAGALLVFDEVQCGLGRTGYLWAHEAYGVAPDIMTLAKPLANGLPIGAVLVKEKVAAAINYGDHGTTFGGGPLVCKTALTVLDKIQKPGFLAEVSKKGENFKQLLRTKLSGNPHVKEVRGVGLIVGIELDVPAGPLVDACLDAGVIVLTAGKGNVVRLVPPLIISEKELEQAADVIRDCLPALDAASS >KQL16144 pep chromosome:Setaria_italica_v2.0:III:31227004:31227585:1 gene:SETIT_025713mg transcript:KQL16144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLCSLSNISLWLIAIAMVAPMLCCYCPGPDSNILPTSGQLFDASRIMRFPCPRCQMF >KQL13407 pep chromosome:Setaria_italica_v2.0:III:4305762:4307300:-1 gene:SETIT_022724mg transcript:KQL13407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDHVSAYMHRSGDQQGAPSSCDLAAVDDVPANGHKPGKAVTASVYRAKIAGHSRVVTVSWSRDLLSHAFAVAISGADGASAECRVELRPWQFWRRAGSRRVELCGGASTAPATVRVLWDLRRARFGAGIPEPRSGYYVALEAAGEVVLVHGDMRRDALRRAACAAAEAEAVPVARREHVFGRRRFAAKARFHDQGDVHDIAIECGGGGGGEGGDAADMEMSIAIDGEEAVQVKHLQWKFRGNQSVTFSRAKVEVYWDVHDWLFSAGTRPALFIFRPIVLSSASAPAAVAAGMLDGTVATAAFCLYLYAWKRD >KQL13014 pep chromosome:Setaria_italica_v2.0:III:2105710:2108467:-1 gene:SETIT_022864mg transcript:KQL13014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLLHVLLVTVVARPPAAHAWGKDGHYMVCKIAESFLTEEASTAVKDLLPGWAGGDLAETCAWADRQRFRYRWSSPLHFADNPGDCKFSYARDCHNTKGEKDMCVVGAINNYTAALEDSSSPFDPTESLMFLAHFVGDVHQPLHCGHAEDLGGNTIIVHWYRRKSNLHHVWDVNVIETAMKEFYDNDLSTMTQAIQRNITEEWANEEKQWETCRSRTKTCADKYAEESSKLACKAYEGVEQDSTLEDDYFFAALPVVQKRIAQGGVRLAAILNKIFGGNSRLQSS >KQL14618 pep chromosome:Setaria_italica_v2.0:III:12422507:12426545:1 gene:SETIT_022473mg transcript:KQL14618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLHEEMPFDLDFHPSSPLVVTSLITGELCLFRYGPESQPERLFSVKAHKESCRAVRFVDSGKAILSGSADCSVLASDVETGKAIARLEGAHENGINRLVCLTETTVATGDDEGCIKVWDTRERSCCNTFHVHEDYISDMSYVADSNQILATSGDGTLSVNNLRRNKVKSQSEFSEDELLSLVVMKNGKKVVCGTPSGALLLYSWGYFKDCSDRFLGHTQSVDTMLKLDEETLISGSSDGVIRLVGILPNRIIQPLAEHSEYPIEALAFSNDKRYLGSLSHDTMLKLWDLQELLNGPQAVNGEEPAESGSDDSDDDNDDDGMDVDMAPTSSKGSRSKKAGKGQSSSRPASDFFADL >KQL15335 pep chromosome:Setaria_italica_v2.0:III:19002739:19003257:1 gene:SETIT_024513mg transcript:KQL15335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSAIRVLYVIPLLILVILHEPGQTQAFPYRSLLQTCQPSGSIPGESGNCNTENGSECCQDGRSYTTYDCSPAVTGSTLATLTLNSFAEGGDGGGASACTGRFYGDDQMVVALSTGWYGGGSRCTKNIVITAASTGKSATAMVADECDSTTGCDEEHNFEPPCRNNIVDAT >KQL15295 pep chromosome:Setaria_italica_v2.0:III:18742365:18743416:-1 gene:SETIT_022633mg transcript:KQL15295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRDIVVVTRMLSPHYDNVLPPYAAGRRAAALLDHRRYRPNVEVAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKPVRAMPVDAAATGTAGGATAPYYQRSSSFPGTLRPDLLLEGMVGSPAGLCQPMEAAADKPAVVEGSTIDLALLYAKFLNHQPPPAVEPCAILPESLDALRGSSSDMSPDVPPPPDHHPFTRQDAFGELSTPASADPGAAAPQCPDARTEVLAELGFSVDQSCYDSLGLSTDDGDLILPSTWQPEAKYEPFDPLPEDAMSLHGGISGGDDVWSSALACQGLEAALCRP >KQL13526 pep chromosome:Setaria_italica_v2.0:III:5025616:5026151:-1 gene:SETIT_024366mg transcript:KQL13526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSARSTTPSSAPVPASLLVINKIISMSCCNGNCGCGSGCKCGNGCGGCNMFPDVEATSTTTTTMVIAAATNKASSGGFEAAMESGGCDCNTCKCGTSCGCSCCSCN >KQL14772 pep chromosome:Setaria_italica_v2.0:III:13752606:13755678:-1 gene:SETIT_022079mg transcript:KQL14772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVASSSTPRPLRLAPHRTLGGATGHVNAPPLRHRRQRLAVSASAGAEAETSGSERFYFNFTGFPFPLGPFLNRRTIRTEAVKGSIWLFEQEQALGFSSVSTNTRMTVIKLRSGGLWVHAPIAPTKECIQLLKELDAPVEHIVLPTFAYEHKIFVGPFSRKFPKAQIWVAPRQWSWPVNLPLEFFGIFRAKPLNDEDDATPWASEIEQKVLSSPEVGIGPYVEVAFYHKPSKTLLVTDAVIFVPRQPPECISKESLLASAKNGLAVKILSKGKEVPDEPVVDNKLNRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWVDRIAADWQFRRIIPCHFAAPINVSRSDFLAAFAFLDEFLPDRPAPAPGLSLLFASFMGKAASYFPPDDMKTLSSLDEFLVSVGAVKKTVSGRKR >KQL15858 pep chromosome:Setaria_italica_v2.0:III:24752245:24756418:1 gene:SETIT_022537mg transcript:KQL15858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQISSLLQGLARSLSVGRERRGDGGGGEGKAAAPAVLRSSGTVWGEGSETFAAVCSRRGEKGTNQDCSIVWEGFGCQEDTIFCGIFDGHGPWGHYVAKAVRDSLPPSLLCHWQEALTLASLIDGEKRLSDCRFDLWKQSYVAACAAVDDELRRSRRLDAVYSGCTALSVVKQGDLMVIANVGDSRAVLATTSDDGTVAAVQLTVDFKPNLPQEKERIRRCNGQVYCLADEPGVYRVWKPTQDSPGLAMSRAFGDYSVKDYGVISAPEVTQRRISSRDQFAILATDGVWDVLSNEEAVQIVAGTPERGKAAKRLVECAVRAWRRKRRGIAVDDCSAICLFFHSPPS >KQL15928 pep chromosome:Setaria_italica_v2.0:III:25567581:25567692:-1 gene:SETIT_025248mg transcript:KQL15928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEARPSKKARGAAGSGLTAFALRLAKHLTEDADGG >KQL13373 pep chromosome:Setaria_italica_v2.0:III:4111016:4113006:-1 gene:SETIT_024397mg transcript:KQL13373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVARVATPCFAPAHRGGRGHRADDGTAADGQTNGPADDGSSSIGHILSFDGREGPAFAGAIHGVLLPSNQSTVGSGGGGGSVLNDQMSFSGSSSFDSSNSFSFRKLQPRQYSGPLEYSTSPSTSATTSGVSVSRQPRRTDEQILADLYATRHRRQCLQASKPSPLLGGLRRAFASVLRASPCVSPGRNQDRGEHVAVAVGNGSGGGIGAAIGSHAESGSKGSEAATDDGAARVEWARGKAGEDRVHLVVSEEHGWMFVGIYDGFNGPDATDYLVANLYASVCRELDGVLSSEDADPADWPDGQQQQCNGRRRAAGEQEQEVLDALARALRSTEAAFFAEAEERAAECPELAMMGSCVLVVLMKGADVYVMNVGDSRAVLAQRAAAESPPPQPDMGELAALQLTMDHSTSVYKEARRIRSEHLDDPACIVNDRVKGSLKVTRAFGAGYLKEPRWNKALLQVFRVNYVGTAPYVTCRPFLRHHRLGSRDKFMILSSDGLYDYFTNEEVVAQVEAFTSRYPDEDPAKYLSHEILLRAANQAGMGFHELLEVQQGDRRQYHDDVSIIIISLEGKIWRS >KQL15638 pep chromosome:Setaria_italica_v2.0:III:22058668:22060872:-1 gene:SETIT_024759mg transcript:KQL15638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGECKSNDYGAAAYWDARYSSSSAGSPASGGGGEFFDWYQTYSALRPLLRACLPASSRVLMLGCGNSLLSEGMAKDGYEDIVNIDISSVVIEQMREKHKEIPQLTYMQMDVRDMSFFGDESFDCVLDKGTLDAMMCADDAPDGASKMLAEVARLLRPRGIYLLVTYGAPKERVPLLNQAGCSWSIALYIMPTPGYQLKMSKGAPQPTMEELALTEDGPPDYVLKDPDSHFIYVCHKLAVEEANCRDIDPEETANAN >KQL17032 pep chromosome:Setaria_italica_v2.0:III:47756931:47761513:-1 gene:SETIT_022145mg transcript:KQL17032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGATIPAVAIMPSPLFLWRFKAILFLLWGLCCCKIGWDSVMRMSADLRDLFLYEAFLYYNPLLLVALMIWLWGVNLWVFAQSSVNYAKVFDLAQTHLSHREIWRCATWLTLIVPTSMTAYLYLYSHGEVSLAASQPVLLYAILLIILFSPFDMFYISSRFYFLRTVLRIILPLQAITFPDFFLADIFTSMSKVFSDLERSVCRMVNRQVATIAWFEADSICGSHSIAIPLVLVFPYLCRFFQCLRQYRDTKEKACLLNALKYSTAVPVIFLSALKYHVFPEQWVGFYRPLWLISSVINSLYSFYWDIKRDWDLSILTRIFMFKNPSIWTNLLYGQNWVFYWVLGSNLVLRCTWTYKLSAHLRHNYLTLFTIAALEILRRWQWVFFRVENEWNKMTAKQNLEMSSDMPSEGDRLLDSSNHTV >KQL14290 pep chromosome:Setaria_italica_v2.0:III:10183355:10183790:-1 gene:SETIT_023976mg transcript:KQL14290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGGGRMQQERFGGGRGTGIGRRSVAPYATARQSPERRGKGTHQQPRRRDHYENK >KQL15790 pep chromosome:Setaria_italica_v2.0:III:23878461:23880587:-1 gene:SETIT_024980mg transcript:KQL15790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEATPPPAAPVPPPAISRPRYSGTVERTFRDFTIRRAALIRAVTQDEKVLFRKCNPRMESLCLYGNTDGNWEVLPPKLFAPSSGQPEPKLGINLVRDKMRRLKWLQHISEHSDAWLIRISFFLAANLEARERQRLFTMISSLPTVQETFIASETYRRLCHLENMIDETKDEDEGCGPYPTFCASCGDRYLAKGFWVRCTLCKCWSHGKCVKVKVGQEELIKNFECPECCAEKRGHD >KQL15145 pep chromosome:Setaria_italica_v2.0:III:17389699:17390502:1 gene:SETIT_023760mg transcript:KQL15145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSSSSASYIRMVHHLIEKCICFNLNKEECMDALEKHANVNPVITSTVWKELEKENKEFFETYNKDRVERNIEAETMQRIQKMLAEAAASKTSDDDEG >KQL13072 pep chromosome:Setaria_italica_v2.0:III:2403233:2409946:1 gene:SETIT_021480mg transcript:KQL13072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEERLKCDCTLPKPICLLHSAARHKQICCAPHCIRPTKPYCSRSASLPSPPRLAPSRFLPHTSPPPPPPARSPGGASERGKASVMSLRVLNPNAEVLNKSAALHMNINAAKGLMDVLKTNLGPNGTIKMLVGGSGDLKLTKDGNTLLREMQIQNPTAIMIARTATAQDDTSGDGTTSTVLFIGELMKQSERCIDEGTHPRFLVDGFDVAKRATLEFLEKFKTLVVIGDEPDRDTLKMIARTTLRTKLYEGLADQLTDIVVNAVLCIRKPEEPIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTCNVSLEYEKSEINAGFFYSNADQREKMVAAERRQVDERVKRIIELKNKVCAGGDKNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTEDCLGWAGLVYEHVLGEEKYTFVENVKNPLSCTILIKGPNDHTIAQIKDAVRDGLRSVKNTVEDEAVVLGAGAFEVAAKKHLLDNVKKTVKGRAQLGVEAFAEALLVIPKTLAENSGLDTQDVIVSLQNEHDRGLVVGLNHHSGEPIDPQMEGIFDNYSVKRQIINSGPIIASQLLLVDEVIRAGRNMRKPT >KQL15613 pep chromosome:Setaria_italica_v2.0:III:21643583:21645355:1 gene:SETIT_024729mg transcript:KQL15613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHLSPPHHPSLAAGDAKKQPHLGADPSKRASCFGLLGGGGGDHHHHHPKKPGPSAAKLALASFLGVIVLLAADASLAGAGAHRRLRRQYLHYVGSGSVEAGSPPWLSVPDRPNFTDDLLARWLAPGGTPCRDARTANISVPVLDGAAARVEATELRAGEIHEFTFWALDDAGKRRCLGGDYFEVDLSGDAWKSRPPVVDRGDGSYSFRLQVAPRFAAGEFRLTVVLLFRSWEGLKFSSARFKYRAELRRIPLLFRPDSNVSLPALETCRAADFARDAWSGRWTRLAKNDDCEEVDAAGRYRCLEPDHPCEAPWCDGPLGALESNGWVYSAHCSFRLFAADAAWRCLDGKWLFFWGDSNHVDTIRNLLTFVLGVTDTSAVTRRFDAVFTNPSGGPGTLRITSIFNGHWNMSMNYLGLHSLRNREFRQLIRSYFMSSDHVPDVVILNSGLHDGCYWTSVRAYAQGAEFAAQFWSGIMAKVRARVHASPRVFYRTTIATGGYARDLAFNPSKMEAFNGVLVEKMRRHGVLTGGVIDNFDMTFPWHYDNRCNDGVHYGRAPARLVWRDGKIGHQYFVDLMLGHVLLNAICNG >KQL14514 pep chromosome:Setaria_italica_v2.0:III:11699845:11701763:1 gene:SETIT_021980mg transcript:KQL14514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAMAVSGRWTRVRTLGRGASGAEVFLAADEASGELFAVKAAPASAADTLQREQGVMAGLRSPHVVPCIGGRAGRDGSYQLFLEFAPGGTLADAAARSGGRLGERDVRAYAADVARGLAYVHGAGLVHGDVKPRNVVIGGDGRAKLADFGCARRASAAAAAARPIGGTPVFMAPEVARGEDQGPAADVWALGCTVIEMATGRAPWSGVVGDVLAAVRLIGYTDAAPEVPRWLSAEARDFLGRCLERRPGDRPTAAQLLEHPFLASAGGCEATKGEWVSPKSTLDAAFWESDSDDEDHDVSSQSTAERIGALACPASALPDWDSDEGWIDVLSTPTEASEAATAADAVEATCLDGGVGSEEEASAEAVPHDIDADSGAGAHNVGEADSSAEHERRHPCVNSGCDDDDVPSLSFPATARAEGSNAEGASHSRRHGKAQRQRGRRKGFLPFRSLLRPYESFEPN >KQL12785 pep chromosome:Setaria_italica_v2.0:III:850059:851636:-1 gene:SETIT_024528mg transcript:KQL12785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSARLLRVLAILLVALPTRSAVHAANDTEAVGAAGHDDDRPSPPCSPADRAALLGFKAGVAADTTGILATWAGNDCCGAWEGVTCDAATGRVVALQLEAPPPKSRHYMQGALSPSLAGLEFLQALVIRDMGRIGGAIPAAMSRLTRLRELYLEGNMLAGAIPGSLGKLGSLQYLSLAGNRLDGQLPPELGAVSGLEQINVARNSLSGAVPPSYKNLSRLAYLDMSNNLLSGAVPGFIGQFKNLALLDLSNNSFSGEIPASLCTLHSLTDLSLSHNKLGGQIPPQMGSLRSLNSLAMDDNMFVGSIPASFLGLQKLWYMNLSRNGLSGPLPTGIRNALPSLVSMDLSHNHLIGDIDQFFRSLSTAINDVKHSNNPSQIVLPQKLEHLDLSENRITGALPDFARGAGLKWLDISSNAIGGQIPISISKLSNLERLDISRNRVRGIIPASMAEMVHLQWLDLSSNALVGRIPDNFTRLTSVRHASFRRNKLCGQIPQVKPFNLFHAAAYAHNLCLCGKPLPPCRKI >KQL14603 pep chromosome:Setaria_italica_v2.0:III:12280608:12283130:-1 gene:SETIT_023647mg transcript:KQL14603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASRLAQLQAKACEATRFVAKHGCAYQRSLVEKNKKYVVEPPTIEKCQELSKQLFYTRLASIPGRYEAFWKELDQVKQLWKNRNDLKVEHAGVAALFGIELYAWLCAGEIVGRGFTLTGYHV >KQL17148 pep chromosome:Setaria_italica_v2.0:III:48564257:48565084:-1 gene:SETIT_024719mg transcript:KQL17148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAENNYGVWAVKMKIFLRTQGVWAAVESKSPVDEKMDQITLAAIVQAVPEAVVIAISEEEKVKKVWKALEDMHVGEERVKKARVQTLKRELAGMYMGDSEKNNDFALKVTTIVNEICSLGTKVEEITVIEKLLHFVPDKFGPLISTIEQWGNVEEMSVMETIGRLRAFEESSKGRRRDKEVEQQLLATCAEPRLTRAEWEAMVAQEKMSSNGSNSNSNKTGEKKYRGKFDKSKIDCRNCGEFGHFADECPVEKKVQNGVAQLVVADVDNEPKLL >KQL17342 pep chromosome:Setaria_italica_v2.0:III:49853832:49855418:-1 gene:SETIT_022497mg transcript:KQL17342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDAAVTPSSSSPLSRVISREQRDVSGAGARPRRRLIKSQSEMSTVTCEVEPSCAATAGGERATEFGGKVGVPPREPQRRLLFAVAGKGKGRRLGDGRGGGSALAGFYWYGNLLLGLRRRCGADGGGGSMQVFVRTPAGSTLALDVSPSDTVGEVKARIQARERVAAGQQRLVFAGRHLDDGRRTLADYGVGKEANLHLLLRLRGGLAGGHTNTGTAAAIGNPHWMTTVGLLATVVAVGAVLHCCFPPSASCCGGLGGAMLAVAVAGVNLITAGVCLTRAPAAESLSRMARFVLSRAAAFPRRDVAVLGVAAATGIFVGDAQAQPVLSFVCFALFLVSMAVVTIGIASSTR >KQL15890 pep chromosome:Setaria_italica_v2.0:III:25056515:25056838:1 gene:SETIT_024820mg transcript:KQL15890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQHGRSSWVRQEPAPRPTRLGPGDWSMRARAQRPAERDRVEKTKRETVGRARAGVHRRHPGCAGVRDCRFTSSTPPRPPVPPVRRPNGTHVQSFAWLFAPV >KQL15337 pep chromosome:Setaria_italica_v2.0:III:19013528:19022945:1 gene:SETIT_024969mg transcript:KQL15337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPNNSCPSPKLHTRLRLWEFADQYVFEPVDGLADLFLSVSRVNGSMSLVEELPQRGPSTNPKVQIVFGVIGVLKLEVGTYFLVITDRDCVGSYLGHAVFKVTGLRVLRCNNSINASAEQKNMDTEFLELLDAAERTIGLYFSYDSNLTVTSQRLHELGDEFKSLPLWRQAEPRFLWNGYLLERLIENKLHQYLLPVIQGSFQSIHAEVRSEKVNVTLIARRCTRRIGTRMWRRGADPEGYAANFVESEQIVQSKGFTASYVQVRGSMPFLWEQIVDLTYKPGFDVVRVEEAARVLERHFHDLQKKHGAVVAVDLVNTHGGEGRLYERYAKSIEPILSEDIRFVHFDFHKICGHIHFERLSQLYDQIEDYLKKHKYFLLSDKGEKTEQQTGTVRTNCVDCLDRTNVTQSMIGRKLLESQLQRIGVFGANDTVSNFLDFDANYKVLWANHGDAISTQYSGTPALKGDFVRYGKRTTQGILNDLWNALARYYLNNFVDGTKQDAMDLLQGHYISSVSRDMAPTSKAGLLENYASFRLAFALVLVAVSFMIISLRQARNDARHLVLSLMWAGLCIGISRYVRTNGRMFCNRPRFYQSRH >KQL14724 pep chromosome:Setaria_italica_v2.0:III:13173983:13174438:-1 gene:SETIT_024019mg transcript:KQL14724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFSTYMFLLKAMVARLRVHNNTAAPTNMAAREQYRYDKYTAITQ >KQL14459 pep chromosome:Setaria_italica_v2.0:III:11358685:11359330:-1 gene:SETIT_023967mg transcript:KQL14459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKVSMYSDSFVASQPRESDVVKELFVFLSCLKQARTLRGRRNCTGVVCSDVSYVDDR >KQL14732 pep chromosome:Setaria_italica_v2.0:III:13231911:13235521:-1 gene:SETIT_021568mg transcript:KQL14732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDPADGGGAAHPASGGKGSRSSTRHRQFRDRAKNRVDDLQEMFSGLQSARKESRSADAAVLEEQVHQMLREWRAELSVPSPASSFQNSQGNNREASDPPSETLRLLQLAVAEEEDDATSKLAVPRSPQQVPAFHQNQGHGHGQDVQMPNLGQQGEAVAGGAAPLQQPLGQGVQGDCGEVAAVANAMFNDQFNDQMYYIDHELSIDDFLQDDDYKINLPGSNEDHFNNLHEVGPLENQQFDLPLDLPPHSYIDANNSEQNTGDVFVHMSDLLTTIWPSPSQYLGPKCALWDCGRPVGGSEDSGDYCNPYHAGLALNDDGLLGTRPVMRPRGIDLKDGPLFAALIAKVQGKNVGIPVCGGAATSKSPWNAPELFDLSLLEGESLREWLFFDTPRRAFESGNRKQRSLPDYSGRGWHESRKQLMKDFAGLKRSYYMDPQPSSSHEWHLFEYEINSSDALALYRLEYKSCDSKKSAKSKLASSSLNEIQQQMVRLTADSPVENKRTARSKPKANHKNTNANVFAHVNTPSQVDAPNAYQAAPQVNQMTFLNENVVYGPHLPHSENVVYGPHLPHGYSAEGSSFFWNPRDGT >KQL15537 pep chromosome:Setaria_italica_v2.0:III:21211185:21215195:1 gene:SETIT_021230mg transcript:KQL15537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMSADTRAGAAAVAVLLFVAVAAAAGVVAAAPRRILVDTDMDTDDVLALLYILKHNRSEFDVKAITINANEWSDAGHAVNHVYDILYMMGRDDIAVGVGGDGGISGAGDIRPNVGGYLPLIDQGMSTAGGCRYRQAIPPGRGGRLDIDTNSGVRRGFLPQGPRGYRPLRQPTAQRVMADTLSAGPTSVLLLGAHTNLALLLMTHPHLRRNVERIYVSGGAVRVAGNLFTATAANPFAEFNFFGDPFAAYQVLHSGVPVTLVPLDATNTVPVTEEFYSEFRRRQSTYEAQYGFQSLDQGYYMWDSFAAGVALSSMRHGETNGGGNEFAELEYMNITVVTSNEPYGARDGSNPFFDGRTKPKFGLQEGGVHSGHVQTGIRDAFCLVPGSNRGRCEDGYTTEVSGPEAVQVLNLPKNTGRFNISTQFPYYREVLYKPDFRNVSRGKPVIFDMDMSPGDFVSLIYLLKEPREVLDLKGVLINGNGWANSASIDIVYDVLHMMGRDDIPVGLGNTNALGNPTLGCKNSYAIPHGSGGFVDSDTLYGLARSLPRSPRRYMSDNLDHPERRQAHAYDVWQSVRKQLGPGEKITVLTSGPLTNLANISLSDMDASSVIERVYVVGGHIRDSDHDKGNVFTVPSNRYAEFNMFLDPLAAKTVLESRLDITLIPLNVQRKVASFEDVLAALEQRTQHTPESRFVHGLISMLQELQRKQKLYHHMDIFLGEVLGAVYMVQGSDLEPSVEAKAVSIVANTTESTDGQILVRRKSANVLKILYNLNNGVYYNHLANSLVNNKQSAIVGSFEEQKAIWSRPQKQFMADITKDMK >KQL15191 pep chromosome:Setaria_italica_v2.0:III:17716195:17716522:-1 gene:SETIT_024127mg transcript:KQL15191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLAPSSSPEPEPPFQPREKILEKQRYFQSVHKPTYLKGRYDVITSVAIPLALAVSSMYLVGRGIYNMSHGIGKKE >KQL14461 pep chromosome:Setaria_italica_v2.0:III:11360582:11361519:-1 gene:SETIT_024673mg transcript:KQL14461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRPRFTRGAEPGELPLRAFAFLKTSPPSLASPSRLSPAPTSRLLLMVLMAGASDSDHHHPTAKTAPAPPAPASPSPSPSPAARTRLHGFSFPTLSWGTHRLLRCSKDGASASASASPPLHPQTPSPEKEKPQGRQGSPGAATGAPQPPRPWNLRTRRSATVAPLASRSDGAGKAAAEQPLASPPAVARKRGFSAALTKEEIAEDFAAIRGTRAPRRPKKRPRAVQRQLDMLYPGLSLADVNLDSYKVEER >KQL15259 pep chromosome:Setaria_italica_v2.0:III:18349841:18353184:1 gene:SETIT_0218801mg transcript:KQL15259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDGVTHSGPNKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPRKFKYIYLLATLYVFTLTLPSAAAMYWAFGDQLLTHSNAFSLLPRTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVVGMHETRSVCLRALVRLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYVIPALAHMLTYRSASARLNAAEKPPSFLPSWSGMFVVNAFVVAWVLVVGFGLGGWASVTNFVKQIDTFGLFARCYQCPPKPHAGSPLPAPPHH >KQL15510 pep chromosome:Setaria_italica_v2.0:III:20996068:20996612:1 gene:SETIT_024296mg transcript:KQL15510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDRFGERIPKPRHGSETWQPSPSVTLKINCDGAFSAKDFSRATGVVIRRADGSFHAPASRWLPTVASALIADAEAYRDGLRLMHGAGSARVLVETDSLQLVTLWKNRKNQRSQIDYTRCSANIAAHMCAKLASLDRPSYVWHDQPLIFLMYCLKSECTTAV >KQL16220 pep chromosome:Setaria_italica_v2.0:III:34517865:34518300:-1 gene:SETIT_023853mg transcript:KQL16220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTNAHISSSEWRWRCSCSTGTGTTLVKLCICNFVSTICQFSGLLDNWVSDSNLRILCNFRSDTFTSGSNPLFQAGE >KQL16311 pep chromosome:Setaria_italica_v2.0:III:37150093:37154101:-1 gene:SETIT_025058mg transcript:KQL16311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FYSVLSGGSSSESDPDTASQVAEVETEEDEVTYFDTRDFLSAESLRSASCRRKELVANGCNGSEYVGDSVTNTVKTTKYPFVLRRDKLPEPKEKEKPIGLWSIIKENIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDHALQWGKQGDSLMRILHVAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGVRFFSEKVSHHPVVVACHCEGRGWRFWGDSNLKGKFWGRSIQLDPIGVLTLQFDDGETFQWSKVTTSIYNIIIGKIYCDHYGTMRINGSGQYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLIGKWDETMYYVLGDPSAKPKGYDPMSEAVLLWERDKSLNQTRYNLSPFAISLNELTPHLLKKLPPTDSRLRPDQRHLENGEYEMANAEKLRLEQLQRQARRLQEKGWKPRWFKKDEDDSYRYVGGYWEAREKGNWDGIPDIFGQNSVSPGLP >KQL14584 pep chromosome:Setaria_italica_v2.0:III:12167463:12170076:1 gene:SETIT_023862mg transcript:KQL14584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMLRVRLASFFAGAAAAAAGGGYFLYKDYKLAHDSMALKVKGLQDSTDARYKALEKRLAALEGQQSTGAAPDSSD >KQL13561 pep chromosome:Setaria_italica_v2.0:III:5247917:5248394:-1 gene:SETIT_025258mg transcript:KQL13561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPGEKLGALARVRAYVLVLVVALRVCTATGDAYGGGGLSLERVVKESLSPVFAVDPTSPAALLSLLFHDCQVHVWDRSFHTCINVGGHTLGGSHCINVNTGRERRDEGYEATLHLKEN >KQL17235 pep chromosome:Setaria_italica_v2.0:III:49147654:49150390:1 gene:SETIT_024641mg transcript:KQL17235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRNRARGGGRRRGMVRVDGPRAGQAAEGAWHAAADQEGGGACAGHLPAAAIWLLRRRRRKHPASLVDEEEGGRRLEAASRPASMVVSSSLAIVCFACKGEKGSEHSATVALQPPFAVYNGRFGPGLGQSMVCADTTDHAKQFEGIFHWRRARAKTERVNRLVKARKPYLQESRHLHALCRARGSGGRFLNTKKIQQSDLGNLSSVSSLSGSEVSSIYDHEDVDHYHSFHHLYIPFTHSRASWTWPAASEGCCDLLRA >KQL16179 pep chromosome:Setaria_italica_v2.0:III:33606777:33609557:-1 gene:SETIT_025283mg transcript:KQL16179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLAFPLVSHLPPRKPPPIRQRPPPARRYAASAATTSSTPSSPPPSHPPLPPSSAYVHLPFCRKRCHYCDFPIVALGSSSGTTPSRGEAADDPRIVDYVRLLLREVAATRPVSEDGVPLETVFFGGGTPSLVPPRLVAAVLDALRGRFGLSACPEVSIEMDPGTFDAARLRELVGVGVNRVSLGVQAFQEDLLRACGRAHGVKEVHEAVGIVTACEGLQNWSMDLISSLPNQTEEMWEESLRCTVDARPTHISVYDLQIEQGTKFGQMYTPGVFPLPSDTESANFYKIASKRLSEAGYNHYEISSYCKSGYECKHNLTYWQNRPFYAFGLGSASYINGVRYSRPRRMKEYAEWVQELEDGTWSHKSRSSDMKDMALDVVMLSLRTAWGLDLQSFSKSFGKSLALSLCNTFKPFVESGLVIAMDMERQALPHIDFELDLQNEGDFGSRVAFIRLSDPDGFLLSNELISLAFGIISP >KQL16104 pep chromosome:Setaria_italica_v2.0:III:29480429:29481064:-1 gene:SETIT_024858mg transcript:KQL16104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQCRSLEDLPMELVTEIAGHVAATSFQPMDDLGRLRATCRVMHHACGNPSVGRHVALLKTYYALLPLLVRVGNPEACTLTGIVDFFAAPQPSLHELPRATASRHNVGAYLYALMMYKNNSGTTDDNITKMYIRCVECEDGSAASDPKKLRNNGCQVCHEEATYLVTRVTWHGHGDLLPPAPVHGDFPCAGGDCGKV >KQL15695 pep chromosome:Setaria_italica_v2.0:III:22648840:22650800:-1 gene:SETIT_022518mg transcript:KQL15695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCWCSCLECIHNIPPLNLLFLHFSDPALPGGGGGAASGPVSMASISVPNPVPSATEDAENIRKAVQGWGTDEKALIEILGHRTAAQRAEIAVAYEGLYNETLLRPSAMMLWTMDPAARDAKLAHKALKKKGDRHVWVLIEVACASSPDHLVAVRKAYCAAYSASLEEDVAACPLYKDPLKQFLVRLVTSYRYSGELIDDELARAEAAALHDAVVAGKEPLRGDVVRIVGSRSKPQLKATFERFRQEHGKAIDDVLEERRSDQLAAVLKTAVWCLASPEKHFAEVIRSSIVGLGTDEESLTRAIVSRAEVDMRKVKEEYKARYRKTVTSDVNGDTSGYYNGILITLVGPE >KQL17010 pep chromosome:Setaria_italica_v2.0:III:47577547:47580505:-1 gene:SETIT_021428mg transcript:KQL17010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPENKLRGYIQDNGKPMWTAHNNHNMRYFKEDEIKIITNNYNTLLGKGAFGEVYKGVLDDSSPVAVKRYIHNVKENFAKEVIVHCEINHRNVVRLIGCCIGEKELMMVTEYISRGNLSDILHCSETSISLETRLGIAIGCAEALSYMHSQMYGQVIHGDIKPANILLDENLNAKISDFGISKLLSTDNTLYTTHVIGSIGYMDPLFARSGRLTSKSDVYSFGVVLLELITRRKAVDEGKISLTENFTQALAKRKKIRDFYDVKVSHDNNLRILDGIAKVAAKCLAMDIEKRPEMKDVAEHLRKLRKAQYESRENIALFGWVWRSKQAPQNMVPTDKMDQVLIEEVQAQKAGEVDINVHNITAMREGSPRYHSFSSLQEQELEELLRSSAEVLGKGKYASTYKAELDNSTLVVKRLKIEGVPEAVFKKRIAAIGAIEHELIVPLRRYYLNKDEKDALLVYDYFPMGSLSSNLHGITWETRSAIALSAARAVAYIHSTNATASHGNINSSNILLTGSYEARVSEHGLKTLVSSPTSITNNDIAQKDDVYSFGIILLEMLTGKLSIKRFNSQEPDLLDWILSVPDEHWAAQVFDKKLLTENSSAEMVHVAKLAIHCCEKKPTLRPVMSEVAQQIEEILGSKAGDRQLTTGRS >KQL15388 pep chromosome:Setaria_italica_v2.0:III:19520401:19525298:1 gene:SETIT_024598mg transcript:KQL15388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTPATSDEDCSTNTKVIDDLESIKQPTNMKKDDDGVVYEEDTDEEDNIISGQEHSNINDPYDLVYSNIPDNTHKLKPVENCKYCDAKKFHHEPEGLCCRKGQIKLANLEAPHQLMRLWTSNDSDAIHFRKNIRFFNGHFSFTSLYCRLDIDTTTMKKSGIYTFRAHGQIYHNIRSFGKDGSDPKHLELYFYDDDPTLEHHYRYCRKEMYEQDKHVLLIITNILRNNPYSEQFRSLGQEENLEDYRVMLNLDQRLDQRTYNAPITSEVAAVWVEGNERRNTFDRNVILHGNNNEIQGIRSYVGCYDPLSYPLFFPRGELGWHADIPKVGITTEDVMKARANQNNKNNDPDSSGRMWVTMREYYCYKFHVRPNIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWKHQKEIRADLYQGLLDSIHAGQDRGDAVGKRTVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEEITNELEFGQTPQDRPDLVVRVFRAKLEEMKKELLEEHILGKVKAYTYVVEFQKRGLSHAHFLLIMTGKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHGPCGTLNKNCACTKNRKSCKNYYPRPFNATTIQGKDSYPLYRRRDDGHNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVEKSMLTAYFEANKIHEKARGILYRDFPEHYTWQTQGKFWQQRKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGATCYEDLRTVDAKILPSFREAAERRGLIEADNTLDDCLTEAELFRMPSSLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNARTVEQMVLINIREMLQSMGKDIRSFPLPEIDEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKAAYNEILTAIDRDEGGLFFVDGPGGMGKTFLYRALLATVRGQGKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTAKLLQAASLIIWDEASMTKRQAIEALDKSMRDIMDVPNLPFGGKIVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNCMRHMKLVHNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSGLDKLIDSVYQMNSACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDSVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDKMFPFCFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTAKNIKILTAENDDEDEDNKQEKRKKRKTKSDMSDKKEVNQKDTTDRYTKNIVYSEVLTK >KQL15149 pep chromosome:Setaria_italica_v2.0:III:17429805:17436027:-1 gene:SETIT_022202mg transcript:KQL15149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGARGGEEGEELLLRAVDAGDDVSGGLCLGERPWRLNFDRFRRPEAEQEKPARGLHDCLGVLAQGSADDVAEYYQQQLEMLEGFNEMDTLADRGFLPGMSKEEREMVAQKETWAIRLSNIANMVLFAAKVYASVRSDSLAIVASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKRRMQPLGILVFASVMATLGLQIIIESTHSLVSDGDEFRLTKEQEKWVVDIMLSVTLVKLLLVIYCRTFTNEIVKACAQDHFFDVITNVIGLVAALLANYVQGWIDPVGAIILAIYTIRMWSITVLDNVHSLVGQSAPPAFLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPCAMPLREAHDIGEALQEKLERLPEIERAFVHLDYEFTHQPEHARSHDT >KQL16954 pep chromosome:Setaria_italica_v2.0:III:46999321:46999983:1 gene:SETIT_023938mg transcript:KQL16954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFISLPSILADGTVPDVLTSAVVLCFVSVIGGHPWLPKTVCVFLFNCCYYAMQPEKALYF >KQL15032 pep chromosome:Setaria_italica_v2.0:III:15909779:15911851:1 gene:SETIT_023402mg transcript:KQL15032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLLMGWGRPRWWRGEGEVRAEARRRRMRLRGSGGPRRRLPLLCRRRACFGSPEEDGGSSGGRSPSRCSGGDRVDAGAGAGGPLRRPAAAVGRSGVAPAAVHVQVRQLQPLLPGARVRAAGGAGHHRVLPGGVAVQVPEPALHAVMSAARRHKARPVRCVSKQANPQERAQETRTTGTA >KQL15984 pep chromosome:Setaria_italica_v2.0:III:26534137:26534949:-1 gene:SETIT_024492mg transcript:KQL15984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLNRAALITDGDIIAADGSVAARPWRVCTVQQVEDFKAVLRILPIWSATIFLSIAIGVQINFTILQALAMDRAAGRFTIPAGSMIVGCLIAVVISLGLLDRVLLPLWRRVTRHDLTPLQRIGAGHVVTIASMAASAVIERRRMDTVRAHGEEGNPAWVSPLSAMWLLLPFALSGAGEALHFPGQVTLYYQEFPPSLKNTATGMVAMIVALGFYLSTALIGVVRRATAWLPDNMNASRLENLYWLLTVLVAVNFGYYVLCARLYKYQNIGK >KQL15268 pep chromosome:Setaria_italica_v2.0:III:18492588:18495692:-1 gene:SETIT_025035mg transcript:KQL15268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKRKDVWGLLLVLLLGQLVAFTLAASSFSSSLIANLGVDAPLTQSFFTYLLLISVYVPIVLYRRRKLQAYQYSYITSVTLLDCWTIVLIILTWYALGTRYSFWQFVGAGTCVAGLVLLSNAKATDEQEKIPLLGDALVIAGTVCYAFSNVGEIGLFAVYALAFSVFYTVTPYVLKNSGSTLFNLSLLTSDMWAIAIRVVFYRQQINWLYYLAFGVVAIGLIIYSLNESSLDDERAASTEAAAQYQQLQREDNSEGSGSNSGIQERALKEEVHIC >KQL15648 pep chromosome:Setaria_italica_v2.0:III:22133091:22140048:-1 gene:SETIT_021098mg transcript:KQL15648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVGKRVLDAGWLAARSTEVALTGVQLTTTQPPAADPHPAAPWIRAAVPGTVLGTLLKNQLIPDPFYGLNNQAIVDIADAGREYYTFWFFTTFQCVPSGNQHVTLNFRGINYSAEMYLNGHKEVLPKGMFRRHTIDITDVLHPDGNNMLAVLVHPPDHPGRIPPQGGQGGDHQIGKDVATQYVEGWDWMCPIRDRNTGIWDEVSISITGPVNIMDPHLVSTFHDDFKRSYLHCTLQLENKSSWIADCTLKIQVSTELEGNICLVEHLQSYAIAIPPQSDLEYTIPPLFFYKPNLWWPNGMGKQSLYYVEISVDVKGFGESDSWSHYFGFRKIESTIDNSTGGRIFKVNGEPIFIRGGNWILSDGLLRLTKKRYMTDIKFHADMNFNMLRCWGGGLAERPDFYHFCDVYGLMVWQEFWITGDVDGRGIPVSNPNGPLDHHLFLLCARDTIKLLRNHASLALWVGGNEQVPPVDINRALKNDLKLHPMFVSSQASNSQEKCLSEESTDPSKYLDGTRVYVQGSMWDGFANGKGDFTDGPYEIQYPESFFKDSFYKYGFNPEVGSVGVPVAATIRATMPPEGWSIPIFKKRIGGYIEEVPNPIWDYHKFIPYSKPGKVHDQIELYGHPKDLDDFCEKAQLVNYVQYRALLEGWTSFMWTKFTGLLIWKTQNPWTGLRGQFYDHLQDQTAGFYGCRCAAEPIHVQLNLASYFIEVVNTTADELADVAVEVSVWDLDGASPYYKVSEKIVVPPKKVKQIMEMKYPKMKDAKPVYFLLLKLFRLSDNGILSRNFYWLHLHGKDYKLLEQYQQKNIPLKIYTEVSVSGSRHKVRMTVENKSNKSVAENTSSVSTIDLGDASGSHNASKETTQQRNESGGSWRKIRSGLSIPRSSHNLRTLEVNGTDSGVAFFLHFSVHSSGSSTAKEKYNDTRILPVHYSDNYFSLTPGEKTAIDISFEAPPGSSPRVVLRGWNHHLDHAVMI >KQL16792 pep chromosome:Setaria_italica_v2.0:III:45113300:45114939:1 gene:SETIT_021894mg transcript:KQL16792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPRDAEAPLLSEPDDAAAPAPAPGKRNKYPFFCAVLASMTSVLTGYNVAVMSGAQIFMAEDLGISDAQIEVLSGIINLYSLAGALLAGWTSDRLGRRLTIVLANVLFLLGPLCMTLAGGYNALMVGRFIAGIAVGYDFVIAPIYAAEIAPASSRGLLTSVPEIFNNTGVMLSYVSNLAFSGLPAHLSWRVMFAAGVVPPVFLAVGTLTMPESPRWLVMKGRVAEAKAVLDRTSDTAAEAEQRLLEIVDVVSGDSGGGKGRSSGAWKEAAAKPGVRRVLAMVLTLQFFQQASGIDSVVLYGPRILATAGVTSTSVLSLNVLFGVAKAGSILIAMALVDRAGRRPLLLVSTGGMTASLLLVGSLFTASAGAAKQDAVASTASVAAVVSYVVFFSMGLGPMAWVYSSEILPLRLRAQGAGLGTAMNRVMCAVVIMTFITLYKAITMAGAFYLYAAIAGAAFVFVYTCLPETKGRSLEDMEELFHTK >KQL15079 pep chromosome:Setaria_italica_v2.0:III:16430729:16434588:1 gene:SETIT_021724mg transcript:KQL15079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAEPAADQNPKPKDAKPHEPTAEAGAEFAEEEYEEDGEEYEEEEEEELDGPAAAAAERERVQSVFRRLSSDPVGIRVHDVIIKGNTKTRDELIEAEVADLLRAAPTVQDLLRAASVATGRLHRLDVFDAVKITLDAGPPELPGTTNVVVEVVEAANPLTGTAGVYSKPEARSWSLEGSLKLKNPFGYGDIWDASGSYGWDQTTEVGVGIYLPRFKSIPTPLMARASLSSQDWLKFSSYKERLLGLSFGLISTMNHDLSYNLTWRTLTDPSRASSKAIRRQLGHNLLSALKYTYKIDERDSLLRPTKGYAFQSTSQVGGLWDNKGLRFFRQEFDVRGAVPLGFYNAALNVGVGAGVILPLGRGFMNSSSPVPDRFFLGGHSSPVCSLSGLSSLLGFKTRGVGPTEARRLVPNESDSGSAPAPGRDYLGGDLAVSAFADLSFDLPLKLFRDAGIHGHAFLAAGNLTKLSEGEYKNFSVSDFKRTFRSSAGAGIILPTKLFRVEVNYCYILKQAEHDSGKTGIQFSFSSPM >KQL15196 pep chromosome:Setaria_italica_v2.0:III:17744459:17746487:-1 gene:SETIT_025319mg transcript:KQL15196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLAGRPGSWGGLVLRVGQAFFAAACIGVMGRYPQLPCGKYELAAAFAFLSWALSATSALIMFWLLAAS >KQL12688 pep chromosome:Setaria_italica_v2.0:III:404301:407789:1 gene:SETIT_025337mg transcript:KQL12688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAAAAPVLPLLALLLLATAAAAATHPADLAVLRDLRKSLTNPDALGWPEAGDDACGPPAWPHVSCDRDGRVDNLDLKNAGLAGTLPASFSSLTALQGLSLQGNALSGPLPSFRGMSSLRQAFLNNNDFDTIPADFFDGLTDLLDISLGNNPRLNASTGGWAPPDALATSAQQLQTLSLDNCSLSGAIPPFLGTMNSLQNLTLSYNNLSGPIPDTFNGSAIQRLWLNNQLGEAKLSGTLDVIATMTSLQELWLHGNQLNSNQLRGLVPPGLATLPALQELKIDNNNLLGPVPPVKAPNFTFAGNEFCAAKPGDTCAPEVMALLQFLAGVQYPTGLVDSWSGNDPCAGAASWAGVTCVQGKLTVLNLPNKGLNGTISPSLGNITTLVDVNLGGNHLTGTVPDSLTKLASLQKLDLSMNDLSGPLPTFKPSVQVNLSGNLNFNSTAAAPDAQPSNSPRSPAAHDGGAPGSHGSNAAIPGDRKKTSSAVLLGTTIPVAVSVAALISVGAVFFCKKRASTPPQGASVVVHPRDSSDPDNLAKIVVATNDGSSGTSQGNTHSGSSSLTGGVHMIEAGNFVIAVQVLRGATKNFAKENVLGHGGFGVVYKGELHDGTMIAVKRMESVAVSNKALDEFQAEIAVLTKVRHRNLVSILGYAIEGNERLLVYEYMPNGALSRHLFQWKQFGLEPLSLKKRLNIALDVARGMEYLHNLGHHRFIHRDLKSANILLGDDFRAKVSDFGLMKDAPDGNFSVATRLAGTFGYLAPEYAVTGKISTKADVFSFGVVLLELITGTTAIDDSRVGEGEETRHLAYWFCQIRKDEEKLRAAIDPTLDVTDEEIFESISVIAELAGHCTAREPSQRPDMGHAVNVLVPMVEKWKPVKDEAEDYLGIDLHLPLLQMVKSWQDAEASMTDGSILSLEDSKGSIPARPAGFAESFTSADGR >KQL17185 pep chromosome:Setaria_italica_v2.0:III:48765982:48768513:1 gene:SETIT_021215mg transcript:KQL17185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAEGMDRFDMEGDFEGGRFGRDGEFYYRSRRERAPQTRDDAIYGVFAEGDSDYDSEDDEGSRRRGRRKRRRDGGGEPDLTKPVQFVSTGKFMPTQEPQPEPDQRPGLGRAAAAAAKEEEAEEEQDDEGDTEMLPTMFGRIREGARARREEKEREREKVARRRQAAGVDAGEPAAALGSLEANSKVAKMMAMMGYKKGMGLGKNKQGITAPVETTLRPKNAGLGSVEGFKEPKPMMAKENLPAPAPPPTSGKKEKRWSKKASTKKAPVMTKNELLAMRAEQEQEEQPTVVQKVIDMRGPQARVLTDLKGLNEEQEMEANDVPMPELQYNVRLLVDEAKADVLRLDGQLRREQEKVASLVREKEKVAKQEAAQKRQLQVMEIIAGTLEQVRVDDTAGMLTLDGLLQTFHGLKVQFEEEFKMCSIAWIACRYAHPLLIRIFQGWQPLQDPKFGLDVMKKWKDLLQGDQPFDFSDGSASMTPYVQLVSEVILPAVRISGTNSWEAREPEPMLNFLELWDNKKLLPPVLLQSILEHVIMPKLSAAVDSWDPRRESVPIHVWVHPWLPMLRERIETLCHSIRYKLSTVLHVWQAHDASAYAVLSPWKDVFDSASWEDLIVRYIIPKLRLALQEFQINPANQKLDQFNWVMLWASAIPVHLMVHMLEVDFFSKWQQVLYHWLCSPNPDFNEIMNWYKGWKGLFPPELLANERIRMLLTAGLDMMNQAAEGLEVVQPGARENVGYLRATEKRQFDAAQQAYNAVPGAAMADLSFKESIQAYAMEQGVLFMPRVGKFYNGMPVYEFGTVSICIDSVKRLLYAQLQEGIERWSAVTLTQLMEMNRMGRSR >KQL16281 pep chromosome:Setaria_italica_v2.0:III:36190262:36190804:-1 gene:SETIT_025778mg transcript:KQL16281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSGDVGESEEEGGWRRQRRASGSSAHREVGGPTLGFGWRRVLRGWWERRGGRAEGSQRRKWTVEGRRRDWRVREFSADRNRIGVTVEEGSAEERTAQIACSVGRSASGGKTYEKKVFLLLRSRDEQQHMHKWWAGKAALLLGQK >KQL17209 pep chromosome:Setaria_italica_v2.0:III:48976356:48976537:1 gene:SETIT_023974mg transcript:KQL17209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKELTYIGKNNCQIMHIRNTTEITGMTYLRACTDIACGWKGVMLLSSTYPVCPPE >KQL13676 pep chromosome:Setaria_italica_v2.0:III:5994984:5996076:1 gene:SETIT_025135mg transcript:KQL13676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELDDPAFLCRRAAGFAPSLLLGVFDSPRATGTRFVESPAPTVIPREPIRSFLSDNADLLRCFEEPVACHGGLLVLRRYRCSTERGAVDLCACNPMTGHRCFLPSPEVYASAAAILIGENAAGNGSPFQLLAVDQDLSRRPRRAAHGGPSAELLPAALPIASCLESSPLVINRVAYWMNTSSLACPGYNAFALDVDGGCAELIKGPRELEGPRDGPNDVLLALTPDRRLSFVVLEELVISMFVLLSERSRSWERRAVVVREGILAPPHWMRLDCFGERSGTVVICMGCQPGRLLLNLETKEVMHMSPEPKEESLEGRCHLYEMDLPLLTVALLKSPNSCAAS >KQL15647 pep chromosome:Setaria_italica_v2.0:III:22130685:22132237:1 gene:SETIT_022860mg transcript:KQL15647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPGGSNSSRVSGYVCHGVAEHGSTRNGYVLVLVRVRLRRCLHGIGKLEHDSWGRVCVRYSGKSIKDFQALLHQGYSRASSSSASPPVPLPLAPPSRPCPRPAKPKLLIFIRKQNRVLLNLPHVVTACRRAGFAPHVMNLRRQTPLPVIHAALASADAMVAVHGAAVTHFLFMRPGSVLLQIVPVGLDWAADAFYGKPAQQLGLEYLEYKVAPEESSLAAEYGLNSTVVRDPSVISSRGWWEMKKVYMDRQNVTVNIKRFGELLKAARTHLKNATACAKGAGAAAALR >KQL14022 pep chromosome:Setaria_italica_v2.0:III:8234177:8234287:-1 gene:SETIT_024594mg transcript:KQL14022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVSDIKLIRTDTTLDLSQKAEKGMSCEGALRLLL >KQL13563 pep chromosome:Setaria_italica_v2.0:III:5253840:5254333:-1 gene:SETIT_025516mg transcript:KQL13563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTELVKGNPKQYSEHYKCQQQYNIRRTKTSRVQDIGPQTRRNA >KQL13013 pep chromosome:Setaria_italica_v2.0:III:2102985:2103737:-1 gene:SETIT_024173mg transcript:KQL13013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELARLIPVKWDWVVQEHGTNTYIVPFPCQVELQRIVSIKRHRTDNNEGVMSFQEWNHEIKPKTRLQKVWVHVYGVPYEIRSFPTFEGSGFNPRCNTKGGHAIHEKDWCAQIVGAVLDVNSIPDDADIVVDDCLYEIFFKVDRVVTNSDPESDEPPKEKDHKMEGAHTHEKSNVDGGASASEPTADPKMDEVPKQMQHNRPPSATEMQVLEQPIDFAVDTILEELSTKVAA >KQL13630 pep chromosome:Setaria_italica_v2.0:III:5668511:5670511:1 gene:SETIT_021651mg transcript:KQL13630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDDEKPPSSNTGSTKGLVTIKPAKYFKDDAALTADTVIAEVEINATSSTTVREGLDLVAVLDVSGSMGNTKNPDKAKIESLKKALTFVIMKLTPVDRLSIVTFSTDVKQRTPLRSMTPAAQNDLKALVDGLQAGGWTNIQAGLQTGLDVIAGRVHTKARTANIFLMSDGNQQLSQHDVGGKVDVDPGHVAIYTFGFGKDTNHHLMSEIARKSPGGTFSSVPDDSQVTLPFSQMLAGLLTVVAQDVELTLRPNPKPDEEELETIEVAPGTDYTRIPEGPSSGTITIKFGTLFAGEGRKVLITLNLKDVTKKDEDGNDVEIDEYDATLAEAQHSFTAQGRPKDPQVPQDIQIRRTSTPSEGPGASSKARQVQAEMARRDHAEAIRKARELADAGELEEARYKLVDAQNALEDIVLDRLEDGQKLVNSLRAELVQLIKYMETEKLYNDKGKAYALASESCHGRQRYTARGGDDEDDVRLFATPRMDTYREQAKNYEKNPTAPVPTAAEDVKQEVAANPLAAISTELAYYLRNAIQALQAIERIVSAPSAKPATPL >KQL16128 pep chromosome:Setaria_italica_v2.0:III:30470536:30470814:-1 gene:SETIT_025163mg transcript:KQL16128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRKKKVIKKRLPQELIEYMIPTPHPIIGEPLTDDQLAKHSKGFREAYTKSKVKSEKYNAKGYAEDEGEVTDDEEEMVEN >KQL17432 pep chromosome:Setaria_italica_v2.0:III:50379085:50380971:-1 gene:SETIT_021802mg transcript:KQL17432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRLLLGLRHRLLGGALARGSLLEPGVDVPSVELPRPPRQSQRDRRRAYGHRRDDEERLPVAERAGARDALSGHRRHGALPRCLPVVHAAGDADVEDIGADGAGHVPDVVEGGVVLEAEELGDDGQDHRVRRPEAESDHHRRHVQRPRHAERDEEVSRHGEEEHACHQQRPRDAVVRQERLRGEAGGHAAEVVPDADEGDEGGDAALRVPQRLADLAHVVDGRQRPADAEHRRREQQQHVHAHQRLQDRVVLAARRRPQRGQKSRTRRRRRGGFLRPLLRGRGHRRGQNRRVSVRRGRVRDVVDERLGVDAAAAGAVEGEVELGEDGAAEPDKPLEETKHDAAALGEVLDAGDERAGVGERLRIRPDGDVEADEPERRRGADAAGDGEVEHEVAAEVHGGADGEDEPGRGYLGDEAGVDADVGADVLEEADGVELLLGVAQRGLDVLGVDGEDVGGAGRGHDEEGAVRHEPPPAQHLRRERRRGGFPWAGVVGWRQVTAKVGQAARQ >KQL15104 pep chromosome:Setaria_italica_v2.0:III:16991426:16991988:1 gene:SETIT_025808mg transcript:KQL15104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGQPKNFPCQLLLNPICWATKFQSQLLPPLLKSHFRRIHFQHGEISSSSTRVA >KQL13300 pep chromosome:Setaria_italica_v2.0:III:3688773:3691669:-1 gene:SETIT_022067mg transcript:KQL13300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMPCGNQAQAVPAAYQAFAMPDTATLIDVQDSHPDSVRLSLGIAEQCARQEKILKFLMSVTSGSDVKELDESLLSEFTGQQTLPINLGNQPYIPDDKLTICEFGLELDEPQQYLPEKQLVIPDPLLDFVQSYGSALTIDQNGRILFAGHGDEMRDLLSLFLEFNMSKRETSGCKTAFLVPYFERKKRSRANSQVSNTNLASMATDISKSADVKSKSSSKKKQRGKNIKERELHQRNYIHASEAFLSILLDKDKSSSTILSLKKAGPEINELLTQCSVGIAGTGLAILLSVMCKMATGMRTPFASARLLSTSVGFGLFWLSWAVNGLRDTIASIFRSPSNINMEEDEVAVRIQKSMNEILFRAITLLAITALKFA >KQL13299 pep chromosome:Setaria_italica_v2.0:III:3688469:3692148:-1 gene:SETIT_022067mg transcript:KQL13299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDLSLLAVAAASPVVLPPSKELHGVLPFQGKRPHDAAAAAVQLCAPLQQQQQHPHHHLEGLPVQMMMPCGNQAQAVPAAYQAFAMPDTATLIDVQDSHPDSVRLSLGIAEQCARQEKILKFLMSVTSGSDVKELDESLLSEFTGQQTLPINLGNQPYIPDDKLTICEFGLELDEPQQYLPEKQLVIPDPLLDFVQSYGSALTIDQNGRILFAGHGDEMRDLLSLFLEFNMSKRETSGCKTAFLVPYFERKKRSRANSQVSNTNLASMATDISKSADVKSKSSSKKKQRGKNIKERELHQRNYIHASEAFLSILLDKDKSSSTILSLKKAGPEINELLTQCSVGIAGTGLAILLSVMCKMATGMRTPFASARLLSTSVGFGLFWLSWAVNGLRDTIASIFRSPSNINMEEDEVAVRIQKSMNEILFRAITLLAITALKFA >KQL14912 pep chromosome:Setaria_italica_v2.0:III:14826521:14829583:1 gene:SETIT_024498mg transcript:KQL14912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLLPLLLLSSSLFALTTNADERGLLQFYDCPSNTNYTRGSAFQANLIALLSFLPAAVAASSGFAENITGSAPDQAYGLAQCRADLNASDCRACLDGSARDMTAMCPGQKSAMLIYDGCLLRHSNASFSGVVDTSAWVCMCNTQNMTQPEEFSSRLGALMGNLTAKAAYASPQMFAAGETSLTPFVNIFGMAQCTRDLDDDDCNRCLTRAVASIPSCCDRKQGGRVIYRTCSVRYEVYPFYNAHAAEAAMSPAPTPGGGPVNGSDHSGPGSNGSSRTVTTALLVSIPVAVGLLVLLLVSACLCKRNRKPRKHVPVASNMHSDAEEMRSSESLLYDLSTLRAATDNFSEENKLGEGGFGPVYKGTLQNGQDIAVKRLSATSQQGQVEMKNEVFLLAKLQHRNLVRLLGCCIEEHERLLVYEFLTNNSLDKILFDPARQQQLGWGLRLKIIEGIGRGLLYLHEDSRLTIIHRDLKASNILLDADMNPKISDFGLAKLFNIDSSVGNTSRIAGTYGYMSPEYALHGIFSAKSDVFSYGVLVLEIVTGRRNTFTHASGPSEDLLTYVWRHWSRGSVQPLLEGCPGEGRRPQEMLRCIHVGLLCVQEDPQLRPSMASVVVMLNSRSITLPAPAAPAYAVPGRADAQGTVRNNTVGSREHSINDVSVSDLEPR >KQL15409 pep chromosome:Setaria_italica_v2.0:III:19745898:19746275:-1 gene:SETIT_025050mg transcript:KQL15409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CTVVVTMRRPSAVATVVLLWLAVLTVAFHGCGRRLGCGGFLVRRTGSVAVPARKMLLAVTSFDAAASSSTDHHHHHHHHHRRQHVHRWNRQGIPPSGVGKGEDELDPRYGVQKRLVPTGPNPLHH >KQL15877 pep chromosome:Setaria_italica_v2.0:III:24942647:24944704:1 gene:SETIT_025102mg transcript:KQL15877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLSDDESTKIFFSRFPGNRSTNNQHSYEVSSEIIRKCGGFPLATITTASLLGRHQNWAEQCNWIRRSLSSNLRTDPTIERMKQVLSLCYNNLPCHLKACMLYLSIYKEDHIISKDDLVKQWIAEGFICAQEGLGKEEVASTYFHELVNGGMIQPVDINYNGEVLSCTVHYMILNLIRYKSIEENFVTAIDHSQANIRLADKVRRLSLQFGDAEYAAQPTKLRLLQVQSLAFFGLFKSLPSISEFRLLRVMILHLWGDKDNKSFDLTTVCQLFRLRYLEIVCNVTLDLQTKMQGLQHLETLIIDSRITEVPLDIVHLPGLRYLRLPGDTNFPNGIGQLTSLHALGSFDLSSNSADNVLNLGKLTNLQDLHLICSTMPSDNLEKKLQCLGSILSNLSNLKSLTMLLSGSSNATLKASASSSNICCDSLSSVSSPPALLQKLELLPQICIFSILPEWIGKLRLLAILKIQVMGLSSNDVDILEGLPALSALLLYVQTASTKRILFNKEGFPVLKHFKFVCSALCIAFAKGAMPNVRRLKLGFNANTLVQHNPVDAGFEHLIGLEEISAKIGNAGADESSRMAAQSALKAAFIPCRVNIKLVDWTFYGEKERSRKAQKEKPQTLEITNPIPDVITKEGSHERYGIGEKGSKQDTNKRSDNRCRLYSSLLLSLLVPYPLYSAHMSSEF >KQL13296 pep chromosome:Setaria_italica_v2.0:III:3680756:3681408:-1 gene:SETIT_023796mg transcript:KQL13296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGSNGARVVVVEGEVHVEWAEKKLELVKNGTPPPTATTRGVVPSLTPGGTAADGKAVPDVNDLAAAFIRRSKEKFQGIGGRNDNGQN >KQL14456 pep chromosome:Setaria_italica_v2.0:III:11341990:11343162:1 gene:SETIT_024711mg transcript:KQL14456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLLANRITTLVRAAPMAAAAVAGARPLRIPPREEEVGTAAAAAQEEEEHHQRARRSLGSSCSASSSSSARPSPGEPRPASGMVVIVGATGTGKTKLSIDAARALGGEVVNADKIQLYAGLDVTTNKVPLADRRGVPHHLLGAIRPDAGELPPSSFRSIAAATAASIAARRRVPVVAGGSNSLIHALLADRFDASAADPFSSRRDGYRPALRFPCCLLWVHVEEALLAEYLDRRVDDMVGDGMVEELREYFATTTPAERAAHAGLGKAIGVPELGDYFAGRRSFRAAIDDIKANTRDLAAAQVSKIRRMADDWGWPIHRLDASATVRARLHGAGPAAESASWERDVRGPGLAAIRSFLRDGDSSTINGDEAGDGPSPLRAPRMLRCCDVV >KQL15867 pep chromosome:Setaria_italica_v2.0:III:24858030:24864689:-1 gene:SETIT_021252mg transcript:KQL15867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEDMENGRSYQERPRTFSTVRSKSSVPLVFRLLMRINPRALIILSLLVFSGVLYVGASTSPILVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILGFVILVIYLFRTTTPQQEASGIGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDSGLISPIEDPMSIMQKGYSITIMLAVLTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAYWLGQTSGLVDDSGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGVIFRILGYYTGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >KQL15866 pep chromosome:Setaria_italica_v2.0:III:24857797:24866278:-1 gene:SETIT_021252mg transcript:KQL15866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEDMENGRSYQERPRTFSTVRSKSSVPLVFRLLMRINPRALIILSLLVFSGVLYVGASTSPILVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILGFVILVIYLFRTTTPQQEASGIGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDSGLISPIEDPMSIMQKGYSITIMLAVLTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAYWLGQTSGLVDDSGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGVIFRILGYYTGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >KQL15865 pep chromosome:Setaria_italica_v2.0:III:24858030:24864689:-1 gene:SETIT_021252mg transcript:KQL15865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEDMENGRSYQERPRTFSTVRSKSSVPLVFRLLMRINPRALIILSLLVFSGVLYVGASTSPILVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMACILGFVILVIYLFRTTTPQQEASGIGRTTSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDSGLISPIEDPMSIMQKGYSITIMLAVLTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMESTALPVLVISVAIISAYWLGQTSGLVDDSGNPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGVIFRILGYYTGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPIFL >KQL16332 pep chromosome:Setaria_italica_v2.0:III:37680867:37687572:-1 gene:SETIT_021345mg transcript:KQL16332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAAAPSSLFAPAPGASAKPRLVLPPYPRGILPRPYPRALLAVRCAHSRAPPPPPPLPRADDSEGAAAGAHPRLRLRRLAEEFRALPSDADRQRRLLSLASALPRLPEPDRVPGNRVMGCVARVWLAVRPDGGGRMRFAADSDSELSRGYCACLVAALDGTTPEEVLAVDPADPGLAPLGAGMTAAPSRASTWHNVLVAMQKRARVAIAAREGRQPGEPFPSLVISRDGALRAQGSYAEAQAMFLSPNESKVSELVNILTEKKIGVVAHFYMDPEVQGILTAAKKQWPHIHISDSLVMADSAVKMAEAGCDYITVLGVDFMSENVRAILDQARFNKVGVYRMSSEQIGCSLADAASSSEYTHFLREASGSYPSLHVIYINTSLETKAHAHELVPTITCTSSNVVPTILQAFAQIPDLTVWYGPDSYMGANIADLFQRMATMSDEEIAKIHRDHNRKSISSLLPHLHYYQDGNCMVHDMFGHEVVEKIKEQYCDAFLTAHFEVPGEMFSLAMEAKPRGMGVVGSTQNILDFIKDHLKGALDRNVDEHLQFVLGTESGMITSIVAAVRELFDLYSSSQEIANIEVEIVFPVSSDAVSKTSTNGSHHLGSSVASDLDNLTVVPGVSSSEGCSIHGGCASCPYMKMNTLGSLLKICHQLPDRDNKLSLYEARRFNVKTPLGKSVAEVGCEPILHMRHFQATKRLSDKLVHQVVHGNGEEPS >KQL14591 pep chromosome:Setaria_italica_v2.0:III:12204964:12207649:-1 gene:SETIT_021325mg transcript:KQL14591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRDVVSWCTIIAAHANRGLVVEAIEIFKELLSSDQVKPNRFVISSVLNACARSGVMELGLMVHGLVVKSGLGVDRFVEVGFVDMYAKCGNVGDAFRLFNKIPVKSSVAWNAMISGFVENSCFIEAAELFQDMHRVGMAMDVVTLRVVAGVAAVLGTFNLSRNIHVYALKVGLGVDCFVVCELIKSAGRVGETQYIGKLVAAVRRPDVSLYSLAISSYHSNGCRDEAVKLAEVFLSSGLNLREGDMVTVLNICQIEEEVQQMHAFTLKTGRFCYTNVCNALMSVYSELGSLLCAESIFKTMQSPDIVSWAGVMAGCVKNLQYERACSYFRELSDAGAPLDQHCIATVINACTSLQDLDKGMQIHSLALKLGLLLADFVSASLVNMNFMPEKALLLFYREYQFGLCPDQFTLSIVLGACSDIGAKKAGEQIHGYLVKYGSGYLDVIIGNAIIDFYVKCGCIASACRFFHSMKSWNINSYAMLMLGYIQNRCSDEALQLFSKMQHSGLRANRVTFARILRGCADLCAIDLGRQLHASIIKMGLLSEVYVTNALVGMYTKSNVWTESRRSSQETLAGNVPEQDTTDNFSSEQRYASSTLEEVGLFTLDEENDHVSLADAWKIYIAAASQFNGSPLPTHMVGHELGIKTNIGNGKNANYNGSKLWLNYKDGNYQGNRYGSVKLFNLFQEGSKKSDHLVLVVSIDSINSKMKDVGFVNVEPVKRYGSVPALGFPP >KQL16201 pep chromosome:Setaria_italica_v2.0:III:34275864:34283506:-1 gene:SETIT_021384mg transcript:KQL16201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPRAAADAPAGGVCPTNWRKEAEERLRRLHSLLFGADAALERGDAAAAQALALRLLGFLDSQALADGAGPDDAVFVAPIRAAASARLAAASRARAPDSDRAAFEVAKKEVGCVFAKQGDINVERIKCSRYFQALLQKSKANPADQLSATWHEFASQGAPRIDENPTDLENEKLSVRASKLMMQTKLSSLYSSKSLKANGVPDKNMFKSENLSKESTGIENITNQNGNGHPAYMEIDEDVKPRGLLQNAKRKHTGFRSPICEVSNSPLSNDEADAPANEFMTARTKMEREIAQKPGRNGPQGTSVSPQCDNNHSTRNYGMRPSWNSRRGPRGNFVPPIRNNGGSGTTISRVTGKSDDSMEDSTRKCIEMLCGPDGELPEKLRNLEPRLIEHVSNEIMDRDPNVRWNDIAGLEHAKKCVTEMVIWPLLRPDIFRGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSDGEHESSRRLKTQFLIEMEGFDSGNEQILLIGATNRPQELDEAARRRLTKRLYIPLPSEEARAWIIRNLLEKDGLFMLSEEETSAICKLTEGYSGSDMKNLVKDASMGPLREALQRGVEITKLSKEDMRPVMLKDFENAMQEVRPSVSSSELGTYEEWNKQFGSLSM >KQL16228 pep chromosome:Setaria_italica_v2.0:III:34739287:34740555:-1 gene:SETIT_025022mg transcript:KQL16228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKLAVAPGAPTTTTRHRACAPLAVSSATPVTVSLSSMTHKKEATSAATAVVKKETATPTVGGPAKATLTQPLACMWQEVHGADDWRGMVEPLHPLLRTEIVRYGELVAACYRAFDLDPRSKRYLNCKHGKRQMLQAVGMDGAGYALTKYIYAAPDVALPMGVGRSCGKSRWIGYVAVASDREAARLGRRDILVSFRGTVTSSEWLANFMSALAPARFDPADPRPDVRVESGFLSLYTFDDVSGKFTTGSCRNQLLSEISHLVAKHKNEDISITLAGHSMGSSLALLLGYDLAELGLNSYPNGDTIPVTVFSFAGPRVGNLEFKNRCDELGVKVLRVVNVNDPVTKMPGVLFNESARVLAGRYELPWSKSCYAHVGVEVALDFFDAGDIACVHDLQAYIDQLLTCTNDITVASASTMEEE >KQL12722 pep chromosome:Setaria_italica_v2.0:III:591923:598631:1 gene:SETIT_021048mg transcript:KQL12722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPKQQVLSRFFAPKPAPSSASPAPPPTPNPKPSAARPPVSTRRLLLPGQARAGPLPLPPNPPAAKRPNPTPPSRDAGYTPLEQQVVDLKARHPDVLLMVEVGYRFRIFGEDAAVAAAVLGIVAHPNRSFLTASVPTFRLGFHVRRLVAAGHKVGVVRQTETAAIKAAAAAQKGGGTAGAPFARGLSAVYTRATIEAAAGELEGGGAAPEEGSRYLVCVVDKEVEATGREGFEVKVGVVAIEVSTGEVVHGEFMDTTSRSGLEAVLLGLAPVEVILGMPLTFATEKVMTAYAGPSSNVRVERASCVCFGEGGALAELMPLFEKSVDNASRDEDDRQLMKTNDDDNNLRGIEGVMAMPELVVQALALSVRYLKVFGMERIICFGSSFRPFSADTEMSLSANTLQQLEVLRNNSDGTTEGSLFQTMNNTCTAFGSRLFRNWLTHPLCDRHRICARHDAVSEISESMGSRHSINNLQDGGDGSCAALARSDLSTILSSVLEMLGKSLDIQRGITRIFHCKATAKEFVGVIHSILTAGKQMQKLVLEDIDIVSSQHKPVHSSLLRRLISTASSSTVLNTAVKLLSCLNKEAADQGDMLNLFIASVDNFPEANPSLLVAEGHVNVEMAQHKLELLIIEYRKQLGMSNLEFKTVAGTTHLIELPVDRRVPSNWVKINSTKKTIRYHTPEILKNLDNLLLAKEELAVICRSTWHKFLTDFSKYYAQFQAAVESLASLDCLYSLAVLAKQNNYVRPIFVQESEPSQIHIKDGRHPVLESLLGDNFVPNDTELHADGEYCQIVTGPNMGGKSCYIRQVALITMMAQVGSFVPASSAMLHVVDGIYTRMGASDSIQQGTSTFHEEMNEASNILHNCSSRSLVIIDELGRGTSTHDGVAIAYATLHYLLKEKKCIVIFVTHYPKILDIQREFEGSVGAYHVSYLATRKLVEVTDKPLESSPESKDLGEITFLYKLVAGASDRSFGLNVALLAQLPSRCIERASIMAAKLQEELSMREENKLRRTMDAATVDGPSESSTEVGLLCAQPYQALAEACRRVLLTMTLAQSNNDVTNSLCSLKHAREVAQKTIEGFLI >KQL14474 pep chromosome:Setaria_italica_v2.0:III:11447210:11447755:1 gene:SETIT_025625mg transcript:KQL14474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEDHQEIELFTISRLREGRAGARGGISLPPCRDGAAVSSPAPSYAAAALLLP >KQL13686 pep chromosome:Setaria_italica_v2.0:III:6079001:6081872:1 gene:SETIT_025225mg transcript:KQL13686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLEQKKARIEQAITNREMRSSKTTKGSIAMENPAYIETDMLVEVSTSSLNVKHRKHVTPGERQTLLARQNQKIIKKQKGTVYSSSEEDETMSEEDNDIEMQKQAKIMNLDNDGVIFEQHTNEDDYMFSCQDVDIEVREDEETATTISDPYDIVYSNIPDDTNMLKNKENCIYCNAKKFEYESEGLCCRKGQIRLANQETPPELMRLWTSNDSDARHFRNNIRFFNGHFSFTSLYCHLDSATTNMKKAGIYTFHYRCCREEKYKKDKHVVTILTQILRENPYSKQFRSLGQNEKLDDYRLILNLDQRLDQRTYNAPITLEVAAVWIEGNERRNTFDKNVILHGNNNEIQGIKSYYGCYDPLSYPLFFPRAELEMIMLIATIVTTTQRSNSYTDSSRKLWVTMREYYCYKFHAKPSIFNPILHGGRLFQQFAVDTHIKIESSRLDFIWHHQKEIRADLYKGLLDSIQEGEQKGDKPDIFLTMTCNPNWEEITRELEFAQTPQDPPDLVVRVFGAKLEEMKNQLFKKHILGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKYTCPEQYDRIIYAELPDKHEYPDLYKMKLPCTKNRASCKNNYPRPFNETTIQGKDSYPLYRRRNDGRTETVRNCKLDNRWVVPYNPYLLHFFNCHINVEVCSSIKAVKYLFKYIYKGHDRASVSVTGVDDEGEIDEIRQYKNARWVTPPELSMQ >KQL15502 pep chromosome:Setaria_italica_v2.0:III:20939158:20943880:-1 gene:SETIT_021720mg transcript:KQL15502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDKMILQLEEDCLNVYRKKVNETRKQKADLLQALSFGEADIDKILSALGERESFPRSEKLGGTLTDQLAKIEPVLKDLRQRRDERANEFRAIQLQIVRLQAEISGTIDHGDITAPVVDENDLSLKRLGELKVQLNELQTEKNLRLQKIDVQINCINEMCNIMSLDLKKALYDVHPSYAELGRSKPVSISNSTLERLAGKVHALNHEKKQRLRKLQDLGSTLIELWNLMDTPVDEQRCFDHVTSLIKVSQNTVMPHGCLAHDLIEKVEIEVKRLTHLKASKMKELVFKKMTELEEIYRSVHMEIDSDSERRILNDLIDSGRADLSELLAGMDDRIAEAKEHALSRKDILEKVEKWTSASEEETWLDEYERDQNRYNAGRGAHINLKRAEKARVLVNKIPSLMENLTAKIKVWEKKGIPFMFNKVRLLDSLEEYTSTRQQKEEEKRRLREQKKLQEQFGTKPSPIRPLPARKPLGQSSNVNIAVGTPTSRHVTTPMSRKGGLSSGKVKEATKTTLGPANFVALRKDCTENSSF >KQL14220 pep chromosome:Setaria_italica_v2.0:III:9658374:9660867:-1 gene:SETIT_024597mg transcript:KQL14220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSPSLPPSLHSLASRRLGLDLISRAPPWRASGMSEAEGAPEAGGGGSFGGKEQDRFLPIANISRIMRRGVPDNGKIAKDAKESVQECVSEFISFITSEASDKCMKEKRKTINGDDLIWSLGTLGFEEYVEPLKHYLKLYREGDTKGSKSSDQAGKKEILLSVEPGSSFDGL >KQL13647 pep chromosome:Setaria_italica_v2.0:III:5776843:5777582:1 gene:SETIT_023769mg transcript:KQL13647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKDSKLLVLELMGSVNYTTTCEFMRPILDGKIVPAFGGSAYFYKLDVDNHEFKGFKTKWKVQALPDFVMVKNGKQVNRLVTTDKDELMTAINAAFEP >KQL14827 pep chromosome:Setaria_italica_v2.0:III:14059222:14061256:-1 gene:SETIT_022812mg transcript:KQL14827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKRRGGVGGEKKDLFHVVHKVPAGDSPYVRAKHLQLVEKQPDLAIVWFWKAINSGDRVDSALKDMAVVMKQQDRSEEAIEAIRSFRHLCSKQAQESLDNLLIDLYKKCGKVEEQIELLKQKLKMIYLGEAFNGKATKKARSHGKKFQVSIQQETSRILGNLGWAYMQQNNFEAAELVYRKAQTIEPDANRACNLGLCLIKQGRHEEARQALEDVRLRRIYGSGSEDEKVVARAEQLLHELNLINCVSSPFDVGLSVHEEIMERLDLVMNEWTPFRSRRLPVFEEIATFRDQIAC >KQL15519 pep chromosome:Setaria_italica_v2.0:III:21079323:21087593:-1 gene:SETIT_021754mg transcript:KQL15519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADSGGGGAADAGEPIWDWGNLLDFVVDGDDSLVLPWDDDAAGIGAADPTEAAAPPLPAPMPQPVEVEAEPEPEPEAEAGPVLPPPPLRVQGIGRRVRKRDPRLVCPNYLAGMVPCACPEVDEMVAAAEVEDVAAEFLAGARKKTKTAARRGKAGAAGVGGVAGGTARAAAMEMKCQVPGCEADIRELKGYHRRHRVCLRCAHASAVILDGVQKRYCQQCGKFHVLLDFDEDKRSCRRKLERHNKRRRRKPDSKGLLDKEIDEQLDLSADVSADGELREENMEGTTSEMLETVLSNKVLDRGTPAGSEDVLSSPTCTQPSLQTEQSKSVVTFAASVEACVGAKQENAKLTTNSPIHDTKSAYSSSCPTGRISFKLYDWNPAEFPRRLRHQIFEWLASMPVELEGYIRPGCTILTVFVAMPQHMWDKLSDDAANLLRNLVNSPNSLLLGKGAFFIHVNNMIFQVLKDGATLMGTRLDVQSPRIDYVYPTWFEAGKPVELILCGSSLDQPKFRYKVPPLLILRKQ >KQL16262 pep chromosome:Setaria_italica_v2.0:III:35351524:35354312:1 gene:SETIT_025424mg transcript:KQL16262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHDNTSCHVLGIDVPKLHIESFLSCVFCVEDGDKMSRSMLT >KQL14286 pep chromosome:Setaria_italica_v2.0:III:10118767:10125663:1 gene:SETIT_022551mg transcript:KQL14286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTGLAANPNPNKSFEILPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGNSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQANPVHIQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPAAAKTSIYLHSPQPRIATGRK >KQL14287 pep chromosome:Setaria_italica_v2.0:III:10118964:10125663:1 gene:SETIT_022551mg transcript:KQL14287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTGLAANPNPNKSFEILPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGNSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQANPVHIQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPAAAKTSIYLHSPQESEVKGKPRIATGRK >KQL14288 pep chromosome:Setaria_italica_v2.0:III:10118767:10125663:1 gene:SETIT_022551mg transcript:KQL14288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTGLAANPNPNKSFEILPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGNSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQANPVHIQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPAAAKTSIYLHSPQVSSAYSIHPCKVYLFWH >KQL14289 pep chromosome:Setaria_italica_v2.0:III:10118767:10125663:1 gene:SETIT_022551mg transcript:KQL14289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTGLAANPNPNKSFEILPNPGDSVSSLSFSPKSNLLVATSWDNQVRCWEIVGGNSQPKASISHDQPVLCSAWKDDGTTVFSGGCDKQVKMWPLLSGGQPQTVAMHDAPVKEIAWIPQMNLLVSGSWDKTLRYWDTRQANPVHIQQLPERCYALTVNYPLMIVGTADRNLVVFNLQNPQTEFKRIQSPLKYQTRCLAAFPDQQGFLVGSIEGRVGVHHIDDSQQSKNFTFKCHREGNDIFSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAFSRCPLPIPCSTFNSDGSIFAYAVCYDWSRGAENHNPAAAKTSIYLHSPQESEVKGKPRIATGRK >KQL12924 pep chromosome:Setaria_italica_v2.0:III:1676769:1677433:-1 gene:SETIT_025553mg transcript:KQL12924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDEFVRRHGEENDSRSPLTFQSFAACSRFEGFFLFFLLLVDLIRPTPCYNEEARSICYVLIAQCVRLA >KQL16352 pep chromosome:Setaria_italica_v2.0:III:38100098:38101091:-1 gene:SETIT_023462mg transcript:KQL16352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAMAAATSVAPFQGLKSTARLPVSRRSSSSGFGNVSNGGRIRCMQVWPAEGNKKFETLSYLPPLSTDEVLKQIDYLIRKNWIPCLEFSKIGFVYRENSTSPCYYDGRYWTMWKLPMFGCTEATQVYAEFEECKKAYPDCYIRIIGFDNIKQVQCVMFIAYKPPGSE >KQL15156 pep chromosome:Setaria_italica_v2.0:III:17513030:17514885:1 gene:SETIT_025025mg transcript:KQL15156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPPTNSIEDNQLSGRYVLELVLRVAGALYVVYKSISGSWALVPAAWLMLLTGVAKYVEKTLALHRANLANVRGSLESQQRRRRRAKGGRRRSPKPAVSRGDGDGDLIMRAHYLFHICKHAIVDSSVETESDTTDAAHTKEILFQLDWKNLCKVMEMELSLMYDFLYTKAPVIHTWHGYCIRAVSPLVTAAALLLVEFSNKARRHKQSDVVITRALLVATFLLETASLLRALGSSWTGFLLHHRLPQGWIRHEAFCASRWSQFHGSVAYLGKLAKVQAHRSWSGNMGQLNMLQLITREQPAKEQEFGGNVEPYARTLVIPPEVKELVFRRLREKVVELRGELKKDVGDTRGAALEFVKIAKQFRTKRGHQVLMKHKDLSDLRWSLGDELQLGILIWHIATDIYLLKSGKYKAQKGSRAARYANAITTLSNYMMYLLAVRLDMLPGLVTRKLFELTCEDLARFWSKHGAVATDLQSSSFSFCNNVRILFKLHNDRRMSSGSLERKEGLVNILYKDWDSGATFNPYLFKGLLLAEKLIHRETSGKVKVDMLQFILEVWVDMLFYASYRCNKESHAKQLSHGGELTTIVWLMAEHIGLFVARKTSKAEWKARKMANNMHPV >KQL13557 pep chromosome:Setaria_italica_v2.0:III:5226801:5228727:-1 gene:SETIT_022559mg transcript:KQL13557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAPPQAPRVKLGAQGLDVSKLGFGCMGLTGSYNAPLGDEAVAAAVEHAFRRGVTFFDTSDAYGPHTNETLLGRALQRLPRGQVQVATKFGVGQGGAGGGLTVCGTPEYARACCEASLRRLGVSYIDLYYQHRIDTTVPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLCRELGIGIVPYSPIGRGFFGGRGVTQQVSSESSLQSHPRFTADNLEKNKQIYLKMEDLAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVKLTDEDMKEITSQIRADDVAGGRQYNSYAHTAWKYADTPKK >KQL15249 pep chromosome:Setaria_italica_v2.0:III:18276424:18279097:-1 gene:SETIT_021854mg transcript:KQL15249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRFKPVEQCACEGRSRQAVAADLDGTLLLSRSAFPYYLLIALEAGGPLRAVALLVSVPLVYLTYVAVSEPLAVRALLYVAVAGLRVADIEAVARSVLPRFYAGDVHPEGWRVFRSFGRRCVVTASPRVMVEPFAKAFLEVDKVIGTELEVSEDGRATGFVAEPGVLVGEHKRRAVVREFGDALPDVGMGDRESDFDFMSICKEAYIVTRRKYRAVPREQLRSHAILHDGRLARRPTATNTLLTFLWMPLGFALALLRVRLHLLLPARAVSYAYKLVGVKLVVRGHPPPPPRKGRPGVLFVCNHRTALDPVAVAVALGRKVSCFTYSSSRFSRLVSPITAAVPLSGRVREADAARVRRLLEVGDVVIFPEGTTCRKPFLLRFDALFAQLTDRIVPVAIDARETMFHGSTARGHGSMDPYFFFMNPRPAYEVTFLNQLPRELTCGGGRSPVEVASYVQKVLAAQLGFECTGITRKDKYGILAGSEGRVPSKKEG >KQL16778 pep chromosome:Setaria_italica_v2.0:III:45040962:45043436:1 gene:SETIT_024786mg transcript:KQL16778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPEGPAASGGCNGGAAAAAKLRKGLWSPEEDEKLVAYMLRSGQGSWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEDLIVSLHAILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNSSSASSPAATDCASPTEPSSKVAGIDISGATSCPDLAGLDHHHQDGGHHHAMMTTGLWMVDSSSSTSSSTSPMQSRPPPSAIAAAVARSYGGLLPLPDQLRGGTAADTSPAGFFHGHAAPFKQQAAVASLHGGYYGMGSPHHHGMMAMEGGGGCFMRGEGLFGVAPLLDAMSAQDQDQAGQALIASSGGNNNPKNNSSNNTTETTTTVSNNESNITDNNTTNTKDNNINAMSLVNSGSSNVAAVYWEGAHQQYMSRNVMHGEWDLEELMKDVSSLPFLDFQVE >KQL13555 pep chromosome:Setaria_italica_v2.0:III:5210872:5215247:1 gene:SETIT_025235mg transcript:KQL13555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTICYNPALSYGLAASALILFLVIFHAPLATSQPLPWQLCNDTAGNFAENSAYQANIRRLASALPGNASSSPSLFTTGAAGTAPDVVYSLALCRGDINASSCARCVAAAFQNAQQLCALSKGATMYDDPCILRYADWDFLANATDNRGTYIAWSSDSVSASALGTFRAAFRRLVDATADYAAADPVRRFGTGDVAFDETYPKIYSLAQCTPDMAAADCRTCLGNIIKRFMPMYSYFTGKHGGRLFGVRCSFRFETYPFFSGRPLLQNHRIGWTLAIAVPLAAAILGLTVTICFCFWSMRTSTQKSSGKPYSPNPDDIQVIDSLLLDLYVLREATDNFSESNKLGEGGFIAVYKGVLSDGQEIAVKRLSLGSRQGVQELKSISCKLQHKNLMRLKGVCLEEQEKLLVYEYMPKTSLDTIIFESQKSKELDWVKRLKIINGVARGLQYLHEDSQLKIVHRDLKPSNVLLDFDYNPKISDYGLAKLFDQDQSQGITSHIAETYGYMAPEYAMHGQYSVKSDVFSLGVLILEMVTGRKNTTFDDSEQSVDLLSLVWEHWTKGATAELLDPFLLGCRAPQDQMSKLVNIGLLFVQDSPADQPMVSSVNIMLSSDMMGDHSHLHSDAYNRAKATMSPNEVSLTELEPR >KQL14759 pep chromosome:Setaria_italica_v2.0:III:13691449:13694281:1 gene:SETIT_021735mg transcript:KQL14759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSHHRRAPPRRGGRQPRGGEGSGVADLSSRLAGFLFLLALVAAALCLSSSSSRACSGRRHEEGGSRETLPGGSGATPRVTIFAAPRPPPEGSPARQELAVRSWLALPGNVSVVLLGVHASWLVTAGRLGRRVTVDAAIDSAYAFTTPLTSYIIYVALRHEQMNSWLQHGTDHCRLHPWFRFMGTPFFHSIVARAQAAPDSDICVLVDAEIILLPEIFDALAHFSKVDRDWFLVAMSRNITEFHYQLADNGSHWVRADGKNVSFKKEIPADKWASESSDRGLIMAWNSPSSPLHAGVLPSFLYGRGAHNWWLTHEVLSSEMRLVFDASSLVLGLYPESFSSMHDVSSSKNDRLPAGSWEYNVNRHLAAIYGSYCYRLPRRHSTVLHKVVKQSEEYTFSKADELTLSDFIISKEEKAHGGGSLWRNENSCLSGHLHSSDLPYSLSMLLELAADKNRSVVLGVAGVSYRDMLMTWACRLRYLRVTNFIVCALDHETYEFSVLQFSEIHYHQRMSALMTATLEQSVFSK >KQL13050 pep chromosome:Setaria_italica_v2.0:III:2317499:2319002:-1 gene:SETIT_022728mg transcript:KQL13050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPVIDFSKLDGAAAERAETMAQIANGCEEWGFFQLVNHGIPLELLERVKKVCSECYRIREAGFKASEPVRTLEALVEAEQRGEAVAPVDDMDWEDIFYIHDGSQWPSNPPEFKETMREYRAELRKLAGRVMEAMDENLGLEKGAIQRAFSGDGRHEPFFGTKVSHYPPCPRPDLVTGLRAHTDAGGVILLYQDDQVGGLEVLKDGQWTDVQPLAGAIVVNTGDQIEVLSNGRYRSAWHRVLPMRNANRRSIASFYNPANEATISPAVAAGGEAYPKYVFGDYMDVYAKQKFQAKEPRFEAVKAPKSSPAA >KQL12647 pep chromosome:Setaria_italica_v2.0:III:183105:184930:-1 gene:SETIT_025079mg transcript:KQL12647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPGRSAAAREANKNKSSTSTGAAMKKKLMSKKPEKEKDGGSGGCRTTRKQSSHCREPESPSYRLALKSIFSCRNSQQQPDSGSRSSNKLGCSAPSICKLKDSDSSQSQRVAQRPAADETAGEPCKRRASVSGGSERRVKKPLSEVSSVSKQLQLQRGGSSLSSSSSSGGGSFRASMQLRRLSGCYECHMVVDPGSGSGSSSMRATTVCPCPDCGEIFVRQESLQLHQSIRHAVSELGADDTSRNIIEIIFQSSWLKKQSPVCKVERILKVHNTARTLARFEEYRDAVKAKAAAQQQQQPAAKTTKHPRCTADGNELLRFHCATLACELGLNGATHLCSSSGGGGCGACAIIRDGFKINSAGDGGGVRTMATSGRAHDAVAGAPLDQEEEERRCRAMLVCRVIAGRVKRPTQLGEEEEASSEEFDSVAASAGVYSNLEELQVFNPRAILPCFVVVYKAAY >KQL13282 pep chromosome:Setaria_italica_v2.0:III:3609078:3613869:-1 gene:SETIT_022402mg transcript:KQL13282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLLPFKIGDLAELKSFLIGYRSAWFRCKVHDMRLNSSAGYLEYYLEYIDYSTEQEKEWVKVFEENPTSSNQNSRESTQLMIRPCFPQWYYGHEVPEQFPNSDVTAIVDEAWKVGDLVDWFTAGCYWSGTITKLLGKNMVEVKLPPPPIGEGIRYRANCNDLRPTLEWSLTKGWTVPLSQAKRKHWHAARLLQHSKSESEKSTSDEESSSDDEYGDNGGGVQQSGCRALNLSQGGPVVLAPPSAKNSASSPKAQEDGIIPSAENLKLSSTSKPPGPGHGTQSAATSSQPAGTGVTVKQEPGIGISIKQEQEWPLTVAEADDGPDEFLEKLDKLQAKLDYLVERTQVGQERRAEVLASSHGSTKDNASSK >KQL13246 pep chromosome:Setaria_italica_v2.0:III:3387887:3392631:1 gene:SETIT_022446mg transcript:KQL13246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCGDEDTQGVPDSRNQYPGHHPARNDAYRPADQPPKGPQPVKMQPIAVPTIPVDEIKEVTKGYGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHENVVELLGYCADGTLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARAANPGENAGS >KQL13245 pep chromosome:Setaria_italica_v2.0:III:3387887:3391057:1 gene:SETIT_022446mg transcript:KQL13245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFGCCGDEDTQGVPDSRNQYPGHHPARNDAYRPADQPPKGPQPVKMQPIAVPTIPVDEIKEVTKGYGDEALIGEGSFGRVYFGVLRNGRSAAVKKLDSNKQPDQEFLAQVSMVSRLKHENVVELLGYCADGTLRVLAYEFATMGSLHDMLHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGDYPPKAVAKVL >KQL16170 pep chromosome:Setaria_italica_v2.0:III:33186127:33187381:-1 gene:SETIT_024577mg transcript:KQL16170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVVVSISPSNVNRSKLTQEEEKCLQLNAQASYVLIRALSEDVLDAIMDEDDDYHTNHDAHCIWITLKDMYGACEYHSQNGMKLEVPVLETGCSSSISEVTVDCSLSKDDSCHRPHEESTCPNHSCSHHVQVKRQEDYLIERLKELKSLKEEMRKLNESNVSLFDKFLIESCDDLITQENENLKQEVEKLKMDLSRLKDRSIAQPSQNNRDSMVKKFEKGSTLQCSYNNSIKSIAKLK >KQL16185 pep chromosome:Setaria_italica_v2.0:III:33931076:33934196:1 gene:SETIT_021512mg transcript:KQL16185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPPSSAPFSSSEEATTSSNDGSAVQSLKYSRRASLRSVVGRPDGGRGLAGKRAVVGGWVKSSNTVKAKLAGPVSPARMPTTETTTGLTCTEVLMARVPLIRCFARLIGGAADVDRASSVSFKLATGTALMRINDGSCVADLQIVVDSSLCPLKQVTAIGACVLVEGKIELVEGRSQQHVVELRVDKVLHVGTVDIDKYPPSNVELPPPELVKDYPQLATRTTAMASVARVRSEMLHAAHAFFQTNGFFHVNTPIITATTVAGDRSKMFRVMRLESKSDNRAITPEVVRASIKAKTKQIEALNRSESNKEALEAAKLDLQRANELARQLEQQGNADFSDDFFQHPVYLSPDHTLHLETYACALSSVYTFSPVFQAESLERHKHLAERWTIDAELAFAELEDAISCAEDCLMWLLSTVSKNCSDELKFLSNGENNGYFSHIEPAVSSPWERITYNAAVNTLLQVREKSFKAKVELGTPLSHEHMSYLVDDHYKKPVIIYEYPKELKPFYARLMEDGTKVSAFDIVVPKVGTIAYGTQKEERMDNFTARIDELRLPRDHLESYLDIRRHGTVKHSGFSIDIERLIFLVTCLSDIRDVKPFQRTKSNAKC >KQL14437 pep chromosome:Setaria_italica_v2.0:III:11252215:11253792:1 gene:SETIT_024864mg transcript:KQL14437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQPHVLLVSFPLQGHVNPLLRLGARLAARDLLVTFTTFRHAGLRALPDDGACVGAGAGRGRLRFEYLRRRDAPDDPRRYQDPTDMLRHVADAGPSALACLIRRQAGAGRPVACVVNNPFVPWALDVTSGMGIPCAMLWIQSCAVLSLYYHFYSFPEAFPSDADPDAPVAVPGLPTVAADELPHMVRPEYARNLWGDMLRAQLGGIGKKTVSWVLVNTFYGLERSAIDALRSHVPVTPVGPLLEHDDRRDGDGDDDDLAAVADDDGCVAWLDAQPPRSVVYVAFGSLVNIGRGEMLAVAEGLVGTGRPFLWVVRDDSRELLPEDALAAISGKGKVVAWCPQGRVLGHDAVGCFVTHCGWNSVAEALAAGVPMVGYPWWSDQFTNAKMLVDEYKVGVQLPAPVTRDALRACVDEVMSGPEAAAFRMRATAWKEEATASVADGGSSDRNLQAFVEDIRRSHGKGSRGGSRISSSGNIAKHLNSIDVIP >KQL12635 pep chromosome:Setaria_italica_v2.0:III:137764:139576:-1 gene:SETIT_022071mg transcript:KQL12635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLGAFLADAYLGRYKSIIIACSLYVLGYGMLTLSATLPALRPAHFFYVSLYLIAVAQGADKPCGLAFAADQFDAAHPEERASRGSLFNWWFFCMAVGISVAVSAVGYIQESVGWGVGFGVPCAIVLCAFTVFLLGTPTYRLYNCKHQSSSESPLLRLARGLRALLFRRGRGRGNHAEAEDARCVLRLLPIWATSLAYGVVYAQIMTLFNKQGRTLDRRIGPFDLPPAALQALGPLSILLFVPVYDRALVPALRWATGNPPGLTMLQRVGAGMATSLAAVSVAALVEARRLATARDHGLVDDPAATVPMTWAWLVPQYAMMGVADVLAVVGLQELFYDQMPHGLRSLGLALYLSVMGIGGFISSMLISLIDALTGRSGRDSWFADNLNRAHLDYFYWLLAGLSAVELGLYLAFARSYVYKPPSTSSSSSSSSSSSSSSV >KQL12636 pep chromosome:Setaria_italica_v2.0:III:137803:139242:-1 gene:SETIT_022071mg transcript:KQL12636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLGAFLADAYLGRYKSIIIACSLYVLGYGMLTLSATLPALRPAHFFYVSLYLIAVAQGADKPCGLAFAADQFDAAHPEERASRGSLFNWWFFCMAVGISVAVSAVGYIQESVGWGVGFGVPCAIVLCAFTVFLLGTPTYRLYNCKHQSSSESPLLRLARGLRALLFRRGRGRGNHAEAEDARCVLRLLPIWATSLAYGVVYAQIMTLFNKQGRTLDRRIGPFDLPPAALQALGPLSILLFVPVYDRALVPALRWATGNPPGLTMLQRVGAGMATSLAAVSVAALVEARRLATARDHGLVDDPAATVPMTWAWLVPQYAMMGVADVLAVVGLQELFYDQMPHGLRSLGLALYLSVMGIGGFISSMLISLIDALTGRSGRDSWFADNLNRAHLDYFYWLLAGLSAVELGLYLAFARSYVYKPPSTSSSSSSSSSSSSSSV >KQL16246 pep chromosome:Setaria_italica_v2.0:III:35139380:35140460:1 gene:SETIT_023555mg transcript:KQL16246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMLEEVFLMGRGHDSSDMKLGKRGLERERKRPNVGCSGSRQAGAVRGSRRRPTVDTSVVALGRAAGHAVREHLRLGRHAHGVRAVSRARAGLAASTRTGAATGTRGARTQAAGATGIGGVAVALAAAALKATGIGELGPNALTSP >KQL16857 pep chromosome:Setaria_italica_v2.0:III:45979773:45980968:-1 gene:SETIT_022449mg transcript:KQL16857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSCSGEDFPDEARYVHHLKIDDFAVTKDALRRDNEGYCIKSRCSVGGHEWEIRFYPAHWHLSYAYLVALELAFLGGGAPSRGVSATLTGRLVEYMGCNLRPLSAILPSQKVFQGASDSPLLLYIGTGEAKDVQPAGWLIVECTISVLRDKEAVGTPPASDLHRHLGELLRSEAGADVTFAVSGKSFAAHKNILAARSPVFKAEFFGDMEEKTSQRVEIKDMEPIVFEALLRFIYTDMVPAELDDNKQPEATAAGTVMAQHLLVAADRYGLDRLKVICEQRLALGIDIDTAASTLALAERHNCSGLKAKCIEFIAGTSAENLDAVLATEGYRHLEASSPSVLTELLKVANRRKSRSTYF >KQL14285 pep chromosome:Setaria_italica_v2.0:III:10106622:10109202:1 gene:SETIT_024925mg transcript:KQL14285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGNAGSLPAARVALRTETDALRGCASLPLKPQPLAAGADQPSRRRGAAAVCHSSAHLSARTMQWISAGASAVLLLAKGTAIHKSFLVPFFALQAPCSIISWIKSDYGQWTAFLALLVRLFFFIPGELELPLSTMLLVSVAPYQLMNLRGTQGGAVLSLAIAGYLAFQHFTRVGGLGKAFEQGSVIATLAIICITVIPLMLLF >KQL14962 pep chromosome:Setaria_italica_v2.0:III:15106116:15106711:-1 gene:SETIT_023786mg transcript:KQL14962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHAGKPFLFALLLVLSGLILLPLASSVPTPRSLRLGNPQQHPPALKLAFSQQEMAMAARNLGRRAARMAVEVNDYQPSGPNNRHDPPKGPGRA >KQL14961 pep chromosome:Setaria_italica_v2.0:III:15105766:15106777:-1 gene:SETIT_023786mg transcript:KQL14961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHAGKPFLFALLLVLSGLILLPLASSVPTPRSLRLGNPQQHPPALKLAFSQEMAMAARNLGRRAARMAVEVNDYQPSGPNNRHDPPKGPGRA >KQL15311 pep chromosome:Setaria_italica_v2.0:III:18818030:18818380:-1 gene:SETIT_025618mg transcript:KQL15311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCQNTEKPILLRSSNFAHVKGDSMELKS >KQL15016 pep chromosome:Setaria_italica_v2.0:III:15636965:15639753:1 gene:SETIT_022933mg transcript:KQL15016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRLNSARKSQPPRSSDRRDAAIQELRRGTQLADLLRKQVKLIPEPNRRDAAVANVGEISMAMESSLNILQYEISSPEVGTVDMAAHAGYSSDGGTGERNGAVPRTRRVRHRRGRHGVELPMKEILTEAPENDRFHWRKYGEKTILNAEYPRLYYKCGYSDDHKCPAKKYVQQQSNSGHPRFMVTLINEHTCEALFPDEPTSSSSSASQVLDFTKASLSPPLMAAAASGSLKKEEEDSMSVCMHSYSYDEYLSSSFPTMSPDGDQVQFSPGPGW >KQL13221 pep chromosome:Setaria_italica_v2.0:III:3233808:3239380:-1 gene:SETIT_021398mg transcript:KQL13221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANPKNIFTVKVEDAKPSKDGRLAVGPVFRSVLAKDGFPQLEADMKTSWDVFRVAADKYPNNRMLGWRPLKDGVPGPYLWKSYKEVYDEVLQIGSALQELGVQPGSRIGIYGTNCPQWIVAMQACNGYSLICVPLYDTLGAGAVDYIIDHAEIDVVFIQDKKIKEILSPNCKSAKRLKALVAFTSATREQIKEADQIGMKMYSWNEFLKVGKVNPRQPCPPQANDLCTIMYTSGTSGQPKGVMLTHESHAMYVKGVDLFMDQFDDKMTTDDVFLSFLPLAHILDRMIEEYFFHKGASIGYYHGDLNALRDDIQELKPTLLVGVPRVYERIYEGILKAIAELRPLRRVIFNALYNRKLTSMKSGYSHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSTEIEEFLRVTTCAYFIQGYGLTETLGPSTVCYIDDMALVGSVGVPATYTEIRLEEVPEMGYDPLGVPSRGEICIRGKSLFAGYYKNPELTNEAIVDGWFHTGDIGEMTPDGILKIWVYGDSFRSNLVAVVNPHEENTMKWAESNGYKGSFGEICKLEGLKEYILKELTAVAQKNKLRGFEYIKGIVLDPLPFDIERDLVTATMKKRRNNMLKYYQSDIDAVYKKLEAQKNAAKAK >KQL13220 pep chromosome:Setaria_italica_v2.0:III:3233960:3238922:-1 gene:SETIT_021398mg transcript:KQL13220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANPKNIFTVKVEDAKPSKDGRLAVGPVFRSVLAKDGFPQLEADMKTSWDVFRVAADKYPNNRMLGWRPLKDGVPGPYLWKSYKEVYDEVLQIGSALQELGVQPGSRIGIYGTNCPQWIVAMQACNGYSLICVPLYDTLGAGAVDYIIDHAEIDVVFIQDKKIKEILSPNCKSAKRLKALVAFTSATREQIKEADQIGMKMYSWNEFLKVGKVNPRQPCPPQANDLCTIMYTSGTSGQPKGVMLTHESHAMYVKGVDLFMDQFDDKMTTDDVFLSFLPLAHILDRMIEEYFFHKGASIGYYHGDLNALRDDIQELKPTLLVGVPRVYERIYEGILKAIAELRPLRRVIFNALYNRKLTSMKSGYSHKTASPFADMLAFRKVKARLGGRLRLLISGGAPLSTEIEEFLRVTTCAYFIQGYGLTETLGPSTVCYIDDMALVGSVGVPATYTEIRLEEVPEMGYDPLGVPSRGEICIRGKSLFAGYYKNPELTNEAIVDGWFHTGDIGEMTPDGILKVIDRKKNIFKLSQGEYVAVEYLEKVYGFPPLVEDIWVYGDSFRSNLVAVVNPHEENTMKWAESNGYKGSFGEICKLEGLKEYILKELTAVAQKNKLRGFEYIKGIVLDPLPFDIERDLVTATMKKRRNNMLKYYQSDIDAVYKKLEAQKNAAKAK >KQL13938 pep chromosome:Setaria_italica_v2.0:III:7860730:7861866:1 gene:SETIT_024646mg transcript:KQL13938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGGIPLTQPPPAKEADEAAAALALTKRARKRSRYLSPPYTDTDVQEGGVAGEEEEPPDVSAAAALSALLDAALWHGHGVDPAALRFLALHRSRNRTTATGTFDNHPGPRAAAAAGSSHDGGTKKHSSPGGGGGGGVGHTKLNLSAGPAMPGPVDGSPALAKKKKNPNADGPMQDAAATVQAQAAGEHTWASQSASFAANGTYGAANPAPTPERRKKTKYKKRAKSAGPEQQHFGNPVALVLDYAAGAPLPSREHLVSTFRRFGLVIDSETAVAQDKRSARVAFATRAEAEAAFSCAGALGAAFAPPSAVPSLQDLPPIARGAPPPPLPKLPLTDIRSNLEMMIASLKATAEAAANSPDNLVGEMQGLLAKVDKKLQ >KQL16593 pep chromosome:Setaria_italica_v2.0:III:42767414:42770323:1 gene:SETIT_022771mg transcript:KQL16593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAAPRALSLLAPSPPLTLRMNCWRVAVGSVRRRGAVAVRAKKKRGRGGDGEAEERVDTHSFAPKAGEASGLFPEAVLLRKKMAREDGQVAPEFADAEEEKLYEFLNIQLESDLNLKRMRHYEVVYLIHEDRVEEVEDVVSKVQDFVRAKKGRIWRLNDWGLRRLAYKIKKATHANYILMNFEMESRYINDFKTLLDKDERIIRHLVMKRDEAITEDCPPPPEFHTLRAQQYFDDEYEDEEEEEGWDARSELESADYGEDNAETGDEPEIIYVDEADQDNYEDTRRRNRKLKVKKYTAEKVLR >KQL13427 pep chromosome:Setaria_italica_v2.0:III:4415745:4417179:1 gene:SETIT_022580mg transcript:KQL13427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWSAPTAAAVATVLGASLLYMALVASAQPPLPGNVQVISMNGKRNSKFTCTDTRKNSKRPGCTATCPNRCPKKCLVLCPTCKTFCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDQDFCILSDAGLHINAHFIGKRNPAMSRDFTWIQALGIRFAHHHLYVGAARTVRWDAAADHLSLSLDDEAVPLPAFTGARWSPPTAPALSVTRTAQANTVVVELRGVFRIMANVVPITAEDSRVHGYGVTDDDSLAHLDLGFKFYDLTDDVHGVLGQTYRTDYVNRLNVTAKMPVMGGADNFVSSGLFETDCAVARFGRGSTATASAAAGAGNGIAMVTDAKYL >KQL15288 pep chromosome:Setaria_italica_v2.0:III:18676816:18677535:-1 gene:SETIT_025354mg transcript:KQL15288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAGGWDRRVRGGSGRLELPRQQPPVVVDVGCTCRGARLLSSLISTLKSHARGAVGGKANSPHASSWSTSTSTTTAFTSSVSTTTATSGSSAADVLHSWGPATYAINTSALDDYDDGVHHHDAEHARRQRRQRRRRRSSKCRRGQGRRAAAAAPEEEEEEAVAVAVEVESAAPYEDFRESMVAMVTEKEMYAWEDLNALLHQFLALNSPRHHPLILTAFADLWAPRGGLFCPPSPCLL >KQL15083 pep chromosome:Setaria_italica_v2.0:III:16474740:16475936:-1 gene:SETIT_024485mg transcript:KQL15083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRPWADLPTDIVLEIFRRVPCAIDRVNMATACHSWLAELADAPAAPPQLPSLLLPSADVTGVYCYLSGRRDHNKFTALTGPRHFGSYDGSWSFLAYGQTHGHRLLNIRTGESHAVPDVLEDDHDDQIHSMVILAATLSFPPDRLNCVAAGIVTYQPDADAPRRRHFAFWRLRGEVASCNVVPDPLVGHGLELEDVVYHNDSFHFLSQGEDILECTLFLDGDGAVLGVVADLIRFQNAGRSRSYAEFVRARYLVASRGELLMVVRFAPNPHAPTSSFKVFRMLQQQMPKDDKEEEDVDEYPRCWSELDTLGGRMLFVGRACSRSYEVDQYPGFKDGVYFLDDGCFYDDEMMFRGVNERQYPCNDIGKWSEGPPPHVDGYFPEQGPSHNSPPAWLLP >KQL15696 pep chromosome:Setaria_italica_v2.0:III:22655414:22657252:1 gene:SETIT_022692mg transcript:KQL15696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEVQQLTRAFSGLGGLGVDEPTMVSALARWRRQPEKRSGFRKGFPGFFKSHGEIDRCEEEYMLHLAAEFARFKNLMVLWAMHPWERDARLAHHVLHQHHPSAIVVEVACTRSADELLGARRAYQALFHHSLEEDVAYRARDKPYCNLLVGLVSAYRYEGPRVNEEVARAEAKALGAAVKSAGGKLAENDEVVRILTTRSKPHLVETFKYYKEMHGRRIEEDLAHGNEETLLETVLCLAAPAKYFSQVMEGALRDGADHHGKEALTRVAVTRSDHDMDEIRAAYQEQFGAKLEDAIAAKAHGHYRDALLSLVGAHHQQ >KQL15697 pep chromosome:Setaria_italica_v2.0:III:22655685:22657252:1 gene:SETIT_022692mg transcript:KQL15697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNEGLGGLGVDEPTMVSALARWRRQPEKRSGFRKGFPGFFKSHGEIDRCEEEYMLHLAAEFARFKNLMVLWAMHPWERDARLAHHVLHQHHPSAIVVEVACTRSADELLGARRAYQALFHHSLEEDVAYRARDKPYCNLLVGLVSAYRYEGPRVNEEVARAEAKALGAAVKSAGGKLAENDEVVRILTTRSKPHLVETFKYYKEMHGRRIEEDLAHGNEETLLETVLCLAAPAKYFSQVMEGALRDGADHHGKEALTRVAVTRSDHDMDEIRAAYQEQFGAKLEDAIAAKAHGHYRDALLSLVGAHHQQ >KQL12680 pep chromosome:Setaria_italica_v2.0:III:363698:366610:1 gene:SETIT_025120mg transcript:KQL12680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVKSVSSSDIRISVSSALSTSSHGSGGEDSESFGDIYVWGEVICDTASRSGSDRSAYSPGVTTDVLVPKPLESNVMLDVSYVACGVKHAALVTRQAEVFTWGEECSGRLAHGVGTNVFQPRLVESLSICNVELIACGEFHTCAVTATGDLYTWGDATHNAGLLGHGSNVSHWIPRRVSGPLDGLQVSTVSCGTWHTALITSSGKLYTFGDGTFGVLGHGNRKSCSYPKEVESLKGLRTISVSCGVWHTAAVVEVIISQSNASSGKLFTWGDGDKYRLGHGDRSSKLKPTCVPSLIDYNFHRAACGHTLTIGLTTSGHIFTVGSSVYGQLGNPNNDGRYPRLVEEKLGGGGVMEVACGSYHVAVLTNAGEVYTWGKGANGRLGHGDIADRKVPTLVEALRDRSVKRVACGSGFTAAICQHKWVSGMEQSQCSACRQPFGFTRKRHNCYNCGLVHCHSCSSKKALRAALSPNPGKPYRVCDSCYVKLSKVLDSGVSYSRNTIPRLPGDTKAEKIDTKVTKVAPSSSSDMIRSLDVKAAKQTKKSDYTSQVPVALQLKDIPFISAPDLQNSYTVANQYPYDSRSTLPFLRMPYLNYSSSLSSESLESLRDANELLKQEVQKLQAEVCFSYTCVVNSLRQEREQQDAELQKSEAKAHEAMTLATEEASKLKTAKDVIKSLTAQLKEMSERLPAGACDAKNGRLMGALPPEIGRENQMRYDPSSIQYPQTPASVASARFGGLPPQVHHASEYNETVMVPQEGRGEHLNGFREFSSVQQRANGGTIGYRHRPDDHDRKETDRFQINLNNLNMRSSGSPNNQVEAEWIEQYEPGVYLTLVSLRDGTKELKRVRF >KQL14293 pep chromosome:Setaria_italica_v2.0:III:10198585:10199113:-1 gene:SETIT_025757mg transcript:KQL14293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLTADFTLCPATTIVATKPFRPAPPVANFALCIASS >KQL17064 pep chromosome:Setaria_italica_v2.0:III:47919879:47922734:-1 gene:SETIT_022461mg transcript:KQL17064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEVANRAAVESCHRVLSLLSQSQQQDPALLKSIASETGEACAKFRKVTALLANGGSSGHARGRFYRRGRPSGFLTLKGILGSSSDTPSELMPSAAAAAPSPSTSYAQLRARIGGVPDPRGLDLASSSSKSGAHPYGAPKMVQPLSVQFQIGNVAHRYPFHQQPSRQKLQAEMFKRSNSGISLKFDSPSPSGGAGTMSSARSFMSSLSMDGSVASLDGKRPFHLVGAPVASDPADAHRAPKRRCMGRGQDGTGKCATTGRCHCSKRRKLRIKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPAMLIVTYEGEHNHTRLPAQSAQT >KQL13698 pep chromosome:Setaria_italica_v2.0:III:6145564:6148403:-1 gene:SETIT_022499mg transcript:KQL13698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGAMAAAGEEVPNKMVILKRYVTGFPSEDDMEVVTGTAHLAVPPGSAAMVVKNLYVSCDPYMRGRMTKHDRPSYVPDFVPGEVLVNFGVGKVMASGHPDFKVGDLVWGMTGWEEYTLVPKPETFFKINHPELPLSYYTGVLGMPGLTAWAGFFDVGKPKKGDYVFVSAASGAVGQLVGQLAKLTGCYVVGSAGSDEKVNLLKTKFGFDEAFNYKKEQDLDAALRRYFPEGIDIYFENVGGQTLEAVLDNMRVHGRIPVCGMISQYNLEQPEGVHNLFQVVAKRLRMEGFMVFDYFNQYYKFEEEMAGYLKEGKVSYVEDVADGLEKAPAALIGLFTGRNVGKQLVAVARE >KQL17261 pep chromosome:Setaria_italica_v2.0:III:49325590:49328416:1 gene:SETIT_023449mg transcript:KQL17261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLRSKCLSVGRTLMGSLGNNLYGAASSSVEAATRPSRCDAISQQIRTFIQMRTNLKVVDNSGAKRVMCIQAKRGKYGARLGDTIIGSVKEAQPRGKVKKGDVVYGVVVRAAMKKGRSDGSEVQFDDNAIVLVNNKGELIGTRVFGPVPHELRKKKHLKILALAEHIV >KQL17291 pep chromosome:Setaria_italica_v2.0:III:49544254:49544708:-1 gene:SETIT_024569mg transcript:KQL17291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRPRQRRRKAGTEKPRTIHDVPDDILRQILLGLDSPLWLLRAACACRQFRRAVASADGGRAFLRLAGSLHPPVVVGHYHNRSVRPIAFVPSPWTLAPPIDCGRFALDFLPRRIITTTDWVVADCHGGLFVLWDSQNSPPNLIVCDPLTR >KQL15481 pep chromosome:Setaria_italica_v2.0:III:20687590:20690706:-1 gene:SETIT_022506mg transcript:KQL15481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPAAAASPPPPPAAVAADEGARAAEAVVAVDERVASNVDPFLVEALDNPRHRLMVLRMELDIQKFMQNPQLQEFEFQNFPTSYLRCAAHRVAQHYGLETTVADSLVDGSVSRIVARKTPESRYPPIALSEVSSKQARNDHEAAEKLKFVIYQRPKVFQNGAADAGNKNGAPKTVEERIEEYNKARARIFNGSISADTDAASVLGALSTGRDEPVNVEPPADEIKVSTMNSRSRVAVFKDTEKDRSDPDYDRNYKRYVRSPVPDFSLNPGAFNFVVPQFMQYGVGYVQSPGMSTNQPTVYFGQPDLSMGSSSGAAVYPHWPPPAMMYPHCYDNTGPMMSQVPLYQSFNHG >KQL15482 pep chromosome:Setaria_italica_v2.0:III:20687260:20690916:-1 gene:SETIT_022506mg transcript:KQL15482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPAAAASPPPPPAAVAADEGARAAEAVVAVDERVASNVDPFLVEALDNPRHRLMVLRMELDIQKFMQNPQLQEFEFQNFPTSYLRCAAHRVAQHYGLETTVADSLVDGSVSRIVARKTPESRYPPIALSEVSSKQARNDHEAAEKLKFVIYQRPKVFQNGAADAGNKNGAPKTVEERIEEYNKARARIFNGSISADTDAASVLGALSTGRDEPVNVEPPADEIKVSTMNSRSRVAVFKDTEKDRSDPDYDRNYKSMVLVMCNLLACPQTSLLCTLANLIYQWGHLLEQLFTHIGPPQQ >KQL13077 pep chromosome:Setaria_italica_v2.0:III:2417768:2423216:-1 gene:SETIT_021255mg transcript:KQL13077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSEQKSRKERRKEARSEKQKLRFLSWVQHQGGKKKKLAMPAVEPSPAEEKKPKKEPAAVKKKRKREVEGKHKPKSNFQEYLEMEMGGAVSMEEDLEMERRLAKKLKVKKGKLGGPDDGMDELFADLGFGGDFGLDDEANAYDWNVEDDTKLDRKGKNKKKKVEKDDMETEELNVGYEEIDRKKKKKKVKEDDMETEELDVGDEENERKQKKKKKKVKKDGMEMEEPDYVKKKKKVKKDDTEVEDPDDGGVDMDEENDGAVLESEDGEANVIAPTESKGKYVPPSLRAASNSESEEIAQMRRRVRGLLNRLSESNVESITQEIATLFRSVPRSVGSQIIGDEVLASCSRGPRGNEQYAAVFAAFVAGMSCLVGIDFSAKILASIAKTFEDEYSKEDGLSLRNLTLLFCYLCIFGVISSDLVYDLLSTLSKRLTELDVSTVVTILQCCGMKLRGDDPGAMKDFVLGIQNSANQLKLHSGVREDGETERHGKRMEFMLNTICDIKNNKKRPKDDPSHSSNHTRIKKWLQKLKAEDVLLRGLTWSRLLDPDKKGQWWLSGDVPSTAGNIEDVAAVISKDVAETQKLLQLAAAQRMNTDIRRAIFCIIMSAEDYVDAFEKLLRLGLSGKQDREIIRVIVDCCLQEKMFNKYYTVLVSKLCSHEKNHKFSLQYCIWDHFKELDNMEPNRTMNLAKLVAEMLSNFTLSLATLKVVNLSNPVEMTPERITHFQMLFETLLRKDDALVWNVFTRIAGLPELEILRDGIVLFIKRHVIAEDSGKDLASKFKIAKKALDNAAGVLM >KQL17132 pep chromosome:Setaria_italica_v2.0:III:48480888:48481365:-1 gene:SETIT_023950mg transcript:KQL17132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFTTPERCHSICKSRFKYIFQGNRHGDLQVNGDRFTLYYMVQQKSEALIILQPGKEIQRP >KQL16919 pep chromosome:Setaria_italica_v2.0:III:46688238:46688922:-1 gene:SETIT_023868mg transcript:KQL16919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEITILAYIFFLYHQFQISLRLCRTKNFFNVCGFFRSIHNTIENFSSGEGNYKKPSFSTLLSHLRQNRWERLCFI >KQL12799 pep chromosome:Setaria_italica_v2.0:III:934172:935864:-1 gene:SETIT_021866mg transcript:KQL12799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALLVNTISVSPAGPKLLPTTARRASRSRYRCRAEASGSGGGGSSTNGDGYKPGGVRGSWVSDYDLYELLGVERSSPQSEIKAAYRSLQKRCHPDVAGAADGHDMAIVLNEVYALLSDPAARLAYDQEQARRSEFAGYTGRPLYSSWLGADAERRAVFVDEVRCVGCLKCALHASRTFAVESVYGRARVVAQWADDEDKITDAISTCPVDCISMVERSDLAALEFLMSKQPRGRVRVSEGNAVGARAPNIFNEVAKFQKRFEEMKQKSATRESQESETVKQSRTSAVHTIRSMSNWWYWRPFGSSAPATIVLASRLLPPPPAAAAAKAADPVADRLQEAVAARRKTEGATTATAAHARRDDYWTPQLNLPSSASPPSIHQRGRDAPRGHNRGQRTAAGDEAAAGSGRKGVSIDLTVPLLMGIIAAGFVGYNGQEMAGGAGSGIREHFGGAVALGIVNSFEMKVMLAGVTWFIIGAAIAGVIQVLGRSEQDIWK >KQL15014 pep chromosome:Setaria_italica_v2.0:III:15588677:15590161:1 gene:SETIT_025267mg transcript:KQL15014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKAWMYGIQRHSHIFMAEVSKFVEAAEKHARICKTKQMHYPYFDCSNNIVWEDTDVIKRHLIKRGGTFNNTDIDTGSDEVGGDDANENDHVMMDDDYDRGDQNGDQTDARVEPQVDEEHDVDMEDMLRHIELEVLLGSAKGLENFETLKKAAKDRIYEGCGKEWTVLRFVLHLLILKAKFGWSDNSFNDLLTLVGKLLLKPNFVPKNIYEAKKIINSLKMCVQRIHVCRNHCILYRGEYAALEKCSNCEASWYKSNADFCEDCASSSIGNKRKKGVKKSAGAQVENESCIGTDMTTQCRVPTLVMCNFSVVDRLKRLFSNPKTAEIMTWHVDRLVR >KQL15175 pep chromosome:Setaria_italica_v2.0:III:17624623:17631481:1 gene:SETIT_021484mg transcript:KQL15175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMAAVKVEEPEGSTGNWWNLAASSPSPSVSEAGSYGGGALMSPVESNPADSVSGRRRTSGPVRRAKGGWTLDEDEKLRKAVGACNGKNWKKIAESFPDRTSVQCLHRWQKVLNPELIKGPWTQEEDDIIINMVKKYGPKKWSLIARSLDGRIGKQCRERWHNHLDPQIIKEAWSVEEERVVADAHRLHGNKWAEIAKLLPGRTDNSIKNHWNSSLRKRLGDYNASRALPVSVHMVHNSFKHEKAKLSGGNHIDLNKEPNINLERPPEIVDHSEHATHLHACSLKNIKSCSDFLSLAMPTAQPKKLCEMLGGGYSAVALAGIQGLKMDFVHDKGTEINVVCKERLKNDLLNVEVRKLDHATDTIGYSGSAKVEGKTVNNMCKLFLPNESNSFGSLCYEIPKLEDVVPCHSPVFSTPHIQQCCEDGFLPPVGYTTSSRDGDISDQLSVESILKSAAENFPGTPSILRRRKRETPAHDEDSNLKIAILHSNSFHTPLGKCTTESPHSFKTATFLSLGPPDNEGLSATLGSFDVSPPYRLRSKRMAILKTVEKKHLNFSSDEMDNYDSPDTMKKYSSWKTECTNTYTDVSSKQEMKMTEHMVDLETLAKDFTHTTKLDLI >KQL13508 pep chromosome:Setaria_italica_v2.0:III:4873109:4875677:-1 gene:SETIT_024846mg transcript:KQL13508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWSLLTAATMVANAIVFFLSTMALPAATAIVEHTFVGEVTEGDSVVIHVVNKSPYNVTIHWHGEGTLWWHAHVSCLRATLHGALIIRPRLGASSYPFPKPDREVPIIIGDWWKMDLAEVARKMKDNFFDYFPSASTIDGKLGDLFNCSGVAEDGYVLDVEPGKIYLLRIINAALFSEYFLKIAGHRFTVVACDANYVSPYTTDLIVIAPGETVDALVVADAPPGKYYMVTLPNQAKLPETQTPEATTRGIVQYKSHHSPGGGGPSPTGDAPVAPVMPDQHDVVLSFFFHSNLTSLRVDEHLFVTLGLGTICRRGQYCNRTKENETDLVATMNNVSFHLPTTTPLLEAHYHHIGGEDALILLPEKPPRVFNYTDRSLIIVGPVEKLLEPTSRATMAPWFQYGSVVEMVFQGTAILQGDSNPMHLHGHDMFVLAQGLGNFDAAKDVARYNLVNPPLKNTVVVPNLGWVAIRFVAYNPGWVWYIHCHYKFHLSMGMTGSIYCRRWTDDEHVAPSTACGFSIM >KQL14208 pep chromosome:Setaria_italica_v2.0:III:9551965:9554581:1 gene:SETIT_023187mg transcript:KQL14208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQGTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFTTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVEAVALKPPEVTIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >KQL13695 pep chromosome:Setaria_italica_v2.0:III:6137006:6137509:1 gene:SETIT_023961mg transcript:KQL13695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIVGSGWFISLALDRNDLFDLDFEVTIFNTATTCMIIHQAKGNAFEVLRSFTAKGEL >KQL16616 pep chromosome:Setaria_italica_v2.0:III:42971988:42972566:1 gene:SETIT_024330mg transcript:KQL16616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRPCRSLSDLPIEVVIDIIGHLAMTSDNPLEDLHRLRATCRLMLHACGDRAVRVRLSFLQCWEKMSRNQSSRMHALLHHLVALGNPKPFLHKLSRATVSGLNVAAYLYALFLYRNDGSAADDNIARMYIQRVEGEGEDGAAARVTTGPMKLGNLGYRERREEVYSYVWSYT >KQL12620 pep chromosome:Setaria_italica_v2.0:III:70821:73281:-1 gene:SETIT_022767mg transcript:KQL12620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLVPPVVFLCKQGTKPPLPHVARRAVSVRAAPPRQQQQHRARPRPPPRNNKRVDRPARRPPRAPPLDYDDDEDDDQEDEVGRFAGGTRAAAMPKPPAGFVLDDKGRCIAAASKRIVTIIDDATNRPLECIIRRVFRSSQDHDCMLLCPVDMPVQVLKSTNFSGWIAVDDDQLKQIIPSVAYALARVHMHFVESGFCYTARGGFCFPEEAIQEFHDSGDGGDGVPFEGVEICCFNLDGAHYMIYTPVDPLLFVAVKDKDGVLHIAEDDLMDDPAVVDAIDEETEFTALVEEEEALLETVLGER >KQL12956 pep chromosome:Setaria_italica_v2.0:III:1849930:1850366:-1 gene:SETIT_025518mg transcript:KQL12956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTAWKRMEGEKLVFEGRSLLPPQIFALIQEDIKLHQNACGNPELG >KQL14332 pep chromosome:Setaria_italica_v2.0:III:10615069:10625601:1 gene:SETIT_020949mg transcript:KQL14332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGDGGDEGAGAAAVGMGSPGPASAPAAVGGGGSGAGASGSGGKPPVKRVMKTPYQLEVLERTYAEDSYPNETKRAELSVQLGLTDRQLQMWFCHRRLKDRKPPAKRQQRDEEVTVPVIAPPPVLPPPLPHSEIMVGTVGTYGEQLLPYSRRGPGRSSAVPRISVPEIGRRYYEPPQVILPHMAAVHLTQAEHRMIDSVETLIGEPLRDDGPVLGVEFDPLPPGAFGAPIVPEQPKQPYRSYEPKMFSGRDPKPMKASAFLPTIDPLLPNTVNGKRKSLVGSSSHLGSQAVHEYQFLPEQPSDVYERASQSRFYDTSTEASNSRIASLSTGSRFLHGVEQAPSYAFHGQLSGSSHLAQHGRSPIPSGSTDHEGALSNINVSPAPFHGQFGIPQVAGFETPLASSERMGYHDEDTYRVDRKRKHNEEAKIAKEVEAHEKRIRKELEKQDLLNRKREEQMRRETERHDRERRKEEERLMRERQREEERFQKEQRREHKRMEKFMQKQSIRAEKLRQKEELRREKEAARQKAANEKATARRIAREAMELMEDERLELLELAYRSKGLPSMVSLDIDTLQQLDSFRGMLGQFPPETVRLKVPFSTKPWAASEDNIGNLLMVWKFFITFADVLGLPSFTLDEFVQALHDYDSRFLGELHVALLKSIIKDIEDVARTPSVALGVNQSGSANPGGGHPQIVEGAYAWGFNILNWQRHLNFLTWPEILRQFGLCAGFGPQLKKRNAGTVHYRDDNEGRDGADIISTLRNGSAAVNAAALMKERGYTNRRRSRHRLTPGTVKFAAYHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASISAALSRDTKLFERTAPSTYCVKTPYRKDPADSETVLSAAREKIRVFQNALSECEEVEKDVDEAERDADSECDDADDDVDGDDMNIEDKDVKSPLVRAQDGAPVTVVGDIKQESNSVVNTLVPQSTQTKCSESVSLRTLDIKASTSTDPVVGDDVKDNEIDESNQGESWVQGLTEGDYCDLSVDERLNALVALIGVATEGNSIRAILEERLEAASALKKQMWAEAQLDKRRIREDFTSKIQYDSCVGLKVDTDRENNAAESTLMPVHNPIKNNDGNANTANTDLLVDKQNQHITGDIAHHQNGVSRESTINPESLSVQQYASSEKTRSQLKSYIGHKAEQLYIYRSLPLGQDRRRNRYWQFSASSSSYDPGSGRIFFESRDGYWRVIDSAEAFEALVASLDTRGIRESHLHSMLQSIEPTFKEAVEKKRCSSLEHPAGRILKNGSNEIISTNHGNEFGSPCSILSGVASDNVAHSDTFKIELGRNEAEKIAISKRAYVFVKWMWRECYSHQSTYAMKYGKKRWPELIQSCDYCYQIYLAEERHCSSCHKTFKPIHNFLEHSSQCEEKHRTDPNWKMQIVDHSVPIGLRLLKLLLATIEASIPAEALQPFWTDGYRKSWGVKLYSASSAEEVLQMLSMLEGAVKRDYLSSNFETTIELLNSNTQDTNQNSVARSGSATVLPWVPDTTAAIALRLFDLDSSISYTLHPKAASNKEREAGDFTNLPPRHPTIKNKQEIDQFGSIGFDQQYGVLLTNSNGRRGRGRGSRGGSRGGRSHSRGGKVPRGISSSSRIQFRDDNNGSYEKGPRKIAKNTRGRGRGRGRGRGRGRGLRTVRPRQPSELGIRSIPKANLLGSFSMLSKANRSGKMHSPESSGAEDWALERREYVEDDDNNSVSQSDESEENEENGEPLNEEYDDELVPGYPRDNSESSPLQMMDDGSEDNDEDAEGDEDGEDGEDYEAEDPVGDEDDDVEMGGDGEIGDEDDDDDDDDGGDGVGNADVDEGGTSYSSEYSE >KQL13853 pep chromosome:Setaria_italica_v2.0:III:7215505:7223731:-1 gene:SETIT_021665mg transcript:KQL13853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEMAEESAARGGAGQLAAVAEEGEGGAVAGAHAPAPAAAVGSSKTMERVAAAKKFIEDHYKAQMKNLQERKERRLVLEQQLASSQVPREEQLNLIKDLERKETEYMRLKRHRICVDDFEMLTIIGRGAFGEVRLCREKSSGNIYAMKKLKKSEMLVRGQVEHVRAERNLLAEVGSHCIVKLYYSFQDAEYLYLIMEYLPGGDMMNLLIREDTLNEDVARFYIAETVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMADENLRESMDIDHSFSDTANGRRWRSPNEQLQHWQKNRRKLAFSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSDDPITTCRKIVHWRSYLKFPDSPSLSLEAKDLICRLLCDVDHRIGSGGADQIKAHPWFQGIEWDNLYEMEAAFKPQVNDELDTQNFMKFEESDPAPARPGSGASRKMMLNSKDLSFVGYTYKNFEAVKGLHQSADLRRSSSFTRHSTGSPSDSADMDSSMEQDGTDTHRRSSSSGDPMVP >KQL12690 pep chromosome:Setaria_italica_v2.0:III:416248:418540:1 gene:SETIT_022401mg transcript:KQL12690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLQPSLPVPARAAACAIQTDNRAHSRPPRLHPRARKTQAGSLLLHTASPSLSLSSPYLPSIKPSLSPFSPLFHCNNNPAKAECPPTRLDTIRCLWPPPRGQSAAEVDMGELAASSSPPPAAVLPVLFVDGDRTVDLGTVTVQPSLAVKRLQAVVADRVGVAPNQISASLARPRRARRVPLEEGTDLAAAVAREGSGCYVLAGLRRARRERRGGRARRDKKAAAAAASSPPEKTILKRLPPTDLASLVVAAAPPAVFGGWDYEAQLRELQRQRDWYLMSTAAADPYLPLPPELEEPPLWSPRPPCPECEAAAAAMRPAPFHWCVRDAVVTAGFRSHVGPIERPAKKSPSPPPPPPSPGRLPGLLGMPVY >KQL13825 pep chromosome:Setaria_italica_v2.0:III:6983594:6985959:1 gene:SETIT_024776mg transcript:KQL13825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEHRRSAHWSSALRKNNGFKGSWTYQTRHTPLPSPTPTPPHQAARQAHSERANEGDMAHSPSGSRAAAPSTGDEAFTDAGAEDVGDSKLSALLFDVSQQVQSGLQNMLKMSSEIERCDGEIEAEVERVRDAVAEKGRALDDDRERVQKAVLAALDILSGGRGCV >KQL14265 pep chromosome:Setaria_italica_v2.0:III:10009707:10012935:-1 gene:SETIT_023475mg transcript:KQL14265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRVAGRRLLGAASGVGGGEAAPAVAAAGAASRGYHERVVDHYNNPRNVGSFDKDDADVGTGIVGAPSCGDVMKLQIRVDEGSGRIVDARFKTFGCGSAIASSSVASEWVKGKQMEEVVAIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKGKLAKADE >KQL14266 pep chromosome:Setaria_italica_v2.0:III:10010442:10012935:-1 gene:SETIT_023475mg transcript:KQL14266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRVAGRRLLGAASGVGGGEAAPAVAAAGAASRGYHERVVDHYNNPRNVGSFDKDDADVGTGIVGAPSCGDVMKLQIRVDEGSGRIVDARFKTFGCGSAIASSSVASEWVKGKQMEEVVAIKNT >KQL15418 pep chromosome:Setaria_italica_v2.0:III:19894822:19897991:1 gene:SETIT_021315mg transcript:KQL15418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPKSTPRHPNRWHNSQDVIAFSPPPQPRSSTTCPATHFLRPSGEPAHAFPTTREGAELLLLRHSQPGARQPLPEMPSPKMLRPLSLLVVLLLLVDSPARAAGAGGGGGGNATSEPCPLDLGYVRTFPWDPTPCAGAAPNMTACCQTLLSLLGIGLAERLRATGRFRLPSAAASEACLDGVSELVSGAPAGLPGSSLVPKCFPDPDQLAITPSYCAGVSTVAEFEAAVGNDSVQALNSSCGPDLSSPATCSQCYAAGVAATAHLTTAAANDSKSESCFYLSVLYAAGVSNAAGPTYPPTAACAFGLGLSSLPSSPSKSNNAVIYATTIPIAFVLLASLLAFFLWRKRRHGNSKKKKKNPKICEEGSAERRSHPRPNTGSILFDIAELAKATNGFAERNLVGRGGFGAVYRGVLADGSVVAVKKMLDPDMEGGDEEFTNEVEIISHLRHRNLVPLRGCCIADDDIEEGKQRFLVYDFMPNGALEDFIYRDKEAAAKLPPLTWAQRRSIILDVARGLEYLHYGVKPAIYHRDIKATNILLDGEMRARVADFGLARRSRDGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVLLLEIMSARRVLDMTSPAGPVLITDWAWTLVKAGQAREVLDEALSTAESPRSGVMEKFVLVGILCAHVMVALRPTIGDAVRMLEGDMDVPELPDRPLPYGHSLMFSEAGSNFSASPAFSGPLAPFIDNGDMLSWQRNDIASISAASVFQ >KQL13094 pep chromosome:Setaria_italica_v2.0:III:2574670:2577058:-1 gene:SETIT_021371mg transcript:KQL13094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANSGQAVVDIDEAGGEAMRPPALPPVPYVLNFTDLSYSVKKGGGLLGCLPSRPSNRLASADAPPAAPAGNTKTLLDGISGEACAGELFAVMGASGSGKSTLVDALAGRIARESLHGSVTLNGEPLQGRRLRAISAYVMQDDLLYPMLTVRETLHFAAEFRLPRALSQEKKRARVDALIDQLGLTRAADTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSASAFMVVQVLRRIAQSGSVVIMTIHQPSARILGILDRLLLLSRGRTVYAGTPAGLKPFFAEFGAPIPDNENPAEFALDTIREYERQPDGAAALADFNAKWQNNGSSTDKDSKLMSTMPLELAIAESVSRGKLVAGSGSGSAVSGTVPTFANPPWTEVWVLIKRSFTNTARMPELFAMRLGTIMVTGFILATIFWRLDDTPKGVQERLGFFAMAMSTMFYVCADALPVFVQERHIYLRETAHNAYRRISYVLANAAVAFPPLVLLSLAFAVTTFWAVGLAGGASSFLFFVLIILASFWAGSGFVTFLSAVVPHVMLGYTVVVAILAYFLLFSGFFINRDRIPDYWIWFHYLSLVKYPYQAVLQNEFRDASRCFSRGIEMFDGTPVGRMSEAVKLKVLDAISKTLGSNMTASTCVTTGADVLVQQAVTDISKWKCLLVTVAWGFFFRALFYVVLLVGSKNKRK >KQL15009 pep chromosome:Setaria_italica_v2.0:III:15534426:15536274:-1 gene:SETIT_024559mg transcript:KQL15009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVRFFHGGVVKQNGELENMNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEVEEESLFESGGHEYENVGVENEEDGLQFDTATVHDVEGIRRMDDCFSYTQCELRMLKERDVELPSVPNDKDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCRWRVWSRLISSTGQWRISNLSPAAPGGLARQGWPPAQPTESRLRLARQHSPAAPGGLARQGWPPAQPTESRLRLARQHSPAAPGGLARQGPTQDFQYISVQ >KQL15087 pep chromosome:Setaria_italica_v2.0:III:16495951:16497268:1 gene:SETIT_025090mg transcript:KQL15087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVAAAAAFLLAALLVASPLAAAAANHDIPAVFAFGDSTLDPGNNNGMTTLVRADHAPYGCDFPGGAATGRFSDGKLITDYIVESLGIKDLLPAHHDTGVTVEEQATGVSFASGGSGIDDLTAQTAMVSTFGSQISDFRGLLARIGSPRANEIANKSLYVVSTGTNDVTMNYFILQGRTGSFPTIDQYSDYLIDRLQGYIQNLYDLGARNFMVAGLPPVGCLPVMRTLSNLGSGDCVADQNAAAERYNAALQQMLAKLEAASPGATLAYVDVYTPLRDMAAQPQKYGFTETGQGCCGNGLPAMGALCISLLPQCLSPAQYMFFDSVHPTQTTYKALADHIVQSHIPKFIK >KQL13467 pep chromosome:Setaria_italica_v2.0:III:4610998:4611390:-1 gene:SETIT_024873mg transcript:KQL13467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLGTASSLVALAAAFLLIYCAASSSKTLASAARTMTEVRASPSCDRALGQCAAGSDEEDEVVVARRSMTARQPRDRYISYAALRADQVPCNQRGRSYYSNCGASQQPANPYRRGCSAITRCARNTKEE >KQL16523 pep chromosome:Setaria_italica_v2.0:III:41258346:41259336:-1 gene:SETIT_023098mg transcript:KQL16523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATAKHLFLGFLLALAAASSSTPAAATTLTLHNLCPYPVWPLVTPNTGFPSISDNTGSLDGGGRGLVSFRFPPSFWAGRVVARTGCSGRASRCETGGAPPATVVQLAVHAAEGGQDLAAYSVSLVDGFNVPAVVSPQEVGGGGQCPALGCAADLNAGCPRGQRVVGRGGAVVVACRGPAGYPYFKERCPLTRTTPTDVEPVPQRCFGPGELKIVFCQPAMVNAAATGETGRIRTVVADN >KQL13892 pep chromosome:Setaria_italica_v2.0:III:7537928:7539942:1 gene:SETIT_024730mg transcript:KQL13892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGRSAGESRVSIASACHAMACVRRFFVPAETAGDQRPRPPAPSSHGREPAAVARHERRLSASTSFPSPAASPARSTSSSSTNYLPASNKLSSESIPFAVPDLSSFSSSSSYESFFHIEASDLAGGGSGDYLDFEPTTRAPPTVQTMVPPGQQQRPEGAGAAYDPKRLPSSIFRTRSTTPADWSATSNESLFSIQLSNSSDLTAFYADMYYDAAGFPHFPPMGGEAALKHSESSVRSGGLCVRHDCARCSGSGGKTRKSVRFAATESVSTTEGKHAVVVSTWLTSINRLRRR >KQL16083 pep chromosome:Setaria_italica_v2.0:III:28512604:28518403:-1 gene:SETIT_021115mg transcript:KQL16083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMRGRQQLLLLLFVLCATGLRIASADTNPQDAAALRSLMKKWKNVPASWGKYNDPCGAKWDGILCDGNGRVTSLNLFGMSMSGTLSDDIGSLTELRILDLSSNSLDGPLPAVIGKLVKLEYLALIGCGFTGPVPSELGNLSQLKFFALNSNKLTGSIPPSLGKLSNVIWLDLADNMLTGSLPNSKDNGTGLDQLVKAEHFHFNGNMLEGSIPTNLFNSSMHLKHILFDINRFTGPIPTSIGVIPYLEVLRLNNNGFTGKVPAFNNLTKLHVLMLSNNKLSGPIPNLTGMGSLENVDISNNSFDPSNVPSWFSDLKSIMTLTMQSVGLSGQLPQKLFSFPQLRHLVLNNNELNGTLDMGNNIRTHLDVFDIRNNKISSVTVYNSFNVENLKLEGNPLCNDSLLSDTTPCTGLQTEAPPQPVPFDVQCANPFIETIIFRAPSFANVIEYLPQLEKNLSGQLSSCTPNRLGLRPYFNEGGYLKVAIKACPVNQKKFNYSQVLNCFNLTLQTYKPPEKFGPYYVKADPYPFHDKTSRAVLIGIVTGSVLLIVGLTLVGFYAVQQKKQAQRLVSVNNPFASWGSMGEDIGEAPKLKSARFFTLEELKLCTNYFREINVIGAGGYGRVYRGKLPDGQLVAIKRSKEGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEKGEKMLVYEFIPNGTLSEALYGMKGIQLDWSRRLKIALDSARGLAYLHDHANPPIIHRDVKSTNILLDEKMTAKVADFGLSLLVSDSEEGHLCTNVKGTLGYLDPEYYMTQQLTAKSDVYSFGVVLLELIVAKPPIHDNKYIVREVKMALDMEDRKHCGLKDVMDPVLEKMGSLLGFPRFLKLALQCVEEVGTGRPSMNAIVREIEGIMEDNGLTPDSMSASSSFSIESRTMKVGPKLPYSSASTSSSTFDMNSRAFEYSGVFPSSDGSLKS >KQL13301 pep chromosome:Setaria_italica_v2.0:III:3695135:3695269:-1 gene:SETIT_025237mg transcript:KQL13301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQADSFTDLKILNMDPWALGRHENLVIMLERCTLTFVPGRGSP >KQL16196 pep chromosome:Setaria_italica_v2.0:III:34217082:34225695:-1 gene:SETIT_021775mg transcript:KQL16196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGKGGGAKGGAAKGGGGGKKKEVKKETKLGMAYKKDDNFGEWYSEVVVNSEMIEYYDISGCYILRPWAMEIWELLKEFFDAEIKKLKLKPYYFPLFVTENVLQKEKDHIEGFAPEVAWVTKSGKSDLEAPIAIRPTSETVMYPYFSKWIRSHRDLPLRCNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLQILELYRRIYEEFLAVPVSKGRKSEMEKFAGGLYTTSVEAFVPNTGRGIQGATSHCLGQNFAKMFDITFENEKGAREMVWQNSWAYTTRSIGVMVMTHGDDKGLVLPPRVAPIQVIVIPVPYKDADTTAIKGACESAVYTLNESGFRADLDTRENYSPGWKYSHWEMKGVPLRIEIGPKDLANQQVRVVRRDNGAKVDIPVANLVEEVKALLDDIQANLLKAAKEKRDACIEVIHTWDEFTTALNNKRLILAPWCDEEEVEKDVKARTKGDLGAAKTLCTPFDQPELPEGTLCFASGKPAKKWSFWGRSY >KQL14930 pep chromosome:Setaria_italica_v2.0:III:14936470:14941622:1 gene:SETIT_021388mg transcript:KQL14930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRASNFIPDPNQELLDVKPLRSLAPMFPAPMGVNVNQSSTPPLVCVTPVGQFPTGFGAGNLPSFGSFATFSASANGVSYTGTSANGPIDATPISAYKTRSSMLVDGDDEPYSGNQTVASERKARRGRPPGSGASGADGSNGKLKRPKPTYKNFVAGKELAFLPSASDPREIVEAVHMTFEALRRRHLQMDETQDASRRADLKAGAIMMASNIRANSGKRVGTVPGVEIGDIFYFRMELCIIGLHAPSMGGIDYMTTKFGNDEDSVAICIVSAGGYENEDDDTDVLVYSGQGGNSRNTEERHDQKLERGNLALERSLHRKNEIRVVRGFKDPFCITGKIYVYDGLYKIHESWKERTKSGINCFKYKLLREPGQRDGAAIWKVTQKWITNPTTRGNVLLADLSSKAEMLPVCLVNEVDHEKGPGHFTYTNQVKYLRPLSSMKKLQGCGCQSVCLPGDSSCACGQRNGGDLPYSSSGLLACRKPIIYECGDSCNCSTNCRNRVTQKGARLHFEVFRTTNRGWGLRCWDPIRAGAFICEYAGEVIDELKVNLNDSEDDYIFQTVCPGDKTLKWNCGPELIGEESTYVSADEFEPMPIKISAKNMGNVSRFMNHSCSPNVFWQPVQYDHGDDRHPHIMFFALKHIPPMTELTYDYGVAGAESSGSGSRRTRNCMCDSRNCRGLF >KQL13932 pep chromosome:Setaria_italica_v2.0:III:7804083:7805237:-1 gene:SETIT_022774mg transcript:KQL13932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNSSSNRMSEIVFFDVETTAPSPAGRWWLLEFGAILVCPRKLVEVGSYDTLIRPGDLSAVSRRFTDVEAIASAPTFRDVADKIFDILDGRVWAGHNIQRFDCPRLREAFAGIGRRAPEPAGVIDSLNVLAAEFGRRAGDLKMATLAAYFGIGKQKHRSLDDARMNLEVLKHCATVLLLESSLPHALQLAAARDGAVTRRSSTASAPEAAPRRRPAMSQTKLPFTPVQAVPPAPAATTTTTNKSGGGGKRDSLGKLVGRANATGGKKPAAEGATAALPKVTRATTATTTTPFHMILRHSRAILR >KQL13045 pep chromosome:Setaria_italica_v2.0:III:2288975:2289298:1 gene:SETIT_024254mg transcript:KQL13045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFLQQLGYQNDPRIKFYWLLPGRILANGLRIIASDHDTNVMTSVIEKCKTLVVYVDHEASMEDCPWDDVVANPVVDLPKVLSPHKVVYVENIAGEKLPLFYTDLNRGR >KQL16436 pep chromosome:Setaria_italica_v2.0:III:39955856:39959053:1 gene:SETIT_024040mg transcript:KQL16436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKKPPSTCSSQMGLLSPNAQYIYISSISIHICCYDYSVGFIRISSQFLLPWKQVETVTSHANIVSCLQGSGSSVGIVPDEEKQENTLDFVAPDGNYIVADEEKHETILDVREIETLDDDVVPCGDDTVDAKDIITRENLEATIRVDASDAIATNHEIKAEDNVLESEDGNNLLDLINSIDALENPVDMELEDPKVSRHNKPKKVHEREVQPQDESIIKSIGGCREEHVVVRVDDIFVNYKTFKCLLRCNAYVNGDVSTLYICIYIAGYKHLHKPNQGYLENTLIVVLLQRDGKNKEKMKPNIKEDSIVERVMKYVAHDLVFLPINIEEMHWYLAVVNRKRREIQVLDSLGPMSCDDLCHVSDMTNFRLKLAAILCDSTLNTAKELPDDGITDDHTFDTTKFVFENLTQLSQLNPYPISLSLKNLQDTLDVNRSMDIDVFNLAVRMLACDMSTVLREPKSHFMDLMFSYICDYRRHPRNCVKHDPKSLAKFFDDWPRSGVSFSECRLVVVPYYVCESFDIFAFDKHARMIAIIYPSPIHHNLAYNHPSYYYLPRIQKIARTYDRAMDEIDPSWNGDIYDWNHIFPCLVPKTFDRCLTWFLVIELMNMWDGERIHGLLNVDSRLLRKWLLIEVLKCNFNESTDNIPEDVRVAV >KQL15342 pep chromosome:Setaria_italica_v2.0:III:19099108:19101115:1 gene:SETIT_023598mg transcript:KQL15342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTSSPLLQHRSPLLILLFLTAVTAAAMAAEPEQNAAAQEAAVHIVYVDRPEGADPEEFHLRILNPVLGSEQKAKDAVLYHYRHAASGFSAKLTPQQVEELKKQPGVLQVVPSQTYQLHGPGSGTHQGTTRTLGLM >KQL16414 pep chromosome:Setaria_italica_v2.0:III:39514562:39518670:-1 gene:SETIT_025020mg transcript:KQL16414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IQFSAQHLIRHVWSAEKKVNEEIEQNIQRTREIESEIVKHSETEKHYLDRESELTKEISVAEFELNGLIQVAAAETDLLKVTEGNLEFQKVALNEIQQRLSDKMERFINESQVFQANVLGGSNENLVLLLKEKDSLEDESENLKMKISTIHSSSKEYIAEILEEVNTENSAGYLTMNYNYLSRARKNRDLIFATKRFPNPNSTSSNSNPSSPGAEPG >KQL13423 pep chromosome:Setaria_italica_v2.0:III:4390244:4392921:-1 gene:SETIT_022368mg transcript:KQL13423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYIALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >KQL16885 pep chromosome:Setaria_italica_v2.0:III:46447401:46449959:-1 gene:SETIT_023847mg transcript:KQL16885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHRLMPCKGSISHDPDVELSTTVTPKLPRTYLMVKIGWYCICRDTWSLPISWSRVSRGTWSLPGSRIDAMVVLEEFW >KQL16926 pep chromosome:Setaria_italica_v2.0:III:46738549:46738957:-1 gene:SETIT_023788mg transcript:KQL16926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNMTVHTDLLQSCSSRGAKPTCLLPSKNLQAHAMFLSKTSGSVNLVPVQLSLVSRHRMEGVLVFGRCPQNQLCLALHCTIHVQEALPASGPV >KQL13810 pep chromosome:Setaria_italica_v2.0:III:6879665:6882812:1 gene:SETIT_022671mg transcript:KQL13810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGSRRGGAAEEPRIGSGNVFAALETLKKKKKKPAAAGKGAKPAAARGEEEEPPRPELFWAPAPLTAKSWADVEDDDDDDYFATTAPPPRPVWGADRRGDAKDQRHDAPALEEEVESEDDGLDDEVEDDADEEHEHEAENAVPAEPTVNNAPAPPAPSKDTERQLSKKELKKKELAELDAVLAELGLGTSTNSTQDESNGKKGADQVTNGEKKEDAPAPPESKSSKKKKSKKDKSSKESKEAQDQANGSEEAAGAEPDEDTASVDVKERIKKVASMKKKKSSKEMDAAAKIAASEAAARSARFAAAKKKEKSHYNQQPLR >KQL16468 pep chromosome:Setaria_italica_v2.0:III:40531335:40532460:1 gene:SETIT_022638mg transcript:KQL16468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHHSPSPSGSSSRRRLSELLGEQQEPFYLDLYLLEKGCSPAFLDAASCGGGASVCSTCWPRARSTGGRLLRRPAARRKKGRGVLRLLLSKILSGATTTAPAAAAAKKKRQQRPAAIGWRRPDADVKRTTPVRSANAGVPASPSAVECHHRTEVDEEREEEDDGEDEDEDESSKKQLSPVSVLERRLFEHLPPPPHAQKAFVLFSELLEAACTPTTLLSLLANAKQFRNSSKDGGGGSTPTTTPRRFRKKNNSHARREDTLPFERDLATATALVSSELAGARVRPEHVGPEREDIAADIAAAVLDAMTEEAAAELMMTMRMDQPWVCG >KQL17094 pep chromosome:Setaria_italica_v2.0:III:48226467:48226802:-1 gene:SETIT_024962mg transcript:KQL17094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVAELIAGKLAGLVWDEATLMWSFKDDVDALSWTMVKMKALMRDADRRASQDEGRRGREIVQVLMKDFKSAAYDVEDLLDEFEAIELIKKSQSKVLMLNFNTLFFPPK >KQL15995 pep chromosome:Setaria_italica_v2.0:III:26655886:26656548:-1 gene:SETIT_024782mg transcript:KQL15995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKKEDRTRMLPKSSSQKTLRAAMQEERATMASRLLSKDPSSVSNPSFRVYYGVASAGSVPFMWESAPGTPKNSISDTTLPPLTPPPSYYSNKGAAKTKFSKSQSSKKLLSSSKPASFVQSILPKLRRSHTMPSRSPSAAAPTSKEGAQVQCTRSRSRLLASPRSSFSSNSRGDDEDDGGAASSPTSTLCFRTRHSGGGTGRLHGLLASVVGGQGTTAS >KQL13134 pep chromosome:Setaria_italica_v2.0:III:2783089:2783434:1 gene:SETIT_025434mg transcript:KQL13134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYKSAMSYKLFSSSPFTFKPLRIGQCFGLPHIF >KQL16149 pep chromosome:Setaria_italica_v2.0:III:31768226:31771993:1 gene:SETIT_024284mg transcript:KQL16149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAHKPRKRGPPAPSPPPPAARPVQLPPPGFIADRAEAAARVERLLRYQFRDRALLDEALTHQSFSDTATSYQRLEFVGDAALGLAFTNFLYLTNPTLGPGALSTLRAANISTEKLARVAVRHDLYPLLRRKCARLDLLVGQFIDSVKEELNEDLATAPYGGSVVKAPKVLADIVESIAAAVYVDCKFDLEKLWKVTRWLFEPIVTAETIDEQPVRTLHELCQKHGKVAQFKTWQRGGMMVVNVFVGGEMVGLGSSEQKVIAKLNAARDALGKLVSGAKQQVLITGVGNGLGDEVGELRECKHKLTEHCIGKNWPKPIFKLEREGGPAHERKFVCSVQVETQNGTFVTIGDPMSRLKDAENSAAQKMVELLLQL >KQL14486 pep chromosome:Setaria_italica_v2.0:III:11542948:11543563:-1 gene:SETIT_024293mg transcript:KQL14486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELYNFQMTFYNIALNHATFRMSIKRDRKLSSRLATDNFKAYHWNNSSLAIQHTTTRNSTCSPIKKHGILGLLIYPFAFANFCWFDIIFWHAILFRKKLKMTSAVDYILLLQICELISAMLMGSKKKNSCHHCCSVASLHNEVHTMCEMSDQSNLKLLP >KQL15559 pep chromosome:Setaria_italica_v2.0:III:21306862:21309107:-1 gene:SETIT_023111mg transcript:KQL15559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLVSNPVSGRGGCAFLPVAAALRPPSATLSWRRAGWRRRKLTLARASTDGSGSGAAAAQASTVGDSLEREEGGGGEGVASADSSAGKQPPPVDPKIEKELKKAVQKTAATFAPRASTKTKNPAVPGTTLYSVFEVQGYVSMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFLLIPLINVAIPFFVKSFAVVWSADTVAFFVMYAWKLGWLQRSE >KQL15560 pep chromosome:Setaria_italica_v2.0:III:21306224:21309174:-1 gene:SETIT_023111mg transcript:KQL15560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLVSNPVSGRGGCAFLPVAAALRPPSATLSWRRAGWRRRKLTLARASTDGSGSGAAAAQASTVGDSLEREEGGGGEGVASADSSAGKQPPPVDPKIEKELKKAVQKTAATFAPRASTKTKNPAVPGTTLYSVFEVQGYVSMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFLLIPLINVAIPFFVKSFAVVWSADTVAFFVMYAWKLGWLQRSE >KQL15558 pep chromosome:Setaria_italica_v2.0:III:21306224:21309174:-1 gene:SETIT_023111mg transcript:KQL15558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLVSNPVSGRGGCAFLPVAAALRPPSATLSWRRAGWRRRKLTLARASTDGSGSGAAAAQASTVGDSLEREEGGGGEGVASADSSAGKQPPPVDPKIEKELKKAVQKTAATFAPRASTKTKNPAVPGTTLYSVFEVQGYVSMLLGGALSFNLVFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSNKEKEALNYLFLLIPLINVAIPFFVKSFAVVWSADTVAFFVMYAWKLGWLQRSE >KQL16989 pep chromosome:Setaria_italica_v2.0:III:47406582:47410837:1 gene:SETIT_021612mg transcript:KQL16989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVVTVQVGGFANFVGSHFWNFQDELLGLADDPGADPVFRTAALDMDVLYRAGETHQGVATYCPRLVSVGSRGSLGSLSSSGTLGSSSAATDQPNVLTWSGNVTKSVAKPHERNLFLQSLSEEEQNTSSSNDRNNAKKSVEDKDLIESLENGVKFWTDYSKVQYHPQSLYELYGSWTDFDKFDNYGTAREVVSEWSQMEELNERIRFFVEECDHIQGIQFIVDDSGGFSSVAAQYLEGIADDYTNTPVLLYCVRDPVSHGSSRNQRETIIRSLHDAVSFSKLSSSCNLMVPIGLPSLSYLSPLLSIKDEKYFHSSAICAAAVHSLSVPFRLQHVGPASDSAHSSGNLDIGELVHILSDQGRQNMVTALDIAMPAPSLTDRKDLRNIQRSLRSLTPEISDDDEDPYAVESLVFHGALDSGGQRASVSQVKDFVCSALEGRGTKPKFSHLSVSPCPLPIPLPFPSIFSSSIGQHGKILSNDHPEGTRPKGSLDVVSVPMAARLRSSNAIVPFIEKRSGSLQRLGMARGSLGSQILREWGFGKEEVEDMGEHLAKMLRPFYPEMDLTSDSD >KQL13199 pep chromosome:Setaria_italica_v2.0:III:3136639:3138354:-1 gene:SETIT_024756mg transcript:KQL13199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDYRAALANSLLYFEGQRSGKLPPDQRVQWRGDSALADGRDHGVDLTGGYYDSGDNVKFGPPMAFTVTMLTWGVVEYARPLAAAGELRNALAAVRWAADYLARAHAAAETLYVQVGDGDSDHSCWQRPEDMDTPRTAYSVDASRPGSDVAAETAAALAAAAVAFRRLDSGYSAMLLGHAEQLFRFAKNRRGLYHKSVPGAAKFYPSSGDEDELIWAAVWLFVATGGADYKAFILGDGNSGGVQSALSWYNKFLGAQALLILDGKLPDAGNPAAMKRSLEQFLCNVAQHGGGAKLSPGGMLWTQPWNNLQFVTSAAFVAAAHADHLAGASLRCGGWAALPPPQLLSFARSQADYILGANPGQMSYMVGFGARFPERVRHRGASVPSIKSSPGKITCKGGFDYFSRSTPNPNVLVGAIVGGPDGNDQYSDSRENF >KQL14602 pep chromosome:Setaria_italica_v2.0:III:12275630:12279383:-1 gene:SETIT_021813mg transcript:KQL14602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAARRLLPSRARAFAFAAAKWVPPSSSYSHSAAASPSRPRFPTPKEIRRGLDEFVVGQDKAKKVTYAPQSPSLPSWNLVVQVLSVAVHNHYKRIYNEPSNKCLVRGDVGTSGDDEIELEKSNILLIGPTGTGKTLLAKTLARYVNVPFVIADATAITQAGYSGEDVESVIYKLLVAADFNVEAAERGIVYIDEVDKLTKKAECREDRRDVSGEGVQQALLKIFEGTVINVPRKRNQDNVPHGYVEVDTRNILFICGGAFFGLEKIISERNQHCPVGFGIPICHELRNRGWTTLQESCYIDAVENDDLIAYGLIPEFIGRLPIIVSLTNLSEEQLVQVLREPKNAIGKQYKKLFKMNNVKLHFTENALHLIAKKASAKETGARGLRSIMEDILTEAMFEIPEAREGKEKIIAVLVDEESVGPLHHRGCGAKIFQDDGALEMYVYQNNIKLPGLIQSNPSRRRIFRFCLLVALSATKLWIYQTFPCFSSIYEWIVLMLCKANIFTQ >KQL12841 pep chromosome:Setaria_italica_v2.0:III:1144820:1148172:1 gene:SETIT_021061mg transcript:KQL12841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFRWLFHFLLAAVLLHVHGGQSLNQTCHPTDRQALLNFSNGLDSKAAGLVGWGPDDDACCSWTGVACDLGRVVGLDLSNKSLHGGISSSVASLDGLVTLNLSRNSLRGAAPVALGQLARLRVLDLSANGLSGTFPASDGGFPAIEVVNISSNTFDGPHPAFPAAANLTVLDISGNNFSGGINSSALCIAPVEVLRFSGNGFSGEVPSGLSRCKALAELSLDGNCLTGNIPGDLYTLPKLTRLSLQENKLTGNLGNDLGNLSQLVQLDLSYNRFSGSIPDVFGGMRRLECLNLASNMFHGELPASLSRCPTLRVISLRNNSLSGEIAIDFKFLPKLNTFDVGSNNLIGAIPSGISSCPELRTLNLARNKLVGEIPETFKDLRSVSYLSLTGNGFTNLSSALQVLQHLPNLTSLVLTRNFRGGETMPVDGINGFKSMEVLVLANCLLTGTIPPWLQTLESLNVLDISWNKLNGNIPPWLGKLNNLFYIDLSNNSFSGELPVSFTQMRSLISSNGSSEQSPTEDLPLFIKKNSTGKGLQYNQVSSFPPSLILSNNLLIGPIWSSFGHLVKLQHMDLSWNKFSGPIPDELSNMSSLEVLNLAHNNLNGTIPSSLTKLNFLSKFDVSYNNLTGDVPTGGQFSTFTNEDFEGNSALCLLRNSSCSEKASLVEAARGKKSKGALVGLGLGTAVGVAAFLFCAYVIVARIVHSRMQECNPKAVANAEDSESSNSCLVLLFQNNKEFSIEDILKSTNNFDQAYIVGCGGFGLVYKSTLPDGRRVAIKRLSGDYSQIEREFQAEVETLSRAQHENLVLLQGYCKVGNDRLLIYSYMENGSLDYWLHERADSGMLLDWRKRLRIAQGAARGLAYLHMSCDPHILHRDIKSSNILLDENFEAHLADFGLARLICAYETHVTTDVVGTLGYIPPEYGQSPVATYKGDIYSFGIVLLELLTGRRPVDMCRPKGTRDVVSWVLQMKEEGRETEVFHPSIHHKENESQLMRVLEIACLCVTAAPKSRPTSQQLVAWLDNIAEDGGLMQPEVSSGFDLLA >KQL13111 pep chromosome:Setaria_italica_v2.0:III:2648887:2649716:-1 gene:SETIT_023706mg transcript:KQL13111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQHREEDALRGRAVNNRRRYGTRLWRWDSCCRKHSPLLTSKLPQELIKARFCNHDKEVEQAYRDLFDSSKQTLGSMMKLQEVLLVAYLIFPSMLWFLINMVEGFLTVFL >KQL12601 pep chromosome:Setaria_italica_v2.0:III:4906:7915:-1 gene:SETIT_024578mg transcript:KQL12601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRLSASSLPEAAGAIPDPFPPELRWPFGRLDALSQDELRESAYEIFFSACRSSTPAGTRPSAAGGGRAVAAAIPATAAAGGGAKNMAATSRLKCALGLRVRKTRSMVGAGGRPMTSAEIMRRQMGVTEQTDGRLRKTLVRCLVGPQMPKKVESLVLPLELLRHLKPSDFSDAGDHRAWQLRQLKVLEAGLVSHPSVPLDRGNPAASSLRETIRSGVVDVRAVSAAAMALSWRSVDACCWADGYPLNVHLYLSLLRAVFDARDETAVLDEVDELLELIRKTWSVLGLNRMVHDVCFTWLLFERYVTTGQVEPDLLGAVLTMLKQVSDVDAEKQDESWHLRVLAATLASMHSWAEDKLLDYHEEFGVGDQAAGSMENVVSIAVLTAAMRGALAVDSGGDLSAGSSSSSSVSASEQVERYIKSSVRRAFIRKIRIMHDRCIHGWQWQLHETGTAGKMDSMIVEVDEDPCETLMYVAAQTMELARVEKEVYGRVLRQWHPCPTVVAAAALHGSFGALLKRYVSRMGSGLSSESARALHAASKLDKSLLQMAAAENEAAAGRQHRQQMVPYDVDSTIFGLVKGWMDERLATGAECVRRVRDSESWNPRSKAEPYAQSAVDLMKLAKVTVDELLEIQVPSSSCREELLQCLVDGIDRLVHQYALLVASCGSKESYVPPLPPLTRCNQDSKLVQLWRKAAPPCQAGADSHLSCGGVVDIATSSKLTRLVAATSRGTQRLYVRLNTLHYLLAVLHSIDRALSSSAHQRHHRRARSSAFDRARPALDAACLHVSEVSAYRLVFLDSAHALHQALYQGGVVSDARIRPALRVMKQSLAFLASVLSERAQPLAVREVMKASVEAFLTVVLAGGSGRAFGRADYGAVAEDLSSLKRLFCSFGLPEEAVEREVAHAEGVLALMALPTEKLIDELLSHYASSPTAELPMAVPPTTRRWSRSDANTVLRVLCYRDDEAASRFLKKAFDLPKRR >KQL15402 pep chromosome:Setaria_italica_v2.0:III:19641416:19645920:-1 gene:SETIT_021526mg transcript:KQL15402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKLGKPPPAAAAAVQEEGREELFASCSFADLGLHPTLCAHLQDKMGFQTPTRIQAQAIPVAMSGQHMLVKAATGTGKTLAYLAPIVHLLQMREPRVDRTHGTFALVLVPTRELCLQVYGIAQQLVHRFHWIVPGYVMGGENRAKEKARLRKGISILIATPGRLLDHLQHTASFVYSNLRWIVFDEADSILELGFGKAVEDILENLGSRNGAPDQNKNKGEHIRRQNLLLSATLNEKVNRLAKISLKNPVMIGLDDQKKPSGKTNRLGNSHTSLLSDDDEDRILEKRNDILEHAGAVDDFKLPAQLVQRYVKVSCGSRLAVLLTILKSLFERQISQKVVIFLSTCDSVDFHHTVLSQLEWSSGPQLDMDKKQKFLSCKVFRLHGNMEQDDRKRSFLGFGSEKSAILVSTDVAARGLDFPKVKYIIQYDSPGEASEYVHRVGRTARIGEKGEALLFLQPIELDYLKDLESHGVSLTEYPFQKVLDSFPVNGQKPHKRKMISLDMHPWIMSLQRSLEGFVTAEDATKKLARDAFCSWVRAYTAHRGELKKIFMVKKLHLGHVARSFGLKEQPSLVGRSHQVQLKKRKKEQKRERPAKRRKLPSKK >KQL17425 pep chromosome:Setaria_italica_v2.0:III:50350192:50350875:1 gene:SETIT_025695mg transcript:KQL17425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIMSLQHNFHFIHNLRKFMEDGSAISYFVDRRKNYEKNKTCSDVNARL >KQL15788 pep chromosome:Setaria_italica_v2.0:III:23856851:23859434:-1 gene:SETIT_021298mg transcript:KQL15788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCMAISPAARVVRALVLVAAACPVLGYVPNGGAEHRRSHGAARTYIVLVEPPPAAHLQHADDEAAHRFWHESFMQDSGGGGAPRRIRHSYRSVLSGFAARLTDAELAAVSRKPGFVRAFPERRFQLMTTRTPAFLGLEPDQGVWNETSYGEGAIIGFLDTGIDEKHPSFRDEGMPPPPAKWKGGCQPPVRCNNKLIGAASFVGDNTIADDVGHGTHTTGTAAGRFVEGASAFGLGAGGGTAAGMAPGAHLAVYKVCDAQGCFESDLLAGMDAAVRDGVDVLSVSLGGVSTPLDKDPIAIGAFAAMSKGVLVVCAGGNSGPLPSTLSNEAPWMLTVAAGSVDRSFRATVRLGDGEMFEGESLTQDKHFSSMVYPLYYSQGMNYCDFFDVNITGMMVVCDTETPVPPMSSIQAVREAGGVGIVFINEPDFGYTIVLEKYYDLPMSQVTAVDGNKIMGYAMKGSSTSNHTATIVFNSTVVGVKPAPIVAAFSSRGPSVASPGVLKPDIMAPGLNILAAWPSEVPVAGPGSYSFNVISGTSMATPHVTGIVALVKKAHPDWSPSAIKSAIMTTSSAVDNDGHKIMDEEHREASFYAVGAGHVVPTRAVDPGLVYDLGVRDYAGYVCKLLGEAALKTIAGNASLTCTEIEPIGAAELNYPAILVPLRAEPFAVNRTVTNVGPAKSNYTAKIEAPKGLSIKVEPAELEFTEVKERKTFTVTVSAAGASAEQKLAEGTLSWVSHDHVVRSPILVDSSITLS >KQL17205 pep chromosome:Setaria_italica_v2.0:III:48937039:48941800:-1 gene:SETIT_025100mg transcript:KQL17205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDDGVGGARGRPAMVDAGGAREMDSPRFRAILRATSGRRKRAPDVKSFSHELSAGAGVPAMRKMVRGGGLGGGGGTGTAPEEFIGAIRTKFIRLKQEVDSELGVFAGDLVGVLERGDLPEDRRLALEDLLVAAQRCAEMSPEEFWTRCEGIVQGLDDRRQELPAGFPKQDHTRILFILTRCTRLLQFRKEAAAAGCRYAGDDGSCRQHVLGLHQLSDLGLFPSRADGGDLGRNSTSSLTELKERLIRRRMLEHKNLTVDFSPARIFSSGDGAAGAAEQSPSGKMASWKKLPSPAEKNRSAGAGGGDDAAPSADEKKKPVITRPQGKPSVDEIVERVDAASIHPDGLSCLAGGGGAAAVSLEVPSQFPAAQQIIVDGKPRMICRICDFEIPMACAEGHLVVCTLADRCDAKGHTADQRLLRIADVLGRVLACFTGGGGRASTSSSESSNPDHDALSHLLAVPSAELFSEGALSPAPAPTPGSLPHSPLLTPRTSHAESQLARHFHAGVGENFQQIESLLAIARSIECIKSSDYNSLEDLSSFLEDLNAVIDTRKVDALVVETFGRRIAKLLQEKFMQLCGQIDDMNAGEQLHAIDEEGPTENSSVSSRTSQALNGNAAATKFKDRTSIEDFEIIKPISRGAFGRVFLARKRVTGDLFAIKVLKKADMIRKNAVESILAERDILISARNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARTYIAELVLALEYLHSMNVIHRDLKPDNLLIGRDGHIKLTDFGLSKVGLINSTDDLSGPDVSSVLVGDHQPADAEQRAQQRQQRQRQTAVGTPDYLAPEILLGMAHGPTADWWSVGIILFELLVGIPPFNAEHPQIIFDNIMNREIPWPHVPEELSFEAYDLIDKLLMENPVQRLGATGAGEVKAHPFFKDINWDMLARQKVAFIPSTDDEYDTSYFACRHAWGTADEHVNAPCNEYDDRSDTSSMSCCSSPHSCDYEEDGDECGSMEEFGAPLSVKYSFSNFSFKNISQLASMNYDLITKHNEDPLQSSKS >KQL15108 pep chromosome:Setaria_italica_v2.0:III:17055965:17058288:-1 gene:SETIT_022793mg transcript:KQL15108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATEVAPVQQRGHAAAAVWRAVAGWLGLLFQILLRIVRGTPSSWAQLLSFVGLRHPLLPVAAQAQPSPEVAFVQLPSEAPADASPPPLRRLTVVLDLDETLVSAYESSSLPATLRTQAVEAGLRCFDMECTSAEKDAEGRQRVNRVTVFERPGLHEFLQRTSEFADLVLFTAGLEGYAKPLVDRIDAHNRFIHRLYRPSTVTTEYRDHVKDLSCLSKDFQRIVLVDNNPYSFLLQPLNGIPCITFSAGQPIDDQLMGTIFPLLKHLSLQKDVRPALYETFHMPEWFQGQGIPQIEQAV >KQL14716 pep chromosome:Setaria_italica_v2.0:III:13115646:13123917:-1 gene:SETIT_021103mg transcript:KQL14716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDAAGGQRSSRNRGRADAAPMPTSSPFTGDGGGAGSPTRVERMLREREHSRRHIFASDTMDTDAAEPVFASAGAFAADGVQSPGRASPANMEDAGGAASGHAARPPLAGSRSGFRRLGLRGMKQRLLVVANRLPVSANRRGEDQWSLEISAGGLVSALLGVKDVDAKWIGWAGVNVPDEVGQRALTRALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRNFESQFDAYKRANQMFADVVYQHYQEGDVIWCHDYHLMFLPKCLKDHDNNMKVGWFLHTPFPSSEIYRTLPSRLELLRSVLCADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFKRALELPAVKRHISELTQRFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPAWNDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEYVACQGSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRHALTMSSDEREKRHRHNYAHVTTHTAQDWAETFVCELNDTVAEAQLRTRQVPPGLPSQTAIQQYLRSKNRLLILGFNSTLTEPVESSGRRGGDQIKEMELKLHPDLKGPLKALCEDEHTTVIVLSGSDRSVLDENFGEFKMWLAAEHGMFLRPTYGEWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEHRETSFVWNYKYADVEFGRLQARDMLQHLWTGPISNAAVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSENMVTPIDYVLCIGHFLGKDEDIYVFFDPEYPSESKVKPEGSSTALDRRPNGRSSNGRSNSRNSQSRTQKVQQAVSERSSSSSHSSTSSDHSWREGSSVLDLKGENYFSCAVGRKRSNARFLLSSSEDVVSFLKELATATAGFQSSNADYMFLDRQ >KQL16967 pep chromosome:Setaria_italica_v2.0:III:47177606:47179055:-1 gene:SETIT_024772mg transcript:KQL16967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISVSQPLLLASLLLPFTWLLAHLLSAASPHEPTNSHGWRIPSPSALPVFGHLHLLKKLLHRCLAMLATLYGGVGAGLLHLWFGSKPVLLVTSPAVAGECFTAHDVALANRPGLVSRRLLTQNCPAIAMCDYGPLWSQLRRLATMHALCAHGLAAAAAARDAGARAMAARLRRAGPGEVAVRATAYQFVANVIMAMVAGQRMPEEQVLRFKAMTEAGWRLRGRRTGTTRCRCSGCFDFGRTRRRLAGLAKARRDFGQSILDHYRRRHPRGGADDAKETARTVLGDLLRQQQEERSPEPLDDVVIRSVCLMSQHGRMHGRHQREMQHMCAHEYRTG >KQL17470 pep chromosome:Setaria_italica_v2.0:III:50598103:50612356:1 gene:SETIT_021022mg transcript:KQL17470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPEPDDAVLFVGVSLVLGIASRHLLRGTRVPYTVALLVLGVALGSLEYGTHHGLGKLGAGIRIWANINPDLLLAVFLPALLFESSFSMEIHQIKRCMAQMVLLAGPGVLISTFLLGAAVKLTFPYNWSWKISLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGRTFDAGAIIKFLSEVSLGAVALGLAFGIVSVLWLGFIFNDTIIEIALTLAVSYIAFFTAQDSLEVSGVLTVMTLGMFYAAFAKTAFKGESQQSLHHFWEMVAYIANTLIFILSGVVIADGVLQNNVHFETHGTSWGFLLLLYVFVQISRLIVVSALYPLLRHFGYGLDLKEAMILVWSGLRGAVALSLSLSVKRTSDAVQPYIKPEVGMMFVFFTGGIVFLTLIFNGSTTQFLLRMLGMDKLSATKLRVLKYTRYEMLNKALEAFGELREDEELGPADWATVKKHITCLNDLDDDPEHPHDVGDKDDHMHTMNLRDIRERLLNGVQAAYWGMLEEGRITQATANILMRSVDEAMDLVSRQPLCDWKGLKSNVQFPNYYRFLQMSRLPRKLVTYFTVERLESGCYICAAFLRAHRIARRQLHDFLGDSEVARIVIDESNAEGEEAKKFLEDVRVTFPQVLRVLKTRQVTYSVLTHLSEYIQNLQKIGLLEEKEMVHLDDALQTDLKKLKRNPPLVKMPRVSELLNTHPLVGALPAAARDPLLSNTKETVRGHGTVLYREGSRPTGIWLVSIGVVKWTSQRLSRRHSLDPILSHGSTLGLYEVLIGKPHICDMITDSVVHCFFIEAEKIEELRQSDHSIELFLWQESALVIARLLLPQIFEKMAMHEIRVLVAERSTMNIYIKGEDIELEQNYVGILLEGFLKTRSQLITPPGVLLPSNADLSLFGLESSAVNHIDYCHNAPSYQVEARARIIFFDMVRTSEAEADLQRSVSLLSHGHEPPRSVSLLSHGHEPPPRTMSKEHSGLLCWPESFRRSRGGHSASLAEIRNQPGSFSARALQLSMYGSMVNLTSGQQGHRRQKPHRMPAANHRHSSSYPRVPSRPSNTRPLLSVQSEGSNMKRVAAPKDATAGEATTAAPATSAGQQQRTAVQDDNSSDDSGGEEVIVRVDSPSMLSFRQSAAAVHSPPPPPQDQ >KQL13253 pep chromosome:Setaria_italica_v2.0:III:3434674:3435236:1 gene:SETIT_023816mg transcript:KQL13253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYVAVLSSRSSRFEVLHFARGGGARPQGGWDLTAERPLSTARRQANGRQSRVRWARWGMLPRRPAMGPQHQGRRRRSSTVTRSR >KQL17199 pep chromosome:Setaria_italica_v2.0:III:48880768:48881815:-1 gene:SETIT_024228mg transcript:KQL17199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSLIFCRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITEKNTGHSSKCWALDRGQWRSGRSSIRLSATRTKPPWMPVQKHHLATTGQAVAQTAKNASILLEANPVTGTNDRRQQRGELYQFNLLIFAHWLLCAWLKTTGKYYGSEIAQTQRLAK >KQL14244 pep chromosome:Setaria_italica_v2.0:III:9856922:9858240:1 gene:SETIT_022237mg transcript:KQL14244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSPWASLSLDQMSEIACRVPCEWDRAHMAFVCHSWRAGLAAPPPPPPPLPHLLLPSDGLNRVSCILSGSSIHQEYHDKVGARYIGSGDGGYLFIAMDQTRRHRLMDLHQPGWVRILPDEVCPRHDPSVQHVHRMVILAATPSSPPDVAGCVAAGIVAYQRYVDGPLERRCAFWIIGDGVAYDTRPPHCTEAVEDVVYRDDGFFHFLTDEEHILACAPTFSSVGGDQLRRVALSSTLRRCVPRDRDHEGNVRARYLLESRGELLMVVRFAPDHDSPTPGFEVFSRIGPLPLEDDGSGGMIGHPYIWRELDTLGDRMLFVGRGCSRSYETAEYPGFNDGIYFLDDRSFYDEYIMFRGVSERQYPCSDTGKWTQGQPPNVEFFFPDQVPSNHSSPAWLLI >KQL15974 pep chromosome:Setaria_italica_v2.0:III:26304226:26305064:-1 gene:SETIT_023482mg transcript:KQL15974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPPPSLVTTSAVLQYSRTSVTRPPGPTVHACTQYSAPVASVPSASTVGPNASSDRILNGRPPPPPVSRRMSTRCWCARGPWNHQSTSGFSRRRTASTSPRSSARYSFFTTALLLITASSPASPSGHKPASPAKMSRFASSLEWGKKRRVGDYVREVAWRWARC >KQL13086 pep chromosome:Setaria_italica_v2.0:III:2504990:2513605:1 gene:SETIT_021229mg transcript:KQL13086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELLELQRQLEAAQSARSSVRLSERNVVELVQKLQERGIIDFDLLHTTSGKEYITSDHLKHEIKMEIKKQGRASLVDLSDTLGVDLYHVERQSQKVVSDDPTLMLINGEIMSQSYWDTVTEEINEKLQERSQIALAEIAAQLHIGSDLVISILEPRLGTIVKGRLEGGQLYTPAYVSRITAMVRGAARGITVPTNLPSVWNSLQQQLQEMHGASGVSVEGSFFQSIFNGLLKEGAVLGSIRAGGQWTPAVFAHAQKESVDAFFSQNSYIGYDVLQKLAIPQPKQYLEARYPDGIALDAVFVHPSVVDMLDTAVGDAIENGHWIDSLSVLPSYISGPDATKILSICPSLQKAIKSSKAVVFGESCVFSNVFIKGIFDRLEKDMDSFGIRHSFGQGRPVNMNLGSEHKTGSGQYSDTKDLGDNDTSSTGVSSDRGSKKKRGKGTGSTKGGSLEKDDDNGESIPVKGKKAHRKNKDAGSSGDVKHGGKKAPEKMKDESANIFPDELIEQKVLAVAPELEELGGSDDSNAPLKLLSSHLRPMLVDSWMKKRNTMLSGNAERRRNLLDNLQKQIDEAVLDMQLYEKALDVFEDDPATSGILHKHLLRSMGIPIVDKVLITLAKDSKLKNGMEVEDSEEEHVQLSTADRTSLAKDLPGALSLKAQALIEALEGKRFDSFMDALRDILEESGLTFKKLDKRLERSMLHSYRKDLTAQVSSENDPVSFLPKVVALLFLQAYNKALQAPGRAVGAVITLLKDKLPASTFKVLADYHSTTVKLLALQAAATDDEEDCTSDRMREKKDDLEERLMPELKSLVLGTATAHAC >KQL17146 pep chromosome:Setaria_italica_v2.0:III:48528934:48529420:1 gene:SETIT_025592mg transcript:KQL17146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASPSSGGSRYLSYRPAWTVNEIPSTLGPVTVRSDHSCPRPSCASPTATRRSAGTASPARRPGSLPCARAAGT >KQL17312 pep chromosome:Setaria_italica_v2.0:III:49679554:49679950:-1 gene:SETIT_024216mg transcript:KQL17312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKVSSAICLTMLLMALLLAPSCGSKEVCDEWLSDTYRMLLLCSSYICNEHCIGEGATRGKCGLLFVRSFCFCTKECD >KQL13539 pep chromosome:Setaria_italica_v2.0:III:5100108:5103341:-1 gene:SETIT_023210mg transcript:KQL13539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAASSVAKFIKCVTVGDGAVGKTCMLICYTCNKFPTDYIPTVFDNFSANVSVDGRIVNLGLWDTAGQEDYSRLRPLSYRGADVFILSFSLVSRASYENVLKKWMPELRRFSPSIPVVLVGTKLDLREDRSYLADHPAASIITTEQGEELRKQIGAVAYIECSSKTQRNIKAVFDTAIKVVLQPPRRREVTRKKMKTSSNQSVRRYFCGSACFR >KQL16710 pep chromosome:Setaria_italica_v2.0:III:44337859:44338564:-1 gene:SETIT_023738mg transcript:KQL16710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERVSRLSTEKAVVIFTTSQCPMCHTVSSLFSELGVCAAVHELDKDPRGREMERELARRLGRVPPVPAVFIGGKLVGSTDRVMSLHLAGNLVPMLKGAGAIWL >KQL15054 pep chromosome:Setaria_italica_v2.0:III:16109413:16112343:-1 gene:SETIT_022691mg transcript:KQL15054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQAMGQGEQDHFVLKSGHTIPAVGLGTWRAGSDSAHSVKTAITEAGYRHVDTAAQYGVEKEVGKGLKAAMEAGINRKDLFVTSKLWCTDLAPNKVRPALQNTLKDLQLDYLDLYLIHWPFRLKDGAHMPPEAGEVLEFDLEGVWREMESLVKDGLVKDIGVCNYTVTKLNRLMRSATIPPAVCQMEMHPGWKNDKIFEACKKHGIHVTAYSPLGSSEKNLAHDPAVEKVANKLNKTPGQVLIKWALQRGTSVIPKSTKDERIKENIQVFGWEIPEEDFKVLCSIKDEKRVLTGEELFVNKTHGPYKSASELWDHED >KQL14207 pep chromosome:Setaria_italica_v2.0:III:9547197:9549139:1 gene:SETIT_021739mg transcript:KQL14207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEARTEQAPLLQRQTTTTTTSATATSSGGGMPDFKQSVKLKYVKLGYHHLITHGAYLLLAPLPGLVAAHLSTFTLRDLADLWQSLQYNLVFVVVCSTLLVIVATAYVLTRPRPVYLVDFACYKPDDERKCSRARFMNCTEKLGTFTPENIEFQRKIIERSGLGEDTYLPEAVLNIPPNPSMANARREAEMVMFGALDELFAKTGVRPKDIGVLVVNCSLFNPTPSLSAMVINHYKLRGNIASYNLGGMGCSAGLISIDLARDLLQSHPNTYAVVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRGSARRRSKYQLVHTVRTHKGADDRCFGCVTQREDAGAGKVGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVARRVLKRKVKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLKLSDWHMEPSRMTLHRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRSVNPAKEKGFRNPWMEDVDRYPVPVPKVSAI >KQL16836 pep chromosome:Setaria_italica_v2.0:III:45773150:45776585:1 gene:SETIT_022177mg transcript:KQL16836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLVKDISGAIGLMAVALVLLGTWPVVLAVLERRGRLPQHTFLDFSITNFLAAVLIALTFGQIGPDTPETPNFLTQLSQNNWPSVLFAMAGGVTLSLGTLATQYGWAFVGLSVTEVMASSLKVVIGTTLNYFLDGRINKAEVLFPGVGCFLVAAILGSLVHSSNAIDNQEKLANSGVVNYSKNTRNSAYEDLAEHLLEKEGQKDLEEAKLDAPEAKLEKVQAGTAEFLVHLEEKRSIKVLGSNTLLGLGIVVFAGVFYALFTPAFNIATNDQWHALPAGVPHLVVYTAYFYFSLACFAVSVGLNVWLLYHPMVGVPRSTVAAYLRDGEGRGLALLAGMVCGLGNAFTFMAGQAAGYAAADSVQALPLVSTFWGVVLFGEYRRSSRRTYTLLASMLLMFAVAMVVLMASSNHRKPL >KQL16861 pep chromosome:Setaria_italica_v2.0:III:46046065:46048416:1 gene:SETIT_021416mg transcript:KQL16861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCVTAYLFGYSGPVACIAFALWRIAQRDYGEDASSDGNLMPALDIFYSLVLLQGGLYVLWISWPLFDCSAARSLRAYRRQLGLPDEQWCRSYLFRYLSDTRARCWREPASIRGRRLRDFAVDSLVSGSWEDDMLSGLRFLDAFVTQGADIRTQLLPFRPRIQKLIDALGWRQSYGAREMREAAARVVAHLAGDIHLAQFPGAMECISSLLQEETTLLLTNKQDKGGSSRGGGPNQLILQGLAILEGLAFDDHNCRCMCSTPGLLRKVMAPLSSANLMNDISNNINWADVVSRSLKVLYKLLQTTGKTSRRLRREICTNKQSMSNLESILQHFDEELQMGAMGILTQLALDLPINLGNETKGKLIKKQLQIFLADGEEGEEPVAELKPRKAMAGRTLVLLSTKIECNSALIMTIQNDIMDRLSVILDAKNTTYRAIAAQILENMCDYCDLDKQWIKETLLPKVLAEILSTKRVAPENGVSPPRDEENQQNSAPGNLEENHNNYTQEDGTEIQETSSTAERNKSSDGGNEDETTTTKLMREAFLSLALVIRDKLISADDFDDAIQKVGVGPAAFVAKMKAIVEDNCQETAESLRIVKLCCRIVEPMMQRHQYAQHFRNKEFVLSVSNASEIMSNLESCMLFAGTDFGLKNTMRPLLSDLKN >KQL14420 pep chromosome:Setaria_italica_v2.0:III:11155711:11156558:-1 gene:SETIT_023274mg transcript:KQL14420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLDFRYLDEGLGGERGKRKRREEAEEAEAAAADSMDLDADAPRPSKLRAMPSLSDPSKPASFGRPTYDGVIAGRVSGRRWKEPRTRRASAVVVSRKPTPLEQRVREKSLKRAYQARKAELKEEIRQNKVAKRKAREEREKRKQENVLRTGTKLQRVTNPKTIQKIAKSKKRKQLKVVPDEFLGGKKSEASRRIQVPGLEN >KQL13369 pep chromosome:Setaria_italica_v2.0:III:4081405:4083371:1 gene:SETIT_022547mg transcript:KQL13369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFPPAFLDSSSWNDNQQQQQQAHHQVAGGGGGGGGDGNHELLQPSIMGGALPEGAGGGGGAGQVGPAKPMSMAERARLARIPLPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCRACRRYWTRGGALRNVPVGGGYRRHAKRAKPKAAAAASAATSTGTASATAAAAAGLAPAGSTSSACATTNVPALQGPAMLGGNLSMLPPLLRLADFDAMSLGSSFSGMAGKPSLDAAGAYSVGGGSGLEHQWRVQQMQSFPFLHAMDQGPLGPPLAMTMAPGMFQLGLDSGDGRGGGGGSGEDGSGELHVMQAKREGGYQARGMYGDHHFAAAGYASYSNNAAAGNHLL >KQL16968 pep chromosome:Setaria_italica_v2.0:III:47179681:47181732:-1 gene:SETIT_024371mg transcript:KQL16968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMISKPFLLASLLPLLTWLLSRLLSASHRKNNAGGQGRRSIPSPPALPVVGHLHLLKKPLHHSLAALAKRYGGDDAGAGLLLLKFGSKPVVLVTSPAVAGECFTAHDVALADRPGLASRRLLTEDCPAIAMCNYGPLWRQLRRLATVHALCAHRLAATAAVRDAGARAMAASLWRRAAGAGVAAVPVPVKAAAYEFVVDVIMDVVAGEHMTEEQVRRFKEMTEAAFAAAGAANRHDFLPALRLLDFGRTAKRLAAIAKARHRFGQSLIDDYRRRHPRFASELETPRTVIGDLLRQQQEGSREPLDDVVIRSVCLSLLQAGTDTSSSTVEWAMALLLSNLGVLKKATTEIHSVVGTSQLMNESDLARLPYLRCIILETLRLKPLTPNHVPHEASRDCVIAGHTVARGAMVLVDVYSMQRDPTMWEDPEKFMPERFMDADEVDGDGGRFMMPFGLGRRKCPGEGLALRTVGMALGVMLQCFEWSCVGEEVDLSEGSGLTMPMAVPLVALCQPRAEMETLLRSL >KQL15728 pep chromosome:Setaria_italica_v2.0:III:23086534:23088490:1 gene:SETIT_022606mg transcript:KQL15728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGAASMLATALLLGVFANVAPSVESIGVSYGMSGDNLPPASTVVGMYKANGIPLMRIYAPDQAALEAVGGTGIRVVVGAPNDVLSSLAASPAAAAAWVRNNIAAYPDVTFRCVCVGNEVEGGAAQNLVPAMENIRAALAAAGLDGIKVTTSVSQAILGGYKPPSAAEFTDEAQGFMGPVLEFLARTGAPLMASIYPYFTYATNPSAMDLSYALFTAPGTVLQDGTYGYQNLFDATVDSFYVAMANHGGAGVTLVVSESGWPSAGGVAASPENAALYNQNLINHVGRGTPRHPGAIETILFSMFNENLKESGVEQNWGLFYPNKQRVYPISFN >KQL13545 pep chromosome:Setaria_italica_v2.0:III:5147092:5155492:1 gene:SETIT_021090mg transcript:KQL13545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPGRPFLVLLAGLLLVASLATLAEAIYEDQVGLADWHQKYIGKVKQAVYHSQKSGRRRVVVLTEENVISSLDLRSGDIFWRHVIDKNDPLDQLSLSLGKYVLTLSSGGTILRAWNLPDGQMIWETNLKTSTASNPQLHVMSNNKVAKDNLVLVSAGRWIYAVSSIDGAISWEKEFSLDGLEIKQVLQSPENDIVYAFGIAGSSKLALYQLSAKTGEILKDVQESLPGELSGEIVLGSDNVLVALNKARSSLFLIEFKSERISYKKVHVSDLVQDLSGTFKLQSLSNGVITLQTSSTVFLLKLKDTNGLEVVQRFDQPAAVSDALTIAEKDEAFAVVQHVGSQIEFIVKFRSDVSNENIREKVNIDHHRGNVEKVFLNSYIRTDKSHGFRALVVMEDHSLLLIQQGEVVWSREDGLASIVDVTTSELPVEKDGVSVADVEHNLFEWLKGHMLKLKGTLMLANADEVAAIQALRLKSSEKNKMTRDHNGFRKLLIVLTKAGKVIALHTGDGRIIWSNLMPSLRASRFGGMPSALRIYQWQVPHHSIMRENPSVLVVGKSGAESSAPGVFSILDSYSGEELNSMRLDHSVVQIIPLTLKDLSEQRLHLIVDSNSNAHLYPKSPDALNVFLHETPNLYFYSVDIQANVIRGYSLQKSCDIKGDEYCFSTKEIWSIIFPSDSERIAISETRKMNEVVHTQAKIIGDHDVMYKYLSKNLVFVATVSPKAAGDIGSALPEEASLVAYLIDAVTGRILHRVTHHGAQGPVHAVLSENWVVYHYFNLRAHRFEMEVIEIYDQSRADNKDVMKLILGKHNLSAPITSYARPEVVVKSQSYFFTHSVKAMAVTQTAKGITSKQLLIGTIGDQVLALDKRYLDPRRSANPTQQEKEEGIIPLTDSLPIIPQSFVTHSHQVEALRGIVSIPAKLESTTLVFTYGVDLFYTQLAPSRTYDSLTDEFSYALLLITIAVLVAAIIVTWIWSEKKELRDKWR >KQL14053 pep chromosome:Setaria_italica_v2.0:III:8424112:8425731:1 gene:SETIT_021966mg transcript:KQL14053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHDKALAAAASAAASLMLVRSVANELLPDEVLDMLRSGVGRLRSRMSSQHTITIEKKVDGLTNNHVYEAVKAYLAAHVSTRTQQHLCVSSSDEDDKMTVTMAEGEEMADVYDGTEFKWCLNYRYIPSPSDSGNGRQRQVEAHSFVMTFPKKHKEKALDSYLPYIVSTAKAMKAQERTLQIYMNSWEDWSPMDLHHPSTFDTFAMDHKQKQSIVDDLNRFIKRKDYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLRFDIYDLELTSVQSNMDLRKLLVGISSRSILVVEDIDCTIKLQQREGGEEDTKSDSTDSEDGREKVTLSGMLNFVDGLWSASGEERIIVFTTNYKERLDPALLRPGRMDMHIYMGYCTPQSFRILAHNYHMIDYHATYPEIEKLMKEVMVTPAEVAEVLMRNDDADVALHDLIDLLKSKVNDANVIKSEHSSANNQLDEEQDDRDHD >KQL15976 pep chromosome:Setaria_italica_v2.0:III:26460366:26461904:-1 gene:SETIT_024322mg transcript:KQL15976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVHDQGHHAAAAINGDLAVELVGERNNAAASVIPVVGQEGRPEESARRLVRRLHPAFVARAWWRWLKHPAHLALVAWALCVAASGSMLGLLLLGALDGAFPRRSLRNRWIEVNNQVLNALFTLMSIYQHPALFHHAVLLLRWRPGDAKELREAYFRKVAGAGAVARPRRGERGHMSVVVALLHVACFAQYAMCGLYWGYSRKARPDAAETSLVVGGTAAPVIAGLYMYFSPLGRRRGGPSVHQEPDDRSATDDDGGSIAAEAAAGAEGAEWAGGLLDVGDDPTACWLSCLCTFCVFGWNMERLGFGNAYVHAVMFALLCFAPLWVLNAAAANIRDEAVGDAVGAAGVVLCALGLLYGGFWRARMRRKYGLPGGDTCRAGSPCLIDYLRWMFCWSCALAQEVRTANLLLDVEAGSVHRRDSDGGRADAGVITLQPLPRENGIKPSCQGGSSHPATPAIIYAHPVRLANYSPCRGDESPLLRQEQCSSSSSGEMTPPVPPLIEKDECGRGQ >KQL17078 pep chromosome:Setaria_italica_v2.0:III:48106998:48111338:1 gene:SETIT_024236mg transcript:KQL17078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHFAAAGGDESVLGYLLDRGGNPGVPDSKGSTPLHDAAEQGHCEAVRLLLSKGVDVDPVNYRGTPLHLAAAKDKDQVVKILLEHGADPNKVVNHVFSPLMMACCGHSLKCIKLLVQAGADVNFITPSGPSILMEAVDDDLTDIVKFLLESGADPNIADEDGKIPIMCAAVCGHRELVEILLPKTRSIPSVPDWSVDGIIRSMKYLRFEAQDAALVEERIADAKSQGKEAFAKGEYLAAIYFYCQAMEKDPFDATLLANRSLCWLRQGEGDRALLDAQQCRMMRPRWSKAWYREGAALSLLKDYKGAVDAFVQALKLDPASDEIKKAEAIDAMKSAAARNR >KQL14097 pep chromosome:Setaria_italica_v2.0:III:8783815:8785311:-1 gene:SETIT_024884mg transcript:KQL14097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAKQARYHPQPTLTIRSEEPPLQHQQQQGTLARSDSTRDRRFDHFKTFAGRLERQLSSLRGGSRHPADDEPAESKVSEDDTDDDEVPTADRYFAALEGPELETLRPTEVPVLPEDETWPFLLRFPISAFGMCMGVSSQAMLWKTLELEPSTAFLHVNPDVNDAFWWFSVALMALVSATYLLKVVFYFEAVRREFYHPIRVNFFFAPWIACLFLVKGLPEPVRTIHHVVWYALMAPLLALDLKVYGQWMSGGEWRLSRVANPTSHLAVVGNFVGALLGARMGLREAAIFFFAVGLVHYLVLFVTLYQRLPTNVPLPKELHPVFFLFIATPSVASVAWARICGEFGHGAKVAYYISLFLYLSLAARVKFFRGVRFSLAWWAYTFPVTSVAIATAVFASEVTNALTRAMAVALAGVASVTVSGVLAATMYHAFVRSDLFPNDVSIAIRRRPKAKFGKILARLRTSSADLRELVSRHGGSETSSVSEPPTPMRYDGRGRAEP >KQL14134 pep chromosome:Setaria_italica_v2.0:III:9065864:9067091:1 gene:SETIT_022445mg transcript:KQL14134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRSGLAAQDGLPDVLEGGEDGRVVPRRVQGQVPHQELAQRVRAAEAPPGHHLHHRAPEVGVRVVRPLHYRRAARGRHGVASVLAVRQLLILLLVVLDLARRGCRRPEHVGGPGRAPRRRRCAARRRVPVPPWSGAGARGAVEVRGGVGGEAVGREERRPRVGRLAPLPVTAERRQLAVGVGGRRPTVQRRADAPTAIALPARAALNGAGAPRRRHRRRQDCRADRARGAVDGDVNKRPRAAAAVATLAPAVDEFGLWLVGAPRRWWFLARGRGVIGDDGGRRGIGAGDADEGGRGGGGAGRVEEDLREPLPQPAADAHAAAAGLVVAALVHGGLDRALDSTGACVSCGFGLSTLVRRGA >KQL14243 pep chromosome:Setaria_italica_v2.0:III:9844508:9845342:1 gene:SETIT_024799mg transcript:KQL14243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILAATISSPPDQENCVGACIISRWPANVPTQRRFAFWHVASEARGPQLQRPRRPSSLPPYLVVSRDELLMVVRLTSGANKLPSAFRVFRALRPVQDAEEVVDEDGEDDQVAEADDQGEEIEYPWSWGELDTLEGRMLFVGRGCSRSYEVAQYPGFEDGIYFSDDRCSYNGEVMFQHRHYPCGDNGRWSEGPPSRAHRCFPVQGPSNYSHPVWLLH >KQL15128 pep chromosome:Setaria_italica_v2.0:III:17291790:17301404:1 gene:SETIT_020966mg transcript:KQL15128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRCRKRPDSDPEGSGEPEPPADKRPCTAEPSTSAAAAAAPPPRAEQGGSDMDTSSSGHAGDADADADDGDGDGDGDGDGDGGSSCESDGDGSPRPRGARAGRFHQMVEAVAAEGAGQDALVAALTELCKELSFCAEDAGGYFPTEAAARALVRRAGGGADGDGAEAAPDVVLLSVRAITYLCDAMPRAADSVVRHGLLPLLCSRLLAIEYLDVAEQCLQAFEKISRRQPTQCLQAGMITAVLTYIDFFTASIQRVAVSAVANACKKVPADCSHFVVDSVPTLCNLLQSEDKMVVEKVAACLISIVDSFSSSIDLLDQLCHQGIIEKVLPLIHTGGLTALSPSTCSNLIGLLAKLACSSLVAVKSLFELNVGSTIKGILVTSDLSHGMPYLPLEKQNNQVYEALKLANQLIPSAARDVEDTQIILAKEKIITDEPRFLCQFSRDILPVLIKAVNSGANSYICYGCASIVNNICYFSKPETLQELLKETNISSFLAGLLSRKDHHVLTSSLKIIEILMQKLPDAYLGSFIKEGVVYAVEALLTQEDCSKSTRLLDDMQQSGTQPVIRNKSKCFCYAFDAHRSEAAETRACRIGNDSLFTFARHVKSTYFTKEVVSSEIGVTEILQKLKTCCAVLNETADKSSEQDNLQNEEYLSTILSEVMMELHGGETMTTFEFLESGLVKSLSNYLSNGKYLQAEENMSCSSDHFLAVVKRFQSFARMSFSRMGQNWSDMLLTLLVRKLQNALTSLDNFPVIMSHNFKPRSNISDIPTRHSTIIPCIRVRFKKDEDETNLSSYDSIVNVEILSSLHTIEEFLWPKVSTDMNSQKVESPPSGTALESKYASDDSQERDSTPQSSPPSEGLMTCGNQNPAVEPCPKEGTSSSGQADKSTTIVSDHALQPKLVFSLKGKELDRSVTLYQSVLQDQINAGSDIILDMQFWRNVHDIIFRTAANPEANRTAINPEADSLKGTTAISSENDSTTGFKWQMLPFFSSMLLGKLPCKLDRSNPLYDILFMLHILEGLNRYSFHLVSDERTHSFAHGKITNLDDLKAVVFSIPHQEFVSAKLTDKLEQQMHDPLVSRSSCLPLWCTELMSACPFLFSFEARWKYFQLTAFGSLKNHHGHIMDAIVNTVTERGPSHSRKKFKVDRDNILVSAAKMMKSYAKSNALLEVEYKEEVGTGLGPTMEFYTLISHEFQKSGLGMWRGELPCEAGTDDAHVSRFVVAPKGLFPRPWSTSADCASFQEVSKQFHLLGQVVAKAIKDGRILDIPFSKAFYKLILGQELNIYDIQLFDSELAISLMEFQAIACRRKYAESNLTRDCQIMSDLTYRGCRIEDLAIDFALPGYPDYMLSSGSSSDSLNVENLEEYVHHVVEATVKSGIARQMEAFKSGFNEVFPLNKLEVFSEDELERLLCGEQDTWDFGKLVDHIKFDHGYTSSSPPVINLLEIVQEFGSHQRRAFLQFITGSPRLPPGGLAALNPKFTVVRKHNSNDADNDLPSVMTCANYLKLPPYSSKEKMREKLIYAITEGQGSFHLS >KQL13780 pep chromosome:Setaria_italica_v2.0:III:6700208:6701025:-1 gene:SETIT_024724mg transcript:KQL13780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILLHGSLHVTIFEAEEISNSSRPSSQAPGFLRKLVEGIEDTVGVGKGANKIYATIGLGKARVGRTRTLTDETASPRWYESFHVYCAHLASDVVFTIRAKNTIGASTLGVGYLPVRDIFDGHEVDRWLPLCDGGGDNDKDRTPLESGARVHVKIQYFDISKDRSWGRGVRSGKYPGVPYTFFSQRQGCRVTLYQDAHVPDGFVPRIPLDGGGCYEPHRCWEDIFDAISG >KQL13107 pep chromosome:Setaria_italica_v2.0:III:2630698:2631181:-1 gene:SETIT_024063mg transcript:KQL13107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVETALALGATPREATLQQVKRSLVIALSPVIDNAKTVGLIVLPGAMTGLIMAGASPLEAIQLQIVVKNMVMAASTVSSIVSSYLCWTAFFTKAFQLKDEVFADK >KQL15557 pep chromosome:Setaria_italica_v2.0:III:21301990:21304748:-1 gene:SETIT_023850mg transcript:KQL15557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSNTRNVPLSVSQRPLHVTDADEEDESVKQLNECATIYLSLQDCLVESNRNWKACQAQVQALKACQAKRNKSDQT >KQL13650 pep chromosome:Setaria_italica_v2.0:III:5790636:5795411:1 gene:SETIT_021936mg transcript:KQL13650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAPVVSDLVDFLNASPTAFHAVDEAKRRLKAAGFAQLSEREEWAGLEPGRKYFFTRNYSTIVAFAIGAKYVAGNGFHIIGAHTDSPCLKLKPVSKVTKGGYLEVGVQTYGGGLWYTWFDRDLTVAGRVIIREKRDGGDSCAHKLVRVQEPILRIPTLAIHLDRTISSEGLKINNQNHLVPVLATSIKNEMQKLVGENGPKESSENKNTKHHPLLLQLIAKEANCEPDEICDFELQLCDTQPSAVAGAMKEFIFSGRLDNLCMSFCSLKALIDSTSAEHSLDHESGVRMVALFDHEEVGSDSAQGAGSPAMLDALSRITGSFNSSNSKLLEKAIQRSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAVTAFIFREIAERHQLPVQDFVVRNDMACGSTIGPILASGVGIRTVDIGAPQLSMHSIREMCAVDDISHSYEHFKAYFEEFTELDSKVKVDY >KQL15022 pep chromosome:Setaria_italica_v2.0:III:15709135:15709629:1 gene:SETIT_024117mg transcript:KQL15022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGKPGGGGGATVAEDAMSRFSLDASAGGRHSTLLDEYERLAFEAQLNRAIVLRRCYSEPSPVRVAHQQQKPAGDANAPTPAAPREAPPPDQEGEARRRDGGGGRFWRLHEVLARWLEALRPVFRWLRSAWERRRRKDEPADAHAARRPPPTVPRVQLLDYLR >KQL17275 pep chromosome:Setaria_italica_v2.0:III:49396694:49397443:1 gene:SETIT_0241941mg transcript:KQL17275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CALGLCHLDGAEGLAAGVAVHAYLVRHELDLTAFLGTAVVDMYGKHGRLGCCRSAFDAVHKKEVCTWNALLSALANHGMETEALVKFDMMRAEDFLPNQITFLALLTACARAGLVEVGLYWFEAMVAEYKVTPLMVHYGCFVDLLGRDGRFVEAIQVIERMPFVPDASVLGALLGACKLHGNVELAVEIGQKLIALGPQQSGRYVTIRNVYLEDGNWHAGARMGEVMQEVGIKKTVGQSSVVLHGTVIP >KQL17128 pep chromosome:Setaria_italica_v2.0:III:48463179:48464296:1 gene:SETIT_025771mg transcript:KQL17128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEAMKMEHVVKVPHAGYVEGLKVTAGQQVFDSSVLFTIKNNTAN >KQL15123 pep chromosome:Setaria_italica_v2.0:III:17253016:17254743:1 gene:SETIT_023578mg transcript:KQL15123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KQL15091 pep chromosome:Setaria_italica_v2.0:III:16516134:16518494:-1 gene:SETIT_023177mg transcript:KQL15091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSDMTGYAFFLIRNFGTHVSRNNVVDDDGIELLIPDTFGDFVADMLFDLLEHPIGQDLEIENIAKDCMVSPIHILYEVKNTSSIIKMQDSGTSYGVNQECNVDKATEEKGGEDQGSSTRGQQLERMAKLSAFLSHQIRSLSAKLGLDITNEVLEVEALQEKLGISSFEVRNAAAQDEVSQRSLQFGIMPPENMGAWESSSSLETPYQIGSLHPPNIGSGS >KQL16100 pep chromosome:Setaria_italica_v2.0:III:29355143:29355899:1 gene:SETIT_025621mg transcript:KQL16100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDNLGYRQNGRQKMLEVFSRFWMLTKLCFLESVYLYASTIKVCNEHLFLITNVL >KQL16101 pep chromosome:Setaria_italica_v2.0:III:29353986:29355908:1 gene:SETIT_025621mg transcript:KQL16101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDNLGYRQNGRQKMLEVFSRFWMLTKLCFLESVYLYASTIKVCNEHLFLITNVL >KQL15401 pep chromosome:Setaria_italica_v2.0:III:19636651:19640705:-1 gene:SETIT_022013mg transcript:KQL15401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTASAGSSRGAPARVAARGGGAGPGPGSPRASPAAIAFAALRRRWRWAPSGASPLERAAHAFLLASAALVLSCALYLYVFRYLGRGGRAVDAAGFVGDAGLGLGLGLGAGAERCDVFDGAWVPDNTGRHPLYNSSECPFAERGFDCLANGRNDTGYLRWRWKPRRCEVPRFAARAALERLRGKRMVFVGDSMSRTQWESFICMLMTAVDDPRTVFEVNGNEITKTIRHLAVRFASHGLTVEFFRSVFLVQQHPAPRHAPKRVKSTLRVDRMDNLSRKWLNSDILVFNTGHWWTPTKLFDTGCYFQAGHSLKLGTSIEAGFRMALETWASWVEKRVDLNRTHVFFRTYEPSHWGDTSQKVCEVTEQPSSEAKGNDKSEFGAILADVVANMKVPITVLNVTLMGAFRSDAHVGTWSYPPTVLDCSHWCLPGVPDAWNELIFSYILTNGWRNMAG >KQL17421 pep chromosome:Setaria_italica_v2.0:III:50344712:50346726:-1 gene:SETIT_022655mg transcript:KQL17421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEATGEVRQWTADINGISLHVAESGPSTGPAVLLVHGFPEMWLSWRHQMAALAARGFRALAPDLRGYGDSSVPADPAAYSIFHIVGDLVALLDHLRLQKVFVVGHDWGAQVAWHLCLFRPDRVRAAVILGIPYFPRGPRPMTESFAKLGDSFYINQFQEPGRAERAFGRYDVATVLKKFYALEIDELIAPPGVEIIDFLQAPSSPLPWMTDEELGQYAEKFQKNGFTGPLNYYRMLETNWRLTAPWSGAKIIVPAKFILGENDVGLQSFGTEKYVKSGGLKSNVPNLEVSIIEGHHFLQQEKAERVNSEILAFLDKFANEEASA >KQL12783 pep chromosome:Setaria_italica_v2.0:III:841895:845226:1 gene:SETIT_021366mg transcript:KQL12783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADANGAIPPLSSAAAAVVRRCARIAGVPVDQLLRRFDAEEQAGQPVDYARSVVEYCSYVALRVETRRHDHLGDMEFHSLTYDMMLAWEAPDEETDAMFQRTAFSVLRDEDDDDGGSIFYSSPTQMAIQVDGRRTVGPEAFAKIAPACPAIAHPITARNLFDALTNSTGGRLHFLIYHKYLKKLDQVLSSAKSISGGHRAPDLQLSDGEVILDIYGTATTKPVLQHIGTSTWPGRLTLTNHALYFEAIGVDFSYSEAVVYDLARDSKQSVRRESTGPWGAHLFDKAVMYKSNSTSEPVFFEFPQFKGHTRRDYWFAVIKEVLHAHKFIRKYRLGSFQKAEALSVATLGILRYRTVKEGFHILPAHFKTTLAFNLAEKLPKGDKILEAMYVQLKQHCPKFRGSQDFGQSSSDELMLADPFPLSAYSMVTMGLLTLKEEDNPEERDFAVRDVQIGGTSSMQMALERSVGYSGRVEAARATLDQVKVEDIDTNVAVLKELLYPLIEIGKRLLALAEWEEPFKSYVFLLCFLYMVYSGWIWFVFPGFLLGSTIFMLWNKHYGNMQSIGAFEITTPPPRRTVEQLLALQEAISQLEAHVQAGNIFLLKLRSLMFAAFPQSTNKVAVALVVAATAFTFMPLRTIVLLILLEVYTRQMPVRKKSSEKLVRRLREWWLRIPAAPVQLLKPQETRRWRSRLRSR >KQL16109 pep chromosome:Setaria_italica_v2.0:III:29820463:29820780:-1 gene:SETIT_025190mg transcript:KQL16109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETEEAIADSGRFTTLQRWNTHEHNMGTAGYARKQAQWVEEDNQLTALGIHNPWDDFHEGRPRNWLQGRSRLEVNEGVAEIKWNKDLTLKLAEDIKEKNAHAES >KQL16751 pep chromosome:Setaria_italica_v2.0:III:44702380:44703710:-1 gene:SETIT_024674mg transcript:KQL16751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YVGQYWRAQRLEQEKTRLSNEKRQLEKQLAEKTRAAQVSASQVFTLGLKVQELERRNTELSGDLVKQREDTRKAGLLFMEAADKYQQVAKKKIRAKVAELEDARKASMMIMDAADAYQGVAKKQTKAKVEELEDMKAAVLVLMSAADTYQQEAKKQIKEKVEELKILRVQKAEMDARAASLESELNAALYKNQELEVGYDSVKGENNELRSEIERLMMELVALAEAGEVATKAFDGERTEIMKEFEDLRMMKVEEIQASKDLMKGEHDKLWSEECHFAG >KQL13965 pep chromosome:Setaria_italica_v2.0:III:8013929:8016595:1 gene:SETIT_023103mg transcript:KQL13965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRKLFQAARSLLASASQRSSSAAILAAEGRTAALATLTNLGRKTLPTAYAYHKQGSHHASSGWGAFAAAVPAAVYMLQDQEAHAAEMERTFIAIKPDGVQRGLISEIVSRFERKGYKLVAIKLIVPSKEFAQKHYHDLKDRPFFNGLCDFLSSGPVLAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIALWFEPKELVSYSSNAEKWIYGVN >KQL17364 pep chromosome:Setaria_italica_v2.0:III:50019748:50025819:1 gene:SETIT_020975mg transcript:KQL17364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVGSMVVGPLLSLVKEKASSYLLDQYKVMEGMEEQHKKLKVMLPAILERITDAEKQATSREAIRPWLQELKVAAYEAIQVFDEFNYEALRRQAKKEGRYIKLGMDAVKLFPTHNRIMFHYRMGNKLCKIVRDIDALVKHMHDFGFDKQPQAQVQINYLRENDSTMVDPEIVSRSRDEEKQKIVRMLVKEQANNKDPMVVPIVGMGGLGKTTLAQLIFNDPEVKKHFHQLMKWVCVSDDFDVCNLANKICNASESNLENALQKLQRELAGKRYLLVLDDVWNKDDNKWNKLNACLKHGDVGSAILTTTRDKEIAQLMGIDKEHGIARLDNKFIKEIIEAKAFISQERKPADLAGLVDDVVERCAGSPLAAKALGSVLRGKTTEEWKAVLSKSIAHNKDDQILPILKLSYDDLPSHMKQCFAFCAVFPKDHEIDVEMLIQLWMANDFIPEQKDAHHETIGKQIFSELVSKSFFQDVKQVKGERYDSVYWYFSTSTCKIHDLMHDVALSVMGKEVATITEKPKQSDEFLQNTCRHILLSCEKPEAVLNDSLNIRSPAMQTLLCGQRIGNSLQHLAKYSSLRALGLWQDKSTILLKPKQLHLLRYLDISGSDIVALPEDISILYNLQTLNVAHCRKLGRLPKGIKYMTALRHLYTHGCKELKRMPPEVGHLTSLQTLTNFVVGAGPDCSSIAELQHLNNLGGPLLLSQLENVTKAADAKQANLGNKKELRALSLTWTRSEGEKQHCHKVLEGLEAPPGLEALRLKYYQGTSFPTWVGTRPKMVELHLSDCNKSNKLPPLESVPALQVLRLERLKKLESLCSGGTFFHFPNLKELTLEDLPEFDRWCEVNWVQGEQIMFPQLEKLFITNCGKVTALPGPALLGGSCCGDYKEQDERKLWSAFPVLKELELKCLAKFQRWGGAAEATQGLQIIFPQLESLSIYRCKELTALPEGPELGAAPKVLKSQDLELGTTLCGGDYGKARSSFPALKVLTLFWLDNFQSWEATEADQGDTIFPNLEELSIEKCPELAALPSATSQGVSFDHSGVTAWSTFPNLKKLQLCYLDSFKSLGMTEDQRFPDLETLFVEKCPKLTTLPRVIEAPKLRVLEIYGSQLTAIIVPTVINSLSELVLSVEDTETTLPTVHGAFELVDANNKYPLTDLELSGCNFLFPSSPLALWTCFVQLQRLTMKINHALVYWPEKEFQSLVSLRHLTIWNCSGLIGYAKAAPGQPISERTQVLPRLKSLDIQDCGSLVEVFNVPASLETMDLRRCPKLKSIFGEQQDEPTFNQGPSAAPKLSSSAQDHLLLPCLEYLRIWRCESLSEVLNLPPSLREILIRECGKLQLLSGQLDGLRTLDIWGCPELRSLESCLGEFSTLERLSLKDCKSLASLPDGPQAYSSLRHLQITSCPGIQSLPSSLKKRPDNLIRKYLDARYEDNDQEG >KQL15565 pep chromosome:Setaria_italica_v2.0:III:21325805:21326151:1 gene:SETIT_025607mg transcript:KQL15565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPARNLRHLSCPSQTVWFTGRWHCTRASGCLRTEGELFKEFKMQLMVLLISQET >KQL12776 pep chromosome:Setaria_italica_v2.0:III:789987:794803:-1 gene:SETIT_021259mg transcript:KQL12776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAAARPPGPDPAGEEAEQEEEFYESLDRILSSSCSSTSASDDDADHRRRRRSHRHLQQPPPTHASAYDVWISEPTSVEERRRLLLQRLGLSSEPEPPPQQPPSPRRSPRSPSPPASPPASPPLAAEEPRSGGLGKPPLARNPSSSGGEQCRIRNLDDGTEFEVGEVHEEVVREVGTGRQLTFEEFELCVGRSPIVHELMKRTTTAASSSASDHAAPASKPRRKPGGGWLRGIRQLAGSVAYGRRSTDEGEKEKDKKEREARRLSSATDDSLDGTGSRNAAGRVRVRQYGKACKELTGMFMTQELAAHSGSVWCINFSLDGRYLATAGEDRVIHVWEVSEGERKGELLGEASVTKENGGSCSPFLAVVGNDSPEIAALSLTCADGGYVDKKRRPRKQSNRKSVGSDHLVVPECVFGFRDKPVCSLLGHAADVLDLSWSKSQYLISSSMDKTVKLWDITTSTCLKTFSHTDYVTCIQFNPVDDNFFISGSLDEKVRIWNVRDRKIEDWNDLHEMVTAACYSPDGQVALVGSHKGSCHIFDTSEKKLQYKSQIDLRIRKKKSGQKKITGFQFAPGSSSEVLITSADSRIRVVNGDELVHKFKGFRNTSSQISASVAPNGKYVVCASEDSHVYVWRHDNSSHPSRSRSTVDVTNSYEHFHCHGVTVAITWPGSEARGSFGSRSSRHSDSDGAVNSGRDVPAENTEHNSDAADNRYNESPVCEGVASRSTSKPPGDGASTSWPDEKLPSAKSSPGHCSSDLCIGAMDVQRRSAWGLVIVTAGRGGEIRVFQNFGFPVQV >KQL15811 pep chromosome:Setaria_italica_v2.0:III:24160248:24160729:-1 gene:SETIT_025376mg transcript:KQL15811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNSIPPPILYEVRTKKDTVIYPLFWIKSSFDG >KQL15923 pep chromosome:Setaria_italica_v2.0:III:25545844:25548368:1 gene:SETIT_025564mg transcript:KQL15923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVQTESIRYLFWLLLTANCSYAVHLVVFVDPVSVFPMWRSVPLPEINERALAMEIPFMLLTVDERMFGAAQQLEYF >KQL13172 pep chromosome:Setaria_italica_v2.0:III:2950476:2951084:1 gene:SETIT_025704mg transcript:KQL13172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLGQFMVSFSSPTNMLANFTLLVNFVIPVARTGPVSLRFLFLSL >KQL17296 pep chromosome:Setaria_italica_v2.0:III:49596029:49597258:-1 gene:SETIT_024952mg transcript:KQL17296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRPRQWRRKAGTEEAEEPRSIHDVPDDLLRQILLRLDSPLWLLRAACAYRQFRRAVASADSGRSFLRLASSLHPPVVVGHYHNCRVRPIAFVPSLSPPAPPIDGGRFALDFLPRSITTTTDWEVADCHGGLVVLCNSQNSPSNLIVCDPLTRRYQGIPHPLEKLAGFVVALLDGDGDGNISISNFRVLYHCFHGDGAPRQVCVFSAADGGDGWRFLRRPSAGSYYIGHVAGRVDGSIYLGSVTGNVRVLDNASMELSEVYLPIGIDKSKAPRISTFTVVHGAGANPTSPPSTWIIHVHGEELEFYRRVRGGSGSGGEWVLEHNIPKLSEVARGLLAGCPEERLEVYVIAVGTGTAVLSAGDRDERKLLFSIDMDTKKLAPKESLSPDEGDSHQFTYTLPWPQLLQACPS >KQL13026 pep chromosome:Setaria_italica_v2.0:III:2194487:2197639:1 gene:SETIT_021197mg transcript:KQL13026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTASEVVEDIGVKKPLEVENIAVVIEDISVEKFIEIENVVAIEGVDVKPELASEPSIVPLEVENIADVVEDIGVVKPTEIEDVVLVEGIDVKPESVSEVVHDGSVKEFDPVSTDSVLEDSHGKEQNAEGLATISEAVEDDGDDNGDKGFDYATLAPTGGPSYGNIIFSSQDGSGILNMDWAGGLGSSTPSLRPTAPHQPAGSNLFITSELALTDDPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATLEETVVAQVLYRLSLVEGIIHGRQTNQAFSLDYTWKKALILEAEGKEDMNFSCNILVLGKTGVGKSATINSIFGEEKLKTDAFSLATTSMREIVGDVHGVKIRIIDTPGLRPSVMDQGSNRKILVAVKKYIKKCPPDIVLYVDRLDCLSRDLSDLPLLKTITAILGSSIWFDAIVALTHAASTPEGLNGAPMTYDVIMAQSSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNSEGQKVLPNGQSWRHQMLLLFYSSKILSEANSLLKLHDPNQENHFGFHFSSLALHFLLSSLLQSRAHPKLLVEHGGNEGNFDIELDDYSDVEQADDEEEYDQLPPFPDMVLPPSFDCDNPTYRYRFLEPTSTVQARPVLDAHGWDHDCGYDTISVEETLAILNRFPAKVAVQVTKNKKEFSIHLDSSIVAKHGENASSLAGFDIQTVGRQFAYILRGESKIKNIKKNRTTGGFLVTFLGDTVATGLKVEDQLYLGKRLSLVARTGAMRVQGDTAYGANLEAHLKDKECPIGQSLSTLGLSLMKLRQDLALGANLQSQFSIGIGSKMALHLGLNNKLSGQITVKTSTSEQVQIALLGLMPVAASIYKSFRPSKP >KQL16931 pep chromosome:Setaria_italica_v2.0:III:46796768:46800992:1 gene:SETIT_021698mg transcript:KQL16931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWFIFLLPLLCLPAWVRAEDYSDVTVIVRGSETIASTSDEFVCATIDWWPPEKCNYDQCPWGRASVLNLDLTNPLLAKAIQAFSPLRIRVGGSLQDQVLYGTPNLESPCDPFTKVSGGLFGFSQGCITLERWDAINDLFVNTGAVITFGLNALQGRQQIRRGVWGGPWNSSNAREFMEYTVSKDYPIDSWEFGNELSGSGIGASVGAEQYGKDLVELQTIINELYGDSRRPLVVAPGGFFDQKWFAELLEVSGPNVLNAMTHHIYNLGAGNDPQVPNRILNPQYLSRASDTFRSLQLTIQRHGPWSAPWVGEAGGAYNSGSRLVSNTFLNSFWYLDQLGQSAKYDTKVYCRQTLIGGNYGLLDTETFVPNPDYYSALLWHRLMGTGVLSTDISGSSYLRAYVHCGKQKGGVAILLLNLHRSMGFMVSVRNDLNVNLAEGQGIRRDNIFVHGLKRTVSWVGSKASDGYSKREEYHLSAKDGNPFARTMLLNGVPLELTEDGDIPPLYPVEVSVNSPIYVAPLTIAFVVFPDFEAEACGR >KQL12874 pep chromosome:Setaria_italica_v2.0:III:1411515:1413299:1 gene:SETIT_022148mg transcript:KQL12874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVREEAWPVAAPQQRQQPPAPQPQQQQQQNGRIDLRELKAQLEKRVGPDRSRRYFGYLNGYLSERLSRQDFEKLCLQTLGRENLQLHNRLIRSVLYNAYQAKCPPPPSDVGRPVGASVKKVSQAAEVLNTCNGDARLLQLQGSRPIGTVQDHALKNRMNNMGPNCRATAAVNHNQVAHAVSGSLENGALSPHELKRSVHFQQCEPAEPLAKHPRMEQNLLLQRRSMSSTAEHSAEILKSPVRAPIGIPFCSASVGGARKFPQPPIGASDVRFNSSFEHGELSNTELLHRRMEKTAETLGLAGVTMDSAELLNCALDKYMKNLIRSSVQLIGGSVQRDARKGTPSYKQQAYGKQINGVLLPNHVHMQSSSGPSGATNEIKSNHLISINDFKVAMQLNPQQLGEDWPVVLEKICLCSSEEND >KQL13875 pep chromosome:Setaria_italica_v2.0:III:7382581:7384791:-1 gene:SETIT_022858mg transcript:KQL13875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLATARLVLLVALVAGSTSCGGAWELSGLRMPTAARAVQAAAERFVEEEVAAPVIHALRPLVGSAGDLGWRGGVPCDSWRLAVETNNKRDWRTVPARCERYVGNYMLGGHYRRDSRVVIDEAVAYAEGLQLAGNGKEVWVFDIDETALSNLPYYASNGFGTKPYDATSFNAYVFAGSAPVLPETQRLYNKLISLGITPVFLTGRRENQRAITVANLRREGYSGWMKLLLKPVGYNGTAIGFKSDERRKLQDAGYVIVGNIGDQWSDILGAPEGARTFKLPDPLYYIG >KQL12905 pep chromosome:Setaria_italica_v2.0:III:1563500:1567670:1 gene:SETIT_021412mg transcript:KQL12905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVEEALAAVLSAAASARAAPRGVPLHDALGLVLAEEVRAPDPLPPFRASIKDGYAVVSSDGPGEYPVITESRAGNDALGVVVAPGTVAYVTTGGPIPDGADAVVQVEDTEQIPAGADGSKRVKILVRAAEGQDIRNVGCDIEKDSIVLKSGELIGPAEIGLLATVGVTTVKVYLRPTIAVFSTGDELVQPATATLSRGQIRDSNRAMLLAAAVQQKCKVVDLGIAEDTEESLKEHLDAALRSDADIILTSGGVSMGDRDLVKPCLAKMGKIHFEKIRMKPGKPLTFAEITTQDTSKPSKTVLAFGLPGNPVSCMVCFNLFVVPAIRLLSGWSNPHLQRVHVRLSHPLRADLHRTEFHRAVIRWMLNDGSGRPGYVAESTGHQASSRLLSMKSANALLEVPSTGQILAAGTSIQAILISDIISYPSNKPPAASDPPPSHFGPSSKSISTDVPQLSASQNTEVKVAILTVSDTVSSGAGPDRSGPRAVSVVNSSSEKLGGATVVATAVVPDEVDKIKGILVQWSDIDCVNLILTLGGTGFTPRDVTPEATKSIIEKEAPGLIFVMLQESLKITPFAMLSRAMAGIRGSTLIINMPGNPNAVAECMEALLPALKHALKQIKGDKREKHPRHTPHAAAAPVDQWERSFRAASAGSGGGCSCEP >KQL16087 pep chromosome:Setaria_italica_v2.0:III:28714477:28718756:1 gene:SETIT_021707mg transcript:KQL16087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKPSTLPRSFLSLRRLLWRSISGGHYRRSTTARAAPAVPAQEKLRDRTVLVDVEGWLLRSPLSTFPYFMLVAIEAGSFLRGLLLLLMYPVLRLLALLSLDLCLKAMVMVSLFGLREKEVARISKAVLPKYFLEDVTNEGLEAFNDKAGKVVAVTASFPRVMVEAFLKEYLGVHAVVGREVTVAAGHYIGLLEEEHAVMKRVEAFLEEMEEMRSKGDGAVGLVRAASWMHHVISRYCKETYVLSEADKKAWQLLPRDKYPKKLVFHDGRLAFRPTFFAAVAMYTYLPWGIFLAVFRSLAFAVLPYRVSVPLAAATGMRSRLVAAPSLNATEKHQAGGRLYVCNHRTLLDPITVAAGLNKPVTAVTYSVSLVSELIAPIRTARLTRNRDKDRRRMEALLERGDLVVCPEGTTCREPYLLRFSPLFAELTGEVTPVALETRVDMFYGTSTKPGAKWLDPFYFMMNSRPEYRVEFLERVTTAPAEGEEIGQGHSIEAANRVQRVLGEALGFELTELTRKHKYEMLAGTDGVVTDGTKK >KQL15662 pep chromosome:Setaria_italica_v2.0:III:22300415:22305563:-1 gene:SETIT_021748mg transcript:KQL15662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGSKAAAASSSLSSSSAASEVGGEVKRGNGSSKGRRARSLLPLPSSSCFRGSTTPGEGDASAAPPPAVEVRALAVPASSSHAVTVPKSRPGEETAAPSSDSERDQDDDALQNAAATSTAAAAASQLPNPSDRPRPRFGSNFGLSRAVSLGSSVACSILSSGLSSANPGESHGEANNSSDAVIAQQGGALTAGIDSTLDMLRDSVTAQARAARQARRNLLESEGASLRNSYRRTGSQEPFEGSVRFSRTLSVGRLRDRVLRRTPFSDGLFTHSLLYDRAVWPSGNGSARQDSAMMQRTNSDRSSELRSDPSTNSVYNSSSETLREANNRDLLERRSAFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRTGNCNCRTSGRPGNPDEETGTRASISRIVMLAEALFEVLDEIHQQSAALSSSRPSFSSIGSVPAPREIVECLPVKVYRKPLKHRTEEAAQCYICLVEYEEGDCVRILPCNHEFHLTCVDKWLKEIHRVCPLCRGDVCRSDASSIGKVG >KQL16489 pep chromosome:Setaria_italica_v2.0:III:40945470:40945580:-1 gene:SETIT_024471mg transcript:KQL16489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PATRSVPGRLASMVKEQRARFYIMRRCVTMLVCWRD >KQL14743 pep chromosome:Setaria_italica_v2.0:III:13525761:13527259:-1 gene:SETIT_024240mg transcript:KQL14743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSPPGLPIIGNMHQLGQGHHHRKLQALAQRHGDIFLLQLGAEVLKNHDHIFCGRPQQHTARGILYDCRDVAFSPYGERWRQLRRIAVVHLLSVKRVDSLRVLRKEEVTSLMARILTASSQEDDRGKLQVINLSGLIVSLTYTVISKAVIGNKLGGMDPEIFRAMMKEVTDLLETIAVSDVFPRLWWVDWVMGLEARIKRTAGKLDNILERALQEHEKGSRNEGEAGDLLDDLLSVVKEGGEGINLDIIDVKGLILDLFIAGIDTTSKAIEWAMAYLIKYPREMAKVQAEVRQIAGAQGVLEEQLLRMSRLQAALKEAMRLHPPAPLLVPHETIQDTKLHGYDIPAKTRIFINAWAIGRDKESWENAEEFQPERFLHTAIDYNGRDFRFMPFSAGRRGCPGIEFATRLAELALAISYIILIGSCRRARIGLSPALKYALTLVAKPLQA >KQL12994 pep chromosome:Setaria_italica_v2.0:III:2005851:2008579:-1 gene:SETIT_025194mg transcript:KQL12994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLAVLAVSVLLLLLPLSFFLLRPTSPPLLPSRQVFEPDRPLRVYVADLPRELNYGLLDRYWSLPATDSRIPASSDPDHPAPRNHPPYPESPLIKQYSAEYWLLASLRTAAMAAVRVVADWREADVVFVPFFATLSAEMELGWGTKGAFRKEDGNEDYRRQREVVDRVTAHPAWRRSGGRDHIFVLTDPVAMWHVRTEIAPAILLVVDFGGWYKLDSKTASRNSSHMIQHTQVSLLKDVIVPYTHLLPTLPLSENKDRPTLLYFKGAKHRHRGGLVREKLWDLLGNEPDIVMEEGFPNATGREQSIKGMRASEFCLHPAGDTPTSCRLFDAIASLCIPVIVSDEVELPFEGMVDYTEFSIFVSVSNAMRPKWLTNYLRNISKQQKDEFRRNLAHVQPIFEYDTSYSSSRTPDGAVNYIWKKIHQKLPTIQEAIIREKRKPYGALIPLRCHCT >KQL17221 pep chromosome:Setaria_italica_v2.0:III:49035566:49036708:1 gene:SETIT_024204mg transcript:KQL17221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPRGKKRLEERRRLYLIFDDWPWGYSIREIDLRVSTSQKAASSQKGAAASSEGTERHLPQRIIRLEAPRGYPLFFSAVGTSVVATHPRDPWDDGSVVHDVPIYLPVYDGLFALDNCTVRMLSLTPLWPPRLEIRRSSNDGWSWRELPEPPFDRLDVTSYAVRPGGRTIVVSTNEGTFTLDIGKLDDTGKIDSEELVWMPLCNQWTLPFTGRGYFVSCLDTIVGLSKDPATLGPWPPGGLRHRRQDPAETHVGATLIYMGDGNGDGNEFCLVQCVSVEHGNADQKLKESGEVPRRSRYLYRLTTFSLSYDNNGDLTTGGTCQVQCYKVPKQTTERFLHGYPVAFWL >KQL14616 pep chromosome:Setaria_italica_v2.0:III:12387745:12387945:-1 gene:SETIT_024714mg transcript:KQL14616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEELDEFEVLWPETSCHSRAHGPPTSSSPVPVQVQPSEAPAAARSRPVDVPNPRVARVSCRWNDD >KQL14845 pep chromosome:Setaria_italica_v2.0:III:14283680:14289910:-1 gene:SETIT_021209mg transcript:KQL14845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNHRLPLRLAVVLLLLLLLPAASRARDTISPGQPLRGNDTLVSSGTGTFALGFFSPPGSNNTYVGVWYAKLPVRTVVWVANRADPVPGAVSHNADATLSVSAGCALAVADANGTAVWSSPAPPPDTAGRPCTARIRDDGNLVVSDGRGRVAWQGFDHPTDTLLPGMRLGVDFAAGKNMTLTAWVSPSDPSPGPVVAAMDTSGDPEVFVWNGPDKVWRSGPWDGVQFTGVPDTITYKPLGFSFRFVNTPQEVTYSFQVRDASIVTRLALNGTGGAAGLMQRWTWLETAGAWSLYWYAPKDQCDAVSPCGPNGVCDTSSVPPCRCLQGFAPRSPVTWALRDGRDGCARATPLDCGNRTDGFAVLPHAKVPDTTEAVVDYGSSLEQCRQRCLRNCSCTAYASANLTGGPGRRGCVMWTGGLDDLRVYPGYGQDLYFRLAAADLAPTSKSKKKVNIVIAVVVSITALAILLAVAGFFIWKAKKTKARKPGSSTWRAGPRSKEGSEGKDHGDDLELPVYDYETIAKATEDFSTENKLGEGGFGPVYKGKLEDGQEIAVKTLSRTSTQGLEEFKNEILLIAKLQHRNLVRLIGCSISGPEKILIYEYMENKSLDCFLFDTTKSKLLDWQTRYHIIEGIARGLLYLHQDSRYRIIHRDLKTSNILLDEEMTPKISDFGMARMFGNDDTEINTLRVVGTYGYMAPEYAMDGVFSVKSDVFSFGVIVLEIITGIRNRGVYSYSGHLNLLAHAWSLLNEGKGLDLVDENLDGSFDSDEVLKCLKVGLLCVQENPDDRPLMSQVLMMLASTDTASLPTPKQPGFAARTAAAEDRSWSKPDCSIVDSMTITMVEGR >KQL13683 pep chromosome:Setaria_italica_v2.0:III:6042274:6046835:1 gene:SETIT_024066mg transcript:KQL13683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIAFFEGPPSFSDLVDRVMRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEDISMVHKAICESSMVNAEGTSVGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVPKREHVQCTAKYLGWRILGIIRKDSETSVPSLVEFIFAFSGYRGDWKESYGMVPRVLSAITYYNFGVKWCIDSCGMMHPDNGVLKHILQRGFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVGVDPEQQLVPLAFALAESENNESWSWFMKLVRRHVLGPSRIVCMISDRHHGLLNCTKDHMDGFPPLVHRWCTRHFAANMSRRQKSNRVIGKLKILCKVHTEREFSEKLEDLVKDLNDDAKEWLKGEMEDKDKWAIGKVADDYLSEAELRSVHHLAEPYGLERMVYSIRSYGTTNIGGESHGGRHYRVDLNEVSCTCNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTVKIWESRFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRKKKRFTGDMDVSEGRLLTPDFVTSKIDAKKRRKWRSTVGNRPNGATVRDRPMTSVSPRVERAGVTNLARIVLPISLLRQIGRFADKLSRDRKPSRSRFLDLTRILPCQSTGQIGWHAARTAPGQSPEQ >KQL12822 pep chromosome:Setaria_italica_v2.0:III:1031418:1033463:-1 gene:SETIT_023123mg transcript:KQL12822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGARVQLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSAEGREVLLERPRVISSQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRTLFFQHYFPWATKAGLKCTDLMSVYYEKHFHEDLEEVRRNWGILPCSDPKRRGV >KQL12821 pep chromosome:Setaria_italica_v2.0:III:1031418:1033106:-1 gene:SETIT_023123mg transcript:KQL12821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDEMPIAMLGARVQLKGWQQAAVAFGSAFGALLDPRRADLIAALGETTGKPAFERVLQRMKNSAEGREVLLERPRVISSQVSHAWDMPQNTFGAAYAQFMGSRNFSPDDRPPVRFMDTDELAYVATRAREVHDFWHVLFGLPTNLIGETALKVIEFEQMFLPMCMLSVVGGSARFSEKQRTLFFQHYFPWATKAGLKCTDLMSVYYEKHFHEDLEEVRRNWGILPCSDPKRRGV >KQL16052 pep chromosome:Setaria_italica_v2.0:III:27619248:27621711:1 gene:SETIT_025356mg transcript:KQL16052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQTLLVTLTCLTLLCILSMAMATDKNSTGSGQIRLNCGASNPTSPGAEGQTWDSDTGSKFAPSLEGTAATASHQDPALTSTTPYMTASIFTSNYTYSFPVGPGRTFVRLYFYPSTYGNYSPSNAYFGVTASNLVLLDNFNASQTALAANVASFFREYSVNVTSSNLHLTFSPSAHYNGSYAFVNGIEIVPTPDLFTTPTPTLANGGNPNPFPIDPATGFQTMYRLNVGGQAISPQGDVDFYRQWDDDSPYIYGSGFGVSYGKDKNLSITYTPSVPNYTAPVGVYESARSMGPNAQVNLNYNLTWILPVDAGFYYLLRFHFCEIQYPKTEVNRRSFFIYINNQTAQQQMDVMAWSGGIGRTAYTDYVIITTGSGQMDLWVALHPDLSSGPGLNGLNPPLPSVEPDGKPGGRNSKVAVQAAIGGAVGGSTILLVACLGMCIICRWKKMVEKDYEGTGNTHLKCNRLRSNFCRRFTFKEIQAATSNFDETYLLGKGGFGNVYLGKIDGDIKVAIKRGNLLSQQGLHEFRTEVKTLSMLRHLHLVSLIGYCEENNEMILVYDYMAHGTLQEHLYNTKKSPLPWKLGLEICIGAARGLHYLHTGAKQTIIHRDVKTANILLDDKFVAKVSDFGLSKASLDIDDTHVSTAVKGTFGYLDPEYFRRRQLTQKSDVFSFGVKKGVLNEIIDPHLQGEITPECFRKFSKTAEQCVAEYSFNRPSMGNVLSNLEVVLRLQEGSSDNSSRAEAIRSLANTQVDSGNPSTNSTMSIAGQGVVFSGITHTEGR >KQL15985 pep chromosome:Setaria_italica_v2.0:III:26539914:26541025:-1 gene:SETIT_025168mg transcript:KQL15985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANGTQEPPPRRSLGVDHESLQQPQSDPKRKGGWITFPFLGVAMMGLGVATSGALNNLVVYLIKEYNVPSVDAAQISNIVAGCLSLAPVAGAIVADAFFGCYPVVAVSMAFSTLSLVVFTLTASLRGLRPAPCQPGDGAGPCQPASAGQMAALYAGVFLMCVSAAGSRFNQATMGADQFDDPADRDVLFNWFFIFFYASSVLASTVIVYVQDTVSWTLGFGVSGAASVVGLAALLAGARYYRRPAVRGSPFTGLARVAVAAARKRKVNVATSGELKFYHGPRRSGDGDDKAGNGETDQAPSDSF >KQL13460 pep chromosome:Setaria_italica_v2.0:III:4577791:4579692:1 gene:SETIT_023537mg transcript:KQL13460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRGTPPCYARILLCVRARLPANPHACAMIRAPQIGGSSIANLQTLLDNGGGGGGGRLGRSCSRSSAAATRRVDPVVAVGSGGIRMAGFRSLAPKTRNLVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEEMKKKDAGNSSNAGS >KQL14080 pep chromosome:Setaria_italica_v2.0:III:8651335:8651994:-1 gene:SETIT_024167mg transcript:KQL14080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGACSAGNLVFSPLSIYSSLSVMAAGARGRNLSELLHVVGATCREGGLLWARNMSMPQFPRHSMYTYSLAGRARRSMEPPRHDGVEPELPPRAPTGVACQCWRVPGAQAQDILRSTTTSLKHALHQDLGIETMFSTGAADLPDMLELDGSHEPLFLSDILHKAVFEVGEEGLSPTVQRTKRQSVSVDFVADHPFIFFVVEEESGGIVLAGHVLDPTQKS >KQL15805 pep chromosome:Setaria_italica_v2.0:III:24069118:24070242:1 gene:SETIT_023466mg transcript:KQL15805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVMASSATSVSPFQGLKSTAGLPVSRRISSTGFVSNGGRIRCMQVWPAEGNKKFETLSYLPPLSTDQLLKQVEYLIRNNWIPCLEFSKVGFVFRENSRSPCYYDGRYWTMWKLPMFGCTEATQVYAELEECKKAYPDCYIRVIGFDNLRQVQCVLFIAYKPPGSE >KQL14485 pep chromosome:Setaria_italica_v2.0:III:11542355:11542631:1 gene:SETIT_024771mg transcript:KQL14485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIARGGSDILVWFLYLFVVTNLSFAAVQTHKRYLEKFEDYPRSRYAIIPFVC >KQL15093 pep chromosome:Setaria_italica_v2.0:III:16653616:16654604:-1 gene:SETIT_024424mg transcript:KQL15093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLWRILSFSAAVSSHLHRRFSMGASHPTWGFMECHITTPIVATHGTTQQCKIIDCSDPDPNIMCFVCNPISSELFGLLDIDGMKRTVACHAISLLTQSARGHGPRDRYAVAALLEDRGEWDKPVGLPSPLPPSWWICLHSHHEVLAFIGWLWWVNMSWGTISVQRPTEAPMCRASEWLRTTSARQHVRGVHGGTGGEWYMYRRMWVSEGRLRYVEVSQKEPFVLNSFALDNDGSGWMLEHRVALG >KQL14662 pep chromosome:Setaria_italica_v2.0:III:12741459:12746016:1 gene:SETIT_024720mg transcript:KQL14662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAARSRLLLLYLAVAATAGVLQARAQPDSIGFISIDCGLPGTASYVDDTTKLAYVPDAAFVDTGSNQNISAEYITPTLAKRYHNVRSFPDGVRNCYTLRSIVAGLKYLLRATFKYGNYDKLGRPPIFDLYIGVNFWTMVNITDADSPVPLEAIVLVPDDFVQVCLVNTGSGTPFISGLDLRPLKTTLYPQVNATQGLVLYNRLNFGPTNSTAIIRYPDDPHDRVWIPWVNTAIWNSVSTTLRVEDLEDGDIFEVPTKVMQTAITPRNVSQNIEFSWDPDPQPKDPTPGYVANMHFSELQRLPANAGRQFYINLNGKPWYPKAYKPIYLISDAIYNHNPARGFPHYNISINSTANSTLPPMINAVEVFSVISTTNVGTDSQDVSAITAIRAKYGVKKNWMGDPCVPKTLAWDGLACSYAISSPPRITSVNLSFSGLDGDISSSFANLKAVQYMDLSHNNLAGSVPDSLSQLSSLTFLDLTGNKLSGSIPSGLLKRIQDGSLNLRYGDNPNLCTNGNSCQTTKRKTKLAIYIAVPVVLVVVTVSVVVLLLCFCRRKKQGSTSNSVKPQNETPMSHAPAGGGSYPQSTLQLENRRFTYKELEMITNNFQRVLGRGGFGYVYDGFLEDGTQVAVKLRSDSSNQGVKEFLAEAQTLTRIHHKNLVSMIGYCKDGDYMALVYEYMSEGTLQEHISGNGRNTGFLSWRQRLKIALESAQGLEYLHKGCNPPLIHRDVKAANILLNAKLEAKIADFGLTKAYHDNDTHVSTNTLVGTLGYVDPEYHTTMQPTTKSDVYSFGVVLLELVTGRPAILRDPEPTTIIQWARQRLARGNIEGVADPRMRGDHDVNSVWKAADIALKCTAQSSAQRPTMADVVAQLQECLELEEARAGGGGDANGGYDYTGSSSDPYSGYNAHAADGHSTDDVSQTSTGFEVEHHFGRVPTMPTGPAAR >KQL16964 pep chromosome:Setaria_italica_v2.0:III:47162025:47163092:-1 gene:SETIT_023286mg transcript:KQL16964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGDEASSVNMERSPEQQLTSEHDDDGSATWLNLTLGASESPEPATAPPPSSPGSDPNTGATKPSAAAAPPPHKVFSCNFCLRKFFSSQALGGHQNAHKRERSAAKRSYHAQRMIVGLPLQAHAALMHSLRVNPASSAIQKAAAPVRTAARFLEDGVAWGTIACEEAPSSAWPGSFRLRAQHPEHEQASEQSKIDLNLRL >KQL13552 pep chromosome:Setaria_italica_v2.0:III:5203712:5207361:1 gene:SETIT_022822mg transcript:KQL13552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRPTPHRFSPHIAGVAATFLLVALHMRPSFGQEEPPPWLLCGSDDSRNYTANSPYEANINRLAATLPKNASSSPVLYASDSAGSIPDRVYALAACRGDANASACERCVAAAFPGARRGCSLAKDVLIFYDLCQLRFSNRMFFLDQDNFVTTRYVVGAPISDPAAGAFDAAVRLLVNATADYAAGSSSRRFATGEVGFGGLRCSGPSPSLHYHKAHLLLPHIGLLCVQYSPADRPMVSSVNVMLGSDTLSLQVPSKPTFCIPEMEDHSYLYSDAYKRAMKLQSTDKSKAPMSPR >KQL12764 pep chromosome:Setaria_italica_v2.0:III:759955:764353:1 gene:SETIT_022383mg transcript:KQL12764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWCCIPRTKKQENPYSNSIGDIYSEKNIRLFSYAELRSATDNFNRTNKVGRGGFGIVYKGTIRNGREVAVKVLSAESRQGIREFLTEIDVISNVKHPNLVELIGCCVEGNNRILVYEYLKNSSLDRALLGSNSEPADFTWSIRSAICLGVARGLAYLHEEIASPIVHRDIKASNILLDKNYIPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAWHGQLTKKADIYSFGVLVLEIVSGTSSSRSILIDDKILLEKIWELYEANKLKELIDPAIGDYPEEEVIRYIKVALFCIQAAANRRPSMPQVVTMLSKPIRINERELTAPGYIHEYKSNESKASTSSHSRSKNTASEDSNVFSTVVPPTVTEMSPR >KQL12763 pep chromosome:Setaria_italica_v2.0:III:759955:764353:1 gene:SETIT_022383mg transcript:KQL12763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWCCIPRTKKQENPYSNSIGDIYSEKNIRLFSYAELRSATDNFNRTNKVGRGGFGIVYKGTIRNGREVAVKVLSAESRQGIREFLTEIDVISNVKHPNLVELIGCCVEGNNRILVYEYLKNSSLDRALLGSNSEPADFTWSIRSAICLGVARGLAYLHEEIASPIVHRDIKASNILLDKNYIPKIGDFGLAKLFPDNVTHISTRVAGTTGYLAPEYAWHGQLTKKADIYSFGVLVLEIVSGTSSSRSILIDDKILLEKIWELYEANKLKELIDPAIGDYPEEEVIRYIKVALFCIQAAANRRPSMPQVVTMLSKPIRINERELTAPGYIHEYKSNESKASTSSHSRSKNTASEDSNVFSTVVPPTVTEMSPR >KQL15787 pep chromosome:Setaria_italica_v2.0:III:23845250:23846003:1 gene:SETIT_023272mg transcript:KQL15787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFALFTLLALSVSAATAVFIPQGSLAAAAAIPQYLPHVTALGYENPIVQSNRLQQALAANILSSPALFLQQPWALSQQQSLAHVTVQSITAQQQQYLPAFSQLALASPAAYWQQQQLLPFNQLAVANAYWQQQQLRPFNQLAIANPAAYWQQQQQLPFNPLRLANSATYWQQQQLLSVNPLGVMNPTAFRQQPIIGSAIF >KQL16841 pep chromosome:Setaria_italica_v2.0:III:45919153:45920322:1 gene:SETIT_024136mg transcript:KQL16841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSGSKKRARRTRKPDPTALLTDDLLVEILARVPYRSLCRCRCVSKRWRALISHPDHRARLPQTLAGIFYHGPVAVGGGGSPESTSTSNCFASVPGTAPPLIHTSFSFLPDREREELVLVDSCNGLILCRCYRFPDEGEFDYLVLNPATEKWVAVPITRRWSSKVQTVHLGFDPAVSSHFHVFEFQVDIDDDDYDAGDGHVLGVKIYLSATGVWSHRQSGWSMEISFELDLKSVFLDGMLYVIARESVVGSVDVEGKTWRIINFPRSKDSRFYDTGGGFIDLSQGRLHLANDDDMVGDKLAIWVLEDKDNEQWTLKHTVRNKHLVRRKHVHFGFHEFIVVAIHPDRNMVFFVFGLEKTLISYDMDSGKVSIIRNLGRTCNEHFLPYVP >KQL14657 pep chromosome:Setaria_italica_v2.0:III:12718291:12719556:1 gene:SETIT_022958mg transcript:KQL14657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSREHSHSYEHLVLDPAALGSACSWADPAAVEIPPQLLAALGEYLSAGRGDGDAAEAEAEADDEFMMYEFKVRRCARARSHDWTACPYAHPGEAARRRDPRRVAYTGEPCPDFRRRPGAACPRGSACPFAHGTFELWLHPSRYRTRPCRAGAACRRRVCFFAHAAAELRAASKDDGGSPLSLSLSPKSTLASLWESPPVSPVEGRVRWLDAIDEPSDADAEVEELVLAMRELSFRKAAASAPVAAPVLPPVTEEDGPDLGWVSELVM >KQL17080 pep chromosome:Setaria_italica_v2.0:III:48123079:48123682:1 gene:SETIT_024829mg transcript:KQL17080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEHIPADAKQRGNEALAKGDYLGASYLYIMAMHMDPLDATLFSNRSLCWLSLGDGKSALSDAQQCKMMRP >KQL17428 pep chromosome:Setaria_italica_v2.0:III:50367258:50368527:-1 gene:SETIT_024949mg transcript:KQL17428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWETLIWLGVNASVPSLSLFPAIHEVPEREGLDTSAAEQEWRISLAKERLEALLSQAIPLHEAQKDSLEASSSNVSQSSEHGDAPDQDTTDVPSPPKASFAAMVSKTNIEDNDKKTADEFLDFLRKSDRILAEVVHLHISLSPSLPTEKDSPSSVLLDSTAYISAAAVSNTTTAVGKLSTGTPIQVSFCLTRPPRLSYICVHFPVSITWARV >KQL12766 pep chromosome:Setaria_italica_v2.0:III:762926:766526:-1 gene:SETIT_022765mg transcript:KQL12766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFADDGADELPRTASHPFDSDDFGAADPAAAGGDDAGGYGGYSSFADGGVEEVEEEITVESDGVPIRHVSGGYSPSPFSPDLDSNGGDGPILPPPTEMGREEGFLLREWRRQNAIELEKKEQREKELRAQIIAEAEEFKIAFYEKRIQNCETNKVHNREREKIFVASQEKFHASADKQYWKSISELIPHEIATIEKRGGKKDKDKKPSITVIQGPKPGKPTDLSRMRQVLVKLKHAPPPHMLQPPPAPAAKEGAKDGAKEGAKDGAKEGAAAPANGTKQPAESKETPANGPSEAEKEQPAASE >KQL14512 pep chromosome:Setaria_italica_v2.0:III:11670163:11670724:-1 gene:SETIT_023374mg transcript:KQL14512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEQWSSPMTFIRDAAASTCSLRCMPDAASAGPPARRTAACPAHAPRPPPPTSCARTPPAQAASVACCLRPHAAGAGSQGAAAQSECGKGPCSVRSESKLQLRWDVGGARASLPRRMGNGQQAAGQAGSLSFEFMAGDTCCCCCLLLAAATGMYEPAATIERRNNSQQRCTGEKMIRLGGIIVH >KQL16200 pep chromosome:Setaria_italica_v2.0:III:34265181:34268062:1 gene:SETIT_021425mg transcript:KQL16200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATTHDACPASCGNISIEYPFGIGPGCFRNGFEIICNSSTGTPSLAGTTQLVPVSLLNIKTAEARVKLPVAWECFDSSNKMYASSDGDVHFNRDDVYRISNAHNQLVVIGCNTLGYTQSQQSEGNGYYSYAYYTGCMSFCNNSGSAADGACAGVGCCRVDIPPDVTNNNMAFTEYNHTAVLGFAPCDYAFLVDRDIYTFHTADLNMNVNTTMPVRLDWAIRDGLTCDEAKKKARSYACVSTNSECRDSSNGPGYVCDCSKGYQGNPYIADGCTDINECEDKEYPCRGICENAPGYYECKCPSGYHSADPLNIPCNPNFPLAAKIVTGGISGLFIIAIVVFIFLLGKEKRKMKEFFRKNGGPIIEKVNKIKLFKREELEPILKTSNRIGQGGFGEVYMGYLRDEIRPVAVKKPKIDVKLANQFANEVIIQSRVLHKNIVNLIGCCLEVDVPILVYEYVSNGSLDKILHDSHRMPLDLDLRLQIAAQSAKGLAYMHSEITTPILHGDVKPANILLDEDFVPKISDFGTSRMITIEENYTSTIIGNWGYMDPEYVQTGLYTSKSDVYSFGVVLLELITRKKVLDPDINNLLGNSLDTYTKKKGVIELVDPEVSAKGSIGIFHSLAEIIVQCLNLDVDLRPEMADVAERLQSLLK >KQL12765 pep chromosome:Setaria_italica_v2.0:III:760153:760679:-1 gene:SETIT_023735mg transcript:KQL12765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSAWLAFRVCPTWNQILQLLMRRGSWLQKIAGFSYQMREHEAREHPPPAHSSPQTTYPREAKSLVREMIHVPTQERRKRLTAASRGRKRFNRGEKRKTRTPCP >KQL16448 pep chromosome:Setaria_italica_v2.0:III:40198546:40198668:-1 gene:SETIT_0251342mg transcript:KQL16448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQSGLLPLHITPHLPMLSPPLSHPRNNLIVVSVISMTPSLP >KQL14515 pep chromosome:Setaria_italica_v2.0:III:11706406:11707662:1 gene:SETIT_025330mg transcript:KQL14515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRSPHVLPCLGFRATAGGEFQLLLEFAPGGSLADEVGRNGGRLGEAAVRAYAADVARGIAYLHGEESVVHGDVKARNVVIGADGRAKLADFGCARRVGSKGPIGGTPAFMAPEVSRGEEQGPAADVWALGCTVIEMATGRAPWTDLDLDDVVAVVHLIGYTDAVPEAPAWLSAEAKDFLDKCLRRDASERWTAAQLLEHPFLATAGCGVKAEDAKPMWVSPKSTLDAAFWESDADDEDDEMPESAADRIRALAGPCSALPEWESDDDWIEVCGGCSEVSDAAAAAQEVNFPATECKIPSTAVAASSEQLRSEVPDVLPPMAAPEAETRSYENFWGEEPEAELEAELVDADLDVGDGPVHNVGAADAYAHRQQQDVYANFASDPVVLLHSDISDEEIGKSPFHGQIAPFSPPLFVF >KQL14400 pep chromosome:Setaria_italica_v2.0:III:11003046:11004511:1 gene:SETIT_022994mg transcript:KQL14400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGMGAYGGAVRPVESAAGETMLLWGLGQPTAHRNNALVRQAAHSFELDACGRRLSLLQSPSSMSTPGVTGAVVWDSGVVLAKFLEHAVDSQQLLLRDARAVDLGSGCGLVGCVAALLGAHVVLTDLPDRLKLLRKNVSLNVDDPHVPGSARVTELVWGDDPHHELVREPLPDFVLGSDVIYNEEAVDDLLVTLNQLSGQHTTILLAGELRNDAVLECFLEAAMEDFLIACIEQDQWHPEFCSNRVALFILVKKPERASTD >KQL16312 pep chromosome:Setaria_italica_v2.0:III:37169146:37169727:-1 gene:SETIT_023539mg transcript:KQL16312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNQEAASGPAESGVTSASVQPSSLSPSSASSSTSTTPSSNSDSFEDAFEAMVDEVFFDPVEDEIEARIVAQLFRGASPEVRRQVAAQQEALRAQKEALRRQVAESRAQARRAREYTRLLRADVSGYTDAQMERYQRELRRRSKELFGK >KQL12793 pep chromosome:Setaria_italica_v2.0:III:904403:907795:-1 gene:SETIT_024874mg transcript:KQL12793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRDVLLRGSAWRGGASARSASTASASGAAAEAAAAPKKVPPPPRKGRLLTGALIGLAIGGGAYVSTADEARFCGWLFKSTELVNPLFALLDAEFAHRLAVKAAAHGFVPREKRPDPPVLGLEVWGRKFANPIGLAAGFDKNAEAVEGLLGMGFGFVEVGSVTPHPQEGNPKPRVFRLKEHGAVINRYGFNSEGIVVVAKRLGAQHGKRKMEETSSSTPPSTSDIKQGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINISSPNTPGLRKLQGRKQLKDLVKKVQAARDEMQWAEDGPPPLLVKIAPDLSKQDLEDIAAVALALRLDGLIISNTTVSRPPPTDTHPLAQETGGLSGKPLFDLSTNILREMYILTRGKIPLIGCGGVSSGEDAYKKIRSGATLVQLYTALAYGGPALIPRIKAELAECLERDGFKSVQEAVGADFR >KQL16522 pep chromosome:Setaria_italica_v2.0:III:41242876:41243664:-1 gene:SETIT_023145mg transcript:KQL16522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLLLGILVVVAAAVPAAESSREANLTLVNDCNYPVWPMVIPNDGSSPISGNTVRLDRRGGAAAFIIPLSRAWSGQVVARAGCAVETPCPSRCASGEELAAGAVVQLQVHAYWRADVAVYGVSLSGGFNVPATVYPARDLNPRCPAGRRVTAAGGGGVVACRSDPAPGGYFKQRCPKTLTWSGDAVDVDQRCVAPGELKVIFCPKTMVTTNAAGGEPELLRAAVAVA >KQL15643 pep chromosome:Setaria_italica_v2.0:III:22085882:22086346:-1 gene:SETIT_025428mg transcript:KQL15643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLYNCHHDRNNTAKTNSIACAHAKIGHRKTIAIECIIISENIL >KQL16430 pep chromosome:Setaria_italica_v2.0:III:39881859:39882269:-1 gene:SETIT_024433mg transcript:KQL16430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIATLRLIIDAVLAIEKASDNVEQNGKDCESIKGRAEKVLKNLSRVESNKQLMEDSVVSSAVVELGKILDEAQELVKKCQVKRNIICVYWTAGKLSRKLSRMNQSISDRNSDLMHAIMCAIMCSPTQRGHHPPVPE >KQL13550 pep chromosome:Setaria_italica_v2.0:III:5198193:5200557:1 gene:SETIT_021982mg transcript:KQL13550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLLSPSPPPAAAHLPAAAPAWTQPLRARRLAGVPQASASAEFPGFVPDGVQMPPGRRRRRSVAGIDQDELLDPEALADPDSSFFEINGVRLHHKVCSHDDEDSSSDQSSDATVSEAGRSGIGLPILLLHGFGASVFSWSRVMRPLARIAAAKVLAFDRPAFGLTSRASWSGDDSKPLNPYSMAFSVMATLAFIDYLGAEKAVLVGHSAGCLVAVDAYFEAPERVAALVLVAPAIFAPRKGVKDSATGEQEAQKQNVPNDENSPPTLFARIWGAFLKLCKHIAGLVSKMMMVIRDVLRSLYVKALVAFLRSSLGAMLVRWVMDKFGILGVRNAWYDPSKVTDHVIQGYTKPLRSRGWETALLEHTISMIIDSASATRVPVSKRLSEISCPVLVVTGDTDRIVPAWNAERVAHAIPGARFEVIKGCGHLPQEERPEEFLSVVERFLRTVFGTPNERVFQAAV >KQL15924 pep chromosome:Setaria_italica_v2.0:III:25549558:25553345:-1 gene:SETIT_023344mg transcript:KQL15924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNDAPLSPRSQLALSCFEELLDCAVADVASECHRITRLGLDRSVDAEEEELRVWATRAATGCDHHHPGGGGAAEEGVVGGGSKGGVDVFGQTHPAIAADVIECMNCGRPVVAGRFAPHLEKCMGKGRKARTKVTRSSTAGRTRSSNGSAAATSYSPYSNTTNPNRGSVPNGVTDGGGGTGGDHSNHAP >KQL16325 pep chromosome:Setaria_italica_v2.0:III:37602873:37603913:1 gene:SETIT_024700mg transcript:KQL16325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVVVGILLPSSCAADAKQLEAAKPTPWSIQKPGSATQSLALEHIPLPYGVTGAESLAFDRRGQGPYTGVSDGRILRWDGSSNSWTTFAYNANYKNKPICTAPARRQEDVESICGRPLGLQFYAKTGDLYIADAYLGLMKVGSNGGEAEVLAAEADGVPFTFTNGIDVDQVTGDIYFTDSSTTYTRARNTQIMIHRDVTGRLLRYNARAGRVTVLKAGLPYPNGVALSTDRTHVVVAHTGPCQAFRYWIRGPKAGRYELLADLPGYADNIRRDTRGGYWFALNREKINATAPEHLVGVRVDSKGAELEVMTAAKGVTLSDIAEKDGKLWLGSVELDYVGLVNYK >KQL14153 pep chromosome:Setaria_italica_v2.0:III:9200908:9201555:1 gene:SETIT_023785mg transcript:KQL14153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRKREWLRKKVAAVAGTGGRGQQQQQPPRWTLRVRALSAALRRRRLGAGGLPRVDFLQVLYQNVLFYLLWVIESVVVLAKLCFFFLRFGFRL >KQL14679 pep chromosome:Setaria_italica_v2.0:III:12926375:12928315:1 gene:SETIT_022454mg transcript:KQL14679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAMRWLKKVLTGTKKEGERGRKEHSNAACASGGLGLGPPPVEKRRWSFAKPRHSMADGGRRPSVTAVAAGELSQVRPCNCGLEREVEAAVVIQKAFRGYLARKALRALKSLVKLQALVRGYLVRKQAATTLRRLQALMRLQARTASSRKSVEQERIGGARVKPVVHRRRLSDGGDNGFDRSPRIVEMDTCQLRCRSSRITSRYAADPPGASPLLYFQKPASRLLGQELEPPHPKTTHNTPRLGAFPGFPGSPASKSGRGATCRDAGGSPRYMADTASSVARTRWQSAPRQRQGEHAAAQGQVAEPRPSVRRSGSRKQARPQLQALESFSFKSSEASRVEDSELSDEVTRDYYLDRLW >KQL17407 pep chromosome:Setaria_italica_v2.0:III:50287850:50288341:-1 gene:SETIT_024790mg transcript:KQL17407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTIMATLTSSSSSSSPRCVPFAFRRGGERRARGLPAPPAGAHATGPAAAAGCGRPLCRAAEVVGGGIRGAFFASLDRCSCVEVRTKHDDSFRMVDAAEAAPLMRHGRYSGGAASGDDDTAAAAAAAEVPRGWRRASSSAGKGGNKQRRGGLGCCDANSTRTVN >KQL12643 pep chromosome:Setaria_italica_v2.0:III:169498:170633:-1 gene:SETIT_023253mg transcript:KQL12643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKPPAYGHGDSPYCCFHPREVVVGVCAHCLKDRLLLLLNKDPTTQQQQGQLRRRRTSSSSISLPKVFALGSSFLQRLDSRHHRPDHDDAAHSDGTTSAASLDDSFISIKFEDNGKATWDSHTKAEAAPPAPVRSSSSSTSVLVVEHAKRGGVTRWRKQVVGRLLQLARWKRSAAALDGKKAAGDRSKARGRGWIRSLTRRRADRPWS >KQL13288 pep chromosome:Setaria_italica_v2.0:III:3639334:3642206:1 gene:SETIT_025490mg transcript:KQL13288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWGDFRLWTREYPSTWDLMKLHYVDRKVLHITSAVGKRW >KQL14086 pep chromosome:Setaria_italica_v2.0:III:8690184:8691591:-1 gene:SETIT_025016mg transcript:KQL14086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDTPGSIHALCDDALLEILVRLPSKSVLRCRAVCKNWRRITTARSFLAAHAARRPRHMLVITQSWTVSAVPLSLYPGPAPADDDDMGWRGYLCDPITRGKDGRVTRSCGLLASLDGLLLLRRSPGHFMVCNPTTRQWSKLPALVPKPCSIVYPCGFYFHSSSGEYRLLCHYSWEEYYYNDYYYIISTSGDLPRRLARAPAYNRPIGKGHEYPVACREILHWCSFRPEATSTGKILAFHTVTETFRLVARPPCNNMHRVPRCLIWVLQDYESERWMLRNQVQVVVQPQTSLDDGLVGRAISDGRDTIFIGHPYLSRPVRYIILSMNLEKST >KQL14260 pep chromosome:Setaria_italica_v2.0:III:9949187:9950627:1 gene:SETIT_023062mg transcript:KQL14260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVARFVLSDSSPVSASLGIWSVLVSCGAWVNMLGVSLKAAVSVGGEVWIFASVSLLAVVKGAPKNPRAMASTALSSASFPRLTVSTASSSSLPKAVAFPARGRGGRFAVACTSTASPKVLELGDAIAGLTLEEARGLVDHLQERLGVTAAAFAPAAVVPAPGAGAAGGEEAAAPVEKTEFDVVIEEVPSSARIATIKVVRALTNLALKEAKDLIEGLPKKLKEAVSKDEAEDAKKQLEEVGAKVSIA >KQL14384 pep chromosome:Setaria_italica_v2.0:III:10892076:10893957:-1 gene:SETIT_023851mg transcript:KQL14384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADCIGKIRGKVFVELPPWGRLRRGNKYDDAVGGRGLHRGHGVGGARRLGVHLPGGSQRARPRHAQRRDWSICRRLS >KQL14383 pep chromosome:Setaria_italica_v2.0:III:10893316:10893637:-1 gene:SETIT_023851mg transcript:KQL14383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADCIGKIRGKVFVELPPWGRLRRGNKYDDAVGGRGLHRGHGVGGARRLGVHLPGGSQRARPRHAQRRDWSICRRLS >KQL12935 pep chromosome:Setaria_italica_v2.0:III:1710494:1710974:1 gene:SETIT_024627mg transcript:KQL12935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDHFVQKDTYGNLNREDVTIGSSYYIMLGRRIDCIVNNLKSVRLETRCDNYSMMLFACFLLAIAKKLQIVKIQSLEMCCSRAWFAAQETLLSECRCVSSEAEVVMESIKHTKCKGFSVEAVDALADPFDSDIKIDVY >KQL12952 pep chromosome:Setaria_italica_v2.0:III:1830221:1833367:-1 gene:SETIT_022821mg transcript:KQL12952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASADAGPAAAAAAARTLRWAGRAGHLGGFPRAAVFAAVGAFAKAYASLLNTTTVHNADALLPLVSARPPGTPLLTVSNHMSTVDDPLMWGFKGFPTSDAKLGRWVLTAEDICFRNVVMSYVFRLGKCVPITRGGGIYQEHMNEALEVLINGGWLHSFPEGKIAQDDQPIRRLKWGTASLIVRAPITPIVLPIVHSGFEKVMPETLFFGRRPPVPLCGKKIDIIVGEPIEFDMASLKQAASMVPHDSSSERKGWPTITPDGLDEAAQRWLYQKMSDKIQSAMEGLRKRLLNQSQH >KQL16775 pep chromosome:Setaria_italica_v2.0:III:44997848:45000553:-1 gene:SETIT_024226mg transcript:KQL16775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGLILIVLQKITATLGGAAVTALVSKVGEVASIILEAESIMKEIESEFEIMQAFVSQVDQYTGSHQILESWLKHIRKVACDVEDIIDEYAFLLGKMANPESFLKKAFHHSKNIKTWNNIASQLKHVKARLQNLTVMKERYGIMISGNGVGSSSHNITRQLYLSDASYLNYDDNDVIIGHEIEAQMLAQCVNDNAADRTVISICGMGGSGKTTLVSSLYGKQEIRNMFDCYAWITVSMNYLIEDLLSKVMRQLDDSYEHCTADYNDLVKRIQSYLGNKRYLIILDDMWNRDCWPCFDLVFVKNKCRSRVIITTRIEGVASLALDDHTIKIGSLSDEESWTLFSKKAFSKLSTSTCPEGLVKWAKKILVKCQGLPLAIVAIGSLLSYRDMEEQEWRLFYNQLNWQLTNNPELYWVSRVLKLSLSDLSSHLRNCFLYCALFPEDYQIRRRWIIRLWVAEGFVEDRGTETTPEEVAEDYLKELTRRSLIQVTERNEFGRPKRFQVHDLVREMALTISRKEMFAHICNHPDITDIGDVANRVSVHSGGQVYQPGPSSQHLRSFLLFDKQVSILWINAASSSFRLLRVLCLRYSLLKDIPDAITSLFNLHYLDLSRTKVNKVQKSVARLKKLQTLHLRFAHVRELPPEITMLTSLRHLSVSNDLYGTSISGNICRLKHLQTLREVKANKDLAQNLGYLTQLRSLGITGVLQSYNEDLWASIMKMTVLTKLAVGTSGENEALNLQKLRPPRNLEKLYLNGMLADGAFPPICDGFQKLRVLTLRWSGLVKDPIELLSQMVNLVYLNLYCAYDGESLEFCSGWFPKLKQLHLGNLKNLSSIQISNGAIANLAYLELRELQNLKVVPEGLKYLRSLQHLYARNMPGDFVEMLEGDWKEFVQHITNTECV >KQL14629 pep chromosome:Setaria_italica_v2.0:III:12478078:12478692:-1 gene:SETIT_025133mg transcript:KQL14629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKGCQESSSSEKRCRKDKSSDLNSISEKLINMLSTSAINIKDEEKSAIDPLSVKSEPKKLKKPENKKRALLSEIEAKRRRPLGLSNWQMKKLQKFSAQELRKKSMAWVPKRSIQTQSKDDVQSKGVAQFKEKRKFERRSPKLRFARNHQSYWSLPHPFTLQVPYVPIPWNSSLDMFGYPSHSYFDIWSYGSLYHKGLSPNCYAR >KQL17190 pep chromosome:Setaria_italica_v2.0:III:48801728:48804202:1 gene:SETIT_022434mg transcript:KQL17190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDLPHPSVTRRKVLPFKFLIPFVLVLSVSVIAVTQYFQSISYLLRPLWDTPPTPFTRIPHYYAPNISMPQLCQLHGWGILPSPRRVFDAVLFSNELDILEIRYRELLPYVDRFVILESNATFTGIPKSLSFYENLNRFAFAGSKLVYDMLSVGELDTGHREQPFHVEAYHRRSLNNLIRRSGIAAGDVLIMADADEIPSPETVQLLKWCDGIPPVMHLEMKNYMYSFEFPVDDNSWRASAHVFTERTLYRHSRQSNLILADAGWHCSFCFRDIKEFVFKMKAYSHADRVKQKSFLNPDRIQKIICNGEDLFDMLPEEYTFRDLFKKMGPIPKSASAVHLPSYLIKNADKFKFLLPGGCLRSK >KQL16997 pep chromosome:Setaria_italica_v2.0:III:47455485:47469149:-1 gene:SETIT_020941mg transcript:KQL16997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDIATPRRTPARGASASEAGNDENAPGDAASRGAAAVVVGGAASAPDAASRPPLLAIQPPASGLKRKPESPAPTPSKLPFRTPEKAAARSRFGWVPPRGEEPPPRAGAGATPYSAMTTPRAHRGKGAAAVPAASEGGSTQSTPTKSVTKPAYSIGMSGSRPPMSGGGPRGAGFGMGFSTAGRGAPLSLGPATLVNSAEVPHFELREDPSFWMDNNVQVVIRVRPLNNNEKNLHSYNRCLKQESAQSITWIGQPETRFTFDHVACETVDQEVLFRIAGLPMVENCMAGYNSCVFAYGQTGSGKTYTMLGEISDLEVRPSPDRGMTPRIFEFLFARIRAEEESRRDEKLKYSCKCSFLEIYNEQITDLLDPSSTNLQLREDIRKEVYVENLTEFEVGCVSDIIKLLMQGSANRKVAATNMNRESSRSHSVFTCIIESRWEKDSASNLRFARLNLVDLAGSERQRTSGAEGERLKEAANINKSLSTLGLVIMNLVDLAHGKQRHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSVCSANETLSTLKFAQRARLIQNNAVVNEDASGDVLALQHQIRLLKEELAVLKRQHVTRSLSFSADIFGGDVNDDSVDDKNDDDTNNRSSFKHLQIPNKQLRSLEEALAGALRRESTAENTIRELEIEIEQEDDTRSAKMMLKFRDEKIHRMDALVNKKMPAESYLLEENKTLSQEIDLLRARFDKNPEVTRFALENIRLSSQLKRSQQFFDEGERELLLNEISELRNQVSQILEVRIETEQQNIFPAKSKDSQQHCIDLEGDSESLRMELKRTSQELEACRGDLQVCLESNRKLTREIADLEKELSALKISKEEQPIVYENIPSSLHRYDSDAPAKMEDCSDESFKRMEELLNLQLELDVLKTILVEERTSRAEVEEKSACLGDELQSANTRIIQACKRNEALERKLNDSGSVIEALESQQIILINELDQLKNNNQQSIELLEKRDMEILRLNNELDILRRQEHLTKEEPTAQFLKCYDNEDSPLQTKLKRMQASLEKARNLNTRQVEVETAEVIVCLQEELISVQQQLDASNKKDLLAKQSIDALQLEIKQLNDKLIEVLKKNESLSSVIEDKEKEIELLTNDWNRLAADMGSYLVDGNSALDEAADQVAFISKSFSQRKWVEEQVQKMCFGISERDELLEELQNRLKEADIIKCDLDLKLMSLRGAMQAINEVHQQEKCDQEKEMYLLRSQLSEQGHVNSQQLERIHRIELLLDESIETFVQKEVLEQNYVSLHREMEEEIHQLESQLDQSKSYLAHLLSQTQDKDQAIEKLKNEEFTILSRLMSETVKANGIIRELGVGFNTMQSSLSISPEETACQNSDLKLEDRVDLRELEAFQPVEQQNVEVLCQLSKEMEFTVLGMKMMQSQMAKYLQAKENLKESQRTIEDLRNEVLKLNAEIIEKERCYEARLKELEVKIQGNDASLISWNKEKEALELEISEAKLLVAQKSFESATLIAKFEEAQATISDADSTVKALVEANEKAKHQAESYQEKEALFIAEKDGMLSEISTLKTLLDTKEQSYKLMESKFQSGLLEANELALELEDGIRLLQNLLLEKLEFVSSDVAWMKQKLQQFAELARTWLEENWFEIIGKDCAISVLHLCHMGILLERITGLNAENGFLQRGLCESNSLISKLREHNDKAKNELEMCSVLKGKLLLDINNSFSRITKKEQEATKLNTRLDSFEKKILHLQAQEEAMVSRSNSMYSELSILIEEIDATNRSALAAESKEKEDLRHQLDEALLLNGMLKDKMLIELNLIQMNSSMPFVDLKGCSEFELCHWLADYRSDLVMANMIAKDIESTVLASELKQNKRHLQEQRVVFTDILEGLMAEATLWKVDHDLENIAICILHEENKGSRADLENLKQISDEAMENLHAMNEENTKLNYLISSLESSITSFQTNLDAKSKALEELELSHGTMCRELELKNEAIHLSTTRENYFSSENEMLKQEILNILCKEQCMVESVANIEADQLFSELIRKDELAKGLSFDLSLLQESSSVAKNQAAELMELRKAIKSLEQALASKSLELDDGASERQQLEARILMSNEKVATLEEELAKKFDELNVISMENTELKSQLQHIEEIGYAMEELIELRRLIDERNICLQSLQNDFSKLSDEKQFSDTQLLILKEKLEMAQALAEESEAIATESRQIAEEHKAYAEGKDEEVKLLETSIEELENTVCALESKVDIVKEEAERQRMQREELEVELQKVRQQMLTVPASGKSKSCMEDGIVDLADSSRYPADIHNDLLCAQESIRALEKDVSEKESEIAQCKAHISELNIHAEAAAREYKQKLMELEAMAQQVKTDNSSAHACSMRQEKISSKPRGSGSPFKCIGIGFVQQMNSEKDEELSAAKQRIVELEGIAASRQREIFMLNARLATTESMTHDVIRDMLGVKMNMTTWAALVDNQKKMEPTESAISQAQEIKEQSNELMKLKKQLDEFIEERQSWLDEINQKQSELGAARINIEKLRQREHFMIAEIELLKAENSNYKTIILNLEDEVKKLTRQQNLQLRINHHVKTKEENILLKRQNEELCAKLQQLGAILTRTKEELARYRVSNGKDPYEQIEEEELLRKKLDESEQDRSKLAENLSNLCTSILKVAGVVNPEPDTSLLKALECLNQLQCRVPSLESEVEDLKLKCKLLREKARLSQLQSDSSSLSSGAKSGSTSPGLSRSPSISSFR >KQL14067 pep chromosome:Setaria_italica_v2.0:III:8515639:8516192:-1 gene:SETIT_023947mg transcript:KQL14067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRGKGPSGRRKSATRGRVQASEASERHGAARWIWTRCGWREKGRREGWWGSQGQNRLFV >KQL16334 pep chromosome:Setaria_italica_v2.0:III:37836566:37837132:1 gene:SETIT_023911mg transcript:KQL16334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDSGKSSWPEVVGLSGDAAKQKILADRPDVQVFLVPVGSMVTTDFDTKRVRVFVNTAGYVAEVPNIG >KQL16335 pep chromosome:Setaria_italica_v2.0:III:37836566:37837132:1 gene:SETIT_023911mg transcript:KQL16335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGDSGKSSWPEVVGLSGDAAKQKILADRPDVQVFLVPVGSMVTTDFDTKRVRVFVNTAGYVAEVPNIG >KQL17340 pep chromosome:Setaria_italica_v2.0:III:49850191:49850514:-1 gene:SETIT_024945mg transcript:KQL17340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMWGSRKQTTKVTGPARYVHADGGDSAGKVPKGYIPMVLVGGDSEDSEGGQRVLVNVSMLREPCIAALLEMAEQQFGHAQPGVLRIPCSVTHFDQMVNAMISKAAS >KQL16791 pep chromosome:Setaria_italica_v2.0:III:45098449:45101578:1 gene:SETIT_023915mg transcript:KQL16791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLADRKSAKFQKNITKRGSVPETTVKKGNDYPVGPIVLGFFIFVVIGSSLFQIIRTATSGGMA >KQL13720 pep chromosome:Setaria_italica_v2.0:III:6292963:6293898:1 gene:SETIT_023525mg transcript:KQL13720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVFVYPSLSVTWTAGTSASWEALGLHQEERMTPPSWWSSYVYGCLYCKALKSNKFLKLDVNRMEFSAVSLLPNHENRQVLVVEAGEGKIGIPGRIFGQEIPESWRYSISAFSTLEIKTSKIERVCSTCGGGCGHILPYFVLPPFMSPRRI >KQL13489 pep chromosome:Setaria_italica_v2.0:III:4749615:4753225:-1 gene:SETIT_021445mg transcript:KQL13489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSGPLPYSMRDVGAGGAYNNAKFRHRSRLKMLVQSLATNSSKYRCGKFTVGKFLSLLMVSGLLYLFLHKSPEGFVSGDLHGKEMHNNNVKRAPNIRTLWRKPPRLPPRLPPNEIYKNNSLLQQSPSEWTSRQKKVKEAFEHAWSGYRNYAMGYDELMPLSHRGTDGLGGLGATIVDSLDTAIIMGADDVVSEASKWIEENLMKRISEKGQVNLFETTIRVLGGLLSAYHLSGGDHAGSGDSRIPASYKKANPERLLEVSKDLADRLLLAFTSSPTAIPLSDVILRDRTAHAAPDGLSSTSEASTLQLEFSYLSKVSGDPKYDREAMKVLEHMRTLPKVEGLVPIYINPSSGQFSGENIRLGSRGDSYYEYLLKVWIQQEENRDTSLKYLFEMYTEAMRGVKHLLVRKTVPNELVFVGELPFGRNGDFSPKMDHLVCFLPGTLALGATKGITKKKALESNLLTDEDIENLQLAEDLAKTCVEMYFVTSTGLAPEIAYFHIEGNPEGGPDGGNKSNKYVNDIIIKPLDRHNLLRPETVESLFVLHRITEDPKYREWGWQIFQAFEKYTKVDSGGYSSLDDVTSLPPHRRDKMETFFLGETLKYLYLLFDESNTLPLDKYVFNTEAHPLPVMRSAEQASHSV >KQL16594 pep chromosome:Setaria_italica_v2.0:III:42784391:42786454:1 gene:SETIT_021624mg transcript:KQL16594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKMLPAAAYELFSSLLHTVTVIARPPPPTRSSPTVHRCAPPAARLAGEGTTLVVDVDGALLLPRHTLLFAYFMLVALEAGSFLRGLVLLLLYPVISCLGALAGRDAAVRAMAAVAFCGLREGTFRAGRAVLPRWLLEDVQAEALEVTRRAGDPARVVWASAMPRVMVEPFLREYLQVSPAAAVAAREMKTVWGFYTGLMEYDCDVREDMSVLRQKTAAGGDDDDVVGFSAGDSMEFLCSPLSSICKELYVVHTEEQSKWRRLARRDYPRPLVFHDGRLAFLPTPLGAVAMFTWLPLGVALSVVRLAVAMALPYRYATAILAATGQSWRLRGAPPPANIRRGGAASSGELYACNHRTLIDPVYVSIALDRRVRAVSYSLSRVSDALSPIGPTVHLVRDRSRDGAAMARLLGAGDSVVVCPEGTTCREPYLLRFSPLFAELGGDRGVVPVALAVESSMFHGTTASGWKGVDPFYYLANPRMCYTVEFLDRVDTAAVAEGKAASTDVANAVQRRIAAALGYECTMLTRKDKYLMLVGNDGVVAAPPRRTRADDMRVPVRAPTGCR >KQL14431 pep chromosome:Setaria_italica_v2.0:III:11218234:11218701:1 gene:SETIT_023953mg transcript:KQL14431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRTLIQQICLLTPVPAHTLCSLQQSLAKVQAGHHNNTDKRQHKWLMRTPQLVTPRTDK >KQL13678 pep chromosome:Setaria_italica_v2.0:III:6019217:6021053:1 gene:SETIT_021788mg transcript:KQL13678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQAMALPYLQELVISTLLVVSLCMYIKFWRLRNPLYPMDWPVVGMLPSIVANLHNFHDVLTVFLATNGCNFKARGPVASGMRFFVTAEPANVRHIFTSNHANYPKGEDFAEIFDIFSGTLFTIDGEAGRQQRAMFQNIFSNPRLLALMASCCRDKVVNELLPFLTRMGSTRTTFDMQDLITRLVFDLTATPIFGVDPGCLSISMPSIHVATAMDTFMEVGLFRHTMPACFWKVMRRLNIGPERKLAMAQTVMHAFIREMTEKPKARCADLLDDVLAMDIISANPSVGRDDVLQRNVLIGYMIAGRDTVGTTLPWVFYNLARNPRVVSCIRKELAPIASLKTTALASNSISSMVVFDPKETEHLVYLQAALLESLRLYPPGPIERKVVLADDVLPSGHQLCSGETILISIYAMGRMESLWGKDCHVYRPERWLSEDGAKLRYVPSNKFMAFNTGPRMCLGKDIAIAQMKTIVAAVVWNFDMEVLEGQSIEPKLSCILQLKNGLMMMVKQRE >KQL14421 pep chromosome:Setaria_italica_v2.0:III:11162155:11162561:1 gene:SETIT_025677mg transcript:KQL14421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIRTSIIIQFSTWTPLQFGYWKVRNQSSIRDRTVNGSKH >KQL14460 pep chromosome:Setaria_italica_v2.0:III:11359543:11359999:1 gene:SETIT_025697mg transcript:KQL14460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEHGIFTIKQNRSKENEYTEQLSKWLNYWHYEQE >KQL13968 pep chromosome:Setaria_italica_v2.0:III:8019316:8019817:-1 gene:SETIT_025639mg transcript:KQL13968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISRQQILANIASTRLPPQRSCLLLQLHTAKPSLFCAAGQGQYLQDKT >KQL16925 pep chromosome:Setaria_italica_v2.0:III:46735940:46738335:1 gene:SETIT_021742mg transcript:KQL16925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSSSRKRRSQRLCCIYRRYRGKVLSNTPVVRASDVENFASSGEVVHLGTSAATRRRSDGSNVTFHLTQLQWHHSELDTENGNVVCQEEAWFDSVSILGSDSDEDFSSVNGDLPAMSNSVGTQLMQCEDASSIADAIHKFERIFDSSSVAQAVGQYLKRDANKIEAERPKVASPEACDVSGGKVDEAKTRNEGIKILTKLRRGEDACNTLKSFKDGEKQHESIFKNLTPVCTPRHANKVQPLAVASPRGQKKKSAVVRLSFKRQSFDGEQTTEICSSRRYLICPRAGLLVPQAGEKISEGCWSVLEPSTFKLRGESFFKDKKKSPAPGCSPYTPFGVDIFMSPRKIHHIAQHIELPSVKPNEKIPSLLIVNIQMPTYPAAMFLGDSDGEGINLVLYFKLNDNFEKETSPQFHDSIKRLVNDEIEKVKGFPLDSTVPFRERLKILAGLVNPDDMNLSSAERKLVQAYNEKPVLSRPQHSFYVGSNYLEIDLDVHRFSFISRKGLEAFRERLKHGVIDLGLTIQVG >KQL17314 pep chromosome:Setaria_italica_v2.0:III:49689751:49690953:-1 gene:SETIT_025291mg transcript:KQL17314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQRGEPDGPFTAKRQEKHLYLIFDDYPWGYSIREMNLPTPSSRRQTRRSSHRKAASAASGQGAARRLPRPVICFEPPRGYPMYIFAVGTRIVATYPRDPFFDDSGPDDFLPIVDVRWRGVTFGPGRICQDIPIYLPVGNAMFALDTCNFKKLSFEPLWPPRLENRPSRDVGWSWCDLPRPPFDRVDVTSYVVHGQSIVFSVESDAEPTLDPDGKTVRRGEWMLPFTGRAFFVHGLQAFVGLSKDTAATFGHLCCCKVGAATNPPAWKLGKEKLFSDDPAETHVGATLIYMGRSAFCLLQCVSIEHGNAADQKQQKGELEEEGGEVPRRCCYLYRVTTFSLSFDDNGDLRTGGTCQVQCYNVPEETTERFLGKDPVALWL >KQL14528 pep chromosome:Setaria_italica_v2.0:III:11797119:11797745:-1 gene:SETIT_025106mg transcript:KQL14528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFILAFLLLLLASAAAVEGRMVRSGVRLLIGPDACQRTCDQVRFKAICRGLAKLPGVSTPRQLLLASMRVAAQKAKEAKARVEAYGARSHEGGPMVSIISTCRKGYDDVAQSLEETRALIEAQGTQFVGFNSKVSDALTSASDCDTAFEDFPDIPSPFAAVQKNVFRVVDNVLNIAVVVQQAEAQLPNAHVPQAH >KQL15107 pep chromosome:Setaria_italica_v2.0:III:17050063:17055641:1 gene:SETIT_021362mg transcript:KQL15107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPGAEGAVAGFRGEHGLVRAASATEFSGFDDPQLPPLRLRASCGGGVAIASPCSSSSSDTFVSMSSTPSETLNSCGLWSPPRAPSEASSSEMEFGTAREYETTDPFFGDNWLRDNHLPHSKPESDGSEGEDKFIVGPDVSLRRSEMRELDDGFGRRHVHRDHIADSDGCAEVNVCLSPPCGCCYREKKNGEELVRDSCYAVYGRYQIMDDHTEVLDECVAEAFRFRLNAFVGAGDPLVDFKKGEGDGLDLSALEKELQMLSPYLADADALENVGLEHDFRGNEKLDVCVVTNEENADGEEFLKDSYSIHPFPENTDPLDVYGVEDFVTADTNVRNSTTHKFQEDPKVDPALSKFHQEYEVFGLKIFHRKNRTGFEENKEFPIVMDSVITGRYRVTEYLGSAAFSKVVRAHDLQTGVDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPDDDHHILRLYDYFYFQEHLFIVTELLRANLYEFQKYNQESGDELYFSLPRIQAIARQCLEALVFLHHLNIVHCDLKPENILLKSYSRCEIKVIDLGSSCFLSDNLNLYIQSRSYRSPEVILGLPYDQKIDIWSLGCILAELYTGEVLFPNESVPIILARMIGTIGPIDMEMLALGQETQKYFTDDHDLFHKNEETGQLEYLIPEKSSLKRHLQCPDKKFVDFLSYLLQINPKKRPTAIEALQHRWLSVVYS >KQL15255 pep chromosome:Setaria_italica_v2.0:III:18331769:18335278:1 gene:SETIT_024288mg transcript:KQL15255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRKYGKPDIFLTMTCNPNWEEITRELEFAQTPQDRPDLMVRNQLLKKHILGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKYTCPEQYDKIISTELPDKHKYPDLYKMVIKHMMHGPFRNYKLDNRWVVPYNPYLLRFFNCHINVEYRNARWVTPPEALWRIYGFELSKINPPVIQLQLHLPNMRMVSYHGKEKTENVINCDGTERSMLTAYFETNRLHEKARGILYRDFPEHYTWNKQGKFWKQRKRKATYQVGRIVVAHPGLQVGLNLICLVVTWKVIRVGLQYVSRNIQADLNRPASLTCTPSIFISLAHTPWSGGPTCQVRPVSGSVGTHRIPISLNYLQVLLNHVTGATICTKRGLIEADNTIDDCMIEAELFRMPSSLRRLFATILVFCEPSNIRTLWNNHLEAMSEDYSRNCKCKHMVQQMVLKNIRDMLQSMGKDIRSFPLPEIDEQQTRQTIGTTKLLQTTSLIIWDEASMTKRQAVEALSKSTRDIMDCPNLPFGGKIVVFGGDFRQILPVVRKGTRAQIVDTSLRRSDLWNCMHRLKLVHNMRAHKDPWFAEYLLRIGNGTEETNENGEICLSTNICVQHTPNDNGLDTLIHNIYQTDNTLLKDPKYITSRAILSTRNDCVDNRNLKMIEHFQGDEMVYHIFDSVEDDPHNYYPPEFLNKLTPNGLTPHMLKLKINCPIILLRNINPTNGLCNGTRLVVRGFQKNSINAEIILTGLHDEEKTKINTTKTYTKNVVYIEVLTK >KQL15765 pep chromosome:Setaria_italica_v2.0:III:23531659:23532267:1 gene:SETIT_023592mg transcript:KQL15765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQLGLSSSTTLPSKPRVLTKFPSGHHTSHQSSAPSLLFSSQPPSPIPLRARGAAEEVARRHGQDVLQQRVRGGRRVQPAGAARRRRHRAGVHAPLHAAQEAEALRHHLPLLLRGGFQGSMAEQRPKQSRAGQASTGS >KQL17444 pep chromosome:Setaria_italica_v2.0:III:50487077:50493282:1 gene:SETIT_021111mg transcript:KQL17444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGNLDAVLKEAVDLENIPLEEVFENLRCSREGLSTQQAQQRLEIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDVVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTSIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFERGITQDQVILMAARASRTENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMFRVSKGAPEQILHLAHNKSEIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESPGGPWHFVGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYQAMMTVIFFWAAYKTDFFPRIFHVESLEKTAQDDFQKLASAVYLQVSTVSQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYADWAFTSIKGIGWGWAGIVWLYNIIFYFPLDIIKFLIRYVLSGKAWDLVIEQRIAFTRKKDFGKEERELKWAHAQRTLHGLQAPDAKMFPEKAGYNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >KQL16837 pep chromosome:Setaria_italica_v2.0:III:45819248:45819599:1 gene:SETIT_025055mg transcript:KQL16837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EASIGGIIRDHNGDVVLSTWRVLHQCSSAEEVEALACRGAVRLAAEWVRQPTILECEVSNVRIECNRVAHALAQLAKRTVHCVVWRANAPNCVKELLLEDCRHSVP >KQL13285 pep chromosome:Setaria_italica_v2.0:III:3629586:3631659:-1 gene:SETIT_024199mg transcript:KQL13285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIRRHTPIACFYRGGPPVDDDPAAVIHRALDDALVPYYPLAGRLREVEGRRLVVDCTGEWVLFVEADADVRLAELEAATGLRPPFPCLDRLLCYVEGSSGVLNCTLLLIQVTWLLCGGFAFAFRVNHVTCDAAGVAQFVSAVAEIARGLPAPTVPAAWNFNIVFNGLPPNLAATTFDFEVLTAALWPSGAPARRRSISRRTRVVSVVNFRHVPELGLPAGYYGNARACPWAVVAVTALRGRCWQARWATRWRWCGRRRRRCSDRRVRAVHDRPAGLLVLRGRPYVAMANLFLVSDNRHAGFQRVELGRGEPVYGVPAALPFGVSFFVHIGNGGGVGAVGAMIVRPRPAIDRFAPR >KQL14533 pep chromosome:Setaria_italica_v2.0:III:11834637:11835902:-1 gene:SETIT_023261mg transcript:KQL14533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQDKASYQAGETKARTEEKTGQAMGATKDTAQHAKDRASGAAGHAAGKGHDAKEATKQKASDTGSYLGQKTDEAKHKAGETTEATKHKAGETTEATKQKAGETTEAAKQKAGETTEAAKQKTAEALEATKQKAGEAGQYAKDSAVAGKDKTGSVIQQATDQVKTAAAGAKDAVMNTLGMGEGNKQGGDTGNTNKDSSTITRDH >KQL17167 pep chromosome:Setaria_italica_v2.0:III:48689251:48690089:-1 gene:SETIT_023914mg transcript:KQL17167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWSASSGTQHNSVDNELNWYISTFCWRFRWSEKTQCIYAEKGLGKSQSPIKLLHCLPNGGKMNQSRD >KQL13421 pep chromosome:Setaria_italica_v2.0:III:4381945:4384001:1 gene:SETIT_025318mg transcript:KQL13421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGGTALQRHAAVAVLRAAAASGELSKGKALHARIIKAAHFDVVLRNNLISYYAKCGQVGLARKVFDAMPYRNAVSGNLLMSGYASAGRHKDSLALLRVVDFGLNEYILSAAVAASAHVRSYDIGRQCHGYAVKAGLAEQPYVRNTCAHMEDAVKVFENVSGFDAFTFNSMINGFLDWGQLDGSVRIVTDMMGEIERWDHVSYVAVLGHCASMKDMVLGGQVHAQALKRRLQLNVFVGSALVDMYGKCDCAHDAQCAFDVLPEKNFFSWTAVMTAYTQNELYEDALQLFLDMEMERIKPNEFTYAVGLNSCAGLAALRNGNALSSCALKTGHWAHLVVGNALMNMYSKSGSIEDACRVFTSMPLCDVISWNLIITGYAHHGLAREAMEVFHYMLSAEEVPSYVTFIGVLSACAQLGLVDEGFYYLNTMMKEVGVTPGNEHYTCMVGLLCRAGRLDEAERFIVDNGIGIDVVASRSLLSSCQIYRNYGLGHRVAEQILQLKPNDIGTYLRKQMRDRGVRKEPGVGWIQVGSDVHVFTSEDKAHPQIDQITVKLEELIDQIKAIGYVPNFAVVLHDIEDEQKEEHLMYHSEKLALAFALIHTPKGATIRIMKNLRICDDCHVAIELISTVTSRKIVVRDAIRFHCVEGGVCSCDDYW >KQL15339 pep chromosome:Setaria_italica_v2.0:III:19029708:19031221:-1 gene:SETIT_023116mg transcript:KQL15339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAEKRLKMAIKMDKTMIIVCSVIGSLGVLSAILGFSAEGTKLTPYTILVYGDECIYPQNPALGLGVCAAIFLLVAQVTFSAVGGCCGCCKSRSIPSETKRIVGIVCAVFSWIAAVIAWALLIEGASWNANVVRETAPFCPYLKDGIFAGAGVLALAATALGVTSSIMLQRQPVAAAAAVGTPNSKRTGEQSPPPAGVALGQPMFPQPSKPEPELPSVADRPTAAHPQGYREAPQN >KQL14365 pep chromosome:Setaria_italica_v2.0:III:10779049:10779514:-1 gene:SETIT_025649mg transcript:KQL14365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTCSALWPLRGQCSRQEGRERSISDGPLPSRRFSFSKSF >KQL13528 pep chromosome:Setaria_italica_v2.0:III:5029119:5029737:-1 gene:SETIT_024158mg transcript:KQL13528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLRSLIALLLAATAVADVASAAPAPGQPHKGLVVTGRVYCDNCRAGFETTASHNIAGATVQMECRHFETMQLHDKAEATTDAGGWYRMDIGDDHQEEICEVVLLKSPEADCAEIEQFRDRSRIALTRNNGMEQNAVRYANPIAFFRKEPLQNCGEILRAYALYNDTSENP >KQL16283 pep chromosome:Setaria_italica_v2.0:III:36269805:36272111:-1 gene:SETIT_024583mg transcript:KQL16283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAVETPEWLRNLPVAPEYHPTAAEFVDPIAYILKIEAEASRYGICKIVPPLAPPPREATVERLKASFAANAAAAAGVDGAAPAPTFPTRLQQVGFSTKNRRPASRRVWESGERYTLEAFRAKARDIELPRHAVPPKHATQLQLEALFWGACAARPFNVEYGNDMPGSGFAAPKEGGGGNAALAARDVGETEWNMRLAPRARGSLLRAMGRDVAGVTTPMLYVAMLYSWFAWHVEDHELHSLNYLHFGKPKTWYGVPRDAMLAFEDAVRVHGYADDLNAIRIGVTMKDSRIGRI >KQL16535 pep chromosome:Setaria_italica_v2.0:III:42155043:42159636:1 gene:SETIT_024769mg transcript:KQL16535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSAHDETGQFIYRRDFVRLNSPAAGSNRDRGLAVKGMSGPKVVVQCLDGTEVTVNARDVLVADRSCFCPGMVVASASDRGGQLGVVTGAAVELDLVRLDGEDAAAALVARGVSSAELRRVSEFCLGDYVVSGPWLGRVFEVSLDVDVLFDDGAVCRVTTADGKLWPVGVGSSKRYTNNVFYPGQRVGGRSSVFKAARWLKGYWKPSDGEGTVSKVETAGVLVYWVASSQLGAERSVVQASSAPAHQQSPRDLTFFRAGTDLAGFWVVGDRCFFRAPCRRRVLPAGDVGANPNQLRRLGAKRTRRQESRSRAGFERPLSVASTRTTVDVLWQDGTRQCQAPSVSLVPTKPQKSHEFFPGQRVVSRTSSHGDGDVARSGVVRSLNYTDQTVRMLWQKATAEHADDETLSSTYDLGRDFDSNVFYGDVVVRRRPTDSSIGVAGDICGSTEEPVLTRCRKEEPTRAHDLSWVGHIVDFCDAHHVQVKWGDGNTSKVSFHEITVVKEQSFSEFLQEIGEWVSEDGGMSNDAIDHKAQDNNNDGEGDDDSDSDDGQATMRMMDQVGLVVQAVIRLAGEVLAQGRRYLVNGWTVTGESTSELTARGNNNVSAPVSGGDGDAKETSIAVAGINGGGGGEGKEAEADATGDDKPFSFPQFEIVQSPSDHHYLGNMEQGTGGGRKWTKRVQKEWNILENNLPDTIFMRAYEDRMDLLRAVMVGASGTPYHDGLFFFDLQLPPSYPAAPPLVYYRSFGLHVNPNLDPSGTVCLSLLNTFGGHGAELWSPEASTVLQVVVSIQGLVLNAQPYYNEAGYAVQVGTPQGRRNELPYNENTYLRTLQTMLHLLRRPPAGFEEFVRDHFHRRGQHVLRACEAYLDGCLVGTLDGEGSGARRPCSAGFRLALAKVVPRLVEAFTAIDADGCKEFDRLRVRTLCT >KQL16879 pep chromosome:Setaria_italica_v2.0:III:46286890:46287359:1 gene:SETIT_025451mg transcript:KQL16879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRFLCCLPPIVDFSQSMIKGVHVSDMFRSPFIVNPILS >KQL17274 pep chromosome:Setaria_italica_v2.0:III:49396008:49396583:1 gene:SETIT_0241942mg transcript:KQL17274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASPYSYAVIERLLREHFPFPRRLLQLHALLLTSGALLPDPERSAATITAFPYNCLAHAHLRVPSASSSSPPSAPLRLFSAMLARGARPNRHSFPSLLKSASASGSAAAAGALHAQCLRRGLAADRFVACSLVSAYGRTGRPARDARKVFDEMEGSPDLAACNALLDALCLAGDLEAAETFFRRMAARDA >KQL16937 pep chromosome:Setaria_italica_v2.0:III:46857524:46858771:1 gene:SETIT_024442mg transcript:KQL16937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPDKRRRRVTAAATIPDDLLISEVLVRLPAKSLGRCRCVCRSWRSSIAGATFVRRHLELSHAAPRSVLAIPRRINRFDDHATSSEISFHRLPLPPPGHAPGILHSELLLEKVWPEGITCLILPAHCDGLVAIATVTDRVFVCNPATTEFLALPVGSHNAELDHCDYLVPRVALGFDQWRNRYVVARYFYRIYGEPFVDEVTGEYHGAPDHDVGHEVFTLGGDSWELTQDPPHPVGVHQPTCTRRAFYWHADEPQPRLMRFSLQHRAFDVVRRPPTGWNPDVDDMAGLDDGKRLCYVHAEAEASFQVWMADDDEGPELQWSLRWRIDLRDPVPSLNYSLMPVIADGDTLVAVAGGTMWRYDVQNEGEEEVVVELHNVRYGRQDGSVYYYKGPPSFEHYIVPYVESLVSPRACNV >KQL16284 pep chromosome:Setaria_italica_v2.0:III:36277837:36289197:-1 gene:SETIT_022212mg transcript:KQL16284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDQTKIRVEALLTKIRYGEDSSEPRGAAAAPQPPQAMKRFFQPVPKDGSSAKKRPAVATDSCDGPAATGAGGEEGSPGEEPCKFLTWNANSLLLRMKSDWPAFSQFVARLDPDVICVQEVRMPAAGSKGAPKNPSELKDDTNSSRDEKQVVLRALSSSPFKDYRVWWSLSDSKYAGTAMFIKKKFEPKKVSFNLDKTSSKHETDGRVIIVEFESFLLLNTYAPNNGWKEEENSFQRRRKWDKRMLEFVQCVDKPLIWCGDLNVSHEEIDVSHPDFFSSAKQNGYTPPNKEDCGQPGFTLAERRRFGNILSQGKLVDAYRHLHKEKDMDSGFSWSGHPIGKYRGKRMRIDYFIVSEQLKGRVISCEMHGRGIELEGFYGSDHCPVSLELSKAATEVPEPPKPSS >KQL15901 pep chromosome:Setaria_italica_v2.0:III:25167763:25170341:1 gene:SETIT_024821mg transcript:KQL15901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEQELPSSSSSLGYLMQCRICHEEEDEVCTTMESPCGCSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTMPPKKTPVVETAVTISEHEDMQYVESSESLIDDADYGACSDQVATWCRTLTIMFTIMLLVWHLVAVVMVEAADHCAFSLLTMYLLRAAGILLPFYIVMRLIRMVQRGQQQYWLQMLEDQRRNASTMNHMHGQEQQQLVMSIH >KQL16875 pep chromosome:Setaria_italica_v2.0:III:46162663:46162932:-1 gene:SETIT_024847mg transcript:KQL16875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENRNLAPTWVTMAGFGFLTLNSGLAIYSARGDPASVLFVVGSYLALLLLFRCLRAYERAPPGSPEKGRARRAVWPLTTLLTAAFSSP >KQL17263 pep chromosome:Setaria_italica_v2.0:III:49337034:49341387:1 gene:SETIT_021249mg transcript:KQL17263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAGARAGGARGGDTVGGGGRIDDQPILQAKEGESGCCNLLQQQYALLLREKEECRRLLEDLMRENVLKTRECREAQESLRDLQMELMRKSMHVGSLASAVEGQVKEKSRLCHFVNELSEKFKVLKLEYQNLRQESLEYKNCVLDATQMSTTIQQYVNQYATLEREFKDLKEKFSEEAKERKDLYNKLIELKGNIRVFCRCRPLNAEEIAEGASTAIDFESAKDGELIVKGHVSSKKIFKFDSVFSPEEDQEKVFEKTAPFATSVLDGFNVCIFAYGQTGTGKTFTMEGIEGARGVNYRTLEELFRIIKEREGIFLYEVTVSVLEVYNEQIHDLLLTGSQPGATTKRLEVRQVAEGVHHVPGLVEARVTNMNEAWEVLQTGSKARVVGSTNANEHSSRSHCIHCVMVKGENLVNGECTKSKLWLIDLAGSERVAKTDAQGERLKEAQNINKSLSALGDVISALATKTSHIPFSRVRGIELGQARKQVDIGELSRYKLMVGKAKQDSKNKDAQIKSMEEIIQSLEAKNKAKDLLTMNLQEKIKELESQLLVERKIARQHVDNKIAQDHLQKQHSMKEESPYLRSPMAERNLNSTAEKPSAGRKDFGIAKQMFSDSNTDTYSFKQLMSLGEEKENNPEAGQLPPMAKARRVSLCNGGAYQQPMNQASRRQSLIPLPRRNSLMPPPTAKPLAAAAPPPLDKITEQLPSPPLCSPPVVSNDKGSRSKRINSILRRSLQKKVIIRPSVAAQAGRKASAIATTQGTDGARKAARRVPVSGGAGQRVQQKRDKERGWNNGTSLRNF >KQL14605 pep chromosome:Setaria_italica_v2.0:III:12286350:12292620:-1 gene:SETIT_021148mg transcript:KQL14605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSAAAAGAEATALLFRGPAPSSIAGRSRLAVSRRTRHRNLRTGAQPPQKSTPSANYRNRVNIQRDRAGASSDDEHQQNSDDENGLPNVQLEDLVGMIQNTEKNILLLNQARLQALERADKILKEKEALQRKMSILEIKLSETGAQSELSSEGKSDTEAQEFDVLKEENMLLKDDINFLKSKLIEITETEESLFKLEKERAILDASLRELECAFIAAQSDMLKLGPMQHDAWWEKVENLEELLESTANQVEHAALILDGYHDFQEKIDKLEASLGATKISEFCLYFVDLLKKRVKSVEERFQACNHEMHSQIELYEHSIVEFHDTLSKLIKESEKKSMEHYAEGMPSEFWSRISLLIDGWSLEKKISSNDANILREMAWTRDNRLREAYLSSRGMAERELIDSFLKMALPGTSSGLHIVHIAAEMAPVAKVGGLADVISGLGKALQKKGHLVEIILPKYDCMQHNQINNLKVLDVVVQSYFEGNTFANKIWTGTVEGLPVYFIEPQHPGKFFWRAQYYGEHDDFKRFSYFSRVALELLYQSGKKVDIIHCHDWQTAFVAPLYWDVYANLGFNSARICFTCHNFEYQGTAPAQDLAYCGLDVEHLDRPDRMRDNSHGRINVVKGAIVYSNIVTTVSPTYAQEVRSEGGRGLQDTLKIHSKKFVGILNGIDTDTWNPSTDRFLKVQYSANDLYGKSANKASLRKQLKMSSANASQPLVGCITRLVPQKGVHLIRHAIYKTAELGGQFVLLGSSPVPNIQREFEGIADQFQNNNNIRLLLKYDDALSHMIFAASDMFIVPSMFEPCGLTQMIAMRYGSVPVVRKTGGLNDSVFDFDDETIPMELRNGFTFLKADEQGFDSALERAFNYYHRKPEVWKQLVQKDMKIDFSWDTSASQYEDIYQRAAARARAAA >KQL16948 pep chromosome:Setaria_italica_v2.0:III:46953924:46955005:1 gene:SETIT_024345mg transcript:KQL16948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASCGRPRRPGCPRRPPARHGRVAPPGLGPGRLRRLLLLAATWCAAYYHPQLVEAKAPTRRASRGDPAARFEMGAMEMDGAAPVRPHHRQARNPASATSALELNQLGGRMAMGAVGDRREASSTGEALPPLAASRTGCAWYRSLPADLRICQQSPGTPWRRSTSCPCRLAWIESQTTAG >KQL15854 pep chromosome:Setaria_italica_v2.0:III:24643585:24644316:1 gene:SETIT_024080mg transcript:KQL15854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRAAAAGAAAAPVFPFPASAAGEPDHFSDYGFDPQLVGFFPQREAKRPSSSSRRHQPPPLESARFKLQKPISKKHHHHLQKQQQQPRRRHRWWSSAASAALLLFKRPSSSDSSSSAAAPAPPHYGYSASATVPLYLAGDDSGADDGPAACTCWAPAMRSGRLAAAELGAAADAVPYVSLRSASLGGGGAGAGRAGGGAPAMPIYLVT >KQL12902 pep chromosome:Setaria_italica_v2.0:III:1545968:1549799:-1 gene:SETIT_022015mg transcript:KQL12902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEGVLQAGDAKDWVYKGEGAANLILSYTGTSPAMLGKVLRVKKILKDKSQPAPSCMVFSSYEQLLWGHIPELVDSVKQDSLAQAYVMHVMSKHLGANHVDGGVRVCVSRDFLELVEKNVLNSRPAWRVNASSIDNTADAALLIPDHSLFSGNPRGNSCIAVEIKAKCGFLPSSEYISKENSIKKQVTRYKMHQHLKFHQGEISTPSEYNPLDLFSGSKERICIAIKSFFSTPQNNFRVFVNGSLVFGGMGGGADNVHPAETDKCLQDLSKVSGLELPDFIELLSEAIFRSGVLGKLLITQKLDDHDIEGAIHLYYSIISQPCLVCKNVTDAELLRKYTLLHSLPLDKSLKIVRDFLVSATAKDCSLMISFRPRESGTADSEYDSVFLDSVKQTYEYKANFIDLDVKPLDKMEHYFKLDQKIVNFYSRNEELVPSLKGSNTKDASQIQLQQ >KQL14964 pep chromosome:Setaria_italica_v2.0:III:15177371:15181350:1 gene:SETIT_021970mg transcript:KQL14964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYVLEQGQMQSQVFKRDIGGTTELKRLQTPINLFEDECIFCHSFRTDEEIHGPMVCYLKGRLVFIEEGNLSKVIYVHKKCLMWWGSVFCSNIVENLESEIRRASRLRCARCGLQGAALGCYYKHCRKSFHIPCAVQIIDCRWDVDKRNVLCPKHVSKTLPCDKLSTHTKENDHSSSLRQSQHSDEEGISKNHERDDQQTHKRNTSNFPSLPKSCHPDEEGISNVYKREEIKAYRADTSSCPSDQMVLLGLSLSASEKDSLQEFVRWSNGKLTKEWDKNVTHVIVGKGPGSSWNRSFEVLMAVLLGKWVIHFEWIMDCSSEMRPRPEASYEVTSSMDSLKTMDGPKKGRIRAATGAPNLFSGLHFCLSAYMNPDGRHRVRDLIAAAGGKVLEGGFLDPPLECFDGSSSVKPYFVFDGDVPGEFALGTLLKEVEEARKHAAAGARVISHQRVLDAVAAYDAEILNR >KQL13585 pep chromosome:Setaria_italica_v2.0:III:5364188:5369879:1 gene:SETIT_024795mg transcript:KQL13585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGTLPGGGAAASVPQRRRRGVFSSLAAAYAPDGARLACGRQLRPAPVLTSSSVTLSGPARRQFLRAAPPAASSGSAGEAKPQGFAERYPTLVTGFFFFLWYFLNVIFNILNKKIFDYFPYPYFVSVSHLFIGVLYCLIGWSFGFPKRAPVNSTLLKQLVPVAVCHAIGHVTSTVSFAAVAVSFAHTIKALEPFFNAAASQFVLGQPVPLPLWLSLVPVVVGVSVASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNLYAYISIIALFVCIPPAIIIEGPQLMQHGFKDAIAKVGLTKLISNFFVVGLFYHLYNQVATNTLERVAPLSHAIGNVLKRVFVIGFSIIVFGNRITTQTGIGTSIAIAGVALYSFMKAKIEEEKRQIKSA >KQL13271 pep chromosome:Setaria_italica_v2.0:III:3531129:3532577:-1 gene:SETIT_024783mg transcript:KQL13271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRGGKIKIQWIAEKAVRQAAFRKRHTTLFDKARDLSILCQVQAAVVVYGRGEAEPKVWPGVPEVTEILQRYPDLPGSLKEAHKLDNEIFARQRAKKMRRKVDSCKATARRLEVNLVLNDVSIGRRRDFDDLPRELTAAVVSVLNAFRSVTADRVNFLRSADAQEAAFSPPLEEAAALMALREPPMVPPVAMAAPVVANAPFMQPTPPQSALVVPLEEEEEPPMVPPLAMAPFVADAPLLLPAPELEPAPLQSELVVSPVPELEDPQMIADALLLLELELELEPELAPAQSELVEAPAPAPQEPPMVAEESLLPLLAPEPEPAPLQSEVVVAPAPEPQDPQMIADALLLPLAPEPEPAPLQSELVEAPPPAPQAPPTVADAPLLLPAPEPASELVVVPASPPQEPPMVADASLLLPAVEPESQATAAGMGADELLNLDAEPRNGSFLLEMADAIVDDGSGRQATAEDVDRLLREHGLESFKP >KQL16036 pep chromosome:Setaria_italica_v2.0:III:27290677:27291061:-1 gene:SETIT_025737mg transcript:KQL16036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETQGTEQILEKLDRLEKLVSLLIVRTQEKFRKGTKGGSDVK >KQL13396 pep chromosome:Setaria_italica_v2.0:III:4256222:4256526:-1 gene:SETIT_023912mg transcript:KQL13396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLNFYCPIDQLGIQGDGSVRERERIRMIAPHTYIFSHLSIVVFTVQNGINQPIYAALHPPDQISC >KQL17192 pep chromosome:Setaria_italica_v2.0:III:48820889:48826749:1 gene:SETIT_020973mg transcript:KQL17192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALVSAAFSVVGKALAPFTDDLLKDWAASAKLGKNVEDLELELLSVKALLEPALGREIDNSALKELLVRLQDLGYDAEDVLDELEYFRIQDELNDTSDAADKHAKGCAYNLALNAKAVGKHICLPACLSAATTKREVNGCKAKLTFRACNPIHAVGKRFPCSSLPSVRDDDDKDGNSTHSSPQRNHTQEPPKLRFNRVDASKRMQHIVKQLQLVHQRVSGIITALGSDWSTVPNIAQSRPITTSESTEPKLYGRDNIMNEIIRNITQDKHCGEVLTVIPIVGPGGIGKTTLAQHVYHSGEVQEHFDVKAWKCVSLNFDANKLIEDIEKDIPGIDGESKGTAGQLIQQRLKKKRFLLILDDIWDCSNEDEWEQLLVPFKKSQVQGNIIIVTTRFPAQAQLMVRKIDHSVYLQGLDYKEFKDLFLDFVFGDDQSRKDHTFLLATGDKIVRRLKGSPLAAKTVGRLLKNQLDLVHWTRVLESKEWEKSDGKNDIMPALKLSYDYLPSQLQRCFSYCALFPQDYKFGREELINFWIGLDVLHSSCGENKRIEDIGLSHLKQLVNHGFFEKGAKKDGSTCYIIHDLLHELARNVSSHECLSIDGSQSQVCTLQIRPSIRHLSINIDGTRVEDRLILKNSVEDFNTLDKRLKVEKLRSLMLFGEHHGCFVKAFGDLFKEAKALRLVFLSEASYEVEDLLHNFYYLVHLRYLRIQSSFPDETRFPNKLSRFYHMTVLDAKHYEDIIELPRDMSNLVKLRHFLVCEDETHASIVEVGKLKSLQELRRFVVRQGFELKQLGHLVELCGSLRIDNLENVQLKEEADEAKLMQKSRLQELKLCWNIVRSTTETTLEEHVLERLKPSQNLLKLSIIGHRGASCPSWLGINLSVTMLESLCLDGVEWQTFPPIGELWLVNVPHEEISGNFRNKRFENLRRLELVNLPKLKMWAVHAPCQLFPYLEVIVIRGCSNLVELSFPHSACCQQEKEALPFPKLSELKIGNCPQLLSFPPVPWTEAPCSIKIEGTGNSGLQKLVCTKSLNSRYCLTIEEKDIPGSTFWNVLDFDNLTRLTELDMLKCKPLPLRHLQMLPSLRTLKMSCSSNSFPFDEGDNHVQYQFPVESLLIHRWGASGKELTQLLTYFPKLSDLRMWYSEKITGLGVMGHQAMATPGPSSPGHKVGQQQDPRAEEEIVALAAEGLLLLPRQLQELLICDYLELSLHSNPLNDNKEDGRTGGGGGLQDLSSLRRLDISTCPKLLSSYHSSCFPLPTSLEYLELEGVELSMDDVAGVTAAPICSSLFSSLTTLVFFRDAKVEHFTEEQEALLFINSLEVIRFEFCSSLQYLPARLHSLPSLKRLSIWKCTAIQMLPKDGLPSSLQELVIDRCPEIQSLPKNCLPSSLQKLVIGGCPAIQSLPKVDDLPSSLRELHVMYDSSKELRRHCRKLIGIIPIVEIRD >KQL16609 pep chromosome:Setaria_italica_v2.0:III:42875333:42877809:-1 gene:SETIT_023348mg transcript:KQL16609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSKVVRPEDVLESLKNDGSIDALRMKIIAQLKANEDMKKNTMMMVEQSKVLNTPGAEKKTKRELFDALRQELETPVLEKASKAVWELILDNGGLGKEITETVEKVFCRLSGIDMMPPPPPSAAGAHQEKDDMAIDEGEKSKEMDSFEPSSSRKRPFSDVNRKGAGAVPNGSATDQHDESDDSDQKM >KQL16678 pep chromosome:Setaria_italica_v2.0:III:43744070:43748900:-1 gene:SETIT_021262mg transcript:KQL16678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPGNYFIMKNSDAFMNKNMRNHSAEAIQNGFSGEQNASTNCFPESSELSTSNSLSTLVRSVLLDKKPEEIPLIVESLLGKVIQEYVHRFANQNLMDEEKQNILNTKEEIGFVVNGSKAAQQLEPEEEVNFDLQHKQIRELRGTVSSIKSGMEQLKSQYSEEFTKLGKHLYTLSNAASGYHKVLEENRKLYNQIQDLKGNIRVYCRVRPFLPGQISSSSSVAGIEERTITISTAAKYAKDGSKSFTFNKVFGPAATQDEVFSDMQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPKVLTEESLGVNYRALNDLFSLQEQRNGTINYDISVQMIEIYNEQVRDLLQDSGNRRLEIKNTSQKGLAVPDASIVPVTCTADVVDLMNQGQKNRAVGSTAINDRSSRSHSCLTVHVQGRDLTSGAILRGCMHLVDLAGSERVDKSEVVGDRLKEAQYINKSLSALGDVIASLSQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVASVELGAAKANKESSEVRELKEQVSTYSLLLISIKIACLKAALAKKEGEPENILSTQSSPSIYRIRKRNATPVFPKDRQPMEEVGNLEVRNIFTPTQTRSKLQFSGILTENNSSNSVENFTDLQKEIGLGDWVDKMAIGDDHFENSNSILQLEPDTAQLPTSFYQRYSPVQQSCRAESVLSEGLHGFDSATSCSNQEMAMSTMGLKASGIANRGVSTIKKPEVTSMRNTNPASKSPLQQKKLQTPTRNRNQLSLSSIGGRRTPNSKVNIAK >KQL12854 pep chromosome:Setaria_italica_v2.0:III:1275816:1276280:-1 gene:SETIT_025342mg transcript:KQL12854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRASLLAAASGAAAATQQHAHLSGVAIVALAVAATVAVAAIAAFGCAQGAKKPPRHNNNNVYYYGQGYPPPPAGAYGYPAQQPAPGYGYAYPQQQSAGRPGRSGLGSGAAGLAVGAVGGLAAGAVIGSALNSGGGGCGGGGCGGGGCGGGCGG >KQL12792 pep chromosome:Setaria_italica_v2.0:III:895013:897150:-1 gene:SETIT_022922mg transcript:KQL12792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAYASRHRGSGGGGMSTTTVLAAKVAFASAALAAAASLARLAVPQLVSVAGAVLPRAWAVARFWLVPPYLFVTVHLIILVIWKLSDHKHFQQAQANQHKDPWPVAQHTPHPPAASVPAAEVAAPAVKAKEEFDADAGYAGHLEHEFSPDSGGGESCVTTESDEDASSSPSYVTDSWRSMAPAQERAVLERELSLPSQSVDCDGDGDDDMDAMWKAIMQKTRPAAAAAPAPASPPPAQRSPQRPPPRARDPSLGAEEMNRRFDDFIKKNRNSFGRQ >KQL16627 pep chromosome:Setaria_italica_v2.0:III:43156278:43156719:-1 gene:SETIT_023784mg transcript:KQL16627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKVDKVMRFDPIHYDHQLRHSSGLYGKKVSSIAVSFLVHVMCTENSLSLSSKVQNASTPPKGRYLSAKETKIQLINGMFRNISYISQENAFEG >KQL15627 pep chromosome:Setaria_italica_v2.0:III:21978313:21980556:1 gene:SETIT_0214521mg transcript:KQL15627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGGGGAGYAIAVEVPARGREGGLEIRAEGSGEGIPLAPLHMPGRGALAAELSYGGARAPFHVSFLLADAAGAEKRTHRGTSFRVPVGVGRGRPAPLGLSLSGDGAANFAVYSKSAKGVVLCLFDGRGAGGGDEPALEIELDPYVLRTGDVWHVLLESVEGYASYGFRTGLFALSMKDMVKTMHRHGIEVLLEVVFTHTAEGGAECQMISIHGIDGSSYYIADGIVGCKASVLNCNHPVTQRLILDSLRHWVLDFHVDGFCFINAPFLVRGPGGEGLSRPPLLEAIAFDPVLSKTKIIADPWSPLDISNVQFPFPHWKRWAEMNTRFSMDVRKFLKGEALISDLATRLCGSGDLFSSRGPAFSFNYVSRNSGLTLVDLVSFSSDELGSEFSWNCGEEGPSESNAVLQTRLRQIRNFLFILFVSLGIPVLNMGDECGHSTAGSTSYEDRGPLNWKALKTIFVKEVTGFISFLSALRSRRADIFQRREFLKLENIHWYGSNLSEPQWEDPTSNFLCMHINPELGENTPDSVRGDLYICFNANEESVSATLPALAEGSMWLRLVDTSLAFPGFFSSESNPKVHQVPGFSSYQVKAHSCVLFELKRVLS >KQL15653 pep chromosome:Setaria_italica_v2.0:III:22236251:22237214:-1 gene:SETIT_023080mg transcript:KQL15653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLDSPPQIPAAGAWGSLYAVQEPVKPRHVTVAHAAVVAKKPAYCGIRKKNLEMCTEALGCETGAVDAAPVGNAADKGYAEAEAAECAERKRRAREEEAEEMEERRRRPLPPPLTTLAHGASRVRMVHERRDGRLAVYAVRTPGVEAERSGGRLRMRLLPLPLLPCGAGNAAAAAACHGQESPEAEAKKEEEVKEAAEEEYGVAKYVRGGRCVEPEDAAAAARRGSKQWEPEQAAAFWVATS >KQL14582 pep chromosome:Setaria_italica_v2.0:III:12152521:12156497:-1 gene:SETIT_021933mg transcript:KQL14582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSLLSLLPAASISPRVRRRQGKHHKAPTASSHLLCFRRAAAPSPLHVHGSSSSSADGGRGDELHLLEKPSPSVAEDAEEEEPEPVPVLSTEEALAPFLKFFQVKSTDPDAEADAAAGERAESDAAGEAARSVGLSAGGRVVRYYDPKPGDFVAGVVVRSDGRTLDVDIGAGGEPALMLNKEAVPMPGEEFGYLACDVGSERAAEFATEGRVGVMVRQIGGGEEDGVLTSGRNVKEKGAAIKQLNVPIKVKIYEWNAGGLLSRIEGLRAFLPKPEMMTRPRNFTDLKNKVGQEIHVCITRIDEGANELIISEKEAWAVTYLREGTLLQGTVRKLFPYGAQIRIGETNRGGLLHMSNITHGQLRSVADVLRVGETVKALVIKSTTPDRIALSTKDLESEPGLFITDKEKVFSEAEEMGQRYREQIAEPPRSGKAQDPCNDAVPFDNEAQSYANWKWLRFSKPDEAVNRKSRSEF >KQL13985 pep chromosome:Setaria_italica_v2.0:III:8085330:8086101:-1 gene:SETIT_025430mg transcript:KQL13985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAIWTTNAFHIAAITARLQPYDFCHGRPPLPKEHMGVKSQYTIRVQCQTEVDICVCGSFRRLVCEEQHLCI >KQL13943 pep chromosome:Setaria_italica_v2.0:III:7884218:7887277:1 gene:SETIT_021513mg transcript:KQL13943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPSPAPAASSRPTATPADPAATPPAAAAPPPASPPPRAAPPSSRSQAPPPPRPTASPPPAPARPVAPAPRPPPLAPPPTSPPPSAPPAPSLSPPRTHPPESPAPASPPAGASPPVPVPSAPTRHKPLPTPATAADPAHTSKNPNPSKPSSSGPPPGSPSAPLGGRGVIVAIAAVLAVLVLSLIVTAVWFANKRKRKRKDGYRADFMSPTSPFSSNQPSSGSANVGSSIDPSVHTSYSAGSPRLKQCLSDISMGNSRFFSYEELYQITDGFSAQKLLGEGGFGSVYKGRLPDGTYVAIKRLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNDQRLLVYDFVPNNTLHYHLHGMPVLKWSTRIKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAKVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLSRALDTGDLEGLVDPRLEKQLDVPEMFRMIEAAAACIRHSASRRPRMSQVVRVLESLADIDLTNGVQPGQSQLFNVANTAEIRMFQRMVAGAQDDSSDLSLYGWSRGTDAAPDSRIL >KQL13944 pep chromosome:Setaria_italica_v2.0:III:7884218:7887277:1 gene:SETIT_021513mg transcript:KQL13944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPSPAPAASSRPTATPADPAATPPAAAAPPPASPPPRAAPPSSRSQAPPPPRPTASPPPAPARPVAPAPRPPPLAPPPTSPPPSAPPAPSLSPPRTHPPESPAPASPPAGASPPVPVPSAPTRHKPLPTPATAADPAHTSKNPNPSKPSSSGPPPGSPSAPLGGRGVIVAIAAVLAVLVLSLIVTAVWFANKRKRKRKDGYRADFMSPTSPFSSNQPSSGSANVGSSIDPSVHTSYSAGSPRLKQCLSDISMGNSRFFSYEELYQITDGFSAQKLLGEGGFGSVYKGRLPDGTYVAIKRLKDGGGQGEREFQAEVEIISRVHHRHLVSLVGYCISNDQRLLVYDFVPNNTLHYHLHGQGMPVLKWSTRIKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAKVADFGLARLALDAVTHVTTRVMGTFGYMAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASRPLGDESLVEWARPLLSRALDTGDLEGLVDPRLEKQLDVPEMFRMIEAAAACIRHSASRRPRMSQVVRVLESLADIDLTNGVQPGQSQLFNVANTAEIRMFQRMVAGAQDDSSDLSLYGWSRGTDAAPDSRIL >KQL15412 pep chromosome:Setaria_italica_v2.0:III:19831473:19835402:1 gene:SETIT_022443mg transcript:KQL15412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAQLVGRWVESYTGMSADNIKGLLLALSSSLFIGASFIVKKKGLKKAGAAGVRAGVGGYSYLLEPLWWAGMITMIFGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIMLREKLHIFGILGCILCVVGSTTIVLHAPPERQIESVAEVWDLATEPAFLLYAGIVLAAAFVLIFRFAPQYGQTYIMVYIGICSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQTWVFSFVVVSCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGLPPNLPIRLPKHEDEDGYAAEGIPLRSAADGIPLRSPRATDSFRSS >KQL15411 pep chromosome:Setaria_italica_v2.0:III:19831473:19833885:1 gene:SETIT_022443mg transcript:KQL15411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAQLVGRWVESYTGMSADNIKGLLLALSSSLFIGASFIVKKKGLKKAGAAGVRAGVGGYSYLLEPLWWAGMITMIFGEIANFAAYAFAPAILVTPLGALSIIISAVLAHIMLREKLHIFGILGCILCVVGSTTIVLHAPPERQIESVAEVWDLATEPAFLLYAGIVLAAAFVLIFRFAPQYGQTYIMVYIGICSLVGSLSVMSVKALGIALKLTFSGMNQLVYPQTWVFSFVVVSCIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKVTDSDLNIFFT >KQL12645 pep chromosome:Setaria_italica_v2.0:III:176491:180215:-1 gene:SETIT_024632mg transcript:KQL12645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELAPGADPEALEVARDCLESIFSVNSSAAGEEIQPGLLLELFSSLEANERDKPRPGLVSQSVSNKPSQSASTSNIEEDSNKCTTSNSGSQVEDTFDLDHSGDELFAKVYAALDEINFFKTSSAGAEDPGQLSKATQYFNEAVLSMQKSGRKKASLVDLAESFKSRGNEFMRSNQHLKAVEQYTCAMALSRKNAIYYCNRAAAYTLLNMNKEAIEDCLRSIEIDPNYSKAYSRLGSAYFALGNYQDALYKGYLKAAELDPSNENVWQNIEVTKKKLAEQQVPPEEQNTHAHQAQGSHPMFTSNGIPFNLFPPGSSPSPEFFANFINRGSDLGQPPSGHSISINLNDIFGQANVNASGQGSSQTGNSNPPPASVPTGAAVPPFAFSGSGNEGNQAHEASSGQEREHGEPGIHINLTGPEQAAEALRTVMQMFGPQMGPHEGTPRGPG >KQL13119 pep chromosome:Setaria_italica_v2.0:III:2703973:2706291:1 gene:SETIT_023310mg transcript:KQL13119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPIRISLIVSFFGILAFVLGVIAENKKPAAGTPIQGKDVVICKFPSDPTIAMGSLSLVALVVAAIVGHVAIFFPYSGKSVPRGALFQSTSLTVFFVVAELVSALAIAMLLWATVTEGLHRSNTIHHDMTYQCPTAKTGLFGGAAFLALDAALFWLVCQMLALNARADYLDEDDNKGEYGQVYAAEVDGSKV >KQL14213 pep chromosome:Setaria_italica_v2.0:III:9604801:9606407:1 gene:SETIT_025157mg transcript:KQL14213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SECGDIIDCVDAYKQPSLKNRMLRDMKLQLEPTMKPPKILHKLMETGRNYSFPKQTWRRSGSCPQGTIPIRRIPTGPGNEIANRTDPPFFSYGRPSPAVTNEKFQANGKLEAYPSVLGDDNPRLYIYSTNDGGGKSNCLNQECGFIQTSNQFALGGTFQKDSTVGGDLYFVHVALYRVTGPAVWWLSVNNVPIGYFDPGMFPVPFIESFHNEMGGRVLDTRPGGRHTMTRMGSGMYASAGLDNSASIAFYMAINNNGGDQVDNPINAIVTNPKCYDVKVFGRDLNRPGFDVAYGGPGGYCCDE >KQL14327 pep chromosome:Setaria_italica_v2.0:III:10588713:10589167:1 gene:SETIT_025766mg transcript:KQL14327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIILATRVGAAPAAGGLPAGSCSGNRSTGTCNTSGWRRIGA >KQL13882 pep chromosome:Setaria_italica_v2.0:III:7417745:7418113:-1 gene:SETIT_024222mg transcript:KQL13882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNARVTGAYLRCCLIVILAAVSSSSCSSYIVRHLKDENMHECRHNIAKNLGSPWDVKHGGPCCEKVREVNVADICQQFMEVDKASIALWMWAVVTRKCGNGLATGSDCAGYTVPPSRRQM >KQL13733 pep chromosome:Setaria_italica_v2.0:III:6352951:6357505:1 gene:SETIT_022496mg transcript:KQL13733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFVESSMYAFMGRGADCLPACLGADASKFQSTLSSSSQSPAPLLSSPKTLPFPSPAGSRGPMDGGGGFMGPPPVPRSPEDVFRDYRARRAGLIRALTTGKPTSPLPSPHLPPLRLRLGLEFSLVSRWPSADVEKFYVMCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMNEKDWLSLVAVHSDSWLLSVAYYFGARFGFDKESRKRLFTMINNLPTVYEVVTGTAKKEPKEKTPKNSNKSSKSGSKPSRQAEPNSRVPKMPPPKDEEESEGEEGEPQEDHETALCGACGQSYDDFWICCDLCEKWFHGKCVKITPAKAEHIKQYKCPSCTGSKRAKA >KQL13734 pep chromosome:Setaria_italica_v2.0:III:6352951:6357505:1 gene:SETIT_022496mg transcript:KQL13734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFVESSMYAFMGRGADCLPACLGADASKFQSTLSSSSQSPAPLLSSPKTLPFPSPAGSRGPMDGGGGFMGPPPVPRSPEDVFRDYRARRAGLIRALTTDVEKFYVMCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMNEKDWLSLVAVHSDSWLLSVAYYFGARFGFDKESRKRLFTMINNLPTVYEVVTGTAKKEPKEKTPKNSNKSSKSGSKPSRQAEPNSRVPKMPPPKDEEESEGEEGEPQEDHETALCGACGQSYDDFWICCDLCEKWFHGKCVKITPAKAEHIKQYKCPSCTGSKRAKA >KQL12881 pep chromosome:Setaria_italica_v2.0:III:1446361:1446975:-1 gene:SETIT_023791mg transcript:KQL12881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARRWSRAAVVACLVLLAAACAAEARAVPGAEGAGGKAAAAPDGGRRSAFDVVVVGLVSIGLGRRWRAGGDELVDEDKRRVPTGPNPLHNR >KQL16880 pep chromosome:Setaria_italica_v2.0:III:46319469:46320174:1 gene:SETIT_025773mg transcript:KQL16880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERYGPKSQLERISIFFNLLTRCNLIILVNAGGRQRLYPYQKIKDDTSMN >KQL12711 pep chromosome:Setaria_italica_v2.0:III:520757:520953:1 gene:SETIT_025800mg transcript:KQL12711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICASVALSEENCLFGKIWEDRQEEHNFLCNLQAPGPILVVQT >KQL15163 pep chromosome:Setaria_italica_v2.0:III:17548381:17552044:1 gene:SETIT_022270mg transcript:KQL15163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLVNPESIAFMIRNGSGIISVGMKEEDLTRLMIPMMSPITEIEDISTAASTVTVDARVGISTGVSAADRAKTILTLASPDSKPSDLRRPGHIFPLKYRNGGVLKRVGHTEASVDLVVLAGLRPVSVLSTVMDPKDGSMAGITVLQQMAMEHDIPIISIADLIRYRRKREKLVELIAVSRLPTKWGLFRAYCYQSKLDGTEHIAVVKGDIGDGEDVLVRVHSECLTGDILGSARCDCGDQLELAMQLIEKAGRGVLVYLRGHEGRGIGLGQKLRAYNLQDEGHDTVEANVELGLAVDAREYGIGAQILRDIGVRTMRLMTNNPAKFIGLKGYGLAVVGRVPVLSPITKENQKYLETKRTKMGHVYGSDLPGRLSEFANPQDTAADEDDTQN >KQL15174 pep chromosome:Setaria_italica_v2.0:III:17617638:17618626:-1 gene:SETIT_023180mg transcript:KQL15174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKKHKHKHKHKEKDNDKEKQSEQAAHFKPCADVKGIRFGGQFIVKSFTVRRASPLELLRLLDIPPSYLSECQSLPFPSTTTYMPTSFTILAHQAWHTLTLGLGTKKSKVVLFVFESESMKAAVDQLWPAMIPLGDVNKKLIRGLSGSEMARFKFRKGCLTIYVYAVRRLGAAGFMRADDLRRILQSVVDLKDFLDHTAMLAIPSQKSITLQSRTAVAH >KQL12916 pep chromosome:Setaria_italica_v2.0:III:1615298:1616790:-1 gene:SETIT_022356mg transcript:KQL12916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASSAAPFLPTTASSESTLPPSFVREEDERPKVPHDSFSDEVPVISLDGIGGGERRAEIRARVAAACEDWGIFQVVDHGVDAALIAEMARLARDFFALPPQEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTYFSYPVKARDYSRWPDKPAAWRAVVEQYSEQLMGLSCKLLGVLSEAMGLEAGALAEACVEMDQKVVVNFYPRCQQPDLTLGLKRHTDPGTITLLLQDLVGGLQATRDGGRTWITVQPVEGAFVVNLGDHGHFLSNGRFKNADHQAVVNSECSRLSIATFQNPAPDATVYPLAVREGEAPILDEPITFAEMYRRKMARDIELAKLKKQARAAAEKQQVQVQMPQQSASEEFAVPKPESLDEILA >KQL15221 pep chromosome:Setaria_italica_v2.0:III:17884147:17888466:-1 gene:SETIT_021626mg transcript:KQL15221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAGGGYGGGAAEHQHLLLGQAAGQLYHVPQHSRREKLRFPPDPAGSPPAAAWPAPPPFYSYASSSTSSYSPHSPAPLANAQLVAHALPAGAGAQIPSQSFALSLSSASSNPPPAPRRQLAAVVATGPYGPFTGYAAVLGRSRFLGPAQKLLEEICDVGGRPAQADRLSDDGLLDMDAMDAAGDHDMDGGERAAAEAVAVSGAEQQWRKTRLISLMEDVCRRYKQYYQQLQSVISSFETVAGLSNAAPFASMALRTMSKHFKCLKGMILNQLRNTSKVAANDGIGKEDMANFALMGGGSGLLRGNSVNAFGQPHNIWRPQRGLPERAVSVLRSWLFEHFLHPYPTDSDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQQHKNPSLDKNQMGMQQTQHSSDSSGKPSDPSSSQRGQNSGTTTRNLSSPASRHILQDELSQMPHDMPGQVSFAYNGLATHHGLALSHSHPQQTEGISAGGATANGGVSLTLGLHQNNRTYIAEPLPAALPLNLAHRFGLEDVSDAYVMGSFGGQDRHFTKEIGGHHLVHDFVG >KQL13330 pep chromosome:Setaria_italica_v2.0:III:3854660:3859317:-1 gene:SETIT_021102mg transcript:KQL13330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGGGSRTSAKPRRPHSRQRPPSPPAPSRRASGAAAAAAPESKEPLVLEAPVVSSMEETSFTFEFKRGFKRAKKAMLPPMDAPRDEDNIREGFSNKSNTVPAKKEAPKQVEFTHCSPGIVARLMGLDTVPRPKKVLDRCQSDTQANLQRHLFGVVQEVAHASSGDQPCNVSSDELPAVKDVFEVTEMENMAMHKVLEPRNEEQYLRNLEADLEFVRQKFLDAKRLATDEGHRNSKEFSEALDILHSKKDVFLEILEENRTAVSGFSGHILSHSGLQCSPSTSNTAATELSVETSVASVESLAPNGGKIKGSSHRSQIVVLKPNLQRKSFTPVVSSQETSNYKQRRGRQHSNPPRHSKQYSVPQKNEVLEEEGIATQKVRKQTTKSGSMRRQSKEEYSLAAYTEKTKVASTSHDEVMPIYSSLHSAGPSVSRKARKHLSERWQMACQSGSENSIPKGITTLGEMLGLSDGDAPKETSHKGSSDPNFSRSNLREVPASPLGISSKDGWKTWIYCEDDSRGGMSRNFPRSKSLPASLTTSTKLSSRRQSAPTCRLPILKDILNTPTDESENAPVRKRSPIRNAKQRNGRAIVHQGKENMLPEKEIHVTLEKARHSICISDLSQASNIYTEKYPDDDIRTEDQQKSDSVVQHDKKNLEGHMGWAYQTLATSFSETKEVLSIQNQDIIALEEGRSPSVEIDIAQVDTRATQSSASIASGGSCECSSPSASSPRSSGEETSYSGIFKSINLGIQELRAQLKMLKMEGQDDICGDYSDTLSTGECNNINIATYQATEELPIFKNEEDRDLCYVQDMLASVCDLPDYLEGWQVDSDVFLLLENKYCKLLLWSQSDRKLLFDLVNSILADMTTPDNSLHSKIMMKCWPEINREQLAESVWQLVQKQSNYEQFALEDVQPLPLDHRSELEVIGMKIARMIHDDLIKDVRWLQDFVLCEEDPFINLCRFACCCIVVPFVRSSSL >KQL13475 pep chromosome:Setaria_italica_v2.0:III:4678522:4679158:1 gene:SETIT_023721mg transcript:KQL13475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHKIVIGLLVLLLLTSSSPSMLQAARMVPSDHARADQAHVEESVPSPIGSIATASPSQDLARDMAPPMMPPSPPSGKPEMPVAKRWGTIEVADGSVPSPGVGH >KQL15074 pep chromosome:Setaria_italica_v2.0:III:16408585:16408981:-1 gene:SETIT_024811mg transcript:KQL15074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMARKAMASGLLTLLLLLNSGLAPAVLGSSDDDCWVDDHVHYVVCLKTEKCRSSCVEHGSVDGRCQWGFPNLLPFCQCLRPNCPPEATGASG >KQL14898 pep chromosome:Setaria_italica_v2.0:III:14725830:14726759:-1 gene:SETIT_023429mg transcript:KQL14898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAADGPSPPPPRTGEAEAPGAPEKRAPPADRDEEEHGGEERPEPKRRRARARITALESVPRAAEVAAAAAAAAAAAVEAASREDEPEPAGGCDGGGESFSFHARGFSSAQTTPKFGSFNPGATAELVAFHLMKASRRRADPPGTEDAGDHRTAAGGGDDEAAAEGSDGNSR >KQL16908 pep chromosome:Setaria_italica_v2.0:III:46602516:46603043:-1 gene:SETIT_025716mg transcript:KQL16908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSPTWFEKKSEIGHHVSYHSLGCSHSILYCVPQYLLRGCSLSSVLSSKRNRAPFCS >KQL13890 pep chromosome:Setaria_italica_v2.0:III:7497468:7500011:-1 gene:SETIT_025096mg transcript:KQL13890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSGSASVSVDVERISFGGKEHLVRTRCGSVTVAVYGDEDKPALITYPDVGLNYMSCFQGLFFCPEAATLLLHNFCIYHINPQGHELGAAPIPSDVPVPSVDDLADQVADVLDFFSLGSVMCLGVTATKYQERVIGLMLVSPLCKAPSWSEWLYNKILLNLLYYYGTRGLVKECLLQRYFSKRVRGDAQYPESDVVQACKSLLDEKQGESIWRFLQSINERHNLTDSLRKLQCRTLIFVGENSAFHEDAIHMTAKLDRKYCALVEVQDCGSLVTEEQPYAMLMPMEYFLMGYGLYRPYQLSSSPRSPLSPCCISPELLSPESMGVKLKPIKTRVAI >KQL16504 pep chromosome:Setaria_italica_v2.0:III:41108030:41108104:-1 gene:SETIT_024306mg transcript:KQL16504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASQPLASSSSPPPSPSSSPRSPA >KQL16812 pep chromosome:Setaria_italica_v2.0:III:45430858:45432516:1 gene:SETIT_022586mg transcript:KQL16812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKMLHSAPIHTNLPDCFVFPADKRPPATAAVVSLPIIDLSRSRDEVRRAILDAGKEIGFFQVVNHGVSEQAMQDMEAVCQEFFQLPAAEKAELYSEDTQKATRIYSSTMFETGGERYWRDCLRLACSFPVADSPMAWPDKPQRLREVVEKFTVQTRGMGMEILRLLCEGLGLRHDYLEGDISGGDVVLHVNHYPPCPDPSTTLGLPPHCDRNLLTLLLPSMVPGLEVAYYGDWIKVQPVPNAFVVNFGCQLEVVTNGLLKSIEHRVVTNLGVARTTVATFIMPTTDCLIGPAEEFLSEDNPPCYRTLTFGEFKRIYSVVKLGSSLNLTTNLKNVQKET >KQL12836 pep chromosome:Setaria_italica_v2.0:III:1096146:1098769:1 gene:SETIT_023645mg transcript:KQL12836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGSLRLASVWFGFFKMWALRVAVFSQTEMTDVHGRTFGVWTLLTCTLCFLCALNLENRPLYLATFLSFIYALSHFLTEYLIYQTMAATNLSTVGFFAGMSIVWMLLQWNSHGDQSGCHAVKQS >KQL14645 pep chromosome:Setaria_italica_v2.0:III:12617799:12617976:1 gene:SETIT_025642mg transcript:KQL14645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALPSYTLAHTEQLRPYTRMEPIQSFAFYGYIITYYL >KQL15228 pep chromosome:Setaria_italica_v2.0:III:17952919:17953447:-1 gene:SETIT_023927mg transcript:KQL15228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFKNGTVFILNETIMPVCLHDYSGPYIPYNHPTTIIFSFVLIPFNEPCIEPNQTITDGHLFSN >KQL15789 pep chromosome:Setaria_italica_v2.0:III:23860021:23864515:-1 gene:SETIT_023053mg transcript:KQL15789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPAAAPAPLPPPARPSTPSYTVECIFRDFTCRRAALIRALTTDEKAFSRKYNAGTESLYLYGNSDGNWELRPPKLLMPPGQPDPRMFGIKLVRGNMKHPKWLAYIATHCDAWLIRISFFLGANLGTQARQHLSALINSLQTVHEAFVASDTYHRICHLEKMNVEIEDEDEGCGTEPTVCASCGNHYRRNGFWICCDECDRWFHGKCVKVTAAQAEHIGHYECPECCSDKKGHDYNVDPLLSVLYKRY >KQL15803 pep chromosome:Setaria_italica_v2.0:III:24036515:24037556:-1 gene:SETIT_024669mg transcript:KQL15803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNRERTPRLHSDSDSDNSAAAAGSEDDGAGAGDASSLPRARRSSRIETSNIKPVSTRPMEAPRRTPAGSSQRRSKRRHSSRRASPEHQKRPSRVWSPEDEITILSALVEYRAKKGQLPASIQDTGKVHSQISGQLTANASTTQLSDKVRRLKHKYKLLFTRARNGRDPDLPTQHEHDVYELSKKVWGFKSGDILGGSHAYEDTGDAESNEEQEIEESDDAMENGWEHHERPSKKPKAFRFENGNGNALAAVGRASHGNGSGRDDAEKGKQMYPYLWEAVAELSKEHPSGPIFRKAFAMEEKLRKFRMSEIRQQLHRMDLMKETMRMVLDALEGSY >KQL16225 pep chromosome:Setaria_italica_v2.0:III:34569331:34570663:-1 gene:SETIT_024815mg transcript:KQL16225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGKKLELEERCLKVGGGRRVGVSLSLPAPPASRRLRRGRPPFASWRPPPSPSPAPPLPRKRVSGTHREDAEADGAVVDEGAEAKEQLERGDGEYVSSVGTNFSLPLPARLRVARAAPGGDPVFFLLAAVAVTTCVAFTGMVVVAIPTMLLLE >KQL16848 pep chromosome:Setaria_italica_v2.0:III:45941972:45942241:-1 gene:SETIT_025664mg transcript:KQL16848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYLINVKKTMIFRVINGTISLYWVILYFFSAAF >KQL15486 pep chromosome:Setaria_italica_v2.0:III:20734676:20736680:-1 gene:SETIT_024042mg transcript:KQL15486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERDPSRQRHGEGDMHEQRKQGGFKTMLFILANDFCDRFATIGFNANLITYLMQQLHLPLVEASNTLTNFHGFSNLTPVIGGLLADSFTGRFWTIAAGSVIYPLGMVSMTFSALLPSLRPPPCAATTGTNQACARASASTLLVLHLTLLCTSIGTGGTEVSAKRRWSFFNLYFFGVELAKLAAITLVVYIQENVGWGWGLGVPTIVMLVAVTTFVSGYPLYVAVAAFRKRNVAVPSNPRHLYQDKKFDSGISTAGRLLHTNQLTFFDRAAIVTPGDLTSTGVPRPWRLSTVHRVEELKSIIRMLPIWGAGILLESWSPRLPTTTASPSSKRTMDRRAATPHGHPAGITHLQRTGIGLAISTLSNIVSAVVEGRRKRAAVRHGLLDSPDERVLDGAAVRHPRRGRRAFMDVGRMEFLYDQAPESMRSSAAALYWLTMSAGSYMGTLLVTTVHERTKGEGEWLQDNLNRGKLDRYYWLVGTLQVINVVYFVICAKLCTYKKLEVADHQESADGRQEKGSEEKHVELQPLKDGDVKDAELWPLLSSDL >KQL15578 pep chromosome:Setaria_italica_v2.0:III:21432063:21433547:1 gene:SETIT_022870mg transcript:KQL15578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLSPSTLFTPLRGTRSVSARSTVSCAALKKQQQAAALAASASSHGYSGSGGGRSWVSFLHHGLAAAALSLAISLGPAPAPAVASEFDVLNDGPPVDSYVVDDAGVLSRVTKSDVKRLARDLEERKNIRLNFITVRKLTSKADAFEYADQVLEKWYPTIEEGSNKGIIVLVTSQKEGAITGGPAFVQAVGDQILDATVSENLPVLATDEKYNEAIFSTAKRLAAAIDGLPDTGGPSFKENKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >KQL15579 pep chromosome:Setaria_italica_v2.0:III:21432063:21434030:1 gene:SETIT_022870mg transcript:KQL15579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLSPSTLFTPLRGTRSVSARSTVSCAALKKQQQAAALAASASSHGYSGSGGGRSWVSFLHHGLAAAALSLAISLGPAPAPAVASEFDVLNDGPPVDSYVVDDAGVLSRVTKSDVKRLARDLEERKNIRLNFITVRKLTSKADAFEYADQVLEKWYPTIEEGSNKGIIVLVTSQKEGAITGGPAFVQAVGDQILDATVSENLPVLATDEKYNEAIFSTAKRLAAAIDGLPDTGGPSFKENKRESNFKTKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >KQL16654 pep chromosome:Setaria_italica_v2.0:III:43394109:43396194:1 gene:SETIT_023394mg transcript:KQL16654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEASSAPAAAAAAGKMTMVAGVDESDHSFYALQWALQHFFPAGQPQQYRLVVVTAKPSAASAVGLAGPGAADVLPFVEADLKRTALRVIEKAKELCAQVADAEYEAMEGDARNVLCDAVERHHAEMLVVGSHGYGAIKRAVLGSVSDYCAHHAHCTVMIVKKPKPKH >KQL16653 pep chromosome:Setaria_italica_v2.0:III:43394109:43395551:1 gene:SETIT_023394mg transcript:KQL16653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEASSAPAAAAAAGKMTMVAGVDESDHSFYALQWALQHFFPAGQPQQYRLVVVTAKPSAASAVGLAGPGAADVLPFVEADLKRTALRVIEKAKELCAQVADAEYEAMEGDARNVLCDAVERHHAEMLVVGSHGYGAIKRSCSASTLLFLLFNLSSRVLHPVPPSLLQNQRHPFIGDDGT >KQL14168 pep chromosome:Setaria_italica_v2.0:III:9302866:9304215:-1 gene:SETIT_024277mg transcript:KQL14168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEEFIQQKNTSEAMKAAENPHHLGAGGYVAKIAKWRREEEERRLASLSDLFEGLDERSRNWVLARVPVFTPDESYRKRDHYKKDLEEKMRAISKQELIEFFATQQAQEEPPLQLANTGYVAPSSTGSIANVRYPVDEIQVDTPCSMAVTAHVFPNEPPLEYSWVQVVTMLAESCELDIPTDEGIEHYRDIVLNVNASPKTSGSNQDEPMLQSHVQVATTEGEQPSNDPISPRPPSPPPQRSASPPPQRPPSPPPQRPPLVPRMVRTYENRKSIDTSQQVHEHTEDQSFIFQ >KQL13481 pep chromosome:Setaria_italica_v2.0:III:4710288:4711670:1 gene:SETIT_024715mg transcript:KQL13481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKFSSYHLAAALRRERDPSAALRLFLNPTTSTTSSSAPFRYSLLCYDLIISKLAAARLFPAMESILSRLASSSDLRPPEQLLGRVISAYGRAGLPAAARRAFAHPAFPEPRTARAFNTLLNALLACRAPLRDLLAVCHDTRIPPDAATYNILMRAAAASGSVEHARHLFDEMLSRSIAPTIVTFGTFVTALCNAGQLEDAFEVKEVMVKQYNLPPNAYVYTSLMKGLCEKGEVDAAVRLKEEMAGNAEPVLDSAVYATLLRAFFRVGRKGEVVGLLEEMKGRGIVPGRVVYNALIAGFCEDERDPSAAFAVLDDMQKNVCKPDVVTYDTLVAGLCKLGRWQDAAELVEDMPRRGCPPHLVTYRMLFDGMCAAGEFLEADQILNEMVFRGFAPSKDGVRKFVQGIESQGDVALLESVLCRLAKVNALEPSGWEKAVSGLLNDPTKLRLEKQLDSLRIA >KQL12888 pep chromosome:Setaria_italica_v2.0:III:1476097:1477761:-1 gene:SETIT_025010mg transcript:KQL12888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSPPRPAASRGPVITLTTTRAFTFPNYSKAAQLPAGEALRSKAFDFHGRSWRVKLYPTGFTPATRDFVAVFVKCRTQPFDFYDAVVTVKILDKKGEGTVFDDATAKTTALAIGDSVFSKGYVEFARRREVAAACVRDDDSIIVRCTLLIDAEVVAKPPLVLPWRRTAKADDGGGMVVPTPSWMKSFALDSATDTDAASTSTATGVPEVVTGSHTLTISQFSEKKALLACGECLRSAQFRLGGSNWYIKVYPNGHDAGSKDNVSFFLARGRSGEPETTAEFAFELANFKEAGGNNKSAKVRATFDNSNANSSEHLGFQRAAAELQSAPQMRSDRLIVRCKLGVFRGKPPCPLLAEAPAIAAPPDTRSSDFLWLLKSQEGSDVTYAVGGTTFRAHSCILSARSPVFREEMRELVDNPEKYPWRYINVEEEEMTAQAFEALLHVVYTDQLPGMSYVDPTEETVEAMLFAAERYGAERLKLRCEQWLCSFVTPLTVADILSMAVRYDLRLLEDACVKYATPDHLWEHVKGTEGFNRLRASCPHIVREIEGKQRKY >KQL15591 pep chromosome:Setaria_italica_v2.0:III:21498868:21502155:-1 gene:SETIT_023108mg transcript:KQL15591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHCFENPPALNSAGGLGEVVDDFGGQKAYIAGSAGSKAAVVLISDAFGFEAPNLRKIADKVASSGYFVVVPDFLHGDPYDPSNPNNPGMWLQSHNPQKAFEEAKPVIAAIKEKGVSKIGAAGYCWGAKVVVELAKVHEIRAAVLLHPSLLTVDDIEEVKCPISVLGAEIDKSSPPELLKQFEQVLSANSGIDHIVKIFPGVTHGWAVRYSEEDAAAVASAEEALQDMSHWFNKYLN >KQL17030 pep chromosome:Setaria_italica_v2.0:III:47735561:47738481:1 gene:SETIT_021175mg transcript:KQL17030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIAKPLQSRTLGTKLWVLSILEFMFYMAGGCVVEERTALMRIRSSLVEANSSRAPPASWGQSDDCCSWERVTCNNSTRVSALDLYEIYVIQSNYSTEEDQANFGAEGGCWNLNLTILSSFHELLVLDLSWNSACLRNFDGLAKLRYVDLSFNSLIGSNIFESLGASIEVIDILSSNMSGAIQDSAFSNLKNLRELYLGHNQLHGSIPASLFELPHLEYLDLSGNLLRGLPRNPSLNLSSSLQILKLSENNLHGTFYFFWLRNCAKLMDVDLSGNADLAIDAKFHRIVPPCQLRALVLSGCNLDNNTVAGPNFLSTQHHLQTLDLSNNNLTGSIPTWILENEATLLYLNLANNLLVGSLDLIWKQQPNIRLINISMNHFVGQLPANISSVFPSLEVLDTSYNNISGDLPPSLCKIQNLTFVDLSNNKLTGEVPACLFTATLLILKLSNNNLGGPILGGASNLSTMIEIYLDSNNFEGTFPNNLSGNLEFMDLHDNNLCGKLDVSFWNLPWLQVFSVSTNNLIGQVNAAICNLTSLEFLDMSDNNFAGSLPKCGSKLRLKFLNMSTNTLSGFPGVFLNSPNIIALDLRYNQFKGSLDWTQHLPQIKLLLLGGNRFDGQISSNLCLLQHLSIIDFSHNRLSGSLPPCIGGIPFGYHGDDDDIFWVSVGQSTTMYFPVPGLDGPPFMYPYFYDLQGFTFSTKGSIYTYGHNFFNLMSGIDLSANMLSGEIPWEIGNLIHVKSLNFSHNFFTGGIPATFANMGAIESLDLSNNRLSGSIPWQLTRLWSLEVFSVAYNNLSGCIPSSGQFSSFSAESYVGNLNLYSVSQENGCSSTPGPVEVEYVEASDDPILYIISASSFVLASWATVAFIFFHSSGQHVVLQL >KQL14513 pep chromosome:Setaria_italica_v2.0:III:11671845:11673956:-1 gene:SETIT_025751mg transcript:KQL14513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQAAAGGEATELPPDIHGLGESRMSSRLQFHGDL >KQL17467 pep chromosome:Setaria_italica_v2.0:III:50583531:50583838:1 gene:SETIT_022959mg transcript:KQL17467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLNPNNHRDDDGALHEPSTSLSLTLGLPLPEPEAGASATGMKAADQQPSPLPLRMPEEEGNAQAQLMAVVRQMVREEVQRQTGQLAYSLMAAAAWAKGHHR >KQL14237 pep chromosome:Setaria_italica_v2.0:III:9821642:9825671:1 gene:SETIT_024822mg transcript:KQL14237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSIRNKIVWEDTNVIKRHLIKRDFMDGYTIWSHHGEVGGTSNNTDINIGYDEVGGDEVNDNDHVMMDDDYDRGDQNGDQTYVRVEPQVDEECDVDMEDMLHHFEPEVLIGSAKGLENFEMLKKVAKDHMNYCILYRGECATLEKCPNCDASRYKINDDFCEDRASSSIGNKRKKVEKKSAGAYVEDEFCISTNMMTQCRVLALVLWYLLVADRLKCLFSNPKIDEMMTWHADRLGNVANPNSNPSPYRLHSIFDSHEGAWAAWPFLAFDQTTDHELVNIAGRDGPASSLKYVVLQDKWTAMARIPAAWFSSSPSPSRPRRVMPAALPLALSPNISRTSKRRDAAASRFGPRGMTWPTSSPPSRRWQPKPGQETESRIRMRNARA >KQL14878 pep chromosome:Setaria_italica_v2.0:III:14514056:14514505:-1 gene:SETIT_023642mg transcript:KQL14878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDSRDVDLGSCSIRLYLPPQAAAALRWALSGAAPTRERKRPRGAMRTTRCGSAVMAARPLSPRRDACPGPPFCACPSHDVRAASPPWHGSPSLRRAAATAVVRAAAKHPDASGLVTESGRRVIS >KQL15856 pep chromosome:Setaria_italica_v2.0:III:24697663:24697915:-1 gene:SETIT_023987mg transcript:KQL15856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCCSKYQSTLHLHIAVLKQACNLKQVLQNNPKYDTLASYVMYEQANETEEQKQG >KQL17034 pep chromosome:Setaria_italica_v2.0:III:47770970:47771241:1 gene:SETIT_024392mg transcript:KQL17034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYKVECSKCGKFTWNGCGKHVASVHDGIEKGKHCTCKSWPGVDTKEEGSASTTAKEGEAKA >KQL14008 pep chromosome:Setaria_italica_v2.0:III:8168164:8172539:1 gene:SETIT_021336mg transcript:KQL14008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRLSISKVARHRSANAICSQLQASFPFRAPRSDTGAGSTLRNLHERYHSSYASSFPRWIRDFDSRSEASLLKEIYRSDPERVVQIFERHPSLHSNSSALSEYIKALVSLDRLEDSPLLKTMQRGLASSATEGERRTGLAAFASVGRQTKDGALGTANAPIHMVTAETGQFKEQLWKTFRSIALTFIVISGIGALIEDKGISKGLGLNEEVQPSTNSTTKFSDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFNAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNDGIIVIAATNFPQSLDKALVRPGRFDRHIVVPNPDVEGRRQILETHMSKVLKADDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMQDLEFAKDRIMMGSERKSAVISQECRKMTAYHEGGHALVAIHTDGANPVHKATIVPRGMALGMVTQLPEKDQHSVSRKQMLARLDVCMGGRVAEELIFGESEVTSGASSDLRQATRLARAMVTKYGMSERVGLVSYSDDNGSMSAQTRGMIDREVKDILERAYNNAKTILTEHDKELHALANALLEHETLSGAQIKKLLAQVKNSDNKQKKVAKVPKKTPVAPPSPQSPAAAAAAAAAAAAQEAAAKAKGVAGTAAAAQEAAVNAEGVAGIGS >KQL16341 pep chromosome:Setaria_italica_v2.0:III:37909271:37909998:1 gene:SETIT_023942mg transcript:KQL16341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVACGKRAIAKWSVKYHLIHICQVWDMLASIGACSPSRFVDVVYELLEVKENFFWRVHFF >KQL16342 pep chromosome:Setaria_italica_v2.0:III:37909271:37909998:1 gene:SETIT_023942mg transcript:KQL16342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVACGKRAIAKWSVKYHLIHICQVWDMLASIGACSPSRFVDVVYELLEVKENFFWRVHFF >KQL16862 pep chromosome:Setaria_italica_v2.0:III:46064524:46065797:1 gene:SETIT_024542mg transcript:KQL16862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAHSECAMRGSGRGGHGEANRGRGADRSSMRTKKNIAPIELQVEQLAVEHQATMAAPRKLIAASSLVAKRALGFGTGAGDGELPWDVVGEKLAELLRFLASAAQTLAAQLREHAASLFAALSSLARGALAVALPAAAVVAVLLVVCWCCVAAGRRRQSGPDGEEVEGLGSGDDEDDDGPVVIYRGGCKGAGGILRMHPNKPFVC >KQL13283 pep chromosome:Setaria_italica_v2.0:III:3615374:3616832:-1 gene:SETIT_022469mg transcript:KQL13283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLLLQQLPRSAARHPLLLPNLRSPRPRDLATRRSVASFAAARPSGGGRARASSRSSARAMASQQQFPPQQQGSQPGKEHAMDPRPEAIIKSYKAAGKLKDKVALVTGGDSGIGRAVCLCFALEGATVAFTYVKGHEEKDAEETLHALRGIKSRTGAARDPMAVPADLGYEENCRRVVEEVAGAYGGRIDVLVNNAAEQYERPSLSDITEANLDRVFRTNIYSYFLVTKHALPHMREGSSVINTSSVNAYKGNKTLTDYTATKGAIVAFTRALALQLADKGIRVNGVAPGPIWTPLIPASFGKEKVEQFGSEVPMKRAGQPAEVAPSFVFLASEQDSSYMSGQFLHVNGGVIVNG >KQL15383 pep chromosome:Setaria_italica_v2.0:III:19419404:19421163:1 gene:SETIT_024836mg transcript:KQL15383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTERQPQPEKKAPRARPMSGKAVVMLCATSFFVGLLLSGRMALVTPPSGETSTSHGSGIPLFADDCDQSRRKLEESKPNDITKEVTRTHQAIQSLDKSVSSLEMELAVERAKQNGGLGVSAPSRGLPKALVVVGINTAFSSKKRRDSLRDTWVPRGDKLRRLEKEKGVVVRFVIGHSATPGGALDRAVNVEAAATGDFLRLDHVEGYHELSAKTRAYFATAVATWDAEFYVKVDDDVHVNLGMLATRLAKYRARPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAVSRDLASYISINQPILHRFANEDVSLGAWLLGLEVEHVDDRSLCCATPPDCEWKKQAGNVCAASFDWSCSGICKSVDRMRAIHSACGEGDGAVWSAAAI >KQL14171 pep chromosome:Setaria_italica_v2.0:III:9329564:9331501:-1 gene:SETIT_024316mg transcript:KQL14171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTENYDPCYPDQPVVDRYLPVWAKLPAFAAKPAFIWSDNDDDATASSRTALTYSQLDSAVERMARNLLGTLRRGDAVLVLASPGLRLVKLIFACQRAGLTAVPVIPPDPASLGPAHAHLLRAVSQTRPSAAVADARYIDELAAMLRSLRWLAVDELERERGGGGPESAAPGYVGCGPDDVYLIQYTSGATGVPKPVMVTAGSAAHNVRAARKAYDLCPGSVVVSWLPQYHDCGLMFLLLTVVAGATCVLAAPGAFVRRPRLWLELVTEFKATCTPVPSFALPLVLRRGRSSAHGRPPLELGSLRNLILINEPIYKTSVDEFVRAFRRDGLRAASISPSYGLAENCTFVSTAWRGRGACSDLPSYMKLLPSARLSPPLSLGNVGAEIEIAVVDEETGEPVEDGVEGEIRVSSPSNASGYLGHPSASREVFCSRVPGRAGACFVRTGDRGVVRGTERYLYVVGRSADVVVALDGGQRRRVHAHYVETAAFGSSPDRLRGGCVAAFTTSTARSLWQTNDVAVVAEIREGSAGDHRGLCDRIKAAVWQGGRVKVGLVVLVGGGEVPKTTSGKLRRGAAREMLLAGKLRVVFEARYDDDDGTVAGVRGDEGDEMVEKSAASWLAGEDRGPDMSLAFGSASRRLRLQSFL >KQL15618 pep chromosome:Setaria_italica_v2.0:III:21782283:21784489:-1 gene:SETIT_021502mg transcript:KQL15618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPAAASVRGSDIQPAGALCHVVAQHGAVQRVDGPLVPPGVVAVPVSLRRGRGPVEHAVIPHEHPPHGGLLVGELRLALEQPLCLGLRLDPQGVRQVRPHHVRAVLAVLGHHLQPQRELGLRLHLAHLPPRLGRPDGDLRVLLRLQTDGLVPALVAELHQARRLQLCLHLRREVAERRLHPPAEAVLPLGADLEAPVQEPRDVPAGDGQPRRAVRAERGRHARRSRVQPQVQVGVDEPLGDAVHHAEGDLVGLAVDQEAQERGQLDVVGREVERAQRRHGLPEQRVDAARVDDRERHERAGEVAVHAHDDLAVRGVAPPSGEVVLADVDVRRVGVPELVVGVRGHEDFQDRAVGARRNGHDLGARVRRVLDAEPEAAAVARGLRYRQDQVRRLEVRLRQRRSRRLAIDGAGAGGESRVREVRRGAMELEGDADVVHAGVLVVDEVLEHDGEHAASWGTEERGVAGHVLADCPADAVGGRLSGGGAEELGAVEPDGGDAVVLVPGDGDGEGEHDERPGRGAPRGRRVRGRGGGGAVGVGEGVGEHGHDAGRRQRRRPRGRVGGVEVLPRGRITEPAAGARARARRGVAVGDVGESGNEEEQYKVEEERRRA >KQL17300 pep chromosome:Setaria_italica_v2.0:III:49616317:49618273:1 gene:SETIT_025200mg transcript:KQL17300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAGSGEVRRRNGCCGGGGGGSLFPEESFRSWSAYGRALLETGPRLRDRVTARSLDSAEVNEVRGRSGADMKRTLTWWDLIWFGIGAVIGSGIFVLTGQEAKEAAGPAVVVSYAVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYCIGGAAVARSWTSYFATLLNHHPNDFRIHATSLADDYSRLDPIAVAVVLLICLFAVLSTKGSSRFNYILSIVHLAVIAFIVVAGLTRAKASNLTADFAPFGARGVFAASAVLFFAYIGFDAVSTMAEETRNPARDIPIGLVGAMTLTTVVYCVLALVLCLMQPYAELDPDAPFSVAFTAAGMDWAKYIVAFGALKGMTTVLLVSAVGQARYLTHIARTHMVPPCLAAVHPRFGTPVNATVVMAIATAVIALFTDLGILSNLLSISTLFIFMLVAVALLVRRYYVAGETSTADRNKLAACLAVMLASSVATAVCWGMAASGWVPYAVTGAAWLAATAFLQVGVPMARTPRTWGVPLVPWLPAASILINIFLLGSIDAASFVRFGVWTAALLAYYFLFGLHASYDTAKALAGEADAARVEEGAGKAVDDGGN >KQL16779 pep chromosome:Setaria_italica_v2.0:III:45050032:45052766:1 gene:SETIT_024450mg transcript:KQL16779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAKALSEENTKKARKAAENPHHLGAGGYAAKIAKWRREEEERRIADLPDLFEGLDERSRNWVLARIPTITPDGKLVELQKKGLFMPDRERDQLTATIGTVEHSGCDRGMSSTLPWGKEFHNDQASYRKRDHYKKDLEEKMREIAKQEFMEAELIVSDGQRQAEPTMQLAHTRVVAPSSASSMANVRYPIDDIQVDTPCGLLITYGRKQNKFREVASGMVVTGHVFPKEPPPEYAWVQVVMVLDESCKIDIPIDEGIEVLGDATNQYILWHCRDIILNNASPETSRPSQDVPLLHSNVDTKQPTQSHVHEEDDLPVIDPTSPSPTSPPPQRPAIVIDFEDLHRLYHQQHLDVNLITVWCLMQRREEELMNERFKVAYLDLARISEPEHKFKMMEMEKKPIKAKAHRDEMHKVSVYIARVIRKKDHKDYIMAAYNFQDHWICIIILPKPGETVYHKQSPSSVLCGYYVCEFLRNNGMYRTNPEDMPRFNTCDAALEDKGINNICRDMARFIQREICHEDGAFFDKDGVFMVDECKGLHRWT >KQL17164 pep chromosome:Setaria_italica_v2.0:III:48672201:48674426:1 gene:SETIT_024323mg transcript:KQL17164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAWARAVAEPNQVKCLPVWGSRARALALSSPARRRRLTRTSAPFRLMAAAGAAKVTAAAAATAVFRSNQELTRLARSGQLAAARRLFDSMPRRNTVTYNAMLSALAHNGRIDEARALFDGMPRRNAVSWNAMIAAFSDHGRVADARSLFDEMPNRDDFSWTLMCAACYNAMISGYAKNGRFDDAVKLLKEMPTPDLVSWNSALAGLTQSGQMVRAGQFFDEMVEKDLVSWNLMLEGFVRAGDLDGASTFFARIESPNVVSWVTLLNGYCRAGKIDDARELFDKMPERNIVAWNVMLEGYVRLSRMEEACNLFEEMPDKNSISWTSIVSGLVRAGKLQEAKDLLDKMPFNCVAAKTALMHGYLQRKMVGEARQIFDGIEVRDTVCWNTMLSGYVQCGMLEEAMLLFQRMPSTDTVSWNTMIAGYAQGGQMRKAVGIFKKMNRRNTVSWNSVISGFVQNGLFVDALHHFMLMRRDTKRADWSTYASCLSACANLAALQVGRQFHSLLVRSGHINDSFAGNALISTYAKCGRILEAKQIFDEMIVKDIVSWNSLIDGYALNGHGTEAISVFLEMKANNIRPDEVTLVGILSACSRAGFIDEGLKYFNSMEKEYSLKPVAEHYACMADMLGRAGRLNEAFELVQGMQIQPNAGVWGALLGACRLHKNDELARLAAEKLFELEPRKTSNYVLLSNISAEAGKWDEAEKTRASIKEKGVHKPP >KQL13362 pep chromosome:Setaria_italica_v2.0:III:4027912:4031074:-1 gene:SETIT_022027mg transcript:KQL13362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGEVAARPGGGVAGMVLGGGAAAAAVGGDDAVVMQLAAAEGEETVVTVNCPDQAGLGCDLCRTILEFGLRITRGDVSTDGHWCFVVFWVMPRSSTIKIRWASLKNRLMSMCPSSYSIPFYPDISQPGPSKFYLLKLLSPDRKGLLHDVTHILSELELLIHRVKVSTTPDGRVVDLFFITDGMELLHTKERQDETCSTLIATLGPSISCEVLSAEGFQQGFSSLPPKIAEELFRVELADSEICSSSLSAELKRVQTATINFDNALSPAHTLVQIICPDQKGLSYDILRTMKDCNIQIFYGRFRSDKKGSGNKGCREVDLFVKQVDGKKVIDPEKQEVLRSRLRSEMLHPLRVMIVSRGPDTELLVANPVELSGKGRPRVFYDATLALKALGICIFSAEIGRQSASERQWEVYRFLLDDSKEFPLANSPTNRNRVVDRVRKTLMGCYN >KQL13140 pep chromosome:Setaria_italica_v2.0:III:2821332:2821807:1 gene:SETIT_025782mg transcript:KQL13140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTPISLIKTLSASPEQSKLIKYNSNNYFMW >KQL14185 pep chromosome:Setaria_italica_v2.0:III:9402780:9408629:1 gene:SETIT_022144mg transcript:KQL14185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFFSKAEPPPPMVLVPPLFDYPPLAARTRMAVPAYELMFGKLSLHNLFEDYFDQAGNMTSRIMLKPLEDPHVDLIATVSAAADQNSGTEVKGDALFRWQKELDDPHTFVDLLVSTSNPLLQLRSCAYDPNYRIGAFGTLPLLMGNRVRSEDYGVMGVRYGSENLSVGASFVPIPLSSEVPFGAWLVGRKGSLTAGVQYKPISGSKNPMPFTELENWNCAISYGVGSTSPLSPSFIFSLELARSTQLTASFYQHLVVQRRVKNPFEDDQVVGITNYIDFGLELAARVDKDKATENGSSLFQLAASWQANKNFLLKGKLGPSKSSVALAFKSWWRPSFTFSVTAVNDHSKGTTSYGFGLRVEDLRQASYQRADPNYVMLTPSKEHLAPGVLREYGKRPMFQTQIDSGNYDHLPTELKPIGKIF >KQL15445 pep chromosome:Setaria_italica_v2.0:III:20203515:20206146:1 gene:SETIT_022103mg transcript:KQL15445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDLEDGQGAIDSAIVAAAGGGEGSIEAAAAGGGGEAAGDTHDNDVVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELIAFLDLYRFDPWELPAMAVMGGKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPAADADPLIPKSEISLCRVYKRSGIDDGHGQSSSSTQASSGRRISSRTGVPTGRHGSSPSSTPLSPTQQLSSFHLLQGECSSASPPAPIMDQVVTVHSAPPQLLPPPRPCTYAPAATIRSAAAVAPQRAQGAAALASTYSSLFNMAAAATMAGVSRPPIDELSTLVGPGQAGYATLSAATGSHFLPLMPAPPPIPQMTPLGALPMVQPPPSVTDKLSWDWNPVPDTAARDYDASGFKGCFFQHDWEEDLQGVDNVR >KQL15444 pep chromosome:Setaria_italica_v2.0:III:20203515:20205837:1 gene:SETIT_022103mg transcript:KQL15444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDLEDGQGAIDSAIVAAAGGGEGSIEAAAAGGGGEAAGDTHDNDVVMPGFRFHPTEEELIEFYLRRKVEGKRFNVELIAFLDLYRFDPWELPAMAVMGGKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRGENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPPAADADPLIPKSEISLCRVYKRSGIDDGHGQSSSSTQASSGRRISSRTGVPTGRHGSSPSSTPLSPTQQLSSFHLLQGECSSASPPAPIMDQVVTVHSAPPQLLPPPRPCTYAPAATIRSAAAVAPQRAQGAAALASTYSSLFNMAAAATMAGVSRPPIDELSTLVGPGQAGYATLSAATGSHFLPLMPAPPPIPQMTPLGALPMVQPPPSVTDKLSWDWNPVPDTAARDYDASGFK >KQL14905 pep chromosome:Setaria_italica_v2.0:III:14769678:14774064:-1 gene:SETIT_021789mg transcript:KQL14905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLLLSVGLAAVLIPLSLALLNRLRVGRLPPGPRPWPVLGNLRQIKPIRCRCFQEWAERYGPIISVWFGSGLTVVVSTSELAKEVLKEKDQQLADRPRNRSTQRFSRNGQDLIWADYGPHYIKVRKLCNLELFTPKRLEALRPIREDEVTAMVESVHRDATAPGNEGKPVVVRNHLSMVAFNNITRLAFGKRFMNANGEVDEQGREFKTIVHNGIKIGASLSVAEFIWYLRWLCPLNEELYKTHNERRDRLTMKIIEEHAKALKESGAKQHFVDALFTLKEQYDLSEDTVIGLLWDMITAGMDTTVISVEWAMAELVRNPRVQKKLQEELDRVVGRDRVMLETDFQSLPYLQAVVKESLRLHPPTPLMLPHKASTSVKIGGYDIPKGTNVMVNVWAVARDPKVWSNPLEYRPERFMEESIDIKGSDFRVLPFGAGRRVCPGAQLGINLVASMIGHLLHHFEWSLPEGTRPEDVDMMESPGLVTFMGTPLQAVARPRLENEELYKRVPVEM >KQL15351 pep chromosome:Setaria_italica_v2.0:III:19128112:19130446:-1 gene:SETIT_023332mg transcript:KQL15351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALSRVEGEDSTGKLQRRQESATAIRGGSSASSRQRCVQPSFFLFLRSTPSSLSLGPFFPGGDHTASGTALGQVFVGRFFGDERVTGGFRQTAIMSLACLVCHGMNSPSHSLRSYSVSSSEEESRCGAAVACLARRVTPAGTSTSVGTSKVTPFPPMVTGQGTEGTPRLQRSRAVSRDLVRDWNFDEVILAN >KQL14510 pep chromosome:Setaria_italica_v2.0:III:11662732:11663704:-1 gene:SETIT_023258mg transcript:KQL14510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGEETFASPTAAAADFEFAGNGGDRTPKLHDAADEIEALSAAKRDLEEKLESVSHQNRFLSSESRRLEALVSQARDEAAAFEQAAATNESEAATLRAEVERLQGLLDAEKAGHEEEMRRGGGLGDQLQTAHQEKVALEKEIEALKASATASERGKGEEEMDSAAPSAGTPKDAGLVPAELLAAAVAAGAGITAFIATILIHRKR >KQL16190 pep chromosome:Setaria_italica_v2.0:III:34112825:34113328:-1 gene:SETIT_024482mg transcript:KQL16190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLLTVKEFSEASLMSTMRSTWNTTREVTFRPIGKNIFVVQAFCLGDWKRIMEEGPWIFRGCALMLEEFDGSTAIPSVLPHVVPAWVQIHIIPHLYRTESILKQLASKIGGLLTVEMRAIATGGGDFHRARVNLEASRPLLRFVTLTLEGRDNILIQVKYEKIP >KQL16984 pep chromosome:Setaria_italica_v2.0:III:47257582:47258130:1 gene:SETIT_025796mg transcript:KQL16984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMLYPKKGLYFTLDDMVHTYAVGECTINLALHMLNNCREVG >KQL12790 pep chromosome:Setaria_italica_v2.0:III:883014:887421:1 gene:SETIT_025187mg transcript:KQL12790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAKGSSAGGTGGAGHGGDLGRAISHEQYQAFLASVHRAAAPGAANVHHHQYPAGLIQSPPMAMPAHHVPVPRPQIAVPPPQPFARPPEHSGQSQPPTGHYQDYSPYGNTASSQYTRGFADWGTHNNALMSLAHATTFGSGSNSIISNGIHQNFTSYNTHTWTATYMPRNPYNNAYGPATMNMMLQTPSFHSNSHGKDSGAGFAASSFTVSPTVVPTSPFQLMSPKSPNYTSTQIFEETNNLEDTSTVFGSGDMESDNSEEPDPTPVAEIKDQNQGNGHIVNAMSKTVNCQDYRIILRKDLTNSDVGNIGRIVLPKKDAEPNLPILEDKDGLILEMDDFELPAVWKFKYRYWPNNKSRMYILETTGEFVKRHGLQAKDILVIYKNKKSGRYVARAVKAEDIQVPECECIKAGNLSEECGFAVSPSAKKVIM >KQL12679 pep chromosome:Setaria_italica_v2.0:III:343019:345061:1 gene:SETIT_023540mg transcript:KQL12679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVVISGPNLSRERIEPGLTAEMAPGKRGKARGEAPPPAAANAGGGGFPSCLRLMPPSTVAISIHAKPGSKVATITEIGDEAVGVQIDAPARDGEANAALVDFISSVLGVKKREISIGSGSKSREKVVLVQDATLQGVYDALKKSCNC >KQL13717 pep chromosome:Setaria_italica_v2.0:III:6276879:6280242:1 gene:SETIT_021232mg transcript:KQL13717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLANSQHDSNSPNTSYARHGCDALLHPFVHNLTASYADQRNESTMVFTSVVMFMLAALFFNLNLFSRLSDVSAILNPTVRLFLSPSLSLFLPVMSYLFSEAKNEGAALAAASYSTSSSYSRQLGGGATELSLRARTILMWMLLVELLRKKVEAILVSVGAQSYSSTIDRASRIAWLGYLVFYNLSSTGKKAIYGTLWVLAAAKLLQRVAINELLKRSFAYGKNAEQLSWYMAQIEKQDHQLQEVGDDGAELLNKCKYAVMGEEDLEMKVSPMEGYHLELKDNVVVTVGDIWTQDMGDLLQQDPSIKRLCLSFALYKLLRRRFEDHPITDVETSSCRSLIFRGLLKELLRSTEVALSTQKVEVERNEDDLKDTVVAVALFQVFYEEIQFLCEYYHSVLPVVLSNPFFFLANYILFPIVVWAFCLLTFILCGNGDVVYAYRSITTDNYIISTGTMKVFVCLLRGVVYYPGVLFTTIDLAVTMLLLLTFLYEQVWEFLVFILSNWLMVSLLCEYTAKRRWRDSRIRAGLIRGILWVRRKMSRPNLCFKQVSVLGFGRRLPSMSLPKKKAVPTEVKKFIMDYIVAHIHGHHVDAPLSNGWSTLQKYSSHQLSNACESKSVAEVILTWHIATSLLEVKYPLQKKKKTPVGAHRQVATTLSGYAAYLVVSRPELLPDNIEGTKRVYSDTKDELKDVLGGCWRYHVSQQGTRFDKLVEVAERPEEETAAAAAAVVRKGAKLGNKLMQMAEAGRGEDQVWELLADLWMELTVYLAPSSGELHVKAHKEALALGGEFITVLWALCTHTGITRPAVAPWEATAGVSCHP >KQL16762 pep chromosome:Setaria_italica_v2.0:III:44799533:44803348:1 gene:SETIT_021309mg transcript:KQL16762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINEEEAPSSPNAPTVAMDAKLMVAADRGDVKKLKGLLNKEDAMAMVVVTAATSKKPSKEDQFPAGRINPLLLASACVGSWKALNFLLEREDAKKAPMVAPTQDFLELLAGGSGTKGRSVVSAAGDVEEGVDHEPAPPAAGALLKGVTPDGDTTLHAVASNGDNGDDFLKYAGIICDRDRDLLFAKNHMGDTPLHCAVRAGSSKMVSRLIALAEHEGAEGKLKLLRMENERHETALHEAVRIEEKNMVRLLMDSDPELANYPAKGISPLCLAILLEKDTIAVTLYEKSGGNLSYSGPDGQNALHVAVLQATSTNTVMVKVLLRWNRSLPTQGDKHGSTPLHFASSLHCPFGFFWYPHWTRNYWRTRISNIVAKVFKANPAALYQADNSGLFPIHVAASIGTVEFFLRKSPSSAGLRNAKGRTFLHVAVEKRRWEIVSFVCQTPSAEWILNMQDKDGNTALHLAIKSRMLMMCSALLGNKKVHLHLSNVKGHTPLDLSRSNLPRGMYYALTAESRIHMALKLFGAKHSGLRCDHIEQKYRRPLNQKEKKKQSNLIKDTTQMFIVVAILIATVAFGATFAIPGGYKADDHLNGGTPTLAGRYIFDAYMMANTLAFVCSTVATLALVISGSTMVDLGTRQWNLNAAVFMLSSSVTSMTVAFALAAYMVLAPVARSTAIAIFMISPLPVLYRNVEKIFKWGFLARARLVRMGPIPTILNFFAVVVIQMILMDLWPLIATFAWAAFARIHH >KQL17101 pep chromosome:Setaria_italica_v2.0:III:48322195:48322843:-1 gene:SETIT_023664mg transcript:KQL17101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQSSSASAELRHASGETLAIPLRFRFNPRDACEGRTHGGQLRGSDAGRPCSRRRSSASYPPMLLHRVPRPQPAAQGVQRRTGTGTAAPATIAARGKQDLPSIEMPGYSLGSYLHCCAM >KQL16797 pep chromosome:Setaria_italica_v2.0:III:45222226:45224690:1 gene:SETIT_021837mg transcript:KQL16797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEEDLESPLLSDGEPAPADSKGNAYALVCTLLASLTSIIYGYNRGVMSGAQKFVQADLGVTDGQLEVLIGATSVYSLVGSLAAGWTCDRAGRRRAVAISAALFLAGSAVTAAADGYAALMAGQLVTGIACGFGLVIAPVYIAEIAPASSRGFLSSIPEIAGNSGILLSYIADFAFAGLPTTLNWRLMIGIGAVPPLFLAAAAVLAMPETPRWLVLHGHPDEARRVLARTAGDAAVADRRLQEIVTSVREASKNAAVSGGGKSSSTGVWREILLRPTPAVRRVMLAIVGLQVFQQACGVAALVLYAPRVFSHVGITSERAVLGATVLLGAVKTAAIVVPLFLADRLGRRPMLLASAGGMAASLLVLGLSMRAPPPPAASWWAAATCVAAATAFMAAFSLGFGPVIWMYGSEILPLRLRAQGTGIGTAVNRVMSAVVGMTFISMYEAVGMAGSFYIFAAFSAAAWVFVYACLPETKGRTLEEMEALFDAGAKPSPRAVLS >KQL16761 pep chromosome:Setaria_italica_v2.0:III:44764521:44768997:-1 gene:SETIT_021460mg transcript:KQL16761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGCSVRAIWILTPHDTVAFSRRFAVVEKRWRASWEAEGGGGGEGDGWGAGATTPPQQPPADHEVAAAFAERRKREGTARGSGIRTSLSSVGSDSWVDDPITRQVISLHIAKEESDGFMIWPVVLQKRGWYYVLVLPMVDPQSFRAYENLLKRSDCGSSAKENGNLSSILLNLPCITGAFMVAHVIGDIITGDVAEPEVIVSSGPSVGGLLDSLTGSIGISARSKPIAAPVAAPTASASSPVGAAQSESLKGGVRPFDKDLLRNFIIGAMPFGTPQDLNYANVNSIRTTGYSGDPLPTDQKQPAWKPYLYKGRQRILFSSLETIHAALYDRDDVPDFLSVSGQVTCRAELEGLPDVSLPLTGLKTAHVEVSSFHHCVQASEPTNNKQTLVFQPPLGNFVLMHYQSPCNTAPPVKGFYQLSMVSENEGAFLFKLTLMEGYKSPFIMDFCMITMPFPRRRVASYEGNPSIGTVSMTEHSIEWRIVTSGRGLSGRSIEATFPGTVRFLPRTTQRTSSSFRLVSSTAYTDDSDNEQDNVKNGASLDDYIMEKINKDLQAVDLEEPLSWQAYNYAKVSFKITGGTLSGLTIDPKSVNIYPSVKAPPEYSMQASSGDYILWNTLGKCPTAILPREL >KQL17116 pep chromosome:Setaria_italica_v2.0:III:48387552:48390697:-1 gene:SETIT_025272mg transcript:KQL17116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVQPAFQKMLTHLRMKALEKFKAGLISSLENGKGFAASVRDNTECSIKEFEQGCADAVIKQANWDCSKILEKVRRDIEDHALSIRESKLSELTTHAKEKLRKALAEPVESLFDAADQTTWASIRNVYRRETESILPEFLKTLCGFEMEYAPAEEMVSKLRDYARSVVESKAKDEASKVLIHMKERFTTVFSHDKDSIPRVWSGKEDVRAIAKEARSAALKLLSVMVGIRWDDEPDGIESILTSTLLEGSVVSKIASAASADPLASTTWKEIPPKQTMITPSQCKSLWKQFKAETEFTITQAVSTQQAHRRGNSKLPPPWAIVAIAILGFNEIMVLLRNPIYLFLLFVGYLIFKALAVQLDVSREFQNGVVPGIISVSAKLLPTIQNLVNKVAAEQQPEHHHPPPIEPPQPQMQPPPLLLSPRSPMSELRRMHMPPLSPRKVASPSPSSSSSSAVSSPRHVAEDQKPRTVVAGHENEPNIADSIV >KQL16182 pep chromosome:Setaria_italica_v2.0:III:33671346:33675466:1 gene:SETIT_021435mg transcript:KQL16182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANMGTKARPPPADADKGEIGEIDTRAPFESVKAAVSLFGEVRFSSDKSAARKPKAPQAERVLAKETELHLAQKELNKYKEQLSNAETTRVQALSELEKAKITVEELTTKLDAINKSKELAIQATEDAKTRTKQLEGGSSNEGLGTDGPLKQELESAREQYAVALADLDAAKQELRKLKKDFETSLDMRLSAAQQEEESLHTTEANKEKANQLRNEIAEIQESLMHVKAATQQAQEEESQIRAEKDVARTTYKQALEETQKKLSSLRNDFDPAAYESLKEKLDQTNSEIASMQKKIEDARARDLESVAIVSTELDDAKEMLQKVAEEESSLRGLVESLKVELEAVKQEHNQLKEKDTETESIVGDLHVKLQKCKSELKAAVAAESKATSASDDLMLALQQLSSESKNALQEAEMMQKSATELRDEAEKARVELAEAEQKLQLALKEAEEAKAAEARALDQIKELSDRASAARASTSESAANITISKEEFDSLSRKVEESEKLSEMKVAAAMAQVEAVRASENEAIKKLEAARKEMEDMELATEEALKRAEMAEAAKKAVEGELKRWREKEQKKTAEAQPSTGEHAGTSPPVPQASGGKASEKNEGHQRNSRTLLRKSFMLPNITSMFHKKKGHAGSSSPSYLPGEKSV >KQL17286 pep chromosome:Setaria_italica_v2.0:III:49515934:49516389:-1 gene:SETIT_025755mg transcript:KQL17286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSHAKFLFYSDFAISILALLLHDHPKNEKKILTLGRIRHLVCSICNIWSALTLVLHGTN >KQL13490 pep chromosome:Setaria_italica_v2.0:III:4754911:4755666:-1 gene:SETIT_024178mg transcript:KQL13490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRQPPSSSSLPLPSSSSPSSPGVPAPDAAAGCLPADQSCFAVSVSVGAPSYTSRHDAAAATASAHACCTTTSYIAVLGISFGSLLAILLILCAIRWYLVRRSESRDAAEAAAAAAAEPDKKRSTGLDADAIAALPEFAYRKEAAAAAAGDEAEERECAVCLGALAEGEAARLLPLCMHVFHRGCVDVWLRERSTCPVCRAEVVARCAGEGCADKEQEGGTSRGSTSTAAALPPQGRLLDDGERDLEAQL >KQL13237 pep chromosome:Setaria_italica_v2.0:III:3352052:3353053:-1 gene:SETIT_025162mg transcript:KQL13237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTWLPFAMAALVLASVSAHASHLEVGFYKHSCPEAEEIVRNAVRRGLARDPGVGAGLIRMHFHDCFVRGCDGSILINSTPGNRAEKDSVANNPSMRGFDVIDDAKAVLEAHCPRTVSCADVVAFAARDGAYLAGGIEYQVPSGRRDGRVSIEAEVLNNNVPAPTDRLRELIESFKRKGLSADDMVTLSGAHTIGRSHCSSFTQRLYNFSGQLGKTDPSIDPAYAEHLKMRCPWPSSDDQMDPTVVPLDPVTPASFDNQYFKNVLAHKVVLTSDQTLLDSPWTAGIVKFNSAVEKAWQLKFAASMIKMGKIEVLTGDEGEIREKCFVVNPHY >KQL14434 pep chromosome:Setaria_italica_v2.0:III:11237171:11237684:1 gene:SETIT_023569mg transcript:KQL14434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVHKNTKYQPFHVADSRTCKEDSTFPSGLFGTEYFQRNFKGLVNMFFASRSYGKVSFPLLNKTQEFLHPLRAKVFLEARGVLARLRVRESQRASCRRPIVGVGNFGILLQPHASHAACLPWHLSPHCSPEHLAVVAGTIGLATS >KQL16148 pep chromosome:Setaria_italica_v2.0:III:31755652:31756360:1 gene:SETIT_024891mg transcript:KQL16148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSKRTALLPQLLASIAKAVGEDRTTNLPEELLTLIFDLLSSDDPHATTSHTVPGHRSSPTPCLLSRFPTISKLALKCDRGTKSVGYLALTLITNRLGPSGLRRLKLHSLHTMTDDGVAMLTTMAANLQMLSVGSCTFEPRELRLSLLLPLARGALHQAPPQPR >KQL15173 pep chromosome:Setaria_italica_v2.0:III:17599935:17601210:-1 gene:SETIT_022862mg transcript:KQL15173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLDSPQAPDSQPAGSPVALQLQVCNGIRGDADACPSSVSPDPPRTATATAPPVFKFELPPRPPSPTVAVFPTPRLVVAPHDTHTPDSDSSRLAQPPRDPPSPMAAAAAPARSAAPSSQPVRVVLRVRPFLPSEATSATAPCISLLGSHPGGEVTVQLKDQHTSRSEHYRLDAFFGQEDDINQIFDREVRAVIPGIFEGINATVFAYGATGSGKTYTMQGTEDSPGLIPLAASTVLALCTGTWCSVEISYYEVYMERCYDLLEPKAKEVMALDDKDGNMQLKGLSWVM >KQL14395 pep chromosome:Setaria_italica_v2.0:III:10984730:10985235:1 gene:SETIT_025681mg transcript:KQL14395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGSRARMPEALSFPLCQQCPPLSAPILASATSTPAQECTCDPVALLRFSLNADGHFGNSPHGIPCD >KQL16822 pep chromosome:Setaria_italica_v2.0:III:45523020:45523513:1 gene:SETIT_024848mg transcript:KQL16822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHALAKSTALLRGIKNKQSTNLVRRLEPAEVKSAETSLWVPHPRTGIYYPKGFEWVMEDVPSGAASFRQSYWFRTGEAESASSTTPKNDAAFLDHPFV >KQL12718 pep chromosome:Setaria_italica_v2.0:III:576761:579753:-1 gene:SETIT_024866mg transcript:KQL12718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSSSPAPPREGAVAPSLWAEAASSAVLRHYHSLPKKGKPQGRESTVLAAFLLSTPQDPQSPTVLSMGTGTKCLGASRLSTRGDLVHDAHAEVIARRALLRLVYSEFGHSGQPDWLVGSGDGRRWRLKDGHCLHLYITQLPCGVMPVPPSESELPREQLDCGVNGCSDIGFVQRKPGRGDTTLSMSCFDKITRWSVVGIQGALLSHILEPLYLTTITIGQLPDGAPEGFSIENNIEKVLNARLSSLSSRLPASFKLSTPKFFEAPVPPKEFQQISGDVPPLTCGYSICWNKSGLHEVVLGTTGRKQGTSSKAACFSSTESLLCKRRLAEAFMSLEHSLLTKFQSGDLSYRAMKDEAHEYQHTLELLKKAPFFSCWRAKPASLGSFAVVR >KQL14057 pep chromosome:Setaria_italica_v2.0:III:8443416:8443833:-1 gene:SETIT_024137mg transcript:KQL14057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTTSSHRHTPRAAPAGTERSIDRHGGGLRGGAPGQGVEPGGDTRPPPALCARGAAIDKKGGEVALWSRRILMGERCQPLDFAGAIHYDSSGRRLARPPTPRSASSLSCRSCDASYLEHADA >KQL16420 pep chromosome:Setaria_italica_v2.0:III:39725098:39726414:1 gene:SETIT_024168mg transcript:KQL16420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTSRPMIINEDIPEEVICYDIFPRLPFKLVMSLKTVSRHYCAQLTSNTRFAAIQATVCPSCPALIHIGRSDESSRYSLDVLSSTPAIVGIPSSGLDFLGCPIDNGHFDLLASSNGLLCIRYTSYHIGPLTPPPITFIANPATQQAKPIPGAPQHLVNNRAVGLVFDPSDDPLAKQKFMIVKALPFATTNDALTKFRFVTFSSDTGRWVMSDTFIIANIEQVRCNKVVCASGVLYWDYQEDLIWFDVTRSVTGSIKMPWKLQESNFEGWERHSIDVSNNGMLMCTIIDKDGLALYQLVTIGDHYWELKHKKGWKDIMETSGDAFQFCHSMKLRNGWQKKFCERWFVRPLGLENGRLVYIGVRLKWKTSDRVLRYDMDSGKVDNIGKKLGHAFGMYCAFGYRNSMAALPPIDEPKLQDGICDDKSGGCVCATEGNKE >KQL14490 pep chromosome:Setaria_italica_v2.0:III:11564330:11565900:1 gene:SETIT_024134mg transcript:KQL14490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTHASSMSFLLSHPQPRSATPGTRLPLRPPARRVRCATDAAAAASIKHRRAADENIREEAARHPAPKQGLSAWYEPFPPAPNGDPNERYSLDEIVYRSSSGGLLDVRHDMEALARFSGAYWRDLFDSRKEFVLPEIDPDHIVSLFEGNSNLFWAERLGRDHLGGMTDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPANRISLEQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYSYYKSGWTEFQPQVAKPTFASAIQIGDPVSVDRAVVALKATNGIVEEATEEELMNAMSLADRTGMFACPHTGVALAALFKLRDQRVIGANERVVVVSTAHGLKFSQSKIDYHDSKIEDMACKYANPPVSVKADFGAVMDVLKKRLKGKL >KQL17157 pep chromosome:Setaria_italica_v2.0:III:48627243:48630147:1 gene:SETIT_025195mg transcript:KQL17157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDETIRKMICCFIKRLNYQSPECCDYGPEYEKDQLMELYEQLALYRIKAYELTVDRKLAELDDVNLKLQYPPSKLYDNNFFKYYEESLEWYFDLERCWNAQFDNYQRLVLHGFRGYLDWDFYRSINNTYEQDLASICTWERIRGVAYMQALDIAAGFPDVSPFLVSYGFPEYICSILSDYSRKGLDGLYFEIWKRVAKEKMSFEEALLEIHSEDMFPLRIHLDTYVASTLNPKFYLDYARKKLDIAREIDLIPKGRRGSESLS >KQL17429 pep chromosome:Setaria_italica_v2.0:III:50370499:50371586:-1 gene:SETIT_024356mg transcript:KQL17429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDNGLSPSSVLLDTTAYISAAAISNATTAVGEMSTGAPIHISFCLARPPRLSYITHANLALLRVPLLGALDLVTNHRHHDYFVYQSPGASSFNRLPHPHPKGAVFQDNEVAIFRCSGTSHYVIAGLRNTVRTSKFRLQRYDSDTGSWTSTLLSVHAPVRDEVLPIPLTATELLFHETTKVITLGGPRVTIGWVDLWRGILHCDVLDEEPVLRDVPLPKPSRANRRSFCRGGPHRYREIVVVTLPGPGKDMPPSRQSVDTSDDSGSDDGAECDLYVAPYWNATIWTMPVPVTSWKDWHKDKDCKLDVLG >KQL13501 pep chromosome:Setaria_italica_v2.0:III:4833326:4835864:1 gene:SETIT_021872mg transcript:KQL13501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRSTLHANLPPPPPSSPPNHTTTATSPRHRKPLTTTPAEHAALQPSEAAALLTAAARARDLRLGRALHARLLRTGTLLEADAVVANSLLTLYSKCGAVAAARSVFDGMPAGLRDLVSWTAMASCLARNGAEAEALRLLGGTLEAGHRPNAFTLCAAAQACFVSELFHSAGGAVLGMVFKMGFWGTDVSVGCALIDMFAKNGDLVAARRVFDGLVERTVVVWTLLITRHAGLVKEGKEHFRMMQKGHGLIPRMEHYACMVDLLGRSGLVEEALDFINEMPCKADALVWKTLLGACKTHNNMDIGEIAANHVIELEPQDPAPYVLLSNLYADAGLWDQVARVRSTMRDKNLMKETGLSWMHVENTIHEFRAGDTNHPQAEEIYTELDRLIMDIKEMGYVPDTSIVLHDMPDELKEQCLLQHSEKIAVAFGLISCTSATKPIRIFKNLRVCADCHSALKYVSKATGREIILRDSNRFHRMKDGECSCGEYW >KQL15090 pep chromosome:Setaria_italica_v2.0:III:16514300:16515295:1 gene:SETIT_024295mg transcript:KQL15090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHKSCRVGYSAEGDIALQHPGAAVIFKGIRAEKGRLLLGHLDVAQLPLADPIRAFGGARQAPAALLQSEDSAKRHPVLQRPAAVIIIKGKGTEHERLLPGHLGVAQPPLADPHVAVPALYPLVLPTLHGLCHAARRQLDEAEVRLVAERVGGDDAPAHIDPPEPAGQGQHLAVYIHPPREREWGRQSHQLLPLPRLREKPPHDEPPLLPVAVLAEHGDGVPFRRPVGAFRLGEDAHLREPLRLLRAVDVREAEHLATEGVADESPHVDVQVDASQLALLSAAVRGDDGGGAAYIHEGEEEEAVAARGFEDAAVDYGYVFAAGVAAGRVGE >KQL16399 pep chromosome:Setaria_italica_v2.0:III:39192636:39193472:-1 gene:SETIT_023571mg transcript:KQL16399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKRAIGEFRSEERLMLEQALLQEEKQAMLGLRAEMESREKAGREAAEAKMRMAMEHARAEAQAHSEMMNHGPIRGSAVASQGEDGPSRGMEQEHAEDGWGNAQRDDEDPSEDFLNDENEPENGNSDGQEDWRRSGELDLNSR >KQL14977 pep chromosome:Setaria_italica_v2.0:III:15280795:15282709:-1 gene:SETIT_024419mg transcript:KQL14977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVDTTVLSQPIPLDPCGPTTAQLASEQSSSREAKRHRLRFLLKPTPPGRKPNPSPVATLPIPTQHRLSLSLRHRGAHSGGRSMSSEGTEASPPQADPEEARAETEARRRVVLASVPVFLRKKQAQQEIPPGADFGVVFHQRPRPSYLVLLKSFAPDCEPNVIAVVAHRSGRLLLQATAGEPCFLFDAETRAATRLPPSPTTSASTSMGLVPDRRLAGHYMAAQFLPASATGHGALLCYSTFTEQWAVKPLLGSQGDHPWGALGVDTAYGMLACDPFDDQPRGRASASCRTRSTATRTTPWTTGVAAEGKLRYAEISSIAHNPAVRFWTLLPDAQWKSEYEVGLTEIWKGKGGRQILRKKEELRVAFVDPYNVRVWYFVQGMWVFQVDLPARKIMRRFQMEGASPSRKLLAWKIPISVSEETHARGEAMHANQTASSDNAANIGDGDRKNCVLVCECVWAQGAESV >KQL16272 pep chromosome:Setaria_italica_v2.0:III:35718689:35723583:1 gene:SETIT_021815mg transcript:KQL16272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEPDFSPPPPLPELEPTHSPEPEIPGHDSRNWKADMMSALGESVSFGRFLTEPLEWGKWSAFAHNRYLEEAAVQARPGSVAQKKAFFEEHYARKKKRKSEDHGAAASADDDGGLEAAEEEDGGSAALWPSSLSAESSCMTDQAPAPGDEETCGGEETGVVNCCSPRASDEPVGVAEELAAVTDAVSPSCRMDAPVDELCHGEGGNEQVAGAVLELLEKKDLCSSNLVSVDAGDKQPLKESSIINQDITDSAKKRRLQMSSLLQKPTKFRSPPSGKKGQSSSVKRRSPLHSAKENTSPPGTDNNMQVATSVPKKRSTLVALQMPKSFMRCEMGNAASGSSNLGITIAERISQLESASRTVATTQLEQFGPPRKTFSPILPEIALGASQVDGQRSSHVMRIKEKLFGSASPPVHQKTGITREKERKFKNETEFKESRQSCCFRARPLPNFYRRNKQGKDTSQQTAQEFPKFRDSNYSQTDDSHAHQMSKGVPKERQICCFPIRKLY >KQL14272 pep chromosome:Setaria_italica_v2.0:III:10047680:10052287:-1 gene:SETIT_021832mg transcript:KQL14272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSNRGGGAAGEESGSDHDGGLRKPLLAVHTGSWYRMGSRQSSVAPGASSMAVLRESHVSAFLCTLIVALGPIQFGFTSGFSSPTQDAMVRDLKLSIPEFSAFGSLSNVGAMVGAIASGQMAEHIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGIISYTVPVYIAEISPQNMRGALGSVNQLSVTLGILLAYLLGMFVPWRLLAVMGALPCTVLIPGLFFIPESPRWLAKMNLMEDCETSLQVLRGFETDITTEVNDIKRAVTSARKRTTISFQELNQKKYRTPLILGIGLLVLQNLSGINGILFYASNIFKAAGVTNSDLATCSLGAIQVLATGVTTWLLDRAGRRILLIISTSGMTLCLLAVSIVFFLKDNVSHDSDTYYLLSMISLVALVAFVIAFSFGMGAIPWLMMSEILPVSIKSLGGSIATLAAWLTSFAITMTANLMLTWSVGGTFLSYMIVSAFTLVFVVLWVPETKGRTLEEIQWSFR >KQL16531 pep chromosome:Setaria_italica_v2.0:III:42008083:42008906:-1 gene:SETIT_024894mg transcript:KQL16531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRGTNNLRATTRPRQLPPHDSWAASAQWLRRCPPSRRELQVLVPHPCRRKHMWQQRHSRQSDSCMHANPLFLVMQSC >KQL13310 pep chromosome:Setaria_italica_v2.0:III:3732488:3735299:-1 gene:SETIT_022398mg transcript:KQL13310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPSIDAETAECDSAEGRRQSTRFPSRRTSTATAARTLSTTFVDVKMARSGHEEVTDSGAFDPSPSTFRSFVSRDSSARFPAAPGRYHLYVAYSCPWACRCLAFLKLKGLDHAIGFTSVKPVFERTKETDDHMGWVFPATKDEEPGAEPDPFNGAKSIRELYEIASRNYAGKPSVPVLWDKQLKTIVNNESAEIIRMLNSEFNEFAKNPDLDLYPAHLQASIDEINELVYEAINIGVYKCGFAKQQGPYDEAVTKLYEALDKCEDILSKQRFLCGNQLTEADVRLFTTLIRFDEVYSVYFKCNKKLIREYPNLFNYTKDIYQIPGISSTVNMEYIKKSYYGGYSPINPYGIIPVGPNIDYNAPHDREKFNA >KQL13147 pep chromosome:Setaria_italica_v2.0:III:2841816:2842812:-1 gene:SETIT_024093mg transcript:KQL13147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRRPQRRPALQPQGSSIYPLDLLKPKHQLFAPIHPLPRAKDLKRASPSHKTNTLKSHQLHLTCFHYVPDTNQN >KQL14210 pep chromosome:Setaria_italica_v2.0:III:9564698:9567364:1 gene:SETIT_022209mg transcript:KQL14210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPMLGSIANRWRELQGAGSWAGLLDPLDIDLRANLIAYGELTQATYDGFNQEKRSPHCGACVFGYSDLLASSGAAAAGSYSVTKFIYATSALPVPEAFLVLPLPDLLPESWSRESNWMGYVAVATDAGVAALGRRDILVAWRGTMRNLEWVNDFDFTPVSAAPVLGSAAAANPAALVHRGFLSVYRSSNPDSKYNQSSARDQVFEEVRRLMALYKDEVTSITVTGHSLGASLATLNAVDLAANGLNAPADSSQPPCPVTAFVYASPRVGDANFKNAFASFPDLRALHVKNAGDVVPLYPPLGYVDVAVPLPIDTGRSPYLRQPGTIPTRHNLECYLHGVAGEQGSAGGFKLEVDRDVALANKEEGALKDQYPVPADWWVAKNKFMVKGADGHWALQDFQQI >KQL16860 pep chromosome:Setaria_italica_v2.0:III:46030300:46031060:1 gene:SETIT_023747mg transcript:KQL16860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGARGERRTALRPDQVIFKVVTGTTFLLMAVTGLGYLALSWSTVVLLGAFVTVLQKKDFWSITVISMIQAARSVNVLYFRIFISVVYIIIKYSTQVLHNTP >KQL17406 pep chromosome:Setaria_italica_v2.0:III:50284046:50285065:-1 gene:SETIT_025083mg transcript:KQL17406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDVPPQPIHSAKDALDALAGILGGALPGSIATADDPADTLLNDPDVASAVTGRLRGAGSGAGNDTLCRWLYDAFRANVPELQLAVLRFVPTLAGVYMCRAVSRKPLAGFEAVLLALYAHAVAQRGGAGEAETVSLPNLANPSPYHDAKAKPAELDVAVLSPPLEPHGTMRATRRARIVGAVLELYHGKLSRMPLSSKMDFCEFCVAWAGTHNKADDDDKPRLPPATDAVTGAEKWRRVPLPWELFQPVVRIVAHCLLGPSRSDELKAQAARAAECLYWRATETMDAPALLATRSLMRLSQMVEEPIPEPSFSGAIENMAELEAMRANILNTKN >KQL16583 pep chromosome:Setaria_italica_v2.0:III:42687245:42692143:-1 gene:SETIT_021130mg transcript:KQL16583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALTGVMTEVIGKLMVLLGEEYTKLTGVQREVNFMKDELSSMNALLQRLAEVDHDLDLQTKEWRRQVQEMSYDIEDCIDDFIHRIGHNGMDDSAGLVRRVVQQLKALRARHQIGNQIQELKARVEDASKRRMRYKLDDRAFQSSTTAAIDPRLPSLYAEPDVLVGIERPRDELVKLLMDGEGVSVQQLKVISVVGPGGLGKTTLANEVYRRLENQFQCQAFVSLSQQPDVKKILRNILSQVSQQEYLNMEMWDEENFINAIREFLKNKRYFVVIDDIWSTQAWKTIKCALYVNNCGSRIMTTTRIVSIAKACCSPHHDYVYEIMPLSTDNSKNLFFKRTFGSKDICPPQLEEVSNEILQKCCGSPLAIVTIATLLANKASTKEEWVRVYNSIGSTLENDPDVEEMRRILSLSYDDLPHHLKTCLLYLSIFPEDYEIERDQLVKRWIAEGFINMEGEQDLEEIGEKNFNDLINRSMIQPMKINCDGRVASCRVHDMILDLLISKSVEENFASFICGKNKKISLQGKVRRLSLNYYSQEHAMVPSAAIISHCRSLSIFGYSEQMPPLSKFQVLRVLDIENGEEMEHKYFEHIMRLCHLKYLRLHLRSIPALPEQLGELQHLRTLDLGGTKITKLPKSIVQLKNLTCLRVCDLELPKEIENLRALHELSEIKINRNCSASSLLGLGCLTELRILRLRWSIVNANPESKVIVDNLLSSLRKLGRLNLRSLCIQSYYGYSIDFLLDSWFPTPNLLQKFQMSSNYYFPRIPAWIASLGSLTYLDINVDPVQEETLEILGNLPSLMCLWITSKAADPKERLVVSSSMFICLKEFQFTCWRNRVGLMFEAGAMPRLEKLRVPFNACSGLNFGIEHLSSLKHIIIEIICSGATVHEVKALEEAIRNTADLLPNRPTLLVRTWDEENMVEESMTEEEIHTSR >KQL13556 pep chromosome:Setaria_italica_v2.0:III:5217503:5218801:-1 gene:SETIT_024300mg transcript:KQL13556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSHTKEITIEAVPIQDRVRRETERLETNFSRIDTTIHRFPQGLRGIGGPGERYIIPSVVALGPYHHGRQELQEMEEVKHAAAYYFCAQSGHPVEEVYAKILSIVDQARSCYEKDAAAHYNDAKFADMMFLDGCFLLMYICTEGSVQDPPLLTNSMVLSMGPCMLRDILLLENQLPWLVLEALLTFKHVQVQEFLVDTVRDFMDVSDRPEEVFVVNEDYRAPHLLGLARLYMTGSMPQDEEALPVSYFNTATMSAIHLAEIGIHITASKTTWFANMSLSRSGCLLGDELSMSPVFLNDFTACWLVNMAAFEARISTRYPMDGFLVSSYLSLLALLMDKEEDVQKLRAHHIVNGLLSNQEMLDFFKTIARHLRLGYRFFALLEAIEGYKTERRVWIMVHRFLYLHWKTIVTLISIASVLVGIFRALFSLKYH >KQL17289 pep chromosome:Setaria_italica_v2.0:III:49527552:49533476:1 gene:SETIT_021157mg transcript:KQL17289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSPSKMPEPSPESDGEQRCLNSELWHACAGPLVSLPMVGSRVVYFPQGHSEQVAASTNKEVDAQIPNYPNLPPQLICQLHNVTMHADAETEEVYAQMTLQPLSPEEQKEPFLPIELGAGSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPVQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSIIFIWNDNNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDSERWPNSHWRSVKVGWDESTAGDKQPRVSLWEIEPLTTFPMYPTAFPLRLKRPWASGLPMFNGGRSDEFARYSSLMWLRDGNRGAQSLNFQGFGVSPWLQPRIDYPLLGLKPDTYQQMAAAALEEIRGGDHLKQTSSLLPVQQAQNLNGGLDPLYGNPVLQQMQFQSQQPPLQAVQQGYGQNTGNSGFLQSQLQQLQLQKQQESAPQQQQQTQQVLQQQSHQDMQQHLSSNCHDITNVASSMSEAGSASQSQSSLLPVSSFYQQNMFEGNSSPGLHLQNSFQNFSSQEASNLLNLPRSGQLMASEGWPSKRLAVEPLANLEAQSVQHKLEKVSHQSNVSHISGTLAPLSARDGSSAQALGTNVQSHLLSSSFAIHDGMTTVRSGGVGSGTDAITIASLRYSDVNLLPENSLATSSCLGESGTFNSLDDVCGVNPSQGGTFVKVYKSGSLGRSLDITRFSSYYELRSELERLFGLEGQLEDPVRSGWQLVFVDRENDILLVGDDPWQEFVNSVWCIKILSPQDVQQMVRGGDDLLSTPGATMLQSSVACDDYSAGHSMQNLTGSIAPVVPLDY >KQL13389 pep chromosome:Setaria_italica_v2.0:III:4218659:4220863:1 gene:SETIT_024218mg transcript:KQL13389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEPLSDDLHTITTTEQPYDGSCSSSQQQLITTHNYRRSDPQFFPQPTNNESNTQLSNLVTIPGMYNVSSNLRQSQLQTSAGFNPDYQRIRSNDNALHHISRMLMEDIDERVGLHEGEAALHAAEKTFYDILGQVYPPSWPPLCSNNEVDDPDESSSSNYQKRPRRTSFTSDICSPSMLHALPAPLSPYNYGRSLFRPYQPLTSTGRATRFGFPALQIIRGPEDAKGFDKLVIYLDSDKLSICRLTTKAKEVEKSKCAVFQITDHRNNPCTQNLGTREGRSSKQHANTITCEISQNRKFDRHLLWYRLDCFNETRSLRELMAKQASMNSTKGQSKGPTQQKSRGKRQINKEVVDLRTLLIHCAQAVAADDRPLATELIKKIRQHSSPDGDCIQRLAFYLVDGLEARLAGIGRQVYLKLLTKRVTDEELFKIYNLSLAAFPLLRVSYTFANRTILEASRGQPKVHIVDFGMCFGLQWPSLIQKFSEQGVPPKLRITGIDVSRPGFGTLEITEQAGKRLADYANMFKVPFQYQGISSRYENIQIEDLNIEEGEVLIVNSLYQMKTLGDETVAMNSARDRVLKIMRRMNPKVFILGVVNGSYSSPFFITRFKELLFHYSSLFDMFDTNIPRDNEERKLIEGRLFGREMLNIISCEGAERTERPETYKQWQARCLKAGFEQLPVDPAILNSILDMTKEIYHEDFVADEDSGWLLQGWKGRVMHAISKWKPNESCTDQ >KQL12879 pep chromosome:Setaria_italica_v2.0:III:1432710:1434620:-1 gene:SETIT_021629mg transcript:KQL12879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADASAEAAAIARRLASCNAGARERTVRYLLSDFLPASAPRLSASDLLKLWKGLFFCFWHADKPLYQSSVATRLASAVSAAPSPVDGAAFLAAYLTTLRREWAHIDVHRLDKFYLLNRRFLHHAFLLLNSNSFAPDVTSQIVSVLSDKALLPEADNVAAGTSRGLGYHVAEAFLDELLPVLPVSLQTMDALLAPFFTVLEKSSDRVMVSKVKAGVFDRFLESGNQLLEKVKKGEEVEKGSAEEKLGKAGLLFGFSKRFLDIGAKAETVQSNRKVVFGLRDAFVKVEKGLELSGVEISEPKFEATEVPVVPNADCGMDLCEEKAGKKKKKAKKAALAEGEKEEAKLVKLERKVKKEKKEKKEKKKKKAVVVEDGHVSDQSIDVPAEDQQMGDGTDGITIDGTFLSNLQKQFEKAAAEAGMVNSGGSSSASQATPVNGKVAKKRKRSKSVDRLSEASDADDGGEGNLLTQDGEKSGKKVRFSMKNNLVWKPHNPLPPQCLRLPPSATPRGSALKKGVQPGPIKETPTPSRKAKLKAKSAKKVLKKKPSSAVKRLRKLQSFSA >KQL16625 pep chromosome:Setaria_italica_v2.0:III:43137116:43137507:-1 gene:SETIT_025396mg transcript:KQL16625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEASCVTVCLSSLELYQEPQQAMSTDYKHKSGQKGKQEE >KQL13129 pep chromosome:Setaria_italica_v2.0:III:2760701:2767621:1 gene:SETIT_024055mg transcript:KQL13129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAEEAREAVLVYALAAIANEGAEAGETAAALAALCDVLALSGPDLIHGIPSAPLAKRLPKLAAASAGGDDGDVPLLATRAMAEACEGAPVWAARFAHHGAVEALRDRLLAVNSIELAEECLRALEVISLECPEECLSRGVAAAVLQFFDFFSTNKQARHKELALQIISNIFSDYDEEYVSTAMEAVPSLCNLLQSSDKTILKSAISCLALVAAGASENAEHMGKLSETNAVEATMSLMGNEGWKSLSDDTLTGILGLLKNLASVSAKAVKSLFELDFCGLLKQMITYYSSSNCDNDKVKMLLELIYQLMPPLGASEQHAKLVTAKKNVIMGQSAYMNQLASIVALTVQVAKCAALSSICYRCVVVISNIVELSTPDFLMELQKTVNLSSFLTCLLARKNRHILFQTLKISRTLLEKCQQFFLETFTKEGVKHSIDSIVSQEKNSSHQLKTKNNKESCLSFDLESSSTGEACRIENNAVMKLGEEIKKRFFSVKGSKKSPHRFGFSLKSVRDFFARLHAATSPTENPDSCKQLSDLSRRLLSDELPVTSTFEFVQSGSIKYLAIYLSNGAYCNTDLSDEQDVLGQLDEVQSRLQKFASLALTVSNESSANPLGILVEKLLDTLQMCYDSFPVMLSDEPSTRESMMIPLRYPETQEVTTLELKFRRSQREKELRNYNDVLSVDLFSTPDAIEPVLLPEVCKKTDQEPAPKNLNQEKEANGNSKLGESKNDDGNRSSKLRFLYNGVILQPSATFFESILRLMNKGQSDLEIDPSFWDEEHYITYRKRNRSKEISSQSSYNTQLSHVQENLQYTWLKDPFFTAILLGKLPGDLDGSDPSYNLLFMLKVLEGLNRFSYQLLMDEQINKFAEGTLQDINDLKVAIYPVPQHQFISSLLTDKLELQMQDSLFEDGLIPSWCVYLVETCPFLLSFNTRWKYFCLTAHRLFKTDQANSSTSDHFHGHADQVKSPPQTKKYRVTRSAIIEGAVSMMTNHGPSSRIIEVEFEGEVGTGRGPTFEFYTTVSHELQRAGLGMWRGDNREDGFIHASFGLFPKPWSSSSMQGIDFSNVLQKFKLLGHLVVRAVLDGRILDIPLSKAFYKIVLEQELDIYDIPSFDPELGKTLIEFQALVKRKKFMETSLRTSSPTADLCLDFTLPGSPEYELIPRGSQKMVTLDSLEEYVSLVVDATLKSGIAKQIEAFKSGINEVFTLKALKMFTEEEMERILCGEQDAWALKNLEDHMEFEHGYDISSPSITTFLEILRELGREEQRAFIQFTTGAAQLPLGGLASLDPKLTVVRKQCDGNVDDELPSVNTCRHFIKLPPYSSKEIMKKKLKYAITEGLGSFHLS >KQL12826 pep chromosome:Setaria_italica_v2.0:III:1052183:1057123:1 gene:SETIT_022757mg transcript:KQL12826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPPTPTAPKTIADFFTRPAKRLRAGATAPASASLSSSSSPSSLTPEQRRRADTNLALARARRNLRLAESKAKASGGAAKLEELLVEKTWVEALDGELRKPYALELCRFVTHERLHGPLPVYPPPHLVFHALNATPFDRVKAVIIGQDPYHGPGQAMGLSFSVPEGIKKPSSLGNIFKELEKDLGCTVPSHGNLERWAVQGVLMLNTVLTVREHQANSHAKKGWEEFTDAVIKTISQKRSGLVFLLWGNSAQSKTRLIDETKHHILKSAHPSGLSANRGFFGCRHFSKTNQILEKLGLSAIDWQL >KQL12706 pep chromosome:Setaria_italica_v2.0:III:490063:495987:-1 gene:SETIT_021162mg transcript:KQL12706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLSLPAASSPTPHLPLPRTFKPLASSASFRRPSQPPPAPPKPSPPPPPPPPTNPLSSKLWLSSKLSPPPSPPPPPSLEAIEEPPPPPPPPEPEPEQEAAPLRQEDFRQKGKVFVGNLPLWARKPEIAEFFRQFGPLEKVELVRGHDDPERNVGFCFLYYGGDDPESAAERAVEVDGVEFRGKSLTVRLDDGRKGRARAEERARWVEGGERREPRSPWHKGRDEACREFRRVLESRPEDWQAVVSAFERIPKPSRREFGLMVVYYAKRGDKHHARATFENMRARGIEPNAFVFTSLVHAYAVARDMRGALSCIEEMKSEGLELTVVTYSILIAGYAKINDAQSADNLFKEAKTKLDNLNGIIYSNIIHAHCQSGNMDRAEELVREMEEDGIDAPIDVYHSMMHGYTIIQDEKKCLIVFERLKECGFKPSIISYGCLINLYVKIGKVPKALTISKEMESYGIKHNNKTYSMLINGFIHLHDFANAFSIFEDMLKSGLQPDRAIYNLLIEAFCKMGNMDRAIRIFEKMQKERMQPSNRTFRPIIEGFAVSGDMKRALDTLDLMRRSGCAPTVMTYNALIHGLIRKHQVERAVSVLDKMAIAGIAPNEHTYTIIMRGYAAGGDIGKAFEYFTKIKESGLKLDVYIYETLLRACCKSGRMQSALAVTREMSFQKIPRNTFIYNILIDGWARRGDVWEAADLMKQMKEDGVPPNIHTYTSYINACCKAGDMRRAENVIQEMADVGLKPNVKTYTTLIKGWARVSLPDRALKCFEEMKMAGLKPDEAAYHCLVTSLLSRATVMEGSTYTGILGVCREMFENDLTVDLRTAVHWSKWLHKIERTGGALTEALQRIFPPDWNSSENLEASNSVSDGDSESCTDSDFSDNDDDHDIDGH >KQL13315 pep chromosome:Setaria_italica_v2.0:III:3760754:3768868:1 gene:SETIT_021168mg transcript:KQL13315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAGKNAWVVPAPAYREVEGWEGVGEDAPGFRCGHSLTAVAPTKGHGPRLILFGGATAIEAGASSGLPGIRLAGVTNSVHSYDVEKRRWTRLHPAGEPPSPRAAHSAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGAGPGPRYGHCMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYRWQKLSPEGDRPSARMYATASSRSDGMLLLCGGRDASGTPLSDAYGLLMHTNGQWEWTLAPGVSPSPRYQHAAVFVGARLHVTGGVLRGGRAIEGEGAIAVLDTAAGVWLDRNGIVTSRTLKSSNEHDASSDLLRRCRHAAASVGSQIYIYGGLRGDILLDDFLVAENAPFQSEITSSMYGADRVPRGETQNRNHNYYSDSPVQQSSNNSTDKKSIDMLIEASTAEAEAVSAVWRAAKEASAASSEDSLSEGIGSESPLSETSPMPEDFDDGGSLEPDVKLHSRAVVVAKEAVGDLGCLVRQLSLDQFENESRRMHPSSNDQSYPGRRALNRQRSPQGLHKKIISFLLKPRNWRAPADRTFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIEYPENVHLIRGNHEAADINALFGFRLECIERMGESDGIWAWTRFNQLFNYLPLAAMIEKKIICMHGGIGRSINTVEQIEKLERPITMDVGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAHGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPVNSPESSPERGDATWMQELNIQRPPTPTRGRPQAAGDRNSLAYI >KQL16289 pep chromosome:Setaria_italica_v2.0:III:36436221:36437648:-1 gene:SETIT_025062mg transcript:KQL16289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEKKALQQFLGIVNYARNYIENLAKLARPLYAKLRKNGQKHFNSQDIKLVRIIKEKDAILKQKPHKYFPKIEEKICRYASGKYKLKAINNTDREILAVINAINAFTLYLGFKEFTVRTDCEAICRYYNKINRMKKGVSPTGIDCFCFGAENKLRIFPPNTYKFKPRDHIVLDENPRIYVSFEQVIAQKIEKDKDGGILWKKYTDIDQALTYARNILGPSSSGINIKEEGSSKAPTYKEVLKKEGDPSNEEYIDNKLKENLESVFPQWKNNLKEEIMKEIRLEIDEKFKNMQKDYELKMDIPISDDDMMDFRGDSQE >KQL13762 pep chromosome:Setaria_italica_v2.0:III:6585233:6585668:1 gene:SETIT_025498mg transcript:KQL13762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWKCRKVDLDLCACKISCPFASIYISSAGWRLPLH >KQL14436 pep chromosome:Setaria_italica_v2.0:III:11244981:11246129:1 gene:SETIT_023385mg transcript:KQL14436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNYLSCTLAKAPGGRCARVILPDGGVRQVSLPATAAELMLDAPGHFLVDARAARVGARLAALPADEELELGGAYAAFPMKRLGTPLAAADAARLAAAAAREARRSSAKVSSDHAAVAAPPPPAAELAAAAEEAPRMRLEQMVVDDDAAAAELGALKHRLSNARSRRPTLETIHEENYLSSRA >KQL14009 pep chromosome:Setaria_italica_v2.0:III:8177039:8178186:1 gene:SETIT_023250mg transcript:KQL14009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRIRYHNHLSVPFFLKGYNKDAHDLRTLHLYHVHTHAYERDGEVRVYPGQDPTQPGWANTLARQQPPERSSLFVRSFLIGGNKAPTCAFFQAHWKPKCAYGARAIGSPSPPSPRLDSTTWPRSQATETPTRGSPGWARACADWAPLATPDPTRPSPARAPPSALLHPSPRSPSPAARRPAPPHPRLHWAPLSFAPLRAGVIYLLTAD >KQL14725 pep chromosome:Setaria_italica_v2.0:III:13170790:13174450:1 gene:SETIT_022538mg transcript:KQL14725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRGPHLLLPLLLPALLVLALAPSSARAAEPGVIRLPSGKAGARACAAPADPAAYDRPVIGIVSHPGDGAGGRISNGTATSYIGASYVKFVEAAGARVIPLVYNEPEERLLEKLSLVNGVLFTGGSEKQGVYFETIKKSFQYALDRNDAGEPFPVFAQCLGFELVSMIVSKDNNILEMFDAQNQASTLQFPSYSFEGTVFQRFDSDLIKKVSTSCLVMQNHRYGISPKRFRENGELSSFFRILTTSPDENGKVYVSTVQANKYPITCTQWHPEKAIFEWRKPMIPHSEEAVQVTQHFANHFISQARKSPNRPPADKVLDNLIYNYSPTFSGKTSKSFEEVYIFS >KQL17237 pep chromosome:Setaria_italica_v2.0:III:49154912:49155547:1 gene:SETIT_024490mg transcript:KQL17237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKHIPRDPKGRFVKTKGNQEGTNGGIMVPFSAPLRGFITANGYQDVTTVGSELQSSTPAIGFYWPSIATNEGGENIGKVMFRPFTATSGYDEATSGSKVPVSNTAGDFYWPIVATNDEEENMGEFAYSSILNLESPDPTTLLKIMMGNRYSTDPVSEQFQNVARLQAPDFSTLLTVMNNAGYDEAADDGHYDVNKVMAKLQGWEPAAGPS >KQL13899 pep chromosome:Setaria_italica_v2.0:III:7605371:7605708:1 gene:SETIT_024742mg transcript:KQL13899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APSRSTRGRCRGLGAHLLELLSEALDLDAGYLEHDAVNLDGDGMAPGRWPASSGRPRTAASTRAYGPTVTPLPEVQQRHGGGVPRLLQLYKDKATRASTPICAGPLQAALI >KQL16178 pep chromosome:Setaria_italica_v2.0:III:33539481:33540733:1 gene:SETIT_025066mg transcript:KQL16178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSRPSSPTQIRYHPCPSDRAQDASPAHTPPPSSSSNPSSSPRCCLASAIDPAIASKHAPPSASSNPSYSPLGWPPAQAEGSGGDTGGRRFLDWASDEFSCYSGSSSSSSYSGASARSCVSDSAPRGRPVDPLHVLSIVASLHRINPKMFAEATGALFHKDQSERSSTVASEGSIVMAATSAGSTATSGRCRWPPQPSGGGGGGGEKAPRREEAIMQWFSRSQAGPATENDICAAVGNNFGTSKAIRWLLKQEGGLRRAGTGGLLDPYVYMVCEIRAEYLN >KQL14090 pep chromosome:Setaria_italica_v2.0:III:8735388:8735908:-1 gene:SETIT_025723mg transcript:KQL14090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKGCGRVVSASVVGVGCIASLGFWARKELLVHCYGNLTLLLTIDCYNGL >KQL14722 pep chromosome:Setaria_italica_v2.0:III:13164744:13166249:-1 gene:SETIT_024495mg transcript:KQL14722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSGRLVPYHLLLAASSPLLCLRLCARVLDRGWLGGERGAAREGERRGMALTNFILTVVGVGAAVMLLRKDVKQSATMFRRNVRHIRNWLEEESAAAAKSTEGSSVKELESQAAKKDAAPKEDKH >KQL15773 pep chromosome:Setaria_italica_v2.0:III:23639713:23645009:-1 gene:SETIT_021932mg transcript:KQL15773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLPCFGSAGEGAAKKGGARKDGSSDRRVTRVGSDKSKPQGGSGSKKDAVILREGNNQHIAAHTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLENGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHDNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDIPPDKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNGKPHGEQNLVAWARPLFKDRRKFPKMADPLLQGRFPMRGLYQALAVAAMCLQEQAATRPFIGDVVTALSYLASQTYDPNAPVQHNRSNSSTPRASRGGGSNDQRRLRSPNHHSPDLRRREATTGPKYEAEVSRTNSGSGSGRQSGLDDVDMTVSQLGSPGHGGRKRESPRTAERQGAIAEAKTWGENSRGRN >KQL14503 pep chromosome:Setaria_italica_v2.0:III:11618074:11619186:1 gene:SETIT_022405mg transcript:KQL14503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQHRVENPQTQLEVACFAAPVEEDAIRGRARRGAILELHLDQRPVCLADPAGVAEAPYQDVVARVVWPQLPLAHLGDQPPDAVGVPAQRARAHQNAVVRRLGLAVPVLHVEHQLLGVVVQPGVAEPLHHQGVCDGVRGDAPARHLVHEPLRRGQLPFPARRTHQRVERHHRRPHAAGEHFLEHRAGAREPVPVADSLEDDVVGHGVGPDAELRGLREHVPRGVDAAVADEGVEEGVERGVGVRAEGRDGAEDQGRGVRAGRGAEVVDEGGEGGGMQRDAEGPKRGEEREDEREEARAREAVEHACGERVVVEVARRGEERGRGGDWGREGLEMDEERVRFRRGLRLVEKLLRAVATALCRGGGRHRK >KQL17462 pep chromosome:Setaria_italica_v2.0:III:50558660:50559064:1 gene:SETIT_025431mg transcript:KQL17462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAFRLGGSSWLGLAGLLLLIHSIQILLLQ >KQL17277 pep chromosome:Setaria_italica_v2.0:III:49407444:49409140:-1 gene:SETIT_021826mg transcript:KQL17277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAVRAAPALADKPPGLAMLEVAAAAGEDRLSDAETASSTAAPNSSLSSASSAGSLPRCSSLSRLSFDCSPSAALAAAACSPPPAPTASRPHRSGDAAWAAIRAASTSSAAPLGPRDFKLLRRVGGGDIGTVYLCRLRSPAAAAGRESPCHLYAMKVVDRRVVARKKKLERAAAEKRILRALDHPFLPTLFADFDAAPHFSCVVMEFCPGGDLHSLRHRMPSRRFPLPSARFYAAEVLLALEYLHMMGIVYRDLKPENVLIRADGHIMLTDFDLSLESTSSPSLESAAGNDAAAAGDASTSASCFPDHLFRLKRRRRRAAARQTTTFVAEPVEARSCSFVGTHEYVAPEVARGGPHGAAVDWWAYGVFLYELLHGRTPFAGADNESTLRNIARRPLAFPPGSGSCGPADAAARDLIARLLDKDPAHRLGSRRGAADVKAHPFFKGLNFALLRASRPPVVPGSSPLHRSQSCHAAPPPPATTPGSTLPRKKPMPTADARFDLF >KQL13002 pep chromosome:Setaria_italica_v2.0:III:2045565:2046191:-1 gene:SETIT_025271mg transcript:KQL13002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGGAASASASASFEQHAKRRPPSGPSSQQQRKLRLKLSVKDDEDVAAGVVPPVTVVLDGRCICHRVHLNRHTGYRSLAGALRRMFVDTDAAADEEQGEDGLDIANAIPGHVVAYEDMEDDLLLAGDLKWNDFVRVAKRIRIIPVKKSSRTKKCGGGLDN >KQL17069 pep chromosome:Setaria_italica_v2.0:III:47997132:48000399:1 gene:SETIT_024602mg transcript:KQL17069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYPGHDAAADPWCFAAGDYTSSSFADMVPGYSTDDPFELVWGQGGGAGAPGSTTTMQPAAESCRLWSPPPEVPFDPPSEDEMAAWLCAIVKGEELVAFNAAGRDVPPVPKRSSGSSTTTTSGTKEKLPKTEVMGTKQEIRKPPAVRESSRSHHGEAHKLTEKRRRHKINERLRTLQQLVPGCDKSNQASTLDQTIQYMKSLQHHVQEMSGVGPARPAAVPVVPPQYAPPMAPVAVPTMMPAAPMVLAPVPTTMVPFGAMVQLPHYPAAVVPVMMPAAAAHLYPAAAPVRAAVAPGSAESSVTRRHGSSRSKGKCGSSLRKKH >KQL16702 pep chromosome:Setaria_italica_v2.0:III:44225588:44230883:-1 gene:SETIT_021737mg transcript:KQL16702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQGPMAIGSASHNGIAPEEPKVVVAENGKAVDVQEKEISMEGFCSISAYDQWTPLSVSGQLPRPRYKHGAVVIQQKMYVFGGNHNGRYLGDTQVLDLKSLSWSMLEAKSESAEAVSFAACAGHSLIPWGNKILCLAGHSREPTESLSVKEFDPQTCTWSSLRTYGSSPSSRGGQSVTLVGDTLVVFGGEGHGRSLLNDLHILDLETMTWDEFETTGTPPSPRSEHAAACFAERYLLIFGGGSHSTCFSDLHLLDTQTMEWSRPEQQGVTPEPRAGHAGVTIGEYWFITGGGNSRKGVTDTLVLNMSTYEWSVVTGLEARAPPTSEGSSLVMHTIDGENFLVSFGGYSGRYSNQVYALKTSLKSSVPIQQKTETDINGTTPISIPENSSRKVIFEIEELKDKPGNRADTSKTSAQVVKGERNQIEDRLNQEQLQSLHLKQELATVENRNAELTEEIHLVLDQLSAEQMRASKLENEVSDIQERLQKMDVLQKEFELLRGEIDSGPNKAAAGSDQPPRGGGFWRWNG >KQL17359 pep chromosome:Setaria_italica_v2.0:III:49947110:49947649:-1 gene:SETIT_024779mg transcript:KQL17359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNNNRISMVPSWIFFLLVVASFATRTSAATFTVRNNCGFTVWPAAIPVGGGTQLNPGWTWTFDAPPGTSGRVWGRSGCSFNGWWGHCDSGDCGGAYSCSLSGQPPATLAEFTIVGGDGHDYYDISVVDGYNLPMDFSCSSGVGLQCRGSGCRDAYLYPTDDSKTHACDGNSNYQVTFCP >KQL13691 pep chromosome:Setaria_italica_v2.0:III:6103838:6106129:-1 gene:SETIT_021818mg transcript:KQL13691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMGVKDASTTSTNFFGAICFFSFLGAFVSDSYIKRFYTILIFAPIEIMGYMLLAFQAHFPSLHPPPCDVTNHPDECTAVSGRNLSLLSLGLYLIPVGEGSLRACAAALGGDQFDGDDPAELHGKISFFNWFAFGISLGGFVGLVFLVWVQDNEGWGLSFALSALMVLVGTVVVGIGLPFYRHQKPTGSPLTRILQVFVAAFRKRKLSLPENQMEMQHVVTESTGTSVEFLERTSGFKFLDKAAVDDGDTRGWSLCTVTQVEEAKIILRMVPIFLSSVLGNVPIPLLLSLTVQQGGTMDTRLGGTSIPPASLFIVPIVFQMLTLVAYDRLFVPWLRRATGYAGGVTHLQRVGVGFVFSVMALAVAAAVEGRRRSGAFAGAPTMSVFWLTPQFFLLGVMDVTSFVGLLEFFYSEASAGMKSIGGAIVFCILGVASWLGSFLIQLVNRTTARHGGGHGWLDGANLNASRLDLFYWLLAMFGLVSFFLYLLCAWRYTYRHDPRTQSRDP >KQL14338 pep chromosome:Setaria_italica_v2.0:III:10641862:10644852:1 gene:SETIT_022814mg transcript:KQL14338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPICAPSSDSSASSASGGRAWIVHGLALGAAAAAAAAAAYLYRRPSGFRSRAVGIIPARFASSRFEGKPLAHILGKPMIQRTWERVMLASSLDHVVVATDDERIADCCRGFGADVIMTSESCRNGSERCCEALQKLGKRYDIVVNIQGDEPLIEPEIIDGVVMALQRAPDAVFSTAVTSLKPEDASDTNRVKCVVDNQGYAIYFSRGLIPSNKSGKVNPNYPYLLHLGISGFDSKFLMIYPELSPTPLQLEEDLEQLKVLENGYRMKVIKVDHDAHGVDAPEDVEKIEELMRARNIQ >KQL14980 pep chromosome:Setaria_italica_v2.0:III:15305429:15309706:-1 gene:SETIT_021998mg transcript:KQL14980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRISASPRPCSGRRVVARKRPRQESVVSSVRKLQRREISSRRDRACAMTAAQERFRNITLQEEFDTHDPKENCLLLPYLRKRSKIIEIVAARDIVFALSQSGVCAAFSRETNQRICFLNGSPDEVIRSLFYNKNNDSLITVSVYGSENFSALRCRTTRIEYIRRGQPDAGFSLFETESLKWPGFVEFDDVNGKVLTYSAQDSTYKVFDLKNYTLLYSVSDKNVQEIKISPGIMLLIYTRTSSSVPLKILSIEDGTVLKSFSHLLHRNKKVDFIEQFNEKLLVKQEGENLQILDVRNFQLTEVSRTEFMTPSAFIFLYELQLFLTFRNRSVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSTDSSSEENAGSINISSILTGKCLAKVNSGNGNSCKQKKAWKFQNTVSEALEDITALYYDEERDEIYTGNRHGLVHVWSN >KQL16525 pep chromosome:Setaria_italica_v2.0:III:41301932:41302398:-1 gene:SETIT_025003mg transcript:KQL16525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKLAVALLLAVAVLAAASPAATAQTNHKNCDKDKKITVQNLCAHDVVLTLEPLANSPHLFNGAANYTLHPHSHAECPVCWWTGRLHATGAPTAEFHVGPDGGSFYLAANTRSPGQGVPVIISPHGAPLVGECPAVGCPVSGRCSVSQVPSG >KQL16547 pep chromosome:Setaria_italica_v2.0:III:42311418:42318343:1 gene:SETIT_024630mg transcript:KQL16547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAAVLFLIVVVLVVCLVASPELAVVYGQLDSPGFISIDCGIAEGRTYPDQSTSGLVYVSDAGFTDAGFSAAVRPPYDDPNMADRYRTVRYFPGGAGARSCYTLRPVTPGGRYLVRAAFHYGNYDGLSSLPVFDLSLGVNRWATVNITGASVKYILEAVAVSPADFVQVCLVNTGLGTPFISGLDLRPLRATMYQEATVNQSLLLLSLSRPSATFAFNRYQFWPPDYALYRYPYDPYDRLWQRYGTVAAWTNITTSNDVDVSNITSFDKPSPILRSAVTPVNGTRIDFSWISDSALDNDNSTYLLLLYFAELQRVPSNALRQFDIVVDNAAGNGSQSFTPKYLSAELVKRMVQGSGQHTVSLVATPEATLPPILNALEIYSVKLMTETATNDADAKAMMMIRTKYALKKNWMGDPCAPKAFAWDGLNCSFPSSGPAWITALRLSSSGLTGTIDSSFGDLKSLQYLDLSNNSLSGPVPDFLAQMPSLTFLTGYNANLCDNNNTSTCELEKKSNRTLVIATAVPIAVATLLFVAAFLILRSMKNKQDEWMANNSRLSTSGDRSNIFENRKFTYRELKLITANFREEIGRGGFGAVFLGYLENQSPVAVKIRSKTSSQGDKEFLAEAQHLTRVHHKNLVSLIGYCKDRKHMALVYEYMQGGNLEERLRGEASAATLLTWHQRLKIALDSAQGLEYLHKSCQPPLIHRDVKTQNILLSADLEAKLADFGLMKKLSEGDIASIADPRMRGEYDVNSVWKVAELALKCKEKPSRERPTMTDVVAELKESLDLQVSYAMGYYSSTTSSTINLSATSVDLQSDAQPSDHPEKQPVPELQQVGIESATRIGPAPR >KQL15965 pep chromosome:Setaria_italica_v2.0:III:26137357:26140095:1 gene:SETIT_024819mg transcript:KQL15965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQAQGHAMPPYKDASALVEVRVRDLLGRMTLREKAAQMAQIERTVASARALTELGAGSVLNGGGSAPGDRSPACWADMVDGMQRLALSSRLGVPILYGTDAVHGHNNVFGATVFPHNVGLGAARDPELVRRIGEATALEVRATGIKWTFAPCVAVCRDPRWGRCYESYSEDPEMVRSLATIVTGLQGEPPADHPHGYPFLGSVREKVLACAKHFVGDGGTDRGINEGNTICSYDELEDIHMAPYLDCMAQGVATVMASHSKWNGERLHSCRCLLTDVLKGKLGFKGFVISDWKGIDKICEPRAPQGSEYRYCIAQSVNAGMDMIMIPYRFEEFLEHLVSLVETGEIPLSRIDDAVERILRVKFISGVFEHPFSDPSLLDIIGCKEHRLLAREAVRKSMVLLKNGKNQKEPFLPLVKNVKRILVTGTHADDIGFQCGGWTVGWNGNSGKITPGTSILEAIKESVGVQTEVVYEACATEATIESGEFSYAVVVVGEVPYSETVGDRTDLSIPFNGSDLITRVASTIPTLVIVVSGRPLDIETQVLEKIDALVAAWLPGTEGMGIADCLFGYQDFVGKLPVTWHRSVDQLPINSGDANYDPLFPVGYGLNMFQSDDNST >KQL14069 pep chromosome:Setaria_italica_v2.0:III:8538944:8540675:1 gene:SETIT_022764mg transcript:KQL14069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKANGSIQKAGKANDVQGGPNWVLVAGGVLLSTLSVRLGFSDQTSCHCFMSGHADGGVEVKQVPQSPISISTEPSNLLVKIAAPESSKENSGVMWSSSPDQLEDPRKPFQHSNCSGSPSVSESGSDIYTKREVIQKLRQQLKRRDEMIMEMQAQIADLKNSLTIQVTQNTNLQSQLDGTNRDLFESEREVQHLRKIVADYCVAEPLLHDKPFQDGQWQSNGTNGHVNGYSDSSIDDPVLHFNGVEKRKGEAERVELLKREVGELKEVIEGKDFLLQSYKEQKVELCSKVRELQEKLSAQVPNIL >KQL13638 pep chromosome:Setaria_italica_v2.0:III:5717247:5725584:1 gene:SETIT_0212461mg transcript:KQL13638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDKRLQNLKKEVAVQDSKHRKTLGELEKGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSAESQRETASQTIDLIKYLMEFNSTPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDVGRHGVTPAVGSANASRGLEVAVANLQEYCNELENRLLARFDAASQRRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVDIMNTDIQVVLGDEGLQADSNYIADGLSTLYKEIADTVRREATTIMAVFPSPNEVMAILVQRVLEQRVTTILDRILIKPSLASLPPLEEGGLLQYLRILAVAYNKTKELAKDLQSIGCGDLDIEGLTESIYVAHKDEYTEFEQASLRQLYQSKMAELKAEAKQQSESTGSIGRAKGASLTTSPQQLISVTVVTEFVRWNEEAIARCTLLFSQPTTVAANVRSIFACLLDQVSQYLTEGLDRARDSLNDAAAMRDRYVIGSSVSRRVAAAAASAAEAAASAGESSFRSFMIAVQRCASSVSILQQYFSNTISRLLLPVDGAHPSACEDMGSAVSVVEAAAHKGLLQCIDTVMSEVERLLSSEQKATDYRTPDDGAAPDHRPTNACIRIVAYLSRVLEVAFNALEGLNKQSFLTELGNRLHKGLLNHWQKFTFSPSGGLRLKRDITEYGDFVRSFNAPSIDEKFEQLGIVANVFIVAPESLASLFEGTPSIRKDALRFIQLRDDYKTSKIASMLNNITAE >KQL17147 pep chromosome:Setaria_italica_v2.0:III:48542882:48545018:1 gene:SETIT_021392mg transcript:KQL17147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRLLAAAAAFTSLCAVAVGQNAKTMLPFAPTCSTTGNYTVGSQYQKNLAELLSRMPAAAGDNGWFYKGSAGTGADEVFGLIMCFADRNATQCRECLDGAPAGITTVCPGSRDVSAAYDACVLLYSAAPTVTTADTRAVFAVYVSGEPVTSQGLGNAWLRLMTKLTAGVTASPLRLSNESALYSSSQEMYGLAQCTRDLNASECTRCINNYIDQLQGLFPNNTGGAIKGYSCYLRYQVGAFEITLPPVPPPPPPQQPSPEPSSSSKTGLAIGLSIGAASLIVLASLIWLHRLRRRKRGKILENARDHELEEGNFFDDEPEMEDEFEKGTGPKRFRYGELAEATDNFSDKQKLGEGGFGSVYRGFLKDMNLHVAIKRVSKGSKQGRKEYASEVSIISRIRHRNLVQLIGWCHGGGELLLVYELMPNGSLDTHLYSATVDGAPLPWPIRNEIVLGLGSALLYLHQDWEQCVLHRDIKPSNVMLDASFHAKLGDFGLARLVDHGRRSHTTVVAGTMGYMDPECMITGQASAESDIYSFGVVLLEIACGRRPLVPRRGEGEDDVVHIVQWVWEFYGRRDILDAADARLMGEFDAGEMETVMVVGLWCAHPDRSLRPSIRQAVNVLRREAAQPSLPARMPVATFMPPPDAFYYTSSAATGSSSNTGTTQSSTAETFTLLK >KQL17215 pep chromosome:Setaria_italica_v2.0:III:49015915:49022168:1 gene:SETIT_021041mg transcript:KQL17215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVTGAVGSLASKLLQLLVEEYKLQTTGLKKQVQSLSAELESIHPFLNKVANVPWYLLDEQIKVWAREVRDKCYDVEDVFDTLLVQFEGPETADPSRLKRAMHKMGDLFAKVKARHDIANDIEDIMRQLEEVAERRNRYKIDDSIEVKPASKTIDPRLSALYTKPSQLVGINEPRDALIEMLSIGGQEKKIVSIVGSGGLGKTTLAMAVYDKLTKINGRFDCGAFVPVGPNPDIKKTLRDILIDLIKTSNMINAFDNRRLSLLGDLKELDEKQLIDSLREHLEGKRYFIVLDDIWEKKTWRFVEPAFVDGKCGSRILTTTRNSEVSKEIGEVYKLDELSDKNSKKLFYSLTFGGEEKRPCSDNLDMVSDTIIHKCRGLPLAIITIAGVLARKPIEEWSDVYNSIGFGPDENEVEDMKKILSFSYYDLPSHLRTCLLYLSIFQEEYFIDKRELILRWIAEGFIYEERGKAFFDVGDSYLRELMNRNLVIPTEEKSSGLLRGCRVHDKLLRLIRQLSTEENFAVVLDKDQRSSVAQVKVRRLALRTLTEETNYNKDDLARVRSFNAIICFTKLIPPVCSFKVLRVLVLEYCIGMEGYPIKHIAKLLHLRYIALSHTPVRKLPKEIGNLKFLQTLLLDDTGIKELPASVRLLKQLMCLRADEKTRVPDWIGEMTSLVELEMYHELLPNFLLREAPRVQEQEMHSGADNKCSTRKFVKELGKLINLRVLKSGIKLQDQEEGRDFLASLSKLHKIQDISMLLTAYLEMDVGREPSFALSSSLRTLQLLNVHFSKVPAWMNGQRLPNLCQLKVLVSNTDEQDLRILGTLQKLRHLVLMDIRYHKLKLTICGCGGFQNLRFLHLGGSPLQFVPGAMPRLEDITFSVNVFELVDANISFDFGLENLSSLQSVTVGVHCADACLREVEEAEAAIRHAVDIHSKHPSLLVKRMDEDKMGTVDADSREVSRLMYKMLRDSTLSEQIKKLNDQIDVQNNCNSTLYSQAQEMAHSIPEGHPSIAKQIQWSSVTLLEMVALRKQLADTFQTINDTSDPDQLMQHIKLQERLFELYKVENKRMAAIVEELKAELKISDNVADAEEHGGL >KQL13939 pep chromosome:Setaria_italica_v2.0:III:7862845:7864057:-1 gene:SETIT_0253512mg transcript:KQL13939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPSFKFLNSLRLSLSAPENVASCKSAKYGCVPKGITMSTAQRGDRSSGSKPWEMQQYSQQRPGYGSNSQLSDDGSYTDAQDNYPPSYHQNGKAPDFQADEPRPLTYNTGIEERPPPQRRWMPPQPPGVVMPEAAAAIRQPKTLPKQPSSDASEAAGEMQVNGASSASAVVTEVPVNGAGASDAGRSEIEEQSVTI >KQL16066 pep chromosome:Setaria_italica_v2.0:III:28042587:28047189:-1 gene:SETIT_022541mg transcript:KQL16066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVVRRLGEPTAAPGGEASPFAAVSGDHPVPELSSPTAVRVRVAATSLNFATFLQLQGRYQERPPLPFVPGSDYAGVVDAVGPGVRGLRPGDRVCSFAGLGSFADFIVAEEKQLFLVPDGCDLVATGALPVAFGTSHLALVHRAQLKAGQVLLVLGAAGGVGVSAVQIGKVCGAVVIAVARGVEKLQYLKSIGADHVIDSSKDNVIESAKFFLKARGLKGVDVLYDPVGGKLTQDSLKLLNWGAHILVIGFASGDVPVIRANIALVKNWTIHGLYWGSYSTHQPRVLIDSLNELLSWLSKGLITVQISHCYRLAEAHLAFAALRDRKAVGKVMIVMASSAKSRL >KQL16060 pep chromosome:Setaria_italica_v2.0:III:27886851:27894358:1 gene:SETIT_022012mg transcript:KQL16060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAGGSPDRRGSGPGAGAAPSGLRRYGLNFSASSLLQAPLAALLEYSGVVPSVPAPQAPHHPSAAPSSPSSASEVDGLLSAAAAGDGEVSIRIQGGPGDSEAAGGAAAGTSSEDSIEATAGSEVDQASAAGRGAGGADAEANGAGSGASGNGGGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISVLIGITVIFMIHVFGVYWWYRNDDLLRPLFMLPPKDIPPFWHAIFIIMVNDTMVRQAAMAVKCMLLMYYKNCRGRNYRRQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQVLVAGDMCAICQEKMHVPVLLCCKHIFCEDCVSEWFERERTCPLCRALVKPADIRSFGDGSTSLFFQLF >KQL16059 pep chromosome:Setaria_italica_v2.0:III:27886851:27892864:1 gene:SETIT_022012mg transcript:KQL16059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAGGSPDRRGSGPGAGAAPSGLRRYGLNFSASSLLQAPLAALLEYSGVVPSVPAPQAPHHPSAAPSSPSSASEVDGLLSAAAAGDGEVSIRIQGGPGDSEAAGGAAAGTSSEDSIEATAGSEVDQASAAGRGAGGADAEANGAGSGASGNGGGDRAYQRYDVHHVARWIEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKGERKISVLIGITVIFMIHVFGVYWWYRNDDLLRPLFMLPPKDIPPFWHAIFIIMVNDTMVRQAAMAVKCMLLMYYKNCRGRNYRRQGQMLTLVEYLLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLAAVKALSRKDVHYGSYATAEQVKKISLLGLSLVYSFLVK >KQL12692 pep chromosome:Setaria_italica_v2.0:III:422328:428618:1 gene:SETIT_021449mg transcript:KQL12692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAQRAALLRESLQKSQQVTDAVVSILGSFDSRLSALDSAMRPIQVRTHAVRTAHENIDRTLRSADVILTQFDRTREAEREIQKGPHENLQGFLDAVDRLRSIERFFSSNRSYRSSDGVLNHVNALLSKALVKMEGEFQNQLSQRSKPMEPDRLFDCLPSTLRPSSESQPEGGKNPSTGSQSDNQQNSEAAVYSPPALIEPKFVPLLSKLAQQLVQAGCQQQCSEIYSEARASALESSLKNLGVEKLSKDEVQKMPWEILESKIGNWIHFMRIAVKLLFAGERQLCDQVFECSQSLRDKCFAAITKNSLATLLSFGEAIAMSKRSPEKLFVLLDMYEIMCELQTEIDTIFVGESCSQMRDSALSLTKCLAQTAQKTFSDFEEAVEKDATKNIHTDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFKKEDGTGSELAAVTMKIMQALQNNLDAKAKQYKDPALMHIFLMNNIHYIVKSVRRSEAKDLLGDDWIQRHRRIVQQNANQYRRIAWSKVLQCLSGQGLTSSGGSGQVGSEGGNSSGASRAAVKERFRSFNVLFEEIYQKQCSWSVPDTELRESLRLAVAEILLPAYRSFIKRFGPLIENSKAPGKYVKHTPEQLELLLGNLFEGKQERA >KQL14876 pep chromosome:Setaria_italica_v2.0:III:14491710:14496449:-1 gene:SETIT_021868mg transcript:KQL14876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRPLPSSASPGPDAHVEDEAPAADADACGGGARRSPKLALNGPEERVGGPRQAKDRRHDDSDADDEEEEGDGEGGAGGGGDDDCDSQSSQSDGEMDEFILVKLMDVRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDSLILALYPDIDKYEEEELAFSEQERTRNKKIQQSIAETFRRQTEALVKKRSAAKAPDAASTRKTRRNMRSRRRGRTSSPDIVPTDFEDEDREENGNDGSKESSSVDDRSPEVRPKRARRWPVPRRSPAKTIGSMDNGIEDNDDSGGARDLVTAAPLRGEMLAWGKNGTRSQTRHGNASGSSGRMAKGGRVAKLVDQLRNADDFDSKLSLYLVLLPLDGQSVPKLEKPYLSCQPTLSVQHLCQFVALQLSRQPKEVEIYIRKSSMDASLSANNTCKDEIKPDQSNGLERLWEEKSLSELYPSLTTCQGDLELLYSLKAQGQV >KQL13092 pep chromosome:Setaria_italica_v2.0:III:2551120:2554546:1 gene:SETIT_025185mg transcript:KQL13092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRGSARDGGVLGYVAAVASTDLEPFFFDEAAAVADHERRMRRVQAEAVLHQRRKAALDRICEYDPRNDSTYFSRFHFVDPGTFDLDEESPLGPMRETDASIDVRGTLCEEGTKQFLPDDCANILASDGGKQFIPSDSTNLLSVKIPSKDGSKRFIPCYSVNVLTVKIVSSDVGFGIDVYGTVIARDSIDLKCVYLFRRDRDHPQLILSKILTGPKRGLALKCDIYFEIDLKIKGDRRRKDKQLSKGYLLLDGVPLRLEDEMVVESDTLDTKLSKVVITYAVVKYAVEATFAIEVLQGRFYGEITACTTSIRDSIVLYDSKVAEPMTGNGKGVIQMLRNVVAVCLKEKLMMTITARTGDGKTKITTIKFTPGVNGGGEKEITCGSIKMCVKVTWSIISRKYLYP >KQL15358 pep chromosome:Setaria_italica_v2.0:III:19173335:19176095:1 gene:SETIT_022362mg transcript:KQL15358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQLSLFHALPRILQRKDITLVMYAAKLRIQQRITLEKLRDCIRVFCRSIGSRVAGDTLLGLYEKERLGLSHYADEEFEEERYWETLDADLRYWTRSLRPVQWYPGHIAKTEKELKEQLRLMDVVIEIRDARIPLSTSHPKMDSWLGNRRRIIVLNREDMISTEDRNAWATYFANHGNKVVFANGQLGMGTMKLGRMAKSVASGVNTKRKEKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELKWVRFGTDLELLDSPGILPMRISDQTAAIKLAICDDIGERSYDFPDVAAILVQMLIRHPAVGSEAFRRRYRIDVDDDCGKTFVTKLSVHLFNGDANQAAFRILSDYRKGKFGWVALERPPT >KQL16933 pep chromosome:Setaria_italica_v2.0:III:46817050:46817945:1 gene:SETIT_025009mg transcript:KQL16933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARRLEVSAQLLSVGAGRVVEVPLGYGRTKTSLKISGLIGIDPVAGQDKSSQVSPKILTYERSSLDIAMPVLVIGTGLSEQKRHELLPGSACAPKDVNHREFYRECKPPCYHFVTRDYGHLDMLDDEYAAALFRYFCKEGKNCKEIMRRSVAGIMVAFLKAVLSGEDGDLRVIVKDPGLAPAKLNPVEYRLA >KQL15674 pep chromosome:Setaria_italica_v2.0:III:22396167:22401444:-1 gene:SETIT_022484mg transcript:KQL15674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRRVKDQESYDDLSQKDVESLSGRSLSSANTSGLSSAGGAKGKSSWKLKSVVTLALTLLTSSQAILIVWSKRAGKYEYSVTTANFSVEALKCLLSLAALFRTWNRHGVTDDNRLTTSFDEVSVYPIPAVLYMVKNLLQYYIFAYVDAPAYQILKNLNIISTGVLYRIILKKKLSEIQWAAFVLLCAGCTTAQLNPSSDHVLQTPIQGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYIFGVIFNLVAICVQDYDAVMNKGFFHGYSFITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAIVSVFLFSFHLSLAFFLGSTVVSVSVYLHSVGKLQPQK >KQL13266 pep chromosome:Setaria_italica_v2.0:III:3492777:3493261:-1 gene:SETIT_025401mg transcript:KQL13266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGAATTCASCSLEIAAGPSGAAWRPGRGASAGS >KQL16813 pep chromosome:Setaria_italica_v2.0:III:45432847:45433317:-1 gene:SETIT_023962mg transcript:KQL16813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGGDDGVCYKLELHVVMVTAAKIDSTRTVYCPLCSSFVGAEIYRTRLPVVRFGL >KQL16512 pep chromosome:Setaria_italica_v2.0:III:41136832:41137178:-1 gene:SETIT_025526mg transcript:KQL16512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVFEGTLFLLPAEARTDNESDNEEEKDHGHGHLLA >KQL13901 pep chromosome:Setaria_italica_v2.0:III:7621451:7626782:-1 gene:SETIT_022377mg transcript:KQL13901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHHPKHRHHDDDLLPYRRSDDEAKPRRPYTPTFPSSSPGSANRLLVLFAATCLILAAASFAFAVSASRGRPPPPQPPPAVAFRCGPAEDSLRSFLASSSSGRNYSAGDREKVLAVVGVHTEHGSAARRAALRATWFPPNPEGIVSLEHGTGLTFRFVTGRPKDKQKMEDLQKEADMHHDFLFIDADEDTKPPQKMLAFFKAAYHMFDAEFYVKADDDIYLRADRLAALLAKERPQHRTYVGCMKKGPVVNDPNMKWYESSWELLGNEYFVHASGSLYALSSEVVEAVATAKSESLRMFDYEDVTIGAWMLTMNVKHEDNRAMCDPTCTPTSIAVWDKKCSGSCNITDKIQQLHNTTLCSKSPTLPPEVEEEE >KQL13927 pep chromosome:Setaria_italica_v2.0:III:7761287:7763628:-1 gene:SETIT_024224mg transcript:KQL13927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGRDLSGNKRTNKEQSSDQKFEKLNAALRISCLKGYPVRVVRSHKEKRSSYAPESGVRYDGVYRIEKCWRKIGIQGKFKVCRYLFVRCDNEPAPWTSDDHGDRPRPLPKIKELQGATDITERKGRPSWDYVEKEGWKWVVPPPISRKPVLSGDPETDKQIRRATKRAHMSVAERLLKEFGCSICRAVIKEPLTTPCAHNFCKTCLLGAYDSQASMRERSRGGRTLRAQKIVKKCPSCPTDICDFLENPQINREMMDLIQSLQRKAVEEGDTKVASDDAEECGDGESEANDDALAKEEDDGSMNEDEQDSADADANADGSVKIVVEIKEEGKDDKKTKVGVTGVVDVVVEEKAETKKRKCDAETVTDGAPAKRVKNVAAVEEVTCTPVKRTRKSGDVDNEGNGSPVVSSGRRVTRSSANASEADDSPARRTRSRARADAGC >KQL15425 pep chromosome:Setaria_italica_v2.0:III:20003327:20012147:-1 gene:SETIT_021382mg transcript:KQL15425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIMIEKNVDVDSDGERADFNDRATYEFLFKEYWEIVRDKEGMTLDKLEEAYAILKRGQNCKPDPDLEKLPDEERNSDAEFVGHSDDSDEELSSRAKLNGMTMKIKSFRKGAKSMRNGFVGWGSKELIEFLSSIGKDTSETLDQYGVADVVKNYIRQNDLLQKDKKKLVICDEKLQPLFRKSKVRYNKIHYLLERHIAANMILEDEALASSEDNRDSVMTKKARIASYQPSAPKCTPEINKRCFASLVCDNINLIYLRRSLVVHLLKEPDTFESKVIGCFVRIKNDRKDYSFHMHKKLYQLGQVTGIRKTTEEDKIKDISNVLLCIFNMPDISISMLSDEDFDEEECQDLHLLAQNKSFKRYTVGDLEEKARSLRRDIMSHDEYLDKKQLLRKPSEQQRLLEEVPRVIPEMEDSKDTEVQVTTRDRSTKKSTVAFQGTNAGSTVSLKRCSEEKYKGTNGTRASFFKSTAEEKFKGTGGERELSLKSLSEEKSEATNANTDGGTSVTHTQKPGTEANNVCGIPSVQNLDNNAADKGAEVSVDGDTAGAIVQRQSIEATDVITIDDDDDDHPCEKSGQATVDLDADDAGDTHHAEHKTNNISRRGHRNVKVKRGASLHMRMWHYIDPQGDEQGPFTMEHLRNWWNNGYFRDDFRVWRTGQTSDTAIKLIDALQLIDEVVAVTGPHVVDD >KQL16166 pep chromosome:Setaria_italica_v2.0:III:32824803:32830534:1 gene:SETIT_024355mg transcript:KQL16166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATIQESSPSVERVFEGQPYPSFWEQVTLRSMAIAVVLATVFSLVTLRIYMTIGVVGALNMPANVLSYFSVKSLVSMLRRYGIAAAPFTRQENIFLQTCVITCVNMAISGGLPNYIIAMNSQVAKSLSDHPDAADIIDHVPTGKYVLFLFLTGLVAITLMLPLMQVMIVDYRLPFPTGSVVAHLINSFHTPQGAYVAKLQVAAIFKTFLGSFSWSMFKWFYTGGEHCGFQSFPMFGLDLYKDRFFFDFSASFVGLGMIVPHVVNFGLLFGSITSWGLLFPFLDSKRGQWYQTDSTTSLSGANGYKIFIGITMIITEGIFNFIKLLAVSSIDFYKKRQENDSGKIKYMLTSPSLNYDDRKRLEVLFGYQIPHFIPVAGYIGCAIVCSVAIPWIFHHVTFYHMAVLFIILPVFTFCNTYGTGLTDWSVAQTYGRFLLFIIAAWIAKPGAVIASLVVCGVAVAALNVSSQAVQDLKTGFMTLTNPRAVVAGHIYGVLIGSIINPCILLAFEANAKSTAPIGSKDSEYPCPSASIYRAIGLLGKRGMDQLPDHCITFCLITFFITLAIETLRLVSQKKGWKLQNLIPCITAIALPYLTGPYYSIDMTLGSVMLIIWGKINRRSAELLSSAVAAGLICGDGIWVLPSSLLSIFHVHPPICMKFLASGKQVNIVDSFVNTLGISR >KQL15682 pep chromosome:Setaria_italica_v2.0:III:22532497:22533937:-1 gene:SETIT_023428mg transcript:KQL15682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGAAKAKQGGEEAAAQKTEKKDEKKAHKSNDADELIAFMKKHYDEKVKDVKTFDAFYHAIYELIERFCEERGQLQYRIPTKAELEKQYYKAHPSGTANLTPEQFEKIATGILKMDSFTFGRAAVDILAFLFGLPVCALLAKRVVPGLKSISDDIVIPAATSGAVIYLAKSNKL >KQL14433 pep chromosome:Setaria_italica_v2.0:III:11232834:11236272:1 gene:SETIT_021656mg transcript:KQL14433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRVVSSLLRSASRIRAASPAAPRPRAPPHRPSPAGYLFNRAAAYASSAAAQAAPATPPPATGKTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLSEQSFYMVGGIEEVIAKAEKIAKESAS >KQL17162 pep chromosome:Setaria_italica_v2.0:III:48665553:48667790:1 gene:SETIT_024823mg transcript:KQL17162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGAGGAGGSGGSGGGDRDKGKGIVFGNEYGSARGRANGRARNAPARTPSQVERENNRRRERRRRLVSSRIYTALRAEGNYTLPRNCDNNEVLKAVCREAGWIVEPDGTTYRRGSRPPRGVLGGFGATAPVSPASSTPPTPTPPTASYGLTLGSSSSSSSYLTLGGGSGGIFYGAAGSSSGLPAWFQRLSQADGQASSSTTASAPVTPQNASPPQLTLARWAAEDNAAAAAAAAAANLQPRWAAGAGTCRYAPQAQQQPLTMPPSPVGGGSGAVDPVLLLAGIQISAAAANNNNYRRPPRPYTYSPLGTPGASSFAAGASSSRLGTPGPVQSSGGPWAPAPAPAPAPAPAPAPAPAARGGDDGDVEMEPREFSFAWDGE >KQL16204 pep chromosome:Setaria_italica_v2.0:III:34293351:34296412:1 gene:SETIT_023420mg transcript:KQL16204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRRGKSSNKAKKGSAAPWQNGERKVIDGGGAGSNSRQVVPDTGFGDIDGGSSRDETFFEATPWLESDCEDDFYSVNGDLTPARSFSSQTSRIAPYAANKNLPTLGAILKAEPLKPPAPQMRKLGDLLREPQDDGDGPGDLSRADSLRLAEEANRCCVPQFARAISCSGRRSRG >KQL13532 pep chromosome:Setaria_italica_v2.0:III:5046882:5047867:-1 gene:SETIT_0213812mg transcript:KQL13532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIMMLTSGFFRLLPELPKIFWRYPVSYIVYGSWGLKGGYKNDLIGLEFEPMMPGQPKLKGEYIITEMMGLSLNHSKWLDLAMIFVLLFAYRVTFFVVLKVKEAAAPYIRVAYTRFTVKRLERRASFRKTLAMTSLSKRHSQPHPMAIQEGLNSPMPY >KQL13577 pep chromosome:Setaria_italica_v2.0:III:5332971:5333844:-1 gene:SETIT_024543mg transcript:KQL13577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKYCSLGCPEIFPYYSKIKHEAQCSFRPYNCPYAGSECAVAGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAYQLAMAPVYMAFLRFMGDENEARNYTYSLEVGGNGRKMVWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQTNPDGACIPNLCS >KQL15015 pep chromosome:Setaria_italica_v2.0:III:15596435:15600143:1 gene:SETIT_025054mg transcript:KQL15015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMLNPPRRIQILTTIADRILLHLSTNQTHLGLASVQMKMTLNTIQQRIISKFPLRRRRTSTLHEEEIPKKGSLIIEELDTKGEPILPEGISARFRNICGAIVRDKLQTWIMTSNWKKVPTTTKDVLWATVKERFTFPEGQEKFARNFAEGLLGRCFRNWKSTLNKEYVQKAKNARDDFIKALSEENTAKAMEAAENPNHLGAGGYAAKIVKWRKEEEERRRAGMSSTLPWGKSFPNDQASYRKCDRYKINLEEKMREIAKQEFLEFLANHAMSQTMADPTVSDGQRQAEPTMLLAQTGFVAPSSAGSIANVRYLVDNIQVDTPCRKRIKTSISSSNNVLMDYEHGKPFLYQWDLLEGSWELKKLHGWIMNAMKQGIQAITAHVPTKVFLGVLPYQIVIDFKDLHRLYHRQHLNVNLISCHKKPPSSVLCGYYMCEFIRNNGRYRTNPEDMPTIDSNYSKIEAKQIDNIFMDMARFILRDICHEDGAFFDKDGVLMVDKCTDLRRWA >KQL14451 pep chromosome:Setaria_italica_v2.0:III:11311944:11312461:-1 gene:SETIT_025416mg transcript:KQL14451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYLACLKVGTPCSYIIHTFSFYITQLNLELCDNSEFKHTNNFLAQ >KQL12827 pep chromosome:Setaria_italica_v2.0:III:1061675:1063000:-1 gene:SETIT_023483mg transcript:KQL12827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPSLAPAPSPAPAAKVASPKAGDRKVVPVTAADVVELAEKHVLAVDDSSVDRAVIAKILRSSKYRVTTVESATRALELLALGLLPDVNMIITDYWMPGMTGYELLKHVKESSKLKEIPVVIMSSENVPNRITRCLEEGAEDFLLKPVRPSDVSRLCSRIR >KQL14960 pep chromosome:Setaria_italica_v2.0:III:15082799:15083911:1 gene:SETIT_023641mg transcript:KQL14960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLPLGVFAVPASSSVEPSVDGQGSARRVLLGFVSGGCRSSNTAGEFSLNFVVLLLFCERVGDLGIPAGLSVWVAVAGNPGLLFPSVAADLWSSFSWRSDGRCAITEIEASRRVPGVGSCGLTKA >KQL17267 pep chromosome:Setaria_italica_v2.0:III:49352004:49354451:-1 gene:SETIT_021338mg transcript:KQL17267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCLTKNPLLPRRRLLLLLQPRRPCADATSSAAAGEIAPAPAANEAPLQDDLREESRSRLVQDICRLLELRDSWSAKREAQLRHLLRVLSPPQVRAVLRAQAQRDARAAFEFFRWADRQWKYRHAPEVFDEMLALLSRTRLHDPARRVMRLMIRRGMRRGTQQFAHLMLTYSRAGKLRSAMRVLQLMQKDGCAPDISICNVAVNVLVVAGRIDKALEFAERMRRVGVDPDVVTCNCLIKGLCEARRVVDALEMIGSMLQNGCPPDKISYFTVMSFLCKEKRVAEVRNLLERMRNDAGLFPDQVTYNMLIHVLAKHGHADEALGFLRESEGKRFRVDEVGYSAIVHSFCLNGRMPEAKEIVSEMISKGCRPDVVTYSAVVDGFCRIGELDQARKMMKHMYKNGCKPNTVTHTALLNGLCKVGKTSEAWELLNKSEEEWWTPSDITYSVVMHGFRREGKLKESCDVVVQMLQKGFFPTTVEINLLIHALCKEGKPAEAKDFMEQCQSKGCSINVVNFTTVIHGFSCQGDLESALSLLDDMYLTNRHPDVVTYTVVVDALGKKGKMKEATELVKKMLNRGLLPTPVTYRTVIHRYCERDKVEDLLILLDKMLARQEFSSAYNQVIEKLCAFGKLSEAYNLLSKVLRTASKRDAQTCHVLMESFLNKGLPLQSYNVACRMFQRNLIPDVKLCQKVDSQLALAGETQAARKLIIKFVERGILKQNN >KQL16251 pep chromosome:Setaria_italica_v2.0:III:35162759:35163181:-1 gene:SETIT_025503mg transcript:KQL16251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRKGIYCCQLSRHQMPPLFLILCLNKI >KQL15222 pep chromosome:Setaria_italica_v2.0:III:17922068:17923705:-1 gene:SETIT_024196mg transcript:KQL15222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDEPHPSIAGKEEEKFLTSARKMTTLKGDGPSRSNTGLPFGRSITDLFTHSWRDEPISKWNWIPKLAVLGEVGFPAHREGWKARRLARVPPLLPFTKSFANTLQSKKMREDPRSVRREDLGGSSKHRFEDDRRNNPRLEEERRNGPWFDEDRCHDPQFEGDRREAWAEEREHEESQLRERLQGEREEGGEENKDRGFSGDVARAGFRPRDFGTYRWCGKEGHHQALCTNDPLCFRCKTSGHVASQCPQMQIKTFARSKGVELALHNLSAKVSKSTEVAAASSILQMGWVKIYDIPSRARNQEVVKLIAELAGEVVIIDELSLIRVGPVRVKLNGRNINKLRGFVEIFFGKVGREIRFVAEGAIGQVPPKDPPPRKLDEDTDEEEEESNRDTELE >KQL16008 pep chromosome:Setaria_italica_v2.0:III:26885506:26887049:1 gene:SETIT_024548mg transcript:KQL16008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTNGGWAPRRSRQRRRRRKRTAAGSCDRLGPLPEERVPAHQRLGPRHRAPPTPPRARPTIDADGFTLVESRRRGRRRAPRHPRHRRPILCPAPSTVVGGGPRPSSHAPLCGPVGATKGAVEGANGVRRRRRRHRSKRPRGINIAVTPNYVDNAATDPLALEPCEDVGPPIWVDPMLDELAAFLVARPSTPATASLQARPALSEPLSPPRMTRLCFAADEASGEVGGDAAAVGSPLAATPAVEALGAIGGDAAADAEAPGVDGVVVAVVASDNDVGAPLLASPVADPPTAPAPVAAAPTAASVAAFIDSMRLPLQEPLIKSPPRTRTSRVVDDDWIPRRSVRLAAKSAFRDPNHEKQARRAVTNTPDDMIATKFHEAFANAHPSSRREAMREFFRLRGGRWLARVEGLHEP >KQL15630 pep chromosome:Setaria_italica_v2.0:III:22001336:22001830:1 gene:SETIT_023766mg transcript:KQL15630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHQVAQRESMNKQLQKHTRNGKNIYIILANGFFLEAKMYTVTPNHVIYLYLAVNPRLSVYRSIIKQSTDIFILMKGVIYESNPTNTGLRKHDHTSRCP >KQL16391 pep chromosome:Setaria_italica_v2.0:III:39000239:39000517:-1 gene:SETIT_025139mg transcript:KQL16391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRKELKNTIEAQAKQKQFQLQISSEKLQSAELEYQAAKENMESKARELEYKMLDFYNELLVADTSRMSEDIKVEWLEALKCMRMRLFDNQD >KQL14075 pep chromosome:Setaria_italica_v2.0:III:8600733:8601111:-1 gene:SETIT_024409mg transcript:KQL14075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNCFYINNMLIRYPDQVISLKEFKTSNKANVFNHTGVNKELAEMIHNWHIPGQLLAVGKPEHKTIIESKLKIPCLYNDAVLEIMWGIKNLMKSLVPRT >KQL12959 pep chromosome:Setaria_italica_v2.0:III:1862862:1863765:1 gene:SETIT_024054mg transcript:KQL12959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVVLFLGLHDNDAKEKRKVLKAVSALPGLDLISIDMKASKLTVVGMVEPIELVTKLRKLWHAEILSVGPAKEDKAAAAGDIDRREGAGGGGVEEGDYRKHRQEVTAEQVVERPADMRSPWPHAVVYPAPHPYPYHPHHQYVDGGHGAREDPRDYYVAGGLGAGGSHHPNSYVRHGHGAREDPRDYYVAGGLGAGGSHHPGSYVRHGHGARRNHPNSYGAQDPNACVIC >KQL14944 pep chromosome:Setaria_italica_v2.0:III:15018677:15023099:-1 gene:SETIT_023320mg transcript:KQL14944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGVGKAKQYANVLDKPLSRGRQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHREKGNRRETRLLGILSFIHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISVPKDMGAFNCGAFVAGIVKGVLDNAGFPAVVTAHFVPIEGQQRPRTTILIKFAEEVLHREARLG >KQL14092 pep chromosome:Setaria_italica_v2.0:III:8754910:8759926:1 gene:SETIT_021944mg transcript:KQL14092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHALTPPRSCIPARWSPSHQHSFDGPTRTNSLGLTRVHLNTHRLFCSSAPSRPSLHTHAPSLHTPPPSTAAAAAAAKTPARAEPVSATTSSPPSSSAARRAAGDPGGEGGARGAGAEMASEDVVGKSRGDTAVTTIVNLAEEAKLAREGVKGPGHQVLTICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILWAYRQRTGDEDAQLSPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTDKSPYQYRGMFHALGTVYREEGFRALYRGWLPSVIGVVPYVGLNFAVYESLKDWLLQTNPFGLANGNELHVVTRLGCGAVAGTIGQTVAYPLDVIRRRMQMVGWSHADSIVTGQGKEALQYNGMIDAFRKTVRHEGVGALYKGLVPNSVKVVPSIAIAFVTYEVVKDVLGVEMRISD >KQL16726 pep chromosome:Setaria_italica_v2.0:III:44473588:44476134:-1 gene:SETIT_021431mg transcript:KQL16726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDLDNLLLQAAGRTGKSQSRPSNPRWNSGGSGSDGFDDDSDSDAAPSYSRKKPPPQVPLKKRHQPEKGGRRAGGWRGDGDEDDEDDDDDDGRRSGGEDSDSAPSVGSDLYKDEEDKEKLEKMSELDRELILAERSSRIDDYKLKQMARSKTGKAGARKDSSPPPPPSRMRSSTRADKSGSATKSALNELRAKRMRQQDPEAYRNRFKDLLPQSGSPTRHRAGSPPSDGSNDGDNRGRLKDHGRIADDGRDDEFDESPSRIDPLKFDDVKSITLRRSKLVKWFMEPFFEETVSGCFVRLGIGKTKSGTPRYRLCIVRNVDASDPDRKYKLESYTTCKYLNVVWDSEANAARWQMTQVSDSPPNEEEFKEWLQEAEKNGVRIPTRQEVLEKKDAIQKAYNYVYSADAVKNMLREKSAVRRTINVAAEKDRLRNELEIALSRRNEAEAERIRVKLNNLQNMPQPMKKNDKAARLEAMNRKNRAENFKNASEMKPINTSLKAGEAGYDPFSRRWTRSRNYYTAKPGGDNVEEAANGSSGNAVAGNEDGKNKAHTGTAATAAAQVAAADAGKLIDTNAPVDLGTESNVLHTFELPISLSALQEFGGAKGLFDGYMARKQKIEATMGYKVPDNDGRRHALTLSVSDYKRRRGLL >KQL16866 pep chromosome:Setaria_italica_v2.0:III:46093502:46094062:-1 gene:SETIT_024205mg transcript:KQL16866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHRLYVLKGGECLENRKKCHKQPPSVLCGYYVCEFLRNNGRYRTNLENISLLYTAMIDTRDAALEDIGVVNICRDMAMFIQREICHKDGEFFDPNGVLAADECTRLRRWMNSSIPIVELCELFIIQVC >KQL13754 pep chromosome:Setaria_italica_v2.0:III:6477111:6479264:1 gene:SETIT_023193mg transcript:KQL13754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKRKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAAHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLKYKSEGRIMPDGVNAKLLGNHGRLEKRAPGKAFLEAVA >KQL15492 pep chromosome:Setaria_italica_v2.0:III:20856474:20858848:1 gene:SETIT_021631mg transcript:KQL15492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSANLITYLTQQLHLPMVEASNTLTNFGGTSALTPILGALAADSFAGRFWTIIAGSAIYQVGMVGLVVSALLPSLRPPPCSPPATPCLRASGRQLAVLYLSLLCTSLGSGGIRPCVVAFGADQFEEQKQQDNAEAAKAAAERKRRYFNLYFFTMGFAVLLALTVVVYIQENVGWGWGFGIPAVAMFVSIVVFVVGYPLYVLLKPGGSPFTRLVQVAAAAFNKRNVAVPEDPRMLYQDKELDALISTNGRLLHTNQLTFFDRAAIVTPGDISASGLPNPWRLSTVHRVEELKSLVRLLPIWSAGIMLATAGSHNYTFTIMQARTMDRHMGPYFQFPPATLSIFSTAAMLVTLAFYDRVFVPLARRVTGLPSGITYFQRMGIGLAISILSVASAALVETKRRDAAARHGLLDNPATVVPLSVFWLVPQFAVHGIGDAFSSVALMEFLYDQAPESMRSSAVALFWLAGSIGNYMGTVLVTAVQRATRGRGDWLQDNINRGRIDNYYWLVTCIMLLNFGYFLICFHFYTTKPLEVADEHGDHDKECELSSVQKNGGRGANGMV >KQL13889 pep chromosome:Setaria_italica_v2.0:III:7491515:7496873:1 gene:SETIT_020970mg transcript:KQL13889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRRLCSQFSLCLSIPWRPAFSHLIETLFDALWSQRSFIDLINLLLLGTYILSLVAAACARRFRDRTRIQPLLCSVTSICCAVLGVAFVCSGAWGSSSSCSSPGAWLFVRGVVWIAVSISLFVRPTRFSRAAAMAWWAALAAMVTAYNVEKILRGSPMQVLDVASWVASSMLLLCAISVCRGGTTGGEETQPLLTAGGGDQRKAAAFGEAGFFSRLTFTWMDPLLRLGYSKPLDLSDIPPLDADDAAEAAQRTFLQEWHRRRRTDGGRTTSNLVFWVLAECYKKELLLTALYTLLRTLSFSASPAILYCFVSYSYQRHRGIAAGAALIAGLVVMKVVESLSQRHWFFGSRRLGMRMRSALMAAIFEKQLRLSGEARKRHGAGEVANYIAVDAYRLGEFPFWLQWAWCMPVQLALAITMLFWTVGAGALPGLAPVAVCGVLNVPLARMLQRYQSRFMSAQDERQRATAEVLNAMKIVKLQSWEDRFRENVQRLRDAEVRWLAETQVKKAYGSALYWMSPTIISAVIFAGTAALRSAPLDAGVVFTILATLRVVSEPMRVLPEVMSIMIQVKVSLDRIGEFLAEDEFQDDAVDRTCMPNSTMSLTVRNGVFSWDPSKGIATLKGINVTAMRSEKIAVCGPVGAGKSSLLCAMLGEIPRMSGSVSVSGSIAYVSQTSWIQSGTVRDNVLFGKPMNNEEYEKAIRCCALDKDIENFPHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTSATLFNDCVMEALENKTVILVTHQVEFLSKVDKILVMENGEITQEGTYQELLQSGTAFEQLVNAHRDSKTPLDSQDHGKGAKEPGPFQCQIPMIPRNSETEISTGNLQSVQLTEEEKRELGEAGLKPYKDYVSVSKGWFLLVLIILAQCAFVVLQCLATYWLAIAVQNHQFSVAVVVGVYAVMATASCLFAYIRSLLAAHFGLKASRKFFSGLMDSVFKAPMLFFDSTPIGRIMTRASSDLSTLDFDVPYTMTFVISGTIEVAATLVIMTLVTWQVVLVVVPVVIVLLYIQRYYIASARELVRINGTTKAPVMNFAAESMLGVITIRAFASTKRFIQTNLQLIDIDATLFFYTSAALEWVLLRVEVLQILVIITSAILLVSLPEGAVAPGFLGLCLSYALTLSSAQVFLTRFYSYLENYIISVERIKQFMHLPAEPPAVISDSRPPPSWPSKGRIDLENLRVKYRPNAPTVLRGITCTFAAGNKIGVVGRTGSGKTTLLSALFRLIDPSSGRILIDDLDICTIGLKDLRMKLSIIPQEPTLFRGSVRSNVDPLGLHTDEDIWEALDKCQLKKTISALPGLLESPVSDDGENWSAGQRQLFCLARVLLLRNKILVLDEATASIDSATDAILQRVIKQEFSDCTVITIAHRVPTVTDSDMIMVLSYGKMIEYDRPSSLMENKESAFCKLVDEYWSNYN >KQL15738 pep chromosome:Setaria_italica_v2.0:III:23216453:23218539:-1 gene:SETIT_021761mg transcript:KQL15738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSVLQYLKLFLLFAFGGVIIAHVPDQDVLESLRKLPLDGHFSFRDMSTAARDFGNLSSFMPAAVLHPGSVDDIAITVRHVFLKGEHSMLTVAARGHGHSLRGQCQAAGGIVIKMESLPTARMQVHSGASPYVDASGGELWINVLHETFKYGLAPKSWTDYLHLTIGGTLSNAGVSGQTFRHGPQISNVIELEIVTGRGDIITCSPDQNSDLFHAALGGLGQFGIITRARIALERAPKMVRWIRVLYSDFISFTEDQEMLISAERTFDYIEGFVTINRTGILNNWRSSFNPQDPVWASQFESDGRVLFCLEMTKNYNPEEVDNMEQEVNNLLYQLRYIPQSLFHTDVTYIEFLDRVHSSEVKLRAKGMWEVPHPWLNLMIPKSSIHTFAREVFGKILKDSNNGPILLYPVNKFRWDNRTSVVIPDEEVFYLVGFLSSAPSSSGPHSIEHTLNLNNQIIEFSDKAGIGVKQYLPNYNTEQEWKAHFEARWETFLRRKNAYDPLAILAPGQGIFQKASLPLPS >KQL16302 pep chromosome:Setaria_italica_v2.0:III:36826552:36829415:1 gene:SETIT_024034mg transcript:KQL16302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGDPPDSMKGFWRYLLQKRVGAQLLQGLHYVMFGLGDSGYQKYNFPAKKLDQRLLDLGAKRIMEKGLGDDQHPAGYEGALDRWLQSLWKSLNETNPSHLPRISDIIHPNLDVLGDAKVEVIYYSAPQDADISDSKRLIERPRSMSPALKFHNDGEPQYMLQTTGVLSLEMAGGFFVAFSRGQPEKIYVQDKIKEQSARVVDILCFNEAAIYDVLCQGSGVSKENASGWLKELKMAGRFVIETWS >KQL15863 pep chromosome:Setaria_italica_v2.0:III:24813199:24835937:-1 gene:SETIT_020944mg transcript:KQL15863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALGVELVPYLPAITSHLQDAIAPRRGRPSLEAISCVGSFAKAMGPAMEPHIRSGLLDAMFSAGLSDKLVEALESISMSIPSLLPTIQERLLDCISQALPKSSIRPGASVGRASRSNSLQQLVDSSSPVLVQLALRTLANFNFKGHELLEFARESVILYLEDEDSSTRKAASLCCCKLVAHSLSASSSSQFSSNRSNRMGGAKRRRLVEEIVEKLLIAAVADADVGVRSSVFKALYRNPAFDDFLAQADILTSIFVALNDEEYDVRELAISVAGRLSEKNPAYVLPALRRYLIQLLTYLDQSMDSKCREESARLLGCLIRSCARLILPYIAPVHKALVTRLCEGTGPNANNALAAGVLATVGELAKVGGFAMRQYIPELMPVVVDALLDGGAVSKREVAVATLGQIIQSTGYVIAPYNEYPLLLGLLLKLLNGELEWSTRLEVLKVLGIMGALDPHAHKRNQHNLPGQHREVLRPTIETAQHIVSMEELPTDFWPSFSASEDYYSTVAISSLMRILQDPSLSSYHQMVVGSLIFIFKSMGLGCVPYLPKVLPELFRAVRMCEDGGLKEFITWKLGTLISIVRQHIRKYLQDILSLISELWTSSFSLPAPNRTIQGPQGSPVLHLVEQLCLALNDEFRMYLLQILPSCIQVLGDAERCNDYFYVPDILHTLEVFGGNLDEHMHLVAPVLVRLFKVELVDIRRRAIVTLTKLIPKVQVGTHVSALVHHLKLVLDGNNDDLRKDAAEALCCLAHALGEEFTIFIPSIRKILVKHHLRYRKWDEIENRLLRRELLITENLSVQKYTQCPPDVISDPLDDFDGSPSEIADETQRQSRNHQVNDVRLRSAGEASQRSTREDWAEWMRHFSIALLKESPSPALRTCARLAQLQPSVGRELFAAGFASCWAQMSESSQEQLVRSLKTAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDTRLLGALAEKCRAFAKALHYKEMEFEAVCNKKMGANPVTVVESLIHINNQLHQHEAAIGILTYSQQNLEVQLKESWYEKLHRWDEALRAYTMKSSQASGPLQNLDATLGRMRCLAALARWEDLSALCREQWTGAEPSARLEMAPMAANAAWHMGEWDHMAEYVSRLDDGDENKLRMLGNTTASGDGSSNGAFFRAVLSVRSKKVLESYERAYNNMVRVQQLSELEEVIDYCTLPVESPIADGRRELIRNMWNERIKGTKRNVEVWQALLAVRELVLPPNEDRDTWIKFAKLCWKNGRISQARSTLVKLLQFDPESSPELTLYHAHPQVALAYLKYQYAVGDELKRRDAFSRLQELSVQIATTMDSFPGTSANHGTMSNAGVPLIARVYLTLGSWKRALSPALDDDSIQEILISYNNATLSAKDWGKAWHLWALFNTEVMSRYTLRGRPDIAGKYVVAAVTGYFYSIACASTTKGVDDSLQDILRLLTLWFNYGATSEVQMALQKGFSLVKIEMWLVVLPQIIARIHSNNRVVRELIQSLLVRIGKGHPQALMYPLLVACKSISVLRQRAAQEVVDKIRQHSGGLVDQAQLVSKELIRVAILWHEMWHEALEEASRMYFGEHNIEGMLAVLEPLHAMLERGAETIKENAFIQAYGHELLEAHECCLKYRETGEDAELTKAWDLYYHVFRRIDKQLPSLTTLDLHSVSPELLKCRTLELAVPGTYAADLPLVTIEYFVPQLIVITSKQRPRKLTIHGSDGKDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTSEKDLSIQRYAVIPLSPNSGLIGWVPNCDTLHALIREYRDARKIFLNQEHRLMLAFAPDYDHLPLIAKVEVFQHALQNTEGNDLAKVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLDRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGTFRTTCENVMHVLRTNRHSVMAMMEAFVHDPLINWRLFNFNEVPQVSNYGNAHAQTVVSSEEAPANRELVQPQRGARERELLQAVNQLGDANEVLNERAVAVMARMSHKLTGRDFSSGSASGAGSSTQYGSEHWASGDARDADPGLSVKVQVQKLILQATSHENLCQNYVGWCPFW >KQL16485 pep chromosome:Setaria_italica_v2.0:III:40889102:40892607:1 gene:SETIT_022458mg transcript:KQL16485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGSGTGDEFGRAVARAAVAQALEAAGFDCAHRSAVDAVVDVLLRYITHLGRSAAFNANLAGRALANELDIIQALEEVGADTDGFAGASATGRCLAGSGVVRDLMAFVDTKAEVPFVRPLPRFPVPRVQQQPSASFAVAGKETGMRHVPEWLPVFPDPHTYVRTEAWVEPPATKERVDKVEQVRQRRKAEKSLLSLQQRLAQAGAEGFHPAAALAQDSTEKGKEIQAAGTKRNPFLEPALPPGEKDVSEVDMPPEKKKLSVLEAFAPAIQATTIREIDAGTGLDQNQRSIVPKERVPVHLKIGFGNKPVSAVLNSRALDLRDDPSFLKEEAKDDKKRRAGMILRASMENPQELPQL >KQL15354 pep chromosome:Setaria_italica_v2.0:III:19139801:19143273:1 gene:SETIT_021441mg transcript:KQL15354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSEPLLARADGMLPDAAVDHRGLPAERGTTGGWRSALFIIAVEIAERFAFYGVSANLISYLTGPLGEGTAAAAAAINAWNGVAQLLPLLGGALADKWLGRYRTIVIASLLYVLGLGMLALSTLLSSGGHHQCASATAGGQACAPSTLQVSFFYVSLYIVALAQGGHKPCVQAFGADQFDQSDPKESVSRSSFFNWWYFGMCAGTAVTLVFLSYVQDNIGWGLGFGIPCAVMAAALAVFLLGTRTYRYYVTSGKGSLFARAAEAFAEWRSRRIKAGLLHQAAQEHNPASAEAPGFRVDEEEQAVASTAGFVKEAKAVLRLFPIWATCLIYAVAFSQSSTFFTKQAATLDRRIGDRFKVPPAALQSFISITIVVFIPIYDRVVVPVSRQYSGKPSGITMLQRIGAGMFLSLLSMVIAALVETRRLRVARDAGVVDEPKIPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSVIDKVTAARGRSWFSNNLNRGHVDYFYWLLAALSALELLAYVFFAVVYKYKNKGAVHAAVAG >KQL15353 pep chromosome:Setaria_italica_v2.0:III:19136705:19143273:1 gene:SETIT_021441mg transcript:KQL15353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWCPTWRAQAASCEHVPESAVTDCHFCLSRRRHRPPTWLLMRGCVVDAHQSKSTDGWMGFVDRAVGYVVVGSDDDKPARSGMADGGAGVIADGSVVEAVDHHGRPASRATTGGWRSASFIIAVEIAERFAFYGVSANLITYLTGPLGEGVAAAASALNAWNGTAQLLPLLGGALADSCLGRYRTIVLASLVYILGLGMLALSTLLSSGGHHQCASATAGGQACAPSTLQVSFFYVSLYIVALAQGGHKPCVQAFGADQFDQSDPKESVSRSSFFNWWYFGMCAGTAVTLVFLSYVQDNIGWGLGFGIPCAVMAAALAVFLLGTRTYRYYVTSGKGSLFARAAEAFAEWRSRRIKAGLLHQAAQEHNPASAEAPGFRVDEEEQAVASTAGFVKEAKAVLRLFPIWATCLIYAVAFSQSSTFFTKQAATLDRRIGDRFKVPPAALQSFISITIVVFIPIYDRVVVPVSRQYSGKPSGITMLQRIGAGMFLSLLSMVIAALVETRRLRVARDAGVVDEPKIPVPMSLWWMVPQYVLFGAADVFTMVGLQEFFYDQVPDKLRSLGLALYLSIFGVGSFISSALVSVIDKVTAARGRSWFSNNLNRGHVDYFYWLLAALSALELLAYVFFAVVYKYKNKGAVHAAVAG >KQL14159 pep chromosome:Setaria_italica_v2.0:III:9230955:9236797:-1 gene:SETIT_021650mg transcript:KQL14159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLSGILMGGVVIVYERKVKLLYDDVSRLLIEINEAWRIKPATDPTILPKGKAQAKYEAVTLPEKIVNMEVEQPMIFSEADATRFRGMRLDDLDEQYVNVNLDDDDFSRAEHPHQAEAVNITLVDNFESGLAETDVFNRFERFDIADDETTVNITPDEHPQVPSTLVPSPPRHEDPPQQEEPYYAAPSPVQEEPQQEEQEEQKMKQQQPPKASKRKARRKLDQVIMDNNQMMIPGNIYQTWLKDASSLVSKRRKVNSNFDFIRSTKISDLMDMPPVALMSYLDKSSSELYYPKPLVQLWKECTAVNCAKASSSGQPPSSQEKQPRNSTPHEFPPQTEGEYEMETGPHPMDFTDGIEKLRGNVSAEYDRAYNTLHSDHSVTPGSPGLSRRSGSSSGGSGQGFIPLDPEVQLPSSGSGRSKRRQHSSGRSLGNLDPVDEDFPLEQEVRDFKMRRLSDFGPTPDLLEETEPTQTPYEKRSDPIDKVTESIQSHLKLHFDTPGGPQSESLNLLASGMTTARAARLFYQMTVLATFDYIKVTQLEPYGDILISRGVKM >KQL16839 pep chromosome:Setaria_italica_v2.0:III:45910097:45913959:1 gene:SETIT_022204mg transcript:KQL16839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVIEDKGGAIALMLASLLFLGTWPAVLTLLERRGRLPQHTYLDYSITNLLAAVLIALTFGQLGNSKDGMPNFFTQLSQDNWPSVLFAMAGGVVLSVGNLSTQYAWAYVGLSVTEVISSSMVVVIGTTLNYFLDNRINRAEILFTGVACFLVAVILGSAVHASNAADNAEKLNASNKLGANGSVEPSKGVLDKDAPKDLENGASGTKHVTKAEAGTAEYLIELEERRSIKVFGSSTFIGLGIVFFSGVCFSLFSPAFNLATNDQWHTLKDGVPHLVVYTAFFYFSISCFVIGIGLNILFLYRPMAGVPKSSFKAYLNDWNGRQWALLAGLLCGFGNGFQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRKTYILLGFMLSMFVAAVATLMASSGHRSTK >KQL14844 pep chromosome:Setaria_italica_v2.0:III:14276638:14280635:-1 gene:SETIT_024339mg transcript:KQL14844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAKRLHQLSLAVRCVTSHTVGYTKRNANTRARALYNPSRIRRSGSAILFKLTALQEILTGNNKQGEAAPPPRAPHEVVIDVASSSSSSEADADAAPAVTEDADSALHVAAAAGDGGRYLESAAVICGRARRLLGARNGGGDTPLHCAAPAGHARMVARLIGLARGEDGGDEAAARAALLRMRNARGETALHEAVRFGGDEMVAALVGADGELARVVAGDGTSSLYLASTWGRHQMAREMHDKDRGLSYSGPDGQNALHAAVLHDDREMTRLLLEWNRDLIKQRDINGSTPTHLAASAADPSLQFTNFVFSASNLEHCSLGSYFLLSQKCLTRLYEHMNLALPQVLRADPSSAFQPDIHGSFPVHVAASADSMASVIVLLTRCPGCARLRDARGRTFLHIAVEKKRQHVLSFVRRWCQQPSTSSVLNIQDDEGNTALHLAVLAGERNVVRCLIGNRSGQVQYGFYFGLTAPRRILGMLTFANAQTANRRRDQIEEYNPHQINEEEESRKIKEFPQIVGIGSVLVATAIRRPGGAAVLPVGTPVLSGRYAFDGFVISSALAFICSALATFSLLYCGVAAVDMQRRIKLMPFCAAFGFSLYLLLAPVEYRTAVVTCVIVSFALLDGLWFLLWSFKDLTVLLSRRVQRTWLKLGTGFVANIVYMFWPYLIIFGYVSRKHAL >KQL13139 pep chromosome:Setaria_italica_v2.0:III:2807610:2808337:-1 gene:SETIT_024430mg transcript:KQL13139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSDTTATAALPHGGGASTAPTSPPAAAVVLSPCAACKILRRRCVDRCVLAPYFPPTEPHKFATAHRVFGASNIIKLLQDLPEEHRADAVSSMVYEASARIRDPVYGCAGAICQLQRQVNDLKAQLARAHAELAGARAQHAHLLALLCVEVAAASPAYCGAVDYTSSQLAAAATPAAHADALYVVDGGSGLQLQASPVSWADEPLWT >KQL15531 pep chromosome:Setaria_italica_v2.0:III:21160177:21161404:-1 gene:SETIT_023892mg transcript:KQL15531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGDQRERDRQRAQARRPVAKGRDDGLTPEQRRERDAKALQEKAARKAAQAAGGADAKGGKGAGKNGVKK >KQL17449 pep chromosome:Setaria_italica_v2.0:III:50511183:50513792:1 gene:SETIT_021564mg transcript:KQL17449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAHPQTKGKRITPRKEAAKRRDNSNPLPIPIFSYYNRKKRGDRTNHPIRQVRPPAMATPRARTRWSALAASALIQCCAGSSYCFGVYSPALKASQRYDQSALDAVAFFKDIGANAGVLSGFLAAWAPAGRRRPWLVLLAGALLCAAGYIPMWLAVAGIAHAPLPLMCAYMLLAAQAQTFFNTADVVCAVENFPDRRGTVIGIMKGFLGLSGAILVQIYRTLHIDPTAFILMLAILPTTIAVMLMSFVDVHSTHERYNKKFLDAFSLIAVTVAVYLMIIIICDQVFMISSAAQTVCFVILLLLALSPVAVAVKAQKLESIQHEEPTSSEQRIGLLREEVAEGSESASSSTALLGSNQDLSAGKENLNVLQAMGKLNFWLLFLAMACGMGSGLATVNNISQIGGSLGYTNKETSTLVSLWSIWNFSGRFGAGFISDHFLRLRGVGRPFFIGATLLIMSVGHGIISSGLPASLYIGSVLVGLCYGCQWALMPSITSEIFGLNHFGTIFNMVAVASPVGSYILSVRVVGYIYDMESTPHEHGCHGKHCFALSFMIMACVCVFGSVVAFVLFVRTRKFYRRVVYARLQSFLDK >KQL13298 pep chromosome:Setaria_italica_v2.0:III:3683950:3688297:1 gene:SETIT_022483mg transcript:KQL13298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAQDDAEQLLHLKLAFLAGEPPACILALARYALPLAVKIATAPKVYGRQNSTYTTTIFKRIISEVELSSDIVIDALYEEFAQRMLSKAKDSLLNKTNHIYKEISFLSSTHDNVSSSLISVVARLSCSSNMLEGDTGCSLWPSSLFLSEFILSYPEIFSTKCCFELGSGVGLVGICLNYVGASKVILTDGDTSTLTNMKENMELNNVCIKLEDFEELKESKNKVECKYLSWEEASESDLRGYQPDIVLGADIVYDPVCVPHLVRVLSMLLRRDSKQGEVNRKSGDELEMDGPVAYIATVVRNAETFNCFAKAAADAKLSAINIGSSTAPSNFLPYMLSYDRSSVQLLKITLSS >KQL13132 pep chromosome:Setaria_italica_v2.0:III:2781815:2782332:1 gene:SETIT_025672mg transcript:KQL13132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRMATTGTRFRHRRRFLSRCCRPCCRRRPRRWTRMDPWRRRIASSLARISLGRFRFELCVGLLTVWFQSTSRRR >KQL17139 pep chromosome:Setaria_italica_v2.0:III:48504127:48505269:-1 gene:SETIT_023814mg transcript:KQL17139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSEFRQQNHHLILQICYHVLYLVRNTKGWLNKGRNIEQEKIKNTQCGLYMCIQKEKKRENASPLHHVFQILDCSHVVCRERNRPQ >KQL12786 pep chromosome:Setaria_italica_v2.0:III:864600:866220:1 gene:SETIT_021964mg transcript:KQL12786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATATKLPLAFVSALMLIISHASSLRFHYINSHNFTTKTSASSSSPSSSSSVARRSRNPSLAMVHRDAISGATYPSRRHAVLDRVARDNARAEYLARRLSPTYLPTTDLGSEVVSGLDEGSGEYFVRVGVGSPPTEQYLVVDSGSDVIWVQCKPCSQCYAQADPLFDPASSTTFSAVSCGSAICRMLSSSGCGDSDRCQYEVSYGDGSYTNGVLALETLTVGGTAVEGVAIGCGHRNHGLFVGAAGLLGLGWGPMSLVGQLGGAAGGAFSYCLASRGPGSNADAGSLVLGRSEAVPEGAVWVPLVRNPQAPSFYYVGLSGIGVGDERLPLQAGLFQLTDDGAGGVVMDTGTAVTRLPAEAYAALRDAFAAAVGALPRAPGVSLLDTCYDLSGYTSVRVPTVSFYFDEGATLTLPARNLLVEVDGGIYCLAFAPSPSGMSILGNIQQEGIQITVDSANGFIGFGPGTC >KQL15033 pep chromosome:Setaria_italica_v2.0:III:15928581:15929933:1 gene:SETIT_024166mg transcript:KQL15033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSVLMERYEIGRLLGQGTFVKVNLATGQTVAIKMIHKDKIMKTGLMDQIKREISIMRLVRHPNILQLFEVMATKNRIYFVLEYAKGGELFKKIEKGKLTEEAARKYFQQLISANETLKVCDFGLSALAESKRQDGMLHTACGTPAYVAPEVLSRKGYSGAKADVWSCGVILFVLVASYLPFHDRNLIEMYKKISKAEYRCPHYFSAALKELLYGILDPDPNTRMPISMIKRSAWYRKPVGLAVLKTEIRNQGSLRLTNLNAFNIISLSTGFDLSCMFDEKYSQREARFTSEQSAGAVFGKLKELARRLKLKVTKKDGVLKLATTKEGRNGILELDAEIFEIAPSFVLVGLKKTNGDTLEYQKLMKDGIRPSLKDIVWTWQGDQQQSCILRHAGQQQLQLPTTAAISTTPVIHQ >KQL13064 pep chromosome:Setaria_italica_v2.0:III:2360920:2366763:1 gene:SETIT_021674mg transcript:KQL13064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDLGAILKTGGLAVSDRTAIVSINIFIALLCSCIVIGHLLEGNRWVNESITALVMGLLTGGVILLATNGTNSRILVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTLISFVIITLGAIGLFKKLDVGPLELGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIENLDMGHFDAFVLLNFIGKFLYLFFTSTILGVATGLLSAYVIKKLCFARHSTDREVSIMMLMAYLSYMLSMLLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHTFATLSFIAEIFLFLYVGMDALDIEKWKLASSSPKEPIALSAIILGLVMVGRAAFVFPLSFLSNLSKKEARPKISFKQQVIIWWAGLMRGAVSIALAYNKFTASGHTAVRVNAIMITSTVIVVLFSTMVFGFLTKPLLTLLIPPRTGPNTSSLLSSQSILDPLLTSMMGSDFDVGQISSPQYNLQFILTAPTRSVHRLWRKFDDRFMRPMFGGRGFVPFVPGSPVEPSDPDSHLGTVTETDHS >KQL13936 pep chromosome:Setaria_italica_v2.0:III:7849180:7854210:1 gene:SETIT_023708mg transcript:KQL13936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHGRDDHEGVNVVGFEVPPSPDASYNNPVPGNEDEGREPPLVPPHLQHTLLSFPPSQDESSPLPQPQTVVLNHLYIEKESTRSVVALGITHRFRAKFVTVVLYKPVLRR >KQL14480 pep chromosome:Setaria_italica_v2.0:III:11479506:11482543:-1 gene:SETIT_022174mg transcript:KQL14480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTARARALPLALTLLLACSDVAVVAAQVTERIQGSAGDVLEDDPVGRLKVYVYELPPKYNKNILAKDSRCLKHMFATEIFIHRFLLSSAVRTLNPEEADWFYTPVYTTCDLTPWGHPLTTKSPRMIRSAIQYISKRWPYWNRTEGADHFFVTPHDFGACFYFQEAKAIERGILPVLRRATLVQTFGQKDHVCLKEGSITIPPYTPPYKMRTHLVPPETPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPMFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVTKLDTILTSIPMEEILRKQRLLANPSMKQAMLFPQPTEPRDAFHQILNGLARKLPHGKGVFLKPGQKVLNWTEGEPADLKPW >KQL13732 pep chromosome:Setaria_italica_v2.0:III:6344691:6347824:1 gene:SETIT_025113mg transcript:KQL13732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSASSPSSARVVSPTLLLLLLASFACHCRSAPAGAQVTGLPGFGGAQLPSKHYAGYVTVDEKLGSRLFYYLVESERDPAEDPLVLWLNGGPGCSSFDGFVYEHGPFNFESGGSAGSLPKLHLNPYSWSKGYMVGNGVCDTVFDGNALETSTACQGNYWNDSSSDKCRTAVSKVDENDEVATAWLNNDSVRSAIHAEPV >KQL16159 pep chromosome:Setaria_italica_v2.0:III:32229200:32229562:-1 gene:SETIT_025689mg transcript:KQL16159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILKIRDLDGPLAKFEDNPHFTLFIALFANMKCLFEMDNNHAYMVKTD >KQL13788 pep chromosome:Setaria_italica_v2.0:III:6741959:6742315:-1 gene:SETIT_024997mg transcript:KQL13788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELQRSSQTFRRSGSSGLVWDQNQRGHGATGDTGEDSLEVKELRHSRSVGSIGMLKQRRGGDGKERSRSNDGNQAFRTRHVPPALDPPSPKVSRCMFCGIFRKEEPSHTSKPKPRRY >KQL13873 pep chromosome:Setaria_italica_v2.0:III:7375917:7378544:-1 gene:SETIT_022961mg transcript:KQL13873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATTARLVLLVVLVAGSAWAVQAAADESQKAPLIHALRPMVGSAGDLGRRGGVPCDSWRLAVEAYNKRDWRTVPADCEGYVGHYMLGGHYRRDSRVVVNEAVAYAEGLKLGGKGKEVWVFDIDETSLSNLPYYATHGFGTKPYNATSFNAYVLEGSAPVLPETQRLYNKLIALGIKPVFLTGRTEDQRAITVANLRRQGYSGWMKLLLKPVGFKASAVGFKSGERKKLVDAGYVIVGNIGDQWSDILGAPEGARTFKLPDPIYYIG >KQL16425 pep chromosome:Setaria_italica_v2.0:III:39761824:39763866:1 gene:SETIT_024188mg transcript:KQL16425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGFVDLKKTIAELVDRLPCPQRDAHAAWYRHSPDRYAYDAGDESPGLQSDGADYYLLPRHPPHRHDAHMHRLARDVDRANRDSNTVSRAVRVPFDDGLGKLKISIPSFSGSGNLSVDAYYKEMELLMIRMGVKEDEDATTSRFIRGLNLDVQERVETAHYYDMLSLVHVAHRVEQQLKARRASGRSRSFLHDDGCGAATKSVSFKPNTLSKDVSKSTAGSVTKVPSKAESSVVASYSTKECYTCGARGHLRKDCPNQKKVLMTKQGYVSNSLSEKSTNESIYEAHACDGYPDIDDDAPNHGLSLLAQETQSDGPHIEVKGSASIKAEVFPAARKKKDATTNTSKSRMALFQGREDDLPGMLSSRVQINVG >KQL12990 pep chromosome:Setaria_italica_v2.0:III:1992387:1995498:-1 gene:SETIT_024571mg transcript:KQL12990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKKKKATAPAKLRKPPKREAEKKLGKKADMTEFRAQLDSLGLKIVEVNADGNCFFRALGDQLEGSEEEHMKYRAMVVEYIVKHREDFEPFIEDEVPFEEYCDSMLKDGTWAGHMELQAASLLMRRNICIHMLNSPRWYINNFSGREAANMIHLSYHHGEHYNSVRLREDPCQGPAMQVLIKTDANISSTNNNAQTKAKDPKKSSHRSTYDQESVKLVMAGTGCSDASIAEHVLGEMDGDVDAAIEYMIAEQFAMGANDAEGDPYTDCALDEFSKWHDENQAIDHKDEASCSSKDETVQKPKDSHSKEKSKTKDCSCGSTKKHKVSCSLATATPPGEPSRNNRDLE >KQL16016 pep chromosome:Setaria_italica_v2.0:III:27085586:27086347:1 gene:SETIT_025547mg transcript:KQL16016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSTQRGSTCVPVCLALLLLVSLRPSSARLLQPTGEDGGAVDVAREVKEAVVDKYAPLLLAMLPRGPVPPSGPSGGTNEAPRN >KQL17065 pep chromosome:Setaria_italica_v2.0:III:47924530:47927549:1 gene:SETIT_024478mg transcript:KQL17065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSNPPNGRSTADSAPRPPIQRPAERGGSSERICKQFDWPNGARRRIRRCCSGATGGRSRGAARRRSPPALRASPPLRPPHFGSLGCGQDGDSASQAPEFQHRYFWCRDHKLAICSEILPKLYRAARDAYYNTRNAPSQAVHLMSLTKVLLILCPDMLTAWNSRKMVLSEKYDLTKLKDELQLCALILSYSPKNESTWSHRRWVLKQVAEQNQDMAQLVEKESVLVKEIAERSKMNYRAWRHRCWLIPYMTRKQVLDELKKSTRWSELHVADNCCFHYRRSLLLALLDSCLGNGEDSVSWESETCMLWKDELRWNEMLIRRYQGRESLWNHRRFLSQWWIRQLFSVEETCPSTTSQVDLFITQEIGLLSECLNDPADEFGESCVQAELSALYILWISKQVPAVKGKLEERLHSVSIVGLKDVLVRACRPEKRWLWMNLLGLPDRSQ >KQL13704 pep chromosome:Setaria_italica_v2.0:III:6189194:6194541:-1 gene:SETIT_022601mg transcript:KQL13704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALLPPPPMDGDGAAPPVPATPDPASPPPAPEPKPPLLRRRPPVRVTSEFDSERRLFSHRFSCRVLDGLAKLRLRVSHGAGGGGIAWGPPDVALLARNFSVVVDPASRGAVLRGAADLAGSLRLRASHNTKEQQGEVSVTANLGDSPCKIELSSLVPPNGPPRATFFFPNGEVSIKEKILDEGNRILSVNGLVKSRVLKGVCTTAYNDNAMNIKYRYKDDEISFIPSISLPSNSLAFAFKRQLTPSDKLSYWYNFDTNYWGAIYKHKENKHLKWKAGYESDNRLGWASLWVGDAGGSTKEVPLKAKAHFTLKVPQGNIKNSVVVFHVKKRWDF >KQL14614 pep chromosome:Setaria_italica_v2.0:III:12381683:12382799:-1 gene:SETIT_023339mg transcript:KQL14614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLKIHGAVAVVAVIFLAAVVPSASTTVDEPATYKPTAPAPPPPSYPSPPPVQPVIVVHGVIYCKSCKLRGYNSGMDASPLPNATASLVCYGEEESKYRVLNQTSTASDKNGYFIVMVYDVDMFDRHTCRLYLRSSPTALCAKPFMPSNPKLGLNLVRDRAATAPRGARSVWHVKTALMYAPSAGGKCPPY >KQL13083 pep chromosome:Setaria_italica_v2.0:III:2480883:2481964:1 gene:SETIT_023707mg transcript:KQL13083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNCLQEQRDDDDAGRHGGAPPHAAAADALLHGVGGGAQASWSWQMGSPDNLPDAANPVEPADRLPVADQTSSTLRWAPGHGLARRSVDERAPAALPEDPADLSSALRKP >KQL16481 pep chromosome:Setaria_italica_v2.0:III:40879080:40879289:1 gene:SETIT_024746mg transcript:KQL16481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIVRLHFHLCFLMSHSLGELGCDFAKIEGSMKPINNKKIMIVFYFKNGVMCHKPR >KQL14404 pep chromosome:Setaria_italica_v2.0:III:11025071:11032137:1 gene:SETIT_021514mg transcript:KQL14404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLISLVNKLQRACTALGDHGEESALPTLWDSLPAIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHRIDGDREYAEFLHQPRKRYTDFAAVRKEIADETDRETGRSKQISPVPIHLSIYSPYVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSYIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQQPWVGVVNRSQQDINKNVDMIAARRRERDYFSSTPEYKHLAPRMGSEYLAKMLSKHLEQVIKSRIPGIQSLITKTIAELETELNRLGKPIANDAGGKLYTIMEICRMFDSIYKEHLDGVRPGGEKVYHVFDNQFPVAIKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESCLVSIRGPAEAAVDAVHAILKDLVRKAINETHELKQFPTLRVEVGNAAFESLDRMRDESKKNTLKLVDMECSYLTVDFFRKLPQDVEKGGNPSHSIFDRYNDSYLRRIGQTVLSYVNMVCATLRNSIPKSIVYCQVREAKRSLLDHFFTELGAREMKQLSKLLDEDPAVMERRTNLAKRLELYRSAQAEIDAVAWSK >KQL16096 pep chromosome:Setaria_italica_v2.0:III:29246399:29246769:1 gene:SETIT_024278mg transcript:KQL16096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMFNECLPISNGGQGGSAHRRGLVPHVRFNAQHTQGDHNSPYGQSDRRNSDCIPWVDLSIPKFVGREVPKAYLVWEEKCDYIFGVHRVLDAQ >KQL15011 pep chromosome:Setaria_italica_v2.0:III:15554521:15554844:-1 gene:SETIT_023858mg transcript:KQL15011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALSGSSALRTALSTLAPRASTTRGYAVSAAYGAMRRAAAAAEGATAGEAKEAERGAAAEISGIPDPVTGHYRPAN >KQL16603 pep chromosome:Setaria_italica_v2.0:III:42846891:42847717:1 gene:SETIT_023168mg transcript:KQL16603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAMTPPPQLLLACLLTLLLATAVAPPAGAYCVSKKSGAHSKPGSPAKPAPAKPAPAPPKPVPLIPSADIVRSLCLKTDYPDLCTSSISKQLQPQLPGGKRLEAPDVLRLAMAAVRAKAGEAKAAAAALANDPKTQPLARGPLHDCVESFDDIAYSLDEAEKALAGGDRDTTGTMLDTVRTDVDTCDQGFEEREELTPVMAKQDAELAKLASNCLAIAAAAGLR >KQL13500 pep chromosome:Setaria_italica_v2.0:III:4830139:4830648:-1 gene:SETIT_024191mg transcript:KQL13500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMLPCLVQGSSSSILPPMNTKHWSRSMKILARTLRRSTKLPHGSNRVKAAAGSTETSSAAYRDIPRARRAPRSGQNRRRAAVRVKVVLTRAEAARLLSLTAHGHRTAAQVVGELKRMQAAATGSSRAFTAQVISELKRMEELVVARASTSPSASTAWRPVLESIPEEW >KQL15439 pep chromosome:Setaria_italica_v2.0:III:20150899:20152757:-1 gene:SETIT_024802mg transcript:KQL15439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGRRGPRWGLPPARSKVLGKLGPSFGAGAGCGVGVGVGLIGGVGPGFPGLHLGFGVGVGCGIGIGFGYGFGTGVAYDENGKYSNIVRSNQKSKGLPSEDQIDVLLDELIENTKKLIKATSKEIDKWRRA >KQL17276 pep chromosome:Setaria_italica_v2.0:III:49398637:49401826:-1 gene:SETIT_021304mg transcript:KQL17276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPIVLVLFILCIFFPAEVMPDGASSGPSVSLPGCPDKCGDVSIPYPFGIGEQCAARSINSYFIVTCNDTFQPPRPTVGEAVVEVTNISLEYGEMRVLSPISHICFTSSTSFTKLIGGYELQSTPFLPSPSRNRFTAIGCNTLGLIGGYQGAASQYVAGCYSYCEGVNSTSDGAPCAGMGCCEAAIPANLTSFGVMFEMNQSKVWGFNPCFYGMVAEVGWYSFRQQDLIGRLAFIDARAKRGAPIIADWAIRNSSCPVEGKEPPPGYACISANSYCMGANNGPGYLCQCSKGYEGNPYILNGCQDIDECLLRTQDSKYEELYPCRKGVCHNTPGSYFCKCKMGTRSDGTNFGCQSIHASSKLVIGLSVSAIMLMALACLYTMQLQRKRHMMEKEEYFRQNGGLKLYDEMRARKVHTIHILTEKEVKRATNNYSEDRVLGCGGHGMVYRGTLDDHKEVAIKKSKIINDDCREEFVNEIIILSQINHRNIVRLLGCCLDIDVPMLAYEFVSNGTLSKFLHGDDRTSPIPLDLRLKIATQSADALAYLHSSISRTTLHGDVKSANILLDDQHNAKIADFGASAQKSMEESEFIMFVQGTLGYLDPESFISHQLTEKSDVYSFGVVLLELITRKRAMFADKFNEKKSLSYIFLLMFRHNKHRVMIDTEILDEAVMEVLEKLAQLAVRCLCPSGDDRPTMKEVAERLQMLRRLHMDASSDCEDSHYANNHGGSPSLVAPLDEMTYSSMETSTLIFA >KQL13228 pep chromosome:Setaria_italica_v2.0:III:3286717:3290436:-1 gene:SETIT_022194mg transcript:KQL13228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGVARSSLGFQNDTSSSSDADRLPNELGNMSIRDDKDIEDIVVNGNGTEPGHIIVTSIDGRNGQAKQTISYMAERVVGHGSFGTVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRVLDHPNVVALKHCFFSKTEKEELYLNLVLEYVPETAHRVIKHYNKMNQRMPLIYAKLYMYQICRALAYIHNCIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGDSGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPAEAVDLVSRLLQYSPNLRSTALEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKSMPMDFLVKLIPEHARKQCAFVGW >KQL15751 pep chromosome:Setaria_italica_v2.0:III:23363240:23364152:-1 gene:SETIT_025199mg transcript:KQL15751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLYTQMNNRASWDEGTTKTLLDLCIAQKNQFNWSNKCLTKLGWRNVYSGFRAQTGLHLGSKQLQNKLNNLRRGFLSWLALQNKSGLERDTQTGGVSADATYWEEDEEARSQPSFVKPPPFLDELFELFGHEPQDRGTLLTAGGIREATPSVGTEGNAVDLDQDPPASSARAMSKWLVREFSVDSPTKKRSDNLEQYIRELSNTVAKRSQQRADRTHEQMVRCMQLLKEDGIQEGSPLHYQALYLCTKSAEYRSALMEMTTKEAE >KQL15391 pep chromosome:Setaria_italica_v2.0:III:19592696:19594067:-1 gene:SETIT_022667mg transcript:KQL15391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSSSSAAVVLAAHCAVLLMLALAGAAHGNPPSSAGSVLSSTFYDASCPSAHDVVRRVIQDARVSDPRIPASLIRLHFHDCFVQGCDGSLLLDDDLPAIKTEKTVPANNKSARGFEVVDDIKSALEEACPGIVSCADILALAAEISVELAGGPRWRVLLGRRDGTATNIQSARNLPNFFDPLNVLQEKFRNVNLDDADLVALQGAHTFGKVQCQFTRENCTAGQSRGALENLDQVTPNRFDNKYYGNLLEGRAQLPSDQVMLSDPAAAATTAPIVHRFASNQKDFFRNFAASMIKMGNISPLTGKDGEIRKNCRRVNKGY >KQL16331 pep chromosome:Setaria_italica_v2.0:III:37664407:37664783:-1 gene:SETIT_024125mg transcript:KQL16331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGEFISVCSQRDVELAQLDRDGRRGGEEEKALLSPVQAAVASALAFSVGALVPLLAAGFVRDYRLRIGVVIALATATLAASCARVVIGSLAAMGVTFGLMRLFKASGI >KQL14955 pep chromosome:Setaria_italica_v2.0:III:15066153:15067171:1 gene:SETIT_024122mg transcript:KQL14955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLPDDVLADVLRRGAPRVVATSRRVCTALRALIDSRSLLREDLVPRSLAGLFVNYNEMALAELFRPPSSMQDPADHYMPNACVRGHCNGVLLLFHGLLNPVAWWWAPLPELPAQHSTNNMWSCRRTTSNHPWARRDQVVQHVEISAALLELEWPPSPCVMNVFSTVTGRWTERSFRREGEAAGTVAGMRKGGPWLDGRQAVYWRGVLYAHCEMDFVMRISLSDNTYRMIRPPCGIEMCECPELHLGRSEKGVYCAYFDDERQLRVWILDESCGRMAWVLRHES >KQL15722 pep chromosome:Setaria_italica_v2.0:III:23040196:23042572:1 gene:SETIT_023494mg transcript:KQL15722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDKHEILQLHVALSPPLARLTPNRERKTMAMAAARRALLTHLRVPVARTAAAAVAAGTVPVAARRLLSSTTEETKGSFLDKGEVADRVVSVVKNFQKVEPAKVTPTAHFQKDLGLDSLDTVEVVMAFEEEFGFEIPDNEAEKIDSIKTAVDFIASHPQAK >KQL14309 pep chromosome:Setaria_italica_v2.0:III:10454182:10454666:-1 gene:SETIT_025661mg transcript:KQL14309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVSMLNDLFGSDHVLNSKFVDLVEILGSSWIITWTLHMMHMY >KQL14326 pep chromosome:Setaria_italica_v2.0:III:10559853:10564252:-1 gene:SETIT_021595mg transcript:KQL14326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGSLANVAHAVATKSVFHIYYNPRLSQSEFIIPYSKFMKSFSQPFSAGLRFKMRYESDDATERRYTGIIAGISEADPMWRGSKWKCLMVRWDDDVDFRRPNRISPWEIELTSSVSGSHLSAPNAKRLKPCLPHVNPDYLVPNGSGRPDFAESAQFHKVLQGQELLGYRTHDNAAVATSQPCEARNMQYIDERSCSNDASNSIPGVPRLGVRTPLGSPGFSYHCSGFGESQRFQKVLQGQEVFRPYRGSLVDACLRNNAFHPQDGSHAPSAVNKWHTQLHGCAFRGPQAPMLPSQSSSPPSVLMFQRGNSKISRFEFGHGSLDKNEDDRPAMFGHDGGIGGTEQSLMLQPHHDSGEVRNRHVTVEKFHSTVAARKDGPDNREVNTNSCKIFGISLTEKVPANKEKDSGDVNYPSPFLSLKQQVPKSLGNSCATVHEQRPVVGRVIDVSTMDMMI >KQL14325 pep chromosome:Setaria_italica_v2.0:III:10560398:10564160:-1 gene:SETIT_021595mg transcript:KQL14325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSQQRPSQELVAKDLHGTEWRFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGDDGELRLGVRRAAQLKNGSAFPALYNQCSNLGSLANVAHAVATKSVFHIYYNPRLSQSEFIIPYSKFMKSFSQPFSAGLRFKMRYESDDATERRYTGIIAGISEADPMWRGSKWKCLMVRWDDDVDFRRPNRISPWEIELTSSVSGSHLSAPNAKRLKPCLPHVNPDYLVPNGSGRPDFAESAQFHKVLQGQELLGYRTHDNAAVATSQPCEARNMQYIDERSCSNDASNSIPGVPRLGVRTPLGSPGFSYHCSGFGESQRFQKVLQGQEVFRPYRGSLVDACLRNNAFHPQDGSHAPSAVNKWHTQLHGCAFRGPQAPMLPSQSSSPPSVLMFQRGNSKISRFEFGHGSLDKNEDDRPAMFGHDGGIGGTEQSLMLQPHHDSGEVRNRHVTVEKFHSTVAARKDGPDNREVNTNSCKIFGISLTEKVPANKEKDSGDVNYPSPFLSLKQQVPKSLGNSCATVSAPWSNFVVVLE >KQL14204 pep chromosome:Setaria_italica_v2.0:III:9536385:9536851:1 gene:SETIT_023991mg transcript:KQL14204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMILHIKGISTTAGIEFARDQTDLVTGLHGPIMVDKLHHFFWSLEIIGSYPRV >KQL15512 pep chromosome:Setaria_italica_v2.0:III:21019217:21023113:1 gene:SETIT_021346mg transcript:KQL15512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTTFSVPRGFLGVPAQDSHFAPAAELHAHKQLQARPIKPRRRPACVSASLSEREAEYYSQRPPTPLLDTINYPVHMKNLSVKELRQLADELRSDVIFHVSKTGGHLGSSLGVVELTVALHYVFNAPQDRILWDVGHQSYPHKILTGRRDKMPTMRQTNGLAGFTKRAESEYDSFGTGHSSTTISAALGMAVGRDLKGSKNHVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTATLDGPVPPVGALSSALSKLQSSRPLRELREVAKGVTKQIGGSVHELAAKVDEYARGMISGPGSSLFEELGLYYIGPVDGHNIDDLITILNDVKSTKTTGPVLIHVITEKGRGYPYAERAADKYHGVAKFDPATGKQFKSPAKTLSYTNYFAEALIAEAEQDSKIVAIHAAMGGGTGLNYFLRRFPNRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELCHMVATAAAIDDRPSCFRYPRGNGIGVPLPPNYKGTPLEVGKGRILLEGDQVALLGYGSAVQYCLAAATLVERHGLKVTVADARFCKPLDQALIRSLAKSHEVLITVEEGSIGGFGSHVAQFMALDGLLDGKLKWRPLVLPDRYIDHGSPADQLAEAGLTPSHIAATVFNVLGQNREALAIMAMPNA >KQL13058 pep chromosome:Setaria_italica_v2.0:III:2342080:2342643:-1 gene:SETIT_024722mg transcript:KQL13058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIASSFPLAVTVAVIAVVSSAYGLRAAEATIEGTCAAAAARDRRVDAAFCARRFAAYHGAAEAGPWGLARTAALIGVSLGDDAAYDIGEGVVRPPPAGGARGKAALHECARAYDAVGMAFAEASDELGARRYAEAEERFARVAALAQRCDGVLAVAGARTPPALARYSADCQQMAVIGIAITNLIK >KQL15190 pep chromosome:Setaria_italica_v2.0:III:17714015:17715625:1 gene:SETIT_024914mg transcript:KQL15190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEICCEEAKATPASASAVTALARRRPRVELGVPGAYRPTPAADEDGSGSGSGGRGGKRRRVSGAAPGRSCQRPRVPGFGSRWWPRYGVTSVCGLRREMEDAVSIRPDFLHGGGGGGASSSSSGKHHFFGVFDGHGCCHVARMCQDRMHELVADEYSKAASGKEGGATAAAAAEPAWKEVMERGFARMDDEAASWAASRSGNNDDLPCRCELQKPARCDHAGSTAVVAVVGPTSVVVASAGDSRAVLCRGGVPVPLSVDHKPDRPDELERIQAAGGRVIFWDGARVLGVLAMSRAIGECRAVYLGLFARAGDGYLKPFVTAEPEVTVTERTDGDECLILASDGLWDVVSNEMACEVVRACFRSNGPPSSPGARPNGVLPPAAAAGREGDGPAAVKVDRAESDRACSEAALLLAKLAIARRSSDNVSVVVVDLRRGS >KQL17352 pep chromosome:Setaria_italica_v2.0:III:49911761:49912598:-1 gene:SETIT_024740mg transcript:KQL17352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGQQTTCTVRSDAAGDVVKRVAKLNANLVSEEGGEAVLQSLRQLQGVRMTFEALEATKVGRAVNALRKSAPSAQARQLAAELYRRWKALADEHFATRRARAAGGAPKVDDGSVASPWRKAMPPPAIVKKQRIIRLVVKNSRPPSGGSNQQSSAASTATVSHQGPASVAKRVSPATSAASLTKAAKPPPPTALPKPSGSGACKRKEAPPAASDEARLARAKVRLHEGYKEASTVKEKRKIQVIAAAPGKRRQP >KQL13669 pep chromosome:Setaria_italica_v2.0:III:5909719:5911226:-1 gene:SETIT_023122mg transcript:KQL13669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEFLRVKKFHHQQEADDLSLRKRMELQPELSLAPAAWQGFAASPAPAAKSSSSESDGTSRKKRKHYADGAGWEEPQQPPASLELQLNDPLPLDWEQCLDLQSGRMYYLNRKTLKKSWVRPREQSVNLDLNISTAATIDDTTSAGVVAAPDEDAEPTKRPTGAVSSGGNNNMVAVPCANCHLLVMLCKSSPSCPNCKFVQPLAPTTPRGAMPQPPAHRRLHAAVKPLETLSLLH >KQL17398 pep chromosome:Setaria_italica_v2.0:III:50232692:50233360:-1 gene:SETIT_023625mg transcript:KQL17398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRIFLRSLALLLIIRAAHATGHGVQAAAVTLQGMGGGVGSNSMMRTMVGSRPPICAGMCWWCGGRRCVAVQVPITPQQDNKKIPYHRAHGGRGGVSSSSALMQKKKAAASYDDRSNYKPLSWRCKCGGP >KQL14971 pep chromosome:Setaria_italica_v2.0:III:15235502:15237341:1 gene:SETIT_024626mg transcript:KQL14971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTVRVAAGVVLALSALLALAQAEDPYLFFEWKVTYGTKNLLGKPQKVILINGEFPGPRINCSSNNNIVVNVFNQLDQPLLFHWNGIQHRKNSWMDGLLGTNCPIAPNTNFTYKWQPKDQIGTFFYFPIIGMQRAAGGYGAITVVSRLLIPVPFDPPPPESDHVVLIGDWYTKDHEVMARMLDAGRSVGRPEGVLINGKGGPDAAAAPMFTFEAGKTYRFRVCNTGIKASLNFRIQGHDMKLVEMEGSHTVQDMYDSLDVHVGHCLSVLVDADQKPGDYYMVASTRFIHDARSVMAVIRYAGSNTPPSPNVPEPPAGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLMVSRGHIDGKLKYGFNGVSHRDTETPLKLAEYFNVTDGVFSYNQMGDVPPAVNGPLSVIPNVITAEFRTFIEIIFENPEKSMDSLHLDGYAFFGVGMGPGKWSPELRKTYNLLDAVSRHTIQVYPRSWSAIMLTFDNAGMWNIRSNIWERHYLGEQLYMSVVSPARSLRDEYNMPDNALRCGKVVGLPMPPSYAPAR >KQL14914 pep chromosome:Setaria_italica_v2.0:III:14840265:14843627:-1 gene:SETIT_021032mg transcript:KQL14914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGEAVLSAFMQVLFDKVISAAIGELKFPPDVTEELQKLSSSLSTIKVHVEDAEERQLKDKAARSWLAKLKEVAYEMDDLLDEYAAEALQSKLEGPSNHGQLKKVRSCFCCFWLDNCLFNHKIVQQIRKIEEKLDRLVKERQIFGSIMISGTERQEIKERPKTSSLIDDSSVFGREEDKETIVKMLLTPNNSNHASLSILPIVGMGGLGKTTLTQLVYNDARVKEHFHLRLWLCVSENFDEMKLTKETIESVASGFSSATTNMNLLQEDLSKKLQGKRFLLVLDDVWNEDPLKWDRYRCALLTGEKGSRIVVTTRNNHVGKLMGGMTPYHLKQLSDNDCWQLFKNHAFVDGDASAHPELEIIGKGIVKKLKGLPLAAKAIGSLLCTKDTEGDWKNILKSEIWELPSDKNNILPALRLSYSHLPAILKQCFAFCSVFPKDYMFEKGRLVQIWMALGFIQPQGSRRMEDIGSSYFDELVNRSFFQHHKDGYVMHDAMHDLAQSVSVDECIRLDDPPRSPVRSSRHLSFSCHNRSCTSFEAFPEFKRARTLLLLNGYKSMTSSIPKDLFCKLKYLHVLELHRRDITELPESIGNLKMLRYLNLSGTGITRLPSSIGRLFSLQTLKLQPCHVLDYLPESITNLVNLRCLEARPEVIAGIAGIGNLTCLQHLEEFVVRKDKGYKISELKEMQGITGNVCIKNLENVSSAEEANEALLSKKTYINTLHLVWSNTRRLTSKKADKDMQVLEYLQPHHELSELTVKAFAGFYFPSWLSRLTHLQNIHLSDCTNCSVLPALGVLPLLKFLVIGGFHGIIQINQEFSGTSGVKGFPSLKELVFEDMSNLETWASVQDGQLLPSLTELAVIDCPLLAELPSFPSSVVKLKISETGFTILPEIHTPSSQFPSSLACLQIHQCPNLTSLEHGLLCQKLLMLQQLTITSCPELTDLPVEGFRGLTALKSIHIYDCPKLELSRQHSLLPSILEDLRISSCTNLINPLLQEIDEISSLTNLAITDCASLHYFPVKLPATLQKLEIFHCSNLRCLPPGLEEALCLTAMTIVKCPLIPCLPEQALPQSLKELYIKECPLITESCQGEDWHKIAHVPTIEIEDDDSAMNDWSIKRRLS >KQL13406 pep chromosome:Setaria_italica_v2.0:III:4296628:4298550:-1 gene:SETIT_023901mg transcript:KQL13406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAIKGLFISCDIPMAQFIINLNASMPPSERFIVHMLDPTHMFVQPHVAEMIRSKIGEFRDQNSYEKPQ >KQL16680 pep chromosome:Setaria_italica_v2.0:III:43777225:43778251:-1 gene:SETIT_024791mg transcript:KQL16680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTDMLREVLLRLPADELCRPRLVCRSWGCLTSDPLFAKEHSSHHDRYVIALHVGHREIHVLDLYGTIVMGMENSQLDLAAVSSASRPAHVFHLRTGAGDVTSGHGAWMMCPPKYTLGYVPSTQEYKMLCFVHSYGENFGGFVQPCHVMTLGERSNGSMGFLANGNCCGGMAYFLVSQEHAAANIEMDSIALFDLVTEEWRPAKNTQWTANKPPHYGQNLLHSEDTNRFHLSRLSGCLVVVHQNGHDSRTDIWFLDDIEMSLWTRRYTALAIVVWQFAARILRAYNPRTSTWTDMAMLKDYFDVGMHHGRLLCSDIV >KQL17049 pep chromosome:Setaria_italica_v2.0:III:47813301:47817514:-1 gene:SETIT_022094mg transcript:KQL17049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDPSRQVRVRFVTKLPPSLCAPPAAIAVPADLSRMGLSEIVNSLLAAAEPDHKAQPFDFLVDGELVRLPLQQFLLAKGISAERVLELEYVKAVAPRKQDEPCPHDDWVSAVDGSNPSFVLTGCYDGLARLWKDAAVCTQILEGHSGAITSSRFINKGVETDGSLHVVTGSKDRSLRLYKCDTSVSMDYTKRVGAYKILRGHTSAVQSIAVDPSRDMLCSGSWDSTIKLWAVEGSEEDGDAVSLKKRRMNSDSSGPEESQLEGLATSTLLGHTQCVTAVTWPEQQTIYSASWDHSVRQWDVQTVKETWNMFCGKALNCLDCGGEGSSLIAAGGSDPVLRVWDPRKPGTLAPVFQFSSHSSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVDSHKDKVLCADWWKGDSVISGGADSKLCIASGIEIV >KQL17048 pep chromosome:Setaria_italica_v2.0:III:47813301:47817450:-1 gene:SETIT_022094mg transcript:KQL17048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDPSRQVRVRFVTKLPPSLCAPPAAIAVPADLSRMGLSEIVNSLLAAAEPDHKAQPFDFLVDGELVRLPLQQFLLAKGISAERVLELEYVKAVAPRKQDEPCPHDDWVSAVDGSNPSFVLTGCYDGLARLWKDAAVCTQILEGHSGAITSSRFINKGVETDGSLHVVTGSKDRSLRLYKCDTSVSMDYTKRVGAYKILRGHTSAVQSIAVDPSRDMLCSGSWDSTIKLWAVEGSEEDGDAVSLKKRRMNSDSSGPEESQLEGLATSTLLGHTQCVTAVTWPEQQTIYSASWDHSVRQWDVQTVKETWNMFCGKALNCLDCGGEGSSLIAAGGSDPVLRVWDPRKPGTLAPVFQFSSHSSWISACKWHPSSWFHLVSSSFDGKVMLWDLRTAWPLASVDSHKDKVLCADWWKGDSVISGGADSKLCIASGIEIV >KQL14304 pep chromosome:Setaria_italica_v2.0:III:10338871:10343433:-1 gene:SETIT_021560mg transcript:KQL14304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEANAGAPAHAEQRKKNSPEMDFFSEYGDANRYKIQEIIGKGSYGVVCSAIDQHTGEKVAIKKIQNIFEHLSDAARILREIKLLRLLRHPDIVEIKHVMLPPSRRDFRDIYVVFELMDTDLHQVIKANDDLTKEHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDSPTTVFWTDYVATRWYRAPELCGSFFTKYSPAIDMWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSADTVSQIRNEKARRYLSSMRKKQPIPFSEKFPNADPSALKLLQRLLAFDPKDRPTAEEALADPYFKGIAKVEREPSCQPISKMEFEFERRKFTKEDVKELIFQEILEYHPQLLKDYKNGSEKTSFLYPSAVDNFRRQFASLEESGGRNATTDRKHVSLPRTTTVHSTPIPAKEGPVATSQVPQRIPTARPGRVVGPVLPFENASVADQHITRRVARNPVAPPATNNSSVYCYHLKSDSSDRQDYQRELEKDRMQYRPGQHVMEAKVAPEMARDIRPSQYYVSRGVPRADLTERAALQRSMMHSVAPFNGITAVAGGYSKAGVLHYGVTSLY >KQL16881 pep chromosome:Setaria_italica_v2.0:III:46360287:46360921:1 gene:SETIT_023846mg transcript:KQL16881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQDLHGNGMHGGIQTEHQIPPYVFQRECWQQISNVMIAPGPMLSASEEADVAGAATVWAMCCIRFIPHQCSSLDASTPS >KQL12779 pep chromosome:Setaria_italica_v2.0:III:818432:826577:1 gene:SETIT_021140mg transcript:KQL12779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTNTKRAYKLQEFVAHSSNVNCLKIGRKTSRVLVTGGEDHKVNLWAIGKPNSILSLSGHTSAVESVGFDSTEVFVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCMSVDFHPFGEFFASGSLDTNLKIWDIRRKNCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHEFKCHEGQIQCIDFHPHEFLLATGSADKTVKFWDLETFELIGSTGPETTGVRSMTFNPDGRSLLCGLHESLKVFSWEPIRCHDTVDVGWSRLADLNVHEGKLLGCSFNQSCVGIWVVDLTRLEPYATGTSTKLNGHSELKTVSSGTMPLQNDTGSKANIGRSSVLQNSENNLKASSGRLSVSQNSDSALKETKSTASSGLVPSTPQRAGVGSNNRSFGNSAFASGGTTLKRSSLRSHNASSVSNFSKSDVVPVIVPRTSSGGELATDSRSDAADVAPVLSKATRRVDPATDSRKESTDVEPVVPKASSRMEISSDSAPVVISKSSRRLESGADSKKESADAASVVVPRENTKMEMASDSAPVLSKASRKVDPGTDSKKESADAAPVIPRASSRTEMASDARREPSAGRISPFRIQSRYAELRKLTHAKVDANKVDSRSKNTETDDFNCQIFFPRRNGVFQTISSEETREDIKHGAVDRMAFSNPAELNASVRGENYVSRMRKPRDNCYVEVSRAGRARSSVSNWEGRDQSPSHEEPTTSSSSLAPTGRSYSSRGSNQASETPTIASDEDVLSLLMEQHELFLSSTRSRLTKLQIIHQMWVRNDIRGVLSAMEKMCDHAVSADMASVLMEKSETITLDLCTSILPVVTDLLESKTDRHLGVSLELLVKLVRTFGPMIHSTVSAGPSSVGVDLEAEQRRERCNLCFIELEKVKNKLPFLTRRKGAVANAAQELTLVFQEVMS >KQL14110 pep chromosome:Setaria_italica_v2.0:III:9006506:9006865:1 gene:SETIT_024814mg transcript:KQL14110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKKDCNYEPHQAMKKILLSRTFSPGNEKDLFWIDPKSCMLRHCTTSLFISRTRLSRCLTKYFNTVEMIITLFSINFFCFITTFSYFNFYSFVPLQISHSMST >KQL16288 pep chromosome:Setaria_italica_v2.0:III:36430411:36431245:1 gene:SETIT_024591mg transcript:KQL16288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPNSLAPALLLSSSSSCSIPAASVGSAATGAGPRHEGRSSRTDGSGGGVAAAFDDTPEQFPATAAVALAASTCCAASSSCWVVAYLDMRRRMRSFSSPMLPPSEAAVPPEPDLAGACDCSSGGSEDELDDSTCSSPEYIGEPTGSAIGMAVVAAVATVTAPIAATAGVTFRRWMSQSSHFSPAGSSRRTPTGPGCGFHI >KQL12861 pep chromosome:Setaria_italica_v2.0:III:1300263:1300891:-1 gene:SETIT_024352mg transcript:KQL12861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTYETAEDAARAYDEAARLMSGPAARTNFPLSSSTGAGATLSPTLRAKLEKCCTESLSKQPAKDDDGANASGAERDGRQEQGVKAEVGEDDGEEYIEEMIRELTYYGPVEIQHPSSGSSGAGAGAGPACSSSAIR >KQL17363 pep chromosome:Setaria_italica_v2.0:III:49995201:49996776:1 gene:SETIT_024845mg transcript:KQL17363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPRPRLGAPAPPEPSAADADTDDFLPAEAARGGDVLFELHSHSNHSDGFLSPTALVERAHRNGVKVLSLTDHDTMAGIPEAVSAASKFGIRIIPGVEISALYNPREGAGAGEPVHILAYYGTCGPSRYDELYSMLLNIRDGRYLRAKNMLAKLNRLKVTIKWEHITKIAGEGVATGRLHVARSLFVLGVYLHSLILGPWSLKNPDAVIRSLGAGLNGMEVYRSDGKVD >KQL14986 pep chromosome:Setaria_italica_v2.0:III:15377028:15377688:1 gene:SETIT_023731mg transcript:KQL14986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAPGSMARSLAPCQHPDHHLRKDPGARGSGAEVCMLGATDAGAKLRVHFPKCFLQRSICENLSKKRAKNPKKSRPYHLRRKRNFLRLRSLSLMPKPKAERVVGL >KQL15616 pep chromosome:Setaria_italica_v2.0:III:21763571:21772570:-1 gene:SETIT_021765mg transcript:KQL15616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGRARAVSLAAAVRVVAATARPATSAAAAAGVGAMSLIVQGEDTAFGSLEWWAYAGTSCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTDAEKAQAAAILPVVQKQHQLLVTLLLCNAAAMEALPIFLDRIFHPVVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMVMCYPISYPIGKLLDCALGHNESALFRRAQLKALVSIHSKEAGKGGELTHDETTIISGALDLTEKTAAEAMTPIESTFSLDVDSKLDWEAIGKILARGHSRVPVYSGTPKNIIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKPKTEPPPDKTEPNREAVGPAQLTAPLLSNAEERADNVVVDIERPHNRQVNGNPASNAVARSSEDIEDGEVVGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSVRRLTGQKAAGAQNRQGQQPTGILKKPAEGESNPSKQVNLVEPLLENKR >KQL15633 pep chromosome:Setaria_italica_v2.0:III:22021340:22024738:1 gene:SETIT_022616mg transcript:KQL15633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGGSGVDWESLAEATSGAIGSLVSTTVLYPLDTCKTKFQAELQTHHGAHKYRNLSDVFWEAIRKKQLLSLYQGLNTKNIQSFISSFFYFYGYSYFKRLYLEKSGAKSIGTTANLVVAAAAGACTVIVTQPLDTAASRMQTSTFGKSKGLRETLTEGTWMEAFDGLGISIILTCNPSIQYTVFDQLKQRIIQRQRRKNGGSTEDNSRVALSAFSAFLLGAVSKSIATVLTYPLIRCKVMIQAADPDEDDEDESERPSKSRAPKTMLGALHAIWSKEGIPGFFKGLHAQILKTVLSSALLLMIKEKISKFTWVSLLALRRYLFVSQKRIKTA >KQL14891 pep chromosome:Setaria_italica_v2.0:III:14650188:14650975:-1 gene:SETIT_023599mg transcript:KQL14891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHIMPTRYTLDVDFKDVASGGPDALSTRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >KQL13544 pep chromosome:Setaria_italica_v2.0:III:5143579:5144238:1 gene:SETIT_025069mg transcript:KQL13544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSNMESKAASGDEAAAMTTSPGPESEAVAGEEEAAVSPPPAAAASPRPYYECVFCKRGFTTAQALGGHMNIHRRDRAKPARDSPAGITSVSRNVECYNKYRHLASSSSYPLPAQSSSPIPVGAGSSFGMYYVSSGVAAAAAAARLDAEDGSPSSVSPRELTLFGEAKRDQDLHLGLGRQEHGSRTTEGGGSERQQSGEPPERELDLELRLGRRPRH >KQL16929 pep chromosome:Setaria_italica_v2.0:III:46765804:46767657:-1 gene:SETIT_021489mg transcript:KQL16929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAASPALRPAPRWGGAPSHRRLVEEHLASLPHGLPRLRHVQELHAQLLKQGLHRDPHAASKLIASYALLHRVPACRRVFSAAAAALPSVPHAASTTLLANTLLRAYALNALPHAALAAFAAMPLRQRDTFTYSFLIKALATAGVAPIRAAHSHVVKLGSVEDTFVGNALIDAYSKSGAVSDATKVFDEMPVRDVVSWNTAMAAMVRQGEVAGARRMFEEMPEKDTVSWNTILDGYAKAGEAEQAFELFQLMPERNVVSWSTVLSAYCMKGDMEMARVIFDKMPTKNLVTWTIMVSACARKGLVEEAGRLFTQMKEAAVELDVAAVVSILAACAESGSLALGKRIHRHVRQRNLGRSTHVCNALMDMFCKCGCVNRADYIFDTEIVEKDSVSWNTIIGGFAMHGDGGKALDLFAEMKQQGFRPDAVTLINVLSACTHMGLVEEGRQYFANMEIDYGIRPQIEHYGCMVDLLGRGGLIKEAVDMIKSMPWEPNEVIWGSLLSACRLLKNVEYAELAVDELSKLQPSNAGNYAVLSNIYAEAGQWSDMAKARVQMKETGSQKTAGSSWIELDEAFHEFTVGDRKHPESEQISEMVGRLSSHVKRAGCIPAGHELLVQ >KQL14068 pep chromosome:Setaria_italica_v2.0:III:8517429:8520813:1 gene:SETIT_022336mg transcript:KQL14068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVHCARAFVLMSFECGASSSSRKTISCHKCCALVKPTLSQKELRKSRQIIQYRELVLPKSSLKGLACEQLANGMDDQWSHHCLHSSSVSSAQYSMKKVQDHQPHQPQGATYSTGLSKKEIERRQKIGAANKGQVPWTKGRKWSEEHRKLISLRTTEALRDPKVRKKMLGHRQLHRQASKDKISASLKKIWERRIVSVRSKQKVMQIWSNSIAEAAKRGDCSQDKLDWDSYERIKLDMISMYLWNKEREQTIKKLKKAVAKIAAKKLQAAGTRAKIAAKKFQAAGTRKVQAAGTKKLKPEKLLLQKLDAQLTRVVVSARPKVKERLTKWHCRKKELETVISSRTRKRGLRKPPRSQMTAERRAEVDLVVLEAPSGPIAGA >KQL15826 pep chromosome:Setaria_italica_v2.0:III:24300359:24301027:1 gene:SETIT_024091mg transcript:KQL15826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNKDEALRSVKLAKSAFASGDKQRAEKLVRIAQRLDPSLPLDDLLSPAEKFGILNSATCQDKTRRGQASENPKTPKESVGPVNVDQVYTEENIRVVQDIRKKKDYYAVLGVERRCSVEEIRKAYRRLSLKVHPDKNKAPGAEDAFKLVSKAFKCLSNDQSRKTYDQTGTIEDHEFNEQYPNAMRQGVARRRRQARNGFYNYEEDFDPDEIFRSFFYGSHDN >KQL16598 pep chromosome:Setaria_italica_v2.0:III:42822482:42829672:-1 gene:SETIT_021297mg transcript:KQL16598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGEELLKKIRKLEVGQAQLKQEMSMLIPGVAERRRSQSVSPQRGAPVPPPPTHYKGKPPGRRLSGGFEGGQRAWARGSNSFPHSSPLQREGRATSAGDASTSARLPERQYSRVLQSLGQSVHILDLDGRIIYWNRSAENLFGYPASEALGQDALMLLVDSRDHNVVNDIFRRISMGESWTGKFPVKNKEGDRFSAVATNTPFYDEDGSLVGIICVSSDSRHLEQIFCRPPTPARPQPESSRASCDGSCSNSGRKTNLLNRSPFDPQQPLQSTLASKITNLATKVTNKVRSRVRADENGIEREGGSGESQCSDRGAKEEPTSSGTTTPRGDAPRGLFSTEEHSPGQSTNPNSDESGEGKVGLHKILSSKAEALLNKKGISWPWKGRENEGPDERNHVTLPWTHGDQENGPNHQKVSDSSTAPDAQGAEHNQPSKNEASGSWSSFNNNSTSSASSTGSTNSSALYKIDHEADCLDYEILWEDLVIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSEELIQTFRQEVSLMKKLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRSGTKLDVRRRVHMALDIARGMNYLHHSSPPIIHRDLKSSNLLVDKNWTVKVADFGLSRIKRETFLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELVTQKIPWENLNSMQVIGAVGFMNQRLDIPSDVDPQWSSIILSCWESDPQQRPSFQDLMERLRELQRHYAIQQRNAKNSLEE >KQL13459 pep chromosome:Setaria_italica_v2.0:III:4564365:4570829:-1 gene:SETIT_021122mg transcript:KQL13459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQPPASRAALEPLATLDPAALAGFPASSPLTVRAAALSAHLLYLGTGGGKLLLFSLQDPSTPEFLRLLPIGATLPVSAILPLPSVARLLVLADGLLLLADPLLSRPVRRLGSLRNVAAVAARRGYSADPVSASCSIAVSVGKKLLRVDLTLQDGDELDVQTREIAAVEGVKTLAWVDDSVFVATATGYSLFSSTAGQGVDIFTLPESSGHPRVKPLSGGDEVMLLVDNVGVVVDRFGQPVGSSLVFNTTPDCIAEVYPYVIVAGNAKVDVYRRRNGVHLETIPVARTGQGVLIVASDDDGIGTELVVIATAYKVFCYRKVSSVEQIKASLRRKNYKEAISLLEEFQSDGEISKDMISFVHAQLGFLLLFDLRFEDAVNHFLLSETMQPSEIFPFIMPDPNRWSDLVPRKRYWGLHPPPKPLEEVIDDGLVTVQQALFLKKAGVDTVVDEDFLSNPPSRADLLEQAIRNIIRYLCASRMKNLSSPEMEGVDTFLMYLYRALDLVDDMEKLASSQNSCVVDELESLLDDSGHLRTLAFLYGSKGICPKALAIWRILARNYSSGLWKDMSENGSCGSSVEKRSGEEIAAIEAAKILKTSSDEDLVLEHLGWVADIDQELAIAVLTSEMRENQLSPEKVVAAIDTEKVVIHQRYLQWLIEDQGCDDPHYHTSYALSLAKSAIEAVHMESKYRGKDDREIDSDAQFIYLLREKLQLFLQASDLYDPEDVLDVIAESELWLEKAILYRKMGQENIVLQILALKLEDSEAAEQYCAEIGRDDAYIQLLGLYLDPQNGKEPMFTAAVRLLHNHGKSLDPMQVLERLSPDMPLQLASDTILRMLRARVHHHRQGQIVHNLSRATNLDARLTRLEERSRHVQLTDESICDSCRARLGTKLFVMYPDDSVVCYRCYRNQQGDSSSGHGRSLRKDVIFKQSWLVSR >KQL17317 pep chromosome:Setaria_italica_v2.0:III:49722719:49731180:-1 gene:SETIT_020985mg transcript:KQL17317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVLSVGKSVLNGALSYAKSTIAEEVALQLGVQRDQAFITDELEMMQGFLMVAHDERDHNKVVKIWVKQVRDVAYDVEDCLQDFAVRLENRSWFCFVGKFLDRRRVAKEMKDLREKVEDISKRNLRYRLIKSSVSKPASTTSEQFVISTEVQLEMAEATRTALQEKKKVDLVELITKGEDENSLRVMAVWGAGSDVGVTSVIRAAYEDQNVKGKFACRAWVRLVHPFNPDEFFGSLVRQFYVNSCEKETGKTTEEMTAGMGAMKKLKEDENLVDAFNKYVTGKSYLVVINGVSTIEEWDWIATYFPRKNGSRIIVSTQQFEVASLCTEQPYVVSEIDQKWSFEKEFYVFYKKVMANPPASSSKDEISDASSSMNPGEETHVKKPTTRARTVAAALEDDQLIDRKEAREKIKGLIGQAGCYVIAICGIGGLGKTTLVRSVYQQDLGDMFNRRAWLTVSRSFKQQEFLEELFRQLRRDDKEKTDTASHTLPNEASHTLPNETSHTDHTKRENKKEPTLAENLTKLFRDKKCLIVLDDLSSTVAVQWILDLLPENSSSRIIVTTRKGESLQLQEKTGHVYNLDMLNQDEAIDLFEKKNDEEKQNIDKYPDMIEQRNFILKKCGGLPLAISTVGSFLATKPKTAIEWRNLNKHISDELESNSELGMIKTVLTSSYDGLPYHLKLPFLYLSVFPEDQDIRWTRLIRRWIAEGYLRRTRNTSAEEIGNSYITDLINRSMVRPSKGATHNTRRVGFLHVHDLIREIAISKSVEQNLVFTLEEGCNLNNQGKIRHLAVSSSWTRDKKAFESALDLSHLRSLTVFGEWAGFFISDKMRFLRLLDLEDTKGLTNHHLHQIGLLFHLNYLSLRRCDGICRLPNSLGNLRHLQTLDVRDTRIIKIPTAIIKLKMLQYLRVGFLPSNDKEQRGICEAVSLIRYCFKYFYYWRCCTCCVVEDEDYYDWCNTRLLLDACCDLFCGLLDEYLCCPLFGWLRTLLAGFGCMFFLIVFGPVYLLSLIVRIPWVLSRDGFNGLAVSFMRQGSPRPIPQGSPKWLGVFWNTKRKDPHGVKFPSGLRKLRALHTMGVVNINGGNDILEDLQFLTQLRKLRVTGLNKKNCRKFFLAITKLKCLESLLVRSEGCPGLSGCLELDEQCPPPENMQSLKLYGNLVKIPEWIKKLRNLVKLELRSSRISEDSAAMDILGELPNLAILRLQEWSFNDKGVHFKSPAFEKLVVLRLDLWGIKSVTFERGAAPKLEQLQVTGEWISEERGFAGLDILQSIKEVLLDVSFRWNEAKLEDKLKEELLAQLAKNRNNNNPILKVQ >KQL14817 pep chromosome:Setaria_italica_v2.0:III:14025327:14028913:-1 gene:SETIT_022175mg transcript:KQL14817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFLHRALVLASLLLLASGEIFFEERFDDGWEHRWVKSDWKKSQGQAGTFRHTAGTYSGDPDDKGIQTTGDARHFAISAKFPEFSNKNRTLVIQYSLKIEQDIECGGAYIKLMSGYLNQKKFGGDTPYSFMFGPDICGDQKKKLHLILSYQGQNYPIKKELKCEADKLTHFYTFILRPDATYSLLIDNREREFGSMYTDWDILPPRRIKDVNAKKPKDWDDREYIEDPDQVKPEGYDSIPKEIPDPKDKKPESWDDDDNGIWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDETFAANKEAEKEAFEGAEKKRKAREEEVN >KQL14818 pep chromosome:Setaria_italica_v2.0:III:14024192:14028982:-1 gene:SETIT_022175mg transcript:KQL14818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFLHRALVLASLLLLASGEIFFEERFDDGWEHRWVKSDWKKSQGQAGTFRHTAGTYSGDPDDKGIQTTGDARHFAISAKFPEFSNKNRTLVIQYSLKIEQDIECGGAYIKLMSGYLNQKKFGGDTPYSFMFGPDICGDQKKKLHLILSYQGQNYPIKKELKCEADKLTHFYTFILRPDATYSLLIDNREREFGSMYTDWDILPPRRIKDVNAKKPKDWDDREYIEDPDQVKPEGYDSIPKEIPDPKDKKPESWDDDDNGIWKPRMIPNPEYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLQYVGIEVWQVKAGSVFDNILICDDPDYARHVVDETFAANKEAEKEAFEGAEKKRKAREEEEARRAREEGERRRRERDRDRGRDRFRDRYKRHRHYDYHDEL >KQL13621 pep chromosome:Setaria_italica_v2.0:III:5594838:5596210:-1 gene:SETIT_022721mg transcript:KQL13621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDGDADAAWIISTSLDGRRLLDHPARRRRIPPASADSTNATARGPQRLRPSVAALSSPCSSTSPVRAARFTHTSSSNFEVASCAQLREAARAHGDERRHESQESGRVRCRRDRRREPPPPQLLQRALADMRMSACSVLSIGAVPAEAARVRGVFGGTRQRAGRRRGHGSLGRTPVNCFCTAPLKCSTPPAPCRPRWLPLRRPRRSIRTPPTPSSPCSLTGSARRRGRSRAASAVPVPLLPGRRCRRRSSDEAPTQRIRAGDHGDLSPHATIQVDQSWSHGADPTNRKGQGVDVHHGRFTESDLLLVNRLRF >KQL14074 pep chromosome:Setaria_italica_v2.0:III:8594054:8596475:1 gene:SETIT_022052mg transcript:KQL14074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPPRSLLAAAVVLMLLLLLSPAGAQETCSGIAPAPRRRGAWMSVASFGGRGDGQTLNTAAFARAVARIESRRGARGGTLLYVPPGVWLTGPFNLTSHMTLFLARGAVIRATQDTSSWPLVDPLPSYGRGRELPGGRYMSLIHGNGLQDVFITGENGTIDGQGSVWWDMWKKRTLPFTRPHLLELMYSTDVIVSNVVFQDSPFWNIHPVYCSNVVIANVTVLAPHDSPNTDGIDLDSCNNVCIEDSYISAGDDLISIKSGWDEYGIAFGRPSSGITIRRITGSGPFAGFAVGSETSGGVENVLVEHLNLFSMGVGIHIKTNSGRGGFIRNITVSEVTLNGARYGLRIAGDVGGHPDTSYNPNVLPVVHSVTIKNVWGQNIRQAGLIRGIRNSVFSRICLSNVKLYGSASIGPWKCRDVSGGALDVQPSPCTELASTSETGFCTT >KQL14423 pep chromosome:Setaria_italica_v2.0:III:11187888:11188601:1 gene:SETIT_023508mg transcript:KQL14423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGTQLLRAGAFAFTFTIDGAITSVVADDPVEEELARRRDRDASKKRDSDASKKRDGDEAKKRGRDGAKKRGRNEGLYRREESDEERGWSSAVVERSLALRHRGGSASCFHPRCGLIVGEGAAASGALGVEAEAAVAAEELLVDPTLEFFYLAVL >KQL16157 pep chromosome:Setaria_italica_v2.0:III:32182216:32183264:1 gene:SETIT_023656mg transcript:KQL16157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIEMIIVPPRDLHVDCRSLRGPRHSGGIYVESLMCLLEVKTIEIFRGRQGSIRSILLCYQQYFSWLEFCFSLITCLRSWHYYVRCCGFDCLFVLYFSFTMFWEALGLYEMLIFFGTKGLH >KQL15597 pep chromosome:Setaria_italica_v2.0:III:21553554:21556294:-1 gene:SETIT_023102mg transcript:KQL15597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSQCCDNPPALNPTGGEGKVVDSFGGLKAYVAGSDESKAAVILISDIFGFQSPNLRKIADKVALSGYFVVVPDFLHGDPYTPENAERPLPVWIKSHSPKKGFEEAKPVIAALKEKGVSSVGAAGYCWGGVVVVELAKAHEIHAAVVLHPGPIAVDDIKEVKCPISILGAEFDHTAPPELVKQFEQVLLANSRVAHFVKIFPGVAHGWSVRYNHDDPAAVKSAEEALGDTIDWFNKNLK >KQL12678 pep chromosome:Setaria_italica_v2.0:III:339858:342938:-1 gene:SETIT_022214mg transcript:KQL12678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQATPLKTLAFSRRRAGGAVARPRHMAAFRCSAAARSYNITLLPGDGIGPEVVAVAKDVLSLAGALEGVELRFQEKLMGGSALDATGVPLPDETLAAAKDSDAVLLGAIGGYKWDNNEKHLKPETGLLQLRAGLRVFANLRPAAVLPQLVDASTLKKEVAEGVDIMVVRELTGGIYFGKPRGFGTNDNGEETGFNTEVYSASEIDRIARVAFEVARKRRGKLCSVDKANVLEASMLWRRRVTALASEFPDIELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASVGESGPGLFEPIHGSAPDIAGQDKANPLATILSAAMLLRYGLGEDNAAKRIEAAVTETLNQGFRTGDIYSPGTTLVGCKRMGEEVLKTVESQKAVAAIN >KQL14016 pep chromosome:Setaria_italica_v2.0:III:8206373:8207986:1 gene:SETIT_023604mg transcript:KQL14016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHGKPKPDGQPPPPPPPPPGAKKGFMRRMFPFLLAANLFVGVYVLVRTYRKDSGKDPATDPATVSTSSAGKPAEPVNVPRKELPPIPQDEQRHLYKWMLEEKRKIKPRNAAEKKKLDEEKALLKEFIRAGSLPSL >KQL14987 pep chromosome:Setaria_italica_v2.0:III:15379643:15381586:-1 gene:SETIT_023857mg transcript:KQL14987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIKPALVDITLISLVLMGISTCKIGFPRSTSCHQTLETINSTCHLLIVSFNILLTADYGTQKLQSESECSIFGCENV >KQL16017 pep chromosome:Setaria_italica_v2.0:III:27097814:27099040:-1 gene:SETIT_025341mg transcript:KQL16017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGGELRGRWGSPERTVVWTEPKHHTPKPTTRKVAVVYYLCHLDGHLDHPHFLEIELPLSSSHRAAAAGGLYLRDFTARLDALRGSGMPAMYAWSAKRSYRNGYVWQDLAEDDLVHPAHGADEYVLKGSPLLLFPQPPPALRDASSSSSSRRRKNWSSFDLGEYSNNKLAALSTGAAQQQSAATQTDHRHGPDLQESTELAIDEVSPPPSSGSPDDSCGREVGVIAGGRMRASAVLMQLFSCGSVGAAKRGHARGRSDLPTTSAGGSSRQAAEKEADACTTPARAECSSGGVGTGAGLGDIMERDYFSGSLVESSSSKTRSGGDAALLLKRSSSCNADRGAAKLKLPVAAREQVVRAGCLASRGRGSRVPTKKNQTKSTAAESRDDGGECTKGATTDPPPAAADGAGSS >KQL15596 pep chromosome:Setaria_italica_v2.0:III:21551563:21551983:-1 gene:SETIT_023750mg transcript:KQL15596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLTAKRRNTSPVKRVSEAAQQSTEPAMKKQQRPLVQSATPPPTKRSLAKPVDKAREKCRQEVVEMERAALPDETIYPWDLQELGIAFEYAVTRTRRQAHG >KQL15125 pep chromosome:Setaria_italica_v2.0:III:17255423:17264529:-1 gene:SETIT_021273mg transcript:KQL15125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADTMKGHQPKGSTIDVTPVEKRLADVWKRYFPEEAGFSESDRKVRIAAALFESSFSTVVKGLQSRVEDDGSDILSLPIDFKQLQESCVFIKDELEESPKEVLLCMGAAAHLALCSGKINKVNIRLYNTGATIALKKLKAAFIKKLVTVRGTVVKVSTVKPLVLELEFRCMKCGKENPRVFCDGKFSPPMSCTIQGCRSRTFTPDRSSAKLMDFQKIRQELVSADNHEEGRVPRTIECELTEDLVDCCIPGEIVTVTGIVKVLNNMDVGGGKSRSKNQGLYYLYLEAVSVRNLKTCAVSDEEIRARGICDFQSNTERDRDFAVNYKKEHGADVFRQILQSFCPSIYGHELVKAGITLALFGGVQKNSMDQDKVPVRGDIHVVVVGDPGLGKSQLLQAAAAVSPRGIYVCGNTTTKAGLTVAVVKDSMTNDYAFEAGAMVLADRGICCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYDRAKTVNENLKMSAALLSRFDLVFILLDKPDELLDKRVSDHIIALHTNEGDNFKSNKRIRTVSHNGDPGFGVVGNSVASRLRLHPEKDKDFAPLAGQFLRKYIAYSREHVFPRMSKAAAEILKKFYLGLRNRSTSADGTPITARQLESLVRLAEARARVDLREEVTREDAQDVVDIMKESLYDKYVDEHGFVDFARSGGMSQQKEARTFLNALNKESELQRKDCFSRTEIYNLADKISLRVPDLDALVDNLNSLGYLLLKGGMYQLVTASYSQCQPTRSK >KQL15827 pep chromosome:Setaria_italica_v2.0:III:24301621:24302228:1 gene:SETIT_024016mg transcript:KQL15827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLAPNRFVHVDTAHHLSEAITTWHLPPVAELVNGCEFFLVLFIKYLP >KQL16928 pep chromosome:Setaria_italica_v2.0:III:46746411:46749243:-1 gene:SETIT_021274mg transcript:KQL16928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSVNSNGNALPYYKENKPNVHLSDKFTITSPNGSVHYDKEMLKRTMLVHEATFRKQVYELHRLYRTQKEIMAQFQREEFKGCPRYAEALQPRSSASQILLEDVKRVRQTATPISGHDLKQSSINLINESSSQFSVSGAPLRHSNVRSQKKMLDLQLPADVYADDDDEVEILEVRPSKRSPWVSGSVLGRNVNLNLENSEGSSHVEKSWITDTQAHHSSAAHILNKPVEESSSMKITDFLGVGTSASQNQHYVSQGVNLNLLSSEGKLKEKCVGKISSSRFFGANEDIRHSNSFQQRKDDSNANMGWYNQNRTGSSMGHYSPSARTFNHLIFAPPSFNHALNPPWQINSTSYLTKSHYGAAETSTAKHALSSGFSVDCTPNAPYHHSLKIHEEAQHRKLPPLHHNLKDIDLNDAPVDTAATWEQGSEKSMVDISWKQVSLMKSEVPSSYANCHYQILPSPTFNSQNKTPTRVPTFPNSVGTEKDSRCSPTLQYDLNVGPLIKCEPDMEMQPQREEADRDFRNLIDLNEPLPIMDDPEIDACESGELVPHESDDPLRDSLAITAAESLVAMCNVVVQPGSPQPDTLHWLADLAASKENTMFDKDSDDDFEALTLKLQETKSTEHHSTPRATQKDNCDNGHCSAASLLTPKPQRGKGRGRRKRKDFQRDILPCLALLPKHEVSEDLRALGRPKPVTPMKGGGRGGQQPRGRRRARSMAVAMEVEEVEVSPPPGLPPLAPADLDADALGITRWGRTTRRCRRPRCPPANNASLHVA >KQL15806 pep chromosome:Setaria_italica_v2.0:III:24102022:24103068:1 gene:SETIT_023465mg transcript:KQL15806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVMASSATSVAPFQGLKSTAGLPVSRRSISTGFVSNGGRIRCMQVWPAEGNKKFETLSYLPPLSTDEVLKQIDYLIRKNWIPCLEFSKIGFVYRENSTSPCYYDGRYWTMWKLPMFGCTEATQVYAEFEECKKAYPDCYIRIIGFDNIKQVQCVMFIAYKPPGSE >KQL16977 pep chromosome:Setaria_italica_v2.0:III:47216412:47217604:-1 gene:SETIT_023013mg transcript:KQL16977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAVLDAAVMPSPISSRPPDVAAATSSEEEASSTAREGADGWAKRKRSRRRRQQLLQGAMLPREPTEEEYLALCLVTMASGRRDVAAPAPPPPQELHACSVCGKAFPSYQALGGHKASHRAKPPVSPAGSLIRDEDAKQHAAPAPAPAPSSSAEAKAAAHECNVCGKAFPTGQALGGHKRCHYDGTIGSAAAPARSASSSAATTRVSSTTTAAGGFDLNLPALPEIPERCAAAPEEEEEVLSPIAFKKPRFMIPA >KQL16720 pep chromosome:Setaria_italica_v2.0:III:44445923:44446729:1 gene:SETIT_024150mg transcript:KQL16720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPRPRLRGFSAAVLCAVGGCDHLDCHSGPFLVVYVWAGFVEYDPTWASVYSSETGEWSAASSVADRRCSSVEPKRGEVVGNVVCFTLHSGSIVMYDLGDHSLSSIKRQDMPDVHGAEVVPVPMEDGSLGLATIVASRLYLCLADVIGIAEGVGIIFVSADVGAFTVEPKSGRMRIEEDRASGRLPLPAETN >KQL14875 pep chromosome:Setaria_italica_v2.0:III:14480048:14482517:-1 gene:SETIT_022217mg transcript:KQL14875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSLARAGRAPLRRLVSTFYSPRALLPRVPCAISSGGGGGGGNLPLYAFSSPSRDSACGPAPGARRGMSFRTRAVDFGDETPSSSAAAGSDLSAPYLSVHIRCRRQDAEVLSEALLCFGASSVTVDDIEDAGNLDEISITSMYADGEDVDSSVSSAASSAGLNYSPGYETTVGKQCDWVATVQEAYESTEVADGLWVVPKWRTPHDPQATNIIINPGLAFGAGEHPTTKLCLLLLREVIKGGEHVLDYGTGTGVLGIAALKMGAVLATGIDIDPQAIISASENLLLNGLRPNQMPVYLVPTTDQPSSFPSSVDKSEENKLTNNHDLKSSRGTYDVVAANILLNPLLELVEDIVGYAKPGGIVAISGILEEQVPKVKEVYSTYLASISVSEMDGWACLQGTRRV >KQL17265 pep chromosome:Setaria_italica_v2.0:III:49345146:49347227:1 gene:SETIT_024326mg transcript:KQL17265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPASNTPLTAASKIQPFLVLHKAAASSVPSSRARHRIQTSQPSSSSPKLADRCHDAAGEEHEDEGDAELYEKLRLEAFHQTWSKILSTIDKVLKGINLKLFDQVLQWVKESFSLVRANGRPRHTEVHQSYPLLTDNAEFVDDITTFWDLARHLESNGCHLAKLSAAELSAKHGVGGCYRSLLRQLLSDVADLSALASWYCEAENYDQPIIVIIDDLEHCSGAVLGEFVMMLSEWVIKIPIFFVMGIATTLDAPKKLLMNALVEVILVKPCAGFCISHEVAMFLRNYFFRHDGTITSFISALKLACSKHFSLEPLSFLCLGMLEEDCEEFWRDKFEALPQQILKYAFGLPSCASAKNSSNSSNNMVEGPSKLLKLQKDWGSVLLCLYEAGRHDKVQLLDIFCEAVNPNLQTENVLFVSKVTCENLSGVKSRCGEGFIAQVMNMIRYLPMKTLLHVHEVWGYHLKGMSEVKDKGVKELQSTTIGADCVRPTREKWTRTSTASIGNGTVPLNEKAAVLLQDVTRKYLVPVECLPFHEIICFKNVD >KQL15720 pep chromosome:Setaria_italica_v2.0:III:23018965:23019261:-1 gene:SETIT_025164mg transcript:KQL15720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWMLPSPSPRTLMSSFSNEEFSSCPFSSIFSDNGISKLLDAIEKSKTLVDSSVEETVQDTKAPLQLESNLFSANLDGVPEIEGGFARKVDPITMTW >KQL15501 pep chromosome:Setaria_italica_v2.0:III:20933916:20936088:1 gene:SETIT_022232mg transcript:KQL15501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARDGGESLMQRCKPYMAMVSLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATLSIAPFALVLERKVRPRMTPWVFLQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFIMAVLFRMEKVNLKKARCVAKVVGTLVTVAGAMLMTLYKGRVVEMVWTRHIHLHGPQPGAAAAAAADKDWLTGSIFLIIATLAWASLFVLQAATLKRYDAPLSLTTLICFVGTLQAIVVTFVMERETSVWRIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQSRGPVFASAFSPLMMIIVAIMGSFILAENIYLGGILGSVLIVAGLYSVLWGKHKENLEKEAEAMEIPVAIKGVDGNGRIVDIVELDEVQLEKAQANTKAAVAVTVSVEEARVQGKDEA >KQL15291 pep chromosome:Setaria_italica_v2.0:III:18710160:18713285:1 gene:SETIT_022311mg transcript:KQL15291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSSPPAAEGPGDKLAVFWHEGMLAHDAGRGVFDSGRDPGFLDVLDQHPENADRVRNMVSILRRGPIAPFLSWHSGRPAHASELLSFHSSEYIEELVQANATGAKKFCEGTFLNPGSWGAALLAAGTTLSAVKHILDGHGNLAYALVRPPGHHAQPNRADGYCFLNNAGLAVHLALDSGRSKVAVVDIDVHYGNGTAEGFYRMDNVLTISLHMRHGSWGPSHPQSGSVDEIGEGKGLGYNLNIPLPNGSGDAGYEYAMNELVVPAIDKFQPQLLVFVVGQDSSAFDPNGRQCLTMEGYRKIGQIMRSMADQHSNGQILIVQEGGYHISYSAYCLHATLEGVLDLDAPLLDDPIAYYPEDERYTMKVVDMIKNTWKESVPFLKDI >KQL14997 pep chromosome:Setaria_italica_v2.0:III:15464771:15467941:1 gene:SETIT_022916mg transcript:KQL14997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKASSAASGAAYEEQRRKRVLENLKHLEDLGISEMSKSLLQAARLQKQSKGGVRVSPKARKKFDATEVRRSSRAKATVSYKDDYGELDTFLRRKRRSGGKNSEQGREYTGRVSSYEQQQRAFRRAEKLQDGLDPNNPSFVKTMVRSHVSSCFWLGLPTSFCKQNLPPTEFRMVLEDEDGVEFDAVYIGKRTGLSGGWRGFAMHHNLEDGDSLVFELAEHDRFKIYIIKAIDDDDVEEDESDDKNASGGTKEEPAEEDSPAAEPPKGAKRRKLRGRR >KQL15857 pep chromosome:Setaria_italica_v2.0:III:24755527:24759354:-1 gene:SETIT_022751mg transcript:KQL15857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALAHPRLGPSAAVRSTSDGPRRSTLLPPALPFPAARLRFRRPPESSSPTTRRSRAMPPPTEAAAAGGAAGGSFPELTCPADFAAVAAPGGRISVVGFGSLLSERSARSTFPELEGFRVAALRGFRRVFAHSAPIFFERGIAVEATKEFSSLSVEPCEGELIVVTVFEINEEEVPAFIEREHEFRFLAVVPEGLDGVPFTNRAVVCARYSDEEYFQERCQGSKEIYNQRYGRYNIDKIWRDDILPCRLYLRHCVLAAKNLGEPAYSNFLDHTYLGDRKTTIREYLASTGAGIMEEEPPESLKSRYGG >KQL16046 pep chromosome:Setaria_italica_v2.0:III:27474235:27474771:-1 gene:SETIT_025198mg transcript:KQL16046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SWLKQKPKKYLCSLYEDHTRGISRTERYKSLGNSFQVDNVAYHLSVLKDMFPYGMNVLPLFSGIGGAEVALHRLGIRMNNVISVEKSEMNRTILKSWWDQMQTGTLIEISDVQTLTSEKIESYIRRIGGFDLVIGGSPCNNLAGSNRHHKDGLEGEHSALFYHYFRILDSVKSTMERL >KQL13375 pep chromosome:Setaria_italica_v2.0:III:4139016:4142300:-1 gene:SETIT_024286mg transcript:KQL13375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLFLLAPTVAVSSVSSAEMFNGSCIAAERDALLSFKAGITSDPTRRLRSWRGQDCCRWYGVTCSARTGHVVKLDLRNDFFIDDLFAAPQVHWLRGQISSSLLALRHLNHLDLSGNVLAGNTPIPEFICSLKSLTYLDLSNMNFSGRVPPHLGNLTKLVYLDIRNDFVTHAYEYAQTYSSDVSWLASLRSLEYLDMSGVDLSAAVDWVHSMNTLPNLRVLALQNCLLNSSVPSLPHYNLTVLEELDLSLNSFNSPAAPNWYWDVTSLKSLDLYLCEFTGPFPDELGNLTMLEVLNMRGNNMEGMIPSTLKRLCSLQMIDLSTNKGCPNIRYLIERLPKCSWNSLQELDLSKANITGTTIKSLLNLTTLNALDISRNHLSGSVPVEIGTLRNLTELCIGHNSFSGVISEDHFSGLTNLNEIDLSQNDLQVMVDSDWEPPFNLQFARFSSCYLGPQIPNWFRWQSNILILDISDSGLTGRIPDWFWTTFSNSVRLDLSYNQISGELPLNLEFLLSLELRLQSNHLTGSVPQLPRSLRLLDISKNSLNGHLPSKFGAPYLRVALLFSNSITGTIPESICRWPQLKVLDVSNNLLTMGLPDCTSNELKQWNLPSSDNSRVNSTNSYSLEIHTLLLKNNSLSGGFPSFLKQCHNLMLRSNNFSGHIPIETMRLFSLRILDLANNTFSGVIPQSIVNLKALTTTDVASDPIENPFLGDYRSGYVSYDEGLYNDSLSLVIKGQVLDYRENVVYFMSIDLSCNRLTGKIPEEIGSLLGLINLNLSSNLLSGNISYKLGNLQSLESLDLSNNQLSGEIPWSLSNLTSLSYLNLSYNNLSGRIPSGHQLDSLETDDPASMYIGNPGLCGHPLPVACPGDQPAQDGPVMWYEDGNSEMDFHLGLIVGFLVGLWIIFCGLLFNKTWRYAYFSLFDKLYDKVHVFSVLTWQQWFRKPDTN >KQL16459 pep chromosome:Setaria_italica_v2.0:III:40316556:40318102:-1 gene:SETIT_022058mg transcript:KQL16459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFRRGSGGVEESAWVAEVETAVADGGASEEESSRWRLHCIYRVPACVKDLNRKAYQPQVVSLGPFHHGEPQLAPMDAHKRRALVHFLRRARRPLPEFAAAVAGLGERLEGAYQGLGDEWRGGGGERFVELMVTDGCFILEVMRAATGWEVNDYAGDDPVFSAHGLLYTVPYIRRDMLMIENQLPLLVLERLLAVETGKDGNEDLINRLVLLFLSPTAWPLTTGVGLALHPLDVLRRSLLYGPAPAPPPSDPSPAPAPDDIIRPAEELYEAGVRFKRSPTSSLLDIRFHRGTLYLPPIAIDDTTEYMLLNLMALERLHAGAGNGVTAYVFFMDSMVGSARDVALLAARRVVHSAVGGDRAAARLLNGLSRDVVLEPGSALDGVHREVNAYCRKRWNRWRANLVHTYFRSPWSFMSLLAAVFLLVMTVLQTVYTVLPYYGDQS >KQL17228 pep chromosome:Setaria_italica_v2.0:III:49109876:49113333:-1 gene:SETIT_021054mg transcript:KQL17228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLLLLAASLLNNDQPTAHAVSTSPPSAAAEIAADEQALLSFRALITNDPHGVLASWIAGNGSTAGGNMTTAGACSWRGVGCHSSRHPGRVTSLELSSNLSGTVSPFLSNLTFLSTLNLSHNSFSGNIPEELGFLPRLLYLDLQHNSLQGMIPGSLARASKLRILQLEYNSLVGKIPANLSNLQDLEVLDVGSNQLSGEIPPLLGSLSKLTYLGLYLNNLSGGVPASLGNLSSLVDLFADTNKLSGQIPDSLGRLMKLKSLDLAYNQLSGSIPASLFNISSVATFELSGNNALSGVLPFDIGVTLQNLQNLILNDCQLSGQIPRSIGNASRLRYIQLDDNELEGTVPLEVGNLKDLEVLTLGNNQLEDKWGSDWELIGSLSNCSKLFSLSLDSNSFQGVFPPSIVNLSNTMQKLHLAHNEFRGAISSDIWKLSDLDTLILRGNFLSGSIPPRIGELNNLGALDLSQNNISGEIPPTLGNLTGLSMLYLFQNNLQGSIPTSLGNLQNIASLVLSFNQLKGTIPVEVISLSSLTSYLGLSYNFLSGPIPSEVGKLTNLVLLDLSVNKLSGDIPPTLGKCVELVQLQLNDNLLQGVIPQSLSRLQGIQKLNFAGNNLSGSVWGFFSDWPNLAYLNLSHNNFEGPVPVKGVFSNASAFFIDGNKVCGGIPSLNLPQCPVKESGVEKKRPRRVVLIGIVAGAFSLLLVILISGLLLFIMRRRQRVPNVPFMEDQHWQVSFEEIQKATDQFSPSNLIGTGSFGSVYRGILSPGAQQVAIKVIDLQQHGAENSFLAECRVLRSIRHRNLVKVITACSSINHQGNDFKALVYEFMPNGDLDKWLHQGLATQDNVPKTKRRLTMSQRVNIALEVAQALDYLHNHGQVPIVHCDLKPSNVLLDNEMVAHVADFGLARFIRKTASNSIEEISTSIGIKGTIGYIPPEYGMDGNVSIQGDVYSYGVLLLELFTGKRPTDGSFQGGQTLQSYVASCYPDNIKAIVDPALLPLDNGFVGKGDNCCDDIDAEKLQEFMVPIFRIGLQCSQESSRARMHIRSAIRELEAVQDAMLND >KQL14489 pep chromosome:Setaria_italica_v2.0:III:11561536:11563895:1 gene:SETIT_023360mg transcript:KQL14489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKGRNNVGFEMIDAIAEAEGISVTSKQFKAIVGKGLIGDVPVMLAKPQTFMNASGESVGQLVSYFKIPLNQLVVIYDDLDIPFAKLRLLPKGGHGGHNGMRSIIDHLKQSRNFPRLRMGIGRPPEEMGAISFVLRSFSKEEKEELEVTFQRGLQAVRIMVREGFNKSATFVNTPLPLEMPLEMLNR >KQL15506 pep chromosome:Setaria_italica_v2.0:III:20964481:20967400:-1 gene:SETIT_021685mg transcript:KQL15506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAQDFHPRTFSIKLWPPSESTRLMLVERMTTNLSTESIFSRKYGLLGKEEAHENAKRIEQLCFASADEHFKKEPDGDGSSAVQLYAKETSKMIMEVLKKGPMTTAEPEAPVADTPIEPDISGGKRAFIEADEAKELLSPLTEPGNSYKRICFSNRSFGVDAANVAGPILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFSELLKSQEKLEELYVMNDGISEDAAKALSELIPSTENLKVLQFHNNMTGDEGAVYVAEMVKRSPNLESFRCSATRIGADGGVALSEALGTCTHLKKLDLRDNLFGVDAGIALSKTLPKLPDLVELYLSDLNLENKGTIAIVNALKQSAPQLEVLELAGNEINAKAAPALAECITAMQSLKKLTLAENELKDDGAVIIAQSLEDGHADLKELDVSTNMLQRVGARCFARAVANKPGFVQLNMNGNFISDEGIDEVKDILKAGKNSLDVLGSLDENDPEGEPDDDEEDDDEDAKDDDDEDGLDSKLQNVKVEQDG >KQL12951 pep chromosome:Setaria_italica_v2.0:III:1816008:1825753:-1 gene:SETIT_021002mg transcript:KQL12951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGVGPAQHNGASGSVSNGAAAAAAATPLHSSAASTANGAAADGYDSDGYSFAPPTPSTLSMSIPPELAGAIPLIDRFQVEGFLKAMQKQIHSAGKRGFFSKKSVGPQAREKFTLEDMLCFQKDPIPTSLLKISSDLVSRSIKLFQVILKYMGIDSPAIISLEERIELVAKLYKHTLKRSELRDELFAQISKQTRNNPDRGWSIRAWELMYLCASSMPPSKDIGAYLSEYVHYIAHGATTDSDVRVLALNTLNALKRSVKAGPRVTIPAREEIEALLTSRKLTTIVFFLDETFEEITYDMATTVADAVEELAGIIKLSVYSSFSLFECRKVVNGSKSSEVGNEEYIGLDDNKYIGDLLSEFKSAKDRNKGEILHCKLVFKKRLFRESDEAVTDPMFIQLSYVQLQHDYILGNYPVGRDDAAQLSALQILVEIGFIDNPESCVEWISLLERFLPRQVAITRAKRDWELDIISRYQLMEHLSKDDARQQFLRILRNLPYGNSVFFSVRKIDDPIGLLPGKIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSATSVTSQNDGNQSYKPPNTEMYEKRVQELTKTVEESQKKVDRLREDLQLKTKQETEMQEELEGLRDTLQSERHSLKEVKSELDKIKSLCDEKENALQAALMEKGRLETRLTSGQSRERDTLTTVGSVNSDIEMLTKLKEELKSCQKELDASKEVSKKLMSEKNLLDQKVQRLERMKSEEKSTMEKVYAEECRKLKSQIAELEQKLEVATRSLNMAESNLAVRNSEVDNLQNSLKELDELREFKADVDRKNQQTAEILKRQGAQLVELENLYKQEQVLRKRYYNTIEDMKGKIRVFCRLRPLNDKELSLEEKNIVCSPDEFTIAHPWKDDKSKQHIYDRVFDANTTQEEVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSDNNPGLTPRATSELFRVIKRDGNKYSFSLKAYMVELYQDNLVDLLLPKNAKQQKLEIKKDSKGVVTVENATVVSISSIEELRAIISRGSERRHTAGTNMNDESSRSHLILSIIIESTNLQTQSYARGKLSFVDLAGSERVKKSGSAGKQLKEAQSINKSLSALADVIGALSSDGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLEETYNSLMYASRVRCIINDTSKHVAPKEIMRLKKLVAYWKEQAGKRSDEDELEEIQEERASKEKADNRLPG >KQL14179 pep chromosome:Setaria_italica_v2.0:III:9385078:9386167:1 gene:SETIT_022732mg transcript:KQL14179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRIPQMKELQGPIAAPPADTPSPPPAPLDDAPVPLSSGEESSDDEDFEFEFPFVSRESPAGTAAPADELFADGRIRPFYPVFGGVVSGGVGGCVHASAGGHDGTARSVPVPAVAPRVRGQLGRLFLEETRARNSSTSSTASTASSSSSAATDDDRADGLEGAAPESYCVWRPGSASSASPASSPRPPRKSGSTGSMARWRRISDLVVGRSHSDGKEKFLFFAAPPHEQAPKDKDKPKPKPSPAPAGGRKPTPVTTEVDTVTAAHRIAYLSKGGGTAGGTPRRTFLPYREELVGFFANVNGVSRSHQHPF >KQL15405 pep chromosome:Setaria_italica_v2.0:III:19677211:19679312:1 gene:SETIT_022982mg transcript:KQL15405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVKFIFGISGNVIALFLFLSPVPTFWRIIRRRSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVSTINGAGAAIETVYVVIFLVFASSRQTRLRMLGLASAVAMVFAAVALVSMLALHGERRKLLCGIAATVCSICMYGSPLSIMRLVVKTKSVEYMPFLLSLAVFLCGTSWFVYGLLGRDPFVAIPNGCGSFLGAVQLILYAIYRNSGGGKAGAGGRGGKQHGGVDDDVEMAAASDAKGSNKVAHDVGGAGNADRLV >KQL15080 pep chromosome:Setaria_italica_v2.0:III:16435623:16439933:-1 gene:SETIT_021655mg transcript:KQL15080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADDAARSSRRMDLNLYLGLPRAPRARRPDLGSDLALGTPMLSSSSPSSSAASADAPPPEGEPLHPPYSPSRADLVRPPTPAHEPYNPFAPEALPPYMPPPPLPVPGALPVLADELEFGFSDAHLGLVERLADRPSSSTASSSFRPDRAERFRRLMCMSGSRYFRPRRFRSDLPPLSSEAPSLENDAPPQPPEPEEPVHDTVEENKVVADGAVVGVSEDEGTEHGKSAAMFECNICFEMAAEPVVTSCGHLFCWPCLYQWLHVHSSHKECPVCKGEVTEGNITPIYGRGNSGSDVEKKVAEDGNASGPKIPPRPHGNRLESFRQQFHHLRPISRRLGEAHGFLSTWRRILDQHLMNSVSRFEGPPEATAQEIPPNASRFSRMTTRLRARRLQREAENPTSIASSAPGSGGQPGNNISDLPRRTSSPFPSEGMDLLRHFDFSDLEDSERFATAFSELRRIVRPSHYGASTSSNPPNPEPVDGTHIVTGLAADQASNSSTMAVIQEDAAFTESAGEPSNAGSSRSLRRRRGGDALGSLDVDGGDLHQNKRRRLN >KQL16404 pep chromosome:Setaria_italica_v2.0:III:39281664:39285114:-1 gene:SETIT_021134mg transcript:KQL16404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPTVSISAGVMNSLLSKLTKLLSDEYKLLKSVRKEVKFLKDELSSMNALIQKLEDMEELDVQAREWRDKVRELAYDFEDCIDVFMHNLGGEGEKAGLVGKSERWIKKLQLRRHLASQIQELKARVVEEAERQRRYKVSECVSSSRAVDIDYRLASLYAEADKLVGIDGPREEIAQRLLEGENGSSQQLKLVSIVGPGGIGKTTLATQVYNRIRNKFDCTAFVSVSQNLDTLKILKEILLGIGYCSNRMLDHEQQVIDVIRQYLADKRYLFIIDDIRSIKAWDIIKYALVQNNKSSRVITTTQIQDVATTCCLHCDGQVYMMQPLDESDSRRLFLKRVFDSEDNCPEQYRMITENMLHKCKGVPLAITSIATLLASQGMNVEKWENMHNSFYSELETNPALEWMRYVPSLSYNDLSHELKTCLLYLGIYPEDYPIKKVDLVRRWVAEGFVSEKHGLDLEEVAGSYFDELINRSMIQPGKIIRGEMHYCRVHDLMLDHIISKCTVENFFTIIDRKYKMKQTLFPVRRLCCHFSNGNIALESLRLKKVRSFTTFPASDCMQPPISKFELLRVLNLQTNPSPDSQCLDLSAISNLFLLRYLRARGFRNLKLPEKIGKLQNLMTLDLGDSEVVCAIPLDVTSLSSLRHLTVPRGAVLPDGIGKLITLRTLEEFDLGKNSMQNIKYLGELTNLMELQLRHEDSGIFQPLTHMERRKYEVLAVSLCKIGNSNLRSLVAHPGVSLGCVLNCSLTHPRCLRRLHLDSCCPTFPKWMAQAVRLTSLILEVEELCSEDVHVLAGLPCLTYLDLGAAKAPNRSIMIQINSNEFSCLKEFKFKYHILLLSFEPGAMPTLQSLDLTFSGGSVIGIEHLASLEEISVYLKALPCDLSKIRSEISDALDRHPRNHTVRRRRFIFTPCLDDRDCSGSFQDLVED >KQL13480 pep chromosome:Setaria_italica_v2.0:III:4709278:4710129:-1 gene:SETIT_023507mg transcript:KQL13480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVDDLSAAYDDFVAAASAVLEARAQSGGEKTAATDAALEAFKQRWELFRVACDHAEELVESIRQRIGSECLVDEATGSASAGSAPAPLAAAPGIKPISAVRLEQMSKAVRWLVIELQHGAGGASAPGTAGTGGAATPNAGAGPGPGGQHPEEGGQ >KQL12989 pep chromosome:Setaria_italica_v2.0:III:1991753:1992133:-1 gene:SETIT_025550mg transcript:KQL12989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSDGLHRLCCIHVFCHAQLKRMPSAECSASRR >KQL15008 pep chromosome:Setaria_italica_v2.0:III:15523703:15526347:-1 gene:SETIT_021606mg transcript:KQL15008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLPPAATLAKKYPTLLHPSGARPHAQRLIFRCGATSDAADDGWASFVDELKSSLQDPSDTVASDAAGAGAAPDDLVTALPLDASADPAGVGDTTNAIAGAANELMGVDASGAAASSDSIPDGLLSVLHLDASNPAVRAAGGALSRLDALTAGLSDAQRWALLGFLGVTWLYLTARPGVLSGAVDTYVLAPLQLALDSVLGRRSLKMSDFVVGERIGEGSFGVVYAGAVVPKNGAVVEERSGRARTSLQNDDRYKEKVILKKIKVGTVGAKECGDYEEWFNYRMARAAPESCADFLGSFVADKTKSEFIKGGKWLVWKFEGDRTLADYLGDRAFPSNLEQLMFGRALRGLGTLERDALVVKQVMRQLVTSLKRIHGTGIVHRDIKPSNLVVTRRGQVKLIDFGAATDLRIGKNYVPDRALLDPDYCPPELYVLPEETPEPPPEPIAAILSPILWQLNNPDLFDMYSAGIVLMQMAIPTLRTQSGLKNFNAELRSAGYDLNRWRQSTRRRPDLQILDLDSGRGWDLATKLISERGANGGGRLSAAAALRHPYFLLGGDQAAAVLSKLSLIK >KQL16636 pep chromosome:Setaria_italica_v2.0:III:43213266:43213724:1 gene:SETIT_024645mg transcript:KQL16636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYTPESDNNWDKYHLEFGKKIMHRLSDALSIAAPLKFKSFKNWRHVPVKVPVQKATSDSAFFAMKFLEFYDGDGHGSLHTSIAAERSKELRAETLYYLTFHKQNKVVVLPDEILQYRRDDHHPFFY >KQL16062 pep chromosome:Setaria_italica_v2.0:III:27910680:27915389:-1 gene:SETIT_022228mg transcript:KQL16062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRRKPQPQPPPSFEHHHPPSVGPASPDSLAAQAMRASAAHRDASSIASAYSSSASAAARRSHHEPSVSTPSPDSSAYEYTSMKSLNEAKYGFWGALARKAKSLLDEDGSPGQHESPAGQQSLRDGASVGVQHPRSQQLPGETWKSETPPSQKRSEAITSSLNYIGGTIKNALEEGRTIVENKTADIIQETRKLNVRRKGTVSNTQGEAGHKLTQRYLPQNPLDHETQLKASRDVANAMAAKAKLLLRELKTVKADLAFAKERCAQLEEENKMLRESYDKGDNPEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVIYVDEGIEEVTEVYPTQVLPRTGSSVGRASTPATPKPAPSSTSIVVPESCPVVPASPKSLLRASSLSN >KQL15006 pep chromosome:Setaria_italica_v2.0:III:15516496:15521349:1 gene:SETIT_023551mg transcript:KQL15006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQRDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYESTARSWTQKYAMG >KQL15005 pep chromosome:Setaria_italica_v2.0:III:15516496:15521349:1 gene:SETIT_023551mg transcript:KQL15005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQRDPPTSCSAGPAGEDMFHWQATIMGPPDSPYAGGVFLVNIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYESTARSWTQKYAMG >KQL15707 pep chromosome:Setaria_italica_v2.0:III:22810988:22811561:-1 gene:SETIT_024611mg transcript:KQL15707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLSALLPGLLKKVGESLSTEFSFICTIQQRHQKLHNLLLAINQVVSDAEEQAYKKPAVKSWIAQLKLAACDADDALDELRYEALRREALRHGHKITDDIGKRLQQIVDRIDELVLQMNQFRFSIHPSMPMDKRMQTHSFVDEQVVIGRKGDRKKIVQMLLVKEIMVIG >KQL14457 pep chromosome:Setaria_italica_v2.0:III:11352238:11352946:1 gene:SETIT_024974mg transcript:KQL14457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFPSSGSVAAYLKPHENFNRTNFVRRDFRAKIQVNFNNMDNGARMTAGGERPSNLGERRPFAASLVFKEHEQYSQRKKRDFVHVLLKRNKTFVTVTDVSGNKKTGASAGCLEDRKGQSRLSRYAAEATAEHVGRSARKMGLRSVVMKVKGVSFFKKKVILGWREGFRGERVRDQSPIMYIHDVTQLPHNGCRRPKQRRV >KQL14318 pep chromosome:Setaria_italica_v2.0:III:10508552:10510959:-1 gene:SETIT_023171mg transcript:KQL14318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFTASPVVELPVGGAVLAFEQDNDSFEVGTSVWPSSLVIVKFVERCLGDPALPFADVLRFPGTRAVELGSGCGPAGLGLSRLGLTDLVLTDIAAVLPALRRNLRRNRLHLPRAPRLAQLHWNCPAHLATLATPRRFDLVVAADVVYVQESVPHLIAAMDALADAERGVVLLGYQIRSPEAHQAFWDSVPSAFPVIEKVAREHLDPDYAYEESDVYILRRRPRQ >KQL14319 pep chromosome:Setaria_italica_v2.0:III:10510224:10510898:-1 gene:SETIT_023171mg transcript:KQL14319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFTASPVVELPVGGAVLAFEQDNDSFEVGTSVWPSSLVIVKFVERCLGDPALPFADVLRFPGTRAVELGSGCGPAGLGLSRLGLTDLVLTDIAAVLPALRRNLRRNRLHLPRAPRLAQLHWNCPAHLATLATPRRFDLVVAADVVYVQESVPHLIAAMDALADAERGVVLLGYQIRSPEAHQAFWDSVPSAFPVIEKVAREHLDPDYAYEESDVYILRRRPRQ >KQL16639 pep chromosome:Setaria_italica_v2.0:III:43223947:43225305:-1 gene:SETIT_023628mg transcript:KQL16639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVDHMSLQHRAFLKDKWRNQQGLSGSRYSTLASLKRDLESISRSSRAAPVSTVPDPLLSSFVGNFSEVDLPRDAKKEPLDETEVGSDNLDQKAAESVDEPLLPEVKVERIRRSQFAQGLVLSLIFDDIL >KQL16668 pep chromosome:Setaria_italica_v2.0:III:43649980:43652042:-1 gene:SETIT_022595mg transcript:KQL16668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAPADGSRRPLFAAEGINPFYLEHGPRIFPRKWSIAAASGPKHDGKYLRAVTRRVLGETRVRDTVTDVIIPTFDVKLVQPIIFSKCDAEKTPEKNALLSDVCIGTAAAPTYLPAHHFRTKGADGRDHDYNLIDGGVAANNPTMVAMSIITEEIMAKAKEKDSKAVRLLKPSSEDECGRFLVLSIGTGLRSNEEQYTAKVCSKWGIIGWLRKRGMAPIIDIFMAASSDLVDIHVSVKFKLFGCESNYLRIQNNTLCSATAAVDVATPENMKKLIEIGKRMLDQRVTRVNVKTGKYEDVPGDNRTNAQALEDLAKELSKERTAKRLKAGQASGGVAR >KQL15332 pep chromosome:Setaria_italica_v2.0:III:18976547:18977464:-1 gene:SETIT_024239mg transcript:KQL15332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGVIVLTLVVGSFGVASAVLGFIAERTKLTWDDIGIDVYSEECDYPANPAYLLSIIAIPLLAVAMIIASLAGGCCGCCRPRHGASESKRIIGIIAAVLSWIAALLAGAFYANGAVWNFPITRYGITWCRLLRHGYFRLPALLSLAATALAILSYIMLRARAPDARPSTAPAAGASEPKPQTPPVGEAVMVPPEPQWPSSHGHRQAQQPLPEHRVGGPSWTPYRQVASPPRRQAQPAVEMMMA >KQL13392 pep chromosome:Setaria_italica_v2.0:III:4232096:4234284:-1 gene:SETIT_022784mg transcript:KQL13392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAACVRVASPFTGAPIRPPCHVIAARRGPRRAGLAVSAAAAAAAGGGLPPTVLVTGAGGRTGQIVYKKLKERAGQFVGRGLVRTEESKGKIGGADDVFIGDIRDPESIASAIEGIDALIILTSAVPKMKPGFDPSKGGRPEFYFEEGSYPEQVDWIGQKNQIDAAKSIGVKHIVLVGSMGGTDINHPLNKLGNGNILVWKRKAEQYLADSGLPYTIIRAGGLQDKDGGLRELIVGKDDEILKTETKTIAREDVAEVCIQALLFDEAKFKAFDLASKPEGEGTPTTDFRALFAQVNSRF >KQL13778 pep chromosome:Setaria_italica_v2.0:III:6685916:6689104:-1 gene:SETIT_022859mg transcript:KQL13778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWAGLRSSSPAPTAAWMGRRPRTARWPRGRLPAARRAVVASAAASDANSSSNSPGRDEEREEVARKEEEKAAASLLMRSQKYAMLKQQLAVAAQFEDYKEAARLRDSLRSFEEEEPVLRLRRLMRKAIEEERFEDAAKYRDELKILAPHSLLKCSSDATTLGIRVQVRSVYIESRSQPLKGQFFFAYRIRITNNSQRPVQLLRRHWIVTDGNGRTENIWGVGVVGEQPVIFPRTGFEYSSACPLSTPNGRMEGDFEMKHIDKAGSSTFNVAIAPFSLSILGDDNDVLL >KQL15438 pep chromosome:Setaria_italica_v2.0:III:20144893:20149191:1 gene:SETIT_022851mg transcript:KQL15438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERIPPPPFFQHSPSGVHSSPHRHNPMWSSSSDRERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSLPPNQNFIEPERIDHGSPLRLAGQSMNGQAMDLDGWSGMQTEHLGVLQSPSMVWNGTPGVVGSPVVKKVVRIDVPVDKYPNEDKLRDKPGYEHLNDPLHVLVEAEFPADSVDARLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSSHLSPSVSPFNSTGMKRAKTGR >KQL15437 pep chromosome:Setaria_italica_v2.0:III:20144893:20149191:1 gene:SETIT_022851mg transcript:KQL15437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERIPPPPFFQHSPSGVHSSPHRHNPMWSSSSDRERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASSLPPNQNFIEPERIDHGSPLRLAGQSMNGQAMDLDGWSGMQTEHLGVLQSPSMVWNGTPGVVGSPVVKKVVRIDVPVDKYPNYNFVGRLLGPRGNSLKRVEATTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNDPLHVLVEAEFPADSVDARLNQAVAILEDLLKPVDESMDYYKKQQLRELAILNGTLREESPSSHLSPSVSPFNSTGMKRAKTGR >KQL13794 pep chromosome:Setaria_italica_v2.0:III:6763580:6767612:-1 gene:SETIT_021688mg transcript:KQL13794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAEVGSLSRDELWNKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPNVNCATMKEGRAEASTAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAIDLARDMLQASGAGLAVVVSTEAVSFTWYPGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHVVRTHKGADDRAFRSVYQEEDEQRIKGLSISRDLLEVGGHALKTNITTLGPLVLPFSEQLLFFAGVLFRHLFPSKTSTPPPATTPGDASAAAPYIPDFKRAFEHFCMHAASRDVLEHLQSNLGLRDGDLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPSRSPWLDCVDQYPARMDA >KQL14734 pep chromosome:Setaria_italica_v2.0:III:13275704:13276080:-1 gene:SETIT_025775mg transcript:KQL14734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLHFTDLATAALHPSRAPLRPRWYLVSWHLYLTKRRLLLNKSPLQGL >KQL15853 pep chromosome:Setaria_italica_v2.0:III:24620568:24621372:-1 gene:SETIT_023303mg transcript:KQL15853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQGVSPSPASARAGAGIRWEPHGRMLTACLVALNVFLVLLVYVYFWRFFSRTRGGGGEDGGDVEAASSAASSPKAGDRREVEFAITALPVFVVRRTSSGAGGGADTSPECAICIAEFADGEEGRLLPRCGHRFHARCVDAWFRFHTTCPLCRATVLADAAPAAPSADPTAPSQPSRRTDTDRPSTSTDAGDADSPV >KQL13856 pep chromosome:Setaria_italica_v2.0:III:7253448:7266492:1 gene:SETIT_020976mg transcript:KQL13856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAPWHPTFPFPATGVLPLLLLGLFAVASGGTGPSPGAFNRGEAGGAEAYSILTFHDYTPPPPPALPPPPAAPAATCAGDLRGVGDLDTQCVVQKSVRLGGGVYISGNGSLVILGGVAVTCERPGCVLSANLSGGILLGHRARVVAGWVSLAAANITLGVDAVVNTTALAGDPPDQTSGVPTGTYGDGGGHGGRGASCFVKKGQAQEDSWGGDTYAWSALKTPNSYGSKGGSTTVEKDYGGGGGGVVWLFAKEIVLNGTVLADGGNGGTKGGGGSGGSIYLKAATMRGGGKISACGGNGLAGGGGGRVSIDVFSRHDDAQIFVHGGKSSGCLDNAGAAGTLYEEVPKSITVSNDNLSTQTDTVFLDPPYEPLWTNVLIKNHAKVSLPLRWSRIQAQGQILLAGATLTFGLTHYPYSEFELLAEELLMSDSTIKVFGALRMSVKMLLMWNSRMTIDGDRESGVATSLLEGSNLIVLKESSVIHSNANLGIHGQGVLNLSGQGDTIEAQRLILSLFYNIVVGPGAVLRGPLINGSIGEMAPKLNCEDESCPMEIFHPPEDCNLNSSLSFTLQICRVEDIDVSGLVQGTVINFNRARSVTVQTSGTISATGLGCQGGIGQGKMLSSGISGGGGHGGKGGDGIYSGDHAEGGPAYGHADLPCELGSGSGNVSASSTAGGGIIVMGSLEQSLPNLSLSGSIEANGGSFTGLASHATIGGPGGGSGGTILLFVRTLLLKEDSVLSSVGGIGNNGSGGGGGGRIHFHWSDIPTGDDYVPFATVKGTILTRGGVSEGHGFPGENGTVTGKDCPKGLYGTFCKECPSGTYKNITGSSKSLCSPCPPNELPRRAVYISVRGGVAETPCPYKCVSDRYRMPHCFTALEELIYTFGGPWLFGLLLSGLLVLLALVLSIARMKFVGTDELPGPAPTQHSSQIDHSFPFLESLNEVLETNRAEESHCHVHRMYFMGPNTFSEPWHLPHTPPEQISEIVYEDAFNKFVDEINALAAYQWWEGSVYSILCILSYPLAWSWQQWRRRKKLQKLCEFVRSEYDHSCLRSCRSRALYEGLKVAATPDLMLGYLDFFLGGDEKRTDLPPRLHQRFPMSLIFGGDGSYMAPFSLHSDRVVTSLISQAVPSSIWHRLVAGLNAQLRLVRRGNLNTTFLPVLKWLETHANPALNTYHVRVDLAWFQTTALGYCQFGLVLHAVGGPVAAELQGDSVIITEQHSVNQNTYADSQLSHSRINDALLCKRITGTVLNVENLKMLKDRRDLFYPLSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMVDVLLVLFILPLGILAPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVAVAFVCGFLHYKSSNKKHSSMQPWNLGGDETSWWLFPTGLVLCKCIQARLVDWHVSILEIQDRAVYSNDPTIFWQ >KQL14046 pep chromosome:Setaria_italica_v2.0:III:8384540:8386317:1 gene:SETIT_025152mg transcript:KQL14046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAGAAAACAARDEAGLLPLRPAPDGSITIYLTAPGLAAMPMRVMASDSIASVKLRVQTSRGVVVRKQKLVFDGRELARNDCRVRDYGVADGNVLHLVVRVPDIRLITVVETVKGSKFRFRVEPGRTVGYVKQQIAKDGRRLHPDEQSLVLEGEELDDAHLIHDVCRADGAVIHLLVRRSAKAAASDFEVSIVARDAASQQHPPPPPRDVGIEPVVGNPKAQLPPALRNLVSAVRAGMEKGNAPVMSSEGTGGAYFMQDASGHRHVAVFKPVDEEPMAANNPRGLPVSSTGEGLKKGTRVGEGALREVAAYILDHPLGDRRSFAAHAAAGFAGVPPTALVRCMHKAFRHPDRSVQPPASKLGSLQAFVKNCGSCEDMGPRAFPVQEVHKICVLDIRLANADRHAGNILVCRDSEGRGMSLVPIDHGYCLPESFEDCTFEWLYWPQSREPFSGEAVEYVRCLDAEEDIATLRFHGWEVSRECARTLRLATMLLKKGVERGLTAFDIGSIMCRETLTKESVIEEMVREAQALDDDATRGAGGNETAFLQSVSEIMDRRLDELSSEKK >KQL14820 pep chromosome:Setaria_italica_v2.0:III:14032694:14033399:-1 gene:SETIT_024750mg transcript:KQL14820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGGGRAAHKAFLLCNYTLLGAASACIFLTLSLRLAPSPCGLLLLFLHALTAVFGAAGCSGSFTDGGAGAGRAHAAHTAGAVLTAIFQGAAALLAFTRTADFLAELRSYVREEDGEIILKLVGGLGTAIFVLEWAALALAFALRLDDDGAEEADQEHF >KQL15513 pep chromosome:Setaria_italica_v2.0:III:21040145:21041622:-1 gene:SETIT_022355mg transcript:KQL15513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPGAGDHQEPQARHDATDAEELLQAHLHLWSHALGYVKSMALKCALDLRIPDAIQRCGGAATLDDLLAATGLPPSSLPFLRRLMRALTASRIFSLRQDPADDPAAVSSYHLTATSRLLLSGGDDASCFSLFPAIYPLVQEGLVSPMLRMHEWMGRHDAASTSLYEVAHGKGLWDTLQASAAYRAAFQDAMDADTRLVMHAVLGGSPAVFRGLTSLVDVGGGRGRAAAAIAGAFPHIQCTVMDLPHVVAEAPAGNGVCFLAGDMFEHIPSADALLLKWILHDWDDANCIKIMQRCLEAIGGKEGRGKVIIIDAVIGSVPNDDDAICREAQVLCDLQIMAAFNGAEREEHEWRRIFLEAGFCDYKITRIRGVKSIIEVYP >KQL16941 pep chromosome:Setaria_italica_v2.0:III:46885250:46885572:1 gene:SETIT_024285mg transcript:KQL16941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPGTALYGYYVCEFLRNNRRYRTNPKDMLRIDVHDEALENRQIDSICRDMARYIQREICHENGAFFDKNGLLMEHSFM >KQL14147 pep chromosome:Setaria_italica_v2.0:III:9136803:9140077:-1 gene:SETIT_022641mg transcript:KQL14147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSCPGVSMSKKAFDSGQLASCRCSWPGARAAPRRQRTPCVCFVASPATQPGLAAIDVPPQTIVTTASVPERISVSSLLEVVSGDLLNLNNNLKSIQSFAGKETIHQLYGTRVAVLAGDFMFAQSSWFLANLENIEVIKLISQVIKDFASGEIKQASTLFDCDVTLDDYLLKSYYKTASLIAASTRSAAIFSGVGTTICEQMYEYGRNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALQDEPRLREIIDSEFSEPGSLGTAIELVHRSGGIRRAQELAKEKGDLAIQSLQCLPRSEFRSTLEKVVHYNLQRIE >KQL13286 pep chromosome:Setaria_italica_v2.0:III:3632901:3633307:-1 gene:SETIT_025404mg transcript:KQL13286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIFSVGQAHKLSIKLVFVNSYPVHQVIYPFLVVLLR >KQL15252 pep chromosome:Setaria_italica_v2.0:III:18316519:18317309:-1 gene:SETIT_023529mg transcript:KQL15252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEIVRHGRTSWCTRDKRGARFQWTMDWLGHMEWTGHNLHGRKWGTVLTACAAAGSCYESSGPTLLRAHCLDSQSGVAEHVHRYYDCACLGETVVLILRYSPSSFLLRTLHHKENGFCISASRSAIEIREQKTFSLPTWPPISQTSCDGR >KQL13017 pep chromosome:Setaria_italica_v2.0:III:2119624:2120880:-1 gene:SETIT_025136mg transcript:KQL13017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATNGAAAAPWADLLPELCGLVVDRLDDPISVLRFPAACAGWAAACKENPRRLRSGAPTLLVSGLDPEGVETEHDVDAGAFGLHDVSAGGGGRSFLAEAAGLKGRTWIGGKGDWLVTTDYGCNVELFNPITGDRVPLPSFETTRGSELGVPGYLHVSAEDRWHRILKVTLCQTPAHPGGYLAVALFSGGLLASTAAGDKCWTALKNHAASSRLDLSYMDAIVLEGKLFSVNEFGRVYSWDMMNGGTTEPAAVVQGPEIELNRHYGRGFYLATSSHGQLLLICIHGDTDSLKDNRTCSRLVFDDRWSFYEHGMSLHELDAAGGGAWRRVTDLGGDRALFLGANCPFYITVPPGSEKLKANCVYLADTPSGYDAGVFDLNKGEEEDGYVERLAYSLMADPLQMPMWFRPTTHHRLLDE >KQL16287 pep chromosome:Setaria_italica_v2.0:III:36336001:36344239:1 gene:SETIT_021721mg transcript:KQL16287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLAAFHAHPIPNPHRHPARPNPTTGLLRLLPSRRRSRPRAAVRLAVSASSTSAPPPSADRSEAASSLERCLSATATGAGMGTGAAAPASAPPRAPPAMKGRRKGAFGAVTLEKAKLDLSQRRKKIMPELATGGGGGDIGKRIGHGGGDGGDDDGDDDDYFDDFDDGEEEEGGLFRRRIVVQELFNREFVEAVLQEWCKTMSNLPAGLRQAYEMGLVSSAQMVRYLSIFARPTSTRSFSRALPGWLSRGLVGRTLADPAFPHKMAFEFMASFSSSVWWEMNIRKERFQQEWDLALVNVLTASCCNLMVLGLLAPCRSYGSTSRFDFQNTIEKLPNNIFEKSYPLREFDLPKRISAFFYKAAELSLVGFVAGSVQGGMSKVLSERKGRRLSVTIPSVGTNALGYGAFLGLYANLRYQLLSGLDHYMVKRFDVLGVAIFFSTAARLMNIQIGEASRRTWLGEEADPQYSDRLLRAYKRPVEVNVDQQDSRWFISKDAMVSGLGLLGIKQGGPETTLSKPRRKRVVRKKVASG >KQL16005 pep chromosome:Setaria_italica_v2.0:III:26811142:26811638:1 gene:SETIT_025531mg transcript:KQL16005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQYDPPMATKLVVLQSCGVALEVDPCSVRSAISSNSCFTCTISVEVGWFDHSSIVMVAYPWRGGNSGF >KQL16132 pep chromosome:Setaria_italica_v2.0:III:30481942:30483685:-1 gene:SETIT_025265mg transcript:KQL16132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTSHARRRCAGTFPNSKSAAISSSSSFLPRHGDDQLGGMWESVRVKFISAEADGLWQICCWHTDAIIPFRQWLCWIDYQRGIIFYDMSDKLPTPTVSYIWLPLDKMPVASSRKGTSSFYYRAVSVVDHGRALKFINVTCHDGIFFAALKPGTGFTITCHTLVLGDGSMGWKEDYMVTSSELWEANPPERLPRGMFPQVDKDRPHVAHFLFIEFGYANKKMWVVTIDMSTKIVESFSLFINGREGLQTDDAELTKQRLICSYT >KQL13934 pep chromosome:Setaria_italica_v2.0:III:7836757:7838052:1 gene:SETIT_024534mg transcript:KQL13934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEVAVLLANRSSPSSAIVRMNSSAEGNMSYANNSDFQQGIASATRKARRDMAAALYRALGRPATLAIADLGCATGPNALLMVSDAVEAVLAENSKGGGEAPPQLHVFLNDLPANDFNAVFRLLPSSPLASAGCLVSAWPGSFYGRLFPDASLDYVVSSSSLHFLSKAPSMATEHLNRGRVFVSASGPAVALEAYRAQFHADFLAFLGCRAAETRPRGLLLLTFVARRGARPTAHDCYLWDLLADALMDMAAAGLVDEDRVHSFNAPYYAPCPDDLARVVAKEGSFAVRTMQLFDITRRRLFRPTASLPASNKLRDDDEELPQWMAGETAGTVRAVVEPMLRTHFGWAAMDGLFCRYRLLLEAYYRSKATKNKDDITNVFLVLEKKQR >KQL16927 pep chromosome:Setaria_italica_v2.0:III:46739542:46742349:-1 gene:SETIT_021358mg transcript:KQL16927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRPGTVSSPAYEVSSTSYGVSRSVSASASAELGSSSAVSIWSRPVRLEAFDAGAGDEDDERRRRSGREAAANAATTARLGNIRRCVEGEQAAAGWPSWLSAVAAEAVQGWVPLRAEGFEKLEKVGQGTYSSVFRARELATGRLVALKKVRFDSVEPESVRFMAREILILRRLRGHPNVVALDGIITSRSSSAIYLVFEYLDHDLAGLTSDPDVSFSEPQIKCYMRQLLEGLAHCHGRGVMHRDIKCANLLVSSGGELKVADFGLANLFTPSAASAAAAPLTSRVVTLWYRPPELLLGATAYEPSVDLWSAGCVFAEMHARRPVLPGRTEVEQIHKIFKLCGSPPDDFWRRSGLAHAAVFRPQQPYPSRLREAFAGSMPEHALRLLATLLSLDPAARGTAAAALDAEYFATPPHACEPASLPRYAPNKEMDAKFREDSRRRSNARSHGGDAARRPSRGHKSMQLLDTNQSHVHAEESLPVVVDGGGGAAARNDGDSRLFVDLEPVPAISSKRHDGGGGDAAPCARTVSSSFKEAPRVAQRLPLSGPVQLAASTGFAWAKKPRPDATAAAAAAAVTKRSGSKGPGTNSNAGGDAERTTAAATATTPAPYEAEKQEMIKQWAQVADAFSTSEAYNNRLRQTLDAKHLKTGKKYKGKVDRVDFSGPLLSQPRRIDELLQNHEQHIRRAGRRSWFKKGSKEHH >KQL14674 pep chromosome:Setaria_italica_v2.0:III:12868999:12871199:1 gene:SETIT_024781mg transcript:KQL14674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQTYVDEHLMCEIEGHHLTAAAIVGHDGAVWAQSAAFPQFKAEEMADIMKDFDEPGHLAPTGMFLGTTKYMVIQGEPGAVIRGKKGSGGVTVKKTGQALIIGIYDEPMTPGQCNLVVERLGDYLIEQAM >KQL16783 pep chromosome:Setaria_italica_v2.0:III:45068825:45069248:1 gene:SETIT_025374mg transcript:KQL16783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKVSCNVVMTITSVKGFRSLYLNLVFFFIFKSR >KQL16982 pep chromosome:Setaria_italica_v2.0:III:47233011:47233703:1 gene:SETIT_025738mg transcript:KQL16982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTPCRSVGDQVESFVHCHGVVSLLRCPKPPSQRPTGVQRVSFPKERKEKAVGGGLGRIEF >KQL16939 pep chromosome:Setaria_italica_v2.0:III:46860393:46861524:1 gene:SETIT_0250561mg transcript:KQL16939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAGLMVRGGKCVVAELQPAGDGSGRATLLRYTVGQYEWVESELACSPPLPRDWCPQGVVSHGGMLCCRRWIRPYIGGARRCVRESGGRLRYVEIHGDPGAPVVSTWALTEAGEWNPERRVPMADVWADESYLDAMLPGSVPALALLHPEDPDKLYFFLGSCIFAVDLRRRKIVHAWQYDPSSSRSVLLLTSFRQEKEIAA >KQL15403 pep chromosome:Setaria_italica_v2.0:III:19649518:19650828:-1 gene:SETIT_023885mg transcript:KQL15403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYQCFLHPNNARVKHDCSIFSTSLPVTIWSGAVCSRPIRIFLVTRTKEEPLKIFASKRGLVCAQRKISAQT >KQL12701 pep chromosome:Setaria_italica_v2.0:III:457128:458105:1 gene:SETIT_023025mg transcript:KQL12701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNRYIKFNKGPTIVYTTESRPTRTKNFDHYKPRPEGVMMADAGSKSGRGALVILEGLDRSGKSSQCARLLSYLEGQGCRAEGWRFPDRGTSVGQMISAYLANESQLDDRTIHLLFSANRWEKRALMESKLLGGTTLIVDRYSYSGVAFSAAKGLDIQWCKAPDVGLIAPDLVIYLDVQPEVF >KQL12699 pep chromosome:Setaria_italica_v2.0:III:455863:459381:1 gene:SETIT_023025mg transcript:KQL12699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNRYIKFNKGPTIVYTTESRPTRTKNFDHYKPRPEGVMMADAGSKSGRGALVILEGLDRSGKSSQCARLLSYLEGQGCRAEGWRFPDRGTSVGQMISAYLANESQLDDRTIHLLFSANRWEKRALMESKLLGGTTLIVDRYSYSGVAFSAAKGLDIQWCKAPDVGLIAPDLVIYLDVQPEKAAERGGYGGERYEKIEFQKRVADHYHSLRDSTWKVVDGSLPMETVEEQLRELATNCIQKCQEKPLTNLTW >KQL12700 pep chromosome:Setaria_italica_v2.0:III:455863:459381:1 gene:SETIT_023025mg transcript:KQL12700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSNRYIKFNKGPTIVYTTESRPTRRTKNFDHYKPRPEGVMMADAGSKSGRGALVILEGLDRSGKSSQCARLLSYLEGQGCRAEGWRFPDRGTSVGQMISAYLANESQLDDRTIHLLFSANRWEKRALMESKLLGGTTLIVDRYSYSGVAFSAAKGLDIQWCKAPDVGLIAPDLVIYLDVQPEKAAERGGYGGERYEKIEFQKRVADHYHSLRDSTWKVVDGSLPMETVEEQLRELATNCIQKCQEKPLTNLTW >KQL13930 pep chromosome:Setaria_italica_v2.0:III:7800834:7801164:1 gene:SETIT_023970mg transcript:KQL13930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPMLRLGLKRKGQQTISTRMRSRVSWKGSAAKYPGALPPHRSSPSFPHRAAAAGR >KQL14385 pep chromosome:Setaria_italica_v2.0:III:10894662:10900540:-1 gene:SETIT_021751mg transcript:KQL14385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPAPGELGAMLQAAGDFASYPGLHSDDTVRQFLERCPLPKLLGALQSEADAPGMVETVTECLDKVFSSRYGASLLPSYGAFIRTGLLTDSKDIRKLACKAVLHLLDKAEDGAAAVETVVQHNLYPVLINCLIEGDEEISAIILDAVKRLAEIPKGAEIIFPLPPDGQGSVQLGKVASQSSSLARIRILSLIAKLFTVSSYTATAIRDSNLLSIFEDEIKDRRDMLKTLSALEVLYELVEHPHSNIFLLKTNLLQLIIDVINDSSADSVIRSRAALISGRLLSSADAFTAIDQSCVTNLLAAIDKILKMEENQNTDEIESALETLGLIGTTTQGAHFLLTSSNVARHVVESSFDRQGRGRQLAALHAFGSICGVDRQEDQMKLDGQAEEYLKRLVYTTAANSSKLTPSALLLSILQQDPDIRIAGYRVISGLVVREWCLREICLNSDIIRLVTDPTMETTKLGMEARYNCCVAINKSLSSSHLLHEKSLSELIGKLNDAVRRGPYLSERKREEARPVVVPAERF >KQL14677 pep chromosome:Setaria_italica_v2.0:III:12911541:12920143:-1 gene:SETIT_021809mg transcript:KQL14677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAAAVGTAVVVCAAVGVAVVLARRRRRRDAELLGSADADRKRRAAAVIEEVERSLATPTALLRSIADAMVTEMERGLRADIHAQLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVLRVQLGGREKRVVKQQYEEVSIPPHLMVGTSLELFDFIAAALAKFVDTEGEDFHLPEGRQRELGFTFSFPVNQTSISSGTLIKWTKGFSVNGMVGEDVVSELSKAMERQGLDMKVTALVNDTVGTLAGGRYMDNDVVAAVILGTGTNAAYVEHANAIPKWTGLLPKSGNMVINTEWGSFKSDKLPLSEYDKAMDFESLNPGEQIYEKLISGMYLGEIVRRILLKLAHDASLFGDVVPSKLEQPFVLRTPDMSAMHHDSSHDLKILGAKLKDIVGVADTSLEVRYITRHICDLVAERGARLAAAGIYSILKKIGRDKVPSNGSKMPRTVIALDGGLYEHYKKFSSCVETTLTDLLGEEASSSVVAKLANDGSGIGAALLAASHSQYAEAD >KQL15980 pep chromosome:Setaria_italica_v2.0:III:26500669:26503300:-1 gene:SETIT_023349mg transcript:KQL15980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPAAFAPPPAPAPVPVAWSVVPVDFTVFKKGTEMAMHDATGRLAFRIAGGGGGDGGTALFDGTGGVLVTVRTSGQGEWQAFSGNSMEHRHIIFTAKVMSASSSRKEVHVFIPPISSFEGSKPIYRLLGSTFRRACTIIKGDSIVAQTNLLYKLKKTLYSRRKFRVTIYPGNDNILIMAMIMTFFVEK >KQL14590 pep chromosome:Setaria_italica_v2.0:III:12203547:12204623:-1 gene:SETIT_023826mg transcript:KQL14590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNWHCVFHVPALNLHEMDTTAEGTKLKNGVNFDASFLAIICKFNNFNVSLSTLLQLYFFSNLCLRFVFFLKVQLRLVSVSWS >KQL14774 pep chromosome:Setaria_italica_v2.0:III:13768680:13773553:1 gene:SETIT_022029mg transcript:KQL14774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSIAATLSSPRGEPDSDADEPESEHASAAGSGAGPVEEESSPRGGGPDPGAPGAEEPEQPGTPSRGVKDDISELTETLTRRLWGVASFLAPPPTPPEASTPRAGAAAPAEGGEDDEVGDGEEAAALSPRIAGIRSDLAEIGGRVRSGFSMLQNNLAVAEISKIASSLLPFGQGEANEGEPVPGVTEEVVVFVRHISTRPETWLDFPLFISERYADDFELSDAQYVHALSVEHLVLGLSDLKVQICSTDMTEACFWKIYFVLLHSKLSKQDAELLSTPQILEAREQLLQSLQAQNKRVSKFTGETSENTNVSTAPAEEKVIQPSSIQDKAGTSEISSFEEPTSDITPEIESEKFPISTTEVEIVDKSVIEEELAVKNEGKTPPVQSKLRFEIDEDEVDEWPDDDPTEEEVGAAGSRTSLGREEDVSFSDLEDDEDEDANKRHGQ >KQL16116 pep chromosome:Setaria_italica_v2.0:III:30122323:30122855:-1 gene:SETIT_025703mg transcript:KQL16116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQWNILYRFLFFHFINQKNNMAKINKPTNIYSRLIYVYSTPVLGHT >KQL15855 pep chromosome:Setaria_italica_v2.0:III:24687502:24699398:1 gene:SETIT_021025mg transcript:KQL15855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLERLPPPAGSRHGHHRYARMPPPADPEDEAVPSDPEPEPERRPEVLAASASASLRVPDAARVFDELPRAYIIAVSRPDAGDITPMLLSYTIEVHYKQFRWRLYKKASQVLYLHFALKRREFLEEFQEKQEQVKEWLQNLGIGEHMPVVHDEDEADDVNVPPQSDDNSIRNRNVPSSAVLPVIRPAIGRQNSISDRAKVAMQEYLNHFLGNLDIVNSQEVCKFLEVSCLSFLPEYGPKLKEDYVSVGHLPKIQKDRKKQCCSCGLFNCCKSNWQKVWVVLKPGFLALLEDPFDPKLLDVIIFDALPHMDINGEGQISLAKEIKERNPLHFGFQVSSGGRTIKLRTRSSSKVKDWVTAINAARQPPEGWCYPHRFGSFAPPRGLLEDGSMVQWFIDGQAAFEAIASSIEEAKSEIFITGWWLCPELYLRRPFQNHGSSRLDALLEARAKQGVQIYILLYKEVALALKINSLYSKQRLLNIHENVKVLRYPDHFSSGVYLWSHHEKVVIVDNQVCYIGGLDLCFGRYDNPEHKVTDTPPVIWPGKDYYNPRESEPNSWEDTMKDELDRAKYPRMPWHDVQCALYGPPCRDVARHFVQRWNYAKRNKALNEQAIPLLMPHHHMVIPHYKGRSKETNDEADGKQYHDRDVDKNPVLTSRTSCQDVPLLLPQELEPQELSSADLRLTDLDINNSDRINKKSFSQPLLNRKAKLDFSHQDLPMRSFVDNHSSLEASSIRRFDSLKDDRNHKDKKWWEKQERGDQVASVLDIGQVGPRATCRCQVVRSVGQWSAGTTQIEGTIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEREKKRFKAIIIIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILQNLFDVIGPKAHDYISFYGLRAHGKLNDGGPLVTSQIYVHSKLMIIDDRITLIGSANINDRSLLGSRDSEIAVVIEDKEVVNSKMDGRPWEAGKFSLSLRLSLWAEHLGLHPGEVSHIMDPIDDSTFKNIWMATAKTNTMIYQDVFSCVPNDLIDSRAQFRQSFAHLRDKIGHTTIDLGVAQEKLEAYQDGDLKGTDPMDRLQLVRGHLVSFPLDFMCQEDLRPYFSESEYYTSPQVFH >KQL13877 pep chromosome:Setaria_italica_v2.0:III:7398893:7400098:-1 gene:SETIT_024901mg transcript:KQL13877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEQQQKVGCRSAEMEELIIDCQSASTEAGASTQSKEVQRPYHLYWPPDHNQDQHQLQCS >KQL14089 pep chromosome:Setaria_italica_v2.0:III:8727588:8731702:1 gene:SETIT_021834mg transcript:KQL14089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACPQEAPRLLRHRSPCSNANTKLLARLLPPPSPSLAAIPAKPSYKTWLSVNDSCSVVLQPAEELAWLLSCSHAHQGSHHSHLDSFAPLDCAAPEDHPGGGAGMVDYMLGQAAPPSAQPPQRQVSFDKLSFSDVLQFADFGPKLALNQPAASAGALEGVDNGADEDDDDDDGYFFRFQSLPSLPGAAPPRGGAGQHHADREGSKTTADDGGAHEGCGGGVSESTTLVQQADGGGRAEKAGDQGKSGRRKRPRTVKTSEEVESQRMTHIAVERNRRRQMNEYLRILRSLMPGSYVQRGDQASIIGGAIEFIRELEQLIQCLESQKRRRLYGGSGEAPRPVVDAAGAGAPTPAQQHHQPQVPPPPPPPAFFPPSLPFPVASGGGDGGAAKILDLEAGGADAGGGLREEVAENKSCLADIEVRALGADAMIKILSRRRPGQLIKTIAALEDMQMSILHTNITTIEQTVLYSFNVKILGEARYSAEDIAGAVHQILSFIDVNYAL >KQL14052 pep chromosome:Setaria_italica_v2.0:III:8417698:8419227:1 gene:SETIT_024773mg transcript:KQL14052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDKAFESYKKALTTAASVAASVMLVRSVVNDVVPYELREMLFSGFGYLRSRVSSQHTIIVEKKNDGFTNNHIYNAVRTYLATRINADLQQRLRVSSMDEDDKMMISMAEGEEMLDVYEGTEFKWCLICNDNSSDSGNGSGLQNEVSFEVSFHKNHKEKALKSYLPFILATAKDIKARERTLRIYMTEYSSEWSPIDLHHPSTFDTLAMDQKLKQSIIDDLNRFIKRKDYYRKIGKAWKRGYLLYGPPGTGKSSLIAAMANLLRFDIYDLELTEVNSNSDLRRLLVGMSNRSILVVEDIDCTIELKQREEGEGRDKSNSTEENKGEDKVTLSGLLNFVDGLWSTTGEERIIVFTTNYKERLDPALLRPGRMDMHIHMGYCTQESFRILTNNYHSINYHDTYPEIEKLIKDVKVTPAEVAEVLMRNDDTNIALHDLVDFLKSKMIEVNEIKTEHKETNNQLDEKKDNRDSDKK >KQL14296 pep chromosome:Setaria_italica_v2.0:III:10222044:10222855:-1 gene:SETIT_023928mg transcript:KQL14296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSGLSSVTAPMAVTAGAAGVGVGSAVNKLNDVVVMIAEVRSIAQSMGLASRIVSENLRTVHH >KQL17458 pep chromosome:Setaria_italica_v2.0:III:50542718:50545635:1 gene:SETIT_021207mg transcript:KQL17458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSMTSSILSANYNTILFEFGAILVTSKLLHALLRNVYQPRVFSDLLLGIVLAQFRVLSLTNAISLVFGKIGGFVFAPYLFALGVEMDPGTLLDAPTADAVVAYAGILSTSVLVTLFHMPLMKATSGVVHERSLRSFLGLAAVLSNTASPVLTRLTTDLKIAKTAVGRLAVGAGLASDMVTTMLIAVGSMIWRDAGADGSDSPIVQPVLTAAVLVVVIVSAFVSRAMAEWVGGRNPEGRRMRGFDLSLVALAAAALCWLSSALRLDVNMAAFLVGLAFPSEGRVSRLLVSKINLVLTSMVLPLYVSHVCLSLRQTTDDIEVAGLGPQTQGFRAFVMELPFPWWKILFVTVMGTLGKLTGCAAAGLLRGLGWLEALALGMLLNVKGYFHIYCAQAAFVSCFGLHCWIRRRRRRRRSNDDQQYAMQDAGIITDKSFMAIIFMVALNVAVTPMVGMGIASWARRSVQWRLMGLQHHDPSTELRLVVGLHGPQDVPTLAYLMEALRRGGGGGELAVYAVDMVQMTDQTAAAIVRGGGFDGVTVVDEEVSEMRKLIGEALDAYQAEGGEGVKVRRLLALSSFQDMHSDVCICAEDAMAALVLLPFHKAQRPDGTMDPGHFGFRLVNQKVLQLAPCSVGVVVDRGLGKGNRDGPQPVVVVFIGGADDREALTLAALMSKHPGVRLTALRVVQNATAQARWRARTSLFETKASRRGVGASSALGQEEAQMQVDDKFFAEFYRKHVAGSSRPGGGGGGHGMGYLEKHVADGAELVAVLRALQAEYRLFVVGRGRDRSSVLTEGLDEWAECLELGPVGDILASSDFSATASVLIVQQYDAKKHYKVIDEEFMPL >KQL13688 pep chromosome:Setaria_italica_v2.0:III:6085069:6086592:1 gene:SETIT_024574mg transcript:KQL13688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPYLQELVISTLLVVSLCMYIKFWRLRNPLYPMDWPVVGMLPSLVANLHNFHDVLTVFLATNGCNFKARGPVASGMRFFVTAEPANVRHIFTSNHANYPKGEDFAEIFDIFSGTLFTIDGEAGRQQRAMFQNIFSNPRLLALMASCCRDKVVNGLLPFLTRMGSTRTTFDMQDLITRLVFDLTATPIFGVDPGCLSISMPSIHVATAMDTFMEVGLFRHTIPACFWKVMRRLNIGPERKLAMAQTVMHAFIREMTEKSKARCTDILDDVLAMDIISANPSVGRDDVLLRNVLIAHMIAGRDTVGTTLPWVFYNLARNPRVVSCIRKELAPIASLKATALASNSISSMVVFDPKETEHLVYLQAALLESLRLYPPGPIERKVVLADDVLPSGHQLCSGETILISIYAMGRMESLWGKDCHVYRPERWLSEDGAKLRYVPSNKFMAFNTGPRMCLGKDIAIAQMKTIVAAVVWNFDMEVLEGQSIEPKLSCILQLKNGLMMMVKQRE >KQL15389 pep chromosome:Setaria_italica_v2.0:III:19548768:19550022:-1 gene:SETIT_022693mg transcript:KQL15389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSRSASLGLLVAAHCALLLALAGGASAGGVALSSTFYDASCPTAYDVVRRVIQNARVSDPRIPASLIRLHFHDCFVQGCDGSLLLDDDLPTIQTEKNVLANNNSARGFPVVDDIKAALEHACPGIVSCADILALAAEISVELAGGPRWSGLLGRRDGTTTNVESANNLPSPFDSLNVLQEKFRNFNLDDTDLVALQGAHTFGKVQCQFTRENCTAGQREGDLKNLDQVTPDLFDNKYYGNLLEGRAQLPSDEVMLSDPTAAATTAPVVQRFAGNQQDFFRNFAASMIKMGNISPLTGKDGEIRKNCRRVNSRGY >KQL15361 pep chromosome:Setaria_italica_v2.0:III:19266861:19269478:1 gene:SETIT_021322mg transcript:KQL15361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLYVNVVKARDLPVTATGAIDPFVEVKLGNFKGTTPVKAASHSPAWQQVFAFSASHLQAHVLEVAVKAKDLGGDDLVGRVGFDLAEVPVRVPPDSPLAPQWYRLETKRGEKLPHGEIMLSVWLGTQADESFPDAWHSDAHAAAGPAAVASTRAKVYFSPRLVYLRVAAMGAQDLIPHDTSRPMSACVKLQLAGQVRRTRPGAPPGAPNPIWNEEFMFVVSEPFDEPLVVTVEDRVAPGRDEMLGRIVLPLQAAMPRHDHFGKPVEPRWYNLMRPSDDPEKKEMKFASKIQIRMSLDFGYHVLDESTYYSSDLQPSSKPARKPSIGMLELGVLGARNLIPMKPKDGRTTDAYCVAKYGPKWVRTRTILDTLNPQWNEQYTWEVFDPCTVITVVVFDNGQIGSKNGGGPDQRIGKVRIRLSTLETDRVYTHFYPLLVLHPSGLKKTGELHLAVRFTCMAWVNMMALYGRPLLPKMHYTQPIPVMQLDYLRHQAMQIVAARLSRAEPPLRREVVEYMLDVDSHMFSLRRSKANFYRITSLFYGFLAMLKWYEGIRSWRNPITTMLVHMLFLILICYPELILPTFFLYMFMIGLWNYRYRPRHPSHMDTKLSHAEMTHPDELDEEFDTFPTSRPADIVRMRYDRLRSVGGRVQTVVGDLATQGERAHALLSWRDPRATAIFIFLSLVVAIVLYVTPFQVLMVITMLYLLRHPRFRSRMPSVPFNFYRRLPAKSDMLL >KQL12969 pep chromosome:Setaria_italica_v2.0:III:1893653:1896820:-1 gene:SETIT_022979mg transcript:KQL12969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGVHPRPPSSKVQALYELCKRTFPSSSPAGASPSPPPADAVRSISSLMDTITPADVGLRDDSVEDDRGHGFFESNFLKGSARVARWAQPITYLHIYECDAFSIGIFCLPTSAVIPLHDHPGMTVLSKILYGSMHVKSYDWIEPTVIASTQPARLAKLHTDDVRTAPCPTSVLYPQSGGNMHCFTSVSSCAVLDVLAPPYSEDVGRFCTYFHDYPFSSLSAAGDIKVVGNPDNYAWLETINTPVNIYMRTGMYTGPTVQELQT >KQL13118 pep chromosome:Setaria_italica_v2.0:III:2684579:2690203:-1 gene:SETIT_021062mg transcript:KQL13118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRNCPPSPSPRSTEKSGRELRSGEANGGANTNTIPKGDKEKGVNVQVILRCRPLSDEETKSNTPVVISCNERRREVAATQIIANKQIDRTFAFDKVFGPSSRQKDLFEQSISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGGTRKVKNGELPTDAGVIPRAVRQIFDILEAQCAEYSMKVTFLELYNEEITDLLAAEEPKFPVPEDKTKKPIALMEDGKGFVFVRGLEEEVVYSAGEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKELTHEGEEMIKIGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSVYCLEETLSTLDYAHRAKNIKNKPEVNQRMMKSAMIKDLYYEIDRLKQEVFAAREKNGIYIPRERYLQEEAEKKAMTEKIERLGADLEARDKQLVELKELYDAEQLLSAELGEKLEKTQKDLEDTKSALHDLEEKYNEAKSTIKEKEYVIFNLLKSEKSLVDCAYNLREELENAAADVSGLFSKIERKDMIEDGNRSLVQRFRYQLTHQLDALHNTVSNSVMQQEDHLKEMEHDMQSFVSSKDEAAQGLRESVQKLRVLHGSGITALDGLAGEIDMNSRTTFERLNSQVQSHTSVLEKCFGGIALEADNLLNEIQCSLAKQEERLAHFANKQREGHLRAVEASRSISKITSGFFHSLDVHASKLTSILEDTQSVQDQQLLDLEKKFEECAANEEKQLLEKVAEMLASSNARKKQLVQTAVGSLRESAVNRTSHLQKEISTAQDFTSSVREKWGFYMEETEKNYIEDTTAVDSGRSCLAEVLVECKVKTTMGAQQWKNAEDSLFSLGKGNVESVDSIVRTGTEANQLLRSKLSSAVSSTLEDIDVANKALLSSIDSSLKLDHDACANIGAILTPCHGEMRELKGEHHHKVVEISENAGKCLEEEYLVDEPSCSTPRRRQIDLPSVESIEELRTPDYDELLKSFRESRGTWKQANGDTRHLPEATLEPLVGRN >KQL15725 pep chromosome:Setaria_italica_v2.0:III:23051840:23052141:1 gene:SETIT_025756mg transcript:KQL15725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPRQSFWCVKRRQNLRWLQQNVASKHSVVTGS >KQL17377 pep chromosome:Setaria_italica_v2.0:III:50114786:50119223:1 gene:SETIT_021821mg transcript:KQL17377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRSVGSLALRSAAAYLRRHCPLPPPPPALAAPAPIRRPLAPQCRHFAAPPGTQANRKGGKEDDDDKAGLRINNAITSPFVRLVSDDGHNVVPRHEALQIAARKDMDLVEVDRKANPPVCKIMDFHKEKYKKETKEKERLKTKSAIVLRGGENKEVRFKGKTELKDLAVKADAITRLMERGYRVKCMAMPSGNEGEDLGAPLSRLLGLIQDVCIVESGPHLDSKHAYVIVRHVKFATKKGGKKASKAMEDAGKGTHSTAPVAGNDSEDETIECGGEAVEKTATHHSSDSPIQKEGQDRRFKRELNRSKPDPGANREKLHNANAGGSRMNPGQWGPQASERRLGDVNPGMERPENSTQDQKPGETNRYAARKQPMRGDNNRGFNQGRPVQDGRSENAGRYENQRPPLEQQHNRPLPRFNQGGLPQDPRNDRRGHFASNNNNQRQPAGGGGLSSDPNQTSKSFGIFSSTPKPASSDMRKTDGAGTASKPGNTDSPKSFGIFSSRK >KQL17322 pep chromosome:Setaria_italica_v2.0:III:49768871:49771035:-1 gene:SETIT_025249mg transcript:KQL17322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVGVGEYSELDDSMMDSIDHKPSFRGLKLYVKDLDSNTLPPFLARVCASDKPSSYSMEEILCIFETAAEAQGRNIVPYIGQIVSAIVRIMSSGSLHSAGCSKVVCTLSRYGIDPLGREEEKSEIISSLCRPLSDCLMNTNKSISSGSALCITALVQSNYWQFASNELVNGVCLKVSGALEEAHCHTVMHLSLVVALSKYNTLTLEPYGRSLIRSGLQILDHSTKASNSQMIMSSIQMIHSIMKRLNMRIISSEISSIIQALEQCQGGYIPDICTAAFQAAETAKLLERQDECGDRKKLSPLVNCSGRHSRNGSNSPIDDADTRDSGSSESPCEVQSVRSFNDLDSQPPLGQCADILGSTRARRRLWSSGSHFSHAMSDDEFFHISAPDCRDTMGTIGQSNSSGLVKASRRCSDVLTRVGDPCPTCLTPRATNQVYRRQALSTPRKQVYSFTSCSDSERESHRLPKSLAFRQIQCPDHLLVQKDGEFEERKGYCKSIHQSNQCHAQSTDLLTEDLKFPTNSRRSDSARTPCEVRNADAAENQKMSGTKKSETNSLLSRLIPFICVVLIIVLLAWWKQHPSNELYFVPT >KQL13755 pep chromosome:Setaria_italica_v2.0:III:6511069:6515384:1 gene:SETIT_024476mg transcript:KQL13755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGPLVSMVKEKASSYLLEQYQVMEGLEKQHKLLKRKLPAILDVITDAEEQAAAKREGAKAWLEEVRQVAYQANDVLDEFKYEALRRKAREEGHYKELGMDVIKLFPSHNRFVFRIKMGNKLRMILEELDVLIAEMNCFGFKFRQGPPVPVNHLRENSSKIIDPVDIAGRSRAGDKKKIIKSLLDKASNVNLTVFPVVGMGGMGKTTLAQLVYNDPDIQKHFQLRLWVCVSDNFDVDSLAERIVEEAKKNGCQANGSSALDKLQNAVSGKRYLLVLDDVWNRDEAHKWEKLKSYLQHGGSGSSVLITTRDQAVAQLMMGTATGAYELGRLGENFIEEIIRSRAFSSKQEKDWPRELVNMVGDVAKRCAGSPLAATALGSVLSTKTTAREWKDVLRRKKICDDRNGILPVLKLSYNCLPSHMRQCFAFCAMFPKDYEIDVEMLIQLWMANGFISVLQGEEHPEISGKNIFIELASRSFFQDVKGIPFEFTDIEVSRVTCKIHDLMHDVALDSMGKECAAIATEQSKSGDFPHSARHLLLSVYKPETLLNASQEKGSPVIQTLICEKDVDNDLQHLSKYRSARALKITGGLELKQTSFLKPVWLHHLRYLDLSGSYAIKSLPEDISILYHLQTLNLSGCRYLERLPKGMKYMTALRHLYTHGCWELKSMPADLRYLTSLQTLTCFVAGAGSDCSRVGELRWLDDLGGQLELKRLENVKEASAKEAKLGNKKKLARLTLRWSDCDKEAHNSDKEVLEGLEPHDGLKVLKIYSCSIDTCPTWMNKLQGIVELKLSGCKRLEKLPAFWQLPALQILCLYGLENIRYLCSSDTAFTFQKLKNLEIFELPNFEIWWGTSEVRGERPIFPLLEKLLIKECKSLAALPKASVVKETFEGVKTEYRSAFPALKEMELENLDMFQRWEDGEGTPGEELTFHRLEKLIIRSCPALTTVPEAPKLSVLEVHGTSQQISSLHAASRYITSLSSLELLADDTETESVAEQNSSELVHGREKWEHRSPLARMHLRGYNLLFSHSSALPLWTCFAQLEHLTIWWCDSLVYWPENVFQALVSLRNLRIWRCSKLTGRTQETSEQSAPERSGLLPCLESLSLDDCPSLVEVPNLPASLKTLHIYNFHMLGSIIFGQQEDTSSSLIPGSSSTEAVLKLSSSTSHPFLPCLESLDIMLCRGLSEVANLPPSIKTLEIWECDNLRSLSGQLDALQTLNINRCSNLKSLESCLGRLPSLENLSLYMCRSLQSLPNGPQTYSYLRALWIQSCPGIKLLPSSLQQRLDHLEEKTLDARYEGWEYSIRRRLACLK >KQL13945 pep chromosome:Setaria_italica_v2.0:III:7887835:7893097:-1 gene:SETIT_021006mg transcript:KQL13945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEQGDDPNPDRRRRRRRRASLRLSRLYSFACGRRPSVADDRSESRIGGPGYSRVVNAGAAALRLQQQQQDPTAAEQLTIASSSNSISTTKYSLLTFLPKSLFEQFRRVANVYFLATACLTYTDLAPFSSTTAVLPLVIVIVATMVKEAVEDWRRKQQDTEVNNRRTRIFHDGAFLDAKWKDIRVGDIVKVEKDEFFPADLVLLSSSYEDAICYVETMNLDGETNLKLKQSLEATSSSLPDDESFGGFGAVIRCEDPNAHLYSFVGNIEIEGQEPQQQQHPLSPQQLLLRDSKLRNTDFVYGAVVFTGHDTKVMQNAMKAPSKRSNIERKMDRIIYLLLSSLVLISVVGSIFFGIATRDDLRDGRMKRWYLRPDDTTIYFDPNRAAISSVLHFLTAMMLYGNFIPISLYISIEIVKLLQALFINQDIHMYHEETDTPAHARTSNLNEELGQVDTILTDKTGTLTCNSMEFIKCSIAGRAYGRGITEVERAMAKRKGTPMIADMDNGNQHFQPEGKVAVKGFNFTDERVMDGNWVSQPHSHVIEMFFRLLAVCHTCIPEVDEESGKISYEAESPDEAAFVVAARELGFTFYRRTQTDVFLHELDPVSGKQVDRSYRILNVLEFNSARKRMSVIVKNEEGKTFLFSKGADSVMFERLSGSQSAYREVTQQHINEYADAGLRTLVLAYRELEEDEYAYFDRKFTAAKNSISADRDEKIEEAADSLERDLILLGATAVEDKLQKGVPECVDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQITITLETADIIALEKGSDKAAITKASKDSVVRQINEGKKLANASAGETYALIIDGKSLTYALEDDTKAMFLDLAIGCGSVICCRSSPKQKALVTRLVKTGTGKVTLAIGDGANDVGMIQEADIGVGISGAEGMQAVMASDVSIAQFRFLERLLLVHGHWCYSRISSMICYFFYKNITFGVTLFLYEAYTSFSGQAFYNDWALACYNVFFTSLPVVAMGVFDQDVSARFCLKFPMLYQEGPQNLLFRWRRILGWVAYGVVSAVIIFFLTTASLGHEAFRRGGEVADKAALGAAAYTCVVWAVNAQMAITVSYFTLVQHACIWASVALWYVFLAAYGAITPDFSTDYYMVFADALAGAPSYWAVTLLVPAAALVPYFAYAAAKSWFFPDYHNQIQWLRHRERAHPDPETSAGVEFGHALRQFSVRSTGVGVSARRDAAAVLRRINGTQQVHHADSPQQLEVS >KQL14081 pep chromosome:Setaria_italica_v2.0:III:8655498:8657093:-1 gene:SETIT_024385mg transcript:KQL14081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETLTSGEGGGIHALCDDALVGILVRLPSKSVLRCRAVCRSWRRITTDRSFLADHAARRPLGMITLSSLFSVGTRAANTVSLSGGDPAASVAPPVPEAARQGRDPDGRLVQRALLPRWAARAEPTPWALHRLQSHHQAVDQPARAAALASEPCFTAIACGFYLHGSSGEYRLLCHGLGLEESKGTGSIRNGNSHYYVLSAGGTLPRRLGRAPLPTHASAPAGKMLAFDTASETFRLMSRPPERTGDTARVLLELDGELSVAAMQGVTSLAIWALQDYKAEIWTLRYRVEVPLSTLYGRASCSMPSSTALAALRTGARAILISLHLGH >KQL15324 pep chromosome:Setaria_italica_v2.0:III:18928413:18928888:1 gene:SETIT_025444mg transcript:KQL15324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTHPHGSNTSLFSILLSHHHQSSNTRQGEVTPSGCHLYAKRRFGH >KQL15939 pep chromosome:Setaria_italica_v2.0:III:25790833:25791756:1 gene:SETIT_024939mg transcript:KQL15939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGPDAPGGGGTSAPAEAGPSTTTSSSAAASSSRQSEQEAPQQEGSRRQQPAAAAAQQEAPPAQAQPPQPLPLAQQPPAPPPAGLSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLRYLDQFGKTKVHAEGCAYFGQPNPPAPCACPLRQAWGSLDALIGRLRAAYEESGGRPESNPFAARAVRIYLREVREAQAKARGIPYEKKKRKRGSAAAAPPVAPPPVVTAEGAGTSGGAAEEEDDEPSPSGEPQQATPASSAPPPPPPPTGASSSASASSTSAAAATTTTTRKEAEGSAPSS >KQL13158 pep chromosome:Setaria_italica_v2.0:III:2896999:2897328:1 gene:SETIT_023954mg transcript:KQL13158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGIIDHSTESKLSKSHSYKMLTHRCYTCMLHRVIFYKIFEVRVTLSFPIKCLVEQGKP >KQL13711 pep chromosome:Setaria_italica_v2.0:III:6244063:6246753:1 gene:SETIT_024684mg transcript:KQL13711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRPPPKTPKFCPSSCYPTWTTLGDDVCAICDDGGYVTCCDGGCLRSFHLTEEHGEGSKCPSLGLTSEQAKYKQHQCSACGLLGSSDLSSGAEVFKCKNYACGHFYHPKCISELRHPDSKHQASLFEQNVAAGLKFLCHVHKCSACHGKENKDDKNMQFAVCRLCPTTYHWKCLPSDIPFEAKEDPNGYIFQRAWDGILRDQTLIYCMKHEIVKEPGIPRRKLIIFPDAKNPLGPVWLLDHPPSEPSRTPPPPATVQNQWCYSNPMDSFAPSSLHTRPYPGSCGWIDD >KQL14274 pep chromosome:Setaria_italica_v2.0:III:10055520:10056548:-1 gene:SETIT_024486mg transcript:KQL14274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRSSSPNSNSEWSRKENKMFEEALAYYGEGTPNLWDKVSSAMGGIKSAEEVRLHYEDLVDDVKMIESGRVPYPKYKTQGFWTRG >KQL13605 pep chromosome:Setaria_italica_v2.0:III:5513923:5515554:1 gene:SETIT_023780mg transcript:KQL13605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVHELQEHHLSSWASCHARMDSRTGGEQTKLLHASTHSCNTSVTPPLSINCPELLFYACRLLQVREDHPAPANFGKSHGERAFSVLMFMEKRVKV >KQL13848 pep chromosome:Setaria_italica_v2.0:III:7195667:7197194:-1 gene:SETIT_022070mg transcript:KQL13848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTVTKFRPVLVGPATAPEPAAEATVYINLSSFDRALAFFPVTSFHVFDRAIGAPAETVRGAMSRALVHYFPVAGRIVAAAADGERLRIACTGEGVSFVAATADRSLADAGLLDPPSGAALLDELAVGVGAEGFRPSDPLLLVQVTEFACGGFVVAVTRNHAVADGTGFAQFMRAVGELARGMPRPSVLPVSCGDDSLPELPPLVAAMENALVVLEPRDFAFLDITVPSSCIDRIKAGFAGQVTAGGGPCTVFEAVMAVLWQCRTRAVMPDDPSTPAPLIFAANVRKHAGARHGYYGNCITSAVAVPTSGEVANGGINDVVRLIKRAKQPIPHQFKRRNSGRVAGGGGEGEGGLSLSAEQAEVMFGYNAFDVTSWRNLGADAVDLGGGTPARVMCRMDRMPVPHCVACLPCRKDGANVLARCVREEHVDAFLRELAKFT >KQL16685 pep chromosome:Setaria_italica_v2.0:III:43952357:43955534:1 gene:SETIT_021185mg transcript:KQL16685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPAISAVAGSIKDLAVQETTLLCGVIGEAGFLKDDLQHLHGFLNDADTKRRSGNANATICIRQIRDATYEAENVLQVVDYMKKRNVLKKGFVGAISRYARLPSDLITLHKVGNEIQRIRRRVREIFARDSEFLYQDNTELGKFHVDDESLQDHGLVLQNFEAVTVIGFDNEQKEILEKLIENDNKLSVVSIVGMGGAGKTTLAKKISSSFKIKQHFDTIAWVTVSQKFEVIDLLKDIMKEITRGRDDGREVGQMEEIDLRNKIQAFLKEKRYLVVLDDVWTTNTWNQINRMVKVFPDANNGSRVMLTTRKIDVANHIEMPTYVHQLKLLDGEKSWELFSTKALPPYRRSLIQNIDEFEEIGRKLARKCKGLPLALAVLGGYLSRNLNLEAWSDILQGWTSTENGQMMGAILARSYSDLPNHYIKSCFLYLAVFPEDYSIFVSDLIKLWIAEGFIPPITRHTREQTARMHVSDLAQRCLVQVVSRSKAHGWIQEIRIHDILRDWCVEEARYAGLFDVIDNTTGHVGESSSNTMVSYRSSFQNFCDGNMFTTTPNLRTLFGFGFGLPSFSLPKLRFLRVLHVEKSILIDFDRVISGCIHLRYLGLRECWQATLPSSIGQLLYLQTIDLRETRLEAAMPNSVWDIPTLRHVYLEYTFFSAPRNCPQKELQSLHLLLPDEGNNKFFRSGYMVAFMGQMTQLTTLVLRVRLMPTEMIHLLTNMTFLVEVTLGRFMLLDKLPDSQLLPQGLRELHLVAYTIKEDPMPILEKLPCLVVLELWGYKGRTMFCSAKGFPRLQELILGFFSIEEWRLEVETMPRLSLLHLYGCRKMKKLPEGLLHLPALKELHCTDMNLEDDVTWKKLVGKGCKVSCR >KQL16686 pep chromosome:Setaria_italica_v2.0:III:43952369:43955534:1 gene:SETIT_021185mg transcript:KQL16686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPAISAVAGSIKDLAVQETTLLCGVIGEAGFLKDDLQHLHGFLNDADTKRRSGNANATICIRQIRDATYEAENVLQVVDYMKKRNVLKKGFVGAISRYARLPSDLITLHKVGNEIQRIRRRVREIFARDSEFLYQDNTELGKFHVDDESLQDHGLVLQNFEAVTVIGFDNEQKEILEKLIENDNKLSVVSIVGMGGAGKTTLAKKISSSFKIKQHFDTIAWVTVSQKFEVIDLLKDIMKEITRGRDDGREVGQMEEIDLRNKIQAFLKEKRYLVVLDDVWTTNTWNQINRMVKVFPDANNGSRVMLTTRKIDVANHIEMPTYVHQLKLLDGEKSWELFSTKALPPYRRSLIQNIDEFEEIGRKLARKCKGLPLALAVLGGYLSRNLNLEAWSDILQGWTSTENGQMMGAILARSYSDLPNHYIKSCFLYLAVFPEDYSIFVSDLIKLWIAEGFIPPITRHTREQTARMHVSDLAQRCLVQVVSRSKAHGWIQEIRIHDILRDWCVEEARYAGLFDVIDNTTGHVGESSSNTMVSYRSSFQNFCDGNMFTTTPNLRTLFGFGFGLPSFSLPKLRFLRVLHVEKSILIDFDRVISGCIHLRYLGLRECWQATLPSSIGQLLYLQTIDLRETRLEAAMPNSVWDIPTLRHVYLEYTFFSAPRNCPQKELQSLHLLLPDEGNNKFFRSGYMVAFMGQMTQLTTLVLRVRLMPTEMIHLLTNMTFLVEVTLGRFMLLDKLPDSQLLPQGLRELHLVAYTIKEDPMPILEKLPCLVVLELWGYKGRTMFCSAKGFPRLQELILGFFSIEEWRLEVETMPRLSLLHLYGCRKMKKLPEGLLHLPALKELHCTDMNLEDDVTWKKLVGKGCKVGD >KQL16719 pep chromosome:Setaria_italica_v2.0:III:44434505:44435349:-1 gene:SETIT_025080mg transcript:KQL16719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWENLMVTFPKIKKFQNNKASFPLFDALGELYDGHLAEGTYNFTSIESQRVEEPLQQIDVVEEEAEEEALQEIHEIRDEEDEEKDARYKEEEARSGQRRMAASRKKPEKEGQRSRKSAKIEAMMERFLEMRTKQAEDEAQQLARENEIKEKEARDKEAAKGDEYSIKRCISIINTMEVTKQEKAKAYAIFTKSKENRETFICASEEDEESALIWLRNEMA >KQL13216 pep chromosome:Setaria_italica_v2.0:III:3216727:3217377:1 gene:SETIT_024841mg transcript:KQL13216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGMAATAAHAHYLSPFASLFDDLGGHPNRSQPPPPAPAASLWPSIQPHCTGHPQHAGDAAAAGNDAAAGSKDDKPPAPAARPKSEREPRFAFMTRSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTSAVCGVRKRVERAADDPGVVVTTYVGKHAHPCPAPVTRAPAAAAAAAS >KQL13464 pep chromosome:Setaria_italica_v2.0:III:4600813:4601556:1 gene:SETIT_023328mg transcript:KQL13464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMKEAAAVEQQQQQWRGVVEAPLPSTPASAAWPHIASFCALDRYLPGIDVCELAAGEDGRPGCVRYVASLAPAAASGDDAEREVASWAREELLEIDGAARRLAYAIVGNSMGFGRYVATMTVAADDGDSSAGCRLVWAFECEPVQGWSLDGLLGYLDGGVKAIAARIEEAEAAKDVAGGDDVAIYRRIVAQ >KQL16623 pep chromosome:Setaria_italica_v2.0:III:43132898:43134497:1 gene:SETIT_024095mg transcript:KQL16623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQSLITVLDDLLPQQWQLLITALLVSILLAASRRRSIISTKNHRLPPGPARLPILGNLHQMAGALPHRRLRDLARRHGPVMALRLGAVPAVVVSSASAARDVLRTHDADCCSRPDPRHAGAPPAVRWRERRRLMVAEFLSKRRVQDTWHAREAEVDKLIGRLAGAGRERPVLLEEHVFAYMDGIVGTVAFGNVYGTEHFAYKEHFHHVIDEAMVVRSSFSAEDYFPNALGRLADRLAGAAALRERVFAEFDAFFEMMLEHHLDPSRAKPDNGSGLIDVLIGLMKEHRLSRDAVKALLTNTFIGAVDTGAVTIVWAMAELVRNPSLLKKVQGEIRSMVGDDKDRVHPDDVPKLRYLKMVVMETLRLLVPREALRDMKVAGYDVPAGARVLVNAWAIGRDPASWENAEVFDPGRFEGEVGGGGGFSRARFEFLPFGAGRRMCPGIDMGVATTEFTLANLLYCFDWELPDGVGSEDVSMEEAGGLTVHKKTPLLLVPT >KQL15058 pep chromosome:Setaria_italica_v2.0:III:16125919:16126647:-1 gene:SETIT_024668mg transcript:KQL15058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNTATAATIPQFPSCGTRFPSESIAMASAPTPPPLLPVTNPAPGSSPATAGGSDAPIAQPAFRLFLSRLSDSARRSLSDRRPWGELLDRSAFSKPDSVSDATSRLRRNLAYFRVNYAAVVAFALGASLLAHPFSLLILLGLLAAWCFLYLFRASDQPVVLFGRTFSDRETLLGLVGASFVLLFFTSVASLIISGLLVGGALVAAHGAFRVPEDLFLDEPNAAAGNSAAQGLLSFLGAPGSGV >KQL15377 pep chromosome:Setaria_italica_v2.0:III:19401285:19401775:1 gene:SETIT_023984mg transcript:KQL15377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSIKHFQSFEQINKATQYHFYMINSIKFCSQIQVIILGSLPKSHCLSLDLILV >KQL16033 pep chromosome:Setaria_italica_v2.0:III:27247326:27248079:-1 gene:SETIT_023665mg transcript:KQL16033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTSSASILLPLPIVFLLLTVVCKPSTATPCTPPPCQGKQSWPELVGKDQDTAYLVIKRENPQVTDVVFLVSDVLGHVLDKKGVLEAAGDGDLCCNRVVVVVGALPSGGDGVTKVPKVG >KQL15086 pep chromosome:Setaria_italica_v2.0:III:16487251:16494702:-1 gene:SETIT_024886mg transcript:KQL15086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLLSALPSPSPSPPSRSQRVSTAPPLERGRGPAPAPPSTEQQPQQPHGNPVLAAEIARLSAARARLRAARTLGDKLRALDAEPRVAAFFGEESGRGALGALEPREALLLKCLVAAGQEHVLGDELDWYGGGGDHHELHRRNGASSGSALREALYSLAGLVGKWSSEGVAGGEKGSGETEALRSLLKFLSDVEEFYDCIGGIIGYQIMALELLSASKDRMHRPSKDKFVDFHVPSGLNLLEDTEYASQAALWGIKGLPELGEIYPIGGAGDRLGLVDSDTGESLPAALLPYCGRSLLEGLIRDLQAREFLHFKIFGHQCITPVAIMTSSVKNNHEHIITICEKLDWFGRGRDNFRLFEQPLVPVVNSEDGKWLISKSLFPVGKPGGHGAIWKLAYDRGIFQWLQRRGRKGATVRQVSNVVAATDLTMMALAGIGLRCNKKLGFASCERRPGATEGVNVLIEKQNREGLWSYGITCIEYTEFEKYGIPEPTVTAGSSQVSYPANTNILYVDLQAVEEVGSRKNASCLPGMVLNLKKSVSYVDHLGFECSAAGGRLECTMQNIADNFMNTYNYKCSKGIESELDTFIVYNERKRVTSSAKKKLKSEGRSLHQTPEGSLLDIMRNAHDLLSGCSIDVPMVKDNSEYLHSGPPFLIFLHPALGPFWDIIHQKFVGGSISKGSELQIEVAEFLWKNVENVKIVNEGINWISPSNVYWKHDVERSESVKIILHGNAEFEAKDVVLKGNHVFEVPDGQRMCIIQDRAGFVVNLDPISEDMMDSGTWHWKYTVDGAHVKLNLIEL >KQL15660 pep chromosome:Setaria_italica_v2.0:III:22290352:22291535:-1 gene:SETIT_024507mg transcript:KQL15660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAAAAATSDGWDGIPADVFVDILRRIPPCPRWRLRLVCRHWRDVIDERAPEPRANVTKVLAFIRESGCCRAFVFDDLTTGRSRRWTWRTAAFGYHPATGQYKIVHVLCSEQDSNLDAVLVFTLGDGSWQWREVPAPVGIHGVTYWATKDGKGIMSFDLKDEQVAPVEVPPLPAPGPMEWWRPSCQLTDVGGRLGLRSKTKVWVLEDGSEVEWTWVKRYTVLAHRAYRRQQIPLPHVAHGEHVLTTGEPWERSISLRQTLEAHRPRQERKMRPCGMVRVGAPRPETTVGVYALEEPSHSHIRPRRDQGASARVR >KQL16519 pep chromosome:Setaria_italica_v2.0:III:41230714:41231220:-1 gene:SETIT_025459mg transcript:KQL16519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSSLPIFLDPPNWGQVIDLPYCSSSLLLLVLNFSSPYF >KQL16042 pep chromosome:Setaria_italica_v2.0:III:27327856:27328191:1 gene:SETIT_024991mg transcript:KQL16042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWIHGCQLFTPENEKRVNDFMEFVKSRYSDAEQILCPRRGCLNQSRCPIEEVNMHLLRSGMVSTYTRWIHHEETFEDKENAILQEHGLEEIVIVD >KQL17224 pep chromosome:Setaria_italica_v2.0:III:49052032:49052560:-1 gene:SETIT_025805mg transcript:KQL17224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTNRNTRYSNRQLHEKVHPNQQYEDLQQQILSIINLRLK >KQL16045 pep chromosome:Setaria_italica_v2.0:III:27469933:27470637:-1 gene:SETIT_024677mg transcript:KQL16045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPMEVLIIIADDVVATSFKPMEDLGNLRVVCRVMERACGDPSVGQRVAMLQIYMEGLEWLNPDRYYNLLALLVGMANPHAYTLKGIAYFFAGTDPSLNELSRTAAGGHNVGAYLYALMLYRKNAGATDDDIAKMYIRRLECEDDSVAIGSTGLKKLCNDGCRVCHEEAAYLVNSVTWRMHGEPFPPAPVRGDFPCARGDCNKVKGWEQATLFCNKDCSIFHKIVEFEKRMGIDQ >KQL14713 pep chromosome:Setaria_italica_v2.0:III:13101900:13106736:-1 gene:SETIT_021365mg transcript:KQL14713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRKNYKRAANRGKKGSQGLTDKAYTLRSSDNNVRVLRGTSSSKTTSTEHVQTPVQPAAKRRKRGRPSNKSLSSNKSSTDEFSQIRKRVRYILNRMNYEQSLIEAYASEGWKNQSLDKIRPEKELERAKAEILRCKLRIREVFQNLDSLLSKGKIDESLFDSEGEISCEDIFCANCGSKDVTLGNDIILCDGACDRGFHQNCLNPPLRTEDIPEGDEGWLCPACDCKIDCIDVINDLQGSDLSIDDSWEKVFPEAATMANGSNQDDAFDLPSDDSDDNDFEPNMPEEHVASKEEGSSEEEEDEDGGSDSDDSNFLTSSDSDDSEPLTAKKKVDDLGLPSEDSEDDDYDPAGPDSDKDIQKKESNSDESDFTSDSDDFCEEIAKTGGHDEVSSPPLPDGKVDDMEKSTSQANTANSNDDPMETEMDQSVVLPVSGRRQTERLDYKKLYDEAYGEAPSNSSDDEEWSGKSTPRKGHEESEADSPAGKSSRSTRIVHHSDELTPQSAQKSLHPDSLHGSVDEKHEDLTSNGSNSTSKKGHFGPVINQKLHEHFKTEPYPSRSVKENLAEELGLTFRQVSKWFESRRHFTRAASSMKGICPDNHSPENTNSPVATSKQLNEPEGMEMEKTNACGNKDATISRKVGSPKVGSRKNRRKNASASDVRGSKVDSAEDQVPGLDLADKARQKAIQREMMKKKKGR >KQL14411 pep chromosome:Setaria_italica_v2.0:III:11077300:11077822:1 gene:SETIT_023982mg transcript:KQL14411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQIEAIVLSEFIKEDITTTQTMLRYHFYIISSCGKLSSKYSTVKHLAFHQSTT >KQL16195 pep chromosome:Setaria_italica_v2.0:III:34210639:34212228:-1 gene:SETIT_024161mg transcript:KQL16195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMECCSYMLPLVASCFFFLCSFCHALLATRRRSGSVCCGPRHAPRSHPVLGCLVEYYRNRRRLLDWYTGLLAASPSQTVVVERLGARRTVVTANPVNVEHILKDNFGNYPKGKPFTEVLGDLLGGGIFNADGEPWRAQRKLVSHEFTARALRERVGAALEAEARSRLLPALDTAAAAGGDVVVDVQELLRRFAFNVICRMSLGADDPGDEAALPLSRLAAAFDAAAAIVAGRGAAPVAAVWKAKRALGVGSERRLREEVRVIHDAITELVRRGRRRRHEHRPAARRDDLDLVSRMAAAGYGDEAIRDMVISFIMAGRDTTSAALTWFFWLMTRHRDVEREVLGEIDSSSSRACDDRGGGVGVDLDGSRKMRVLHAALCETMRLYPPVAWDSKHAAKADVLPDGTRVGRGDRVTYFPYGMGRMESIWGADAGEFRPRRWLALPSRDEGGVSPFKFPVFQGGPRTCLGREMAFLQMKFVATAVLRRFELRAVDEGRQPVFVPLLTAHMAGGLKVTVRRRRTPQQDGTCEQ >KQL13562 pep chromosome:Setaria_italica_v2.0:III:5253259:5258111:1 gene:SETIT_021992mg transcript:KQL13562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGYPHMQGTGSAASSSSCRAADYSAAWDAAQQQKRQRCQDSSSNDQVGSSTENKSLEASGPELKFEYGKNEEEDYYFEDDDDDCYDDDNDGSDYELDPADYNQLLADKFDNLDLPPGVEATVPWLQKVESRDVPGKFKSMSEIEEEIAKKYNFFKQFDTVEDFSDHHYAKNSVGKARKEWAKRIQHEWSLLEKDLPALIYVRVSENRMDLLRAVMIGPQGTPYHDGLFFFDAQFPASYPATPPVVYYHSGGLRLNPNLYACGKVCLSLLGTWQGSSCEKWNSAQSTMLQVLISIQALVLNEMPYFNEPGYERYANSPEGLRAALDYNDTTFQYSCRTMLYSLRRPPQHFEDLVGGHFRERGRAILAACKYYMEGHEVGSKVPEEEDKKESQDGEGSSSSSTALTQQNKPALRGNRSASFKSNLEVLFEELLMEFNVKGADTAKFREQKLLKNQQAAA >KQL17269 pep chromosome:Setaria_italica_v2.0:III:49361506:49363220:1 gene:SETIT_022533mg transcript:KQL17269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASTYAPGGGAGALAPGRARVRGPVGLVSLGPTRLGGLPRPLALARRSPVAAGARLRCAASSSSAARPVTAPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLYSRYLKVVDVGGGTGFTTLGIVKHVNPENVTLLDQSPHQLEKARQKEALKGVTIMEGDAEDLPFPTDTFDRYISAGSIEYWPDPQRGIKEAYRVLRMGGTACVIGPVYPTFWLSRFFADMWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKRERGDSPLELGPKAEDVSKPVNPITFLFRFLMGTICAAYYVLVPIYMWIKDQIVPKGMPI >KQL13956 pep chromosome:Setaria_italica_v2.0:III:7959786:7961113:1 gene:SETIT_024856mg transcript:KQL13956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKHSAEVSKHLDKQNQALMGTYRAMSHELHKLQVEEETIMRKLYELMSAEGLVPKRKKEKKQEEKD >KQL16585 pep chromosome:Setaria_italica_v2.0:III:42707896:42715572:1 gene:SETIT_021017mg transcript:KQL16585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKARMTTSDVAAEVKCLRRLIGMRLANVYDITPKTYLFKLMNSSGITESGESERVLLLMESGVRFHTTQYVRDKSTTPSGFTLKLRKHIRNKRLEDVRMLGYDRIILFQFGLGSNAHFIILELYAQGNILLTDSEYTVMTLLRSHRDDNKGLAIMSRHRYPVEACRVFERTDFAKLKDTLTMSDNVDDNEPLEITSGSTDAQEPSQSTNDGVSVTEISEKPLSRKEKRAAAAKAKQSGSNAKANNGAQSNKATLKTILGEALAYGPALAEHIILDAGLVPSTKVGKDPESTIDDSTIQALMESITRFEDWLVDIISGQRIPEGFILMQNKMTAKKNLTPSEGDSTNQKIYDDYCPILLKQFKSREYDEFATFDAALDEFYSKIESQKVNQQQKAKEESAAQRLNKIKLDQENRVHTLRKEVDHCVKMAELIEYNLEDVDAAILAVRVSLANEMSWEALTRMIKEERKAGNPVAGLIDKLNFERNCMTLLLSNNLDDMDEDEITAPVEKVEVDISLSAHANARRWYEMKKKQESKQEKTITAHEKAFKAAEKKTRLQLAQEKTVAAITHMRKVHWFEKFNWFISSENYLIISGRDAQQNELIVKRYMSKGDLYVHAELHGASSTIIKNHKPDTPIPPLTLNQAGCFTVCHSKAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRLDESSLASHLNERRVRGEDEALQEIEAESRKKQSNPQSDDEIASESGSNKETHEDESSRENTNIDQNNKLGLSDLSTDIATTNSLEPLAETQVEEKLDNGNSSSKEETVDASVSSQLDDLLDKTLGLGPAKVSGKSSLLSSIPSSLAEDNDDLEVIKPAVRDKPYISKAERRKLKKGQSTGEAATDSQNGEAVETPGASQQEKGKANTKAGSEVSETDTSQQGKGKANTKATGSKVSQPGSSQQEKGKGSTQAANPKVSRGQKGKLKKIKEKYAEQDEEEREIRMALLASSGKALRKDKPSQDEEPTAKESKPSAGEDDSSKICYKCKKAGHLSRDCPESTSEADRNDVSISRSRDGMGTSTAPAGGNSALDEDDVQEIGDEEKEKLIDLDYLTGNPLPSDILLYAVPVCAPYNALQTYKYRVKITPGTAKKGKAAKTAMSLFLHTPDATNREKELMKACTDPELVAAIVGNAKITAPGLTQLKQKQKQKGKKSAKQN >KQL13534 pep chromosome:Setaria_italica_v2.0:III:5063311:5064272:-1 gene:SETIT_023408mg transcript:KQL13534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNKGRDSAKAAAGGAVGGGGGVGMPAAEVEQVFRRYDANGDGKISAEELASVLRALGAPPGPGEVRRMMDEMDADRDGFVDLAEFVAFHCGPSGGAGAGEGQEDATEAELREAFRMYDADHNGLISARELHRVLRQLGDKCSVADCSRMIRSVDADGDGSVNFDEFKKMMGAGARR >KQL15472 pep chromosome:Setaria_italica_v2.0:III:20532572:20532664:-1 gene:SETIT_024956mg transcript:KQL15472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQKGKMGRNRSLQVCIYVDSLIQRARRL >KQL13739 pep chromosome:Setaria_italica_v2.0:III:6395816:6397152:1 gene:SETIT_022713mg transcript:KQL13739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSGAQGELPVPLAMHGGGGGSPYLGLHHGHHEHPQHHSHGANGRHMSPPEVVVPEEAKNRQLAVVPVGAGGGGAGVRYRECLKNHAAAIGGSATDGCGEFMPAGEEGSLDALRCSACGCHRNFHRKEPPGGDARQLHGHHHHHHHHPLSPLAAAHHHHHRGLLVAALPPAPTRMVMPLSAMQQQANHSAASADSDDARAPGQQQQGPPPARKRFRTKFTAEQKARMLGFAEEAGWRLQKLDDAAVQRFCQEVGVKRRVLKVWMHNNKHTLARRGLPDGDPEQQLGGMPLPEPGGPGRSPSRSPPPPPQQLRLE >KQL14386 pep chromosome:Setaria_italica_v2.0:III:10906122:10906932:1 gene:SETIT_0219772mg transcript:KQL14386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGHVLALLVLLALAAAAMASGGRHQQRHRHHGRKSARLRLIPSAPDASLADRARDDRHRNAYIRSTMASRRRAAEVGASAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFVLVADTGSDLTWVKCRRGAAAGSPPSAQPAREFRPAASKSWSPIACSSDTCTSYVPFSLANCSSPASPCAYDY >KQL13763 pep chromosome:Setaria_italica_v2.0:III:6586878:6590653:1 gene:SETIT_021029mg transcript:KQL13763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVMMSRRSKWRAAEAALPMACAWLLLCVGCAVAVDEQGAALLAWKATLRGGDALADWKPSDASPCPWTGVACDANGGVTELSLQFVDLFGGVPANLTALGATLSRLVLTGANLTGPIPPALGELPALAHLDLSNNALTGPIPAGLCRQGSKLETLYLNSNRLEGALPDAIGNLTALRELIIYDNQLAGRIPAAIGRMASLEVLRGGGNKNLQGALPTEIGNCSRLTMIGLAETSITGPLPASLGRLKNLTTLAIYTALLSGPIPPELGQCTSLENIYLYENALSGSIPAQLGGLRKLTNLLLWQNQLVGIIPPELGSCPGLTVVDLSLNGLTGHIPASFGNLSSLQQLQLSVNKISGTVPPELARCTNLTDLELDNNQLTGSIPAVLGGLPSLRMLYLWANQLTGTIPPELGRCESLEALDLSNNALTGPIPRSLFGLPRLSKLLLINNNLSGELPPEIGNCTSLVRFRVSGNHIAGAIPAEIGKLGNLSFLDLGSNRLSSALPAEISGCRNLTFVDLHDNAIAGELPPGLFQDLLSLQYLDLSYNVIGGTLPSDIGMLTSLTKLILSGNRLSGPVPPEIGSCTRLQLLDVGGNSLSGKIPGSIGKIPGLEIALNLSCNSFTGTIPSEFAGLVRLGVLDVSHNQLSGDLQTLSALQNLVALNISFNGFTGRLPETAFFAKLPTSDVEGNPALCLSRCAGDAGDRERDARRAARVAMAVLLSALAVLLVAAALILFGRRRRAVRAGGEDKDGGEMSPPWNVTLYQKLEIGVADVARSLTPANVIGQGWSGAVYRASLPSSGVTVAVKRFRSCDEASAEAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGSAGGTPVVEWEVRLAIAVGVAEGLAYLHHDCVPGIIHRDVKAENILLGERYEACLADFGLARFADEGANSSPPPFAGSYGYIAPEYGCMTKITTKSDVYSFGVVLLEMITGRRPLDPSFGEGQSVVQWVRDHLCRKREPMEVIDARLQGRPDAQVQEMLQALGIALLCASPRPEDRPMMKDVAALLRGIQHDDGIEARKAGGGGGAEAEAGVGKWADPKQPISPTKLMALAQPAQAQAQARESSGSQSLLKNREG >KQL12876 pep chromosome:Setaria_italica_v2.0:III:1419854:1424589:-1 gene:SETIT_022121mg transcript:KQL12876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSLASSSRHHPHLFRSHRNPNSSFRTSSRGRLQPIRCSSPQPATGGEAEESERRRLSKQSSWKAKDADGDDYLYRLGKEADNMDIAVGARAGIVDDLFVGNFLGKDSDIVFDYRQKATRTFEYLQGDYYIAPLFLDKVACHIVKNFIAHFLNIKIPLILGIWGGKGQGKTFQTELIFRAMGVEPVIMSAGELESEKAGEPGRLIRDRYRTASQVIQNQGKLSCLMINDLDAGVGRFGNTQMTVNNQIVAGTLMNLADNPTRVSTGQKWRENDITNRVPIIVTGNDFSTLYAPLIRDGRMEKFYWQPDREDIINIVHGMYTKDGISIEEVSRIVDTFPNQALDFYGALRSRTYDRAVLEWVDQIGGHEKLGEKLLKQKRGEKLPTFIPPKQTIEALIESGHSLVREQELIMNSKLSKEYMKNLED >KQL14352 pep chromosome:Setaria_italica_v2.0:III:10725845:10728180:1 gene:SETIT_021676mg transcript:KQL14352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPFQKIGHGLDRFSFRRRRSASSSSSSSRLSLATEGTDTCPMEGPPPPAPSARRNLSRSCGSKGSRLSVDLPPPLAGGPSDKAAAGSSSSPAVPPRPVRHEGPPSDAEMVKEKFSKLLLGEDMSGTGKGVSSALALSNAITNLAASVFGEQRRLQPMAADQKARWTKEIDWLLSVADHIVEFVPSQQVSENGTCMEIMVTQQRQDLQMNIPALRKLDAMLLEYLDSFNDKQEFWYVSKDADESEKGNMPRQDDKWWLPTVRVPPNGLSDAYRKWLQHQKDLVAQVLKAAMAINANILMEMEVPESYMESLPKNGKSTLGDSMYKLITDDYFDPEELLSSVDLSDEHNIVDLKNRVEASVVIWQKKMTHKDSKLSWGHGVSHEKRGMFEGRAENVFLLIKHRFPGIAQSTLDISKIQCNRDVGLAILESYSRTLESLAFTVMSRIEDVLNADLAAQDPKNVDSMRILSLTSDDTDKVVSDAKAEVEKLRRMEPVTATLFDLVGPRDHGKEGANGPKLTKISSIATKRFSYLDNLGGTRSPIARH >KQL14275 pep chromosome:Setaria_italica_v2.0:III:10059836:10064567:-1 gene:SETIT_021231mg transcript:KQL14275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAKGKSRQDKFYHLAKEQGYRSRAAFKLLQLDARFRFLPTARSVLDLCAAPGGWVQVAVNHAPVGAFVVGVDLVPIRPIRGAHSLTEDITTTKCRAAVRRLMDANGVSAFDVVLHDGSPNVGGAWAQEATSQSALVIDALRLATMFLAPKGAFITKVFRSQDYNAIMYCLKQFFEKVEATKPTASRSTSAEIYIICLKYKAPAKIQPELLDIKHLFSVVPDTNKSRDVMDGRHKRHRDGYEEGNTTLRKVGLASDFIWSDAQTPLEFLGSYNAISFDNPESLPIKNHELTNDDIKNFCEDLLLLDKNSFKHILKWRIRLRKALASSSQITPKVDDDAETTKVKDDDQLLQEMEELTSVIDRNKRREKKRLSKRRAKDKARKATGMQIDATGDDYGDPDLFSISVIKGGKELQAVESAELDVEDDIEDSENEETQAREVSDEDMDSDEEQQRYDAQLEEMLDEAYERFVTKKGGEVKQERKRAKRINPDADADLLEGSEDDGDDVEMDQGFDDDQDPETNPLLLSLDEHKPTKEQIVQQWYSQDVFAEAGTDAAEQSDSEDERENLQRNMEKKMDTGKKEKMAKAQRLQQDDFDIVPAEPVRNEEDSSSSSDESDESEEDLNDYRKAEVLAYAKKMLRKKQREQILDDAYNKYMFDDEGLPNWFVEDEKRHSQPMKPVTREEVAAMRAQFKEIDARPSKKVAEAKARKKRVAMKKLDSARQKADAVADQNDINERSKRKMIDQIYRKAMPKKPQKEYVVAKKGVQVRTGKGKVLVDPRMKKDKRASGTGKKGKKGGKGAKGGKGAKGKGGQRGKKAGKAPR >KQL14583 pep chromosome:Setaria_italica_v2.0:III:12157580:12158147:1 gene:SETIT_024957mg transcript:KQL14583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWSGLRDVSPASKNWCVRARIAHMWDYCGARDGQPALHLDLVIVDEKGDVMYAEAGGRDVDKVRSAVKEGDVYSFSKFLVVNMKPSYKPFCVKYMIKLTLWTKMDRVESVVESFSRFVFYLSLHSDLSSRVGSQLFTVLLNFISLLQ >KQL16897 pep chromosome:Setaria_italica_v2.0:III:46536105:46538792:-1 gene:SETIT_023045mg transcript:KQL16897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVLGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTSGRVRLLLHRGTPCFRGYGRRDGERRRKSVRGCIVSQDLSVINLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLTKDDDVRKYVNTYRRTFTTKNGKKVSKAPKIQRLVTPQVIQRKRARIAEKKKRIAKKQADAAEYQKLLAQRLKEQRERRSESLAKRRSKLSTAAKASAATSA >KQL17226 pep chromosome:Setaria_italica_v2.0:III:49055250:49055877:-1 gene:SETIT_024581mg transcript:KQL17226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPEAAFAVLSVLGVVTVAVLLRACSRRAAPAPPRRREEAGRRRRRTVDAFFVGGVAGVEAGLDDAALKALPKVIYGDADEEAADQAGKKANTAACCAVCLGEYAGGDVLRVLPPCAHAFHQRCVDRWLRLHPTCPVCRSPPVRNPAATPPDGHPQPS >KQL14586 pep chromosome:Setaria_italica_v2.0:III:12185370:12189304:-1 gene:SETIT_021773mg transcript:KQL14586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSEYEYVKREFEFDRRLPPSNWSVVRIDGCHFHRFSKIHAFEKPNDENALRLMNACATAMLEKFPDIVFAYGVSDEYSFVFREETEFYHRRESKILSLCVSYFTSVYVMKWKDFFPNKELKEPPYFDARAVCYPNLKTIRDYLAWRQVDCHINNQYNTCFWMLVKSGKSEQEAQLALKGTFAKDKNELLAQQFQINYDDEPAMFRKGSSVYREKVETTVKIDDYGNPIKRPRLKVTVAHIDIIGPEFWENHQHILREGKFMHEFVKKFGNDRMLPPCNWIVVRINGCQFDQFSTIHSFDKPNDETALRLMDASASLMMEQYPDIVFGYGFSNEYSFVFHDKAELYQRQESLILSSCSSYFTSLYMKKWKEFFPDKELMQTPRFEAEALCYPKLKIVCEYLSWRQAECHAGNQYNTCFWMLVKSGKGEREAHEILKGTLSKDKNELLFQQFQMNYNNEPALFRKGSCIYRRKVEELAEAEGGGDVTTREQWDVKVDHVDLGPGFWRKHPWIMTNCN >KQL13108 pep chromosome:Setaria_italica_v2.0:III:2632155:2633024:-1 gene:SETIT_0229432mg transcript:KQL13108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTVAGYTAGQRAKRVPRSKYIAWVSILVGTAFPMLVLLVLKVFPFTPRYIIPLAGMMIGDAMTVTGVTMKKLREDVEIQRNMVEAALALGATPRQATLQQVRRSLGIALSPVIDAIKTVGLITLPGTMTGLILGGASPLEAIQLQIVVTNMLMAANTVSSIVSSYLCWTSFFTKEFQLKDEVFAEK >KQL17174 pep chromosome:Setaria_italica_v2.0:III:48708262:48710640:-1 gene:SETIT_021361mg transcript:KQL17174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLVVHLWNAWAIQILVLLSFALQVSLLVCAGIRRRQASSALRLLIWLLYLVADSTAIYTLGHLSVTSSRSSQQEQHQLAAFWAPFLLLHLGGQDSITAYAFEDNRLWLRHLLTLLVQALAAAFVIYKYVAADTAAGAGTTATTTLLLADILVFIVGVLKYGERTWALKCGNMADIESTVLSSRHHTYKSFFSKDLRFPFPRGTTVANSTHDDDDEEFLLAAHCLLHMCKSLFAGVLVTTTSFQLATIALHTHSFKADLFKLVELELSLMYDIIYTKASVIHTWYGYCIRFASLLATLSAFLLFQFSSTHGYGAADVAITYILLVGALVLEIVSVCRAVGSTWMCALLYCLDWGQPLSLLKSLRRHVRAARKRRWSGSIGQFNIFDVCTRDTAKIASRVASKMGLKHWWNKVHFSGTVTLTASLKDLLLETLPRIDVKKSRGVRMLRSRGLSKTLPKWSSWTANADFEKSILVWHIATQVYLWESSKFDDQHSTEEARNRQQQLAEAIKMASDYMMFLLVAKPDMLPSTARAAHICNSEYVHSCKLLEHLYSTNHYHGSSISQQTQGTAQRILGNFRSIPNRESLVTEMMSFTGHIDGSACYCGLTLAEELLRMESSAPDLLEMVFEVWLQLLCHAAHQCARDSHPRQLNTGGEFITIVWLLTHHMETLGKTEGQNRTMSLDVTGPVRLNDHLARVNTI >KQL12823 pep chromosome:Setaria_italica_v2.0:III:1033669:1035555:1 gene:SETIT_023028mg transcript:KQL12823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVAGVTTTHRSYCLASAPRRAQHRRRRPATPRASGAVEVRVCTNRTCARQGGREVLAALTGLAPPRVDVSSCGCLGRCGAGPNVAASVAGSAALFGHVGTAARGAQLLEHLLGAAEFDAAAGLAAFAAREKAEAALEKGNAAEAEALLNEVIGLNACGGRHLVYRSRSKARLAIGDISGGLEDAEEALRIAPRFPQAHLLRGDALLAMGEYCAAEDAYADALDLDPSIRRSKSFKARVERLREKLVSATNP >KQL16094 pep chromosome:Setaria_italica_v2.0:III:29168166:29168713:1 gene:SETIT_024377mg transcript:KQL16094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSYQCGRVGNGTIRSFFMVIKLKSFRLTFSAVKISHKRTVRWVLEFILHKNCFVHENHCHRQDSIGKGCS >KQL16382 pep chromosome:Setaria_italica_v2.0:III:38752897:38755709:-1 gene:SETIT_023004mg transcript:KQL16382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGAGLLRLHLAAATGRRARRPLAPLVPAAPSPSTASSSCRQILGIFRGSIGAPSGSRCLSNQAGGGGGGGACWSCGATGAFLSCGSCGSVQPVDPAVDYFQIFGLEREYNIKDNNLERRYKEWQKKLHPDLVHSKSEKERGYAAEQSALVIDAYRTLSNPLSRALYLLKLEGVHVDEEKTINDPELLMEMMEIREAVNDVSDSQTLEKIQSQVKKKLETWSGSFQEAFDQKDFDRAIEATQRMRYYERAVEETVKKL >KQL14093 pep chromosome:Setaria_italica_v2.0:III:8760543:8762515:-1 gene:SETIT_025087mg transcript:KQL14093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRQVGEALGGVTALMSFADDLRINPRQCRLLADACALAFASVAAEVRAHLRFRERAAKWRPLEGPLRELHRAVRDAEGYVRHSLEPRDSWWARAAGATHGADCVEQHLHSLLWSVAVVIEAVEAVSEVTGSDPDELARRRLLFAKDYDRDMLDPRLFRQRLGGRYLATRELAARMDTAWKEDRWLLSQLLEERKDPASTETLTRNEHRLADLLTAPRGKVHPASLLLHGDFHVRRRLAGNLKEVQWMGEAFAVKHFVGADADAVGAEVPLLTLVSHPNVAHCRYCFHDEDKREFFLLMDELMTKDLASHVKEVNSAKRRVPLPLVVVVDAMLQIARGMEYLHSKKIYHGDLNPTNVLVKARHADAHLHVKVTGFGQSVVAAASPRPSPRASANANANNASAAANPCIWYAPEVLEQEAARCSEKADVYSFAMVCFELLTGKIPFEDNHLQGEHMSKNIRAGERPLFPFQAPKYLTSLTKRCWHGDPAQRPAFASICRVLRYVKRFLVLNPAPADQPDAPPPLPPVDYLEVEASLLRRFPAWQAGSAAPRVSDVPFQMFAYRVVEKERTRAAILHIARDKASDSSSDCNSLCGDESGGSLGAVLSDPEALSVSSRGTVRSLSDRSGSRKASPRKLDRRITARLAGKLSVHVAPFA >KQL15227 pep chromosome:Setaria_italica_v2.0:III:17947364:17950218:-1 gene:SETIT_023484mg transcript:KQL15227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGADGERRIGVAMDYSDSSKKALDWAIANLLRHGDTLVVVHVLHHGGEETKHTLWAKSGSPLIPLSEFREPEVMKNYGVKTDAEVLDMIDTAARQKQLKVVAKLYWGDAREKLCDGVEELKIDSLVMGSRGLGPIQRILLGSVTNYVLSNASCPVTVVKGK >KQL16780 pep chromosome:Setaria_italica_v2.0:III:45056048:45060476:1 gene:SETIT_025155mg transcript:KQL16780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPLVLVVLPLGLLFLLSGLIVNAVQAVLFVSIRPLSKSLYRRINRFLAELLWLQLIWLVDWWAGVKVQLHADQETYQLMGKEHALIISNHRSDIDWLTGWILAQRSGCLGSTLAIMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLKRLKDFPRSFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNGFVSAVSIMRDFVPAIYDTTVIIPKDSPAPTMLCILKGQSSVVHVRIKRHAMSDLPKSDEDVSKWCKDIFVAKDALLDKHIATGTFDEEIRPIGRPIKSLLVVLSWSCLLLYGACRFLQWTQLLSTWKGVILFVAGLTLVTGIMHIFVLFSQSERSSSARAARNRVKKD >KQL13582 pep chromosome:Setaria_italica_v2.0:III:5349626:5351294:1 gene:SETIT_025104mg transcript:KQL13582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFVKALEERKQEEKLKNANLDDWLPITSSRTAKWYYSAFHNVTAMVGAGVLGLPFAMSQLGWGLGATAIVMSFVITLYTLWQLVEMHELVPGKRFDRYHELGQHAFGPRLGLWIILPLQIIVMAGTDIVYMVTGGQCLRKFHDLVCLGRRCSDIRLTYWIMIFASPHFLLAQLPNFNSISSVSGAAAVMSLAYSMIAFVTSAVKGAGAATAADYGLRATTAAGQGFGMLSALGTVSFAYAAHNVVLEIQATIPSTPETPSKRPMWRGVVAAYAIVALCYFSVAFAGYYAFGSSVDPNVLITLDKPRWLIAAANLMVVVHVVGGYQVFAMPMFDMIETLLVKKHKFAPGFFLRLIARSAYVAATMLIGMTFPFFDGLLGFFGGFGFAPTTYFIPCIMWLILKKPKKYGLTWFINIICIVIGVVLTLVSSIGGLRQIILDAKNYKLYS >KQL16269 pep chromosome:Setaria_italica_v2.0:III:35467817:35470875:1 gene:SETIT_024094mg transcript:KQL16269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHDGDGALVPVVTISTHSSEYVSVQVGANKEVYHEDEDVVCSQPIVPKVGMEFDTIQEARRVYNEYAMKLGFNIRVASSRNSNVTKELIRKEWECSHARKPALDGEDDGEENTSASTSTNDTATLVGSKKRAATAVLTTATRKRNTIKKLNCKAHMAVGLRNARWRVIVMQPDHTHPMVKAIGVRKHLRSHRSISWADYELLKTLHHRNISTPQIMGVLADFHEGLGNLTFSSKDVSNMRTHLRGAESPSFYYAMMIVDNNVVRGLFWVDGRTRELYKSFGDCIFFDTTYCTNRYDMPFAPIVGINNHLHSILLGCAILPDETTEIFIWVLERLKGAMGGCEPTNIMIDQDKAMKAAIAIVFPNATHRCCNEEEDFAKEFDYYVNRTETPEEFEMLWARIEDKYHLQENEFFQSMSGTRRMWAPAYFRKYFFPFTGTTGRSESMNSLFKKVVHPQDSMLQFITQYDYIMDTRAERENKERCKGEISDPPLWGRYAFEKQAAAFYTGEVFGKFQELLRDSTRYKVEAVESDDQGWSIQIVHPNSTRVPMVTIDKDATSYTCSCNMFDRDGLLCPHILKGFTNRDVEKILEKYLLRRWSKEVTIMIPEHLSGTEPAFAEACLGPEKYIVASTGIDTLVQAVRTARGSQEMQQDEASNIATGQQSKTLAVMVKNPTRTKSKGRPKEKVERFKSIVAQAKEKAMKKKAKGKKTAQKIPPCSYCFEDGHSVQTCAYMAKAEALAKDLKETELKL >KQL15314 pep chromosome:Setaria_italica_v2.0:III:18854891:18855286:1 gene:SETIT_025541mg transcript:KQL15314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAERQCHAKDSCGDGNTGMELLGSISVHERCCKPAEEGPGAVSCVPHVYLSWVPHNCGRLRWGTGDDLLWYHQRY >KQL16649 pep chromosome:Setaria_italica_v2.0:III:43354214:43354657:-1 gene:SETIT_025188mg transcript:KQL16649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDNMVDWLAGWLSVGWFCSLQRGSSIQKKESEGGDGPVQLSKFLGRDKEKEEGTQRSAISGKKIMMKLEKTKEDKAAESKRNELLKFLNASYD >KQL13614 pep chromosome:Setaria_italica_v2.0:III:5559908:5560505:1 gene:SETIT_023910mg transcript:KQL13614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHKFNKSTSFFMGKSKKLVCVTTDLHSCADTIHGTMVLWSMHWQPNLSRIITGLTHITSCLNTKFLI >KQL16168 pep chromosome:Setaria_italica_v2.0:III:32926911:32929774:1 gene:SETIT_021601mg transcript:KQL16168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSWSLVLPLGLIALLFASVAEAAAVEHTFNVGNLSISQLCQPARIITAVNGQLPGPTIEACEGDTVVVHLVNESPYNMTIHWHGIFQRGTPWADGPAMVTQCPVKPGATYTYRFNATDQEGTLWWHAHISLLRATVYGALVLRPRGGAGAYPFPKPHGEETVLLGEWWNANVHDLDDMAFLTGNPPRNADAYTINGKSGDFYNCSNANETYRFQVRRNETYLLRIINAALNTPMFFKVANHSFTVVGADAAYTTPYETDVVVVAPGQTVDALMVAGAAVGRYYMAASPYDSAIPVGPPFSMSTATAIVEYAGSAAEAPPQLPSRPEYNDTDTAFRFLSNLTALVLPGKPTVPLSVDTRMFVTVGLGNSDCLPKQLLCNTTGTRMPIFSASMNNASFVLPESVSMLQAHYANASAGVYTRDFPDRPPVIFDYTADASDNATLKYTPKSTKVKTLRYNETVEMVLQNTRLIAKESHPMHLHGFNFFVLAQGFGNYNEAAAKPQFNLVNPQERNTVAVPTGGWAVIRFLANNPGMWFMHCHFDAHLDLGLAMVFEVQDGPTAETSVPPPPLDLPQC >KQL14943 pep chromosome:Setaria_italica_v2.0:III:15004873:15006937:1 gene:SETIT_023564mg transcript:KQL14943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKHAAVKKSKEQPKKKLQFARSPHRRATPTGTPGRQQQRVKKPHRWRPGTVALREIRKYQKSTELLIPFAPFARLVREITDFYSKGKVTRWTPQALLAMQEAAEFHLIELFEVSNLCAIHAKRVTIMQRDIQLARRIGGRFW >KQL16241 pep chromosome:Setaria_italica_v2.0:III:35018842:35019336:-1 gene:SETIT_024812mg transcript:KQL16241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINASSLKGTLVVAICVMLLHSSMGQQPAPAPAPMPPTNCIPYCGSICDQMCKARYDAAVRQCDGYRPEVIYKDCFERCSSQCNGNSAYARGSCNLGSCSASSCGCPCARSCCESCTASANYPYGMYGMCMKGKDRVYGNCMNPCMTDCNNKCVNGSLPYTP >KQL17436 pep chromosome:Setaria_italica_v2.0:III:50437910:50442714:-1 gene:SETIT_024698mg transcript:KQL17436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVGSMVVGSLLSLVKEKASSYLLDQYKVMEGMEEQHKKLKVMLPAILERITDAEKQATSREAIRPWLQKLKVAAYEAIQVFDEFNYEALRRQAKKEGRYIKLGMSNRIMFRYRMGNKLRKIVRDIEALVKQMRDFRFDKQPQAQVQINYLRENDSTMVDPEIVGRSRDEEKQKIVRMLVKEQANNKDPMVVPIVGMGGLGKTTLAQLIYNDPEVRKHFHQLLKWVCVSDDFDAPALKSRRQQRLTTGKYLLVLDDVWNKDDNKWNKLNACLKHGDVGSAILTTTRDKEIAQLMGTVEEHGIARLDNKFIKEIIEAKAFISQERKPADLAGLVDDVVERCAGSPLAAKALGSVLHGKTNTEEWKAVLSKSIAHNKDDKILPILKLSYDDLPSHMKQCFAFCAVFPKDHEINVEMLIQLWMANDFILEQKDVRHETIGKQIFSELVSRSFFQDVQQVIGERYDSVYWYFSTSTCKIHDLMHDVALSVMGKEVATTTEKPKQSDEFLQNTCRHILLSCEKPEAVLNDSLNIRSPAMQTLLCGHPIESSLQHLAKYSSLRALELCLHKNTILLKPKQLHLLRYLDISFSDIVALPEDISILYNLQTLNVAHCDELGRLPKGIKYMTALRHLYTHGCEELKRMPPEVGHLTSLQTLTNFVVGTGPDCSSIAELQHLNNLGGPLLLSQLENVTKAADAKQANLGNKKELRELSLTWTGSEEEKQHCHKVLEGLEAPPGLEALRIEHYQGTSFPTWMGTLTKMVELHLFDCNKSNKLPPLGSVPALQVLRLERLKNLESLCSGGTFFHFPNLKELTLDELPEFDRWCEVNWVQGEQIMFPQLEKLFITNCGKITALPGPALLGGPELGAAPKVLKSEDLELGTTLCGGDYGKARSSFPALKVLKLCRLDNFQSWEATEADQGNTIFPNLEELSIEKCPELAALPSATSQGVSFDHSDVTAWLAFPNLKRLRLFYLDSFKSLGMTEATHGEQQRFPDLETVCVYKCPKLTTLLGDCESLVEVFNVPASLKTMDLRGCPKLKSIFGEQQDEPTFNQGPSAVPKLSSSAHDHLLLPCLEYLRIYRCESLSEVLNLPPSLRNIDICECGKLQLLSGQLDGLRKLDIWFSTLERLRLRECKSLASLPDGPQAYSSLRDLFIKSCPGIQSLPSSLKKRLDDLIYKYLDARHEGTHQTHLSFHHQMHKSAHAF >KQL12957 pep chromosome:Setaria_italica_v2.0:III:1851371:1851818:-1 gene:SETIT_025617mg transcript:KQL12957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRHTEDLQSRGWRQSRRIKPLYECNLIGYQWILLIF >KQL15762 pep chromosome:Setaria_italica_v2.0:III:23518921:23520231:1 gene:SETIT_023921mg transcript:KQL15762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTALIISVCVFMPTTWIPHHAWTCAMVILGTAMSNSSHTPIGFSAFSYEKGRMIIFLTTIRQTS >KQL14834 pep chromosome:Setaria_italica_v2.0:III:14220502:14224166:1 gene:SETIT_022902mg transcript:KQL14834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRNGYDDDNVNPFAGGSVPPATNSRLSPLSHEPADFYNVDIPLDSTKDLKKKEKELQAMEAELNKRERELKRKEEAASRAGIVIEEKNWPPLFPLIHHNISNEIPIHLQRTQYLAFSSFLGLIGCLFFNVIATTTAWIKGEGVIIWLLAIIYFISGAPGAYVLWYRPLYNAMRTESALKFGWFFLFYMIHIIFCVWAAVAPPFPFKGKSLA >KQL14835 pep chromosome:Setaria_italica_v2.0:III:14220502:14225668:1 gene:SETIT_022902mg transcript:KQL14835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRNGYDDDNVNPFAGGSVPPATNSRLSPLSHEPADFYNVDIPLDSTKDLKKKEKELQAMEAELNKRERELKRKEEAASRAGIVIEEKNWPPLFPLIHHNISNEIPIHLQRTQYLAFSSFLGLIGCLFFNVIATTTAWIKGEGVIIWLLAIIYFISGAPGAYVLWYRPLYNAMRTESALKFGWFFLFYMIHIIFCVWAAVAPPFPFKGKSLAGILPAIDVISKSAIVGIFYFVGFGLFCLESLLSIAVIQQVYMYFRGSGKAAEMKREAARGALSSAF >KQL17402 pep chromosome:Setaria_italica_v2.0:III:50252335:50253249:-1 gene:SETIT_024818mg transcript:KQL17402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANDDAGPTAINDKVVAGDGEEAKEQQPVVLITGCAKGGIGYEYCHAFSALGCRVVATDIPDRVPDLAGAAAATVLPLDVTSDASVANAVRRVLSEHGRIDVLVNNAGVGCTGPLAELPAESVRRAMDVNFLGQVRMVRAVAPHMVARRSGRVVNVGSVVGTAATPWAAPYCASKAAVHAATDALRLELRPFGVHVVKVVPGAVRSSLGHANAVGLARQGQWRMYGEFAAAIEERAAASQAGRATDAGVFARHVARRVMGARPPREIVYGHMTMLFAALAVAPGWARDAFFARRFGLNRKIH >KQL16245 pep chromosome:Setaria_italica_v2.0:III:35132809:35133232:1 gene:SETIT_023648mg transcript:KQL16245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTVTRKETTIVAMSIVLILCWLGQSATATYCSDYCASMCMSVCNNAASSSCGNVKNTVMQQCVPSCSSSCSRSCSACNCLGTCTGICSNAADSAYGNCRSSVLQQCSNGCNSGCNSNCRN >KQL13456 pep chromosome:Setaria_italica_v2.0:III:4545047:4546030:-1 gene:SETIT_024946mg transcript:KQL13456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLVLLVAASPAAAASSRCHSGDKAALLAIKAALGNPYHFASWTPGTPCCDWYDVDCDDSTGRVVGLSVFQDANLTGAIPDAVAGLAHLQNLRLHHLPGISGPIPPAIAKLSNLSFLTISWTGVSGPVPSFLGALSRLAQLDLSFNSLAGAVPASLAALPNLYSIDISRNRLTGSLPPLLFSRAPQEAYLRLSHNNLTGTVPAEFAAVNFAQIDLSRNGFTGDASTLFGRAKPAQQMDLSRNDLSFDLSGVELPEQLILLDVSHNAIYGGIPAQVANLTNLNFFNVSYNRLCGAVPAGGNMASFDAYSYQHNRCLCGAPLANPCK >KQL13367 pep chromosome:Setaria_italica_v2.0:III:4061929:4063791:-1 gene:SETIT_022150mg transcript:KQL13367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMLVASLFMCLLVPSLTAAAPSSSPTTAVRWRELHGNNSWNGLLDPLDIDLRKSVIAYGELAQAAQDGFNHERRSPHAGACLYGRSDLLAGVGVAAAGSYAVTKFFYATSAVPVPESVLLLPLPELGDAAWCRESNWIGYVAVATDEGVAELGRRDIVVAWRGTVTDLEWANDFSFTPVSAAPVLGSSAAANPLAMVHQGFLSVYTSSNANSKYNKASARDQVFEEVRRLMQLYKDEETSITITGHSLGAALAVLNAVDIAANGLNAASSQPPCPVTAVVFACPHVGDRSFKAAFDSFEHLRALHVKNAGDVVPIVPPVVYVDVGVLLAIDTGRSPYLKKPGTVQTLHNLECYLHGVAGEQGRAGGFKLEVDRDVALVNKGVDALEDEYPVPANWWVPKNKWMVRGADGHWKLEDFKEI >KQL15419 pep chromosome:Setaria_italica_v2.0:III:19907307:19908269:1 gene:SETIT_025286mg transcript:KQL15419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRANWDDNTTKIFLDLCIDEKNKLNYNKKGLTNLGWHNLYTNFKQQTGRKYSCKQLQNKFNAFKRQYKDWRKLKNKSGTGWNSSTRTIDCDDEWRSNVMCCGIGDRTPSSGSEGNPDTTADENVDWLEDNVGRSSVGRVSQRSGKEHVVDSPPPKRTKSMEYYVERISESMMQRTMTERNLISREEEEVMEMLHLVEQDGVPNGSKLYFIAIELFRLPARRASYRSITAAENRIAWLRWTWDNVKRK >KQL15469 pep chromosome:Setaria_italica_v2.0:III:20514160:20516532:1 gene:SETIT_021427mg transcript:KQL15469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPAPAPWPVSQDLAALAGRARSLSRGDAGLAGLAAALLRIQPVARELERRAWPPPARAEAPALHAWLAELGAALAEAEDLLDELHRRRLAGSAISNCVGAAFRGPARKLRRLAQRLDCARDDSERLRLGSAAGCGVRSPNRVTGSVLAERRVFGRDKECDDIVGRLIGDCVEIFPSVTPVVAVVGHGGMGKTVLAQCVYNDARVQGYFDLRVWICVWDRLDEAELTREILQSIGGVDDTPYDESLETLQEKLGEVVASKKFFLVLDDVWNDEGKTELENRSVWNKVLAPISSAAIGSKILVTTRMKLVAEVLNASYVVTLDGLKIVDCLLLLKETALGSETMEIPQDLLEFGRAIAAKVKGSPLATKVIGEMLRNTRSTQKWRALMDTEICDNIIISSLQLSYQHLPGHLQRCFAYCSIFPTTWRFNRYKLVKMWIALGFVQTPSEGKRLEDLGYKYFDDLLSRSFFGSANKDQQTYYFLDDLMHILAHHFSAQDCMKINEDIPVVIPPTVRHLSVSTDYLPQLKSKYRLGRLRTLLVLGSSSLSSSHFPGKLLAKFKNLRVLDLSESDIAELPDSISQLVHLHYLALCSIINKLPKSIYRLQYLEVLTVLFFHDNHPGGADKFVTVKHIKTCYEHKANRLVCNAMHKN >KQL14751 pep chromosome:Setaria_italica_v2.0:III:13660735:13662615:1 gene:SETIT_021766mg transcript:KQL14751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMANANKRINLTAPLLSVRRHGGDGTETTVTGLPASYKADARSGALGDTGAVPFGWEHRPGHPKSVRTRRPPPVPSLTIIADDDPKRAAQERAAAVIVASERAREEELFSDALSRDDVSCVTVNCSATTVLSDAAGAGARGGPPRARGGSVMMERFLPAAHAVAAGSPQNTFRKATRSPAVASARTGGGDRSPARAQRRLPLQHIAAYHLPPLPPGGGKNEEEEDDDDDAESDAHSTAGFASRRCGWLPSRCVKSARLLSRGSRLGVGRPFLPIGSGSRRGTDPPLLRRSRNGQQQPQHTGDDPGMQSWEEVYIKSLLRSGGGGGGGLMGPAAAVASELDRTVRELYRHRGGQTVQPKPKASHLGLLLVLDRSNEDCGRVYKKYHGSSAWNLPKTGDAPLPLPSTESSPNSGNKLGRGVTGDYGFPLLLEDAEAVAGREMALSPTPLLPLPLPASPTESWLSRALPSVSARPPAATSFLGLHVQPKKHAPLPSWCAVDSGRGADHDRQRQRRVHDLQK >KQL12900 pep chromosome:Setaria_italica_v2.0:III:1525706:1540260:-1 gene:SETIT_020980mg transcript:KQL12900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQHNAQFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIPQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADINTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCAPPYYDLQPMPALFRIVQDVHPPIPEGLSPEITDFLRQCFQKDAMQRPDAKTLLMHTWLQNSRRALPASLRQPTPLRNIDGDDEGSSGHNTAGFCGTPGDSQTPIVSNVEQENGRKEPILESAAQNKPDELYDGNLKPIEGSSSNNLALMKDNIVPNKDPTLVLHEKLPAESSSGDADLNDKVMPHELQVGLPSKIEPESKESSSLEDVDAFSFQAGRQNIDYQKVVEPSAVEVPKELSRFSDKPGDASLEDLFPPIDKQGNYVAEASTSTTGHELPYNGVSNDFAKALNARVAEKQKGNDSESMNGGKLIEYADRLQDIDAQGFGDIGGESLFPWQEYSKIVAQLKPGESEDVILSACQKLLVYFNHRPGQKQIYVTQNGFLPLMELLELPKNRILSSVLQLINNIVKDNTGFLENACLVGLIPVVMNFAEPNRPKDVRVQASLFLQQLCQASTLTLQMFIACQGIPVLVSFLEPDYAKYRDMVHLAIDGIWQVFKLQHSTLRNDFCRIAAKNGILLRLVNTLHSLNEATRFASISGSGASVTQNGSTPRRRSGQLDPSFIEISKARLDHHHSSGSLQSLQADADKHHMLIDSSSSPRFSEKTGVGNLERNENDLVRPQRLSVSAGRTSTDRSPKHIELVSNGHNSGQHDQVRPLLSLLEKEPPSRHVSGQLDYVHHISGLGRHETILPLLHASTERKTNGELDLIMAEFAEVSRHGRENGHIESSAKDSNRVQSMKYAPSAGVSNEGASTSGAASQTASGVLSGSGVLNLRPGSTTSSGPLAQMFSSMSADVAREYLEKVADLLLEFAQADTVVKSLMASQSLLARIFQMFNKIEPPILLKILRCINHLSGDPNCLETLQRTDAIKHLIPILELRDGPLVYQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFVMSDSPLRQYALPLLCDMAHASRNSREQLRAHGGLDVYLNLLEDDAWACTALDSIAVCLAHDNDHRKVEQALLKKEAIQKLVKFFQDCPEQYFVHTLDAFLKIITKSSRINTAMATNGLTTLLIARLDHREAIARLTLLKLIKVVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQRGGQQVLVKQMATSLLKALHINTVL >KQL17031 pep chromosome:Setaria_italica_v2.0:III:47748936:47754498:1 gene:SETIT_021033mg transcript:KQL17031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNQVGSNHQKNLNVVYQDLTKDQGLSRFDSENLSDVSTTVFPLKGIMDPTKLVHLKTVPSENGLKTVENSSDSLLDMVSESPLAGKVKFMCSFGGKILPRPSDGKLRYVGGETRLISINRNFSWKELMQKTLTICSQPHIIKYQLPDEDLDALISLSCDEDFQNMMEEYDNLEKANGSVRLRIFLVSLTECEDPSLDSKSLESEPEYHFVVAVNNLARLDRSISGNNLTSHSNHQLDNSPVPYGDSPLCQTNTQTGAKDSLGAALNESSSQFFLAPYTQQMVVESSTTPSPCSGQQRTMQQSRMQPPADESTTNVNRSEVCNSSNLKAMPPGHINKKQNDADKNIGIGSPMQHSHIQRQVKGLAGNDSDLIPCTNYGISTPVEASLYSEKASVHPENAGWAPGQQEHTAQILGMTHAFSDPLLKNLNDVPASNMSLPAGSYITQSFSHKICQSNELERTSKTRPAFECVKPPDIARTDEPNFLVSNHIHQRYDQGVIGPDSSQPPVSSQHEILSSNVTQKGHDGGPVVQQQDKSAGPSDAPWSNFVDAGLIYPTHGARLSSYELDALESSVPKPMRATDHSLSYLLNVSQGGGNSNHGSHIEKPNSGLIDYGTTGYVHGNDKVAPEPHKVFPINTSEAFVLQRTMVNVESSVHQNGNVCQSSVHNSGLATTPHVGLIDTDLSMNLHGNGGLPLSSSQNPIIDGVPRREDPHHDWGNITCPEVVIGFDHTIITNESMKLPHRMHDNGHMNVPVIVEDVTDNMPSGIPSSSSVIPQVVIAAEERQEVIMSSQKDDDTRSNGAEFANEDHDGAVDGSISDAAVAELEASMYGLQIIKNGDLEELRELGSGTFGTVYYGKWRGTDVAIKRIKKSCFAGRSSEQEKLTNDFWREAQILSKLHHPNVVAFYGVVPDGTGGTLATVTEFMVNGSLRNVLLRKDRMLDRRRKLTIAMDAAFGMEYLHSKSIVHFDLKCDNLLVNLRDPQRPICKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGSSSRVSEKVDVFSFGIVLWEILTGEEPYANMHCGAIIGGIVNNTLRPPIPENCDPDWRKLMEQCWSANPDVRPSFTEVTDRLRAMPPVLQSRGQAPGNR >KQL16682 pep chromosome:Setaria_italica_v2.0:III:43808736:43809674:-1 gene:SETIT_023518mg transcript:KQL16682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSVVKIGPFGSTSFAEGDRDITVAPQRLQSITIRHGNVVDAVAFTYKDSNGLEHTTGQWGGNGGNSTTITLEPYEFVKEVHGLYGFYGYGSDGIANFTIVTNLRTYGPFGLSKSIKEPKSFDIPVTNNGSIVGFFSHCNKGYVTAIGFYIKPF >KQL16120 pep chromosome:Setaria_italica_v2.0:III:30190155:30190439:-1 gene:SETIT_024519mg transcript:KQL16120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSESAQTEMDSSDDHRRSYPMPLPRDDALRIFYHGDNTFTCLICPGRRQRWMILNEVKDHILGMAMSTPLRGKNKKKNWSRHRVMAWNMGWLV >KQL14596 pep chromosome:Setaria_italica_v2.0:III:12244912:12245147:-1 gene:SETIT_023944mg transcript:KQL14596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLDLFTKFPSSTSFISSFTMHIKVLITVLRARLMHDTFPLVKHKAIWFPYQASNPIYLV >KQL16731 pep chromosome:Setaria_italica_v2.0:III:44496363:44499875:1 gene:SETIT_021763mg transcript:KQL16731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSARRGTLVCASLTARSPREMAAEVAAAAALGADVAELRVDCLDGFQPRMDLPVLLAQPRPLPVIITYRPKWEGGEYEGEDEPRFEALLLAMELGAEYVDIEHKVVVNFLKFLSGRKPETCKLIVSIHNYEYTPSVDELLSLVDQIQATGADIVKIATSATEIDDVSRMFQVLVHCQAKHVPIIGLVMKERGFISRVLCAKYGGYLTFASLEKGKESTPGQPTVADLITKYKIRQIGPDTKVIGIIGNPVSHSKSLIVQNQAFRSVGFNAVFLPFLSDDLVKFLKTFSSPEYAGFSCTMPHKETAIRCCDDLDPIARDIGAINTIVRRPDGKLVGYNTDYVGAISAIEDAIRASHPVDPTTSPLARRLFVVIGAGGAAKAVAYGAKEKGARVVIANRTFARAQELARLIGGTALTMAELESYHPEDGMILANATSVGMYPNVNETPLSKEALRNYSVVFDAVYIPKETRLLREAAECGTTVVNGLEMLARLAVVQFELFTGGMPAPQRLIHDAMTKTQ >KQL16721 pep chromosome:Setaria_italica_v2.0:III:44449041:44449717:1 gene:SETIT_025105mg transcript:KQL16721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGGLPLEPSSLDAVAAPPATAESAAERRRRRDRDGQRRHRRRVAALYAELGAMIPVLPTARRRRVTREEVVVAATARVKALEDAAAALEGYRVRPRPGHEVTVSSRGTVNVSARLPAPAPAGALRRVVEAFERRGVRVLVATMARHGAGAVIVTVTAAAAAPEVVEMIRADIATIN >KQL15873 pep chromosome:Setaria_italica_v2.0:III:24887868:24892564:1 gene:SETIT_022261mg transcript:KQL15873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNDAATTAPSEPESVGGRMSSEDTVATRPLLSSPSTSPSAASTAPVQESIEELDRRYAPYARRDAYGPMGLGPVGAAEAFRLAFAAVVLIPLRVVAGMLVLVVYYLVCRVCTLRVEEEREGGEGDGYARLEGWRREGVVWCGRALARAMLFVFGFYWIREYDCRFPDAEVEHVDQSKEMERPGAIVSNHVSYVDILYHMSAFFPSFVAKRSVARLPLVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNAPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVQPVILRYPYKRFNPAWESMSGARHVFLLLCQFVNYVEVTHLPVYYPSEQEKDDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGLLCQS >KQL15872 pep chromosome:Setaria_italica_v2.0:III:24887868:24892031:1 gene:SETIT_022261mg transcript:KQL15872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNDAATTAPSEPESVGGRMSSEDTVATRPLLSSPSTSPSAASTAPVQESIEELDRRYAPYARRDAYGPMGLGPVGAAEAFRLAFAAVVLIPLRVVAGMLVLVVYYLVCRVCTLRVEEEREGGEGDGYARLEGWRREGVVWCGRALARAMLFVFGFYWIREYDCRFPDAEVEHVDQSKEMERPGAIVSNHVSYVDILYHMSAFFPSFVAKRSVARLPLVGLISKCLGCIFVQRESKTSDFKGVSGAVTERIQRAHQQKNAPMMLLFPEGTTTNGDYLLPFKTGAFLAKAPVQPVILRYPYKRFNPAWESMSGARHVFLLLCQFVNYVEVTHLPVYYPSEQEKDDPKLYANNVRKLMAVEGNLILSDLGLAEKRVYHAALNGNSLPRALHQKDD >KQL16655 pep chromosome:Setaria_italica_v2.0:III:43406718:43408511:1 gene:SETIT_025031mg transcript:KQL16655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSASSSQYTQGGKHKRYISYDLYVDNTLNVTDKDDALKMGTFKAKHKIVREASYGDLSWEYKLIGHLLTRSLLKAVEEHMAAGLCFHRFKWPEIVLTRDGQVLFKQVGTIPSSPDARKHTMEDDVGVVEYLIKLKNIPTDLPELYTIHASLVPLNNRGWGYIHIYEKVKNKISASEFRNILLKIPYHGNWRAQVQQNLIMQETYHFVPGCYDSQPGNKTAIEEQLESANLFLDFLRNGTSHRAQRSLITLPSHFELITTIIINSNKIISLFHLHLYKLLQSQISLTL >KQL15470 pep chromosome:Setaria_italica_v2.0:III:20516583:20517055:1 gene:SETIT_025582mg transcript:KQL15470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISPFSVLVVSRESVQLFLFLQSRSEECFLQ >KQL13586 pep chromosome:Setaria_italica_v2.0:III:5376387:5377618:-1 gene:SETIT_023620mg transcript:KQL13586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADWLSARRAWEKWATKHVGPSGKQVQAALLLNYDPSGPSRLLPVIAEQEGTQLTAIDMQPFLDFVKRGNLQTEFFSIRPNQYLVTSIHDNWYCARCVNSTKSGGEGVIVMQIGAYLLICMYVPSFQLLIR >KQL12627 pep chromosome:Setaria_italica_v2.0:III:101524:108964:1 gene:SETIT_020995mg transcript:KQL12627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASGMDLGHIPILTHLILQHTRLISSIYMAHDMLGFKEDDAMRFIFGEDIMGVDDPAAFDRSLMELHVFKEQPDLLDAAAVMQWQPGANCATHLDGDFFTAHAAATDILDVHAQGTPQDAGPGTCNAAALAGSSSTSAVEDPMPSYMEALAEISEFQSAATLLPDPFLHHWLQDHHQYPTNLCFTYDQGQVDDTTYPLCTTIKDISHTGGVEQHPFYSQQPPQQSQFWFSPALEAVCQNGTPDANISSLDETDARGCSGSVHSASAAAVSKKAFGRDIPDQLEAHTHRLFKDAGWTIKPRKRNDRAKMASYFTAPNREAVHTSLTQAWKFCGNKLYEASADSERGRYPKEWSDVDAFWKDLTDTMAYVDRMLANQHNALTLLQRWQILDPFVAVVFISRKITALQQHKTLRAVNSSTFVLDGSTDMSSESKTMHKAGDLLANRMIQPTPLITDSDCSTLATESYNGHQYLQSCHDVEDSNNRDMNPKLCCNESLNYDASGQTEHHIHTGDDGRQTYAQAKAVNSSVKKSKKKSKRMFDIDATGLDGLFSLSVMQSTTENVFGHGTDVATMFMSDTGIINASEEHGMCSRVGTLKNHMKAELKSEKLDEDDQSNKREMFLSSESKHLNMLQSVRTEELRDCITFSETHCIARESQSDATASCPDDKVQEKMLPSHGQFSEDSQNGPTGSPVPAKLSHECTATVLCTDPTRDLKACKTATTKMKPKGWEKYMKKRPRELRISDEDLLITAIVKNKDLVSCHKFAAGFPGAKKFKKLKNHKKCNKLLSKTGKAGTNLLGGKRVCLARKTVICWLIATGFLTVKDVIQYRDPKSNKVVKDGLVTWEGIVCNCCQKTLSVSDFMAHAGCSNPKSSLGLFLESGKSYTLCQVEAWSAEFMSRRSNACGRKVEAVDENDDTCGFCGDGGELLCCDNCPSTYHQTCLSAKELPEGSWYCHNCTCQICGRPVSEKEVSTFSAIFKCLQCGDSYHDTCIEQEKLPSEGQISDTWFCGKYCKEIFIGLRSHVGTDNILDNELSWSILRCNGDGQKLHSVQKIAYFAECNTKLAVALTLLEECFIRMVDPRTGVDMIPHVLYSKGSNFARVDYQGFYTVILEKGDEILCAASIRVHGTKAAELPFIATSVDHRRQGMCRILMNIIEKMLISFNVKMLVLSAIPELVSTWVSGFGFKPIEDAERKQLHNVNLMLFPGTSLLTKRLDGFSMATKPGDKKDLQEIYGLPNGKSREHFELHDLDLSGKEFKAEVSVSGPFRTLKHEWGSAAWFQSTKLAVGEV >KQL17158 pep chromosome:Setaria_italica_v2.0:III:48634098:48639570:-1 gene:SETIT_021609mg transcript:KQL17158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWLQKLQPRDKDRDRGGKPAGSPTGGSARMSAAAGAGTGEEALSSATKQKVAAAKQYIENHYKTQMKSLQERKERRWMLERKLADADVSEEEQNNILKDLEKKETEYMRLRRHKMGVDDFELLTIIGRGAFGEVRLCREKATSNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDSAYIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDTLTEDESRFYVAETILAIESIHKHSYIHRDIKPDNLLLDRSGHLKLSDFGLCKPLDSSSFPNLSDLDYAVGKSTNPSSDGDKQSSNCTAPRRTQQEQLMHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEDPMSTCRKIVNWRSHLKFPEEARLSPEAKDLIGKLLCNVDQRLGTKGAHEIKAHPWLGSVEWEKLYQMEAAFIPEVNDELDTQNFEKFEETAPPMQTSSKAGPWRKMLSSKDVNFVGYTYKNFEIVNDPELPGIAELKKKSNKPKRPTIKSLFETADSEDQTSEGSFLNLLPTQLELPESLEPSPHSSISSEDSQARHR >KQL16709 pep chromosome:Setaria_italica_v2.0:III:44320111:44320958:-1 gene:SETIT_023734mg transcript:KQL16709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRVMKLASERAVVVFTLSSCCMCHTVTKLMQDLSVNALVHELDSDPRGKEMERALLKMLGGRGPAVPAVFIGGKLVGGTNRIMSLHLAGELKPMLINAGALWV >KQL13521 pep chromosome:Setaria_italica_v2.0:III:4977210:4979371:1 gene:SETIT_021456mg transcript:KQL13521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAANGTTTPRAVPPLLAEVEVSNLPGFDLTPTPSPRPQDAAAAATSSPRPLPSPKKPSRPGVPPLDRVPRRSEVVFPPLDSPFQAPGYRSVQPGSISLPASPSGFGVPVAIPVGPNGGGDTDGLRRQAMANAAARGEAQQPQEKQGGGSVRFAHQPDKVVFRSQPIPGGQPAGPGSARAGRAGSRGSMSRDKRYDSFKTFSGKLERQLTHLAGAAEVREEGEDGNGDDDDDAITASRSTSLPKVDRFFAALEGPELDKLKSSEELVLPSDKTWPFLLRFPVSAFGICLGVSSQAILWKTIATSAPTMFLHVGTKVNLVLWCISVALMCATAAVYALKIAFFFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPPSVATELPRWLWYALMAPVLILELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGALLGASMGLKEGPIFFFSVGLAHYSVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVACMAWAKITGEFGYGSRVAYFIAMFLYASLAVRINFFRGFRFSLAWWAYTFPMTGAAIASIRYSTEVDNTFTKALCVALSAIAMLTVTALFATTLVQAFVLRNLFPNDISIAITERKMKPIMELHEGQGEDGSTNSSNDIEAGAK >KQL14139 pep chromosome:Setaria_italica_v2.0:III:9095443:9096944:1 gene:SETIT_022053mg transcript:KQL14139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASPVVVLVFFAVTVPLSAAAGATLVFPKEALPTKSGYLPIPPANASLFFAFYEATQPLTAPASTPLLLWLQGGPGCSSLLGNFFELGPYFVTPDAETLSPNPFAWNRRFGLLFIDSPLGTGFSAAPSPADIPTNQSVIAAHILAALQSFLALDPSFHARPFFLAGESYAGKYVPATGAHILDVNPTLPEARRVNLRGVAIGNGLTHPVAQVATHADSAYFTGLINARQKRELEELQAEAVALTRAERWREASDARGRVLSRLQNMTGLATLYDAAKQRPYQTEPVAAFLNRAEAKAALGARGDVAWEECSDAVGAAMHADVMRSVRPEAESLLRRTRVLLYQGVRDLRDGVVSTEAWLGGVGWHGLHAFLDADRAVWRTRGDGELAGYVQCSGALSHAVVYGAGHLVPADNGRAAQEMIEDWVLQAGPFGRRGGDGLRCAA >KQL15256 pep chromosome:Setaria_italica_v2.0:III:18337292:18337739:1 gene:SETIT_023737mg transcript:KQL15256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQIGMERSMFHEPVARRIGATSRSLHQELITGALASMDSYISDEHQVDNMYGTFSYPLIAAGDVHLVGENHVPQDGKIPQQNVADFSGTSGGRRSWLWRRYMR >KQL13651 pep chromosome:Setaria_italica_v2.0:III:5796824:5797027:1 gene:SETIT_025151mg transcript:KQL13651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGASKLKDDSDKIKASPTKSLKDFMQNCSIVNYTKYIIAEKCQLRNRILVHLDNKKNIYKETSSIT >KQL15081 pep chromosome:Setaria_italica_v2.0:III:16443707:16446152:-1 gene:SETIT_021510mg transcript:KQL15081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLLVSNPANGVAKPTCNGVGALPLANSHAVIAAPPPPAAAVAPACATLGRHLARRLVQIGATDVFAVPGDFNLTLLDYLIAEPGLNLVGCCNELNAGYAADGYARSRGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRVLHHTIGLPDFSQELRCFQAITCHQAVVNNLDDAHEQIDTAIATALRESKPVYISVSCNLAGLSHPTFSREPVPLFISPRLSNKANLEYAVEAAAEFLNKAVKPVMVGGPKIRAAKAKKAFAAIADASGYPFAVMPSAKGLVPEHHPRFIGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAVIVQPDRVVVGNGPAFGCILMAEFLRALAKRLERNTTAYDNYRRIFVPDRDPPNGKPDEPLRVNILFKHIKGMLSGDTAVVAETGDSWFNCQKLRLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTAQDVSTMLRCGQRSVIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVDAIHNSDGNCWTKKVRTEEELKEAIATATGAKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >KQL16589 pep chromosome:Setaria_italica_v2.0:III:42742281:42743665:1 gene:SETIT_024215mg transcript:KQL16589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLAPLLLASLVSVATSSPPPSKDVQQASFAVNTPVKKPSHGGGQQASFVIGGDDGASSKVPPGSGAAGSFGEFIAENVQSYNVNKQIYAAKVKNGTGGKAVDAELSAAEAGAVRYVVSADGKGKFRTINDAIKAVPENNKKRVILDIRPGTYKEKVLVPYTKHFITFLGDPKQPPVIMWDDTAATRGKDGLPVGTVGSATVAVESDYFLASGIVFRNHAPMAAPGAKGGQAVALRVFGTKAAFYNCTIDGGQDTLYDHKGLHYFKGCLIKGSVDFIFGFGRSLYEDCSIVSVTKQVAVLTAQQRTRSIADAIESGFSFLRCRVSGDGQIYLGRAWGDSSRVVYAYTDMGKEVVPVGWDGWNIQTPERSGIYYGEYRCSGPGALAHKRIGWSLILNDDQAKPFTGTHFVYGDSWILPPPKLAGK >KQL15752 pep chromosome:Setaria_italica_v2.0:III:23406393:23409531:-1 gene:SETIT_022040mg transcript:KQL15752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQL17213 pep chromosome:Setaria_italica_v2.0:III:49000076:49001406:1 gene:SETIT_025310mg transcript:KQL17213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLCGISAAATPACRRERSPFQRCRHGVEGGKRRPVPLAHGHAVADWQTCGAVVAPRGAGRRGRASWQWCAAAWRGAVAPPPSRRHRSSAFPSGQRHHAVLPRPAAACQASRSPLHHPVKAPPVRLPILICFSASTSAASSAATTAPPPRLHHHSSSCSSQRA >KQL14064 pep chromosome:Setaria_italica_v2.0:III:8498413:8499984:1 gene:SETIT_024359mg transcript:KQL14064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSDVAFQSVWRSNCAAEFSVILNRVRRRPRRLYISVDQEFCGGASVNPLHWPQDPENWYDYLSTFVHAGDVLQIGLALALEQPPGVPPEPVMARSYHPDTITFLLGHGHDLTRHKNEGVLPELACAALLRELPFGDPSVTWIWYHGDKDVAFLFKLLQRSGRLPPERHSFLQLVHDKLPSLYDVKVMAQVVQSGYKGGLRRPAGMVRVERIGNAHQASSDAILTMACFSELCKRCVHAKLLCRRGLLSGLEQIHPAVLNARSIDDKRQHMVVEVKSWNFDDEARRITELVPNNFSTIMCDVTLPGLSSPSLLTSDARREYELVKGALTQRVEDIGEVILGFANAEGLLGWGCLWKFSFDLGDAFSEDGMPTLKQQCAPASKFWALMAACGALHHPGTMWLSCHGGYGFAWMINFFLSPLPLPKKLDDYVQMRAALWPSLYDVALIAHWCADVQFRAPGCKGKLLDLARSLTVPVAEDLTSSSIDGVDRALLLLKCFRKVSALPEFSCVQWP >KQL13376 pep chromosome:Setaria_italica_v2.0:III:4146319:4147433:-1 gene:SETIT_024044mg transcript:KQL13376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKITGAESFEAEAVWLGLPRRSTITVSIEMDRPVLNPKLHGFLLSHRALRSFLNVGAAAACKVAAEDAFDCLTTGGVSRHKVKHSVKNMCKEGSYWGAAAGAFEAIEYGLELMRGRSDWKNAMIGGALAGALISAANSSHRDTKQVIKHSIAGGAIGTAVEFIN >KQL16613 pep chromosome:Setaria_italica_v2.0:III:42903767:42904941:-1 gene:SETIT_024466mg transcript:KQL16613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTALLSASVRRLAASSFTAARRLSSLTASSIIPREVTGSHKKIIKGWAWTSKTFEAAGYRWRIWYGPYGHVDSTGSQHISLHLQVVHGASKTHVDPVEFKFSLLDQSENPKFTRATTELCCFNDGAKGRNGFEDFIKWQDLEESGCLKDDRFSVRCDITAMRNFSKNEGAAAPAPAHVVVPPSDLHEHLTDILWNKKQGTDVTIDVGGEATVDAHGWLLAARSPVFQAELLASKKEKPAGGGACHRRIEIQGVEPEVFKAVLHYMYTDALPPETTTEEQQAAMAPALLAAAHRFKLDRLRLMCEETLCKRIDVTTLADTLAVAERHGCRGLSPACLEFISCPGNLKAVVATEGLEELRAKWGPALLTELFVMNQLMAT >KQL13048 pep chromosome:Setaria_italica_v2.0:III:2307092:2313097:1 gene:SETIT_021373mg transcript:KQL13048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTQKPPHDYSQQLYDKYRESFEEYITSMVLPSLREKHDEFMLRELVQRWSNHKVMVRWLSRFFHYLDRYFISRRSLTPLKEVGLTCFRELIYQEIKGQVKDAVIALIDKEREGEQIDRALLKNVLDIFVEIGLGQMDCYENDFEDFLLKDTTEYYSVKAQSWILEDSCPDYMIKAEECLKREKERVGHYLHISSEQKLLEKVQNELLAQYATPLLEKEHSGCSALLRDDKVEDLSRMYRLFSKITRGLEPISNMFKTHVTNEGTALVKQAEDSASNKKPEKKDTVGMQEQVFVWKIIELHDKYVAYVTDCFQGHTLFHKALKEAFEVFCNKGVSGSSSAELLATFCDNILKKGCSEKLSDEAIEDALEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFVAGHPELNPGIDLAVTVLTTGFWPSYKTFDINLPAEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNINAKFDLKPIELIVTTYQAALLLLFNGSDRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPANRSISPNDVFEFNSKFTDRMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVMGHQQLVAECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKDNANMYKYLA >KQL16381 pep chromosome:Setaria_italica_v2.0:III:38719178:38720858:1 gene:SETIT_022625mg transcript:KQL16381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEGVASVLAATALLIGILASIPTAVHSIGVCYGTHGDGLPSAADVVQLYRSNGINRMRIYSPDATILKALRGSGIDVIVDETDLNALLSDASVWVQANVLPYKDDVKFKYIAVGNEVEGSDTQKILPAMQKLNAALSAAGLSNIKVSTAVKMSVLDTPSSPPSNGVFADPSIMGPIVQFLASTGSPLLANIYPYFAYKGADGNIDLNYALFKPSPPTSNGPEYTNLFDAMTDAMYTAMEKVGGSNVPIVVSESGWPSDGGFGASVQNAQTYNQNLIHHVGKGTPKRPGALETYIFAMFNENKKTGDETEKHFGLFNGQNKSPVYTIRFQ >KQL12705 pep chromosome:Setaria_italica_v2.0:III:486328:486594:-1 gene:SETIT_024754mg transcript:KQL12705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEQGKRSTMAGAVADSSSPASSCVSSDAEEEVVQAAKPMVVVGCPQCLMYVMLSGEEKQPKCPRCKSPVLLHFLRADDASSNTRRR >KQL14778 pep chromosome:Setaria_italica_v2.0:III:13817225:13818553:1 gene:SETIT_024422mg transcript:KQL14778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLNSTKYDFLDIVEPVTAYTDGYLLSLNLGTPPQVFQVYLDTGSDLTWVPCGTSSYQCMECGDQHSSSKPTPMFLPSQSSSNTWDLCGSRFCVDVHSSDNRFDPCAAVGCAIPAFTSGLCPRPCPPFSYTYGGGALVLGSLARDSVTLHGSIHGIDPLSPVEFPGFSFGCVGSSIREPIGIAGFGKGTLSLPSQLGFLGKGFSHCFLGFRFARNPNFTSPLVMGDLALSSATDDGGFIFTPMLKSVTYPNFYYIGLEGVSFGADGGSASTMAAAPPSLSGVDSQGNGGVLVDTGTTYTHLPDPFYASLLASLAAVVPYGRSRDMEARTGFDLCFRVPCTRAPCAAEDDELPPISLHLAGGGRLTLPKLSSYYPVTAVRDSVVVKCLLFQRMDDDGGDAGGGGASGPGAVLGSFQMQNVEVVYDLVAGRVGFLPRDCALRA >KQL16849 pep chromosome:Setaria_italica_v2.0:III:45944873:45947683:1 gene:SETIT_022909mg transcript:KQL16849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGFGEKGLGEGGGDSRMEEEEAAGGGSKAHIGLAGAASAVGSARAAADRTVRSARIFSTPAGARAQKRGKEATPPRSAAAAGIIMAAQREKAAAAVAPAPGAAAASGSPSPSSSSGAPAAAASGERWSAAIGNLGELGANVDGLQKLLARKAVFVDDDIFSKASLAADQARTIKVLDQRVQSLERELDAAISAAARARTEKRQAEAGQRAAELRAQEVTKELENTARVFELHMEELRLKQEEIAKKDSDIKVLEAIIRTLSSKDDTLSSKDDDGSSE >KQL14933 pep chromosome:Setaria_italica_v2.0:III:14953156:14955217:1 gene:SETIT_022493mg transcript:KQL14933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKARIHADPVLEVDQFDCLPDSLVLLILNKVEDVRSLGRCSAVSKRFCGLVSLVHDVYVKIDRVVGVDGDAEDALNLSSPKPRNIFSHFLKLMLFTIIKPFHNMRNPNGNGRPLFAQLSQHSPAQVLRNFTHIRNLRVELPSGDVGTEEGVLLKWRAEYGSTLQNCVILGGTRVDRRPVGGEHEPSLEDNGSMPESFYTNGGLKLRVVWTISSLIAASTRHYLLRSIIKDHPTLTSLVLTDADGQGTLCMGAEQLKEFRENQLSASACSNRTQVPACNMKLKYSPYLELPGGMALQGATLVAIKPSTEGSNGGHTSRKETDAFISGAFDGPFKVAVKALMKRRTYLLEMNGF >KQL16838 pep chromosome:Setaria_italica_v2.0:III:45873487:45877529:1 gene:SETIT_024098mg transcript:KQL16838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIRELEEVGVHHTAQSSALSRAQEFFNEWELQCMVMASFSLQVFLFLFSGFRKRHGSRILSVLLWLAYVSANPLAVFILGRLTLHGGGNQLALFWAPFLLLHLGGQETMTAFSMDDNSLWKRHLLSLATQVPTAVYVVSKQLRGDDRLLMAPMVLVFVSGTAKYAERIWALRRAGSVAPGSSRTTSNLVSRASSDAVWDTQGFYGQLCSVISKKKERNFEVILNVAVEGFKLSLHFLLDMTPSISLLPDDIKEIKQAVEVFKTSENIVHMAYKLAEINLSLIYDYLYTKFGTRHFHIAPGCSGFHRIATLALTSAALGLFVKGMAGQKGHDAADVIISYVLLVGAIVLETCSIFVAFISSCWAYKTAISCSLTCPLCRMFPCVIAAMVSIARHLHPEKQGEWSAKMAQYSIIGDCIKKKQESGLLRRTMSWIGIGQQAVTHIGISAEVKKLLLDKLLDIAATPRVHEWDIGVGKFSGQWAQWVVEAKQDHHQSAAQQVLQVSNIQGLEFVSSVLLWHIVTDICLLSADVDVDGSSSHEAQLHGGSSHHEEVHSGSLHGAHFDGGSSHHENVDGSSSDELHLGSSHHGNVDGGSSHEVHLDDCGAMAGSEGHYVVIRGKREMSRWLLEKSASCDRRKVIQDIRDEESSFFHENYYPVLDRARRVASDLLKMGEAADCWELIVAVWLEMLCYIACNCGAAFHAKHLTTGGEFVTH >KQL16069 pep chromosome:Setaria_italica_v2.0:III:28208315:28209192:1 gene:SETIT_023228mg transcript:KQL16069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPPPLLLSAAPAGAAASSSARPGSSLQQTQRQGPCDGGRQRRLESLRLRRVFDLFDRDGDGVITPTELSGALGRLGLALDHAAVPAPPAPAQTAPAGTGALEAVVAPYVAPGMTGLRFQDFEALHAELAGDHGDGKEEEEMREAFSVFDENGDGYISATELQAVLARMGLPEAGCMARVRDMIAAADRDSDGRVDFDEFKAMMAGGTATDI >KQL15832 pep chromosome:Setaria_italica_v2.0:III:24326578:24334418:1 gene:SETIT_020988mg transcript:KQL15832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPRASISSLISFIQYHLRSLLADPAALHAARRRCLALLAHPALSHDPPNTTEPKHDDEDEAVLAALHGAIDAFLIPASGADSAVAACLTGVEEALQAPALLPVHGETAGLDNRRVAACAYFYLALVRCAQEDAWQMAMDLLQAVAVCPAAVAAAGDDDRAGLAPRALWEGLFDEGEDVARRVARRYKDWLMYYKVVAAAPDAGGAENGGCLQLGTSGNSVVARWLNSSEDRTTQSIDHEGMRTASASRFGAHDGLAELKDFLSIADQDFQEDTKGSSDSRCLHEMLEESQSGSPVSFYSHLDSSEESDSEAAPYDKGRSAKIMPIDADFLAAKLHERSSHNKNLTWCTSPENAMIYAPESPMYHVDDSEMKPNGLQSNISHGSLNNLSNSVLELKNADSYSTSNYSAKDGMFPQCSPRCEVRCFSNFSTKFIKKSSLSDLVSRGSMSRKFKTSTTSEDWSDVSSRWGKDSQVDFLERFENAVSKLLVSDGLESCLDAGSEVTTIWQLLNNTYEVRHKSSVRQDILDQLLDSISTSKKDKVIRASVYVLLLMISEDRNVMRGIKRKDFHLSNLATALKRDVHEAAILIYLLDPTPLEIKNLDLLPSLLRVACNSDTQKWPAMLPLTPTSASIALIEILVTAFDYVTNNVHLASLSSPPILSKLVDVAKNNNLEEGVALAAILIRCGRLNGNCKKFLSQATPVDPFLHLLRRKEHRAKCAALEYFHEILQIPRSSANCLLQEIRRQGGIAIMHTLMASLHQIEPEHRVLAASLLLQLDMMEKTDGRSVFQDEAMEVLLDSLSSQENSKVQVLSASFLSNLGGTYSWSGEPYTAAWVAKKAGLTSTSHRNTIRSIDWLDSCLQDTEIITWSSRSARAIIKIGIPFISALAKGMQSKIKGISHDCLVCTAWLGSELAALGENAIRYSACEILLHDIASHLHPGFDLDERVLACMCLYNYTSGKGKQMLMSLSEGSRESLRRLSSFTWMAEELLQVTDYFLSSKPRVSCVHTQILEIGQPSNGAATAIAVFRGQLFAGYSNGTIRAWDIKGQRAVIIREVKEHKKAVTCFTLSETGENLLSGSADKSIRVWEMAQRKLECVEVIQTREAVQKLDICGDKILVLTQNNVLKFSCASRSSQTLYRGKHVKSLAVCQGKAYLGCTDLSIQELDMSVESKIEIRAPKRRWRIRKQSISAIVVYKDLLYCAGAQVEGSALKDWKKRCKPNMTMPLPKGTSVEAMAVVEDFIYLNCSKSPSIIQIWLREKQQKVGRLSAGSKVTSLFAANDMIFCGTETGLIKAWIPL >KQL17133 pep chromosome:Setaria_italica_v2.0:III:48482020:48486043:-1 gene:SETIT_022288mg transcript:KQL17133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLTCVIESMGSSCSRHHSLNEAEAAENAKSADIDRRILQETKAEQHIHKLLLLGAGESGKSTIFKQIKLLFQTGFDEAELRSYTSVIHANVYQTIKILYDGAKELAQVEPDSSKYVLSPDNQEIGEKLSEIGAKLDYPLLNKELVQDVRKLWQDPAIQETYSRGSILQVPDCAQYFMSNLDRLAEVDYVPTKEDVLHARVRTNGVVETQFSPLGESKRGGEVYRLYDVGGQRNERRKWIHLFEGVNAVIFCAAVSEYDQMLFEDETKNRMMETKELFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPTAPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >KQL14859 pep chromosome:Setaria_italica_v2.0:III:14375942:14379317:1 gene:SETIT_022235mg transcript:KQL14859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAPRLLAEHWPSPIRRRLPLSPTPRRSCRLALPPRAAFRAAQTLAPAPRLAPSRLGLLMDPAAPAPVQATAAEADAYEDAAEFEDAEAAGDDAGPGATTAGGGEEVRELPEELAKGVVCLECVTSAEAAAAGVGGTCRVYVVGTAHVSQESCDQVKAVINYLKPQAVFLELCASRIAILTPQNLQVPTMNEMIDMWKKKKMNTFGILYSWFLAKVASQLEVLPGAEFRVAFEEAMSYGGKVILGDRPVQITLRRTWGKMSLWHRAKFLYYIIFQSIFLPSPEELNKMLKDMDDVDMLTLVIQEMSKAFPSLMETLLHERDMYMSSKLLKVAREHSSVVAVVGKGHVSGIKKNWQQPIQVKSLLELPVANEGASKLKILASIGALSGVIIASGIYIWGRK >KQL15935 pep chromosome:Setaria_italica_v2.0:III:25616944:25617657:-1 gene:SETIT_024039mg transcript:KQL15935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPWVRRSKASGRLTQSPSFSSPTASSPTCKDYQGISAVLDDAAPDAAGAGSAGKSVLHRARSASRLRTCKSFAAAAEAAAAAVAGERRVVLYFTSLRAVRATFEDCRAVRTILRGLRVAVDERDVSMDAAYLAELRALMRRDRPTLPQLFVGGRLVGDAEEVRLLHESGELRRVLAGAAQAAPTPCASCGGSRFVPCGACCGSHRRFSEKTGGFRVCASCNENGLVRCAACGSVS >KQL13626 pep chromosome:Setaria_italica_v2.0:III:5622108:5623500:1 gene:SETIT_025339mg transcript:KQL13626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFQITPSRVTVDNNEYNFSNLYLLHAPSGPRKNQAEVTRSDADTGLGVIAVNNWEIYDGLGPGAAIVARAQGLHIHAGNWSNVFSIVFETPRFSGSTLEVMGTSVDIGEFAIVGGTKQFAMARGVIYKKYLPEQSTSDGGIIQLTIRGFFPVLKPQPSPPPPPPVIGPCGGNGGVVWDIPGTPSPTRLESITISYGGVIDGIEFSYINQSGQRCTTGRWCGKGGTRTQLINLGPSEFVKEVSGTIGAYRHYNNIIRTLAIVTNVRTYGPFGNQLNGTAPFSIPVQNNSSIVGFFARGQQFLDAIGVYVQETQ >KQL15495 pep chromosome:Setaria_italica_v2.0:III:20874419:20875729:-1 gene:SETIT_025071mg transcript:KQL15495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHVAPPAAAKEMAPANCQQQQQAPPQQVRAVDGRKVVDEVSGWLRVFDDGSVDRTWTGPPEALPLMEPVAPYAAPRDGHTLHDLPGEPNLRVYLPEVAKGSEDGGGARRLPVILQLHGGGFCISHPSWLMYHHFYARLACVVPAVVVAVELPLAPERRMPAHIDAGVAALRRLRSIVLSEDGSALDDPAAALLREAADVSRVFLIGDSSGGNLVHLVAAEVGRGDAADWAPLRVTGGIPIHPGFVRAARSRSELEAKADSVFFTLDMLDKFLAYALPEGATKDHPFTCPMGSQAPPLESVPLPPLLVSVAENDLIRDTNLEYCDALRAAGKEVEVLINRGMSHSFYLNKYAVDMDPVTGERARELIDAIKSFISRH >KQL14151 pep chromosome:Setaria_italica_v2.0:III:9176352:9177254:-1 gene:SETIT_024678mg transcript:KQL14151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTPWVVNKILGVPIGGDMPPTYTMKERNDEFDKLRSILGKKDLTIQHILEKLKKLSDIEEEKNRVLTEEESELKLRLFFMTVIDSYLMPCTSSTLNKEAVMLTRNMDLITKFDWSRIVYEDLREAVLRWHAEKDKPPPKKPRRTRPTRTLHGWTQPYSVDYPKLKGKDLLPPRINKFKKKELMEIANKCKAKSSSDYAELPLKSRSRT >KQL16460 pep chromosome:Setaria_italica_v2.0:III:40318704:40331503:-1 gene:SETIT_021267mg transcript:KQL16460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSFRFDPDGSDDEAAAAPSARRKAAAQSPWEFSSYAESVAAEHARRRTTSIDEKISQLRQGRAKPVLSDDSESGSGEDDSDEEEVEGESGDEEDELEESEDEEEEVEGSGDEVEEEVEGSDEEEGGEVEGEEEGNEQGEEEEEGAHEEEDTAEQDGATGTVDPSKFFASSEGASFHANSFLELNLSRPLVRACEALGYQKPTPIQAACIPLALTGRDICGSAITGSGKTAAFSLPVLERLLFRPKRVPAIRVLILTPTRELAAQVHSMIEKLAQFTDIRCCLIVGGLSSKIQEVALRSMPDIVVATPGRIIDHVRNSLSVGLEDLAVVILDEADRLLELGFSAEIQELIRMCPKRRQTMLFSATMTEEIDELVKLSLNKPVRLEADPSLKRPATLTEEFVRIRRARESNQEAVLLALCLKTFKQSVIIFSGTKLSAHRLKIIFGLNGMKAAELHGNLTQAQRLEALELFKKQEVDFLIATDVAARGIDIVGVRTVINFACPRDVKTYLHRVGRTARAGREGYAVTFVTDDDRSLLKAIAKKAGSQLKSRIVAEKPVADCAKLIEQLEDQISTIIREEREEMLVRKAEMELAKVENMMAHKDDIYSRPKKTWFTTEREKKLLAKAAKDCLDQGKTTSGVISAKQAEELRLKEKRRRENEKNLPRKKRRRLEAQREMLEGDDEDDEEAKENNKGGKKAKKGQSVVDVAYRKAKSMKATIRRGPGAGKGKNEKNSRQHSEKAPTRQEEMHDLFQNDMSEWKQGRALKKNNDFARKKSKNAFKSKARYKRRK >KQL13852 pep chromosome:Setaria_italica_v2.0:III:7209438:7210943:-1 gene:SETIT_023279mg transcript:KQL13852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLGLNPEALFSSFSSSYYSPFMSDYAPSFPAASNAVDDAAAFSAELDDLCRFEYSPAAPVFASAGGGAGGDDRNDKSMSCEGGDEKRPRSNGRIGFRTRSEVEILDDGFKWRKYGKKAVKNSPNPRNYYRCSSEGCGVKKRVERDRDDPRYVITTYDGVHNHASPTAAAAIQYGSGGGGFYSPPSSGSPSAASYSGSYLF >KQL16156 pep chromosome:Setaria_italica_v2.0:III:32180768:32181248:-1 gene:SETIT_025415mg transcript:KQL16156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARLRKAPEGPTDKAAARSESATGGEARPRPGSGRGGRGNGGSLRLPSLLCSPLGTPISSSHCSNSKP >KQL16212 pep chromosome:Setaria_italica_v2.0:III:34430077:34430367:-1 gene:SETIT_024449mg transcript:KQL16212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQFTAYSKRTTVFVVVPLLMALLTGAVSASRDSFQLQEGQPICSKVRTCTEAVCTAMTGAGSCEMVGQDPHCCCHPTSSTSIPVQQLVH >KQL14302 pep chromosome:Setaria_italica_v2.0:III:10306902:10309504:1 gene:SETIT_022220mg transcript:KQL14302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARHDHAGSHHQHHLSGDFQFHDELVSLFAQRPDAPATPMMQQPWFTDYLQATAPTPLDYDAFAGDFDVPAVDEVVKRELVVDTTGGAAAGSGGGATTVPLTPNSMSMSSTSSEACGAGAGAGEESAAGKCKKEEGEESKDGSSAAKGDVEGEEKNKKGAAKGKGKGEKRPRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTQKCPVKKRVERSYQDAAVVITTYEGKHTHPIPATLRGSSHLLAAHHHAGLHHPHFRMPLPPPALGALAFRPGGGAGNAFDALGLLQPQPPQGQGHHHAMPQQLVTGAGAAAVSTGFQQVNAAIASQHALPDHQHDLAAGTTAATATAAASAPLRMQHFMAQDYAGLLQDMFPSFLHNNDDGDTHHHH >KQL14908 pep chromosome:Setaria_italica_v2.0:III:14796720:14798167:1 gene:SETIT_024959mg transcript:KQL14908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMAPPPCHIVAVPYPGRGHVNAMLNLCRLLAARDGVSATVVVTEEWLGLLGAPAALPELGPRVRFEAIPNVIPSEHGRANDMLGFLEAVYTKMAAPFERLLDRLAAPQAIVADVFVPWTVAVGARRGVPVCAMCPLSATMFAVQYNFHRLPPAVAAGYASPDGTDPCLIEDYIPGTKSIRFTDLAPTHTNAGLLDKVLEAYFSVKKAQCVILTSFQELESDAIGALRRELPCPVYAAGPCIPFMALQEGISNPDGDGYMAWLDAQPAGSVLYVSLGSFLSVSAAQFDEIAAGLAESKARFLWVLRDADARSRVTGAAAGIAVPWTDQLRVLCHPSVGGFFTHSGMNSTLEAVYAGVPMLTLPIAFDQPTNSRLVAEVWKTGLGLKEMARGDGVIGREEIAAAVERLMRPDSAEAADMRMRAALLKDAARAAAEEGGSSWKDITSFINFVSR >KQL15019 pep chromosome:Setaria_italica_v2.0:III:15702633:15703250:-1 gene:SETIT_023986mg transcript:KQL15019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYRSPEWLIAPIIDTIMLRPSSGSTGLASDLVRNNSCVSLWLGFSGTGIKLLY >KQL16952 pep chromosome:Setaria_italica_v2.0:III:46980566:46994067:-1 gene:SETIT_020960mg transcript:KQL16952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNVDDSGDLDADYLDEDVKEHLFALTDDLGQSLEDIDLIRSSPAPTDPSEQDYDDKAEDAVDFEDIDEEYNGPEVEATTEEENVLSRKDYFSSNAVYALVNSTVSVFDEENYDEDDETTNDIEIHVNSVAQNCSSDVLTEQPVMEPSNIMNFEYEVLQKEMGTEEGHLGSETAVSLPVLCIEDGSVILRFCEIFDIQEPARKRKADHHTHPINKELRIAKYADIIEEDEEVFFRSSIHNSSNLKHIKMDEDFGESDSDESVPDVTLCLNDSCRSEQPMKDSHQDIPTAKQSPVCPDFYALEHDDWENYIIWDDSPPATESQPFLKSCVIYEESMDTHCEDRAKDFGHPTGCCDVKSKIHVSPVIIQPFGFTKMPAASNYHAPENSYRALTKETAQDKNNHTEPNRIAGTLKTKTMQCLDNLYSLNRELLEGSWWDNIIWDPCEDTLKPRLIFDLKDDRMLFEILDEKKVDLIHSHAPAMSVGSQSGQSSTSSVEKFDNQSISWSDHFNISNDEFYSNWKWSQQAKSSSKKGASIHIKVVHSAPAQKLQTMKLKLSNKEIVNFHRPKAKWYPHENKLAAQLQGVASSHGRMTAILMTLGRKGFKLVFNADETPVSVKLKVSKKLEFKPSERIKLFCSGKELQDDISLAMQNVYPNSILHVVRSEVNLWPKAQKLPGEGKPLHPPRAFRKKADLSVKDGHVFLMEYCEERPLLLSNAGMGARLCTYYQKTSPADETATSLQKNSNGLGTVLAIDPADIPPFLGDIHSGSHQSCLETNMYRSPIFPHQVSSTDYLLVRSTKGVLSLRRIDKLYAVGQQEPHMEVLSPGTKTVQNYLLDRMLVYVYREFRARERPGVISQIRADELPIQSPLTDAMVRKRLKHCAELKKGPYGHSFWTQRPDFQVPSEEELRRLLAPESVCCYDSMQAGLYRLKKLGIVNLTHPVGLASAMNQLPDEVMELSAAANIERELQITSWNLTSNFVACTNEDRKNIERLEITGFGDPSGCGLGFSYVKKKSAPAKGTLVTGTDADLRRLSNDAARELLLKFGLPEEQIDKLTRWDRITMVRKLSSEKAISGITINEIPVSKFARRNGMSFMQLQQQTREKCQEIWDRQVESLSAVDHVENGSDTEANSDLDSFAGDLENLLDAEEFDDEDTGKAGLRNDKAEGMRGLIMRRCPTRTQINGEIEDDVEEVSLAKKLLEDDGNDTKGKKQPVDMTNYGTSIYGRGAIKSKQSETGQMIKSYAHSAALTPKGSTATEVQEARNSFAEGRLPLKLKAAMTFDGNDILLVKRSALGMDALEEKRQCGKYGTLICGACGQFKCGDDYPPDTIKASVVFRPPAELGKDIPCKMITIKQPKVLVDQERHVEFLASENKSREDWCDRESGQMNSLHGSRSSLEERSSSNRIIMENDGSLITFKGKRDIQEQMPIETRIHEKREKGLRKAKQKIMEKRKPESGGDALLDHRPYINERRVPEKHRASKRRRGGEVELSNILEKVVDQLRRNTAISYLFLKPVMKKDAPDYFDIVKRPMDLATIRDKVRKMEYRNRQSFRLDVAQIAVNAHAYNDNRHRGIPPLADELLKMCDQLLEESAELLDDAEGAIED >KQL15225 pep chromosome:Setaria_italica_v2.0:III:17936647:17939988:-1 gene:SETIT_021607mg transcript:KQL15225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGGAGEEEEGRAASEEALTADSADEEGRRGSSSSASSEAASSVSYTYTPPDDWQKVAIKTCVSAEVVVAAPGGKDEKPPQRSGDAAADKHSRASEMEMMKERFSKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLLPEKKAMWRREMDWLLCVSDHIVELVPTWQTFPDGTRLEIMTSRPRSDLYINLPALRKLDNMLLEILEGFRDAEFWYVDQGICAPDCDGSASFRRTFHRRDDKWWLPVPRVPHGGLCEATRRQVEHRRDCANQILKAAMAINSNALAEMDVPDSYLDSLPKNGRATLGDVIYRYITSDQFSPDCLLDCLDLSSEYQALEIANRVEASVYVWRRRGGAAGKPASRAGTKLSWGIVKDMIMDTEKRDLLAERAEGLLISLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIIARIDDLLNVDELSKQSDHLPAGGADGKIVCKNSSSKATTVVPASGTPYATAYATPSFSPAQLSSPSKIGRALLVDRRSYHSKGPGAKRATMPKADHAGVEVVKGMLLGSAVFDIPTTAVAAEL >KQL14630 pep chromosome:Setaria_italica_v2.0:III:12494596:12495685:-1 gene:SETIT_025333mg transcript:KQL14630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKPGAASLPDDLIAEILSRVPYKSLCCFKCVSRPWLALCSDPGVRRRCPQTLSLHFHQTLRQRVGQRPAHCRPQLSFLPPGHRDATIYDSCNGLLLCRFKDVPTQVGSRYFVGNPATEKWIDLPDTEPMKRRYPVIRLGFDPAVSSHVRVFPLVHDGDICHRQDRVTGLEIYSSGTGGWTYTPSEWGDGIRVFGNSRSAFFNSTLHLTTLDNSVIAVDTDGKTWRKILTPGACNFDTIGLSQGRLYAVNYGEVWVLEDYLDDIYMAVHAIHPEHSLIFLTAGNMRSLMSYDIDTGKVHAIHTLGENFMHKYLYTPCFSKWLPEGH >KQL12658 pep chromosome:Setaria_italica_v2.0:III:226664:228029:1 gene:SETIT_024995mg transcript:KQL12658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSRRLLQQRLAAAIIVASVTAASGAALVGDSCSAAAASCGAGLRCTTCVPPPGTGPAACARTTPLDPKMHGAALPFNRYSWLTTHNSFAIVGTKSPLGSAIISPPNQEDSVSSQLRNGVRGLMLDAYDFNNDVWLCHSFAGKCIAFTAYVPALTVLKDIEAFLASNPSEVVTVFIEDYAAPGSLSNVFNAAGLTKYWFPVDRMPAPGKDWPLLKDMIADNHRLIVFTSKQGKQGTEGLAYQWDYVVENQYGSQGLVDGRCPSRAESKPMDSTAQSLILMNFFTTNPSQSWACGNNSAPLVSRLRTCYDASAKRWPNFIAVDFYMRSGGGGAPLATDVANGRLQCGCDTIAHCTTTKSGTCAMPSSSAPAASPRAAGAAAPSPGPGPAAAASPSPSMSSSPRAAPAPAAAPVLVGAAPS >KQL13023 pep chromosome:Setaria_italica_v2.0:III:2176734:2177553:-1 gene:SETIT_024217mg transcript:KQL13023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CNACHVVCSPCRDKLKATGKCHACGVATGGYSRCHVMERLVESIRIQCPNAAHGCTVRPAYYDKHNHSQTCVHAPCHCPSEACGFIGSMAALLDHCSGVHSWPCFKGKMTTTAALNASTSSTCTMVFNFLLADHTIDGQSSTSTASRQYLFLLNVARQPLGRAISVLCIHPHATTAGSGSHGPSWNEMIFELSYQGYVKSRPCNGDQVIEHYQKSRFGAACTDLSNGLPSPDSCYQFMVPDSVVADSDKDAIMVTVLIFIN >KQL13405 pep chromosome:Setaria_italica_v2.0:III:4291999:4293515:-1 gene:SETIT_022444mg transcript:KQL13405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLSIAAVLLCAAGAWLLAEARPHGDDMENDFYSIVGYSPEDLGSHDRLIKLFEEWVAKYRKAYASFEEKLKRFEVFKENLKHIDEINREVSSYWLGLNEFADLTHDEFKAAYLGLSHQRPSRTSNSGGGSFRYGGVSRGDVPKEVDWRKKGAVTEVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELIDCSTDGNNGCNGGMMDYAFSYIAGSGGLHTEEAYPYLMEEGDCDQKAGDEKVVTISGYEDVPANDEQALVTALAHQPISVAIEASGRHFQFYKGGVFDGPCGAELDHGVAAVGYGTSKGQDYIIVKNSWGPHWGEKGYIRMKRGTGKPEGLCGINKMASYPTKGQ >KQL17173 pep chromosome:Setaria_italica_v2.0:III:48705312:48706432:-1 gene:SETIT_024760mg transcript:KQL17173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGLPDEILEDIFIRLDSAADLARANAACTTFHRVVSARRFLRRYRSLHAPPVLGSIVSKVGKFHPAEPAHRSAPAARAVARAAVFTFSFLPKPNRWRTRDVRDGRVLLAASASSLEDLVVCDPLHRRYVEIPPMPIDLLACIPPVPGDLDVLEFEPFLAPASEEEESSFRVICNVVSAKKEPSFLQPRNNGDRSLVSSQAVVMEPLALKCLCFYWTHPFRKNLLVLDTGEMKFSFVDLLPKSLGTPLQHLALKHAVVELGEGRLGLLTLGSDGLELYLRDNGADAQEWRHSKTVPMPKDRWCIIIGATEGYVLILQTGSSPHMPDPQYFTLELKTMMIERLSKMCSRISHHHLYANFPPPLSPPNI >KQL15601 pep chromosome:Setaria_italica_v2.0:III:21578727:21579125:-1 gene:SETIT_025538mg transcript:KQL15601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILVFLCLSSHYCHALGFHYAPVPPEAFIGHVQTISAGVG >KQL15692 pep chromosome:Setaria_italica_v2.0:III:22636243:22636748:1 gene:SETIT_025422mg transcript:KQL15692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFMNLTLNNTYFEVLYGFRKAFSKILQILPEKLLSIVLSYPGMYISQYPSNFIYLK >KQL16566 pep chromosome:Setaria_italica_v2.0:III:42528038:42530762:1 gene:SETIT_021430mg transcript:KQL16566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGETTTPPFSSVHVNRRLATANRLMAAVHAALAAAAIAHRALHLHQLSGGVARNAAMVAADLTLLFLWTLSQSGLWRPVSRAAFPDRLLESRRRGDLPAVDVLVVTADPDKEPALGVMNTVVSAMALDYHGGRLSVYLSDDAGSPLTLMAARKAYAFARAWVPFCRRYSVQCPWPDRYFAGDDGQDDGGDRCGEAAEERRRMKKMYETFKGDIEEASKEKSISRSWTKEKRQDHDAYVEIITAGEEEDDDQQGEETMPLLVYVSREKRRASPHHFKAGALNALLRVSSLVSNAPYLLVLDCDMSCNSRSSALEAMCFHLDRSPPAPESLAFVQFPQMFHNLSPNDIYTNDLRYIFATRWIGQDGLRGPLLAGTGFYVRRDALYGAMPSAATSLPAHGAEFSSMETGELVRRFGHSDDLISSVRNLHLQKPPAAGRHRRRLPRDAALVASCAYETGTGWGDEVGFMYQSVVEDYFTGYRRFFSRGWTSAYCYPAPSSRPPFLGTMPTNLNDVLVQNKRWMSGLLAVGLSRRYCPLACRGLLAVSVPQAMTYAHFGFLSLYAFSALCYATLPQLCFLRGVPLFPDAAAAPWFAAAFASSLVQHLVEVSVARRGLAVRTWWNEQRFWMLNAVTAQLFGCVSAVQDTILVYI >KQL16818 pep chromosome:Setaria_italica_v2.0:III:45487362:45490384:1 gene:SETIT_023391mg transcript:KQL16818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAGEDSSSSRKGKEKEDAGARREEAVEVAEGEVDLGDLYGAAAGWVEARTSCPHLGTMPPAGAIDLERVPPPDSPCSRCHHPAENWLCLICKDVLCSRFINKHMLCHYQETGHCLALSFSDLSVWCFACDSYLDVQAILELRPVYEVAHLLKFGERPPFRSLEVLDLSTGENRSSSSGA >KQL14880 pep chromosome:Setaria_italica_v2.0:III:14533966:14535205:1 gene:SETIT_023088mg transcript:KQL14880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTSEKTMTPAAAATGLALGGGGGAGGPHYRGVRKRPWGRYAAEIRDPAKKSRVWLGTFDTAEEAARAYDAAAREYRGAKAKTNFPFPSSSSMPPVATVAAAATGGSRSGDSSTVESFGGDVQAPMQAMPLPPSLELDLFHRAAAAGTHAGASVRFPFNSYPVTHPYYFFGQAAAAAAAGCHMQLKLAPTVTVAAVAPSDSSDSSSVVDLSPSPPAAVAAKKASAFDLDLNCPPPAEAEA >KQL15424 pep chromosome:Setaria_italica_v2.0:III:20002184:20002723:-1 gene:SETIT_024929mg transcript:KQL15424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRLGLLLPLLSLALLLLTVLASDPTAPAVAAAGISGQPSAYEMLEGFGFPRGILPEGVTGYTYRASDGAFEVFMGGDCEFDVDGGYRLTYRRRIYGNVEGGSIRNLGGVSVRMFLLNWGIDRVVMEDAGHLMFYVGPLSQAFPADNFEESPQCRGRRCGGVAAGDVGAAGGVAAM >KQL13102 pep chromosome:Setaria_italica_v2.0:III:2620124:2620547:1 gene:SETIT_025511mg transcript:KQL13102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLKEPRAKPSLFACPNHAYSEAGERHGGPPSYTKLEIHGVNSVHRMRKPRRRT >KQL15666 pep chromosome:Setaria_italica_v2.0:III:22320929:22323238:1 gene:SETIT_023257mg transcript:KQL15666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQARLMGKWIGPAQKIYRAPNELRRCFSAQLRSGHPGGQRGILTVHCPIERLRIQGRLLPARRLLVTKRLGRQDRKTPPISAPPPSPSSSAVAAAASSSPTDRALPRHHRSTGGAAMSQNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLCGFDVYVNMVLEDVTEYEYTAEGRRITKLDQILLNGNNIAILVPGGSPPDV >KQL13469 pep chromosome:Setaria_italica_v2.0:III:4622431:4623432:-1 gene:SETIT_023516mg transcript:KQL13469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIQEEQATPSTDIMWGFSGQSTLTSTTGLKLKEPAPAVSSPGSGSVGAAAASEKNGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNREASSKGERNCNGFFNLLCVFHHSCGDVCGSFSGFFCVPVR >KQL14696 pep chromosome:Setaria_italica_v2.0:III:12998417:13001634:1 gene:SETIT_023277mg transcript:KQL14696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRRNQPQNPTRRKGEEPWLAASLRPANFLPGLAIGFLLGLLLDLSSSWRPKSNPAPAPAPAAATARGSSSKRASGGSFASGGEELKMVLVVRQDLKMGAGKIASQCAHAATGLYAELLSSNRGLLRQWEQLGQAKIVLTCKNQQEMNRLKEMAQNRGIPTFIVADAGRTQVLAGSKTVLAIGPGRKADIDSVTGKLRLL >KQL16511 pep chromosome:Setaria_italica_v2.0:III:41134905:41136555:-1 gene:SETIT_025360mg transcript:KQL16511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTATISMAVAPVVSFVVVWLLRRRQKPLNLPPGPRGWPVFGSINLLAGPLPPHRALAALAAGHGLLMHLRLGSFHAVVASSAETARLVLKTDDLAFADRPPSAMGATMSYGYKGIVQTPYGAYWRMARKLCATELFSVRRVESFERARGGDARVGRGLFESGSAGAGAGVEAKERLLNLTMRNILRMAVGEKWSGCHGSEEGLAFRRALDEAFAVTGAVDNVGEWRVHELFDRFVEQILDEHEGDRRRRSASVGGGEFPARDLVDVLLQLSEEGGGEAEESEPEARLTRDGVKAFVQDIIVAGTYTAAVTMEWAMAELLRRPDAMAHAAAELDRRDLPALPYLDAVLKETMRLHPVAPFLLPHRAREDAVVGGYDVPAGARVLVNAWAVARDPASWPGESNAFRPERFLAGGGAAEGVDEMAATLANLVHGFTWRLPDGVAPKDVSMEECFGLTASRKVPLVAVAEAAGAPISPCSDKNIKI >KQL15461 pep chromosome:Setaria_italica_v2.0:III:20401934:20406998:1 gene:SETIT_021340mg transcript:KQL15461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTRGGGAGGGDPAKAPSASDPSLGFLTKRDTEVKLPRATRVKNKTPAPVQITAEQILREARERQEPEIRPPKQKITDTHELAEYRLRKRKEFEDVIRRVRWSVSAWVKYARWEEQQRDFARARSVYERALDVAHRDHTLWLKYAEFEMRNRFVNHARNVWDRAVSLLPRVDQLWYKYIHMEELLGAVANARQVFERWMAWRPDTAGWNSYIKFELRYGEVERARAIYERFVAEHPRPDTFIRYAKFEMKRGEVERARRVYERAADLLADDEDAEVLFVAFAEFEERCREVERARAIYKHALDRVPKGRAEELYRKFLAFEKQFGDREGIEDAIVGKRRFQYEDEVRKNPLNYDSWFDYIRLEESVGNKDRIREVYERAIANVPPAEEKRYWQRYIFLWINYALYEELDAQDMERTREVYKECLKLIPHKKFTFAKIWLMAAQFEIRQRNLKAARQILGNAIGMAPKGKIFKKYIEIELYLGNFDRCRTLYEKYIEWSPANCYAWRKYAELEKNLSETDRARSIYELAIAQPALDTPEVLWKEYLQFEIDESEFGRARDLYERLLERTKHLKVWISFAEFEASAGLGSEDSESEEKKSEVGYQEQQMERISKCRAIFERAFDYFRTSAPELKEERAMLLEEWLSKEVSFGDLGDVSLVQKKAPRKVKRKRPIPTEDGSTIAYEEYIDYIFPDEVAQAPNLKILEAAYKWKKQKTGDDDE >KQL15927 pep chromosome:Setaria_italica_v2.0:III:25563689:25565008:1 gene:SETIT_024619mg transcript:KQL15927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGPIVPPPPPPPLVLIGSAFVPSPSPSDPRSSSISSSLAIIIVIIITTVTITTCIVILRRGCHRRRLSCSSLSPRRSFSPMAVSSSSAESGMRSAASAAVASAASSVTHSAEGPVKGAELVSSSPVSAVMTMCGVDTLVPSAPSLPAEERLILELLALPAVRMKPGQRMVCIICKHEFLPTDVLLVLPVCSHVFHQSCIVKCLRCTTPSCCPSCYASITIPVPDKTKVAPTFCSDEYDIESQMRMPSPPGAEVAEAVGGSHGWLRSSLDRLSGSWRGCSNNCATAAVVPVSSRRTTGSPSQGSSGRLGNGLDCAKAQQPLPVPASEEGPEAVRASLGWLRSLATLPGSWNGRSSSFSAEMGLPVTSRHVTETLASSGHSITDSWSRRWDLEAATVTPERPSFYEYARSFFRSSGK >KQL12659 pep chromosome:Setaria_italica_v2.0:III:228715:230015:1 gene:SETIT_022669mg transcript:KQL12659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRASCVVVVLLLIIAASNVGGLSVDFHAASCPQLEGIVRGAVQAARAQDVQVTAGLLRIFFHDCLPQGCDASILLDGEKANGPNASLQPRALQLIENIRAKVHAACGPTVSCADIIALATRDAVSLAGGPSFAMPQGRTDSFRPASDDEIATLPSPFEDVGNLLGNFTSKGLADPADLVALSGGHTVGKASCIFIRADDDFSIRLNATCSAGPTKKQSLDVVTPDAFDNGYFVALRNVHGVLHSDQGLAGHPRTAGIVRDFAANQTAFFHQFAKSMVKLGNIKGAAGEIRRNSCFRTNKARLNDGLDILNALIDDAIVAL >KQL16544 pep chromosome:Setaria_italica_v2.0:III:42221752:42223189:1 gene:SETIT_022163mg transcript:KQL16544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPLLWLVLFSSSLTFTTCAGLRLELTHLDANCTVEERMRRAMARTRHQLAWTDAVSAPVHWVRSQYIAEYPIGDPPQQAEAIIDTGSNLMWTQCSGCRSSSTSCFSQGLSIYDPSQSRTAHQPVACGDAACSLGSETRCAGDGQACGVFTSYGAGTITGLLRTEEFTFGSEKVTLDFGCITATRITPGSLDGASGIIGLGRGGLSLVSQLRDTTHLFVGSSAGPSPGNNAPVTSVPFVESPNGDPFSTSTFYYLPLTGITVGGTSLDVPAAAFGLRQVSSGDWAVTLIDSGSPFTRVVDVAYQALRDELAWQLGASLVPPPVGMRGLDLCVARGDAGKLVPPLVLRFGSGGGGGGDLVVPPENYWGGLDDATACMMVFSSSDETTIIGNYMQQDVHLLYDLGNGVLSFQTVDCSSM >KQL17240 pep chromosome:Setaria_italica_v2.0:III:49162764:49163584:-1 gene:SETIT_024071mg transcript:KQL17240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECQAVAAPRPGHPGPLPELPGYLRFRSKVWPLRDLHPRTLASRLPIPAAVDVPPVHVGGKMYWPGEPRFGADAAGILAFDISTDTFEAMPAPPVLLDADGSDRMILSELDGNLCAAHTSRSTETVTVWIRNDGGGWMTQHAMQLEQWPEFSPRSAELVVPVAVDPGDGRRVLLDTGKALGYYDACTGSLETVYSLRSKMLLGDHYRVDHMFFIAAVCEDSLFRPYDRNCRLW >KQL13059 pep chromosome:Setaria_italica_v2.0:III:2342737:2344003:-1 gene:SETIT_023186mg transcript:KQL13059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVVKVYGATASPFVATVLVCLEEVGAAYEVVPLDMAACEQKAPHHLARNPFGRIPALEDGDLTLFESRAISRYVLRKYSNAAGGADLLREGNLEEAAMVDAWVEAEAHQYQPAISHIVRQCVILPMIGGARDQRVVDEHATKLREVLRVYDARLGERAYLAGEFVSLADLAHFGFTHYLMRTEYAALVEERPNVRAWWGRLSARPAVKKVAALMPTDW >KQL13121 pep chromosome:Setaria_italica_v2.0:III:2706472:2711508:-1 gene:SETIT_022340mg transcript:KQL13121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVAASTATRFLPQLSAPLLRRARVALLPSPLPWRPLAVRVAAASRRPGDGEGGRRGRTRRRRARGAEQEEGVSLSSEKEPVNSTPPRAQTNKGTEPVLESSITGKGSAIRRVTLVILAAVLFGISIALRDGSEKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPKEYQNRVLSYGIAGAVVFRAAMIVLGIATIEKFEAVNLLLALILLFTSYKLFAEEEEESDLSDNFIVKTCQKFIPVTDYYDGDRFFTIQDGLGKATPLLLTLAVIELSDIAFAIDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMAELEYLQPSIGIVLGFIGTKMVFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASKEEGDK >KQL13120 pep chromosome:Setaria_italica_v2.0:III:2707877:2711438:-1 gene:SETIT_022340mg transcript:KQL13120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVAASTATRFLPQLSAPLLRRARVALLPSPLPWRPLAVRVAAASRRPGDGEGGRRGRTRRRRARGAEQEEGVSLSSEKEPVNSTPPRAQTNKGTEPVLESSITGKGSAIRRVTLVILAAVLFGISIALRDGSEKASEYFAGYLLEQSLSVDNLFVFVLVFKYFKVPKEYQNRVLSYGIAGAVVFRAAMIVLGIATIEKFEAVNLLLALILLFTSYKLFAEEEEESDLSDNFIVKTCQKFIPVTDYYDGDRFFTIQDGLGKATPLLLTLAVIELSDIAFAIDSIPAVFGVTRDPLIILSSNIFAISGLRSLYVLISESMAELEYLQPSIGIVLGFIGTKMVFDFFGYHIPTEASLAIVTTCLSGGVILSLRKASKEEGDK >KQL14339 pep chromosome:Setaria_italica_v2.0:III:10660721:10661305:-1 gene:SETIT_023783mg transcript:KQL14339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVGVQHQAGVVALLGVLLVLSSALTTAEAGRQLMGRTDGAVVVVTATPTASAAATVKGLRLGKVTREEMEVDDAVGVGESKRRSPGGPDPQHH >KQL17066 pep chromosome:Setaria_italica_v2.0:III:47954102:47957355:-1 gene:SETIT_024601mg transcript:KQL17066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SWRYRRPDDAGEAAAAAGDTSSSFDDMTADFSTDDLMELAWEQGGGGAGAPGSTATMHAAGRVRFDPPSEDEMAAWLRAIVKGEELAFDDGDDGRDVPVKGSIDASTKTMDKKEKQQLPMAEEGMGTKQQETRNTLGGGGSPKRSHSHGEARRLTGKASVLRTLQQLVPGCDKCNQASTLDQTIQYMKSLQHQVQAMSVSPARPAAAYPVVQPQHAPRGAAVAVPMMPAAPVVLAAAPTMVPFRAMIQLPHYPAAAMAAMMPAASAPPLIQRPQRRRRRLR >KQL13627 pep chromosome:Setaria_italica_v2.0:III:5629715:5631238:-1 gene:SETIT_024741mg transcript:KQL13627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAVHVLVFPWPLQGHINTFVPFSNALVDSGVHVTFLHTEHNLRRVRCTAAAPRLRYMSIPDGLPADHPRSVGDISTLMESMWTTGAAAYHALLLSLLSSPTTDEGGEFFPPVTCVVADGIMMFAVDIAEELGVPALAFRTASANSFSAYLAVPRLLERGETPLPVDDPVRGVPGMESFLRRRDLPRLAPAAADGHPTTESYTTPAAGGVHPFLLTVAKGIADCGRARALVLNTAASLEGPALAHISRHMRDVFAIGPLHAMPSSAAPAAAAGSLWREDDGCVAWLDGHADRSVVYVSLGSLTHISHDEFTEFLLGLLATGHPFLWVLRPDMVGAAEEEALRRAVGDGTKVRVVGWAPQRDVLRHRAVGCFLTHSGWNSTMESILEGVPMVCWPFFADQHINSRFVEAVWGAGLDMKDVCDRDTVRSMVTEAMESGEMRRSVQALAQRVQRDVTTGGSSETEFKRLVGFITELSTMNRNQAKSIPGEDTRREACI >KQL14794 pep chromosome:Setaria_italica_v2.0:III:13914707:13918346:1 gene:SETIT_024556mg transcript:KQL14794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQQSVNAGKAKVDMQIDLTHMLCEALLLPPLRSSGVALSQVVGRISLKHPSLFGRSEKLDVILDKGINDSNFVVAFRRPRPEWLSQQSFVIQHSMTPEVAVHGFPADNFTRSGSRGINLSRLSFGLELNEPATSNWTSGTSFKFEHIRPVNNQGRSIARDHDGFPLTCSGNLHDNMIILKQESGYADVNDNSFLKVNFQMEQGLPLVPKSLTFNRVKCAVSKGIKLGPTFLVTSLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRLCLVANCEYTIPLAKHLEGSIFMDCGSDLGSARHVPGNPALRQGKPGFGAGFGYGLHFNTDLGQIRVDYAMNAFNRKTIYFGINTSGGS >KQL13470 pep chromosome:Setaria_italica_v2.0:III:4644038:4645844:1 gene:SETIT_022650mg transcript:KQL13470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLCSACEAAEASVLCCADDAALCARCDREVHAANRLAGKHQRLPLLAPGGQNAAAAAAAVSPPKCDICQESDAYFFCLEDRALLCRSCDVAVHTANTFVSAHRRFLLTGVQVGQELDDPAPEPPETSPPPPTKSDPAPPLFGESDFSWAAGVTGTLADWSVVNEQFGGSPAPRHAEAASRATPKRSPRAPAFGAGQARIAGGVMDWPLGEFFRGVSDFNGGFSFGESGTSKADSGKLGGSAGGSPYYRSSSEDRDANELFGQVPEIQWSVPELPSPLTASGLHWQHGGGPDSTAFVPDICSPDSAVRCFPTAAAAAAAKRQRNR >KQL13503 pep chromosome:Setaria_italica_v2.0:III:4840201:4842436:-1 gene:SETIT_021474mg transcript:KQL13503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNVLALLGLILALASVVGMASGAGGHDYALALKKSILYFEAQRSGVLPPNQRVTWRENSGLFDGKANGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWGILEYGKQMAAAGELRNAMDAVKWGTDYFIKAHPEPDVLYGEVGDGDTDHSCWQRPEDMSTSRQAFRIDPQNPGSDLAGETAAAMAAASLVFRNTYPGYANLLLEHSKQLFTFADKYRGKYDASITVARNYYGSFSGYGDELLWAAAWLYEATEEGCYLEYLVRNGDALGGTGWSINQFGWDVKYPGVQVLAAKFLLQGRAGAHAAALQRYRQNAEFFVCSCVGKGAANVPRTPGGMMYHQRWNNLQFVTSASFLLTVYADYATKLPGGGGAVRCPGGAARPFEILAFVRSQVNYILGDNPRGTSYMVGYGRSFPRQVHHRGASIVSVRRDPSFVSCQEGYSSWYPRQAGNPNVLEGAIYDDFADERNNYEQTEAATYNSAPLLGVLARLAGACGTGLEEYQLPPAANQTSPPPPAHRRRPHRHAAQSSPIEIEQNVTRTWAMRRATYSRYSVTVTNRSRKTVRELHLGVSELRGRLWGLDKARYGFVPPKWMAALRAGESLRFVYVQPGETPANVWVTGYKLV >KQL13859 pep chromosome:Setaria_italica_v2.0:III:7278988:7282331:-1 gene:SETIT_021600mg transcript:KQL13859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEMEMAGGGVEDAYGEDRATEEHLVTPWAFSVASGYTLLRDPRHNKGLAFSEAERNAHYLRGLLPPALASQELQEKKIMHNLRQYTVPLQRYIAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIYRRPQGLYISLKDKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPITIDVGTNNETLLNDEFYIGLRQRRATGQEYHELLEEFMTAVKQNYGEKVLTQFEDFANHNAFDLLAKYSKSHLVFNDDIQGTASVVLAGLLAALKVVGGTLADHTYLFLGAGEAGTGIADLIALEMSKHSETPIDDCRKKIWLVDSKGLIVESRKESLQHFKQPWAHDHEPLKTLLEAVESIKPTVLIGTSGVGRTFTKEVVEAMASFNERPVIFALSNPTSHSECTAEEAYTWSQGRAVFASGSPFDAVEHEGKVYVPGQSNNAYIFPGFGLGVVISGAIRVHDDMLLAASEALAEQVTDEHFAKGLIFPPFTNIRTISARIAAKVAEKAYELGLASRLPRPDDLVKYAQSCMYTPTYRSYR >KQL15605 pep chromosome:Setaria_italica_v2.0:III:21598014:21602954:-1 gene:SETIT_021099mg transcript:KQL15605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTKYKRPRSCLHTNYNGKTELKSRLPIAESTAPPATRPSTPQANAKINRRGRLQRSSLLSTAKSRPGLPHGSVQFKNRILPVAPLLPQAPIPKSPARLLLASPRPQTRRGPACGSPPPPTPQIAAMEPDPVAVGAPSSPPAASSPPQLQPRDDEERGALECADPASLDRCTAGGDSKTDAPPSPMPATPQPSPQQPAGEDAAASCEVEKEQEQQQQEVVVPGVGEALRNFMEEFGDQGENSLVLSPRLKEIATPDRPAALRFLGEKYNSLMERYKQQVAKCADECTPRYEYDGLKKKYTDECAERRRLYNEIIELRGNIRVFCRCRPLSSDEVTRGCSSVIEIDPSQETELQFVPSEKERKAFKFDRVFGPEDDQEAVFVETVPVVRSVMDGFNVCIFAYGQTGTGKTFTMEGVPENRGVNYRALEELFRMSEKRSTSVTYTFSVSILEVYNEKIRDLLDESNDQSKRLDIKQSADGMQEVSGLVEAPICNIDGVWEKLKFGARNRSVGTTNANELSSRSHSLVRVTVRSEHLVTGQRSRSHMWLVDLAGSERIAKTGVQGDRLKESQFINKSLSALGDVISALASKNSHIPYRNSKLTHLLQSSLGGDCKTLMFVQISPSSTDSGETLCSLNFASRVRAVEHGPARKQADPAESLKFKQMSEKLRHEEKENAQLNQSLQLMQLKYTSRENVFRTLNEKVKDAEQACRNYQQRIRELENELGNERKAARDSARSSRPPLVPMRQRQPQGRNNNYPPPSGPSRSRFSKAPAGQNKENIPVMTNKAHLGADNKAVGKARRVSLTPVIRQIPIQPKRRSSMAILPSLSEQLSVLNEKRAASRLSHVYVPRRSVAAFGSIPSTPLAGHGAVDATPDGAKLRRIDFGSSSKFTSPPPPFGMLNKLLTPQHKQGMAPAGGPGNTSRLCFSIQKKVAVSLNSPGRAKPSVPSGTGIFNPALREQMVVGRTGNALRVLNKRRQSVI >KQL14066 pep chromosome:Setaria_italica_v2.0:III:8511357:8514345:1 gene:SETIT_023030mg transcript:KQL14066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGSSSSGGRSGRRVDYGRTYVVRPKGRHLATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAATRPVAAFGGFPCTAWFDVEDTSIDGRDDTEGLDASAAHIANLLSSEPSDVKLGIGGFSMGAAVALHSAACYAHGKFTSGIPYPITLNAVISLSGWLPCSRTLRGKMESSHISTRRAASLPILLCHGRVDEVVTYRNGERSAEILRSSGFSYLSFKPYNGLGHYTIPEEMDDLWKWLSSMLGLNRSR >KQL14447 pep chromosome:Setaria_italica_v2.0:III:11269637:11271391:1 gene:SETIT_022442mg transcript:KQL14447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSLFKQLGLTGAGSPLSGRHLLLILLGAGFLAFTVFIVHPNEFRIQAFFAGSCSRPGTDAAAVASPPAKAAAAAEAAGAPAPAPDDDDGDVRLLIGIQTLPAKYERRHLLRAVYSLQVREHPSLAGRVDVRFVFCNLTSPDDAVFVALEIMRYGDIIVLDCAENMDNGKTYTFFSTVARAFDAGAGGRRPPYDYVMKADDDTYLRLPALAASLRGASREDAYFGLQMPCDRENFYPFPPFMSGMGYALSWDLVRWVSGSDLARREQDGPEDMWTGRWFNLAGRAKNRYDAAPRMYNYKGASPDSCFRHGFVPDTIAVHMLKDDARWAETLAYFNATAGLPRSGHLYHLPPAAAAGRP >KQL15834 pep chromosome:Setaria_italica_v2.0:III:24366862:24367386:-1 gene:SETIT_024012mg transcript:KQL15834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEHTQLRFRSQHLQRVRSQDSSLSIAYTRLLSCNSMLQVGTITSLFTE >KQL13504 pep chromosome:Setaria_italica_v2.0:III:4851955:4854302:1 gene:SETIT_023264mg transcript:KQL13504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLVGGGAARGAARLGAGDPAAVANGGGEADHVRRLHRHAPADHQCTSTLVKHIKAPVHLVWELVRSFDQPQRYKPFVSRCVVRGDQLEIGSLREVNVKTGLPATTSTERLEQLDDDEHILGVKFVGGDHRLQNYSSIITVHPESIDGRPGTLVIESFVVDVPDGNTKDETCYFVEAVIKCNLTSLAEVSERLAVQSPTSPLEH >KQL14481 pep chromosome:Setaria_italica_v2.0:III:11484000:11486820:-1 gene:SETIT_022172mg transcript:KQL14481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSAWALALALAVLLACSDVAVVTAQDTERIEGSAGDVLEDNPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIQLIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQTHLIPPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPRLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGDNVFLKPGERILNWTAGPPGDLKPW >KQL14695 pep chromosome:Setaria_italica_v2.0:III:12984894:12986827:-1 gene:SETIT_022327mg transcript:KQL14695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAAECAGGARVKKEADLFLVDDLLDLPCDEEEEVQEAVVEEGEGEGGKAGVCGSGAGGEEGAAGNASNDSSTVTVLDSCSNSLSGLADGDFSGGLVEPYDQLAELEWLSNYMGEDNFPTEDLKKLQLITGIPPASSSAAATASVPAPAAAAAPPAGGVLPPEAPVPGKARSKRSRIAPCSWASRLLVLPPPPASPPSPASAAISPSESGTAAPAFPAKKPSKPAKKKEPLTTPAPNAAAAAAAAASAGEGRRCLHCETDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVVSKHSNSHRKVLELRRQKEAHLHPHHQYQPQPQAMGHVGAGAAGGLMHAPSPLLFDGPTGPLIGDDFLIHNRIGPDFRQLI >KQL16249 pep chromosome:Setaria_italica_v2.0:III:35159435:35161081:-1 gene:SETIT_023254mg transcript:KQL16249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAYAPMASQVMKSGLVHSRPRGLSGAALTRRPRFTVKAIQPEKTTYQVVQPINGDPFIGSLETPITSSPLIAWYLSNLPAYRTAVSPLLRGIEVGLAHGYLLVGPFALTGPLRNTPVHGQAGALGAAGLVTILSVCLTMYGVASFNEGDPSTAPTLTLTGRKKEADKLQTADGWAKFTGGFFFGGISGVVWAYFLLYVLDLPYYFK >KQL16561 pep chromosome:Setaria_italica_v2.0:III:42421346:42422345:1 gene:SETIT_025734mg transcript:KQL16561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPATAGGGCHLFNSLYAYHFLSTLIYIAICPEHLLQIPCLIENCDFLEIEGIDQCHCN >KQL17075 pep chromosome:Setaria_italica_v2.0:III:48078438:48078818:-1 gene:SETIT_024006mg transcript:KQL17075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPIPCTIDLVREIADSSSRRKEEKCLIAFLISLFVRSSFSTPLNASAAPL >KQL15301 pep chromosome:Setaria_italica_v2.0:III:18776940:18777630:1 gene:SETIT_023606mg transcript:KQL15301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KQL12857 pep chromosome:Setaria_italica_v2.0:III:1287342:1288733:-1 gene:SETIT_022132mg transcript:KQL12857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSGGILAISIVATITAGIVAVVCIVAIYMCAKVAVKMYLRGNGGDSRDRVASFTGEPGSSGGAGETDDVEMGSMSYFFEDIQKERPVRFSSQQLRAFTRNYAHKVGSGGFGVVYKGRFPNGAAVAVKVLNSTLGKRAEEQFMAEVGTIGRTYHINLVRLYGFCFDAAVKALVYEYMENGSLDGYLFDPPPERKVAFDKLHEIAVGTAKALRYLHEECAQRIIHYDIKPENVLLGAGLEPKVSDFGLARLCDREDTHLTITGARGTPGYAAPELWMPLPVTHKCDVYSYGMLMFEMLGRRRNLELGLHGRESQEWYPRWVWHRFEAGDTDAVVARAAAVTGDRKEREKAERVCKVALWCVQYRPEDRPSMGSVVRMLEGEDQIVAPCNPFAHLAPYNSSATQQSGDTTTTAGSYGSSDHVPSAR >KQL14684 pep chromosome:Setaria_italica_v2.0:III:12936899:12939721:-1 gene:SETIT_022962mg transcript:KQL14684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNQYDTDVTMWSPAGRLFQVEYAMEAVKQGSACVGLCSQNHVVLASVNKAASELSSHQRKVFRVADHAGVALAGLTADGRVLSRFLRNECINHSLVYEAPLPVSRLALRLADKAQVCTQRSWKRPYGVGFLVAGLDETGAHLYYNCPSGNYFEYQAFAIGSRSQVAKTFLERRFKGYKDYTPEQLIKDALSAIKETLQGEKLTSSNCTVAIIGQKADGTIEPFELIDAKKIQETIDSMEAAEAAPTEPSSMQEEGRGSDAAPMDI >KQL16496 pep chromosome:Setaria_italica_v2.0:III:41051574:41056632:1 gene:SETIT_021052mg transcript:KQL16496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVKHENFCRAVVEDNTALLLSAVGRFRKEALCRIRKGSDASRVLDQEMSTRLLHLACKHDAVECARLLLEGGSGITAAPVDARDQLTRTPLHVAAETHSARCIELLLSKNARTDLRVVDGRPLLPLEIALMSRRVQTNWSLDNPIEDLLSFLQGRDLSAVRLLAEKTRVVGELAYRYAMEGRVPSLAMLLLVVEEKILAQVSVVIEGVRTKRSIYNAVVDEALSMGDASTRDGNERRKALLCEIQLLNQFGSASWRELSDRRTLPPLLRAAKVGDMNVIKMLLMGNVDVNEADSEGNTALHWCLSGGSSTQEPRIVWLLLKNGARVFQGNKLGLTPVHSAAAKGNYKALQSLLLHAQDCVDTPTKTKETPLFFAVKNGSVDCVKLLLRFGADTKARNLRKQRPIDVATSQDMRFVLSSANVAPWKHNSPQKNHVMRKEICKELLGDEFDDLYNDDYSESYTGLKTSVGQRDLRSSNRSTQGPKSKSQYVPKQGQGSKFVPRNNHWPKHDYTRKIFVGGLPPSVDSDFLIEFFNAEFGPVEEAVVIGIPIGNRLQSRGFGFVKFEREKDMISAKEAHHVYMLGKRVEVKDAVARADLPLEEQRATSLRKFIKERPKVTHSVLDGELTEEHNIRKRRPLPEKCLPSWFFIFRKWLPGFLEDATERLGGERYPLSSLKGDFRATCRMELDHTALGYPKLSDFMRSLPGICRMCVVPVGSGPATHMVLFPPLSRPKYVPLLEPYSFDRDELPESVSDHHSPRSPLNTNITDDSPHKTDSQQGDDACSATNVQIQQSDDACSKSNAQSQQGDGSSRSSAESLLDGSSSDNGSLLDDIPVSTTKLDLVEPVPAGKPEVIERVPARRPDVIECVPTRKPDLTDCMPTRMPDLIQYGSLPRNNESGPVRKPNLLEFGPTRKLDFIQSRPSTCFIDSPVERPAVPPSSCEAEMRFSFFQSQWDKYLTPYPKSDSCIICRSCEATMQLVPCHHKICVACMMRCNVRACMACGTSGVMESVPDQRCQLMVVCRGAEAIVRCSPCMHTIACRGCFLASVTLLKTCTTCGCMIQHFMFG >KQL13238 pep chromosome:Setaria_italica_v2.0:III:3356171:3357542:1 gene:SETIT_022615mg transcript:KQL13238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTRAHQLPVHLVAAAVIVAWACCGFRSSEAQLQVGYYNYTCPGAESLIETIVHAAVRKDAGNGPGLIRLFFHDCFVRGCDASVLLDDPTGTPGNATVEKTAPPNFPSLRGFAVINRAKRVVERRCPRTVSCADIVAFAARDAARIMGGIRFAMPSGRLDGRVSSASEAIANLPPASFNLTQLVARFASKNLTAADVVTLSGAHSIGRSHCSSFSGRLYPQLDPAMNATLGAALRGRCPAATGRRDRVVDLDFKTPLQLDNQYYRNVQTHEVVFTSDQSLVDRNDTAALVALYAANRKLWSQQFAAAMVKMGSIEVLTGPPGEVRLKCNKVN >KQL14483 pep chromosome:Setaria_italica_v2.0:III:11491331:11495586:-1 gene:SETIT_024736mg transcript:KQL14483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGLGLATSFLPGHDTLLRRRRRRPASPAAASFRPVTAELGGVATELGRQLVEAVGVGLPCTVMQCGDVIYRSTLPRNDGLTITAPGVALALAAASYLWATPGVAPGFFDMFVLAFAERLFRPTFRKDDFVLGKKLGEGAFGVVYKASLANPEAAEKQGDVVVKKATEYGAVEIWMNERVRRACASSCADFLYGFRESKVKGKGAEEYWIIWRFEGEDTLYDLMQSKEFPYNVETKILGGVQNLPKGIGRENKIIQTVMRQLLFALDGLHSTGIVHRDIKPQNVIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSVPVATTLSPVLWQLNLPDRFDIYSLGLIFLQMAFPSLRTDSSLIQFNRQLKRCNYDLEAWRNLVEPRATAELRRGFDIMDLDDGIGWELLTSMVRYKARQRISAEAALAHPYFNREGLLGLSVMQNVRLQLFRATQKDYSEAARWVVGLMARSGTEDVGGFTEAQLQELREIKPKKGSAQRNVLASLLRVQRKIVRTINESMDDLTSQRKSIWWSRWIPREE >KQL16842 pep chromosome:Setaria_italica_v2.0:III:45925639:45926164:-1 gene:SETIT_025482mg transcript:KQL16842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHLEKVVLMLWSTPSKLTLELLLGCRFTLPPLFLY >KQL14773 pep chromosome:Setaria_italica_v2.0:III:13767278:13768376:-1 gene:SETIT_024651mg transcript:KQL14773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPQPGDPAASTPADDGLVAFLRMKLAGEALPAAAGTHFHDCDIYAADPATLTAGYRPAPVRKGEGGSWFFFTHVRPKSSSDSRKKRVVGGGAGTWHSERAPRAVLDGEGNCVGHSQYFSYKRKNGKNSSERTDWYMVEFTEGQEGDHERIHGGEPVLVLCKIYRAHSGSRSSSSSSRSARKRKATEEHADANRSAPESQWSRLDWNRRARLQCSNKRIVMASSVMHWINSSSGHRRVMHQIISSSLPIQRRHRNRSAPGSRWPVIHWMDSSSGHRRARWTVHQIISSSLPIQTCRRRRARQALHVLACSCPKQRCLRVTSVVHWMISYSDPNQSRCRV >KQL14976 pep chromosome:Setaria_italica_v2.0:III:15277558:15278074:1 gene:SETIT_025807mg transcript:KQL14976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQHLLVASVTASPALPPRGHGHPRRVELSSMGPRRRAGCRREEQGRGRRIFAQARPAQGGGRASRLLCGGLELGRRRERATGDGAWHPAWGGVGRRGVPIGPRPPGSSTLCGASAASSSPVSRHRLELARSLVATATSTCSAA >KQL16843 pep chromosome:Setaria_italica_v2.0:III:45922989:45928012:-1 gene:SETIT_022685mg transcript:KQL16843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASLAASLPIAAAAARFRFGVRFRGMASASAAGAATGAPARVGVVQMTSVGDVNANYATCSRLTKEAAASGVKFLCFPEVFSFIGSKDGESVKLAEPLDGPIMQRYCSLAKESGMWLSLGGFQEKGPDDSHQYNTHVLIDDSGKVRSSYRKIHLFDVDVPGNMVYKESRFTTAGDTIVAVDSPFGRLGLTVCYDLRFPELYQILRFKHEAQVLLVPSAFTKVTGEAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDSIIIDPWGTVIAQLPDRLSTGFAVADLDLSKVEAVRTRMPIAEHRKFDSDWKSLTHQ >KQL16450 pep chromosome:Setaria_italica_v2.0:III:40200473:40200543:-1 gene:SETIT_0251344mg transcript:KQL16450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSDGSTERRAGESFRTVRIPTNI >KQL14335 pep chromosome:Setaria_italica_v2.0:III:10636764:10637318:1 gene:SETIT_023713mg transcript:KQL14335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITSITAPDTKKNKSPQASRLQPCISSQTPTNPRQRKLTRNLHTKLEARQLVRSCYPNGSETTQQRIPKPKLQKRTTNFQIRSHAPGTDDNPQLSERGSKLHPSPNA >KQL12906 pep chromosome:Setaria_italica_v2.0:III:1568005:1573711:-1 gene:SETIT_021275mg transcript:KQL12906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHQIQQHAISTSRTESHHNGYHDQYQQPAGLPAEVTEPLHPPVPQSSNDHMPFPMTGQQRETNHLLDRGPMVSPAQTFGSFPSTYEQEVSYNYSSAPGNGNNMLQYPSSQGQSFSTASTVQGGFPQAPLTELPPVGHERQSVDPSDQPLEFNSRKAPDVAVHTNVNSTIPAAPTLGTNYDTVATSTHSWTPSATVGFLPRAPLPPQAAQMDPHAAPLFGAASSSNYAPPAAFGVGSVTEVFPTDPNTPFSVAEKSKKRPVPNWLREELLKKKSAPLSASAQHPTNLNSTESDNAEEPLGKPGQSDSRSNDSAKSTEDNEDDEDEIEATRMAAINQEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSAEANGPTDVSGSKEPGLGVSRTRTSAKVVLPAKPANISSSDHKGSTGLSSPKGALLGLASYDSDDDDDDDNGGDGKDKIPMSGLSANAGAANAEEGDKSNIGKQRVNQNEKVSSLGKSTQMSTSAEPEQVHIHDTQNGEFPLDAKTFIEPKGAVDKMDDKAHRYAAVDIQNRKTSSGSNTEKYNDLESSHRHLERSSKEDLVKEVQTDDTKELESSTAEKYNNDKYGTYGNVDKKSSFKEGKGSGRIAKHESDRREPHSRGNSKHDGAKEDRKDFPKDTRERDRDTTDRRGGKGKDEKDERSRQMTKRSTNHSRSSRSRSPRGRSRTRKENSSHVRGSVSSDEPSDSVKKRKHHSRKNSLSPSPPKSRNRRVSRSPHSKHSHRRHSPYSSANRTRRSRSRTPAKRR >KQL12907 pep chromosome:Setaria_italica_v2.0:III:1569570:1573649:-1 gene:SETIT_021275mg transcript:KQL12907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNHQIQQHAISTSRTESHHNGYHDQYQQPAGLPAEVTEPLHPPVPQSSNDHMPFPMTGQQRETNHLLDRGPMVSPAQTFGSFPSTYEQEVSYNYSSAPGNGNNMLQYPSSQGQSFSTASTVQGGFPQAPLTELPPVGHERQSVDPSDQPLEFNSRKAPDVAVHTNVNSTIPAAPTLGTNYDTVATSTHSWTPSATVGFLPRAPLPPQAAQMDPHAAPLFGAASSSNYAPPAAFGVGSVTEVFPTDPNTPFSVAEKSKKRPVPNWLREELLKKKSAPLSASAQHPTNLNSTESDNAEEPLGKPGQSDSRSNDSAKSTEDNEDDEDEIEATRMAAINQEIKRVLTEVLLKVTDDLFDEIATKVLNEDDSSAEANGPTDVSGSKEPGLGVSRTRTSAKVVLPAKPANISSSDHKGSTGLSSPKGALLGLASYDSDDDDDDDNGGDGKDKIPMSGLSANAGAANAEEGDKSNIGKQRVNQNEKVSSLGKSTQMSTSAEPEQVHIHDTQNGEFPLDAKTFIEPKGAVDKMDDKAHRYAAVDIQNRKTSSGSNTEKYNDLESSHRHLERSSKEDLVKEVQTDDTKELESSTAEKYNNDKYGTYGNVDKKSSFKEGKGSGRIAKHESDRREPHSRGNSKHDGAKEDRKDFPKDTRERDRDTTDRRGGKGKDEKDERSRQMTKRSTNHSRSSRSRSPRGRSRTRKENSSHVRGSVSSDEPSDSVKKRKHHSRKNSLSPSPPKSRNRYLIHAPFCNARNPFSILLGI >KQL14667 pep chromosome:Setaria_italica_v2.0:III:12776803:12780604:-1 gene:SETIT_025107mg transcript:KQL14667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERMVATSWLLLIGLAAAATAVVLQARAQPDSIGFISIDCGLPGTANSVDDATKLSYAPDAAFTDAGSNENISVEYVTPTLAKRYLNVRSFPDGERNCYTLRSLVAGLKYLLRAEFRYGNYDGLNRPPIFDLYAGVNFWSRVNVSSPDGLESLEAIVVVPDDYVQVCLVNTGSGTPFISALELRPLKSSLYEQANATQGLVLFARRNFGPTDATDIIRYPDDPRDRVWLPLVNTAMWDVISTTNKVQNLDKDPFEAPSKVMQTAITPRNGSDNITLFWDSEPQPRFPTPGYILILHFSELRLLPSNAVREFLIEVNEVLWRTSLGSTSIRPDYLYSDSFYRTAPLLAAARYTVHINATVNSTLPPFINAIEVYSVIPTTNAATDSSDVSAIMAIKAKYGVQKNWAGDPCGPKTFAWDGLTCSYAISSRSRITAMDLSHNNLTGSIPDALSQLPSLTVLYGNNPNLCTNADSCKPPKRKSKLAIYIAIPVVLVVLIILVIALLFLFLRRKKQADVCCMCHSGSTSTNHNTVKPQSEMPMSYASAPLPQGDTYTQSSLHLENRRFTYKELEMITNNFQDDDRGNQIDG >KQL13212 pep chromosome:Setaria_italica_v2.0:III:3198153:3199476:-1 gene:SETIT_023782mg transcript:KQL13212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINILSFHQSGHLASCWPINSSSAVSFDKTCMNKSTATGPDHAATKFSSVPGSSSSNSESLIRPGSLRLHSCLSSRVASPHPDQFFQLSVSMGV >KQL17360 pep chromosome:Setaria_italica_v2.0:III:49961026:49961547:-1 gene:SETIT_024067mg transcript:KQL17360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSVVVFLLLAAFVAGASAATFTIKNNCPYTVWPAATPVGGGRQLNSGQTWTLDVPAGTSSGRIWGRTGCSFSNGRGRCASGDCGGALSCTLSGQPPLTLAEFTIGSGDKQDFYDISVIDGNGRNLQCRAPRCPDAYLFPSDNSKNHPCRGNSNYRVTFCP >KQL17260 pep chromosome:Setaria_italica_v2.0:III:49321904:49324469:-1 gene:SETIT_022811mg transcript:KQL17260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVGMERTACKRPRAALDGGSANAAAAWRTCRVARAAAGGKDRHSKVVTARGLRDRRVRLSVPTAIQFYDIQDRLGVDQPSKAIEWLIRAAGAAIDELPSLDCSFALPAAGAASSPPAAGDDAEVSTSETSKSSVLSLANAPADNASASAHQANHAYNGNAGGSGGAFAELLHCSNDSKPMQQQQQPTLAYYAAQLPSSHAAPAMPFETMPQLAFLQEQPHPAVGFDRGTLQSNAAIAAPLWPPSQQACFLQRFAAAPADAAGLPFFLGGGAAAPPVTVNAEPRLQLWDFKQERKT >KQL13343 pep chromosome:Setaria_italica_v2.0:III:3940659:3941674:-1 gene:SETIT_024470mg transcript:KQL13343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMCSRNKDGEQIIIRAGGAAAAAAPATMRKGPWTEEEDAQLVRFVRLFGERRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLRRGRITADEERLIVQLHAQWGTRWSRIARSLPGRTDNEIKNFWRTRTRKKALEERRHGGDKTATAASPLSSSVTTASCCPGSPTTPSSSAAASSDDSALREGSGSGSGGDDAELEEASTATAASQHQQPQEYCCTMDQLWNEIAAADAAASYVLDGWGAAGHCYYGAAAEPPPMPSSPVWEYSSDYSLWRIDDEEYYRETMLDAS >KQL12603 pep chromosome:Setaria_italica_v2.0:III:18273:18812:-1 gene:SETIT_023695mg transcript:KQL12603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAEAPAGDAGSGEKIFRTKCAQCHTVERGGAHKQGPNLHGLFGRQSGTTLGYAYSTANKNMAVVWGEGTLYDYLLNPKKYIPGTKMVFPGLKKPKERTDLIAYLKESTA >KQL13215 pep chromosome:Setaria_italica_v2.0:III:3213186:3215936:-1 gene:SETIT_021584mg transcript:KQL13215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMSKLLTPPPSRTAATSRRLIPPRTSAAASFARSPTGRRAAATPYSLVSGRRFAASCASADRAGTTGLAPAAAAEELDDLPFVQLSSDILQTELSLLKDDDALAALQRSDGGGGRLLGETAAYPAAMTALYAACLAGNVTEQLWNFTWPAAIATLHPSLLPVAVLGFFTKLVVFAAGPLVGDLMSALPRIPAYRSLTVIQTAAHWVSAAMITYAFTLPRASTAPALLLQPWFAVLVASTAVDRLSCVSLGVIAERDFVVQLAGEGRPIALARANATLSRVDLLCETAGASIFAVLLSRSDPLTCIRLSCAISLCALPLLLFLGGAMNRLADGIFDHSASLGPLERSEHGSTHAASALSIRNKVEDAWATIRHGWTEYLRQPVLPASLAYVLVCFNVALAPGALMTTFLIHHGVSASVLGAFGGSSAVMGILATFMVPNLVKELGILKAGAAGLIAQSTLLGAAVLVFLTGPVSRQGTLFAFLGLIVASRLGHMAYSVIGLQVVQSGNPMGKAKLIGATEIAVASLAELTMMGVAVVARDAAHFGWLAALSAASVAAAACLFCSWLANPTDELKRLFPR >KQL13265 pep chromosome:Setaria_italica_v2.0:III:3478433:3479095:-1 gene:SETIT_024897mg transcript:KQL13265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAEHGDQAFGHAGGGRRRSEIKEVDFFSTGGAHRRNDDDGNGRDGRSREAGALGRGNTTVNTALDLLTTAAATPVNAGEGAAAGAASDHNKQMAVATVEGELRQAGEENRRLRRMLDDLTRSHSALYHQLIQAQQHQQVN >KQL15658 pep chromosome:Setaria_italica_v2.0:III:22275969:22279202:1 gene:SETIT_024749mg transcript:KQL15658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASEGGEGQLKTWVSDRLMALLGYSQGIVLRLVLRLARECASAGDLAARLVDLGGFPSSPDTAAFAADVYGRLPRPSKQGAAGVGEYQRQVQDAAALARKQSEFKLLNDDVDDEDAGVAAASCNSSSGKRFRKKGVTHDHEDEEEGAALSDSDRKVRRRRCPESDEDAGDTDEEEEMRRDQADRAQLERNIRARDEASTRKLMDRKPSKREQDERARRSEAMDRGDTSELRRSSRRAYLEMRKKKKVEELRDEIVDDELLFGGVRQTDAEERELKRKKEIYGLVHGRASQEEEGAGDYYRMPDAYDDAANVDQGRRFSVARRRHDDDADAGGGKGRAFSEESWEEQQIRKSHLQFGAKDRGHASDEYELVFDDAIEFVKSLAMAGTEPEDDTDELAEEIDAKVMLQMELQDQRKTLPVYKFKDELLKAIADHQIIIVVGETGSGKTTQIPQYLHEAGYTANGRKIACTQPRRVAAMSVAARVAQEMGVKLGHEVGYSIRFEDCTSEKTVVKYMTDGMLLREFLGEPDLGSYGVVIVDEAHERSISTDILLGLVKDVARFRPDLKLLISSATLNADKFSDFFDMAPVFKIPGRRYKVDIHYTVAPEADYVDAAVATVLQLHVTQPSGDILLFLTGQEEIETVEEILRRRTRGLGTKIAELVICPIYANLPTELQAKIFEPAPPGARKVVLATNIAETSLTIDGISYVVDPGFCKVKSYSPRTGTESLLVQPISKASADQRAGRSGRTGPGKCFRLFTEHSYNKDMEDETVPEIQRSNLASVVLSLKALGINDLVSFDFMDPPASEGLLRALEDLFALGALNSRGELTKTGRRMAELPLDPMLAKAIVASERYGCSEELLTVASMLSAGNAVFYRPKDRALVADAARQRFNAGGNAGDHVALLNVYTEWEQSGHSAQWCLDHFVQSRTMRRARDVREQLEALMERVEIERRSCAGDLDTVRKAITAGFFRNTAQRRRDGFYRTLKSWRTVFVHPSSGMARVVPPPRWVVYHELVETTKEYMRQVTELKPEWLLEIAPHYYQESNLNKPEPKKAKAHVQGAAVAAEKPSLSLKDFFNV >KQL16383 pep chromosome:Setaria_italica_v2.0:III:38764876:38767316:-1 gene:SETIT_021467mg transcript:KQL16383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFKVNGHHIDNNNEHLIPALKIFYVMVFLQGISYIMACMLETAFSFLFRRLLAKECGLGEEPEMKSINLYYEDAYDKCMQDGVLSQEDLKLVRFAVDSLSSNSHIQNLASVRILYSLLKKTETSKSNTGLLVSEITTSNNSVATLISMLGWTEPEDEGIRLFAAEVIAKMADNLWIVGVPGTMQMVSSLLDYDPRASEVCINMEVPGYLPPSRILDLSEQQTENNVLNTQNKFLQRVMNLISIPNEDKEFWITKDSFPSQALEILEKLAHDHDNCAEISRATGLIAKIIGFMSSTIDTTNIPKPQKELWTTSSLKLIKKLASTNGEIGKVLRQKISEQPLILSNFAEVLEDSCSSKDQMELVLEILAKLAIDMEAREDIFSFQVFITKLVNAFLGREQQPSVRNVAGEALSLLSMGNASKFSAILEAIGPSFIDLKDMLLHDEYTYVTASLLQNVCAQSLEKLSQAGSSGDLSSFLPVVFAKIMNAELQGKKLEALVSLASQICKVLPQHFAHQLELQHEGLPNLVKKLVDTLKASKKPSVEYPRMRRVIIEMTICMLETCPRYAKVFREKRMMEALSIVERTPSKVEKYRVFSGNVGVIPESGLPLPALVARAKGLVAPATQTP >KQL12668 pep chromosome:Setaria_italica_v2.0:III:279612:283365:1 gene:SETIT_022906mg transcript:KQL12668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAAAPWAMKTLPVLRTHLCDPTRLAASSSSFHSTPASFAKWKNKWDCPKSEKGARKASRNYERYVVRQKRAEGKKALKDYLLYGKSSPHLQDGSTGSFANSHEIPRFKTFRKGPQSHWSQGVHNQRKSKKDKARFCNFFHEDHYVHPDEIFEAIFGTHRGFTWSHISWDDFRFRDRSFRFRWSGGESQRERIPSDSEDESEEDSRETTSVGSHAHRVILGLPPCGPLTLEDVKTAFRASALRWHPDKHPGSSQAVAEEKFKLCVNAYNSLCSVLKAA >KQL14463 pep chromosome:Setaria_italica_v2.0:III:11369523:11370942:1 gene:SETIT_022974mg transcript:KQL14463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATADPRAKPLAAPSPPHHLEPWAAHQPPPHRMPVLPAVAPPAGGGCAAARDRRRPSSSHRRGGAAQAVVGEEPCGGGIEALRARLMGHLRDAADRLRVPQPGPASSRGSSLPHPPPLPPKAASPPPEMDSEPEPELRAPPPPPPPPPAAPQKQQEQPQAADAATTTRPWNLRERSRRRPAPRSWAASPSPPPSSSSRRRRKRAPFSVSLTAEEIEEDIYALTGARPRRRPRKRPRAVQRQLDSLFPGLWLTEITADAYRVPDE >KQL15098 pep chromosome:Setaria_italica_v2.0:III:16840147:16840814:-1 gene:SETIT_025685mg transcript:KQL15098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIHFAFPQLNVKLEHEFIDKSIYGGFWETVVAGVIRILPYKIFI >KQL16712 pep chromosome:Setaria_italica_v2.0:III:44365135:44365395:1 gene:SETIT_024336mg transcript:KQL16712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVVASALTFSIDALRLRIGGAITLATATLTAFGCVGAVLGRTPVARSCARVVIGGWAIMGVTYSLMRLFKASGI >KQL13807 pep chromosome:Setaria_italica_v2.0:III:6856712:6857782:1 gene:SETIT_025175mg transcript:KQL13807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLLPCLLGWLLPADGLILDDDPLSASSQELLERNGHKKEAFFFVEGQSRCGKGTQQKGTCAGGGWWEGQKTCAKGDKLHVPDGSEAAWRKKALKFHCGSGSGKKESAGWVMYEYAVNPPPGDLARSPLRLCHIRLSSYGRKQSCGSLARRPFSCVHRSPSQRHFSGSASRRSSRRSASRSNRRMAGLPAHVH >KQL14109 pep chromosome:Setaria_italica_v2.0:III:9003153:9004881:1 gene:SETIT_025748mg transcript:KQL14109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAEERPGPERYCRLRARRPRPYFFIPVLIPFCANIDASSSRFACFGCAATATWRSRWLARWASCLTALLAGILNDAGNTDLATAST >KQL14924 pep chromosome:Setaria_italica_v2.0:III:14920820:14921600:1 gene:SETIT_024696mg transcript:KQL14924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKLVALFLAFAVVATALQPSEAARVQAQQGFKPAAASQEAEKVAAQADGGVLSAPTLPGLPAGQLPPGLLPAILGLLFPPLGSSIGMIQPLLPPLGSPPQQGGVLDGILPGTSPSPPAPAECMTPLSAMMPCTDYLTNMTVLTPPGECCDGLKTIIRDAPICLCHGMNGGLNQFLPKPVDPLRMNVLPLACGTVLPIQTLFMCNSNQVPPIMPPTPAELPMTPAAP >KQL13115 pep chromosome:Setaria_italica_v2.0:III:2657902:2659130:-1 gene:SETIT_022944mg transcript:KQL13115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSQQGQTQAQAQAAQQPVVAGSTRWCPTPEQLMILEEMYRGGLRTPSASQIQQITAHLACYGRIEGKNVFYWFQNHKARDRQKLRRRLCMSHHLLSCAQYYAAAHHGHHGFLAAAPPALPAAPYGGCGVFDQTAAGQLLSPTSPTPAAAAAAAAAAAAYGYYYPATAAFAPAPPSRCVGAATPPSPTQLFHYQAGGGGGIAPVEALGRPEYSLGKLDNFGVALDDVVVSSAAAADMAPPGFEVAPPPAAFCRPLKTLDLFPGGLKEEQHDVA >KQL16428 pep chromosome:Setaria_italica_v2.0:III:39845072:39846717:-1 gene:SETIT_025290mg transcript:KQL16428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSPQSHPPQTFLHHRPHLLSCRRRAPISSSSSTDRRAPPSTATPSSPPSTNCRGRHAPPPSAKPIVPPSSTSDCASLPRSLRTHLQKEWHTLCKEPLLQIVARPLPNDILEWHYVLEGSKGIPFEGRDHAILYNMTTPSGRFAPHKTIRLLMSDFHPESWNPMWSVARYAHIDN >KQL16488 pep chromosome:Setaria_italica_v2.0:III:40941272:40942129:-1 gene:SETIT_023866mg transcript:KQL16488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMYMDDKSKMLFKKGSRRSSAVAGEGSPVASLKARTSRGPAARSVPGRLASLVKEQRARFYIVRCCVTMLVCWRD >KQL15756 pep chromosome:Setaria_italica_v2.0:III:23488350:23491671:-1 gene:SETIT_022033mg transcript:KQL15756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQL16645 pep chromosome:Setaria_italica_v2.0:III:43297520:43302610:1 gene:SETIT_021524mg transcript:KQL16645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATGMATAAGTAVLVYLVLSGRLCGDAAGDGREDQLISSAVSAAAAARRRRKEEARERARRQRRRERRWPERAPDGWGEAVAVATRTVRLTWAETLGKWALGEVAFGIKYYMRQQGNLQHEYAGSDSVLLDGPEVRQELISLLRYLNQCMYFSKKPYKVFLEFGGYDQNDVLIKKSKARLLKPAFTVVCDRSSKCFLLFIRGAISVKERLTAATGAEVPFHHVVVQEGRVSNLVLGYAHCGMVAGARWIAKRVIPCLSKAVEQFPDYEVKIIGHSMGAGIATILTYILRENEKLSSSTCIAFGPAACMTWDLAESGKDFVTTIVNRNDLVPSLGLVSAAKLRTEVMASSWAHDLRKQIQQTRFLGFVNRSVSFIRSHVPFVSDPRSKVVDVDMLQSQSSEAGSKPSADTLAMVKKRPALVFWSCVAAQKQTVESFKQTQDMENQTDTNVKTVKVTNEAAAELVAIDLRELNLEESDEDNADREEKGSALKETYEEEARELLDSLTDEKQELLPSTSAQERHQLYPPGRILHMVGLQEAEVTTGEQGAQEEVLTLYETPRHLYSKIRLAGSMISEHYMPKYIKTMEQLIEKLAEEDIDNQLDSL >KQL16462 pep chromosome:Setaria_italica_v2.0:III:40414726:40417338:-1 gene:SETIT_022159mg transcript:KQL16462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRELLAVAARAALEWALASLLLANGAAFCLIAAAAARLRLGQPCILCARVHRLLCSSPASAGDGRGALRLLLCDAHLAAVAAEPPAHHDHRRDVASRKAGLVEPDDPDKVSGLETHRVVSIGSEICEQDHDVNGQPLAADRSSISRTTSSSEGGGSGPLVSLFELSPIIARPRDGGGAGDSSVDPATAAPELVAVDGDELLTVGQIVSALREQRRELEALRAELASERRAAAEAEERRRQLEEQGELDREAARLAMQLVHESETEKHGLQRQLEACRVRAQLYQLDDDAMDGEDAGGEGGRWESNGGGDGNNYQSLVDFLPGSVYSSSPDLANLLKLCTEAGNGGGRRQRDDYYGEPAVAVVEEEAEEEEVMAVAVTVTAATESSGSVVGATTTIVAEPLHERSTNSCHVETVAEAA >KQL17250 pep chromosome:Setaria_italica_v2.0:III:49249514:49250107:1 gene:SETIT_023301mg transcript:KQL17250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPSSLATATYDDDDYIVNLSLTLGPTSPLTLGPTSPQPSSPDNAAAIAATGNGSVDGGGGGGSGRGGVRLFPCLFCNKKFLKSQALGGHQNAHKKERSVGWNAHLYLSPETSTVPNIVTPISQTSPTVVPIHVSHSCRSQRTVHRDDAATFGGPRYATDGDGSGLSGWWYAEGGRSCTLGGDEKQRHVDLNLKL >KQL16569 pep chromosome:Setaria_italica_v2.0:III:42563549:42571477:-1 gene:SETIT_020955mg transcript:KQL16569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRPAPAASQNPSVPQAPPRPPPPPPPVSAAARAREEGELSSGADDDEALQTRRAASSILRKFAEAASQVPSATLLGKGGNSLSVSNAMAHKSAAPSYKKVMRGNQGQFKPGTNRNLSWQKPVPSDNLVITFSDDDSGTDSGKTKQDTVRGRKATPQGTQKTGNCMQTRITREEVSQQKTLGAKVGPTHVPAFPFTLRNVGAGRGSGTTFFRKEPPVRQVNTLKSKQKDGNGVGVHSADHRLERLRHKIAARENELKGQKRPLAPVAMKNTDLSSNQARLPSEKIGFEASNNGECSRPNSPFEHDGRPIKRLKLNQQHSYNQDHSDSVTLAHSGGSSRKNTLQSSEMGDHFANGITMNTNVDETEVRVTTELSGQMHNGGATKNLPHHKDTSALMPAASAQAGQQVLPVGPSAVLDRRPHLKPGEENAHQMNCSNQIGAECRSTRLFSLLEMEELQEKELEDAQEHRRKCEVEEMEALRAYRKAQRALLEANERCTILRRKREICSAQVHGLIAENSSLVIRNTEDGLAMPSLLNSQIHANSQMPENQGGRHSLHPEEPPQQPVDKHEAQPHSSHYDELAASTADPNFVSTVNDNNMPSDYMDDDLLFPARQARSECPLDLENQMEETIHVYAENRRASGDSVQDYELLEASLRSRLVERFGKKPCLNSTGEGTEELAVGKVAAEHGKQPAHVLRLQEAEQNDMTTPEGTMELGNDGAEKTGDLSNSSSGPSMGNCDHEDTISSLREICMPSGTNNLAFPSPAPQNASRHIKQAFPWFCKEASNYKNDYLTSDTSSEATECVQDMIQDCVRENTKDSDMAHSLIDPFWPFCMFELRGKCNDEECQWQHVEHHAWRKSKHTKHAMTSVSGQIPYGLSQYMLPVPAYRVGSNLIKADQNLTQSVLASSLWQYWQRGFCASFPLPLSVQRVLPSDAPFLQAGDGSISDFHRNRQLSKFRMLDSWKNKTVQGSVDVEGFLEGALDLYCGKVSKPDRIKALLFLARSIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSDAVQHNVYSYELWLMYINSRLRFDDRLDSYNDALSMLCQMTADTDKDLKERSAFILDIFLQMIYFLCMSGNVEKAICRIFGILPTATPDNSGDKLLADVISCLTMPDRCVFWISCLYVSIYRKLPEEIIDQLEFQKALPRALIWSPIDPSADNRNQIIELLNYAAYKMAEDISECVKNGDPSYLMLSQFLAVNHIGCLAAVEGFKSSADMLVKYMKEYPMCPQILLISARLDRKHGACPGLKGFDELILNWPKEMQGIQYLWNQYFEHALAADTKLAEKVLNCWFEEYGKDCDIQSDTAVGAVEFSNEEPGPPSLVSAQEVGSGPSAPEDHVFLLLNLSLYKILENNLQEAQVAVDKAFKLAHGECYEHCLREHAAIHVLELEKSSSYSDAQTRSTFSFIIGHLADHRNLPTRELLSRRFCQNVKKHRLRQLIDDTIGPVPADSTLVNSVLEVCFGPSLLPGRIGDLKYLVDFVETVMEVLPANYRLALAVGRFIIMRYKGSDATSMGTRFWASSVLINAIFRAVPVAPESVWLEGADLLEKLQTTEIVKRFYQQATSVYPFSFKLWRAHLNSCKASGGNAEGIVESARQRGIELNLTPT >KQL16568 pep chromosome:Setaria_italica_v2.0:III:42563549:42571477:-1 gene:SETIT_020955mg transcript:KQL16568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRPAPAASQNPSVPQAPPRPPPPPPPVSAAARAREEGELSSGADDDEALQTRRAASSILRKFAEAASQVPSATLLGKGGNSLSVSNAMAHKSAAPSYKKVMRGNQGQFKPGTNRNLSWQKPVPSDNLVITFSDDDSGTDSGKTKQDTVRGRKATPQGTQKTGNCMQTRITREEVSQQKTLGAKVGPTHVPAFPFTLRNVGAGRGSGTTFFRKEPPVRQVNTLKSKQKDGNGVGVHSADHRLERLRHKIAARENELKGQKRPLAPVAMKNTDLSSNQARLPSEKIGFEASNNGECSRPNSPFEHDGRPIKRLKLNQQHSYNQDHSDSVTLAHSGGSSRKNTLQSSEMGDHFANGITMNTNVDETEVRVTTELSGQMHNGGATKNLPHHKGTEVAGNHPMIELNGRLAAATLTNTQIISKDTSALMPAASAQAGQQVLPVGPSAVLDRRPHLKPGEENAHQMNCSNQIGAECRSTRLFSLLEMEELQEKELEDAQEHRRKCEVEEMEALRAYRKAQRALLEANERCTILRRKREICSAQVHGLIAENSSLVIRNTEDGLAMPSLLNSQIHANSQMPENQGGRHSLHPEEPPQQPVDKHEAQPHSSHYDELAASTADPNFVSTVNDNNMPSDYMDDDLLFPARQARSECPLDLENQMEETIHVYAENRRASGDSVQDYELLEASLRSRLVERFGKKPCLNSTGEGTEELAVGKVAAEHGKQPAHVLRLQEAEQNDMTTPEGTMELGNDGAEKTGDLSNSSSGPSMGNCDHEDTISSLREICMPSGTNNLAFPSPAPQNASRHIKQAFPWFCKEASNYKNDYLTSDTSSEATECVQDMIQDCVRENTKDSDMAHSLIDPFWPFCMFELRGKCNDEECQWQHVEHHAWRKSKHTKHAMTSVSGQIPYGLSQYMLPVPAYRVGSNLIKADQNLTQSVLASSLWQYWQRGFCASFPLPLSVQRVLPSDAPFLQAGDGSISDFHRNRQLSKFRMLDSWKNKTVQGSVDVEGFLEGALDLYCGKVSKPDRIKALLFLARSIEADPSTVILWVFYLHIYYQKDEGLGKDDMFSDAVQHNVYSYELWLMYINSRLRFDDRLDSYNDALSMLCQMTADTDKDLKERSAFILDIFLQMIYFLCMSGNVEKAICRIFGILPTATPDNSGDKLLADVISCLTMPDRCVFWISCLYVSIYRKLPEEIIDQLEFQKALPRALIWSPIDPSADNRNQIIELLNYAAYKMAEDISECVKNGDPSYLMLSQFLAVNHIGCLAAVEGFKSSADMLVKYMKEYPMCPQILLISARLDRKHGACPGLKGFDELILNWPKEMQGIQYLWNQYFEHALAADTKLAEKVLNCWFEEYGKDCDIQSDTAVGAVEFSNEEPGPPSLVSAQEVGSGPSAPEDHVFLLLNLSLYKILENNLQEAQVAVDKAFKLAHGECYEHCLREHAAIHVLELEKSSSYSDAQTRSTFSFIIGHLADHRNLPTRELLSRRFCQNVKKHRLRQLIDDTIGPVPADSTLVNSVLEVCFGPSLLPGRIGDLKYLVDFVETVMEVLPANYRLALAVGRFIIMRYKGSDATSMGTRFWASSVLINAIFRAVPVAPESVWLEGADLLEKLQTTEIVKRFYQQATSVYPFSFKLWRAHLNSCKASGGNAEGIVESARQRGIELNLTPT >KQL16640 pep chromosome:Setaria_italica_v2.0:III:43226649:43227294:1 gene:SETIT_025641mg transcript:KQL16640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHFFLSCSLTTHANKATMLGGQVTSCVGTGKQKMGFPHQQFLCLKKLSLFWYN >KQL17258 pep chromosome:Setaria_italica_v2.0:III:49289059:49294557:-1 gene:SETIT_021245mg transcript:KQL17258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANATAKCPGPMKATSQGAFQGENPLEYALPLAILQICLVVVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSEKFLHTVFPAQSMTVLDTLANLGLLFFLFLVGLELDISAIRRTGKKALAIALAGISVPFALGIGTSFAFRATIVKGAPQGPFLVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDVAAWILLALAIALSGSGSPIVSLWVLLTATGFVIAICLFLRPVLAWMARRSPEGEPVKEVYICATLAIVLAAGFVTDIIGIHALFGAFMVGIVVPKDGPFAGVLIEKVEDLISGLFLPLYFVSSGLKTNVATIKGAKSWGLLVLVIANACLGKIGGTVITSLFVKIPVREAITLGFLMNTKGLVELIVLNIGRDRKVLNDEAFAILVLMALFTTFITTPIVMAIYKPARKTVPYKRRTVECTAGDAENELRVLACFHTNRHIPTLLNLVEASRGTGRRRLTMYAMHLVELSERSSAISLVHRARRDGMPFFNSKEQRTEQMVVAFEAFQQLSSVRVRPMTAISDLDTIHRDVIDSAADKRAAIVIMPYHKALHHDGSFQSLGSAYHAINKRVLREAPCSVAILVDRGLGGHAQVSAKNVSFSVVALFFGGPDDREALAYATRMAEHPGVAVTLARFQPSRRLQSGSGEEEAADEAAVEAFKAKVGAAKDGSVRFEEPEAYTREQVLETIESLSGFNVFVVGRMPPTAPLVERPDELGPVGSYLVSPEFRTSASVLVIKRYDPATNPKSKRFDPKARPPVATEEDVLDEEVGMGSAVVMPVTQSPM >KQL12995 pep chromosome:Setaria_italica_v2.0:III:2009053:2010660:1 gene:SETIT_025223mg transcript:KQL12995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAYLLFLPLVTCAVLLLLLSVLKPPSKARASGVLPLPPSPPTVPVVGPLLWFLRARNRLEPAIRELHRRHGPVLTLRFLSPRPAIFISGRGATHRALVRRGPAFASRPPAIAPFRVLTSGQTTVSSAPYGPLWRSLRRNLTSGVLSPSRAPLFAPARRRALAALVSDLSRRSEEGGGEAVAVAECIQRAMFSLLTHVCFGRRLHGRRVGEVEAVQRELFASYISFQVFAFCPAVTKRVFWRRWKKVISIRRRQEELFLPLIRARRDRDNSSIPDNGGVYEHEHDESLSYCYIDTLLAHQLPKEEGERALTDAEMVSLCTEFLTASVDTTVTALQWIMANLVRQTEVQAKLLNEINAVVSAGDEDDDVGEEVLKSMPYLKAVVLEGLRRHPPAHFLLSHAAVEETSLDGHRVPAATPVNFSVADVSLDEAVWDRPEEFRPERFLDGGEGVGVDLAGSREIRMVPFGIGRRICPGLGLALLHLEYFVANLVREFKWGTVAAAGVDLAERAEFTVIMERPLRARVARRRRAAVTI >KQL13923 pep chromosome:Setaria_italica_v2.0:III:7733500:7736734:1 gene:SETIT_025014mg transcript:KQL13923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRRSLLVLLVVAVLATTAAAAVGDAPFVVACKKVALSRPGPGVKRLVITLGLYNQGAATAYDVSLNDGSWPREAFEIVSGSTSKIVEKLDPGATASHNFVLETKVQGRFQGSPAIIKYRVLTNDALQEAYSTPIFPLDILAETPPQRKFESRLVGKYGSLVSVVSFVGMFVYLVTSLSKSSAAKGSKEALNRYLTAI >KQL16900 pep chromosome:Setaria_italica_v2.0:III:46568401:46568834:-1 gene:SETIT_025382mg transcript:KQL16900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTGLGFPVRGRRGRAPGPAGSECLAAAVGTAAVADRGW >KQL13666 pep chromosome:Setaria_italica_v2.0:III:5888244:5888733:1 gene:SETIT_024555mg transcript:KQL13666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGVASDPTLSRTKSVRRAACGHGKAVLFQETARGNEGMALFLVRCRG >KQL15489 pep chromosome:Setaria_italica_v2.0:III:20800659:20802494:1 gene:SETIT_024257mg transcript:KQL15489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDFCDRLANVGFSSNLISYLTLQLHLPLVEASNIITNFNGTANFTPLIGGLIADSFAGRFWTITFGSVMYQLGMVFLTLSAVVPSLSPPPCAKDDTDCPRASSSQVTVLYLSLLCTSIGTGGTRLCIMAFGADQLELDAHGRPREAKPKWSFFNIYFFGIELAKLTAATAVVYFQENVGWGWGLGIPTITMLAAVIAFVSGYSLYVKMPPGGSPLVRLAQVTAAAFRKRKAVAPDPSLLYQDKELDAGISTTGRLLHTDQLKFFDKAAIVTAGDMLPSGEPKLWRLSTVHRVEELKSIMRMLPIWAATILLMTSGSHNGSFAIQQARTMDRGITPRFKIPPASMLIFTNITMLLTLTFYDRVLVRVLRRYTCHPTGTTHLQRTGVGMTLAMLANAVAAVVERRRRSVAAASELLDAPKATVPMSVLWLVSQYAIHGVADAFMDVGRMEFLYDQAPESLRSTAAALYWLTNSVGSYLGTLLVTIVHDKTRRSGQWLQDNLNRGKLDNDYWLVVALQVLNLVYNFVCVKYYTCKPLETTGDDKEVELDCVSGNGDDYAKKGASASKLQVA >KQL13779 pep chromosome:Setaria_italica_v2.0:III:6694389:6697237:1 gene:SETIT_022164mg transcript:KQL13779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMAASCSSSSRAWAAARRSYPAPALPPSSHVAFSSSPPSTHGCRWPVAGSGGPALPLGIRGGLRPLPSPLLPAGVGRAGAAARTRTAAAAAASLPAEDGGGKPEGAAGISRTLQLGAMILVWYMLNIYFNIYNKLVLKAVPFPYTITTFQFASGSFFITLMWLLNLHPKPRLSLKQYAKILPLALIHMLGNVFTNLSLGKVAVSFTHTIKAMEPFFSVLLSVLFLGETPSLLVLGSLVPIVGGVLLASMTEVSFNWIGFWSAMASNLTNQSRNVFSKKLLADKEDNLDDINLFSIMTIMAFLLSAPLMLSVEGIKFSPSYLQSAGVNVKELCVKAALAGTCFHFYQQVSYSLLARVSPVTHSVTNSLKRVVVIVSTVLFFRTPISPINALGTGVALAGVFLYSQFKKAKPKAKAA >KQL16330 pep chromosome:Setaria_italica_v2.0:III:37660111:37662734:-1 gene:SETIT_024171mg transcript:KQL16330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPPPPPAPVRLRLVFENRRLLRRAERDEGLRRCWLLLRPEIATVADLAAHVAARFRLRRSCPGGVVLSSICIFRDKDIIRVKQKSCKKLGGHNDVHCIQDPEVVEKRPLPVDHEILAIEYQKDGSKYQEEEEDGDRQPEENATVSHNIENNGACSKRKCHDGVAGIPEIKRKKLKVANSGKHIDDSKEDNVQQYQDQSGSKNLMLSAIDIETQKETLQPETTATSMEQPKAERYYLKSYARYCFCVTLIWEMFFE >KQL13317 pep chromosome:Setaria_italica_v2.0:III:3776463:3781355:-1 gene:SETIT_021296mg transcript:KQL13317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFDLDGMPVHFPYAAIYPEQHAYMGELKRALDARGHALLEMPTGTGKTAALISLITSYSLANPSRPLRLIYCTRTVHEMEKTLAELRLLFAHLPPAASRSLLALGLSSRKNLCVHPQASAAAARDSVDTACRRLTASWVREKAASDPESTPLCEFYETFDRAAAAGDLASLMPPGVYTLADLRALGRQRRVCPYFLARQMVKYANVVVYSYQYLLDPKVASIVSREMQKECVVVFDEAHNIDNVCIEALSVSIRKQTLEGAERNLRRISQEIDRFKATDANRLRAEYNRLVDGLAQRGNLPISDAWLANPALPDDILREAVPGNIRRAEHFLAVLRRLVRFLDGRLETENVENEMPIAFVASIHSSAGIDQKMLRFCYDRLHSLMMTLEITDTDEFMHIQTICDFATLIGTYTRGFSIIIEPYDERMPDIRDPVIQLSCHDASLAIRPVFDRFETVVITSGTLSPIDLYPRLLNFNPVISRSFTMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGRLLLEMASAVPDGIVCFFVSYSYMDGIVNSWHEMGILQDIMQYKLVFIETPDVVETTLALDNYRKACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLHDAHLNLSTDMALHIAREFLRRMAQPYDKTGSGGKKTLLTEEDLQNMAQDEMEM >KQL16765 pep chromosome:Setaria_italica_v2.0:III:44880326:44884099:1 gene:SETIT_024119mg transcript:KQL16765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASINEEEAPSSPNAPTVAMDAKLMVATDRGDVKKLKGLLNKEDAMAMVVVTAATSKKPSKEDQFPAGRINPLLLVSACVGSWKALNFLLEREDAKKAPMVAPTQEFLELLAGGSATKGRIAVSAAVASNGYNGDDSLKYAGIICDRDRDLLFAKNHMGNTPLHCAVRAGSSKMVSRLIALAEHEGAEGKLKLLRMENERHETALHEAVRIEDSRILPDLETLYAVLTDEDIRSPGHKGDADGGGAPEEKNMVRLLMGSDPELANYPAKGISPLCLAILLKKDTIAVTLYKKSGGNLSYSGPDGQNALHVAVLRATTNTVMVEVLFRWNKSLPTQGDKHGSTPLHFASSLHCPFDFFWISNIVAKVFKANPAALYQADNSGLFPIHVAASIGTTSTVEFFLQKSPSSAGLRNAKGRTFLHVAVEKRRREIVSFVCQTPSAEWILNMQDMDGNTALHLATKSRMLKTCSTLLGNKKVHLNLSNVKGHTPLDLSRSNLPRGIYCYQTAESRIHTALKLFGAKHSGLRCDHIEQKYRRPLNQKEKKKQSDLIKDTTQMLIVGAVLIATVAFGATFAIPGGYKADDHLNGGTPTLAGRYIFDAYMMANTLAFVCSTAATIALVVSGTAMIDLGTRQRNLNAAVFMLYSSVTSMTVAFALAAYMVLAPVARSTAIAIFMISPLPVLFISVEGMFKWGLLARARLVRMGPIPAMRSFAMAVIGLIVFVLWPLIFTFAWAAFARILH >KQL17381 pep chromosome:Setaria_italica_v2.0:III:50129183:50132034:-1 gene:SETIT_023376mg transcript:KQL17381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYQKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDIRRLDDCRAELHNLLKEERLAGASLLVFANKQDIQGALKPAEIAKVLDLAAMEKSRHWQIVGCSAFTGDGLLQGFDWLVQDVASRIYVLD >KQL17383 pep chromosome:Setaria_italica_v2.0:III:50145780:50146163:1 gene:SETIT_025589mg transcript:KQL17383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRHPAAPAAAPVVRQHPPPVHLAASPSCRSSCCAANPRWGRAGGRASRRMLVAAAALR >KQL17033 pep chromosome:Setaria_italica_v2.0:III:47763733:47770581:1 gene:SETIT_021293mg transcript:KQL17033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFDIRQAGAQLAGQLSSKSRDQQSELAAYMPLVFLLLSLIPHATFSATAAGVGGAGGGGCNRQRGGVTVPYPFGFSGDCPIILAFNQTTSTSLLQGSTAAAPYPVLSFNSTSSTFLVALSPLCNRTVPEAKASLSGAGYGVSSRTGIFVRGGCSSGGGGGAPAAKAAASSCAVPSDVMTKLLRTAQCGGNDTSASWTCVASAPPDAGSAAAARGEGQFMRWEKVEAAGCQDALTAAVYARTPLGVPSVEFGVAELGWWLAGTCANATSGGGGGGRCAANATCRDVVTPSGAVGHRCACRDGMVGDGFAAGEGCHFDVPVERSKKKFLLVVAGVVAGVAAAAGALLLCWVQCRRCKAGRSSSERLAAMRLLSEAATSSGVPVYSYAEVARATNSFSHTHRLGTGAYGTVYVGKLPASAPALVAIKRLRSRHHHEDDDDDAAAAAALLLNEIKLISSVSHPNLVRLLGCCLDRGEQILVYEYVPNGTLSQHLLAGDSGGGGRGRSRLTWRARLGVAAETAAAIAYLHGMRPPIFHRDVKSSNILLDGSLRPKLADFGLSRAAGRLGEATRSHVSTAPQGTPGYVDPEYHQCFHLSDKSDVYSFGVVLLELITAMKVVDFDRPAAEVNLASLALDRIGKGRVGEIVDPAILGGGEEWVMESVRHVSELAFRCLAFHKDVRPAMCEVAAELHRIRDAAPDSDSDSGSGLRPMMDVQIDLSLDGAETVGKKVAVSPVSVQEVWVSDQSSPSTNGSMPRFVA >KQL17016 pep chromosome:Setaria_italica_v2.0:III:47639141:47641154:1 gene:SETIT_022505mg transcript:KQL17016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKRCESCRKWQEHYYWEHMDVTKIRFFKLMTGDFAKGISIPDKFAKNLNGIGCIDLKAPSGETWNIGLEKHADELFLMSRWEDFVKTHVLKENDLLIFTYSGNSSFDVLIFEASGCEKVSSLFGNRTAPDLHKHFDDMADRGKQAEHYALTDSKETTAPSQLAGFPHHASTSKKSGGRNPRKLPESPNSSNNHVKCDDIREEDSDEEYAKSKYCYTRIAERLSDEEKEEIISLASIRSDNPAFVTVLQMSHVRRKNNFLIFPNRFVADHFDCRLHEITLVRPNRKDKWCVKYYYARSAQGVRNYTFSKFVQENRLREGDICAFELMKGSRRVTMTVHAIRKVHGRFVLVG >KQL14665 pep chromosome:Setaria_italica_v2.0:III:12764440:12770162:-1 gene:SETIT_021124mg transcript:KQL14665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKPWLLLTCLAAAATAGVLQARAQPDSIGFISIDCGLPGTAGYVDDTTKLSTVPDAGFTDTGSNHNISAEYITQVPSRRYHNVRSFPDGARNCYTLRSLVAGFKYLVRAAFIYGNYDGLGQLPIFDLYIGVNFWGMVNVSSPDGYEVMEAIVVVPDDFVQVCLVNTGTGTPFISLLDLRPLKNSLYPQANAMQGLVLLGRTNFGPGTDGVRYPDDPHDRVWYPWIDAATYDVISTTEKVRNIDNDLFEAPSKVMQTAITPRNATRGIYFYWDSKPQPKDPTPQYTAVMHFSELQLLPNNSVREFSIHINGELWSPGGITPDYLRSNAAYSDVPLPAGSARYNVTINATANSTLPPFINGVEVFSIISTTNAGTYSQDVSAITAIKTKYRVQKNWRGDPCGPKSFAWDGLTCSYGVSIPPKITGVNISFSGLDGDISSSFANFKAIRYLNLSYNNLTGSIPDVISQLPSLTVLDLTGNQLSGSIPSGLLKRVEEGSLNLQYGNNPNLCTDAESCKPPKGKSKHAVYIAVPVVLIVVIGLLAALFFCFMRRKRQGSTTNTVKPQNETPATHPQSSLQLENRQFTYRELEVITNKFERVLGQGGFGKVYSGSLADGTPVAVKLRSQTSNQGVKEFLAEAQILTRIHHKNLVSMIGYCKDGHHMGLVYEYMSEGTLHEQIAGNGSSRRCLTWTQRLRIALESAQGLEYLHRGCNPPLIHRDVKATNILLNEKLEAKIADFGLSKTFNHDSGMQVSTYSLVGTHGYLDPEYYATQKPTTKSDVYSFGVVLLELVTGKPAIVRDPEPTNIIDWARRRLARGNIEGVVDARMHGNYDVNSVWKVTDIALKCTMQASSQRPSMTEVVGQLHECLQLEEVHTGDAATGSFYTGTSRDPNSGYNAYAADGAQSIGAHQSSTTAFEMEHDIGRELRMDTGPVAR >KQL16584 pep chromosome:Setaria_italica_v2.0:III:42702393:42705633:1 gene:SETIT_025340mg transcript:KQL16584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLLKLGALLSDECRLLTKVKADVMFLKDVLESMHAFLKRMSEVEDPDEQSKCWMKEVRELSYGIEDSIDSFMFSLGCESSSKPRGFKGFVGRCLSLFTDAKTRHWNAKKIQCLKVHVVEASNRRQRYKVKDAFPRPCRISIDPRLPAFYTETTRLVGIDGPRDKLIKLLTEGHGTMAQLNVVSIVGFGGLGQATLANEVYCKLEGQFDYKASVSVSQKPDMKKILRSILCQHSCREFGSSEAWDEQQLINTIRQFLKDKRYFIVINDIWSTSAWRTIRCAFPENNCSSRILTTTRIIAVAKYCCSPHHGHVYELKRLGATHSKSLFFKRVFGSEDIGLPLAIITIASLLATKARTKEEWEKIWKSIDMKKILSLSYNDRPYHLKTCLLYLSVFPEDYEIKRDRLVRRWIAEGFITIEGGQNMEEIGECYFNDLINRSMIQPVGIQYDGRADACHVHDMILDLIISKSVEENFVTLCGDQNHKLVPQGKVRRLSLNYYARDDIMVPANMIVSNVRSLTTFGYSENMPCLSDFQLLRVLDLENRVVMEYNYIKHMDKLSHLSLELPEGIGNMQALRELSEIEINCHTSVSSLLELGSLTNLRILGLNWCIIDTNYVMKTYAENLVTSLCKLGMLNLQSIQIQSYHRCSLDFLQDSWSPPVMDQFFGAKFRRRKQKNPAPAISPPPRHLQKFDMSIDYYFPRIPNWMESLEYLTYLDIYLSPVDEESFRTFGDLPSLLFLWISSSSVKPKEGVITGSNGFCCLKEFYFSCWEIRTGLTFEPGAMTMLENSGFHLMHMACALCMHLQVEIVCHGARLKEVETVEEAVKNAASNLSDELSLEVRRWDEEEILKDEEHKLAEEFEF >KQL13625 pep chromosome:Setaria_italica_v2.0:III:5619223:5620881:-1 gene:SETIT_022763mg transcript:KQL13625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFQITQSPLPDENNEFNFSNLYLFHTHSGPNRNQFSVTSEDPATGLGQIAVNNWEIYDGVGESTTIVARAQGMHIHAGNWTNVFSIVFEDKRFRGSTLQVMGISVDENGEFAIVGGTGQFAMATGVISKKLHQRSNDGTIVQLTIHGFSPVLKGWSPPPSQVKKIEPLGGGGGVVQDITEAPGRLESITVQSGVVIDAIAFSYVDQAGQQRTAGPWGGSGRNSETIQLGPSEFVKGISGTVGLYRSCKVIASLTFVTNVRTCGPYGLGDGTPFTVPVEDNHSVVGFFVRSKTYLDAIGVYVQPQ >KQL14714 pep chromosome:Setaria_italica_v2.0:III:13110531:13110851:-1 gene:SETIT_024088mg transcript:KQL14714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQEADVLWPDHHQDHRHCHGHHQQLEAAGNKQPRGDAPGGGEDDARRRAAGSSAPVGIRAGTTGPPSWAWSYDGDEHAAAFVPPHVLLAARRRCSEGRAASSVC >KQL15010 pep chromosome:Setaria_italica_v2.0:III:15542727:15543997:1 gene:SETIT_024320mg transcript:KQL15010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEVRRRFGRCPYCRAMIYQDPEAVIYYCTKCRTPIRGKKPEPTEDMNHALSRLEILSADTASVFSDELDACLKQASVLDVHGNQPPLFGNPILTANSRDDARVYSNGHDEHRPLSRRTRRSACSDSFVLRYGVFMSTHSETEGGLSSPRNACGRQRRRSLVGLEEVETSIVRSRPAAPRVAPSSPLTDPAFQRDLLRSLDSLRGLIVAIEPAASVGARAAAARRGARFFRRLESHLAHALPPQEHAPRRNAGGSSTGSSPSSASSTAGGRSERRRHHHCRPVLGGAPFLVCGSCSELLQVPAATLLSRRKVARLRCSGCDEVLELTAPAGVAGSAPQQTTPTSSSALPESDEPGSCNSSERCAGAQPLHRALGYSSPSPLLQSRRY >KQL15607 pep chromosome:Setaria_italica_v2.0:III:21613120:21619368:1 gene:SETIT_021706mg transcript:KQL15607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLALAYSSLLLPPRRALLAPPPRCLAPNRPSLRAHCRLLACTPRRPFLTPRCFAFAARTSASAAEPAPGDGDGVPAAGRKGAGYRNRFLDLARLGAVAEGAAEAFFRSEIRRRLAFTAALIVLSRVGYFIPLPGFDRRLIPDSYLSFAPLPADDLVDFSSELKLSFFQLGISHQISASIVMQVLCHVLPSLEKLRKEGLDGHEKLKGYIWWLSLGFATVAAFTVSCYSLQYSVYAASYRVKHVVITSLLLVLGAMSMTWICDTISESGFGHGSSLIICVGILTGYTETLHKMITQFSGNFSKCWPYILGVAGIFMMLTMGAVLVTEGCRKIKLQYYGFKLASGAGKESTPVTEVEPYIPFNINPTGMQPLLTTSYLLAFPSIMASIFGSPFWENLKEILNPRTSGGGSPWVYYLTYAFLVFVFNIFDIANLPKEISDYLNKMSARVPRIKPGRATVDYLTKIQTSTRFWGGLLLSLLATSSLLLDRYLRHINEGFSIGFTSVLIIVGSIIELRRSYQAYNVMPALSKVLRRYGA >KQL15525 pep chromosome:Setaria_italica_v2.0:III:21145975:21149682:1 gene:SETIT_021043mg transcript:KQL15525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARYQAQRDEAAGSLLLPLRCFARRATRAPAGARNVSAATPTRGTGWRDAHRSPGLYGYTPFLSLSLSLLRPRGHATTRAHADFSSLPPLVLRPVVWSTVLEHPLSLSLWALLFWPLIMPVGRGGGGKSSLALVLLAYLLAYPPATWCEPLSPPPGLPFSLSLLSCAMDKREGREKEKERRHSYCTGRGPEPVPPTSPPPPPRRLLPLQLPPPPHRPGPAVPGRGATGFTTRSDIGPARAAPDLADRSAAAAGPGVGHGGKAPGEDDGGGDEEKGYDENQKFDEFEGNDAGLFSSADYDDDDREADAVWESIDQRMDSRRKDRREARLKQEIKKYRASNPKITEQFADLKRKLADLSAHEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGKGRGTVLSLKLDRLSDSVSSLTVVDPTGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEIAGKLQAAWQLIQRGCEECPKNEDVWLEACRLASPDEAKALWLQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRVVECCPLHVELWLALARLETYDQARKVLNKAREKLPKEPAIWITATKLEEANGNTQSVNKVIERGIRSLQREGMDIDREAWLKEAEAAERAGSVLTCQAIVKNTIGIGVDDEDRKRTWVADAEECKKHGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGSRESLDALLKKAVNYNPRAEVSWLMAAKEKWLAGDVPAAWAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARMLLAKARERGGTERVWMKSAIVERELGNLSEERRLLEEGLKLFPSFFKLWLMLGQMENRIGHGVKAKEIYENGLKHCPRKKNPATPELWLAAILAELRHGNKKEADALLAKALQECPTSGILWAAVVEMAPRPQCKGKSSDAIKRCDHDPHVIATVAKLFWHERKADKARTWLDRAVTLAPDIGDFWALYYKFELQHGNVDTQKDVLNRCVAAEPKHGEKWQAITKAVENSHQPVEALLKKAVVALDAEENANAAGA >KQL17304 pep chromosome:Setaria_italica_v2.0:III:49639650:49646053:1 gene:SETIT_021201mg transcript:KQL17304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASRERLSPGAAPQVDTGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRDCPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSRLVYDNGYMKNQLHSPSVATTDTSCESVVTSGQQYQQQNPAVPHPPQRDANNPAGLLAIAEETLAEFMSKATGTAVNWVQMVGMKPGPDSVGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRASWYRDCRHVEILHVIPTGNGGTIELIYMQTYALTTLAGPRDFWTLRYTSGLDDGSLVICERSLTQSTGGPCGPNAPTFIRAEVLPSGYLIRPCDGGGSMIYIVDHVDLNAKSVPEVLRPLYESPKILAQKMTAAALRHIRQIAHESSGEIPYGAGRQPAVLRTFSQRLSRGFNDAVSGFPDDGWSPLLSSDGPEDITITVNSSPNKLVGSHVSPSPFFSAIGGGIMCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAASLRANPYAVPGLRAGGFMGNQVILPLARTLEHDESLEVIRLEGHGFSHDEVLMSRDMFLLQLCTGVDESAPGACAQLVFAPIDESFADDAPLLPSGFRVIPLDDKMDVPSATRTLDLASALEVGSGAGSRSPSDASGSCTTRSVLTIAFQFSFENHLRESVAAMARQYVRGVMASVQRVAMAIAPSRLGSHIQLKHPHPPGSPEALALATWIGRSYRVHTGSEIRWSDTEGVDNPLMPFWKHSDAILCCSLKPPFMLKFANSAGFDILETTMVNIQDMPLEGVLDDEGRKLLFSELPKIMQQGFAYLPGGVCRSSMGRQASYEQAVAWKVVGDDGAPQGLALMLVNWTFI >KQL16053 pep chromosome:Setaria_italica_v2.0:III:27654477:27657945:1 gene:SETIT_024798mg transcript:KQL16053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMHHLNLMHGVRHIRKKKIPSTPSSPGGPFRPPISSPSASSREFWLVCSFGRSAIHLNVDSISLILQSVLGGSAEEFCAQHLSDWMFLFYVNSRHVGLMIYLLKTFSDYSLWCEEEEANCTHISHKSRKKSYADAIKHKPNLPQFPCSMANFPMDPRPFVPEGFALVQCEVVRESVHPRSFLVISIDKTNEDLAITIIVSPVAKEDLTPFARELCHFLMDRHVRAPEIQQCAIGEAYVCFDSPMQREGFMNGASLSFGDYQLRFNSHDEGMNFRDLDLDWVVWLLLLCFPPDAKRLINLIAKSIAGFAQLLHVHSSSSMSRLVIKALVNKDNDVPESVTVAVGSPPQVRTWTMLIFLLSASDIVLGGDEDPLPVDGPPIHSMPHPAPGWMGPQIIRDGAGSVVDEGSGNDGSGDHARASGGVKSPSQHHVLGSSSTLNQVLSFFSPPQPFANSYSYFALSQFIVDLSVKVLSYISNEPTLWFLAKVVVGLDEQDAPGGTDVAMEDDYEVKIISKEEVIVKNPRNHRAKKMKALLDEKFLRRSKHLNQDLDGYRAQAANVEEPSPLAIVPIEETIEEHAMYDGGATSHVASAPFLSVENVQAMATGFLKMQAGSMFAAALLDSSDDEYVGGMP >KQL12897 pep chromosome:Setaria_italica_v2.0:III:1516892:1521485:-1 gene:SETIT_021469mg transcript:KQL12897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRFAQIGKSRKLAQTLLVSSKPSTASGQNIGSSFASGLGYSGRALLHGRVHNGPSTSYILGRANESLHWSTGVRKFSVLSSCSQNAFQSQLAWKRLMAMGSRAPKASPFLSRVACAVSLAVSRSNLAPYLFAFIAGEVMLAQKTSADGEYYPIRERAQDGRIYITSLIYSAVEMVIIILRSIYLALLFTPSILMAPFAETLGSKYRKTWLRLVHRTLELAGPAFIKWGQWAATRPDLFASDLCTELSKLHTKAPAHSFKYTKKTVEKAFGRKLSDIFENFEENPVASGSVAQVHRAVLRFRYPNQQTKRVTVAVKVRHPGVGDSIRRDFSIINAVAKISRYIPTLNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPRPLYPLVHPAVLVETYEHGESVSHYVDDLEGHDRIKSALAHIGTHALLKMLLVDNFVHADMHPGNILVRVVQPKNSNNTLIKSRPHVVFLDVGMTAELSSNDRVNLLEFFKAVARRDGRTAAESTLKLSKQQNCPNPKAFIEEVERSFSFWGTTEGDAVHPAECMHQLLEQVRRHKVNIDGNVCTVMVTTLVLEGWQRKLDPDYNVMKTLQTLLFKEDWAKSLQYTIQGLMAP >KQL14019 pep chromosome:Setaria_italica_v2.0:III:8216306:8216801:-1 gene:SETIT_025558mg transcript:KQL14019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGWPNDRGREPVSPWTDSTTWLACRPVLPSVATPLTTPHLRPCHVGWERFARFAQAVSTVSSFRLHEQKFVLGIWKSQSFLHLNPIQGE >KQL13781 pep chromosome:Setaria_italica_v2.0:III:6702394:6703329:1 gene:SETIT_023347mg transcript:KQL13781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGAATSPPRALPPLLLALLLLALAPCSSAAGRRACTYTLRVKTSCASPARTSDAVSVAFGDAYRNEVHAPRLPTAAGTGPGGSRALERCGTDTFRVAGPCGYGVCYLYLRRSGRDGWAPEWVQVVQPGPRSGDAPATATFYFGDPLPDGVWYGHDRCPKSKASTDDDHPATTTGAPRASNSSAPPQE >KQL16244 pep chromosome:Setaria_italica_v2.0:III:35129031:35129503:-1 gene:SETIT_023667mg transcript:KQL16244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAAALKGPAVVAVCVVLVMSTLVPPMTATYCSDCASQCTSTCNAQVSSSCESIRTTKYQQCLGSCNSGCRGTDCSSYCSSICRKATDSGYSSCQSYVYQHCWDPCINGCNSNCTNI >KQL12780 pep chromosome:Setaria_italica_v2.0:III:826991:827518:-1 gene:SETIT_025284mg transcript:KQL12780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRYLVASLLLALAVAASAAAAASPYEARQQDKRTIPSDASHIDEETARLLAAEGATLAAIWAAKKDMQAQLQPLEAKPSGGVATLGDDQSSSGGGVATQGDDQSSSEGSGTSSGSGEHGKEEGSNKEGEKQGKSCLTKEECHKKKMLCGKGCTLSAHSKCAAKCTKSCVPTC >KQL14777 pep chromosome:Setaria_italica_v2.0:III:13812638:13816233:1 gene:SETIT_022945mg transcript:KQL14777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPVDPIMMYKYKAELFLKEYLLADSYVLYAAVLGGILMCKLSYDITHMISAIYFKGYASLTNIRKIEWNNRGMSTVHAIFITIMSVYLVFLSGLFSDRLDGPVTFRSSHLSNFTLGVSVGYFIADLAMIFWFYPSLGGMEYVFHHILSLVCAVYAMLSGEGQLYTYMVLISETTTPGINLRWFLDVAGRKNSKAYLVNGIAMFVTWLVARIILFLYLFYHIFMNYDQVKQMDTVACLLISVAPTVLFIMNVMWFSKILRGLKKTLAKRHVE >KQL14776 pep chromosome:Setaria_italica_v2.0:III:13812638:13816233:1 gene:SETIT_022945mg transcript:KQL14776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAIYFKGYASLTNIRKIEWNNRGMSTVHAIFITIMSVYLVFLSGLFSDRLDGPVTFRSSHLSNFTLGVSVGYFIADLAMIFWFYPSLGGMEYVFHHILSLVCAVYAMLSGEGQLYTYMVLISETTTPGINLRWFLDVAGRKNSKAYLVNGIAMFVTWLVARIILFLYLFYHIFMNYDQVKQMDTVACLLISVAPTVLFIMNVMWFSKILRGLKKTLAKRHVE >KQL13297 pep chromosome:Setaria_italica_v2.0:III:3682756:3683387:-1 gene:SETIT_023765mg transcript:KQL13297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRGAYNKGSAGVVVDGEIEVHVERVEKIEVVMNGTPSPTTTTTTTTGVVLPPPPGNVAGRSSPTATVSGKAVVAPDVNELAEEFIRRNRAAFQGQKTM >KQL15581 pep chromosome:Setaria_italica_v2.0:III:21442516:21447549:-1 gene:SETIT_022755mg transcript:KQL15581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCPARVPSPRSAPRHGWAGSRVSARPSKCRASGGRSVICAAVSFRPCIDIHKGKVKQIVGSTLRDSSNDGTALVTNFESDKSAAEFAKLYKEDELVGGHVIMLGADPASQASSLEALRAYPGGLQVGGGINLENAMLYLNEGASHVIVTSYVFSDGKMNIERLRKLVELVGKQRLVLDLSCRKKDGRYTIVTDRWQKFSDVFVDEPTLEYLAAYADEFLVHGVDVEGKRLGIDEELVELLGRHSPIPVTYAGGVSTMDDLERIKKAGKSRVDVTVGSALDIFGGDLPYKDVVLWHKKQSLVGQL >KQL16177 pep chromosome:Setaria_italica_v2.0:III:33526954:33528953:-1 gene:SETIT_021774mg transcript:KQL16177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNLVSSASLLLLALSAAYVYYTTRSRSPQRLPPSPPGWPVIGHLHLLSRGMPHHVMADLARSMKAPLLGLRMGSVRAVVISKPELARAALTSNDAALASRPHLLSGQFLSFGCSDVTFAPAGAYHRMARRVVVSELLSARRVATYGAVRVKELRRLLAHLTKNTTPGSPVDLSECFLNLANDVLCRVAFGRRLPHGKGDKLGAVLAEAQDLFAGFTVGDFFPELEPVASTVTGLRRRLKSCLADLREVCDEIVDEHLSGKRPRIPGDRDEDFVDVLLRVQKSPDLEVPLTDDNLKALVLDMFVAGTDTTFATLEWVMTELVRHPRILKKAQDEVRRVVGGKGRVEEADLGELHYMRAIIKETFRLHPAVPLLVPRESVAPCTLGGYDVPARTRVFINTFAMGRDPEIWENPMEYSPERFENGGGEIDLKDPDFKVLPFGGGRRGCPGYMFALATVQVSLASLLYHFEWALPAGVRAEDINLEESFGLATRKKEPLFVVVRKSEGYEFKGEELNEV >KQL13594 pep chromosome:Setaria_italica_v2.0:III:5409132:5411653:-1 gene:SETIT_021402mg transcript:KQL13594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAYKPKNILITGAAGFIASHVAIRITKKYPDYKIVVLDKLDYCSNLKNLLPVSSSPNFKFVKGDIASADLVNFLLVTENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKITGQIKRFIHVSTDEVYGETDEDAVVGNKEASQLLPTNPYAATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGEPLPIHGDGTNVRSYLYCEDVAEAFEVILHHGEVGHVYNIGTKRERTVIDVAKDVCKLFNLEADKVIMFVENRPFNDQRYFLDDEKLKSLGWAERTPWEEGLKKTMEWYVANSDYWGDVSGALLPHPRTLMMPGYEGSEEIKGILSQFNNIQTKVASTSDSAPETHAFKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLQERSSLILDIQTIKPTHVFNAAGVTGRPNVDWCESHKPDTIRTNVVGTLTLADVCREHGLLMINYATGCIFEYDACHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYENVCTLRVRMPISSDLSNPRNFVTKISRYNKVVNIPNSMTILDELLPISVEMAKRNLRGIYNFTNPGVVSHNEILEMYKQYIHPSFKWTNFNLEEQAKVIIAPRSNNEMDASKLKKEFPELLSIKDSLIKYVFEPNQKLPIN >KQL15432 pep chromosome:Setaria_italica_v2.0:III:20126383:20126887:1 gene:SETIT_025726mg transcript:KQL15432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVLSNFREFIQAAMAAIVILGFLVSQLGYVWPLHSI >KQL12835 pep chromosome:Setaria_italica_v2.0:III:1096780:1097253:-1 gene:SETIT_025648mg transcript:KQL12835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSALLLQLGCQHKDCRALHRNNKGPLLTFAALIISTRIQ >KQL15446 pep chromosome:Setaria_italica_v2.0:III:20220001:20221811:1 gene:SETIT_021777mg transcript:KQL15446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDDALRPDHFVLASLASAAARLRSLRLGRQLHAHFVASPHSGDDVVKSSLIDMYCKCGVPGDARKVFDSICVKNNVVWTALVSGYASNGYTDEALELFRSMPARGLFTWTALISGFVKAGNNSSALGLFVEMRHDGVRIDDAFVLATVIGGAADLAALLLGRQLHGFALRLGFLSSMIIGNSLVDMYSKCSDIHSAREVFERITVRDIISWTTILVGEAQHGRSEEVLSLFDRMVHAGIKPNEVTFVGLIYACSHAGLVQKGRQIFESMKLEYGIKPGLQHYTCYLDLLSRSGHLSEAEELITTMPYEPDEASWGALLSACKKHNDAQMCLRIADNLLELRPKDPSTYILLSNVYAVNRKWDSVAKVRKIMAEMEIRKNPGYSWIEAGKEFRLFHAGEVPLDVREEITGFLEELVSEMRKRGYVPDTSSVMHDLDEHEKEQHLFLHSERLAVAFGILKSPPGSVIRIVKNLRVCGDCHTVMKFISEISQRKIIVRDASRFHHFEGGKCSCSEFW >KQL16608 pep chromosome:Setaria_italica_v2.0:III:42869994:42875064:1 gene:SETIT_021342mg transcript:KQL16608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPDFAADRALAKDFLSNFADPRGEPKYLNILQDVANRKIRAVQIELDDLFHYKDVDEEFLQRVTENTRRYISIFAEAMDELMPEPTEAYTVDEDRDILMTQRVDEGADGGADGTDPLQRMPPEIKRFFEVYVKAFSKVTPLTIRQVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPSQRCKLNRAKGNLILQLRASKFLKFQEVKLQELAEHVPKGHIPRSLTVHLRGELTRKVAPGDVVEMSGIFLPMPYYGFRAMRAGLVADTYLEAMSVTHFKKKYEEYELKGDEQEQIDRLAEDGDIYSKLARSLAPEIFGHEDVKKALLLLLVGAPHRKLADGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGRGSSGVGLTAAVQKDPITSEFVLEGGALVLADMGICAIDEFDKMEESDRTAIHEVMEQQTVSIAKAGITTSLNARTAILAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMESDLEMARHVVHVHQNLESPALGFTPLEPSVLRAYISAARRVIPSVPRELEEYIATAYSSIRQEEAKSNAPTSYTTIRTLLSILRISIALARLRFSDTVAQSDVDEALRLMQMSKYSLYSDDRQRSGLDAISDIYSILRDEAARTSSMDVRYAHALNLISRKGYSEAQLKECLEEYASLNVWQIHPNTFDIHFIDA >KQL14262 pep chromosome:Setaria_italica_v2.0:III:9962322:9965252:-1 gene:SETIT_024303mg transcript:KQL14262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAGVSTQSIKNVLQNMHGGAELVPITSRDIENRKATNVREEHADDINKLIEFFKDYQDQAMGVAIAKEFPGVVHKICRWHVVNKHMPHLTNLFGMYAKKNFKDKFYSVLNHPLTPVEFEAAWQELLDEFDLQKDSTLDSLYCQRELYVPAYFKDQYCGRMASTQRSESSNFVMKKCFVNKHTALHRFAKKMLDFMHSRKMKESEESYHGTSKRLTRSKWPFEIQVSRIYTRNVFKDFEKKMIDCTAFDIEDNPIEGETCYLVTHTNRSSKISWGQHQFKVRANKENGEFHCECKEWQHTGLFCVHLLRAFMRIQLNSIPQHYILRRYAKYAQQELGFDRNDKLLVGADGVTQLYRIKDLTSLAMAAVRSGSMSRAAHIRTREVLAKLDKDNKEIPPDIGPSTTNMHQESPGETSNSDRKARRNGLIRGAYMLKTEQRDASGLSQQH >KQL13156 pep chromosome:Setaria_italica_v2.0:III:2888565:2891258:-1 gene:SETIT_022263mg transcript:KQL13156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYMSRGPPNGSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVDWFNNKDFHGSIIQVDIAESKSKDTFDSSTNLGIAADLGGQDELDSGVGRGRGRGDGPGKAWQQDGDWMCPNTSCGNVNFAFRGVCNRCGAARPAGVGGAGGGGGGRGRGRGSADARGSSRAGTAAAVGGPPGLFGPNDWPCPMCGNINWAKRTKCNICNTSKPGTNEGGVRGGRGGGYKELDEEELEEVKKRRKEVEEDDGEIYDEFGNLKKKFRAKSQHTESVQTLPGSGRAGWEVEQRGSSEREGRERSRDRGRVDYDEKESRNRDRGDHGRERRRSRSRSRSRDHDRERGRDRGRDHDYERSRERDRDRRHR >KQL17382 pep chromosome:Setaria_italica_v2.0:III:50139658:50143141:1 gene:SETIT_022171mg transcript:KQL17382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSKKIAADREASPAFSLFHLQSSGTGIMDRHMEDSSTFLQWAMNQLHHQQPSAAAAASAYQDGAGAGGSGAAGNSEAVFPSLQALRSGAPQTQPQPVAASVRVRDLTVQVDHRTNSSSSGDSPGGGAAMDHDATTGWSPHTARSRTTGLGGGSNSRPMSWNFSAAAAQPAACESGGGAALPDAAVAARAVQLPSAGRRGGGSAAPAAAAAAAPSSSPGPVQDHIIAERRRREKINQRFIELSTVIPELKKMDKATILGDAVKYVRKLQEKVKTLEEDGAHSAGGSSSMVQSAVLVKKPCHLQPEDEAIMASGGGGDGGQLPEIEARLSEKSVLVRIHCRNARGLLVRVISEVEKMHLSITHTNVMPFPASTAIITITAKVEEGFIATVDEIVRSINSVLHQHYSSSSEETRG >KQL12789 pep chromosome:Setaria_italica_v2.0:III:878677:882072:1 gene:SETIT_022762mg transcript:KQL12789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEASSSGGGGDGEEGSGAWTREQEKAFENAVATMAEDGDDGDARWEKLAEAVEGKTADEVRRHYEFLVEDVEGIDSGRVPLPTYAADGGAEEGGTGGGGGGGKKGSGGGGGHGEKGSAKSVEQERRKGIAWTEDEHRLFLLGLEKYGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSINRERRRSSIHDITSVNNGDASAVQGPITGQTNGQAANPGKPSKQSPQPANAPPGVDAYGTTIGQPVGGPLVSAVGTPVTLPVPAPPHMAYGMHTPVPGAVVPGAPVNIAPMPYPMPPPSSHG >KQL15233 pep chromosome:Setaria_italica_v2.0:III:18019787:18024780:1 gene:SETIT_022687mg transcript:KQL15233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIAREASKVWRKVTVEISVELQLLREKWGLLLAGLIFQYIHGLAARGVHYLHRPGPLLQDLGFMALPELGQDKGYLSESIFSSIFISFVLWTFHPFIYHSKRFYTVLIWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNSVLEVLLINFPRGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSKRFIKFLAWFMAIIQSLLIIASRKHYSVDVVVAWYTVNLVVFFVDNKLPEMPDRTNGVPLLPLSTKEKEIRLKEEKDSKLKDEFHKLLNGNHGDPTDRRQRAQMNGRHDEDINHALSEATANGT >KQL16110 pep chromosome:Setaria_italica_v2.0:III:29874445:29874736:1 gene:SETIT_025785mg transcript:KQL16110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVIKHCRKSFHVPCAIQIIDCRYDVVSSFVFGPMVYIF >KQL14240 pep chromosome:Setaria_italica_v2.0:III:9836801:9837478:1 gene:SETIT_025786mg transcript:KQL14240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLILYNLVMLLLSSMHQMVTVDSRYFQYSEIGLCCGHRSIFHKLCTDLNFASS >KQL17201 pep chromosome:Setaria_italica_v2.0:III:48904649:48908806:-1 gene:SETIT_024353mg transcript:KQL17201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCGGGGGIIPASRFLRRRAAVRDLGRDAAAADLDAFWAAAPRLYDFSQQQQLTRPPSPPAAARRSPPPEPEPCSPGPITLLQRRSPRPPSSPAARRSASPEPCTPCLLTMRQDHERSPRSMSPRAARRSPSPGPGTTCLLLAVQRTCVAWGATRRVEYPSRHRPASHGVPGQATLAAAAARCGGEDQESASGGAKKRKWLEEVEKEEEATAEAMQESGREDKPVVANAGKSRSRNRRKARWSSLHRRRGAARRAKKVPRVVKEEQRDEEAEAEAEAESSQGVKPAVVEAEKTTRGSRKRAGSSGRGRRPGAAKRVKKTPLKEEKVVEEELAEEEEEAESKPAAPAPGPASRRGKVDRWTAWRYAAGEAALLGILRARGACAGKPAPRAELRAQARRHIGDTGLLDHLLRHVADKVPAGSGERVRRRYNPAGGLEYWLEPAELAATRREAGVDDPYWVPPSGWKLGDPVMPEARALEVQKQVEELAGELDVVKRQMKQLDSNMVQVSKEAYISWKGYDCMVKANGRLEKEVLSLEEKYENATQVNGELKELLLLLKEKYDTVLEKNDRLEEQMVALSTSFQSMKEDLLLQRIGEQPMLMLEQEPWEDKQEASAGNAAAGAGNQLADSDAIDGSFSSNGGASHGSAKRALRKCSVHICRRDGMLQLPRTASGDTATSPRELPEPLTPGGDLVVADFDAVINRLAPPSMEEYLMAEGLPTPTSASSTNASPKLPLLPTPASPVQVQSPPRQSTPRQSTTMTMANLQAAQPYSGDLNLQLRCMDTSSSSSGPCGAKALKLDAGAGGGGVGTELALATPTY >KQL14731 pep chromosome:Setaria_italica_v2.0:III:13225308:13227475:-1 gene:SETIT_024679mg transcript:KQL14731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGSSSDRPRTFKKQAEKDVYDRRGEPEEEEESEDFAKPKHKGTEGLIEIENPNLVKPKNIKAKDIDIGKTTDISRREREELEKQKSHERYMKLQEQGKTEQARKDLDRLALIRQQRAEAAKKREEEKAAKEERKAEARR >KQL13962 pep chromosome:Setaria_italica_v2.0:III:8000220:8000410:1 gene:SETIT_024920mg transcript:KQL13962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPWAYCLCPRYHWS >KQL13790 pep chromosome:Setaria_italica_v2.0:III:6746570:6750074:-1 gene:SETIT_023006mg transcript:KQL13790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRARGAAGALLRLAGAGAFAQSGGAPPLARAAFTRGFLDLHKMVNKEAIEKEKARLKDEMSRGYFADISEIRKNQGKIATASKAIIPEADAVEFPDLVVESPDGGALHLPLVAPAPEDDGGEAGVGVIPDASLVCLSFRASSQKMAESWSSPFLDAFGADKNIHVYEVSFIDSWLLSSSPVRQAFLKVMRKSNNPQRHIVYAFGDHYDFRKKLQIINLLTGYIYLIDRQGRIRWQGFGSATQEELSSLTASTSILLDDK >KQL12973 pep chromosome:Setaria_italica_v2.0:III:1905299:1905695:1 gene:SETIT_024007mg transcript:KQL12973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVMFVLLCRCVAGMVENLKANSNSLFHVMLCKDDMSCHDEEKMIKCYDA >KQL13952 pep chromosome:Setaria_italica_v2.0:III:7929275:7930931:1 gene:SETIT_022748mg transcript:KQL13952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDWGWGGRCLPACLLPSIPAHHSRTSLLRLPGWLSGEAATAKPTDFLVVRKFWRSSPWIVRPVLRFGSTSCSCIELLASKPPIPFDLTRFPSPPSSMDARITGGGGTCVVGEFVWDVVLWRRGRADVSACLLAATAASWLLFYGAGRGYTALSVASDVLLLLLTVLFLWAKAARLLNRPAPPVPEMRVPQHAVDEAAALLRAALDAVLSGFHDIATGRDSVLFCQTFLCLWAVSIVGSLTDFPTFCYTSIVAALTLPALYQKYQECVDTYMKFAYLNLRMYEKVYERFSMKCFIRVRDWVMEILKDP >KQL16344 pep chromosome:Setaria_italica_v2.0:III:37959935:37961153:1 gene:SETIT_023437mg transcript:KQL16344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAMAATSVAPFQGLKSTAGLPVSRRSTSSGFGNVSNGGRIRCMQVWPIGGKKFETLSYLPPLSTDDLLKQIDYLIRKNWIPCLEFSKVGFVYRENNRSPGYYDGRYWTMWKLPMFGCTEATQVYAELEECKKAYPDAYIRILGFDNVRQVQCIMFIAYKPPGCEETGVAK >KQL13368 pep chromosome:Setaria_italica_v2.0:III:4067960:4069356:1 gene:SETIT_022262mg transcript:KQL13368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDDDAAGHIGIGVVAAYAWAPPMLCVGVSSVVRKLPSTHAAGQATRLPALEASIVDIIKCSDLHCIMSCAAVCTKPSSVVFTALLDPSPIRPSKTILRASNISGQPWLAAKTSRLTAGRRRHPQTSQSMASSPVLVLLVLIAATAAAPQLSSAVDPVGTYCAKNFTGAQTQASISQVLAALVPRASAAYYATATAGSGSSAIWGLAQCRGDIPASDCALCISAAAKQAASSCRGQADVRLWYDYCFLRYTDADFLGLPDTGYTLILINTMNASDPAAFDRAERKLMARVAAEAGDAASGGLVRETARFGSATTIYGLGWCTRDITAADCGLCVAQAVAELPNYCQFRRGCRVLYSSCMARYETYPFFFPVSGAAAASSHAGEYEKVILNHHS >KQL15711 pep chromosome:Setaria_italica_v2.0:III:22849351:22850306:1 gene:SETIT_023761mg transcript:KQL15711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANGMSRVFTPVVQGKDQHNSMNNMQNHSTGNNTSVPVTGTSFTGGSFFSGNSVNAPPPQFCFAFRAQAITLPLASGEIPASDHGAGSAAVAAGLPATA >KQL15712 pep chromosome:Setaria_italica_v2.0:III:22849351:22850316:1 gene:SETIT_023761mg transcript:KQL15712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANGMSRVFTPVVQGKDQHNSMNNMQNHSTGNNTSVPVTGTSFTGGSFFSGNSVNAPPPQGPSHYSTFGIGGNSSF >KQL17345 pep chromosome:Setaria_italica_v2.0:III:49884302:49885606:1 gene:SETIT_022466mg transcript:KQL17345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTTSPLKRPSAGGRLRRLLASLRPPARAGPLPVQTGFPTSLADLVVKNHGRLKKPRRRHRAIPAPPPVAASAAELAPQQRRDLSAAQQDGAVPAPPPSRPKGAGFTVRPELLAVGGVMALALLVIWSKRLVAAATLASVALFWIESFRSPASRRRPRPETTEEELDSQGRGLVSPIREAESPAETPRPSCAAAPDTGSEFSSLWAADTTDELACDDPGSISPSKRKEKRRSLRKLLAKKLQNGKRGKDGMDSRHGGESGHPVAGEVSAPEPAVAAAPAEETVAPAPEAVTDDGRHRRQGGGALPLAAFVPVILAGLVAGKLPAVALTVLCAVFFTSVERAPDVSVAQASGHGSDPR >KQL13980 pep chromosome:Setaria_italica_v2.0:III:8049568:8052077:-1 gene:SETIT_023768mg transcript:KQL13980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGPALESLVNQVISVITNDGRNIVGTLRGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGEVDEDLDARLDLSKLRAHPLKPVIH >KQL16554 pep chromosome:Setaria_italica_v2.0:III:42381161:42381653:-1 gene:SETIT_025655mg transcript:KQL16554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGSKAPGNSETSSSPGDRASAPTSTQQHSSYSESPRTAGLWPVHGGCKWASGQVIPHMGINPQIHGKFVSCSV >KQL16805 pep chromosome:Setaria_italica_v2.0:III:45363940:45365641:-1 gene:SETIT_024319mg transcript:KQL16805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGYSQLLSWLLLAGRMRKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFKRRYEQEAEENKDK >KQL12697 pep chromosome:Setaria_italica_v2.0:III:449717:452132:1 gene:SETIT_022640mg transcript:KQL12697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGAAATPAATSSFEVDLGNLMAYDPSHHLTAASSREELRQECLQKGTELAQAVADALFALPPSEDRDGPIVHLPPPTIRLPREKHLPKPKPPTKWELFAKAKGITKRKKNKREWDEQTQSWKRTYGYDRVNDDKDIPIIDAKATDEPGVDPFALRRQDKKKRVEKQEKSRLENLKKAAKVGALPSHIQLAAKALPITGTKADLPKKSRKEDLENVAGMASSATASGGKFDEKLPGEKPLKHPGKHRKFLPVAEGKGMGNLEKQQNDKILNSLLARNSDEQLDVGKAITMYKVKKEKQRSKDKKMSSKSDKLKPQKKPLKKSSKKKA >KQL16211 pep chromosome:Setaria_italica_v2.0:III:34412182:34413701:1 gene:SETIT_024363mg transcript:KQL16211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVISAVIGDMVSRAISFVIGHSTGQDSTSAKLQRIRHMLIKIGSVVEEAKGRQITNHGTLEWLSELVNGMYRGRYFLDISNEVSQNLVDVNDNKDVPYRFLLSILEFNNVLANLQSLSVGIKEFVMLLERFPPIFKPLRTNLYVDCEMFGRHIEREQVINLFLHKGNPSERKLDILPIIGNIGVGKKTLVQNVCDDKRVHCHFSSIFLYDFFFLTVMDNSEPRIVLGSRHSIGDFGNLNEPLQTFKHKLKYKRFLHVFENVDSEKKQMLQVLLSELGSCKQGSKIIVTSTHNHTASIGTVQRIKLRILPRELFWSYFKALALPDADFQESCPRMAAIGMAIAKKLDGSFFGAKITGALLKVHPNIQFCSEALRSSIWDIPVLGSSLPYVSDVTNYFPSKQGFNPYLKNILLKPVHQLPPSTERLPCRLLGWPGRRHRHFQKQPH >KQL12747 pep chromosome:Setaria_italica_v2.0:III:680001:685343:-1 gene:SETIT_021516mg transcript:KQL12747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEGAAAAAVTGEGEMRSLALTPTWSVATVLTLLVAGSLIIERSIHRLSNWLKKTHRNPLYKAMEKMKEEMMLLGFISLLLAATSRIISGICIDSKYYNSRFSPCTKEEVEESLNAEHAVAHARKRLIEVILHHSLRRNLKASYHNHQGCPEGHESFVSHEGLEQLHRFIFVMAVTHVTYSCLTMLLAILKIHKWRKWEDEAFRDNHESFSQIAYESATRRQPALTKSYSFRSWSQNNAVMWIVCFIAQFGQSVVRADYLILRKGFIMNHNLSPTYDFHNYMIRSMEEEFEKIVGVSGVLWGFVVAFMLFNVDGSNLYFWIAILPVALVLLVGAKLQHVIATLTAEGAKLTTYGPRIQPRDDLFWFKKPDFLLWLIHFVLFQNAFELASFFWFWWQFGYDSCFIKNHLLVYCRLILGFAGQFLCSYSTLPVYALVTQMGSKYKAALIPRRIRETIHGWGKATRKKRRRRRGAWDDSTVRTETSTVCSLTDEDEDDLDDHHHGPFEETPRASRAPPPYLKVELQQTQHGPFRAGTPCFHSVAVPGSSSTHGGGSSQPMLLRQSSSASAPSSPSYRGGNVTRSASMPGIASLRTGTGTPTRTSHDES >KQL14363 pep chromosome:Setaria_italica_v2.0:III:10769264:10770915:-1 gene:SETIT_024564mg transcript:KQL14363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEAWGGVSTSELAADGTNYLTWAMDVKIVLTAKADKFRTLHFLRHHLHPDNYAVHKICSKLCFCNQPLDDAEMIEKTLSTFLSANRILQQQYRRHNYTKYSDLIYDLFQIEKYDELLTKNHQLRPMGATPLSEVHFNAHNNNKKFGGKKFKKNFKGKMEETELPERQKF >KQL15972 pep chromosome:Setaria_italica_v2.0:III:26218287:26222239:-1 gene:SETIT_024712mg transcript:KQL15972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEAKPTSNLHERPSPAAYLYFPRLAPRLLPLPFSFILPNPAAAAAAAPPEGWRKLPETLAAAAAAVAAAGDEIVVGREEAMEGATGVGASECERKPLSEVVGDCVQRWFQDAFKEARKGDVANQVLVAQMFFSGYGVPKNEYKGRQWMDRASKYRSSALKVGMKRPGYNASDSDSDEANDDANQ >KQL15629 pep chromosome:Setaria_italica_v2.0:III:22000632:22001087:-1 gene:SETIT_025480mg transcript:KQL15629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPLAPFCLSFYHTGCKGSMWLGIVDFPKA >KQL13463 pep chromosome:Setaria_italica_v2.0:III:4588018:4589253:-1 gene:SETIT_023109mg transcript:KQL13463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLATTPARRPMEPGLARRLWHVVLAVCHMLRRGLSRKRIMMDVHLLLGRGKLAGRALRGLLAHPAGHGHGHLTSYGASRASSSSLASFYGHPREVEFSCTTTPSYPQHYGLFPFKGRGGGRGGARGEYGGLDAAAVARAFEMLSAEVEAGGGTPAVPASGVATATPSPMVAWILGRSPAGVRPLRVTDSPFPAVPEDGCCNERVDADADDFIRKFYEQLRLQPSAATPDYCGHLRRRG >KQL15556 pep chromosome:Setaria_italica_v2.0:III:21276008:21278403:1 gene:SETIT_023375mg transcript:KQL15556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGSPPGSPPSPPGSQRKRSATKDSVGLYAAQCYMCYKWRMIPTKEEFETLRENFTEDPWFCSRRPDCSCEDPADIEYDSSRIWVLDKPNIPKPPPETERLVIMRRDFSKMDTYYVMPNGKRARCAGDVDKFLEANPEYKNRISASDFNFAPPKVVEETVSHNSAWKAAKAKKQDKADASSAQK >KQL16215 pep chromosome:Setaria_italica_v2.0:III:34464917:34465207:-1 gene:SETIT_024843mg transcript:KQL16215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTFDSESESQTKTYSSDDRCHCYPMPFPRRDALRVFYHADNTFACLLCPGRRQRWKILNDVKDHVVGMATYVPLRGKNKKNWSRHRVMVRNEGWMG >KQL13549 pep chromosome:Setaria_italica_v2.0:III:5195539:5197872:1 gene:SETIT_023032mg transcript:KQL13549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFESVSPLPEDHAVDKSEVETSQAMSCDSTVTEKVEKSPSEKPFLLVLLEKKEDIESFSTTCDLENIAEAITPRGDTLELCISSEAPDDSLSLGCETPRESIFDPFAPGPDVAAWAPKKQVIRGAEVPSRRKLNFDSGDFPVKRLSFDWSDSEEEDEYLQVIQKMILDLIISDSPLDQQEESEKILIDSSLYESCKTPDSKPLLTGIASTCPDAPLRPSHKLLKLSPSICRKIDFDAVSNSVSPRSSVAKENN >KQL13705 pep chromosome:Setaria_italica_v2.0:III:6190988:6191499:-1 gene:SETIT_025470mg transcript:KQL13705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFRPSQQLSLLSWPKKKSYLLPLPLFPSCCHHQALPMFRTS >KQL12768 pep chromosome:Setaria_italica_v2.0:III:773172:774959:-1 gene:SETIT_024133mg transcript:KQL12768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPSAELSAASSGGGANGLPTLPDFMGRKSKYMRMDDVLPPEQEGDDGGGVRVRERQSSRRYVFACSVFASLNSVLLGYDVGVMSGCILFIQRDLHINEVQQEVLVGCLSFISLLGSLAGGRTSDAIGRKWTIGLAAVVFQAGAAVMTFAPSFRVLMIGRLLAGIGIGFGVMIAPVYIAEISPAASRGSFTSFPEMFINLGILLGYISNYAFSGLPDHINWRVMLAVGILPSVSIALALLVIPESPRWLVMQNRADEARAVLLKVTDSEDEAKERLAEIEAAAAATNAGKYGDKTVWQELSRPSPVIARMLVTGLGIQCFQQITGIDALVYYSPTIFRDAGITTESQLLAATIAVGFFKTAFIALAIVLIDRVGRKPLLYVSTAGMTVCLAVLSAVLFLLAHGWVSRGAGIAVAILTVCGDVAFFSVGIGPICWVVSSEIFPLRLRGQAAALGAAVNRVTSGAVAMSFLSICRAISVAGAFSAFAVISALSVVFVHKFVPETSGKTLEQIELLFGGGGDGEGRGELELGDVEQLVQKGKV >KQL13728 pep chromosome:Setaria_italica_v2.0:III:6328101:6329414:-1 gene:SETIT_024655mg transcript:KQL13728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKREGVGRQRRPSTVDARSAATHRLISRPYAFSRRRPRDHAPSAASLAIKPCCKQVPSSCICGGEQQHRVRLLRKSISPAMHGISIVISQHVSHSGWTSQVQKGQQGSTAPSPTPASSARNWLHGHRPPRTSLAATAPNGDDGRRSLSGMVIELPELLPGSAAAPVVTAGVWADAAAHAATAGDVGAVLQAEEVGRRQPRCCRRWRRTIDVDRWEQGDGSMEPSSRSNAAAAWRVEARR >KQL12954 pep chromosome:Setaria_italica_v2.0:III:1840287:1842165:1 gene:SETIT_024723mg transcript:KQL12954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVINLGHLSLDSVTRSRVVNDIAKACRDLGYFQVINHGISQSVMDCAVKSASDFFELPSETKEEYDTSSKDSINMSQAFLKHYAHPLSDWIQYWPQQSPIYRKYMGKYAAEVRREGSQLLSVNCYPKASQGATTIGLAPHSDYGFLTILLTSCPGLEVVDRSSNIWKTVQKLPHALHVHIGDHMEVLSNGRMKTVVHRALLKPEEARISIPSIHGFALHEKVTCAKELVDEENPPKYKESSFSDFLEHLTANMDNKHKNFLESLRMYGLQSIIRV >KQL17343 pep chromosome:Setaria_italica_v2.0:III:49875590:49876423:1 gene:SETIT_024488mg transcript:KQL17343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELTRLGRSLLLAFLLPPSVSNALLVDFLLDNLPADAHLEISILSIDLTDPLFLSRFGSRHQDSVVASIDADVEFQLQLDCRSSVKLLTYDVKVMAATSGQHGCSSLLR >KQL15169 pep chromosome:Setaria_italica_v2.0:III:17584103:17585419:-1 gene:SETIT_024053mg transcript:KQL15169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQILPVSRLVHLAAAVALLATAVAAAASKPGAVAVNVTGVLSAFPDLADFTRLLASSPVLAELAGRSSLTLLAVPNGNLPQSPSAFAAASGADLADVLRYHVLLEYLAPADLRRLPASGKLVTTLFQTTGRAPADLGAVNVTTAGASLAVVRSPAPFAGSNATVLGAITAVPYNLSVLAVTGLIVPSGFDLAASESRPPAAVNITRVLADARAFNVAASMLEASGVADEFEADERGAGITVFAPTDDAFAGLPAGDRLQSLPADRKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEFSNAGRFTLNITRSNGSVAIDTGVVQATITRTVFDQNPVAVFAVSKVLLPKEMFTRTDGGDSSIVAATAAASSPPPAATAPQASESARTPPTKLSSPPALRGGGQEDYDKASAPAPSRVAGWWCIALVYLLLLPLRLV >KQL16553 pep chromosome:Setaria_italica_v2.0:III:42371838:42375635:1 gene:SETIT_022102mg transcript:KQL16553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRDQEAAAVPLLAAPAAGRRSPAADAHILSAAFLFVFSAYSAAQNLESTVNTKGDLGTFSLGILYTSFTLFAVVASPVVTRLGPKRALVVGSSGYVLFILANLVPTWYTMVPASLYLGFCASIIWVGQGTYLTSAALSHARDNNLPEGRTLGNFTGEFWGIMASTQVIGNLLSLALLRNGKDGGSVTGENLLFVVFLGCMIVGIVLMCLLSKREEKGDNDQLHSSFGAMLKYIVAPLKDRRMLLIIPLMVYIGLEHAFVWAVFTKSIVTPALGISGVGGAMAIFGAAGTVCALVTGYLTSGLYSATLIVSFGAIAHAVVLFWLLLFYSPMVGVLGAAVPLLIGALWGVGDGMLNTELNAVVGLLFEDSKEASFAQFKVWECGAIAVIFFLSPHITLQAMLILMTAALFISLGAFLLLTIFVEKSSPVRS >KQL14054 pep chromosome:Setaria_italica_v2.0:III:8426593:8428083:1 gene:SETIT_024659mg transcript:KQL14054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGYEKYITMAASVAATAMVVRSVMSELLPYEVRDLLCAAARYLRSRVSSRHTVVIDEAEGLSANQIYDAARTYLAARISTDMPRLRVSRVDETQGIMVGMEQGEEMVDVHDGVEYTWNLVARDNTPGAASRAAGTKARGRLEIKSFEVTFHKKHKDKALESYLPHIVATAKAMKDQHRNLKMHMIEYDAWTAVDLRHPSTFDTLAMDKKLKQSVMDDLERFVKRKDYYRRIGRAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTEVKSNSDLRRLLVGMSNRSILVVEDIDCSIDLRQREEGEKRVRSSSTGEENDDKVTLSGLLNFVDGLWSTSGEERIIVFTTNYRERLDPALLRPGRMDMHIHMGYCTAESVRILARNYHSVENHAMYPEIEQLIEEVMVSPAEVAEVLMRNENSDAVLQDLLEFLKAKRKLVGESKAANENGNE >KQL15709 pep chromosome:Setaria_italica_v2.0:III:22832242:22836315:1 gene:SETIT_0213641mg transcript:KQL15709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AELHREIRLLYEEKNLSGINAVDEEMRKVDAAASKLRSEASAVIDRGFAESNQNDVWCGLQVYYNLGELKPAVEGLVGKYKAAGSKSVAVALDMKAISMAAASGGGPGGVQRSGTPQIGGSKKAAEALWDRMRQCMEELHRAVSAAWQLQTVLTKKRVPFTQMLFLEEVWQEGEPLLTERVWDAIVKAFASQMKSTFTASSFVKEIFTLGYPRLFSMVENLLERISRDTDVKGTLPALTPEGKDHMISAIEIFQTAFLALCHSRLSDYINSIFPMSSRGTIPSKDQISRLVSRIQEEIEVVRTHGHLLVLVLREIGKILLLLAQRAEYQISTGPEARQVTGSATPAQLKNFALCLHLQEVHTRISSILSTLPNVASEVLSPSLGVIYGVACDSVTSLFQAMLDRLESCILKMHEQDFAGHGMDAAMDNNASAYMEELQKCAIHFRSEFLSKLLPSSSSRSETICTIMVRRMASRVLIFFIRHASLVRPLSEAGKLRMARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVLFLETSQLEKSPLLQDLPASVILHHLYSRGPDELQSPLQRNKLTPLQYSLWLDSQGEDQIWKGVKATLDDHEMRVRSRGDKEFSPVYSLMLQIGSALSQATI >KQL12970 pep chromosome:Setaria_italica_v2.0:III:1897883:1899566:1 gene:SETIT_022138mg transcript:KQL12970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAVAVPARAARPTSPSRPPKTIRSTKPRGLDEDTAAPPAFPKGKASSSSAAAAPAAALLLHHHHADVPMDASVWAGLPDDLLLEVLARVPPFLLFRLRPVSRRWEAILRDPAFLAAHAAVPSHGPCLLTFSRGGGGGAHSPPHCSVLSIPLHARYKLPFGFLPPWDLWLVGSSGGLVCFSGFDGTTFRTVVCNPLTQAWRLLPDMHYNQQRQLVLTVDKSRRSFKVIAASDVYGDKALPTEVYDSNENKWSVHQMMPAANLCSSKMAFCDSRLYLETLSPLGLMMYRVDAGRWEHIPAKFPRSLLDGYLVAGARTRLFLVGRIGLYSTLQSMRIWELDHGRTVWVEISRMPPRYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKSWSWIAGCASQLCNSQVCFYEPRFDTSIY >KQL13047 pep chromosome:Setaria_italica_v2.0:III:2297949:2301913:-1 gene:SETIT_022429mg transcript:KQL13047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVCLRENCVIDDATGAEKMNYEDFCHIATVCTEQIGQKCKRFFSPSNFMKFEKDDSGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPTQFVQMYCRIAARKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDTEQAENWFSLTSAQRICDMFLALDKDQNGTLSKQELKEYADGTLTEIFIERVFDEHVRRSKVGGGNSREMDFESFLDFVLALENKDTAEGLTYLFRCLDLNGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRISLSDLLSCKQGGTIASMLIDVRGFWAHDNRENLLQEEEEQVEEA >KQL13133 pep chromosome:Setaria_italica_v2.0:III:2782599:2783048:1 gene:SETIT_025375mg transcript:KQL13133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCNWPPTISERTSQQKNLPKQEERSFQEP >KQL12642 pep chromosome:Setaria_italica_v2.0:III:165527:168181:1 gene:SETIT_021833mg transcript:KQL12642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHQPPPAAADDPIVDRDVWLACAIPLSRLPVVGAQVYYFPHGHAEQCPDHLPAPLPTPHLFPCTVTAVGLGADDKTNEVFAQISLQPGPHRGPPPPAPDAADLNLSFFAKQLTQSDANNGGGFSVPRHCADHIFPKLRFEDDPPVQNLVMRDPVGDHWQFRHIYRGTPRRHLLTTGWSKFVNAKLLVAGDTVVFMRRPDGELLIGLRRAPRYPVVARAADQPPPCNARARVPPGDVMEAARLAAEGSPFTVNYFPRQGAAEFVVPRKEVEDALASRWEPGTQVRMQVMEAEDARRTEWANGTLNKLHPNIWRALEIDWDDSSPFSLTRSRYVNAWQVQFVSFPPLLKRLRISDTMAPLCSGDVSSLAAPLIGPESQAMAILLGSPIPAGMQGARHDVPPSSSTLGMLTTQLLFPQLSSDLQMPPSVNSGGSSEILDPETGSPPNNSVNMPPAELPVEAKGIQLFGATINPHVVQRATNGASEEVNGAINGVVDENVGKDL >KQL15463 pep chromosome:Setaria_italica_v2.0:III:20458642:20461355:1 gene:SETIT_025165mg transcript:KQL15463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAARASSSLLDHATLVLLIALVAAHVPLPVRAQDTVTAGRPLSGDAKLVSRGGKFAMGFFQPDGGVSGRWYVGIWYNNIAVRTPVWVANRDRPVSDPAASRLAIAPDGNLALLDPSGSPVWSTNATGNASNATVAAVLLDTGNLVLAPASNASDVLWQSFDHIGDTWLPGGKLRRDKATGAIQGMTSWRARGDPAPGMYTLQLDPAGAPQYVLLWNGTRKYWLTGDWNGRFFTGAPEVAASGGDSGYSFRFVDNDRESYFTYSFADNSTVYRFVTDVSGQVKGWFWVEAFQRWNLVYAEPKARCAVPRGCGAFGVCSDAAAACACARGFTPRDAVSWSLGDTTGGCVRNTELQCGNNGSAAAAAAGSGTKVDRFFRMDGMRLPEDGRVTGAASSDECESACVGNCACSAYAYNGSCVLWNGELQNLEEGYGNQLAGAGSLYLRLAASEFPMARSHKRRTVEIAVGATAIVCFVLAASIIVVRTAMARRTKRIQGLTITTAEGRVTRFEYRDLQALTKNFSDKLGGGSFGSVFRGQLPNGGAAVAVKKLEGLRQGEKQFRAEVSTLGTIQHVNLIRLLGFCSEGGDRRLLVYEYMPNGSLDRQLFGATPPTLSWRARHHIAVGVAKGLAYLHDECRDRIIHCDVKPENILLDAGFAPKVADFGLAKLVGRDFSRVLTTMRGTVGYLAPEWIGGEAITAKADVFSYGMTLFEIVSGRRNVEHGKSEPDSSSSGAGDHAATATATTFFPLLAARRLVEAEGDVKALLDPELGGDANAEEVRRVCKVACWCIQDDVDARPTMAEVVKALEGLTDLEMPPVPRYLEVLAGRPMHQIQQTT >KQL12646 pep chromosome:Setaria_italica_v2.0:III:181499:182645:-1 gene:SETIT_025142mg transcript:KQL12646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPAAAAAADGNGMERVRRKKDFRHMERVEGRMVNVLQGLELHTGVFSPAEQQRIVDAVHHLQDMGRRGLLRGRSYSEPRKWMRGKGRATIQFGCCYNYATDRHGNPPGIIRDEEVDPLPPLLKSMIRRLVDWRVLPPSCVPDSCIVNIYDVDDCIPPHIDHHDFLRPFCTVSFLAECSILFGRDLRVLGPGEFAGSTSISLPVGSVLVLSGNGADVAKHCVPAVPARRISITFRKMDNSKLPFNFRPDPDLLQNNLAPLLPHPAPAQPAVTNTTTSPQLDKDIRPQQQQKRQGPTTSAAAVRAGQMTGGGPSSSGFISLSSDDFPALGASPATATAAPARRGKGRR >KQL16736 pep chromosome:Setaria_italica_v2.0:III:44512506:44516647:-1 gene:SETIT_021996mg transcript:KQL16736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLIRTARCCLLRARVRAMATLPMASPAAAAAAISSSSSSSSRPLFSSRRPNRLLLARFFPAAPAPAPAGARGLRTSAAAAASAVEVGGVKIARDDVVKEDDPTNNVPDTIFSKIGLQLHRRDNHPLGILKNTIYDYFDKNFAGQFDKFDDLCPLVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLRDGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDDWLGSDMDGTAYAAADLKKTLEGLARHLFGDVQMRWVDTYFPFTNPSFELEIYFQDDWLEVLGCGVTEQEILKRNGRTDHVAWAFGLGLERLAMVLFDIPDIRLFWSNDKRFTSQFSEGKLGVKFKAFSKFPPCYKDMSFWINDAFTENNLCEVVRGIAGDLVEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINNLQLNVREAVKDKLNVELR >KQL15069 pep chromosome:Setaria_italica_v2.0:III:16297820:16300573:1 gene:SETIT_022672mg transcript:KQL15069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTDQKKRTLEALKQQYTAAKAKKLQDEQLKSHKRNNFDAPKPKFDTPRKGKAPEVTPRQTSALPSSHKGVAFSSCSRQQKSSASSGEEINPVYAELSCSLHDNLLQDGISDFDSTEVVHSVIYDIIQKGGDSGKITKGAKKLKMEKGILLDNYVQRGPRLVDAQARSLLIHSKRSKQHMSLKQHKKCGSFDLDGTFHKYDLYKPMHEMWKTYIRELTKITPKKQLSENLLSADLHGALLIVAECKAASYQGVSGIMIRDTAETFGIISQDNHFRVVPKAGSVFILQADCWKVTLIGDKLSPKEKLKEDQRQQRAQSLIR >KQL16314 pep chromosome:Setaria_italica_v2.0:III:37178930:37179373:-1 gene:SETIT_025601mg transcript:KQL16314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSVYKSNLLKVSSHTKVVKDKIVEQVQVFVKFSVFLNI >KQL16364 pep chromosome:Setaria_italica_v2.0:III:38361156:38364306:1 gene:SETIT_021303mg transcript:KQL16364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVSLGNRYKECRPRRTSSCQTKILVGKDVLNELEQRRSSPSVIAKLMGIEVLPPSSVVHSRPQEFKDVFEVSEEPPEAVAKERSHHFPKGLPSLKQRALRLKRLMPSKTVYRDDKHDCRVECTEGLACLNSVEINNPLFEKCPHDMNYSANYQHENNTSSVCTTYPVGLANSSLSNFRLLSRAKIEDFNSIVVLEPCLEKGHDPENVFSIPYLSPVNKNSRRAMKHKQSEFAVMENGRVRQHLIGTEDINVPRIRKERFLTSDSIDPQQIEQEASFHQLGNIDASCSGSSQRYSCGNDNFRQTNRSSSNSALSKIRRHAESAVGSKTLAEMFALSDSERLKLNSDLHSPIQRNKTNHGDGHSKDGCFIVLPKHAPLLSIRHSMDRNSCLEGSSQGKNNPIASNSHNNGKCQFDSFGDKARLLKQIGNGSEANLRNSSCSQNLTADNFSTPDCSNEKVLFTTDEDLVQQPAESEASGLNLQFSRKKRVKRLPFHCHEYESISVSDDTDGTKSCKGLKEVEQPSPVSILEPPTDEDSCFSGCFKYDLQEMTKKQSDGHQNHYEPEVSMCSDDEDHSSYQSLEAFQVEEDRDFSYLLDILICSGIIVADWQLICKSWYLPGYPVGPHVFDRLERNYNKIVTWAKPERRLLFDLVNSILSKVLAPCIDVHPWVQSSRHCVPLWGPEGPVEKVWQTVVRQREDCVTGHPDEMVLDTNWLELGNDINMLGKQIARMLLADLLEEDIVDFLGELVVS >KQL15018 pep chromosome:Setaria_italica_v2.0:III:15701847:15702306:-1 gene:SETIT_023917mg transcript:KQL15018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFAAGVKDQLDLHRGIQQVYCQTVNEAPGSGWQAGERTSLELAWMDGSFPSLPHHHPFLSSFSRGG >KQL16538 pep chromosome:Setaria_italica_v2.0:III:42193999:42196771:-1 gene:SETIT_024699mg transcript:KQL16538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARGERARGDEIHSAAATPQLREPSNPTQRGRASAMARSLALVALLLVGLAAAASASDAPFVVAHKKVSLSRPKPGVERVAVSLDLYNHGSATAYDVTINDDSWPTEAFELVTGEKSKTLERLDPGATASHTFVLETKTQGRFQGSPAVITYRVPTKTALQEAYSTPIFPLDILAERPPEKKFEWRLVMKYGSLVSVVSFVGLFIYLVASPSKSSSKASKKRR >KQL16870 pep chromosome:Setaria_italica_v2.0:III:46120623:46125823:-1 gene:SETIT_021897mg transcript:KQL16870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPKRQLRVRLRVTARRRGGGGDAADGGGAGAGAGGRKRRLDAPALNSAAKLQRREIGGRQLAARGGGPAAAVPERFRNMRLQEEFDTYDANAHLFVKLQFLRRRSKIIEIVAAKDIIFALAHSGLCAAFNRVTNKRIAFLNLSPDEVIRSLFYNKNNDSLITVSVYASDNFSTLKCRTTPIEYIRRNQLDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDGIYKVFDLKNYSFLYSIPDENVQEIKISPGIMLLIYDRTPSYVPLKILSIEDGKPLKFFKHLLHRNKKIDFIEQFNEKLLVKQEDENFQILDVRTSELIEVGVSKFMTPSAFIFLYENNLFLTFRNRTIAVWNFRGELVTSFEDHLLWHHDCSTNNIYITSDQDLIISYCKSEAVAEDGTVTPIGSINMSEIMTGKCIAKIAAGDPALNVTPSRNSSKKRSSVWSTVPEALEDVTALFYDEDRNEIYTGNSQGLVHVWSN >KQL17112 pep chromosome:Setaria_italica_v2.0:III:48368245:48369821:1 gene:SETIT_024913mg transcript:KQL17112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGRWLRNFFLPGKKGRKAKDKADADCQSVLSAPLPAQAAATPSVREKRRWSFRRPGSAAAAVGKVDAGASGGQGPQGPLASSSSHCFSEAEVRVAVSQDQHAVAEVATTAAPVASLPPPALARIGVGGGGGEDEEAAAAIRIQSAFRSYLARKALCALRGMVRLQAMVRGQLVRRQANVTLRRMQALVDAQRRARAERLRLLGEDHGRQQLAAATRRPTPSRRSPQHPRSRKPLESVERGSEENVKIVEVDNGGAAARRSSSCYSTTTPGRTLAKAELYQKVSPTPSALTDASARTLSGRFDDASFASACEASRRGSAAASLRADHAHAPPPFPNYMANTESSRARARRSQSAPRQRPSSASESTAAAASPSPSCCEPRPPSGAGGGTSSARRRASLDPLDLRGAPRSSAGRMERCASRAMALARASGSAISSLPGSECGSSSTVGHRGSVHGPWQG >KQL16692 pep chromosome:Setaria_italica_v2.0:III:44068606:44069234:1 gene:SETIT_025171mg transcript:KQL16692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPPPARPVTWRLRTPGASLPAFLGVASSSVTPPPPLAPRILRRGLARIPGDHFAGTEDLTTECFDPYAPDAPATCFAFDEKDLESEEAIWAMYGRWRSFYNVKRDHDDIVRRFVHFKDTARRVHEFNKSGKPYTWGLQIMGDLTPEEVSEFTRPKFSRRKNHQ >KQL16746 pep chromosome:Setaria_italica_v2.0:III:44640469:44641369:1 gene:SETIT_023608mg transcript:KQL16746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGRGKPKGTKAVSRSSKAGLQFPVGRVARYLKTGKYAERVGGGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGAVTIAAGGVLPNIHQTLLPKKAGGKGKADIGSASQEF >KQL13018 pep chromosome:Setaria_italica_v2.0:III:2125364:2127024:1 gene:SETIT_024825mg transcript:KQL13018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARKRKEPCPCPNMDVSSCRRASPSFTLLPPWRFGRRAVRRRLRRRLWTAAARELEDFDPVVEWKLAGEVDLVEISLPGFRKDQVRVQVENHGVLRATGDRPARGGRWARFKKDLRLPDNCDADAVRARFEGEKLIITLMAASPGTPGPPRWPPAAYSGPSPPKPSPPLPPPPRHPPPPPSRPPPPPPRPAPAKPTIHDQTKPTTAEPKPSPSPPPHGTPAAVPGPIMPGRAISVSPPSPAPLPPYRAEEAPEKQLQGATSPAALARHHGEAVPRKPLQEAKVPEEDGSVSRALPETKKKSKKRTGGEVRGKVEEDRTAPGKNQAQAAMTTMAPPPEPCKAAAGEHCCGGGRARGDRLVGVAQPWQLSYL >KQL16728 pep chromosome:Setaria_italica_v2.0:III:44489909:44490427:-1 gene:SETIT_025491mg transcript:KQL16728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVGNQSSNTQGSRCNQAFQTRSKSNYNCGTQLYILGSP >KQL14196 pep chromosome:Setaria_italica_v2.0:III:9501501:9502385:1 gene:SETIT_022963mg transcript:KQL14196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPHHRFHPNSPAPLLLTPPTPTRQPAQPPHARARDSGQRVRWSIRSHGGRGVPDTVHAGGARLLAPAQVPPPGGRGRRQVPCHAAAGRVAARRRRVGRRPAAARAGARGPGRAAARAGAGAGREGVGDHAARRRPRRALDQAGAAPEAAAGAERRPDHGVRAAAHLRDLQVHRRVQGAHRHAPLLRRAPAAGGGADARQSPARHVMRVSRGVHARAADPIKSGMYALPLLAGSQSENYQYCRCPSPVNASKPPAAKVAACKMYKF >KQL15294 pep chromosome:Setaria_italica_v2.0:III:18737610:18739504:1 gene:SETIT_022337mg transcript:KQL15294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATRAAAGVGGYDRQRELHAFDDTKAGVKGLVDAGVTAVPAIFHHAPDPLPVLQQASGDSNVARTTIPVIDLSCARRDDLVRGVKAAAETVGFFQVVNHGVAGGLLAETLAAVRRFNEAPAEAKRPYYFRGNARKVRFSSNFDLFQAPAANWRDTLFCDLAPEPPRPEELPEAVRHVMVEFGDAVRALAERLLELLSESLGLARDHLREMGCVEGLGVASNYYPPCPEPDLTLGSTRHTDASFLTVLVQDDMGGLQVLVDRGDGRRGWLEVPPLPGALVINIGDLLQLVSNGKFRSVEHRVLANKSRDTPRVSVAAFCSTDVIRSTRVYGPIEELTSSDGSDPPLYRSITIHEYLAHFLKKGLDGRHTLDHFLLLQPTPTV >KQL15124 pep chromosome:Setaria_italica_v2.0:III:17258291:17258559:-1 gene:SETIT_025654mg transcript:KQL15124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIILGHSSPFTSFSSKEKRNTILIASTVKDGGMWNYSSSLGALEWYVVMILYFY >KQL12810 pep chromosome:Setaria_italica_v2.0:III:993137:993553:1 gene:SETIT_025442mg transcript:KQL12810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASASGRARVACGLLVFLLVLGVAAAVDRPNQEEVLTALTASFIQSRTGY >KQL13813 pep chromosome:Setaria_italica_v2.0:III:6931044:6934148:1 gene:SETIT_022415mg transcript:KQL13813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVRYAEELVREFLVFRGFTSTLQAYESELSTEIGRNFQVDKILDLVFSEYIAKYQLDRLVGLFTFFKQCFTSPVDTELFSTLVKLELSVLRYYVINALKSGRQDKVVEFFGESSNYLMQKREDWLPWFALLRISTEKNTIKSLKNDIKQLNNKLAELQASLEAKEDELSQLRRNSSGAGYGNKNLVGTSTAGFLLEQEMSENYEESSASGNVIQGFDSRSSSSVKSSSRGGKLHESSEIIHTEDEQILFTEEDFPEVKVDFQETFLGHNSSISQCRFSASGSNIASSSIDGTVRIWTHDSSTPSSKNATIYCGAEVCALSWECRSDRLLLIGTANGGIKAWNADAKRVVCDLNTSRDFPSCIKTVMF >KQL16763 pep chromosome:Setaria_italica_v2.0:III:44818989:44822482:1 gene:SETIT_025123mg transcript:KQL16763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVVVTAATSKKPSKEDQFPAGRINPLLLASACVGSWKALNFLLEREDAKKAPMVAPTQEFLELLAGGSGTKGRIAVSAAGDVEEGVDHEPAPPAAGALLKGVTPDGDTALHAVASNGDNGDDFLKCAGIICDRDRDLLFAKNHMGDTPLHCAVRSGSSKMVSRLIALAEHEGAEGKLKLLRMENERHETALHEAVRIEDEKNMVRLLMGSDPELANYPAKGISPLCLAILLEKDTIAVTLYKKSGGNLSYSGPDGQNALHVAVLGATMMVEVLLRLNRSLPTQGDKHGSTPLHFASSLHQDSSGFFWCPPWIRNYWRTRISNIVAKVFEANPAALYPIHVAASVGTTSTVEFFLQKSPSSAGLRNAKGRTFLHVAVEKRRREIHSGLRCDHIEQKHRRPLNQKEKKKESNLIKDTTQMFIVVAILIATVAFGATFAIPGGYKADDHLNGGTPTLAGRYIFDAYMMANTLAFVSSTVATVALVISGTTMVDLGTRQWNLIAAVYLLSSSVTSMTVAFALAAYMVLAPVARSTAIAIFMISPLPVLYRNVDRIFKWGLLARARLVRKGPIPTILNFFGMAVFGTILMDLWPLIVTFAWAAFARIHH >KQL13886 pep chromosome:Setaria_italica_v2.0:III:7447195:7448367:-1 gene:SETIT_024826mg transcript:KQL13886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMGEGAHAPPWQQPPVSGGGMDGDDASPYTLLAALRHYLPSNEAAAAYDEDDEEALAAVDAYACDEFRMYEFKVRRCSRGRSHDWTDCPYAHPGEKARRRDPRRYHYSGTACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPPQQQSSPRGGAASSPLAESYDGSPLRRQAFESYLTKSGIMSSSPTSTLVSPPRSPPSESPPMSPDAAGALRRGSWPGVGSPVNDVLASLRQLRLGGGGSPRSAPSGGSFLAGYPFGSPNLPSTPTRPSTVTVTTPSGATVMTVERLNLGLIGDQELVMERVESGRALREKVFERLSKEATVPNDAAASANAEGAAPAAAPDVGWVSDLIN >KQL13155 pep chromosome:Setaria_italica_v2.0:III:2881704:2884427:1 gene:SETIT_024220mg transcript:KQL13155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAACYKYFIEATAKKLQEHLEGGAKQPIRLDRRQYEDILREVFPKTSDTKDQEQDTEQATKISITTTLDENQIKGIVDKVRQQILRERVTEAESNRKQTAGKLDGSMVEETMERIETIKRKIKTQLNIKGIMDRIEQLLDDKITNTMVILKIGGTMDRSVWEETRNALSLLGCVGGALIITTSKGTQRAKEYCYPPWEPIDYSVVGPYHATVLALASQKMQQDAQIFRDIVDECAPHEFCMKIFAHALYAKPKRSNEELHQLLSTLKAVSPKTFIGIAKKMLKFSFNDLPKEYKSCLLYLSIFPQGHNIRRSTLIGRWVAEGLITKEDWSTSVRQAERCFDALIERWLVYPGYIGATGKVESCVVGAQIHGFITKIAKKQHIVEARLSHHLAGHFSIFNDVRLRGSDRIDDFFRKLPKSHQLSLLKVLDLEGCHCFGGKNQSYLKDICSNISLLKYLSLKRTNVTQLPSEINHLHELEVLDIRQTEVPALATKNILLLKLKRLLAGHTVPSPSNTDAGMAVQVPDKIGKMLNMEVLSNVKPRSGRDLEDIGTLWQLKKLGLVIKEYGDLINLAGAISNLHESLRSLSITLPITSCDGTLSSTEFPYDIDSHLTYGPKLLESLSICGTTAKGSLLSVLAKYGDCLVKVTLSRASLNHDELNILAKLPMLSCVRLRHIVCAQRKVSFKENEFQKLKYILVEGSNITDINFQHREVLELEKIVLSSTGYLKSLSGVNHHRKLKELELNNSSRLLSLFDSAEKIAKLTLRGTFLMQRDLKILGKKPNMRCLVLLNKSCAQRELTFNKDEFPKLNILIVCCPNITKINFTNRSALKLEKIAWTFTNNKLEQAKGDAAKED >KQL13222 pep chromosome:Setaria_italica_v2.0:III:3246172:3251803:-1 gene:SETIT_022056mg transcript:KQL13222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRTPRRRDAASPQTAEAFSPGDPVEVLPDEPGLRGAYFAAVVVGPSTKPRGYTVEYDALLESEDSDRKLREAVPARSLRPRPPPLRAPASGEAPAVHASVDALHDDAWWLGVALGGADGAGKVKVCFPETREVMEFDATDIRPHLEWADGEWCSPDSMEIPKTMPYTKGMQIEVSKLEDDSVVAWLPAVVAKTIWKNNLLVEYTVSKSDGIALSEEIVDVKHVRPCPPQASAIHFCINDEVEAFRGGGWWLGVITDVHPELKYTFKPAHLGVEVQLSQKLLRLRCDWVDGQWKQESQNTLKAKFKQGAKVEVSSDDEGFHGAWFEATVLKSAGSKFVVEYATLKADDETKPLTEAVESRHIRPPPPHIPVVDGFKLLDEVDAFCNDAWWVGVVSKVISNQKYMVYFKRWREELEFEHGQLRLHCDWMGGRWMRAAPALEM >KQL14803 pep chromosome:Setaria_italica_v2.0:III:13962831:13963323:1 gene:SETIT_023809mg transcript:KQL14803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKLYKLCSGGMPQVYKHHNTVFSPKETLLNRHIACFSRFTDPPDLITTLIIERVWSTSSGTNQELHEVVSEIIPSYDTSGVNMATT >KQL13157 pep chromosome:Setaria_italica_v2.0:III:2893624:2894242:1 gene:SETIT_024118mg transcript:KQL13157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRVRCGCGDSSCPEWAVVELQGVVQPQASFSGDIRGLHIGRLCSAPSPSSSKGGYTFTVGYHELAGTKVTLKKPLLVLRKKKVTGGAADQEPPTAAEEAELEVIGVIRHKILFKDRPKALISKPPTKEKKAVQPAAN >KQL15716 pep chromosome:Setaria_italica_v2.0:III:22966800:22969656:1 gene:SETIT_023399mg transcript:KQL15716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVGDTVESIRSMQIRQVLTQIISLGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQDTKEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGYLPYVGWVTIIMTEKPFIKYLLIGALGLLVITSKE >KQL14831 pep chromosome:Setaria_italica_v2.0:III:14172988:14180281:-1 gene:SETIT_021694mg transcript:KQL14831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPFDAPVDLVNADHNGGNQLSRTSVVPARDYGLQNGDAKSFAPNSDTLVRHQLQGASMQKDLVVEDPNTRLMDPETKELYFRSQSQEDEILLLRKQVADASLKELRLLNEKHILERRLTDLRMAVDEKQEEAISGAMKQLNQKKNHIEENMRLANDLKAEEEELYLFTSSLLSMLAEYNVRPPQINASTITTGTKRLYQQLYWKIRSLNDSLGDMTQPGNIYNPNHQQATPSRNEPSPSYNMDANRNTLRYAQVSSDRHVEQMYHGSHFQQDIVGTTPSNYFEENVRNGEARVDGDSQLYRHENQDYPADGDPLPGIEGFQIVGEPRLGSTLTACGFPTNGTTLCNFQWVRHLENGTRQSIEGATMYDYVVTADDVGTLLAVDCTPMDDNGRQGDLVTKFANNGYKITCDPEMQNHIDACILNGKAEFEVVVLHAYSPPEEWELATLVLTRPSYQIKLKHTGEVIIDEKYSSYLQTKIPNGRTTQFVLVSSTGANLPVNTQGLSDPNNEDYDVRLRDLIVLVMRTFQKKALDAKRKGKA >KQL16532 pep chromosome:Setaria_italica_v2.0:III:42010436:42013364:1 gene:SETIT_024775mg transcript:KQL16532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDKAGQFICWLDLVRLNSPAAGSNRDRGLVLKGMPGPKVVVQCLDGTEVTVNARNVRVADRSYFCPGMVVASASDRGGQLGVVTGAAVELDLVRLDGEDAAATLVARGVSPAELRRVSEFCLGDYVVSGPWLGRVFEVSLDVDVLFDDGTVCRVTTADGKLWPVGEGSSKRYTNVFYPGQRVGGRSSVFKAARWLKGYWKPSDGEGTVSKVETASVLVYWVASSQLGAERSVVQASSTPAHQQSPRDLTFFRAGDDVLARFWGVGDRCFFRAPCRRRVLPAGDVGANRNRLRRLGAKRTPRRESWSHAGFERPLSVASTRTTLDVLWQDGTRQCQAPSVSLVPTMPQNTHHLFPGQRVVSRTSSDGDGDVARSGVVRSLNFTDQTVRVSWQKAAAEHADDETLSSTYDLGRDFDSNVFYGDVVVDGGTGGGKKWMKRVQKEWNILENNLP >KQL16914 pep chromosome:Setaria_italica_v2.0:III:46651504:46654989:-1 gene:SETIT_021500mg transcript:KQL16914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLWAAAAWLAVCAAAHPGRGAGGEQPLSMIAVEMTVHAVDDAARVKASPLVLGLTGENSEWVDVEFFHPNPSDDDWIGVFSPANFSAAICEPENKRQYPPVLCTAPIKYQLANFKNDGYSKTGKGSLKLQLINQREDFSFALFSGGLLAPKLIAVSNKVAFVNPKAPVYPRLAQGKSWNEMTVTWTSGYDITEAVPFVEWGEKGGRRFLAPAGTLTFDKNSMCGAPARTVGWRHPGYIHTSYLKDLWPDSLYTYRLGHRLMNGTRIWSKSYSFKASPYPGQDSLQRVVIFGDMGKAEADGSNEYNNYQPGSLNTTYQIIRDLENIDMVVHIGDISYANGYLSQWDQFTAQVEPIASTVPYMIGSGNHERDWPGTGSFYGNLDSGGECGVPAQTMFYTPAENRAKFWYATDYGMFRFCIAHTEEDWRPGTEQYKFIEQCLSSVDRQKQPWLIFLAHRVLGYSSCAYYGLEGTFEEPMGREGLQELWQKYKVDLAFYGHVHNYERTCPVYQSQCIVNASDHYSGPFQATTHVVVGGGGASLTEFTTSKVQWSHFTDFDHGFVKLTAFNHSSLLFEYKKSRDGNVYDHFTISRDYRDILACSVDSCPRTTLAS >KQL17354 pep chromosome:Setaria_italica_v2.0:III:49922475:49923310:-1 gene:SETIT_024028mg transcript:KQL17354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKLVALFLAFAVAAAALQPSEAARVQARQGFKPAVASQEAEKVAAQADGGVPSAPTLPGLPAGQLPPGLLPAILGLLFPPLGSIISMIQPLLPPPGSLSQQGGVLGGILPGTSPSPSQQGGVLGGILPGTSPSPPAPAECMTPLSAMMPCTDYLTNMTVLTPPGQCCDGLKTIIRDAPICLCHGMTGGLNQFLPKPVDHLRMTALPLACGTVLPIQTLFMCNSNQVPPIMPPTTAEPLMTPATP >KQL16922 pep chromosome:Setaria_italica_v2.0:III:46692889:46693261:-1 gene:SETIT_025484mg transcript:KQL16922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFFASFALVHTPCSRSGIFKQPSLLRYASFRAQGACEIVLKQ >KQL17437 pep chromosome:Setaria_italica_v2.0:III:50442752:50443673:1 gene:SETIT_025067mg transcript:KQL17437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEHFGVEKRMVCRSALEEKQTNEKREIDTKKSFQEVRPHVRFSPKFLWTEPFHRNFEGLKGKIPIGFKSYKIST >KQL16285 pep chromosome:Setaria_italica_v2.0:III:36282272:36282701:-1 gene:SETIT_025691mg transcript:KQL16285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQKPSFDRVKLSLLLLFLQKAVNYHQQFACCNFFGQHKRPTVLLADSIGFC >KQL13378 pep chromosome:Setaria_italica_v2.0:III:4150909:4153230:-1 gene:SETIT_023742mg transcript:KQL13378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETNGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >KQL13629 pep chromosome:Setaria_italica_v2.0:III:5643766:5646242:-1 gene:SETIT_024260mg transcript:KQL13629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLRGSIAFFGTYRPPVPLDIYSRPADPAANSDNEPLLLTDGESYNHNGREIPAAALKELVAFLRKRDPKTRDASRGLVFVSERDNGLETLHVALYSDGEPTPRVLSLADIYGAGTFGGVRLEDSGCFAGGFQAGGRTVGHSIIYVSTKDPVKARRTPWTVVYKTNLADGKTERLTPPDQYDLSPAVSPSGKKVAVANFQFHRWNGEIEHLKTDIVIMNVDRQAQGGLERKVTIRNAGWPTWGSDNVIFFHRANTPDDKKKLDVYWGVFRYDIKAKKEERVTPEGIGAMTPAAISETSVAVATLREKSPANVSAAPRKNVEQYRHIEIFDTTSPNSPVKITRGMADHYSPIVLDGGSRIGYHCCRTDKLMQDKKGNAVAPKKFDKLQTPASHKDVGLFRVTGVFPSISMDGKRLAFVDNEFKAVWVADKDGLRVIHKENGENKVFSTAWSNSKEKTILYICDGPAFTRAKTVEIKAIVKNEETGEWNKPNRLTKGEFNHAFPSSNHDGSKFVFRCTRDRTHGQSVRKQNHTNLYIMEDAEEGEWGEGTVTQLTDGPWVDTHCSWSPSGDWIVFSSSRDKDPDAQPGTLDAGYFSIYIVNAAERDPTNKTMPPPVRVVHSADTFIGHVNHPVFSHDMRSLIFTSDLAAVSVEPISMPIFIHSVRPYGDIFSVDLRDDKDIAKNENITEFHRLTHSRYEYSTPAWTKFATVDPNEQWNVLKTISDKGYVPTCPYLKNGAEGWQMAGHLTISKRCC >KQL16670 pep chromosome:Setaria_italica_v2.0:III:43655976:43657698:-1 gene:SETIT_025241mg transcript:KQL16670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFPPVHAAVGDQVTVLTIDNDGIRGLIPGTMLAFLEARSAAGAGRPGGEAGGLLRLRRRDEHRRAHRGDMLAASGEDTWRRPLFATEDINPFYLEHGPRIFAQKWSSLAGIPVAWGPSTTASTFTKVRDTLTNVVISPHSIDVKLLQPIVFSTYDAEIKPSKNALLSDVCIGTSAAPTYLPAHHFWTQDADGVDQLALASTHRFGVLTMVAMTMITEEIIMAKAHNLLKAPEDDCGRFLVLSIGTGLSSDEGMYTAKICSKWGILGWLRNRGMAPIIDIFMAASSDLVDIHVAVKFKLFRSERNYLRIQDNTLGCTAAAMDVATPENMRNFVKIGERMLKQKVSRVNVDTGKYEAVQEENRTNAEPLNDLAKELSKERTVRRLKAGPASGGVAQ >KQL13804 pep chromosome:Setaria_italica_v2.0:III:6835652:6836182:1 gene:SETIT_025178mg transcript:KQL13804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKFYVENYPNEGPFTVFHCWKVLRHEPKWHAVLEELEKSKKRGLDDGGDSSGNTLSQEDIGEKEPPMWRNEAKKQRQCKGKGKANDDDDSLHEDMKKYMDIQAAASKQHEEFLETQKHISNAKVEATRLRREAVLTDSYQKMMSMDTSQMTDEMKAEHVMGLKMLWDKLLGNTI >KQL15051 pep chromosome:Setaria_italica_v2.0:III:16094546:16094918:-1 gene:SETIT_024881mg transcript:KQL15051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGVHVAASRMYYKPPQTQATTMTSAEDTKAKTSSLHAASVLRPFAAAADSGAGKVEVPVHVPPDDLVTVVLMRLPGGSGCLSMVARQGQDETCEQALRRERQARGGDE >KQL13496 pep chromosome:Setaria_italica_v2.0:III:4795905:4798234:-1 gene:SETIT_025634mg transcript:KQL13496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAVRFGTLDRLRWRPPRNLLPRLLSSSLPEPPPRGPRWRRCWSSRRWRRCCATSGRATFASSPSAWAGCTAAPAPTTWSSPQAAPTGTSATSRRRSSTRKHNHPCT >KQL12880 pep chromosome:Setaria_italica_v2.0:III:1436484:1438429:1 gene:SETIT_022192mg transcript:KQL12880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEPKTVFLAFIAILVVVIIILLGICWKFLKPDLMRRLMRPRSPASEVPEYFSGNMSGNLRTITYFDYAALKKATRDFNQKNQLGRGGFGPVYLGKLDDGRKVAVKQLSVGKSGQGESEFFVEVNMITSIQHKNLVRLVGCCCEGSQRLLVYEFMKNKSLDKILFGDDGSPFLNWKTRHQIIIGIARGLQYLHEESNLRIVHRDIKASNILLDDKFQPKISDFGLARFFPEDQTYLSTAFAGTLGYTAPEYAIRGELTVKADTYSFGVLVLEIISSRKNTDLSLPNEMQYLPEHAWRLYEQSKVLELVDPKIQTDGFDEKEVQQVCQIALLCVQAYPNLRPAMSEVVLMLTMKSDQSIPAPMKPAFLDRKNLKDKNATSDTAMEMRSASYWLNTPSPMVDKPYDMSCGI >KQL14788 pep chromosome:Setaria_italica_v2.0:III:13855231:13857218:1 gene:SETIT_025048mg transcript:KQL14788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPNPGFLLLRSQTLTPRFRRSPSCDPLLCRPRRCLSARASAAQLSAAGVATAQQPELGVEDAVVGFVTGKRRATEVAHAVWRSIVRKGDTVVDATCGNGNDTLALLKMVADERAQGCVYGMDIQDSAIESTSSFLKMAVDDDHQRELVKLFPICHSRMEEIVPKDAPVRLVAFNLGYLPGGDKTLITVPRTTELALQAASRILSSGGLISVLVYIGHPGGRDELDVVESFASSLPLDTWASCKLQMVNRPVAPVLILLNKK >KQL16466 pep chromosome:Setaria_italica_v2.0:III:40447632:40455074:-1 gene:SETIT_021535mg transcript:KQL16466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVPSDSSHHGVVDNSPNGFTQGRREEARKLGPSWYFSRKEIEENSPSRRDGIDLKRENSLRKSYCSFLQDLGMKLKVPQVSIATAMVFCHRFYLRQSHAKNDRRIIATVCMFLAGKVEETPRPLKDVIVVSYEIIHKKDPNAGQRIKQQKEIYDKQKELILLGERVVLVTLGFDLNIHHAYKPLVEAIRRFNVGGANALPQVAWNFVNDGLRTSLCLQFEPHHIAAGAIFLAAKFLKVKLPSDGDKIWWQDFDVTPRQLEEVSNQMLELYEQNRTTQAQASQGSEAEGSSAGARNPHSSVKSEANSKEPSAHGYHQASKLPNSSLTGAPGHHDVGHSNSDKHISGPKMLQNDNGNHGGSKDKSSKSGIKSDAGTDRSHRDKKSSPGQHHSKSSHEFRNPKEEHQPHRSHDNSNETRDGVLGGNEAPGVSSSRMDAMNKIDKDKVKAALEKRRKSKGGVATNVNVMDDDDLLERELEHGVELAVEDEKIKQDKRQNLSHGSMPPPDLQHVDLAMENGHNGEQSVATTADDGEFPRNSKEQHPQPFDKQSDGYEHKSQQDDHILKHDKGHDAQLAGRHEQNGRDDYKRPKLEGAQDNKV >KQL14593 pep chromosome:Setaria_italica_v2.0:III:12218457:12219934:-1 gene:SETIT_024056mg transcript:KQL14593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DADILRTFIKHVYVERRYADQRIGEHLPQAKIQGNQDSYENNNADSSRGVLRSAYVGTYEDNHDLKRSIERVLEDRNNSNGHPMSTTMDQNNRSTVASKNTSFRSHMHPDDLLKTGGKSENNQKVVIASTSSVVQASKETNSNKEILPIKLPGPPRSQKATTSNTSTEAQKSTSSRTDDLSPATLQDAKAKLYVSKNLIDFNSDLEPPQGAAPTDTQKDSLPQTDVGWATFDVVAPKKTTAMPSTSSTNSVDCPMLQNPDLASTPQIRFPNAKSLSFPPANHGSQQHQHYISHMNTI >KQL15200 pep chromosome:Setaria_italica_v2.0:III:17777626:17778289:-1 gene:SETIT_025645mg transcript:KQL15200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVVDRRGCRRSGAAGSHGGPAVRAGSRGERRLEGPGELLCIGSGLDARAPLPPASSLASTPALPPPAPGPACCLTPSRGRSSTSPFSL >KQL13498 pep chromosome:Setaria_italica_v2.0:III:4804478:4809534:-1 gene:SETIT_021778mg transcript:KQL13498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSSLTESLHEKTIVFGLKLWVVIGIAVGASLLGILLILLICLTIQSCIKRSRKPLNDRPTIQIPPAYKDIKEVGTVDQFSANDFVVHDGLLLTIQNEPEPVESVNRDAVQLAQEEKLKQREENNLSGSFRITDGCDVIQIVSSEEQSSTHATVDSAPLAGLPEFSYLGWGHWFTLRDLELATNRFAKDNVIGEGGYGVVYRGRLSNGTPVAVKKILNNLGQAEREFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLESWLHGELSQYSSLTWLARMKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKISDFGLAKMLGAGKSHIATRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPIDYERPPNEVNLVDWLKMMVANRRSEEVVDPNLERRPSTKELKRALLTALRCIDLNAEKRPSMDQVVRMLDSNEPIPQEERRHRQNRTPETSETEPLRGKNNSGRSDAPEHEARPPRPKSRTFSSK >KQL17089 pep chromosome:Setaria_italica_v2.0:III:48180494:48182947:1 gene:SETIT_024525mg transcript:KQL17089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVYDTLAEHLLSVLKNIEHLDSKYIVGLAGPPGAGKSTVASEVVRRVNMLWSHAKGSGALLPTEEIAAMLPMDGFHLYRAQLDAMENPKEAHARRGGKEPDVAAWRISYNDRPNAELIMESRKDADLVIRSVDFSS >KQL16273 pep chromosome:Setaria_italica_v2.0:III:35725374:35725988:-1 gene:SETIT_024637mg transcript:KQL16273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTFETPQGRRFIIQIWYFSTVRRIKECILQREGIPMEAQQLFFMGRELQDNHDTEFYSILHGSHILLVICKASPPIIETHTSDVHVVISVTSLGRSIKLNLKTSNTVAHLKELLQEHTDGALPANQVTLFFDKVEMEDDKVMAMYNPPVDRMEIDVVVTQPPPNNNKQGASMDEGQGQPGCPDGDSRCEQPRPCQGAIEEAR >KQL14472 pep chromosome:Setaria_italica_v2.0:III:11437464:11440043:1 gene:SETIT_025215mg transcript:KQL14472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGNKRVGTSGGAQVLPEEMMTEVFLRLPVKSILQCRAVCRSWADVLSSEEFCRLHMARAEAASATPKLFFTSPTSGFDATSVYLGSSSGPDDGLLFTLNNVRGDFMDMTSSPCHGLTLLYDAVAPAYYVFNAATRTVTRLPPCQDSAYATAGLTFDARTKEYKVVRLFNGKYLEKQHIKCEIYTLGGKQGDCWRPAVGGVPFRFCLAADVAISHATLDKLQPVFADGFLNWLIHPDFLVIRPRAAILSFSITDESFRWVHSPPFVVSGVHLVELTGHLCMVRDLRNVSPDCSMLEIWKLNDYSTGGWSLKHRIDLLQHAARDLTDPQIIRVIGSVNAYGSTKKVVIATSKRKVIAYDPVLGTLETILAIRETYSSYQTEQSALRLSLFKESLVPVHQTNEEMALSTPLAEATREILLRLPGDYTVQAKLVCKQWLRLIENESFMHYYYSHNNMDRRPKIMLVGKGTGGSGFSFAPLNELKQHIPNHDTWLDMKVVCSKPCHGMNLISTEMKDYLYNPCTGYRFVYLSREQFTHMPCNNPGYGYMPQDHAFAVGNKNVGLGFNLLMQEHVIVRFSYQRKDFKTRQYLLTSTVITCGIGCTQCHLYPPLPVNDMPPTYIKGLLYWMSEPRLGQTYERAIVSFDIAANSFGVISCPSCIATWNNRCSSLAFVVELEGSLCAVLANPVAEELDIWKLENGEWSIAYKVNLKGWSGYSLRANVVMPLAVDPKDGRILLNTGKKLGIYDPRRHSIESLYDLDEMLHARSAEKSSRFGVCEGFDVNKCKHSVKKSCTWKPPVRQLKIFNGSSPALSWKISASSSGAQPLEETNQYTRIMPLVPILYEESLASYPRVRKARCLER >KQL17242 pep chromosome:Setaria_italica_v2.0:III:49175437:49180508:1 gene:SETIT_021228mg transcript:KQL17242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPAAALARHHVLLPPAPPSSSCARNGAAPASVSVCCVLLPRRRRAAPARLVASRRGGGWASSRSRFRAPRAGMDMDLASGAVEVINDLGFDTLTFLGVTVIVVPAFRVVRASPVKYLSPVHPSPPRRIPSLSPCSRVEFQMLLLLLQILGFFCAGVVLNQFGLIRNLTDVKLLSEWGILFLLFEMGLELSLSRLKALARFAFGMGLPQVLLSTLAFTAFELPPNGAIGTKILQFLFNSRPDLVNIRSIDEAIVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNIVEQSVWPILLAESLKALGGLGLLSLGGKYLMRRVFEFVAESRSSEAFVALCLLTVAGTSLITQQLGFSDTLGAFLAGAILAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLIREWPNVLSLLGGLIAIKTLIITAIGPRVGLTLQESVRIGLLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEVGRRVAGIIDENAEEKEKPAEMVNYGATEPIVILGFGEMGQVLANFLSAPLSFGLDQDAEGWPYVAFDLNPAVVKSARKSGFPVLYGDGSRPGVLQSAGITFPKAIMVMYTGKEKTIESVNRLRQAFTAVPIYARAQDLSHLLDLKKAGATDVVLENAETSLQLGSLLLRGLGVMSDDVSFLSKLVRNSMEVQAQEALKDVGDKELDIMMPLQVRVSDLVESDGNGSRMIAQEQSLSLSSRPNLNIIKPPVGSRIPDMKVEKDQPGYDFDGIDSADGVRYCLLEADDETDEASGASKEMIDQSA >KQL15035 pep chromosome:Setaria_italica_v2.0:III:15936701:15939952:-1 gene:SETIT_024860mg transcript:KQL15035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAARRAVAVGVPAGGGEEANLGAAAAAAMPGRGEVDTSSPFQSVRQALDLFGGGAAAVSQWRHPQAPPPVQLRPEEEELMKVEEQTVKLEMELFVKEKETFKVLKELQETKQVIDGLKVQIEKETTYSTNSAEGHTDMGKVHPLPAIEQKSMRHTEPPIQSTKGTQSPLSTLIKLNQAKAFLNTDTVNMLKSQMEKEKGSLEKTREKLQLNLGKASSLEADLTKTVAQLQAVKAPQPVLEPSEIWLQMKHLNSEKAKHRKVSDDLKNEICELTAAIEHTNSKTKTLQFRIIMAEKLKEASQRGEAIALAEMKNLSNGQDLNATTSDVTLSAEEHSMFVLKAQEADSTSRKKIDAAMQELDQANQCKLELLERVEEAMAAVETSRKALEEAQKREESANKAKLAAEETLRKLRSDQIMQNWRPINNNSMKFKNTAVTPRRAGSGIYDVNGLSLVTTGPKNMKTVSIGQILSMKLDRELEVAKTTNARKKVSLGQILSQKYEVFSPLRIDQDGASRKQFQPRRKKMGFVVYALLLAKKRHRKRQAASCTHGGFS >KQL15887 pep chromosome:Setaria_italica_v2.0:III:25011062:25012259:1 gene:SETIT_025629mg transcript:KQL15887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTWYMIRACAYVCYTSPCALFISSSCKDMEGSKRMKNDV >KQL13471 pep chromosome:Setaria_italica_v2.0:III:4657851:4665022:1 gene:SETIT_021908mg transcript:KQL13471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNANSDVQKAEELKLKANDAFKANKFSQAIELYSQAIELNSSNAVYWANRAFAHTKLEEYGSAVQDATKAIEVDPRYSKGYYRRGAAYLAMGKFKEALKDFQQVKKICPNDPDATRKLKECEKAVQKIRFEEAISVGEAERRSVADSIDYHIIEVEPQYAGPRIDGEEITLDFVKAMLNEFKKQKCIHKRYAYQIVLKTLELLRAMPSLVDVDVPNGGHFTVCGDVHGQYFDLLNIFELNGLPSEENPYLFNGDFVDRGSFSVEVILTLFAFKCLYPKAMYLARGNHESKSMNKIYGFEGEVRSKLGEKFVELFAEVFCWLPLAHVINKKVFVVHGGLFSVDGVKLSDIRSIDRFCEPPEEGLMCELLWSDPQPQLGRGPSKRGVALSFGADVTKKFLQDNNLDLIVRSHEVKDEGHEIEHDGKLITVFSAPNYCDQMGNKGAFIRFTAPEMKPDIVTFSAVPHPDVKPMAYANNFLRMFQ >KQL15154 pep chromosome:Setaria_italica_v2.0:III:17492144:17495351:1 gene:SETIT_023223mg transcript:KQL15154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLAETDSKPEDKAIKINKPDATETPVGQKSACCGS >KQL16528 pep chromosome:Setaria_italica_v2.0:III:41439926:41441822:1 gene:SETIT_023919mg transcript:KQL16528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLFYLELQGPSGLGFGQSVRVLQRLSHSGFPSSQDEIQVKQATMAQRMEQNKQRCTLECLVGHCD >KQL15849 pep chromosome:Setaria_italica_v2.0:III:24509929:24517598:-1 gene:SETIT_021344mg transcript:KQL15849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGTTAMEVRADGVAVITISNPPVNALSLDAIASLQRNYAEALSRSDVKAIVLTGAKGRFCGGFDITAFGKMPKNEKPGSMSIDFLSDIVEDARKPSVAAIDGIALGGGLEVAMVCHARISTPSAQLGLPELQLGIIPGLGGTQRLPRLVGLQKALEMLLMSKAIKGREAHELGLVDAITSANELVNTACSWALEIVEKKRPWFKSLYRTDRLPDLVEVRDILKFARVQTKNEAPNVPHPIVCIDVIEEGIVSGPRVGLMKEVLSAKMLQQTQTSKSLRHIFFAQRATSKIPNITNIGLTPRKIKKAAIVGGGLMGSGIATVLILNNFKVILKEVNEQSLSAGINRVKGNLQSFVRQGQLTKEDCENKFSLVSGVLDYEQFRDADLVIEAVIEDVLLKQQIFSDLEKYCHHNCIFSTNTSMIDLNLIGQKTTSQDRILGAHFFSPAHVMPLLEIVRTHQTSSQVVVDLLDVAKKIRKTAIVVGNCTGFAVNRVFFPYSQAASFLVDYGLDVYHIDHVITQFGMPMGPFRLADLIGFGVVTATTKQYFQSYPERCYKSMLLLQIMLEDNRTGESSRKGFYVYDNKRKASRDPDLRKYVEKSRDMAGVKQDPKLMKLTDNDIVEIIFFPVVNEACRVLDEGISLKASDLDVASVMGMGFPSYRGGVMFWADSLGAKYVYDRLEAWSKDYGEFFKPCEYLAARARQGASLAAKLDSAKSRL >KQL15913 pep chromosome:Setaria_italica_v2.0:III:25277270:25277871:-1 gene:SETIT_023522mg transcript:KQL15913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGQESRQELDRMAREGETVVPGGTGGKSLEAQEHLAEGRSHGGQTRSEQLGHEGYSEMGSKGGQTRKEQLGHEGYKEMGSKGGQTRSEQLGHEGYSEMGSKGGQTRSEQLGHEGYSEMGRKGGLSTKEESGGERAAREGIEIDESKFRTKS >KQL12761 pep chromosome:Setaria_italica_v2.0:III:749420:750816:1 gene:SETIT_022801mg transcript:KQL12761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLQAHDVSDLCIGKPALRWLPPSSTVADAAAELEGAEAIAVWDGEEGSDVAGRVCMADVLLFLCAGANLASPAAALQATLSDLLAAAAPPPVRRIEPDASVLEAVDSLLGGAHSLVVPIRERWRRAGRAELCWLTLEDVVRFFLSSIGLFSPTASRSVSDLGVVRPAANLAVAAGDSALSALPLLSRQPTSPSALCSSDEDNDGKRCVASPCARRGKRGPFSSRAMGWRPAAEAIVCRRGSSLVAVMVQAMAHRATHVWVVDEEGEERELVLVGVVGLLDVLRVLRHHLHQPPPI >KQL15753 pep chromosome:Setaria_italica_v2.0:III:23414771:23417729:-1 gene:SETIT_022036mg transcript:KQL15753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQL16130 pep chromosome:Setaria_italica_v2.0:III:30480961:30481372:-1 gene:SETIT_025740mg transcript:KQL16130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKARPSSSKFLTACRKKTPQKPHSSMCMLPIKSALSMGVCL >KQL15612 pep chromosome:Setaria_italica_v2.0:III:21628047:21628569:1 gene:SETIT_025708mg transcript:KQL15612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAEKEMFRVGRIHFLVAAQKFSRSTAAAACCTLYVLLQTRR >KQL16250 pep chromosome:Setaria_italica_v2.0:III:35162363:35162692:1 gene:SETIT_023957mg transcript:KQL16250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLKVYNTRRNKLILDKETVSICTCNNLFITVDKGRQNFVVVLHLDTIIGNLPSTFASK >KQL14854 pep chromosome:Setaria_italica_v2.0:III:14357877:14359237:1 gene:SETIT_022556mg transcript:KQL14854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNLLNLPPSKGALKPSLPPLPTRPSLPAPPRRPRLRPSLTFLRSEPRRVVHSSASSSPPSDAAPSPPSSREEAVAQARSCLATALQRPLNNSVPLKKLKRQRQPRLRAEIPVVDDSPGSLARLALDVFSGGAGVSKKGSPARLLLVWPSADALAVAMREFESSGDSATAHAQLGSEAPDVLSACDAAVFLAPGPAQVEQVKAAAAAVDPKPVVLFNPAWSFDDEEGEAFGAGARGFVGSFSVVYSFTGLEVRGLLSKKRGVLLRCVDGGRFGGESWVLMVENDGGAPEGQEFKVVSRLKKRPTIGEVETMLYNLMAANSPVTKSARFLREMVSNVTGGKAKQ >KQL15435 pep chromosome:Setaria_italica_v2.0:III:20134321:20135625:-1 gene:SETIT_025167mg transcript:KQL15435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGNIDKRINQGDGPYVFRINGQIHHRIGSLLPQPNKAPKFAELYIFDTKNEIENRIRALTNEEPDQNDINLYIVNELKKMLDNCNPLVKVFRHARDLLEQHRGIYVSIHILGADKGGPIQYEMPHTEELAMLIVGDLSLENNKRDIIVSNRNKGLQRISIFHPAYMPLQYPLLFPYGERGFQLGINYYEEATINMHEFFKYHVHYRLDQPNPYLCYGRLSKQAIVDARAMEDEDKLMFIANL >KQL16643 pep chromosome:Setaria_italica_v2.0:III:43265303:43266012:-1 gene:SETIT_023423mg transcript:KQL16643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGSINEDFSVAVPAELLWKAIFALDASAMEKAFAGMIDAVEIKGDGGLGSLFIMKYNPAMGKAMVLKSRLAVHDHAELVVSFDEVVEEEGGEVASAQFKSQVVQLKWFPPARAPAWSSSPWSTSASTASRCRRRTRPSSCRATSTSSRRRRRTSSRTPASSPELITSSYFGHS >KQL16790 pep chromosome:Setaria_italica_v2.0:III:45094930:45095925:-1 gene:SETIT_023469mg transcript:KQL16790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPACLQKAPYMLQIPIHCSSGCPLLAMTEACSAAATAASTAYASAWSHEKTHQARARLVSVPPPPARWAAVTQHRATCSRLYPAAAAATATRSTIAFMSLKVALFWKEKKVRVWLSVATSAAASADSKQRSARRDTSATFTFRSATAPAMAVAGRAEQPERCSIRG >KQL16027 pep chromosome:Setaria_italica_v2.0:III:27173216:27174939:-1 gene:SETIT_022702mg transcript:KQL16027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAPYEQVMQDMRKGRELAARLQGLLRDSPEAGRLVDQILNAMSRTIETAKAAAAAEEGSEGQSEVTCAGSAGGGGKRKAAGGGDKRSTCRRRAQNSSTVTVTIKDIEDGHAWRKYGQKEIQNSKHPKAYFRCTHKYDQQCAAQRQVQRCDDDPDAFRVTYIGVHTCRDPASVAPVVLHSAGIADELHAGSHLISFAPNASATTSGNTSQQTDQKDAALLAGLRPLKLEAVGGSGEQEEVLSSLTPAGSSAVAEAMRNAAATPGPDQGDVTSGLQHCYGDGFADMAPFNYDDDGTFDLDDLVVFGFDQGQADY >KQL13843 pep chromosome:Setaria_italica_v2.0:III:7163410:7165974:1 gene:SETIT_023331mg transcript:KQL13843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAEAGIERVLWTEAEVAARVGEVATELAADLRALPEPAVVVGVATGAFLFLADLVRRVDAPLAVDFVRVESYGGGTESSGKPRITADLKVDVAGKHVVVVEDIVDTGNTLSCLIAHLEKKGASSISVCTFLDKPARRKVNIQLVGDGKFYSGFECPDCFVVGYGLDYAELYRNLPYVGVLKPEMYKKDSSN >KQL14792 pep chromosome:Setaria_italica_v2.0:III:13899991:13903319:-1 gene:SETIT_022193mg transcript:KQL14792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDLAGSRRWRCDLGGDDRWLSGAAGDDHFDRLPDALLLVIFNRIGDVKALGRCSIVSRRFHELIPLVDSVLVRVDCVIPDEPPSSSSPSAPSSPTASVRARGVFSQIARIVLGGIVKPIQALGQILSPANSASGFSASSASSSSSFSPSSTSYSPLPPGDVSHHSPSEVLRSFKELRHLRIELPSGELGTDDGVMLKWKADFGSTLGSCVILGASSASPSSAGSDGTSTAPSVDSGRSEPDECDDSGSIPESFYTNGGLRLRVVWTISSLIAAAGRHYLLQPIVADHTTLESLDLTDADGQGVLTMDKCQLQELRVRPVSTSAASHRTLMPELSMWLWYAPCIELPGGLVLNGATLVAIKPSEEATKDMVGNGASVATWVLDAFEEPYRTAARMLLKRRTYTLEMNSF >KQL13160 pep chromosome:Setaria_italica_v2.0:III:2902564:2905167:1 gene:SETIT_022104mg transcript:KQL13160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTACFCLCIGLLLWQSSRQLGAAAAASSSGSGSGGCEFAFDMLHPLDPIRKIRSEAGTVDYFDEANQQLLCAGAFFIPVVIDYRGLVLPRYANGGVLALAQQGTGIVGWTFPGCPEAYQKFRQGDVIALRPGVPHWFYNDGGNNEPLELIMFYDINTNSNQLQPQHKDFTFAGSNSNRSRNIFKGLTTKSISQSLEINQDLATRLQGPSNDTRGTIVRVPNGLRLRLAAQLNLNTTTATQLQDEEHETGQAQPGRQSKTLLPDNRYLNCLMKVIMNLEDPHSRRITRLTGDSFPILNSLGLSVERGTLKPNEIVSPYYTINAQTVVYVTGGSARLQVVDNRGVAVLNDALRQGQLLVIPQYYVVLIEAGQDAGFEYVAFKTNANPLISRIAGPGSVLRGLPVGVIAASYNFSTADAIKIKNSRGNDERAV >KQL17465 pep chromosome:Setaria_italica_v2.0:III:50565116:50565774:-1 gene:SETIT_023806mg transcript:KQL17465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLGSKTKRGRRNDKNKDVWMREVIVGGSTGESAGEGHGEEEDADVEEDDDELGVEQGEEELDHEHQQQGVEQQRQHHLHPIQAACGW >KQL16748 pep chromosome:Setaria_italica_v2.0:III:44668286:44670217:-1 gene:SETIT_025246mg transcript:KQL16748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFTDDRVKSLSHQVSTLRDKVWELERKSTQLAGDKGKLEKQLEETKKAAEVLASEKEEVEMSLKGENDKLRMEVFAAEEKYSQSEEEVKKLKMELAALAEAKEVAGKAFDSEKAAMMMESEYLKRRIGETQANKDLVDGENDKLRLEVLTLEQKCSLSEAEVERLKMELDTLEVAKEAAANAFHAEKVEIIKQLEDLKRKVEEIQASTDLVMAENDKLRSEALTAEEKHSFYEAEVERLKMELSVLAEANETAVKAFDAEKAEIMKELEDLKGQVEETHASKDLVMGENDRLQSEVLAAELKHRMSEAEVERLKMELSARAEAEEAAAKAFDAQKAEIMKEMENLKSMLEEIQASKDLVIGENDKLRSEVLTIEQKHSMFEAEVERLRKELDALVEAKEAAAEAFDVEKLEVMKELEGLKRKVEEIEASKDLEKDENNKLRYEVLTLEQKLSHSQEEVERLKMELGALAEAKEAAAKVFDAEKAQIMKESEGLKRRVEESQARKQAEEALHDKVAQADKLRAEVEELHVSMSHLQASYNEIDAKRLRLNDEKNSVQKALDAMKDEAAIMKSKIEVLENNNTEKDGEIGKLKAEKEEKMGKKKCSIRANLASGRTFLSSCIPK >KQL17129 pep chromosome:Setaria_italica_v2.0:III:48464472:48464856:1 gene:SETIT_024022mg transcript:KQL17129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREPRQQVTSQSSCSGVERLTLTLAPPWPRLSPYPDNGTYA >KQL13333 pep chromosome:Setaria_italica_v2.0:III:3868772:3871494:1 gene:SETIT_022464mg transcript:KQL13333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLTPRQPKKAYGGEGGAYYEWSPADLPMLGVASIGAAKLSLAAGGLSLPSYSDSAKVAYVLQGKGTCGIVLPEATKEKIVGVKEGDALALPFGVVTWWHNAPDATTELIVLFLGDTSKGHKAGQFTNFQLTGANGIFTGFSTEFVGRAWDLAQDDAAKLVSSQPASGIVKLGAGQKLPAPSAQDREGMALNCLEAPLDVDIKNGGRVVVLNTQNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGTDGKRVLETQVEGGYLFIVPRFFVVSKIADASGLEWFSIITTPNPIFSHLAGKTSVWKAISPEVLEASFNTTPKMEKLFRSKRLDSEIFFAPN >KQL15678 pep chromosome:Setaria_italica_v2.0:III:22474626:22482336:-1 gene:SETIT_021731mg transcript:KQL15678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADELAATREVCDERINLSVATSHQTENWELTKVSSVERAAYAFIPQTPIRSTDAHLEEFSEAMRTVAKTLRRVVEEKAAAQAEAAEWKRKYELQVASKEHKHHNVIKGCSNFGNDKLEQLTSQMALETASIDQTSCCGNHGICSHQILQDECPGPNRKPDEKIVARKAPFRLLWGCDGDKNDQHKRDFVSFEKGDIKTAERSNKQILLKWESPPQTVLFVTKPNSNSVLALCAEMVRWLKEHNNMNVFVEPRVSKELVTEDSYFNFIQTWNNDQEAKTLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPREQYRECLGNVLKRPFSITLRSRLKCHVVRDAAKDEVESEEPILVLNEVTIDRGMSSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPFNSRGQAWASFDGKGRIQLGPGDALICSISPWPVPTACLVDSTTDFLRSIHEGLHWNLRKSQSFDGPSA >KQL17050 pep chromosome:Setaria_italica_v2.0:III:47819175:47821336:-1 gene:SETIT_025002mg transcript:KQL17050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNFPQARRLLRRMGFEKEDAYFFKQMGKAMLCTYTLFGVAWLWNETSPLGWWTLKPRPKEEKEMAHLYERREFPYPGDEEAVEEFIKSGGALGTTIGPKGFADANMDSDNMQKQLQSEKFEQEARKLWLRMRNEVIQEVQEKGFDIE >KQL13554 pep chromosome:Setaria_italica_v2.0:III:5210174:5210635:1 gene:SETIT_024497mg transcript:KQL13554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEYAMRGLYSVKSDVFSFGVLVLEIVTGRRNGGSYNTEQDIDLINTEVTVDSGCADDSSGLTTMHSTIDDASIQELSPT >KQL12698 pep chromosome:Setaria_italica_v2.0:III:452561:454913:-1 gene:SETIT_025099mg transcript:KQL12698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSLKATVLNSELAIVASEIVNFDSELPHYKTEGGVYRDSADDGHIFSPTIMWVEALELLLEKLKPKINFSKVVAVSGSGQQHGSVYWKKGSQAVLSSLDPKNAVGGALELAKLTGSRAYERFTGPQPNVYEDTERISLVSSFMASILVGSYASIDETDGAGMNLMDINQRTWSKTVLEVTAPGLEAKLGNLVPAYSTAGRIAPYFGGFDKNCLVIHWSGDNPNSLAGSTLITPGDLAISLGTSDTVFGITAEAKPSLEGHVFPNPVEPDGYMVMLCYKNGSLTREGIYCKW >KQL13483 pep chromosome:Setaria_italica_v2.0:III:4713967:4716064:-1 gene:SETIT_024349mg transcript:KQL13483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGAGEAGRGGERPVPDGEVALAQAHVVVNVAGGRAAADHQERLGPGCRICHLPDGDGELPERLRGRLVRLGCGCRGELAAAHRRCAEAWFSVRGNRRCEICGENAVNITGGGGGKEFIRQWHDTAAAVDGGGLSKACGGFCRNQSFCNLLIALLIVVFLLTWFFHNHIHMV >KQL13334 pep chromosome:Setaria_italica_v2.0:III:3872424:3877844:1 gene:SETIT_021693mg transcript:KQL13334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNLPAYLLLALLLGGTAAARRHPPPSNATSAGEPVYLWPLPKSVASGSRTLTVDPDLALDPQGPGGASPAVAEAFQRYRGLVFAPWAHAARSGDGGYDVAKLTVVVASANETLALGVDESYTIYVAAAGSVNSIVGGATIEANTIYGAIRGLETFSQLCVFNYDTKNVEVRHAPWHIQDEPRFAFRGLLLDTSRHYLPVDVIKQVIDSMSFAKLNVLHWHIIDEQSFPLEVPSYPNLWKGSYTKWERYTVEDAHDIVNYAKKRGINVMAEIDVPGHAESWGNGYPKLWPSANCTEPLDVSSNFTFEVISGILSDMRKIFPFGLFHLGGDEVYTGCWNATPHVRQWLNERNMTTKDAYKYFVLKAQELAIKLNWIPVNWEETFNSFKENLNPLTVVHNWLGPGVCPKVVAKGFRCIMSNQGVWYLDHLDVPWENVYSGEPLAGISDKDQQKLVLGGEVCMWGETADTSDVLQTIWPRAAAAAERLWSQLEAISAQDVETTVLSRLHYFRCLLNHRGIAAAPVTNYYARRPPIGPGSCFVQ >KQL13196 pep chromosome:Setaria_italica_v2.0:III:3116853:3120261:-1 gene:SETIT_022161mg transcript:KQL13196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHNSSHHQIAEVKMDISPSASGAAGNKICRGAACDFSDASNTSKDAKERFASMRKLIIAVILCIIFMTVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWAAGWEATPQQSYGFFRIEILGALVSIQLIWLLAGILVYEAIVRLINESDEVQGSLMFAVSAFGLFVNIIMAVLLGHDHGHGHGHSHGHGHSHDHGHGDSDDHSHHEEQEQGHVHRHEHSHGSSITVTTHHHHHPSTGQHHDAEEPLLKHEAGCEGTQSAAKAAKKPRRNINVHSAYLHVIGDSIQSVGVMIGGALIWYKPEWKIIDLICTLIFSVVVLFTTIRMLRNILEVLMESTPREIDATRLERGLCEMDGVVAVHELHIWAITVGKVLLACHVTIAREADADQILDKVIGYIKTEYNISHVTIQIERE >KQL15796 pep chromosome:Setaria_italica_v2.0:III:24014949:24015532:-1 gene:SETIT_023411mg transcript:KQL15796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATPLSSKKVPPAADADALPSAAQQAVEPSLPSDAAATVKTSAGVRGGDDDDAQVERFYALLDNIRAMRGMLGTGATATASGRKRAREAEPPWRPAFRMEDFELEEVQSDAPCCDLKVAKRESSCCAGWPPAVRRETTDGGAEEQENGEVVEAKGRRRPQHKARRAGVLVVDGPGSR >KQL16587 pep chromosome:Setaria_italica_v2.0:III:42719237:42724010:-1 gene:SETIT_023012mg transcript:KQL16587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAATAFSGGRRSPPRTRAAAVSPRAEVSCRRRGGGVLPAVPRRNVLSTMLSTSTVLILGPKQITLAETTGGAFREYIDTFDGYTFLYPKSWIQVKGAGADIFFRDPFVLDENMSVEISSPSSSKYTSVEDLGPPEKAAEKVLKQYLTEFMSTRLGVRRESNVLSALSKVADDGKLYYEVEVNIKSYASNNELAVMPQDRVQSLEWDRRYLSVLGVENKRLYELRLQTPEQVFMQEEEDLRRVMDSFRVIKAA >KQL15869 pep chromosome:Setaria_italica_v2.0:III:24875528:24876275:1 gene:SETIT_023500mg transcript:KQL15869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAAGGATYKGGIKGYWKRRGYDRLDAAAAQRRPRLPTAELGGGSGAVPQPEQARRRRGWRVRRRVGVVGRRLLRALSPRRLLARLRDAYVNAMLRLASSAAVAGYGAAGPYCTAADPFARPRPLTGDYDEKALVEIYRAILARGEAAPVVAAARLPAVV >KQL14192 pep chromosome:Setaria_italica_v2.0:III:9482394:9487115:-1 gene:SETIT_021565mg transcript:KQL14192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRARSLIRWLRHRSRRVSSSSLHLTSTTNNDNTATGKDLHAHSLPHQPHAEEEEEQQQEEGWQEVAEGPESEPEGCIVFEERGVGPRAPVRTKPPPMDPSKKESEFFTEYGEASRYQVSEVIGKGSYGVVAAAVDTQTGERVAIKKIVDVFDHVSDATRILREIKLLRLLRHPDLVEIKHIMLPPSRREFRDIYVIFELMESDLHQVIKANDDLTAEHHQFFLYQLLRGMKYIHAASVFHRDLKPKNILANADCKLKICDFGLARVSFCETPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSVGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSAESISKIRNEKARRYLSNMRKKPKVPFTKKFPGVDPMALHLLERLLAFDPKERPSAAEALTDPYFNGLANSEREPIAQPISKLEFEFEKRKLGKDDVRELIYREILEYHPQMLQEYLRGGDGNQMTFMFPSGVDRFRRQFAHLEEGTAKGEKPSPQLRQNVSLPRERVIGNKHGDGDAGLKPVHASVTDGISDPVLSARSLLKSESISASKCIGEKPKHVKDEDSIMETVDETIDEVSKKIAQLKT >KQL16934 pep chromosome:Setaria_italica_v2.0:III:46819552:46821216:-1 gene:SETIT_025226mg transcript:KQL16934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CAAILDDVLIYEVLVRLPANGCGCFRRSWRAGITGASFVRRHLELSRTRPPSVLAVPREVDPLDDYATSTEISFHRLMLPPAPAPGTTETELIFEKAWPEGITRRIAPTHCDGLVAIATATDRVFVCNPATGEFVALPLGRHNAELQDRDLLVPPVALGFDRWRNCYVVGRYFYRAYGEKSFNNVTGEYSQDYDIGQEVFTIGAGSWELTQDPPHAVGILMPMCTRRAFYWHSDVPKPRLMRFSLQGRTFAVVSRPPVGRDHLSVHEMVDLDGKLCYVHAAAEASFHVWLADDRHDELQWSLHCRIDLHPDPNLIYYSRPVISDGGKMLFRAAGEYSYNHLFWCSVPNNTREKMVDLYTRPDGSKYVGQSQDLLQYVVPYFESLVSLTACNY >KQL14735 pep chromosome:Setaria_italica_v2.0:III:13389532:13390774:1 gene:SETIT_022421mg transcript:KQL14735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKEQHSSSADQQAVRLDAQVQLWHHTFGYVKSMALKTALDLHIPDTIHQHGGSATLPQIVTEVTLHPSKIPCLRRLMRVLTVTGVFSVQHHSADGGGDELLYGLTPASRLLVGSALNVSPFLTLMLDTLFVSPFLGLREWFQHEMPNPSPFKMANGRDLWDLNDHDASFGELFDRGMVADSDFIMDIVVRECGNVFQGISSLVDVAGGLGGATQAIAKAFPHVECSVLELSHVVARAPTGTDVKYIAGDMFESIPAANAVFLKWVMHDWGDADCVKILKNCKKAIPSKERGGKVIILDIMVGAGSSSDQKHVETQVLFDLFIMFINGAERDEQEWKNIIFEAGFSDYKIIPVLGVRSIIEAYP >KQL13268 pep chromosome:Setaria_italica_v2.0:III:3502229:3502692:-1 gene:SETIT_024839mg transcript:KQL13268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAGASDPPPPPAAGDATKPFQVDRALQALGFEFTRVTAREVAGRLPVTETCCQPFDWLNGGVSALMAEVTASIGCYVASGYRRLAGVQLSINHVGPAGLGDLVQATATPIQLGRKIQ >KQL16936 pep chromosome:Setaria_italica_v2.0:III:46846685:46848424:1 gene:SETIT_022567mg transcript:KQL16936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRGSHKHLCKVCTKNFRSGRALGGHMSCHRHAGMQQRSTPCPPAIVVDVPVSLLGPSDEKPSLPCLETQWWHLSQEFSTNQSLRGNMRMHSEKKVMAKPNEEPAGLMEASANANGDHGHHEMLFSPVKRKRSKRGMPALDSEMCAADALLMLAKYSDKSYAYEDCCGGDNNDNISTPNLLKEVNLNAFDQLVQSDEFTNSTRLKSDKNSAYEGFYEHTEQESSLNLAADTEMLLNVFDHGLDVDADFMKPGADISVEELQSSDLSASVNIKRHHCKVCGKVLGSGHALGGHMRLHYVRKCNLHQGVADCTNSTMMEEQMQKLELKSPIFYRRRPRSHWSGI >KQL12685 pep chromosome:Setaria_italica_v2.0:III:389470:390509:1 gene:SETIT_025793mg transcript:KQL12685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGKAPLISSAWCTFLSTSHCHCYHACQSCLIGSNSSSRMAHGLTSLPDSPRP >KQL15909 pep chromosome:Setaria_italica_v2.0:III:25238140:25244796:-1 gene:SETIT_0211872mg transcript:KQL15909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGEHKGNASVENGATKPLAAANLIRSHSISNDLHAVQPDPVAADILRKEPQQESFIKLLTAPKEIPTADEIEVFKILQKCLELRDSYLFREEVAPWEKEVINDPCTPKPNPNPFTYVPEPKSEHVFQMVDGVVHVYEDKDYTESIYPVADATAFFTDLHYILRVTAAGNTRTVCHNRLNLLEHKFKFHLMLNADREFLAQKTAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLVQIPRLYNVYKEMGIVTSFQNLLDNIFLPLFEVTIDPASHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPEQWTNVFNPAFSYYAYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDIDHLAATFLLCHNISHGINLRKSPVLQYLYYLGQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASLWKLSSCDLCEIARNSVYQSGFSHALKAHWIGKNYFKRGPAGNDIHRTNVPHIRVQFRDMIWRNEMKLVYLNNNILISEDLDQ >KQL12689 pep chromosome:Setaria_italica_v2.0:III:410470:413997:-1 gene:SETIT_023162mg transcript:KQL12689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAASARCCLLANPIVHHSRPAASALRLPRRTRPPLSSSSSRSCSTSASPLVTVASMDAPPEGYRTNVGICLANPSLTKIFSASRIDIPSAWQMPQGGIDAGEEPRAAAVRELREETGVTSAEIVAEAPNWLTYDFPPDVRAKLNARWGTNWKGQAQKWFLFRFTGNDDEINLNGDGSEKPEFGEWTWMMPQEVIEKAVDFKKPVYEEALKHFAPHLQSEPTVSS >KQL13673 pep chromosome:Setaria_italica_v2.0:III:5977800:5984329:-1 gene:SETIT_021139mg transcript:KQL13673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTLLQSVALGTTFGGRISTQRWRSHGTRRPASMLAMSLSRPVKMSAFVGLRSVHSFSVTPTVSNSRSAVASYRSSRRTRRSRFVTRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGNGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPSNIRTQVIRMIGETTEAVGAGVGGGSSGNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISTGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIEILRGLRERYEIHHKLRYTDEALIAAAKLSYQYISDRFLPDKAIDLIDEAGSRVRLQHAQVPEEARELDKELKQVTKQKNEAVRSQDFEKAGELRDREMELKAQITAFIDKSKEMSKAEEESGETGPMVNEADIQHIVSSWTGIPVEKVSSDESDKLLKMEETLHKRVIGQDEAVVAISRSIRRARVGLKNPNRPIASFIFAGPTGVGKSELAKALAAYYFGSQEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDSDEKDSSYGRIKSLVIEEMKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLQEVFDRLKAKDINLQVTEKFKERVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNSQGGIPELPTPAVTV >KQL13696 pep chromosome:Setaria_italica_v2.0:III:6138239:6138718:1 gene:SETIT_024979mg transcript:KQL13696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSELRRVFQMFDKNGDGQITKKELGESLRNLGIYIPDDELDATMGKIDANGDGCVDVEEFGMLYRSIVGEGQGADGAKRDEEEDMREAFNVFDQNGDGYITVDELRSVLASLGLKQGRTAEDCRKMISKVDGDGDGRVDFTEFKQMMRGGGFAALGR >KQL14889 pep chromosome:Setaria_italica_v2.0:III:14632613:14635877:-1 gene:SETIT_021974mg transcript:KQL14889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSYANGGGADAAAALAAEEKLDELRRLLGKSDGDPLRVVGVGAGAWGSVFCALLQDAYGRHRDRVQVRVWRRAGRAVDRADAERLFEVINAREGVLRRLIRRCAYLKYVEARLGDRTLTADEILRDGFCLNMLDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFGEIGRYWKERITQPLIISLAKGIEASLDPVPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTKESATSKSVYFSLCTSEMIYITHLLAREPEKLAGPLLADTYVTLLKGRNAWYGQKLANGELTLEMGDSIKGKGTIQGVSAVNAFYELLSQGSLCVMHPETKKPVAPVELCPILKTLYKILIKRELGTDSILQAIRDESMYDPRERIEMAQRQSLYRPSLLGLPKGDAKA >KQL14646 pep chromosome:Setaria_italica_v2.0:III:12618812:12620250:1 gene:SETIT_024487mg transcript:KQL14646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTVVLYPGLAVSHFVPMVKLADVLLEEGYAVVVALIDPTVKGDIALAAVVDRAAASMPSVAFHKLPRNQDSPAVVHDGNFVVRYFDLVRRYHQHLHGFLLSMPPGSVHSLIVDMMSIEVLDVTNKLGIPTYTFFPTNASALVASVQVLSNRAEGQPSLKEVGDMPLNFHGVPPVPASHLNTELLEEPGSETYVAVMNMFTRIQESQGILANTFGSLEARAVGALGDPRVFPKMPPLYCVGPFVAGYGEAKEKHECLAWLDGQLSTACTGAGNHSEEQLKEMAIGLEKSGHRFLWVVRAPAPDDLEKPFDPCADPDLDALLPKGFLERTSGRGLVVKLWVPQVEVLCHRATGAFVTHCGWNSVLEGITAGVPMLCWPLYAEQKMNKVFMVEEYGVGVEVVGWQQGMVRAEEMEAKVKLVMEAEEGKRLRARVSQHKEAAAVSWKDGGSSRIAFGQFLSDAGCLGQRLTRP >KQL16243 pep chromosome:Setaria_italica_v2.0:III:35035352:35035857:1 gene:SETIT_023865mg transcript:KQL16243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQPNLEDCVTIVQFNEMRESMEERQDRFFNDLQAIMEHLRHIPHVPENASNHEDEVEETEEEVDERVAREQQEH >KQL13505 pep chromosome:Setaria_italica_v2.0:III:4860559:4861008:-1 gene:SETIT_025369mg transcript:KQL13505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAQQHKLWRTRAYRAYNGYSDVAVLSLRRQRDGTLHTNQAMVEQLNILRKEVYRGYYTLDNFISQDSSEEKEAN >KQL16368 pep chromosome:Setaria_italica_v2.0:III:38511086:38512169:-1 gene:SETIT_024043mg transcript:KQL16368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLELLWPLASWILATVQEQSENDMDKQRPEEPETGGQQKITQLAELL >KQL12716 pep chromosome:Setaria_italica_v2.0:III:560842:566193:-1 gene:SETIT_021400mg transcript:KQL12716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRWAAAAPTPVPSLLQVLLALQCGVVFLQCSAASAMSRDVSALMAFKRAIIEDPHSVLSDWTDADGNACDWHGVICSAPQGSVISLKLSNSSLKGFIAPDLGRLSFLQELYLDHNLLFGTIPKQIGSLRNLRVLDLSVNRLTGPIPSELGGLNSVSLINFHSNGLTGNIPPELGKLQNLVELRLDRNRLKGSIPGSNAGSFSPTANIGSTAHNGLCPSPRLYVGDFSYNFLVGKIPPCLKYLPRSSFQGNCFQDEYSTQQRALQICISGSTGQRGGINGAKHPVHKHEKMQQPTWLLVLEIATGVLLVVFVITGIVTASRSCKLKPSIRISSWNRSKSWSDEITVLIDSDMLKSLPKLSRQELEVACEDFSNIIGSTPETVVYKGTMKDGPEVSVISLCAFEGHWTSHHELFYQNKVIDLARLNHENIAKFLGYCRESDPFSRMLVFEYASNGTLFEHLHYGEGAQLSWLRRMKIAIGIAQGLRYLHTELQPPFAISELNSNSVYVTEDFTPKLVDFECWKMMFSKHEKAPSHFNSKASFPGHGDSAEDLHADIQGNTYAFGVILLEIISGRLPYCKDKGYLVDWATKFLQQADEIGKLVDPELSNVRTEDLAVLCSVVSRCIDPDPSKRPSMQIITGVLENGIDLSAAAILKESSLAWAELALAL >KQL14988 pep chromosome:Setaria_italica_v2.0:III:15381815:15383308:-1 gene:SETIT_025361mg transcript:KQL14988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLPPAAALRLLRRSLGATARLSVPGCLVRALLHEEQHTARANPHMTVRYLQWCGSDDDDDDEAIEAFKHDCSITASSSASDASASTAYIDKLSRSGNLVDAVRVLQHLHHQQIHVGLDTFNVLLQQAAEADGFDLFAKVFRYLLLSKLAPDSTSYMNVAKALQKLDECELILKFVREILEITQNRDPTVMNRIIFATAKYGDIDKSLIIFEELKKDRTLDVVTFNTILDMLGKAGRVDQMLHEVKLMEELGHYPDIVTYNTVINCLRRLGRLGLCKRFAGEMLERGINPDLRTYTALIDCFGRAGHITEALEMLDKMKMSHKPSVYVYRALISDVKKAGQFELAQKLSEEMNSSASDLLGPEDFKQKYKGRRFRDKR >KQL14450 pep chromosome:Setaria_italica_v2.0:III:11310315:11310523:-1 gene:SETIT_025596mg transcript:KQL14450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSIEFLCLFILSCLYILSLNRGASFIPCMYMR >KQL17357 pep chromosome:Setaria_italica_v2.0:III:49941020:49941801:-1 gene:SETIT_025049mg transcript:KQL17357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKLVALFLAFAVAAAALQPSEAARVQAQQGFKPAVASQEAEKVAAQADGGVPSAPTLPGLPAGQLPPGLLPAILSLLFPPLGSIISMIQPLLPPPGSPSQQGGVLGGILPGTSPSPPAPAECMTPLSAMMPCTDYLTNMTVLTPPGQCCDGLKTIIRDAPICLCHGMTGGLNQFLPKPVDHLRMTALPLACGTVLPIQTLFMCNSNQVPPIMPPTTAEPLMTPATP >KQL13336 pep chromosome:Setaria_italica_v2.0:III:3880320:3884656:1 gene:SETIT_021288mg transcript:KQL13336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDDGPAAAGGGRKFWRSASWSASRAAAEPPQDGAAPGAGGQARRVPPPPPLTPRSMSAKARSCLPPLQPLAITRRSLDEWPKAGSDDVGEWPNPTTPGASKVDGGPSSAKPGEGLRLDLSSLRMQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSQYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDPVMEKDARAAAFQVVRYEKVQGHIKVVREGLEQQEFWDAFSSTPLNSDSNSKVSKDQIDSASKSNPGSRKVESYDADFELVYKAITGGVVPAFSTSGAGDETHLPARESSWSLLRHKFISRSLARVYSDSALIRDFDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSVSSKYSSDSPSLSPSTSSPPSFGLSPASSNLPHTLVPSSRSPLSQSSNQEASKPGLESKRSPSKTSSIAERRGGFTLLKLPSFQKDLVLPPRVPSSIRRTEEVSDKSSTNGVKQLTGECCSENCTGNSSISHPETRLTERTDCNSEDCSNAQLVVYQWPSMEKLTTFARKDLDPKSVLFFVASNASRREAVKMVYVWVGDENESSKSDDTVDWQKVTGDFLHLKGLSDALPVKVFKEHETENLLEVLNVS >KQL13335 pep chromosome:Setaria_italica_v2.0:III:3880320:3884656:1 gene:SETIT_021288mg transcript:KQL13335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDDGPAAAGGGRKFWRSASWSASRAAAEPPQDGAAPGAGGQARRVPPPPPLTPRSMSAKARSCLPPLQPLAITRRSLDEWPKAGSDDVGEWPNPTTPGASKVDGGPSSAKPGEGLRLDLSSLRMQGRKDQIAFFDKECSKVAEHVYLGGDAVAKNRDILRKNGITHVLNCVGFVCPEYFKSDLVYRTLWLQDSPTEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQFVKAARGIANPNMGFACQLLQCQKRVHAIPLSPNSVLRMYRMAPHSQYAPLHLVPKMLNEPSPAALDSRGAFIVHVLSSIYVWVGMKCDPVMEKDARAAAFQVVRYEKVQGHIKVVREGLEQQEFWDAFSSTPLNSDSNSKVSKDQIDSASKSNPGSRKVESYDADFELVYKAITGGVVPAFSTSGAGDETHLPARESSWSLLRHKFISRSLARVYSDSALIRDFDPRVDRVQHLAAEASTSPPFLSPSSLSSDSSVSSKYSSDSPSLSPSTSSPPSFGLSPASSNLPHTLVPSSRSPLSQSSNQEASKPGLESKRSPSKTSSIAERRGGFTLLKLPSFQKDLVLPPRVPSSIRRTEEVSDKSSTNGVKQLTGECCSENCTGNSSISHPETRLTERTDCNSEDCSNAQLVVYQWPSMEKLTTFARKDLDPKSVLFFVASNASRREAVKMVYVWVGDENESSKSDDTVDWQKVTGDFLHLKGLSDALPVKVFKEHETENLLEVLNVS >KQL13518 pep chromosome:Setaria_italica_v2.0:III:4948710:4949412:-1 gene:SETIT_023794mg transcript:KQL13518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGKMTEITVAGPDVAPASGSVGVAIYEVNKQAGKEPSTPGTPSPATAWRGSDGRRAEGDNVGPLPGWKVDCLCGESSLPPAVKGGFLCF >KQL15056 pep chromosome:Setaria_italica_v2.0:III:16113072:16118717:-1 gene:SETIT_021727mg transcript:KQL15056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVPAQSPAASFKPRHSSPRSRLGLGASCKPLTATTTAPSSTRRRVSTCAASRRGFLLLAPSLAAASAVLRTLPSAAAESDDADTPSSTPAPPTDELPSPSPETEAEVEAQPEPEELPMSRVYDATVLGEPEALAGDARGRVWEKLAAARVVYLGEAELEPDPDDRALELEVVRGLSGRCADAGRGLALALEAFPCDLQQQLDQFMDGRIDGRILKLYTSHWPQELWQQYEPLLNYCRDTGIKLIACGTPLEIITKELNDGDLSRLLIVVTGASHVMYGPRGSGVPGRISKKVPKKDQVVVLLDPESQVIRREGELPIADFLWYSAAKPCTRNCFDRAEIARVMNAAGRRTKALPQDLQKGIDLGVVSPEILQNFFDLEKYPLMAELIHRFQIIAGLVEYRLGESLVTYYNQPLIAGLLSFVARTLNSYWGTQQWVDLARYTGLQKSEEKPPGEASTPPESWLQKSEEKPPSGEASTPPELGLQKSEEKPPSSEASTPPELADLDGCTIEGHNLDDSSNNTNESRGPS >KQL12775 pep chromosome:Setaria_italica_v2.0:III:788686:789814:1 gene:SETIT_023256mg transcript:KQL12775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAASILSATSAAAASKRPPASDAEPLLQGDGEAARKGQQPSRQQQLECPRCQSTNTKFCYYNNYSTAQPRHFCRACRRYWTHGGTLRDVPVGGASRRTGGSKRRRVSAEPSPSASASSPPQTTAGADAFLLAPDLSAFPFLSDGSFLMPPQLDLGVAPAAFSSWQSVVPDFYDGLAPWDDGATGMTGPWGDIAGGLEPSWPPPGN >KQL15745 pep chromosome:Setaria_italica_v2.0:III:23269307:23272778:-1 gene:SETIT_022037mg transcript:KQL15745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIAFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMLVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAASFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELITKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQL15947 pep chromosome:Setaria_italica_v2.0:III:25902048:25903829:1 gene:SETIT_024411mg transcript:KQL15947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTICLMKCSQGPSYTQSWFCNILIATTWLSLPDYTGKPTTARTSSLHSGRERTEGEGWRCTWAWTDGEGWRCTWAWTSGLTGRKAPSARP >KQL15981 pep chromosome:Setaria_italica_v2.0:III:26507101:26513609:1 gene:SETIT_021570mg transcript:KQL15981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRVDKATNELLLGPDWTLNIDICDAVNSDHGQAKEVIKALKKRIQHKNANVQFLALTLLETLIKNCGDRVHVQVIERNILDEMMKIVKKKADMQVRDKILTLLDSWQEAFGGPGGKHPHYYWAYAELKRAGVEFPKRSPDAAPVFTPPVTRPASLPSYLQAGYGMQVDSSLTLDEVMSSNGASLSMPDLERMLGAAELLGEMLRAVDPNDHDAVNDEIITELVNQCRSDQKKILSLVSSLRDEELLGQALDLNDKLQILLEKHDAMASGSPLPAEVTDVVSELPAGTTPNLGEKVAPTAAVTPTMVSTNVLNDEEEEDEDDEFSLLARRNSRFRPTNSESASPSLGTYSSTIHEGTSSSAASVPSTTSYVPSNALSLPDPPAPVRTSPEDQVMSDLLALTISSNPSPPYTPITPEPALNQGGSTASHPQPYNVNQGHATANYVAPWAQPQSQAAGIQQQTPSQSQLPYNSLAYPPPPWASQDSMESNPFVVSSSQHQSSSNSPINVPPNLRPLQQSQSFAVPLRTASLDSPINGNLKQPLSAGARRPSYVSSNKFFDDLFERNSDGSLKVGGTVGSGTSSPYKA >KQL12736 pep chromosome:Setaria_italica_v2.0:III:645592:647680:1 gene:SETIT_021971mg transcript:KQL12736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPTVLVQILLLPMLLWISAVIKGADAGGVGVNYGTRGTTLPAPADVARFLVRETVVDRVRLLDADPAVLRALAGTGLAVDVTVPNGVVPRLVSLAFARRWVRENVVPHARAATNISRVLVGDEVTTEANRTLLLALVPAMQNLHTALAAESLHGRVKVSTTHSLGVLTSTERPSAARFRDGYDAAIVKPLLRFLRATAAPFMVNAYPFYGLTNENDTLDFALFRVNSGVVDEGSGLVYSNMLDAQLDAVHSAIRRLGFGDVDIAVSETGWPSAGEDWEVGVGKDLAREYNRNAIRHLGSGVGTPLMPNRTFEVSIFSLFDENLKPGPVSQRNFGLFRGDMTPVYDAGIFTDPEVVEPVSTKVTPAPGQEATPAATGRQWCVPKPAADEMMLQENIDFACGQEGIDCAAIRPGGVCHEPDTVQAHAAYAMNLFFQSNGHHAFNCDFGQTGVVTTADPSYGDCIFM >KQL15476 pep chromosome:Setaria_italica_v2.0:III:20576863:20580095:1 gene:SETIT_021713mg transcript:KQL15476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGLDRWRDFFRGAGAGICDVIENAILVAAADAPRELLHRRDRIAERLFTAHRRDAAVPAPPSLGSAAASATPATPIEEDKGSVRRVAEKESKVDSSSNGAHGGGHGHGEEDDDSDSDDERLRRAAASNYGHNYDDDDDDDQEEEDEQQHAADDAEEEEENHEAEELEALTNEIDEESQIVGEVLRIKDLLLHKEDHSDATLFESLRRLQLMQLSVSTLKATEIGRAVNRLRKHNSQQIRHLVRTLIEGWKVLVDEWVSTTNAALADNSPGSSNPSVVDEEDEEGLPSPPLDEGAFFATQPTSIQLSEFFDEMDEDGNLRHNSDASLGNKRGNNGGRPANYSAIAMQEPPRRSPGAVEKVQFRRPEPARQEPPMRQANLQKPQSSSLQVKPHGMLNDNKQSKPSSYESGPGRPLKAAPLQKPSGDMKPKQTHTAVERRPTTSQMDKSRLAAQSSSGARLELAKPKVYDDGLDNNRKLEAAKRRLQERYQEAENAKRQRTIQVMELGDIPKPKHQNRQPMVKSRNNLRNWANGRR >KQL14789 pep chromosome:Setaria_italica_v2.0:III:13860696:13865481:-1 gene:SETIT_021105mg transcript:KQL14789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGSLEKMGRELKCPICLSLLSSAVSITCNHIFCNGCLMESMKSASSCPVCKVPFRRREIRPAPHMDNLVSVFKSMEVAAGTSIVATPLAPSPKVADGSQCGGNSGSKPKSSRKKKVASKKKNNTSKAAAASASCPTTKPSISMNKRIHVTLFPECETPTRPKKIMKPEEQKTKLNGDAEEDKNKTLNSDRPESPSLSPFFWLRGEEQEEGGTAGSLSEPLSLDTPLRHNAPTFSDIMDSDDEIPNNVTPNSKAEVSEIFDSEIFEWSQRPCSPELRSTPLKKQGKLKKILDQITETDDVEDMNLGGSFDKLDHESNAAQLVNGAEIKKRKSARARTKKNSKLPDCGKLCTKGCDAVHQVTDIPVSITTMPGQKNSGKKESNTSSGRSKVSCNSSRFLCSSDKSMETFPPQENSLEIEASENQLSERSHKNDKDSRRKLERTGNSALKTAENKSEQTSKRIRRISHGAVADEIRVISVAENKTESPQHHTLIKGCTRHKHLDGRSKQSMESNIGPNTPSPLPGRCQFNEAIRTVPSVKNFLVKNGSVKSIEQSDYSETIRSARNAVLQKCEEKAPMASCAFCQSDDITEESGKMVHYHNGKEVPAEFNGGTGVIHSHKNCLEWAPDVYFKDDSVFNLTTELARSRRIKCACCGIKGAALGCFDMSCRKSFHFTCAKLIPECRWDDENFVMLCPLHQSSKLPIETSESKKKSQRRLTPKGSAQVRPCQVYGNKWTWPSGSPQKWVLCCSALSPAEKGIVSEFAKIAGVPISTSWNPSVTHVIASTDLSGACKRTLKFLMAILNGKWVVSIDWVKTCMEHMEPVDEVRFEVTTDVHGTREGPKLGRQRVINKQPKLFASIHLYLHGDYTQSYRGYLQDLVVAAGGTVLQRKPVSRDQQKLLDDSSLILIVYSVENQDKGNPKSKDGVDTGRSQADAQALACASGGKVVSSAWIIDSISACNLQPL >KQL15912 pep chromosome:Setaria_italica_v2.0:III:25269564:25270165:-1 gene:SETIT_025191mg transcript:KQL15912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGQESRQELDRMAREGETVVPGGTGGKSLEAQEHLAEGRSHGGQTRSEQLGHEGYSEMGSKGGQTRKEQLGHEGYKEMGSKGGQTRSEQLGHEGYSEMGSKGGQTRSEQLGHEGYSEMGRKGGLSTKEESGGERAAREGIEIDESKFRTKS >KQL15644 pep chromosome:Setaria_italica_v2.0:III:22080497:22092330:1 gene:SETIT_020958mg transcript:KQL15644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPCCSLCNVRYDEDERTPLLLHCGHGFCRACLSRMLAAAPGATLPCPRCRHLTAVGNSVSALRKNFPILSLLSASPSSPSFLHSDSGSSSDGSDDEDDFFARPSRRPASAPAAPPPGCSSFDLASHPDLKLARRIGSGPPGPAGQEVWAGTLSRGGRGSGAKRCKHQVAVKRVPVAAGDGLEGVQEEVERLRRASTWCRNVCAFHGTVRVGGHLCFVMDRYAGSVQAEMRQNGGRLTLEQILRYGADIARGVAELHAAGIVCMSIKPSNILLDASGRAVVSDYGLSAILKNLTSRKVPDDSSAGIDATLLSPNYTAPEAWGPLKKSLNMFWDSANGISPESDAWSFGCTLVEMCTGAVPWAGLSAEEICKSVVKEKKPPPQYSRVVGVGLPGELWKMIGDCLQFRASRRPSFQDMLKTFLRHLLDIPRSPPASPENDFPNESLPNGIEPPTTSIQEMVHDNPNALHRFVCEGDAAGVRDLLAKAASERNGSLIRSLLEAQNTDGHTALHLACRRGSAELVEAIVAYQENVDILDKDEDPPIVFALAAGSPRCVRALVGRSSCINSRLREGLGPTLAHVCAHHGQPECMQELLIAGADPNAVDGEGESVLHIAVARRYTDCAIVILENGGCRSMGIPNSQHKTPLHLCIETWNTAVVRRWVEVASLEEIAEAIDVPSPVGTALCMAAALKKEHEKEGRELVRTLLAVGADPTAQDDPHCRTALHTAAMIDDVELVKIILEAGVDVNIRNAQNTTPLHVALNRGANSCVGLLLAAGANCNIQDDDGDNAFHIAADAAKMIRENMTWIVQMLQQPSPAVDVRNHRGWTLRDFLERLPREWIYEELMETLEDKGVHLSPTIYEVADWVKFRRTVTSPAFGWQGAGPRSIGFVQSIVDNDHLVVSFCTGEARVLTSEVIKVIPLNRGQHVQLKPDVPEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWRADPAEIERVEEYKVGNWVRIRPSLTVAVHGMESITPGSVGIVYSIRPDSSLLLGLCYLSNPWLCEPEEVEHVDPFKIGDQVCVKRSVAEPRYAWGGETHHSVGKIIDIESDGLLIIDIPNRAAPWQADPSDMEKIENFKVGDWVRVKATVPSPKYGWEDVTRNSIGIVHSLQDDGDVGIAFCFRSKLFLCSVADVEKAQPFEVGEKVHVSPSISQPRLGWLNETAATIGAIARIDMDGTLNIKVSGRKSLWKVAPGDAERLSAFEVGDWVRQKPSIGSRPTYDWNSIGRISIAVVHSIQDSGYLELAGCFRNGKWLTHNTDIEKVESFKIGQHVRFRAGISEPRWGWRDARPDSRGIIAGVHADGEVRVAFFGVPGLWRGDPADLEIEKIFEVGEWVRLRNDADQWRSLRPGSIGVVHGVGYQGDVWDGTIHVAFCGEQERWIGPSSQLEGVSKFVVGQRVRIRGCIRQPRFGWSNHNHLSIGTISSIDADGKLRIHTPAGARAWLIDPAEVEKVEEEEEICVGDWVKVKDSIATPTYQWGDVNHNSIGVVHRADDGELWIAFCFCERLWLCKAWEVEKVRPFRQGDKVRIRPGLVSPRWGWGMETYASKGEVIGVDANGKLRIKFRWRDRLWIGDPADIILDDAPSLTEAPNGFFS >KQL16478 pep chromosome:Setaria_italica_v2.0:III:40853809:40856886:-1 gene:SETIT_024517mg transcript:KQL16478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVATVPPLQLGFVGEIEKQPIETEAGGSNPARGKKRPPSPPGCDEEPSSDDDEESSSDDDSDDDDEWLVSDSGGEDCDQDENQGADALGNFPKAGCSYEEQNHILFTYPNIKLLGPPPIRLYPAFKNGTHVFGSDYNLHDKSETNIISVGDCSIKCRCRPMSLVQFIDINIAGYHHARPGPARIFGFIAARDEIEPLRNYVYRRQISNCESVPVKRNTYLINYLNIIVLRGVARLSLTSPARVISMVARALIEFELYVRTKDRPEDEPKDDCLIEGCTEFTNLISSVSYVEHRRLYGNNCALDVKFAVLINAVEARIDVEVLRLGDIASGINLKVYAKTSGFREVIRLFEDAAPKPGAVMSFVVAVETHNYLDLYIEGSPGNNPVLGQKEEQVSRSWWKCSFGSSYHCMEEEVAELGNFGEVSVKVNWKSYTKRES >KQL14448 pep chromosome:Setaria_italica_v2.0:III:11278161:11281339:1 gene:SETIT_021278mg transcript:KQL14448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFVRSRYDKQEDFQVLLSKKDPGESPQHKHYLWMAHWTKASSSAEPQNNNISNPLEDINKGSTTKHSETLPYEFMKSTVAERLMVGVSRGSASMQHAQQFNSSMWGVAHHVCNELGAKNNEQVDESFEKSMKKNAVNLRAREVVSEAFSVHKLSELPLDFQKLGSSEDPSSDWSHFPMFEINRKIDNILNPKRRSELGPASLNLNMSTSHVMALSSQEYMMNSQRIADDNMEMCKSARGFASRIEDPAGLNSDPSGKKLKRKLLDTMSCSCSKNDNDSSDCPIDDQHTSHHFAKAKQELPCASNEKKFMFAANNDSRIVSSAFHNLETRRSAVLEQQNDAEAMFCAPVLGREFQNEPITISNNRKKDVENLHETYKSRGKAVSCCLQPYERQHLKTQRTESAANLKGCILPDQSANKFTEKSKSNGELLTHGPKSTEMYTGSCNRRGPCLFEKLTIPSKSQSAHPKNSASSGKSSGFGVCMYGTNIGSQLFGAQNQSSAKTETLYSDTLIRSKSSAGIASLPAQKDYGCPDEAKSEQLATPPRRGDSRFSKDDRFHNVNEHHDVSSKATIASKQSCMPGTRITNLDLILSQMSRMRNQISSGMVQPPIGAEPSDRWLKRLQLDISDPDIPGSKRPKIGDSPPLGETKCLFDMALPCNKIDGEMIGCAKEDQGLDEGNNELQDKQERTSVPAKSMNSWIGRWCQGGTSVFHEDLGQGRQERKPDQPSEELEGQFPSIAAMAMMGRVMNKLRPCEHQKKGPFVVWKTD >KQL15730 pep chromosome:Setaria_italica_v2.0:III:23121795:23122313:-1 gene:SETIT_024268mg transcript:KQL15730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRLSSLPDDLLHSILCGLPLKHAARTSALSRRWVPQWIRALATSPVLDFTDWDFARGQPPARPAATVDRCLRLHAEHGTPLHVSHVALVSVSPSGPGDGAFGRDVVWWIAAAVAWGAREVEVDLTTSQEEDAVPHADHGSAAFLELPADLFRARNYQMQGKDEIREQSKT >KQL16290 pep chromosome:Setaria_italica_v2.0:III:36440498:36443986:1 gene:SETIT_024875mg transcript:KQL16290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAKNPVKYAHAPTVRCAPSTFNSFVDHVTLWQRRRIKDMGFGGLLCVAAEMLESRELLKFLFDRLDPKTMVLNVAKDKGIHVTPFVVKQVLDLPEGGEDIVLSTHIQASKALSTFKTLLGLQESHDLHASHLQKTLKDDLELGSGMITDDMAIRFFFIIACNKLLFPSTDNNIRCKDVYLTRDLSCLSALNRCKAVVDDLREAALNWQSDKAKKSFSGCAILLIILYLDNLQCQHQLVTDFEMKQFTRFKRPIIVPCMHTDDNDCGFYAIKSMELWNGDSFHVPILTLLFYGIYHPINEIKNLPGGLEAHRCRM >KQL17249 pep chromosome:Setaria_italica_v2.0:III:49241205:49245166:1 gene:SETIT_0214551mg transcript:KQL17249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLQHFAVTYIVVLRNTSNCKVPVWGKRLLTQGSMIVGVYCVEPNKRDLIETKNEGDPTSVPQKPKLSKAERRAIQEAQRAAKAAAKEAGLKSTAKESDVNTKISKQPKAGKASLKKDVTQVNPPVASDKKTDECPPDKDRKKDLPQPRMQFDDVHRVVKAKKRSVVNQSEAQNRVELFRHLPQYAHGTQLPDLESKFFQPDLMHPSVYKVGLQYLSGDISGGNARCIAMLLAFREAINDYSTPAEKILSRDLTAKISSYVSFLIECRPLSISMGNAIRFLKNRITKLPLALSESEAKASLQSDIDRFISEKIIVAGKVIVSHAVTKIRDDDVLLTYGSPSVVEMIFDHAHELGKKFRVVVVDSRPNLEGQGLLRRLVAKGISCTYTHINAVSYIMHEATRVFLGASSVLSNGTVYSRVGTASVAMVAHAFGVPVLMCCEAYKFHERVQLDSICFNELGDPDAISRVPRGESLSNLKNWAENENLHILNLKYDITPSDYVSMLITDYGMLPPTSVPVIVREYRREHVWI >KQL13745 pep chromosome:Setaria_italica_v2.0:III:6437041:6438308:1 gene:SETIT_022854mg transcript:KQL13745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRRSYHSLSLPVRGGEANSDANEPARAGDGTNNYDHLVDAQDFRNMRLAIGTVDRSIFEMSLSDNLTGTRHIDIRLRQNVAPGNVPGPEEQAVVEPGSGNGSRLKITVAADEPEPRPDADDKEYLDQMRGWLMTVAALFVGNAFQAAIQPPQWVQLGALQGDEPARPEDANTSASGPAAALSPSAQRRRAEIYFNCNGVALMNALSLLLVLVLLRGTSTASRVTKLIGCAMPTLFLTQALTFALATSTNWRETWIAFAKCTVYAVVVIVIMLGKLGGCIRIIPQLLRR >KQL14909 pep chromosome:Setaria_italica_v2.0:III:14798889:14801854:-1 gene:SETIT_022076mg transcript:KQL14909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNHRAAAAQQPANRGAAVLAGKQKVAAAGRRRALGDIGNVVTDVHDGKIQLPEGINRPITRSFGAQLLKNAALAKQNAVAPPAKPVAARAVPKPARKAPAKPVPRPEQAPKNATSSNENNKPSEVVAGSSSSAQKISRKKVVCTLTTVLTARSKMACGIKQKKLIEDIDKLDGNNQLAMVDYVEDIYKFYKATEHESRPSDYMGHQPEVNPKMRAILTDWMAEVHGKFELMPETLYLTMYIVDRYLSLQPVLKRELQLVGIAAMLIACKYEEIWAPSVNDFISISDDAFSQQQILVMEKAILNTLEWNLTLPTTYHFLVRFAKAAGRGDKQLEHMILFFGELALMDYHMVTIRPSVIAAFAVYAARCTLKKSPLWTDTLKHHTGLHEQQLMEGAKMLVSSHAAAPEGKLKTIYQKYASEQFGCVALHPPAAGPGLV >KQL15515 pep chromosome:Setaria_italica_v2.0:III:21063254:21067031:1 gene:SETIT_021934mg transcript:KQL15515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFILSLMELGVSAAVHLIFGFYVFSTAVAADISQAAAASGCLLLRRPPPSAGAGEGALVDVAAAGERDERRGAGPVVLDGSPPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSIHDRACELFYYLKGGQVDYGEDHSKACGHTRFGRIYHTGHYPVWDDQNPVHFVGHSAGAQVVRVLHQMLADKAFPGHDTSEDWILSLTSLSGALNGTTRTYYDGMLVEDGRFMRSICLLQLCRLGVIVYDWLDIPWLKNYYNFGFDHYEMSRRKVGFSGLIDLLLGRTGPFASGDWILPDLTIQGSIKLNSSLRTFPNTFYFSYATKKTRKLFGITVPSSVLGVHPMLFLRVLQMCMWRHPQNAPLPYKGYRDEDWEDNDGALNTISMTYPRIPIEHPHRFVVDDSDCHPLQPGIWYYKIIEADHILFIVNRERAGVQFDLLYDGIFQRCRKHAFRKSLPTVPNETSQ >KQL15569 pep chromosome:Setaria_italica_v2.0:III:21347620:21349464:-1 gene:SETIT_022097mg transcript:KQL15569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPPLAALLFLCLLSACRAAGPRDGNPSAVVLPVSKDAAATQQYVTSFRQRTPLAPVEAVLDLAGATLWVDCEAGYASSTYRRVPCASKPCRLSRSAACATSCLGAPSPSCLNDTCAGFPGNTVTHVSTGGNIITDVLALPTTFRPAPGPLATAPAFLFTCGATFLTEGLAAGATGMASLSRARFALPTQLAATFRFSRKFALCLPPAGSAGVVVFGDAPYAFQPGFVLSNSSLIYTPLLVNPVSTAGVSTKGDKSDEYFVGVTGIKVNGRAVPLNATLLAIDKKGVGGTKLSTVAPYTVLESSIYKAVTGAFAAETAAIPRAPAMAPFQLCYDGSKVGSTRVGPAVPTIELVLGTDATSWVVFGANSMVAVKGGALCLGVVDGGEAPRTSVVIGGHMMEDNLLEFDLEASRLGFSSSLLFRQTNCNNFRLG >KQL16884 pep chromosome:Setaria_italica_v2.0:III:46444052:46446849:-1 gene:SETIT_023314mg transcript:KQL16884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARHLLTLDEKNPRRIFEGEALLRRMNRYGLLAEGQNKLDYVLALTAENFLARRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQIVNVPSFMVRVESEKHIDFSLSSPFGGGPPGRVKRKNQNKATGGGGDGGDEDEE >KQL17272 pep chromosome:Setaria_italica_v2.0:III:49364331:49366937:-1 gene:SETIT_024768mg transcript:KQL17272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALVLLIIVAFSVIAPATSSGLTISLPGCPDKCGNVSIPYPFGIGDGCAATSLSPSFTLICNNSFQPPRPMFSNSSRPVEVIDISLEHGEVRVYGNVSYYCFTSNTTISDNNTAGVSLENMPFIPSITRNRFTVIGCNTLGLIGGYTHSNSDLYLAGCYSYCRGINSTTDGAPCIGIGCCETTISPNLTDFAALLINNQSSVWSFNPCFYSMLVEVGWYSFRRQDLVGHLGFIKERAKRGVPVVGDWAIRKGSCPKDGTKAPKDYACVSTNSYCVNASNGPGYLCNCSQGYEGNPYLSNGCQDIDECKLRKQDLKYKELYPCKNGICRNIPGGYICKCRIGTRSDEHHCAGLSASAVVVISLTCLLVMKLQQRKHRREKDAYFEQNGGLKLYDEMRSRQVDTIQILTEKEIKKATDNFSEDRVLGCGGHGMVYKGTLDDNKEVAIKKSKVIDDDCREEFVNEIIILSQINHRNIVRLLGCCLDVDVPMLVYEFIPNGTLFEFLHVTDARSPIPLDLRLNIATQSAEALAYIHSSTSRTILHGDVKSLNILLDNEYNAKVSDFGASALKSMDKNDFIMLIQGTLGYIDPESFVSHHLTDKSDVYSFGVVLLELMTRKKALYRDTSNEKKSLSHTFILMFHRNELRSMLDTEIVDDQVMVVLEKLAELVMHCLSPKGDERPTMKEVAERLQMLRRLQMQLVTKMHPNQAHYSCQESSMSVPSGMGYQSTETAKLVLDVDLAR >KQL15698 pep chromosome:Setaria_italica_v2.0:III:22693661:22695762:-1 gene:SETIT_021767mg transcript:KQL15698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIHLAYVLVFLLTVFVLRLRGRGGSPAKQTWTTTAHCPYPNPLLGNTLEFIRNRRRFFDWYADLLRAAPSGAIEAWGPFGAGHAVTTGSPADVDHLLRAGFAGYAKGALFRDATAELIGDGLFAADGRLWSLQRKLASHAFSSRSLRRFADGVLAAHLRRGLLPLLDDAAAEGRTVDLQAALRRFGFGTICHVAFGVESGDAHSRQEEALFAAFDAALEISFRRALAPATFVRRLTKLLDVGRSRRLREAVGVIDSYAMSVVESKEARRRNGLDDDGDAADLLSRFMAAMDEEDGSELGAMFPTPAAKRRFLRDVVITFVLAGKDTTSSALTWFFWLLAANPRCERRAHEEAASGGGDVKGMHYLHAAITEAMRLYPPVPFNGRVAVRDDELPSGAAVREGWYANYSAYAMGRMKTLWGEDCLEFVPERWLGDGGEFVPVDAARYPVFHAGPRVCLGKEMAYVQMKTVAAAVLRRFRVEVVAPVAGMEAPPAYEMTATMKMKDGLWVRLTRREESAE >KQL16282 pep chromosome:Setaria_italica_v2.0:III:36262435:36267051:-1 gene:SETIT_021414mg transcript:KQL16282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFAFYRELKDSHGVPRSSRLRDKKKNEGEIMIKETFVGSVIENNNFLSILLDKSSCVIIPEIKFPLPSFPTSMAPEVTVKQGLIAGTCSISQKKAEDMLAYGNAIDEIKGFEKMSESQSSSATTSSACNGRKLYETKFGMVNSSALLLNSEIQSGVIEKGGSHQGCGLLDQGRLPCVQCGILSYACVAIIQPKEAAVQYVISQECMSSSAKHGEIMKSNDTSNWITTVPPQGHSSETDDNRIHNMSSARVSDRCRQLYTSSTHGCASALGLLASAYESSDSDEEAEAPDNISNNSANNDAVNGITNIQSSGTSVQYQNTNLHLYEEGCDSRATVSPMKPVENMSIAMTQASIETDMTHLADLGESLTAYDQCKKKSSKCKASDDLSNVEKRLQKMVKKVSTKKHKNDKTNRQFQEKHSKDNNVDLLHEDNGDEATQENWDGVQQKTNDVKVKSRGKMHSGKKKASKCQTSDGLHNGDNEAKFSCDTDVCHRDKATIDKWEEIPKEKADDVKVKSKMQSGKKKASKHPASDGLRNGDKGAKFSCDIEGCDMSFSTQQDLALHKRDICPVKGCKKKFFCHKYLLQHRKVHLDERPLMCSFTGCKKTFKWPWARTEHMRVHTGVRPYACTEPGCTQTFRFVSDFSRHKRKTGHSCDKKKKNST >KQL13803 pep chromosome:Setaria_italica_v2.0:III:6828529:6831298:1 gene:SETIT_025153mg transcript:KQL13803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQTSGKPIDLLMEKVLCMNILSSEYFKELYRLKTYHEVIDEIYTCVEHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYVADPKTLWTWYEPYLRDDEEFSPGSNGRMTTMGVYVRDLILGQYYFDSLLPRIPLPVTRQVTANLEKMKLPTKLSGATGDSSRQGSEDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTITHDDPRRLYSPSRRSGSREGPDRELDRSSRDRDHSSRDRDRSSRDQDRDRDIRDYHRRERDSRDRDYYRSRHSEERRDDRRDRESSRHRRSSSRHRSRSRSRSRDRRSRSRSRSRSWSRNEQRSSPFGNGNKEKAAVSSNLAKLKDLYGDITEKKEDGDAEKLRRDSCAEEVIRLGGPRWR >KQL15964 pep chromosome:Setaria_italica_v2.0:III:26121034:26123063:1 gene:SETIT_024599mg transcript:KQL15964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFERPRRTASSFRFVILDWEGIDKICEPRAPQGSDYRNCIAHSVNAGMDMIMIPYRFEEFLEHLVSLVETGEIPMSRIDDAVERILRVNLISGVFEHPFSDPSLQDMVRCKEHRLLAREAVRKSMVLLKNGKNENEPFLPLAKNVKRMLVTGTHADDIGFQCAWGGNSGKITPGTSILEAIKDSVGVQTEVIYEACATEATIETGEFSYAVVVVGEVPYSESVGDRTDLSIPFKGSDLINRVASKIPTLVIVVSGRPLDIETQVMEKIYALVAAWLPGTEGMGVADCLFGHHEFVGTLPVTWHRSVDELPINAGDANYDPLFPVGYGVNMFQSDDNST >KQL16738 pep chromosome:Setaria_italica_v2.0:III:44536635:44537484:-1 gene:SETIT_023840mg transcript:KQL16738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARVVSEVGQKLRAMASADSMPFLASVCALPVAIDLNAGVHPLLWTMPSLYSMKWAQGYYSCIFLEVDCTGFVETHTT >KQL12720 pep chromosome:Setaria_italica_v2.0:III:583153:585316:1 gene:SETIT_021749mg transcript:KQL12720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRQPARPAYEAMSQHLPPRLTILLKDCASKRQLDQIHGLLLTSSSLHRLPGLRALLVRRATEIGDMAHAALLFSSFRGTDPPDAVALYNAMIRGCAYHGPHDRALELFAEMQRRGEGLAPDCFTYPYVVDACARLKMWRSAEAVHCRVLKEGLDAVPAIGSSLLAFYVARGSLGDARRVFDGFRNKSVGFSNRMLSEYAKARDIKSARELFDAMAERDVVSWNAMLTAYVKAADVVAAKELFARMPVKNIISWTAMLRALSDAGDFVGMRSLFNRMPERNLVSWNCILSCYTRHGRFRQALQMFPRMLLEGLIPDSFTVVSVLSASENLRKLRLGRWIHANLVNPALHAHAEVGTALVGMYAMCGDIARAMVVFFKMDRKDVFSWNVMIRALAVHSQADDTFKLFDLMRKQGFRPNHFTFMGVLLACRYGSLVDEGRRMFDMMKEDYGIPPSLQHYGCLIDLLSCNGHLDEAVAVLQGMPCRPDSEVWRALLGGCKIEAGLGSAEQATMGVVQSSGRDEMCVALT >KQL14670 pep chromosome:Setaria_italica_v2.0:III:12833427:12834340:-1 gene:SETIT_025595mg transcript:KQL14670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFLEELKGVALEANRLRRGVLSKLVATAGGYQATLYLEALSRFVLSMHDPEVLRRFDQCHPLPGS >KQL15657 pep chromosome:Setaria_italica_v2.0:III:22270544:22273980:1 gene:SETIT_021552mg transcript:KQL15657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPCRNLPARLPTLPRHATAHARLSSLRAPAPRRPPTTTASTSASTLRLPFAATRDDAALASLVGQLEHDVVHGQYRDDVQDEEEEEEDLHLLDDARRRGGRRHQDELPARWREIHGRDDWAGLLDPMDPLLRSELIRYGELAQACYDAFDYDPSSRYCGSCKYPRRDFFERLGMPEAARGYAVSRYLYATSNFRFPNFFPQSRAGAKIWSQSANWIGYVAVSGDEESARLGRRDIAIAWRGTVTRLEWVSDLMDFLRPVADEGIPCPDPEVKVLAGFADLYTDKDPTCRFCKYSAREQVLMEVRRLVARYAARGEDVSITVTGHSLGSALAMLSAYDIAESGANVAGGAGDGGGQRAVAPVCVYSFAGPRVGNAAFKRRFESELGVRALRVVNVHDNVTRMPGILLNEGAPEAVRRVAERLLRVPWCYTHVGVELALDHKRSPFLKDTMDPACYHDLEAHLHLIDGYHGRGERFVLASGRDPALVNKACDFLKDHHGVPPCWRQDENKGMVRGRDGRWVQPDRHGWHLDDHDHDDPHHHHHSHHDDDGHHHRSSSHRREGHHGGADDGARRHRDDQHGHDLRSHRPSKRDV >KQL15493 pep chromosome:Setaria_italica_v2.0:III:20863402:20866041:-1 gene:SETIT_021504mg transcript:KQL15493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAVGRSGDGEQRKKKKGGFRTMPFILGNDICDRFATAGFGANMITYLTQQLHLPLVDASNLLTNFGGTSSLTPILGALAADSFAGRFWTIIAGSVFYQLGMLGLVVSALLPSLRPAPCSSPAPGANAPCRRASGWQLGVLYLSLLCTSIGSGGLRPSVVAFGTDQFDHEPEVQEQQHKQGSSAEAARAVAERKRRYFNLYFFTMGIAALLAVTVVVYIQDNVGWGWGFGIPAVAMFVSIVVFVVGYPLYVRLKPGGSPFTRLAQVAAAAFKKRKIAMPEDAGILYQDKELDALISTNGRLLHTNQLTFLDRAAIVTPGDISDSGEPDLWRLSTVHRVEELKSIIRLLPIWSAGIMLATAGSHNGSFTIMQARTMDRHVTRRFEIPPASMSIFGTTAMLVSLALYDRAFVPLARRVTGLSSGITYFQRMGIGLAISILGVGAAALVETKRRGVAADHGFLDDPAAVIPLSVFWLVPQFAIHGVAGAFSSVGHMEFLYDQAPESMRSTAAALFWLASSIGHYLGTVLVTAVQRATRSRGDWLQDNINRGRIDSYYWLVTCLMVLNLGYYIICFRFYTMKPLEMADEQDDHDKECELSSLHKNGAGAGGLV >KQL14416 pep chromosome:Setaria_italica_v2.0:III:11106127:11108709:1 gene:SETIT_023105mg transcript:KQL14416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLREAGGPFSACSYVAFFDSPCGRAVCRPATEYQRQVGNAATSPPKKGAARGMAATSSAPAAAALVSLPFPAATSSSRVSASSHRGARRFRAATIRCSSASPNVSQGAPAPAPAPAPPKPQIDLEFVGPQPGADGTYPVDRAEAASGEKLLRDIMNENKIELYAAYGKVMNCGGGGSCGTCIVEILDGKELLNERTNTENRYLKKKPESWRLACQTIVGNKENSGKVVVQRLPQWKK >KQL14840 pep chromosome:Setaria_italica_v2.0:III:14255421:14255926:-1 gene:SETIT_023687mg transcript:KQL14840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKASHRAVAAILLLAIAVGTAQAAVSEPGAGSRGQGATTTTTSARVPLPLAVTVRCTKVHVVKAGETCASVARDFRLTVAQFMVLNQEYTCTAAPLPHGRWVCVRGSAVG >KQL15290 pep chromosome:Setaria_italica_v2.0:III:18701042:18701809:-1 gene:SETIT_025583mg transcript:KQL15290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDQYEIMEQIGRGAFGAAILVNHKIEKKK >KQL15143 pep chromosome:Setaria_italica_v2.0:III:17361726:17363065:1 gene:SETIT_022323mg transcript:KQL15143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGREEGDGEVVLRREEEEEGEEEDYIDMDLSPAAGAPAAREFEFMSAPLDRWGEPLASPADELFYKGKLLPLHLPPRIQMVEELLDGRAPRDGGSREALGFRTAPATPYESCNASPANSCYVSGELNVEEYFQEYAAGLADAAAAAAVAGERRSWSRKLRFMRQLNLGLKLKASKAYLKTIFAAKQGSPEDKNALGAPRGAQELAHGGHGHGHLRAWRKNPFGQVRSNRCIASHSAGAGGGSGRATPTAERHKELREHGHRRSFSSVIVRYSSSNKTPPAPALPSPSSSCSSSSSSSSASSSVRTSSESEDGAGPALRRSSSASSEVENPIQGLIAYCKKSQQLASVRKSASDAGFRFLSSAASKIAAESDGLDELIEICRG >KQL16402 pep chromosome:Setaria_italica_v2.0:III:39251047:39252765:1 gene:SETIT_025309mg transcript:KQL16402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGDCGTGNPVDDCWRCDPSWADNRQRLADCAVGFGRDAGGGKNGRNYVVTDPGDADDPSDPAPGTLRYGLVQEGPLWITFARDMTIRPKHDLLVGSHKTVDGRGAAVVVGDGGACLVIHNASHVIVHGITVRGCKPARTASGGMSDGDGITVFRATDVWVDHCTLEKCTDGLIDVTDASTRVTLSNNLLRNHDKAVLLGHSDDFTDDKDMKVTVAFNRFGPGLVQRMPRCRFGLFHVINNDYISWQIYAIGGSASPTILSHGNRFLAGEAKEVTKRDGRTAESEWSTWTWISEGDMLLNGAFFRSSGSPGPDVNTPSFAKSVSLVSAMTDSVGVLSCKEGSLC >KQL16677 pep chromosome:Setaria_italica_v2.0:III:43739936:43743664:1 gene:SETIT_021377mg transcript:KQL16677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSSSRRLPWSSNDDGDSGAGGELSRPRRRWQSVMLQVRGTRMKTTTEGLFGFRRIMEPEFMGMLLPVFGSMLRRVVSEEVEKAMFRQFSAPASPPRLLVDWNQRPRYQLAFLNGLKPVYTMTKLEPDDETAIKVAIVERHENNRTSIVRFGPLSSVRVEVVALHGHFNAKSEECWSPEEFNKHIVSGREKSAQLLTGNLTLKLNGGEALLEHAIFTDNSSFTSTKMFRLGLRLVSPSGERVLEGVTKPFRVKERRVEGFEKHYPPLLKDEVWRLKKIGKIGAYHQALLDNGIDSVKKFLQAYMKDEQKLIKIFNKMPQSTWKSIIEHAMTCQYGDSLYLYEVKDNDAGLFFDEIYQLVGVKFGDYYKSIDQLDQIEKNLVDSLKQAAYQNIDGIQSNYKMVNNYPVPHRFPAQGTSLLSPVLPNQQILNCQHNSYLGDASTSQGFRSTYSKEKFSSSLQSSNVPVDISRFVQGQPSNDVQMIHEPITNRDVQYSSSQGTILPAPRITQLQIPSNEVTCFGLDASPSTVVPNDILASQVAARFNQSRQSEGSHFSEESYNLLPVHNLSSTDVVMSLMQSELHLPSNCDSFSNHWDQRCNDETIMQRQQVFTGFQTSRTNSFDSSSSEDLLQSFISQIPNSDGAAMPLSPRKWFKIKVAFKLASMGRVSRALRRGPHCPAPRPRLVKTI >KQL16216 pep chromosome:Setaria_italica_v2.0:III:34472405:34472947:-1 gene:SETIT_023990mg transcript:KQL16216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSTLEQFSRTSNCAHKRNVEMANWDDVTNEVLQRKKTKLDGRTSGKSSIQVK >KQL15946 pep chromosome:Setaria_italica_v2.0:III:25893596:25896879:-1 gene:SETIT_023629mg transcript:KQL15946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEQNQREDAESTARPLKEYDMPAGLFPRDATNYEFNEETKKLTVYIPSACDVGYKDSSVLRFFTCVTGYLEKGKFSDIEGLKTKVLVWTKVTAIKTEGPKVHFTAGVKKTRSRDAYEVVRDGITIDKF >KQL17088 pep chromosome:Setaria_italica_v2.0:III:48174278:48178334:1 gene:SETIT_025170mg transcript:KQL17088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDASAPVPVPAAAAAVPKRRGSYNCGRCGLPKKGHVCSVPGAGGKAGEAPPPPPPQQQQNKPRRALQFDDPAAEGEAEAVVVVLDAAPVAMAAAPLLPPPPPAAGRKRPRVEAAAAVDVEGEGEEAADSDSGWVELGAGRRAPGEVVLEVLRRMAPRGVAAAAGVSRGWRECARRVWRGAEEVRLRAASVRPVGALMARCPSLARLVLRMDSDVDATMIACVAFSCPNLQTLDISMANSAVNRISGDELSRFVSEKRSLSVLKLDGCSSLGFLNISSSSLSTLWLSGLCSLTKAVMNCPNLNELSLDFPKQNNDSTDLVALMDSLGRTCPNLRNMHISSIRLCNEAVFALESANLRGLCMLSLVLGSKITDAAVASIVRSYASLELLDLSGSSITDNGLGMICNAFPNTLTRLLMALCPNITSSGVQVAAAQLPLLRLMDCGRSICAKPQPEDGRSYFGDLTGGIKFCSKLPTQKKQQPSYQKLIIKHSSLKKLSLWGCSAIEALYVNCPELVDLNLNSCTNLHPERLLIQCPKLKDVHVNGCRDMLIGAIRNQVLNEFAAAEPRLPCKRLADGSKRVHVPHFMIEQLEEQEKWGRPRKSQCTVHLT >KQL16713 pep chromosome:Setaria_italica_v2.0:III:44384101:44384866:-1 gene:SETIT_023739mg transcript:KQL16713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVMKLASERAVVVFTLSSCCMCHTVTKLMQDLSVNALVHELDSDPRGKEMERALLKMLGGRGPAVPAVFIGGKLVGGTNRIMSLHLGGELVPMLMNAGALWV >KQL16893 pep chromosome:Setaria_italica_v2.0:III:46476341:46478403:-1 gene:SETIT_0222503mg transcript:KQL16893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVVRSLRQLRRFTQHHAESHSSTTRLIRQQNALIMHSSTSRSLRTLCRSGEITRFASPSVDLMRSMLSTVAADSIKDIGRGGPMVEYERRIASGELVDGDSFQVDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLIAQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFM >KQL14979 pep chromosome:Setaria_italica_v2.0:III:15303326:15304376:1 gene:SETIT_023227mg transcript:KQL14979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKVHPNVAVPTLGQQPAAAPADEEPVTLTVWRKSLLFNCRGFTVFDASGNLVYRVDSYASDSRAEVVLMDAAGRALLTVRRRKVIGLGADQWLVYPGEETRLPPLYAVKRAAQYMRGAGKSMAHVAPCSGAAGGKQAGGGYEVEGSYLRRCCTVYDARRRAVAEVRPKEAVGSDVFRLVVQPGTEVSLAMAVVLALDQMFGKPSLLRSWSS >KQL13438 pep chromosome:Setaria_italica_v2.0:III:4469319:4471915:-1 gene:SETIT_023422mg transcript:KQL13438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLTRTGVLPWLQSKIVDPVLQVIRRGAEPKQLAFSAALGVTIGIFPICGTTVILGGVAVAMLGSRCNAVTLMVLNLAATPIELSLIIPFLRLGEAVTGSGHFPLTADALKNVLTGHASKDVLLSIVHAMLGWLIAAPFVLGVLYTVSVPCFKILADRFGGIPSSPRTPIKAV >KQL17389 pep chromosome:Setaria_italica_v2.0:III:50170113:50173506:1 gene:SETIT_021093mg transcript:KQL17389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPALPLLPLLLLLLAVAVAVTGAAEVDALIAFKRFLTVPPAAAPFFATWDATAADPCTFTGVACGTGRVVTGVSLRALNVSAASVPFADLCAALPSLTTLSLPENSLGGAIDGVVGCAALQELNLAFNGFSSTVPDLSPLTRLRRLNVSSNLFAGAFPWASLAKMPDLSVLALGDNPFLAPTHAFPAEVTRLTNLTVLYLSAAKIGGAIPPEIGNLVNLVDLELSDNDLAGEIPKEIARLTNLNQLELYNNSLHGELPTGFGELTKLQYFDASMNNLTGSLAELRSLKELISLQLFSNNFSGGVPPEFGDFKELVNLSLYNNSLTGELPASLGSWGRFNFIDVSTNALSGPIPPDMCKQGTMLKLLILENSFSGGIPATYASCKTLVRFRVSKNRLTGEVPDGLWALPNVNVLDLAENQFNGSIGGGIGNATAMTYLMLAGNRFAGAIPPSIGNAASLESMDVSRNELSGELPESIGRLSSLNSLTIEGNGIGGAIPASLGSCSALSTVNFAGNKLAGAIPAELGNLPRLNSLDLSRNELTGAVPASLAALKLSSLNLSDNQLTGPVPEALAISAYGESFVGNPGLCATNGAGFLRRCAPGSGGRSASAAARLVVTCILAATAVLLAALGVLIYLKKRRRAEAEAAASGAGKLFALKKGSWDLKSFRILAFDEREIIAGVRDENLIGSGGSGNVYRVKLGSGAVVAVKHVTRAARLSSSARWREFEAEVGTLSAIRHVNVVKLLCSITSEDGGASLLVYEHLPNGSLHERLHGPEGRKLGGGLGWAERHDVAVGAARGLEYLHHGCDRPILHRDVKSSNILLDEAFKPRLADFGLAKILTAASARVDSSAGVVAGTLGYMAPEYAYTWKVTEKSDVYSFGVVLLELVTGRPAMVPVEEGGGDLVEWVSRRLESREKAMSLVDARVTEGWAREEAVQVLRVAVLCTSRTPAMRPSMRSVVQMLEDVAAAREDDAPAAKLIQVKVV >KQL14187 pep chromosome:Setaria_italica_v2.0:III:9416288:9417081:1 gene:SETIT_023383mg transcript:KQL14187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSAPAAAAASVPATKQQQQPPHHAVLRGSQLKQLREIFRRFDMDGDGSLTQLELAALLRSLGLRPTGEEVRALLAGMDADGNGAVEFEELAAAIAPLLTTQTHLVDQDQLLEVFRAFDRDGNGYISAAELARSMARLGQPLTFEELTRMMRDADADGDGVISFQEFAAVMAKSALDFLGVA >KQL12667 pep chromosome:Setaria_italica_v2.0:III:268276:271017:-1 gene:SETIT_022316mg transcript:KQL12667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVQVIFAGVNIFYKLAVCDGMDMRVLVAYRYLFASAFLAPLAYFIERRNRTKLTWRVVVLSFICGLTGGSLAQNLYISGMKLTSATFASATTNLIPAATFVLALIFRYERLAIRTFSGQAKLAGTLLGVGGAMLLTFYKGADVTPWHSSVNLVAHQHRQQLEVVAEATNRVMGSLLCISSCFFYAIWLILQAKLSKEYPFHYSSTALMCAMSTLQSVAFALCYDRDPGQWRLGFDVRLLSVVYSGVLASGVMLVVLSWCVKRRGPLFASVFNPLMLLVVAVLSSLLLGEKLHLGSALGAVLIVMGLYAVLWGKGRETELAAKVAELPTDDDDVDDRRRIDVVVQLPTAMSCLPPHTIHSSDHQANTDSHSQPEEDQLQRSTTR >KQL15460 pep chromosome:Setaria_italica_v2.0:III:20399524:20401217:1 gene:SETIT_022596mg transcript:KQL15460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPATCSTFCLKGAEQRGPPRWSFHRLSARAASLIGFGSCPRRGRLVLAGCARAGAGASDSKAVQLVLGGRARDDAGDTDSESSDDEGGEDGEVPMTDEERRTLRRKIREMMDRVPETAELTDPEERKAKMRELLTKYELVVEEEDPDWPEDAEDGMGFSLGQFFDKITIKAEKKDDADEEDDTGNQGDKEIVWEDDNYIKPIRDVKTQDWDASVFTDFGPMVVLVHNRYKRPQENEMARAELTKAIELFWEHNLPSPRCVAVDACAEADLVDALKVSGFPEILFTNAGRIIHREKVVRSAEAWSRMMAFFYYKAARPPFLCEADGKGQEKVPLMS >KQL16757 pep chromosome:Setaria_italica_v2.0:III:44728900:44731037:-1 gene:SETIT_024732mg transcript:KQL16757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGAHFAGAGRALLLLAAAAASFLPVAEPFCPRDDSLVKDISQMHQSSYGIDGFSHITVAGSLAHGMKEVEVWLQTIVPGGRTPIHRHSCEEVFVVLKGKGTLLLGSSTLKYPGQPQKIPIFQNSTFSVPVNDPHQVLNSDEHEDLQVLVIISRPPAKIFLYDDWSMPHTAAKLKFPFVWDEGCLPAPKDEL >KQL13924 pep chromosome:Setaria_italica_v2.0:III:7737259:7740005:-1 gene:SETIT_023148mg transcript:KQL13924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIFGAKKNKDPPPTIQDATDRITKRGDTVDEKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRVLKQRRMYEGQRDMLYNQTYNLDQVAFASEGLKDAQQTMTAMKAANKELKGMMKTVKLEDIDSMQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMDFESESVPSYLQPDQESELNLPAAPTGHAAAPPHQQQEDELGLPTVPQASIRT >KQL12866 pep chromosome:Setaria_italica_v2.0:III:1360598:1365371:-1 gene:SETIT_024244mg transcript:KQL12866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQELEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDLKESYGMVPRVLSAITYYNPGVKWWIDSCGMMLPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVHVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFREKLEDLAFDEGGMRWGIMTTNFSESLNGVFKGTRSRPVAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPEMMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFEAPYPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVSEGRLSADYDTGIAHAAVENQKALNLDRAVIGRVLRTIGYARCLMAAPGYPLLEAAYDLHHRAHHLADLNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGQPVTGIIQNENWRDMVEMHIGIRPSEPEGGDSSKKTYGFGSECPWPWHRDDALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQVEWKPCDRQQLSDIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLKLSTSQQLHSIDRRKRYKENDWRVKHDRYIHLWQNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIANVYDTMTRHGTQPERAPLHDYMVSFRFVIILSSH >KQL16266 pep chromosome:Setaria_italica_v2.0:III:35379590:35380114:1 gene:SETIT_025217mg transcript:KQL16266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNQLIQELEIVEGYEVEPKIGNQHQQKPRNQRWIPLEHGLCKINTDAAVDGARSKGAIAVVCRENNGEFVAASAMTVPNVTDPETLEAMACLEALALAEDCAIRKMIVASDCLNVVRNIKEMPRSFECAKFAHEAGRHVWFGSPPVFLDVNAIK >KQL16429 pep chromosome:Setaria_italica_v2.0:III:39874641:39874946:-1 gene:SETIT_024452mg transcript:KQL16429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDAVGAITKIVEVALRIKSAADTVKQNEDVCKQIKYRVEILSSTLSLHQNNTALMNNLAVKAALEALDTTFGEALKLFRECQQDTNFVLLFCKAGNLSQQ >KQL14647 pep chromosome:Setaria_italica_v2.0:III:12625488:12626923:1 gene:SETIT_025236mg transcript:KQL14647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTVVLYPGLAVSHFVPMMQLADALLEEGYAVTVAIINITKDQNIAFAAAVDRVAASKPSVTFHTLPRINNPPTVTNDAQFLIGYSELLRRYNEHLGEFLCSMPPGSVHAVILDFTSNVALDVTKELGIPAYTFVAYSASALALSFKELGDSPLDLFGVPPVPASHLFREMLEDPKSEIYQALVNMYCRNLEANGMLVNTFASLEALALGTLKDPRFLPGGEFTVPPVYSVGPLVEKAGETKGKHECLTWLDEQPEHSVAFLCIGSLGFHSEDQLKDIAVGLERSGHRFLWVVRAPLRDNTFAVHGDPDLDTLLPEGFLERTNGRGLVVKLWAPQVDVLRHKAVGAFVTHCGWNSVLEGVMAGVPMLCWPQYAEQKMNKVFMVEEFGVGVEVVGWQQGLVKAEEVEAKVRLVLESEEGARLRARVTSLKEAAAMAWKEGGSSRAAFGQFLLDVADLEGRTRA >KQL16111 pep chromosome:Setaria_italica_v2.0:III:29879834:29880907:1 gene:SETIT_024883mg transcript:KQL16111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVCGLGGAVLLAAAGLLAYRRQCRIRLARERLAKEREEILNANNTSGRTAKNFSGRELKRATGNFSRDNLLGAGGYGEVYKGLLGDGTVVAVKCAKLGNTKSTDQVLNEVRVLLQVNHRSLVRLLGCCVDLEQPLMVYEFIPNGTLADHLYGGKSRPPLPWRQRLAIAQQTAEGIAYLHFAAMPPIYHRDIKSSNILLDDRLDGKVSDFGLSRLAEQGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSKRAIDFGRGADDVNLAVHAQRAADDERLMDVVDPAMKEGATQLELDTMKALGFLALGCLEERRQNRPSMKEVAEEIEYIINIEAGGHPIEQQHST >KQL13714 pep chromosome:Setaria_italica_v2.0:III:6267294:6269125:-1 gene:SETIT_023560mg transcript:KQL13714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEANKLFAASEVALHASRKDCWVVIGGKVYDVTKFLEDHPGGEDVLLHASASGDATEAFEEVGHSTSAISMMDSYLIGTIKGYVRPSASKATDPWGLEPPNSRTMQGNKGPPNPNTFLDFLLPLFVLGLAFSAWYYLTFVSKNH >KQL12837 pep chromosome:Setaria_italica_v2.0:III:1099943:1102102:1 gene:SETIT_025040mg transcript:KQL12837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLGFYNLEPALAAAAESLFRSGSPPRAVLRRARALHALLVVSSLPSAPRPATFLVNQLLALYCRHSAVADALALLRATPFPSVVSYNTVLSALSRAPRHVPDAFGLFRGLYASGLRPTAPSLCAVLRAAGALRDGRAGAAVHSQALALGFLASDIVPTALLQMYSGCGSPRDADQVFDEMTTPDVVAWNCVMHCNVRYGYLGRALRKFCRMVSIGLAPTESTFSSVLSGCGRSGDSHHGRALHGWVVKSEELDPDLPLQNALLDMYCCCGDLDTALCVFQRIETPDLVSWNTIIAGFSSVGDGWGAIQAFVQLKAVSGEQLAPDEYTFAAVVSAAAALPAMCSGKLLHADVIKAGLESSVFVANTLINMYFTNEEPGSAQILFDSIRVKDVIMWTEMVAGHSALGEGELALKYFISMLEEGHKVDNFSLSSALNSTADLAGLKQGEMLHAQVVKSGHEGNICVSGSLIDMYAKNGTLGGAYSVFCTIQKPDLKCWNSMIGGYGNHGDSEMAFKLFDDMIRGGLQPDHVTYISLLSACSHCGLVEKGKLYWFSMMSDGIVPGFKHYTSMVSLLSRTGVLEEAADLIDRSPSAKRYPELWRILLSSCVTFKDLSIGVHAAQQALEQDPDDISTLILLSNLYASIGKWDNVSEIRRRIRGLTTEKEPGLSWIELEKMVHVFSADDECHTEIGDCRDELLRVKANMELLDSCENDLVSNG >KQL13069 pep chromosome:Setaria_italica_v2.0:III:2382076:2383920:-1 gene:SETIT_021496mg transcript:KQL13069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDARSPLLSYAHLSGLLARCGRAGDLRLGAALHAVVSKNPAHFHLCARRADLCHVLAAWNSLVAMYARCGRRGDAARVFAEMHVRDPVSWNSLLAASSASASDALALLRRMLRASPGAGACDHATLTTVLSACARADGGAGAASLAAVHGLAVSCGLDAGVSVGNALVTAYFECGSPGSAERVFGAMVERNVITWTAMVSGMARAELDRESLSLFRQMRRAVDANSATYSSSLLACAGSLAAREGQQIHGLVVKAGFETDLHVESGLMDVYSKCGLMEDALRVFHSRQDPDEVFLTVILGGFAQNGLEEKAFELFAEMVGTGIVIDANMVSAVLGAFGASAPFALGKQIHALVIKKCFGGNTYVCNGLINMYSKCGELEESVKVFDGMPRKNTISWNSIIAAFARHGHGSEVFRLFESLKADGAKPTDVTFLSLLHGCSHVGSAKGLEILNSMSSQYGIHPRVEHYACVVDMLGRSGLLDDAKAFIEDGPFKDNPLLWQALMGACSFHENSEVGKYAAEKLLLLAPDCTAAYVLLSKIFSSEGRWNDRARIMKRMRELGLRKDTGKSWIELQKEVRSFAIRTSHRDSAGVNNMMLQFSAASSDQEDPVQSNAS >KQL13478 pep chromosome:Setaria_italica_v2.0:III:4699562:4700182:1 gene:SETIT_023467mg transcript:KQL13478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIKAHPHLLCTIAYLSLFPALCVRACRWTPGLLRTTDWWRRAAMGTGFERPDPQTDELQLRDQEVLAHGSGRRCWAAAWSGDAGGDASSGAGERPAACRTAVAGPRGQSGHGPGTRIVESARIRTHGRIDFFFSYFYFGYFMIRIQRVCMTYPMRDTAPCARIRLT >KQL15941 pep chromosome:Setaria_italica_v2.0:III:25813530:25814225:1 gene:SETIT_024229mg transcript:KQL15941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSPCASCKLLRRRCTKDCIFAPFFPADDPHKFAIVHKVFGASNVSKMLQELPVQQRGDAVSSLVYEANARMRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQQRRDGGGPDDVDVVPPSSLVAAGGAGDHHVTMAAMQQHQASMVAPEDVDAFLMQNAGAGAGAIPPHLIGYGGAASVGAMGEPLKRESLWT >KQL16913 pep chromosome:Setaria_italica_v2.0:III:46644990:46648875:-1 gene:SETIT_021464mg transcript:KQL16913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKQSNPKNGEVENNVFRRMILNQFAGDIGFDEENVPCNTPRNSVHSTFGRSSGRAVASTSGSHNTDSVSPGEYLNDPGSILSLQPWIFKRSGSQNSEERMHASGSRAFGSGKNLANCFRDAQAVEVCARSPGVGSGPGRGCGALRSRRSRRNLMKPLVPMNNSYVPHLYSENFEIEECTFAPVPSPASARPFIVTDGRRIISKSCYEPVPVPFNTGFEEEECRGTLVMPGSVIGIASLPELKKLKEEGTDSHAARLGLSSSQRSSKSYGQAGLRDRLLLFSTGLSIGILSSSLSNKKEFDTLKGTLKQMENLVQDLQDELEMKEGLTVKELPNESSGEHDVDPEPMSKIEAELEAELARLELNITSKRLEEETSDFNEVDQEFIGDIVCGELKVDMIPRDLTDYSSESDHDMDSRESSPDYTRGANYPVSPRDLSIRLHKVIQHRLEDRIKELESALAHRQKQAQLQMMVTDGIFSDRICSNSETGSSNQESPMFIQETSSMAEPYCLNLSGDALEAYDEAYEEFMRIADSPCTTSTNGKPQVTEDYLVDRGLIWGMEEDSSRDLKEVSTWEQVLKSVDPSRAQESDVDDEDETDDDSKVLIQQIVERTKQGSPVLINAQKLLFSVDQ >KQL12898 pep chromosome:Setaria_italica_v2.0:III:1522960:1524251:1 gene:SETIT_025111mg transcript:KQL12898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSDKGARQKTAEERGGNGREKSPIGAWVAVLAPLGLSGPRCPACRQTQHEASVGSGRRGPRGNVAWHGRGRGGAFASRGGGYKRGGARSRSGPMRPPSLLSLTLDSALLRIAHIADLSSIPDHLVIDLFRRTLSAGKLTEKVLKLFLATGWCSERF >KQL16966 pep chromosome:Setaria_italica_v2.0:III:47170238:47171464:-1 gene:SETIT_024731mg transcript:KQL16966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAPRLAARAADRHAEVAALVESLLHGGAAAGAAVTLRPRLFELVLNVMLCAVTGDRARRADVRRFQEIVEETFAVSGAPSVGDFFPALRWVDRLRGVDAALLRLHARRDAFVGGLVNDQRRRRDGEIDPDYYTDTVIKGIVLILLTAGTDTPALTTEWAMALLLTNPEAMQKVRAELDANVGTARLVEESDMTNLPYLQCVVKETLRLCPVAPVIPAHEAMEDCTVGGFAVRRGTMILVNAWAIHRDPKLWDAPEEFRPERFLHADTVGAVTVQMLPFGLGRRRCPGEGLAMRLVSLTLAALVQCFEWGVGEGRSGVDMDEGVGLTMPMATPLSAVRRTRKFVRSMLSASTR >KQL13742 pep chromosome:Setaria_italica_v2.0:III:6410768:6414144:-1 gene:SETIT_022981mg transcript:KQL13742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRIEIKRIENNTSRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKATIERYKKAHAVGSSSGPPLLELNAQQYYQQESAKLRNQIQMLQNTNRHLVGDSVENLSLKELKQLESRLEKGISKIRARKSELLSAEINYMVKRETELQNDHMNLRNKIEEGEQQLQQVTVARSAAAAAASVELNPFLQMDTKCFFPAGPFAALDMKCFFPGGLQMLEAHRQMLTTELNLGYQLAPAPSDDAVNNPHQLF >KQL15236 pep chromosome:Setaria_italica_v2.0:III:18045921:18054070:-1 gene:SETIT_021283mg transcript:KQL15236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAIIRRRKYLLDHVNTPILSSSPFSTFQRGRSGLEVEPRTAQKFLEQSSGDSKCEKEQCSVNLIKKDLLGLGNGFLRCPAHVISLSHRGIGRNEFGLPMGARSLLQSVRTASTATAGQPKMDMDDEQSEDQKQNKKKKEASPEECDQAVEGLSTAKAKAKAKQVQESLKAGQSVMQKFWGRILGIGPALRAVASMSRADWAAKLKHWKDEFISTLQHYWLGTKLLWADAKISSRLLVKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLKARMEYAKFLQDTAKEMAKEVQTSRSGETKQTAEDLDEFLNKVRRGERVSNDEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIQPFGTDNYLRFMLRKKLQDIKNDDKMIQAEGLESLSEEELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHAMPSSLLILSRAFTVSGRMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERRRKLEFLEMQEELIKEEEKKKEKEEKAKQKREEEAKLKEREAAEEDLALKEMTDATAREELRKAKEHDKEKLCNISRALAVLASASSVSKERQEFLSLVNKEIELYNSMLEKEGTEGEEEAKKAYFAAREEPDHDAEVAAEEKVSSALIEKVDAMLQELEKEIDDVDAEIGNRLQLLDRDHDGKVTPEEVAAAAAYLKDTIGKEGVQELISNLSKDKEGKILVEDIVRLASQTEEHNEEEEEARQ >KQL16864 pep chromosome:Setaria_italica_v2.0:III:46083024:46086806:1 gene:SETIT_022562mg transcript:KQL16864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGLALLLDLTSRLPRAGASAAAHSHAGLSAAAVAATAAAAFSSTGVPLSARHLFGFPGITVAHCDAGTTAGWNEAPELINDLNNKIRDSIQRARTDYFQYPTKEYHLELKPLFSAFGLKNFTITTLRSFLLYYLPLVPKPHTDSDDEDDDLLEEAPREPVDLVTPFYNSVKQIMRETSIVTTRRVLERIVVRHVSQRTAWKLLKDASKSAKRKAARGMSTPEYTFCVARTTFRAHALGVSAAWVVQSLIEVYRCFIRKPSEDDELPSNGDEQFDDTDKFRLFGRKIYGITIKSCFSLVLASIGAGIGALLHPVHGQWFGCALGDVAGPVIAIIVFERMQLPL >KQL16095 pep chromosome:Setaria_italica_v2.0:III:29228258:29230894:-1 gene:SETIT_023345mg transcript:KQL16095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHIHQRLAARACPSPGLHCVYAPIAAAPLSSFKIEKQLPFSPLFFAFHPVHNLFNKMPLRGFAQDVVSYAALVEGLCETGRIDEALELFREMKRPNMHTYVALVRGLCDARRGKEGLCMLQKMKKLGWSPSTRAYVALVDLWFRERMVDEAEKMMEGDGLAADQYTCNVLEDALVADVEAPEVEFVEFS >KQL13608 pep chromosome:Setaria_italica_v2.0:III:5543391:5546766:1 gene:SETIT_023515mg transcript:KQL13608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSSKKSELKSKQKLEKKLSFYTKVKDAVTSLNAKKTISKKQNQSHRQKKLKAYDLSALSEFLPEPAAPEQKTEVKLNCKSRQTLVLREAAHLKAVLNNPQFQLDPFATIHQHLLATQPPAAAKDDAAKHGKNSKDKKRRRKKKSASSSSQAMDI >KQL13060 pep chromosome:Setaria_italica_v2.0:III:2345575:2347002:-1 gene:SETIT_023158mg transcript:KQL13060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANKAVKVYGWAVSPYVARALLALEEAGVEYELVPMSRDAGDQKIGGHVPETRFGQVPVLEDGDLTLFESRAIARHVLRKHKPELLGGGSLEQSAMVDVWLEVEAHQHHPAAGAIVMQCLITPLIGGERDQAVVDENAGKLRAVFEIYEARLSRSRYLAGDFVSAADLSHFPLMRYFMATEYAAMVEALPHVRAWWEDLAARPAARKVAELMPLDFGLSKKDEQ >KQL15038 pep chromosome:Setaria_italica_v2.0:III:16002028:16003057:1 gene:SETIT_023192mg transcript:KQL15038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPRPSPGARGGAATPPSKPQSPLRITHDGGFYARLLTKESSLGNPSFRYYGAGPGAVPFVWESQPGTPKDAYSSSRMLAAGAAAPAITPPPSYHLRGGAAPGHGSRRHGRARGKYCGYKLRWVKVGFIATVFRRLAFGKSCRSSAAAAASVKSSSSTRWLFSGSSATEARDQEYGCGYEPAPPTKRVLCLGIRPSPWLVQFCGGDRKEPGWVYGWRP >KQL16660 pep chromosome:Setaria_italica_v2.0:III:43417757:43418719:1 gene:SETIT_024457mg transcript:KQL16660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWTSDETMRLVSAWLKNSNDPIKGNRKRNVQYWGAVTGMFNSTTPSDRIREVKKLKEQWHRVNKTMNAFQGSWIKAQRLRASGESDEQVMDKAMAFYEEDFEEGQFKLIACWKVLRDQPKWHTYNEDLNGSNKRKNSELEAMDLTSSPDVLNDLPRPVGCKKAKDESKGKGKGKGSSSTLDEIDKLREGQAKSKEYRIEVLERHQQITADKKESARLNHLAAQEKKEAKLLEKEGKMHDKESKLLETYKSLLTFDTRHMPEDLKPEHMIAVKSMRERIFANFAS >KQL14742 pep chromosome:Setaria_italica_v2.0:III:13514650:13516482:-1 gene:SETIT_021797mg transcript:KQL14742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQLDSSLALFLLFVASCYIIVRRLRSGRSNGDPVLPPSPPGLPIIGNMHQLGRGHHHRKLQALAQQHGEIFLLWLGSVPALVVSSASMAEEVLKNQDHVFCGRPQQHTARGILYDCRDVGFSPYGERWRQLRRIAVVHLLSVKRVDSMRVLREEEVASLVARISAAGALEGDRGKLRAVNMSELIVSLTYTVISKAAFGNKLGGMDPGSFRAMMKEVTGLLEMIAVSDMFPRLRWVDWAMGLDARIKRTASKLDDVLERTLQEHEKKPKNDDEAADLLDDLLSVVKEGGERLNLDRIDVKGLILDLFIGGIDTTSKAIEWAMVYLIKNPREMAKVQAEVRQIAGAQGVLEEQLLRMSRLQAALKEAMRLHPPVPLLIPRETIQDTKLHGYDIPAKTRVIINAWAIGRDKESWENPEQFLPDRFMHTSIDYNGKDFRFIPFSAGRRGCPGIAFATRLAELALANLLYHFDWELPEGQNVESFEVMESSGISPVLKYPLTLVANPLQA >KQL14636 pep chromosome:Setaria_italica_v2.0:III:12532427:12535834:1 gene:SETIT_023089mg transcript:KQL14636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLEQRGRVFVLTLTGDGEHRLGHALISSLRSAVASAAAAAAEAGPGAALVTVGEGRFFSNGLDIGWAGSSRARLGELVAALRPLAADLLALPMPTVAAVTGHASAGGFLLALCHDYRIMRGDRGVLYMSEVDIGLPLPPYFVAVLRAKITAANALRDVVLRGKKVRAAEGKEMGIVDAVYPSAAETAAEAFKFAEQLAARKWDGGVYASIRMSMYPEACRSVGIVEESDEEKRKHFASKL >KQL17234 pep chromosome:Setaria_italica_v2.0:III:49141422:49146696:1 gene:SETIT_022607mg transcript:KQL17234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFRSHEGFGQVPAGAISNGGASLPWWAPAPQLLLYGEALGQGKVAPEATATAACREARFQVVPGAQALLDPPVPPAPKASAAERGSLPEVLKFSVAQGKGEKGAEYSATVALPSPFAIYNGRFELGLGQSMVSANNPYADQHYGLLSPYPVGATNGGCTRIPLNMPTEAPIYVNAKQYEGIIRRRRARAKAERENRLVKARKPYLHESRHLHALRRARGSGGRFLNTKKESNGKDAGGDGKAMISKPLMRQVASPSSEIQQSDLGNPSSVSSLSGSEVSSIYDHEDVDHYHSFDHLRTPFFTPLPSIMDGEHGGNPFKWPTASEGCCDLLRA >KQL14407 pep chromosome:Setaria_italica_v2.0:III:11050749:11054201:-1 gene:SETIT_022930mg transcript:KQL14407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGQLREWISDKLMSLLGYSKSVIVQYVIRLAKECSSTSDLVGKLVEFGFTSSAETRTFAADIYAKVPRRASGISNYQKQEREAAKLVQKQSTYKLLADEDDNDADNQTSTSRKSSTTQSSKSRKQFRRKADQDGGDDDDEDEKVAKDSGRKVRRRTEEEDEEDGNNSSDEEKERIRDQEARAQLEKNMKERDAANTRKLMERQLSKEEQEELNRKSQAMDKNDTSDLRKFSRQAYLQKRRDKKMEEIRDEIVDHEYIFSDVKLTEAEEKEFR >KQL13553 pep chromosome:Setaria_italica_v2.0:III:5208071:5208943:1 gene:SETIT_024238mg transcript:KQL13553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRRPTRYCFSSHIAGVAAALILAALHAPPPPILAQEQLPPWLLCGPAPASSKCKANGSYQANINQLSATLPKNTSSTTGSAGSSAPDVVYALALCRGDANASACEGCVAAAFAGAQGGCPLYKDVMVLYDLCQLRFSNRNFSLDDDYIVSTPPAEAFDAAVRLLVNATANYAAENSSRRFGTGEEGFDKKSRIYALAQCTPDKTADVCRTCLTTIANQLPTYFGGFNGGVIFGAWCSFRYELDPFFSGRPLLQLPAFVWTPPPPPPAPALPAITSQGNAWDVP >KQL13025 pep chromosome:Setaria_italica_v2.0:III:2185638:2188907:-1 gene:SETIT_022136mg transcript:KQL13025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGMGGAKGGAGGGGWLPGERWWRVLFLALAAVSFLISLILLFLSAPRLRLPGVAPSASAAAVSTVRRGPDAPPCLAYLLIGARGDGRRLLRLLLAVYHPRNRYVLHLSADAPDDERRSLAAGVFAAAPAVGAFENVAVVGNPTAGTPVGSSGLAGTLRAAAVLLRLHPDWDWFVTLNAADYPLVTQDDLIHALSSVPRDLNFIYHTSNIGSKEPEKVQQIIVDAGIYLSGRTNFFRATQKRPAPEAFKFFTGSPWVILNRRFIEYCVLAWENLPRILLMYFNNIIQPQEGYFHSVICNSLEFRNFTVNNDLRFMQRDDPAQTEAPFLSREHYGQMVDSGAPFARPFRENDPLLDQIDGNILKRWSRGPVPGAWCSGTKRWFSDPCSQWGNVNIVRPGPQAVKLHQYVNQTLEEAKSRSNSCRR >KQL14291 pep chromosome:Setaria_italica_v2.0:III:10196644:10197094:-1 gene:SETIT_023981mg transcript:KQL14291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKRQRKELQKPTPSSKFQFPAQCGNAGVFQDDFQMNERILPRNSRNKMQSVLGE >KQL16737 pep chromosome:Setaria_italica_v2.0:III:44521302:44522534:1 gene:SETIT_024930mg transcript:KQL16737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGYYVLDSFRYQAYQDDGKDDDHGAVSHSFALSNCNPAKRIQLRRGPKVIITDASELVMFLNGCPPLYRRPYDTYMVLEIEHIINFLMQKGCPGTGDLGVLPIVGPAKAGKSTLIEHVCNNERVRASFSRVVSFTQVDLDEGLTKIRDCGTIKHQNLASYQDERVLIIVEVNGDIPSKGYAANGGKIILCSRSDKITRFGTARTLKVEFLTQEAYWYFFKALAFGSVDSEEEPKLESSIPPHYSYIFSCEIHKAPKMNVQKKHILKRHR >KQL15687 pep chromosome:Setaria_italica_v2.0:III:22578689:22583456:-1 gene:SETIT_022430mg transcript:KQL15687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKNAGRTSPWLLILISVGCFFATYNFLTMHGRGRDGPRKFLGGAGDRDSAVSYGSGSGSDPAKRFHVALTATDALYSQWQSRIMHYWYKEMRNRPGSDMGGFTRILHSGKPDGLMDEIHTMVVDPLPEGKDKGYIVLNRPWAFVQWLQRAKIEEDYILMAEPDHVFVKPLPNLAHGDEPAAFPFFYIKPTENEKILRKFFPEEKGPVSKIDPIGNSPVIIKKAQLEKIAPTWMNVSLKMKEDQETDKAFGWVLEMYAYAVASALHGVHHSLRKDFMIQPPWDLKTDNTFIIHYTYGCDYTMKGQLTYGKIGEWRFDKRSYIQSPPPRNLSLPPPGVPESVVTLVKMVNEATANIPGWEDER >KQL16755 pep chromosome:Setaria_italica_v2.0:III:44723569:44725104:-1 gene:SETIT_024762mg transcript:KQL16755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKSAAAANGNGNHAAANGNGNHAAAEEVPPAKAAEDAPAQEARDRKAEQLKALNTMLLKEATERRGQVAALTARLDELSADDAALSAAERAVAQAALAAPLRAAADEVAALRARLAAVQGSLRDAESRAAREASARGEAYARLEEAAAERARSLKLLREKEAEVAAVSSEVARLEALLAELQGKNSELFGEKGELAEKLEEAKKVVRVVSSEKMEVERHLQQFRKAAETYRVEMECKLKAKVEELKVLGAKKVEIEARVESLETKLVAAMAEKRELEAEVVAKKRESDLVKGENDKLQSEVVAAEKKHTVAVAEVESLRTELGTVLTAKEAAAKAFDAEKARLVGELEGLKRKLEETQADKEAAEGATREKDAQAGKLRAELEELHTSMSQLQASCNDLDMKRLRLHDEKNSVLKALDAEKAEAVKLSSKIEELEKCNGKKDGDIGKLKAALEEKKGKINTLSKDIELLQLAVAEAQKRRKGGIWTWLYAATTTMVAAISFIYATRSN >KQL16771 pep chromosome:Setaria_italica_v2.0:III:44949548:44951830:1 gene:SETIT_021335mg transcript:KQL16771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLQLALLVLASLLACATATVIHGRHGRSSRSTYIVHVRPPPNFSTDMSSTNLETWYRSLLPPLVSTSRSDTPFIHTYREAILGFAVNLTKDEAEYVTKRHGVLTVYEDYLIPLLTTHTPEFLGLRSNEGAWSSIGMGEGIIIGVLDTGIDVSHSSFDDEGMKPPPAKWRGSCNFGDVNCNKKLIGGRSLLGGQFPPEDRVGHGTHTASTAAGRSVEGASVLGSGNGTAAGMAPHAHLAMYQVCNRFGCYASDIIAGMDAAIADGVDILSISLGGKSVPFHEDAIAIGTFSAMRKGIFVSCSAGNSGPLSSTVENEAPWVLTVGASTIDRKMEAIVKLGDGRSFVGESAYQPSNLDSLPLVHEFGSEEVKGKVVACDLDGSRTQLQLGETVHDAGGAGMIVLGKEEGGHNTLAAAHMLPASYVNAIDAAVIRQYIKNSDKPTASIVFNGTSLGNTPSPVVAYFSSRGPSTQTPGILKPDIIGPGVNVIAAWPVKVGPRAEGEKNMAFNTLSGTSMSAPHLSGIAAIIKSAHPDWSPAAIKSAIMTTAYVLDDNKKPILDEKLNPAGHFIIGAGHVNSSQAINPGLIYDTEEEQYIPYLCGLGYTESEVEIITNHKGACGEGRKISEAELNYPSIAVAASTGKLVVNRTVTNVGDEISSYSVDIEMPKEVTASVSPRKLEFTKANEKKTFTVSLTWDATGTKHAEGSLRWVSDKHVVRSPIVIF >KQL17081 pep chromosome:Setaria_italica_v2.0:III:48130545:48133577:1 gene:SETIT_024853mg transcript:KQL17081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNSSAVAIQAAVDGNLRLLKKMASKIDLREANDPSSGWNALHFAAVRGTTPVNHAAAAGEVSVLRYLLDHGGDPAMPDAMGTMPLQVAADSGHHEAVRVLLSKGVPVDPINRRGTPLHLAPAKDHDQAVNILLEHGADPNRVASRILSPLAHACYGHSFKCVKLLVEVGADVNLKGPTGRPVLFSAVEEGLTDIVKFLLEAGADPNIHDGCRKLPIMLAAAHEQRELVNILLPWTKPIPSIPDWNIDGIIRTMKYLWLEPQLEGKEAFAKGDYVAAVYSYTLAIEIDPHDTTSFANRSLCWLRLGEGELALSDARRCTALAPYMLKIHKKAVEAFEEALELDPVGTLARGSLSLSLSLSLSRAGQR >KQL13597 pep chromosome:Setaria_italica_v2.0:III:5442516:5444876:1 gene:SETIT_024327mg transcript:KQL13597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPDPATPFTAASLHEHVLRLHQCGGGGGGSLLLPRTHAASLVSGALAASLPLAGALLLSYAALRDVPSARLVLRHHPLRLRSAFLWNSLSRALASADLPADALREYNRMVRSGVRPDDRTFPFALHAAAAAVAAGEHPAKGLELHAAALRRGLLLSDVFAGNTLVTFYAACGRAADARRVFDEMPARDVVSWNSLVSAFLTNGMLDDAKRAVVGMMRSRVPVNVASLVSLVPACGAEQDERFGLCLHGLALKSGLDSVVNLSNALVDMYGKFGDLEASMRVFNGMPEKNEVSWNSALGCFVHAGFYEDVLELFRAMSEQGVTPGSVTLSSLLPALVDLGYFHLGKEVHGYSIRRAMDLDIFIANSLMDMYAKFGCSEKASAIFEKIEARNVVSWNAMIANLAQNGAESEAFRLVIEMQKSGECPNSFTIVNLLPACSRVASLKIGKQIHAWSIRRSLMSDLFVSNALIDAYAKCGQLSSARNIFDRSEKDDVSYNTLIGGFSQSPCCFESLHLFEQMRSAGVEYDAVSFMGCLSACANLSAFKQGKEIHGVLVRRLLSTHPFLANSLLDLYTKGGMLDTASKIFNRITQKDVASWNTMILGYGMLGQLDVAFELFDLMKDDGIDYDHVSYIAVLSACSHGGLVERGKKYFSQMLAQNMKPQQMHYACMVDLLGRAGQLSESAEIIKNMPFRANSDVWGAMLGSCRIHGNIELARWAAEHLFELKPEHSGYYTLLMNMYAEVGMWSEANEIKTLMKSRKVQKNPAYSWVQNDNKLQAFLVGNTYE >KQL14900 pep chromosome:Setaria_italica_v2.0:III:14728997:14729866:1 gene:SETIT_025269mg transcript:KQL14900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLSGASNGGSGGGGNAGGGSGAAASGQWEEGGGNAARIRGVNSGIMDEKVLELVFRALNWDPQSLCVVARVSRRLRAVAERVLWRELCVSRAPRMVAALTGGAPASAPAAGRIGGGWPALAKLLLFCCGAAGAAVPGHFAPVSRFSKTSGRSFLSRRCAGDLLYVSDPCEHAVAGAADDVGAYRGVFRGFMRSRTRAWLVGHRAPLEPRVRCPYCGARVWSMTAAGLAPRSASRRLGANEGQLEYFVCVSGHLHGSCWLARLSDSDGAGHGGSDADDASADEDEDKL >KQL15485 pep chromosome:Setaria_italica_v2.0:III:20732070:20732536:1 gene:SETIT_025450mg transcript:KQL15485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFQKVCLGPSASLVILFKKYTSPFDVWSSYQCNVMSGVPLPMQS >KQL16651 pep chromosome:Setaria_italica_v2.0:III:43357492:43360148:-1 gene:SETIT_022007mg transcript:KQL16651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGSAGGGGVLGAAREPRERLHKEEEVKGAGAASAADRKGGGAGGGMKFRVSARAPHGVGALLLIGGAAVVGAAVLAWRRSRRGKKGAERQRDRQPAWVDFLQERRGFGWRSCRGWEGEESLRSLMGTVVPGFFPFQATEESHQIHKDNEIVADQLDSKREERTDQNSGRNPVEVNLDDKDKEHVQKIDQNSSRNHVDITTHDMCQTNEHVEKIDHVERIDHDSSRNPVEIMPEVITVCLVPGNVEKVDEDSSRNNIEKEIAQKDNKDVKASDESKLSISGPGIIFSKNNDESDGIQEAESMENTPTAQLMMHQEQLLDDMVTDTEEVKQGERTITDESELEQDEKKALAGLIELVSSPAVASLVKPAEKKGPEFPGLNETGMKIEQDYTNGELREHDLISKGGVQGGAIATMDRRSPALAILALIFAMTIGITIIVRLYAPTRATKLQMDL >KQL13233 pep chromosome:Setaria_italica_v2.0:III:3325587:3326838:-1 gene:SETIT_025059mg transcript:KQL13233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTCKTMLLMAFVAAALIPASLAQSPLQNNFYGSSCPQAEVTVRNVTEGIIRNDPTMGAAFMRLFFHDCFVRGCDASILLDPTANNTQVEKKAIALRGYDAVNKIKAAVESVCPGVVSCADILAFAARDSAVVSGGFASFAMPSGRRDGTVSNFIEVLQNIPSPTFRLQELIKNFAAKGLSIDDLVTLSAAHSFGQAHCSFVNGRLYPTVDPTMNATYAGALKTVCPPPGSNGGDPVINNNRVTDPNVLSKQYYSNLVTGQVLFVSDQQLMNSSYTAAKVANNSADAATWMGQFAAALVKMGGIQVLTGTAGQVRKYCNVTNGY >KQL14946 pep chromosome:Setaria_italica_v2.0:III:15026242:15028804:-1 gene:SETIT_022639mg transcript:KQL14946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAMASQAGGGGGGDAGSAQRGQIQGLARQGSLYSLTLDEVQNHLGEPLLSMNFDDLLKTVFPDGVDPNGPVIGKPDPASSLQRQGSIVMPPQLSKKTVDEVWKGIQDGPETSAREGGQHRRERQPTLGEMTLEDFLVKAGVVPEGLMRDSDDFLVNMDTVGSNVMVAGTSSLNPGAQLLQQYQQQALESQQPSLVGSYMAGQLAPQPLSVATGAMLDSMYSDGQITSPTLGAFSDPQTPGRKRGVSGEVVDKVIERRQKRMIKNRESAARSRARKQAYTNELENKVSLLEEENERLKKQKEFGEIMSSAPPPEPKYQLRRTSSSAL >KQL14841 pep chromosome:Setaria_italica_v2.0:III:14260154:14261896:1 gene:SETIT_024850mg transcript:KQL14841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKPCFLWGDTHASSAAASDPDLAAVFGSGAPETALLAAAATAAGDAAAVSQELGAAAVARPRLRRNSSGSGKQPQQQAGGGARKPPQRGLGVAELERLRCGGDPLRELSAVVVDAAAGAQGHPLLHYHPHHHLQMPPSAFEAASGGARYCSQLLAPAPPTPPGAVCFLHPPAAAGCQRAPLVAPEQQYFRDRWGRMGGFSPAGNGSGGGADHQPLLLPAPEHPSSQNTIWRPAVPSSSSSCLQTGHRCDFCCRRMRALAERGALAPTPPASPNTGANTNTMSDYSIYDLAAAMATARQGDAFLALERKGGAAAAEAPAKKEVREIEFFPAASAHHACGGGRVSSAHDVSELAAPFSSPYGAAAGRTPPKLDLSLRL >KQL14918 pep chromosome:Setaria_italica_v2.0:III:14870496:14874514:1 gene:SETIT_022972mg transcript:KQL14918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYHAKNFSVPFAPQRAQNNEHASNIGAIGGSNISNPANPVGSGKQRLRWTSDLHNRFVDAIAQLGGPDRATPKGVLTVMGVPGITIYHVKSHLQKYRLAKYIPESPAEGSKDEKKDSSDSLSNTDSAPGLQINEALKMQMEVQKRLHEQLEVQRQLQLRIEAQGRYLQMIIEEQQKLGGSIKASEDQKLLHSPPSLDEYPESTQPSPKKPRMDALSPDSERDTIQPEFESHLIGPWDQEICGKNICGVAFPVEEFKADPGMSKS >KQL17055 pep chromosome:Setaria_italica_v2.0:III:47844761:47845631:-1 gene:SETIT_024859mg transcript:KQL17055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQEKEKKIAIVGEYLYAGLLRRKTHLKSKETHSQPIRSKHTDPWTHNQKETNYIHRVHPRTHMRTHKVVT >KQL17009 pep chromosome:Setaria_italica_v2.0:III:47569433:47570289:-1 gene:SETIT_024649mg transcript:KQL17009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHQKPLLQHPKITTATPPIVAKFTSYHNTKPKPEAKDFIRAGCNETCIRRPDAARACYELLLLYAAFINGSYNRASLAIATVMVSKLADLADDLRWYGETGSWLDGCVRVLEEAVAGARVQALPTLGRMSAIADNKLEGKDPDFLLIWNWLRSVDNNFVKCWDGGLKRIKDRAPSSIVADHSEYATAAIFFRPRPNWTPQSPDEKNP >KQL12846 pep chromosome:Setaria_italica_v2.0:III:1201438:1204902:1 gene:SETIT_021886mg transcript:KQL12846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNGLKTGGLLLPTIERRCTTPPSVIVIGGGISGVAAARALSNSSFKVTVLESRDRIGGRVHTDYSFGCPIDMGASWLHGVCNENSLAPLIGYLGLRLYRTSDDNSVLYDHDLESYALFDKDGNQVPKETVDKVGETFERILEETVKVRDEQEHDMPLLQAISIVFERFPELKLEGLEDQVLQWCVCRLEAWFAADADEISLKNWDQERVLTGGHGLMVNGYYPVIEALAQGLDIRLNQRVTKITRQYNGVKVTTEDGTNYFADACIITVPLGVLKANIIKFEPELPPWKSSAIADLGVGIENKIAMHFDRVFWPNVEVLGITGPTPKACGYFLNLHKATGNPVLVYMAAGRFAQEVEKLSDKEAVDLVVSHLKKMLPDATEPTQYLVSRWGSDPNSLGSYSCDLVGKPADVCVRFSAPVENLYFAGEAASADHSGSVHGAYSSGLAAAEDCRKRLLTLKGVPDLVQVAAWEEVAGAVAPLQICRT >KQL15229 pep chromosome:Setaria_italica_v2.0:III:17952149:17955574:1 gene:SETIT_022955mg transcript:KQL15229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLCIISEKLFKMAGDDDGGGQGPGSPSADGQIPLARRSYYVDVPHVQQAFTWDCGLACVLMVLRTLGIDCCDGIADLERLCRTTSVWTVDLAYLLNKFAVSFSFFTVTIGANPQYSAETFYREQLEEDIDRVDELFGKALDAGISIQCRSISAYEIAFLLLSGHCIAIALVDKSKLNSSWMNGVHDVQQLNEDSDYMGHYVIICGYDADDCEFEIRDPASSRKRERVTMKSLDEARKSFGTDEDILLVSLTGKSGMKLTRKFLAGSM >KQL15724 pep chromosome:Setaria_italica_v2.0:III:23045649:23051688:1 gene:SETIT_021914mg transcript:KQL15724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRKTKAEPEPAPAFSIGNCKVEIHGGGLRCESTEQALTVSGPRGGAKVVVSGEGSQFILLNPSDADSQIKSLLQVVAAVSYQIVPADTQYAEIPLAVVRSSHQRAGIGHLLYKELSQRLQNVGITTIFCWADKVSEGFWLKQGFVSIGEVDTRGKIRKIPVRADIKRALCFPGGSTLMVAHLKKELPILQAWEKPQTSPLHTVVPDNDNMVLQTFKRRKVRKTANVARTGAHIDCGEISLSEQEPKKRIYEMSSSSLKSKRIRCSNDGGNGQDMNQSDAHDNYFCSSPGNSVPLIPKENRAPSLGVHFENKMSGEEKAVVHSYGNPTIMLMNIADEQKKARLTKVVETLGGFVTCEGHACTHIVTGKVRRTMNFCIALSSGAWIVSPNWLKESFRQGQFVGEAQYVLEDEEFRMQYKSVLRDAVMRAKERPNSLFSGYTFCLSKYIQPSFDVLSSIIKSTGGKVTSFIMFHSSILYA >KQL16114 pep chromosome:Setaria_italica_v2.0:III:29987665:29990274:-1 gene:SETIT_024804mg transcript:KQL16114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRGVLLAVVIAVEVVVLAAAEKYKPPESILVNCGSAKEGLDADGRKWMTDQDSKWLIDGGKSSIMADADVQDPSLPSPVPYMSARVFTKETVYNFSVDETDRHWLRLHFYPAAYHGLPAEQFFFSVSTSTGITLLRNFSVYITAKALSQAYIIREFTLPPVTGGALSLTFTPTAMNNASYAFVNGIEIISMPNIFSDPATMVGFADQTVDTVAGSLQTMYRLNVGGSYIAPANDSGLSRDWYDDTPYLYGAAVGVTYQANETDKLKFPTPEAEYGAPSSLYLNSRSMGPDPKVNQNYNLTWVFEVDSNFTYVVRLHFCELIRTKVNQRVFDIYINNKTAQADADVIGWTTEKDVPVYKDYATFMPDTPGDKILWVALHPSVSMKPEFYDALLNGLEIFKMSDSTGNLAGPNPDPSKMLEEAEMELTQGKFKDKPNHLKAAVIGGAAGGAAAFGIVAAICVVAYQSKKRRALGTSVSHSSGWLPVYGGNSHTNASKSSGGKSAALNPNITAMCRHFSFPEIKAATKNFDESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVLEFQTEIEMLSKLRHKHLVSLIGCCEDDGEMILVYDYMAHGTLREHLYKTGKPALSWRQRLEITIGAARGLHYLHTGAKYTIIHRDVKTTNILVDENWVAKVSDFGLSKTGPTTAMQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPREQVSLADHAMSCQRKGTLQDIMDPLLKGKIAPDCLKKYAETAEKCLADHGVDRPSMGDVLWNLEFALQMQDTFENGGKPEDEGSVGASSTVSAADSMAASAAALELISEDMDEEDIANSVVFSQLVHPTGR >KQL13967 pep chromosome:Setaria_italica_v2.0:III:8016902:8020817:-1 gene:SETIT_022659mg transcript:KQL13967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADAGFGFAAAYSPALASSQHQPPFDFAFSSAAAGPASMDATASSLPLPEMPAAHLGSMVQPSLVSEYDLGGEGDLFKAPEVIIEEPLLSLDPVAAAISMMSGSESAMDQTIKDADIGTIQNDPLLSEVLYECEKELMEKSAIEETISELLDVKIPMLQVEEIPRQAEQVLIQAELPTFDKEKPAIPECSLQKSVSSGCLNSADWMNGPVRPNFLDFQGLDFEAAFGLRRAYSEGDIQNLGANTPRPAANATNLQTPCERLVTISDLKTEERKQKLSRYRKKKIKRNFGRKIKYACRKALADSQPRVRGRFAKIEECDLLKPSK >KQL15962 pep chromosome:Setaria_italica_v2.0:III:26043678:26056171:1 gene:SETIT_021077mg transcript:KQL15962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFGLAGGIPERRVRPIWDAVDSRQYKAALKLCTALLAKHPTSPYALALKALILERMGKPDEALSVSLNAKELLYSDNIFHFDDLTLSTLQIVFQRLDRLDLATSCYEYACTKYPSNLELMMGLFNCYVREYSYVKQQQTALKMYKTVGEERFLLWAVCSIQLQVHFTSGGVKLLALAEALLKKHINSHSLHEPEALALYISILEQQEKYDAALEVLSGDLGSLLGREEDKLRLQGRLLAQASSYAAASEIYQKVLESCPDDWESFLHYIGCLLEHDVNLPKPCTGEHTCPSCSVDSALSNKTSLSQELVESRLTSALSFVQRLQENNSSDCVRGPHLANIEIERQRCLNGNSNNTKLMEALVKYFHRFGHLFCSASDVEIYLHMLSGNEISELLDKISGSFDASSVSVNTLGLTITLFKVQELLGTLFTKSTAELQGIAKIMVDTFYKNLSLSNDLDPQESMYGEELLSMASSILVQLYWRTRNLGYLLEAILVLEFGLTVRKYVWQYKIILVHLYSYLGALPLAHKWYITLEVKNILLESVSHHILPQMLNSPFLQHAADLVKDYLKFMDDHLKESADLTCLAYRHRTYSKVIEFVQFKDRLQRSMQYLSVKSDSVTLHLKQKAEFLDEVESILGNVSHGTKLVELSNEDSMKHLTFNEDLEARPWWTPTSSVNFLSEPFDEGSTPASYRTKMCKHKSDEKDGPKLKDAERKSLVPRLVYLSMHGCTTFLREGESNGASPDVTAAGEMKTLLEKYARSIGYSFDDALSIVLGMSTGKKAVKDFAPDIVSWMSFAAFINAWNLCSNESLIPGIDQNSSNSWQIVDSLFKTCIEQHLTDARQMLTSPGNNIPLLARMVTEPISWHLLVIQSCMRSMTPQGKKKKKGGPLERPNTPHLQAIQSSVNCMADTLRSIQTWLSDQVRPEEQALDALLSHLQGSSTDGPGQISRTLDESAAAANSEIGGRIAQSLEAWSSTSVVRRIVGAGDETVAELKKICALKLKVLSSASASLSSVLH >KQL16018 pep chromosome:Setaria_italica_v2.0:III:27102773:27103516:1 gene:SETIT_025094mg transcript:KQL16018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHHRFKLSHLMPNSWFYKLRDMKRPRPPSQRNIETTRNSKRSSHYYHGTTTPKPLPLSPHRSYHYMNTKQMSLEKLRPSTLHLNPKASDIQFPRGHHHHHHRSPTSTASAIVVEANEFQDLQLRPIRTRPAPTGSISSTCPSSPRLRSRRVHAISGGRVSTTSASGHRRSVARRSFAVVKASTDPPRDFRESMVEMIAENKVQTPEDMEELLECYLSLNSREYHGVIMEVFRGIWLEIAEDIVED >KQL16207 pep chromosome:Setaria_italica_v2.0:III:34327071:34327367:-1 gene:SETIT_0242492mg transcript:KQL16207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GMDWQGQKRAEMLMQVLLVAAAVAAFLVGYLRGDFQLMLLVYAGGVVLTALVTVPNWPFFNRNPLKWLDADEAERHPRPQVSAAGAAAGGKKKSGKNK >KQL14981 pep chromosome:Setaria_italica_v2.0:III:15316565:15319939:-1 gene:SETIT_024982mg transcript:KQL14981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIEEGRVFKYLPALKRWLQAFAVIRKRPYKVLHSYAQCRYTVVCDKERCPWRVCARKQNIIGKWKITKVVGPHNCAGQELTVRHRQLTSTLIAKWMMGILKEQPNMKVRTIIRTVAEIYGGYVITYGKAWRAKQRAWKMIYGDWESGYEQLPVLFNAIKAVNPDMHYKYIPKPNAWKDGRQIFGREFWCFPQCMEAFRHYHPVFSIDGTFLIGKYRGTLLIVISYDANNMLVTLAFALVERENNDSWGWFLRLVRIHVVGPGREVGVICDMHQGILHAMQEQIEGYPPLHHRWCTWHLREPTSEGCQWLAGLMRDLDKWTRSHDAGGWWYEFQCYNMVESFNKLLLGIRGMLVNAIVQFIFYRLVAWFNERQAKAEVLQRTYQVEHRGRITSDGEIRESRIHVVVLRDFKCTCGKPRQYHFVCSHLVIAARHRNFDIESMIPHEFSVDTLVHTWSPCFVPFRDSREWPPYDGPKYIVDPVYHWNKRGSRKRTRHNMTMDQVSGRTRRGRATPFLPDPEQHEMAEFHLLDQAYDQTHRGRLVVGGGNICVKCRWRPETHSFHLPFGEMTVTLQDCQKMLGLSIRGNAVTGPCVSEDATGDTASWMWIHCLTDWQQAGQYSWGSAVLCFLYQQLCEACRRTSASVSVGGCMYLLQIWMWPHLPVGHPKVMGRRPWFPDWQQYEGEGVLPFAVSVMCASDDDLYRMKCPLVCFYAVEFHMPDQVARQFGIRQIWPTHAISTRVELHK >KQL13543 pep chromosome:Setaria_italica_v2.0:III:5136779:5140603:1 gene:SETIT_024983mg transcript:KQL13543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIAEVDQEEDGVLQADQGAANALSMEEPEDSIAAAMRKLKMESCTTPVMILDPYLKNDGMDDSEDEEIEDGTVKPNDLIIAGLHAHKEPSRSSYLKVFILEELMDGDLCVGWSNYKIFLAHSPLCLAWPDCSLKNDQKGNFMAVGTMSTEIGIWDLDIVDALTPHTVLGGKLKHKKGSHEDSVLGVAWNKEYMNVLASAGKCVTTLEHHDAEVQVVSWSQHSPEIILNVKNGAPKCVRWSVEADVETVAWDPDNEHSFVVSLENGMVQAFDKRISSSNGPNSSLALFTLHAHEKAVTSISFGPSAPNVCTSANALNKKNISSVVMMLHCVIAFSNDDPFLLAMGGSTGKIKISNTLAQR >KQL16407 pep chromosome:Setaria_italica_v2.0:III:39417719:39418567:1 gene:SETIT_025332mg transcript:KQL16407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDCFHAVISCPHARALRQELRKHVALPREEDITYTGPEWLLLLLACARNAVLQAGEQISIAGSVVFLTKYLNALSQIRQQQPSDDENCAAAPREISKEMVSTIGKTLKINVDGAFIIEIGAAAVGVVIHDCTGKPLLTAWRWLRHCRDVEEAEALACLEGIRMAARWADRDMVLVADCSTIIDKLRKGGMDRSQVAPVIMDALHEGQQLRSLIFMKIGTEQNKVAHELAHLAIRSRQCCVSFLLFPECVHALICK >KQL14223 pep chromosome:Setaria_italica_v2.0:III:9686752:9690379:-1 gene:SETIT_022178mg transcript:KQL14223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNKIKVANPVVEMDGDEMTRIFWKSIKDKLIFPFLDLDIKYFDLGLPHRDATDDKVTVEAAEATLKYNVAIKCATITPDEARVKEFSLKAMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGPGKLKLVYEGKEEQVELEVYNFTGSGGVALAMYNTDESIHAFAEASMATAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEAGWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFTQKLEAACIGAVESGKMTKDLALLVHGSSNVTRSHYLNTEEFIDAVADELRSRLAANSNL >KQL15341 pep chromosome:Setaria_italica_v2.0:III:19092021:19097304:1 gene:SETIT_022448mg transcript:KQL15341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKYEPVRDIGSGNFGVARLMRNRETRGLVAVKLIERGHRIDENVYREIVNHRSLRHPNIIQFIEVILTPTHLAIVMEYAAGGELFDRIVDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLFVMIVGAYPFEDQDDPKNIRKTIQRIAAIQYKIPDNIHISDECRELISRIFVSNPLRRITMREIKSHPWFLKNLPRELTEAVQLSYFRRDNTVPAFSDQTTEEIMKIVKEARTMPKSSRSGYGYNDEFSDEEEKEEENEPKVEEEEEDECDKRVREVRESGELDMASLHI >KQL15938 pep chromosome:Setaria_italica_v2.0:III:25718744:25719145:-1 gene:SETIT_024778mg transcript:KQL15938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRAWMYGIQRHLHIFISEVSKFVETAEKHARSCKIKQIRCPCFDYSNNIIWEDTDVIKRHLIKRGFVDGYTIWSHHGWVGGTFNNIDIDTDNNEVGGDNAKENDHIIMDNNYNYGDQNGDQTNTRVEPQVDE >KQL16950 pep chromosome:Setaria_italica_v2.0:III:46963008:46963154:1 gene:SETIT_024390mg transcript:KQL16950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSATTTLAARTIFVPSLAPPGAPAAVTTRLDICDGVLRDFLLGKLARR >KQL16030 pep chromosome:Setaria_italica_v2.0:III:27206825:27210690:-1 gene:SETIT_025210mg transcript:KQL16030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAEMVDSTGSNGCSTVTRVLFCGPYWPASIVYTREYLQNYPFIQVDEVGLDQVPDVIQNYHLCIVRNRRIDSDIIARATQMKIIMQNGVGLEGVDIGAATEHKIKVARIPGYTTGNAVACAEMAIYLTLGVLRKQKEMDRAVNRRELGTPAGETIHGRTIFILGFGAIGYELAKRLRVFGVKILATKRNWSSNTLPCDTEVLVDKKGGLEDMYEFAGEADIVVTCMALTNETIGIVGNKFLAAMKKGSYLVNIARGRLLDYKAVLSHLESGHLSGLGIDVAWMEPFDPEDPILKFSNVIMTPHVAGITEYSLRTAAKIVGDVALQLHSGKPFTGIEFVN >KQL16503 pep chromosome:Setaria_italica_v2.0:III:41102849:41103469:-1 gene:SETIT_023649mg transcript:KQL16503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSKLPLAVLLLAAIALLLSPFSCPAAAAMSDDYGGVVRVVTIHGRGTRVAAAATGAAPISKWQRRRLEEAVAPEFGSLLAADQRYINYDTLNKNRQACGGGCAAQGASYTRPCFYYDKCRG >KQL13024 pep chromosome:Setaria_italica_v2.0:III:2182565:2184951:-1 gene:SETIT_023215mg transcript:KQL13024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLVESICVPCPNAAHGCGARPAYYDQHVHCQTCPHAPRRCPGKDCSFLGSTEALLDHFTGAHGWPSTTEIRAFETCSIPLYDGFNFILVEDDEDDDDHFTTTSSSSRYLLLLNVMRQPLGHSITVHFIGQELRSEGLRCVLSYSRVQYHPDRHKFLGSHSLQSEINVECMDLADRLPDPADCFQFIVPDSVLRNIDKKDAMHIEVRVDIINLE >KQL16700 pep chromosome:Setaria_italica_v2.0:III:44215423:44220879:-1 gene:SETIT_022795mg transcript:KQL16700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPATAAAAAAVRHHRPHLLLRRGGGLLPSPSGPATLPFASRGAAARIRLPPPRFSLSPVPKSLSAASSASHVPVRSLFTGIVEEVGRVRRLGPPLAPSGGGGGGGEAPGLDLEVETKDLLAGTQLGDSVAVDGTCLTVAAIDPAASTLTFGVAPETLRRTSLGERAPGDGVNLERALTPSSRMGGHFVQGHVDGTGEIAAFRPDGDSLWVTVRAPPEILRLLVPKGFVAVDGTSLTVVSVDDEGGWFDFMLVRYTQDNIVLPTKKVGDKVNLEADILGKYVEKLLAGRVEAMAKADS >KQL13054 pep chromosome:Setaria_italica_v2.0:III:2334780:2335072:-1 gene:SETIT_025656mg transcript:KQL13054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQCYRCSYWSSNDCFDDVNCRVDPIQLVPIFLCVAFILQR >KQL16985 pep chromosome:Setaria_italica_v2.0:III:47386771:47389560:-1 gene:SETIT_022378mg transcript:KQL16985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAAAAAAGAVAPAGKTGAAPPPPTDIPIMHDGDRYEHVRDIGSGNFGVARLMRCRATDALVAVKYIERGDKIDDNVQREIINHRSLRHPIIRFKEVILTPTHLAIVMEYASGGELFTRISNAGRFSENEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRLKICDFGYSKSALLHSQPKSTVGTPAYIAPELLMKKEYNGKIADVWSCGVTLYVMLVGGYPFEDPEDPKNFRKTVQKIMGAQYAIPDYVHVSPECRDLLSRIFVVNPDHRITMAQIISHPWFVINLPIDIMIDGTMDYEEPDQPMQNMNEIMQILAEATIPPAPTRLTAQLPEGLDMDEDTEDSDSDMDTDTDTGSSGELILAL >KQL13306 pep chromosome:Setaria_italica_v2.0:III:3713323:3714129:-1 gene:SETIT_023710mg transcript:KQL13306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTQQPIDPKLNGEWSASEMVQEAPHSQVIIPQQERHHNGRFWTIEEHRQFLHGLRWYGLGNWKNISRDFITIKTPVQVSSHAQKYFCRLERTSSSYGSNSQIQGNLVG >KQL14927 pep chromosome:Setaria_italica_v2.0:III:14926429:14927280:1 gene:SETIT_023527mg transcript:KQL14927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLTPEQADECKEIFALFDADEDGRIATGELVTALRSLGQNVDEAEARRFLEDAGVPAGAGAIDLAAFLAVAERKAGARVTAGRLEECFDVFDDARSGSIPAEQLRQVMVSHGDRLTEEEADAMLREADPRGEGRVEYKEYVKVLLRDK >KQL13176 pep chromosome:Setaria_italica_v2.0:III:2987870:2988298:-1 gene:SETIT_024636mg transcript:KQL13176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNDKYYVIKPPAAGITELYLGKSEKGIYCASFQGRCRLQVWILNESCSQMEWVLKHDRDLLPLLMKNKLKEPLRGPWILQDINNNYKKDVKKKAILRKKFVWSSDASDDDETEYSVANEPKFTFFELK >KQL12652 pep chromosome:Setaria_italica_v2.0:III:206626:209197:1 gene:SETIT_022369mg transcript:KQL12652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPTTVREVASEGVAAPTAPEAARQGEVKRSSPTAWETAMLPLSMVAVQVIVVGMLLLSKLTLSAGMSPFVILVYRNMIAAAAVAPLAVYFEREIWKEINWSVCCWIFANAAFGDVLAMGLYFYGLRTTSAAYSSIFLNLIPIATFVTAIVLRAENLALGQWPGKMKLLGALLCVGGTMLVSLLKGPPLHLWPTNLLGYSQAPAANATGAHHNMVVGTLWLCGSCMSYALYFIVQERLVKVFPSTYWMTSLTSLVGSIQALVVGVFLVRDRAEWKLHWNLELLTVVYSGVLNTGLAFLLLSWVIRRSGPIYPTMFNSVCLVMTTVLDSVLLGTQIYLGSVLGTVIIVVGMYAFLWGKGTELKRAAMAKASPTQEA >KQL15800 pep chromosome:Setaria_italica_v2.0:III:24030543:24030788:1 gene:SETIT_025373mg transcript:KQL15800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNGFRTNPAKPNVYHLLYCLMSITYYTATSRDLEGKQQETCIISN >KQL13235 pep chromosome:Setaria_italica_v2.0:III:3341302:3342398:-1 gene:SETIT_024230mg transcript:KQL13235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSACNAMLLMAFVVAAALSTPSRAQSPLQYNFYANSCPQAEATVRNVTEGIIAKDRTMGAAFMRLFFHDCFVRGCDASILLDPTANNTQVEKKAIALRGYDAVNKIKAAVESDCPGVVSCADILAFAARDSAVVSGGFASFAMPSGRRDGTVSNFIEVLQNIPSPIFKLQELIKNFAAKGLSIDDLVTLSAAHSFGQAHCSFVNGRLYPTVDPTMNATYADTLKTVCPPPGSNGGDPVINNNRVTDPNVLSNQYYSNLVTGQVLFVSDQQLMNSSYTAAKVANNSADAATWMGQFAAALVKMGRIQVLTGTAGQVRKYCNVVKS >KQL13527 pep chromosome:Setaria_italica_v2.0:III:5027456:5028172:-1 gene:SETIT_024031mg transcript:KQL13527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPDDAPGGGSSSAAERPAAMRKTSWSKDEDAVLRDQVRLHGARNWEGISAALPGRNAKSCRLRWCQHLAPGVAAGRPFTAEEDALVVACHRVFPNKWSTIARFLPGRTDNDIKNRCNTVLRQQLYQQPPPPPLRRRHDGTLPLFPLVPGDVRTSARDGSPVLRRQPPDEAVGEDQSGACLDLFPLVPGDLINKARNDACEAAAMDVDVGAGDLLEMRLWPAFTAMAVFRAMVQAVRA >KQL15002 pep chromosome:Setaria_italica_v2.0:III:15488917:15492829:1 gene:SETIT_024182mg transcript:KQL15002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQGCQQAHGNGTNIIHSLLHDPRGRAVSIEALMLVEIALLWLIAALGSCRCRSSSRCIRTLVWAVYTLIFLIFTYTIGFMQSSSIKIDLYPVWAVSFFAVLGCTNSITAFELDENKQWTKHYIQLILYYTYVSVILLHMSDGFIATSVTLLFTVTIYKNYMRIHACLLASESWYSSKLLADYMKHEVDSNESRYDPVSLAGYNYLVCWTGARIRSEPPYYQKQFIATENVITIEQIWRCDGRLMKSAGGARLKDSHDFIFRRLLAREDDYNRAFGVIQVELSFLNVFFFTKYALMYYRERLILYWLSDWCKVSLTCRYVSHLQWQQNKFMEWILAFLCKVTLLSNWQDKIGQYSLLESFQGNPYTRRLNIFIRWSNILYLQDQEKMGISMGLLLNNHKARISTNVDKEVKKAIVQSLKASNAQLSNGLSSLARNATCYCEIALPPPELELSGSLEQVDVLIHRGVATKLSRYCAYLVVYVPDLLPGHQWDTKTIFDEVEKETREFLGSVRTSQDKYQVMKNLGELEETIFVKGAKLGKQLESITDYSARWKVIADFWSEMILFVAPSDTVRGHIEQLTHGGEFITHLWALLTYAGIVEQHKEKQNV >KQL13951 pep chromosome:Setaria_italica_v2.0:III:7924018:7926838:1 gene:SETIT_022778mg transcript:KQL13951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWGLEVKPGTTVKCEPGEGYILHLSQAALGESKKSDNALMYVKVDDQKLAIGTLSLDKYPQIQFDLVFDKEFELSHTSKAASVFFSGYKVEQPGEGDEMDFDSEEDEDEEEELENPVIKANGKAGAKEDQKSQGKAGAAASKSSAAVKDVEMKSKDDDDSDEDETDDSDDDDLSAAEGDDDDSSDEGDSSEDDEDSEEEEEETPTPKKPEGGKKRAAENALKTPVSDKKAKVATPSGQKTGGKKGVTHVATPHPAKKTPANNDKSKEKSPKSGGSVPCKSCSKTFNSEMALQAHSKAKHGAK >KQL14005 pep chromosome:Setaria_italica_v2.0:III:8146202:8150815:1 gene:SETIT_021938mg transcript:KQL14005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRIGNRFRVGRKLGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGKRANQVYAIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDMESLGYVLMYFLRGSLPWQGLKAGNKKQKYEKISERKIATSTEALCRGYPTEFASYFHYCRSLRFEDAPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMTSAPPRAIVPAVGQSSGMAPVANNNRHSGNEEGRRSGWSDMDPTRRQVPPPAINAGSLAKQKSPVRHEQSTSKDAVFSSSTFLGRSSGSSRRPAVSSSREPSTEAEQTRSRTTDASPGAFQRSGAPRWSPQMLDSSDSRRSSSGRRHSSNPKNYESTIRGMQGLNFDGDDRVHY >KQL13623 pep chromosome:Setaria_italica_v2.0:III:5616014:5617219:-1 gene:SETIT_025485mg transcript:KQL13623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGGVPSTVRSWRGREDHGRPRDLKSPALWPQALVEERLGRSGCGGWRLLDGDGRSSAHELRAAAAMAGGKPVIPSCGARQLRVDLRGHWRRGRSRRRLRLREEEMRRRRERRDLPALGAA >KQL14201 pep chromosome:Setaria_italica_v2.0:III:9516491:9517012:-1 gene:SETIT_024104mg transcript:KQL14201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NIRQYHRRITLRPACPAHGSKSSGARMVATSISCCLGPPAPPKEAANTARRPSSLRLACVAAAACAVMGMAGGGGGGADMVMALARDGAVASRADDVAAAVGAPRWSDRRQCPAWRANSLENVVPENLPRAPARRRFSSVSISAAALAPAPDLVVVPPVLALRPGTGTGCFSL >KQL15942 pep chromosome:Setaria_italica_v2.0:III:25826176:25831073:1 gene:SETIT_021368mg transcript:KQL15942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSAAAPDADATAGDERRAWEPPFDASAPAPPMSYPITDLAALASRAYLSAAANFHLPFNMASVPGSGDPLPARRRVLVCHDMEGGYRDDAAAQGGGNPDAYALWHWHLIDVFVYFSHYLVTLPPPCWTNAAHLHGVKVLGTFITEWDKGAEVCKEMLATEASAQMYAERLTELAAALGFDGWLINIEVKLDVQFIDNLKEFVNHLTKTMHAAVPGSLVIWYDAITVKGDLDWQNKLNKYNKPFFDLCDGLFANYTWKKKDPEDSAAVAGDRKYDVYMGIDVFGRNTFGGGQWTTNVALDLLKKVDVSTAIFAPGWVYETKQPPDFESAQNRWWGLVEKSWGVLRRYPKQLPFYTYFDQGHGYQVSIKGHQVSSDPWNNISSQSFQPMLKYTGDQAQLQAFINFKDEPYSGGNCLTVKGSLRQNIIFSEQLFNGGLGMEDGSIHLFYSVRADAGSALGLSLNLSSNKQSTSILVAEDIASFITKKQNHKYGSYVKADEVEPHAPDNQGWVLYEATLQSSSGYKLTGINVVCTLKIAGEMSPETEEDMISEANANGSSPYHVSLGHISIQKTDANTEFPPAGSWVTEGEHISWSNSSDTTKRVSLKLSWKLNTLDQPSFRQYNIYVEKSTADPNIKASRSYLGVASVDAFYVSGLEVPSEVTDLKFIIQACAHDGSWQELEKCPKFLLVPVHSEL >KQL17241 pep chromosome:Setaria_italica_v2.0:III:49165005:49174466:1 gene:SETIT_021021mg transcript:KQL17241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPTRQKPIRSTKVVSHCTPPSPSVVSASSSPALFFHSTPRPPPHSHSHFQPPPLPRASPLTSPPRDPIAPRLDPTQVATRRGAPPPPPPPPPPPRLAAAGERGSLGLARGDGGRAARRQLDRLLVQRLSEAELVRARGGSPCSARETLGGAAGGEAWRGLAAMEVDARMATESDSDSDARSGGGGGGGGGSGSGSETPSAPPSAPGTPTATAAATSPGPVAGPRPAPGYTVVDAAMDKKEDGPGCRCGHTLTAVPAVGEEGSPGYVGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVLSNKWTRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDASVELTRRCRHAAAAVGDLIFVYGGLRGGVLLDDLLVAEDLAAAETTSAANHAAAVAASANVQREPGRYAYNDEQSGQTVTVSSPDGAVVLGTPVAPPVNGDMYTDISPENAIIQGQRRLSKGVDYLVEASAAEAEAISATLAAVKARQVNGEMEHSPDREQSPDAASSGKQTSSLIKPDPALLNNSTPPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVIYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRVNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPETSPEHHIEDTWMQELNANRPPTPTRGRPQAPNNDRGSLAWI >KQL12940 pep chromosome:Setaria_italica_v2.0:III:1765418:1768122:-1 gene:SETIT_021617mg transcript:KQL12940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKQHLHQGRHGRTAYHFQPAKNWMNDPNGPFYHNGMYHFFYQYNPHGPTFGTGKLSWGHSVSGDLVNWAFLGTALDPTSPFDAKGCWSGSATTMPDGRLAILYTGLDADDVQVQNVAFAKNPSDLLLREWDKPSFNPIVPQPADITRNNFRDPTTAWLGHDGLWRFAVAAEVAGVGSTVIYRSADFITWERNAAPLHAAPGVPCWECPDFFPVVEHGTEGLDTSANGPGVRHVLKLSKAANEDYYVVGWYNDGADTFSPVEEGERGGDVRNWRRIDHGHLFGAKSFFDARKNRRVLWAWVDETDGSSYDGAKGWTGIQSFPRALWLDTEGKQLVQWPVEEIETLRRKRVALLGKEVGSGELHEIAGIETLQADVQVVFEIPNLEDAEQLDPKWLQDPQKLCAEKGATVEGGVGPFGLIVMASGDMQEQTTVFFRVFKHDDAYKVLMCTDLTRSSTKEGVQKPVYAGFVDVDVEKDKCISLRTLIDHSVIESFGGGGRTCITARVYPEHVATGSSHLYVFNNGLHAVKVPKLEAWELATASVNVEDDGLNALLPPLVVPILSDDAE >KQL13984 pep chromosome:Setaria_italica_v2.0:III:8073799:8080225:-1 gene:SETIT_021277mg transcript:KQL13984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISDIGLSAAFNVLTAIAFLLAFAFLRLQPINDRVYFPKWYLRGMRDNPISSGAAVQKFVNLDARSYLKFLNWMPAALKMPQDELINHAGLDSVVYLRIYLTGLKIFVPITALAFLVLVPVNWFNDALDGMKKVVHSDIDKLSISNIPNGSKRFIAHLVMAYAITFWTCYILLKEYQIIAKLRLRFLASEKRRPDQFTVLVRNIPQDPDESISELVEHFFLVNHPDNYLRHQVVYNANKLADLVEKKKKMQNWLDYYRLKYERNPSERPTTKTGFLGCFGSKVDAIDYYKSEIEKIGKEEAEERKKVMKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWNNLSIPFVSLTVRRLIIAVAFFFLNFFYVIPITFVQSLANLEGIEKALPFLKPLVELPLIKSFIQGLLPGIVLKIFLIVLPTILMFMSKFEGLISQSSLERRSASKYYIFLFFNVFLGSVIAGSALEQLQKYIHMSANEIPKLIGFSVPMKATFFITYVMVDGWAGVAGEILRLKPLIFFHLKNFFLVKTEKDREEAMDPGSICFDSCEPRIQLYFLLGLVYAAVTPLLLPFILVFFGFAYVVYRHQIINVYNQQYESGAQFWPSVHGRIITALIVSQLLLLGLLSTKGLEEATPVLLVLPVLTFWFFKYCKHRYEPAFVRNPLQEAMRKDTLERAREPNFDLKAYLANSYLHPVFKGTDHDDDRYSTVDDDGWMEEEVLVPTKRHSRRTTPAQSKYDGSDGLSSVPEKS >KQL17001 pep chromosome:Setaria_italica_v2.0:III:47479044:47479813:1 gene:SETIT_024504mg transcript:KQL17001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTFAEDDIYCSILLIGDLEDTITFDLKPSDAINVAFRCKIQHMSKVD >KQL13163 pep chromosome:Setaria_italica_v2.0:III:2921637:2923995:1 gene:SETIT_024538mg transcript:KQL13163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCFACFKPEKKMPSRRAESREVAVVRKSTSQNEAPPRESGSIKPSIVSSKHKHKPSSETSTSIEPPKGSCSVAKTAKAFTFRELATATKNFRSDCLLGEGGFGRVYKGKLENGQLVAVKQLDLNGFQGNREFLVEVLMLSLLHHPNLVNLVGYCADGDQRLLVYEYMALGSLADHLLDTTPEQVPLSWHIRMKIAHGTAKGLEYLHEKANPPVIYRDLKSPNILLDEEYNPKLSDFGLAKLGPVGGKTHISTRVMGTYGYCAPEYIRTGQLTVKTDVYSFGVFLLELITGRRAVDSSRPTSEQVLVNWAKPMLRDRKRYSELVDPLLRGEYTERDLGQAVGVAAMCLQEEASVRPYMSDAVVALGFLAEVPAGYKAKSSPIPQMKQVEDPSLTSSSKQDKNTYDRQKAVVEAIEWGSLRQKQKSQSPQKKAQSQGISSPPEANRL >KQL14218 pep chromosome:Setaria_italica_v2.0:III:9643152:9643656:1 gene:SETIT_023605mg transcript:KQL14218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGAVVKKGHDEGLKMAVALLEEFGLPLGLLPLEDVTEVGFVRDTGYMWINQRKKVEHKFSKIGKQVSYDVEITGYIKPKGIKKLKGVKAKELMLWPPVNEMAVDDPPTGKIHFKSLAGVTKTFPVDAFAAGQ >KQL12811 pep chromosome:Setaria_italica_v2.0:III:995672:998560:1 gene:SETIT_024743mg transcript:KQL12811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRREEVDDDEDEASESVERVFEGRVVPGWREQLTVRALAVSALLGAMFSVIVMKLNLTTGIIPSLNVSAGLLGFFLLSSWTKLLDKAGVASVRPFTRQENTVVQTCVVACSGIAFSGGFGSYMFGMSERISEQSGETLDEHNIKNPALGWMIGFLFIVSFLGLFSVVPLRKIMIIDYKLIYPSGTATAHLINSFHTPQGAKLAKRQVRTLGKFFAGSFTWGFFQWFYTAGEGCGFMSFPTLGLEAYRQKFFFDFSATYVGVGMICPYIVNVSVLLGGVVSWGIMWPLIEQKKGDWYPADLKPSSLRGIVGYRVFVSIALILGDGLYNFLKVMTKTVSALVVQVRGMMSEPTLPISGGGDASFPTPEETFDDKRRTELFLKDQIPNTLALGAYAVIAVVSIATVPHIFHQLKWYHVAVSYVIAPCWPSATRTGAGSRTGPWRRRTASWPIFTVGAWAGASDGGIIAGLAACGVMIGIVSTASDLTQDFKTGYMTLASPRSMFVSQVIGTAMGCVIAPSVFWLFYNAFGDIGMPGSEYPSPNALVYRNMAILGVQGLGSLPRHCLDLCIAFFAAAIAINLARDLAGPRAAAYIPLPMAMAIPFYLGPYFGIDMCIGSLVRLVWDRLDPARAKAFAPPVASGLICGDGIWTLPQSVLALAGVKPPICMKFLTRAANAEVDAFLRGRRH >KQL17353 pep chromosome:Setaria_italica_v2.0:III:49915655:49916436:-1 gene:SETIT_025024mg transcript:KQL17353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKLVALFLAFAVAAAALQPSEAARVQAQQGFKPAVASQDAEKVATQADGGVPSAPTLPGLPAGQLPPGLLPAILGLLFPPLGGIISMIQPLLPPPGSPSQQGGVLGGILPGTSPSPPAPAECMTPLSAMMPCTDYLTNMTVLTPPGQCCDGLKTIIRDAPICLCHGMTGGLNQFLPKPVDQLRMTALPLACGTVLPIQTLFMCNSNQVPPIMPPTPAEPLMTPATP >KQL16091 pep chromosome:Setaria_italica_v2.0:III:28833258:28833741:-1 gene:SETIT_025728mg transcript:KQL16091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTNFVYINAVLCVFIRGYLFLTSQPTGDSQNEEPIFYQISYVIKPFYSLQLTWLTLCYPLLM >KQL16098 pep chromosome:Setaria_italica_v2.0:III:29337256:29338071:1 gene:SETIT_025023mg transcript:KQL16098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKSRASNYLCIAPIFSSCVSSGKQPSNDAGRNRLSFSFPDSLAGGSKDQRQQQQPEEQNSESIIDPAASIITRKDGRHCTVIVGTIFGHRTGRVTFCVQRDAAVPPPFLFELSVPMQSLATEMASGLLRIALECHRPSGTPHDGGGGGGSNAAAGGSTSRNVWKASCNGRDVGYAVRRRPTKWDRRVLESMRTMTTGVGMLPPAVALEGPNDENLQDDGGAGEVLYMRATYERIVGSRDAVSYHLISPGTAGGSPPQELSVFLLRTRGD >KQL14331 pep chromosome:Setaria_italica_v2.0:III:10614479:10615005:-1 gene:SETIT_023852mg transcript:KQL14331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSIRAANSTRGSSGNNNNNYESTNAKNRIGGRAAAQKRIAIETRARGEEEQQGRPQMQLLRLQLGPNWSGIGSLPC >KQL15097 pep chromosome:Setaria_italica_v2.0:III:16726184:16730653:1 gene:SETIT_022042mg transcript:KQL15097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQSNRLFSIPDWVVLDHQIFPKDLDSFRNNVVTSAEVCASNSELVRVSFILSALPGTSRLCVHLKEGHELSCLDTVVAAHGKAVLFRLKVDFEGLTGKAIDYFIYWAYTSGPKLSLVPRYYSTVKEIAAAEEGSWRRRLRYRMANYRGIGLLLTGDSEEFVVAELRLNLSKLEDDVDAPLEGELFRLRSDGAGAAGEWEVKNTSVRDGKPTFRDIHGWWEAHKVVPYARYLCWVDYYRGVIFCDVNNDNPELQYLALPVGYVLPGYPVPFRSVLPQVFRAVCITKDETMKFINVVHDDSFPMVSAGSSFTIVISTLVHDYDEMRWQEDLKIESHELWEMEGYDDQLPRIAPLFPLMSVDNPNIIYFVLRERKTLDAGAKTCVVTLDMVNKKVLSYKDIKAIPEEDPVMASYNIFLNVPFFPSEFSKHLQKAAPMKKKESQEVIGC >KQL12744 pep chromosome:Setaria_italica_v2.0:III:668759:669067:1 gene:SETIT_024193mg transcript:KQL12744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVLAAVAETIKNFAIIYLVDITEIPDFISMYEMYDPSTVMFFFRNKHIMIDLGTGNNNKINWDLKDKQEFVDIVETVYRGAWKGRGLVIAPKDYSTKYRY >KQL14644 pep chromosome:Setaria_italica_v2.0:III:12614464:12614979:1 gene:SETIT_025707mg transcript:KQL14644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWFNLHAALSFTFFYAFCFIGLITFLAYLLVQHLQMPFVLS >KQL16507 pep chromosome:Setaria_italica_v2.0:III:41113595:41116115:-1 gene:SETIT_023650mg transcript:KQL16507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTFFSPLPLRDSKTSPSSSGGGGGGAAAMDKSLLGDLDGLPEEDKMRMAAMVDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVHRCAEKFLKHSMRVGMRFAELNQGVATPD >KQL13786 pep chromosome:Setaria_italica_v2.0:III:6725037:6731410:-1 gene:SETIT_021050mg transcript:KQL13786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKGMVAGSHKRNEFVMIHHEGDAPAAAKPAKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYRRQKGSPRVHGDEEEEDVDDLDNEFNYKQGNGKGPEWQLHGQGDDADLSSSARHEPHHRIPRLTSGQQISGEIPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWRVKQDKNMMQVTNKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPISSNQLNLYRIVIILRLIILCFFFQYRVTHPVRDAYGLWLVSVICEVWFALSWLLDQFPKWHPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIVVKSCCGRRKKKNKSYMDSQSRIMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQRKLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWQSIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >KQL12825 pep chromosome:Setaria_italica_v2.0:III:1040591:1043325:1 gene:SETIT_025144mg transcript:KQL12825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIIVQHRWVCEVGKFFSSSRTPIFFFFEKSRTPFQLWSPIRLLISFFRKIKLANGRGEERSVRKRGRQRESVPGTRLTRRAHAATSPLPSLGFPFPETHPCRGQKPPVTSPIPASPFTPSSPVPFHTPPTQPLRRTYILPSALSSPRQPASLIPSNAAENPQALAAAQMFLTDKYSSLLPPHHHHSDAAPPKASNRRRQQRQLLTGKAACFDAALAARLRALLPLPGPASSSSPLAALARLADLLALTLAEAAQALAGEGDAAAVAAHLDAGVALLDACNAITARLERLRRRRLLARFALHLVASSSTGRARVALADRDDRSSASPPPPLPSLPFDQPRGRLSAAARVLVAVNAVSSLAAAAAAAVLGGDALATVFPRQPGRNVSVAWGAAPRHDEEGRAERRGTGRGPPRRKGPRVGGEYPPCRRTCSRRSRHATREPARAGRHGHGAFAVAASAWASAGRSRPLAARCRPSPPTRAAVSRVAAHGGRE >KQL12914 pep chromosome:Setaria_italica_v2.0:III:1607369:1608168:-1 gene:SETIT_025068mg transcript:KQL12914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSHHSAAGLNWEAEAQRALSRSIAESSMCKVVITIPSLVWLRRTVRRWRSRATAATEASSSRSGAAVPAGHVAVCVEGGGCGSRRFVVQLAHLSHPTFRDLLRQAEEEYGFPAAPGPIALPCDEDHFLDVLHRVSSSSSSRSSCCCGPAVRRGRGDARPLLQGMAVEKLAC >KQL14021 pep chromosome:Setaria_italica_v2.0:III:8224475:8230709:1 gene:SETIT_020999mg transcript:KQL14021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKNVAIDDDEYSFPQDAAAADPAPPPPAAEKEKPKKGGKKGKKGGKAAAPDDDDYEPPPPPPPAADEEDDDEPINLVFTGKKKKKKGGTAPAASFSAFNALEEADEDQDEEEPAPAAAAGPEATADADDDDDLDFDFSKAKKKKKKDKVGRSAPGKDEDDEAAPPPPPVAEEEDEDPLVAGAAAAKKSQKKKKKKGTFVTDDEDVVKALADVEDSQPADEPEPEEVKTQDSVPAPDADDATGKKSKKKKKKGGFMVDGEDADQILGKMEDHPPPVEEPEPKDMKDEAPVAAATPVDDAEGKKSKKKKKKSGRTAQEEEDLDKLFAELGVAPSAEDKPVQAPDSTSVAKEDVGAAGDGNVDDKAGEGEVESAAAKKKKKKKEKEKEKKAAAKGAEAKKEEEKEQEAPKGKVDMKKLPKHVREMQEALARRKEAEERQKREEEERLRKEEEERLRREEEERKAEEAKRRKKEREKEKLLKKKQEGKLLTGKQKEEAKRLEAMRRQFLEQSELQKAEGTAPETKKRPIYDSKKKKAQPKTAETAKIVEEQQEEVNEANNDEEEYVLVDQESQSQVEESEERTEPDQEAEEPKPEQEEEEEEDEEWDAKSWDDIDVNLPKTSAFEEEEAKPVQKQENSKAQPVTTSVKKVIPPVANSKKSEADDGGASNGNIKRNKKKGPVKEDSSKNGNDLRSPICCILGHVDTGKTKLLDCIRRTNVQEGEAGGITQQIGATYFPTENIRERTRELKADATLKVPGLLVIDTPGHESFSNLRSRGSSLCDIAILVVDIMHGLEPQTIESLNLLKSRDAVFIVALNKVDRLYGWKRCQNAPIVKALKQQNEDVKREFNMRVTDIVTQFKMQGVNTALYYKNKEMEDTFNIVPTSAVSGEGIPDLLLLLVQWAQKTMEEKLTFVDEVQCTVLEVKVVEGHGTTVDVVLVNGILHEGDQIVVCGMQGPIVTTVRALLTPHPMRELRVKGTYIHHKEIRAAQGVKISAQGLEHAIAGTALYVLGPDDDLDKLKDAVMEEMTRVRNRIDKSGEGVYVQASTLGSLEALTEFLKSPAVNIPFCDFSIGPVHKKDVMKASVMLERKKEYATILAFDVKVMPDARDLAEESGVKIFVADIIYHLFDQFTAYIKNLKEEKKKESAEEAVFPCVLKIMPNCVFNKKDPIVLGVDVLEGIAKVGTPLCIPTKEFIDIGKIASIEINHKQVDMATKGQKVAIKIIANNSDEQQRSFGRHFDMEDELVSRISRRSIDILKQNYREDLSFEDWKLVVKLKTILKIQ >KQL13576 pep chromosome:Setaria_italica_v2.0:III:5323060:5323485:1 gene:SETIT_025554mg transcript:KQL13576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASLPESHHSDLILSFSLPKSTTQTMVNSSECSSAEQPP >KQL14390 pep chromosome:Setaria_italica_v2.0:III:10949690:10950775:1 gene:SETIT_025119mg transcript:KQL14390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGAGPTAAASASRQRMLLLMANYAALLVGSVASSLLSRFYFAHGGRNRWVVTLVQSAGFPLLVVAVLFAGRPAAAPRPFTWFSRRFLAVCLVIGALMGANNLLFSYSTSFLPVSTSSLLLSTQLAFTLVLAAIIVRHPLTFVNLNAVILLTITSVLLALRESGESPEGGGRSHYLIGYVVTLGAAGLFAAYLPVMELLYREAVSGGFILAVEVQAVMQAMASVVAAAGLAAKGGFGGDVARWEGSTALYWVVVLTLVLTWQACFMGTAGVIYLTSSLHSGVCMTAVLVANVLGGVVVFGDAFGAEKGIATALCAWGLASYLYGEYTKKKEEDAAADLDGVQKSLTGCGAAGGGELEAV >KQL16176 pep chromosome:Setaria_italica_v2.0:III:33502478:33505337:1 gene:SETIT_022285mg transcript:KQL16176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDAADSPRYVPRRPGAAAAAPKDGAGLLSPRFRSAAALAGWDEESVLLAALVVEDTPVRESRRKRRASTSSSAGGSAGSSTRKRRSRRQSPGKIPPVVLALDDDDKPDAASDGKSELKDTKEEEEKDVLVGEKEASGSGEKAAATGNLPCMDQLREELSCAICLEICFEPSTTPCGHSFCMECLKHAATKCGKRCPKCRQLISNSRSCTINTVLWNTIQLLFPSEVEARRTSIESPSSCNEDMNHSPPRSNNFSQGGHGMRTRNSNGSFIAEGRTRSSYRTFITPASTPSSNTSGNFISTHGSTRSSNSSNRRTFVPASQLVNTRSAVRSDQSEDAALAYRLQQEEFMNAFEEPEQERQPRNTVSTARDNLRAMASRAIRLRARGWPI >KQL13866 pep chromosome:Setaria_italica_v2.0:III:7350222:7351538:1 gene:SETIT_025029mg transcript:KQL13866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPPFHSRAAAAVLLLLVLLTPAPTSQLGLGPAIAAWINGAPPPSPSPAAAGASSSSAPSQEYTALQALKAAVTEDPRGALSSWQGANVCAYRGVYCSAPPDGAAAAGAPTVVAGIDLNRANLRGTLPEAVSLLAHLTFLHLNSNRLGGAVPDSLRDLEYLTELDLSNNLFSGPFPSSTLLIPSLVYLDLRFNGFSGELPPEVFAKDLDALFLNDNQFEGQIPDTLWSSPATVITLANNHLTGPVPASYGYAGGRVREVLFLNNNLTGCVPEALGFLPSIQVLDLSYNALSGHLPGTLSCLSGIEVLNVAHNQLTGELPDLLCGLRRITNLSVAFNFFSGIGQRCDRQLGSRGVFDFVGNCVPGRDMQRPQPECDGFPGEGGLSCLRIPGARPAGCGDAAVSVGVGVGVGVGVGGVPFGLPGAAAGAGGVVTVTVP >KQL16447 pep chromosome:Setaria_italica_v2.0:III:40188037:40188309:1 gene:SETIT_024590mg transcript:KQL16447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGKASVLLLLLCLIVCIQKTKCEELPCTEKQKKNILSECHEILNRESMRIIIPRKNSPCCRRVRDVLHNDMNCIVKLLTLEEKMAYVE >KQL16491 pep chromosome:Setaria_italica_v2.0:III:40978491:40979047:1 gene:SETIT_025473mg transcript:KQL16491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCISIHWSSLVRFRSHQLSAMCNDFHRYSRNPVLH >KQL13854 pep chromosome:Setaria_italica_v2.0:III:7243817:7247435:-1 gene:SETIT_023384mg transcript:KQL13854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRCVASLPLQSASSSAAARAQPASYAAATRRVRTRLSVATGGEQQLITAQEPAQEPDYGVVSLHHVGILCENLERSMAFYKDLLGLKVNPARPTDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCLAIKDVTKLKEIFDKAGISYTLSKSGRPAIFARDPDGNALEFTQV >KQL14975 pep chromosome:Setaria_italica_v2.0:III:15276901:15277454:-1 gene:SETIT_023907mg transcript:KQL14975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGNNPGFSGKPPVGPILWTPCRAGGLNSIVPLNARSRCSSRCRSVEYFGNEQKTKPNCLVCLLDLHLV >KQL13197 pep chromosome:Setaria_italica_v2.0:III:3127620:3132398:1 gene:SETIT_021842mg transcript:KQL13197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASRLAVARVSPDGAAAGRRRGRPTGFAAVGLPAAAARRGRRRGGAVAASPPTEEAVQMTEPLTKDDLVAYLASGCKPKENWRIGTEHEKFGFEVDTLRPINYNQIRDILNGLAERFSWDKIMEENNVIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVGEEMGIGFLGLGFQPKWALSDIPIMPKGRYEIMRNYMPKVGTLGHDMMFRTCTVQVNLDFSSEQDMIRKFRAGLALQPIATAIFANSPFKEGKPNGFLSLRSHIWTDTDNNRAGMLPFVFDDSFGFEQYVDYALDVPMYFVYRNKKYIDCTGMSFRDFMQGKLPQVPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEESLQSILDMTFDWTKEEREMLRRKVPVTGFKTPFRDGYVRHLAEEVLTLAKNGLERRGYKEVGFLREVEQVVSTGVTPAERLLNLYETKWQRNVDHVFQHLLY >KQL16648 pep chromosome:Setaria_italica_v2.0:III:43337936:43339387:-1 gene:SETIT_022020mg transcript:KQL16648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRIEIRSSPRTPMPLSQQEMIDDFWRKRQIEIEAIQDFGDRAIPMTRIKKVICDEKGKMMMTFDTPSFLTKACEIFVQEIAFRAWMCANSNQRSIILDSDITEAIASTQSYDFLNDFLNAHQDEHHSCPYPKPTKKRHDRLLTNLPSSSCHLPPHQNQLPQFMPQTNGPRVPLSLASLPHEASSVIATTGTPAPIVSGIIPPTNYMANGLGSFGNTINNIAASSGVMNHLKELPRALANIPNTYCYMNMVASASVYGVDSASSSNVAAQDSGIAFHCPYIPQITLQLPSPLQTTISSAHTTTNITIENYIHVGVAATKSTIHASSKTNGNGDIDPNAIGVGDDQHQHEEEANTSLEVNGVHGSLNAQAVAATSIGNDINWDEFDMLDDSLLSVVGKDIVMDEEPGPLPNTASNDDLLLASNMSDLEGFSHEPYLLDDIISSAGTSKRCT >KQL14801 pep chromosome:Setaria_italica_v2.0:III:13952901:13955519:1 gene:SETIT_021921mg transcript:KQL14801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAQLGGSIAGALARMGKATVVDEKVLAECLNEISRALLQADVRFETVRDVKANIKTTANLDALAAGTDKRRVIQKAVVGELCRMLDPGKPSFTPSKGKPSVVMFVGLQGSGKTTTCTKYADYYRRKGFSPALVCADTFRAGAFDQLKQNASKAKIPFYGSYIESDPVKIAVEGVDRFRKEKCDLIIVDTSGRHKQEAALFEEMRQVSEATKPNLVIFVMDGSIGQAAFDQAQAFKQSASVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHIPDFEVFDVKPFVSRLLGMGDLSGLMDKIQDVMPADQLPELVDNLTGGFTLRLLYQMFQNLHSMGPLGQLFSMIPGLSAQFIEKGKEKEGQAKIKRYMTIMDSMTEKELDNTNPKLMNESRINRIARGSGRLVKEVVDMLEEHKRIAKMWNKLPINNKRLNMNNRNSLKPLLNALPANMLNQLGGLNGLQNMVKQMGAQRR >KQL13090 pep chromosome:Setaria_italica_v2.0:III:2531201:2534600:1 gene:SETIT_021217mg transcript:KQL13090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPSGRKRPPAATTFILLCILSSLCVCKAQFKPADSYLVDCGSPKSTTVGQRTFASDGASPVKVSTSQEILAGTSANGVASFDNSALYQTARIFTSPSSYTFPIQKQGRHFIRLYFFPFTYQSYDLALAKFTVSTQDVLLLSDFQQPDKTAPLFKEYSLNITRDTLVISFKPSNGIAFINAIEVVSVPDDLIVDAAQMVNPMQQYSGLSAQPLETVYRVNMGGPKVTPDNDTLSRTWVTDQKYLLNPTVTKKFAYGKDVNYKKGGATQLTAPDIVYGTVTELAASNTSNALFNMTWQFDVDAGFSYLIRFHFCDIVSKALNQLYFNAYVGGFFAQNNLDLSVMSDNQLATATYIDVVLSSNDASSKLGISIGPSTLNNVLPDGILNGLEVMKISTGGSAFTVGSGTGNKNLGVILGAVLGGAGLLIIIVVLVLLCRKKKTLEKQHSKTWMPFSINGLTSLSTGSRTSYGTTLTSGLNGSYGYRFAFSVLQEATNNFDENWVIGVGGFGKVYKGVMRDETKVAVKRGNPKSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERNEMILVYEYMEKGTLKSHLYGSDNPSLNWKQRLEVCIGAARGLHYLHTGSAKAIIHRDVKSANILLDENLLAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPTLPREMVNLAEWGMKWQKRGELHQIVDQRISGTIRPDSLRKFGETVEKCLADYGVERPSMGDVLWNLEYVLQLQDADSTVSDVNSMNRIVELPSQVQNVGALESISVTMAEAGASNDPDHDLSDVSMSRVFSQLIKAEGR >KQL17118 pep chromosome:Setaria_italica_v2.0:III:48402975:48403566:-1 gene:SETIT_025389mg transcript:KQL17118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIANFSLVMERVGGENMRVRNDSKFFIDFLANPDAILKDFLAYKM >KQL16666 pep chromosome:Setaria_italica_v2.0:III:43603455:43603970:1 gene:SETIT_023843mg transcript:KQL16666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGRRATGVVALVAMMVVLQLMAAPTAMARSLQDTTPVLSLNRIARELSSQGDIQCGQTCFWIPCLDLGCSCKDNICYR >KQL15652 pep chromosome:Setaria_italica_v2.0:III:22224988:22225239:-1 gene:SETIT_024851mg transcript:KQL15652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWPSLRIRDSFKHGYLQKLELNLSHMKRAQWQGQGQKGEGQDGQAGGGKASLLQDHLSSGSVLAGALELTWDAVLGGRAGRR >KQL12694 pep chromosome:Setaria_italica_v2.0:III:440011:442091:1 gene:SETIT_022661mg transcript:KQL12694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGIEGWLWHGIDGWGSPHLMPTRHRPSPFPSCQSFFTAPSTGSPCSYLEHVLLPLSSSPPPIHPLVIPFLFSPIHRTQKLALLSLLHPSTTAFAREVPRPRSRAMTVKDCGGHKGCECDRHRLYRKLCGALLAFVLLVLFVVLIVWLVLRPHKPRFYLQDLSVLCLNVTPPASTYLFTTMQATVAARNVNDRVGVYYDEVDVYAQYKDVAITVPTRLPVGYQGHGDQSVWSPFLQSMDSVQLPQPLAVALAQDETAGYVLIDVRVDGWVRWKVGSWISGHYHLRVNCPALLTVNEGKGSYGANTGGGTGYFRFQQAAACAVDV >KQL14678 pep chromosome:Setaria_italica_v2.0:III:12911541:12916011:1 gene:SETIT_021056mg transcript:KQL14678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSPPGHAWHRVLPLACACLALLACLLPRHAAAQQADDGGEARLLLRIKSAWGDPAALASWTAAAGASPHCNWTYVSCDASGRVASLALPNVTLSGAVPDDIGGLTALTALDLSNTSVGGGFPAFLYNCTGIARIDLSNNRLAGKLPADIGRLGGNLTYLALDHNSFTGTIPAAVSKLKNLTYLALNENQLTGTIPPELGDLISLEALKLESNPFDAGMLPESFKSLTKLTTVWLANCSLGGEFPNYVTQMPGMQWLDLSTNRFTGNIPPGIWNLQKLQYLYLFANNLTGDIGINGKIGATELVEVDLSMNQLSGTISESFGSLLKLRYLNLHQNNLTGEIPASIARLPSLEFLWLWDNSLSGELPAELGKQTPLLRDIQIDSNNFVGPIPEGICSNKRLLVLTASDNQLNGLIPSSLASCPTLIWLQLQDNELSGEVPAALWTVPKLLTLFLQNNGQLSGTLPENLYWNISRLSIDNNRFTGRIPATAAKLQKFHASNNLFSGDIPAGFAAGMPLLQELDLSANQLSGAIPESMALLCAVSQMNLSHNQLTGEIPAGLGSIPVLNLLDLSSNQLSGAIPVSLASLRSSQLNLSSNQLSGEVPAALANPANDQSFLGNPGLCAAASLVGSLKGVRSCGAQPTDHVSPSLRAGLLAAGVALVALIAALAVFVVCDIRRRKRRLAQAEEPWKLTPFQPLDFGEAAVARGLADENLIGKGGSGRVYRVAYTSRSSGGAGGTVAVKRIWTGGKVDKGQERAFAAEVDVLGHIRHSNIVKLLCCLSRAETKLLVYEFMENGSLDKWLHGQKWMAGSAIARAPSVRQAPLDWPTRVRVAVGAARGLCYMHHECSPPIVHRDVKSSNILLDSDLNAKVADFGLARILVETGKADTVSAVAGSFGYMAPECAYSRKVNEKVDVYSFGVVLLELTTGREANDGGEHGSLADWAWRHLQSGRRIADAADKCIRDAGYGDDVEAVFKLGIICTGRQPSTRPTMKDVLQILQRCEQAHQRAADEKVAADYDAAPLLQVQVRGGSRRKQLSDARVMDDGSEGGFDCNV >KQL14076 pep chromosome:Setaria_italica_v2.0:III:8603712:8604768:-1 gene:SETIT_024808mg transcript:KQL14076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLATAREARLYGPALAVRRWEYINAGVYAFAALLLSAGLAALSAGGAARAGLAVAAAALAAVAAVNAHDLAAHLAGVDWRVGLARYDAQLGLVEFLVPALHAAGCALAVAGLALLVSQGEGAGYSYGREKHAANMLLAAALLWLLGSVLNSCQVYERADGRAQLLQSSVQMPMLLGSLLFLVAAVLNRRRVSGSWRHEPAILVGRSWAWLCLLGSLLWLAAALLNVLKVFMMHQSDALRLEKLRGGAQERLSRDREGRVPLNWEEAARRRALPTELR >KQL17456 pep chromosome:Setaria_italica_v2.0:III:50532757:50535680:1 gene:SETIT_022126mg transcript:KQL17456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLLSQSLHAAVDRRWLLPLAVGSALSLLLLVALTTFPLPFPSSSSSTPSSALFVEHKLSPTPPSPAGASLPRIAFLISGSAKDASALRRVLLALYHPRNRYILHLDAEAPDSDRRDLAAALAAHPVIAAADNVRVVDRANLITYRGPTMVANTLHAAAAFLWGHAGDGGSDWDWFINLSASDYPLVTQDDLIHVFSKLPRDLNFIDHTSDIGWKEFQRAKPVIIDPGLYMKKKADVFWIPQRRSVPTAFKLFTGSAWMALSRPFVEYCIWGWDNLPRTVLMYYSNFISSPEGYFHTVVCNAEEFKNTTVNHDLHYISWDNPPKQHPHYLTVEDLDRMVASDAPFARKFHADDPVLDRIDGEILSRSVDMPTPGGWCAGTRENGSDPCSVIGDTSLLRPGRGAVRLQRLMTSLLSEEKFHPRQCK >KQL16067 pep chromosome:Setaria_italica_v2.0:III:28153127:28153390:1 gene:SETIT_025060mg transcript:KQL16067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIIGPLVGRLQEVAVGEARLLFGVNADIHRLRDKLMWLQAFLREADTRRRAVSNEITRVWTQQTRDAVFDAEDALDHYHLHVDKS >KQL16384 pep chromosome:Setaria_italica_v2.0:III:38772846:38773118:-1 gene:SETIT_024676mg transcript:KQL16384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAANPNHYTVPMPRNSEAARSPASGYPWAAAEKRLNRFVRAVALVERMGNGLGTLAFTWATVVVLGGFSTDLRQDFWYATAIVFLEAF >KQL13484 pep chromosome:Setaria_italica_v2.0:III:4727423:4731677:-1 gene:SETIT_022153mg transcript:KQL13484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPGGGGGDEYKREESVALLVIVSLAALSLLSLIAAFAYYCYITRKVSRRKQSLYDLPKRSGSPPPPPLRAPPPPQQQQGKESPSSNSASDGAGAVVVAGERGVQVFSYRQLHAATGGFGRAHMVGQGSFGAVYRGVLPDGRKVAVKLMDRPGKQGEEEFEMEVELLSRLRSPYLLGLIGHCSEGGHRLLVYEFMANGGLQEHLYPNRGSFGGISKLDWDTRMRIALQAAKGLEYLHERVNPPVIHRDFKSSNILLDKDFHARVSDFGLAKLGSDRAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRPPGEGVLVNWALPMLTDREKVVRILDPALEGQYSLKDAVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKNRSAQKACNPNVQASKPLD >KQL17008 pep chromosome:Setaria_italica_v2.0:III:47537021:47537518:-1 gene:SETIT_024935mg transcript:KQL17008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLAAVVLTAFAAAASSCAAAGTNKPLLVENLPASAEAKDFIRAGCNETCIRRPDAARACYELLLPYAASINGSYNRASLAIATVMVSKLADLADELRWFGETGSWLDECIRVLDEAVAGARVQALPALGRMSAIADNKLDDKDPDFLLVSNWLRGVDNNFVKC >KQL16169 pep chromosome:Setaria_italica_v2.0:III:33157451:33160397:-1 gene:SETIT_021769mg transcript:KQL16169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGDRDIVTAAMERQPWRRRHGWQLPLHPLQLVGAAVFGLLVAAFYIVLGPYIGNTLAGNILLAAFSFSAVAAAVLYVRCTAVDPSDRTEAKKDKRKRQLARGGGHGGTARLPRLRYGFILWRYAVRLLRRVETRVTNRWVRRSYLEQWNTSVQLDPMLPFAFTSLDDIVSPCTASDGHDISFCPICDCEVKLRSKHCKTCERCVDGFDHHCRWLNNCIGRRNYATFILLMFFVLLMLVIEGGTAIVIFVRCFVDSKGVKLEMEHRLHIRLPKGAHATLSMAFVIFTLYSTAALGQLFFFHMVLIKKGMRTYDYILAMREAGTAFDSFEDSDSDESIDFDSPEKPSFLSRVFCRKDDGDESTRKLSIRIESDKMDAPGRKDDIQINPWALIKMSKDKAMAAAERARERIRQKLPTSPMKPLPVETKRGPLNAERKHITTGKEIVPVFTKRWLSGSPTAVGISSPRRRFSGSSSPKPQRYRSNFDLRLAEVSRELETHISKQVLCSVVMKGVEDEGSSS >KQL12669 pep chromosome:Setaria_italica_v2.0:III:292863:294675:1 gene:SETIT_024213mg transcript:KQL12669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSSTRRAPRRLSQDGSADKVVVNLEASSPVVGSSLSFPVAGSRRGVSATVAGAQNSPIDVEAIEDEVQAISPSRVPPPRNMRTRRQPVTVVDLEVEGSQQGNKRQRVVHCLSQNRGEGSSFQANKEVPKEPTFTCPICWNKMWEPATTPCGHIFCNTCIKQAIKIQKKCPTCRKGLRATSVHRIYLPSTAS >KQL14162 pep chromosome:Setaria_italica_v2.0:III:9266286:9266753:1 gene:SETIT_025753mg transcript:KQL14162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGMATLPFRCSSSRVRFHFSLPASTSSFFAVNLFVIVTYEREYTSATLLNLYGLRATVSCPEGATPA >KQL14863 pep chromosome:Setaria_italica_v2.0:III:14399041:14401289:1 gene:SETIT_025214mg transcript:KQL14863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSSANRCGCKNLLSCNLLRCACCCSWIRGVCGRASREATQEVSDTKRKKKRKWFLGLCGGAVREVEEPLASSESKKKKRKNPTTIPEQDKGKWTKKIWKKKKRKNQQNGLAALVKEISLSNSPKHRAQAGEILRIGNNNIPSRVFTFRELVDATNSFSTENLLGEGGFGRVYRGYIPDTMEVIAVKQLDKDGLQGNREFLVEVLMLSLLHHPNLVTLLGYSTDCDQRILVYEFMPLGSLQEHLLDVTPNSQPLSWHTRMKIAVGTARGIEYLHEVANPPVIYRDLKASNILLDGSFNAKLSDFGLAKLGPSGDKSHVSTRVMGTYGYCAPEYAMTGKLTKTSDIYSFGVVLLELITGRRAIDTTKPTREQILVHWAAPFFKDKRKFAKMADPLLDKKFPLKGLYQALAISSMCLQEEASSRPLISDVVTALTFLADPNYDPPDDIQDPLPITIPNIDIEPSQKKTGDEEQLQQKEDESS >KQL16576 pep chromosome:Setaria_italica_v2.0:III:42612255:42620384:1 gene:SETIT_024888mg transcript:KQL16576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSQRPPSSPCDATWRNAAASIGVFVPAPHARSQPPVRGRSDTTPSWSSSMTDDADAGGGGGGAVVMVPARGSETPATRARMPGLSQCASPEARARIGAASPGTARRVAVRLYESLGRHGLHREADRAFRDAAADGEGTADGGGAVVAERELTGMACLLKDGFVGYLRELAKITPPVPKQVVKFCGITYSAKIETAAFRYETFGNKLLECFVQPVRSLSQSKRSAELQILKGIDGYIMPGSMTLVLGPPGSGRSTMLKILAGRANPSQDSGLSGIVIYNEKTVSEVQKSRLIAYVCGQLNKHIPFLSVRETLEFARDCTQGLRPENFTPQMRKFFAYALVEGQDPFLEYVMQILDLKKIENCLVSGISDTDRDKLSIAELAVGTYSVMVYDQPLTGSDPAMTYDLVNTIRTVCRIQQSSAVMALNHLSQEAFDLFDRIILLGEGHVLYQGPRQDAVTYFAQLGYMKPPHVESWEFLQDIAAENGLQYLLRRSNPRGLEELVECYYSSDHYLDVIRIIGKSKEFSTYWVESEPGIGLSLKESTTFKSNKTKHQETEVVVAKLLNKSGSTSGIESSGNIQVGDVSDEDEMRWEQFKRPYVQPWWKSTRTLIQRQLRILKQLHVLSTLRVIQALLYSVFVYFLAGLTMENNGAVFLHYLVLMFLVAYFGSSIFFFLSAVASIPEVANASAGLIVSIFLLFSGFVIYPSNIPQYWRWLMQINPIRWANISFCNQQFSNGYKDSCIKYLNQLTFCKGNPAMTSGEAYLIYAELFTSVSGKPYVPYIILIGWTLLALLMALVFLNKIDFSQISQSVPQINERKFSKNYLYDVEVYSRSLDGYMEDSTESGRYKSQEPPKLASSSTIVISEGENGSVGSWREEFRVEVESEHLTIPVTPITLTFLDLSFFRCGKVTKEEAIDFENISGYAKPGTMLALVGGADGSAATLLKCLSGRKPPGGSFTGDILVNSTKPSADFSRSVGYAEQLDAHQPYLTIRESLQFSASLRLTNVISKTRRHIHVELVLDQLGLQYYGNHLVGSLRDGTGKTFEVAKKLTIAVELAANPSILFLEEPISGLDSSGTSAILSILSQLPVSGQTVIATVSHPNTRALSYFHQAIILTQEGRQAYFGPVGLNCHEILGYFTAIPRVPPYIQTQNPISFVMGVTGLGIQRRRTAVIDFAEEFQNSHLHEVAMKVVNTAMKNKKFGKEKDSNMISISYNYPASFIRQIGLVLLRTQRFLWRNVNYTYSRFTGCAMIGLLMGSLYFKIKYEDTYGVTSRSLYTYMQTILIGVISANNVIPQIGTDRLAYFREMRSKMYLPISYPVSWVISEIPYFLVATLAFVGIGNGMAGIATETATDFLAYWSVLFLFTLCMTYFGMMVTFIAPSPILAAFLVSIITSLWVSASGVVVLFSDIRFYRWMYWTNPFQYAMSTLTTISFYCDTSQCQRQCSCPRLPDGSYVWDRIASIRSLSQERTCTDVVTLAGMCTTFAVLAFLFFIVLKHNSSHAH >KQL13920 pep chromosome:Setaria_italica_v2.0:III:7727316:7728037:-1 gene:SETIT_023898mg transcript:KQL13920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDWAPVFISLVLFILLSPGLIFQMPAKSRLFALGNFQTSVASIIVHTILFFALDAIFLIAIGVQIQLGS >KQL16558 pep chromosome:Setaria_italica_v2.0:III:42396104:42399530:-1 gene:SETIT_024395mg transcript:KQL16558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVAFAVIWKRPYKVLYSYAQRRYTVVCDKERCPWRFCARKQNITGKWKIIKIVSPHNCANHELTVRHRQLTSTLIAKRMMGILKEQPNMKIRTIIRIVAEIYGAWQMIYGDWESGYEQPPVLFNTIKAMNPSMHYEYIPKPNAWKDARQIFERAFWCFPQCVEAFRHYRPVFSIDGTFFISKYRGTLLIAISCDANNMLVPLAFAVVDVGPSTSWSSQGYGSSTVGLFAQQRLSSRFRRAAARCGCSTATMLDVHVPSPREGGVGSSRKGPSRSRAIASEDEDDDDEDNGDQRPEELDLSQLQDAPLTQPT >KQL14446 pep chromosome:Setaria_italica_v2.0:III:11266715:11269371:1 gene:SETIT_022275mg transcript:KQL14446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRKRKETKDIHPDDPTSYPTASTSCFRSFPLLSLSRAAAAAAATTPTLAAPTSSATMASGQESLSLVGTMRGHNGEVTAIATPIDNSPFIVSSSRDKSVLVWDLTNPVHSTPDSGAAADYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHEKDVISVAFSVDNRQIVSASRDKTIKLWNTLGECKYTIGGDLGGGEGHNGWVSCVRFSPNTFAPTIVSGSWDRTVKVWNLTNCKLRCTLDGHGGYVNAVAVSPDGSLCASGGKDGYTLLWDLTEGKRLYSLDAGSIIHSLCFSPNRYWLCAATQDSVKIWDLESKHVVQDLKPDIQISKNQILYCTSLSWSADGSTLYTGYTDGSIRVWKISGFGYAG >KQL14001 pep chromosome:Setaria_italica_v2.0:III:8129133:8131386:1 gene:SETIT_021942mg transcript:KQL14001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATTRLRCLLLLFLVQLLLLLSAAAGARWQDFLRLPSEGGDAAAGTRWAVLIAGSNGYYNYRHQADVCHAYQIMKKGGLKDENIIVFMYDDIASSPDNPRPGVIINHPSGGDVYAGVPKDYTGEDVTVNNFLAVLLGNRSAVSGGSGKVVASGPGDHVFVYYSDHGGPGVLGMPSGDYLYAKDLVGALERKHDAGGYRSLVFYLEACESGSIFEGLLPEGINVYATTAANAEESSWGTYCPGDDQGPPPEFDTCLGDLYSVAWMEDSDVHNLRTESLKQQYEVVKDRTSAHGTYSLGSHVMQYGDQSLNGQSLYQFIGTDPANDNATFGRDNSLRRRSSGTVNQRDADLVYFWQKYKKSAEGTPEKAEARKRLLQVMSRRSRVDSSMELIGSLLFGSDEGPKVLGAVRPAGQPLADDWDCLKAMVHAYEAQCGPLKQYGMKHMRSFANICNAGVGEDAMAKVASQACAAAR >KQL16865 pep chromosome:Setaria_italica_v2.0:III:46086988:46090471:-1 gene:SETIT_021856mg transcript:KQL16865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVDGVVRLAAASRVLVLSLSLLARLLFRPYDTSATLHPPCLSSSPSAPSSSPSTNLSAAISSLAVWDGVHFARPAECGYEYEQSFAFLPLLPASIALLARSLFAPLVPVLGYRAVLVLSGYVLNNVAFVAAAAYFYRLSMLILKDRKAAYRASVLFCFNPASVFYSSLYSESLYALFSLGGLFYLFSGANTVAVIMLALSGSARSNGALNAGYFCFQALLQAYDAAVQKKRPLLAVWALVAAALRSIFILLPFFAFQAYGYLNICVHGSYEELRPWCKAKVPLLYGFIQSHYWGVGFLRYFQVKQLPNFLLASPVLSLAVYSIIHYTKMLHQLFRTTSIHRQIITALEERSVESCKGSDDTTVLRSEHSTGFTNKAHGNSKVKQRKSVATGTASAMFHDTMLANKEIQDEGSILLLPFVLHLAFMTSTAFFVMHVQVSTRFLSASPPIYWAAAHILASPNCSSKRWGYLICVYFIAYILLGSLLFSNFYPFT >KQL14020 pep chromosome:Setaria_italica_v2.0:III:8217379:8220620:1 gene:SETIT_022134mg transcript:KQL14020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAASASAAAFGIGAALPPPPPVQAGRGEEDAGGDASACGSPCSVASECSSVATADFEGFAEVGSALVLDDLVAAAAASVPEAASGTRIAGAGARSVFAVDYVPRWGLESICGRRPEMEDAAIVLPRFVDVPLWMVAGDAPVDGLDRASFRLPAHFFGVYDGHGGVQVANYCRERIHSVLIEELSKAEESVSGADLSGLESKKQWEKAFVDCFSRVDSEVGGNATTAGKPVAPDTVGSTAVVAVVCSSHIIVANCGDSRAVLCRGKQPLALSVDHKPNREDEYARIEAQGGKVIQWNGYRVLGVLAMSRSIGDRYLKPYIIPVPEVTIVARAKEDECLILASDGLWDVMSNEEVCDAARKRILLWHKKNADASSSAQRSGDSPDQAAQAAAEYLSKLALQKGSKDNITVVVVDLKSHRKFKSKT >KQL14546 pep chromosome:Setaria_italica_v2.0:III:11946967:11949028:1 gene:SETIT_021586mg transcript:KQL14546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPAASVHHLACNPGGSARAARGAALGFPRFPPTKRRSPQLPPSPTPPSRSPDPILRLGAFAAGTQARQMDSGDAVAPLAAWASIRGYFTPATLFLVVNLVIGTIALTSRVTQQRRRRGQHYYHDDGHGHGHYHLQQEPLHSHQQQPAYGDHYYQQPLYATPPAPLARTSSVLDRLRSFGLYRFRSGDFPPEYGAAAGPNHSQDASAPVEEEAAAQQLPEVHYARSRSEPAPAREERRPPASRMKKSVLEVRKAQVARAPARVVEAVAEDDSAHTRAEGFTGSFRREPSPLQQEYNYQEEYVPPPARPQAPAPAPAPAPLARTSSVMYRLRSLGLYGFLAPEQPAAASIPATDSFLAPAAAAAEKKQAHAHYDRSRSEPAWEQGSNKKEKKQEAKPRMAKSSSEARKTAAPSPAEAALAGESVDARAEAFIDSFRQQQARHHQKEEYVPPPRPAPLSRAPSVLERLRSFGLSRFRSGDLGPDLPAAAESAATPAADEKKQAAAHYGRSRSEPAREQGKKEPRMSKSSSSVVEEEEPAEADHGVDARADDFINKFRQQLQLQRLNSLLNYKEMLSGGGKQ >KQL16031 pep chromosome:Setaria_italica_v2.0:III:27214466:27215153:-1 gene:SETIT_024069mg transcript:KQL16031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRASWDEGTTKTLLDLCIAQKNQFNWSNRCLTKLGWKNVYSSFRAQTGLHLGSKQLQNKLNNLRRTFLSWMALQKQSGLGRDTQTGGVSADATYWEEDEQDTSGGDAPPRSQPSSQPTSVKPPPFLNELFELFGHEPQDRGTLLTAGGIHDATPSVGTEGNAADLDQDPPASSARAMSKRLVREFSVDSPTKKKK >KQL16714 pep chromosome:Setaria_italica_v2.0:III:44393016:44393699:-1 gene:SETIT_025464mg transcript:KQL16714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQRLQRRIVASQCLTSGKVSLVYKAEAVQFAEKSRGQVGQIPRHR >KQL13795 pep chromosome:Setaria_italica_v2.0:III:6777466:6781604:-1 gene:SETIT_021387mg transcript:KQL13795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPNKAPFSGVAEDLKGRAACYKQDWNHGFSSGFRILAPTLYIFFSSAVPVIAFGEQLSKDTDGALTTVETLASTAICGIIHSIIGGQPLLIVGVAEPTIIMYTYIYNFAKSQPNLGEKMFLPWAGWVCVWTALMLFLMAMFNVAAILNKFTRFAGELFGMLITILFMQEAVKGMLGEFSAPEGKDQSQPIFQFQWLYVNGLLGVIFSMGVLYTSLASREARLSLYGTGWQRSLIADYGVPLMVILWTALSYSLPSKIPSGVPRRLFTPLPWEPKSLQHWTVAKDLFSVPPAYIFLAIVPAAMVAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILVLSLTTLICGLLGIPPSNGVLPQSPMHTRSLAVLKRQLLRKKMIRTAKEGMMQNATSSEVYGKMQDVFIKMDLGGDSVSAHNELKDLKNAIIPEGDGEGKVPEVFDPEKHVEAYLPVRVNEQRLSNLLQSLLVAGCIGVTPLIQRIPTSVLWGYFAYMSIDSVPGNQFWERIQLLFITPQRRYKVFEGAHASFVESVPFNIISAFTLFQLIYLLLVFGMTWIPMAGILFPLLFFFLIVIRQHFIPKYFDAKHLRELDAAEYEELEGFTPAPTECGGDDESVRSRDAQPEYASEILDEFTTHRGELKRRNSSFRDGRLLQLNSVKMTRQLTRNMSRAPEIMEEE >KQL16280 pep chromosome:Setaria_italica_v2.0:III:36178867:36186365:-1 gene:SETIT_021682mg transcript:KQL16280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADANPPPPDAAASPIASISPSTVGAGDATDADADSIVKQLAGLGIAAAGGEVFPEPSGWDDVPVPVPVAVADGDEVAGEKVRGPPALAAAGAGAADAKVRFPRRPGEPDCTYYLKFGTCRFGIKCKFNHPSRKKKGSRARGSGSSGSGSNSSSNKASSPDDDQAPREEYEGLVPDISDSVSFDDKGSSSNSENHRKNSYEVIDMKKGKMEPKEKISEEPEKGIYFKKLDETNNTSQKGAKDKRRETFSEGSAQEECKYYSTPGGCKFGKACKYLHREGKEGKAEVEKVELNFLGLPLRPGEKECPFYMRTGSCKFATNCKFHHPDPTNVPSKEPVLEHENGDTPQQNVQGPSQPSVPIWPEQRALNEQHVPFLAPAPSYNGGMIPPQGMYPSPDWNGYHQVPVNPYYPPPFPHFPAPHMNHPMYKAVDIPGHQQLPSDEYPERPGQPECQHFVKSGFCKYRMKCRFHHPRARQPAPLTGLSPIGLPIKPDQPVCTYYGRFGVCKYGPACMFNHPFNFGPPVPAAGPPLPGQYHPTPGNFTV >KQL15702 pep chromosome:Setaria_italica_v2.0:III:22763555:22767589:-1 gene:SETIT_021047mg transcript:KQL15702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSKETPKKKPKDPLLTPPSKPRGGFLDEPGRPWNRGGVAMSPAPASVPSYMRGTSSSDAKAGRRGRPVASVSASASPARRMTAATVSASASPARRPAVRVLTRGKVLFPEEAPGSGSGLGRATCSSTMKEAKFPDALDLAPGATDAEGPAALRVCPYTYCSLNGHTHLPAVPLRSFLASRRRLIKTQQSMKLKGVSAFRKKSGEKTSGGSGGGGAKIAPLIDEEAVGDFFVEVYAGPRVSTDMSCSDMSLDEMDATVRKMEFVMFDRCGAEEDSEKGKDPTVCDEGEPEPHLRLEKHGAFRDNLSECSGADTGSDFVEELPWMRYHGYEYDDSLDDEISEEQRIREEEAGGAEISVEQEEEQGTSGRLGDDFKEDAAEEQENDDDENTSNLVQETEIIADQGVACRVETCQEPDGRDEDNILDTSCCGEASTGQGTAEEQLSEDVYKSEILNEEVTGWAGTILEECCKEEISADRGANDDEYSVQSDDESEVTREQDKDEESTPDDGSEMEISEDTISGDGCRADFSEEVTSRAIPEDDSTVDYAFEQYVGTVDDAFEQDGSPTNGHNDARKGFCITRSKLEVISEGIATGQETYQDGSMDGMVPKKLEITACRLEEASEESGNSEESNQGGISTCVDDAQVELDITTCKLKDAYEESNTTEESGLNSNAENVTDGAEMGPEIAKCNLEDASEESDIDQDTAEDDYSTCNSGDTQNADQSDRSANVSSDAQEAMGDDGSAYVSDDVQYDLEIKYSLEDASKESVIAQEADQGHSSADVSSDAQNESELTTSELAVIAITDDHENEFKISTCKSEDIFEESVIGEEADHDESSAYVGDGTQNEYEVTTCHSEGAQVESDVIKEDEDGINTAGGQKKYEITACESGGASLKPAMPQETDGDINNVYASDGSQNDTTMPKLDACEAVHVTEEADQSLQIPAEFSDAKEPSIDDICGAFSGMNLKGDVYFDPAESATCPGNKLIISRRRRTPEEEEYLRGFNPRAPNFLPLELDPDAEKVDLKHQMMDERKNAEEWMIDYALRQAVTNLAPARKKKVELLVQAFETVLPHDEEDKKSISPTRPVQACN >KQL13125 pep chromosome:Setaria_italica_v2.0:III:2735392:2736001:1 gene:SETIT_023823mg transcript:KQL13125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQRQIEPEIHSIPTNRVANPAAAQIELRSEEETDRVDSQGLARRPARSLACSSIRRRPSFGWANRCRSSFVSIRSLASYARPR >KQL14091 pep chromosome:Setaria_italica_v2.0:III:8732112:8737421:-1 gene:SETIT_021291mg transcript:KQL14091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPCTDKCAVRAHRSASQVGWDKEQGRTPSAGATTTRAPVRPHARIDAGRRERPTAVPRPLGLHELLRGEEGGAEEETGRAPPGILVPFSSRLLPPRRPSPRARRRPGRPSVVTDETASRLPRSPRARGAASPAGRAEGQASRRSSPLPLPSARADGSADPSGLGFISSDPAKILPVQMPICSCEETINEFEMLTRDAGRVQQDTLKRILELNADAEYLNHFGLNGRMDVESYKSCIPLCVHSDLEPYIQRIADGDTSPILTGKPVTSLSLSSGTTQGKPKFLPFNDELLETTLQTFQTSYAFRNCEYPIGKGKALQFIYGSKQVVTKGGILATTATTNLYRRPRYKEGMKDIQSQCCSPDEVVFGPDFHQSLYCHLLCGLIYSDEVHQVFSTFAHSLVHAFQTFEEVWEDLCADIRDGVLSEKVTVPSIREAVTKILKPNPELADSIHRKCMGLSNWYGVIPALWPNAKYVYGIMTGSMEPYLKKLRHYAGHLPLISADYGASEGWVGANINPSLPPEQVTYAVLPQTAYFEFIPLEKPKGEESENSASIHYIESDPVGLTEVKIGKIYEVIITTFGGLYRYRLGDIVKVAGFHNSTPELQFICRRSLVLSINIDKNTEKDLQLAVEEAGKLLEAEKLEIVDFTSFVEKSSDPGRYVIFWELSSDASEDVLQNCANCLDLAFVDAGYVGSRKIKTIGPLELRILKKGTFEEILDHFLSLGGAVSQFKTPRFVNPLNIKVLQILIRNTTKSYFSTAYGL >KQL13509 pep chromosome:Setaria_italica_v2.0:III:4878308:4879628:-1 gene:SETIT_023637mg transcript:KQL13509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQITVRTQTVIRITIRTRTMITITIQTRTMIMTQTRIKLMITIQTRTMTMIVITIQTQTMIMIQTRTMIMITIRILVTMMMTTTTRRMKGRRSMQLRGGRELQVVEDALLTPSAFLVAVQAFGDIL >KQL16999 pep chromosome:Setaria_italica_v2.0:III:47476447:47476829:-1 gene:SETIT_025623mg transcript:KQL16999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWEYTWMLAFRRALLSREITHTGTRSLEPRKKIHSAHTRTICATISHDQTRAPSPHKYDQAFSYMRGMPNTPRSS >KQL15451 pep chromosome:Setaria_italica_v2.0:III:20324382:20328042:1 gene:SETIT_021895mg transcript:KQL15451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKADEAAALQFTPTWIVAAVCSIIVLISLAAERGLHHLGKTLKKNNQRSLYEALLKVKEELMLLGFISLLMTAFQETIQRTCIPPSWTEYMLPCQRPEAHQPAGVAATRARFTAAEILGGISRARVLGEGEAGAEAGLCQMQGKVPLLSEEALHQLHIFIFVLAVAHVFFSATTMLLGGAKIHKWKQWEEEIQKNNAAGNGPKKVLPVHQLSFIREHYKGIGKDSMTLSWLHSFVKQFYGSVAKSDYNAMRLGFIMTHCRGNPNFDFHRYMMRVLESDFKKIVSTSWSLWVFVVIFLLLNVNGWHTYFWMAFLPLVLLMAIGTKLEHVIAQLAYDVASRHAAIEGDLVVKPSDEHFWFGRPRIVLHLIHFILFQNAFELSFFFWILMTYGFHSCFMDHVGFLVPRLVLGVVIQLLCSYSTLPLYAIVTQMGSYYKKEIFNEHVQQGVLGWAEKAKKRSGLKEGNSTAESMHNSTAEIMHGDDVA >KQL17358 pep chromosome:Setaria_italica_v2.0:III:49944222:49944508:1 gene:SETIT_024483mg transcript:KQL17358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAASTVLFLIVSLFAGGANAATFTFFLSAAARSSTRARRGPSTCPLAEFSIDGDQDFYDIALIDGYNLAMACSCSVGVGL >KQL14929 pep chromosome:Setaria_italica_v2.0:III:14940599:14941106:1 gene:SETIT_025742mg transcript:KQL14929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCRLVAPFKTYKFSMNCCICQYLYLLIYCLSESFFSSHAEEYKVE >KQL14577 pep chromosome:Setaria_italica_v2.0:III:12120362:12121253:-1 gene:SETIT_024667mg transcript:KQL14577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNGPMGTTKPRVAAAAGRASGFALRAQREIHGGPLHRKVIAPTLQGVFHDDDGGYVSDPDWEEFLRHLPQPHFGEFVSLLGTTPPDAAPPPPPVDPSFAFLANELPDDAGGEGGIFLLDSCNGPSSAACRRTPTLGGRADLRLGIEDTHPPACTHDTHTYLVFDPAVSSHFHLLLCSDWGYARLRAVHTYSSKTGAWTNSETDWSDQDRQRPSEQWRYGDNTGISHGDSVRALPGAFLNRMLYLILGREDEIVEVGVEGKTHRIIPMPHPPYLKRLAAVL >KQL15604 pep chromosome:Setaria_italica_v2.0:III:21594709:21597687:1 gene:SETIT_023117mg transcript:KQL15604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPPTTDAGDELESYSSLGLTVPHSQKGNAEFPKVLLLLSTYLDKTVQQNEELLDSSKVKESTTIFHGQRVPELSIKLYAERIFKYAQCSPSCFVLALIYMERYLQQPNIYMTSFSVHRLLITSVVVAAKFIDDSFFNNAYYGRVGGISTREMNRLELDLLFSLDFRLKVNLETFRSYCLQLEKEALALVLERPIKVHATNGTKPLICNGSVDETCKHELVRERYSSQALQGCSW >KQL15530 pep chromosome:Setaria_italica_v2.0:III:21156969:21157408:-1 gene:SETIT_025427mg transcript:KQL15530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHMMQALLQLMLQWSYLMDHKILSIILCFHP >KQL15691 pep chromosome:Setaria_italica_v2.0:III:22628263:22628871:1 gene:SETIT_025261mg transcript:KQL15691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAGGESRPNGAGGGVGGDALRRRRQAGLSSSSRGAAAAAAAGASSSSSGRGEEEGEEHRGGGKQGRRKKQGRREAVARAIRGGLPTAAVSCWGGGGVSVVQESGGRRAGSRSSRRERAAASDGDADDGSGPGPATTGPPPPAAPLCCLCLGEDFSLEPNPSANGKEDPGVRSLLERNDFFSADCNPHADFPTSAAAAASSS >KQL15739 pep chromosome:Setaria_italica_v2.0:III:23226609:23228579:-1 gene:SETIT_022183mg transcript:KQL15739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGHEADKNIEIWRVKKLIKALDAARGNGTSMISLIMPPRDQISRVTKMLAQQRLKLYNRVPPNGLVLYTGTIVTDDGKEKKVTFDFEPFRPINASLYLCDNKFHTEALNELLASDDKFGFIVMDGNGTLYGTLSGNSREVLYKFSVDLPKKHGRGGQSAVRFARLRMERRHNYLRKVAELATQYFINPATNQPNIVGLILAGSADFKNELGKSEMFDPRLQAKVVKMIDVSYGGDSGFNQAIEMSAEVLSDVKFVQEKKLIGKYFEEISQDTGKYVLGVQDTMTALEMGAVETLIVWENLDVRRYELKNSATGETIVKYLNPAQEADQSNFTDEATSGELEVVDNTLLLEWFAENYHQFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYPADVTAFQEGDDLSDGEYDEDFE >KQL17262 pep chromosome:Setaria_italica_v2.0:III:49333577:49334107:1 gene:SETIT_024354mg transcript:KQL17262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAEASPLEVSPRAGSCRRSRPWSRSSSIGHSGSIEYTSLCDVLEEECGGGGDHHPWRGGSGGGGGSAEYSCHDIHDFDPSNIGIRNQLLKHAASAYLQSAVVVAAGRDEECCLARLWRRVGFGGAGAGAGGGRRGRGGRGRLLMRACSWQLGCVDDPAAFVARSARRLAAFVAGR >KQL16945 pep chromosome:Setaria_italica_v2.0:III:46935485:46936586:1 gene:SETIT_023282mg transcript:KQL16945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMDRQVVRQCDMEVMKMAMLKHEETFRQQVNELHRLYRIQRQLMSDLTRDVPVLTNRRRSKQPRRALDLQLPADEYIVSADEDDEAAGAELELTLAVGGRSSAGRRKNSSSRRRRQEQHGSPGGGGGGSSPFGSDDCSGASLLSSSPSSAGYYSDDGPAAVFHAPPPPCQRAMAFDLGDGMMRQQAPWLMQSQQYLSLRMT >KQL13065 pep chromosome:Setaria_italica_v2.0:III:2367268:2369473:1 gene:SETIT_025299mg transcript:KQL13065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLSRPASPGGGGLGYASRRGLYARPASPAGGSSAQTSPGGSPKDSSPVHRHARAGSLGGVGAASTAGRRAGVGAGAGARAHNSAARAAAQRLARVMGGGGGGGAGGDGGSGSDDDDDYELSGPPIELSSTPRRTSTRSPSPSIGRYLADQTQVGRPPSLTNRYTAGKSVPMIPSIKRPATSGAGTGAGSELPSPIPNRREQRRSVDLGSSMRGRRTSSSLHDEINTLQVENESMHDKLHLAEERSEDGDAKSMQMEREVFP >KQL17285 pep chromosome:Setaria_italica_v2.0:III:49511963:49514524:-1 gene:SETIT_024332mg transcript:KQL17285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEKKFGKGPRELTGAVDLINHYKLLPHHDFFSPSLYPSCQTMYLMMGNVHELYQKRKHEGNEDSADVHKHKKSKVVHCHCFLAGDSEEGASRAETGRAMGVGMAELGTR >KQL14026 pep chromosome:Setaria_italica_v2.0:III:8272286:8276268:1 gene:SETIT_022291mg transcript:KQL14026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALRCPAATSSRSPFLPSSSPVPPARVPRRPPATFRCNYYYGDGGGFRKNYDHIPKQFREENLKDGLMDNYKNVPQFLYGLSPAQMEMFMNDDNPYNRQSQKVTEESVSAARSYEEFGMYTLSGMHEGPASYSMGMGMGGSMSMSMGMGRGGRGYRRMRSSAPDLPSLLLDSRIIFLGMPIVPAVTELIAAQFLWLDYDDRTKPIYLYINSTGTMDENNELVASETDAYAIADFINRSKSKVYTINLSMAYGQAAMLLSLGFKGKRGVLPNSITKLHLPKVHKSGGAAIDMWIKAKELDTNTDYYLDLLSKGVGKPKEELAEFLKGPRYFRAQEAIDYGLADTILHSLDGSFKPKDLTAQLAKAQAMRQSGKRAAAGAGRWSTPTAPR >KQL13418 pep chromosome:Setaria_italica_v2.0:III:4370147:4370508:1 gene:SETIT_024152mg transcript:KQL13418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPLNLLLTVFCSSLLGQTVHLGSVLGGILLVGGLYSVLWGKRKEETRLAMPPDHLEEQSSSKEKQLLDAKECEVKEPASSDQQV >KQL16452 pep chromosome:Setaria_italica_v2.0:III:40201348:40202161:-1 gene:SETIT_0251341mg transcript:KQL16452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTHGAVDSLLGVLSEAIKDEAKLLSGVQGDMQFIKDEMDSMNGFLLHLTKSSDDHDDQQRAWMKQVREIAYIAQDCIELYVRDLPPPDRGLLAKVRHGVVLLRKMPARHHLATRIRDLKVRVRDVGERRQRYGVTVPEVKRGKPTTSVVHGGKTADELNTARENFLRALALDMDAGGASFQNALALLPEDIKSVTQDIQVALTKEYEMDQDNPATICVEMLHRALHVSHQGQGRVNREELEKLSAASKYDVMDLPKQVMALCYSKLSR >KQL12878 pep chromosome:Setaria_italica_v2.0:III:1426664:1431034:1 gene:SETIT_022353mg transcript:KQL12878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILRLSPALSPASCAVVSFPCRPSSHLVPRPPSRSATLICLAATPKVPLPIASPPSLGDDPSKWDPAECDALLRGGEQVASVLQEMLTLMEDMEMDGAFEPVAVELVAQGVIGKRVDEMESGFLMALDYMIQLAQKDADDERKSLLEVIKQTVLDHLTKKCPPHVQVVGLLCQTEKKESRHELLRRVAAGGGVFKNDKGLKCQIPGANLNDIANQADDLLESMESRDTIPDRKLLARLVIVREEARNMMGGGLLDERNDRGLTTLPEAEVNFLSKLVALKPGKALERMIRDVMNGKGEGADNVEQSSADSHSEQEHLTGVSGRGSVSGRKPRPVRPGMFLETVSKVLGGVYASNTSGITAQHLEWVHQTTLKILQEMAF >KQL14672 pep chromosome:Setaria_italica_v2.0:III:12840233:12843973:1 gene:SETIT_022411mg transcript:KQL14672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRELRDGLEVEDDEREEEARGGGEVVAVVRLKAKRALVGAGARFLFYPTLLYNVVRNRFEPEFRWWDSVDQYVLLGAVPFPSDVPRLKQLGVRGVVTLNEPYETLVRTSLYQSHGINHLEIPTRDYLFAPSLEHICRAVDFIHRNEMQGGSTYVHCKAGRGRSTTIVLCFLIKYRNMTPETALDHARSVRPRVLLAPAQWEAVKTFSTLNARCLAIQSSNPTCSALSYEESSEQSSMLTSRCLSIRSTDEDFSVTSDEESCEASVADPEVYGYATTEFDSEHFVLPRCRSLLPRPASPTGCNDLVFVTEADLEGYETFTGAGKGDVEVEVVVRQKPIMRKLSCFLGSLKLTSNCEPPPSRLTEVRAC >KQL17259 pep chromosome:Setaria_italica_v2.0:III:49300925:49304023:-1 gene:SETIT_021254mg transcript:KQL17259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVASHGAFQGENPLDYALPLIILQICLVLVVTRGLAYLLRPLRQPRVIAEIIGGILLGPSALGRSTKFLHTVFPPESMTVLDTLANLGLLFFLFLVGLELDISAIRRTGRKALAISLSGIALPFALGVGTSFAFRATIVKDAPHAPFLVFMGVALSITAFPVLARILTELKLLTTDLGRMALSAAAVDDVMAWILLALAIALSGSSSPIISLWVLLTAAAFVAAAFLLVRPVLAWMARQCREGEPVKELYVCATLAIVLAGGFLTDVIGIHALFGGFVVGVVVPKDGPFAGMLIEKVEDLVSGLFLPLYFVSSGLKTNVATISGAKSWGLLVLVIANACIGKIGGAVATALLVKIPVREAVTLGFLMNTKGLVELVVLNIGRDRKVLNDEAFAIMVLMALFTTFITTPIVMAVYKPARPSAPYKRRTVAGGDDADELRVLACFHSSRDVPTLLNLGEASRGTGRRRLAVYAMHLVELSERSSAITMVQRARRNGVPFFNSADRGDGQLVVAFEAFQRLSSVRVRAMTAISDLDTIHRDVIDSAAGKRAAIVVMPYHKALQPDGSLQSLGSVYHAINKRVLREAPCSVAILVDRGLGGPAQVSAQNVSLSVAALFFGGPDDCEALAYATRMAEHPGVAVTLARFRTSRPPHSDEEFADDEAAVEAFKSKVGGVKDGSVRFEEREGCSKEEVLESIGSLAKSNVFVVGRMPPAPALVENPDELGPVGSYLASPEFRTSASVLVIKRYDPATNPKSRRFDPEARPPVATEEDVLDEAEMGRSSVVPVTWSPGPDAHGAQ >KQL15842 pep chromosome:Setaria_italica_v2.0:III:24381390:24382876:-1 gene:SETIT_024434mg transcript:KQL15842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGYGVFGIDYEGHGKSMGARCYIQKFDNLVTDCDQFFKSICEMEDYRNKSRFLYGESMGGAVVLLLHRKDPAFWDGAVLVAPMCKISEKVKPHPLVVSFLTKVEEIIPKWKIVPTKDIINSTFKDPIKREKVRKNKLIYQDRPRLKSALELLRTSMDVEDNLSEVRVPFLVLHGEADTVTDPEVSRTLYERSASTDKTIKLYPGMWHGLTAGEPDENVELVFSDILAWLDQRSRHWKPEERVRPPLEPENKHHQEASKKITCATSSNGAESPVPVSPHGQPQCGCSFLCGLGGQPNQHQSRM >KQL13902 pep chromosome:Setaria_italica_v2.0:III:7629554:7630929:1 gene:SETIT_024546mg transcript:KQL13902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCGSWPALQGGGIGHGDAASELSCGSKLQDLPISYQVEEQLQQAMIALLVASADNFLLHEINRACMLHEHGRHAEAPSSAFRSFSGSPDEASSLMPGSSTISRRHTPEVSSLKDIPLSPVTFGDHHHYGHSYGNLDDTIQNMEQFRCQESHQEHAQRKNSHCGGAGAFMPYSRHLTTKKQPKPPGSGGQRAIKASMSALARMHMVRLAQWRHCRQMEMAVAPPARSNNCSQLQHVLSERKRREKLNDSFKALTTVLPPSPKKDKASILIRARDYLNTLKSRVSELEERNRMLVELQRHCNNGVDRDFVSGEEIEVNIDRATTEEISQELHLKIVVRSGCNSMDAVVGILECLKEIGDVRITAMDTGNRASEPPP >KQL15166 pep chromosome:Setaria_italica_v2.0:III:17573597:17576731:1 gene:SETIT_021434mg transcript:KQL15166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVVAGPADKPMIVVNYKGEEKQFSAEEISSMVLTKMKEIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNALENYAYNMRNTIKDDKIASKLGADDKKRIEEAIDGAISWLDSNQLAEADEFEDKMKELEGICNPIIAKMYQGAGADMGGAAGMDEDIPAGGSSGAGPKIEEVD >KQL15571 pep chromosome:Setaria_italica_v2.0:III:21373179:21382707:1 gene:SETIT_0210441mg transcript:KQL15571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVNDIHAANACKVTPAKFSGSKEIVPLHIQKNVASSSAAGETEEIYFDFRKQRFIFSAEKDNFLKLRYPTKEPIGNYAKGTGFGTETKINTAVDKWGRNIFEYPQPTFQKLMKEQIMEPFFVFQVFCIALWCLDAYWYYSLFTLFMLFLFESTMAKNRLKTLTELRRVKVDNQIVLTYRCGKWVKIPGTELLPGDIVSIGRSTSGEDRSVPADMLLLAGSAIVNEAILTGESTPQWKVSIAGRGPEEMLSIKRDKNHILFGGTKILQHTADKSVNLRAPDGGCVAFVLRTGFETSQGKLMRTILFSTERVTANNKESGLFILFLLFFAIIASGYVLMKGLEDPTRSRYKLLLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFQGIVTLEGDDELISDANKLPLRTQEVLSSCHALVFVDNKLVGDPLEKAAIKGIDWIYTSDEKAMSKKPGGQPVQIVHRFHFASHLKRMSVVVRIQDKFYAFIKGAPETIQERLVDLPAAYVETYKKYTRQGSRVLALAYKLLPEMPVSEARNLERDQVESDLTFAGFAVFNCPIRSDSGSVLQELGQSSHDLVMITGDQALTACHVASQVHISSKPVLILTRIKTGGFEWVSPDETDRAPYSAAEVAVLSESHDLCINGDCFEMLQSTEAVLQVIPYVKVFARVAPEQKELVLTTFKSVGRMTLMCGDGTNDVGALKQAHVGIALLNAEPVQKADSKSKAESKSGKLKKQKAANEASSQVTPATNSPAKASSSRPLTAAERQREKLQKMLDEMNDESDGRSAPIVKLGDASMASPFTAKHASVAPTLDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISHARPLQTLSAERPHPNIFCAYVLLSILGQFAMHILFLITAVNEASKHMPEECIEPDSDFHPNLVNTVSYMVNMMIQVATFAVNYMGHPFNQSISENKPFKYALYGAVAFFTVITSDMFRDLNDYMKLEPLPEGMRGKLMLWAMLMFCGCYGWERLLRWAFPGKMPAWEKRQKQAVANLEKKHD >KQL13574 pep chromosome:Setaria_italica_v2.0:III:5311724:5315050:1 gene:SETIT_025254mg transcript:KQL13574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNNRNSGGKSSVAGVSESKETAAFFPRNAFVTDVLCATLASLDASNLKCIHKKAMAGSDMRGSPNRLLISCKKKMTHSHGEKIPFTGMFTDKDWSLVNRRELEKMMTQQREKHGSNSKSKKGGAREDKGKKRKKGGDGNKESNMGENDEQEEKKNTEPGLSVEAYDRNDRDEGIGAREAAAADCAGDVPTIEVGMAGGEPGGIAAPRSSQELRLTPLEMLIALWLVSLKYIHGEKTPCC >KQL13531 pep chromosome:Setaria_italica_v2.0:III:5040141:5045630:-1 gene:SETIT_023217mg transcript:KQL13531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYFKARPEAGDYTIFMGLDKYENEDLIKYGFPEDIWFHVDKMSSAHVYVRLNKGQTMDDMSEGLLEDCAQLVKANSIQGNKVNNIDVVYTPWYNLKKTPSMDVGQVGFHNPKLVRTIKVEKRINEIVNRLNKTKVERKPDLKAEREAVSAAEKAERKAQLRDKKRREEMERLEKEKQAEIRSYKGLMVQEKMTSNKQIASGSKTLQELEEDFM >KQL15852 pep chromosome:Setaria_italica_v2.0:III:24601752:24602682:-1 gene:SETIT_023291mg transcript:KQL15852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLGSGGSSPPPPAAAAAAAADTSSHWVPHGSTLIAFVVGINVLIILLIFFLFWKFFSGKEGPSNSAGADAGDDEDDSLPVASPWASRWRHEDDSLGALPLEDVASALPVYIYSSPGAGDDGGKLQVDECAVCIVELRDGDSARMLPRCGHRFHADCVGAWLRLHVTCPLCRARVVAPAATAAIDGEPRNAKDVAAGCPV >KQL16047 pep chromosome:Setaria_italica_v2.0:III:27514790:27518321:-1 gene:SETIT_024351mg transcript:KQL16047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQCKHIYSSYTWPPYYSLTRSCCVIATTMKTIFREKARGKVWMIQLILVRGIAVAATILLLTDGSHAHVDRVEMLRDELPPVQRPNVNGEMIRDEQWRMVKTRGSQFVIGDKPFYVNGFNAYWLMILAVDPSTRGKVTEVFQQVAAVGLTVCRTWSFNDGGWRALQKSPAVYEENVFKALDFVVSEARKYRIRLILSLINNWDGYGGKAQYVKWARDAGLNLTSDDDFFSDETVKGYFKNHVKGEHVYTNVTYKDDPTIFAWELMNELRCTSDPTGNKLQAWIQEMAFHVKSIDPDHLLEVGAEGFYGPSSPARLQANPNTYAGHVGTDFIRNHRVLGVDFASVHIYPDTWMSGAAVEAQLKFVQSWMQAHIADAEGVLSMPVVFTEFGVSTKARSAFNATWRDQFVQAVYGVLLASMRRGGAGAGGLLWQVFPEGTDYMDDGYGVVLPRAKDTAGIISAHSKRLLIFNSRCAWSCRWGCKKEEQSEDGDDLLLHDVL >KQL17110 pep chromosome:Setaria_italica_v2.0:III:48350287:48351759:-1 gene:SETIT_025032mg transcript:KQL17110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFCRLASTPKPQPYSRGGSKTVGRWLKDRRERKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASSGSGKDDRGARTDMAVASAATLVAAQCVEAAEAMGAEREHLAAAVGSAVNVRNPGDVVTITAAAATALRGAATLKARVLKEVWNGAAVIPVEKGAMAGGGGGRHHQQSHKHNGQLKHQHQHQLRQRELESSNSSSSCFSDELLLAEENNFLGICTQELLARGSELLKRTRKGSLHWKVVSVYINRMGLVMLKMKSRHVGGTITKKKKSVVVDVCRDVAAWPGRHLLEGGEHRRYFGLRTAEHRVIEFECGSQREHDMWTKGVARLLAIADARKRAV >KQL12753 pep chromosome:Setaria_italica_v2.0:III:727915:728070:-1 gene:SETIT_024102mg transcript:KQL12753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQTVEDSSRPKSKRTGAGSLLKTLNSEYGKVALGWGTTPFMGCNGFIRQ >KQL14828 pep chromosome:Setaria_italica_v2.0:III:14069397:14070504:1 gene:SETIT_024415mg transcript:KQL14828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATDGGARLGTNNAATTIGKGSPAQHPGGADAFRSSERRIPKGPDPIHNRRAGKTTIEPRRRG >KQL15031 pep chromosome:Setaria_italica_v2.0:III:15867839:15868375:1 gene:SETIT_024103mg transcript:KQL15031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLMENAEPIHKLFRQIINHLSPELVSLLTLAAFMESNYIQLEVNQLKAKEIKQQFNELVASSSDAEQSLKELETERDRLLQELNRINQEITTTKDWINNYPLAIQEKKKEWATFVNEACHQHHNLIKISGANKEDMKLIADVDQIRMHAIEALKKAL >KQL16826 pep chromosome:Setaria_italica_v2.0:III:45559906:45561467:1 gene:SETIT_022122mg transcript:KQL16826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQMAAQAAKSAGRSTPPHHHGGGAKPVPGYLKPSAGSCHHVCKYGGTHAFEDKEATKNKKPHPKARKQPAAAAPAESQSRVMGKVRSVFRRRVGDSSRAAEKAAAAGKGSKGGGDSVEWKDIVTYDTTVPPHGSSPQQPGKVSAPIIGSGEAEKEDVVKGNKSHEKTKIVTGQVGDGVGAQGETLDKKSAKPPKGKKPMAALLVEKMSIDQELLQGYQILSPSLIQSRASLLRDLEKEMVHEATNAKEVKPMYSLDEEEEYAAAAEASRPIPAHRRVKSMSMSISSRSVRYPFARQASKNSSAGTFKLRSRSTKAPIAPPEEEKKPARLRSRRGEDPSSGSTGRSIQLRIRSLRRRGVGGSGGAGAGFVVPAVALRHQKTLDKKKSQRLYNNVIEETASKLVKTRKSRVKALVGAFESVISKIAK >KQL12617 pep chromosome:Setaria_italica_v2.0:III:58647:62048:-1 gene:SETIT_021640mg transcript:KQL12617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAAAAAGALRPTAATFLLFSPLRQHRRPTLHLPFARRRRHSYSSTATVADPTPTLEDSGGARGEAEGKAARRRRARESPEGLLRHQLDMCSRNADLTTALRLYDAALSPDSPVPLSLHHYNCLLYLCSNAAASDPESSAAAAQRGFDIFARMEADGVQPNEATLTSVARLAAATRDPAMAFSVVRRMAAAGTPPRLRTYGPALFAYCDAKDADGAGQVEAHMDASGVVPEEPELAALLRVNADKGRADEVYRLLHRTRALVRQVCDTTAQVVEAWFRSDAASEAGVDKWDPSQVREGVVKGGGGWHGQGWLGKGQWSVGRSEMDKEGTCQRCGERLVCIDIDPSETDNFANSLTELAIKREVREDFLGFQRWLRRHGPFDAVIDAANVGLYNSKAFSFSQVNSVANAIQRVTKSKKLPLIILHRSRVNGGPAKAPFNQKILEGWRNAGALYATPPGSNDDWYWLYAAVSCRSLLVTNDEMRDHLFQLLGTGFFPRWKEKHQVRLTFSGRGPTLHLPPPYSIVIQESEDGTWHVPTTTGDDIEKPRQWICSTRKSFK >KQL13511 pep chromosome:Setaria_italica_v2.0:III:4884881:4886921:-1 gene:SETIT_024373mg transcript:KQL13511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSIRVILGIIGCAVCMLLYSAPILTFKRVIKEASVGEFSCIPYILTLFSCLTYSWYGFPVVSSGWKNLTVFLISSIGVLFEISFISIYLWFAPREKKKLVILIVSLVLAIFGMTVLISSFTIHTHHIRNIFVGSIGVLSAMLMYSSPLVAVKQVVRTRSVEFMPFYLSLFSFLTSLIWMVYGLLGRDPYITSPNCVGCATGILQLVVYCIYRSKDRPKTQNNMENDME >KQL13010 pep chromosome:Setaria_italica_v2.0:III:2087754:2088294:-1 gene:SETIT_023718mg transcript:KQL13010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEDNAYNFYLDPMAASSARNLSKKPTWEISVDSPPKKKSGSLEDYVRELSETVATRSQKCGDREQEELDRAMQLKKKMVLRRGLSYTVRHYIYVRMQCIGGPSQR >KQL16743 pep chromosome:Setaria_italica_v2.0:III:44620518:44624216:1 gene:SETIT_021330mg transcript:KQL16743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWVNPTMGIAVDLVLVLFQHGVNFTEILCCDAMVWVNPTMGTAMLTNVSNAASAPEENTPAGDSNTSSESAQDLLSSFREQESNDASDNIEKKEPGISKCKSVEEIPRTVTVKRCKNIDSKKVFSNNNNNSSFTGSHTQKKQPRKGDHLVQPSENGISQDTKPPTTRICINSACKAVMNSDDEFCKRCSCCICHVFDDNKDPSLWLVCSSETGDRDCCGLSCHIECALQNRKAGCIELGQSIQLDGNYCCAACGKVIGILGFWKRQLVVAKDARRVDILCSRIYLSHRLLDGTTRFKDLHQIVEDAKAMLETEVGPLDGTSSRMARGIVGRLPVAADVQKLCSLAIEKADEWLRSNSPSETKQIDTLPAACRFKIEDITASSVVLVLKEAVSSQYHAINGYKLWYWNSREPPYTGEPAVFPKDQRRILISNLQPCTQYSFRIISFTEDGELGHSEHKIFTKSVEIIRKNKENGAEGWSSSAKRAGKSQNGMSSGFQVRQLGNVLRKADENGYPSALCKDEIEDSCDLSDSVILEKDQVPCCASRKIDLNETSVPDLNAEVVMPTECCRDENGCSSGKNTLTKSNGCGDSETFAEGHVGEAPVMESQSQSRKQTSDLEQETCANDSNLAAASARLFSRRLGQLDDNYEYCVKVIRWLECSGHIEKDFRMKFLTWFSLRSTEQERRVVITFIRTLLDDPSSLAGQLLDSFQEIVASKKPRTGFCTKLWH >KQL14511 pep chromosome:Setaria_italica_v2.0:III:11663970:11667926:1 gene:SETIT_023634mg transcript:KQL14511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPELEAIRQRRMQELMAQRGGANQQNAGQQKAQEDAKQEAEERRQMMLAQILSSEARERLSRIALVKPDKARGVEDVLLRAAQTGGISEKVSEERLISLLEQINTHTSKQTKVTIQRRRSVLDDDD >KQL14518 pep chromosome:Setaria_italica_v2.0:III:11733396:11735432:1 gene:SETIT_024892mg transcript:KQL14518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGYVSLEETKEYKCIVDQTFMREEDFYEFYNDYAYHKGFSIRKGRVRYKTGTKEVIWRRLMCSCEGYRSVKYFERMDQKRQPRALTRCGCTARLDVEWSEIIGTWYVKDFVDVHTHALAKPEHVFVLRSHRGLNDPQKAEAVELGLGGLRPFQIMDVMEASHGGPWETGFLSQDLYNFFSRYKKGKVEGSDVEFVLNHMRQMQEKDPEFFFTFSVDAQGRLKNLFWSDAQSQIDYGVFGDVVVFDSTYRVNRYNLPFVPFIGVNHHRSTVVFGCGILSDETILSYVWLLEALLEAMHQKHPKSLITDGDAAMMRAIEIVMPDADHRLCSWHIEQNMLKRFRGSKLKDFRKFIYHAMEEGEFDRLWREFRGTHNIKEDNLWVNRMYELRRKWAATFTRGRHFLGMQSNQRSESLNSRLHNHLDRKMSLVDLMEHYEFCLSRIRRNEIELDARALCSIPFTKISADVLEKSAAQIFTPTIFQKVSFQIKKSSNWSVTEVTLQNGCLRYEVSLQGNNKRSFHVTCTFGSSLVDARCHCRKLEREGIPCAHTFCVMKYSCIESIPPCCVYVRWTMNAKSAFPTEMRTNTHVWTEQMDRYHSLRSKGNRALFKVSRSQDETDRVMKLLDDILKEDTQEQGMEEETTFGPLPAHFSAANQPGGTKVLDPVKIVSKGAPRSNK >KQL16076 pep chromosome:Setaria_italica_v2.0:III:28290919:28291434:-1 gene:SETIT_023929mg transcript:KQL16076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANKASVWIACVKRNPLCLHCVCRRLLFCDNVVAEEKSLVVSCNLSFFKFSCLLLTRARSFPQ >KQL14324 pep chromosome:Setaria_italica_v2.0:III:10556171:10559015:1 gene:SETIT_022832mg transcript:KQL14324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSDSLTPPTPTRPPPPGHTSLRLSPFLSIPSRIRKKAAGVRRKSPAMSSVTTKLSSAANFASSEAQALVAEMRKALGNMKSLAVDYERDGKSDKVQKLEEMVLEMVASYEDCTALTQAIKAVPEVYQPSDQPTDFKTLIESEVNKIKEASSASGQNNPMFRQFRESVWNVHHAGQPMPGEEQEDIVMTSTQMSILNVTCPLTGKPVIELADPVRCVDCRHIYEKGPVFHYIRSQKPPQCPIAGCPRVLQIGKVVCDPLLLIEIDELRSSGPAAPNATNIDDFTDLLDEDDE >KQL13960 pep chromosome:Setaria_italica_v2.0:III:7985874:7987517:-1 gene:SETIT_024996mg transcript:KQL13960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLPVASVLLLALHGLLCLQLLTARAEIDGDEGAAIEEGTSAHFEVTPPVVPAGGEKRREHFRALEAKDLFRHASRRQLASTTKIPEVLSDSTMFMELPMRSALNIAHVGMYLVSVRFGTPALPFNLALDTANDLTWISCRLRRHKGKHYGRSSAPAAAQTMSVGEDGTPVKKENKNWYRPALSSSWRRIRCSQAECGVLPYNTCPDASNSISCSYHQKTQDGTVTIGIYGMEKATVALSDGKMAKLPGLVLGCSMKEAGASVDAHDGVLGLGNGEISFGVITASRFAHRFSFCLLSTNSGRNASSYLTFGPNPAVMAPGTMETNIVYNADIPQAFGFHVNAVTVGGEPLDIPPEVWDDRIKYAGVILDTGTSLTGLVPAAYDAVTRALDRHLAHLPRVEIAGFEFCYRWTFAGDGVDPANNVTIPTLAFELEGGAVLEAEAKSVVMPEVQRGVACLAFRKLVEGGPSVIGNVIMQEHIWEFEHSVGIMRFRKDKCANHHLKGTSAANVHHAMTTNTPRFIN >KQL13667 pep chromosome:Setaria_italica_v2.0:III:5898133:5903580:-1 gene:SETIT_023125mg transcript:KQL13667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPSLSTPAPERRLAVLLSHFRPCLEAPRATTRLASAERHGTAAAAVAEAEAEAEAELSASPCAAAGSGEAQSSGGGNCVFCSIVTGAAPAFKLYEDDECLCILDAKPLTTGHSLIIPKSHYPSLQTTPATVLAAICSKLPLLGTAIMKATQCDAFNVLINNGEKAGQVVFHTHVHIIPRSKDDNLWSSETYSRNPISHGQETKNLVSSIKEVLSSSPEDYSTVMSSTPKAF >KQL16088 pep chromosome:Setaria_italica_v2.0:III:28789129:28793439:1 gene:SETIT_022749mg transcript:KQL16088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLSITVMTLNLHEGEQPSESPNTWEKRRDICVSVITSYSPTILCTQQGLRWQLDYLQQCLPGYEQFGISRKGSQDTADEYCTIFYEKEKVELTEGGTFWLSESPSVPGSISWGATAPCIATWATFQLKRVEPPGFSFQIVNTNLDEVSPRARRRSALLTWQHIASLPPNLPVIYCGGFNTQKESMTGRFLLGRSREHGVVGDMRDAWPNARVRKNVSLIHTYHGFKGEKQGAVEFLKLIFRALCLCWDRQTQDLHIDWILFRGRPLVPALCEVINDNIDGVYPSSHFPIFAEFLLPRSVRLAETAS >KQL12686 pep chromosome:Setaria_italica_v2.0:III:391716:392987:-1 gene:SETIT_024634mg transcript:KQL12686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEGKAFGTNIMEEVEVPCYFLCPISLQMMRDPVTLPSGITYDRDGIERWLLTAGTCPLTKQPVPADCEPTPNHTLRRLIQSWSALHAADGVERVPTPKPPTDRARVSALVSRIGATATSRSTATQEILAALRELRDVAAESERSRKLVAAVPGAVDVLAAVFVASAATKSQSVAVCDDALEIISSLQLSEQCLARVIFEANEALVDALVSVLQRSNTASRARAALLLQNVTAAMSPSRLVSLSEQVFREVVQLIRDKVSKAATKAALHVLVGATLWGRNRVKAVDAGAVVVLVDMLLDAPERRACELALGALDRLCGCAEGRAELVAHGAGVAAVGGKAVRVSDVATDKAVRVLRSVARHAAKAAVVQEMAQTGVVGTLCAVALSEHYGERTRERAQEILRRHAMSWRTSPCLHHHLRAMYPC >KQL13110 pep chromosome:Setaria_italica_v2.0:III:2643078:2644316:1 gene:SETIT_023149mg transcript:KQL13110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRDFNFHHIGHTYNGPPPAQQGNKHEEAHHHQPVAHYSEYSTYTAAAYPYRPPPPAYPPRNKPPPVYGYPQPPQPGWGGGGGGYYYPPSDYPPPQEPYHGYPPPPQPGWDDYHGGGYAPHQGGGHWGRHGYGGHAGWLAAGAGAGAAAAAGAGVYGAYHRFRKHHGHGGDQYGGGYGHVYGHHAGKFTHEHQGKFKHYARHDGKFNNKHAAQGKFSAKSPVTVLDT >KQL12702 pep chromosome:Setaria_italica_v2.0:III:464648:465403:-1 gene:SETIT_024456mg transcript:KQL12702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLALTSLFFNTSETSRCLSSSTSMSAASFSTAASWQWPSCTQARTLSFRRDSPEIVSMRDDSSKHEEEYKTTMNPAYAIDYPAADDNYSCSLISDDSCPTLSTAPEPEPAAAVADEDEVIIHGLRSNSRLFFEPDSTSSIVKVKKQRGAATAAAFDGATALAIESADPYGDFRRSMEEMVMSHGVNDWGWLEEMLGWYLRANGKKTHGLIVGAFVDLLVALASSAPSPASSSSSFIRQQSHLQPAKKE >KQL15993 pep chromosome:Setaria_italica_v2.0:III:26645482:26645679:1 gene:SETIT_025166mg transcript:KQL15993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYGVASNLVMYLTTQLREATVPSVRNVNNWTGAVWMTPIAGAYITDTFLGRFWTFTISSLIYLA >KQL14476 pep chromosome:Setaria_italica_v2.0:III:11450928:11453771:-1 gene:SETIT_022427mg transcript:KQL14476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDGGGSPGAERRRVALRAFLAGGGEASSSAVPAAEVEAVRTSGKGLLRGLRCTSAAASQAIVPAAAADWRGLGCTAAAAQAHAPAAAAVAASEAHEPAAARRSEEWRGRRRRNGRERRKARGAGGGGGGGVSGGGGVGGDVWCTPGIPFAAEASSVNCVVAPHQTAGARRRAEAERPRRERPGAPPARRVTMREHMSSSPMNSPPHHGMPFIDADRAPSVRNRHMSGRRHSHARLEEEMMMFRTRILLGRMGMYDQYQDWRLDVDNMTYEELLDLEDQIGYVSTGLREDEITRSLRMVKYSAFNPKHFSAEMDRRCSICQEEFEANEETGKLSCGHSYHVHCIKQWLSRKNACPVCKTTVSKI >KQL14521 pep chromosome:Setaria_italica_v2.0:III:11743714:11744268:1 gene:SETIT_024792mg transcript:KQL14521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDQEQKDAVEKAGFGSLLKLKDIEIRRELCKEIADSFDLDKEEFNIQEKKVKISIKDVDHILGLPSQGDEIKEPPKKHVPGLFDKYTWNDSTKIHSSELREYLSKNKTYGDDFIRIFVLYTIGFYLCPTLQPYVKSDYLGLVEEIDNIKNLNWSSLVLNFLIRSIREYKEVKAANLKGNLVLLQ >KQL15961 pep chromosome:Setaria_italica_v2.0:III:25998808:26000590:1 gene:SETIT_025018mg transcript:KQL15961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSNLDFGPGPNVQRLVQDRFSSPVEHLSGMMFRFSVASKDVGFLVYHLRSQVCKSFDIFFHLWGNGGPNWIKDYKFRLQQQNDQWNYVHKKSRKPSYVDVLRAASMAYFPVNPHPFAHRGFKVCLHDPNDPPVRLHAYIVGCIDKTNEAVAIAILYPMVAKEDFMLMAREMCLYFDQEHHVCDLEIQPCLIGEAFINFNSALERKRFLGKANHLAKVVVGFVLLMQWHHTNYKGGVVVKVYLKDDAKIPHAVTLTIGTSPKVKSFSFQVYCLCKKDVTPLQDEDPLPKEGPIHPLPYEAPCWMGPVAHGGDSAGSVPHDGVASN >KQL12657 pep chromosome:Setaria_italica_v2.0:III:220841:225889:-1 gene:SETIT_021391mg transcript:KQL12657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALLLRRPSPAPLLLLLLFVSSPAFFSPSPAATAVGDCPLDFSWANFTLASAACSDPTQRPACCRYINAFVAISIARYANATGRLGVPPAFAEICLSSVSETFKMRGIPTDADVFCGLGPKIRVSYQCAGRETVLEMMQSPNFNDVIGSCRGPLSLDITCKTCLNYGLAYLRRLIGSDDNVALSVCRNAVFVTLATQEGILSYDDIVTCFFGVQGITTFPGPSSVTSTPASSPNVTVDSPPAPKIKSLPQKRQQHYRITVIPGIGIGVILLAVLLQIVLVVLIRRKSRELKNAEFPVQNQDNTFHHNQSWRYPEGQSPMFQRYSYKETMKATDNFSTVIGKGGFGTVFKAQFSDGSVAAVKRMDKVSRQAEEEFCREMELLARLHHRHLVTLKGFCIEKKERFLVYEYMANGSLKDHLHSSGRKPLSWQTRLQIAMDVANALEYLHFFCNPPLCHRDIKSSNILLDEHFVAKLADFGLAHASRTGAISFEAVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVLLLELVTGRRAIQDNKNLVEWAQMHLSSGVISPEMVDPRIKSGVDMDQLHLVIGIVQWCTQREGRQRPSIRQVLRMLSERLDPGNGSFGEGMEDAEGGFYPRSSKSGAQHRNELIPHSGDMRSLHSSSSTTRSYCSRSMLLESGQTQSPPETL >KQL14037 pep chromosome:Setaria_italica_v2.0:III:8343574:8344403:-1 gene:SETIT_023889mg transcript:KQL14037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQITISIYFRLDVCICMHILGLVRRARNFTSCSNKTLLITMLLVAKQPPGSHMDEACTHGRKSRSGRVAPS >KQL16784 pep chromosome:Setaria_italica_v2.0:III:45066948:45071217:-1 gene:SETIT_023135mg transcript:KQL16784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGDPRPEDDDPFSDGGTSDSDSADESHPRRMGARRPGATNNPILTRLAVSRNPSPLAAATAAPGVCLLRFAWESAAGSLVGAVVGYGKGLVMMKGFKGSFPDAASSAKIFAVLAGVQSLVACSLRKLRGKDDGINAGVAGCCTGLALSFPGAPQTLIQSCLTFGTFSYIIEKLNKQQPALALPPLTGTKDLKGGQTVLPPFTLPLPQDAMDGFSKFQHFLLSKFREN >KQL15343 pep chromosome:Setaria_italica_v2.0:III:19101280:19103584:1 gene:SETIT_025017mg transcript:KQL15343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVSLILQAVLGGNAPNFRVVHQSEWIFRFSVASNSVGLMIHRLSKFEKAKWDLEQDLEWQLARRPKSRSYAEVAKSSGSKVIPSHAAARKSVFSSLHPTMPPILHRVFVPRPLMASLILIANDLRCVLRPLPPRRHQETPPPPGSTPPPSAMANFEIDPQPHVPSEFKVLPHDPAVPSKHLFAYIGGVMEQYNEDLAIAFLMSVVSKRNFEPMAALLKDYFIHHKGVCLAEVQPSPIGDAFVQFNSPVECERFLDKIIQFGQNYQLRFIKYDAGCNVRERDLEREAWLMLMLFPGDARSNSAISKAFDTNNNARIVVKVHLHDDAEIPHNVVVSTGLPPRVHSWTCPMYVLKHRGVKVLGDEDFFPPEGSLHQVPADPPRWMGINVPVNNMATSEVADGPAMGDNNGDVDETLSAADGNNGGHTSDNNVPPALAVISSSAHVVVAQSKVCILSDDDVIMALNLSSSVQRSISMFFFLHLSLLDLELHTTIPSYIADDSVQFLLASISVDQNEKCHVFVPYMDSDDHKVMEIDGPLRSTPRKHRARKLKEPLDVVFLRRSKRLNSNLDGFRSEEAAQEAANNPSIYNVATSDEANVAPYLSIDNIQGMATGFLQIQPEVVFCCCFT >KQL12072 pep chromosome:Setaria_italica_v2.0:IV:38601721:38602259:-1 gene:SETIT_008163mg transcript:KQL12072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCSNITAAPAPEAPSPSPSLSSPLDYDVVVILAAMLCALGLNSMLQCVARCARRAVSDPVGWAARRRASAGLKREDVVALPVATYDRVLPVCGHRRFHVACIDRWFVSHCSCRTCRRRLSSESVAGGHHHLQVLTAMDDRSI >KQL11187 pep chromosome:Setaria_italica_v2.0:IV:31450026:31450458:-1 gene:SETIT_008937mg transcript:KQL11187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILGSVSQIMLPTVLDSRDPNSSTAASST >KQL11914 pep chromosome:Setaria_italica_v2.0:IV:37573795:37577957:1 gene:SETIT_006939mg transcript:KQL11914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAAAVSSPAAPVAGAAAATSRRGFVTFGGGAARSSPALRSGRGLSGVRTHVAAVEQAVAKDATKLEAPVVIITGASRGIGKATALALGKAGCKVLVNYARSSKEAEDVSKEIEASGGEAITFGGDVSKEADVESMMKAAMDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGRIINIASVVGLTGNVGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEELEKKILSTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >KQL11960 pep chromosome:Setaria_italica_v2.0:IV:37846123:37847871:1 gene:SETIT_007991mg transcript:KQL11960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAPAAGLGYVLQPNSDQNSTARPKEELSWAAHRCAAVCSTSPKQRDFACDPVSLQPRARADRHAATPGAPLDRRAPRPSRPFRSCALAHRRRRDLVRAHSSPPPHPSLPRSGAAVKTPPTLSRIAAARAPPPPRGDRHDTRRHDRRKAPPPPRCWEEMDVTFATPRGREFTLEVWYFATVREVKEAVRAREGVPAASQRLFLGGRELDDDARDAAHYGVLQGSRLLLLLPDDDDAPTSSPSPASANPAADVVRVAVSAPAIGRTVALDVRAADTVARVKELLQDRTEGALPAARSALFVGKAEMDDGRALADYDPPADGVMELCVVVRQPPTSDAAAAAAGGGNGVGARNQQRIAVKVMFGARAVALEVGAMDVVRDLRKEVERLHLPVHDGSGGGGGGGYFFVYKQNVMDEDRTLRWHEVKNGDTIEIFNGTVTGGA >KQL08992 pep chromosome:Setaria_italica_v2.0:IV:610727:614106:-1 gene:SETIT_006330mg transcript:KQL08992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKAEGNLPVYGFHDPASFVNSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKATEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNGELQQVFSEWNKGELLSFLIEITADIFGIKDDQGDGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWGLNLGELARIWKGGCIIRAIFLDRIKKAYDRNADLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKIARSNSQN >KQL09524 pep chromosome:Setaria_italica_v2.0:IV:4025415:4027876:-1 gene:SETIT_008370mg transcript:KQL09524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWIALREPPSRYVRHEHDRPGLAGGGALVGAAADMPEPVPVIDLRRLLSCGAEGADEAAKLRSALQSWGLFLPLEKKQKCSNLVDGKRFQVEGYGNDQVKAQDQVLDWSDRLNLKVEPQDERNLAKWPRHPEHFRDVLLEYTLKSKKMKCTILRAMARLLELDDGYFLNTFSNKAPVTVRINHYLPCPRPDLVLGFKPHSDDGVLATLLVDSHLCALQVLRDGVWYNVPTKPHSMLINIGDFMEVMSNGIFKSTVHRVVADTAKERISLAMFYALDSEHEIKPAADLLLHDKQPAKYKEVKTMDYMAGFYEHFARGTRVIDSMKI >KQL10499 pep chromosome:Setaria_italica_v2.0:IV:16724471:16725390:-1 gene:SETIT_007183mg transcript:KQL10499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRCRAQSARFGTKILTETVTSVDLSARPFRVASDDTVVHADSVVVATGAVARRLHFAGSDAFWNRGISACARLRRGRAPSSGTSPSPSSAAGTPPWRRPNFLTKYGSQVYIIHRRNAFRASKIMQARALSNPKIQVVWDSEVVEAYGGAEGGPLAGVKVKNVVSGEVSDLQVAGLFFAIGHEPATKFLGGQLELDSDGYVVTKPGSTHTSVKGVFAAGDVQDKKYRQAITAAGSGIMS >KQL09256 pep chromosome:Setaria_italica_v2.0:IV:2162187:2168082:-1 gene:SETIT_006494mg transcript:KQL09256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGYMSNAELEIAVHAFGSRCSNISRIYSIGKSVNDFPLWVIEISDKPRQKEAEPAFKFIGNVHGDEPVGREVLMHLANWLCDNYLKDPLATLIVENMHLHILPTMNPDGFALRWRGNANDIDLNRDFPDQFFSANNDINSRQPETRAIMNWVKQEHFTASASLHGGALVANYPWDGTRDTGKHYYGCPDDKTFRHMASVYSQSHYNMSLSKEFEGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDTKWPKAAELPVIWEHNRMSMLNLLASLIKSGVHGRIFAADTGRPIPGLVMIKGIDSKVRASRAFGDYHRIIVPGKKYEVMASMEGFRSKSTHIVLEQEAVSLDFILDPDGVDGQMKLLRSDYDCRCDNDKMFHVREAHLWLYLLVVCGILALYLVFKRKAASRLLAFRYSPRRPVAV >KQL09257 pep chromosome:Setaria_italica_v2.0:IV:2162655:2168082:-1 gene:SETIT_006494mg transcript:KQL09257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGYMSNAELEIAVHAFGSRCSNISRIYSIGKSVNDFPLWVIEISDKPRQKEAEPAFKFIGNVHGDEPVGREVLMHLANWLCDNYLKDPLATLIVENMHLHILPTMNPDGFALRWRGNANDIDLNRDFPDQFFSANNDINSRQPETRAIMNWVKQEHFTASASLHGGALVANYPWDGTRDTGKHYYGCPDDKTFRHMASVYSQSHYNMSLSKEFEGGITNGAFWYPIYGGMQDWNYIHGGCFELTLEISDTKWPKAAELPVIWEHNRMSMLNLLASLIKSGVHGRIFAADTGRPIPGLVMIKGIDSKVRASRAFGDYHRIIVPGKKYEGTSLFPQNLCIWLYHLQLQKQLGILRLACLLTWFSEIFFDLYAYQSEVNQFVLGRNQPSFTTYTKQHIPQLFAMFSY >KQL10662 pep chromosome:Setaria_italica_v2.0:IV:22442738:22446597:-1 gene:SETIT_005959mg transcript:KQL10662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSNHDKKANERVQDLTVVRRPELLRAASSGKLQLLQEFLSKEDGGSAAAAALAREVSIRLEEAQAPILYPSAAATEGASALHVVAASGDKQGYLEVAEAICKKASQLLFTCDGNGDTPLHCAVRAGNAQMTSLLVGQADGCDQKKTMVRMQNKRGETALHEAVRFGHKTGMRMVEALMAEDKELARVVARDGTSALYLATSLHHNDIVRQLIFQDEQLATSGPLGQNALHPAVLHSKKMTRALLKLNKDLLVRQQDLSGSTPMHFAASADDPSLEFFVYVFMERTLEFYSLGMYFAPQNWLIKLYRCLNLPLYQLVDADPSSAFQPDNDGLFPVHVAASAGNLVAVIILLIMCPGCAGLRDSQGRTFLHTAVEKRSHNIVKFVRMRPQFNSILNIQDNQGNTALHLAILEGHLCIFQTLIINPHVRLNLPNHEGKTPMDLAESKALPGFYFGMHAQRRIHGTLSFVNAQNGNCRRDRFKEKLVPKLNKDEESKKITEFAQIVGICSVLVATATFAAVFTMPGGFRTEDSAGDTKAPTAAPSPVGPIGTPILAGKYAFDGFVLANTLAFSCSTIATFSLVYCGMAAVDVEKRIKLVSISLALLNGAARSFCAAFAFALYLLLSPVALATAIATATMTALVLLDALRFLWLLFVDTVIVLNRRGGPAPLVKLTTAFIVNMVYLFWPYIIIFSLLGGRNKSPLNST >KQL09906 pep chromosome:Setaria_italica_v2.0:IV:7346051:7350516:1 gene:SETIT_006304mg transcript:KQL09906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMQTSDSSHHGIVENSPYRIPYSRNAEGGKLGNSWYFSRKEIEEYSFSRRDGIDLKKESHFRKTYCICLQDLGMRLQVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLRDVILLSYEIIHKKDPAAVQRIKQKEVYEQQKELILLGERLVLVTLGFDLNIHHPYKPLVEAIKRFKVAENALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRHLEEISNQILELYEQSNVAPPPSQGNDTDRSPASVANHRAPLKAPGTVGASTAQHHQASRQSSQQNMPGHRGYDHPHPEKQTSNQTPQNEARDGAANSNDGPKMSSLMMDAMKKIDKDKVKAALEKRRKSKGDVSRKVDVMDDDDLIERELEHGVELAAEGEKVKQERRQSWPHPAHREDQQRAARMMGSTEEGELSTDSQEHHSPALDNRRRNDVHEHRNYDRGERDIKRLRP >KQL10803 pep chromosome:Setaria_italica_v2.0:IV:26041626:26042263:-1 gene:SETIT_007614mg transcript:KQL10803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGPTVTVSMAKPNGGVGGQPPEQERNEGGGRCGVFGSGVCGFRMPLHYPRYKKADYEAMPEWRVDCLLREYGLPADGDLDSKRRFAMGAFLWPDEY >KQL11454 pep chromosome:Setaria_italica_v2.0:IV:33862410:33869046:1 gene:SETIT_006288mg transcript:KQL11454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEASLEHTPTWVVATVCLVIVSISLAGERFLHYLGKYLKHKEQKALFSALQRLKEELMLLGFISFVLSLSQGFIVNICIPETATDFMLPCKRKNHSVEEEGAKICKKKGDVPLLSLEALHQLHIFIFVLGLVHVVFCATTILLGGAKMRRWKHWEKEIHREIQEKLQRAKIEGREDAPLSAVLHRNHQGEFVRERTKGFWMKLTVVSWITAFLKQFHDSVSKSDYEALRSAFVLIHYPKKPDFDFHKYMMRALEHEFKRVVGISWYLWLFVIFFLLLNINGWHTYFWLAFLPLFLLLIVGAKLEHIITRLAQEAAASLSNETEEVPNIKPSKGHFWFRNPGLVLHLIHFILFQNSFEIGFFFWVLVSEGFGSCMMERKPYAISRLVLGVIIQVICSYITLPLYAIVTHMGGEIKLHGFGSDVHESVHGWLTQRKKTFWNNKAGGDPDPDPDSGGEVKVIRASPSERMGSSRHMLAAAPPPDLDEIVTVDGDVHGRVRR >KQL11392 pep chromosome:Setaria_italica_v2.0:IV:33151351:33152421:-1 gene:SETIT_008308mg transcript:KQL11392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLRFVLLPSVLSFPTLVLVLMLSSQAGFLPSALARTNLTAGDTLTPPHYITSPSGGFAFGFRSLDDDDPTKFLLATWFRFSDGNSSQPQPQSVVWFAKVPPEGPTPNTTARGLVPLKWEPSWLVRQ >KQL11940 pep chromosome:Setaria_italica_v2.0:IV:37760148:37761188:-1 gene:SETIT_008703mg transcript:KQL11940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALVLAIALATAAVASAMDFTEDDLASEESMWALYERWSVHYKVVHDLGEKDRRFDVFKENARLIHQFNQGDAPYKLSPNRFGDMTGNETRRAYRCSSAGVTPRHRTFDGEGGSTHGGAVVPARDLPPAVDWREMGYGGRPAAVTSAKDQGVDCGSCWAFAVTAAVEGINAIRTRNMVPLSAQQVVDCDTSNNGCNGGVTVKAFDYIARNGGIAHESAYPYRGRQSWCARVASPVVTIDGYEQVVPPNDVVALMKAVAVQPVVVMVQADEEPFKRYGGGIFQGPCGMRVEHAMTLVGYGTTESGENYWIVKNSWGHDWGEHGFIRMRRDVAAREGLCGILMHASYP >KQL12054 pep chromosome:Setaria_italica_v2.0:IV:38477522:38479373:-1 gene:SETIT_006171mg transcript:KQL12054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISQNAQKRRLEKSGADDGNEGIGSPVAIDGEVGKGAKLKNHNKERKKRTKMPEAQQNKEEEEMRQLESSLFGALYAPLDFGTEVGAAVAAPDRGAPLFFTDRSAADGADVLPIYEEDLAHDDEEDGVIIKGRKPVWVDEEEERTEVDIVKVARLRKLRKEADEHLISGKEYEARLRGQHAKLNPFTAWADMDRKTPLPGASDGESDDEGGVDDILQNNDELVVKDTVKLLPGMLEFSRLVDANIQDPSSGPINSVQFHRNGQLMLAAGLDKHLRFFQIDGKRNPKIQSIFIGDCPVLKASFLPDGSEVILSGRRKFFYSFDLVNASVSKIGPLTGREEKSLESFEISPDSRTIAFVGNEGYILLISAKTKQLIGTLKMNGSVRSLAFADGGNQLLSSGGDGHVYHWDLGTRKCIHKAMDDGSLSGVSLCTSQDSSLFATGSTSGIVNVYKRDDFLGGKRKPLKTIENLTTDVGEMKFNHDAQILAITSRKERNGMRLVHVPSFSVFQNWPGPRFSLHYPRCLDFSPGSGFLSVGHAGGKVLLYKLHHYQNA >KQL10356 pep chromosome:Setaria_italica_v2.0:IV:13432365:13434458:1 gene:SETIT_008684mg transcript:KQL10356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLQRAGFLDLAVQVVGGLPPMDGPLLTAMVDRWRLKTHMFHLPFGEMTITMQDVAMILSLPLDGQPVTGIIQNENLRDMVEMHIGIRPPEPEDGDNSKKTSGVSSTWLREHFNVCPPGANDEVVQRYARVWLWHFVSTFLLPDAAGNTVSWMVLPILGQHWDNIALYSWGLVALAWLYRQLCEACRRTARDSNVEGCTYMLQIWILERMPMGRPSRLRVNHVRPVRGNPDRRYRAYTNEFDVLTQHQVEWKPYDREQLSQIVFSPTCYRDRELWRCTTPMILYYVASEDSEGEQSEDDDPTYGEELEISGMIDAPPVTQM >KQL11814 pep chromosome:Setaria_italica_v2.0:IV:36953255:36954527:-1 gene:SETIT_006590mg transcript:KQL11814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPPSSATPFRPGVAVVVGILTSVFSITFLLLLYAKHCKRSAAESSGPYGSAGGGGGGGFGSSGAGAAGDRRNSGVDRAVVESLPVFRYGALRGQKEGLECAVCLGRFEPTEALRLLPKCRHGFHVECVDTWLDAHSTCPLCRSRVDPEDVLLLPEPPKPSTTGPPDPPETKAATKEAPQQTAPAPSPAPAGRRISGRHSTGSVRAPGRVGPSSRRSADGGVAVGCFDSAKVRKDRVLLVEPAAVVAEPDPEAFDRRFGHRILVSTAGGCEGETAPAAQQRWSDLRPSDLMFVRSEFLVTDAGRYSCSAAVNSGSARSAIGVRSLSELAGVCRLPPIRAGACEGDDEPRGGGARRWPGSSWWGAPRGPHAPARNGPSAC >KQL11299 pep chromosome:Setaria_italica_v2.0:IV:32423565:32424386:-1 gene:SETIT_008355mg transcript:KQL11299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QFIAACKKPPVYCIITEYMSQGTLRMYLNKKDPYSLSPETILKLALDISRGMEYLHAQGVIHRDLKSQNLLLNDEMRVKVADFGTSCLETKCQATKGNKGTYRWMAPEMTKEKPYTRKVDVYSFGIVLWELTTCLLPFQGMTPVQAAYAASEKNLRPPLSTSCPPVLNNLIKKCWSANPARRPEFSYIVSVLEKYDHCVKEGMPVMVHQELRLWRSFAKIFRMGCIANNLSIPVHA >KQL11928 pep chromosome:Setaria_italica_v2.0:IV:37696264:37699870:1 gene:SETIT_005991mg transcript:KQL11928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITFVDSAAMERERESDKCMDPQLWHACAGGMVQMPAVHSKVYYFPQGHAEHAQGPVELPAGQVPALVLCRVAAVRFMADPDTDEVFAKIRLAPVRPNESGYAADAEDAIGAAAGGQEDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTVLAKDVHGVVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFMRTENGDLCVGIRRAKKGGIGGPEFLHQPPPPGGNYGGFSMFLRGDEDGNKMMATRGKVRVRVRPEEVVEASKLAVSGQPFEVVYYPRASTPEFCVKAGAVRAAMRTQWCAGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLCVPLYPELPLEGQFPTPMFHGSPLGRGVGPMCYFPDGTPAGIQGARHAQFGISLSDLHLNKLQSSLSPHGLHHLDHGMQPRIAAGLIIGHPAARDDISCLLTIGTPQNKKSDVKKAPPQLMLFGKPILTEQQISLGTGGGFPLSTPKRSPSDDNAEKTVSNSDISSPGSNQDGTSSGGAPSCQDNKVLDLGLETGHCKVFMQSEDVGRTLDLSDVGSYEELYQRLADMFGIEKAELMSHVFYRDASGALKHTGDKPFSEFTKTTRRLTILTDTASSDSLAR >KQL11158 pep chromosome:Setaria_italica_v2.0:IV:31237839:31237994:-1 gene:SETIT_007922mg transcript:KQL11158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAACRKAVSYTLLGPPAESLRAAAARAAEAAANAAAAVPTTGDAFLDMMD >KQL11308 pep chromosome:Setaria_italica_v2.0:IV:32522594:32523415:1 gene:SETIT_008719mg transcript:KQL11308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVSGNCRTNRSASAPLRHDAGANDGFRQGEKHLLGAISSAPTSSGGEPASSSPPHGSASAGVSGSVAKLEEEDERLRRENTRPARGNLVPFFIHIKRPQALEFMMHRSYHASNANRSSAQARTPLLIHPWRSRSCCSCWRGARRWMRSRTR >KQL08891 pep chromosome:Setaria_italica_v2.0:IV:148073:148545:-1 gene:SETIT_007544mg transcript:KQL08891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSSNASLHTHKPPSEFRFHPCIEKATKYTVLYPSQNFALLLHAQSINQSLIGKEISINRLAEYENGGSGMKRLSTALPSSCSIHPSSLRETEKERGLMLLSQPLLPPFLCLHLP >KQL08970 pep chromosome:Setaria_italica_v2.0:IV:467462:470415:1 gene:SETIT_007441mg transcript:KQL08970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKASGDGKEALNEQVIANTYANMRTEMNQLYTKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVKRNKEGLEEVIARMHEALERKKKEITEFELKYKIRIRKADNNAEEEGGKKEGTAQGVLVGPAGQ >KQL08969 pep chromosome:Setaria_italica_v2.0:IV:467462:470415:1 gene:SETIT_007441mg transcript:KQL08969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKASGDGKEALNEQVIANTYANMRTEMNQLYTKITELEMEVSEHSLVIGAIEPLDPSRRCYRMIGGVLVERTIKEVLPAVKRNKEGLEEVIARMHEALERKKKEITEFELKYKIRIRKADNNAEEEGGKKEGTAQGVLVGPAGQ >KQL11098 pep chromosome:Setaria_italica_v2.0:IV:30699463:30708812:-1 gene:SETIT_005841mg transcript:KQL11098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCLHVQDPRKSAMSRPLDFAVLKYKNQKLSEQLEVHKFEFRALESRFNDLKEKQRTHNETLVLVKSYWERLVADLELDSVCKSESSNLSCSTGHNNVRKDGICMALERDFLNRLLEAGATESSDCSPSCHPGNDVPPEQSSTINVLQKFFLPSSDLWHVNNEFVSAALTKLPENEHSRQLRSATSDVLSKLNKVIQVVDNLHLKHRQLAGNYQKQRDSNAWNKAEQKRLKEELTSTVAKLEETKHELAALKAQGDNKQGTPILVPTVGNKNATAEKVRDKQRELQDLEATHKELMELSSKRLEEIRRLHKERIETLNKLATFQNILTDFKSIRSSKAFQLVNDQLQKSQAELDDHRTLLEKLQVDMDSFIWQERQFNQKVDLAEIPQKVSAYCMSRIADLEKDVQKLSNEKNMLVLKLEEASREPGRNQVISKFRALVSSLPTEMGSVQRELSKHKDASLQLHSLRAEVHSLSSILNRREQEIEEISCKSGHADSDITQLQYLVRDLRENAEELKLFVELYKHESTDSRQLMESRDRELSEWARVHVLKYSLNESKLEQRVIAANEAEAMSQQRLATAEAEIAELSQKLEASRRDLVRLSDTLKSKHEECEAYVVEIESIGHAYEDIMSQNQQLLQQIIERDDHNTKLFMEGVKAKQSHDALHMEVCSLQRNLQHANTLMDLYKQKIFRLEDQLRVWSERARRLSEDGMQQSISLVNSQRKLTGMRGEAPKLRQSMDELQAKVGSNRLEVAELLIELEKERFSKKRIEDDLDLMSSKANSLREKTDNSAVLQKLHHEVKEYRGILKCGICHDRQKEVVIAKCYHLFCNQCIQKSLGSRQKRCPSCGLSFGVNDVKPIYI >KQL11099 pep chromosome:Setaria_italica_v2.0:IV:30702905:30708812:-1 gene:SETIT_005841mg transcript:KQL11099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCLHVQDPRKSAMSRPLDFAVLKYKNQKLSEQLEVHKFEFRALESRFNDLKEKQRTHNETLVLVKSYWERLVADLELDSVCKSESSNLSCSTGHNNVRKDGICMALERDFLNRLLEAGATESSDCSPSCHPGNDVPPEQSSTINVLQKFFLPSSDLWHVNNEFVSAALTKLPENEHSRQLRSATSDVLSKLNKVIQVVDNLHLKHRQLAGNYQKQRDSNAWNKAEQKRLKEELTSTVAKLEETKHELAALKAQGDNKQGTPILVPTVGNKNATAEKVRDKQRELQDLEATHKELMELSSKRLEEIRRLHKERIETLNKLATFQNILTDFKSIRSSKAFQLVNDQLQKSQAELDDHRTLLEKLQVDMDSFIWQERQFNQKVDLAEIPQKVSAYCMSRIADLEKDVQKLSNEKNMLVLKLEEASREPGRNQVISKFRALVSSLPTEMGSVQRELSKHKDASLQLHSLRAEVHSLSSILNRREQEIEEISCKSGHADSDITQLQYLVRDLRENAEELKLFVELYKHESTDSRQLMESRDRELSEWARVHVLKYSLNESKLEQRVIAANEAEAMSQQRLATAEAEIAELSQKLEASRRYSIHIHSSFSI >KQL11011 pep chromosome:Setaria_italica_v2.0:IV:29551782:29554932:1 gene:SETIT_008709mg transcript:KQL11011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSNTSCFSLLLVVLLSLLVSEYSSKRAAAAAADDDRETLLAVRKEWGGPAQLASWDPAADHCSWRGVTCAAGGRGAVTELSFDGLNLTGTVPASVCALKSLARLDLSYNHLTGAFPAAALYACAELGFLDLSNNQFSGLLPRDIDRLSPAMEHLNLSVNRFDGEVPPTVTRLPALKSLLLDTNNFTGAYPAAEISKLAGLEVLTLADNAFARAPVPTEFSKLINLTCLWMEQMNLAGEIPEAFSSLTELTVFSLASNQLTGSIPAWVLQHAKLQNIYLFNNSLSGELASNVTAVNLVEVDVSTNQLTGEIPEAFGNLKNLTFLALHQNKFTGSIPASIGLLPQLRDIRIYDNQFCGELPPELGKHSPLGNLEVGKNNLSGPLREGLCANGMLYDIVAFNNNFSGALPANLGHCVLLDNLKLYNNRFSGDFPVNIWSFPKLTTVMIQNNNFTGTLPTEISFNISRIEMGNNMFTGSVPTSATGLLTFLAENNQLAGELPSDMSKLANLTDLSVPGNRITGSIPTSIKLLQKLNSLNMSGNRMSGTIPPGSIGLLPSLTILDLSGNELTGDIPSDMGQLHFSSLNMSLNQFTGEVPPSLQNPADSRSFLGNQLCARAADWGTNLPTCPGGAHDDLSRSLVILFSLLAGVVLISCVGVAWLLFRRRSDGQDVTDWKMTAFTQLDFAEQEVLREIREENVIGSGGSGKVYRIHLGAGHGRDKEGGGGGRMVAVKKIWNAAKLDAKLDKEFESEVKVLGSIRHSNIVKLLCCISSQSAKLLVYEYMESGSLYRWLHHRDREGAPAPLDWPTRLAIAIDAAKGLSYMHHDCAQPVVHRDVKSSNILLDPKFQAKIADFGLARMLAKAGEAETVSAIGGTFGYMPPEYGYRSRVSEKVDVYSFGVVLLELTTGKVANDSGADRCLAESAWRRYQQGPPFDDVVDRDIPDTACYLQDILAVFTLGQILEREKCRRQRIISDSGRWDGNE >KQL10907 pep chromosome:Setaria_italica_v2.0:IV:27970895:27972825:1 gene:SETIT_006108mg transcript:KQL10907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAEGGEPAAAGPGRRYWRWSKGDFFPEPSFRSWRTYGGALLSTGPRLRDRVTSRSSEAVEAGTLLAQSENPLRRCLSWVDLAFLGFGSVVGSGVFVLTGQEARFDAGPAIPLAYAAAGFSALLSSFCYAELATEIPSAGGSFSYLRVELGDLAAFLAAGNILLEAVVGAAGLGRSWTSYLAALIGRDSDALRIHVPALADGFNLLDPIAVVVLCATSALAVSGARLTSTINSIASVVGIAIIAFVLGGGFAHFDAANLAPSFFPFGAAGVFRAAAVVYWSYTGFDMVATMAEETKNPGRDVPLGLISSMSAITVVYCAMSLALVGMQRYSEIDANAAYSVAFAAAGMKWARYVVALGALKGMTSGLLVGALGQARYTTQIARTHMIPPYFALVHPKTGTPIYATAAVTLGAACVALFSSLDVLASVSSISTLFIFALVAVALLVRRYYVAGATSPAQLRTFLAFLGLILVSSIGMSVYYNSGYARRWPGYVVFGALWVAGTGGLALCAKQQRAPKVYGVPLMPWLPAMSVATNLFLMGSLGSLAYMRFGICTAAMLVYYVLFGVHATYDMAHSEGQAASAADAAVDGVEQGKIVPV >KQL10867 pep chromosome:Setaria_italica_v2.0:IV:27218607:27222184:-1 gene:SETIT_006589mg transcript:KQL10867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFGPRRHTQASCSCLPTPAMAAAHAPPHQRALLLLLLAAALLAAMPATCAAARSKKSYRAIFSFGDSLSDAGNLIVNGTPKALTTARPPYGMTFFRKPTGRCSNGRLVVDFLAEHFGLPLPPPSQAQGKDFRKGANFAITGATALEYSFFKAHGIDQRIWNTGSINTQIGWLQNMKPSLCKSEKDCKDYFSKSLFVVGEFGGNDYNAPLFSGVPFSDVKTYVPLVAKAIANGVEKLIELGATDLLVPGVLPIGCFPLYLTLYNTSRKSDYNARTGCLRRYNRLAYHHNRELKQQLDELQKKYPVTKIMYGDYFKAAMQFVVNPGKFGFSTALQACCGAGGQGNYNFNLKKKCGEQGASVCSNPSSYVSWDGIHMTEAAYKKVADGWLNGPYAEPPILKS >KQL10291 pep chromosome:Setaria_italica_v2.0:IV:12506117:12510433:1 gene:SETIT_007431mg transcript:KQL10291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDTEAEKKRAPVALAPIAKPLAGKKLCKRTLKLVRRASEAKCLKRGVKEVVKSIRRGNKGLCVIAGNISPIDVITHVPILCEEASIPYVYVPSKEDLATAGTTKRPTCCVLVMTKPAKGELEGEVKEKLKTDYDQVTSEVAEVTSAMF >KQL08882 pep chromosome:Setaria_italica_v2.0:IV:111465:115336:1 gene:SETIT_006105mg transcript:KQL08882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPPPPPPPGALPVGFRFRPTDEELVCHYLKPKIAGRVHPDLLLIPDVDLSACEPWELPAKALIRSDDPEWFFFAPLDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGTLIGIKKTLVFHRGRAPRGHRTSWIMHEYRTAEPQLQQGQNGSFVLYRLFDKHEEEEPEAADAADSPSTSSAADPPPIAPAVKAENLCQPASAKMAHLLTTLSNNEPTAAQEGDPLLDVLAQLPDLQPEQTYDGFPTITSPMRPYTDHPFLGNVGGQDLSAYIDSIIAHQDLEDLLVNPSLAKTVEHPTGNFEPIPTSLLVPSSSSSNNNRSPENSWANLDTERLLLIQGADGTDAAACCSSATKILQFDTGDANHDTGPQTDSASGVSAEASHLYNQYQLQSASIPQMELARSDMTDSDAFNGLEGSAPEPLMQQLSVSNFTDPHQGTALRRIRLVHSIQRASVTEPVLTSNSEGEDEERSCYSTDNSSTNNEDYANAGGAMHSQGGGVIPTQVVSSTEVTGELQDFIFDEGASSLHGVVRHGGNLKRRLKEEYMETNQDAGEILQQSIRVPREPSLRRRQRISSVVRVLCLALVVILVFVGLWKCI >KQL08881 pep chromosome:Setaria_italica_v2.0:IV:111465:113557:1 gene:SETIT_006105mg transcript:KQL08881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPPPPPPPGALPVGFRFRPTDEELVCHYLKPKIAGRVHPDLLLIPDVDLSACEPWELPAKALIRSDDPEWFFFAPLDRKYPGGHRSNRSTAAGYWKATGKDRLIRSRPAGTLIGIKKTLVFHRGRAPRGHRTSWIMHEYRTAEPQLQQGQNGSFVLYRLFDKHEEEEPEAADAADSPSTSSAADPPPIAPAVKAENLCQPASAKMAHLLTTLSNNEPTAAQEGDPLLDVLAQLPDLQPEQTYDGFPTITSPMRPYTDHPFLGNVGGQDLSAYIDSIIAHQDLEDLLVNPSLAKTVEHPTGNFEPIPTSLLVPSSSSSNNNRSPENSWANLDTERLLLIQGADGTDAAACCSSATKILQFDTGDANHDTGPQTDSASGVSAEASHLYNQYQLQSASIPQMELARSDMTDSDAFNGLEGSAPEPLMQQLSVSNFTDPHQGTALRRIRLVHSIQRASVTEPVLTSNSEGEDEERSCYSTDNSSTNNEDYANAGGAMHSQGGGVIPTQVVSSTEVTGELQDFIFDGTKMKFPFKSVLVLPGVSI >KQL08878 pep chromosome:Setaria_italica_v2.0:IV:105871:111000:-1 gene:SETIT_006203mg transcript:KQL08878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVLLLHTRCYSASAFPAAEDMVISSLRRLPSTPLANPPPPPTRPQCIYPTPGPHPPPPPPPTALLLSAADRLRGVFLRKPLGRATLHRALSSTGLDATTALSPEVLADVVNAGDLGGAATVAFFDWAVTNSDPPPSIHTCNIVIKALGRKKFFDFLDDALQIMRRNNVFPDLTTLEIIVDSLVAARHVSRAVEVLSTDQFGFGIGKACHRKEAFAILIGCLCRRSHVGLANSLLQAARKELLGLDNHVYNDVMGGWARLGSVDKMQEVWTKMQEDGLVPDEVSHCHLIEALGRAGRTEDALRVFENMASERLGPTTMTYNALIFNFISTGDLDRCIKYYKDMLDKNCPPNINTYFKIIKAFLKERRVADALQMFENMLARGVLPNTGVITSFIEPLCTFGPPHAALMIYKKSRKAGCVISLKAYKLLLDRLAKFGKSGIVLNIWEEMQECGYQPDKEIYEFIVNGLCNVGKVDAAVSVMEESLRNGFCLGRIVYSKLNNKLLEMDKVETAYNLFKKVKEARALTNSRNYCRANGWHS >KQL10763 pep chromosome:Setaria_italica_v2.0:IV:25212492:25215827:-1 gene:SETIT_006155mg transcript:KQL10763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSPGHSPRHLSPSPSPAPSTPRPPSPTPSSASAAAAAAAATTSSKRRRPEVLDEDTYVAAIERIIERDFFPDLPRLRDRFDWLQAVRSRDPLVLRDAQLKILDRRRRLQRQRTGPVPTPTPATSTALRSPSFLTTPAGSVAGGAGAPEEEEDDDVAAALSLDGFFNRFTSEDNESFSRILEKVNHRRHERYAHLLEPAEAANKPLLEDAKRDRITDGYGSSGQPPSTLEGAKFTAKNLLMYYPADRGEAPLTEEERAERIKGMTKEIDKSNTRLHGRAMADDARPKEEEAAILYAPVAGTTPGGMAYHDPDKAKKYDLEDLRKTPNPFYLESDKKANNGYTFVRTPSPAPGVDESPFMTWGEIDGTPLRLDPDETPGGSGGSETVHFKIPPPPARDVKAHLLSRDAARKIKERSKIFHKPPLPSPVRGGSASPRTLSPAAQKFVRNAISKSAKSSNTIDESLRASYRGSTPSGSTPKTRFSRDPGLGSRSPSTSDPDARFVYISRKTRGVLFVQVRKKGSPSSFACFRKVLLPAADGNLNPPLHAENLKINVDGASLFIIDQHI >KQL09318 pep chromosome:Setaria_italica_v2.0:IV:2534553:2538029:-1 gene:SETIT_009122mg transcript:KQL09318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRPIRSVAGEGSSRPRALPPINLPSPSAAGRFEPVLRRFEPVRADSSSSTGPSSQVRQAGGPAGPLRSSLTQAHGWISHGSGKDWSGSSLLRRSYRVAFHWRQ >KQL09317 pep chromosome:Setaria_italica_v2.0:IV:2536801:2537836:-1 gene:SETIT_009122mg transcript:KQL09317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRPIRSVAGEGSSRPRALPPINLPSPSAAGRFEPVLRRFEPVRADSSSSTGPSSQVRQAGGPAGPLRSSLTQAHGWISHGSGKDWSGSSLLRRSYRVAFHWRQ >KQL09319 pep chromosome:Setaria_italica_v2.0:IV:2534384:2538029:-1 gene:SETIT_009122mg transcript:KQL09319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRPIRSVAGEGSSRPRALPPINLPSPSAAGRFEPVLRRFEPVRADSSSSTGPSSQVRQAGGPAGPLRSSLTQAHGWISHGSGKDWSGSSLLRRSYRVAFHWRQ >KQL09320 pep chromosome:Setaria_italica_v2.0:IV:2536801:2537836:-1 gene:SETIT_009122mg transcript:KQL09320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRPIRSVAGEGSSRPRALPPINLPSPSAAGRFEPVLRRFEPVRADSSSSTGPSSQVRQAGGPAGPLRSSLTQAHGWISHGSGKDWSGSSLLRRSYRVAFHWRQ >KQL09316 pep chromosome:Setaria_italica_v2.0:IV:2534384:2538029:-1 gene:SETIT_009122mg transcript:KQL09316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRPIRSVAGEGSSRPRALPPINLPSPSAAGRFEPVLRRFEPVRADSSSSTGPSSQVRQAGGPAGPLRSSLTQAHGWISHGSGKDWSGSSLLRRSYRVAFHWRQ >KQL09798 pep chromosome:Setaria_italica_v2.0:IV:6169515:6176973:-1 gene:SETIT_005937mg transcript:KQL09798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRLASIFSTARGPASAPAHLLHRALPRALPRFRHRRACPSPPRMSSTSSAASPAPPATAAAGGDKPAAAPYGSWRSPITADVVSGADRRLGGIALAGDGRLLWIEGRPEEKGRMVIVKEEDKPVDVIPQEFAARTLAQEYGGGAFAVDKSVVVFSNYKDQRLYKQAIGIGDPPVPLTPDYGAPDVSYADGVFDPHFGRYVTVVEDRRKSSLNPTTTIAAINLSGDDTLEPKELISGNDFYAFPRIDQNKRRMAWIEWSHPNMPWDKSELWVGHFSESGDLAKRVCVAGGNPLLVESPTEPKWSPEGELFFVTDRGSGFWNIYKWVEQTNEIVPVYALDAEFTRPLWVFGISSYDFLGNSNIIFSYRQQGRSYLGVLDCDSGSVSMLDIPFSDLSNVVASDDYFYIEGASANIPMSIAKVTLNESKTKVVNFSIVWSSSPDVTQYKPFFSTPELVEFPTSKPGQKAYAYFYPPSNPNFQGLPDEKPPLLVKTHGGPTAETRAILDLSVQYWTSRGWAYVDVNYGGSTGYGREYRERLLEKWGIVDVDDCCGCARFLVESGKVDGRRLCITGRSAGGYTTLASLAFRDTFKAGASLYGVGDLTLLRAETHKFESHYLDNLVGNERAYYERSPINFVNQFTCPVILFQGLEDKVVPPDQARKIYNALKERGLPVALVEYEGEQHGFRKTENIKFTLEQQMVFFARLVGNFEVADDITPIKIENFD >KQL10161 pep chromosome:Setaria_italica_v2.0:IV:10383144:10383598:-1 gene:SETIT_008115mg transcript:KQL10161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FKAMLHFIYTDTCPELAPQHIGDIGREESMAMAQHLLSAADRFGLTRLKLMCQEKLCSGIDVAEQHSCSLLKTRCVKFIFDSPVNLEAVIATEATEGHKHLVASCPFPMSELLRAAVGRR >KQL10222 pep chromosome:Setaria_italica_v2.0:IV:11102988:11104191:1 gene:SETIT_008112mg transcript:KQL10222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAAWRKFKDYLKSLSEGQKTDGKLEIAADTTSYFIFNLSVGTSSPQNISGILDITTPLVWSQCAPCTACLPPPAPTFRPNLLPTFAGLPCASQTCQRMLNQTCAADACGYIGVYGDDTNTTGYLATDTFTFDNVSVPMVFGCSGASTGEFSDASGIFGFSRRPLSLVSQLELSWFSYFDNATRMKNSLSTPLLTSDLYPEFYFVNLTGIRVDDNKDLGDIPAGTFDFRANGSGGVFLSTTMPVTFLEEVAYVVKKELASKIESQGPQRANGSALGLDLSEALAGVRRRQRGDEAPNYFFPDNNTGLECLTILPSPAGVSLSLLGSLLQTGRTMTYDIIDEQLIFEAAAAPPGHSVSSLLMAFPLAVWMILF >KQL12119 pep chromosome:Setaria_italica_v2.0:IV:38833318:38835543:-1 gene:SETIT_008099mg transcript:KQL12119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASPWPTPRSVRQASQLHAMLTTSGRIVHPTSAGHLLNSLTNCLSAPRHLRYALSLFDTLPQDSTFLFDTALRACLRASAGADHPVLLFRRMRRGGVRADAFTFHFLFRCCALPRGRAVLCRMLHAACLRTMLPSAAPLVANPLIHMYAALGLTDSARRVFDEIPVKDPVVWTTVIGGLAKMGMLDEARRLLVQAPERNVISWTSLIAGYSRAGRAAEAVDCFNSMLSDGVAPDEVTVICVLSACSQLKDLDIGRSLHFLVGEKKIRMSDNLVVALIDMYAKCGDIACAQGIFDAVGRGQKPEPWNAIIDGYCKLGHVDVARSLFDQMDAPDVITFNSMITGYIHSGRLRDALVLFIQMRRHDLRADNFTVVSLLTACASLGALPQGRALHASIEQRLVEEDVYLGTALVDMYMKCGRVDEATIVFQRMGERDVRTWSAMIAGLAFHGMGKVALEYFCQMKHDGFQPNSVTYIAVLTACSHSCLLNEGRMHFNEMRSLHRIQPQIEHYGCMIDLLARSGLLDEAMNLVQTMPMQPNAVIWGSILSACRVHKKIDLARHAAENLLKLEPDEDAVYVQLYNIYIDSRQWVDAKRIRMLMEERGVKKTAGYSSITVAGQVHKFVVNDQSHPWKFEIIAMMEEIAHRLKSVGYSPITSKITVDVDEEEKEQALLAHSEKMAIAFGLISLAPNLPIHIMKNLRVCEDCHSAIKLISKLWNREIIVRDRSRFHHFRDGTCSCNDFW >KQL09134 pep chromosome:Setaria_italica_v2.0:IV:1442037:1445018:-1 gene:SETIT_006565mg transcript:KQL09134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISVNGQSVVPPGFRFHPTEEELLTYYLKKKVASERIDLDVIRDVDLNKLEPWDIQEKCRIGSGPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYTSARRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDEAHNPSAAAAAATAGDPYYSSPPPAAIRGAAGDQAAAQEQEGWVICRVFKKKNLLHHGQSSGGGATASVTGHTGAASSKMAAPMEGSPSNCSSVTVSDHAKAQLLHSASDDALDHILQYIGGRPCGSNTKHHDTKPALLDHHHHHHLATTTTATACTGGGLYGKFMKLPPLEHAGGLLQSPPGDYGGAADASGIADWDALDRLAAYELNGLSDASKTMVSFFDEPGSAAAAAFSSSVHATTVGVGDGDLWSLARSVSSLHADLTMDNV >KQL10896 pep chromosome:Setaria_italica_v2.0:IV:27861930:27862602:-1 gene:SETIT_007355mg transcript:KQL10896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHSAMQGGGGAGAGAAAQEWFYAGGGQHQPEQAVVVDGAFLMELLEDAPLADQAAPEDVDRLSRVIRSLEAEIGGGGPPPSAPADGRSTAEHVQAGDVDSEGLEEYMLSDLDSIPAPCVAEAPFEYWAEVPPAAGHDMGGWYVDGDGVGVMVGYEFREPCHYGYSESPHVEHVYSPLWE >KQL09836 pep chromosome:Setaria_italica_v2.0:IV:6542663:6542997:-1 gene:SETIT_009140mg transcript:KQL09836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIHEHKSEQKAGKMSMGAGLDMSPRANARHINFSALI >KQL10825 pep chromosome:Setaria_italica_v2.0:IV:26541214:26543531:1 gene:SETIT_006640mg transcript:KQL10825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALCDQLFSDVDGELMHHPSDADDLFGILEAWEDCVTGGGSTPRGAEVSRTANATTPKPPSAVGSIRRLHDRDQGDATVPAPKRQRCSPAVSSEAAAATSEDGAANNKTSHITVERNRRKQMNEHLAVLRSLMPCFYVKRGDQASIIGGVVDYIKELQQVLQSLEAKKQRKAYTEQVLSPRPPPPSCSPRPPLSPRPPLPPLKSTPPISPRPSVLISPRTPPTPGSPYKLRRQPPPPLPLPLSPPGSAYASPARTPTREPSPAPSYLPSLDTIAAELCAYAARGTNKQQQAPVALPAAAGGGGLLPDVKVEFAGANLVVKTVSHRAPGQAVKIIAALEGRSLDILDAKISTVDDTAVNSFTIKIGIECELSAEELVQEIQQAFS >KQL11694 pep chromosome:Setaria_italica_v2.0:IV:36171338:36171782:-1 gene:SETIT_008978mg transcript:KQL11694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKYDFIEEHWPVVHYSNSTTNHMKCFFSLVSMQIL >KQL11871 pep chromosome:Setaria_italica_v2.0:IV:37322856:37332162:1 gene:SETIT_005762mg transcript:KQL11871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTSSGRRAPSTAAAASSPGQKRARDEASGGSPSDPAKNPRRAFASSPFADFGSYMAAKNSKLAAQFDADASTSGAATGGLFAGVSIFVDGFTIPSSQELKEIMLNNGGRFVNYFSRHTVTHIVCSNLPDSKMKNLRAFSKGLPVVKPAWVEGSQYQSGSCESEVSLDNVELSNDSLSSDELKASTFEERESGDFAVDEGEYDCESACSERRVNDMDGKCGVAQSPDAKSRCSNLCSTSSTGSHLSSPLEKSAAKPSSRPHSTLTDPNFVENYFKYSRLHFIGTWRNRYRKRFSNLLEAKSIKSNADHSGNKKTIIHIDMAGMFVRDAKARCPHLKIVPYKFDAYEEVADQFYGILHKHCSKVQALSCDEAFLDMTDCLHDDPEEVTRRIRSEIFDATKCTASAGIAENMLLARLATRSAKPNGQCFIPSEKADDYLSSLCIKALPGVGHTVSAKLKSKEIEYCGQLRNISKGALHKDFGKKIGDLLWNCCRGIDHSVVGSVQETKSVGAEINWGVRFNDNKDAEHFLTNLCKEVSLRLQGCGVQGRTITLKVKTRREGAGEPIKYMGCGDCETTSRSMTIAGATDSFVTLQRIAKQLFSALHLDVKEVRGVGLAMSKLEHADLARGAPQGNMLESWLASPAAKLKKRRDEMPGNVDVAGTSVQQDLRRSGPLSIGATSQSSERNLRSDRSTGVHNVELPPLSQLDLEVLKNLPPEIMSEMNDMYKGELQGLLDTLNSDKGKESSSKSLSLPAVTQNSVPAGDAKLQGYRDHKDSMHLEEDTKVKSDKQLSEVKAANDASCSRACELVEKTKCVTQLDLMPDSLSQADFAVLQELPEDVKADLFSALPLHRPGDPTCSTSNVSESKPPNVGGAENRSLNDGGAENRSLNDGGADDPRDRSIFVPPGSFQKWIEQFRVSSCLILNVIAEQHTDSICSRPLSAVLEPLASFLSLCPDSGSEEWNETLSCLSELLRQYIQLKVETDIEELYKCFCLMKRFASASEFFLELHDSILPFLQDSVSQHYGGTLHF >KQL11965 pep chromosome:Setaria_italica_v2.0:IV:37857391:37859229:1 gene:SETIT_007380mg transcript:KQL11965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISMKGIFRGLKIIAQIFTVQREHEIEIGYPTDVRHVSHVGFGASGSCPSWMNEFRGAEEVAAAGGGGGGASISSAVQSRQTSWASLDFEQPVGAGVPPPAEASTADISAAHDAGAGAATPRGAPPPKKPATKPKKARASSPGSSSWRSTASSATARTDSDEPRPAGVRAA >KQL11964 pep chromosome:Setaria_italica_v2.0:IV:37858169:37858991:1 gene:SETIT_007380mg transcript:KQL11964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFCLFYLKQMNEFRGAEEVAAAGGGGGGASISSAVQSRQTSWASLDFEQPVGAGVPPPAEASTADISAAHDAGAGAATPRGAPPPKKPATKPKKARASSPGSSSWRSTASSATARTDSDEPRPAGVRAA >KQL10066 pep chromosome:Setaria_italica_v2.0:IV:9042972:9044363:-1 gene:SETIT_008644mg transcript:KQL10066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASSAADVVVVASHGRPAAQEVLVAMEDRMHKTTERIESHVAMMQTRIHRFPRGLRGIGGDDDRYIVPSVVAIGPYHHGLPHLQEMEEVKHAAAYHFCRDAGRSPEEVYGSILFLAGDARHCYATDDEAVVRLSDAELAAMLFLDGCFLLQYMANSDEPMFAGCNLSSGQAILRDMMLLENQIPWLVLDALTGFLPVNVRHFVTEVGDKFFPNEKDSAGWIIRFQVFLMMKCRRVPAAREPLGHCQSDISYKPAHLLGLLRFSQLQSMPSDEREYQAGSSSLLSSSAVELAQIGVKLTASTATWLGDMRLRKNLVLGELSLSPLFLNDVTACWLVNMAALEACTAWDSDGFVVSSYLSVVAMLMDRKEDVHELRSKGVLRSLFSNTQTLAFFKGLSQHLRLGGRYVVVLEQIESYKRNRPVRIAAHRFLYKNYKIIATVLSIAGVIIGIFKAILALKLKTG >KQL09835 pep chromosome:Setaria_italica_v2.0:IV:6514145:6515531:-1 gene:SETIT_007382mg transcript:KQL09835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLLLPASRDCSTSPQNRTSSPPLASPSCNLSLPVRLCAATRSSPAEMDGSSLKSAQLLEQMRLHMATDAGKELTKKVGLVYQLNIAPKKLGVDEEIFVVDLKKGEDRTRGSRMLPSPSLTTISLESPAARRTRRLRSSAELLRSRGA >KQL09833 pep chromosome:Setaria_italica_v2.0:IV:6514145:6515531:-1 gene:SETIT_007382mg transcript:KQL09833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLLLPASRDCSTSPQNRTSSPPLASPSCNLSLPVRLCAATRSSPAEMDGSSLKSAQLLEQMRLHMATDAGKELTKKVGLVYQLNIAPKKLGVDEEIFVVDLKKGEVSKGEAGCYLLLH >KQL09834 pep chromosome:Setaria_italica_v2.0:IV:6514698:6515525:-1 gene:SETIT_007382mg transcript:KQL09834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLLLPASRDCSTSPQNRTSSPPLASPSCNLSLPVRLCAATRSSPAEMDGSSLKSAQLLEQMRLHMATDAGKELTKKVGLVYQLNIAPKKLGVDEEIFVVDLKKGEVSKGPYQGKPDATFSFTDNDFLGIASGKTNPQIAFIRGAIKIKGSIAAAQKFTPDIFPKPAKL >KQL11042 pep chromosome:Setaria_italica_v2.0:IV:30273093:30275079:1 gene:SETIT_008020mg transcript:KQL11042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLCLTCNDKPGNHNFELVLPPGSVLRAELPGRPTRDCLSAGPARDGTSLLEIWNVSKCAGVVAVLNCQGAGWCRVTKMTRVHDAAPGDAHPIRLPKGATLPVTLKVLESEPFHVSLVRAVAETGVSFAPVSGCSTCAYCSRRPARCALDAAEVEFSYDADTDGTDPPQLGSWWEAHSPLRAPSSSRLVWRVAT >KQL10727 pep chromosome:Setaria_italica_v2.0:IV:24210090:24210355:1 gene:SETIT_007793mg transcript:KQL10727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFCGANTKMFYLLIRMHHQQIKPNNTLLHIPQNVCKIQKKKSIIKNDECIVHI >KQL11666 pep chromosome:Setaria_italica_v2.0:IV:35715966:35719233:-1 gene:SETIT_007981mg transcript:KQL11666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTLSSDERDTRVALFMDAIFALAAGPRARVLERAATRIPGCLYIFLWAPVIAGHLPPSCVPGWAYKDGRPYMELPEPDLTASASLQVQQQFYHEAGTKTAVFMSCDHGEIEVGLSSAPAPPAVANHVQESLLEEFMQLTPAVPSSSSSSLPSLSIGSPEYSSLIRSMATTAAAEPSSQERQPLPLHPAVQLPGLLLPVYGHAPFLAPEDEDAAIAEAMLAVISCSAPQPPAPTDVPPSPPWLARHRAQRWSPRRRAPGAFRAYCSALSPRARPRPGAPGQRMAKTAIALMLSVHMAMRDRELAAARRQEDAAAGQPPAPQQQQHTSSQLHHMISERRRRERLNESFQTLRALLPPGSKKDKATVLANTTEYMHKLIADVADLEKKNRHLEAQIGLPLETQQAGSDDSSERVQVDVTTGASTSTSTSAAGQAQEVSIRVTVRAECDLPEVVIAILARIKKMGRFAVVTVDARQRSSRHAQVSITLRLTAGSDEADETSLKEAVAKAVEDAVARPPSPP >KQL11080 pep chromosome:Setaria_italica_v2.0:IV:30558190:30560162:-1 gene:SETIT_008530mg transcript:KQL11080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKRPRSLFSLAFPSRSPRRKAAAAGSARHGGVVSPRPTHPSPPPRRLPLPVVQGLASLRSLFPVPVMGLEHLTSSANPSSGFTLYGNEAMEETKRLQGLRAETLMETCQSTESRDGMIRCPIPCKSSRWYRERELRAAQDLSDFILSKASPPYFVGSPPVRATNPLVHDAQFCAWKVQSVDQSLGIPIPTKGYNARYCAGKGSVTKA >KQL10927 pep chromosome:Setaria_italica_v2.0:IV:28293060:28295233:1 gene:SETIT_007974mg transcript:KQL10927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCACDFDLNFTFISCGWEGFASNVGVLRSACAKDFHVPVGKFYLVDDGYANTSSFIAPYQGVGITLSYTYEKGLVDILKELVHIPMFKGQNGWTAEGWRNITNKFNDMFSTTHFTEQQVHEKVEELKGNYKIIKETRKSEPKGWEKLIRDNHKVAKFHKKLFPLYNSLELLYEGSVATEDLNFTSIEPPPQRKQSNHSMASIDRNPLNFDLGGVESIEVQSALASRNSDDQNVTSGKKRKQSQVAAKLGNYINFRKDQIEKTLEKLEEKKRCEEDYSIEKCIDIVDAMEGLSDEQKADANEVFQSETNR >KQL09556 pep chromosome:Setaria_italica_v2.0:IV:4220606:4224210:1 gene:SETIT_005835mg transcript:KQL09556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMARRLRSSCTRAVASSAFPASPSPSSSLPRRIYTLPPRPLLLASSSSPRPLPLPPAVPPRYSFVRTLAATKGPSPAPPSDVVSPSEQPRSKRQGEEMTIASKVKLAGGTLSVCGRTVLSGVPDAVVASSAAAGGAVDGVFIGADFAEPAARHVISLGALRGVRFMACFRFKLWWMAQRMGGRGGDVPLETQFLLVESRGAGADGGEGAPAYVVFLPLVEGAFRASLQGGAGDALELCVESGDADTRAASFDRALFVGAAESDPFAAIAGAVAAAKSALKTFRVRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLIAGGAPPKFVIIDDGWQSVGTDHSASDEPAGGDEPPRLSRLTGIKENSKFQNADDPAAGIKTVVRAAKEQYGLKYVYVWHAITGYWGGVRPGAAGTEHYRSNLQFPKVSPGVMENEPGMKTDVLTLQGLGLVHPRAVYRFYDELHAYLADAGVDGVKVDVQCVLETLGAGHGGRVQLTRQYHQALDASIAKNFPENGIIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHRAGDYHGSARAISGGPVYVSDAPGKHNFELLKKIVLPDGSILRARLPGRPTKDCLFTDPARDGISLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHQTGTEALTCSVKGSDVHHISEASTDPEWNGDCAVYRHASGDLVVLPNGAALPISLKVLEHDILTVSPIKLWLMSLQDLAPGFRFAPIGLVDMFNSGGAVEGLTYHLLDGAKLVDGNGSTSGSEAVGLVCMEVRGCGRFGAYSSVRPRKCMMGSSELEFSYDSSSGLLTLQLEDMPKERVHKIVVEL >KQL09555 pep chromosome:Setaria_italica_v2.0:IV:4220606:4224210:1 gene:SETIT_005835mg transcript:KQL09555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMARRLRSSCTRAVASSAFPASPSPSSSLPRRIYTLPPRPLLLASSSSPRPLPLPPAVPPRYSFVRTLAATKGPSPAPPSDVVSPSEQPRSKRQGEEMTIASKVKLAGGTLSVCGRTVLSGVPDAVVASSAAAGGAVDGVFIGADFAEPAARHVISLGALRGVRFMACFRFKLWWMAQRMGGRGGDVPLETQFLLVESRGAGADGGEGAPAYVVFLPLVEGAFRASLQGGAGDALELCVESGDADTRAASFDRALFVGAAESDPFAAIAGAVAAAKSALKTFRVRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLIAGGAPPKFVIIDDGWQSVGTDHSASDEPAGGDEPPRLSRLTGIKENSKFQNADDPAAGIKTVVRAAKEQYGLKYVYVWHAITGYWGGVRPGAAGTEHYRSNLQFPKVSPGVMENEPGMKTDVLTLQGLGLVHPRAVYRFYDELHAYLADAGVDGVKVDVQCVLETLGAGHGGRVQLTRQYHQALDASIAKNFPENGIIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMLPDWDMFHSLHRAGDYHGSARAISGGPVYVSDAPGKHNFELLKKIVLPDGSILRARLPGRPTKDCLFTDPARDGISLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKNIFHQTGTEALTCSVKGSDVHHISEASTDPEWNGDCAVYRHASGDLVVLPNGAALPISLKVLEHDILTVSPIKDLAPGFRFAPIGLVDMFNSGGAVEGLTYHLLDGAKLVDGNGSTSGSEAVGLVCMEVRGCGRFGAYSSVRPRKCMMGSSELEFSYDSSSGLLTLQLEDMPKERVHKIVVEL >KQL09364 pep chromosome:Setaria_italica_v2.0:IV:2783112:2784359:1 gene:SETIT_008095mg transcript:KQL09364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INSAQAKKISDYFKKQAFDFLKDHALDVIPNVLSTPEGQKQGTKAADTAGLYVFNLSVGTSILQNITGILDITTELVWAQCACSADACLPPPGTTFDPSTSDTFAGVPCISQRCQSVIKQQCGPDPAANCTYLMQYDDYTNTTGYLGNDTFTFGQTQFPDVVFGCSKASYGDFFGASGVLGFSRGSLSLVSQLQLSWFSYLLVSDGPSPLQFGYDAVPQTENSHSTPLLNNDANPGLYYVKLTGIMIDGRQLNGIPAGTFDIQSNGSGGVFLSTTVPVTYLDEAAYNVVRKAFVSRITAEAVDGSALGLDLCYTLQPKDEMVVPKLTLVFDGTNAAMELKKYNYFFADNNTNLECLTILPSRGGSLLGSLLQTDTNMAYDIEGSRLIFETASAPTPDLKVSLMVVVQFLALALLF >KQL11809 pep chromosome:Setaria_italica_v2.0:IV:36919882:36920045:-1 gene:SETIT_009102mg transcript:KQL11809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLASCPPWWRHFRDLTQPMKFLVTPLVMGGCTWWRRSRRDGLAV >KQL12134 pep chromosome:Setaria_italica_v2.0:IV:39048326:39049711:1 gene:SETIT_008747mg transcript:KQL12134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKLAAAGDGWADLPRDLLESVLARLPVPDRLRFPAVCTAWQSAAATGASGSNAPLSPWLMLPFNPTARGRPRRGGGGDARFLSLAEGRAYAIRQPASVVSEHVCVGSSPDGWLITADASSELHLLNPVTGAQVQLPSVATLPFVDATRGADGCVESYSLRRCFADDDCGDEVLIPPETLAPDRLRYEVYEKAIVVSAPRRLASPSGETWGGYALVLICQPLSRVAVARAGDAGWTQLDTPARCWVDAVRAAGADGVVYAMDTAGRVEAWDMDATPAPAPSRAAIAPPCRCSARACAMSAACRRYLVELAPGHLLQVHRLRGAAHAGYTWEPRPEHVEYATTGAELFEWRAAGAGGGGRWARVDGEGASCVLGGRALFLGKSASLCVPVDCSGSGGELRGNRVYFTDDGPWSHERCHEVAPDVGVLDLADGSYRPPRGAARDLLWKWPPPVWVFPSLAS >KQL10337 pep chromosome:Setaria_italica_v2.0:IV:13091367:13096046:1 gene:SETIT_005773mg transcript:KQL10337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVVSMARALVGIAVSKAASAAADEASLLLGVQKEIWYIKDELKTIQAFLRAAEVEKNKDELLKVWAEQVQDLSYDIEDCLDEFKIHVKSQSLSGQLLKLGDRHRIAVRIRNLKSRVEEVSNRNTRYSLIKTTSSSSADERDSYMEDIRNQSANNIDESELVGFATPKMELLKLIDISCDDGPTKVICVVGMGGLGKTTLARKTYESKEDIPSYFSCCAWVTVSQSFDRKEILKDMIRQLLGADSLDKLLKELQGKLLVEVQHLADCLVYGLKDKRYFVVLDDLWSIDAWNWINDIAFPKINNRGSRILVTTRDAGLAERCTSEPLIYHLEPLQIDDAVHLLLRKTSKGQKVMETGENMKNIVTKLVKRCGCLPLAILTVGGILATKKIAEWGKFYEELPSELESNTNLEAMRRIVILSYNHLPSHLKPCFLYLSIFPEDFVIQRKRLVDLWIAEGFVKARDVVNIEDVGNSYFNELINRSMIQPSAVNIEGVVKKCRVHDIMRDIMVSVSREQNFVLLIKDNVTSVEEETIRHVAFHGNQFSEICLDWSSVRSVSVFGDRPMEPVPSFCSPQLRMLRVLDLEDVKFRLTQKDVKNIGLLHHMKYLNIAGGSYDFALLRSIGKLKCLQTLDMREANISALTTAIVELRSLRSLRCSKRLDYGYFNLIDNPKGCLTITMCFPMIFTTLVGFSDRANLIAEIQMACSTRWSDTKGVRLPRGIKSLKKLQILEVVDMKGTSREVIEELGELSQLRKLSVTSKGASENKYKIFCAAIEKLSSLQSLYVDAEGSSDVGTLEWLDSICSPPPLIRNLKLNGSLLDLPKWFGNLKQLVKMHLSRSRLKEGKTMEVLGTLPNLMLLRLYRNAYVGEKLVFRREAFPNLKEIDIYFLKQLREMRFEEGTAPQMGSIEIYGCRLKSGIVGTKHLPRLKTIAVQDGGDVANFDLLRAEVVAHPNHPLLQVSKDRGHNDLGGIEGSNVAAEATESLPDDEVDGS >KQL10136 pep chromosome:Setaria_italica_v2.0:IV:10070265:10075485:-1 gene:SETIT_006156mg transcript:KQL10136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVTSNGGAAAANGPAPGRLASVYSEVQTSRLVHALPLPSVLRSNYSVVDGPASSAAGNPDEIAKLFPNLFGQPSASLVPAAEPAATRPLKVGVVLSGGQAPGGHNVICGIFDYLQERAKGSTMYGFKGGPAGIMKCKYVELNSAFVYPYRNQGGFDMICSGRDKIETPEQFKQAEDTANKLELDGLVVIGGDDSNTNACLLAEYFRSKNMKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYSEMIGNVMTDARSTGKYYHFVRLMGRAASHITLECALQTHPNAALIGEEVAAKKQTLKNVTDYLTDIICKRADLGYNYGVILIPEGLIDFIPEVQKLIAELNEILAHDVVDEAGAWKSKLEPESRQLFDFLPKTIQEQLLLERDPHGNVQVAKIETEKMLITMVETELEKRKAEGRYHASFRGQSHFFGYEGRCGLPTNFDSSYCYALGYGSGALLQSGKTGLITSVGNLAAPVEEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELDGAPFKKFASMRDEWAIKNRYISPGPIQFSGPGSDDSNHTLMLELGAQV >KQL11927 pep chromosome:Setaria_italica_v2.0:IV:37671658:37683327:-1 gene:SETIT_005764mg transcript:KQL11927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRAAKRAKLGPGAAPAPERGDDDYVPGNIVEIELCNFMTYDRIVCRPGPRLNLVVGPNGSGKSSLVCAIALGLAGDPNILGRASSVGAFVKRGEVAGHVKISLRGDTPNDKICITRKIDTKNKSEWLLNGATVPKKEIIDVIKRFNIQVNNLTQFLPQDRVSEFAKLSPIQLLEETEKAVGDPDLPVQHRQLVERSKELKALEVALKQKEQTLNNLKALNAEQEKDVERVRLRDNLLRKAELMRKKLPWLKYDMMKKEFITVIQEQEKISKKKMEEAARIWDDAKGPIEELKKHKATHTSNIKKISNQVNENMTKRQKVIDKELQLNTELKATFDDIDDLKKQEKSRQQRILKAKEDLAAAEKELEGLQPYEQPKAEMAQLRDQIAQVNVEIKNLKAERNTVESQLAREDESMRKCSYRLKEMESKNHKLLQALQINGADNISEAYHWVQDNKKNFRREVYGPVLLEVNVQDKLHATYLESHVPNYIWKSFITQDASDRDYMAREMKKYGIPVLNYIVDEGIRRRPLNITPEMEQLGIYSRLDQVFQAPDAVKDVLISQAILDDSYIGTDETHCRADQVSKLGISDFWTPNNHYRWSKSRYGGYMSASVDAVNPSRLFKSKQLEDEEANIHRQKEEIINMMKSQKKKREEIQRRVDMRRRKLEDLCKEEDVESSTRKLVDQVAKLNDRRFQAMKELKDLLTEAVALKWSHTEKHMASIELDAKIWEMEKGVKKLEKDANLAAREYEDCKRITAEHKRKLAMAKQHAESIAKISDLEKEFRAMPPTIEELEAAIQDTELEANSMLFLNQNVLQEYQNRQREIESISNKLKGDKDEYEICCSEIETVKGKWLPTLRTLVSKINDTFSHNFQEMAVAGEVSLDEHGLDFDHYGILIKVKFRQTSQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQINTPQCFLLTPKLLPDLEYSDACSILNIMNGPWIEKPAEVWSAGDCWRDVMRSAVH >KQL09159 pep chromosome:Setaria_italica_v2.0:IV:1595180:1599092:-1 gene:SETIT_006554mg transcript:KQL09159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLARRLLHLHRARVSRALHTGAPADPGVLACRLASRAVVRFAGPEAARFLHSLLTNDLLTGAFAAGGSSAPQRYAPTPNAPARGPAPPAYAALLTPQGRFLYDLFLYRPPPRSQMLDRTGSAPETGEKPQEEEGEGEPEEVLADVDAAEVDELVACFKRYRLRSKVEIDNVSENFACWQRFGRNVVHTEPSTQEPEAQSIGWGQGVDHAGESAAQGNGHGWQWLKDPRLDYLGYRGIFPADTIPPLVESDKEADERHYQLWRIENGIAEGSTEIPKGEAIPLEYNFAGLGAISFEKGCYIGQEFIARTHHRGVIRKRLMPMKFVDEKGQELEQAVAPGSEVVDEASGKKIGTVSTALGSRGMGLLRLEEALKQGSSLRISDNRDVRVQAFKPDWWPAEWTQILDQHSAAA >KQL10142 pep chromosome:Setaria_italica_v2.0:IV:10223136:10223658:1 gene:SETIT_009152mg transcript:KQL10142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRHPNIVQLIGYCAETKFEAMPQNGEHILAERRHRLLCFEYISNGSLRDYVLGMIGKYSI >KQL10140 pep chromosome:Setaria_italica_v2.0:IV:10114687:10115848:1 gene:SETIT_007508mg transcript:KQL10140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLQKYPNAVCLKRKLVDSYLTKDSKSRRVEVDNVSFKVGSGSSSDPHAHRCRNQPNLANDCVNYLNSTVLTRVVFYKEGPWCSFPEKVVPSLVDAFKGDKSSVVVMMDDQPLLLDFLSVHLYGTSLL >KQL09385 pep chromosome:Setaria_italica_v2.0:IV:3011376:3013794:-1 gene:SETIT_006802mg transcript:KQL09385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAVLRGCIPRRARPGQRVTAGQLWPEIKKPRSTGAEEKKRAREDEEFEAAFAEFEVESGESEVESEDEAKSLAAPRSVVARDELNTIAAGVDGPDARKKKNQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTYNSPEEAARAYDVEARRIRGKKAKVNFPDEAPVASQKRLAEPTSVKVANMGTEENLVINNMTNANANHTIPEPIMQTQNMSFAPMVNTAASIQEPLANLSSDQEVDESAFLQGTSKAVVPPVTGDASVDLPEFEPYMNFLMDSPDEPVNPILDCDGSQDVGGNMDLWSFDDMPMPGGGFF >KQL09386 pep chromosome:Setaria_italica_v2.0:IV:3011984:3013661:-1 gene:SETIT_006802mg transcript:KQL09386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAVLRGCIPRRARPGQRVTAGQLWPEIKKPRSTGAEEKKRAREDEEFEAAFAEFEVESGESEVESEDEAKSLAAPRSVVARDELNTIAAGVDGPDARKKKNQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTYNSPEEAARAYDVEARRIRGKKAKVNFPDEAPVASQKRLAEPTSVKVANMGTEENLVINNMTNANANHTIPEPIMQTQNMSFAPMVNTAASIQEPLANLSSDQALAPVPTLTEVDESAFLQGTSKAVVPPVTGDASVDLPEFEPYMNFLMDSPDEPVNPILDCDGSQDVGGNMDLWSFDDMPMPGGGFF >KQL09384 pep chromosome:Setaria_italica_v2.0:IV:3011984:3013661:-1 gene:SETIT_006802mg transcript:KQL09384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAVLRGCIPRRARPGQRVTAGQLWPEIKKPRSTGAEEKKRAREDEEFEAAFAEFEVESGESEVESEDEAKSLAAPRSVVARDELNTIAAGVDGPDARKKKNQFRGIRRRPWGKWAAEIRDPRKGVRVWLGTYNSPEEAARAYDVEARRIRGKKAKVNFPDEAPVASQKRLAEPTSVKVANMGTEENLVINNMTNANANHTIPEPIMQTQNMSFAPMVNTAASIQEPLANLSSDQGSNSFSFSDFSLENDTRTTDITSALAPVPTLTEVDESAFLQGTSKAVVPPVTGDASVDLPEFEPYMNFLMDSPDEPVNPILDCDGSQDVGGNMDLWSFDDMPMPGGGFF >KQL10179 pep chromosome:Setaria_italica_v2.0:IV:10590753:10591814:1 gene:SETIT_007364mg transcript:KQL10179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMVARQGRELQRYSDSTGGRIVVGCVPYRASGGAGGEVEVLVISSQKKGPAGGVLIPKGGWELDESMDEAARREAAEEAGVVGETGPALGRWCYRSRSYDATYEGFVLPLRVTAELDRWPEMGARRREWVSPAEAIARCHHAWMREALQRFADTVKASSAAAATVKAAAILGSAL >KQL12287 pep chromosome:Setaria_italica_v2.0:IV:39822982:39823851:1 gene:SETIT_008277mg transcript:KQL12287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFARSISFPLSPSRSSSSKPARMASAYHARSVSLPCRSHPILAHLHTHIRADAAAASAAQGLAHVDALHAALGDLLDLPEAQAALSAGASHDRLLDAFLRLADAHGSFQEALVDLKRDVAEALAAIRRHDGARLASALRSQRRAGKELARLAATAKDGAARPSRLGLGLGLGGSSAAEVEVAGLLAEAAAATASASAALFNTVAAMSASASAAACSCKRTAALMCLIKKVPEEEKETMALMERLEELEECIDDLESGSDKVFRSLVQTRVALLNVHTNIF >KQL12373 pep chromosome:Setaria_italica_v2.0:IV:40209968:40216330:-1 gene:SETIT_005878mg transcript:KQL12373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSQTTEASSNLHKGLLLALPNCQHSDASKVNPGSRVGELILPNGDIYRGTLLGTTPDGSGSYIWSDGCVYEGEWRGGLRHGHGKMLWPTGATYEGDYSGGYIYGEGTYIGLNNSTYKGGWKLNLKHGLGLQTYPNGDRFEGSWTQGQVQGHGMYTWANGNTYVGIMKNGVMSGKGIFTWKHGDSFEGNWLDGVMHGHGVYTWKDCGYYVGTWTRGVKDGKGTFYPRCREILLPDELSIDALRKRGVLPGVESQIHGSRTLHSSSFDMADVMARGNQDSAGVSSIRSLAFEETRSKNVSLERRWSLGVAIEKFIGRETNESSAAQSCENKTDSNLPILEREYMQGVLISEVLVDTSFSHSFKRSSHCQKKIVKDIKKPGQTIIKGHRSYDLMLSLQLGIRYTVGKITPILRREVRASDYGPRASFWMSFPKNGSRLTPSHHADDFKWKDYCPMVFRNLREMFKIDAADYMVSICGSDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPEYYYHVRTYENTLITKFFGLHRVKPSSGQKFHFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKITIDENTTLKDLDLSYSFYVEPSWRDTLLKQIETDSKFLRNHAIMDYSLLLGVHYRAPQKLRTQASFNHTIVPDRLAVLSEEGALEEDIMNCSEGLVLVQRASDQNDVVIGPHIRGARLRSSASFEEVDLLLPGTTRLQIQLGVNMPARAEQTTKEDDRESFGQVYDVVLYLGIIDILQEYNLRKKIEHTYKSIKYNSLSISVVEPNFYSERFLKFIRTIFPERS >KQL12367 pep chromosome:Setaria_italica_v2.0:IV:40194550:40196561:-1 gene:SETIT_007848mg transcript:KQL12367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFRTTTTPAGGETADVSRSTRTWSSSSTGRVSSSSSLSSGMISSGAYEQQEGDDSNEEAGLVVGVAVGKEVKECKANLMWVLSNLDAVITTGGDKMKGNATVVLLHVHRPAKTIPFMGASFPAEKLHESEVSAFRQAETQAMTRAMAKYRAICAKVKVAAVCKVETVSGDGDVAQGILRLVVQNGIRRLVVGAAADKRYSSKMRAPSSRTAVSVQQQAHPQCAIWFLCKGNLVCTRPPAVVVDAAESHGQQAAGAQHPSASGGHRLCWDDNHHHLQQQQQEEDIQSIFAEAQVLRRERERRDEQVAALEAQLVSSKRVIQDLQEKLSEAHCLVFTLEREQEELRRQRDAALREAAALRDRLLEELVELSYEELMEATQNLDESLRLGQGGYGTVYRAVLQSQSHGVAVAIKVLNNQHALQQQQVEALRKLRHPNVVPLLGACSAPQAPALVYEYLPAGSLDDRLIADPDKKPLLWPERTRIAAEVRSALVFLHDNGVVHGHLKPPNVLLTTSSSSSKLADSGLCRLLEPDVLMHCTLSANTVAYVDPEFLASGELRPTSDAYAFGVLLLRLLTGRPPMGLARHVRAALTEGRVADILDASAGDWPYTPEQAEQLAHLALSCCEMASHNRPDLAGEMVAHTLQSFATI >KQL09039 pep chromosome:Setaria_italica_v2.0:IV:788841:797117:1 gene:SETIT_005925mg transcript:KQL09039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSVSRRDAARSGELPRPPAAGMARSGELPKGASAGPAPAAAAVRHEGWLVRHGRRKIGRSFFHMRYFVLDNKLLAYYKKKPRDSMVPLKSILIDGNCRVEDRGLKTHHGQMIYFLCIYNKKQKENQITMGAYDIEDALTWKRKLEFLIDQQQDSMTAKNRKAFASLDFDIDLGGPFSFSDHDSGPEDEEEPRPTLLRRTTIGNGPPDSVLDWTKEPDIGLSNQSDTNQAYSRKNWRLLRCQNGLRIFEELVEVEYLARSCSRAMRAVGVVEASCEAIFGLVMGMDVTRYEWDCSFQYGSLVEEVDGHTAILYHRLQLNWCSMVVWPRDLCYVRYWRRNDDGSYVVLFRSTEHQNCGPQPGFVRAIIESGGFKISPLKSLNGRPRTQVQHLMQIDLSGWGVNYFPSFQYHSLLQMLNCVAGLREYFSQTDEVHTVPRIPVMHAMVNTISMKKDQKLQEPDTKTKQTDKILDMVDEESEDDDDYQVPDADLEEEPTKSDSDAKSSDPIDLSWFSGIIRQDANEKSRNCWTVPDNKVFKVRSKNFPHDKSKVPAGKYLMELVAIDWFKDTKRMDHVARRKGCAAQVAAEKGMFTFLVNIQIPGSSHYSLVLYFVSSSLKKGSLLQRFADGDDDFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCSYLRGPEYLEVDVDIGSSAVANGVLGLVFGVVTTLVVDMAFLIQANTYDELPEQLLGAARLSHIEPSAAVNPELDNIS >KQL09982 pep chromosome:Setaria_italica_v2.0:IV:7902840:7905363:-1 gene:SETIT_006608mg transcript:KQL09982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLQNHLLRFLRVASPLPSPIHPRARLLLSTSTAPAPFSLEDYLVAACRLTPAQARKASKQAFCKASKLAGKPFEEFSCSRLNSASNPDAVLALLSGFGLSRADIAAIVAADPLILRCRVEKIGPRILALRDHAGLSTPQVARFLLVGSRGLRSVNVATHVEFLISFYGSFERLLVVVKRNINLLSSSLERVIEPNIALLHQCGLSVRDIAQLCSNVPRLLSFNQERVKEFLLRAEELGVPRTSRMFKYAVAVVVSNSREKVAARLDFLKRTLDCSKVSIAVSRLPLILGFSPEILLRKIEFLINEVRLEPRYIVERPYLFALSLEKRMIPRHTVMKVLQEKGLLNSNKDFYSLCKIPEKTFKLKFIDCHKDSVPGLAEIYAAASVQCFQVVA >KQL11258 pep chromosome:Setaria_italica_v2.0:IV:32049604:32056924:1 gene:SETIT_005951mg transcript:KQL11258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSSSAARARRKVPSPPKHRHDGTSPLPLGMDWSPPPKRWEGRNTVWPHNPQTGWSYCVMIPSWITQTPEAGVTADSFLKSVVFYRIHVGIQSPEGFSSSHGILRRFSDFLKLSSDLKSAFPRKDVPLAPPKHAFLRINSSRLLLEERRHALEEWMQKLLSDIDLSRSAPVAAFLELEAAARSYFQDRNGRPSEAGSSAKSSTDSSPHPDGPASGSLAESNQINEVLTRGSSLTGATGNGVLGEAILDQSDDHVSSVSNHRKGSLNFLEHDGRNGLASSYRGVVSEEAHDSNPGHARKDSAESIGSDLSSLRGSELSVPGANSSLWDGPVDLPSAMDAHISQTEHLTGLDMQLLYDVDAQVILPNDQKQKLSRLLITMQRRIGTAKTDMEDLIARLNQEAAVKEYLTTKVKDLEVELEATKQKGRETLQQAILAERERITQMQWDMDELRRKYSEMESNLKNEKTRVESEKTSSSGENETLLEELEMKQKEVESLKQHLGEVEAKSKADIKVLVKEVKSLRNSQKEMKKVLHQYIEEKTDLERVVNREKQRSTRLRLSREKILHECRLLRERLQECSAKFLAEEQDNFTVDPSSLPDALDLLATSDNRIRLLVAEAQLLAREDEQGSSDDGDNSDSISSLTMGSEDASVTDEDTTKMLSDLLIDNAQLRMRLNAVIRNAVNTAVKPEREGSGEVLPKRTVLNWLLDR >KQL11259 pep chromosome:Setaria_italica_v2.0:IV:32049604:32056924:1 gene:SETIT_005951mg transcript:KQL11259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSSSAARARRKVPSPPKHRHDGTSPLPLGMDWSPPPKRWEGRNTVWPHNPQTGWSYCVMIPSWITQTPEAGVTADSFLKSVVFYRIHVGIQSPEGFSSSHGILRRFSDFLKLSSDLKSAFPRKDVPLAPPKHAFLRINSSRLLLEERRHALEEWMQKLLSDIDLSRSAPVAAFLELEAAARSYFQDRNGRPSEAGSSAKSSTDSSPHPDGPASGSLAESNQINEVLTRGSSLTGATGNGVLGEAILDQSDDHVSSVSNHRKGSLNFLEHDGRNGLASSYRGVVSEEAHDSNPGHARKDSAESIGSDLSSLRGSELSVPGANSSLWDGPVDLPSAMDAHISQTEHLTGLDMQLLYDVDAQVILPNDQKQKLSRLLITMQRRIGTAKTDMEDLIARLNQEAAVKEYLTTKVKDLEVELEATKQKGRETLQQAILAERERITQMQWDMDELRRKYSEMESNLKVEQNEKTRVESEKTSSSGENETLLEELEMKQKEVESLKQHLGEVEAKSKADIKVLVKEVKSLRNSQKEMKKVLHQYIEEKTDLERVVNREKQRSTRLRLSREKILHECRLLRERLQECSAKFLAEEQDNFTVDPSSLPDALDLLATSDNRIRLLVAEAQLLAREDEQGSSDDGDNSDSISSLTMGSEDASVTDEDTTKMLSDLLIDNAQLRMRLNAVIRNAVNTAVKPEREGSGEVLPKRTVLNWLLDR >KQL09300 pep chromosome:Setaria_italica_v2.0:IV:2432269:2433825:1 gene:SETIT_006245mg transcript:KQL09300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGTGGLVGAALAVVLVPWLWVALVHLAWRPYAVARAFARQGVRGPPYRFFVGNSGEAKATLAAAGGETLDRSSHDIIPRVLPHYRAWASRYGKVFLSWSGATPTLCVGSYDMARRVLADKAGLYVKPDPGPAILALLGMGLVFSEGEDWARHRRVVHPAFAMDKLKSMTGAMAACAGEVIRAWEARAAAGEVTVEVGRQFTELTADVISHTAFGSSYRRGKEVFAAQRELQHIAFASINGVRVPGMGYAPTKANVRRWRLERTVRDTLMAIIGERLAAAGEARGYGTDLLGLMLEANAAGAGGKRAMSMDEIVDECKTFFFAGHDTTAHLLTWAMFLLGTHPEWQQRLREEVLLECGGAGTALHGDALGKLKLVTMVLYETLRLYGPVNMIARVGTADTDLSGVEVPMGTILTIPIAMLHRDEEVWGADAGEFNPLRFRDGVGRAAALPGALLSFSSGPRSCIGQDFAMLEAKATLAMVLRRFAFEVAPEYVHAPADFLTLQPLHGLPIVLKLLDS >KQL11752 pep chromosome:Setaria_italica_v2.0:IV:36572706:36573202:-1 gene:SETIT_007457mg transcript:KQL11752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYQQVQQQQGGRASNKIRDIVRLQQLLKKWKKLATVTPSASGSGGKGGGRSSVPRGSFAVYVGEEMRRFVIPTEYLGHWAFAELLREAEEEFGFQHEGALRIPCDVEVFEGILRLVQGRKKDAAAAMCDCSCSSETEILCR >KQL10230 pep chromosome:Setaria_italica_v2.0:IV:11477975:11478583:-1 gene:SETIT_007774mg transcript:KQL10230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPQQLPFPYIDIISWTSVSIQLYILACTIHIPTHLQKYVIETQQTMSKHANYWISHTKS >KQL09878 pep chromosome:Setaria_italica_v2.0:IV:6963446:6966604:-1 gene:SETIT_007409mg transcript:KQL09878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTREVYEEKLRSGAHLHRDPTINPGLGSPRCPRCLSLLNPTAGEGDWAITSVLHDATAVAGSGAGALLSAVHGFNTGIPFVQKHVKGPKWLQLLVGVPPLLLFSGASAVFGAYALPRFAQLTVTSYYAASSGSHYAVSQITRQIESAHFSESDEKSR >KQL09141 pep chromosome:Setaria_italica_v2.0:IV:1480452:1483815:1 gene:SETIT_006103mg transcript:KQL09141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALATSQLVTTRAGFGLGDASSSMFRPGVQGLRGSRASSPAATLSVRTSARAAPRQQHRRAQRGARFPSLVVCATGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVSEIKVGDRYERVRFFHCYKRGVDRVFIDHPSFLERVWGKTGEKIYGPDAGVDYKDNQLRFSLLCQAALEAPRILSLNNNPYFSGPYGEDVVFVCNDWHTGPLSSYLKSNYQSNGIYRNAKTAFCIHNISYQGRFAFSDYPELNLPERFRSSFDFIDGYEKPVEGRKINWMKAGIIEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYIATKYDVSTAIAAKALNKEALQAAAGLPVDRKIPLVAFVGRLEEQKGPDVMAAAIPQLMEEDVQIVLLGTGKKKFERMLMSAEEKYPDKVRAVVKFNAALAHHIMAGADLLAVTSRFEPCGLIQLQGMRYGTPCVCASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPADVQKVASTLKRAIKVVGTPAYEEMVRNCMIQDLSWKGPAKNWENVLLSLGVAGSQPGIEGEEIAPLAKENVAAP >KQL09142 pep chromosome:Setaria_italica_v2.0:IV:1480452:1483815:1 gene:SETIT_006103mg transcript:KQL09142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALATSQLVTTRAGFGLGDASSSMFRPGVQGLRGSRASSPAATLSVRTSARAAPRQQHRRAQRGARFPSLVVCATGAGMNVVFVGAEMAPWSKTGGLGDVLGGLPPAMAANGHRVMVISPRYDQYKDAWDTSVVSEIKVGDRYERVRFFHCYKRGVDRVFIDHPSFLERVWGKTGEKIYGPDAGVDYKDNQLRFSLLCQAALEAPRILSLNNNPYFSGPYGEDVVFVCNDWHTGPLSSYLKSNYQSNGIYRNAKTAFCIHNISYQGRFAFSDYPELNLPERFRSSFDFIDGYEKPVEGRKINWMKAGIIEADRVLTVSPYYAEELISGIARGCELDNIMRLTGITGIVNGMDVSEWDPSKDKYIATKYDVSTEALQAAAGLPVDRKIPLVAFVGRLEEQKGPDVMAAAIPQLMEEDVQIVLLGTGKKKFERMLMSAEEKYPDKVRAVVKFNAALAHHIMAGADLLAVTSRFEPCGLIQLQGMRYGTPCVCASTGGLVDTVIEGKTGFHMGRLSVDCKVVEPADVQKVASTLKRAIKVVGTPAYEEMVRNCMIQDLSWKGPAKNWENVLLSLGVAGSQPGIEGEEIAPLAKENVAAP >KQL08877 pep chromosome:Setaria_italica_v2.0:IV:99386:101733:-1 gene:SETIT_008329mg transcript:KQL08877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLGTCSLPGAAIYGTSTRRFGGSQFQQPKVNRISFEQKVSAKTTLRSMRCKATQTQSVQKKSSSATVQRDKKGKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFSCVLLLDYLKEFEKYLLTRKHRGGDDASNGLLQP >KQL10718 pep chromosome:Setaria_italica_v2.0:IV:24012939:24013690:-1 gene:SETIT_007836mg transcript:KQL10718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNRANWDEAMTKTLLDLCIAKKNQFNWSNICLTKLGWKHVYRSFNQQTGMNLGSKQLQNKINALRRAFLSWKDLQSQSGLGRDKQTGGVATDAIFWDDDEAETSAGAAQPSFQPSFVKPPPFLDELYTLYGRDTQDRGTLLTAGGIREATPSVGTKANAQDLYQDPMAASSAHNLSKKEKKMVYVDSPPKKKSGILEDYVRDLSETVATRSQKHGDRE >KQL09064 pep chromosome:Setaria_italica_v2.0:IV:1012230:1019029:-1 gene:SETIT_005892mg transcript:KQL09064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAHPWTSMSGGACSNLGLVAREMNGSVPISTMNSSGPSIGVSSLVTDANSSLSGGAQLQPSTSMNGDSFMRVPASPMSFSSNNISGSSVIDGSIMQQSPPQDQVQKRRSSSVTSQPVIDAGGALHAQKKSRTDVSQGDIVQQQLIQQLVHGQNPLHFQGQQNPQLQALIQQHKLAQLQQRQQQHLLQPFSQMQQPQVGIPRQPQLRPPLAQPGMQLGGPVRTPIENGICSRRILQYLFHKRHRPENNSITYWRKLVEEYFAPRARERWCVSSYENRGSSSAAAPQRALDTWRCDICNTHGGKGYDATYEVLPRLCQIRFDHGVIDEYLYFDSPNEFRLPNGQMVLEHAKVVQKSVYEHLHVIHEGHLRIIFTPELKIMSWEFCSRRHEEYTTRKTIAPQVNNLLQVAQKYQTAVNESGPAGISNNDAQTICNMFATASRQLAKNLEHHTLNEHGLSKRYVRCLQISEVVNHMKDLIEFSHKNNLGPKESLNSYSKTIAKFQNMHDSRQLMAAASLANNQSNTKVMGVQQEASASVNNQTPGVGAIGISTLQNATALNSYQNILRSSSANQILLQQEASSIFRGSAAMQNGIQLEAARSFHGPGQAQLAQFQHPASFQQPMLQQNNLQGFGASPQYQQHVLNQLLQEVKKNNNRSIAQQPPPDAPNASSGRASGPATPNVAASGEQAQRISNNNSNNNSAVKSAAPAGTGPSNVINNNTASIVPSRNNSFKSVSSNPAVVATGGNAANSKVDDSFHELEDLDHLIANELVESGLFGAGQGSNALPW >KQL12151 pep chromosome:Setaria_italica_v2.0:IV:39135613:39136070:-1 gene:SETIT_008930mg transcript:KQL12151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRGSIGSTAYMMIEAASRGHGGTICDRWRTDGPKGEQHTTFLLGFAFFSCR >KQL09635 pep chromosome:Setaria_italica_v2.0:IV:4737546:4738813:-1 gene:SETIT_007267mg transcript:KQL09635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRKRELGSHLSWHSEECCRCIECNDVMYTKRLYDMLSLYCTINCWLEERSGGGAAEVQVAKALLAMEFDSSTHAVCLTCSMAFSSSEASDHAEHDMLSIVMESGRLPRLQIPSAHYLAHVWRNIKGWDPDGMGDILIKDNSSPRCQTCQMRLVDGGSKTCSFECCLPQPSLLPRPLQRQQLAG >KQL09634 pep chromosome:Setaria_italica_v2.0:IV:4737546:4738706:-1 gene:SETIT_007267mg transcript:KQL09634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTILSIRHRKRGFNFFYMLICDAHLQSDMCYRPPIGRPFLLHTPEECCRCIECNDVMYTKRLYDMLSLYCTINCWLEERSGGGAAEVQVAKALLAMEFDSSTHAVCLTCSMAFSSSEASDHAEHDMLSIVMESGRLPRLQIPSAHYLAHVWRNIKGWDPDGMGDILIKDNSSPRCQTCQMRLVDGGSKTCSFECCLPQPSLLPRPLQRQQLAG >KQL08907 pep chromosome:Setaria_italica_v2.0:IV:214139:221164:1 gene:SETIT_005879mg transcript:KQL08907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVEKPWEGVQPPQAMGEEEDSAAASGGLQTREMKKKKMAASGDGDNGGEVVMDDLLKAVAAAAAATTEEEDKSVFFDPSKGLWKCRHCDWTHRLSGPCTDYILNHQGYCQITRNIESLVQSEPFYYSPNKEGAEEDEVTEVVEVGGQKENSKETSIAEGKETEKEENVNDQETNHSSSNGKLETKENGSHSNAEETQPLKVIATISERKNILTNWNGALDISSGSTSRTEVHEIEVEKDENATKGKVHIEEYDLEKILDEQETHDLYCPNCKSCITRRVILKKRKRTARQAKRDEPPKKPQLEEPSANVPNQTPTESHDQESPKVFRCLSCFAFFIPTGCGFNIFRIFERSNANQQVQVQHSASQETSEHCGSWLLSCFQTVDSPKQSTDADSLKEPLLSGSQSNNDKAPVEDSASSSHNQATVGEAEQLNQPLLAGSSSKVQTTTGNNGEESKQPFSECHGSASSSVAVHTSSSSSSQSQTGILTQTEGHVVIVQQDEAQQEQISPSKPAGDIQGNTTGASGDNLFINHINQPLFNPELKLPTKILPGADNQTGGKPTPVIPQSGQSPHSVVTVPEATESEPPVCPAPSVQRDEWDILKAIVYGGLVESIMSLSVVSAAAASGAKTLDIFILGMANLIGGLPLIYHNIADLRNTGDVAERSEQVGHYWLELGRRSNYWLHMVIAILSYILFGLLPPVIYGLSFRTSDNRENKMMVVAAASLLCIALLAIGKAHVKSRTYITTLLYYVSIGFSCSGLSYIAGVLITRLLAHFGLIDHGGASAPAPPSLLFPQAMGADAAAWASY >KQL08906 pep chromosome:Setaria_italica_v2.0:IV:214139:221164:1 gene:SETIT_005879mg transcript:KQL08906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVEKPWEGVQPPQAMGEEEDSAAASGGLQTREMKKKKMAASGDGDNGGEVVMDDLLKAVAAAAAATTEEEDKSVFFDPSKEGAEEDEVTEVVEVGGQKENSKETSIAEGKETEKEENVNDQETNHSSSNGKLETKENGSHSNAEETQPLKVIATISERKNILTNWNGALDISSGSTSRTEVHEIEVEKDENATKGKVHIEEYDLEKILDEQETHDLYCPNCKSCITRRVILKKRKRTARQAKRDEPPKKPQLEEPSANVPNQTPTESHDQESPKVFRCLSCFAFFIPTGCGFNIFRIFERSNANQQVQVQHSASQETSEHCGSWLLSCFQTVDSPKQSTDADSLKEPLLSGSQSNNDKAPVEDSASSSHNQATVGEAEQLNQPLLAGSSSKVQTTTGNNGEESKQPFSECHGSASSSVAVHTSSSSSSQSQTGILTQTEGHVVIVQQDEAQQEQISPSKPAGDIQGNTTGASGDNLFINHINQPLFNPELKLPTKILPGADNQTGGKPTPVIPQSGQSPHSVVTVPEATESEPPVCPAPSVQRDEWDILKAIVYGGLVESIMSLSVVSAAAASGAKTLDIFILGMANLIGGLPLIYHNIADLRNTGDVAERSEQVGHYWLELGRRSNYWLHMVIAILSYILFGLLPPVIYGLSFRTSDNRENKMMVVAAASLLCIALLAIGKAHVKSRTYITTLLYYVSIGFSCSGLSYIAGVLITRLLAHFGLIDHGGASAPAPPSLLFPQAMGADAAAWASY >KQL11136 pep chromosome:Setaria_italica_v2.0:IV:31060745:31061067:-1 gene:SETIT_009067mg transcript:KQL11136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLRPRGRDSLHPLPLRPPAQILYYSRHMAAAPPPARCH >KQL10832 pep chromosome:Setaria_italica_v2.0:IV:26595270:26596841:1 gene:SETIT_009005mg transcript:KQL10832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKPANILLDNNMVPKIADFGLSRLFSEEKTWTCTTSRDGTLGYMAPEYINRGLITTKSDIFSFGVIIIEIVTGHRDYPDETEISSQEFIELIINNWRNSLEKSQCYTSFENDCQKIRRCIQIGLLCVKLDRSKRPTTSQIIKMLHEPEGAASAKRKET >KQL11918 pep chromosome:Setaria_italica_v2.0:IV:37608665:37613622:-1 gene:SETIT_006126mg transcript:KQL11918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFFTSRAAARFLDGIGRPGVSTAALLLTAASGGGLVAYADSAAESAPEPSHDAPKKKVLVLGTGWAGTSFLKNLDCSQYEVKVISPRNYFAFTPLLPSVTCGTVEPRSIIEPIRRMFEKKSKDVTFYEAECFKIDASKKTVHCRSAVGTNLDGNGDFMLDYDYLVVALGATVNTFNTPGVLEHCHFLKEVEDAQKIRRSVIDCFEKASLPNISEEEKRKTLHFVVIGGGPTGVEFAAELHDFLVEDLVKLYPAIQEFVKITIIQSGEHILNMFDKRIAAFAELKFQRDGIEVATGFRVVKVSDDLITMKSKSVGEEVSVPYGMAVWSAGIGTRPVIMDFMQQIGQTNRRALATNEWLRVRECEGVYAIGDCATVGQRKIMDDISMVFKMADKDNSGTLTLKEINDVLEDICIRYPQVELYMKSMHMLDIADLIKDAIGDSHKESMVVDIEEFKKALSHVDSQVKSAPATAQVASQQGNYLAECFNKMEKCKEQPEGPLRMTGGSGRHFFRPFRYKHLGQFAPLGGEQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRVLVVSDWTRRFIFGRDSSRI >KQL10282 pep chromosome:Setaria_italica_v2.0:IV:12476901:12478363:1 gene:SETIT_007435mg transcript:KQL10282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPNGNCGPWPDPTPQKCVTFSNTRMPQICFREESSADRICSRSRCSPSPAVMASPNSGIPIKAEQDSDGSAQSTADMTAFVQNLLMQMVSSYVGEHHFKKYPLKSVPF >KQL10285 pep chromosome:Setaria_italica_v2.0:IV:12476901:12479135:1 gene:SETIT_007435mg transcript:KQL10285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPNGNCGPWPDPTPQKCVTFSNTRMPQICFREESSADRICSRSRCSPSPAVMASPNSGIPIKAEQDSDGSAQSTADMTAFVQNLLMQMQTRFQAMSENIISKIDEMGMRIDELEQSINDLKAEMGSDSMTTPSKTKDEGSKPAGSSA >KQL10283 pep chromosome:Setaria_italica_v2.0:IV:12476901:12478068:1 gene:SETIT_007435mg transcript:KQL10283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPNGNCGPWPDPTPQKCVTFSNTRMPQICFREESSADRICSRSRCSPSPAVMASPNSGIPIKAEQDSDGSAQSTADMTAFVQNLLMQMQTRFQAMSENIISKNIL >KQL10284 pep chromosome:Setaria_italica_v2.0:IV:12476901:12479135:1 gene:SETIT_007435mg transcript:KQL10284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPNGNCGPWPDPTPQKCVTFSNTRMPQICFREESSADRICSRSRCSPSPAVMASPNSGIPIKAEQDSDGSAQSTADMTAFVQNLLMQMQTRCSLDEMGMRIDELEQSINDLKAEMGSDSMTTPSKTKDEGSKPAGSSA >KQL10972 pep chromosome:Setaria_italica_v2.0:IV:28967706:28969024:1 gene:SETIT_0067611mg transcript:KQL10972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCKPTAAERHDPIGRSASPSPCSSYQPSPRASYNPSPASSSFPSSGSSSHITLGGNNFIGGVEGSSLIPWLKNLSSSSSIASSSKFPQLHHLYFNGGSISAPVTPPSSSPTRTPRIKTDWENPSVQPPWAGCGSNYASLPNSQPPSPGHQVAPDPTWLSGFRISSAGPSSPTYSLVAPNPFGIFKETVASTSRMCTPGQSGTCSPVMGGVPIHHDVQMVDGTPDDFAFGSSSNGNNQSPGLVKAWEGERIHEECASDEHELELTLGSSKTRADPS >KQL11125 pep chromosome:Setaria_italica_v2.0:IV:30966821:30967283:-1 gene:SETIT_008916mg transcript:KQL11125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGDGSHTKHLELPEKDGPHAPQAAARRCQQPRLQRFIANTQTVQQFTRTHSYSKPATSTNSHKDRPSLPSPATLRRLRHDRIHAVLFVS >KQL09818 pep chromosome:Setaria_italica_v2.0:IV:6285692:6290271:1 gene:SETIT_006568mg transcript:KQL09818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGCGGRFYWAPESAPPGQARGVAVVFAWVWSDEAQLRPFVELYASLGWRCLVCHPDLVALYLSEKATSLATGIISELVKELKVKPLPTVLASFSGGSKGCMYKVIQLLDGRCEGDATMKDYRLVRNCICGQIYDSSPVEFTSDVGTQFLQKSAVGNSFQSSVLRSWMAKALASGMDTLFPSRIEAQRAEYWHTLYSSAGLGPVLIFCSEDDNLAPSHVICGFARRLIELGTDVKLMKWSDSQHVGHYNSHEAEYRTAVNDMLKKALITFCHRSQLYDSNMAGDREYKIAHSVCSLHNAAANSNESLRRVANSPSDHFFLPSSKDHDESREPGSLIEDQRRHLSHPPSMEPKGVLGQILFDVCVPKNVEGWDIKPTVSSNGRPTFASARQLGPFNPIKYFRRSRL >KQL11196 pep chromosome:Setaria_italica_v2.0:IV:31595420:31597222:1 gene:SETIT_006798mg transcript:KQL11196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASTSEVRVGSRRIVDYLNDGEELGVEGVVGTPPCTPAAAVVVGEAARSSVLPRFRWPRLVRLGKKGAGAGKGKGKEEEEVVVVEKGDDLPVVAAVSSSAESAVATDTKHSDLGVGLSLVFLLAKTSDEFNKMVKVRTEMEALLKEIKDEVRIKSSAEGHGDLPKDRNRESTTSSCVTDGNDHGASARMEYQDATSGVEPESYEKSFQDDGGCSARMDVLEEELHAELEQLKVNYGSETPSFLPEEEEHNSEPYDEMADCLNGYDDDSGEVVEEDDDDDACYNGVSAVELERRLHELLHERNRDRIEELEAALRCAEKKLVEKEMEVSLWKDTAKFALRQDNELQ >KQL09102 pep chromosome:Setaria_italica_v2.0:IV:1221703:1223897:1 gene:SETIT_006772mg transcript:KQL09102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSLRYLAGTAGPSGFGSRATAEEATAGAGDLRHVTAIITGATSGIGAETARVLAKRGARLVLPARSLKAAEEARARLRAECPDADVVVLPLDLSSLASVRRFVASFLDLGLPLNLLVNNAGKYADRFALSEDGVEMTFATNYLGHFLLTRLLLEKMAETARASGFEGRIVNVSSTIHSWFAGDDAVGYLDRVTRRKIPYDPTRAYALSKLANVLHTRALAERLREMNANVTANCVHPGIVRTRLIRDRDGLVTNTVFFLASKLLKTIPQAAATTCYVAVHPAVAGVSGKYFADCNEASPSRLGASSEEAAKLWSFSENITAEKIQKMSVHVSAGGFRLQVQSSNADRGMALA >KQL10530 pep chromosome:Setaria_italica_v2.0:IV:17554914:17555300:1 gene:SETIT_007963mg transcript:KQL10530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLPLSTRTRRRNMALRNMMTSIIVIYYYMWSLLAMAYKRRCLKIEQRIKNIEQRNVRLSNLIRNSDPACISQLRMDRRTFYTLCEMLSDVGGLKATRNMSLEEIVAQFLYTLSHHLKNSTIKEFFF >KQL10954 pep chromosome:Setaria_italica_v2.0:IV:28789041:28791000:-1 gene:SETIT_006230mg transcript:KQL10954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARSSLAPAFVVFALFCCYASTTVSSQASSSSDGFLKCLSASIPSQLVFTQSSPSFTPLLKSSIRNPKFFTPSTVRPLYIVTPTNASHVQAAVVCGRRSGMRIRVRSGGHDYEGLSYRAVRPEPFAVLDLSNLRAVRVDPQAATAWVDSGATLGELYYAVGKASGVLGFPAGLCPTVGVGGHFSGGGFGMLLRKYGLAVDHVVDAVLVDARGRLLNKNTMGSDVFWAIRGGSGESFGVVLSWQVRLVPVPPKVTVFNLPVTASQGAVDVVARWQQVAPALPDDLMIRVVVQQKTANFQSLFLGTCDALLPLMSSRFPELKFNRTYCKEMTWIQSVPYIYLGSGSTVEDLLNRTTAASVFSSGYKATSDYVRKAIPRDVWTNIFTQLAQPNAGLMILDPYGGQIAAVPEAATPYPHRAGVLYNIQYMNFWPMATADGSVQTKWIRDFYAFMAPYVSSNPREAYFNYRDLDLGENVVVGNVSSYQAGMVWGQKYFKGNYQRLAMAKGEIDPDDYFRNEQSIPPLAKSK >KQL11251 pep chromosome:Setaria_italica_v2.0:IV:32007246:32014269:1 gene:SETIT_005783mg transcript:KQL11251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNDNWINSYLDAILDAGKGATGAGAGAARGRGGGGGGGGGYGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKEFEKEEANRLSKRRLETEKQRNDATADMSEDLFEGVKGEDAGDPSVAYGDSTTGNTPKISSFDKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRGYGERDEMLASTSFKNLKCERGENSGAHIVRIPFGPKDKHLAKENIWPFIQEFVDGALGHVVRMSKTIGEEIGSGCPVWPAVIHGHYASAGVAATLLSGALNVPMVFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRALVKRGANCYGRYMPRMVIIPPGVEFGHMINDFDLYGDEDSPSPASEDPSIWFEIMRFFTNPRKPMILAIARPYAEKNITTLVKAFGECHALRELANLTLIMGNREAISKMNKVSAAVLTSVLTLIDEYDLYGQVAYPKHHKNSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHSIADALYKMLSEKQFWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRHPAFGNKEEQKVPVNCRKHILVIAVDSVSKEDLVQIIRNSIEATRTGTLSGSTGFVLSTSLTIAELRSLIKCTGMHPTDFDAFICNSGSDIYYPSLPNNSHVTFALDNNYRSHIEYRWGGEGLRKYLVKWASSVVERRGRTEKQVIFEDSEHSSTYCLAFRVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSQALRSGA >KQL11252 pep chromosome:Setaria_italica_v2.0:IV:32007246:32015946:1 gene:SETIT_005783mg transcript:KQL11252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGNDNWINSYLDAILDAGKGATGAGAGAARGRGGGGGGGGGYGDRPSLLLRERGHFSPARYFVEEVITGYDETDLYKTWLRANAMRSPQEKNTRLENMTWRIWNLARKKKEFEKEEANRLSKRRLETEKQRNDATADMSEDLFEGVKGEDAGDPSVAYGDSTTGNTPKISSFDKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELAKALSSSPGVYRVDLLTRQILAPNFDRGYGERDEMLASTSFKNLKCERGENSGAHIVRIPFGPKDKHLAKENIWPFIQEFVDGALGHVVRMSKTIGEEIGSGCPVWPAVIHGHYASAGVAATLLSGALNVPMVFTGHFLGKDKLEGLLKQGRQTREQINMTYKIMRRIEAEELSLDASEIVIASTRQEIEEQWNLYDGFEVMLARKLRALVKRGANCYGRYMPRMVIIPPGVEFGHMINDFDLYGDEDSPSPASEDPSIWFEIMRFFTNPRKPMILAIARPYAEKNITTLVKAFGECHALRELANLTLIMGNREAISKMNKVSAAVLTSVLTLIDEYDLYGQVAYPKHHKNSEVPDIYRLAARTKGAFVNVAYFEQFGVTLIEAAMHGLPVIATKNGAPVEIHQVLDNGLLVDPHDQHSIADALYKMLSEKQFWSRCRENGLKNIHQFSWPEHCKNYLSRILTLGPRHPAFGNKEEQKVPVNCRKHILVIAVDSVSKEDLVQIIRNSIEATRTGTLSGSTGFVLSTSLTIAELRSLIKCTGMHPTDFDAFICNSGSDIYYPSLPNNSHVTFALDNNYRSHIEYRWGGEGLRKYLVKWASSVVERRGRTEKQVIFEDSEHSSTYCLAFRVVNPNHLPPLKELQKLMRIQSLRCHALYNHGATRLSVIPIHASRSQALRYLSIRWGIELPDAMVVVGETGDSDYEELFGGLHKTIILKGGFNTPANRIHTVRRYPLQDVVALDSSNIIGIEGFSSGDIRSAMQQLGIPTQ >KQL09575 pep chromosome:Setaria_italica_v2.0:IV:4347956:4348595:1 gene:SETIT_008856mg transcript:KQL09575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQEVVTAKQLLSRRFTGFGLDRCGCAAAHQPARPPPRRSRRRLERRCGPSPRWCPASPRPAAPAPRGCRQPTPHRAPARRSPRRAPRRAGTGACGPGPPRRRSPR >KQL09216 pep chromosome:Setaria_italica_v2.0:IV:1916175:1916786:1 gene:SETIT_007864mg transcript:KQL09216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRPQGRPCVALPAARGREDGSVIHPIQGAYVQAVPISNEDGERSIGRSAVGAGSYRDSRRKKKEQEEQWVMLILELGIWVLPFTLLLAPARRMVRLVAELQRIFLAVACRRAPPPTLGEVWSRLDRLDSAIVVP >KQL10301 pep chromosome:Setaria_italica_v2.0:IV:12610142:12610605:-1 gene:SETIT_009043mg transcript:KQL10301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLLASSTPVRQGLRQAASTPRNQVRPVQGRSPHIQSIDRDG >KQL11327 pep chromosome:Setaria_italica_v2.0:IV:32599879:32600274:-1 gene:SETIT_008739mg transcript:KQL11327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPGNHRRSKLKWHCGREMRPGRGGGGAAAELMAAGGGARPGLWRTPTPYLFLGFALMMGLIVVALLVLICTRRKHSPSRREAEKAASLHGVLVPLDREPPRVVVIMAGDDLPSFLASAKPLALAAPRDAAV >KQL09937 pep chromosome:Setaria_italica_v2.0:IV:7586755:7587254:1 gene:SETIT_007664mg transcript:KQL09937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGAEMAWPFPAAGSGDDEVSAAWAATVFLAAEMTDRPVDPVIWGDEKRMKRELVAWAKAVASMAAAGNNASSSTARRHRRRSRP >KQL10139 pep chromosome:Setaria_italica_v2.0:IV:10091459:10094758:-1 gene:SETIT_006152mg transcript:KQL10139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIARTGPTAAGLWLRPGRLAEVLLAVVVLFASAALSMASGAELPAAAAAGPASSSVRHDYEDALHKSLLYFEAQRSGRLPHGQRVAWRDHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSLLEYGADVADAGELAHALESIKWGTDYFIKAHTKPHELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRERPGSDVAGETAAAMAAASMVFRDHNPHYASLLLHHALQLFEFADTYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAEFLDYVVDNAHDFGGTGWAITEFSWDVKYAGVQILAARLLLNGEHSPRHRETLERYRAKAEHYVCACMGRNAAGGAEANVERSPGGMLYVRQWNNMQYVTSAAFLLSAYSGYLSSSSSGGESVASCAGGGTASAGEVFAAARSQVDYVLGSNPRGMSYLVGYGARFPARVHHRAASIVPYKHSKEFIGCAQGFDDWFVRKGANPNVVVGAIVGGPDRRDRFRDHRENYMQTEACTYNTAPMVGMFAMLNRLARAESAAAAAVQPQQQPASSSPAADRSVNR >KQL10138 pep chromosome:Setaria_italica_v2.0:IV:10091459:10094397:-1 gene:SETIT_006152mg transcript:KQL10138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTVTMLSWSLLEYGADVADAGELAHALESIKWGTDYFIKAHTKPHELWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRERPGSDVAGETAAAMAAASMVFRDHNPHYASLLLHHALQLFEFADTYRGKYDSSIAEVKSYYASVSGYHDELLWAALWLHRATGRAEFLDYVVDNAHDFGGTGWAITEFSWDVKYAGVQILAARLLLNGEHSPRHRETLERYRAKAEHYVCACMGRNAAGGAEANVERSPGGMLYVRQWNNMQYVTSAAFLLSAYSGYLSSSSSGGESVASCAGGGTASAGEVFAAARSQVDYVLGSNPRGMSYLVGYGARFPARVHHRAASIVPYKHSKEFIGCAQGFDDWFVRKGANPNVVVGAIVGGPDRRDRFRDHRENYMQTEACTYNTAPMVGMFAMLNRLARAESAAAAAVQPQQQPASSSPAADRSVNR >KQL11619 pep chromosome:Setaria_italica_v2.0:IV:35366302:35366724:1 gene:SETIT_007518mg transcript:KQL11619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYCCLYLVANFCPLQLAEHTAEQQKCRQTSALAGFVSSFLSSVYWSLSQLATASAHLFALHMVFSKEYISPTVFKFIPSSRLDLDCLKMHIIVHKFVPICSCRLPYKGSSQLSFLSWFTFF >KQL11620 pep chromosome:Setaria_italica_v2.0:IV:35366302:35367956:1 gene:SETIT_007518mg transcript:KQL11620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTYCCLYLVANFCPLQLAEHTAEQQKCRQTSALAGFVSSFLSSVYWSLSQLATASAHLFALHMIRTTVVHHRAVHSHLLVISTERMGSLF >KQL09436 pep chromosome:Setaria_italica_v2.0:IV:3385147:3386469:1 gene:SETIT_007880mg transcript:KQL09436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVEEDLLPSTPGKVKVERPGTMSRHLHRCFASTGTMFLWALFLVAMTATYLSVHSFVDTSSRYFTASWGGLHWERQIRSSASPRRPPGSAEGAGLSVLVTGAAGFVGTHCALALRRRGDGVVGIDNFNSYYDPSLKKARRALLGSHGVFVVEGDVNDGRLLAKLFDVVPFTHVLHLAAQAGVRYAMENPASYVHSNVAGLVSLLEACKDADPQPAVVWASSSSVYGLNDRVPFSEAHRTDRPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGRDHVDLARDFTYIDDIVRGCLASLDTAGRSTGTGGKKRGPAPYRIFNLGNTSPVTVPTLVAILERYLRVKAKKNVIEMPGNGDVPYTHANISLAREQLGYKPTTSLEMGLKKFVRWYLSYYGYNRGTHTFRNS >KQL09629 pep chromosome:Setaria_italica_v2.0:IV:4723510:4726802:1 gene:SETIT_008240mg transcript:KQL09629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLQGNFRPIDEIGEAVLLNDLSGEVPEDFPEGVYIRNGPNPLHPTQTVADSIFGSTTYMYYEGHGMLHAVYFNKSSLGEWKISYRNKEKNEVAFVPFADGQPYATLVAFVLNILRFGKAVKDSANTNIFEHGGRAFAVSENHLPYEIDINNLNTLEPYSINGAWSQPFTSHPKKIQGSGDLVIMGTNSEKPHYVLGVVSADGERLLHKVDLKFEEGKFINDIRVTTRYNIIMDYPLRFGISRTLLQKPFIENDMNGKSRIGVMPRFGDADSIIWFDVENHCSYHLFNCFEDENEVVVRGCRILVSIIPSDRYRADKSKWYGRAFLQPDKDSEDFDPSLDVILFSHPYEWRLNLESGTTNEGYITSEKVAMDFPVINDKFIGIRNKYGYAQVVDSLATSKTGLFKFKMIAKLHFDMPDKENKQFISVQYHDLKEEQESGIDEDDGWVVTYVHEEGTNISQVYIIDAKRFSEEPVAKITLPQRVPYGFHGNFFYKYCK >KQL09449 pep chromosome:Setaria_italica_v2.0:IV:3467060:3469426:1 gene:SETIT_005891mg transcript:KQL09449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRLVLPTPAGNPGGALRRSYLRLISLSSTPRHLDQLLAVSLASGHYAHDPAPATALLLRYASLRAPPAHLLRLFRAFPRPDRFLRNALLRSLPFLRPHLLFPCPDSFSFAFAATSLSSSCSSRGSDAAAAARALHALSVAAGYAADTFVASALAKLYFKLSRGVDARKVFDEVPAPDTILWNTLLAGLSGSEALEAFVRMVEAGRVRPDSTTLASVLRAAAELADMAMGRCVHGYGVKCGLAEHEHVVTGLMSLYAKCGDMVCARFLFDRMEDPDLVAYNALISGYSVNGMVESSTELFKELAASGWRPNSSTLVAVIPVYSPFGHELLARCLHGFVVKARLDADALVSTALTTLYCRLNDMESARSMFDAMPEKTMESWNAMISGYAQNGLTEMAVALFQQMQALNVQPNPITISSTLSACAQLGALSLGKWVHKIIAKENLELNVYVMTALIDMYAKCGSIAEARSIFDRMDNKNVVSWNAMISGYGLHGQGAEALKLYKTMLSAHILPTSSTFLSVLYACSHGGLVDEGRTVFHVMTNEYRITPGIEHCTCMVDLLGRAGKLKEAFELISEFPKSAIGPGVWGALLGACMVHKDSDLAKLASQKLFELDPENAGYYVLLSNLYTSKKRYSEAALVRQEAKSRKLVKTPGCTLIEIGDKPHVFMAGDRVHPQSEVIYSYLEILTAKMIEAGYQPVTEAALYDVEEEEKEHMVKVHSEKLAIAFGLLSTEPGTEIRIIKNLRVCLDCHNATKFISKVTQRLIVVRDASRFHHFRDGVCSCGDYW >KQL09439 pep chromosome:Setaria_italica_v2.0:IV:3399900:3403042:1 gene:SETIT_006204mg transcript:KQL09439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQPAPDLAEDKERETNVLIEAAPGSPAKAAEGDGPGRSLSPAAWLRMLTRELHWSFVLGVVATYGVSQGLGGGINRVASDYYWKDVQRVQPSVAQVYQGVTSIPWMVKPLWGLFTDVLPVAGYRRRPYFILAGFIGVIAMLIVSLHSKLHALFALLALMAGSASVAIADVTIDACVAENSILYPHLAADMISLNGFCSSVGGLIGFSISGFLVHAIGAQGALGLLTLPSALVILSGMLLKEVHIPNFPYGQAHKKFVEASGKMLTTLKCPEVWRPCVYMYMSLALSVDIQEGMFYWYTDRKSGLSFHEGFIGFMFAVGSVGSLVGVILYQNILKDRPFRSLLFSSQLLLSLSGMLDLILVLRLNLKMGIPDYYFAVIDEGVSKMINRVKWMPLLVLCSKLCPPGIEGTFYALLMSIDNIGGLTGSWIGGLLLHLLRITRTEFKNLWAAIMIRNVMRLLPLALLFLVPSSDPNSALLPSDLLNEDDDGEGHQMENIELTSLAVDKGSFPDKSPQERGNREGIDVEQDDDEVSLLANRG >KQL09668 pep chromosome:Setaria_italica_v2.0:IV:5073055:5073465:1 gene:SETIT_008119mg transcript:KQL09668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KQL09221 pep chromosome:Setaria_italica_v2.0:IV:1940278:1941408:-1 gene:SETIT_007555mg transcript:KQL09221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSRASLDQKLAMAKRCSREATLAGTKAAAVATIVSAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSYEEAPEHLKNTSFQGAGRPHPAFFRP >KQL09223 pep chromosome:Setaria_italica_v2.0:IV:1944109:1945104:-1 gene:SETIT_007555mg transcript:KQL09223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSRASLDQKLAMAKRCSREATLAGTKAAAVATIASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEEAPDHLKNTSYQGAGRPHPAFFRP >KQL09222 pep chromosome:Setaria_italica_v2.0:IV:1940278:1945104:-1 gene:SETIT_007555mg transcript:KQL09222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSRASLDQKLAMAKRCSREATLAGTKAAAVATIASAIPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSYEEAPEHLKNTSFQGAGRPHPAFFRP >KQL09567 pep chromosome:Setaria_italica_v2.0:IV:4299274:4302035:-1 gene:SETIT_009085mg transcript:KQL09567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFDALSARIRIVKLRGSLPDCTLCGENSVFTEQDFQKFDYENFTQSPMSDKTAPSVKLLPENARITSRDYKRLVDNGEPHLLLDVRPTHHFQIASISPSLNIPLSMLEEKLPTLETSLKEKGAVSALGKEPSLVVLCRRGNDSQRAVKLLREKGFASAKDIIGGLQAWGQDVDPDFPVY >KQL11698 pep chromosome:Setaria_italica_v2.0:IV:36182656:36183426:-1 gene:SETIT_006775mg transcript:KQL11698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAVQGFRKVSPDRWEFAHADFLAGQRHLLANIRRRRGAAGGSTASGPPSSCEKDGASGSGGVRESELERLRRDREALALELARLRRGQQEARAQLLDMECRVRGTERRQEQCTAFLARAVGNPGFLDGLLARRGHAPVEAGRKRRLLDASAAAAPDAADVLAFEELALAAGAVVEADPVPAVTAASQSPSSTATATDMIWYELLGEEQVEIDAEVEELVAAAAAAEVAEPWEEMGDEEVEELVQQIGCLGSPSP >KQL11697 pep chromosome:Setaria_italica_v2.0:IV:36181609:36183922:-1 gene:SETIT_006775mg transcript:KQL11697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAVQGFRKVSPDRWEFAHADFLAGQRHLLANIRRRRGAAGGSTASGPPSSCEKDGASGSGGVRESELERLRRDREALALELARLRRGQQEARAQLLDMECRVRGTERRQEQCTAFLARAVGNPGFLDGLLARRGHAPVEAGRKRRLLDASAAAAPDAADVLAFEELALAAGAVVEADPVPAVTAASQSPSSTATATDMIWYELLGEEQVEIDAEVEELVAAAAAAEVAEPWEEMGDEEVEELVQQIGCLGSPSP >KQL11699 pep chromosome:Setaria_italica_v2.0:IV:36181609:36183922:-1 gene:SETIT_006775mg transcript:KQL11699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPTAVTVKQEEEDEVVVVLDAGGRAGAAPEPWQPATAPAVPPFVAKTFELVEDPATDGVVSWGAARNSFVVWDPHAFAAGLLPRRFKHANFSTFLRQLNTYGFRKVSPDRWEFAHADFLAGQRHLLANIRRRRGAAGGSTASGPPSSCEKDGASGSGGVRESELERLRRDREALALELARLRRGQQEARAQLLDMECRVRGTERRQEQCTAFLARAVGNPGFLDGLLARRGHAPVEAGRKRRLLDASAAAAPDAADVLAFEELALAAGAVVEADPVPAVTAASQSPSSTATATDMIWYELLGEEQVEIDAEVEELVAAAAAAEVAEPWEEMGDEEVEELVQQIGCLGSPSP >KQL11696 pep chromosome:Setaria_italica_v2.0:IV:36181609:36183922:-1 gene:SETIT_006775mg transcript:KQL11696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAVQGFRKVSPDRWEFAHADFLAGQRHLLANIRRRRGAAGGSTASGPPSSCEKDGASGSGGVRESELERLRRDREALALELARLRRGQQEARAQLLDMECRVRGTERRQEQCTAFLARAVGNPGFLDGLLARRGHAPVEAGRKRRLLDASAAAAPDAADVLAFEELALAAGAVVEADPVPAVTAASQSPSSTATATDMIWYELLGEEQVEIDAEVEELVAAAAAAEVAEPWEEMGDEEVEELVQQIGCLGSPSP >KQL11695 pep chromosome:Setaria_italica_v2.0:IV:36182656:36183426:-1 gene:SETIT_006775mg transcript:KQL11695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAVQGFRKVSPDRWEFAHADFLAGQRHLLANIRRRRGAAGGSTASGPPSSCEKDGASGSGGVRESELERLRRDREALALELARLRRGQQEARAQLLDMECRVRGTERRQEQCTAFLARAVGNPGFLDGLLARRGHAPVEAGRKRRLLDASAAAAPDAADVLAFEELALAAGAVVEADPVPAVTAASQSPSSTATATDMIWYELLGEEQVEIDAEVEELVAAAAAAEVAEPWEEMGDEEVEELVQQIGCLGSPSP >KQL11700 pep chromosome:Setaria_italica_v2.0:IV:36181609:36183922:-1 gene:SETIT_006775mg transcript:KQL11700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAVQGFRKVSPDRWEFAHADFLAGQRHLLANIRRRRGAAGGSTASGPPSSCEKDGASGSGGVRESELERLRRDREALALELARLRRGQQEARAQLLDMECRVRGTERRQEQCTAFLARAVGNPGFLDGLLARRGHAPVEAGRKRRLLDASAAAAPDAADVLAFEELALAAGAVVEADPVPAVTAASQSPSSTATATDMIWYELLGEEQVEIDAEVEELVAAAAAAEVAEPWEEMGDEEVEELVQQIGCLGSPSP >KQL10380 pep chromosome:Setaria_italica_v2.0:IV:13818726:13829055:1 gene:SETIT_005851mg transcript:KQL10380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPREAEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDKTVTCLMDLGKQLLGRRQVLPAVPYLLHTVQVEGTFVDGTKLITVHDPISLDDGNMELALHGSFLPVPSPEKFSGGDVEDYPGEIHYSSGRIVLNLHRRALTLKVVNKADRPVQIGSHYHFIEANPYLVFDRERAYGMRLNIIAGTAVRFEPGDAKNVTLVSIGGHKVIRGGNGIANGPIDSSQINEVMQKVNANNFGHEDYPDAREGFIGDGPFDCTVDREKYASIYGPTTGDKIRLGDTNLFAEIEKDFAVYGDECIFGGGKVLRDGMGQATGYPESSCLDTVITNAVVIDYTGIYKADIGIKGGLIVAIGKGGNPDVMDGVHSNMIVGVNTEVIASEGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDQLPINMGFTGKGNTAKPEGLAEIVKAGAMGLKLHEDWGSTPAAIDNCLSVAEDFDIQVNIHTDTLNESGCVEHTIAAFKDRAIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTTNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVITRTWQTANKMKVQRGRLHGSGESDTAQDNDNFRIRRYIAKYTINPAIVNGFSDFVGSVEVGKLADLVLWKPSFFGAKPELVVKGGTIAWANMGDPNASIPTPEPVMMRPMFGAFGKAGSSNSIAFVSKVAKEAGVATDYKLEKRVEAVSGVRCLTKLDLKLNDALPKIEVDPETYTVTADGEVLTCQPAPTVPLSRNYFLF >KQL10379 pep chromosome:Setaria_italica_v2.0:IV:13818726:13826491:1 gene:SETIT_005851mg transcript:KQL10379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVPREAEKLALHNAGFLAQKRLARGLRLNYTEAVALIAAQILEFVRDGDKTVTCLMDLGKQLLGRRQVLPAVPYLLHTVQVEGTFVDGTKLITVHDPISLDDGNMELALHGSFLPVPSPEKFSGGDVEDYPGEIHYSSGRIVLNLHRRALTLKVVNKADRPVQIGSHYHFIEANPYLVFDRERAYGMRLNIIAGTAVRFEPGDAKNVTLVSIGGHKVIRGGNGIANGPIDSSQINEVMQKVNANNFGHEDYPDAREGFIGDGPFDCTVDREKYASIYGPTTGDKIRLGDTNLFAEIEKDFAVYGDECIFGGGKVLRDGMGQATGYPESSCLDTVITNAVVIDYTGIYKADIGIKGGLIVAIGKGGNPDVMDGVHSNMIVGVNTEVIASEGMIVTAGGIDCHVHFICPQLAEEAIASGITTLVGGGTGPAHGTCATTCTPAPSQMKLMLQSTDQLPINMGFTGKGNTAKPEGLAEIVKAGAMGLKLHEDWGSTPAAIDNCLSVAEDFDIQVNIHTDTLNESGCVEHTIAAFKDRAIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPFTTNTVDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVITRTWQTANKMKVQRGRLHGSGESDTAQDNDNFRIRRYIAKYTINPAIVNGFSDFVGSVEVCASLITEKKVLYICSLFTEELKLENASLCCIYLKIVLSKKKEIVYFDIPDVC >KQL11847 pep chromosome:Setaria_italica_v2.0:IV:37139032:37140537:-1 gene:SETIT_006609mg transcript:KQL11847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLYLRSGGRSFKRLLLSIGHRSPSKPPASPAHDAAAANEEPEPSPRSSRPAWRCFSYDEINRATNGFHEGNLVGRGGSSEVYRGELPGDGRAVAVKRLMGSSACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFEFSNRGSVAANLHADEASPAMGWAARRGIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDLHPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLELVTGRKPVDGSHRSLLSWARPLLADGKIDPLVDPRLGGDYDGEEAKRVAFVAALCIRAPATWRPSMTEVRSGFR >KQL11846 pep chromosome:Setaria_italica_v2.0:IV:37138693:37140537:-1 gene:SETIT_006609mg transcript:KQL11846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLYLRSGGRSFKRLLLSIGHRSPSKPPASPAHDAAAANEEPEPSPRSSRPAWRCFSYDEINRATNGFHEGNLVGRGGSSEVYRGELPGDGRAVAVKRLMGSSACERRERDFLAELGTVGHARHPNVCALLGCCVDRDLYLVFEFSNRGSVAANLHADEASPAMGWAARRGIAVGTARGLEYLHKGCQRRIIHRDIKASNVLLTDDLHPQISDFGLAKWLPSEWTHRAIAPIEGTFGCLAPEYYTHGIVDEKTDVFAFGVFLLELVTGRKPVDGSHRSLLSWARPLLADGKIDPLVDPRLGGDYDGEEAKRVAFVAALCIRAPATWRPSMTEVLELLEGGEIRQDRWAMPEVAADDEEPWWLDDLDDEEEEEDEDEEFNTPSPSSSSSTTSN >KQL12169 pep chromosome:Setaria_italica_v2.0:IV:39245290:39247902:1 gene:SETIT_008727mg transcript:KQL12169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHCLVRRHLPPPFQLPPFSHTTPGYRRRVASAVACCCSAAAWDRHQHQERPWESYDRDIQSHAGSDLPLSLGLLADMEAAGARPSAAAYARLIRALARAGRTLEAEALLLEMRRLGPRPGAAHYNALLEGLLARAHLRLADRLLLQMADDGVARNRRTYVLLLDAYARAGRLEDSWWVLGEMRRRGIRLDTAGYSMLVRLYRDNGMWKKAIDLVMEMQEVGVELDVKIYNSLIDTFGKYGQLADARRVFDKMRAEGIKPDISTWNALIRWHCRVGNMKRALRFLTAMQEEGRYPDPKIFVMIIGRLGEQGKWGEIKKLFDGMKNRGFKESGAVYAVLVDIYGQYGYFRDARECIAALKAENTQLSARIFCVLANAYAQQGLCEQTVNVLQLMEEEGFEPNLVMLNLLINAFGTAGRHLEALAVFQHIKDSGMSPDVVTYTTLMKTFMRAKKFEKVSEVYKDMERDGCTPDRKAREMLHDASVILEQRGCIY >KQL10917 pep chromosome:Setaria_italica_v2.0:IV:28026725:28030586:1 gene:SETIT_008947mg transcript:KQL10917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELESPLPQSRTGSPLVDLVPCRLRIDVLQVPHFCRAARSSWPSNWWARPRRLKRNLGASMSRASTTLRCHSSVSGQNCTQEIIKCSSLFSPNMAT >KQL10918 pep chromosome:Setaria_italica_v2.0:IV:28026725:28030586:1 gene:SETIT_008947mg transcript:KQL10918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELESPLPQSRTGSPLVDLVPCRLRIDVLQVPHFCRAARSSWPSNWWARPRRLKRNLGASMSRASTTLRCHSSVSGQNCTQEIIKCSSLFSPNMAT >KQL09869 pep chromosome:Setaria_italica_v2.0:IV:6835639:6836627:-1 gene:SETIT_009035mg transcript:KQL09869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASGARKDKGARRCVPRRGQVLKRVFASLFSWLPARRRRHRSPRGRRGNRVPAGGGHVEPEPDVAAARANSSHAHHDTTTNYNPPADPTYY >KQL10856 pep chromosome:Setaria_italica_v2.0:IV:27104341:27113560:-1 gene:SETIT_006380mg transcript:KQL10856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWNTTAKARNKRKQSPKEMATRPLASALALSKTPRAPSSGSHLSPPPLARRLQTLTRALASSSPQAMASAPAPKKVLVPVANGTEPMEAVITIDVLRRAGADVTVASVEPGSATVAAAWGVKLAADALLTDIADAEFDLISLPGGMPGSSTFRDCKLLENMVKKQVEKGKLYAAICAAPAMALGTWGLLNGLKATCYPSFMDKLPSEVHAVESRVQIDGKCVTSRGPGTAMEYSVVLVEQLYGKEKAKEVAGPMVMRPQHGVEFSMKELNSISWNVGETPNILVPIANGTEEMEATMIIDILRRAKANVVVASLEDKLEVAASRKVKMIADVLLDDALKQQYYLILLPGGLGGAEAYAKSDKLMGLIKKQAEANKLYGAICASPAVALEPHGLLKGKKATSYPAMWNKLADQSECKNRVVVDGNLITSQGPGTSMEFSLAIVEKLFGRERALELAKTLVFI >KQL10390 pep chromosome:Setaria_italica_v2.0:IV:14008588:14017433:1 gene:SETIT_005703mg transcript:KQL10390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSGNPNPTPSAPFELSKLFRPPPNPNHPTTAPSPTGVFPGAPGPAAGPPLTGPYSYPPATPPFHRGPYLQYPNDPHGFHHPAAAAFANANPTANPIPNPGPGPNPGARLMQLLGNTTPTHLESAASMPPSSEFSTAPAVALPASSSAPPARMLSSKMPRGRLLGPGDRAVHDVDSRLPGEAEPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQVTDMAFFAEDVHRLASASVDGRVYVWKIDEGPDEENKSQITGKIEIAIQIVGDAETYHPRICWHSHKQEILYVAIGNCILRIDTTKVGRGRDFHTEEPLRCPLDKLIDGVNIVGKHGGDITDLSISQWMTTRLASASKDGTVKVWDDRRVAPLSVLKPHDGQAVYSVSFLTAPERPNHINLVTAGPLNREVKIWASTNEDGWLSPSDPETWKCTQTLELVSSLENRSEEAFFNQVAVLPQASLILLANAKKNAIYAVHLEYGQDPASTRLDYIADFTVAMPILSLTGTHENQPDGEQVVQVYCVQTMAIQQYGLELSLCLPPPADNIGSGRDPAISHLNERLPEMAALDSTATTPVDSSTAVSTKPSSDSQGTAPKSKMNQAGSPVVLSRDPSGSDRDVDQSSFGRKDSIGKEEPRGGHSDGGLTSAETVASGSSQNVEAEAKHVDERKSNQTVGFEAGKENQILPEKKGRPIKPSEQTVDTLSERTIVTAKYSVEDSQPMADRSVPTLLKQSSGAEDEDAVKRATGASDGTGTDGPCTSRDLPLTSAAKEGKVMHPQPQVAGQLSPSATTFNSTDSSHEPRSNENPPIDSSLQAAAIQGTLQQLIATYGNLQKQLSSIVSAPIAKEGKRIEASLSRNMEKSIKANIDAMWARFQEENVRHEKYERERMQQMATLIATSVNKDIPVMLEKSLKKEISSLGPAVARTTAPIIEKSLSSAVSDSLQKVLGDKVANQLDKSISTKLEASVARQIQTQFQTSTKQILQDAFRSSFETSVIPAFEQSCKTIFEQVDGSFQKGMSEHGAAIQQQVLTAHTPLAQTLKEAITSASSMNQGLNSELLDGQRKLLSLFASGSPTSQKTGALQPSNGPVANLPEVDAPLDPMKELGRLIAERKIDEAFTMALQRSDVSIVSWLCSQVDLQALCGAVPIPLNQGVLLALFQQLACDIANDTSRKLQWMTNVAVAIQPTDPIIAMHVRPIFDQVYGVLAHQRSLPTTNASDATNIRLIMHVITSVLISHK >KQL10391 pep chromosome:Setaria_italica_v2.0:IV:14008588:14017433:1 gene:SETIT_005703mg transcript:KQL10391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSGNPNPTPSAPFELSKLFRPPPNPNHPTTAPSPTGVFPGAPGPAAGPPLTGPYSYPPATPPFHRGPYLQYPNDPHGFHHPAAAAFANANPTANPIPNPGPGPNPGARLMQLLGNTTPTHLESAASMPPSSEFSTAPAVALPASSSAPPARMLSSKMPRGRLLGPGDRAVHDVDSRLPGEAEPPQLEVTPITKYTSDPGLVLGRQIAVNRTYIVYGLKLGNIRVLNINTALRSLLRGHTQVTDMAFFAEDVHRLASASVDGRVYVWKIDEGPDEENKSQITGKIEIAIQIVGDAETYHPRICWHSHKQEILYVAIGNCILRIDTTKVGRGRDFHTEEPLRCPLDKLIDGVNIVGKHGGDITDLSISQWMTTRLASASKDGTVKVWDDRRVAPLSVLKPHDGQAVYSVSFLTAPERPNHINLVTAGPLNREVKIWASTNEDGWLSPSDPETWKCTQTLELVSSLENRSEEAFFNQVAVLPQASLILLANAKKNAIYAVHLEYGQDPASTRLDYIADFTVAMPILSLTGTHENQPDGEQVVQVYCVQTMAIQQYGLELSLCLPPPADNIGSGRDPAISHLNERLPEMAALDSTATTPVDSSTAVSTKPSSDSQGTAPKSKMNQAGSPVVLSRDPSGSDRDVDQSSFGRKDSIGKEEPRGGHSDGMVISDPRPVLQVGGHATHLITPSEIISGGLTSAETVASGSSQNVEAEAKHVDERKSNQTVGFEAGKENQILPEKKGRPIKPSEQTVDTLSERTIVTAKYSVEDSQPMADRSVPTLLKQSSGAEDEDAVKRATGASDGTGTDGPCTSRDLPLTSAAKEGKVMHPQPQVAGQLSPSATTFNSTDSSHEPRSNENPPIDSSLQAAAIQGTLQQLIATYGNLQKQLSSIVSAPIAKEGKRIEASLSRNMEKSIKANIDAMWARFQEENVRHEKYERERMQQMATLIATSVNKDIPVMLEKSLKKEISSLGPAVARTTAPIIEKSLSSAVSDSLQKVLGDKVANQLDKSISTKLEASVARQIQTQFQTSTKQILQDAFRSSFETSVIPAFEQSCKTIFEQVDGSFQKGMSEHGAAIQQQVLTAHTPLAQTLKEAITSASSMNQGLNSELLDGQRKLLSLFASGSPTSQKTGALQPSNGPVANLPEVDAPLDPMKELGRLIAERKIDEAFTMALQRSDVSIVSWLCSQVDLQALCGAVPIPLNQGVLLALFQQLACDIANDTSRKLQWMTNVAVAIQPTDPIIAMHVRPIFDQVYGVLAHQRSLPTTNASDATNIRLIMHVITSVLISHK >KQL11676 pep chromosome:Setaria_italica_v2.0:IV:35931376:35933408:1 gene:SETIT_007904mg transcript:KQL11676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEATAAATSVLGASSLTSAILKALLLLVTMVCLVRTIRPQRKTKFTASLPPGPTPWPIVGNLPEMMLNKPAFRWIHHVMKDIGTDIACIKLGGVHVIPITCPAIAREVLRKQDTTFASRPLTFASETFSGGYRNAVLSPYGDQWRKMRRVLASEIVCPSRHKWLCSKRADEADNITRYVYSLVKTKSGGAVDVRHVARHYCGNVIRRLVFNRRHFGEPQPDGGPGPLEVQHVDAVFRSLGLLYAFCVSDYLPWLLGLDLDGHEKMVKEANKIVNGLHDKVIDERWRQWKSGERKEPEDFLDVLITLKAADGKALLTIEEVKAQSQDITFAAVDNPSNAVEWALAETVNNPELLEKAAAELDGVVGRGRLVQESDIPWLCYLKACIREAFRLHPVAPFNVPHVALADATVAGYHVPKGSHVILSRIGLGRNPAVWDDPLRFDPGRHIIPGDPTAEVTLCENELRFISFSTGRRGCIAASLGTAMSVMLFGRLLQCFTWSKQAAVTTIDLSESRNDTFMAKPLVLHAEPRLPAHLYLGMYN >KQL08980 pep chromosome:Setaria_italica_v2.0:IV:532737:535541:1 gene:SETIT_006840mg transcript:KQL08980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALFSLQVLLFSLLLTGSAMAAALPAMDRVRWQVDRVNRRGPSLGLVMSYVDEATALQASGYFTPWRVQPFLDLYGRRFHIGSIRGVNVIYALTGQRRLNAAVTVQTLIDVFSVSGIVHYGTAGSSNDSMSFGDVSVPKLVAYTGAWTWKKFRSPKESSAELSFGEYNIPNGGDNLLGSLKFRNEELYSVGKPMEEVFWLPVDSAWFKIAEQLKVKLERCNDTFCLPTTPQVVYGLKGSSADMFLDNAEYRKFLFREFGVSTVDEESAAVVMTTTSPGVPVIVFRGVSDLAGGEPTWSSTSLMNLASINALKVAVEFIATVGKQKPIVSVQRSNN >KQL11869 pep chromosome:Setaria_italica_v2.0:IV:37300758:37301200:-1 gene:SETIT_008926mg transcript:KQL11869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVASSHGELQVRKNMLTTKSYLEGSGNNLGKSVLPPQASCDSILNARYDRGQGDVAS >KQL10560 pep chromosome:Setaria_italica_v2.0:IV:18281861:18282654:1 gene:SETIT_008750mg transcript:KQL10560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEVVVLDGDAPHPDSVEETSNNWDAKKLYYPSREHPNSVEISSGDIRCLQPESLLSSPIMNFYI >KQL11307 pep chromosome:Setaria_italica_v2.0:IV:32518011:32520110:1 gene:SETIT_008118mg transcript:KQL11307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNLHVLCFLIFFIALNLQSVLSWRIQNSTNNIGDQCPPQPHPLGMCKSRVAAYGYPCEEYQVTTEDGYILSLKRIPHGVSNADNSTEDRTPVLLFHGLLVDGFCWVLSTPKQSLAFILADSGYDVWIANCRGTKSSRKHTSLTPEDPDFWDWTWDQLADYDLPAVLQFVYNQTGGKKVHYVGHSLGTLIILAAFSEHKLIDIVRSAVLLCPIAYLHRMKSRLIWLAARIFLAETIHMLGYHEFNPVGPVAQELLGEVCTDPEVDCYDIFSAVAGPDCCLNTSTTCIFLQHGPQSSSVKNMIHMSQLVRKAGIRKYDYGNKKENMKHYNQPEPPLYNLSSIPPHVPLFLTHGGQDFLGDVPDTRHLLRTLVRQHDSDDIEVLYMPDYAHGDFVMGYNAPQLIYKPMVEFFKRH >KQL11317 pep chromosome:Setaria_italica_v2.0:IV:32554564:32557170:-1 gene:SETIT_008442mg transcript:KQL11317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIPKSRARQLVMQPLNCISFLLGLAILSATLGPFVTIAHRELLMVTSSKSGAEIKLELSVDKTSTHEEVRSNVLTGRKLAFGDTAMKQKDAQNSGSKTSSGEIKNYSTNSSAPSNLKDSSSSRIQAGSSTKRVKLEGSTSVIALNIPNTQHIRTLHSKHSSRNSNAGSKQELKDSIVRSTLYRINEDSKEKMLEASDEVLKFLNKDYHASPHKRRPVYN >KQL10658 pep chromosome:Setaria_italica_v2.0:IV:22314329:22314828:1 gene:SETIT_009095mg transcript:KQL10658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGQPSSDGLSSTPVTKGISGTNPRSRRGWSVAGVTEKRTLR >KQL11618 pep chromosome:Setaria_italica_v2.0:IV:35351095:35354661:-1 gene:SETIT_008342mg transcript:KQL11618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHARTLSSSVLLATTLSLSFLVVALSLDTAPPSNDLQTLLCLKVHLCDSAGQLASWKNDSLQFCSWDGVRCSKRHTSRVVALDLESFELNGQIPTCIANLTFLTRIHFPNNQLTGPIPPELGQLNRLQYLNLSSNKLSGVIPPNLSQCLNLENLVLQHNSLSGDIPEGLGMLHNLSILRLAGNSLTGKVPLSLGCKSSLSVVVLTNNSLTGPIPSCLHNSSSLQVLDLINNHLDGEIPYALLNSRSLKTLGLGVNKFVGSIPALSHTDSPLEHLILTSNDLSGAIPSSLGNLSSLIRLMLAYNNFQGSIPASIGKIPNLQALDLTYNYLSGTVPTSLYNMSALTYLGIGANNLQGEIPHNIGFTLPSIKKMIFLENQFRGKIPVSLANATNLMVIDLRYNSFHGIIPSLGSLPNLVELNLGMNQLEAGDWSFLSSLANCTQLVKLSLSGNKIQGALPGSIGGLANSLKVLLLAGNNISGKIPSEIGYLTNVTLLYLDKNQFTGSLPDTLGNLSRLVGLSLSQNKLSGRIPVSIGTLSQLNELYLQENNFSGPIPEAIGYCKNLEMMNLSCNSLDGQIPKMLLTLSSLARGMDLSHNQLSGQIPLEIGGLINLGLLNISNNLLSGQIPSTLGQCVHLESLHMEGNHLEGKIPESFTELRGIIELDLSRNNLSGAIPEWFETFSSLKLLNLSFNNLEGSLPTGGIFHNKSTVYIQGNKKLCGSTPLLKLPLCDANASRGNHTSKILKVLGLSVLSLLLLSCLAVILLMKKKKVKQVAHSSCKEFKRVSYTDLVKATNGFSPSNLVGSGKSGSVYRARFEFEERTVAIKVFKLDQLGAPKSFLAECEALRSTRHRNLVKVITTCSTFDPSGNEFKALILEYMPNGSLESWLYPELNKYGFKSSLSLDLRITIAMDIASALDYLHNHCMPAVAHCDLKPSNVLLDDAMGAHLADFGLAKFLHSFSHPCHQSSTSLLGPRGSIGYIAPEYGFGSKLSTEGDVYSYGIIILEMLTGKRPTDEIFTDGLNLHKFVENAFPQKITEVLDPCIVPSSEDGDVYYNLDHGNNAKDGVESCIVHLVKLGLSCSSQVPKDRPTMQDVYAEVITIKEAFAVLHG >KQL11371 pep chromosome:Setaria_italica_v2.0:IV:32954896:32958353:1 gene:SETIT_006935mg transcript:KQL11371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVCVTGAGGFVGSWLVQRLLAAGRYTVHGTVRDPGGAKNAHLAALDGAAERLRLFRADLMDSGSVAAAVAGCDGVFHVACPVPDYALTDPEAELLAPAVAGTVNVLKACSEAKVKRVVVVSSLSTVLVNPTWPEGKAMDEACWSDVEVCRNTENWYCLSKTLAELEAFNYAKRTGLDVVTLCPSLVIGPLLQSTLNASSAVLVDFLTGDRLVKMKLRNFVDVRDVADALLLVYETQEASGRYICNSHPKHVSEVIKLLKSWYPAYRYATNFVPVSDEPSFNSKKLQALGWKCKPLEETLKDSVESYRKAGALD >KQL09446 pep chromosome:Setaria_italica_v2.0:IV:3442175:3445673:1 gene:SETIT_005755mg transcript:KQL09446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPISAAIFTFILIFLSHGLSPTLSAGGSDDRSALLSFKSGVSSDPHGALASWGSSNVCNWTGVACDMAERRVVKLTLRDQKLTGEVSPALGNLSHLNILNLSGNLFTGRVPSELGNLFHLTLLDISMNSFAGKIPPELGNLSSLNYFDLSGNSFIGGVPPELGNLSKLKQLSIGGNGLEGPIPVELTRIRNLIYLNLGENNLSGHIPEAIFCNFSNLQYIDLSSNFLAGKIPIRGDCPLPDLMFLVLWSNNLVGGIPPSISNSTKLEWLLLENNFLTGELPSDMFSNMRDLELLYLSYNYLESPENNTNLDPFFASLTNCTSLKELGVSWNEIAGMMPPLIGRLSPGLKQLHLEYNKIFGPIPANLTNLANLTTLNLSHNHLNGSIPSGIAAMQRFERLYLSNNLLSGEIPPPLGAIPRLGLVDLSHNRLTGAIPATLSNLTQLRVLVLGHNRLSGAIPPSLAQCVNLQNFDLSHNALRGKIPADLSALSGLLYLNLSGNQLEGPIPATISKMVMLQVLNLSSNRLSGTIPPQLGSCVALEYLNVSGNALDGGLPETVAALPFLQVLDVSRNALTGALPLALETAAALRRVNFSYNGFSGEVPGTGAFASFPPDAFLGDAGLCGPVAGLAPCGGGGTRHRVFRDQRVVLPVVITVVGFALAIVGAVVCRAAATAEVRRDSRRSMSTLLTDAGDEPAERDHPRVSHRELAEATRGFEPSSLIGAGRFGRVYEGTLRDGTRVAVKVLDPKGGGEVSRSFKRECQVLRRTRHRNLVRVVTACSQPDFHALVLPLMPNGSLESRLYPPDGGPGRGLGLAQVVAIAGDVAEGLAYLHHYAPVRVVHCDLKPSNVLLDDDMTAVVADFGIAQLVKDVGDDSGFCGDTGSGDPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSYGVMLLELITGKRPTDVIFQEGLTLHDWVKRHYPHDVGEVVARSWLTDAASAVADERLMADVMGELIDLGLECTQHSPSARPTMVEVCHEITLLKEDLAKHRGAAVTTARGPASMTMTASERSYSTTDSSF >KQL09656 pep chromosome:Setaria_italica_v2.0:IV:5017233:5018931:-1 gene:SETIT_008228mg transcript:KQL09656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRRRDSSSTTSLAAMEHFPDGAHVRLRSRVHGTFLHADADGVGVSPSPRRASLSAAWAAHRVERGGAAYVLLRSNAYGRYLALWAPPAPRGQGRSARSPVLRVYDSPEQDDVLWVAVRARDGGDDVLLRHGRDDTSFLGVTVDSHDSRQTHWVVEAIPARQRPPILPAPVPLSRPMVLWRTISYVRADDDGNFDPRPLARRWFIFYGRSVFQLTGVLSILLRERFFGIRLCVRAGSQGRLTPLVIDLPANEQTMDIVVLTAWKYDVLGFLGSTCV >KQL09518 pep chromosome:Setaria_italica_v2.0:IV:3989376:3992874:1 gene:SETIT_006164mg transcript:KQL09518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAAAVKSGSRPPWLGLGAAVWVQVAGGASSTFALYSHALKVALAADQRHLALLAVACDVGENLGLLPGVLCNRLHPALLLLVGAGACLLGYGAVWLLVSGAAPALPYWLIWFALCLAANGGAWLGTAVLVTNMRNFPLSRGAVAGILKGYSGLSAAVYTEIYTGVLGDSPINLLLLLTLGVPAICLLTMYFVRPCEPSLVETNAEQLHFLFAQIASILLGFYLVGATILDHVVTLNDIMNYSLLAIMVLLIFAPLAIPLKMTLCPKRKGPSDSSDNDHTESLLPSSSESNLGNFEEYDSTDIDILLAEGEGAIKPKRRRPRRGEDFRFREAILKADFWLLFAIYFVGVGSGITVLNNLAQIGIAAGAVDTTISLSVFSFCNFFGRLGGGAVSEYFVRARTLPRSVLIICTQVVMIITYLLFALGQRTTLYVSVALLGICYGVQFSVIISISSELFGLKHFGKIYNFIALANPVGAFLFNTLAGYVYDLEVEKQKAGMVDTDIACHGPNCFRLTFYVLSGAACLGTLLSTVLTVRVRPVYQMLYAGGSFSQPRTSAH >KQL11463 pep chromosome:Setaria_italica_v2.0:IV:33968259:33971445:-1 gene:SETIT_007824mg transcript:KQL11463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGSTRAATAGAFLLLVLLCALLPIAATSSGSEKASSYVVYLGGHPRRDGVSPEEASRRAAASHHDLLAAVLGDMDKAREAIFYSYTKHINGFAATLEPGDAAVIAKYPGVVSVFPNRGRKLQTTRSWQFMGLERGGDVPPWSAWETARYGEDTIIGNLDSGVWPESKSFDEGEMGPIPDDWKGICQNEHDTNFHCNSKLIGARYFNKGYAAAAGGVPLDDGLKTPRDENGHGTHTLSTAGGAAVRGAAAFGYGAGTARGGSPRARVAAYRVCFRPINGSECFDADVLAGFEAAIADGVHVISASVGGDATDYLDDAVAIGSLHAVKAGVTVVCSASNSGPDPGTVTNVAPWILTVAASSIDREFPAFAVFNKTRIQGRSLSERWLHGKGFYLIISGAEATAPGSTQKDAQACLLGSLDPEKARGKIVVCVRGTITRVEKGEAVRRAGGAAMILVNDEVSGNDLHADPHVLPAVHISYADGLTLSDYIKNTKIPCGFVIKGKTILETRPAPVMADFSSQGPNTVNPEILKPDITAPGVSVIAAWTGAAAPTDRPFDRRRVAFNVLSGTSMSCPHVSGIAGLIKTLHPEWSPAAIKSAIMTSATDLDAERKPILNSSHVAATPFSYGAGHVFPSRALDPGLVYDMTIVDYLDFLCALGYNATAMEVFNKGSFVCPNAAMSLQDLNYPSITAHGLHAGTTTMVRRRVKNVGLPGTYTAAVVKEPEGVQVSVTPAMLVFREAGEEKEFDVSFTVRDPVTVTGYTFGAMVWSDGSHQVRSPLVVKIMGDE >KQL10147 pep chromosome:Setaria_italica_v2.0:IV:10259113:10259559:1 gene:SETIT_009097mg transcript:KQL10147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTCSILIHTCKFFQCFLAIVLFLLLLLTIVPLYPC >KQL09512 pep chromosome:Setaria_italica_v2.0:IV:3957183:3960273:1 gene:SETIT_006868mg transcript:KQL09512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSWTLSSAPPACIRNSFDRVKYRAHRKLVACRRRVACLSSSLFSPEPSPPPPPPPLLPVRAPLLLPPPRGRIGRMADALDMSLDDLISKNKNKQPRPSGRGPTSGGGGPAPTAPRRRFNARAAAAPYHRGTTSPFQARRPMAYAGYGAGRLQAAPMAGVLEEPTRLYISNLDYAVSNDDIKELFSDVGDIKRYSINYDRSGRSKGTAEVVFSRRSDALAAVKRYNNVQLDGKPMKIEIIGTNIEAPPTPTFAFNPPAGNFKVPFTSGPRRGGGGGWPQGRGGFGGRGRGPASRGRGRGGGRGSEKVSAEDLDADLDKYHAAAMETS >KQL09513 pep chromosome:Setaria_italica_v2.0:IV:3957183:3960273:1 gene:SETIT_006868mg transcript:KQL09513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSWTLSSAPPACIRNSFDRVKYRAHRKLVACRRRVACLSSSLFSPEPSPPPPPPPLLPVRAPLLLPPPRGRIGRMADALDMSLDDLISKNKNKQPRPSGRGPTSGGGGPAPTAPRRRFNARAAAAPYHRGTTSPFQAQARRPMAYAGYGAGRLQAAPMAGVLEEPTRLYISNLDYAVSNDDIKELFSDVGDIKRYSINYDRSGRSKGTAEVVFSRRSDALAAVKRYNNVQLDGKPMKIEIIGTNIEAPPTPTFAFNPPAGNFKVPFTSGPRRGGGGGWPQGRGGFGGRGRGPASRGRGRGGGRGSEKVSAEDLDADLDKYHAAAMETS >KQL10104 pep chromosome:Setaria_italica_v2.0:IV:9573999:9576297:1 gene:SETIT_007387mg transcript:KQL10104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHAASVHRSRQGCLTLEPRCRVAGGATTMYCDQGPADGTVDGAQQVGQRQRCDGDQLQNVGQSLDYREAYDIAAARAVAELKVLAEYCLPLVRVGGLFIAAKGHDPHEEIKDAKSAVQKLGASMLELCNVESMGPHGHRTAVIYFKERATPKKYPRLPGTPSKMPL >KQL09147 pep chromosome:Setaria_italica_v2.0:IV:1508256:1509778:1 gene:SETIT_006804mg transcript:KQL09147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMRHLGLLLLVAALLAAPPAVSAWRPWPPRNETGGGAASGLGASKKFEGSSEFVKLQYHMGPVLATAITVHPIWYGPWPVEQKRTIRAFLRSLAPPPEEEARIPRPSVAAWWRTVRLYTDQTDANVSAAVSLGAEKSDARMSRGARLSRMDIQAVVRDAVGARTRPLPVDSGGVYLVLTSPEVVVEDFCGQVCGFHYFTFPSVVGYTLPYAWVGNSARRCPEVCAYPFAIPAYVHGRRPESPPNADVGVDGMVSVIAHELAELASNPLANAWYAGTDPSFPTEIADLCEGIYGTGGGGAYTGQLLTDARSGAAYNVNGAGGRRFLVQWVWNPVLSYCSGPNALDQ >KQL12124 pep chromosome:Setaria_italica_v2.0:IV:38846897:38848196:1 gene:SETIT_008373mg transcript:KQL12124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISLSNCVLLHANGFHGNFLLRNATTAMAFTSRGTSIEASLSCPERPLLPTILFVECSRVDFTNEPPRIVRAVEDIIVFSVLIGPRLRPDVSPCDYDYFIYRVGEVPSLQLLPPPHPTFQDEDAGLLLCGEDDFIVAALIATNKSGVYDLHRFESRTWAWSQEVVPLVAPQAAFPFKIPMNSIRLGYHYTSTVITIGGEGGTMGWVDLWRGILICDVLHRKPELRGVPLPVPMELLTCNNGRGADIGGCGKSLRGIAVINQSLSDSDDEEPDSLMSDWVITTWSNSKMSTSWDDWIKDCEAKASHTTIHSKPKSKMLNSGLLSPEGANQERALQNLWVSHPAPGIDDGVVYLLARVRFQDPKAFVIALDARKNVLLGSAEFATEKKRGDGVMYFPSNISKYIAPEARVLPITT >KQL09534 pep chromosome:Setaria_italica_v2.0:IV:4081566:4081838:-1 gene:SETIT_007761mg transcript:KQL09534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSQHHLLLLLACSSTVNNSFSSSHNRTKGSTHSNLEKVQNNCYKGAAIYKSERQSNQNKLFS >KQL09287 pep chromosome:Setaria_italica_v2.0:IV:2357195:2357548:-1 gene:SETIT_007870mg transcript:KQL09287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQQRSAALTLIASAVLACNSGLAIYNSWGDAASVAFVLVADATLLLLFLCLRELERAARGGAAGRGRSRIKGAVWALSTLLTAMFASRVAPLVGAAVWLMAVATAAGGFWALFLN >KQL11754 pep chromosome:Setaria_italica_v2.0:IV:36594363:36596141:1 gene:SETIT_008505mg transcript:KQL11754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SARHVVSSSVFLCRLVVFHLSPLLLHLSYFLAIDLLGFLALVLLKPSSPGYRPRYVDVFFMSTSAATVTGLATVKMEDLSSSQVVVLTILMLLGSEMFVSLLGLVLESRKRRRRGRDPDHGGRVRSVVTVSDESNLEAANPSASSGDHKESCLGSLALVMLVYMAMVLVLGSVLVFVYVAGVPSARDVLARKGISAALFSAVVTVSSFTNGGLLPTNESMAVFSANRGLLLLLAGQILAGNTLLPVILRPAIWATRRLERVFAGRHGSEEEGLESMTKDAVAAGFGHLLLPGLQTVFLAVTVVAVAAATATLLCCLNWDSAVFAGITAGEKVTNALFMAVNVRQAGENSIDCSLLAPAVLVLFLAMMSVTSPRVLVFGQMSTETRTGRDKSGKHFSGAFRPRRHSSLYTTAAQWGNQAAENQNARMGRAYGNVGLSTGYSCSRLLRPEESSACHDKPYSFSGWWSDQGKLVLVLLMLYGRLKGFHRKQRRS >KQL08991 pep chromosome:Setaria_italica_v2.0:IV:606991:610352:-1 gene:SETIT_007028mg transcript:KQL08991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPCLPFLHFCPQLPLPPPRPAVCRQLAIASSPGRWSPITFSVSRARVPDPPQRRAAVVSGRAVREEQQQWGRAGDEDGEDLGEALDRTRQLVECAMFAAVAGLAYFLSNSLAIENYFSCFFPLPIVISSLRWGLEAGRKTVVATVLLLFTLSGPVKASSYLLMHGVVGLIMGTVWRLETNWIVSIILCSIVRALGACGYVLVSSFLIRENILALITVNIHASLTYILAAAGVNSIPSMDAIYVLFGTLLLLNCAFFVFLLHVLYTVFLTKLGIKPSLRPPRWLDNVL >KQL10822 pep chromosome:Setaria_italica_v2.0:IV:26340076:26341301:1 gene:SETIT_008581mg transcript:KQL10822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQANRAGNFDVVPPQQQPGFIDPYDVCDMEEADEDETEELKKFVFAWLQDHGHTREFGAHVSVIAFSPISEPKAYGAPTVDSVLRTYLPEIHSSPSPVCSEMAGKAIARVDGMKWEAEETAFLTEAERACQAAAWSKILATQMSVGK >KQL11395 pep chromosome:Setaria_italica_v2.0:IV:33178902:33180211:-1 gene:SETIT_007022mg transcript:KQL11395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTKTNGEWSASEIKMVKSLIARYKANKNYTADMNKKHSDIINEVHAMFPLKERLQVISLYADLIVEMMQSTTDNSSYYFVAASRDLVNNNFEIPVEDPAIDNMRVSQAVPLRQSAPRMQRLRTGFWTMPEHRLFLRGLQVYGRGNWKNISKYFVTTRTPVQVSSHAQKYFRRIGNNAHKQRYSINDIGLYDAEPWAQNNNSDWEGFITSGAYNSNHIGAGGQHATMNNLAQVQPPILYHASQASSSSQVATLAIDKKIGAASSSVALVIEGAGSSQAACLGDQLGDFLSD >KQL11613 pep chromosome:Setaria_italica_v2.0:IV:35286283:35291435:-1 gene:SETIT_006752mg transcript:KQL11613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPCPAGRNPFSSHTHTHVSFPRRHRGAPPVAAGDSAPARSLLLTATSVPSTTSKSRDAAAAPPAAYVAAPPPPLPPRRRVSVPSTPRSSYYLSPPATVRPPLNPRAVSSRGVIMAAQGSLVAWRVVFAALGLLMVGTLVYTCATDGSPFRPELLTPWMNATLIDFYVNVIAIAAWVIYKEANWISSAVWVVLLFCFGSAATCAYIVTKLFEVTPGGPSHDPLDLLFIRQGNLSQRKCSYVIIGRIMFSILGIFMAAVVTYTVITDGLPFRKELLTPWMAATLIDFYINVFAISVWVAHKESSWISTTIWIVLLICFGSITTCGYIALQLFQVSYQDPIYHVLLNSHSKYGTATSL >KQL11611 pep chromosome:Setaria_italica_v2.0:IV:35285919:35291435:-1 gene:SETIT_006752mg transcript:KQL11611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPCPAGRNPFSSHTHTHVSFPRRHRGAPPVAAGDSAPARSLLLTATSVPSTTSKSRDAAAAPPAAYVAAPPPPLPPRRRVSVPSTPRSSYYLSPPATVRPPLNPRAVSSRGVIMAAQGSLVAWRVVFAALGLLMVGTLVYTCATDGSPFRPELLTPWMNATLIDFYVNVIAIAAWVIYKEANWISSAVWVVLLFCFGSAATCAYIVTKLFEVTPGGPSHDPLDLLFIRQGNLSQRKCSYVIIGRIMFSILGIFMAAVVTYTVITDGLPFRKELLTPWMAATLIDFYINVFAISVWVAHKESSWISTTIWIVLLICFGSITTCGYIALQLFQVSYQDPIYHVLLNSHSKYGTATSL >KQL11610 pep chromosome:Setaria_italica_v2.0:IV:35286283:35291435:-1 gene:SETIT_006752mg transcript:KQL11610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPCPAGRNPFSSHTHTHVSFPRRHRGAPPVAAGDSAPARSLLLTATSVPSTTSKSRDAAAAPPAAYVAAPPPPLPPRRRVSVPSTPRSSYYLSPPATVRPPLNPRAVSSRGVIMAAQGSLVAWRVVFAALGLLMVGTLVYTCATDGSPFRPELLTPWMNATLIDFYVNVIAIAAWVIYKEANWISSAVWVVLLFCFGSAATCAYIVTKLFEVTPGGPSHDPLDLLFIRQGNLSQRKCSYVIIGRIMFSILGIFMAAVVTYTVITDGLPFRKELLTPWMAATLIDFYINVFAISVWVAHKESSWISTTIWIVLLICFGSITTCGYIALQLFQVSYQDPIYHVLLNSHSKYGTATSL >KQL11612 pep chromosome:Setaria_italica_v2.0:IV:35285919:35291435:-1 gene:SETIT_006752mg transcript:KQL11612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPCPAGRNPFSSHTHTHVSFPRRHRGAPPVAAGDSAPARSLLLTATSVPSTTSKSRDAAAAPPAAYVAAPPPPLPPRRRVSVPSTPRSSYYLSPPATVRPPLNPRAVSSRGVIMAAQGSLVAWRVVFAALGLLMVGTLVYTCATDGSPFRPELLTPWMNATLIDFYVNVIAIAAWVIYKEANWISSAVWVVLLFCFGSAATCAYIVTKLFEVTPGGPSHDPLDLLFIRQGNLSQRKCSYVIIGRIMFSILGIFMAAVVTYTVITDGLPFRKELLTPWMAATLIDFYINVFAISVWVAHKESSWISTTIWIVLLICFGSITTCGYIALQLFQVSYQDPIYHVLLNSHSKKI >KQL10210 pep chromosome:Setaria_italica_v2.0:IV:10922987:10923319:1 gene:SETIT_008025mg transcript:KQL10210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPAEGVGGGGQQRFPVVGPPATTLAAHSAPVAPVAAPYASPGGRRGGQGSETVVCAICLEPLRGEQPCSEVPACRHTFHRDCVGAWARSSNNCPLCRVTIVPSSVRRHP >KQL10534 pep chromosome:Setaria_italica_v2.0:IV:17577450:17578799:1 gene:SETIT_006953mg transcript:KQL10534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAFHAASPAPAAVPASPLPSSKNTTTGLANLQWLLRKRPNKVQHGRPVENHQEADDDDECASMFAGATPYIAPGAGPDDATPPCKATRRGGGEALSRLRSAILAVLARARRGRGAGGRRAMGSSVTGTIFGRRRGRVHLALQTDPRAPPALLLELAAYSTGALVREMASGLVRLALECEKARPPHQQTTGDHHQRRPARQGVLLEEATWRAYCNGRKCGYAVRRECGADEWRVLRAVEPVSVGAGVLPDGESSAALAGTGAGGEGDLMYMRAKFERVVGSRDSEAFYMVNPDGGGGPELSIYLLRV >KQL10698 pep chromosome:Setaria_italica_v2.0:IV:23692082:23693390:-1 gene:SETIT_007008mg transcript:KQL10698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCMQALVSAQARVRARHLTSHPHAARRATARLDAQRRPGFLVDLVPTRQQHRGRLSFGHDRAGLAEIDHEAPAVQAHQAPQRHSSASRSLQGAWDAVQHADGLPRRHDAVAASCGQSAPTYAYGLQHQRQLDESEDRDERSGGWHWLEHCHNGVQANQHGPAETSYVTAAATDGVSENTVEMEADRKSPTMDLYPIRSPAIPGYMAATQSARAKSRMAPPAAAPRAGAKSRSGSVAPSGGSTSSTANTGWSMKHNGGGGGASTRAPQQRAAHSPESSCSGDRTPPVLGGRSRLAFA >KQL12150 pep chromosome:Setaria_italica_v2.0:IV:39132465:39133736:1 gene:SETIT_007261mg transcript:KQL12150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFGKTVPKTAENFRALCTGEKGTGKSGKPLHYKGSTFHRIIPSFMLQGGDFTLGDGRGGESIYGMKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKVEAEGRQSGQPKSKVIIADSGELPL >KQL12148 pep chromosome:Setaria_italica_v2.0:IV:39131554:39134113:1 gene:SETIT_007261mg transcript:KQL12148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSGWRRSAAARAAWRPATVCLWLALAAAALTLAQAKKDLTEVTHKVYFDIEIDGKPAGRIVMGLFGKTVPKTAENFRALCTGEKGTGKSGKPLHYKGSTFHRIIPSFMLQGGDFTLGDGRGGESIYGMKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKVEAEGRQSGQPKSKVIIADSGELPL >KQL12149 pep chromosome:Setaria_italica_v2.0:IV:39131554:39134113:1 gene:SETIT_007261mg transcript:KQL12149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSGWRRSAAARAAWRPATVCLWLALAAAALTLAQAKKDLTEVTHKVYFDIEIDGKPAGRIVMGLFGKTVPKTAENFRALCTEKGTGKSGKPLHYKGSTFHRIIPSFMLQGGDFTLGDGRGGESIYGMKFADENFKIKHTGPGLLSMANAGRDTNGSQFFITTVTTSWLDGKHVVFGKVLSGMDVVYKVEAEGRQSGQPKSKVIIADSGELPL >KQL09920 pep chromosome:Setaria_italica_v2.0:IV:7483014:7483524:-1 gene:SETIT_007780mg transcript:KQL09920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWHPAIFTVYIMALCHVPIRQKDTLRCLRSVQRSHTRQTNGLKLTSLKNGLRSAKENVSA >KQL10157 pep chromosome:Setaria_italica_v2.0:IV:10342429:10344216:-1 gene:SETIT_008679mg transcript:KQL10157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATRRLVVPAFNKTRSLRCLSSSSSSSPLDPSAPSAVALLSNLLLRERTPSSDTLSLLRAEPGLADDLYALIAVSGESRAPLTPGSLAILHSLAACHRIPPSSASLLSQLLARFSSPADAASFLRDSLAAGAPAPDVTAFNTLLAALGRAGNLRGMTELFISMRGASVQPNVVTYGILLNGLCKAGRVGEALKVLDGMSRPGSDVRPDIVILNTVVDGLCKTGRLQEAIMFVDERMRRVHECAPNTVTYNCLADAFCRVGDVGMACEVVGRMEKEGVAPNVITVNTIVGGLCRVGRVGAALDFFREKRAAWPETRGNAVTYSTLVSAFLHCNNVGMAMELFHEMADQGHPPDAIMYFTMISGLTQAGRLEDACTMMASMKKAGFKLDAKAYNILIGGFCRRKRLHEAYELLGEMKGAGLQPDVYTYNILLSCLCKAGDFLAVDELLGKMIDDGCQPSVVTFGTLVHGYCKAGKTDEALRIFRSMDESGIQPNTVIYNTVIDFLSKSRDVDRAIKLFDEMRENNVPANVTTYNALLKGLQDKNMAEKAFELMDQMREERCTPDYVTVDVLMEWLPEIGETERLKCFMQQWNQKDNH >KQL12057 pep chromosome:Setaria_italica_v2.0:IV:38498631:38502116:1 gene:SETIT_005998mg transcript:KQL12057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSMSLAAKGVLPFSALTSSGVTQRPVSVTASLDKTSDARRKFLKLALGNLGVGLPTLLGAKKALADEQGVSSSRMSYSRFLEYLDKDRVKKVDLFENGTIAIVEAISPELGNRVQRVRVQLPGLSQELLQKLREKNIDFAAHSNQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRAQGGLGGPNGPGFPLGFGQSRAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVSVDVPDVRGRTEILKVHGGNKKFDHDVSLDVIAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDPVQKVTLVPRGQARGLTWFIPMDDPTLISRQQLFARIVGGLGGRAAEEIIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSEIGPWSLMEGGAQSGDVIMRMMARNSMSEKLAEDIDSAVKQLSDEAYEIALMHIRNNREAIDKIVEVLIEKETLNGDEFRAILSELWRSLSRTGFPQLHRRRLSPPKL >KQL11823 pep chromosome:Setaria_italica_v2.0:IV:37033643:37035049:-1 gene:SETIT_006929mg transcript:KQL11823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRLGFFVAAAVVSAALMPPSAVAQLRPYYYSSICPNLEVIVRSSVQQSMAQSPISAPAALRLFFHDCAVRGCDASIMIVNSNGDDEWRNPDNQSLKPEGFQVILNAKAAVDSDPRCQYKVSCADIMALAARESISQSGGPYYEVELGRYDGRVSTKASVVLPHANFNLDQLNSYFSGLGLSQSEMIALSGGHTLGAADCPFFQYRIGTDPTMDPNFASQLNATCSSNPTNGFAFLDPSPVTFDNAFFRNLQGGKGLLGSDQVLYSDTRSRGTVNYYASNQGAFFGDFVAAITKLGRVGVKTAATGEIRRDCRFPN >KQL08943 pep chromosome:Setaria_italica_v2.0:IV:388679:389709:-1 gene:SETIT_008103mg transcript:KQL08943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPGGQEVPLGGDVTNPNVTQQPVLPQGAQTSAQGGGVGGASISARGHQEIEDFSDDDSDYDDARSTRSGRRGPQREFYQIPFNYGRLNLNTSSGSINLGKPPHFDGVSYSKWKNLMRNYLIAVNPALWDIVEVALHLIKSSLCAEEFDKIDGLQSAKEVWGTLFINHQGTRRVIEGIITLLESELNRFIIRENETPQEMYNRLNKIINKIRSLEKQWGSSQDKQQEQREGSKHIIQGNYQGG >KQL08988 pep chromosome:Setaria_italica_v2.0:IV:593120:593451:-1 gene:SETIT_007694mg transcript:KQL08988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRATEIPSSAPSFDVCPSKVVHGMPRPSGFQIASKDNLQTKSSVFGVILFSKTSSATELTLNLGGSLEMGNSSIDKGL >KQL10640 pep chromosome:Setaria_italica_v2.0:IV:21477424:21480086:-1 gene:SETIT_007105mg transcript:KQL10640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGDPGYGYGGYGYGYGYGYGAGAGGYDYDVAGYGGGGAYYTANDRYPAAPAAYGNPLSGRRQHDFPAPLTGLEFQPSDTCPKNYVIFDQTYDRSRVMFHPSLANKIGSSGGGYDYDHCCYGYDQNYAGKSAYYGCGDDGGAASIRQKEDTDEIDALMSTEDGEDEDDVLSTGRTPGCRAGGSPDSTCSSEYGASGSGRKHETGGGGGEKKKERMKKMVRTLKGIIPGGDRMDTPAVLDEAVRYLKSLKVEVKKLGARGSSS >KQL10418 pep chromosome:Setaria_italica_v2.0:IV:14348159:14348662:1 gene:SETIT_007743mg transcript:KQL10418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISQSVMVDATTGGGGITLARMTMIMALPGNAIPRCCFRLVARAHKWLGRSHITGGEHKGDDVVKL >KQL09670 pep chromosome:Setaria_italica_v2.0:IV:5094709:5103568:1 gene:SETIT_005685mg transcript:KQL09670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTSTWMVDLCGSPICSKQAAAASCAWKELFDSSTCMNHILVIGIAALIAVVVAIQLLVKIPRSRAPARQLFARSSPLQLAGVVFNGCLGLVYLGLGFWMLGRNFSQDASVYLLHWWLVALLQGFSLILISIAFSIRARFLGVTSVRIWSVLLTIYAAFVCCSSVINMVAHKAVAMKGCLDVLFVPGALLLLVYGIWHIREDGNGNGGTGSALYKPLNAEAADDADDSESHVTPFAKAGFFSVMTFWWLNPLMKMGYEKPLEEKDMPLLGASDRAYNQYLMFLEKLNKKKQLQPHGTPSVFWTIISCHRSGIVVSGLFALLKVLAISSGPVLLKAFINVSLGKGSFKYEGYVLAATMFICKCCESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWSTSVQLCIALVILYNAVGLAMIASLVVIIITVLCNAPLAKLQHKFQSKLMEAQDARLKAMTESLIHMKVLKLYAWEAHFKKVIEGLREVEYKWLSAFQLRRAYNSFLFWSSPVLVSAATFLACYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPELNGQVRKKYCAGTEFPIVINSCSFSWDDNPSKPTLKNLNLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDKQKYQETLERCSLVKDLEMLPYGDRTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIRSASYEDLLAYCQEFQNLVNAHKDTIGGSDLNKVTPNRAKEISIKETNDSHGSRYRETLKKSPADQLIKTEERDIGDTGLKPYIIYLCQSKGYLYASLCVISHLVFIAGQISQNSWMAANVQSTGISTLKLISVYIAIGVCTMFFLLSRSLAMVSLGVQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFAFMFSISASLNAYSNLGVLAVVTWQVLFISVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESVAGAITIRAFEEEDRFFQKNLELVDKNAGPYFYNFAATEWLIQRLETMSAAVLSFSAFVMALLPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCQLANQIISVERVNQYMDIPSEAAESIEENRPSPDWPQAGRVELRDLKIRYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITKIGLHDLRSRLGIIPQDPTLFHGTIRYNLDPLGQFSDQQIWEVLDKCQLLEAVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCSMVLAMSDGKVVEYERPMKLMETEGSLFRELVKEYWSYTSNGNI >KQL09671 pep chromosome:Setaria_italica_v2.0:IV:5094709:5103568:1 gene:SETIT_005685mg transcript:KQL09671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTSTWMVDLCGSPICSKQAAAASCAWKELFDSSTCMNHILVIGIAALIAVVVAIQLLVKIPRSRAPARQLFARSSPLQLAGVVFNGCLGLVYLGLGFWMLGRNFSQDASVYLLHWWLVALLQGFSLILISIAFSIRARFLGVTSVRIWSVLLTIYAAFVCCSSVINMVAHKAVAMKGCLDVLFVPGALLLLVYGIWHIREDGNGNGGTGSALYKPLNAEAADDADDSESHVTPFAKAGFFSVMTFWWLNPLMKMGYEKPLEEKDMPLLGASDRAYNQYLMFLEKLNKKKQLQPHGTPSVFWTIISCHRSGIVVSGLFALLKVLAISSGPVLLKAFINVSLGKGSFKYEGYVLAATMFICKCCESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWSTSVQLCIALVILYNAVGLAMIASLVVIIITVLCNAPLAKLQHKFQSKLMEAQDARLKAMTESLIHMKVLKLYAWEAHFKKVIEGLREVEYKWLSAFQLRRAYNSFLFWSSPVLVSAATFLACYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPELNGQVRKKYCAGTEFPIVINSCSFSWDDNPSKPTLKNLNLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDKQKYQETLERCSLVKDLEMLPYGDRTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIRSASYEDLLAYCQEFQNLVNAHKDTIGGSDLNKVTPNRAKEISIKETNDSHGSRYRETLKKSPADQLIKTEERDIGDTGLKPYIIYLCQSKGYLYASLCVISHLVFIAGQISQNSWMAANVQSTGISTLKLISVYIAIGVCTMFFLLSRSLAMVSLGVQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFAFMFSISASLNAYSNLGVLAVVTWQVLFISVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESVAGAITIRAFEEEDRFFQKNLELVDKNAGPYFYNFAATEWLIQRLETMSAAVLSFSAFVMALLPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCQLANQIISVERVNQYMDIPSEAAESIEENRPSPDWPQAGRVELRDLKIRYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITKIGLHDLRSRLGIIPQDPTLFHGTIRYNLDPLGQFSDQQIWEVLDKCQLLEAVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCSMVLAMSDGKVVEYERPMKLMETEGSLFRELVKEYWSYTSNGNI >KQL09669 pep chromosome:Setaria_italica_v2.0:IV:5094709:5103568:1 gene:SETIT_005685mg transcript:KQL09669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTSTWMVDLCGSPICSKQAAAASCAWKELFDSSTCMNHILVIGIAALIAVVVAIQLLVKIPRSRAPARQLFARSSPLQLAGVVFNGCLGLVYLGLGFWMLGRNFSQDASVYLLHWWLVALLQGFSLILISIAFSIRARFLGVTSVRIWSVLLTIYAAFVCCSSVINMVAHKAVAMKGCLDVLFVPGALLLLVYGIWHIREDGNGNGGTGSALYKPLNAEAADDADDSESHVTPFAKAGFFSVMTFWWLNPLMKMGYEKPLEEKDMPLLGASDRAYNQYLMFLEKLNKKKQLQPHGTPSVFWTIISCHRSGIVVSGLFALLKVLAISSGPVLLKAFINVSLGKGSFKYEGYVLAATMFICKCCESLSQRQWYFRTRRLGLQVRSFLSAAIYKKQQKLSNSAKMKHSSGEIMNYVTVDAYRIGEFPYWFHQTWSTSVQLCIALVILYNAVGLAMIASLVVIIITVLCNAPLAKLQHKFQSKLMEAQDARLKAMTESLIHMKVLKLYAWEAHFKKVIEGLREVEYKWLSAFQLRRAYNSFLFWSSPVLVSAATFLACYLLKIPLDASNVFTFVATLRLVQDPIRQIPDVIGVVIQAKVAFTRITKFLDAPELNGQVRKKYCAGTEFPIVINSCSFSWDDNPSKPTLKNLNLVVKAGEKVAICGEVGSGKSTLLAAVLGEVPKTEGTIQVCGKIAYVSQNAWIQTGTVQDNILFGSSMDKQKYQETLERCSLVKDLEMLPYGDRTQIGERGVNLSGGQKQRVQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILLMSDGEIIRSASYEDLLAYCQEFQNLVNAHKDTIGGSDLNKVTPNRAKEISIKETNDSHGSRYRETLKKSPADQLIKTEERDIGDTGLKPYIIYLCQSKGYLYASLCVISHLVFIAGQISQNSWMAANVQSTGISTLKLISVYIAIGVCTMFFLLSRSLAMVSLGVQTSRSLFSQLLNSLFRAPMSFFDSTPLGRVLSRVSSDLSIVDLDVPFAFMFSISASLNAYSNLGVLAVVTWQVLFISVPMIVLAIRLQRYYLASAKELMRINGTTKSALANHLGESVAGAITIRAFEEEDRFFQKNLELVDKNAGPYFYNFAATEWLIQRLETMSAAVLSFSAFVMALLPPGTFSPGFVGMALSYGLSLNMSFVFSIQNQCQLANQIISVERVNQYMDIPSEAAESIEENRPSPDWPQAGRVELRDLKIRYRQDAPLVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIIDSVDITKIGLHDLRSRLGIIPQDPTLFHGTIRYNLDPLGQFSDQQIWEVLDKCQLLEAVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRCRILVLDEATASIDNATDAILQKTIRTEFKDCTVITVAHRIPTVMDCSMVLAMSDGKVVEYERPMKLMETEGSLFRELVKEYWSYTSNGNI >KQL09732 pep chromosome:Setaria_italica_v2.0:IV:5544703:5549193:-1 gene:SETIT_006670mg transcript:KQL09732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCNACGAAEARVLCCADEAALCAACDEEVHAANKLAGKHQRVPLLSDADAAAGPTAAAAAPAVPKCDICQEASGYFFCLEDRALLCRDCDVAIHTVNSFVSVHQRFLLTGVQVGLDPADPVPPIADKHVNAAGGSVHQPAKHLPRRSPTVQFSGEGSASVPSKNVTNGGYARQNSVPTAKTGVVDWTMHNSAIQSVESPPKYMSEESPTLLQSSQTTAFSNQINSDSDRAYNLPFSGGNGSDSLPDWPVDEFFNNSEYSPNFSFVEHGSSKSDNAKLGSAGGSPQCRLAEGFVAEELLGQVPGLVNDEYMSRVPENSWTVPEVPSPPTASGLNWHGNLHFPAYDSTMFVPEISSLQGSQNQFAVPCGFKRPRRQY >KQL09112 pep chromosome:Setaria_italica_v2.0:IV:1270929:1274285:-1 gene:SETIT_006237mg transcript:KQL09112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLPSLAASAGLCLLSVLAAALLAVTLYILAVVASFAVFCAREFARRDQDRDRPPLVGTVFRQLNNFHRLFDEHVNYALAHPTSRLVYPGHSEFYTADPVIIEHVLKTSSSKYSKGIFNYSVMKDLFGDGIFAADGDKWRHQRKLASHEFSTKVLREFSSVVFRTNARKLADKISSAATSGTIINMQELLMKTTMDSIFKVGFGFELNTLSGTDESSIQFSDAFDEANSLVYHRYVDLFWKLKRYFNIGSEAKLKRNIQIIDDFVMKLIHQKREQMNGQDNDGKMAEEDDVLPNGYRVIKGDGMNYMIYAMGRMTYLWGEDAEDFRPERWLVNGVFQQESPYKFVSFNAGPRICLGKEFAYRQMKIVAATLIHFFRFKLADESKDATYKTMFTLHMDKGLHLHAYRRST >KQL09111 pep chromosome:Setaria_italica_v2.0:IV:1270929:1274285:-1 gene:SETIT_006237mg transcript:KQL09111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLPSLAASAGLCLLSVLAAALLAVTLYILAVVASFAVFCAREFARRDQDRDRPPLVGTVFRQLNNFHRLFDEHVNYALAHPTSRLVYPGHSEFYTADPVIIEHVLKTSSSKYSKGIFNYSVMKDLFGDGIFAADGDKWRHQRKLASHEFSTKVLREFSSVVFRTNARKLADKISSAATSGTIINMQELLMKTTMDSIFKVGFGFELNTLSGTDESSIQFSDAFDEANSLVYHRYVDLFWKLKRYFNIGSEAKLKRNIQIIDDFVMKLIHQKREQMNGQDNRAREDILSRFIIQSKTDPETMNDRYLRDIVLNFLIAGKDTTGNTLTWFFYMLCKNPLVQDKVALEINESVEWAREDNNTEDFTARLNEGAIDKMHYLHAAISETLRLYPAVPLDGKMAEEDDVLPNGYRVIKGDGMNYMIYAMGRMTYLWGEDAEDFRPERWLVNGVFQQESPYKFVSFNAGPRICLGKEFAYRQMKIVAATLIHFFRFKLADESKDATYKTMFTLHMDKGLHLHAYRRST >KQL11924 pep chromosome:Setaria_italica_v2.0:IV:37658299:37659569:-1 gene:SETIT_008035mg transcript:KQL11924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRSFHYRGDYLRFVALPHTCFGDLYISFPRPGVGWRLYNWIDALPNLSNLTVLTVCSNALRIVSILHSRESLQPKLANLSNLQNLRELQLLMYAMGTVMLSDIYGFLRICRCSQLRKLFVELPKFRMDSFMDAVSDLAEEPMEGFENLVTAKITNFKWQCNEIELVHFLFRKDSSLQKLILVAPPGTHPEMDQSGNPFFRDTKLLRMEKAPSNAQIQTP >KQL08912 pep chromosome:Setaria_italica_v2.0:IV:252901:257485:-1 gene:SETIT_006118mg transcript:KQL08912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALAPLLALAFLAASAHAADPFAFFDWDVTYMTASPLGVPQKVIAINKQFPGPVMNVTTNYNVVVNVLNSLDEPLLITWDGIQHRKNCWQDGVLGTTCPIPPGWNWTYNFQVKDQIGSFFYFPSLGMQRAAGGFGGITVNNRAVISVPFDTPDGDITLFIGDWYKKNHTHLRKMLDDGKELGMPDGVLMNGKGPYRYNDSLVPDGIEYETIKVEPGKTYRFRVHNVGVSTSLNFRIQNHNLALVETEGSYTMKQNFTNLDIHVGQSYSFLVTMDQNASSDYYIVASARFVNESLWTKVTGVAILQYSNSKGKASGPLPDPPNDEYDKTFSMNQARSIRMNVSTGAARPNPQGSFHYGSINVSQVYKLRNEPPVIINGKKRTTLSGISYSPPDTPLRLSDLYDKKGVYTLDFPTMPIDGPPVIRTSVINSTYKNFLEIVFQNNDTIVQTYHIDGYAFWVVGMDYGEWTENSRGTYNKWDGVSRCTTQVFPGAWTAVMLSLDSPGFWNVRTENLDTWYLGQETYIRVVDPNGGYNVTEMVAPDNMLYCGLLKDKQKAQKPHGSSSSSASAAKLNNYLLVVLVSLLALALGH >KQL11948 pep chromosome:Setaria_italica_v2.0:IV:37805191:37805719:1 gene:SETIT_008598mg transcript:KQL11948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKAEYECAPTCKRSRLRYESALSIMNSQKQNWRCKLKPATLNGIVDLAQALGLPSSRSINPTSPGLAPCRNRSRTLNRKRD >KQL09457 pep chromosome:Setaria_italica_v2.0:IV:3519380:3519850:1 gene:SETIT_008352mg transcript:KQL09457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIVPPRRAVLNFEFLPLDGKKYPAVPLPLVPEATEQSSARGSEEEEKGNEMGLCSCCCRCLELLCSVLLPPLGVCLRHGCCSLEFWISVLLTILGYLPGVLYAIYVICSVDPHRHDDPDDYVYVA >KQL11039 pep chromosome:Setaria_italica_v2.0:IV:30208981:30210121:1 gene:SETIT_007394mg transcript:KQL11039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIQPYTINSAKVIFLKPRPQSRPFKGSGNVCLTCDRILQEPFHFCCLSCKVDHVMGQGGDLSNILYVPGGPPDLGCGFPRFENLRVDGGGYDDDPGQVTPNSILEHPAQQGSCGYGGSASGGSSSNGGVPRKKKTGGGGGFFPQIVLSLGNRRKGAPHRAPLA >KQL10333 pep chromosome:Setaria_italica_v2.0:IV:13078405:13079361:1 gene:SETIT_008618mg transcript:KQL10333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPCGLSACPSDDGRGGGGRGGGGRWRGRRSSGGDTGVSIGKAFFASSLLFHYHALVESDLIRGDLIEEDKLGIILCGMWSLWCSRNDRRHGKDAIEHRLAIKWAVDACALLKPDRTIKVNTDGAFVCSSHQGATGVVIRGAEDDFYVAAARWLPAVASALVAEAEACRDGLLLLQGDGRCHVIIEVDSKELVNLWANRNSRSEIGTILEDIRELSRHLQSFELVLVNRSANCVAHACAQQAVVSRASNVWSGDAPAFLLQALHNDCNHVD >KQL10925 pep chromosome:Setaria_italica_v2.0:IV:28216002:28217872:-1 gene:SETIT_006337mg transcript:KQL10925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIPTLLMGRYELGKLLGKGSFAKVYRARNMETGEEAAIKIMDKDHLAKSGAVQRQVMREIDIMRRVRHPNIVRIQEVMATKRSIFVVMEFVGGGSLDVYLAHRAGRGVGEAPARRVFQQLVSALDYCHSLGVFHRDIKPENILVDGAGNIKVADFGLSALAEDERREALLHTVCGTPMFIAPEVFLRCGYDGAKADVWACGVVLFALAAGRYPFNQRDTSLYHMIRRADYHCPPWFSTGLARLVRRLLCPDPARRIAMAQIKENVWFKKGFKEVPRSLSEPEERDSDSDDDSTVSLASSEDPSSPVVRAQHRSYSSGMHTSVSAPSLTTLENTGSAAEPPRIRRLKSLNAFDIIASSPSLNLTGLFEEPGEQMRFVSAAPVSKIISKLEEIAGHVSFTARTKEYQVSIGGNGSRGALLVSAKIFELTPELVMVKVCKKAGDTAEYRQFCYNELKPGLRGLVDGLPEDGGEPIASNSG >KQL11399 pep chromosome:Setaria_italica_v2.0:IV:33228726:33229733:1 gene:SETIT_007808mg transcript:KQL11399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRREVEFSRIEWVSRRRLARQRWRVKWRDDAPTVVGLVAVASGWLVGW >KQL10606 pep chromosome:Setaria_italica_v2.0:IV:20049462:20050060:-1 gene:SETIT_007726mg transcript:KQL10606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQADSFTDLKILNMDPWALGRHENLVIMLERYTDICAWSGLSLITLWSVTLYNCRLSFCDRITNSQFVSFH >KQL12346 pep chromosome:Setaria_italica_v2.0:IV:40102732:40105285:-1 gene:SETIT_006603mg transcript:KQL12346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSAATASLHLLLPASRRRRLLVPRATTHSNSDPTAVDRRRFIAHTAAAAAVAPLVLPRWTPTARADDAPALSEWERVFLPIDPGVVLLDIAFVPDDPSHGFLLGTRQTILETKDGGNTWFPRSIPSAEDEDFNYRFNSVSFKGKEGWIIGKPAILLHTSNAGESWERIPLSAQLPGNMVYIKATGEQSAEMVTDEGAIYVTSNRGYNWKAAVQETVSATLNRTVSSGISGASYYTGTFNTVNRSPDGRYVAVSSRGNFYLTWEPGQPFWQPHNRAVARRIQNMGWRADGGLWLLVRGGGLFLSKGTGITEDFEEVQVQSRGFGILDVGYRSQDEAWAAGGSGVLLKTTNGGKNWVRDKAADNIAANLYSVKFLDDRKGFVLGNDGVLLRYLG >KQL09979 pep chromosome:Setaria_italica_v2.0:IV:7894190:7895319:-1 gene:SETIT_008586mg transcript:KQL09979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIHGELEHFIMLEEETMTQMFDRLMLLISDIRTLRSTDWDDHKVTKKMLRVFTPRNPTLATMIRRDSSFKMKTPNQLLGEILHQELVERYVAKSLSMRMNKSLALNASSNTMSQSSPKALKEKKEDSSEEGSTDEETAFAIRNYKKFLKKKTFKKSGDDRKKSSQRRCYECKEVDHFITDCPHRKKKEMEEKRFKDKSKDYKKKYQGQAHVGQEWDSSEEQDNKEGIATLAILNPTTPTKLFNNISDNEDDAPFCLMAKGIKDVKGACFGK >KQL09991 pep chromosome:Setaria_italica_v2.0:IV:8033481:8034759:-1 gene:SETIT_006749mg transcript:KQL09991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLQKHLGISFRHQWLFSFTRFATTAASAPSADPTPFAVEDYLVASCHLTRDKARKVSKAKALSHLKSPSKPDAVLAFLSGLGLSAHDIAAAVARYPPLLVCEIARFVRLDPQCSLRPITISKLQYYFSLFGSFDNVLRALNRGLYLLTSDLERVVKPNVSCLRECGLGPQDVTKICISAPRLLYSSQESIREMVVRAEGIGLVTPSCKDSITAKLEFLKKAFQWLDVEVGTAVPRVPSILTFSIDRLRRVSEFLISEVRLDPAYIACSPTLVMHSLERCLMPWYYVLKFLKAHGLLKRDLSYYTAVQMTEKKFMEKFIHPYTEAAPHLAEDYAAACRGEVASTFRFQELRTGLASV >KQL09510 pep chromosome:Setaria_italica_v2.0:IV:3955504:3956817:-1 gene:SETIT_007611mg transcript:KQL09510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMELLVFGYLQVIVERFSRSNLPQMEKRKYLVPCDMPVGQFIFILRSRLHLSPGTALFVFVNNTLPQTASLMGSVYDAYKDKDGFLYMCYSSEKTFGCLA >KQL09511 pep chromosome:Setaria_italica_v2.0:IV:3955504:3957490:-1 gene:SETIT_007611mg transcript:KQL09511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKYLVPCDMPVGQFIFILRSRLHLSPGTALFVFVNNTLPQTASLMGSVYDAYKDKDGFLYMCYSSEKTFGCLA >KQL09653 pep chromosome:Setaria_italica_v2.0:IV:5003847:5005317:-1 gene:SETIT_008376mg transcript:KQL09653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNPTCACGFPISRRLEIPRPALASPPCPNVTAPTNSSPSPSPRHSAHALAAVHPPAMELFPDEAHVRLRSRAHGTYLHAEEDGVGVTLRLRRASLNQAWAVHRLARGGTSYVLLHGAAYGRYLALVRDDDAQEGQDSARRACRAVQRVYDAPGQEDVLFEVVWAEDGSGDVLMRHCTFGGWRNFNNQRTMMSWVVEAIPPREDPPELPPVIPPLPVAIGGPVRRRRVVHQPVFRRIIQYVRADDQGHVNNLVWRMFWFDGRSVSHLRGDLANELGEENPHSITLCVWAGSQGRRTPLVTDLPANEQTMDIVVLATESAEHVY >KQL11226 pep chromosome:Setaria_italica_v2.0:IV:31872627:31887747:1 gene:SETIT_005676mg transcript:KQL11226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGERRDEENPTASAADDDDDEDYEEPGGGNHFLGFMFGNVDDSGDLDADYLDEDAKEHLFALADKLGPSFKDIDLIKSSPAPTDPSEQDYDEKAEDAVDYEDIDEEYDGPEVEAATEEDNVLSKKDYLSSAVYASVNNTVSVFDEENYDEDEETPNDNKSTGDNAVQNLSSVSSEQADMATSSDHLPLEKTGSLSYPEESMDFEYEVLENEMGTEEGQLEPETVTSLPVLCIEEGNVILRFSEIFGIQEPVRKVKTDHHKRPVNKELQISNVADSVEEDEEIILRSTTIQNFSTLKHIQMNEDFVETDSDESVADVTLRLKDSCLSEQPMKVAHTVQRSPVCPDFYPLEHDDWENDIIWNNSPSNDCQPYAKICESEESVDTHGEDQAKDYGQASRCWDVQSKSNGSPVIEEPFGCTEMPAPANYHSPGNNHPPLTNEDNIDHIMPNNLDEAVKTDTMLRLNHLSLLNRELLEGSWLDNIIWDPSEGTPKPKLIFDLKDDHMLFEILDEKNVDHLRSHARAMIVSQSVKTSTTTVENFDSQVKALSGRFNISNDKFYSNRKAPQQAKSHTKKRALMGIKVVHSAPAHKLQTMKPVLSNKEIANFHRPKAKWYPHENKIAAQLQGAACSHGRMTAILMTLGGKGVRILVNSEDTPVSVKLKASKKLELKPSEKIKLFCSGKELQDDISLAMQNVRPNSILHVVRTEVTLWPKAQKLPGEDKPLRPPGAFRKKTDLSVKDGHVFLMEYCEERPLLLSNAGMGARLCTYYQKTSPADQTASSLRNNGDGLGTVLAIDPADKSPFLGDIRSGSHQSCLETNMFRAPIFPHKVAPTDYLLVRSAKGVLSLRRIDKLYAVGQQEPHMEVFSPGTKNVQNYLLNRVLAYVYREFRARERPDGIPQIRADELPIQSPLTEAIVKKRLKHCADFKKGPKGHFFWTQRPDFRVPSEEELRRLLTPESVCCYESMQAGLYRLKRLGIVKLTQPVGLASAMNQLPDEAIELAAASHIERELQITSWNLTSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRVAPKAPSSNSMLKKKSAAAKGTTVTGTDADLRRLSMDAARELLLKFGVPEEQIDKLTRWHRIAMVRKLSICTRTKDVFLQLQQQTREKCQEIWDRQLQSLSAIDGDDNGSDTEANSDLDSFAGDLENLLDAEEFDDEDTSTAELRSDKADGMRGLKMRRCPTHAQINEEIEDDEAEASLAKKLLEDNGNDMKRKKQPEGLTNCGTSTGANKTKQNKTGQMIKSSGYAGASTPKESTPREAKEAENSFAEGGLPTKLKTKVAFDGNDILLVKKKSALGKDGPKEKRQGARGDTLVCGACGQLGHMRTNKLCPKYREDQETSEMDANSVKSNPTDIVNHLPTKTPKRLITKVSSEATETEGPECIEKTKSVPVKFKLGAPDKSLERNMSLSSSLVSEKRTMDVTDYRSTGKVNKIIIPNRIKSDDYPPDTPKPSVVFRPPAEEKDVPRKKITIKQPKGVDQQKLVEPRSGQEPTRKTRKMVELSSFEGKSREDDHWFGGEPSQMIPRMRGGWVWKGKEEAKL >KQL12147 pep chromosome:Setaria_italica_v2.0:IV:39129442:39130791:1 gene:SETIT_007271mg transcript:KQL12147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRISPAALCLWLALAGVVVQAHTKPDESLTKVTVKTFFDIEIDGKPTGRIILGLFGETVPKTAENFRALCTGEKGIGKAAKPLYYKGSTFHRIIPEFMIQGGDFTNFNGTGGESIYGSVFPDENFKLNHTHSGTLSMANYGKDSNGSQFFITTVKGSRMPKKVDGLHVVFGEVLDGMDVVHEIEAQGQPTGEPKAKVVIVNSGQLPDDEL >KQL11448 pep chromosome:Setaria_italica_v2.0:IV:33829569:33831897:1 gene:SETIT_006072mg transcript:KQL11448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPSPASPPGVAAVLRLLESRDLPAAARLAAASTSSSPLPLAAVFLHRPLPPRVGYCLHARAARSGLLTDRYLANALLAFYVRLPGHLPHALRAFDDLPRRDVVAHSSVLAAFLRAGLPRRALLHLRTMAAGGCGADEDVAPSAHALSAAAKACAVLRDLRAGACVHGTIVVRGFGDDGVVLSALVDMYGHAGAPADARKAFEEMHAPDGICYTSLISAFVRNDCFEEALRWFRTMVATKGVWPDGCTFGSMMTALGNLKRARQGKEAHAQVITHGLCGNVIVESSTLDMYAKCGMMVDARKVFDRMKVRNPVSWCALLGGYCQSGEHEKVLSLFRQMDMEDDNWYSLGTLLRSCAGLSAVKLGKEIHCRFMRMRGCRDVIVESALVDLYAKCGAVEYAHRVFKRSSVRNMITWNAMICGFAQNGHGEQAISLFNKMVREGVRPDYISFIGVLFACSHTGMVEEGRNYFNSMTKDYGIAPGIEHYNCMVDLLSRVELLEEAEDLVNKSPFRDDSSLWAAILGACATHTNPDVAVRVAKLMMELEPRYHLSYVLLENVYRTIGRWEDAVEVRELMKSRKVKKEPGTSWIDANRSKLYMCKAKEGASQLVASGDMSADEEVQFI >KQL10769 pep chromosome:Setaria_italica_v2.0:IV:25400786:25401424:1 gene:SETIT_007275mg transcript:KQL10769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPGKKTSKGRQKIEIRRIEDKEKRQVTLCKRKGGLFKKCSELQLLCGAHVAVAIFSKREDHQPQGSEAPPAATGGRPSRGGSVFAMGTPSVDHVLRRFAPLPGDVHVEDAGCVAAAERAAVEVAAREMRETAALVDAEKKRMEAIGEKVVRAAEAAGKRFWWEADVEALGEGELPEFARALQRVREIVQREAGKRQASAPPAAAAAPWHP >KQL10758 pep chromosome:Setaria_italica_v2.0:IV:25045111:25047579:-1 gene:SETIT_007089mg transcript:KQL10758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPTSTRQPLQIALTPNNGHLRSAAVRMAYFAKKQTMTATPLSSSLEEAKRNEPVVKMCGITSARDAEMAAEAGAKLIGMILWPNSKRSVPLSEAKEISRVAKSYGAEPVGVFVDDDEGTILRASSSCDLELIQLHGDSSRELLPVLWKNNRIIYVLNADEDGKLINAPPSEEYVVDWFLVDSARGGSGKGFNWEKFRMPSAESKNGWLLAGGLHADNVCQAASALNPNGLDVSSGICYPDGLRKDPNRIHSFMSSVKRLSVR >KQL10757 pep chromosome:Setaria_italica_v2.0:IV:25045111:25047556:-1 gene:SETIT_007089mg transcript:KQL10757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPTSTRQPLQIALTPNNGTFAGHLRSAAVRMAYFAKKQTMTATPLSSSLEEAKRNEPVVKMCGITSARDAEMAAEAGAKLIGMILWPNSKRSVPLSEAKEISRVAKSYGAEPVGVFVDDDEGTILRASSSCDLELIQLHGDSSRELLPVLWKNNRIIYVLNADEDGKLINAPPSEEYVVDWFLVDSARGGSGKGFNWEKFRMPSAESKNGWLLAGGLHADNVCQAASALNPNGLDVSSGICYPDGLRKDPNRIHSFMSSVKRLSVR >KQL11766 pep chromosome:Setaria_italica_v2.0:IV:36649402:36650258:1 gene:SETIT_007583mg transcript:KQL11766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRLCCKYARMQTLGKGYVGNVGPRSIIVVEEEEGLAQSKALSAMLSGPPYVDTWAEPSEGPSSSSSPRSKKRQRTATSTFSHSRIHLLLNFRETRYVPVATGIKN >KQL10154 pep chromosome:Setaria_italica_v2.0:IV:10323745:10323978:-1 gene:SETIT_008475mg transcript:KQL10154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGIAAVTVIILMIMAFLAVSGTAARPLARDVWTPVRGAVSGDGAMQFLRQMYLQQLRAGPSCGTTSSNGGCPRRP >KQL10768 pep chromosome:Setaria_italica_v2.0:IV:25393893:25399000:1 gene:SETIT_008417mg transcript:KQL10768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRYSSTAAELLAEGMESTWKACLGAEITHFMSDILFQIECLSTAPSSSAIHKTAVAVTMREALVGTLLPSLAMADVTGFFSVIESQIWATSSDSPVHVASLKTLICVVRGAPKALAPYLEKKTKKELDEADNLKLLLHNLDLSYRLYWVGGKTIKLTRHIQELGTFQL >KQL12180 pep chromosome:Setaria_italica_v2.0:IV:39306400:39307257:1 gene:SETIT_008437mg transcript:KQL12180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVSDLPPELLDLIRARLPLREAARASVLSAAWGRSWRDLSDIDFTSSTCDRAAIDAVLAGHSGGSAVRRARLNALSEKMLQSFDLNFNAVSSPPPSILPNSMFACGALKDLFLTCCVLPAASFTKLCLICSSFAKGSDVEAMIAMPQKLEELTMRVIEVAEAWGTHGVFSAACMESSVENLLYNYYSLQIPLPKEIPASYEDLRRLILRMDYNKAPDISTTIYFLRSARKLTQLVVQ >KQL11903 pep chromosome:Setaria_italica_v2.0:IV:37475984:37479815:-1 gene:SETIT_006165mg transcript:KQL11903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELRHATAAAAATRASSSPSKRDAEAASASSPLVASPRVGGSKDGLRPHQRWSLPSPVRSLLALEDPRSPVASTSYRILVAAIACFALAALFSAPSVWSRLNAPYLCHKEGIRLHCPRVSDRDSLWENPRAAATSWKPCAERRSDEISDLVSENETSGFIFIHAEGGLNQQRIAICNAVAIAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFINYLKDDVRIVRDIPDWFTEKEELFTSIKRTVKNIPKYASAQFYVDNVLPRIKEKKIMSIKPFVDRLGYDNVPMDINRLRCRVNYHALKFLPDIEEMADKLATRMRNRTGNLNPYMALHLRFEKGMVGLSFCDFAGTREEKAMMATYRQKQWPRRYKNGSHLWPLALEKRKEGRCPLEPGEIGIILRAMGYTKETQIYVASGQVYGGNTRMAPLRNMFPNLVSKEDLASKEEMEPFKKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGYRRYMGRHRLKSIKPDKGLMSKFFGDPYMPWATFVEDVMITHQTRTGLPEPTFPHYDLWENPLTPCMCRA >KQL10635 pep chromosome:Setaria_italica_v2.0:IV:21063864:21065573:1 gene:SETIT_006498mg transcript:KQL10635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPILVILPLILLGSQAAWSAQQAATLHERDAAALRDVRAGLRDMPGSRFFDSWDDARSPCAYAGVVCAPDEDDPASGALRISVLTLGTGLADSPGLAGTLPASLASLAALTDLVLYPGSVSGAIPADIGSGIRRLRLLSLSGNQLTGQVPESLAGLPDLHTLDLGNNRLEGAIPTGLLLPSSPSLKVLILANNGGLSGQIPAQFSSSQLFHVDLSRNAITGKLPPLPPTIRYFSVAANGMQGSLDGVFVDGSAPADLAFLDLSMNNFSGSIPPEVFALPSASSLLLARNNFTGPLTVPAAPAPWAVVDVSHNGISGEVPEALAVAGSLYVNNNRMTGEVPRAVARSVFAGRMTTFYAQHNFLTGFPAPPLPLPDSAALCLSYNCMELPSPYAAEGCPTIGGPLEARPADQCRSTDDAGSSGGDG >KQL10199 pep chromosome:Setaria_italica_v2.0:IV:10810380:10811580:1 gene:SETIT_008005mg transcript:KQL10199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTTKNTARANWNHQMKLFLIGLLRQYDLPRFRTQNACSKEAWTTMVAQVNSKFTLSFTVAQVKQKEQDLKKEYRVVKDLSDESGFGWDSNRKMVTALDNALSRWRDKSFPYYDDLYALYDGRYAEGRSCHGMDHYANKAKKSSEVPASHSPQLHSSVPEQFQETQCQTSCPSSSTPEAISAKRERYLMLKKEEIDRFAAIEEKKMEDPYSINKCVVVLEGLLNLQMEEMIKAADIFRDNSANRETFLSFSRDETRLGWLRKQIELT >KQL11173 pep chromosome:Setaria_italica_v2.0:IV:31380526:31384812:1 gene:SETIT_006220mg transcript:KQL11173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSIRDRDADHACTIRFQFHDHLGARFKSHRTRLPRRPLPLDCTPRDTRAQGPIRKKDPTPPPSTGQSHRPAPGAMAAAEDSAKQPLLPRAYPPHVASASSPSLPSAPAPSGPAGRRFPGGLDVPSLKKRGGGTRSWIRVEAATASVQTLEIDKATMMRRCELPARDLRLLDPLFVYPSTVLGRERAIVVNLEQIRCVITADEVLLLNSLDSYVLQYAAELQRRLLQRAEGDELPFEFRALELALEAACSFLDAQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMESSVFGDQTLLGYNSTGAAGTSVSAPVSPVSSPTESRKLEKAFSLCRSRHDSVKSSDNTTTEHIQELEMLLEAYFVVIDSTLNKLTSVLIHISYILVIFCNRSQRDLIRFFSFQLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFETTVFKIHNAFSWTLIITGVVGAFIFSSFLWFFKYKRLMPL >KQL12289 pep chromosome:Setaria_italica_v2.0:IV:39853726:39855796:1 gene:SETIT_006357mg transcript:KQL12289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQGSSSRALAGAGAGDGWPQPNWRVAYSYSSGSRGSSSSYSSTPGTTHSTPSPYSNTSGEGFTPSPQSFHGLDLMSYRGGGEGSKKKLEYIKYLLQEFCVAKGDVSILGSWLSEIMSVSRQIVAADASATSLMQFAGSWIRALTGITDSILAPYDCDTTTTSSCEIVVVGDGAPAGKLRPLIGVRGAVSRASQDIRLSFCSTSSEEAKRITDEMASLLLAKEARLDEAIWNTMEEVRTRLLASRDDDYSSWWGTQGIISPDIHKVTRAIVSYINILSTNCATVYMIVDQAVQIRGYVPKIHKCSPWASLIMETVSCLEEKLAEKSRSFPDQSMRFLFLINNSYFIWQQLYPTTASILESHMSDLARKIDNYIQTYLQVSWAPVLSCLSNSTPLCLGRSSSPAKFEAEFQKTYTAQKLWKVPDPKLRRRIRVAVIETVVPSFTKYLEYNDTNPSRITPEDLMDMLQELFEG >KQL10307 pep chromosome:Setaria_italica_v2.0:IV:12718407:12720697:1 gene:SETIT_008409mg transcript:KQL10307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSFRDAADMLGLVDTDKSLDDALVEATSFKMPYALRRMFATITVLCEYTTILELWDKHFESMAETTTLPIIIVHSMNLIAIATATFDIAANIMPGGRTAHSRFKIADNNSICNITKQSGGFEDNAIDVEIVNGQHAGKRVFLPRIPLSPSEDITLPFKFKRKLSFAMIINKAQGQTIPNVGIYLPKPVFLHGQLYIALSRGVSRKTTWILAKPNNDVDYTRMRTKNIVYSNVLEA >KQL09636 pep chromosome:Setaria_italica_v2.0:IV:4743449:4744316:1 gene:SETIT_008717mg transcript:KQL09636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFSLANRSSGDAVRLPDQACPPGRLSSVKPMDDPSPDSSPFAVLTTDSRFESVISVCRPGTTAAIEFRVPDGELISDVAFFDGKLYALSFGKLFVLDLETTGSTSLGKPRRSVPSMKRVAGAVDDPRIMCRSIAGERHVCAYWSYLVESSGKLLHVRRLIGCLATLPEKERMESSRPLSFEVFEADLVVGKWRRVDDLGGHQALFVATQSMSLPAPECGAREDCIYFVCDYDRGNWEADPLRDCGVFDMRTGTITPLLPDGVVVRRQGCRALPAWFFRTKAM >KQL11811 pep chromosome:Setaria_italica_v2.0:IV:36931107:36932959:-1 gene:SETIT_006992mg transcript:KQL11811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASGLCWPALLLLLALTAVAAARDHDFRRDFDVTWGEGNARFRDGGRQVELSLDRRSGARLQSKERYLFGRFDIEIKLVPGESAGTITSFYICTGGARHDEVDFEFLGNASGEPYLLHTNIFTDGKGEREQQFVLWFDPTAGFHTYSILWNPHNIILYIDGTPIRVFRNNAARGVPFPTRQPVHVFASIWDAEDWATQGGRLKTDWASAPFVATYRRYNVTNACVWDEEGGRARCPTAAVEGGGRRRRRQAAWMAQRMDWWSWMTLSWVRMNYMVYDYCDDRRRFPHGSPPECVIPIGRS >KQL11082 pep chromosome:Setaria_italica_v2.0:IV:30571352:30576481:1 gene:SETIT_008161mg transcript:KQL11082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNQKKAKLEQDYANRARRRDTLSKNSIAMENPANIATDNSKNVTQFATSIRQRKHVTPGEREALLAYRDEKLTDKIMEKTHATSDEDCSTNTKVIDDLESIKHPTNMKKDDDGVVYEEDTDEEENIISGQEHSNINDPYDLVYSNIPDNTHKLKPVKNCKYCDAKKFHHEPEGLCCRKGQIKLANLETPHQLMRLWTSNDSDAIHFRKNIRFFNGHFSFTSLYCRLDRDTTTMKNSGIYTFRAHGQIYHNIRSFRKDGSDPKHLELYFYDDDPTLEHRYCYCRKEMYEQDKHVLLIITNTLRNNPYSEQFRSLGQEENLEDYRVMLNLDQRLDQRTYNAPITSEVAAVWVEGNERRNTFDRNVILHGNNNEIQGIQSYAGCYDPLSYPLFFPRGELGWHADIPKVGITTEDVKKAHSSGRLWVTMREYYCYKFHVRPKIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDAVGKRTVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEDITNELEFGQTPQDRPDLVVRVFRAKLEEMKKELLEEHILGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHGPCGTLNKNCACTKNRKSCKNYYPRPFNATTIQGKDSYPLYRRHDDGRNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVEKSMLTAYFEANKIHEKARGILYRDFPEHYTWQTQGKFWQQRKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGATCYEDLRTVDAKILPSFREAAERRGLIEADNTLDDCLTEAELFRMPSSLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNARTVEQMVLINIREMLQSMGKDIRSFPLPEIDEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKAAYNEILTAIDRDEGGLFFVDGPGGTGKTFLYRALLATVRGQGKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTTKLLQAASLIIWDEASMTKRQAIEALDKSMRDIMDVPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSGLDKLIDNVYQMNNACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDSVEDDPHNYYPLEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTAKNIKILTAENDDEDEDNKQEKRKKRKTKRDMSDKKEVNQKDTTDRYTKNIVYSEVLTK >KQL09056 pep chromosome:Setaria_italica_v2.0:IV:945598:946138:1 gene:SETIT_007810mg transcript:KQL09056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCHMSSQKQVYLLPTKYMQTKHFKTLQVEFTTVKIQKLKNSMTFLHN >KQL10060 pep chromosome:Setaria_italica_v2.0:IV:9007814:9010406:-1 gene:SETIT_006678mg transcript:KQL10060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQLGPVAVTHLLQHTLRSLCTGDAPQWVYAVFWRILPRNYPPPKILAWEDGFCNFAAAAAATSAACGEEGAAAAVVAYAGDCEAAVAPQEAAKHHQQQQPQGLQPELFFKMSHDIYNYGEGLIGKVAADHSHKWVFKEPQENEINLISSWSNPADSHPRTWEAQFQSGIQTIALIAVREGVVQLGSMKKVAEDLSNVVMLRRKFGYLESIPGVLLPHPSSAGVFPGGGCVGPPPDIAPGWPGMMPPPAGPPLELYDPYGAAVAAAGPAAAAASMHIMPSMSSLEALLSKLPSVVPAPQMTQPPAGPAPGVAPTPANKEEEPDDYVQCHGGMDVASNGAAGGESPSTSAAAAVTTPMSSYFVNVGSSSNPGEGF >KQL10712 pep chromosome:Setaria_italica_v2.0:IV:23945216:23948296:1 gene:SETIT_006148mg transcript:KQL10712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGVIVLGPVPKDPAFLPICFKGSRSPHCLSGSQLQDSILIFLAVPGMPPMPMSVLGSESIASVKLRIQRFKGFVVTKQRLVLDGHELARNDCPVKDYGLAEGNVLHLVIRLSDLRVINIETAAGKKFQFQVDRSRNVKYLKTKLADEGDEDIGNPEDHKFEYDGKELEDHQLIADISKGDDAVIHLFIRKPAKVQTKQVDRDTLVTVVNPQEKSNLQNEALAMKSAKSAGVKPAPVEPVIVNRKVKLSPDVMKMISSTIAGLEKGHMPEMSAEGSGGVYFMRDATGQKNVAVFKPIDEEPMAENNPRGLPLSTDGEGMKRGTIVGEGALREVAAYILDHPVDDCKSGQSVGFSGVPPTTLVRSIHRGKSFKIGSLQMFMENNGSTEDMGPRAFPVKEVHKIAVLDIRLANADRHAGNILVHKEEEGGNYKLIPIDHGYCLPEKFEDCTFEWLYWPQAREPFNNETIEYIKSLDAEKDIKLLKFHGWELSPRCARVLRISTMLLKKGAARGLTPYDIGHILCRETVNRGSEIEDIIQEAEDAVLPGSSENMFLETISEIIDRHLNKEFA >KQL12113 pep chromosome:Setaria_italica_v2.0:IV:38798119:38802487:-1 gene:SETIT_006030mg transcript:KQL12113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIVAAPRASAPGGAAVSTIAAFHSHASPTRAPPRAVTTAASSSVGATRRYHHGSACCFAAKPTTPVAAELVDQDGPEMATASAASTQEAAKPRKKRRSRKAKKSATAMLEEKDEGADKPAASASAADDEAKKRKKEAGAEDNARALVAGLDDVIVNPVGLGRRSRQVFDEVWRKFSRLGQMSSASSTALAEEEQAVLIRGGPMCEFTVPGAQDTTVLVVGATSRIGRIVVRKLMLRGYNVKALVRRNDPEVIDMLPRSVDVVVGDVGDPDTVKAAVSGCSKIIYCATARSTITGDLNRVDNQGVRNASKAFQDYYNELAQLRAGKSSKSKLRIAKFKSAKSLNGWEVRQGSYLPNTFASRFDEGIDAAFEFSEDRKAVFSGFVFTRGGYVEISKRLSLPLGSTLDRYDGLLFSVGGNGRSYVVILETGPLADTSQSKKYFARMTTKAGFCRVRVPFSSFRPVNPQDPPLDPFLVHTLTIRFEPKRQRPGDGSQNASDPRNFELILEYIKALPTGQETDFILVSCAGSGIEPNRREQVLKAKKAGEDALRRSGLGYTIVRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVADQGNELYELVAHLPDKANNYLAPALSVLEKNT >KQL09492 pep chromosome:Setaria_italica_v2.0:IV:3840107:3841154:-1 gene:SETIT_008450mg transcript:KQL09492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQRAAAAAASSIPQRQHARPSSEASAATGGQERREAAAVVGRRSGLASCVLAALAASFSPFAADRPARALVLDEDDDIELLERVKEDRKKRLEKQGIISASGTETGYLQDLIYKLSKVGQAIDKDDLPAASSVLGPSTDAQWVQNINAAFSKFSSSPEERSTVDSFNASLASLFTSVIHAFSAGMNLKCSMRSAFVSSATALEKWIALAGLSGQLKGF >KQL09549 pep chromosome:Setaria_italica_v2.0:IV:4183861:4185379:1 gene:SETIT_007889mg transcript:KQL09549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTKSNGEWSASEINMVKSLIARYNANNSYADDMNKKHDNIVNEIQAMFPMKEKHQAISLYVDLVVQMMQSGTGDGSVQHSVAASGDLVSNNFEIQVEDPPMDNMDMLLGYPTMDIGALRVAREVPRRQPTPRMARLHTRFWTKAEHRLFLRGLQVYGRGNWKSISKYFVTTRTPMQVSSHAQKYFKRLKNAARRQRYSINDVGLYDAEPSVQNNTSSWEGLTFTKGAYATPSPYGASGQHATMNNVAQVRSPILNHASHAGTSNQAAAGAGDQQMGTTSSYVAPMTEGDGGLQEALAGDHLGDFLDDLMMNMDIF >KQL11566 pep chromosome:Setaria_italica_v2.0:IV:34871957:34873004:1 gene:SETIT_007421mg transcript:KQL11566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGRLSGNITQDWEPVVLRRTKPKAADLKSAKAVNQALRSGAAVETVRKSAAGTNKHSAPAAPARKLDETTEPAAVERVAAEVRAAIQKARVAKGWSQAELAKRISERAQVVQEYESGKAAPAQAVLAKMERALEVKLRGKGVGAPLAAGGGK >KQL11567 pep chromosome:Setaria_italica_v2.0:IV:34871957:34873004:1 gene:SETIT_007421mg transcript:KQL11567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGRLSGNITQDWEPVVLRRTKPKAADLKSAKAVNQALRSGAAVETVRKSAAGTNKHSAPAAPARKLDETTEPAAVERVAAEVRAAIQKARVAKGWSQAELAKRISERAQAVLAKMERALEVKLRGKGVGAPLAAGGGK >KQL09908 pep chromosome:Setaria_italica_v2.0:IV:7356586:7357897:1 gene:SETIT_007296mg transcript:KQL09908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGASLALAALLLVSCASAAAATKYTVGDTTGWTTSGDYATWASDKKFKIGDSLVFNYAGGAHTVDEVSAADYAACSSSKALSSDSAGTTTVTLKTAGKHYFICGVAGHCSSGMKLVVDVAAAKAATPSPAPAPAVAPAPDAADTTPDATPATTPKGSGGATPKTPVTVLSPPGKKSTSGATGLSATAWASLGLAGLVAVHLGAF >KQL10926 pep chromosome:Setaria_italica_v2.0:IV:28265480:28268054:1 gene:SETIT_006008mg transcript:KQL10926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHVHPILLLLAILAASLPASATTSSCPGRDDAATIAAAFRHVRNFRPPSSVNSCQPVRELRLPSRNLTGPVSWAALANLSALAALDLSGNALQGAIPGRFWRAPSLRSVNVSRNQLGGALRVERNPRLLSLNASRNRFTGVDGVEGLSGLVVLDVSANRIRAVPPGLRRLARVERLDLSGNAMQGRFPGDLPPLGGVRSLNVSYNRFSGVVDSGTVKKFGHSAFVHAGNASLVFSEHSTATAPPRRPSPSPPHGKSKEDGSGRTATTERKTTRRRRHLSVVAVAVISGAASLAMLLCLVGCVACGVLRSRRNGGKDDEERKKPQWGEKGDEGEEEDVVVAATKGASAAPVVLFERPLMQLTLADLAAATSGFGRESQLAERGGRSGAAYRAVLPGDLHVVVRVVEGAMAGLGEDDDDPAAAATAFRELARLRHPNILPLIGYCIAGKEKLLLYEYMEKGDLHRWLHELPAGRPDMDDTGSGDIWEAAEDRRSISDWPTRHRIALGVARGLAFLHQGWAGSGPVAHGHLVPTNVLLGDDLEPRISDFGHPPPGGGGEAATAEGDVHAFGALVLELVTGQAGWDEASVSWARGIVRDGKALDIVDPRVRDEATAGPEAEREMVELMRVGYLCTAPSPDKRPTMQQVVGVLKDIRAAPATPTGAQSQSAA >KQL09467 pep chromosome:Setaria_italica_v2.0:IV:3596796:3597373:1 gene:SETIT_007629mg transcript:KQL09467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGQLVLVAGLAFLVLGAQDHVKHGPAEAAAGLFITATPGPEVISSPAQPLLAEADQRPPVPPSGPSDQFNGDENGEKPSLGGTGGRRLVEGP >KQL09250 pep chromosome:Setaria_italica_v2.0:IV:2127061:2132300:-1 gene:SETIT_005997mg transcript:KQL09250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNNKVDSLSYDVEAPPPQGASAGSAAAAAPPPAPAHHHAHAPAAPVMREGAAVMELHKVSLPERRSTAKALRQRLAEVLFPDDPLHQFKNQSSARRLVLALQYFFPIFQWGSAYSPRLLRSDLIAGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSLLGSSRDLAVGPVSIASLVMGSMLREAVSPDDQPILYLQLAFTATFFAGVFQASLGFLRLGFIVDFLSKATLTGFMGGAAIIVSLQQLKGLLGIVHFTSHMGFIDVMRSVFKRHDEWEWQTIVMGTAFLAILLLTRQISARNPKLFWISAGAPLASVIISTILSFIWKSHSISVIGILPRGVNPPSANMLTFNGSYVALTIKTGIMTGILSLTEGIAVGRTFASINNYQVDGNKEMMAIGIMNMAGSCASCYVTTGSFSRSAVNYSAGCKTAVSNIVMAAAVLVTLLFLMPLFHYTPNVILSAIIITAVVGLIDVRGAAKLWKVDKLDFLACMAAFLGVLLVSVQMGLAIAVGISLFKILLQVTRPNMVVKGLVPGTQTYRSVVQYREAVRVPAFLVVGVESAIYFTNSMYLVERVMRYLRDEEEMALKSNQSSIRCVVLDMSAVAAIDTSGLDALSELKKILDKRNIELVLANPVGSVAERMFNSAVGETFGSDRLFFSVAEAVAAGACKAQP >KQL09987 pep chromosome:Setaria_italica_v2.0:IV:7984561:7985748:-1 gene:SETIT_008813mg transcript:KQL09987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLRKRILSHLLRPPSPVSAAHISPLFSPHRLLSATKCVAPNPFAIEDYLVSTCGLTREQALKSSKWISHLKHPSNPDAVLAFLSDLGLSRAEVATVVAKDPRVLCADVGRTLAPRVAELADLGLSRPDIARLFILGQNHFRHSSLRLNLEFWISVFGSLDQFLQALKINGALLSKSIEKVAKPNLALLEECGISVSDVTNPNAFLYRMLTTSPKHLQEALTRVHEFGIHPSSSAFSRGLRTFAVLSSEKLTKNIQLLEKLGWSRDAISLAVRREPTILGLTEERVRRSLEFLIGDVGLEIPYIARMPALMNYSIDRRLLPRNCLMNFLKAKGLFSAEFSFFSIATISNEKFLHKYVRPYEESFPGLAAAFASSCAGKHQWEQLYETTCKKRNS >KQL11435 pep chromosome:Setaria_italica_v2.0:IV:33598275:33598814:-1 gene:SETIT_008938mg transcript:KQL11435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAGVLRSLLLQCDSPRMGRCRRTARPWCRGRRYVVL >KQL10707 pep chromosome:Setaria_italica_v2.0:IV:23899548:23905379:1 gene:SETIT_008534mg transcript:KQL10707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDGDEDDQDEWLHQNDTYAYKRSPSFCCRQGKVHIYILKVPHELRQLFTSQTIRDAKYFRKHIRYFNSHFSFTSFGVSIDQRLANAKGSGVYFFKAHGGKGLQHMQLYFYDSDDSIAHRVNRSPNLDENLIRLIRGILLRLNPYVHLFTSLGIIKNVQEYTIELNTSIGVDQRRFDRSIVIYGKSNDAHYIRAYHGCYDPLAYPLFCLGSEIETGGSHRWVSAREYKCYKLHIGEGQFNVFFHAGCLFQQLLVDWYIKVESMCLDWYSKPTHQVLIRADLYQGLLDTLATGEANASKVGLRIVLTKQFSRSDRDVQSWFMDDMTLVTRYGKPDYFVTMTCNPYWDEIVAELLPGQTLQDRPVVVARVYHAKLLDFHDFLIKKGHLGTVVAWAHMTEFQKRALPHEHFLLVMESGSKLKSPDDYDKYISAEISDPNKYPRLHELVVKHMMHGPCGTLNKNCPCMVDKVKVRGEELDNRWVAPYNPVLLMRYNCHINVEICSSIKSVKYLYKYIYKGHDRTSFSVDEKGNECRVINEIRQYRDARMITAIEAVYRLFGFKLHSMWPPILQMQVHLPGFDMVAYKATDNLQDGVDLAKSQRSMLTKYFKLNERSAKACKCLYKEFPDYFMWNKSRKYRKPKVAKKRLQIGILVYVNPNEGDRYYLRVLLNHVRGATSFDSLKTWRGITYDTFRAVAEAMGFVDTDKSLDDCLTECAMVRFPSSLQRLFATIMVFCECANIRHLWAKQLVLCDISSHLKSMGKDIRHYGIPELHESGKIVIEIWFFFVDGPGGTGKTYLYKALLAKVRSMDLIAVATATSGIAASIMPSGHTSHSRFKIPIKLDDSTMCSFTKQSGTTKLLRRASLIIWDEVAMTKRQCVEVLPVVARGTRAHITDATLLKSYIWESVRRIRLTQNMRAQFDTWFADYLLRIGDGTEETFGDEYVLLPDDIYIDSPSEDICIDALIDRVFPNLADNCRSASYMRERAILSTRNEHVDAVNALMIDKFLGTKQVYYSFDSAEDDTRNSYPLDFLNSITPNAPHKLTIKKNCSVILLRNLDPHNVLCNGTQLIVRGFQKNSIDAEIFKHKQFPVRLSFAMTMNKAQGQTIPNVCIYLPESVFAHGQLYVALSRGVSHETT >KQL09057 pep chromosome:Setaria_italica_v2.0:IV:986170:986829:-1 gene:SETIT_007850mg transcript:KQL09057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVDGGGDGENPAAFRCIDAVRYTVALVVTVLIVSVIVNAIKFVLRSDPLHISVVGGLVSTVKLSPPPPPSLTLEFNLRAQNPSGRARMYYVNITAYFFDSNTSASTTDPVYDSMVYLKPKKIPDIVVSEQLAVDSFVSVKVTNGSMPVYFDPLYGGEHMRDVTLRLDGNLTTEVLYGTTNTRPTTYYCEKLLLGGSKDDEAFRGTQDVGCRHEHPS >KQL10610 pep chromosome:Setaria_italica_v2.0:IV:20132094:20135603:-1 gene:SETIT_006141mg transcript:KQL10610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGERPKLVRGLRQDSRRFRLLVIVVGFFLVSLTFVVISKPDAILFNLNGKLPVDQAPTSILIKQKVNSPPATSRKTSTDALRGDPRVVDDEADVRPKGTKGEEEESRVLSEPDPTSGMTEHTPNKDGSGRKSDEETLGGGGDGEGKRKEGEERGHAAEKHKVTLPTVSNYTIHDTEDTENGKQDDGSSDLQGSKPLCDFSNFRANVCEMRGDVRVHPNATSIMFMEPAGSRRDELWKIKPYPRKGDEFCLSHITELTVKSSKVAPECTRYHDVPAVIFSLTGYTGNLFHDFTDVMVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHVIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRGAYSLGRDTVTVLGEYPKVKPRLLIIKRHRTRMFLNLDEIIAMAEDLGFEVVIDEANVSSDISKFARLVNTVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLEWVSRTDFGNPAELMGLHYKQYSIGVDESSLTEQYPRDHEIFKNPIAFHKHGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >KQL10611 pep chromosome:Setaria_italica_v2.0:IV:20132354:20135314:-1 gene:SETIT_006141mg transcript:KQL10611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSGERPKLVRGLRQDSRRFRLLVIVVGFFLVSLTFVVISKPDAILFNLNGKLPVDQAPTSILIKQKVNSPPATSRKTSTDALPGGDPRVVDDEADVRPKGTKGEEEESRVLSEPDPTSGMTEHTPNKDGSGRKSDEETLGGGGDGEGKRKEGEERGHAAEKHKVTLPTVSNYTIHDTEDTENGKQDDGSSDLQGSKPLCDFSNFRANVCEMRGDVRVHPNATSIMFMEPAGSRRDELWKIKPYPRKGDEFCLSHITELTVKSSKVAPECTRYHDVPAVIFSLTGYTGNLFHDFTDVMVPLFTTASEFNGEVQFLITDMALWWTIKYQTVLQKLSKYPVIDFSKDDQVHCFKHVIVGLHAYMEFTIDSSKAPHNYSMVDFNRFMRGAYSLGRDTVTVLGEYPKVKPRLLIIKRHRTRMFLNLDEIIAMAEDLGFEVVIDEANVSSDISKFARLVNTVDVMMGVHGAGLTNCVFLPQNATLIQIVPWGGLEWVSRTDFGNPAELMGLHYKQYSIGVDESSLTEQYPRDHEIFKNPIAFHKHGFDFIRQTFMDKQNVKLDCKRFRPILLEALDNLNP >KQL11404 pep chromosome:Setaria_italica_v2.0:IV:33237407:33240292:1 gene:SETIT_006771mg transcript:KQL11404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPLTCGSVYRDPTCLRPRVSGSFGPPAAASPPISLPSARRPREGETLARVLPAATAAAVDQYCALLPRARHGRRRPQAGRAGGRQRRGRPQARESTAGCPFGASCHFLHNFPGGYQAVAKMTNLGGPPVPAPPARMPMGHGAPDGPPSPAVKTRMCNKYNTAEGCKWGSKCHFAHGERELGKPMQMDNSMGAPPMGPGPNGHFMAPPMPVPDMVPPSTFGASATAKISVDASLAGGIIGKGGTNTKHISRVTGAKLAIRDNEADPNLKNIELEGTFDQIKHASAMVTDLIVRISGKAPPQAKNNPGRGPHAGGAGSNFKTKLCENFNKGSCTFGDRCHFAHGESELRKPAAA >KQL11997 pep chromosome:Setaria_italica_v2.0:IV:38078164:38078746:-1 gene:SETIT_007812mg transcript:KQL11997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVLAGVICFVYRHEVAMLAETRTSGDKVCKKYMITRKLISKIGIL >KQL11486 pep chromosome:Setaria_italica_v2.0:IV:34096279:34099616:1 gene:SETIT_008197mg transcript:KQL11486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPAGLLASWKNDSNQFCSWFSVTCSKRHSSRVIALDLESLELYGQTPPCIANLTLLARIHLPNNQLSGQIPAELGRLKRLQYLNPSFNNLSGMIPNTLSSCFRLQNIDLASNSLGGSTPEGLGTLSSLSVLCLDGNAPMGNIPLSLGSSSSLVYIGLSHNSLTGPIPPLLANSSSLQMLSLTNNHLTGEIPMAQFNSTSLQILALGLNKFFGSIPAFANTGSPLQHLILQSNGLAGTIPSTLGNFSLLCYLLLGHNKFHGSIPSSIGEIPDLRLLDMTYNRLSGTIPASVYNMSALTYLGMGKNSLTGELPYSIGYTLPNIRALIMQENQFRGQIPTSLANTTNLQVLNIRYNAFHGIIPPFGTLSNLIELKLGMNQLEAEDWSFLSSLANCRQLVRLYLDGNILQGILPSSVVGLSKSLEVLFLATNKISGTIPQEIEHLSNLTLLRMEQNLLTGNLPSSLGNLQNLYLLSLSQNKLSGQIPLSVKENNLSGPIPGALGLCKNLETLNLSYNSFYGSIPIEIFTLSSLSKGLDLSHNQLSGKIPLEISGLINLGTLIISDNNLSGRIPSTLGECVHLESLHMEANLLDGTIPESFANLRGIIMMDLSQNNLSGKIPKFIENFNDMKLLNLSFNDFEGQVPTGGIFQNASEVFIQGNKKLCARSPLLQLPLCNSKESRERHTLNILKILGLLSLCLALLSCFTVVILKKRKNVKQAAHPSCKELKKFSYADLVKATDGFSLANLIGSGKYGSVYKGRFELEEHTVAVKVFKLDQLGVPKSFLAECEALRNTRHCNLVRVISACSTFDPSGLYPLTLGSRITIAMDIASALDYQHNHCMPPIVHCDLKPSNILLDDDMGAHLADFGLAKFLHSLGNSCHNSSTSLLGPRGSIVYIAPEYDFGSKPSTEGDVYSYKIIILEMMTGRLPTDKMFTNGLDLHKYVLDACIASGFDDGEVANDLDHKNQTTAEAKDCIMRLFKLGLACSLETPKGRPTMQEVHAEVITIKEAFAALCG >KQL11121 pep chromosome:Setaria_italica_v2.0:IV:30923754:30924566:1 gene:SETIT_007955mg transcript:KQL11121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLSSLVFLFLLLPAAGNAAATAAATPPSSGNNAFNVTEILRRYPEFKLFNLLLSKTRVAREINSRSSVTILVPDNSAVDWLLRRSTRLARTSLVELMSVHVVLDYIDDAKLAALPRGQPTVVTTLFQTTGTARNRTGFLNVTAAPRGGAAFVSAAPGSLVSATFKRTVTAKPYNISVLQISNFVVPPGIVTRPLPPPAPRMRQMAIAPSPAPTAPRLPPPTLPASGGDTSEAPDAAEAPAPSRGHVAKVTSWWIGAAAGIVCMIGFL >KQL12379 pep chromosome:Setaria_italica_v2.0:IV:40256863:40257588:-1 gene:SETIT_008227mg transcript:KQL12379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIILVFLSLIFAIVAAAEASSTQQPPSPATKKSIDDLTSATKKDIDDLTLLFQEVTDAINTATPPAKKPEATRASSKHIQTAESDVAKAAKAGDEEKLAHLILAYRMASAMVIHAPPAERLKVMEDTFNSAAAPNPYECPNVDKAYCETRSKVNKAILGVVAAASPEQKKLWDKDSTLPKSMHTAMSTVNKAYADGDDKEIARVLAAYNKAADSVIAAPPSDKLKVMESTFKHAAASGA >KQL08954 pep chromosome:Setaria_italica_v2.0:IV:426139:426531:1 gene:SETIT_008871mg transcript:KQL08954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCFVKSIPVDLSSHHQVGQKYYQSLNNSEYERYFH >KQL12107 pep chromosome:Setaria_italica_v2.0:IV:38782963:38783639:-1 gene:SETIT_008341mg transcript:KQL12107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAQAVVAVALAAILSTPAPQPDTFSNIPPTLSGGDGKAERIKHPKSAKALQCTTKCVGTCIRGGGGAPGEGPLNVRRPLVVFKDGFRTRQYCLIECSDICNRIQDGKDGP >KQL09897 pep chromosome:Setaria_italica_v2.0:IV:7191726:7194889:-1 gene:SETIT_006495mg transcript:KQL09897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNQLILSNLDIDRGYVYKFPSELPMSHDLGLSLFSHAGTVMGTSLRHHRKICSSGNVMVHGAFNRLNKLSRAIFCWLSRPSDPKVFHWLSAIAASGSRSCQLRMKQVSSHMQNLTRLQFGFLVREEQAMQLLLARLANATIVRLCNDFEKQGACNLLTLAGAAAIVPPLETISPIMLAEAIALRNTDGYISRPVGQPYVEGKCVSCASPPVPSTIFKEDAIEPKTGIKFPPFLEDDSSSSATVLVGVGLKGMRVMRVKNLNLYAFGLYVQPNSIHEKLGPKYASVPTDKLMENPDFYRDLLRYIDISLYHHFYIVFRFCFVIIPKVCYLP >KQL09896 pep chromosome:Setaria_italica_v2.0:IV:7192974:7193882:-1 gene:SETIT_006495mg transcript:KQL09896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNQLILSNLDIDRGYVYKFPSELPMSHDLGLSLFSHAGTVMGTSLRHHRKICSSGNVMVHGAFNRLNKLSRAIFCWLSRPSDPKVFHWLSAIAASGSRSCQLRMKQVSSHMQNLTRLQFGFLVREEQAMQLLLARLANATIVRLCNDFEKQGACNLLTLAGAAAIVPPLETISPIMLAEAIALRNTDGYISRPVGQPYVEGKCVSCASPPVPSTIFKEDAIEPKTGIKFPPFLEDDSSSSATVFSFFLES >KQL09894 pep chromosome:Setaria_italica_v2.0:IV:7188924:7194927:-1 gene:SETIT_006495mg transcript:KQL09894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNQLILSNLDIDRGYVYKFPSELPMSHDLGLSLFSHAGTVMGTSLRHHRKICSSGNVMVHGAFNRLNKLSRAIFCWLSRPSDPKVFHWLSAIAASGSRSCQLRMKQVSSHMQNLTRLQFGFLVREEQAMQLLLARLANATIVRLCNDFEKQGACNLLTLAGAAAIVPPLETISPIMLAEAIALRNTDGYISRPVGQPYVEGKCVSCASPPVPSTIFKEDAIEPKTGIKFPPFLEDDSSSSATVLVGVGLKGMRVMRVKNLNLYAFGLYVQPNSIHEKLGPKYASVPTDKLMENPDFYRDLLRENLHMRVRLVVNYNGLSVGAVRDVFEKSLGLRLQKMNPNTDYHCLKTFGSHFTEDIPIPAGTKIDFCQTSDGKLITEIDGRQIGAVQSKDLCKAFFDMYIGDSPISLEAKRNIAQNVAGLIARR >KQL09895 pep chromosome:Setaria_italica_v2.0:IV:7188924:7194889:-1 gene:SETIT_006495mg transcript:KQL09895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNQLILSNLDIDRGYVYKFPSELPMSHDLGLSLFSHAGTVMGTSLRHHRKICSSGNVMVHGAFNRLNKLSRAIFCWLSRPSDPKVFHWLSAIAASGSRSCQLRMKQVSSHMQNLTRLQFGFLVREEQAMQLLLARLANATIVRLCNDFEKQGACNLLTLAGAAAIVPPLETISPIMLAEAIALRNTDGYISRPVGQPYVEGKCVSCASPPVPSTIFKEDAIEPKTGIKFPPFLEDDSSSSATVLVGVGLKGMRVMRVKNLNLYAFGLYVQPNSIHEKLGPKYASVPTDKLMENPDFYRDLLRENLHMRVRLVVNYNGLSVGAVRDVFEKSLGLRLQKMNPNTDYHCLKTFGSHFTEDIPIPAGTKIDFCQTSDGKLITEIDGRQIGAVQSKDLCKAFFDMYIGDSPISLEAKRNIAQNVAGLIARR >KQL09777 pep chromosome:Setaria_italica_v2.0:IV:5952430:5953941:1 gene:SETIT_008546mg transcript:KQL09777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPAATKPALPWISPLQYRSPARGSPPSPPPPPPPPSPPPPRYLHHPELARLIASSPSAQRALDLFNAASSQRGFSHTPATFSALLVRLARARLPRAAAAVLRRAASEPCRFQEPLLLPLARLLPPDHALALLRLLPTLLGRSRVSHKALAVCLDRLVSSRGCSGVLDELLADLRDPRNKYLPRPNTCVYNILIKHYVKSGELETAFKVLDEMREYTCADVKPNLVTYSTLIGGLCRGGKMKEAFDLFEDMIEKDRIVPDQLLYNVIIDGFCKLGQVEKAHAIFGFMRKNECEPNAFNYATLINGHCKKGDIQAARSVFEEMASAGVEPDAVSYTALIGCLCRHGSVDEGINLVLEMKGKGCKADVVTYNLVIEGLCKDGRMMEAMDLLESVPLEGVQLNVASYRIVMNCLCSRGEMDKAVGLLGLMLGRGFVPHYAASNNLLIGLCDAGRLANATMALYGLADMGFVPEASCWEKLVETVCRERKQRRSTELLNVLIGVG >KQL11383 pep chromosome:Setaria_italica_v2.0:IV:33094851:33097365:-1 gene:SETIT_007953mg transcript:KQL11383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSLPLFLLLLLLLSGAGIGGGVRLGNGGYEDWRLGTATYVKEFQSHPLNDGGGACGYGDLDIFRYGRYTAGLSAALFGRGGACGGCYELRCVNDIRGCLRASPTVVVTATDFCPANMGLADEAGGWCNFPREHLELSEAAFLRVAKAKASIVPVQFRRVSCDRAGGMRFTITGSAHFLQVLITNVAADGEVAAVKVKGSRTGWIPMGRNWGQNWQCDADLRGQPLSFEVTGGRGRTVTAYSVAPADWMFAQTFEGKQFVE >KQL11664 pep chromosome:Setaria_italica_v2.0:IV:35706529:35710246:1 gene:SETIT_006784mg transcript:KQL11664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSASPAPAPAGESLRQKRILSSKLYLEVPSSKAPVVYSPAYDISFLGLEKLHPFDSAKWGRICRYLTREGHLEKKQVVEPLEACKEDLLVVHTEAYLNSLKCSFRVASIVEVPPVSLVPNWIVQKKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNISRLLIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFDFTAKQYIDQKVELAVCKSRFQPQLIVYNAGTDILDGDPLGRLKISPEGVITRDEKVFRFAKDQNIPLLMLTSGGYMKSSARVIADSIINLANKNLIELGSQLG >KQL11662 pep chromosome:Setaria_italica_v2.0:IV:35706529:35708895:1 gene:SETIT_006784mg transcript:KQL11662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSASPAPAPAGESLRQKRILSSKLYLEVPSSKAPVVYSPAYDISFLGLEKLHPFDSAKWGRICRYLTREGHLEKKQVVEPLEACKEDLLVVHTEAYLNSLKCSFRVASIVEVPPVSLVPNWIVQKKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNISRLLIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFDFTAKQYIDQKVELAVSFILQTANYARASTFELNFSL >KQL11665 pep chromosome:Setaria_italica_v2.0:IV:35706529:35710246:1 gene:SETIT_006784mg transcript:KQL11665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSASPAPAPAGESLRQKRILSSKLYLEVPSSKAPVVYSPAYDISFLGLEKLHPFDSAKWGRICRYLTREGHLEKKQVVEPLEACKEDLLVVHTEAYLNSLKCSFRVASIVEVPPVSLVPNWIVQKKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNISRLLIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFDFTAKQYIDQKVELASGTKTDEYLELLDKALEVCKSRFQPQLIVYNAGTDILDGDPLGRLKISPEGVITRDEKVFRFAKDQNIPLLMLTSGGYMKSSARVIADSIINLANKNLIELGSQLG >KQL11663 pep chromosome:Setaria_italica_v2.0:IV:35706529:35710246:1 gene:SETIT_006784mg transcript:KQL11663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSASPAPAPAGESLRQKRILSSKLYLEVPSSKAPVVYSPAYDISFLGLEKLHPFDSAKWGRICRYLTREGHLEKKQVVEPLEACKEDLLVVHTEAYLNSLKCSFRVASIVEVPPVSLVPNWIVQKKLLYPFRKQVGGSILSAKLALERGWAINVGGGFHHCSAEEGGGFCAYADISLCIQFAFVRLNISRLLIIDLDAHQGNGHEKDFANDGRVYILDMYNAGIYPFDFTAKQYIDQKVELASGTKTDEYLELLDKALEVCKSRFQPQLIVYNAGTDILDGDPLGRLKS >KQL11829 pep chromosome:Setaria_italica_v2.0:IV:37067585:37068796:-1 gene:SETIT_007274mg transcript:KQL11829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCLRQAAAAATSSPLAATIRKNSPSSLTHGRLSFSHTSLQTTPNHRGNRAGWAVRVLPLTEENVEMVLDEVRPSLMADGGNVALHEIDGLVVVLKLQGACGSCPSSTMTLKMGIETRLRDKIPDILEVEQIVDTETGLELNTDNVEKVLDEIRPYLSGTGGGSIELLQIDGYVVKIRIGGPAAGVMTVRVAVTQKLREKIPSILAVQLTE >KQL12191 pep chromosome:Setaria_italica_v2.0:IV:39327682:39333506:-1 gene:SETIT_005962mg transcript:KQL12191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDPSPHLPAPVAPPGAAEVDPQSQPAAPPAEPPEVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDASEVSQQKLLSLVAERLIDSNSAVQDKDEEYVRNREQNIADAIDLLPLLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTETASAIGSKSYNALASGLAEFKSGKPTEENKHMEEETVDFAAATTATLKIPSPSVSQGRSFDEHTLSDSEAQIRRGDREEEEELMRVLNLSKAETVSFDTSHSHSSSNMEETTQSESFRSEAPEVVEATKKEEHGNHAVSDDGSVLPVTNGAVNGSEVPEESQEALISKEPEDSGIENMLPGDLDISVQSSESTPACPSHESFVPSDHQPAAPTLVEADKENFKEQFDVQIHGQSTDIEVTCDSSVVACEAAPGDVTTKLDENSGSLDSSEPLPSSIQECEPIYQGEEHILGSTNVAFENQEPVYEGEVVLAEQADKSGEASDCLEDKATEHQWELIDNFLQTTANQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFISQTDLVWQKLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADYISQFDNSTSGKYVLPTYLFLLCLIVQL >KQL12193 pep chromosome:Setaria_italica_v2.0:IV:39327682:39333506:-1 gene:SETIT_005962mg transcript:KQL12193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDPSPHLPAPVAPPGAAEVDPQSQPAAPPAEPPEVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDASEVSQQKLLSLVAERLIDSNSAVQDKDEEYVRNREQNIADAIDLLPLLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTETASAIGSKSYNALASGLAEFKSGKPTEENKHMEEETVDFAAATTATLKIPSPSVSQGRSFDEHTLSDSEAQIRRGDREEEEELMRVLNLSKAETVSFDTSHSHSSSNMEETTQSESFRSEAPEVVEATKKEEHGNHAVSDDGSVLPVTNGAVNGSEVPEESQEALISKEPEDSGIENMLPGDLDISVQSSESTPACPSHESFVPSDHQPAAPTLVEADKENFKEQFDVQIHGQSTDIEVTCDSSVVACEAAPGDVTTKLDENSGSLDSSEPLPSSIQECEPIYQGEEHILGSTNVAFENQEPVYEGEVVLAEQADKSGEASDCLEDKATEHQWELIDNFLQTTANQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFISQTDLVWQKLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADYISQFDNSTSGNSDLELAIALQQQEFERQPQRFQPPPPQQQQQQQQQPPTQHQATQSGRPGLVVGPRRPNAPPPPRSESKKEKCIVM >KQL12192 pep chromosome:Setaria_italica_v2.0:IV:39327931:39333506:-1 gene:SETIT_005962mg transcript:KQL12192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDPSPHLPAPVAPPGAAEVDPQSQPAAPPAEPPEVMHRTRAVDFLGRRTPIVYQNDNGPCPLLAICNVLLLKNVISLNPDASEVSQQKLLSLVAERLIDSNSAVQDKDEEYVRNREQNIADAIDLLPLLATGIDVNVMFRKIDDFEFTRERAIFDLLDIPLYHGWIVDPQDTETASAIGSKSYNALASGLAEFKSGKPTEENKHMEEETVDFAAATTATLKIPSPSVSQGRSFDEHTLSDSEAQIRRGDREEEEELMRVLNLSKAETVSFDTSHSHSSSNMEETTQSESFRSEAPEVVEATKKEEHGNHAVSDDGSVLPVTNGAVNGSEVPEESQEALISKEPEDSGIENMLPGDLDISVQSSESTPACPSHESFVPSDHQPAAPTLVEADKENFKEQFDVQIHGQSTDIEVTCDSSVVACEAAPGDVTTKLDENSGSLDSSEPLPSSIQECEPIYQGEEHILGSTNVAFENQEPVYEGEVVLAEQADKSGEASDCLEDKATEHQWELIDNFLQTTANQLTVYGLFCLQEGLNERELCVFFRNNHFNTMFKYNGSLYLLATDQGFISQTDLVWQKLDEVNGDGVFLTSNFTPFKAETPRNDSWNEQQAMTSTADYISQFDNSTSGNSDLELAIALQQQEFERQPQRFQPPPPQQQQQQQQQPPTQHQATQSGRPGLVVGPRQRPNAPPPPRSESKKEKCIVM >KQL09068 pep chromosome:Setaria_italica_v2.0:IV:1031071:1032061:-1 gene:SETIT_007849mg transcript:KQL09068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLVGSERRVLISGYGLPAQAPPPPESLLGRLDQIDLRLRQLEEQRRPAPAADDDGRRAQPHQVHHHHTKSLPSALQHQHVQVRGTLMDRLNLLESRIRQLSCELDLDIGGKAGGYAAAAAAAQLGMMGGSSSVAAPPAVEDPAWSDTAPMLEPCRDPAAAVMSSAPATKSAAAAADGSWSAVEILQRGARQLHRSKSSATNKVKNLKEAKCACQKEKRKAERVRTGRRWFPVGC >KQL12224 pep chromosome:Setaria_italica_v2.0:IV:39524133:39525290:-1 gene:SETIT_007255mg transcript:KQL12224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRSGRHLVSPLTVPQGGHHHRQRLRGSDDRIDSLPKDLLLQVLVRLKHAERAACVGAVCRSWHGLWIELPELTFGFVKPRMLEAVLAKVKRGAQVSLLLRAATRLVPEKLMFHVWNHLTLEDDPIELPCFDRTSSLALEVMGFHLLPPLSGEFTALKSLSLSDCRGIDIGALLPLCPSLRVLNMYHLVEVGTMMVHSASLEELNLETKKYWLHPN >KQL11351 pep chromosome:Setaria_italica_v2.0:IV:32832288:32834402:-1 gene:SETIT_008445mg transcript:KQL11351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVLLGPPIIRGARPATDTDAPASHPFLDLLDAGFNDDAPDAAKAPRKAFTENGSATYAGSGNPCLDLFFQVVPGTPAYRVRDLVAAAWARDPLTALKLVANLRGVRGTGKSDRDGFYAAALWVHERHPRTLACNVPALAEFGYLKDFPELLYRLLHGADVRAVAKARADAEKGRRAVKVRLARFAIRRQRVLEFRAATTVPRPRGPTLSDYVTAALSKTKTKTKKAKRSRKAAAVAPVDTEEAMEVEQKPEATEVAEKLEAMEVDQKAAPQPPQEEVPATKKKVISKKVRKAAKLAVQSLETYYGDRAYRFLFDCIAEFFADLLASDLKQLAPGGKKRKIGLAAKWCPTPGSSFDRSTLLCEAVSRRLFPRDSNPDYADLSEEHYSYQVLHRLRREVLVPLRKVLELPEVYMSAQRWSDLPYTRVASVAMRRYKALFKKHDEARFGKYLEDVAAGKAKIAAGALLPHEIAAAAYAGLKDDVSELQWRRMVDDLREKGSLSNCIAVCDVSGSMTGTPMEVCVALGLLISELSEKPWAGRVITFSERPEIHEIKGDTLQEKLSFVRTMEWGCSTNFQGVFDRILSTAVDARLAREKMIRTVFVFSDMEFNEASAYSRWETDYEAICRKFQEAGYGDVVPQIVFWNLRDSLSTPVTSTQPGVAMNLVKLFLENDGVVNPEAVMEAAIAGKEYQKLAVFD >KQL10312 pep chromosome:Setaria_italica_v2.0:IV:12752319:12761149:1 gene:SETIT_005896mg transcript:KQL10312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSMPPPPPRNPNPSSSTTSMPPPPPPNPTSSSMPPPPPPNPSPPPPRPEAESQATSSAAEVEGRGGPTPSSSMPPPPPPKPAPPVPEPEARAEGSAGPSPSASDSSAEEVPNPSGASSGDTEMEEAAAPPAERQKQQRPRAPYVIPEWSAAPDHPFFLEVLKDGTIVDQLDVAKKGAYMFGRIDMCDFVLEHPTVSRFHAVLQFRNDGKVFLYDLGSTHGSFINKSQVKKKLYTEIHVGDVIRFGQSSRLYIFQGPSELMPPEKDMQKLRDAKIQQDMLDREASVLRAKTQAALAEGISWGMAEDAIEETAEDDADEITWQTYKGQLTDRQEKTRSKILKRMEKIANMKKEIDAIRVKDISQGGLSQGQQTQIARNEQRISQIMEELDNLEETLNDSIRESVGARSGNANRGSHKASLEEEDDVLSDNDEFYDRTKKKPSQKSNEQQSVETADSLLEKKDSITGDIENKKKLLEEEKHKLAQGSTADLGDDLDAYMSGLSSQLVHDKIAQIQKEIFDLQAELDRVVYLLKIADPIGEAARKRDLKPQEARPPASNDNPRPEAKKQNKVVKTTSAEKPKDSSNETATNKPAKVETDASKNQENGSKPAFSIPKPQWLGDKRIIEPEEKFINEEKSDAEEPDNFVDYKDRKAILSNSGSGKDLEEAAPGLILRKRKSTDQSASSEANSSSVESEASVADAVALLLKHKRGLQTSEETENEDEPHASKREGKKSKQKRVLGPARPDFLEAGPDSETWVPPEGQTGDGRTALNDRLGY >KQL10324 pep chromosome:Setaria_italica_v2.0:IV:12927864:12928613:1 gene:SETIT_008357mg transcript:KQL10324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDPKGPRAGASCTMPTEPTAVAKEAAATELPPVPSTEPPVIREQDRLMPVANVSRIMRRGLPPHAKISDDAKEVIQDCVSEFISFVTGEANERCHTEHRKTVTAEDLVWALDRLGFDDYVGPLNAFLQRMREIEGGGDGGRGSSWRGPRRGSSLQVALHSAQTIRPAVYRHPAYAVGPVPRPVPGSTAAARFGGRYQMPSVGGQRSMAPYYGGAAFQAGGSRHGAFYADEASSSNEAPPAPRAGSRR >KQL09911 pep chromosome:Setaria_italica_v2.0:IV:7427781:7430487:-1 gene:SETIT_007925mg transcript:KQL09911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAARDLAGIEAARTSRWEVRRSVEAVVTLAGEKGDSNVSWKPSMSIFRLFLACMVSGGIQYGWALQLSLLSPYSQVQPIVGYYSDRCTAKIGRRRHFILAGCIIICLSVMMIGFSADIGRHLGDTKEHCSTSKGSRWSAAAVYIVGFWFLDFANNTVQGPARAMMADLSAGQHGPNVGQSIFSLWMALGSVLGYLSGANAKWHEWLPWLKTAACCDACANLKGAFLTAVILIIISMSVTLALAGKEQLAKDDVDVSSGGACSAFADLYSTELATQNKTTDVCDPLVLFL >KQL11705 pep chromosome:Setaria_italica_v2.0:IV:36195290:36195451:-1 gene:SETIT_007833mg transcript:KQL11705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPVFVGCHLSQIVVVLNNLSQTLHRSCENRDTWNLNTDRSRYLQISVLHID >KQL08903 pep chromosome:Setaria_italica_v2.0:IV:193084:206043:1 gene:SETIT_005746mg transcript:KQL08903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSLHSPVAACRHQALLTPSAFPPPSPARFSTRHRRRPRLPLSSAPAAADGDASSAVSAANQWGSPTSSVLTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSAIGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLQPVSVEITYGLERILMSLQGVDHFKNIQYTEGITYGELFLENEKEMSAYYLEHADVDRIQNNFDDFEEEARSLLSLGLPIPAYDQVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVETRENLGHPLGTYEEANLIYPHVSEKPNREGVTGQPRAFVLEIGTEELPPRDVVEATKQLEKSVVNTLEKRRLSHGEVHSYGTPRRLAIVVENLSMKQTEVEVELRGPPVAKAFDQDGNPTKAAEGFCRKNNVSVDCLYRRIDGKTEYIYARVRESARFADEVLTEDIPTIISGISFPKSMRWNSNIVFSRPIRWILALHGDFVVPFSFAGISSGNSSCGLRNSSVANFKVETAESYLSAVEKAGLLIDMQERKERVLRDSTILAKGVGGDFIAPDSLLQEVVNLVEAPMPILGQYDDSFLELPKDVLITVMQKHQKYFAVTSKSTGNLLPYFIAVANGAIKEEVVRRGNEAVLRARYEDAKFFYKMDTQKKFSEFRGQLNGILFHEKLGTMLDKMTRVENIVSELTLILGINEGMIPIIKDAAALAMSDLATSIVTEFTSLAGVMARHYALRDGIPEEIAEALFEIALPRFSGDVFPRTDAGIVLAVADRLDSLVGLFGAGCQPSSTNDPFGLRRVSYGLVQILVENKKSFDLRRALTLMAEVQPIDIDIDVIDEAVQFVTRRLEQLLVDEGINCEIVRSVLMERANCPYLAAQTATEMEAFSRTETFPKIVEAYSRPTRIIRGKEIESALEVDPSVFEKDEEKVLWDAYLEVADKIHPGVDIKTFADASLLLIQPLEDFFNNVFVMAEDERIRNNRLALLRKIESLPKGIAELSVLPGF >KQL08902 pep chromosome:Setaria_italica_v2.0:IV:193084:204249:1 gene:SETIT_005746mg transcript:KQL08902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSLHSPVAACRHQALLTPSAFPPPSPARFSTRHRRRPRLPLSSAPAAADGDASSAVSAANQWGSPTSSVLTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGDNPNRLQRHTQFQVILKPDPGNSQDLFLHSLSAIGINVREHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQSGSLPLQPVSVEITYGLERILMSLQGVDHFKNIQYTEGITYGELFLENEKEMSAYYLEHADVDRIQNNFDDFEEEARSLLSLGLPIPAYDQVLKASHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWVETRENLGHPLGTYEEANLIYPHVSEKPNREGVTGQPRAFVLEIGTEELPPRDVVEATKQLEKSVVNTLEKRRLSHGEVHSYGTPRRLAIVVENLSMKQTEVEVELRGPPVAKAFDQDGNPTKAAEGFCRKNNVSVDCLYRRIDGKTEYIYARVRESARFADEVLTEDIPTIISGISFPKSMRWNSNIVFSRPIRWILALHGDFVVPFSFAGISSGNSSCGLRNSSVANFKVETAESYLSAVEKAGLLIDMQERKERVLRDSTILAKGVGGDFIAPDSLLQEVVNLVEAPMPILGQYDDSFLELPKDVLITVMQKHQKYFAVTSKSTGNLLPYFIAVANGAIKEEVVRRGNEAVLRARYEDAKFFYKMDTQKKFSEFRGQLNGILFHEKLGTMLDKMTRVENIVSELTLILGINEGMIPIIKDAAALAMSDLATSIVTEFTSLAGVMARHYALRDGIPEEVAGSFLMS >KQL11625 pep chromosome:Setaria_italica_v2.0:IV:35423470:35427068:-1 gene:SETIT_006606mg transcript:KQL11625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGLSFSSAVAKGPENWRLDILMLFAFASCICGLSFMLLSMQLLGAPETRASLHRIISRCLFYACIALPALTILSLLLVMPFKLFLYVGLAVPPLVVVTVAGVHWYVKSRSEGTTKPDITAELKEQEKEMESNSKITGALMASSFGGLVGTLAALDKQSGDTGGDTLRGTHVAIMFMFSTAVTSVLLMVLSMVALKIESRVHRRSIVGAIRHANVILLGLIAVAAFSAAFVVLRFYILTAFVSVALAAMVQFIIEHCTTAKVDADEPVEGRQDDSNDVHPDPNPIQETQLGWMADIGKQVTAWSLGGVMVIFGRFIGDSDKNHDKAAANKTCMFLLTSAFASGLGLMFLMNFGSGGRPARVVINAATNILACSALGMIAAAALAIYGVVVMKS >KQL11626 pep chromosome:Setaria_italica_v2.0:IV:35423470:35427319:-1 gene:SETIT_006606mg transcript:KQL11626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGLSFSSAVAKGPENWRLDILMLFAFASCICGLSFMLLSMQLLGAPETRASLHRIISRCLFYACIALPALTILSLLLVMPFKLFLYVGLAVPPLVVVTVAGVHWYVKSRSEGTTKPDITAELKEQEKEMESNSKITGALMASSFGGLVGTLAALDKQSGDTGGDTLRGTHVAIMFMFSTAVTSVLLMVLSMVALKIESRVHRRSIVGAIRHANVILLGLIAVAAFSAAFVVLRFYILTAFVSVALAAMVQFIIEHCTTAKVDADEPVEGRQDDSNDVHPDPNPIQETQLGWMADIGKQVTAWSLGGVMVIFGRFIGDSDKNHDKAAANKTCMFLLTSAFASGLGLMFLMNFGSGGRPARVVINAATNILACSALGMIAAAALAIYGVVVMKS >KQL11171 pep chromosome:Setaria_italica_v2.0:IV:31359234:31361948:1 gene:SETIT_006513mg transcript:KQL11171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEGKSSSGVRVCITGGAVFIGSWLMRKLLEKCYIVHATLRNTAGRGAGALAAEDRPGRSHAGGWFAGGEEEERRKKMGGRVKKQRLTGGSYGWQVGPTTNGVKRTSIQPSQPLRPNKILGRLQPAKVGWLQPNPLRPRTKHTLKERQRLVTVQLLRDPEIRLRLFEADLVGAATFAPAIAGCQFVFLVATPYGLEAASSKYKSTAEAAVDAVRAILRQCEESKTVRRVIHIASLAAASQLKEPDAGAGAYKDFISESCWTPFNVDYPLRSAHFDKYILSKLQSEQELLSYNAGESPAFEAVTLPLGLVAGDTVLRRAPETLEHAVSPVSRNELGFAFLRLLQRLLGSLPLVHVDDACDALVFCMERRASIAGRFLCAAAYPTSHDVAGHFASKFPHLDILEETEAVVVRAQRAEDKLGGDS >KQL10404 pep chromosome:Setaria_italica_v2.0:IV:14197200:14197236:1 gene:SETIT_0069842mg transcript:KQL10404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMRAKSTRT >KQL10406 pep chromosome:Setaria_italica_v2.0:IV:14197200:14197236:1 gene:SETIT_0069842mg transcript:KQL10406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMRAKSTRT >KQL10405 pep chromosome:Setaria_italica_v2.0:IV:14197200:14197236:1 gene:SETIT_0069842mg transcript:KQL10405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMRAKSTRT >KQL10105 pep chromosome:Setaria_italica_v2.0:IV:9577230:9578195:1 gene:SETIT_008312mg transcript:KQL10105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMASTTSPLLVLLSAMAVVALVAAPVSSADLPSTFADIASKIPNPWSAFRNLTGCHFGEEQQGVAKLKDYLAHFGYLPESSGFTDIFDADLEEAIKVYQRNFGLNITGVMDATTVAQMMAPRCGVADVINGTSTMGASSHAHGRNLYSYFPGSPSWPRSKKRLTYAITDTAATTIDRATLSRVFARAFARWSAATTLNFTETASARDADITIGFHAGDHGDGEAFDGPLGTLAHAFAPTDGRFHLDAAEAWVAAGDVSRASSDVAYDLESVAVHEIGHLLGLGHSSEPGAIMYPSITPRTRKVDLASDDVVGIQSLYGNK >KQL08940 pep chromosome:Setaria_italica_v2.0:IV:371972:374568:-1 gene:SETIT_007334mg transcript:KQL08940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTILASETMDIPEEVTVKVAAKVVTVEGPRGKLTRNFKHLNLDFQLQEGGRKLKVDAWFGTRRTMAAIRTAISHVQNLITGVTKGYRYKMRFVYAHFPINASITNANTAIEIRNFLGEKKVRKVDMLDGVTILRSEKVKDELILDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSDKGAINEEQ >KQL10598 pep chromosome:Setaria_italica_v2.0:IV:19627103:19636232:-1 gene:SETIT_008315mg transcript:KQL10598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDFVDLSSDDDDEEMLAREEHSVHGKGHSVHLKAEIVDLTSDEDIFEGEHLAHGQCNAAQGTALLKQEFFRDDGQAEAAQCTVKLQMQELRADDGQADGALCTATLQMQEHKEDDGQGDAAQSTTTLQMQELRADHAQCDAVQCITTLQRQELSAKFGQGDAAQCIATLQMQEHKVDVGQGDAAQSTVTLQMQELRADHGQGNAVQCITTLQRKELSAEVGQGDAGQCIATLQMQELIADDVQGDASQCAATLLMQELGADYGQGDAAQCTTTLQTEELTADDGQDTAAQFTPTLELTQDDEQGGDVPCTTALKRQQLNPDDGQDAVALCTTTLPRQKSISDGAQGDVPRITTALQRQESDAAHGKGNAAQCTTSHRQEFLAADDSMQEDVHFRNSAEATTSLSRTQEGSHRVTGFLNTSYAPTVEPFSRQFWKAGEYGVATEAAINTGQNCLRIHPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATFVKIDKMKHSPDGDYALVIEDNGGGMSPESLRHCMSFGFSQKCTTASIGRYGNGFKTSTMRLGADAIVFTCTKDNRRMTRSVGLLSYTFLTRTKCNDIFVPAVDYEFDASSSTFKRIMNCGEKHISSNLSTLLRWSPFSTEGELLDQFSDMECHGTKIIVFNLWFNDALEMELDFDTDKQDIMISGAPEIRAGRNTVERLTQMHVANRFRYSLRVYASILYLHVPENFQIILCGRAVEPHYAVNDLMYRECIKYRPQVEVTGEVDVITTIGYLSGAPRLDIYGFSVYHKNRLILITLSWGNDPSCQITYWIRSGSMHIGATYSLE >KQL11840 pep chromosome:Setaria_italica_v2.0:IV:37105120:37108009:-1 gene:SETIT_006931mg transcript:KQL11840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGNLLMKKVVRHSSFDLDIQLDKSWMEDVTCPICLDYPHNAVLLRCTSYEKGCRPFVCDTDQTRSNCLERFKGAYELPANVKVSSLAVPPLDSIIHIVPSNANNRPSCPLCRGDVIGWIVIGEARMHLNQKKRCCEEDCCSFVGNFNELQKHTQQKHPDSRPSEIDPARQVDWDNFQQSSDIVDVLSTIHAQVPNGIVLGDYVIEYGDDDTGEDYEVFRRVRTNWWSCIFCKAFSRSSRSRRRARARERRGNGRRNGNQANLENFNLEVPTQSVELREIRFDEIDDEYIVTGAIPSIAAPGRMASFHYRDTRYGR >KQL11192 pep chromosome:Setaria_italica_v2.0:IV:31505022:31506827:1 gene:SETIT_007423mg transcript:KQL11192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGH >KQL10841 pep chromosome:Setaria_italica_v2.0:IV:26834649:26835329:1 gene:SETIT_008948mg transcript:KQL10841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSAFARPRRLGRRGRSERPAPGWPGICRVFGLTWRVLLVEGSGGVGGRWNLQ >KQL11984 pep chromosome:Setaria_italica_v2.0:IV:37983742:37987644:1 gene:SETIT_006181mg transcript:KQL11984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMEARPSSPALLAAAALLLAASLLLPARADDPYRFYTWNITFGDIYPLGVKQEGILINGQFPGPQIDAVTNDNIIVNVFNNLPVPFLLSWQGIQQRRSSWQDGVSGTNCPIPPGGNFTYNMQFKDQIGSYYYFPSLLFHKAAGGYGGIRVLSRPRIPVPFDPPAGDFTILAGDWFKLNHTDLKGILDSGNDLPFPDGLLINGQGWNGNRFTVDQGKTYRFRVSNVGIATSVNIRIQGHSMLLVEVEGSHSMQSTFTSIDIHLGQSYSFLVTADQPPADYSIIVSTRFTTPVLTTNAILHYSNANGAATVPPPPAPTTEIDFSLNQARSIRWNLTASGPRPNPQGSYHYGLVNTTRTIRLANSRATINGKLRYAVNSVSFIPADTPLKVADFYNISGVFTLGSMPDNPTGGGAYLQTSVMAANMREYVEVIFENAENFVQSWHIDGYAFWVVGMDGGQWTPASRQGYNLRDAIARYTLQVYPQSWTAIYMPLDNVGMWNVRSESWARQYLGQQFYLRVYSPANSWRDENPIPKNALLCGRASGRRTRPL >KQL10385 pep chromosome:Setaria_italica_v2.0:IV:13882184:13883236:1 gene:SETIT_008763mg transcript:KQL10385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLANFSRAPGASVPRKSPRFVPNPEKFWGPRPKACRHPKVFHVDKS >KQL10979 pep chromosome:Setaria_italica_v2.0:IV:29181582:29183626:1 gene:SETIT_007012mg transcript:KQL10979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCNACWRELEGQAITTTCGHLLCTEDAKKILSNDGACPICDQVLSKSHMKPMDVDPSDDWTNMAMAGISPQILMKSAYRSVMFYIGQKELEMQYKMNHIVGQCRQKIELMQGKFTEKLEELHAAYQKMGKKCQLMEQEIESLTKDKQELQEKFAEKSRQKRKLDEMYDKLRTEYDSLKRSAIQPANNLFPRAQQDLFSGMPNMMDNSNPLRQGQRKEMWAPAPRQRLSNPDTFEISGGSAHLGAPPVDARPRRPAGPVFGAGTNNPSAALRNMLISPVKRPQQSRNRQHMFTL >KQL10981 pep chromosome:Setaria_italica_v2.0:IV:29182537:29183626:1 gene:SETIT_007012mg transcript:KQL10981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAYRSVMFYIGQKELEMQYKMNHIVGQCRQKIELMQGKFTEKLEELHAAYQKMGKKCQLMEQEIESLTKDKQELQEKFAEKSRQKRKLDEMYDKLRTEYDSLKRSAIQPANNLFPRAQQDLFSGMPNMMDNSNPLRQGQRKEMWAPAPRQRLSNPDTFEISGGSAHLGAPPVDARPRRPAGPVFGAGTNNPSAALRNMLISPVKRPQQSRNRQHMFTL >KQL10980 pep chromosome:Setaria_italica_v2.0:IV:29182537:29183626:1 gene:SETIT_007012mg transcript:KQL10980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAYRSVMFYIGQKELEMQYKMNHIVGQCRQKIELMQGKFTEKLEELHAAYQKMGKKCQLMEQEIESLTKDKQELQEKFAEKSRQKRKLDEMYDKLRTEYDSLKRSAIQPANNLFPRAQQDLFSGMPNMMDNSNPLRQGLVYTPDTPGQRKEMWAPAPRQRLSNPDTFEISGGSAHLGAPPVDARPRRPAGPVFGAGTNNPSAALRNMLISPVKRPQQSRNRQHMFTL >KQL11743 pep chromosome:Setaria_italica_v2.0:IV:36480367:36488806:-1 gene:SETIT_005780mg transcript:KQL11743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGADRSPPPRRLVFAFYLTGHGFGHATRAIEVVRHLVDAGHEVHVATAVPEFVFTAEVRSPRLRIRRVLLDCGAVQADPLTVDPLATLEKYREAAVVPRESIVRAESEWLSSIKADLVVSDVVPVVCRMAADMGVRSVCIGNFSWDFIYSEYIMDAGYHHRSIVWQIAEDYSYCDILLRLPGYGPMPAFRNVIDVPLIVRGLRKSRSEVRKELGLEENAKVLVFNFGGQPAGWKLKQEWLPDGWICLVCGASDSQEVPPNFIKLAKDAYTPDVIAASDCMLGKIGYGAASEVLAYKLPLVFVHRDYFNEEPFLRNLLEHYQNSIEMIRSDFLAGHWKPYLLHALTLQPCYNGPINGGEVVAQILQDTAIGKECISDKFNGARRLQDAIVSGYKLQRATGRDVSIPDWYSLSETETSAGLTSKNVATKETAAFRCFEDFEILHGDLQGLTDTMEFLKSLSELDGNNLESPEKQQQERTAASVLFDWQKEIYIARAPGRLDVMGGIADYSGSLVLQMPLREACHVAVQRNHPSNQKLWKHTQARQLENAGLAPVIQIVSFGSELSNRAPTFDMDLSDFLDGEKPISYEKAREFFCQNPSQKWAAYVAGTILVLMTELGVQFTDSMSILVSSAVPEGKGVSSSASVEVATMSAIAAAYGLNITPRDLAMLCQKVENHVVGAPCGVMDQMASACGEANKLLAMVCQPAEVKELVSIPTHLRFWGLDSGIRHSVGGGDYGSVRVGTYMGRKMIKCAASDLVSESSTSEAPVQSDCYKENGTGLLKSEAAMEYLCNLPPHRFEAAYAKDIPEVISGDAFLEKYGDHSDTVTVIDPKRSYSVKAPTRHPIYENFRVETFKTLLAAGNTDEQLSALGELMYQCHNSYSACGLGSDGTDRLVDLVQEMQHRTTSEGGSPSLFGAKITGGGSGGTVCVIGKNCARSSEEIVEIQQRYKAATGYLPVLFDGSSPGAAKFGYLKIRRRRS >KQL10024 pep chromosome:Setaria_italica_v2.0:IV:8608354:8608506:1 gene:SETIT_008081mg transcript:KQL10024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSTSCLLTSRKYSAIPNLLKKKGAIEELEKDLQKEVNSVNQRLNIAIEK >KQL10567 pep chromosome:Setaria_italica_v2.0:IV:18462375:18462763:1 gene:SETIT_008358mg transcript:KQL10567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGVWKGSLRSVGKEEVSKRLSRISLPSVRFLCANKPPAVMLRSKADFISKHEQKMYNDTWSGIDMSGLDTKRRKNLAHATPMDSSNCSKLRMSIGRECMRSVRENE >KQL11460 pep chromosome:Setaria_italica_v2.0:IV:33938715:33943201:1 gene:SETIT_008663mg transcript:KQL11460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEQFVNFVIRPPRAEYNPDQYLWEPEFTLAGRKYKRLDLELTNERSQTLKCSHYVPAVIPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITLFTLDFAGSGLSSGEYVSLGWHEKQDLKCAVSFLRNNKQVSCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLYDLMMELVEVYKIRVPKFTVKMAVQYMRRVIQRRAKFDIMDLNVVQFAPKTFIPALFGHASNDMFIQPHHTERIHEAYAGDKNLIKFEGDHNSPRPQFYYDSVSIFFYNVLHPPQFPSTCSNKFDKYYNLGAFKGGPGTNESLLYEIINGLRAAGTDAGSSSAATTNFTNATKSVVELLTERVNQLSVKNDNDLDFLLDENHNLTEMDGNTAECPLEDKANRQTEECCSYTSSNRESWGRCSSLGAASDGSSSGERTGIPNHKHESMTLRALATPLRRIRRKPLTIPKEKKNRSLWKRLKKERQEMGENLSQRLRLCLQGQARHKRTKSS >KQL09914 pep chromosome:Setaria_italica_v2.0:IV:7451501:7455732:1 gene:SETIT_006206mg transcript:KQL09914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRRKVRPAGATARRAALRWWLLSLAATGAAVTAAAALLAVALHFSGSGPGAASSSASSGAPYRLSQPREAEELRWEQEVAPPQLASPQSRKLDGAAEKSLWLPAPSRRFVPCVAPSPEYKSPVASRGYLLVHTNGGLNQMRAGISDMVAVARILNATLIIPELDKKSFWHDRSNFSDVFDEEHFINSLANDVKVLKKLPKELVKAPKSVRYFKSWSGVDYYQEEISPLWDHRQVIRAAKSDSRLANNYLPTDIQKLRCRAFFQALRFAPPIEALGKLLVERMRSFGPYIALHLRYEKDMLAFSGCTYGLSQTESEELAMIRENTTYWKVKDIDPLEQRSHGYCPLTPKEVGMFLSALGYPSSTPVYIAAGEIYGGESHMVDLQSRFPILMNKEKLASAEELRPFSQYAAQMAALDYIVSVESHVFVPSYSGNMARAVAGHRRFLGHRKTISPDRKALVRLFDKVDRGLLKEGKKLSERILDIHRKRQGSPRKRKGPVSGTKGKDRFRSEEAFYENPLPDCLCQPGSPDSDDSLVSI >KQL10592 pep chromosome:Setaria_italica_v2.0:IV:19512267:19517101:-1 gene:SETIT_0064762mg transcript:KQL10592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HAVGYVNSEQYYGAKASVNVWSPRIGDPSEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQETGCYNHNCRGFVQTTSKIAIGAAITPESVYNGRQFDITLMLWKDPKHGHWWLELGSGVVVGYWPSYLFTHLARHANMVQFGGEVVNTRPSGSHTATQMGSGHFPSEGFDRAAYFRNLQVVDWDNNLIPAASLKLLADNPGCYDIQGGSNSYWGSYFYYGGPGRNVKCP >KQL09589 pep chromosome:Setaria_italica_v2.0:IV:4434696:4444130:1 gene:SETIT_005661mg transcript:KQL09589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPPPGTTGAGAGQPPPPPPPAGAPQGAKPLTPAELEAQLVEKARKWHQLNSKRYGDKRKFGFVEAQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRHVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVEPLEAIQLELDSEEDAAVYEWFYDHKPLMKTKLINGPSYRKWHLSLPIMATLYRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRQPLRTEYRIAFPHLYNNRPRKVRLGIYHTPMIMYIKTEDPDLPAFYYDPLINPITSTNKVDRRERKVTEEDDDEDFCLPEDVEPLLKSTELYTDTTAAGISLLFAPKPFNMRSGRTRRAEDIPLVSEWFKEHCPPAYPVKVRVSYQKLLKCYVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWVKPADSEPPPLLVYKWCQGINNLQDVWDTSDGQCVVMLQTKFEKFFEKIDLTLLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLILGLTRASELAGPPQMPNEFLTYADTKIETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTKDGVWNLQNEQTKERTAIAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSKQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQSQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWQMSKPSLVSESKDLFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGIDLAYNLHSAFGNWFPGSKPLLQQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLYNIYDDWLKSISSYTAFSRIVLILRALHVNNEKAKMLLKPDKTIVTEPHHIWPTLNDEQWLKVECALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEIAPPSQQRQQIAEIEKQSRETTQLTAVTTRTTNVHGDELIITTTSPYEQQAFASKTDWRVRAISATNLYLRVNHIYVNSDDIKETGYTYIMPKNILKKFICVADLRTQIAGFLYGLSPQDNPQVKEIRCIAIPPQHGTHQMVTLPANLPEHEFLNDLEPLGWMHTQPNEAPQLSPQDLTSHAKILEGNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRSNKDNGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNVPWNFNFMGVKHDPLMKYNMKLGMPRDFYHEDHRPTHFLEFSNIEEGEVAEGDREDTFS >KQL10101 pep chromosome:Setaria_italica_v2.0:IV:9552087:9554794:-1 gene:SETIT_007287mg transcript:KQL10101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPARLALAAAFLLVLRPPAPASAARPIVDDKPAPSEATATARWLAAQNTWGVLSTISSDLNGAPFGNVVSYSDGLPGEGHGIPYFYLTTLDPTARDALADERTSFTLSEFPLGTCGKIDPENPTCAKLTLNGKLKLVDLQSPEADLAKSALFTKHPEMKDWPKNHHFKIFKLEIENIFLIDWFGGPKPISPSQYLEFGRNQHSVMSS >KQL12123 pep chromosome:Setaria_italica_v2.0:IV:38842419:38844051:-1 gene:SETIT_008765mg transcript:KQL12123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAARSKKQGRGAFCNLPLLLLIGAIQFLVIYSPAIDRYMVMITNGKPGFPSLLLDGRRGFKLVEEEFIPEPRVVCDFADPRSDVCELEGAIRIRGSTSEVFVVAPGGGGAANVTGLGAGMNATSWRIQPYTRKGEARVMRGITELTVRVVAAGEAPACTVRHDVPAVVYSNGGYCGNYYHDFNDNIIPLFITSRHLGGEVQLLVAQKQQWWFHKYREIVDGLTNYEAVDLGGDGAGEVRCFRRATLGLRSHKDLSIDPRRSPRNLSMVDFKRFLMWRYALPREHAIRTDDDDEPGRKPRMLIIARQSRRRFMNLPEIVALAEEVGFDVTTSDVMSPPKKTTTSGAGSGSAAAGDEGHARMADASALVNSFDAMVAVHGSGLTNLMFLPMNAVVVQVVPLGRMESLAMDEYGVPPRDMNMRYLQYNITAEESTLSDAYPRTHPVLLDPMPIHKQSWSLVKDIYLGQQDVRLDLRRFRPVLEKVIRLLR >KQL09082 pep chromosome:Setaria_italica_v2.0:IV:1101368:1101655:1 gene:SETIT_008143mg transcript:KQL09082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDGQCPFYVYEEDSSHLSIKCQQSSSFWSFIDFDLTSISSTDSIEALWTINPLQEQNSRIRSTILICIHWNIWKCRNAKIFRHEDEANLQISR >KQL11201 pep chromosome:Setaria_italica_v2.0:IV:31633327:31633785:-1 gene:SETIT_007804mg transcript:KQL11201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFLWNAFELMGMGRSSRYRESNWQREYMEHILTQLKEMTFLSDSFSNSVL >KQL11310 pep chromosome:Setaria_italica_v2.0:IV:32526171:32529061:1 gene:SETIT_006424mg transcript:KQL11310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPSASNAAAVASAEPLLPSALKRGVMERCASRPDDELHWFRSCLRWVCMDHSGPCQSALSWLLFLALSVVVPAAAHFLLAFRASRRPISAVVQLSLSAASAAGFLCLSSSFRRIGLRRLLYLDKLRTKSDRVRFHYTARLAFSFRLLASLVAPCFVAEAAYKAWWYATSADRVPFFANDVLSDVLACSLEMASWMYRSAVYLLTCVLFRLICHLQGLRLEDFAGSLLEDVEEGRTGVASVLREHLDIRRQLKVISHRFRKFIVAALLITTASQFASVLLTTRRDSVDNLLTTGELALCSVVLMSGLIIILNSAAKITHQAQALTGHTTKWHACCTIAPVQDEEGEPGSNQNSMIEQDPSSDSDTESDEYTGDDEDLLENTKIHLPHAHVISFQKRQALVTYLENNRAGITVFGFTLDRSYLHTIFMLEWTLFLWLLGKTIGFS >KQL12140 pep chromosome:Setaria_italica_v2.0:IV:39101238:39106354:1 gene:SETIT_006144mg transcript:KQL12140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGTIVDGFRRLFHRRNGSTSNSNQSSVAGEGEEGSPDLEVIEDPDLVGLRAIRVPKRKMPLPVESHRKNSVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLHHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTYTPGIDIWSIGCIFAELLTGRPLFPGKNVVHQLDLITDLLGTPSSETLSRIRNEKARRYLSCMRKKHAVPFTHKFRNADPLALRLLERLLAFDPKDRPTAEEALADPYFASLANVEREPSRHPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLDEYMKGGEQISFLYPSGVDRFKRQFAHLEEHYSKGERGSPLQRKHASLPRERVVVSKDGNNEQHIGDQERSADSVARTTVSPPRSEDVGQDGVKSPSLSSRSYLKSASISASKCVVVSNKHPEDDEIPEEMEGVVDGLSEKVSRMHS >KQL12141 pep chromosome:Setaria_italica_v2.0:IV:39101238:39106354:1 gene:SETIT_006144mg transcript:KQL12141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGTIVDGFRRLFHRRNGSTSNSNQSSVAGEGEEGSPDLEVIEDPDLVGLRAIRVPKRKMPLPVESHRKNSVEMEFFTEYGEASQYQIQEVIGKGSYGVVAAAVDTRTGERVAIKKINDVFEHVSDATRILREIKLLRLLHHPDIVEIKHIMLPPSRREFQDIYVVFELMESDLHQVIKANDDLTPEHHQFFLYQLLRALKYIHAANVFHRDLKPKNILANSDCKLKICDFGLARASFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPGIDIWSIGCIFAELLTGRPLFPGKNVVHQLDLITDLLGTPSSETLSRIRNEKARRYLSCMRKKHAVPFTHKFRNADPLALRLLERLLAFDPKDRPTAEEALADPYFASLANVEREPSRHPISKLEFEFERRKLAKDDVRELIYREILEYHPQMLDEYMKGGEQISFLYPSGVDRFKRQFAHLEEHYSKGERGSPLQRKHASLPRERVVVSKDGNNEQHIGDQERSADSVARTTVSPPRSEDVGQDGVKSPSLSSRSYLKSASISASKCVVVSNKHPEDDEIPEEMEGVVDGLSEKVSRMHS >KQL11959 pep chromosome:Setaria_italica_v2.0:IV:37830905:37834603:-1 gene:SETIT_006871mg transcript:KQL11959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMAVVAVVVVVAAVLAGGARAQLREGFYEHSCPQAEKIIKDYVMEHIPHVPSIAATLLRTHFHDCFVRGCDASVLLNATGGNEAERDAAPNQTLRGNGFIDRVKALVEKECPGVVSCADILALTARDSVVATGGPSWSVPTGRRDGTVSIKQEALDQIPAPTMNFTELLQSFQNKSLDLADLVWLSGAHTIGIAHCNSFTERLYNFTGRGGPGDADPSLDPLYAANLRRTKCKTPTDNTTIVEMDPGSFRTFDLSYYRGVLKRRGLFQSDAALITDAAAKADILTAVNSPPEFFSQVFARSMVKMGAIEVKTGSEGEIRKHCAIVNKH >KQL09429 pep chromosome:Setaria_italica_v2.0:IV:3310767:3313067:-1 gene:SETIT_007286mg transcript:KQL09429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLYVGNLDPRVTARELEDEFRTFGVLRSVWVARKPPGFAFIDFDDKRDAEDAIRDLDGKNGWRVEISRNSSSGRGGRDRQGGSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSTVLQHALPGVVVCHQLQLVDAAIAGHRSTTVHERRLHMIMGTAAAGARARYLSDECSVTDVALWPASYDQ >KQL09428 pep chromosome:Setaria_italica_v2.0:IV:3309738:3313504:-1 gene:SETIT_007286mg transcript:KQL09428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLYVGNLDPRVTARELEDEFRTFGVLRSVWVARKPPGFAFIDFDDKRDAEDAIRDLDGKNGWRVEISRNSSSGRGGRDRQGGSESKCYECGETGHFARECRLRIGSGGLGSGRRRSRSRSRSRSPRYRRSPSYGRRSTVLQHALPGVVVCHQLQLVDAAIAGHRSTTVHERRLHMIMGTAAAGARARYLSDECSVTDVALWPASYDQ >KQL10962 pep chromosome:Setaria_italica_v2.0:IV:28916901:28919011:-1 gene:SETIT_007710mg transcript:KQL10962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIALCIVHACYFGLHARGEPHCDSHPECSLSIFDLFHFLFLQKVHHSDVTCPSYSYFCAHSVSCLAPYAAMANI >KQL10963 pep chromosome:Setaria_italica_v2.0:IV:28918210:28918437:-1 gene:SETIT_007710mg transcript:KQL10963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIALCIVHACYFGLHARGEPHCDSHPECSLSIFDLFHFLFLQKVHHSDVTCPSYSYFCAHSVSCLAPYAAMANI >KQL10964 pep chromosome:Setaria_italica_v2.0:IV:28917706:28919011:-1 gene:SETIT_007710mg transcript:KQL10964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIALCIVHACYFGLHARGEPHCDSHPECSLSIFDLFHFLFLQKVHHSDVTCPSYSYFCAHSVSCLAPYAAMANI >KQL10961 pep chromosome:Setaria_italica_v2.0:IV:28918210:28918437:-1 gene:SETIT_007710mg transcript:KQL10961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIALCIVHACYFGLHARGEPHCDSHPECSLSIFDLFHFLFLQKVHHSDVTCPSYSYFCAHSVSCLAPYAAMANI >KQL09498 pep chromosome:Setaria_italica_v2.0:IV:3868308:3873680:-1 gene:SETIT_005722mg transcript:KQL09498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGGGVASSAGDPSSPSARGWGEADESGSGGVGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRAASFGELLRKVEAVDEAASPGGAGGGVLLRYQLPGEDLDALISVSGPEDYDNMMEEYEKLAAAAPDGSAKLRVFLFPASGTGSDAAGGGGSGSGSHHLASAAAAAPVDESGQRYIDAINCVSAEAVAAAMRRKDSVASAGSSAHNSEASEYSGLVEEPQQVHYINAQQFGVHGVPQSVNFVPVQMSQFMPSIPVTSSMATAAAQQVGTFRPVSAGVEPVQENMQFTRTVQAPVDQSYRVLQTPLSQLPPLPSVHLQTSDTQRYGVQPAMTSAISTPVVTSSGTIPVVLSSATVPSVRYDDCTMCQKSLPHAHSDNIIQERGNPRALSNPEAAPVFYSLHQDSGSNKSSPDANSGTPANYMVEPRAGNAVGMSQFESVLPARMPGVQATASPDAGVPVQPTMVALPVSSPPAPNGAFVGHPIQAGVEDPARYQQQPYSYSLQPQQVPVNGPQVIDAGAYKNANYPAAEPLREYARDLPHDYTRAIDARMQGVHLGPIAPPESSVQGKPSFPHGTIDHAKAEKPPVNIDGSSMYKSQAGGYHMGITNAFTAPALTQEDNIARHSEQPPPAFDVGAQSVHPDIIQNPLNVPVQNNLRAPIEPPVSNEKVPVRPPYSGVQVPAGTPPQLPREMLGHLVSAPPDGSSNFPLQATAGIDRVEATRELAYTDSLFSNQDPWKAVGNVSLVPPRPSKLAKEPLASGDQYMDGHVPDINANGPILLEEGNLPHIQDPGFKDIHTIKVNKGFGEENIKRQLQAVAEGVAASVLQSPFPEKPAALSGDHIDSHGAVVDAKVKDEGNNQSDKTSQGVQVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEAGKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHENRIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPRVPESCDPQWRALMEQCWAAEPSERPSFTEVGNSLRAMAASPTKAQPQK >KQL09499 pep chromosome:Setaria_italica_v2.0:IV:3868308:3873829:-1 gene:SETIT_005722mg transcript:KQL09499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGGGVASSAGDPSSPSARGWGEADESGSGGVGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRAASFGELLRKVEAVDEAASPGGAGGGVLLRYQLPGEDLDALISVSGPEDYDNMMEEYEKLAAAAPDGSAKLRVFLFPASGTGSDAAGGGGSGSGSHHLASAAAAAPVDESGQRYIDAINCVSAEAVAAAMRRKDSVASAGSSAHNSEASEYSGLVEEPQQVHYINAQQFGVHGVPQSVNFVPVQMSQFMPSIPVTSSMATAAAQQVGTFRPVSAGVEPVQENMQFTRTVQAPVDQSYRVLQTPLSQLPPLPSVHLQTSDTQRYGVQPAMTSAISTPVVTSSGTIPVVLSSATVPSVRYDDCTMCQKSLPHAHSDNIIQERGNPRALSNPEAAPVFYSLHQDSGSNKSSPDANSGTPANYMVEPRAGNAVGMSQFESVLPARMPGVQATASPDAGVPVQPTMVALPVSSPPAPNGAFVGHPIQAGVEDPARYQQQPYSYSLQPQQVPVNGPQVIDAGAYKNANYPAAEPLREYARDLPHDYTRAIDARMQGVHLGPIAPPESSVQGKPSFPHGTIDHAKAEKPPVNIDGSSMYKSQAGGYHMGITNAFTAPALTQEDNIARHSEQPPPAFDVGAQSVHPDIIQNPLNVPVQNNLRAPIEPPVSNEKVPVRPPYSGVQVPAGTPPQLPREMLGHLVSAPPDGSSNFPLQATAGIDRVEATRELAYTDSLFSNQDPWKAVGNVSLVPPRPSKLAKEPLASGDQYMDGHVPDINANGPILLEEGNLPHIQDPGFKDIHTIKVNKGFGEENIKRQLQAVAEGVAASVLQSPFPEKPAALSGDHIDSHGAVVDAKVKDEGNNQSDKTSQGVQVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEAGKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHEKIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPRVPESCDPQWRALMEQCWAAEPSERPSFTEVGNSLRAMAASPTKAQPQK >KQL09497 pep chromosome:Setaria_italica_v2.0:IV:3869240:3873680:-1 gene:SETIT_005722mg transcript:KQL09497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGGGVASSAGDPSSPSARGWGEADESGSGGVGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRAASFGELLRKVEAVDEAASPGGAGGGVLLRYQLPGEDLDALISVSGPEDYDNMMEEYEKLAAAAPDGSAKLRVFLFPASGTGSDAAGGGGSGSGSHHLASAAAAAPVDESGQRYIDAINCVSAEAVAAAMRRKDSVASAGSSAHNSEASEYSGLVEEPQQVHYINAQQFGVHGVPQSVNFVPVQMSQFMPSIPVTSSMATAAAQQVGTFRPVSAGVEPVQENMQFTRTVQAPVDQSYRVLQTPLSQLPPLPSVHLQTSDTQRYGVQPAMTSAISTPVVTSSGTIPVVLSSATVPSVRYDDCTMCQKSLPHAHSDNIIQERGNPRALSNPEAAPVFYSLHQDSGSNKSSPDANSGTPANYMVEPRAGNAVGMSQFESVLPARMPGVQATASPDAGVPVQPTMVALPVSSPPAPNGAFVGHPIQAGVEDPARYQQQPYSYSLQPQQVPVNGPQVIDAGAYKNANYPAAEPLREYARDLPHDYTRAIDARMQGVHLGPIAPPESSVQGKPSFPHGTIDHAKAEKPPVNIDGSSMYKSQAGGYHMGITNAFTAPALTQEDNIARHSEQPPPAFDVGAQSVHPDIIQNPLNVPVQNNLRAPIEPPVSNEKVPVRPPYSGVQVPAGTPPQLPREMLGHLVSAPPDGSSNFPLQATAGIDRVEATRELAYTDSLFSNQDPWKAVGNVSLVPPRPSKLAKEPLASGDQYMDGHVPDINANGPILLEEGNLPHIQDPGFKDIHTIKVNKGFGEENIKRQLQAVAEGVAASVLQSPFPEKPAALSGDHIDSHGAVVDAKVKDEGNNQSDKTSQGVQVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEAGKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHENRIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVLVIWAYRRLNARR >KQL09500 pep chromosome:Setaria_italica_v2.0:IV:3868698:3873680:-1 gene:SETIT_005722mg transcript:KQL09500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGGGVASSAGDPSSPSARGWGEADESGSGGVGKVKLMCSFGGRIAPRPGDGALRYVGGQTRLISVPRAASFGELLRKVEAVDEAASPGGAGGGVLLRYQLPGEDLDALISVSGPEDYDNMMEEYEKLAAAAPDGSAKLRVFLFPASGTGSDAAGGGGSGSGSHHLASAAAAAPVDESGQRYIDAINCVSAEAVAAAMRRKDSVASAGSSAHNSEASEYSGLVEEPQQVHYINAQQFGVHGVPQSVNFVPVQMSQFMPSIPVTSSMATAAAQQVGTFRPVSAGVEPVQENMQFTRTVQAPVDQSYRVLQTPLSQLPPLPSVHLQTSDTQRYGVQPAMTSAISTPVVTSSGTIPVVLSSATVPSVRYDDCTMCQKSLPHAHSDNIIQERGNPRALSNPEAAPVFYSLHQDSGSNKSSPDANSGTPANYMVEPRAGNAVGMSQFESVLPARMPGVQATASPDAGVPVQPTMVALPVSSPPAPNGAFVGHPIQAGVEDPARYQQQPYSYSLQPQQVPVNGPQVIDAGAYKNANYPAAEPLREYARDLPHDYTRAIDARMQGVHLGPIAPPESSVQGKPSFPHGTIDHAKAEKPPVNIDGSSMYKSQAGGYHMGITNAFTAPALTQEDNIARHSEQPPPAFDVGAQSVHPDIIQNPLNVPVQNNLRAPIEPPVSNEKVPVRPPYSGVQVPAGTPPQLPREMLGHLVSAPPDGSSNFPLQATAGIDRVEATRELAYTDSLFSNQDPWKAVGNVSLVPPRPSKLAKEPLASGDQYMDGHVPDINANGPILLEEGNLPHIQDPGFKDIHTIKVNKGFGEENIKRQLQAVAEGVAASVLQSPFPEKPAALSGDHIDSHGAVVDAKVKDEGNNQSDKTSQGVQVLDDIDNLQIIKNSDLEELRELGSGTFGTVYHGKWRGSDVAIKRINDRCFAGKASEQERMRTDFWNEAGKLASLHHPNVVAFYGVVLDGPGGSVATVTEYMANGSLRQALQRHENRIFDRRRRLLIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVMWELLTGEEPYAELHYGAIIGGIVNNTLRPRVPESCDPQWRALMEQCWAAEPSERPSFTEVGNSLRAMAASPTKAQPQK >KQL11602 pep chromosome:Setaria_italica_v2.0:IV:35233540:35234103:-1 gene:SETIT_008294mg transcript:KQL11602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQQHLLSLRQFSRVPRTAHFRRQYMIYNDIDRITSISWDPVVYMVLQMTLVQFTSKNLISDEQC >KQL11599 pep chromosome:Setaria_italica_v2.0:IV:35175006:35178499:-1 gene:SETIT_005729mg transcript:KQL11599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWGIMPQFLVLLLCLVTLFGSLPEATCDERENDQQALICFKSQLSGPADVFASWSNASLEFCSWHGVTCSKQPPRRVIALDLASEGITGTISPCIANLTSLTRLQLSNNSLHGSIPSELGLLSQLSSLNLSMNSLDGNIPSELSSCSQLQILGLWNNSLHGEIPSVLSQCIHLQEINLSNNNLEGSIPSPFGTLPELQILILANNKLSGAIPPSLGSSVSLKHVDLGRNAITGGIPESLASSSSLRVLRLMRNSLSGELPEALFNSSSLIAICLQENKFFGSIPPVTATSPPVKHLHLGGNSLSGIIPASLGNLSSLLDLRLTRNKLAGSIPESIGYLPTLSLLNLNLNNLSGPVPPSLFNMSSLTALAMGNNSLSGRLPSHIGYTLPKIQILILTSNKFDGPVPASLVNAFNMKWLSLGENRLTGPVPFFGSLPNLEELDVSYNMLDAGDWGFLSSLSNCSRLTKLYLAGNNFQGELPSSIGNLSGSLEVLWLRDNKISGPIPPEMGNLKNLNTLYMDYNRFTGSIPPTIGSMKNLVVLAVAQNRLSGTIPDAIGNLVQLTDLKLDANNLSGRIPESIGRCTQLQILNLAHNALNGSIPRSILKISSLSQEFDLSYNYLAGEIPEEIGNLINLNKLSISNNMLSGRIPPSLGQCVLLECLKMQNNFFAGSIPQSFAELVGIKELDISRNNLSGKIPEFFTSLSYLHYLNLSFNNFDGEVPRGGIFGNASAVSIEGNDQLCTSVLTAGIPLCSARSDDSKSKHKDLVLVAKIVIPIVIITLLCIATFFWRKRMQAQAHFQQFNKHMKNITYDDIVKATDMFSSTNLIGSGSFGRVYKGSMKLHKDQVAIKIFNLSINGAHRSFLAECEALRNARHRNIVKIITLCSSVDPTGADFKAIVFPNMLNGNLDMWLNQKAHSPRKILTLSQRINIALDLAYAMDYLHNQCASPLIHCDLKPSNILLDHDMVAYVSDFGLARFQCTKSSAHQDSSASLAGLKGSIGYIPPEYGMSQNISTTGDVYSFGVLLLEMMTGCRPTDEKFSNGTSLHEFVDRAFPKNVEEVVDPTMLQDDISATEVLQNCIIPLVKIGLSCSKTSPKERPGMDKVSTEILTIKNMFSSIHEHDQSK >KQL10910 pep chromosome:Setaria_italica_v2.0:IV:27982281:27982923:1 gene:SETIT_007463mg transcript:KQL10910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSPARRPRQPLLLLALLLAALSSPCARAAASERRRVLAPAALPPALAPGRAWQEFHVAPPTAPRLGAGVSKNGEAAPRPTREWRRRVRRGRGGGTGAWTFSAMLPRGFVPPSGSSTCHNDMPATAADAQFFACSGAGTP >KQL09435 pep chromosome:Setaria_italica_v2.0:IV:3369079:3373558:-1 gene:SETIT_006423mg transcript:KQL09435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRYYWPMVAAAIGFRFVLVLFGGDLHLASRPEVSTPLTSLRRLAEGYWLKQASMSPYSGSMYHGSPLLLSVLGPLTSNRSGGKNAHVYCSLIFVAVDFLAAMLIQATGRILQMSRNRSLKSLDLTKAVNNSANVSAGDIASLIYLWNPWAIVTCVGSCTSPIENLMVVIMIYGACSRLAPLAAFGYVIATHLSLYPAILIVPVILLLGYGPDAPTTKVFLLKSSSSSKSDMRTSLKIQRFSWMTVLHFIFWLFIWSGYVLLLSSIILKKVDGLNEMFEKTYGFILTVKDLSPNIGVLWYFFAEVFDFFRSFFLTVFNMNIIFMVLPLAIRLKHRPCFLAFVYTAIVAMLKSYPSAGDSALYLGLLGLFANELAEMQFTFFLCFGYIGVSLHSPVMHNLWIWRGTGNANFYFATGLAYTCLQTVLVVESVGSMIKHDRKLRLLVPS >KQL11004 pep chromosome:Setaria_italica_v2.0:IV:29361868:29362276:1 gene:SETIT_009107mg transcript:KQL11004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYWFMYRFSKISSNNFYVETEMIGVGLHHCWSKLAAAL >KQL08910 pep chromosome:Setaria_italica_v2.0:IV:235766:236925:1 gene:SETIT_006989mg transcript:KQL08910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAPAASSSLQAALSYCVRQVRSYDYHNYLCLLHLPPAMRKAAFTFRAFNVETAKAMDVVSDPKTGLMRLLWWKDVIDKVFANKLVEHPVAQALSSVVSDHKVSKHWLKRSVEARINDANRDEGAIPETSAELERYAEDTQSTILYMTLQAGGIQSTAADHAASHIGKASGLLLLLKALPHHVNKQGVIPYIPANVAEECGLLTREGGRSEVRMDERLPDAVFKVASVAEAHLLKARELASSVPREAIPVLLPALPAQVLLDSLRRCEFNVFDSRVSRGVHGVSPLWYQLKLNWYAWRNKY >KQL11671 pep chromosome:Setaria_italica_v2.0:IV:35802012:35802183:-1 gene:SETIT_008263mg transcript:KQL11671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWFGCSRNAARGQHVKLVFPGGHVELLDRPTPAGDVMARHPRFCVARPDVFREPA >KQL10930 pep chromosome:Setaria_italica_v2.0:IV:28411667:28417835:1 gene:SETIT_006550mg transcript:KQL10930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLRLLLSHSRRHPQPHRLLPLFHFSSDSNSSSAPRPPPPPPIKPVSYAPKPPQETPAPEESAAPPAPEAGRGSQSPLPRRPQPQMPPGEWTRQDMRYVKDAAPVISPVSYPSKVAPLPEDRPAGGEAEGAPGEGLRGEGERIQMDAARATRSVFGVPVEEEQVPYPTIIPVVKRPQKVTIDLVDAIRLVKTSTNEKKRNFVETVEAHVMLGVDPRRGDQMVRGALTLPHGTGKTVRVAVFAEGPAADEARAAGADVVGGDELIEEIRKGGGKLSFDKCIATPMFMPRLSKVARILGPRGLMPNPKLGSVTNDVSGAVKAAKSGRVDFKIDKTAIVHVGLGKVNFSEESLRENVGAFVHALLLAKPVGLKKTSKYVGYVKKFTLSSTMGPGFPVTIPSLSVAADHYNKVQVS >KQL08939 pep chromosome:Setaria_italica_v2.0:IV:369577:371215:1 gene:SETIT_008152mg transcript:KQL08939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVATPAALLLSNHSSPSTHRCLLLRPPELLRPHTCVTHHYDKRVSFSSSPPRLSRHALRPPGAAATPAIAAGDHWGNWAFLLSAAAFGTWSEEKTSWGAALSGALVSILAGLAATAAGLISPGAPAHGAVMEYLLPAAVPLLLLGADLRRVVRTTGDLLKAFLIGSVATIIGTTVAYLLVPMRSLGHDSWKIAAALMGSYIGGAVNFVAVSEALGITPSVVAAGVAADNLISALYFMILFSLASKIPAEPKNAATDGRKDGGEPEGDGRFSVLNGGAAIALSFVICKAGSAIADRLGFQGGTLPCVTALVVFLATAFPGQLGKLAPAGETMALILMQLFFTVVGANGNVVDAVTKAPSVFAFALVQVSVHLAVLLGVGKLVGLDRKPLLIASNANIGGPTTAAAMATAKGWSSLIVPGILVGIFGISIATFLGIGFGMLVLRRMCA >KQL10614 pep chromosome:Setaria_italica_v2.0:IV:20259116:20260926:1 gene:SETIT_006945mg transcript:KQL10614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSAVAGGLCRESCGNIPVRYPLGIDDGCGSPYYRNMLTCADNATLRLRTPSGTYPVAGADYSDPHLVVTDPSMWTCARPFTSVHAAPFSLDTSTRFSLSPRNDYLFFDCDEARVIVAPRPASCDRYPGRCDSACDSAGYLCRNLPGCRGALEEGNMTCCAYRPRAAGSLRAMLRHCEAYTSVYWRAVGDKFPPYDQVPAYGVRVDFEIPVTTRCLQCQDKRRGDGGTCGFDPATRDFVCICDDGRNSTTDCAGGHASGHHGSAGVIAASVVVSVSAAIGIGALVWYIRKIRPSKVVTCGVQSNENRFF >KQL10129 pep chromosome:Setaria_italica_v2.0:IV:9987553:9993342:1 gene:SETIT_005842mg transcript:KQL10129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFKPIFLGKEPRRVPCATTSQKCIRTNDIENVGRTARHQTFFEMLGNFSFGDYFKKEATAWAWELATKEYGLPAERLWISVFEDDNEAFNIWHNEVGVPKERIKRMGAEDNFWTSGATGPCGPCSEMYYDFYPERGSSDADLGDDSRFIEFYNLVFMQYTKKDDGSLEPLKQKNIDTGMGLERMARILQKVPNNYETDLIFPIIEKAASLALVSYAKADDAVKTNLKIIGDHMRAVVYLISDGVLPSNIGRGYVVRRLIRRVVRTGRLIGIRGDGHGNPEGAFLPALAEVVISLSTQIDPDVESRRKSIIGELQREELRFVQTLGRGEKLLDELLDEALLSAGNNGNKPSLSGKDVFLLYDTYGFPVEITAEIAGERGVTVDMKGFDIEMENQRKQSQAAHNVVKLSVGNETEIVKSIPDTEFLGYDSLSATAVVRGLLVNGNPVNEVSEGSEVEILLDRTPFYAESGGQVGDNGFLYVNGGADRKQTAVIEINDVQKSIGNIFVHKGTIKQGSIEVGKEIDASVDAKLRQGAKAHHTATHLLQSALKSVVGSETSQAGSLVAFDRLRFDFNFHRPLSEEELVKIESLVNQWIGNATHLETKVMALQDAKNAGAIAMFGEKYGEEVRVVEVPGVSLELCGGTHVSNTAEIRGFKIISEQGIASGIRRIEAVAGDAFVDYVCARDNYMRRLCSSLKVKAEDVNGRVETILEELRATRNEVSTLRSKIAVLKAASLASKATTVEPHNVRVVVENMGDVDADALKSAAEYLIGTLQDPAAVILGSSPGDGKVSLVAAFSPAVVKMGLQAGKFVGGIAKLCGGGGGGKPNFAQAGGRKPENLLDALEKARDEIVAAVSSSSS >KQL10638 pep chromosome:Setaria_italica_v2.0:IV:21231629:21234194:1 gene:SETIT_008984mg transcript:KQL10638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEENVTIESEEISHRQEKQGSSPPHTQLVATTAEGTSTGRSKIEFEWSAQVMGRSMSSQPLLQC >KQL10637 pep chromosome:Setaria_italica_v2.0:IV:21231629:21234194:1 gene:SETIT_008984mg transcript:KQL10637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKELKAHPLKANKDGGQIAEVAATTNMGEENVTIESEEISHRQEKQGSSPPHTQLVATTAEGTSTGRSKIEFEWSAQVMGRSMSSQPLLQC >KQL10765 pep chromosome:Setaria_italica_v2.0:IV:25276767:25277274:1 gene:SETIT_008738mg transcript:KQL10765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCRISFNKHSATLFSKGHNLAEDFSAHVAVVAFSPSSEPHAFGGPIVDSILSSASPGWPRAKMVEEAAARVAGIRWRLEDTEVLVASEYALLAAATRKIKAVQASMGKRNWWEVDVDALGEEELSVFIKALEMLRTEVQGRINVMASVWQRLPQCK >KQL11629 pep chromosome:Setaria_italica_v2.0:IV:35454586:35455436:1 gene:SETIT_008897mg transcript:KQL11629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWLQQMFRGGIGGSGRSAFQQRVFCFDSLPSRRRAERDACPRLHGRAERCARAISTASPSDHQWYDGILV >KQL11630 pep chromosome:Setaria_italica_v2.0:IV:35454698:35455532:1 gene:SETIT_008897mg transcript:KQL11630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWLQQMFRGGIGGSGRSAFQQRVFCFDSLPSRRRAERDACPRLHGRAERCARAISTASPSDHQC >KQL09375 pep chromosome:Setaria_italica_v2.0:IV:2901781:2902645:1 gene:SETIT_007390mg transcript:KQL09375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRARSPYVVGASLQHGEEKAFKRGRRTASDRRPRLLPRVVEERCPDEVGGGGDSPMTPDAPAGVPRGCCPVYVGLLERRRFVVPTAYLGMPVFRRLLEKAEEESEFHYGGGGVTIPCDTEAFKYILLVLERHRQGLVDDEGNAKDGGEHGGSSSHGQAQACLVV >KQL10008 pep chromosome:Setaria_italica_v2.0:IV:8400201:8401696:-1 gene:SETIT_008837mg transcript:KQL10008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAVSDEILGTFVPIAVYWLYSGLYVVLDGLGMDDYRLHPKGEEVRNIVSKWTVVRGVLVQQAFQIAVSLLLFTVLGDESGTVRKQPSALVIALQFIIAMFVMDTWQYFMHRYMHINKFLYKHVHSKHHTLVVPYAFGALYNHPLEGLILDTIGGALSFLISGMTPRTGIFFFSFATIKTVDDHCGLWLPGNILHLFFSNNSAYHDIHHQLYGNKYNFSQPFFVMWDKILGTYMPYTLETRKGGGYEARPVKLNQAQQTSKTD >KQL08913 pep chromosome:Setaria_italica_v2.0:IV:262306:270769:1 gene:SETIT_008800mg transcript:KQL08913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASEFRIPYQQVSSSQPAENASQFKICRCGEGDTSENGDSPPTSCPNCQVLKSGHLLLSSKGIGWTSWKKRWFVLTRASLVFFRSDPNVPPPRGAEPIVTLGGIDLNSSGSVVVKEERKLLTVLFPDGRDGRTFTLKAETTEDLNEWRSALENALEQAPSVANTMGQNPIFSTDVAAEPAEAPAEQLEDSSVIGRPAEFALVDADGSPSFLEKALKFIEDHGVKVEGILRQSADVEEVKRRVRGYEKGKNEFSPEEDAHVIGDCIKDLEDGSCSSDAYTESEDDDVDKEYSTDNDIHDDDGSYDSGEDDIEEDLDDNTEHYSDGSEHDTKINASDKVKNNISETAGNYNQSVLKARIENGASREVAQVEDTSQMDINRRSHPKQESCGSNESKGHMVKSNSRSSASKQKSMEKSSSSGHKGKKTLWGRTSARKDLSTEEIEYGGDDETLIDKLENNKTDLQSKIAKEAKENAILQASLERRKEELRERRLALEKEVENLRDQLQKERNLRASMESGLMNLRRGQTKADLEEVATAESDIMNLKQKASDLRGQVSGQQLCCESCNKRLLNTDRTGGKLFRVMLSNQGSRPRRVHLLQQTSQESGGSAAPAASFALAKLTNRLNFLKERRAQLASEMQSLDLGRPPATAAAAPPSVKSPSPKGPEKRKS >KQL09258 pep chromosome:Setaria_italica_v2.0:IV:2169249:2174563:-1 gene:SETIT_006016mg transcript:KQL09258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAVLRRSARGIARHIAAAPSLSRNALQQPERLLSSQASPEHGARGAVSGSELALYPPERVRNFSIIAHVDHGKSTLADRLLELTGTIQKGHGQPQYLDKLQVERERGITVKAQTATMFYRNTTASQDSDAPRYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTIANFYLAFESNLSIIPVINKIDQPTADPDNVKDQLKRLFDIDPSEALLTSAKTGKGLEHVLPAVIERIPSPPGKCDSPVRMLLLDSYYDEYKGVICHVAVVDGALRKGDKIASAATGRAYEVLDVGIMHPELTPTGVLYTGQVGYVISGMRSTKEARIGDTLHQAKSIVEPLPGFKPAKHMVFSGLYPADGSDFEALSHAIEKLTCNDASVSVTKESSNALGMGFRCGFLGLLHMDVFHQRLEQEYGAQVISTIPTVPYIFEYGDGSKVQVENPAALASNPGKRITACWEPTVIATIIIPSEYVGPVIMLCSERRGEQLEYTFIDAQRAMLKYRLPLKEIIVDFYNELKGITSGYATFDYEDSEYEQSDLVKMDILLNGQPVDAMATIVHNQKAQRVGRELVEKLKKFIERQMFEITIQAAIGSKVIARETLSAMRKNVLAKCYGGDITRKKKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVSNSK >KQL09290 pep chromosome:Setaria_italica_v2.0:IV:2367088:2367639:-1 gene:SETIT_008554mg transcript:KQL09290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNLAPTWVATASFGFLTLNSGLAIYSARGDPASVLFIVGSYLALLLLFRCLRAYERAPPGSPERERARRAVWPFTTLLTAAFSSPRAGAAGAGHPMERQVAALMMAAFGAMACDSALAVHDACGGGVVSAAAVLVAYAALLALTFRFLRAFAGRARGVGHEQDDEGRGVTAVDAVRLDYG >KQL09447 pep chromosome:Setaria_italica_v2.0:IV:3450232:3453101:-1 gene:SETIT_005844mg transcript:KQL09447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQLAELNLNINLLDGVIPEALAICNCSSLTYIDLRTNSLTGEIPFSTRCRLPYLKKIILFENKLVGVIPSSISNFTRLDWVLLQNNFLGGQLPSQMFNKMPSLKYLYLSNNNFSSDDGNTNLEPFLASLVNCTSLQELGVDSNGIGGKIPPIIGNLSSTNLSKLYLNDNEITGTIPHAIGNLPSLTDLCLDNNMLEGPIPLEIFQPGWLTQLVLANNQINGEIPKSIGLAQHLSIMDISYNGLQGTIPETLSNLTKLGYLVLDHNQLSGTIPPGLSCSMILDLSYNKLTGQIPDGVAGLSSLQIYLNLSNNLLEGPIPLEFGNMDKIQALDLSANKLSAAIPAQIKGCAEVEYVNLSRNFLQGALPSSIGALPTSLHVLDVSFNRLTGMIPQSLQASPVLQFANFSYNNFTGEVSSEGAFANLTDDSFLGNPDLCGSILGLAPCSGKHGHFLYIAIIVVVAIAAGLLAMVCVVDHDLMKTRLRLTAPSIQLSHFPTVQGNATGKKESDEHPRISYRQLVDATDGFSEVNLIGKGGYGHVYRGVLHGGTVIAIKVLHQDHAGEVIAGSFERECRVLRSIRHRNLIRVITTCSTPDFKAVVLPFMPNGSLDSLIHGPPCGGKPEGPRQLDLDLLLGIASNVAEGMAYLHHHAPVKVVHCDLKPSNVLLDGDMTAIVSDFGISKLVITGARDPEVGEASTSVCNSITRLLQGSVGYIAPEYGLGGRPSTQGDVYSFGVMLLEMISGKRPTDVISEEGHGLHDWAKKRCLQHDVDAVAERWLPRDPPSVLPFGPPRCEMEVIVVMVMELLELGVACSQLVPSMRPTMDDMAHEIACLRDGTWRKYRATGLKAIDQTKSKKY >KQL11328 pep chromosome:Setaria_italica_v2.0:IV:32610322:32610699:-1 gene:SETIT_008242mg transcript:KQL11328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSERGGGGGEAVGQMPGGGGRPSLWATPTPYLFLGLSVIMSVIVVALLVLLCCTHRRPSSSCTRQLEEAGEGEKAASERGVLVPLDREAPRVVVVVMAGDDALPSFLASAKPLAVDPTGAAAAV >KQL10565 pep chromosome:Setaria_italica_v2.0:IV:18375218:18387032:1 gene:SETIT_005975mg transcript:KQL10565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTLLSRRFPTNPDEYKLYEEIGEGVSASVYRALCVPLDIMVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNLLRAYCSFTNGHQLWVVMPYMAAGSALHIMKTSFPEGFDEPVIATLLREVLKALVYLHSQGHIHRDVKAGNILIDSNGAVKLADFGVSACMFDTGNRQRARNTFVGTPCWMAPEVMQQLHGYDYKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKDLVATCLVKDPRKRPPSEKLLKHSFFKHARSAEYLSRSILDGLPPLGERFRELKSKEAELLLNNKLGQESKEQLSQKEYIRGISGWNFNLEDLKNAAALIDSSNGTCHLEVRDNKVRDDSHDAYNDPELIYQERVNHGASGRPEEDEIQEVEDLNDALSSSFPSRPLEALKSCFDVCGADDPDRTAAHSRVQPNVGPVPVLQFPKIEHCKSANCNGESLERSVSVPMNLGTSGCHKHSSGSLIPEQVLSPYMTADLERDEYCQRNPSIRNRSGPLLFRQMKDSRTHLSVAPEEPSEGKIIRRRGRFQVTSDSISQKVATSGCSSSRTNLPIGATRSNLKSSAILPTLQFLMQQNTMQKEVLSRLISSIEETSDDSEASTSGSYQSSGGPVREKELQSYVVQLQQSITELSDEVQRLKLRNNQLEQQIIALSKKDERLQTDDNQQ >KQL12118 pep chromosome:Setaria_italica_v2.0:IV:38825192:38831367:-1 gene:SETIT_005931mg transcript:KQL12118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGVRETPHTFSAILSACNSCEGLQLHGRVLALGLCSNPFIGSALVNLYMRVEMPCAALLLCNEMTLRSTVMSNVVLGGLCNLKLTEDLLCSLLDMRRHGLELNGLSYCYAMRGCYQDEEWLEQGRQLHGVVLKAGWVPSNIFLSNLLVDLYSATGDLVDAKNSLDDIPSEDVISWNSIVSVYASRGCKKEATDYLRQMVWHGKLPSVRSFVRLFALSGQTGDLQFGVQMHGVALKLGFSWSSAHVQTSLIDMYGKCCSFDSSLAIFNEIPSLALECCNSTITSSIRCKVFDSALEVLYCMIVEGVVPDNVTLSATIKATSLSASSSLISCEMLHSWVFKLGFETDMAVCSSLISAYARAGQMNSSHLIFESLQDPSVICFTSVISACARYGDGAQGVELLNKMVSRGLKPDDVTFLCAIAGCDQARLFEEGRLVIELMRASRELDPDERHFACMVNLLSRDGFVEEAIKMMEHSPLRHYTKAWSSLLQSCMAHGENVMGKRAANMLIDVGQKDPATNLQVSKYFHEIGDTENASRVKAMASGKEVKESGHSLGEELRLGEELGQEEEEGRSIRHGERGASPQVPNGIMHNGSSSGIINGTPSGDQLLNAGKDLHGLHSGIDASTSLQSDQNATAVLFGDNGASATIKTESGYSSNADFAFCGNTFLESCQSIGDASGGGSFSSSELNGQPLNDSILDMESSSFSFLNQIPQSFIFSDLAEDFSQSAGYPESVFQYAF >KQL12194 pep chromosome:Setaria_italica_v2.0:IV:39338741:39339177:1 gene:SETIT_009147mg transcript:KQL12194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSFPCSVSISSANTSHHLQFPHWTALPCR >KQL10107 pep chromosome:Setaria_italica_v2.0:IV:9588949:9588984:-1 gene:SETIT_0083202mg transcript:KQL10107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LMFAADRWTLT >KQL10471 pep chromosome:Setaria_italica_v2.0:IV:15835021:15837370:1 gene:SETIT_007516mg transcript:KQL10471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKQRPGGARKDEVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARKRNDEEDAKEELYSLVTVAEIPPEGLKGLGTKLVEEDE >KQL11440 pep chromosome:Setaria_italica_v2.0:IV:33721136:33723949:-1 gene:SETIT_006616mg transcript:KQL11440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPYTNFLRGYYSHFPPPNPPSFSSSYAAASSYLHPPPPSPPIREALPLLSNLTPSSSTTNHHQHDDDDVAARDHKDCKRAASCSGQDAADQAAGEVTVALHIGLPSPSPSESAAAGGGESREPAGGPQQQQPGDGGHGGGEDEAAEDGDDGEDAAMAVGCASIGIGRLTKGQYWIPTPSQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAAGCRNNIDHPRARPLKDFRTLQTHYRRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCACGSDFKHKRSLKDHIRAFGRGHAACGIDCFDDLDDDDRDPSSEVDHATTNAGTTTSSAAAAGGAASSKQQHHWKPPPPSSSRGSGGDRLL >KQL09171 pep chromosome:Setaria_italica_v2.0:IV:1655145:1657808:1 gene:SETIT_007483mg transcript:KQL09171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVWLTAFFLVVALIVLVIYQLMCLADLEFDYINPFDSSSRINKVVMPEFILQALLSVLFLLSGHWAMFLLSVPMVYYNYTLYQRRQHLVDVTEIFNQLGREKKRRLFKIVSLIVLLFLSLFWMIWSVLSEEDE >KQL11455 pep chromosome:Setaria_italica_v2.0:IV:33893264:33894547:-1 gene:SETIT_008068mg transcript:KQL11455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLHHHHHHHHSSSSSDTDNNNDNKNGSNSSGGVLAAAAPSARDLVLACADLLQRGDLPAARRAAGVLLSAASPRADAADRLAYHFARALALRADARAAAAAGRVAPGLVSAAARPASSGAYLAFNQIAPFLRFAHLTANQAILDAVEGARRIHILDLDAAHGVQWPPLLQAIAERADPAAGPPEVRITGAGADRDTLLRTGSRLRAFARSIQLPFHFTPLLLSCAATHHHEVAGGSATTASSAATSLELHPDETLAVNCVMFLHKLGGQDEVAAFLKWVKAMAPAVVTVAEREMIGGGYDRIDDLPQRAAVAMDHYSAVFEALEATVPPGSRERLAVEQEVLGREIEASLGPAGGRWWRGLERWGAAARAAGFAARPLSAFAVSQARLLLRLHYPSEGYLVQEARGACFLGWQTRPLLSVSSWQ >KQL10891 pep chromosome:Setaria_italica_v2.0:IV:27823503:27824618:1 gene:SETIT_007393mg transcript:KQL10891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAVSPDSLLLFCSVTASAAAAFALISLYRHLARRRAGPSADGGLALAASSAAAAGGGEGDESEELLPLSAAAASLPAFMYSRLVRHSGKGAAGWTECAVCLGAIQVGAMVKLLPACGHVYHRDCIDLWLSSRSTCPLCRCRVGGDAAAPGQEPSRQLAQPSSA >KQL11952 pep chromosome:Setaria_italica_v2.0:IV:37809543:37810059:-1 gene:SETIT_007727mg transcript:KQL11952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVNNQSSQYVTRFNEHAEGASFKKSRRRIFQEEHTNLSWIEAIHIHINLDTTDSQEIHNILAMAKSLGRQ >KQL09550 pep chromosome:Setaria_italica_v2.0:IV:4189418:4189985:-1 gene:SETIT_008413mg transcript:KQL09550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLEVTSPIQPPPNNRWYHKEHQQVLKVEVLVEQAQPPHFDGISYAKWKSSMREYLMAINAAFWNFVSVGITFPPEDATLSQDQALDFQHNYQALHLIKSSLCAKEFDKVDGLQSAKEVWDTLFINHQGT >KQL12311 pep chromosome:Setaria_italica_v2.0:IV:39967428:39968430:1 gene:SETIT_007959mg transcript:KQL12311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFRCPPPPTTTTDDLRVTLAVADGEPIPSMATYSPPSPTPTDEKNKKVAVCACTMVRDVAKFLREWVAYHAAVGVDRFFLYDNGSQDDLEGQVRQLNSAGFHVSTHLWPWPKTQEAGFSYAAAVHRDSCEWMAFVDVDEFIFSPSWAPSSKPTKSMLRSIVAAVEPDVGQVTLGCKDFGPSGQTKHPEEGVTQGYTCRRRAEERHKSLVRLDAVDPSLINSIHHFELRPELRWERSRQARVNHYKYQAWDEFKVKFRRRVSTYVADWTDPVNHGSKDRTPGLGFEAVEPAGWAHKFCDVEDNLLRDVTRGWFGVGFSSNKLRPLGPPTHYSSS >KQL12327 pep chromosome:Setaria_italica_v2.0:IV:40037459:40038316:1 gene:SETIT_007197mg transcript:KQL12327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRWLWPGPHRHWRSIKSALAVVAASNRDREGALNSSTSSITMRAPARLLHVEQPPPAPPAAQNQAVVPADSDMVVILASFLCALVCVLGLALISRCACRLRRGRGSSPSSSSEAHPPPPPRGLKKKAIDALPTVPFAVSSPCSAASECAICLADFAEGDALRVLPRCGHAFHVACVDAWLRTRATCPSCRAGIVAAAHEPPVVAPGACGRCGHLLLPLPPAAAAGDAHTFLP >KQL12250 pep chromosome:Setaria_italica_v2.0:IV:39657496:39660287:-1 gene:SETIT_007819mg transcript:KQL12250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAPPPAAAIAATFSALLRRRTVRPCYARCVSSNARAEAAEPERRSGGLTGTRLEEAVPAGEGRSRVDAWISARLGGGGVSRARVQASIRAGLVAVNGRPVSKVSHMVKGGDLVSCTVSELQPLRAEAEDIPLDIVYEDDHVLVVNKPAHMVVHPAPGNANGTLVNAILHHCRISTFTCLARNSTGDECPDSSDDDVDVFDVDQFTTEDVSSEVRNALVRPGIVHRLDKGTSGLLVVAKDEHSHAQLAEQFKLHTIRRVYISLTCGVPHPNSGRIEASIARDPNNRIRMIAIAGSGQRYARHAASRYKVREVFAGGGSALVEWRLETGRTHQIRAHAKYLGIPLLGDETYGGTKSMALSLLRPRTPSKYHSGLSDLISKVDRPCLHAALLGFKHPHSGKILEFSCPPPDDFTEVLDELRRVTSADGQNGDDVVQ >KQL10936 pep chromosome:Setaria_italica_v2.0:IV:28560566:28567570:1 gene:SETIT_006577mg transcript:KQL10936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPGGGPLPAADAMEVDPPRASADEKHVATVMGGNDAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGVVFQAKCIETGETVAIKKVLQDKRYKNRELQIMRSIDHCNVISLKHCFFSTTSRDELFLNLVMEFVPESLYRVLKHYKDMKQRMPLIYVKLYMYQIFRGLAYIHNAPGVCHRDIKPQNILVDPLSHQVKVCDFGSAKILVKGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFKFPQIKACPWHKIFHKRMPPEAIDLVSRLLQYSPNLRCSALEACAHSLFDELREPHARLPNGRPFPPLFNFKQELANAPPELISKLLPEHARRHSGFNSLFGSGP >KQL11993 pep chromosome:Setaria_italica_v2.0:IV:38057857:38064094:1 gene:SETIT_005802mg transcript:KQL11993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSSGSVLPAQAGSPEAVEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLNPQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPCQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTNSLMWLRDTANPGFQSLNFGGLGVNPWMQPRLDASLLGLQPDMYQAMATAAFQDPTKQVSPTILQFQQPQNIAGRAAPLLSSQILQQVQPQFQQQPYLQNISESTIHGQGQAELLKQQIQRSHSFNEQKPQLQPQQQQQESQQQSQCLQVPQHQQMQQQNNMTNYQSVSNALSAFSQLSSAPQSSPVALQTILPFSQAQSFAETNMSSLSPSNATAMQNTLRPFSAEAASQLSMPRPTAVPVADPWSSKRVAVESLLPSRPQVTSQMEQLNSAPPSIPQSSALAPLPGRGCLDQDVNSDPQNHLLFGVSIDSQSLLMQGGIPGLQNGNDSTAIPYSTSNFLSPSQNDFSLDHTLNSSGCLDDAGYVPCSDNSDQVNRLPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLEDPMRSGWQLVFVDREEDVLLVGDDPWQEFVSTVSCIKILSPQEVQQMGKQGLELLSSAAPARRLGSSCDDYVSRQESRSLSTGIASVGSVEF >KQL11995 pep chromosome:Setaria_italica_v2.0:IV:38058768:38063656:1 gene:SETIT_005802mg transcript:KQL11995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSSGSVLPAQAGSPEAVEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLNPQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPCQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTNSLMWLRDTANPGFQSLNFGGLGVNPWMQPRLDASLLGLQPDMYQAMATAAFQDPTKQVSPTILQFQQPQNIAGRAAPLLSSQILQQVQPQFQQQPYLQNISESTIHGQGQAELLKQQIQRSHSFNEQKPQLQPQQQQQESQQQSQCLQVPQHQQMQQQNNMTNYQSVSNALSAFSQLSSAPQSSPVALQTILPFSQAQSFAETNMSSLSPSNATAMQNTLRPFSAEAASQLSMPRPTAVPVADPWSSKRVAVESLLPSRPQVTSQMEQLNSAPPSIPQSSALAPLPGRGCLDQDVNSDPQNHLLFGVSIDSQSLLMQGGIPGLQNGNDSTAIPYSTSNFLSPSQNDFSLDHTLNSSGCLDDAGYVPCSDNSDQVNRLPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLEDPMRSGWQLVFVDREEDVLLVGDDPWQYVLMTVLMIPSEQSMLMFYTFPYIGAHCNLQGIREYGILHKDTLAAGGAADGQAGA >KQL11994 pep chromosome:Setaria_italica_v2.0:IV:38057857:38064094:1 gene:SETIT_005802mg transcript:KQL11994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSSSGSVLPAQAGSPEAVEEHKCLNSELWHACAGPLVSLPAVGSRVVYFPQGHSEQNSKNSPFFTQVLGEFPLQVAASTNKEMESQIPNYPNLPPQLICQLHNVTMHADAETDEVYAQMTLQPLNPQELKDPYLPAELGSANKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPCQELIAKDLHGNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNDNNQLLLGIRRASRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSRFTIFYNPRASPSEFVIPLAKYVKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDSVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFPLRLKRPWPTGLPSLHGGKDDDLTNSLMWLRDTANPGFQSLNFGGLGVNPWMQPRLDASLLGLQPDMYQAMATAAFQDPTKQVSPTILQFQQPQNIAGRAAPLLSSQILQQVQPQFQQQPYLQNISESTIHGQGQAELLKQQIQRSHSFNEQKPQLQPQQQQQESQQQSQCLQVPQHQQMQQQNNMTNYQSVSNALSAFSQLSSAPQSSPVALQTILPFSQAQSFAETNMSSLSPSNATAMQNTLRPFSAEAASQLSMPRPTAVPVADPWSSKRVAVESLLPSRPQVTSQMEQLNSAPPSIPQSSALAPLPGRGCLDQDVNSDPQNHLLFGVSIDSQSLLMQGGIPGLQNGNDSTAIPYSTSNFLSPSQNDFSLDHTLNSSGCLDDAGYVPCSDNSDQVNRLPATFVKVYKSGTYGRSLDITRFSSYHELRRELGRLFGLEGQLEDPMRSGWQLVFVDREEDVLLVGDDPWQEFVSTVSCIKILSPQEVQQMGKQGLELLSSAAPARRLGSSCDDYVSRQESRSLSTGIASVGSVEF >KQL09709 pep chromosome:Setaria_italica_v2.0:IV:5394228:5394760:1 gene:SETIT_008944mg transcript:KQL09709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPTGPPRATPRPDAARRAAAVHLRVPGRGTPASARA >KQL11206 pep chromosome:Setaria_italica_v2.0:IV:31677268:31681573:1 gene:SETIT_006197mg transcript:KQL11206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSAPPLLALLPLLLLLAAFSPATATAARSSNPRAPFPPLAPLHLQALRQQRARARSSDVKYVTAAAAAADGASNGTAAKPFTAHYFPQELDHFTFTPNASMVFYQKYLVNDTYWRRPSGGRKGGAAGPLFVYTGNEGDIEWFATNTGFMFDIAPKFGALLVFIEHRFYGESKPFGNDSYKSAETLGYLTSTQALADFAILIGSLKQNLSAEGAPVVVFGGSYGGMLASWFRIKYPHVAIGALASSAPILQFDYITPWSSFYDAVSQDFKSESFNCFSVIKATWDVLDERGASDKGLLELSKLFRACKTVKYAYSIPNWLSTAFTYTAMVDYPTPANFLENLPAYPVKEMCKIIDGFPTNTDILEKAFAAASLYYNYTGDLTCNPIEDEDDPHGLDGWQWQACTEMIMPMTVSNESMFPPSSFSYKDRSDGCFQSWGVRPRPHWITTEYGGYVSVSLEFQCAHMNP >KQL11205 pep chromosome:Setaria_italica_v2.0:IV:31677224:31682356:1 gene:SETIT_006197mg transcript:KQL11205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSAPPLLALLPLLLLLAAFSPATATAARSSNPRAPFPPLAPLHLQALRQQRARARSSDVKYVTAAAAAADGASNGTAAKPFTAHYFPQELDHFTFTPNASMVFYQKYLVNDTYWRRPSGGRKGGAAGPLFVYTGNEGDIEWFATNTGFMFDIAPKFGALLVFIEHRFYGESKPFGNDSYKSAETLGYLTSTQALADFAILIGSLKQNLSAEGAPVVVFGGSYGGMLASWFRIKYPHVAIGALASSAPILQFDYITPWSSFYDAVSQDFKSESFNCFSVIKATWDVLDERGASDKGLLELSKLFRACKTVKYAYSIPNWLSTAFTYTAMVDYPTPANFLENLPAYPVKEMCKIIDGFPTNTDILEKAFAAASLYYNYTGDLTCNPIEDEDDPHGLDGWQWQACTEMIMPMTVSNESMFPPSSFSYKDRSDGCFQSWGVRPRPHWITTEYGGYKIDKVLKRFGSNIIFSNGMRDPWSRGGVLKNISSSIIALVTEKGAHHLDFRSATKGDPDWVIEQRRQEVEIIQGWIDQYHQDMAEIYY >KQL09916 pep chromosome:Setaria_italica_v2.0:IV:7460396:7461080:1 gene:SETIT_007429mg transcript:KQL09916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEASFPLLEGHDGSSAVTQLLRKVVAGCLVLLLLSVGVICSTLLLASPSSDSVCVNMDGPNFIKKCLLLIPIFVIVPVLCTSGLIREEMGSEAGALFLVEAISGVMLMEWLSICMCGASAWWVLSTGAVLVVALGTWAYVTRSAALVEA >KQL09025 pep chromosome:Setaria_italica_v2.0:IV:747132:748925:-1 gene:SETIT_007643mg transcript:KQL09025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQEFEEHAEKAKTLPESTTNANKLILYGLYKQATVGDVNTGRPGIFNMKDRAKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAGASTS >KQL09024 pep chromosome:Setaria_italica_v2.0:IV:747132:748925:-1 gene:SETIT_007643mg transcript:KQL09024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQEEFEEHAEKAKTLPESTTNANKLILYGLYKQATVGDVNTDRAKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAGASTS >KQL09026 pep chromosome:Setaria_italica_v2.0:IV:747518:748781:-1 gene:SETIT_007643mg transcript:KQL09026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQEEFEEHAEKAKTLPESTTNANKLILYGLYKQATVGDVNTGRPGIFNMKDRAKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAGASTS >KQL09195 pep chromosome:Setaria_italica_v2.0:IV:1813161:1815159:-1 gene:SETIT_007157mg transcript:KQL09195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAAAGVAAVIGALILLVAGVSGARLPARGGAVRGALLPRGGAPATAVFALGSFWRSEAAFGCLPGVIRTSVGYAGGSKANPEYRNLADHAECVKVEYDPRLIHYRQLLDVFWASHDPREVFGQGPDVGNQYRSVIFTNGTIEARLAALSKEKEQAKDRSSVITTQIQPLGVFHPAEPEHQKFELKRKPFLLQLIGNLPEEELLTSTLAAKLNAYAAELCPANTQKRISSKINEISKKGWPILREI >KQL09473 pep chromosome:Setaria_italica_v2.0:IV:3656431:3656826:1 gene:SETIT_008156mg transcript:KQL09473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDSKDILKNVDWKTVGGSVATESSQPIVKKRLPKKIRQVPDCYFLPRRSWPSALAIYGAVCAAGVGAGMLLEVWINKKIKEDGGIIWEMDK >KQL09759 pep chromosome:Setaria_italica_v2.0:IV:5762693:5764602:1 gene:SETIT_007758mg transcript:KQL09759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRPMVALRAALVGGIAAFAKIGGVMKAAGGVKIGAAAAAVTAAASAAISGKDTSKDTPKAETK >KQL12297 pep chromosome:Setaria_italica_v2.0:IV:39896893:39897745:1 gene:SETIT_008781mg transcript:KQL12297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KWGWCGTTSDYCGTGCQSQCSGCGGGGGGGGGGGGGGGGGVGSIISQSLFDQMLLHRNDNACPARGFYTYAAFIAAANAFPGFGTTGDLDTRKREIAAFLGQTSHETTGGWATAPDGPYSWGYCFKQEQNPGSDYCQPSSQWPCAAGKQYYGRGPMQLSWNYNYGPAGQAIGADLLGNPDQVAADATIAFKAAIWFWMTAQSPKPSCHAVSTGQWSPTSADQTAGRLPGYGAITNIINGGLECGRGVDSRVADRIGFYKRYCDMLGVSYGSNLDCYNQRPFGS >KQL08923 pep chromosome:Setaria_italica_v2.0:IV:330670:335594:-1 gene:SETIT_0056772mg transcript:KQL08923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNSGRMVSVHNISEIVADKIDHSSVANGSALSYFHALCRQPIPGPLVGGSAASKDVNKWLDDMIAVYESSLTEFQRGDVQKVLISLLKILCQHYGKLRSPFGSDPSQEGIDGPDMAVTKLLSSCKSSADMKGYGVHCMRNLPSESQIQATAQEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYADTVKKMAHCHLVSGSPLRTLCLLIAGQPADVFNSENPVNSGDLYTHHQPVEVAPRGMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVASAHSCYLVAELNIDSYSESARMCLIGADHLRSPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGKVSDSLRYCQASLKVLKASGRTPELEAWKQLFSTLEERIRTHQQGGYATNLAPGKIVGKLFTSLDKSLSRMMGTQSAPMPPLTQGAANERDVYSPPDTKVVNNQSVMSMSPLMSSASEQSMSEMAGNSGPGREVAHNRSISEPDFGRAPQKQAAGSSKAQSTSGSGSSRFGWLVQKTVGLVSKSHRQAKLGEQNKFYYDEKLKRWVEEGAEVPAEEPPLPPPPMKSSFQNSIPEPNLNGPPVGGGYTANGFTEAKASNPSEPSSGMPPMPPTQNQFSARGRMGVRSRYVDTFNKGGGGANAFGAAAMYSKPAAPSMSPLSGAKFFVPTPAAVASEQTAADATGDAHSETAQQDGPSSSPAVEAAFSSPAPPVPMQSTIQRYPSGDNIQRYPSMDNIVAPSDGVNSSMSRSRASSWSGAYPEQLSSTAVSRSPDGQNMRSPMMPGVRPPHSRSSSNSSLQFNGLGEDLHEVEL >KQL08924 pep chromosome:Setaria_italica_v2.0:IV:330301:335594:-1 gene:SETIT_0056772mg transcript:KQL08924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNSGRMVSVHNISEIVADKIDHSSVANGSALSYFHALCRQPIPGPLVGGSAASKDVNKWLDDMIAVYESSLTEFQRGDVQKVLISLLKILCQHYGKLRSPFGSDPSQEGIDGPDMAVTKLLSSCKSSADMKGYGVHCMRNLPSESQIQATAQEVQNLLVSGRRKEALQYAQEGQLWGPALILALQLGDKFYADTVKKMAHCHLVSGSPLRTLCLLIAGQPADVFNSENPVNSGDLYTHHQPVEVAPRGMLDDWQENLAIITANRTKGDDLVITHLGDCLWKEKNEVASAHSCYLVAELNIDSYSESARMCLIGADHLRSPRTFASPEAIQRTEVYEYAKVLGNSQYILLPFQPYKLIYAYMLAEVGKVSDSLRYCQASLKVLKASGRTPELEAWKQLFSTLEERIRTHQQGGYATNLAPGKIVGKLFTSLDKSLSRMMGTQSAPMPPLTQGAANERDVYSPPDTKVVNNQSVMSMSPLMSSASEQSMSEMAGNSGPGREVAHNRSISEPDFGRAPQKAAGSSKAQSTSGSGSSRFGWLVQKTVGLVSKSHRQAKLGEQNKFYYDEKLKRWVEEGAEVPAEEPPLPPPPMKSSFQNSIPEPNLNGPPVGGGYTANGFTEAKASNPSEPSSGMPPMPPTQNQFSARGRMGVRSRYVDTFNKGGGGANAFGAAAMYSKPAAPSMSPLSGAKFFVPTPAAVASEQTAADATGDAHSETAQQDGPSSSPAVEAAFSSPAPPVPMQSTIQRYPSGDNIQRYPSMDNIVAPSDGVNSSMSRSRASSWSGAYPEQLSSTAVSRSPDGQNMRSPMMPGVRPPHSRSSSNSSLQFNGLGEDLHEVEL >KQL10555 pep chromosome:Setaria_italica_v2.0:IV:18133166:18146177:1 gene:SETIT_005774mg transcript:KQL10555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADSDDEDLVIYGTPIEREEDTSARKRRAVAEAGQLRALPAWKQEVRDEEGRRRFHGAFTGGFSAGFYNTVGSKEGWTPQTFTSSRKNRAEVKKQSIHSFLDEEDIKDMGGSALETSQQYDTFGFTAAEYARKQASKEQKERPSAIPGPIPDELVAPTTNSIGVTLLLKMGWRQGRSIRDSHADSLYESRRNARKAFLALSGSKNDEDQDQSSGKPSLDQAVVGSTEEMRVSGNTPVYVLHPKQDLHGLGYDPFKHAPEFRDRKTLQKSRDRDHKRNDVSMRGSLLTSNSGHYAPGFGIGALEELDVEDEDIYASGFAYEQTEVDIEPSKTASDSNYKLDNRKRGFYLSFKIASNSEYKLERFLPPEIPDDFDARHKFPTPVQSAEKFYDSAPLEVPPPEDTSLTLLIEGCAAMVARCGKHIEDFYKEKSKTNPQFMFLSGGDGCKYYMRKLWEHQQKYVGQQRPDSAKSKTSEKLTAENRGRILGERPLDRSTKLHSPSLSAKEAVQLQSNLVDTFVKPISLDALPESEKPFSNDPAKQARFEQFLKDKYKGGLRSANVAPTSTMSEADRARERLDFEAVAEAIEKGKGKKVIDHSSLFSLPGMNEQRFVAATQVESSVVPQDEKPIYPRREQFEWRPLPILCKRFDIVDPFMGKPMPVQRPRTKIDNLIFMTESNKGTKDEVESSSRSSQHASMEGITEVESQGTANDPDIELSSMQRPVDLYKAIFSDDSDDDADEILNNQPVDPLKTSEGANMALNRLVAEDFLESLGKELGLEVPPERPNVLSRPETLAAAGASGSPQYERITTALAEVKESQSSFGMVQVGNANQDAPLASAEKLALKYEKQENRTEENRSRDMHRQSQNHSPRSDSSSERHRSRKRRSHHHSWDGTPESDSASERHRNRRSKSHSRHRKGRSRTPETDSRSDTKHERKRKEKRHHRTCTSDSDSSDHEHKERYKSSSRRSSDKDRSRKHSRHHKHRRKDHEDYS >KQL12153 pep chromosome:Setaria_italica_v2.0:IV:39143073:39143793:-1 gene:SETIT_008901mg transcript:KQL12153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRKKERKMEVHTTNWFKHPLLSCCKMSASASSKQRALQMASVCGYESVQDRSILVTVFKVPNKWIKSSVNLRRHCKVES >KQL10885 pep chromosome:Setaria_italica_v2.0:IV:27705635:27706132:1 gene:SETIT_008694mg transcript:KQL10885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVPSPGLSELQNRRTTTGTIIFSYTCVGLTGTALVAVLFFYFYQHFRRRAPVTAAGAEGNPGAGDHHVGVDVTKLPEYAYTQSSRRRSSGGDGAQCSVCLGAVQPGEMVRRLPMCKHLYHVECIDMWLASHATCPMCRSDVELPADGKAAPPTEPPQEVPPV >KQL11007 pep chromosome:Setaria_italica_v2.0:IV:29470725:29477424:1 gene:SETIT_006252mg transcript:KQL11007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRTGFLPPNLDTKFPQPHGARPSHPAAEMTQDLEMAARHGGNGAADGRYYPQPRAGAGGEELDDDGRKKRTGTVWTASAHIITAVIGSGVLSLAWSTAQLGWVVGPVTLMIFAFITYYTSSLLADCYRSGNQATGKRNYTYMDAVAAYLGRWQVWSCGIFQYVNLVGTAVGYTITASISAAAVHKANCFHKKGHAADCSQYDTVYMVVFGIVQIFFSQVPNFSDLSWLSILAAIMSFSYSSIAVGLSLARTISGSTGKTTLTGTEVGVDVDSAQKIWMALQALGNIAFAYSYSMILIEIQDTVKSPPAENKTMKKATLLGVSTTTAFYMLAGCLGYAAFGNAAPGNIMTGFGFYEPYWLIDFANVCIVVHLVGAYQVFSQPIFAAVETELAARWPNSKFVTGEHPLVAGRFNVNMLRLTWRTVFVVVSTVLAIVMPFFNDILGFLGAIGFWPLTVYYPVEMYIRQRRIQKFSTRWLALETLSFLCFLVSLASAVASIEGVTESLKHYVPFKTKS >KQL09948 pep chromosome:Setaria_italica_v2.0:IV:7665792:7666835:-1 gene:SETIT_008385mg transcript:KQL09948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIDLDTNQLSSSSSSSSDQELMKALEPFIRSASSPTSSTSTTTSPFSYPYVYHSALPQDSYYYQPAAAASSCTALPPPPPAPTTTSFSQLPPLPPCSSSYAMPTAPYQTLSMDAAAGLALNHLSPAQIQQIQAQLLLRQQQRGLVASLLGPRAQPMKQAGAVAPPSTASKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFGSAEDAALAYDKAAFRLRGDAARLNFPSLRRGGSHLAGPLDASVDAKLTAICQGLAAAPDSKSAAAAPESPKASASTTTTEGDESVHSAGSPPPLPAFQQQQQQVAPVPEMASLDFTEAPWDESAALHLNKYPSWEIDWDSILS >KQL09496 pep chromosome:Setaria_italica_v2.0:IV:3851372:3857969:-1 gene:SETIT_006005mg transcript:KQL09496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLTPADATLILDHALGDPSVPAAAAHALLAALPFPSDPTPRLRRAVLLRRLAADPVSASALDTLHLLASLPASPSPSPSPIAAAHIAVAGFLAASAPDFDAAAAALFARPDGRVRRAVDEGGSRALASDDAVATVEQFEAAVGNSFSQVVLRGLWGDRDAAEERVRELLAAEWAGMGPSLLEVAAERIVGDVAVGTWRDADEATRAKFRVLAGEEKTREILVKLEESTSRVNPISTPEVSKVVDALKTSCAELHSVVEDPLPAAKAAADKVLATRINRTVNLNAEGGQPAACGTAGPSVLNERNNGPNKGAPPSLMDWNPTARTFQWEESPDPEGSEPALRRPHLPSPRRIPVSPLPAAENKNKRRRARKWCLLEEETLRKGVELYGSGNWKDILSNNPDVFIGRTPVDLKDKWRNMMRGIVRPPTTEKAADILLEALFSACQNLADEASSLPNEQKEFKLYRQHVDNLHQLAGDYDSVIICLGAKACSLPELANKLPLRTCRGVIAEFQLPSDTVEEYGNQSPSILSDAWMAFQGPRTVSIGSTWQWKSENYSSTVSDEEALTAKDELLPKASGVYPGISKWDFVHARAGIRAMPPLTTNGSLPLLGCLDEMVGKKSNCKFWLVGGLGARGLLYHGLVGKLTAKAAICCDENVIPSEFTCWKGIKPSQ >KQL11883 pep chromosome:Setaria_italica_v2.0:IV:37387412:37387872:1 gene:SETIT_008560mg transcript:KQL11883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTVTGKTITLEQRLIFAGKQLEDGRTLADCNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSHTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGR >KQL12104 pep chromosome:Setaria_italica_v2.0:IV:38779016:38781009:-1 gene:SETIT_006524mg transcript:KQL12104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNPTFLPCPDHSPGRSLAAPPRITPSSSPALVTSVQDLYDFICSGPLVDRIGYTKEKIAESIDRWLRCGGQVARLFRLNELQLSEAEKARIYHFYIPVFLWCEDQVIEHRAKYNEGDEIPPLVIGVSAPQGSGKTTLVFALNYLFQVAGRKSTVLSIDDFYLTAKEQNELRDRNPGNALLELRGNAGSHDLQFSVETLESLTKLTKEGMKMKVPRYNKSAFGGRGDRADPSVWPEVEGPLEVILFEGWMLGFKPLPNEVVKAVDPQVIL >KQL12105 pep chromosome:Setaria_italica_v2.0:IV:38777931:38781010:-1 gene:SETIT_006524mg transcript:KQL12105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNPTFLPCPDHSPGRSLAAPPRITPSSSPALVTSVQDLYDFICSGPLVDRIGYTKEKIAESIDRWLRCGGQVARLFRLNELQLSEAEKARIYHFYIPVFLWCEDQVIEHRAKYNEGDEIPPLVIGVSAPQGSGKTTLVFALNYLFQVAGRKSTVLSIDDFYLTAKEQNELRDRNPGNALLELRGNAGSHDLQFSVETLESLTKLTKEGMKMKVPRYNKSAFGGRGDRADPSVWPEVEGPLEVILFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLEAYYDAWDRFIQSWIVIKIREPNSVFQWRLQAEVAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSKPEHLLVIDIDEARNPIWGN >KQL12106 pep chromosome:Setaria_italica_v2.0:IV:38777931:38781797:-1 gene:SETIT_006524mg transcript:KQL12106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLHAAPPPPSAAASSSSPAAPLFVARPHHHRRPAAAASCSLAVTAAAAPSRKAFLPCPDHSPGRSLAAPPRITPSSSPALVTSVQDLYDFICSGPLVDRIGYTKEKIAESIDRWLRCGGQVARLFRLNELQLSEAEKARIYHFYIPVFLWCEDQVIEHRAKYNEGDEIPPLVIGVSAPQGSGKTTLVFALNYLFQVAGRKSTVLSIDDFYLTAKEQNELRDRNPGNALLELRGNAGSHDLQFSVETLESLTKLTKEGMKMKVPRYNKSAFGGRGDRADPSVWPEVEGPLEVILFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLEAYYDAWDRFIQSWIVIKIREPNSVFQWRLQAEVAMRADGKPGMSDEEVMDFVSRYLPAYHAYLPTLYKEGPNGSKPEHLLVIDIDEARNPIWGN >KQL09163 pep chromosome:Setaria_italica_v2.0:IV:1615110:1617072:-1 gene:SETIT_007371mg transcript:KQL09163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDDLFEQKNDVAKAVLEELEKVMADYGYSIEHILMVDIIPDAAVRKAMNDINAAQRLQLASVYKGEAEKILLVKKAEAEAEAKYLSGVGIAKQRQAITDGLRENILNFSHSVSGTSAKEVMDLIMVTQYFDTIKELGDGSKNTTVFIPHGPGHVKDISEQIRDGMMQASSSNV >KQL11311 pep chromosome:Setaria_italica_v2.0:IV:32531743:32532152:-1 gene:SETIT_007794mg transcript:KQL11311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWKYNSSEQFKKSKTETHHYYKLGLACNRTRTVPISCSDEASTKILTGYLQMQY >KQL11580 pep chromosome:Setaria_italica_v2.0:IV:35010922:35013791:-1 gene:SETIT_007835mg transcript:KQL11580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISESLSRRDKSLQLINRDIKPLSFMDRLKGGCDDIENLEEMIEVERDLERYQRDTLRKIRPQQIYQMGWFENKNGLYRISREVELSVLTEPVQLRIVSKQFENGLKYSGYKYIHQGMYIIGIKGMTRKKLGTKVLITLLDKRWDSVNKAALGFLEGDMNENMLITYIAPDLIMPIKEFIDKMAIGFQTKGYEDFKGTNLLVSIEFVGRLTNRSATKYKVNVNNVIESMQSKGIKFMSPLKISSEERAGEEWNISALIEPKILKQPKDYVSYENSKGKTSIRFVNYKERSLDDLNVSTSESNIEEARRHSVCEFMEKLDIDNEIKHYEKKLSKVQDEYNTSMIYSRIQKELENNKERVKEKEEVVISEEDQWEINNKILLESYEEEAVDIIEIHSSKSESHIPSLGNEELFNKDTAIEAMDIDPSPSKRRREQERDIKIEGERDRPSRKPGNWPPEKEEPTYTYIPGQYKHMGSKRREFERTVQFQNYRSDGAILNLAAHDPIDWPNIISIWKSLIVQKYIQNQHNIGSRVEDMITYLETFLGESVKVLWEQWVETYPYYYEELKRAGSNPYNFANIISSIVIDEDPELGYTTLQNERLKEIEKLTLANWKGIEEFSQHYLYNATTAKQGYNKSIVERIYDIEEYKKESNGKEYNISQAITFVFKQLRKICTSIQAQRSMKQSNYNFCNKIVQIPLTYGEEKYRNKKYPKNYKKGNVKTKKRYFLRRSDNRAPFLHKRNVRRYNPRKNYDSTCRCFICNSPDHLSKTCPNKDKKRYSNKQEEQENVLIIDSVNENVLVCDDDIMDDESIYSIIETDEIEYNEEDESSDEELNLIEELAGLKIEMMDQIIRDHQEARRQRRKSATHHIEHQRKQDHRRPPPTLHSYSMKTSTTSEAL >KQL10680 pep chromosome:Setaria_italica_v2.0:IV:23030641:23031886:1 gene:SETIT_008917mg transcript:KQL10680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGLLDRLERTSQAHPRVVGMGSASALIFGRPLVLLPQAGAAAPTAPARHGDGPEEETNEPWPPRREATSPTIMSLSVDRHPHDAVMNECYEKIGALTLNQG >KQL10681 pep chromosome:Setaria_italica_v2.0:IV:23030972:23031432:1 gene:SETIT_008917mg transcript:KQL10681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKRVYVRPTLDTSYICRILYIMATNILRSGSGKTYTMRPLPLKASQDILRLMHHAYGNQGFQLFVSFFEIYGGKLFDLRNDRR >KQL10746 pep chromosome:Setaria_italica_v2.0:IV:24669020:24669897:-1 gene:SETIT_007715mg transcript:KQL10746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNRPKLDDGNGGFPPFRFGKGGGGGGGGGGGSNYFGGFFVFACVLLLDYLKEAEKNLLRQGHGSGDQASIGLAQ >KQL10038 pep chromosome:Setaria_italica_v2.0:IV:8786217:8788992:1 gene:SETIT_006940mg transcript:KQL10038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISDFQEDEAPPRQQQAAAVGGAEVEEALAALLERCGGALPFLQAAIGVAHRRSALFRDPSAVSKVTAMAAAARAQVEAEERAAREAKRKAEEAERKAAAEAEKASKAAAAATAAPAAAEEKPESSAEKDSMEVDKKEEGNVRRTKSRFVVCDIKKNHLKVGLKGQPLIIDGELYKPVKVDDCFWSIEDGKSLNILLTKHNQMEWWKSVIKGDPEVDTQKVEPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQEILKKFMAEHPEMDFSGAKIA >KQL10039 pep chromosome:Setaria_italica_v2.0:IV:8786217:8788992:1 gene:SETIT_006940mg transcript:KQL10039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIISDFQEDEAPPRQQQAAAVGGAEVEEALAALLERCGGALPFLQAAIGVAHRRSALFRDPSAVSKVTAMAAAARAQVEAEERAAREAKRKAEEAERKAAAEAEKASKAAAAATAAPAAAEEKPESSAEKDSMEVDKKEEGNVRQPNAGNGLDLEKYSWTQQLPEVNITVPVPEGTKSRFVVCDIKKNHLKVGLKGQPLIIDGELYKPVKVDDCFWSIEDGKSLNILLTKHNQMEWWKSVIKGDPEVDTQKVEPESSKLSDLDPETRQTVEKMMFDQRQKQMGLPTSDEMQKQEILKKFMAEHPEMDFSGAKIA >KQL09027 pep chromosome:Setaria_italica_v2.0:IV:755756:758948:1 gene:SETIT_008634mg transcript:KQL09027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMEGRGLSDLFRNTSEEIFLKAMMENSMGVAAAPSMEMLGFRNMSQSFREDSEELFNSWLTNGEIPGFGSVNNRPRQPSRLSSEAAGLPNQQHDVTQQNFLTDNFVQQSSAIPSVEYPNNHNQQSLKNAAEKGMQASDLLLAKAWFHSTQPMTRSRSSELRRRYAAMQTHVTPITAGCVEPTIQLKQDFTNITNSTPVSNTPVQTPKFVSPSSSSTSPLDHMVAQDAVTSVVSMLKDTLERKKLGSHANKDASVGNSFGFYDPQQFQQNILGGTDIFSLVTTAQVQDSPMLPKAERPMEPNSGNFVAPANQVWFGAASREPSHSGSSTAMTAHSAGFEVCDELPPMGQAMSVCESTRKNAANGTTDCRSKGKEYRERVLKDNVKDDKKKGALTRMGSISSEKAADNGDPTKKRRVERSRKMAEAKERSSTPVIPSDMQAVLKRCETLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEELTEEKERLLEEIERIVSDSNT >KQL10048 pep chromosome:Setaria_italica_v2.0:IV:8830380:8832696:-1 gene:SETIT_007196mg transcript:KQL10048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPPLPAAAASAEEERRSTATGSCLPRLVSGVLSGALTGLFAVAGGLTGAFTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWSADRSSPQSTSSMGDFIEQLLHARSVQDQYEPSAYMAYRWQVGIADNDDLYDVLEEVLSDGLSQDTLKKLPHHVVTDQKQESVAEDLSCAICLQDVVAGETVRKLPKCSHTFHQPCVDRWFMDHCSCPVCRQDVCR >KQL10046 pep chromosome:Setaria_italica_v2.0:IV:8831660:8832481:-1 gene:SETIT_007196mg transcript:KQL10046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPPLPAAAASAEEERRSTATGSCLPRLVSGVLSGALTGLFAVAGGLTGAFTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWSADRSSPQSTSSMGDFIEQLLHARSVQDQYEPSAYMAYRWQVSCCITSGGILTNRKRTNAYSRGSHPFL >KQL10047 pep chromosome:Setaria_italica_v2.0:IV:8830675:8832481:-1 gene:SETIT_007196mg transcript:KQL10047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPPLPAAAASAEEERRSTATGSCLPRLVSGVLSGALTGLFAVAGGLTGAFTGALAGRASDSGVLRGAGLGAFAGAVLSIEVLEASRAYWSADRSSPQSTSSMGDFIEQLLHARSVQDQYEPSAYMAYRWQMNNRYFQQVGIADNDDLYDVLEEVLSDGLSQDTLKKLPHHVVTDQKQESVAEDLSCAICLQDVVAGETVRKLPKCSHTFHQPCVDRWFMDHCSCPVCRQDVCR >KQL09228 pep chromosome:Setaria_italica_v2.0:IV:2000209:2001908:-1 gene:SETIT_006404mg transcript:KQL09228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKTAKILAGVAAVVLAALELSLFLCFRLSRPFYLSTAVILSAVLAGTVAALLCHQGRAERMARRPAMDGGGAEVSVRVEYSFFRKVAGLPSRFSLEALAAATDDFQCVIGRGSSGTVFKGILDDGTAVAVKRIDGSPHVDKEFRSEVSAIGSVQHVSLVRLLGFCLVRNGPRFLVYEFMENGSLDKWIFPQHGGGGGGRCLTWLQRYQVAVDVAKALAYLHHDCRAKVVHLDVKPENILLDDRLRGMLSDFGLSALMGKEQSRVVTTVRGTTGYLAPEWLLGAGVTEKSDVYSYGMVLMEMLGGRRNLQAEPGPGGSRRWSYFPKLVADKVREGRVMEVLDRQLVPSSVDEAAVRRLAHVALWCTQEKAGARPAMARVVEMLEARGGASVEPPPPSDMIIVNLLALDPAAHAHRGGGGGPFGLPALPPGSAGTASSVVSMSDSFALSYLSGR >KQL11921 pep chromosome:Setaria_italica_v2.0:IV:37625250:37626575:1 gene:SETIT_006571mg transcript:KQL11921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLTTMMALAPPPLSVKRRFSTTSRRNKETPATTMLLLEKRFPCSPHLRRRSWSRRAATATATAICQEQQMMERLAEDGWVDAHMLPLLTPVEEAWQPADLLPSFAASADEQRSQVAELQARAAAVPDDLLVCLVGNMVTEEGLPTYLSMGNRVAGGGDATGCDEHGWARWLRGWAAEENRHGDLLNRYLYLCGRVDMRRVETTVHHLLRRGMRAIPRPSSPYHSLIYGAFQERATFVSHARTAGLAARHGDDCLAKLCGVIAADERRHEAAYTRASARAFEADPDGMVRALAAVMRAKVTMPGELMTDGRDERLFDHFSAVAQRSGVYTAADYGDMVEHFVRRWKVAELGAGLSGEGRRAQDYVCGLPRKIRRMEELAHDRAAQMEAQHVSFSWVFDRPVRIH >KQL09295 pep chromosome:Setaria_italica_v2.0:IV:2398631:2399159:-1 gene:SETIT_007537mg transcript:KQL09295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGRRYSALTKLGFGALAFNSVVALYNSWGGAGSAAFVLAADAALVLLFLCLREFERTRGGAARDRNIIKAAVWALATLLTAMFASRVAPLMPPVIGTAVWIVAVATVAGGFWAFFLN >KQL09562 pep chromosome:Setaria_italica_v2.0:IV:4273306:4277829:-1 gene:SETIT_006399mg transcript:KQL09562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAASEAAGRMMDDDHDGWASDGEVDVEMEVGGEGHGLDADRRDGGADDDDAYSLVTRVSDTSAAEARAGKDIQGIPWDRLNITRQDYRKARLEQYKNYENFPQSGELMDKLCKQVEPSSKYYEFQNNTRSVKPSFLHFQLRNLLWATSKHDVYFMSESTVGHWSPLSHKLSTVLDFSGHVAPAEKHPGSLLEGFSGVQVSTLAVNEGLLVAGGFQGELICMGLADRNVKFCTRTTLSDNAITNAIDIHRSTSGSLRITVSNNDCGVREYDMERFQLLNHFRYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVLS >KQL09561 pep chromosome:Setaria_italica_v2.0:IV:4272494:4277829:-1 gene:SETIT_006399mg transcript:KQL09561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAASEAAGRMMDDDHDGWASDGEVDVEMEVGGEGHGLDADRRDGGADDDDAYSLVTRVSDTSAAEARAGKDIQGIPWDRLNITRQDYRKARLEQYKNYENFPQSGELMDKLCKQVEPSSKYYEFQNNTRSVKPSFLHFQLRNLLWATSKHDVYFMSESTVGHWSPLSHKLSTVLDFSGHVAPAEKHPGSLLEGFSGVQVSTLAVNEGLLVAGGFQGELICMGLADRNVKFCTRTTLSDNAITNAIDIHRSTSGSLRITVSNNDCGVREYDMERFQLLNHFRYNWPVNHTSVSPDRKLLAVVGDDRDALLVDSRNGKVTSTLVGHLDYSFATAWHPEGLTFATGNQDKTCRVWDLRNLSTSLAVLRGNIGAIRCIRYSSDGRFLVFSEPADFVHVYSAAADYKKRQEIDFFGEVSGITLSPDDESLFIGVCDRVYASLMQYKMKHAFDYLDSYV >KQL10950 pep chromosome:Setaria_italica_v2.0:IV:28707509:28709137:-1 gene:SETIT_008271mg transcript:KQL10950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVRSFALPLLAAFFSIQHHVVASVTPAAATAAPSPDTASFLRCLAVDIPPQVVYTNASPSYNSVLESSIKNLLFVTPSTPTPVAIIAAADASHVQSAVRCGARHGVRVRPRSGGHDYEGLSYRSLSAVRPFAVVDLAALRAVRVDAGRRTAWVGSGATLGELYYAIANRSARLGFPGGLGPTVGVGGHLSGGGFGLLLRKHGLAADHVLDAVVVDATGTLLDRATMGEDLFWAIRGGGGGSFGVVLSWKLRLVIVPATVTVFTVHRPRNQSATSLLTKWQRVAPTLPPDVFLRIVLQIQDAQFESLYLGTRAGLVATMTRWFPELGVKPEDCIEMTWIESVLYFAFYGTGKPAELLLDRGTKPERYFKAKSDYVTNPIPSHVWERTWSWLLRDGAGLLILDPYGGRMGDVSPSATPFPHRRELYNLQYYGFWFKNGTEEAEKHVGWIRGLHREMEPYVSKNPRGAYVNYRDLDLGVNDDGDGGDGVTGYEKARVWGEAYFKGNFERLAAVKAKVDPHDFFRNEQSIPPLPSSRKGLSVVT >KQL09180 pep chromosome:Setaria_italica_v2.0:IV:1711464:1713430:-1 gene:SETIT_006547mg transcript:KQL09180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLPVVMPPPPPVQSEKLVDGAGESASAPTLQEQDVSSLEVILDTTSSEVLITAHSGTASQHTSTLIFSTTCCEELVEAAAEFASGQALQDPDVASSKEESITAGPQTAPHDTVNGSSSAFPSTCDKFVSIPTVTQQDDLDKLFSKLTNEFHGSSSEASITASPRTLPHNITSSSLQSASSIGSNILIRHPPDCFQTFYIRMDRRGSFCTYPDVGGPFHSVHEADDAIKRFLDELRHGGRCKEQDAFSHGDRMKQDCKYFLDGPPIDPNLRRSKTTYDEERYLIEALLDRYNGSYKMLVRSCTSDTQDMWRRTSEGSYLN >KQL09179 pep chromosome:Setaria_italica_v2.0:IV:1709949:1713430:-1 gene:SETIT_006547mg transcript:KQL09179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLPVVMPPPPPVQSEKLVDGAGESASAPTLQEQDVSSLEVILDTTSSEVLITAHSGTASQHTSTLIFSTTCCEELVEAAAEFASGQALQDPDVASSKEESITAGPQTAPHDTVNGSSSAFPSTCDKFVSIPTVTQQDDLDKLFSKLTNEFHGSSSEASITASPRTLPHNITSSSLQSASSIGSNILIRHPPDCFQTFYIRMDRRGSFCTYPDVGGPFHSVHEADDAIKRFLDELRHGGRCKEQDAFSHGDRMKQDCKYFLDGPPIDPNLRRSKTTYDEERYLIEALLDRMILNGPPYPSSSSSKEPAHELEDLVRKQMLYENLRWYYHFNFTTKQQVDDSTGNQLFFAEVSHMQGEKAWEVKCCCKIGAEDNDGGALLESSSLPRIPISRERQINCRETISLGVFVGKPW >KQL12304 pep chromosome:Setaria_italica_v2.0:IV:39927311:39929619:1 gene:SETIT_008014mg transcript:KQL12304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWSIFPRATSGSDAEPEPEAEAEAETSEPSGRQVSDEALVEELLTAVASARSFQEFRRSQSKECLSLLRWLQLVLPLIQEIRETAPSLTDDAYRRLALLGRAFHAARRLLRCCHDGSKIFLALESEAVLGRFRAVYEKMNLALDGMPYSEIGISDEVKEQVELISAQLKRSKKRTDTQDMELSMDFMMILQNEDGNADRAILERLAKKLELQSLADLRAETMAIKKLINERNGQQPESTKHIIELLKKFKEIAGIDEKNILGDVSIPKYLEKCPSLMIPNDFLCPISLEIMTDPTYERRSIQKWLDAGQRTCPKTQQPLAHLSLAPNFALKNLILQWCEKNKVEIQMGEPEPAAEQEERKEDIPSLVKDLSSVHLDVQRKAAKKIRILSKENPENRALILENGGLPALISLVSYPDKKIQENTVTALLNLSIDETNKVLIAKGGAIPLIIEVLKNGSVEGQENSAAALFSLSMIDENKAAIGILGGIAPLVHLLRDGTIRGKKDASTAIFNLILNHPNKFRAIEAGIVTVLLKILRDKKLGMIDEALSIFLLLASHPGCRSEVGSTSFVEILVEIIKEGTPKNKECALSVLLELGLNNNSLMVHALGFGLDEHLSDIAKTGTSRAQRKANSLIQLSRKCS >KQL11211 pep chromosome:Setaria_italica_v2.0:IV:31716024:31717605:-1 gene:SETIT_007862mg transcript:KQL11211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNKFLAGLRVLAVDDDCTGLSVLKRLLQLCNYNNVTTVMEAETALDMLRERKDRDDQFDLVISDVFMPGIDGFKLLELIGLEMDIPSLSTSMATPGDETDGRCRPRISVGVGFGDGGDQTNRPRMKVSTEVKRGEAPTEGQGPWPLVRGIRLTE >KQL10318 pep chromosome:Setaria_italica_v2.0:IV:12858885:12862682:-1 gene:SETIT_006278mg transcript:KQL10318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKVASGGKAAAAASEKLRFPPSSAAARSRMKLWVVRATTTVLLWTCVVQLTAVGDNWGPRVLKGWPSCLTAPEEEAAALPGAAFAARPQPVVEKAALPPKRIYRNNGYLMVSCNGGLNQMRAAICDMVVIARYLNVTLVVPELDKTSFWNDPSEFQDIFDVEHFITSLRGEVRILRELPPRVKRRVELGMFHSMPPISWSDISYYQNQILPLIRKYKVLHLNRTDARLANNGLPIDIQKLRCRVNYASLRFTPQIEELGKRVIRILRQNGPFLVLHLRYEMDMLAFSGCTQGCSNEEAEELTRMRYAYPWWKEKVIDSDLKRKDGLCPLTPEETALVLRALDIDRSMQIYIAAGEIYGGKRRMAALTSAYPNVVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFMGFKKTVLLDRKLIVELVDRYTNGSLQWDEFSSLIKAAHAKRMGSASKRTVIPDRPKEEDYFYANPQECLQDRDLLQTS >KQL09659 pep chromosome:Setaria_italica_v2.0:IV:5030988:5038178:1 gene:SETIT_006038mg transcript:KQL09659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPAVGAACLLLARAAGPGPRRGSGGGGDQARPRRLQRAVRRRCVAELSREGPAPRPMPPQLAPPHVPGFLEPPRDPEPASTPPPVPEDDLGDLDLDLEGIAEDSINDTVVVASEEDLTIMVGKEQARAKITQSIVFVTGEASPYAKSGGLGDVCGSLPVALAARGHRVMVVMPRYLNGTSDKNYANAFYTEKRIRIPCFGGTHEVTFFHEYRDSVDWVFVDHPSYHRPGNIYGDKFGAYGDNQFRYTLLCYAACEAPLVLELGGYIYGQNCMFVVNDWHASLVPVLLAAKYRPYGVYKDSRSILVIHNLAHQGVEPASTYPDLGLPPEWYGALEWVFPEWARRHALDKGEAVNFLKGAVVTADRIVTVSKGYSWEVTTAEGGQGLDELLSSRKSVLNGIVNGIDINDWNPATDKHIPCHYSADDLSGKAKCKAELQKELGLPIRPDVPLIGFIGRLDYQKGIDLIKLIMPDLMREDIQFAMLGSGDPELEDWMRSTESNFRDKFRGWVGFSVPVSHRITAGCDILLMPSRFEPCGLNQLYAMQYGTVPVVHATGGLRDTVENFNPFGDNGEQGTGWAFAPLTTENMLWTLRTAISTYREHKSSWEGLMKRGMSKDFTWDHAAEQYEQIFQWAFIDQPYVR >KQL09182 pep chromosome:Setaria_italica_v2.0:IV:1716078:1724423:-1 gene:SETIT_005816mg transcript:KQL09182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGVRNGGPRMSTKLDRGQGAGATPKAAAGKQRLSSAAAGGAYRRTSSGPLPAAGGRASSDGVSSRVRVAVRLRPRNAEELAADADFGDCVELQPELKRLKLRKNNWESETYEFDELLTEFSSQKRVYEVVAKPVVESVMEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPETDSVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRVGEAHRVAANTKLNTESSRSHAILMVNVRRSVKGRTEMDVSISGENGHSSSMMGSLRPPVIRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEVERIRAEAQCRIAEAERECKIMLENEKMKYHQEYLDSIKILEEKWKIHQQSPKKQIKEAESTSSDVGEVQNLLQNEKMLRQSAEDEASDLKNQVSHWKKLEATATAEVVKLRKMLDTEASQKEKLEEEIGVLRSQLLQMSMEADETRRSLDKGDGPGKIFPGLDSLVSQTRGSQPREQSNGPKQPIAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLVLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNSKDIISEGALWELVRISRDCSREDIRMLAHRTLTSSPTLQAEMRRLGIKM >KQL09183 pep chromosome:Setaria_italica_v2.0:IV:1715603:1724423:-1 gene:SETIT_005816mg transcript:KQL09183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGVRNGGPRMSTKLDRGQGAGATPKAAAGKQRLSSAAAGGAYRRTSSGPLPAAGGRASSDGVSSRVRVAVRLRPRNAEELAADADFGDCVELQPELKRLKLRKNNWESETYEFDELLTEFSSQKRVYEVVAKPVVESVMEGYNGTVMAYGQTGTGKTFTLGRLGEEDTAARGIMVRAMEDILADITPETDSVSVSYLQLYMEMIQDLLDPVNDNIAIVEDPRTGDVSLPGATVVEVRDQKSFVDLLRVGEAHRVAANTKLNTESSRSHAILMVNVRRSVKGRTEMDVSISGENGHSSSMMGSLRPPVIRKSKLVVVDLAGSERIDKSGSEGHTLEEAKSINLSLSALGKCINALAENSPHVPVRDSKLTRLLKDSFGGTARTSLVVTIGPSPRHRGETTSTIMFGQRAMKVENMVKLKEEFDYKSLCRRLDIELDKLIAENERQRKYFDDEVERIRAEAQCRIAEAERECKIMLENEKMKYHQEYLDSIKILEEKWKIHQQSPKKQIKEAESTSSDVGEVQNLLQNEKMLRQSAEDEASDLKNQVSHWKKLEATATAEVVKLRKMLDTEASQKEKLEEEIGVLRSQLLQMSMEADETRRSLDKGDGPGKIFPGLDSLVSQTRGSQPREQSNGPKQPIAKLFEQVGLQKILSLLESEEPDVRVHAVKVVANLAAEEANQEKIVEAGGLTSLLVLLRSSEDETIRRVAAGAIANLAMNETNQDLIMAQGGVTLLSMTASDAEDPQTLRMVAGAIANLCGNDKLQTRLRGEGGIKALLGMVRCGHPDVLAQVARGIANFAKCESRAATQGNKVGKSLLIDDGALPWIVKNANNEAAPIRRHIELALCHLAQHEVNSKDIISEGALWELVRISRDCSREDIRMLAHRTLTSSPTLQAEMRRLGIKM >KQL09336 pep chromosome:Setaria_italica_v2.0:IV:2598353:2603262:1 gene:SETIT_006223mg transcript:KQL09336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNKVVSNSGDTCSLLPSKATSLNPNAAEFVPSFIKPSLGSSTVPDVTKSDFRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSSFEKVEQGPEELSLAGLSLNAPPFYGTTSSRFSREHQELSSPATKGLELEHTNLLYEDNYLGSSNWEQNYIGDLRIANENQDLHYGSESAAGFSDSFASEYAAASDGVVDPLEYLASQFPGFSAESLAELYYANGCDFNHTIDILTQLEMQVDPTPNHAMNLTPRAPNFSTGDFPALPTAEDQNGFSKGNMDVLGIFNGRGSSTVSGGPGDFVSAVRKLASQNSSHWKFKKGPEYGNGVSSHSVPKQYSSSTKQSSGNKFQSVSGARVAPWLETGDAVANMYSESRGEARDYARVRNACFEQTGLLGWQQSSGQGTEHEGSGVQCANESSS >KQL09337 pep chromosome:Setaria_italica_v2.0:IV:2598353:2603262:1 gene:SETIT_006223mg transcript:KQL09337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNKVVSNSGDTCSLLPSKATSLNPNAAEFVPSFIKPSLGSSTVPDVTKSDFRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSSFEKVEQGPEELSLAGLSLNAPPFYGTTSSRFSREHQELSSPATKGLELEHTNLLYEDNYLGSSNWEQNYIGDLRIANENQDLHYGSESAAGFSDSFASEYAAASDGVVDPLEYLASQFPGFSAESLAELYYANGCDFNHTIDILTQLEMQVDPTPNHAMNLTPRAPNFSTGDFPALPTAEDQNGFSKGNMDVLGIFNGRGSSTVSGGPGDFVSAVRKLASQNSSHWKFKKGPEYGNGVSSHSVPKQYSSSTKQSSGNKFQSVSGARVAPWLETGDAVANMYSESRGEARDYARVRNACFEQARQAYLVGNKALAKELSMKGQAYNAQMKAAHEKAREAIYRQRSPILGLSLDLD >KQL09341 pep chromosome:Setaria_italica_v2.0:IV:2598353:2603262:1 gene:SETIT_006223mg transcript:KQL09341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNKVVSNSGDTCSLLPSKATSLNPNAAEFVPSFIKPSLGSSTVPDVTKSDFRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSSFEKVEQGPEELSLAGLSLNAPPFYGTTSSRFSREHQELSSPATKGLELEHTNLLYEDNYLGSSNWEQNYIGDLRIANENQDLHYGSESAAGFSDSFASEYAAASDGVVDPLEYLASQFPGFSAESLAELYYANGCDFNHTIDILTQLEMQVDPTPNHAMNLTPRAPNFSTGDFPALPTAEDQNGFSKGNMDVLGIFNGRGSSTVSGGPGDFVSAVRKLASQNSSHWKFKKGPEYGNGVSSHSVPKQYSSSTKQSSGNKFQSVSGARVAPWLETGDAVANMYSESRGEARDYARVRNACFEQARQAYLVGNKALAKELSMKGQAYNAQMKAAHEKAREAIYRQRNPVSQRGGDGLIDLHGLHVSEAIHTLKVELAAMKSAARAAGERMQVMVCVGTGHHTKGSRTARLPIAVEQFLLDEGFHYSQPQPGLLRVMVY >KQL09340 pep chromosome:Setaria_italica_v2.0:IV:2599883:2601133:1 gene:SETIT_006223mg transcript:KQL09340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNKVVSNSGDTCSLLPSKATSLNPNAAEFVPSFIKPSLGSSTVPDVTKSDFRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSSFEKVEQGPEELSLAGLSLNAPPFYGTTSSRFSREHQELSSPATKGLELEHTNLLYEDNYLGSSNWEQNYIGDLRIANENQDLHYGSESAAGFSDSFASEYAAASDGVVDPLEYLASQFPGFSAESLAELYYANGCDFNHTIDILTQLEVIFSCLGLHVNHLASILKSLLTFQMQVDPTPNHAMNLTPRAPNFSTGDFPALPTAEDQNGFSKGNMDVLGIFNGRGSSTVSGGPGDFVSAVRKLASQNSSHWKFKKGPEYGNGVSSHSVPKQYSSSTKQSSGNKFQSVSGARVAPWLETGDAVGNTRIFKLFLMQIAHLLPV >KQL09339 pep chromosome:Setaria_italica_v2.0:IV:2598353:2603262:1 gene:SETIT_006223mg transcript:KQL09339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNKVVSNSGDTCSLLPSKATSLNPNAAEFVPSFIKPSLGSSTVPDVTKSDFRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSSFEKVEQGPEELSLAGLSLNAPPFYGTTSSRFSREHQELSSPATKGLELEHTNLLYEDNYLGSSNWEQNYIGDLRIANENQDLHYGSESAAGFSDSFASEYAAASDGVVDPLEYLASQFPGFSAESLAELYYANGCDFNHTIDILTQLEMQVDPTPNHAMNLTPRAPNFSTGDFPALPTAEDQNGFSKGNMDVLGIFNGRGSSTVSGGPGDFVSAVRKLASQNSSHWKFKKGPEYGNGVSSHSVPKQYSSSTKQSSGNKFQSVSGARVAPWLETGDAVANMYSESRGEARDYARVRNACFEQARQAYLVGNKALAKELSMKGQAYNAQMKAAHEKAREAIYRQRNPVSQRGGDGLIDLHGLHVSEAIHTLKVELAAMKSAARAAGERMQVMVCVGTGHHTKGSRTARLPIAVEQFLLDEGFHYSQPQPGLLRVMVY >KQL09338 pep chromosome:Setaria_italica_v2.0:IV:2599883:2601671:1 gene:SETIT_006223mg transcript:KQL09338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNKVVSNSGDTCSLLPSKATSLNPNAAEFVPSFIKPSLGSSTVPDVTKSDFRGSSGKTILDRSESSKSNNSDDEAHQFWRKQLPDDIIPDFSSFEKVEQGPEELSLAGLSLNAPPFYGTTSSRFSREHQELSSPATKGLELEHTNLLYEDNYLGSSNWEQNYIGDLRIANENQDLHYGSESAAGFSDSFASEYAAASDGVVDPLEYLASQFPGFSAESLAELYYANGCDFNHTIDILTQLEMQVDPTPNHAMNLTPRAPNFSTGDFPALPTAEDQNGFSKGNMDVLGIFNGRGSSTVSGGPGDFVSAVRKLASQNSSHWKFKKGPEYGNGVSSHSVPKQYSSSTKQSSGNKFQSVSGARVAPWLETGDAVANMYSESRGEARDYARVRNACFEQVIFLIVALHTGHMHR >KQL09842 pep chromosome:Setaria_italica_v2.0:IV:6613873:6615783:1 gene:SETIT_006173mg transcript:KQL09842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHAVKNLLVLSNILLVCCSCTRETPRVHLEALDQIQQVPVRRPAFLHGGLRLRRERPRPPPPLLPLVPSVQDAPHRLLDHISPHHDLLLVHPVHQRLHHPDVHADPQHLHGELGVQELVREVRPRHHRQPGRDRLHGRVPPAVRDEAAHGRVRQDQHLRRPTPDEQAAPGDAPLELAVARRLQPEPELDDLLRFRLRDAPEADVHDGPGLLAVEPPEALVGADGGGVASRRQRRFALVEKRHRADGPHLHTPCLSVAGDVLRLHLQEAVGDDAVGLGQRLLDVVRELLKPGRPTEEPRSLSPRHLDPFLQPGHDDRLVVVGDAVVRVVPLDVVLAQEAEGAHAEEAEPRDGEARRELLGPRVAEVRHDAGRVRRAGGVEVALERLAEARQGAEVVGPEIRRHLFDVVSAVGEPFRGEVERELHEPDRQAGLPGEVHGRADAPGIGRRDDDADERAVGGQEQSRVDRRDQVALEHERDEHEVRLDVGAAAGIARRSPAIREVFDCHLAASEAVVGESGVVADEFRCLKKGTGFWPRRKLGGRGLGGNEGSKA >KQL10778 pep chromosome:Setaria_italica_v2.0:IV:25578988:25579471:-1 gene:SETIT_007750mg transcript:KQL10778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSGCNRPTRASETYIESGIARTRNGHRSHQNDRIVPVRTTSTLVRSHLPCIRNYNTSTSPKFMRT >KQL12111 pep chromosome:Setaria_italica_v2.0:IV:38793456:38797401:-1 gene:SETIT_006492mg transcript:KQL12111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVSFSPSNVQMLQARSGHGHGHAAFGSCSAVPRAGPRLRSTAVRVSSEQEAAAAVRAPSGKTIEECEADAVAGRFPAPPPLVRPKAPEGTPEIRPLDMTKRPRRNRKSPALRAAFQETTISPANFVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKARDVGVNSFVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGALRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSGEYSMIKAGGALGMIDEQKVMMESLMCLRRAGADIILTYFARQAAGVLCGMGSK >KQL12110 pep chromosome:Setaria_italica_v2.0:IV:38794512:38796932:-1 gene:SETIT_006492mg transcript:KQL12110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVSFSPSNVQMLQARSGHGHGHAAFGSCSAVPRAGPRLRSTAVRVSSEQEAAAAVRAPSGKTIEECEADAVAGRFPAPPPLVRPKAPEGTPEIRPLDMTKRPRRNRKSPALRAAFQETTISPANFVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKARDVGVNSFVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGALRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETAADEAEGADILLVMPLPYIITVACYEHGMICVGLKPWS >KQL12112 pep chromosome:Setaria_italica_v2.0:IV:38794222:38797401:-1 gene:SETIT_006492mg transcript:KQL12112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVSFSPSNVQMLQARSGHGHGHAAFGSCSAVPRAGPRLRSTAVRVSSEQEAAAAVRAPSGKTIEECEADAVAGRFPAPPPLVRPKAPEGTPEIRPLDMTKRPRRNRKSPALRAAFQETTISPANFVLPLFIHEGEDDAPIGAMPGCYRLGWRHGLLDEVYKARDVGVNSFVLFPKVPDALKSPTGDEAYNDNGLVPRTIRLLKDKFPDIVVYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGALRAALDAEGFHDVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALIETAADEAEGADILLVKPGLPYLDVIRLLRDNSALPIAAYQVSSIPTLL >KQL10625 pep chromosome:Setaria_italica_v2.0:IV:20687053:20687538:-1 gene:SETIT_008047mg transcript:KQL10625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPLIWCTECGMRQVVRRISQKTWSLGRVFYLCTRYKCDGAGCPFWFWEEEYVDMLVGKRGNGDGQGSRSNVGRAIAQEDYYMKSEATIGVGHGVVNKEAEFVGLMKEAVVLMKAIFISSVCILFVMLLSLFVQLMK >KQL09752 pep chromosome:Setaria_italica_v2.0:IV:5727256:5730202:-1 gene:SETIT_006801mg transcript:KQL09752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEAGRRGVPSLLNPSSSSSEGQQEHIASDVTQLIGWTPLIELKRIASKDGVDARIVGKVEAYQPLCSVKDRSALRMIEDAEERGLISPGVTTLVEPTSGNLGLGIVLIALRKGYRFVAVMPGQYSLDKQILLRYMGAELYLTDPALGFPGITKKVEELKEELPNVHVLDQFSNKANPEAHIRWTGPEIWKDTAGKVDIFVAGSGSGGTVTGVGKYLKMQNPAIKIICVEPAESPVVSGGEPGKHKIQGIGPGFIPEVLDTSVIDESVTVTTEEAMVTARRLAKEEGLLVGISSGANMAACLKVASREENKGKMIVTMFPSGGERYMNSDLFAAVREECIAMTF >KQL11397 pep chromosome:Setaria_italica_v2.0:IV:33208337:33212952:-1 gene:SETIT_008625mg transcript:KQL11397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAELTVTGWFLSPIIREMQDTALAYIRGQFSWKKDQEKDLERLDTILTEILTVVDVIEKREIKDGNQRRLLSILKDAIYSAVDVLDSFQYMVLKSKVDRQSVVSRVNSSCVHLSKRLMGINKFRQKLADILEKLDQVKVTADTLLKVVNFDNSTARLLPVTRLRVTSPLKENHHIYGRRDELDKLRDMLFEISDSNAPGPSNAPVLSDSSINVISIVGVGGVGKTSLAQMAFRDEQIRTNFSLRMWVSVSDTYDEIRLTRAILESLTDANYHTVTEFEELQNALREKLEGKKFLLILDDVWYDEDKTQWENELLWSKVLSSLNTGLEGSKILVTTRADKACSILQVRIAPLRLRGLDIDDYWLLFRNCAFGEKYPGQFPELKEIGIQICQRLNGLPLAAKIIGRLLNADLDVSHWKKVLESDLSDDVMKVLRLSYQHLPVQLKLCFSFCSLFPKDWRFEPKMLTEMWIAQGFIQKEDSYDTDSNIEDVAKGYFDELVQRSFFERSLLNLPTEYIMHDLINDLARNVSKDEYIRIENDKQKEIPVNIRHLSISANLLSSMKKAELRNLRTLIVWMKTWPCIKFELFYDDIFKKLKSIRVLDLSGCCLDRLPTSVQVLKHLRYFALRVPERPWQTSLTRLYHLEVLVTVGHSCRESERVNLPANMKRNLLNLRKAYLFHVGGTTISGFGGDTLLHGQGEFHVKKESGYRLGELKEIKNIRGQLKIRFLENVEHPQEAINACLDCKEHIEYLELEWSINARALTSELDFDVLNALRPHPDLERLKIIGYRGTGSPTWFETNWLIALGSVVLENCMGWSQFPPLGQLPLLKYLELRGMHAVRQIGQEFYGIDEIKGFPMLQDIVFDGMLNWEGWSGSEDSSLLPCLERLHISKCPKLRETPTFNSTPRVEVEIASGSPPVSCLVDSLIATASRLIFLVSSYSFLSDLTTEQLNHVVELNLRNCADPMPACGFHRLSSLQVFRISNCLKLLSSISTEAVENQDANFLPPALCHIEIAQSKVHSSLLPRYLQGLTCLSALVLNSCHLMSSLSFASEPHHLTDLETITIKDCNELASLDGFRNLSALRELVVADCYNFCSLPADLNTVGSLEKLVLCGCPSMRFLPEDGLPASMRTILLSKCHPELDSQLQRKEGAEWDKILHIPEKKLEIGLIDLLTIFPSNSS >KQL11818 pep chromosome:Setaria_italica_v2.0:IV:36968600:36969569:1 gene:SETIT_008563mg transcript:KQL11818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTVEAVITMFLTTICTWMASTGDAPAIMRPVMAPGKETNPIVLALSMEGAKAIPSALFACWSVAWSGVAPRAMTVMALPTIRPAAGMMYRGVNGNTLSMRIRIMVITVPTKMDTKEIYFPRGTCFARWPAV >KQL12092 pep chromosome:Setaria_italica_v2.0:IV:38701737:38702012:1 gene:SETIT_008744mg transcript:KQL12092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKILPFKFTIRCEFDILSIIICFQLMKTDTTGKHQRGLLVSIVYFILKSQPSSYLRPTQMSNPNTTKIMQGFPNFLHQS >KQL09401 pep chromosome:Setaria_italica_v2.0:IV:3143836:3145000:1 gene:SETIT_008283mg transcript:KQL09401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYLKKPSSYYTVSLIVLLPLTLLCLTFLLPLSTYLSNPLATAAAASGACGAGTAGVAANRAAPAAEDDDGVAAGQRRPGLSVLVGVHTMPGKHSRRHLIRMAYALQQTPALRAAARVDVRFALCARPMPPEHRAFVALEARAYGDVLVLDCAESAEQGKTYTYFASLPAMIGSGGGAGDARPYDYVMKVDDDTFLRLDALVETLRAAPREDMYGGVGLPFHDREFPPFMLGMGYLLSWDLVEWIATSDMVRREAMGVEDMTTGKWLNMGNKAKNRVNIFPRMYDYKSAKDEDFLENTIGVHQLKQDLRWAHTLEHFNLTRLEPSSKLHRF >KQL12120 pep chromosome:Setaria_italica_v2.0:IV:38836023:38836813:1 gene:SETIT_007430mg transcript:KQL12120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQPYKLEASLRPAEPTHSIPISHHHSLHLIDLCRASESERSKRAAALQRDRSTSTMAMGKATATTVLVLCVLLVAAARPLDAAACNPSALSPCGGALIGRAVTEGCCVQLKKQQPCLCQYARNPAYSNYVNGPAAQSLTKACGLPKMKC >KQL10729 pep chromosome:Setaria_italica_v2.0:IV:24261692:24263692:1 gene:SETIT_008333mg transcript:KQL10729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEQLGLATELRETADIITMYHNVWQKQNKTTLNPTRKELRCLKRKDLVEALAKNLPVGTIRFGCHISKNFEDSDCHCTVLSTVDGSTIKARVLIGCDGANSMAAQYLGLGSPSHLPRLVHLGFTSYLHGHPFGTRFLRFAAQDFAVGRMPVNENLVHFFVTRSSPSTPVHVLSFTNESTAREYVLEKLQDCPAEIAEMVRRCDPAETKTLTKVRYRPPWQVMLGRFQRGTVTGGSATLEDAVVLARSLSRSVPDGVVDGSTSNRELEEKQIRSALGKYVRERRPRLFLLSLESFAFGTLLTAKSLLKKLVCVAVLALLGKSHVVMPTTNVAASSNTRQMVPVQSQVIGPRFFSHLVASMNKH >KQL10878 pep chromosome:Setaria_italica_v2.0:IV:27533573:27534136:1 gene:SETIT_008252mg transcript:KQL10878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHQTNCHWAIELLEAVFIFIAGIATAAVAAVGFLKSGSIAIVFLGGLPAVFFFAIGFRISSAALRKRRSASRPEVEGDEDGTLPRIAGHGPQWRHHQRDCIQLPASAIAQLRESGVYPSGGAGEECAVCLCKIGDDGVPTRQLPACRHVLHKDCIERWLHIHPTCPICRSNVPRDSTEVMPRLNA >KQL10079 pep chromosome:Setaria_italica_v2.0:IV:9324079:9325466:-1 gene:SETIT_008381mg transcript:KQL10079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASYQPLDPHNQKPSSKKPLSFLSKPVCAWLACGFISLALLHLLCCSPAGTQRTAFSPLLQYINNTYSFVSSVPPRGDESCNYSEGRWVWSPGYARRYNATECNVKESHDCLRNGRPDTGYLDWRWQPAGGCSLPAFDARAFLTAMRGKHIAFIGDSMARNQAQSLVCLLSAAFPNRLLYRDADPRKYNFWRYAFPTHDVKVSFYWNPFIVKATGKSEDESIRENHVHLDTPGDGWGADADTIDVAVLGASHWLLNGAIYYNGSEVIGAHNAPAELNYTGVGYAWPLKMAYRTAVERLSSSRPRTVVLATFSPAHFEGRPSDSPTACTKMEPYEEGEKELDWICKELRDIVYDEAQAAKVRIAGASATRIEVLDVTKMAAMRPDGHPSVYMHRDPFAHGVPERMYSDCLHSCLPGPVDTFNEMLLQILRKRR >KQL09702 pep chromosome:Setaria_italica_v2.0:IV:5381844:5387729:1 gene:SETIT_006611mg transcript:KQL09702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAQPPDTEMTDAGAGAGGGGGHPPQQPAGGGGGMMDNIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVWTGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPSQREAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARITSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSFPEKFPHVQPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSMPFSFDFEQHALSEEQMKDLIYQEALAFNPDYQ >KQL09703 pep chromosome:Setaria_italica_v2.0:IV:5381844:5387761:1 gene:SETIT_006611mg transcript:KQL09703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGAQPPDTEMTDAGAGAGGGGGHPPQQPAGGGGGMMDNIQATLSHGGRFIQYNIFGNVFEVTAKYKPPILPIGKGAYGIVWTGEQVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPSQREAFNDVYIAYELMDTDLHQIIRSNQALSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARITSETDFMTEYVVTRWYRAPELLLNSSEYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPNEADLDFVNENARRYIRQLPRHARQSFPEKFPHVQPLAIDLVEKMLTFDPRQRITVEGALAHPYLASLHDISDEPVCSMPFSFDFEQHALSEEQMKDLIYQEALAFNPDYQ >KQL10526 pep chromosome:Setaria_italica_v2.0:IV:17525974:17528051:-1 gene:SETIT_006515mg transcript:KQL10526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEGLLGVHRRLLDEAPAPVSDVTGHGSGSGSSSEAMRIMVGVLVTVIVCTLLYCVYCWRWRKRNAIRRSLLDSLWPRSSSDLPLMDLASILAATDNFSEANKLGEGGFGPVYRGVLSGGSEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEYLPNRSLDAFVFDPSKSAQLGWSTRHDVILGIARGLLYLHEDSLLKVVHRDLKASNVLLDHKMSPKISDFGMAKIFEDDSDAINTGRIVGTYGYMAPEFALEGVFSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTQDLAAEFMDPSLGRSYSKDEAWRCYHVGLLCVQENPDVRPTMSNVLLMLISDHMKLPDPAMPPLFTRLRKIPLSAMPLTTKTESTTSPQSINDVSITIIEPR >KQL10527 pep chromosome:Setaria_italica_v2.0:IV:17525974:17528051:-1 gene:SETIT_006515mg transcript:KQL10527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEGLLGVHRRLLDEAPAPVSDVTGHGSGSGSSSEAMRIMVGVLVTVIVCTLLYCVYCWRWRKRNAIRRSLLDSLWPRSSSDLPLMDLASILAATDNFSEANKLGEGGFGPVYRGVLSGGSEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEYLPNRSLDAFVFDPSKSAQLGWSTRHDVILGIARGLLYLHEDSLLKVVHRDLKASNVLLDHKMSPKISDFGMAKIFEDDSDAINTGRIVGTYGYMAPEFALEGVFSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTQDLAAEFMDPSLGRSYSKDEAWRCYHVGLLCVQENPDVRPTMSNVLLMLISDHMKLPDPAMPPLFTRLRKIPLSAMPLTTKTESTTSPQSINDVSITIIEPR >KQL10525 pep chromosome:Setaria_italica_v2.0:IV:17525974:17528051:-1 gene:SETIT_006515mg transcript:KQL10525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEGLLGVHRRLLDEAPAPVSDVTGHGSGSGSSSEAMRIMVGVLVTVIVCTLLYCVYCWRWRKRNAIRRSLLDSLWPRSSSDLPLMDLASILAATDNFSEANKLGEGGFGPVYRGVLSGGSEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEYLPNRSLDAFVFDPSKSAQLGWSTRHDVILGIARGLLYLHEDSLLKVVHRDLKASNVLLDHKMSPKISDFGMAKIFEDDSDAINTGRIVGTYGYMAPEFALEGVFSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTQDLAAEFMDPSLGRSYSKDEAWRCYHVGLLCVQENPDVRPTMSNVLLMLISDHMKLPDPAMPPLFTRLRKIPLSAMPLTTKTESTTSPQSINDVSITIIEPR >KQL10528 pep chromosome:Setaria_italica_v2.0:IV:17525206:17528205:-1 gene:SETIT_006515mg transcript:KQL10528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEGLLGVHRRLLDEAPAPVSDVTGHGSGSGSSSEAMRIMVGVLVTVIVCTLLYCVYCWRWRKRNAIRRSLLDSLWPRSSSDLPLMDLASILAATDNFSEANKLGEGGFGPVYRGVLSGGSEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEYLPNRSLDAFVFDPSKSAQLGWSTRHDVILGIARGLLYLHEDSLLKVVHRDLKASNVLLDHKMSPKISDFGMAKIFEDDSDAINTGRIVGTYGYMAPEFALEGVFSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTQDLAAEFMDPSLGRSYSKDEAWRCYHVGLLCVQENPDVRPTMSNVLLMLISDHMKLPDPAMPPLFTRLRKIPLSAMPLTTKTESTTSPQSINDVSITIIEPR >KQL10524 pep chromosome:Setaria_italica_v2.0:IV:17525206:17528205:-1 gene:SETIT_006515mg transcript:KQL10524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEGLLGVHRRLLDEAPAPVSDVTGHGSGSGSSSEAMRIMVGVLVTVIVCTLLYCVYCWRWRKRNAIRRSLLDSLWPRSSSDLPLMDLASILAATDNFSEANKLGEGGFGPVYRGVLSGGSEIAVKRLSARSRQGAAEFRNEVELIAKLQHRNLVRLLGWCAERDEKLLVYEYLPNRSLDAFVFDPSKSAQLGWSTRHDVILGIARGLLYLHEDSLLKVVHRDLKASNVLLDHKMSPKISDFGMAKIFEDDSDAINTGRIVGTYGYMAPEFALEGVFSVKSDVFSFGVLLLEILSGQRNGALYLEEHQQSLIQDAWKLWTQDLAAEFMDPSLGRSYSKDEAWRCYHVGLLCVQENPDVRPTMSNVLLMLISDHMKLPDPAMPPLFTRLRKIPLSAMPLTTKTESTTSPQSINDVSITIIEPR >KQL08952 pep chromosome:Setaria_italica_v2.0:IV:417891:422213:1 gene:SETIT_006303mg transcript:KQL08952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHHPHLLDFSPPPNTVAMEPPPPSFDHGHLLALHVDGTDMTVGGGGGVVPTHHRVLAADDTAAWPQAAVSLSLYNYNAAGGPSSLFGHHQHQFAVPPAAAVSSLEPTSSMQRPFQLRSSKYLVPVQELLSEFCSLEGDLLHAMNGGVNKRALNGGNKWDDVETSSSSGLWGHPSLSSMDLLELERMKARLLSMVEEVDRRYRRYREQMRAVEVSFEAVAGAGASQVYTRLALRAMSRHFRCLRDALVAQVRALRKAMGERDGSASSPAGATKGDTPRLKVLDQCLRQQRAFQQPGTIENYPWRPQRGLPERAVAVLRAWLFEHFLHPYPNDVDKHILARQTGLSRSQVSNWFINARVRLWKPMIEDMYTEEVKQQSEAAQNPSGGGAGAGAGAVKPEQQSTTTIGESAFRITSAGNNPTTTSINVTDGGDHHHLLSSYPSLHGSVSLTLGLQQQPFASPMMMMLHGEETEEPVLPYIDLTAGSQFLHDLAG >KQL09849 pep chromosome:Setaria_italica_v2.0:IV:6666796:6670512:-1 gene:SETIT_006445mg transcript:KQL09849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLRHRPLLLAVVLLISGLPRPAHPFTELESDQIARFQEYLRIRTAHPSPDYAGAAAFLLPYAASLGLHTTTLHFTPCKTKPLLLLTWPGTDPSLPSVLLNSHMDSVPAEPELWSHPPFAAHRDPATGRIYARGAQDDKCLPVQYLEAIRGLRAAGFAPARTVHISLVPDEETGGVDGFEKFARSEEFRALNVGFMLDEGQASPTDAFRVFYADRLVWRLIVKAAGAPGHGSRMFDGAAVGNLMDCVETVAGFREAQFGKVKAGEKGPGEVVSVNPVYMKAGIPSPTGFVMNIQPSEAEVGFDLRLPPTEDIEQIKRRVKEEWAPAHKNLTYELMQKGPVMDVAGRPIFTATNESNPWWSVFEQAITSAGGKLSKPEILSSTTDSRFVRQLGIPALGFSPMTNTPILLHDNNEFLEDKVFLRGIKVYEHVIRALSSFQG >KQL10407 pep chromosome:Setaria_italica_v2.0:IV:14198241:14200439:1 gene:SETIT_0069841mg transcript:KQL10407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGPEAHGWSWWRRFCQPVRCGSTAVRLDTEGGFARFAIGDTDSAKQKGKQRQQPLKKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYDLPRTPEPVHDPEILTEEEKFYLKRTGERKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCKPCRPGQVYEYAEELTRLSKGTVIDIKPDNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNREGATPVDEETTVDDPTSTSYSE >KQL10408 pep chromosome:Setaria_italica_v2.0:IV:14198241:14200807:1 gene:SETIT_0069841mg transcript:KQL10408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGPEAHGWSWWRRFCQPVRCGSTAVRLDTEGGFARFAIGDTDSAKQKGKQRQQPLKKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYDLPRTPEPVHDPEILTEEEKFYLKRTGERKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCKPCRPGQVYEYAEELTRLSKGTVIDIKPDNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNREGATPVDEETTVDDPTSTSYSE >KQL10409 pep chromosome:Setaria_italica_v2.0:IV:14198241:14200807:1 gene:SETIT_0069841mg transcript:KQL10409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGPEAHGWSWWRRFCQPVRCGSTAVRLDTEGGFARFAIGDTDSAKQKGKQRQQPLKKKKMSRKAKVNQLKWYRLKAKKKMKSPNPEVRIRYKLEKAKRKEEWLIEKLRKYDLPRTPEPVHDPEILTEEEKFYLKRTGERKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVVCKPCRPGQVYEYAEELTRLSKGTVIDIKPDNTIIFYRGKNYVQPKVMSPPDTLSKQKALEKYRYEQSLEHTSQFIEKLEKELEDYQKHVALFKNREGATPVDEETTVDDPTSTSYSE >KQL10273 pep chromosome:Setaria_italica_v2.0:IV:12323765:12326551:1 gene:SETIT_006179mg transcript:KQL10273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDCNKGRGVSSPDNCSSICPEGTLIQANPLSHYWKAKGWKSRNKLGNQKSSYESIPRDSNPKKDDEVRGEATASTCGLRCFTDLPAALVCEVLARLDAKELGIVSCVSTLLHTLATDHHGWKKLYCERWGLPNLPATLNGLLVPGGPLDGKSWKTFFVEREFRSKSFMGKFNVDVFRGHNEDVRAVFLLASANLIFSGGRDSVVRMWNMEEGLLIDTSRPLGGTIRAIAADTRLLVTGGTNAYIQCWRAVEGNDHLFHISGNGTDQNSEFRLWGHEGPLTCLALDSLRIYSGSWDMTVRVWDRTRMECLQKLMHADWVWDLAPHGNTIASTAGRDVYVWDIRNSELTSLISNAHVGNAYSLARTHLMDVLFTGGEDGAIRLFNISDVSDDEDSKPLATWVPHSGPVHSLAFEYPWLVSASSDGRIALIDSRKLLTPKKSSKGPFSVKSFDVSTIEPPQRMLHGFRCDLFSIAIGADRIVCAGEDGAVRVWNFSEALEIERRAQALRSLRQENRMRRRKAQAEMNANGRRPDQCSIAMKKNQLKGDKSVT >KQL10361 pep chromosome:Setaria_italica_v2.0:IV:13559697:13561064:-1 gene:SETIT_007096mg transcript:KQL10361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGMRLQHVAVLRELGSSRRRGARHRRRPRSPSLGAYEFGRTTAGQCRPKADLHSTGIPPNTSRASRSLPRAFCLTPAVQLSSQGRGPLWIRVDHPHHAPGGEATEHLSRLCPRRTGSVPLSRQSRPCNCIVAAEFCERNESERRRPPRHSSAAGATARWHRHPASPRSLPVCACRCSGKDRHMGMGGNKEKDWAHCVEALPSPNSQSAEYSDNKNSNGCRRLVAVAKAMRSLRICCRRFHVWQDDLIRLLMKSGITKSTPAI >KQL08959 pep chromosome:Setaria_italica_v2.0:IV:442010:443373:-1 gene:SETIT_007172mg transcript:KQL08959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAIGRVAPLEAVLFDIDGTMGISDPFHHRATSEMLLKVGYNNGVPITPEFGMKHMAGRSNEQIGRFLFPDWDQARLDAFFAEKEELFARYAGEGLKEIAGLTALCHWADERRLKRAAVTNAPRANAELMISILGLSDFFKLIVSAEDCGRSKPYPDPYLRALHLLGASPDHTIVFEDSTVGVQAGVAARMPVIAIADESREGKLTAVGASLVIRDYTDPKLWSELDKLDTTKPQAAEANGA >KQL10295 pep chromosome:Setaria_italica_v2.0:IV:12532090:12535658:1 gene:SETIT_006065mg transcript:KQL10295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPPSPPSLWLLLLLLISSPSTSVALLSPQGVNYEVQALMAIKNLLKDPHGMLKSWDKDSADPCSWPTVTCSPDKLVTGLEAPSQSLSGMLSPIIGNLTNLQIVLLQNNNITGPIPAEIGKLANLKTLDLSNNHLYGEIPTTVGHLQNLQYLRLNNNTLSGPFPSASANLSQLVFLDLSYNNLSGPIPGSLARTFNIVGNPLICGANAEKDCYGTAPMPMSYNLNSSQGALPPAKSKSHKFAVAFGTAAGCISFLFLAAGFVFWWRHRRNRQILFDVDDQHLENVSLGNVKRFQFRELQSATDNFSSKNILGKGGFGYVYRGQLPDGTLVAVKRLKDGNAAGGEAQFQTEVEMISLALHRNLLRLYGFCMTATERLLVYPYMSNGSVASRLKAKPPLDWATRKRIALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAIVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQTALEFGKAANQKGAMLDWVKKMHQEKKLDVLVDKGLKGGYDRIELEEMVQVALLCTQYLPGHRPKMSEVVRMLEGDGLAERWEASQCADSHKFKVPEFSFRRCYSDLTDDSSLLVQAVELSGPR >KQL12242 pep chromosome:Setaria_italica_v2.0:IV:39626270:39628090:1 gene:SETIT_008797mg transcript:KQL12242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPLSLLSLLCCLTFLLQGAAPATFTITNSCEYTVWPGILSNAGAPPPSTTGFALPPGQTLAATVASAWSGRIWGRTLCATDSSSGAFACATADCGSGAVECSGRGAAPPATLAEFTLAGGTGGDDFYDVSLVDGFNVPMLVAPQAPAAANGSCQDTGCPADLNRACPAELRVAGGAGAQTVACRSACEAFAEAVYCCSGAYGSPAACAPTAYSRLFKAACPAAYSYAYDDATSTFTCAAAGGGYDVVFCPGTSSLKPGGNPEAAAGPTMQFSGAAAAGGLVKSRNAVVVALLVLIVSATS >KQL10880 pep chromosome:Setaria_italica_v2.0:IV:27640883:27642827:1 gene:SETIT_008063mg transcript:KQL10880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAFRNTSRGLSKSKLAVGLFAGVFLARLIYFPVSPKFAVRSPNGEQAAPRSILPPTLKPICDLSDQRYDGCEMWGDARTANGTNTSRIYYIPPPSQLATAEAAAWSIRSQSRKIIAVREVTVRSLNLSNLHEAPSCTVWRGVPAVVFALGGLTYNLWHAFSDVLVPLFTTVRAFGGEVELVATDAPVWFVPKYRRVLRALSRYGVVMLDTDTEVRCYPHLIVGIRGHRDLDIDPARAPNNLDMFAFRTFVREAYSLPPPAAALPVKSGGVKPRLMIILRRKTRRFVNPDAIVAAIERAGFDVVRMEPTLAADMDAISREVDACDVLLGVHGAGLTNMVFLRTGAVLLQVIPWGKMEPHSEGFFGAPAAHMGVRHVTHSIAAEESTLYDKYGKDHPVITDPDIFYKNGSNARYYWWEQSIRLNTTRFMPTLERVKRLLQE >KQL11330 pep chromosome:Setaria_italica_v2.0:IV:32633228:32635324:1 gene:SETIT_008427mg transcript:KQL11330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLVRRARPKYAAALLSPTCLHKLRVPGEFAAWLGGEARAAAAVLLVSPLGKVWHADLRRAGGGGGPGPLQLAGGWAEFAAAHGVRAGWSVVFRLERRGWPPSGRVAAGKNRPRFIRVLNADDLEKMRIPDEFVQEHLTDTHPSSKRAMIFSPLGKFWRVELDRDQPGVLLGDGWVRFLTAHDLSEGNILVFRYDDSMVFTVEVFMQSGCLKEYEAATADMTDDAIGRQITVPQQGDKELCVSPVKKKRKTRNENTCLAVYRKKPNHSPISVKKAVSQKKLVSIEPRHSFTKRITGYNLTSLFAVKGSFCSSVGLAGACEITLKTKMGNTRSWRVRFNTTNTYGYITGQGWK >KQL12283 pep chromosome:Setaria_italica_v2.0:IV:39804558:39805848:-1 gene:SETIT_008290mg transcript:KQL12283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDLVLCLLVVSMQVLVPVVVASRRPPAIYVFGDSTLDVGNNNYLPGKDVARANRSPYGVDFPGVPTGRFSNGYNTADYVARSMGFVSSPPPYLSLAKSSSLLVLTALTAGVSYASGDAGILDSTNPGKTISLSRQVHYFNATKSKMASTLGSRAVNAMLSRSIFLVGVGSNDLFVFAAAQQNRSAAERQSDDVAAFYASVVSSYSATIQELYKLGARRFAVINVGLVGCVPRVRALDAAGACAGGMNQLAAGFDAALESLLAGLAPRLPGMVYSLADSFGLTKDTFADPAASGYTNVAGACCGGGRMGAEADCLPGSTLCTDRSHYLFWDWVHPSQRAAMLTAKAFYDGPARFTSPISFKQLAHKI >KQL11441 pep chromosome:Setaria_italica_v2.0:IV:33756079:33772829:-1 gene:SETIT_005673mg transcript:KQL11441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLRGIEAASEEVDSIHFSFYGDDEIKRSSVKKITKSERLDAKNLPVPGGLLDPAMGPINDTDTCKSCGQHSVRCPGHFGHIELAKPLFNPLLFTSLKNLLHVTCFHCHKFRLNKEQVDRYVNELELLVKGDVARAKNLEDSGKRASPSEEDEDITEATSCDKPSPEKDKKTWTSVQLKEVLSIFSKIMKKRQKKCAKCDMKSPTFSSPIFGWLVKDTSASAVRANAIADFKLKGDGGAHSSGETGVSGFDEERTSPQSKGSINEVRHLSDDTIKEFVASSGKKHLLPTEVESILKGLWKNEARFCMLLCDFQQDTLSVSEKRKGYEMFFLNSLLVAPNRFRPSTSSSLGIMEHPQNVLLSKVQEANLALQHNSASSNHMDVLRRWMDLQRSVNVLYDSSKGIVKSEKNAHGIRQLLEKKEGILRQKMMGKRVNYACRSVISPDPYLAVNEIGIPPVFATRLTYPEKVTPWNAKKLQEAVRNGADIHPGATHYRDNSNIYKLQAAPAKRRAIAKMLPASRGSISQPGKDPNCEFESKVVYRHLQDGDIVLVNRQPTLHKPSMMAHFVRVLPGEKTIRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAMNIVDANKQYIGPRSGDAVRGLIQDHIVGAVLLTKQDTLLSREEYSQLVYGSCVPSNWGPRQPGIKVSAIKDDDALGFVLPAILKPKPLWTGKQVITTILNHLTKGRSPFTVEQKGKISEEHLTPKKFEKRKESESERKIAISELVLYIKNNELIKGMIDKAQFGQYGIVHTVHELYGADTAGILLSTFSRLFTLFLQFHGFTCGVDDLLLCQKADEARRSIISESDKYSEKAHGTFIKTGKDGEELQMEVEKVIRRDGESATVNMDREISNALSNITSDVNKNVFPYGLQKPFPGNCLTLMTATGAKGGDVNMYQISSLLGQQELEGKRVPRMVSGKTLPCFLPWDTSSRAGGFISDRFLTGLRPQEYYFHCMAGREGLCDTAVKTSRSGYLQRCLIKCLESLKVSYDHTVRDVDGSIVQFCYGEDGVDVLKTSFLNKFKELADNKKVVLDKIKGHKQNQLLSKPNGYITTLPKKLVAEAKEFLKLLKEKKSSDIKKGLMCDIKKKGLMKLLKVKYLYSLVDPGEAVGVVAAQSIGEPSTQMTLNTFHLAGRGEMNVTLGIPRLKEILMTASANISTPIMVCPLLEKWTRDDARRVAAKLRRVRVADIVEKIEVCTVPFYNTNGHVSTLYKLQMKLYPQKHYPPQSDLTVDECQTTLRTVFVDAMEHAIEKHLDLLHKINEIRAVKVNDAEGSLSDGGEESESRHGDGEETGMSDGDDENDNDDDLGTDAEKRKRQERDEMEYDDDTENEEGMDSESEEETKVKHQSEEDPAESGDDLQEADEEHKTSKSDMTSVDDMSYSAKKVKKSKDKHETAKLQERTHTEGKSDERKQDQMTYKRKKKLKRTVHVESKDLDFEIHYAFCDEPHILLAQIAQKTARSIFVRACKNIDKCEVEEKKEKGSSAVLKTSGVNFEVFWNLRKYISINKITTNDIHAMLKTYGVEAARATIIEEVNHVFGVYGIKVDPRHLSMIADFMTFDGGYRPMNRLGMGQFSTSPFGKMTFETATKFIVDAATHGESDSLECPSASICLGKPAKVGTGTFGLLQNLALEQPMAI >KQL09079 pep chromosome:Setaria_italica_v2.0:IV:1080003:1080807:1 gene:SETIT_008193mg transcript:KQL09079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKGRDGSGTQVSAADSVPKICPPRRRTWSQDLTGRVVLYDPESRSIRSAPSFSAPKFAPISMAVGSSCYVLDTDFTLNNRSGCFDRFSHDDDRWWYSLPPPPYVYTYSGMSSHVDSYAVVGTHCFDTARHFWTKAGDWILPFCGHVHYVPEHKLWFGGDLTPPEWTSKSSYLVHLDKSRFCHARFFQIKRPVDLHYYSYAVFTGMEGGNAGGELRVVKHRSGLYRLVNKLFHWVL >KQL10085 pep chromosome:Setaria_italica_v2.0:IV:9378697:9380736:1 gene:SETIT_007353mg transcript:KQL10085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVVVQHPGGRVERLYWATSAAEVMRANPGHYVALVTHRADAAGGKPPHPQEQRGAARVTRVKLLKPRDTLALGQAYRLITFAEVTKALQAKKEEKTRRAQQQQLVLLQPKHAGGRATAAGEDSQLPPQLVDGSLDQQDRDGHRSNPSSAAHSGARHRHWRPSLHSIAEVSS >KQL10086 pep chromosome:Setaria_italica_v2.0:IV:9378697:9380736:1 gene:SETIT_007353mg transcript:KQL10086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAATVVVQHPGGRVERLYWATSAAEVMRANPGHYVALVTHRADAAGGKPPHPQEQRGAARVTRVKLLKPRDTLALGQAYRLITFAEVTKALQAKKEEKTRRAQQQQLVLLQPKHAGGRATAAGEDSQLPPQLVDGSLDQQQDRDGHRSNPSSAAHSGARHRHWRPSLHSIAEVSS >KQL11286 pep chromosome:Setaria_italica_v2.0:IV:32324503:32327222:-1 gene:SETIT_007182mg transcript:KQL11286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSAAIARAPAVISSASVRPRRGAAPAVISSASGRPRRGARGAVRCEVASSSAPSAAAPQAAKWAQRTVVLPPQRRGCHLITPKVPPPSRMRIPVPLLFVHSLSCLFDAVMLLFVYRLSQIVNEIRDDLAEFKCGMAHLFLQHTSASLTINENYDSDVQADTETFLSRIVPEGPSAPWRHTMEGPDDMPAHIKSSMFGCSLTVPITNGRLNMGTWQGIWLCEHRDYATPRQIVITLNGI >KQL11287 pep chromosome:Setaria_italica_v2.0:IV:32324237:32327323:-1 gene:SETIT_007182mg transcript:KQL11287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSAAIARAPAVISSASVRPRRGAAPAVISSASGRPRRGARGAVRCEVASSSAPSAAAPQAAKWAQRTVVLPPQRRGCHLITPKIVNEIRDDLAEFKCGMAHLFLQHTSASLTINENYDSDVQADTETFLSRIVPEGPSAPWRHTMEGPDDMPAHIKSSMFGCSLTVPITNGRLNMGTWQGIWLCEHRDYATPRQIVITLNGI >KQL10073 pep chromosome:Setaria_italica_v2.0:IV:9206066:9207470:-1 gene:SETIT_007818mg transcript:KQL10073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENTQERADHGPCSQPRRLLGCSLFALFTLSLIYFIFYSSPSSFSSYVDLFDQFKTQRAAKNTSLPPPQAWLQCDYSDGKWVWDDSVTGPRYDSENCDMKSTEKCVINGKPDKGYLHWRWQPAGCNLSALDPAGFLRLVRGKRLAFVGDSTARNQAEALVCYLSTVARPETVHRYEERLGRKFWQWVFPAPHSVNVSTYWSPLLVRAEGHSEDYAMTQEAVILDSLTEPWTVDVDAMDIMVISVGHWFLRPGHVLRGRGGRRRLHRPDINKTDIGYLGVYRKVIRRTLEYINFNSTGDKLVAVATIAPGHFDTKHSWNHRDACSRTKPYEDGEAEVAAADAELRKVVLEEVAAAAARRQRWGVRFEALDVTRLATMRPDGHPGPYLFAHSYDWRPVPETVANDCLHWCAPGLVDTFNDMLAKMIVAGG >KQL10834 pep chromosome:Setaria_italica_v2.0:IV:26627894:26632016:1 gene:SETIT_006576mg transcript:KQL10834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDAGNGSAAAAQIKGSGDDAAHKPLPPCCVKVKAGVPESEAKCHDTVVSGWFTEPRSRFGKTSKMQYYNNPMWPGEAHSLKVEKILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTDKDECAYQEMVTHLPLCSIPSPKNVLVVGGGDGGVLREIARHDSVETIDICEIDQLVIDVCKEFFPNLSIGYKDPRVRLHVGDAVDFLRNSPEGKYDAIIVDSSDPIGPAQALVEKPFFQTIARALKSGGVLCNLAESMWLHTHLIQDMLAICRQTFKGAVHYAWTSVPTYPSGVIGFLLCAKEGRPVNFLTPVNPIEKIQGATKAGKELRFYNSEVCAMSFVALAAFPFQRLRPLVQNNLGKMTYGAE >KQL10836 pep chromosome:Setaria_italica_v2.0:IV:26628122:26633225:1 gene:SETIT_006576mg transcript:KQL10836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDWDLQRATGPMEAGDAGNGSAAAAQIKGSGDDAAHKPLPPCCVKVKAGVPESEAKCHDTVVSGWFTEPRSRFGKTSKMQYYNNPMWPGEAHSLKVEKILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTDKDECAYQEMVTHLPLCSIPSPKNVLVVGGGDGGVLREIARHDSVETIDICEIDQLVIDVCKEFFPNLSIGYKDPRVRLHVGDAVDFLRNSPEGKYDAIIVDSSDPIGPAQALVEKPFFQTIARALKSGGVLCNLAESMWLHTHLIQDMLAICRQTFKGAVHYAWTSVPTYPSGVIGFLLCAKEGRPVNFLTPVNPIEKIQGATKAGKELRFYNSEIHRAAFVLPTFVRRELESYTTPSTSNEKEKPKESVSKSQKIKILPNNAIVTAS >KQL10837 pep chromosome:Setaria_italica_v2.0:IV:26628122:26633225:1 gene:SETIT_006576mg transcript:KQL10837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDAGNGSAAAAQIKGSGDDAAHKPLPPCCVKVKAGVPESEAKCHDTVVSGWFTEPRSRFGKTSKMQYYNNPMWPGEAHSLKVEKILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTDKDECAYQEMVTHLPLCSIPSPKNVLVVGGGDGGVLREIARHDSVETIDICEIDQLVIDVCKEFFPNLSIGYKDPRVRLHVGDAVDFLRNSPEGKYDAIIVDSSDPIGPAQALVEKPFFQTIARALKSGGVLCNLAESMWLHTHLIQDMLAICRQTFKGAVHYAWTSVPTYPSGVIGFLLCAKEGRPVNFLTPVNPIEKIQGATKAGKELRFYNSEIHRAAFVLPTFVRRELESYTTPSTSNEKEKPKESVSKSQKIKILPNNAIVTAS >KQL10835 pep chromosome:Setaria_italica_v2.0:IV:26627894:26633225:1 gene:SETIT_006576mg transcript:KQL10835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGDAGNGSAAAAQIKGSGDDAAHKPLPPCCVKVKAGVPESEAKCHDTVVSGWFTEPRSRFGKTSKMQYYNNPMWPGEAHSLKVEKILYQGKSPYQEILVFESSTYGNVLVLDGIVQLTDKDECAYQEMVTHLPLCSIPSPKNVLVVGGGDGGVLREIARHDSVETIDICEIDQLVIDVCKEFFPNLSIGYKDPRVRLHVGDAVDFLRNSPEGKYDAIIVDSSDPIGPAQALVEKPFFQTIARALKSGGVLCNLAESMWLHTHLIQDMLAICRQTFKGAVHYAWTSVPTYPSGVIGFLLCAKEGRPVNFLTPVNPIEKIQGATKAGKELRFYNSEIHRAAFVLPTFVRRELESYTTPSTSNEKEKPKESVSKSQKIKILPNNAIVTAS >KQL10080 pep chromosome:Setaria_italica_v2.0:IV:9335502:9338056:-1 gene:SETIT_008291mg transcript:KQL10080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHEQPPLHPNKQKTTWSAKAGNSGYFVPRPVCAWLACGPQNAVLSPLLQYVDDTYNFVSSGPRSCNYSDGRWVYAPGHARRYNGTECDVKDSHNCIRNGRPDTGYLDWQWQPAGCHLPAFDAKAFLSAARGKHVALVGDSMARNQAQSLACLLAAEFPHRVVYRDPDYPRSRKPDLWRWAFPSHGVTVSFYWAPFLARATGKARNDTLPQNVNHVHLDAPDDRWGADADTMDVVVLGTGHWPLNGAIYYKNGEVIGHHAHDELDPATDIGYARPMRMAYRTALDRLSSGGRPRTVVLATLSPGHKYEGDTLATMCPRKKPYREGEQELRYLDRELVGLVYEEAEAARARNGEGSATKVEVLDVTKLAIMRPDGHPGAYMHRDPFAHEVQPWMAADCVHFCLPGPVDTFNEILQHILRKRR >KQL11564 pep chromosome:Setaria_italica_v2.0:IV:34858739:34868760:-1 gene:SETIT_005833mg transcript:KQL11564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYSGSVCIWNYQTQTMVKSFEVSELPVRSAKFIPRKQWVVAGADDMFIRVYNYNTMDKVKMFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPEVPIIMTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGCMKGSRRVVIGYDEGTIMIKIGREEPVASMDNSGKIIWAKHNEIQTVNIKTVGADAEIADGERLPLAVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSTEGEYAVRESPSKIKIYSKNFQERKSIRPAFSAERIYGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNVYWADSGDLVTIASDSSFYILKYNRDLVSSHIDGGASVDEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANSVLPSIPKEQHNSVAHFLESRGMLEEALDIATDPNYRFDLAVQLGSLEVAKEIAVEARSESKWKQLGELAMSTGKLEMAEDCLLQATDLSGLLLLYSSLGDAEGITKLASKAKELGKNNVAFLCLFMLGKLEDCLQLLVDSNRIPEAALMARSYLPSKVSDIVSIWKNDLQKVNSKAAESLADPAEYPNLFEDWQIALNVEATVAPKRGVYPPAEEYMTYADRSNESLVEAFKSMNVEEEIPSENGDPTHEVIEDDGVEESQEDAVEVEPDDSVDGGVLVNGNDVLTPDQ >KQL11565 pep chromosome:Setaria_italica_v2.0:IV:34859460:34868603:-1 gene:SETIT_005833mg transcript:KQL11565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYSGSVCIWNYQTQTMVKSFEVSELPVRSAKFIPRKQWVVAGADDMFIRVYNYNTMDKVKMFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTVKIWSLGSPDPNFTLDGHSKGVNCVDYFTGGDRPYLITGSDDQTAKVWDYQTKSCVQTLEGHAHNVSAVCFHPEVPIIMTGSEDGTVRLWHSTTYRLENTLNYGLERVWALGCMKGSRRVVIGYDEGTIMIKIGREEPVASMDNSGKIIWAKHNEIQTVNIKTVGADAEIADGERLPLAVKELGSCDLYPQSLRHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEIVWSTEGEYAVRESPSKIKIYSKNFQERKSIRPAFSAERIYGGVLLAMCTNDFICFYDWAECRLIRRIDVNVKNVYWADSGDLVTIASDSSFYILKYNRDLVSSHIDGGASVDEEGVEDAFELLHEINERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVYLIDKEFNVVGYTLLLSLIEYKTLVMRGDLERANSVLPSIPKEQHNSVAHFLESRGMLEEALDIATDPNYRFDLAVQLGSLEVAKEIAVEARSESKWKQLGELAMSTGKLEMAEDCLLQATDLSGLLLLYSSLGDAEGITKLASKAKELGKNNVAFLCLFMLGKLEDCLQLLVDSNRIPEAALMARSYLPSKVSDIVSIWKNDLQKVNSKAAESLADPAEYPNLFEDWQIALNVEATVAPKRGVYPPAEEYMTYADRSNESLVEAFKSMNVEEEIPSENGDPTHEVIEDDGVEESQEDAVEVEPDDSVDGGVLVNGNDGEEHWVLTPDQ >KQL11739 pep chromosome:Setaria_italica_v2.0:IV:36451503:36453656:1 gene:SETIT_007347mg transcript:KQL11739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTPPPPAPAAAAKAGAHSVFVYGSLMADEVVRAILKRVPPAAPAILPNYHRFNIKGRIYPAILPVESKKVAGMVVMGVTDEELQVLDAFEDVEYTRTRVEISLTDSSEKMLADTYVWSDAQDPDLYGEWDFEEWKRLHMKDFLAMTNGFMHGLEQPEAKTRVETYQSFMQQQEQPASETRES >KQL09713 pep chromosome:Setaria_italica_v2.0:IV:5421290:5424707:1 gene:SETIT_006837mg transcript:KQL09713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSRVGEPVRWLASRARPRRGMGSSAAAGGRRDPGENPKVGRLRELFTGDAADGWEKSWEFGVTPWDLGKPTPVIEHLVRSGTLPKGRALVPGCGMGYDVVALACPERFVVGLDVSDLAIKKAKQWSSSLPNADYFTFLAEDFFKWIPSEQFDLIFDYTFFCALDPSLRVAWAETVNRLLKPDGELLTLIYLGPTGRRGAGAPDPLQGLLLYFLPHLPPLSHEQRAVPQGGGRGGGAAHGGRGGGSGGAAPGTTCGYGTRGEEVEGGRRLRLGQRAAAMETAAVRAAEAARLANEEAQAAAAAATQRHLEAYRLREEAEATCEIARRDAEERRHAA >KQL09715 pep chromosome:Setaria_italica_v2.0:IV:5421290:5428257:1 gene:SETIT_006837mg transcript:KQL09715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSRVGEPVRWLASRARPRRGMGSSAAAGGRRDPGENPKVGRLRELFTGDAADGWEKSWEFGVTPWDLGKPTPVIEHLVRSGTLPKGRALVPGCGMGYDVVALACPERFVVGLDVSDLAIKKAKQWSSSLPNADYFTFLAEDFFKWIPSEQFDLIFDYTFFCALDPSLRVAWAETVNRLLKPDGELLTLIYLISDQEGGPPYNNTVADYQKVLEPLGFRAVLMEDNELAIKPRKGCEKLGRWKRCAHQSSL >KQL09714 pep chromosome:Setaria_italica_v2.0:IV:5421324:5427376:1 gene:SETIT_006837mg transcript:KQL09714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSRVGEPVRWLASRARPRRGMGSSAAAGGRRDPGENPKVGRLRELFTGDAADGWEKSWEFGVTPWDLGKPTPVIEHLVRSGTLPKGRALVPGCGMGYDVVALACPERFVVGLDVSDLAIKKAKQWSSSLPNADYFTFLAEDFFKWIPSEQFDLIFDYTFFCALDPSLRVAWAETVNRLLKPDGELLTLIYLISDQEGGPPYNNTVAE >KQL09993 pep chromosome:Setaria_italica_v2.0:IV:8047342:8052278:-1 gene:SETIT_006469mg transcript:KQL09993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGPSMAMQSRAPGVGVVGAGAGGRRCLFLGREKQARAGSLRVGGGTAGASAVAVRARGTKPVAPLCCVRASRGNESSHNSVDEALLLKRISEKVLFHLNGRCIYLVGMMGSGKSTVGKILAEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRENESSVLRDLSSMRRLVVATGGGAVIRPINWNYMKKGLSVWLDVPLDALAKRIAQVGTASRPLLDQPSDDPYTAAFTKLSMLLEQRGDAYANADARVSLEEIAAKQGHGDVSKLTPTDIAIEVAVSACLIFFSAIRSMLACKREAEFLEKYFDSAREKLPETMASLRLIGREVGDLAADLSDLSQELTKGVKSSMSIVHTADAQLPQRMPPTLPGTARRMSNQKNLAEESLLASTVRDLRELIADIRSGFGVAAGIAGLFMWASNFGSKRRKNRS >KQL09994 pep chromosome:Setaria_italica_v2.0:IV:8049559:8052375:-1 gene:SETIT_006469mg transcript:KQL09994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGPSMAMQSRAPGVGVVGAGAGGRRCLFLGREKQARAGSLRVGGGTAGASAVAVRARGTKPVAPLCCVRASRGNESSHNSVDEALLLKRISEKVLFHLNGRCIYLVGMMGSGKSTVGKILAEVLGYSFFDSDKLVEQAVGMPSVAQIFKVHSEAFFRENESSVLRDLSSMRRLVVATGGGAVIRPINWNYMKKGLSVWLDVPLDALAKRIAQVGTASRPLLDQPSDDPYTAAFTKLSMLLEQRGDAYANADARVSLEEIAAKQGHGDVSKLTPTDIAIEALLKIGNFVTEDPTSHGQVDSQSRRIQTL >KQL10166 pep chromosome:Setaria_italica_v2.0:IV:10410411:10411291:-1 gene:SETIT_008199mg transcript:KQL10166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILNALVSFASRWFLYFVVAVVILAVFYCFLKQLADDAGTEHEPIRRQDATARETEPILPRKEVFFSYGATGEQPESSVCPAEDSDSDKMCKICYDAPRSCFFIPCGHCFTCFTCARRIVEEENKACPICRRLIHRVKRVESP >KQL11016 pep chromosome:Setaria_italica_v2.0:IV:29694720:29697439:-1 gene:SETIT_007561mg transcript:KQL11016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASSSLSDHVPMNPVQIRLPCVRLARICAPFTSLVSSSSMASLRPVRRARAPATVRILPPSRRGSPRLNPHILPVWLQGHLICDWTTCELLRDCNSRKFNAVWLKYKADSPQ >KQL10922 pep chromosome:Setaria_italica_v2.0:IV:28143109:28144007:1 gene:SETIT_008406mg transcript:KQL10922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAIEMAYLYPSLTANYLQQIHGICIRIFLVVNQTFFPRVHVLIISV >KQL11045 pep chromosome:Setaria_italica_v2.0:IV:30330730:30336293:1 gene:SETIT_006219mg transcript:KQL11045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLECSQRCEKHLEGGASQPATTPQRPHILGGSMAPSSSRPLRHNLYLLVVSISVLLASVPALAADGLPELGGDGLHREILRDETVMRLKELGKISDGEGYLERTFLSPASIRATAVIVSWMKDAGLTTWVDQMGNIHGRFEPANSTKEALLIGSHMDTVIDAGMYDGSLGIICAISALKVLKVTGKLHRLIRPVEVIAFSDEEGVRFQTTFLGSAAVAGTLPESILQVSDKSGTTVQDVLKMNSFEATAAAISQARYNPESVESYVEVHIEQGPVLEALRYPLGVVKGIAGQTRLKVIVDGSQGHAGTVPMKLRRDPMVAAAELVVTLERLCKEPNKFLTYDEECGCFTEESLAGLVCTVGELLTWPSASNVIPGQVNFTVDIRAMDDKVRETIVTSFSRLVLQRCDDRLVDCRVEHKHSAPATPSDPELTAQLKRAARSTVLAMPGHAAAAETPVLMSGAGHDAMAMARLTKIGMLFVRCRGGVSHSPEESVTDDDVWAAGLALANFVEQAAVSSEPQPLEAAERSAVAAS >KQL11465 pep chromosome:Setaria_italica_v2.0:IV:33981250:33984209:-1 gene:SETIT_006745mg transcript:KQL11465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFVGKYADEMVKTAKYLATPGKGILASDESTGTIGKRLSSINLENVESNRQALRELLFTAPGVFDYLSGVILFEETLYQKTSDGKPFVDLLTAGGVVPGIKVDKGTVEIAGTNGETTTQGLDSLGARCAKYYEAGARFAKWRAVLKIGASGEPSELAVKQNAEGLARYALICQENGLVPIVEPEILTDGGHDIKTCAAVTERVLAAVFKSLNDHKVLLEGTLLKCNMVTPGSDSPKVGAEVIAEYTVAALRRTVPPAVPGVVFLSGGQSEEEATQNLDAMNKLEVLKPWTLSFSFGRALQQSTLKKWLGKKENVAAAQATFLVRCKANSEAALGKYAGSGAGDAAASESLYVKGYKY >KQL09280 pep chromosome:Setaria_italica_v2.0:IV:2265867:2266226:-1 gene:SETIT_007962mg transcript:KQL09280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQQRSAALTVIASAVLACNSGLAIYNSWGDAASVAFVLVADAALLLLFLCLRVLERAARGGAAGGGRSRSRIKGAVWALSTLLTAMFASRVAPLVGAAVWLMAVATAAGGFWALFLN >KQL10743 pep chromosome:Setaria_italica_v2.0:IV:24657861:24660906:1 gene:SETIT_008814mg transcript:KQL10743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEKQQRVEPPAPAPAPTPAPQASSEPRAPPHPQQQPKPAIPVQPSLPVTRPWPMSIIPSVKPAVEVKSGTPAKKKKHCNCKNSQCLKLYCECFAAGDYCDGCNCKQCGNTVENDKVRQEAINNTILRNPNAFQPKIENSPITPSVRKDAGAPPSLPKHNKGCHCKKSGCLKKYCECFQANILCSKNCKCMDCKNFDGSEELRAIIQGDNSCDRNNIQQAANVALNGAIGSSGYRFSPVRRKRPPEDPHYQRLNVEGNMMQMQFQEVSHLDASQIASSTGLEGSTGNFHSKSKLVY >KQL12142 pep chromosome:Setaria_italica_v2.0:IV:39106973:39110766:-1 gene:SETIT_006248mg transcript:KQL12142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCGRGSADPHVSVPVTGSPAPPSAGAPPHALTGAVLRLRPFAHGGLAAGRSVVQPRWPAERLPGQKRPSLSQIFTSSDQNIRITKKPPPRESRVAAMKVELAARTSQTGKAEETPPPSPAAVAAPSAAEDAPLLPDGGVRRRAGCGRFAQRSSSFRRDVGRAAAETFLLTRLTLILLRYLGIGYRWIRQFLALCCYTFLLMPGFIQVLYYYFFSSQVRRSVVYGDQPRNRLDLYIPTSTTGLKPVVAFVTGGAWIIGYKGWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVEDVSQGISFVCNNIASYGGDPNRIYLVGQSAGAHIATCALLNQAIRECGEGDTSSWSVSQIKAYFGISGGYNLLNLVDHFHRRGLYRSIFLSIMEGEESLQKFSPQVMIKASSARSAVPLLPHIILFHGTGDNSIPSAESQAFVDALQEHGAKADLFLYEGKTHTDLFLQDPLRGGRDKMLEEIASVIHSEDPNASAHHLVVPVARRLVPEFMLKLAGRVSPF >KQL10747 pep chromosome:Setaria_italica_v2.0:IV:24670555:24673199:1 gene:SETIT_008438mg transcript:KQL10747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSPPPPPLADTLPSTSPTPTPTPGPAATATPVESPLLAASSHLASASLPSSCHSPRPPHGTAGCSKAQRWCDNGSPSLVSFDSGSSYQPLSFREALLASASTSLASQAPVRSGASVAIACPRAGFSPRIVLRREDRVAAASHRPMDGDGWSSVLGRRERKEQRQQARPTQRPVPVNLRGKCFNCFSSHHRAVGCRASSPCFHCLASRHRSYWCPAKLASWPQVPPVGRMLIWRPVSAAQQQSEPAIAGNGKRSAAAGSMRGRRKRRSDDDLGEGPSAAEPETPSPAASIEQQQQADCLACEAPAEESLGRALVISVIGGPPTDTTAAIKSPISVQFEVAESSLIIHRLGPASFLLTLPEVDLATRVYNGGWPIIGTSLHQHVMRWSHFLFSSAATLVSCEMDLQIVEPSLAEDGARPMKRLLSYPISISVTPFKQLLRGDDPPSPPLAGGDLDRRKRRWRRRTLLSAQEGGPTTVLGSSNLAAGPPRASPTSGRPASPAAVDGHPALVPPTPADGEPAAAATDAPDRGGGPLLANLLLRPEPSCSSPEAAPSTMQPSGLVSRFPLVYSRKRFQSRATLPPPHIGTPPTSPPATPLCKLNKVGKPIDALLPQPVIHKRRIKAPISGTLPRRSRRVAGAKPCSPRLVISVAQKKVMQSLGFGAQEKLDM >KQL11728 pep chromosome:Setaria_italica_v2.0:IV:36386134:36388519:-1 gene:SETIT_006965mg transcript:KQL11728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEYGRLDKTEMGIWECIELLNEFVDDSDPDLDMPQIEHLLQTAEAIRKDYPDQDWLHLTGLIHDLGKVLLHPTFGELPQWAVVGDTFPVGCAYDECNVHFKYFKENPDYHNPKFNTKFGVYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYTHLMNDEDKENLKWLHVFNKYDLYSKSNVRIDVEKVKPYYMSLINKYFPEKLRW >KQL11730 pep chromosome:Setaria_italica_v2.0:IV:36387253:36389090:-1 gene:SETIT_006965mg transcript:KQL11730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITIEQPQLDAVAERKVAAGANPAELVLDGGFIVPDANAFGKTFRDYDAESERKETVEEFYRVNHIRQTHEFVSRMRGEYGRLDKTEMGIWECIELLNEFVDDSDPDLDMPQIEHLLQTAEAIRKDYPDQDWLHLTGLIHDLGKVLLHPTFGELPQWAVVGDTFPVGCAYDECNVHFKVTKQLGDAGYNCAIFQRSENSMSGSSVYVHP >KQL11729 pep chromosome:Setaria_italica_v2.0:IV:36386347:36389090:-1 gene:SETIT_006965mg transcript:KQL11729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITIEQPQLDAVAERKVAAGANPAELVLDGGFIVPDANAFGKTFRDYDAESERKETVEEFYRVNHIRQTHEFVSRMRGEYGRLDKTEMGIWECIELLNEFVDDSDPDLDMPQIEHLLQTAEAIRKDYPDQDWLHLTGLIHDLGKVLLHPTFGELPQWAVVGDTFPVGCAYDECNVHFKYFKENPDYHNPKFNTKFGVYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYTHLMNDEDKENLKWLHVFNKYDLYSKSNVRIDVEKVKPYYMSLINKYFPEKLRW >KQL11732 pep chromosome:Setaria_italica_v2.0:IV:36386134:36389292:-1 gene:SETIT_006965mg transcript:KQL11732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITIEQPQLDAVAERKVAAGANPAELVLDGGFIVPDANAFGKTFRDYDAESERKETVEEFYRVNHIRQTHEFVSRMRGEYGRLDKTEMGIWECIELLNEFVDDSDPDLDMPQIEHLLQTAEAIRKDYPDQDWLHLTGLIHDLGKVLLHPTFGELPQWAVVGDTFPVGCAYDECNVHFKYFKENPDYHNPKFNTKFGVYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYTHLMNDEDKENLKWLHVFNKYDLYSKSNVRIDVEKYFPEKLRW >KQL11731 pep chromosome:Setaria_italica_v2.0:IV:36386515:36389090:-1 gene:SETIT_006965mg transcript:KQL11731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITIEQPQLDAVAERKVAAGANPAELVLDGGFIVPDANAFGKTFRDYDAESERKETVEEFYRVNHIRQTHEFVSRMRGEYGRLDKTEMGIWECIELLNEFVDDSDPDLDMPQIEHLLQTAEAIRKDYPDQDWLHLTGLIHDLGKVLLHPTFGELPQWAVVGDTFPVGCAYDECNVHFKYFKENPDYHNPKFNTKFGVYSEGCGLDNVLMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYPLHKHGAYTHLMNDEDKENLKWLHVFNKYDLYSKSNVRIDVEKVKPYYMSLINKV >KQL09272 pep chromosome:Setaria_italica_v2.0:IV:2237013:2237366:-1 gene:SETIT_009057mg transcript:KQL09272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLSSLKLATYYTEVKIVDVGRVQFAKRGKE >KQL10168 pep chromosome:Setaria_italica_v2.0:IV:10426268:10427487:1 gene:SETIT_006789mg transcript:KQL10168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGSSSQVGPVPFMDVENDTDMVTVVTESPPEEHAGLVSGLPCCTVAGMSNMKLRCYQGFWIRESWVPAAVALKRRFEPRPDDVIVASPMKCGTTWLIALTFATMARRAHPPNAVDHPLRHLNPHQCLPFLEGLFAGGRESELDGFPSPRLMNTHMPLAMIPRAAPPAAGDGGGCRVVYICREPKDMAVSLWHYFRRVHPELTLGDIVDGACDGTTPGGPFWDHILGYWRASVARPENLLFLRYEDLLRDPSENVRRLARFVGLPFSAAEEDAGVVRGIVELCSLDSLRGMEANRTGYVDSRIKIPREALFRKGVSGDWKNHMTPEMARRMDEIIADKLHASGITFQ >KQL10661 pep chromosome:Setaria_italica_v2.0:IV:22386758:22387486:1 gene:SETIT_007549mg transcript:KQL10661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILMGYICTRILISRDNNILAVKDSVGEVVMDTMVQVVEDTELSGDESLKEWVADSLEGEGNKTDEGDSEVDINSLLFDRYEAAMKEVIEEKSAMHEEALKRVHDDILKICVLLFF >KQL10660 pep chromosome:Setaria_italica_v2.0:IV:22385340:22387486:1 gene:SETIT_007549mg transcript:KQL10660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTMVQVVEDTELSGDESLKEWVADSLEGEGNKTDEGDSEVDINSLLFDRYEAAMKEVIEEKSAMHEEALKRVHDDILKICVLLFF >KQL11904 pep chromosome:Setaria_italica_v2.0:IV:37480498:37484504:-1 gene:SETIT_006093mg transcript:KQL11904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPSQPQGRGGGRGGGALGRRAFASLLAAAVVAVALLCLFYGAAFAPSIRSGSAHPRLPLRRLGFRARATEALPADLVLPSIPVCDATHSELIPCLDRALHYQLRLRLNLSLMEHYERHCPPAPRRLNCLIPPPDGYQVPIRWPRSRDEVWKANIPHPHLAAEKSDQRWMVVNGDKINFPGGGTHFHTGADKYIVHLAQMLNFPNGKLNNGGNVRNVLDVGCGVASFGAYLLSHDVLAMSLAPNDVHENQIQFALERGIPATLGVLGTRRLPYPSRSFEMAHCSRCRIDWLQRNGILLLEVDRVLRPGGYFVYSSPEAYAQDPFNRKIWRRMSDLARRMCWRVASKKNQTVIWAKPLANGCYMRREPGTRPPMCERDDDPDAAWNVPMKACLTPYSKRVNKVKGSELLPWPQRLTAPPPRLEELGISSNNFSEDNEIWHSRVTQYWKHMKSEIQKDPFRNVMDMSANLGGFAASLRKKDVWVMNVVPFTESGKLKVIYDRGLMGTIHNWCESFSTYPRTYDLLHAWLLFSEIEKQGCSLEDLLIEMDRILRPQGYAIIRDKAAVINYIKKLLPALRWDDWTFEVKAKKDALSSGDERVLIVRKKLWNQTLQDL >KQL11177 pep chromosome:Setaria_italica_v2.0:IV:31405002:31412246:1 gene:SETIT_006025mg transcript:KQL11177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEMEKKVQQYLQRKGFRLTELALQEERNRLSTSVISDVALERSDNDPARYHDGYSKLRTWAYSSLDQYKHELLRVLYPVFIHCFMDLVAEGHMQEARSFFHTFREDHEVMHSRDLQKLEGVLSPSHLEEMELARSLRQNKFKIKMCEYSYQLLLQYLQKTQAFVVLGVINQHITFEVSPGQPSLISDDADVVALIGTSKDLAKQINQKEVHWGLLEDSVEERMEKALAESDKIEAESKDAEAEDNKKRNAEGGKQGASNKKTKKDKLVGATGKNVRTETSMVSVAPRVKPELTLPTTPIEVEQSILEDLRNRAQLNSMALPSVSFYTFLNTHNGLNCSSISHDGSLVVGGFSDSSVKVWDMSKIGQSGKTSSSQGETGSQGVRVSTVDEGKRPYTLFQGHSGPVYSAAFSPFGDFLLSSSSDSTIRLWSTKLNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDKIQPLRIMAGHLSDVDCVQWHVNCNYIATGSSDKTVRLWDVQTGECIRMFIGHRSMVLSLAMSPDGRYMASGDEDGTIMMWDLSTGRCVSPLLGHGSCVWTLAFSCEGAMLASGSADCTVKLWDVASSTKALKTEDTKGGSANRLRLLKALPTKSTPVYSLRFSRRNLLFASGALSLS >KQL09194 pep chromosome:Setaria_italica_v2.0:IV:1800337:1802534:-1 gene:SETIT_008706mg transcript:KQL09194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIAASGAACQGVWLARLLRSLLGLEVGVPVLKIDNKSAIDLCKNPRVRVCAPAALVGDCRSAADRELPPARAAMKRMLLAAIHRSYLKALGSLPQRELTDRLHRSVLHGDSCYGPLDPVSNIIVNTVWYDQNFLASKQVKLDMISTQCLWRAAARSLYGLVSFLCTRYQNLTPDQALQRLMGAPSVTVPEAYAAAATAAHHPNLLAQKEFLGSSDTVANLKVASKVLHLQDGRLLSSKDLEFLCMLISSKCPFTTGMSHKQPEPEPTKVNIVLYTHVSECCRMFRGQQERARRIMAAALSKLNETAEPHYRLHVICGVNELVSGPEFSLDVSGGYNPWTPHIYLHSHMNFLTTREGEHASNALLC >KQL09660 pep chromosome:Setaria_italica_v2.0:IV:5038902:5040772:1 gene:SETIT_006271mg transcript:KQL09660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKDANLAADAAPSAPELGHEESEREEPPRAPARAAAAPAPPPPLPYQEMLRSTAYERMRAEHPEEFAPVSVFFTHDPRSAIDRRKGFRVKAALVYEAVTGHHSDDHTRANSLLLALAKECHSRIIQAPTGDAAATAGGAGDASKSEDALQTPRPDNQETDDKGKSNNAPAAELTDEGWDERQKRVVDGIFLVVGFLPKLNEAIAKSGGDRDGVDETFKSQHMHDIVTDVVKLENQLPLRDLLDVAGVVEAAVRETVAGAGEYKLPYAMDSFGDVVRDFCWYYSPFSSSRKAAASPFKSVAADDAMAARTLLDCLHMSVVKPPPPPQGAGASGGGATGRPSRMPTARELRRSGVRIQASETGRAEVEFAQPAVRLPALVYDFKLATVARNLLAREYDEQSKPVTRYFQMMNELVEDAADVRILRGAGVVRGGSGGGAQPQEVLRLVKGIDRHATYPSVYMAMDREIEKVRKYHDQRMASFFVRNRPGVIWASSVAAISVVAIVAARRNRG >KQL10221 pep chromosome:Setaria_italica_v2.0:IV:11101439:11102071:1 gene:SETIT_007604mg transcript:KQL10221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFASSCSCLFVLDLSWIAKTKTWCFNNRRRPKMTPRTVPDVVDVVVLISPMEKWKNSLVSFRRGGPLVIGGRQSADNVLRVDLLKSTWERVESLCIALISR >KQL08932 pep chromosome:Setaria_italica_v2.0:IV:350156:352567:1 gene:SETIT_006955mg transcript:KQL08932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAPPRLLPLPAPAPARCAVSARHHGGRTAPELSGPTPRVVVVTSGKGGVGKTTTTANLAASLARLSLPAVAVDADAGLRNLDLLLGLENRVHLTAADVLAGDCRLDQALVRHRALQDLHLLCLSKPRSKLPLAFGSKTLTWVADALRRAPNPPAFILIDCPAGVDAGFVTAIAPAEEAVLVTTPDITALRDADRVAGLLECDGIKDIKIIVNRVRPDLVKGEDMMSALDVQEMLGLPLLGVVPEDTEVIRSTNRGVPLVLNDPPTPAGLALEQATWRLVERDAMTAVMVEEQERPKKKGGFFSFFK >KQL11991 pep chromosome:Setaria_italica_v2.0:IV:38034465:38039729:1 gene:SETIT_006491mg transcript:KQL11991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRWLHDAQYQVRQDGDSRAQEHRDPFELVADDLSLVADRLRSMVAAEVPKLASAAEYFFKVGAEGKKFRPTVLLLMASALRFPLSESTEGGVLSMLADKLRTPHLNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNLVMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAILAGHTAEVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILYAMEEFPQLHEVVDSGFEDPANVELALDYLQKSRGIERTKELAREHANRAIKAVEALPDSDDEDVLTSRRALIDITERVITRTK >KQL11990 pep chromosome:Setaria_italica_v2.0:IV:38034465:38039729:1 gene:SETIT_006491mg transcript:KQL11990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRWALARRAAALAAGTGAGCAAQAQRLLTTSSGAGAALLGRQHLPLASQIRSKVVGYRGAAFMSSRWLHDAQYQVRQDGDSRAQEHRDPFELVADDLSLVADRLRSMVAAEVPKLASAAEYFFKVGAEGKKFRPTVLLLMASALRFPLSESTEGGVLSMLADKLRTPHLNIAEITEMIHVASLLHDDVLDDADTRRGVSSLNLVMGNKLSVLAGDFLLSRACVALAALGNTEVVSLMATAVEHLVTGETMQISTSREQRRSMEYYLQKTYYKTASLISNSCKAVAILAGHTAEVSMLAYEYGRNLGLAFQLIDDVLDFTGTSASLGKGSLSDIRHGIITAPILYAMEEFPQLHEVVDSGFEDPANVELALDYLQKSRGIERTKELAREHANRAIKAVEALPDSDDEDVLTSRRALIDITERVITRTK >KQL12356 pep chromosome:Setaria_italica_v2.0:IV:40141410:40146860:-1 gene:SETIT_005917mg transcript:KQL12356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLPRHLLPPRAHRAISPTASPLSRRRALLLLGFGCCRGPPPAHSYASMAPPPVAKKVPRQLVDHGDVRVDNYYWLRDDSRSDPDVLAHLRAENDYTAAVMSDVKQLEDEIYAEIRGRIKEDDIDAPLRKGQYYYYERTLTGKEYVQHCRRPVPTDAPITVHDVMPTGPDAPDEHIILDENVKAEGHDYYSIGAFKVSPNNKLVAYAEDTKGDEIYTVYVIDAESGEYVGQPLKGITSDIEWAGDDHLVYITMDSILRPDKVWLHKLESDQSSDACLYHEKDDTFSLGLQASESKKYLFVESGSKNTSFIFYLDTSKQNKELVVLTPRVYGIDTTASHRGNHFFITRRSDEFYNSELVACPLDNVAETTVLLPHRESVKIQEVQLFDNHIAVYERENGLPKVTLYRLPAIGESIGQLQGGRTIDFIDPTYAVDPEESEFHSSVLRFNYSSMRTPPSVYDYDMDSGVSVLKKIKPVLGGFDASNYVTERKWAAAADGTQIPMSVLYRKDLVKLDGSDPMLLYGYGSYEICIDPTFRGSRLSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIDCAEHLIKNKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVVTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVAAQEYPNILVTAGLNDPRVMYSEPAKYVAKLRDLKTDGNLLLFKCELGAGHFSKSGRFEKLQEDAFTYAFILKALGMTPKMASV >KQL09675 pep chromosome:Setaria_italica_v2.0:IV:5108115:5111560:1 gene:SETIT_007249mg transcript:KQL09675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSKSKGPKFAAVKKIISKKTINKYKQDVLNHNKKDAEKEKLGRNVPQVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVDRVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITQHRYSIERLPEATIGGAPRI >KQL09674 pep chromosome:Setaria_italica_v2.0:IV:5108115:5111503:1 gene:SETIT_007249mg transcript:KQL09674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSKSKGPKFAAVKKIISKKTINKYKQDVLNHNKKDAEKEKLGRNVPQVSSALFFSYNTALGPPYRVIVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFQRLACTHKGTYADDCIVDRVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITQHRYSIERLPEATIGGGMHYVPWVDDLCLPFVFSLVCTITT >KQL09826 pep chromosome:Setaria_italica_v2.0:IV:6382736:6384486:1 gene:SETIT_008742mg transcript:KQL09826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVRERDLSPEPDKRRPSGLGTVTVVFLIALPLLLVFFLFSDRAVVSIAADYRPVWQRMKLLGSGNASSFPNAEGSAHDRLLGGLLSPDFDTATCLSRYEASRRWKPSPFPVTPYLVQKLRQYEANHRRCGPGTANYREAMVQLMSGRNADLAECKYVVWVPLAGLGNRMLSIVSTFLYALLTGRVLLIHEPPEMEGLFCQPFPGTSWVLPPGFPYTDGFSADSNESYVNMLENSIVHYDDGGNASTLPPYVYFHLEQISLRLQNHTFCEEDHRVLDRFNWMVLRSDSYFTVALFLMPMYRSELDRMFPAKGSVFHHLGRYLFHPGNRAWDILERFYVGYLAGADERLGIQVRLSPSFPITFEVMYEQIIRCIREHELLPQVTDTSEPGALPTNGTVGTARVKAVLVVSLKPEYYDKLHSMYYTNATATGEVVTVYQPSHDLDQRSDALAHNERALAEIFMLSYSDRLVTTAFSTFGYVAYSLAGLRPWLLMPPDWATMRAEVACSRSASVEPCLHSQPSLLCQAEQDLDPVVHVPFLRHCEDMDSGLKLFD >KQL11272 pep chromosome:Setaria_italica_v2.0:IV:32164598:32165139:-1 gene:SETIT_008244mg transcript:KQL11272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRNPAVPRRTVRVAGRAVQTTVTARPAVARRWLHSTLWREGRALRSAAGLTVGLGVQWTPPFRKLPVGAEPRPGTLQLCAGNRCLVFQLVRAGAVPRILRRFLADPRVTFAAYNAGSDRRKLRAHHGLEVGSALELRGSAGMGNTSLTDMAQRLLGIRGVEKSTKVATSDWDGERLSR >KQL12007 pep chromosome:Setaria_italica_v2.0:IV:38128974:38129865:1 gene:SETIT_009024mg transcript:KQL12007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVAMLKGEADVDTEMISKPDVIRDFRDLKLRSRATSSTLLTSIMARSSPLSSGETTRTSITFTAISERD >KQL09110 pep chromosome:Setaria_italica_v2.0:IV:1269385:1270860:1 gene:SETIT_007208mg transcript:KQL09110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKGQRRREKNYRAAHGGDSRLPPPPKQRELEAIPSKLRRLIAFQNKHDDNANAFSGGARAPGKQDDGLGKNRPAKDKKTKKQTPEAPAESKASEIKGGHGSAANENVNAEGSKGKRKRGKAVDLRFKELEENVSISKKQKRKKHLDEKKKKRKGNKTETLPDFPGREKVKFGEVVEAPPKLSFPKVKSASDASREMLRKEAIENYRNIKGWTSRPGLQLPTLAENTFLSP >KQL12350 pep chromosome:Setaria_italica_v2.0:IV:40116105:40116530:-1 gene:SETIT_007572mg transcript:KQL12350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYGWVPISLVGEGRRRRKRRRRRGARPDRRSSLPQLRVRPAGRRKRMKMWGPSFRAGWAGLGWADMGSIEYPSSQPDIPLSSSLHARNMFCTQGILGTHKLQMQCKRNRI >KQL09877 pep chromosome:Setaria_italica_v2.0:IV:6953848:6954813:-1 gene:SETIT_007095mg transcript:KQL09877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPHDVHGGVDSFAQLPFIRAPATSSRDATIRLFGRDFSNEQQAAAAQLLLLRKQQEGDAAGDGGGVVAGEAAAGERKFECHYCCRNFPTSQALGGHQNAHKRERQHARRAHLEATFAAHCGAAYLPGAHLYGALFGYGAAGGHTALPPAHYPAVWAGAVPGMYGGGVGSVPPRPTVYGGMAVPPGMWRPPPAGSGAFGGAAARLEGPDPVGYAEMVGKDDKVAMSAVTSLPALPSSCLSGQSPEMIGRPELGHKDGVLSLDLCL >KQL09154 pep chromosome:Setaria_italica_v2.0:IV:1574191:1576831:-1 gene:SETIT_008027mg transcript:KQL09154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEKLVAGVAESASALTLQEPDASSSGGWYQVYFIRTDRSGYFRMYPDLGGPFQSLDQVDCAINHHLAKLQHPSEFEEKDNYSIVDKLIHEHNYYPDGTPKRTNSRSKTNPNEEQRHLVQAILDQYNDDNNLFGVHAHELESLVRHKGIYENDRWFYHFNFTTKTKGANGTLGSSNLFFAEVSHMREEDAWEVNCCCTINSDDNGHCYGCRNNGSPGMQHPTDTGAYTGGHLDEYLPFGGDDRMCSALYRRKPWRGRLRRIYEDLDDPSVLERIYSYFDRDRNTVAEQLK >KQL12376 pep chromosome:Setaria_italica_v2.0:IV:40228089:40228391:-1 gene:SETIT_008501mg transcript:KQL12376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLFPLGPMPAAFHPFARQMPPLPSGHDNAMRTRRAVVAVDGDRQEGDPCPTVCSEKINNKSDSPLLVSQPKPTPPAGYVAVGNNLILSLSHTHSFPIP >KQL10049 pep chromosome:Setaria_italica_v2.0:IV:8833811:8837125:-1 gene:SETIT_006793mg transcript:KQL10049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAYYESSRGGAADEGDDFDEFDPTPYGGGYDLFVTFGRPLPPSEETCYPCSEPSTSYDAPHYSASEPSPYGHHTKAKPNYGFRPQQEQQPSYGSSGGSYGSRPEPAAEEGGGGYGSGYGSGYGRKNQEEESYGSGYGRKPQAEESYGSGGYGSGYGGQARPEAGYGSAAYGSGYGSNPQAESYGSGYGRKPQVEESYGSEYGSGYGRKPQVEQSYGSEYGSGYGRKPQAEEGYGSGYGSRPQGGEEYGSGGYGRKTQEESYGSSGYGYGRKTEEEGYGGSGYGYEKPKPKPFGEEHQSGGYERPSYGGGDEYQGSYGRKKHDDDSDDEKKQHYQKHHHHRRHDYDD >KQL11347 pep chromosome:Setaria_italica_v2.0:IV:32786198:32797059:1 gene:SETIT_005712mg transcript:KQL11347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPKIRPSPFSRVFPSPWGVLVSPPPPPPPSPSDSRRCVACPAAASTWGAAAGRDGCLSCFPKSRRGRSGLARFAPCALPHASGLSFRSRLSGAKVRPSHILHAAGPDEPHVASPTWSETSLDKPDLDHAISKEELEDVLNTPLPEHPKLIRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSNRFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAMREIEAVFEHTLSENEGNPVPSGSPFGAMASLFAPKLPGGFAANLTGEKSPTTDKIKPIKRERQAVRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVSKVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPENWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDFGKPNAPLPAAIVACVPKKVHIDGVGETDFEIYPDGITEAIKAGLGEPIYPEPELEVPKELITQSELNKLKLQHKPSFVPLTEEEDVVKVFDTETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGSNMEVSIVGDFTEEEVESCVLDYLGTVRAASSPNTEERIEKISFRPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRRSGADAEISEPVNLDLTGKKHIDVRSHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSSRIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDVSCIKELTTLYESATIEDLYLAYEHLKVDDSSLFACIGIAGAESGEDTNDDEADMDLHGMAPMGGRGLSTMTRPTT >KQL11346 pep chromosome:Setaria_italica_v2.0:IV:32786198:32797059:1 gene:SETIT_005712mg transcript:KQL11346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPKIRPSPFSRVFPSPWGVLVSPPPPPPPSPSDSRRCVACPAAASTWGAAAGRDGCLSCFPKSRRGRSGLARFAPCALPHASGLSFRSRLSGAKVRPSHILHAAGPDEPHVASPTWSETSLDKPDLDHAISKEELEDVLNTPLPEHPKLIRGQLKNGLRYLILPNKVPANRFEAHMEVHVGSIDEEEDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTKTKEYGEDLLPSVLDALNEIAFHPKFSSSRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSNRFPIGLEEQIHKWDPDKIRRFHERWYYPANATLYLVGEIDDIPRAMREIEAVFEHTLSENEGNPVPSGSPFGAMASLFAPKLPGGFAANLTGEKSPTTDKIKPIKRERQAVRPPVEHKWSLPGVAQDAKPPAIFQHELIQSFSINMFCKIPVSKVQTYKDLRSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPENWRSAIKVAVHEVRRLKEFGVTMGEMTRYMDALIKDSEQLAMMIDSVPSVDNLDFIMESDALGHTVMDQLQGHESLLAVAETVTLEEVNTVGAEVLEFISDFGKPNAPLPAAIVACVPKKVHIDGVGETDFEIYPDGITEAIKAGLGEPIYPEPELEVPKELITQSELNKLKLQHKPSFVPLTEEEDVVKVFDTETGIAQRRLSNGISINYKITQNEARVGVMRLIVGGGRATEDSESKGSVIVGVRTLSEGGCVGNFSREQVELFCVNNLINCSLESNEEFIFMEFRFALRDNGMRAAFQLLHMVLEHNVWLEDAFDRATQLYLSYYRSIPKSLERSTAHKLMLAMLNHDERFVEPSPHSLQKLTLQSVKDAVMNQFVGSNMEVSIVGDFTEEEVESCVLDYLGTVRAASSPNTEERIEKISFRPFPSDLHFQQVYIKDTDERACAYIAGPAPNRWGFATEGNDLFNVIRRSGADAEISEPVNLDLTGKKHIDVRSHPLFFGITLSLLAEIINSRLFTTVRDSMGLTYDVSFELNLFDKLDLGWYVIAVTSTPSKVHKAVDACKGVLRGLHSSRIVERELDRAKRTLLMKHEAETKTNAYWLGLLAHLQSSSVPRKDVSCIKELTTLYESATIEDLYLAYEHLKVDDSSLFACIGIAGAESGEDTNDDEADMDLHGMAPMGGRGLSTMTRPTT >KQL08898 pep chromosome:Setaria_italica_v2.0:IV:184927:186690:-1 gene:SETIT_007383mg transcript:KQL08898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARRTNRRGPPPPGTGYVRRAPAPAPGPGPGPADAAKPLRKPVFTTIDQLRPQTHGHTLTARVLEARTVLEKHSPHIGRTRVAECLVGDHTGTILVTARNDQVDLVKPNTTVIFRNAKIDMFKGTMRLAVDKWGRIEVTDAADFKVKEDNNMSLVEYELVDVAEEED >KQL10604 pep chromosome:Setaria_italica_v2.0:IV:19877078:19877478:1 gene:SETIT_007809mg transcript:KQL10604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRALSFTDSKNEDGPIIRRNSICIRRVLRDRSIHKLDQLFDSTRYVKK >KQL11931 pep chromosome:Setaria_italica_v2.0:IV:37713569:37718901:1 gene:SETIT_007443mg transcript:KQL11931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATWMAVAVVAVMGVLAPPAAAQTSSTPDCAAKLAPCAPYINTTGMPPDTCCGPIKEAVQNELKCLCGLYASPEIFKAFNINVTQALGVSKRCGLSDTTEACKGLAPTQSHPGSPSGGGKNSGHRTLSVGFPGLMSLFLALWAVLA >KQL11635 pep chromosome:Setaria_italica_v2.0:IV:35490670:35493555:-1 gene:SETIT_005874mg transcript:KQL11635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVSRFVVLLTLIHLILQVSALNVLSSGFSLSADRSSDVLRSPDGTFSCGFYKISPNSSTFSIWFSKVSERTVVWSANPLRPVYTWGSKVKLNFDGSMVLRDYGGQIVWTNNVSSSNAEQAQLLDTGNLIVKGKGDTILWQSFTSPTDTLLPTQRINATIELVSTNRLLVPGHYGLHFDDQMLISLFENEKDVSFIYWPDPYSTIWQKLRIPFMINTSGVLDSLGQFWGNDNAFFMAADWGSHIIRRLTLDYDGNLRLYSLDDNGTWSVTWMAFPQLCKVRGVCGRNGICVYTPVPICVCPPGFEVIDQSDWGEGCRPKINITCDEQKVKFVHLPNTNFFGQDLSAHRSVSLNFCKQICLSDCNCNGFSYFQGLGHCYPKAILLNGRSLDGIGSMYLKIARDLEVSGSSIPRSQVFGPKYGPNCSAANEYVIAYFSNMNKASQNILKYFYFYGFLSAIFVAELIFIVLGWFILRRERGQLRGAWPAEAGYEMITNHFRRYTYRELVKATRKFKDELGRGASGIVYKGVLKDNRVVAVKKLEDINQGGEEFQHELSVIGRIYHMNLVRVWGFCSDGPHRILISEYVKNGSLEKTLFAVEGSEILLEWKQRFNIALGVARGLAYLHHECLEWVIHCDVKPENILLDENLVPKIADFGLAKLLNRGGSNIDVSRIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARISDMENNENEEVEMVLGRIVRMLKENLQLDGTEQFWIPDFIDSRLNGDFNYLQARTMIKLAVSCLEEDRGRRPTMENVVQTLVSVDAVSSATKMGGVAY >KQL09281 pep chromosome:Setaria_italica_v2.0:IV:2273485:2274292:-1 gene:SETIT_008695mg transcript:KQL09281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNLAPTWVTTAAFGFFTLNSGLAIYSARGDPASVLFVVGSYLALLLLFHCLRAYERVPPGSPERERARRAVWPLTTARCSRWRSLLHKLELLELDIPWSAFGAMACDSALAVHDARGGDVVSAAAVLVTYAALLALTFRFLRAFAARARGVGHGQDDEGRGVTAVDAAAALWTLTTLLTAMFASRVGPLMPPAVGAVVWAMAAVTSAGGFWAFFLNP >KQL10353 pep chromosome:Setaria_italica_v2.0:IV:13380180:13382386:1 gene:SETIT_007912mg transcript:KQL10353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFREKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKCIRSRPIAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPERMVYSIRSYACKARGLNYESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGMAAPGYPLLEAAYDLHHRAHHLADLNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFREMTITMQDAAMILGLPLHGQAVTGIIQNENWRDMVEMHIGIRPPKPEGGDSSKKMSGVSSAWLREHFEVCPPGANDEVVQRYARIWERMPVGRPSRLRVHVSHNG >KQL10109 pep chromosome:Setaria_italica_v2.0:IV:9616131:9617648:1 gene:SETIT_007568mg transcript:KQL10109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAINVGQSLDYREAYDIAAARAVAELKVLAEYCLPLVRVGGLFIAAKGHDPHEEIKDAKSAVQKLGASMLELCNAESMGPHGHRTAVIYFKERATPKKYPRLPGTPSKMPL >KQL12012 pep chromosome:Setaria_italica_v2.0:IV:38158630:38162735:-1 gene:SETIT_006975mg transcript:KQL12012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNSLSMVEARLPPGFRFHPRDDELVLDYLAKKLGGGGDGGAAVVSIYGCPTMVDVDLNKCEPWDLPDIACIGGKEWYFYSLRDRKYATGQRTNRATDSGYWKATGKDRPISRKGLLVGMRKTLVFYQGRAPKGKKTEWVMHEFRMEGQGDPMKLPFKEDWVLCRVFYKSRATIAKPPTESSSYNIDAATTSLPPLIDNYNISFDQPGSVQNLEGYEQVPCFSNNPSHQPSSSMNAPLSSSAMADQAEQHMAGKSIKDVLMSQFSRFEGNVVKRETPQSNFSQDGFEYLAESGFTQMWNSFS >KQL12137 pep chromosome:Setaria_italica_v2.0:IV:39068983:39070247:-1 gene:SETIT_006714mg transcript:KQL12137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTCASGIYRGDRKSVWDEYEKDLQKDFSTSSRRWQQIGVPLSKCQLPVKNNVVIDAGVSSEALKAAGEIGYGASIESSDSSSLSAGLVDQVGENNRTKAAGINDNVGNINLLEGFVVVEGTMNKEHTAASDPSDLFAFLQSNNVDLLGPPYGREEDTPYWMSQPLEGLQNQQNLGLEDPLQVDDAWNKGLASLNLINIDGPMTQEATVQNAPQEATIQNDPVNNPVMPIAQDDGLSLVQQYLGLEDLLQVDNAWNKGLASPSVVNIDGPMAQEGTIQNPPAHNPEKPIAQDDGFSQDQQNLGLEDLLQVDNEWNKGLASPSVINIDGPMAQAGTIQNPPAHNPVKPTAQDDEFWSWSPLGDFDMLI >KQL10171 pep chromosome:Setaria_italica_v2.0:IV:10457018:10460670:-1 gene:SETIT_006897mg transcript:KQL10171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLLAPAPLPVLLLLVLSACTTPARGGDDYTAFVYAGCSQARYDPGSQYAADVDTTLSSLVNSAGYTAYANYTSPSAATGLAGVYQCRSDLPAAVCGGCVKSAVSKVSSLCNSAAGAAVQLRACFVRYGNDSFLGKQDTTVLFKKCGGESAGDTGVVAMRDAALGALVAAAAPPAGDGSYRAGAAGYVQAMSQCVGDLGAKACTDCVSAASSQLKAGCGYASAGEVYLGKCYARFWSNAGGGSGNGGVPAVGGGAGTGSSNGVGGVGGANNGYAYGGFVPNTYGQHDESGKTLAIIIGLVAAVAIVIVLLSFVRRAGGVGGKS >KQL12277 pep chromosome:Setaria_italica_v2.0:IV:39777280:39781562:-1 gene:SETIT_008776mg transcript:KQL12277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAVNFVVGRLGEFVVKEAALLQEVGNDVMLLKDKLQWLQMFVQMADHERRQAGGGAYKDVWVQQTREVALEVEDVLDEFMLRVDIEHALPLWNKDDEPRTGFTEESSSLEHMLISGDTKRSIVSIVGESGIGKSTLLRMVLDRDVVKKHFDAHAYWLNLPPRTTKADALCLIYKRVCPSARSPVTEEKIRGALKEYLEGKRYVIVLDGMDKLFNWSSVLSVLPDDDLGSRVVIMDALCGNEATITGVRVLRVPHLNNEESHYLFCRHALGSGNKHLSKSFGSKDLSNREFNKKIMDKVLKITTGFPLAIQLLGRLLRRKEFPDQWINVLNHLNDMERSSRLERILALSFDDLPHSLKLCFLYFSMMPLNINYTAAVLVRRWAAEGFLKPNKGESMEDVGYNYLKELISRGMLNISWKGPLTSKGLIRSVFIHRRLHSMARLETQKGSFLDIYDSTDIPSSAVVRHLFIKNFRNVADIHKDAPFPKLRSLRCNSPEYLRCDSAAGGGDATAINVDQPNHYYSLKHLLRSKLLRVIELRGLQLKKLPRAIGDLVHLRYLCIRSSSLVELPSTIAKLSNLQTLDIQETGRVQKVPQAFWLIPTLRHVLAEKLTLPNSVGLLKNMQTLRGVVVCAHPWHKNKSPLHKMVNLRRLEISGLKDHHWVILLDAFERLESLIRLHLKASRGDTIPITLFTRSSLRHLQLLELHGRIDMLAEGAEAPFALENLSWLLLKSSLVGQDFIDKLGTLPRLAELVLSNEAFQGRELVFSHGGSGFGNLTDLLLRELSELEECKIDPALTKLKKPEVVSCAMMKQ >KQL09720 pep chromosome:Setaria_italica_v2.0:IV:5447753:5451379:-1 gene:SETIT_007595mg transcript:KQL09720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNDIVNGLMSVSVSTLWKVMMMRELCPHADETVTCNCVMYWHSRMP >KQL09721 pep chromosome:Setaria_italica_v2.0:IV:5448110:5450275:-1 gene:SETIT_007595mg transcript:KQL09721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNTVEGDDDA >KQL09719 pep chromosome:Setaria_italica_v2.0:IV:5448110:5450275:-1 gene:SETIT_007595mg transcript:KQL09719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSAAKPPPKKRMDKLDTVFSCPFCNHGSSVECRIDMKNLIGEASCRICQENFSTTVNALTEPIDIYSEWIDECERVNTVEGDDDA >KQL09045 pep chromosome:Setaria_italica_v2.0:IV:864725:866718:1 gene:SETIT_006307mg transcript:KQL09045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLPLLLLLLSSSSSPTIRAAAADEEHEYTLVALSSLQPKATCAGHRVAPPQNTTWVPLNLPHGPCSPLSGGATTPPSVAELLRHDQLRVDDILTRLSGIPINDSKPTRSVGGPNAQMNGNLIDVGVGPAQSPPSAWAQQQLSGLSGGIDAVAAQSSLPAGVLRQTVVVDTASDVPWVQCVPCPIPPCHPQTNTFYDPTKSRTYAAFPCGSPACRQLGPYANGCINNQCQYKVTYPDGSSSSGTYSSDVLTFDATHAVSNFQFGCSHAEQGSFDNRAAGIMALGGGPESLLAQTASRWGNAFSYCIPPTSSNSGFFGLGVPGGVASSRYVVTPMLRYPNLPTFYRVLLRAITVGGQRLNVPAAVFSAGSVMDSRTAISRLPPTAYAALRAAFRNAMRMYRPAPPKGNLDTCYDFTGVANVRLPRIALVFDRNAVVELDPSGILFSSCLAFASNRDDHMPGILGSVQQQTYEVLYAVGGGAVGFRRAAC >KQL10336 pep chromosome:Setaria_italica_v2.0:IV:13088763:13089215:-1 gene:SETIT_007752mg transcript:KQL10336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSDHEYSMPAWGSICGSNFICTKYTVYEVMGLWHEHILIADSSYPTRKREIQLTTISSSISSFQN >KQL11224 pep chromosome:Setaria_italica_v2.0:IV:31847660:31850632:1 gene:SETIT_006773mg transcript:KQL11224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFTETEDQQNSISETEVFACPVCYEALIRKGPPGINLPAIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYTEQKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFQPVAGGILLDVSCGSGLFTRKFAKSGTYSAVIALDFSENMLRQCYEFIKQDDTLLKANLALVRADISRLPFASCSVDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVGTTFLSSPRNNPFSVEALRPLRQIVGPVNTSYNYFTEGELEDLCKSCGLVNYSSKVQRSFIMFSGQKPY >KQL11223 pep chromosome:Setaria_italica_v2.0:IV:31847187:31850923:1 gene:SETIT_006773mg transcript:KQL11223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLVRAGAAAAAASSSPHPSLQLPRSTCVVPGPCRPRPRRPRYPSLRAAAAAAAVAVEPETEDQQNSISETEVFACPVCYEALIRKGPPGINLPAIYRSGFKCSKCNKSFTSKDIFLDLTVTSGTKEYTEQKPARTELFRSPLVSFLYERGWRQNFNRSGFPGLDEEFQMAQDYFQPVAGGILLDVSCGSGLFTRKFAKSGTYSAVIALDFSENMLRQCYEFIKQDDTLLKANLALVRADISRLPFASCSVDAIHAGAAIHCWPSPSNAVAEISRVLRPGGVFVGTTFLSSPRNNPFSVEALRPLRQIVGPVNTSYNYFTEGELEDLCKSCGLVNYSSKVQRSFIMFSGQKPY >KQL11245 pep chromosome:Setaria_italica_v2.0:IV:31983115:31987535:-1 gene:SETIT_005906mg transcript:KQL11245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAFLPELATQVIVPVAAVVGIAFAVLQWVLVSKVKLSPEPRRGDGSSGKSGAGASEYLIEEEEGLNEHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVEGFSTKSQPCHYSKGKMCKPALANALFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLFVLYIAINLFGIYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLVSSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGVQKTVYNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEISTVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKWL >KQL11244 pep chromosome:Setaria_italica_v2.0:IV:31982668:31987629:-1 gene:SETIT_005906mg transcript:KQL11244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAFLPELATQVIVPVAAVVGIAFAVLQWVLVSKVKLSPEPRRGDGSSGKSGAGASEYLIEEEEGLNEHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVEGFSTKSQPCHYSKGKMCKPALANALFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLFVLYIAINLFGIYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLVSSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGVQKTVYNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKVNANPTVS >KQL11247 pep chromosome:Setaria_italica_v2.0:IV:31982668:31987629:-1 gene:SETIT_005906mg transcript:KQL11247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAFLPELATQVIVPVAAVVGIAFAVLQWVLVSKVKLSPEPRRGDGSSGKSGAGASEYLIEEEEGLNEHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVEGFSTKSQPCHYSKGKMCKPALANALFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLFVLYIAINLFGIYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLVSSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGVQKTVYNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAEISTVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTTKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGALVSGVQVPGTMQRNTSRLVHLSTQELWAPKAPIHTKLLSLVTPSVIRSRTPQAHH >KQL11246 pep chromosome:Setaria_italica_v2.0:IV:31984064:31987535:-1 gene:SETIT_005906mg transcript:KQL11246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAFLPELATQVIVPVAAVVGIAFAVLQWVLVSKVKLSPEPRRGDGSSGKSGAGASEYLIEEEEGLNEHNVVVKCAEIQNAISEGATSFLFTEYKYVGLFMGIFAVLIFLFLGSVEGFSTKSQPCHYSKGKMCKPALANALFSTIAFVLGAVTSLVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAASGLFVLYIAINLFGIYYGDDWEGLYEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLLVSSVGIIACLITTLFATDFFEIKAVDEIEPALKKQLIISTAVMTVGIALVSWLGLPYTFTIFNFGVQKTVYNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAFSIFLSFSLAAMYGVAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKVNANPTVS >KQL11161 pep chromosome:Setaria_italica_v2.0:IV:31255720:31256049:-1 gene:SETIT_008289mg transcript:KQL11161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGCSTCLEVIFAVVLPPLGVFFRYGCCSSEFFISLVLTILGYVPGIVYSLYVILRTPPEPPGIDGERPYDMLA >KQL10059 pep chromosome:Setaria_italica_v2.0:IV:8997140:9001186:-1 gene:SETIT_007156mg transcript:KQL10059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLGRGNRDKVQQFMTITGASEKVALQALKASDWHLEGAFDFFYSQPQISAVNTRHLEDIFNRYKEPDADMIMVEGVSQLCNDLQVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKFRGKLPSLRAELKDDNKFREIYNFAFTWAREKGQKSLSLETAIGMWQLLFAERNWPLLDHWCQFLQVRHNKAISRDTWAQLLEFVKTIDPQLSNYDDEGAWPYLIDEFVEYLTENGFVQRKK >KQL10058 pep chromosome:Setaria_italica_v2.0:IV:8996748:9001347:-1 gene:SETIT_007156mg transcript:KQL10058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLGRGNRDKVQQFMTITGASEKVDPQDIVMLVISWHMKAATMCEFTRQEFIGGLQSIGVDSIEKFRGKLPSLRAELKDDNKFREIYNFAFTWAREKGQKSLSLETAIGMWQLLFAERNWPLLDHWCQFLQVRHNKAISRDTWAQLLEFVKTIDPQLSNYDDEGAWPYLIDEFVEYLTENGFVQRKK >KQL10938 pep chromosome:Setaria_italica_v2.0:IV:28569454:28571268:-1 gene:SETIT_008887mg transcript:KQL10938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRTTTSTTSASWRSSTMAAPHRARRRSQEERTSAATPTSTTARMRGRAEGRGRH >KQL10387 pep chromosome:Setaria_italica_v2.0:IV:13987981:13990871:-1 gene:SETIT_008321mg transcript:KQL10387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVPVGFLGKLWSFVSFLPFFILLLLLGSVKAVLIGPVAAAIVFCGNSAVIIGLWPAHFVWTYYCVLKTERIGLVLKILIGILLPLPLLLLPVLAIVGSLLGGIGYGVFVPLLATFEAVGEGVTDKLAHCFMDGTASTISGACTVVRDVTDFCIHSYFSFMDDLVEKMGDDETPLDIKLSYLPRSTVVAFIAVPVDVLMISAVALWKSPCMLLKGWQRLCEDLVGREGPFLETVCVPFAGLAIILWPLAVIGGVIASFFSSFLFGFRAGLIAYQEASFQMGLAYMISAVAIFDEYTNDLLYLREGSCLPRPKYRKGDTQKCETGQNKERYNATAEPAERQHGYNKHRRVLHRSKTFMQTIQRLRPIQIWDWFFRSCELNGRILLSEGLITAGDMEEYITEGKGKKLSIKLPAWCILQCLIRSAKSDSPGLLISDDVEVTNFNWPKDKVFDWMLGPLLVIKEQMKKLDINEDEEMCLRKLIMTNKNEKPSDWDDSGFPSDDNIKRGQLQAIIRRLQGIMANMSRVPSFRRRFINLVKALYLEAIEAGAIDGSRDVKRRVKADVASGKSGEEGTPDVAGSSNDPLGNIDMV >KQL11279 pep chromosome:Setaria_italica_v2.0:IV:32259590:32266298:1 gene:SETIT_005707mg transcript:KQL11279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAWPMMRPAEIGFGDWCRREPRGWPRPRSGRPALSGDQGAAAALGSDPLIGREGEGRGKRQYVSRPKPNATRQIEPSREVRIFFLLSKEKKGSGLFTRPTPTDPPQLSHRDPASLTRLRKSPRFFPHLFAATSPHRLRPLSASPARSIGRRRRPSEPAMAEIAERAVPGELPEEPRPPPGEEEDEEEEEGDVCRICRNRGDEDHPLRYPCACSGSIKFVHQDCLLQWLDHSNSRQCEVCKHAFSFSPVYADNAPTRLPFQELIVGVGMKACHVFQFILRLAFVLSVWLMIIPFITYWIWRLTFVRSLGEAQRLFLSHISAQLILSDCLHGFLLSAIIVLIFLGATSLRDYIRHLRELGGHDAERDDGGRERHGARAVRRLAGPNNRVPADGNIDELAEAQGIGAGELLRRNAENVAARLERLEAQVEQMLDGLDDADGAEDVPFDELVGMQGPVFHLVENAITVLASNAIFLIVVIFVPFSLGRIVLYYLSWFFSSASTPMLAKMMPFTETAISIANDTLKSALNVVKNFSSDTNNEGVIGHVIEVVTQSLKINATGLSVIQGSGRGSLIKGTAIGSSYLSDLTTLAVGYMFIFCLVFLYIGSLALLRYARGERFTIGRLYGIATILEAIPSLCRQFFAGMKHLMTMVKVAFLLVIELGVFPLMCGWWLDVCTLKMLGTTIAQRVEFFTMSPLASSSIHWLVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRVAPSIFPLDITIFDPFTEIPVDVLLFQICIPFAIEHFKPRATIKSLLHHWFAAVGWALGLTDFLLPKPEENGAQENWNGRAERRDRGHVGRELVAPQVEQRMIQHVAAEDNGRGNPNEANDVAEEPDVDDQGDSEYGFVLRIVLLLVLAWMTLLIFNAGMIVIPISLGRLVFEAVPRLPITHGIKCNDLFSFSIGCYILWSAAAGTRYAIDYIRSRQLGILVQQICKWCSIVLKSSVLLSIWIFVIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDQMAPLVDESWRSKFERVRDDGFSRLRGLWVLHEIIMPIVTKLLTALCVPYVLARGVFPVLGYPLIVNSAVYRFAWLGCLIFSALFFCGKRFHVWFTNLHNTIRDDRYLIGRRLHNFGEDTPEPSESGATIGSDDQDRALVLQDHEEEMGLRLRRNNMRANQQPRLAA >KQL11143 pep chromosome:Setaria_italica_v2.0:IV:31113801:31116331:1 gene:SETIT_008093mg transcript:KQL11143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPCRGALCLALCLLVLPRQQRSPAAAAQSTNLTAGAALAPPGYITSPSGVFAFGFRALDSDPTQFILATWLRLADGAANGSSSSSPAPPPQSVVWFAKKAGTGATPLATARSALSVTPAGQLALEDGANGSNTVLWSPPVNSSAKRASVLALLDSGDLRLLADGGGVLWRSFEHPRDTLLPDQSVAWDAGATGKLVSKRADAEFTTGRFSLGVQADGNVVLYVDLLVGNDPKNAYWQAYTNGGGGGGGGTVVSFDEPGRLYYTLRNGTVQNLAPPMPNSTVGKYYQLARMDPDGIVRVYVRPKNASGGNASWTISGAFPSNGCSRRTSNLQGMCGPGSYCVETKDRLSCECPSGYTHIDPQHRDTGCAPEFPPPSCGGEDYDDPSAFALVEMPNTTWETSLHYKKLAPATEEQCRDYCLNDCFCAAALITGGTKCVEMAALTNGRQASDAATKALIKVRTTKPPAAAAASGTRAVLHYRMITGCLAFLSLAAIGGLLAQQQRLLGVRAFSWKELYRATNGFEKLLGRGSFGKVYQGELRSPRTTTATQHIAVKRLVASNEYSEREFANEVQSLGQIHHRNLVGMVGYCKEGRHRMLVLEFMPGGSLFKPGAARRPPWRWRAEAALGVARGIEYLHDGCASPIIHCDIKPDNILLDGEGVPRITDFGISKLLGGERVHATVTNVRGTRGYIAPEWLRGEARVDTKADVYSFGVVLLEMICCRRCQEPVPDARGAGDETVTLFGWAGQLVGARRTEMMLGGDDADAGEDLGRVERYARVAFWCMEPNPSLWPTMHQVVQMLEGAVEAEVLPDPPGCYLESSPLIPIAEKKE >KQL11240 pep chromosome:Setaria_italica_v2.0:IV:31947250:31948278:1 gene:SETIT_007830mg transcript:KQL11240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRITSKLHFLAVLLVILLLLPSAAMAAVAKAIDGSKTQRLQLPDDLVGPESVAFDAHGAGPYVSISDGRVLKYGGEGVGWKTFAYSPSYTKNKCDEFSELPAVATESSCGRPLGLRFHNNSGNLYIADAYMGLMRVGPNGGEATVLATEAGGAPLRFTNGVDIDQVTGDVYFTDSSKTYTRAQHQMVTTSGDSTGRIMKYDPRTNKVTVLQSGVTYPNGIAISADRTHLVVALTGPCKLMKYWIRGSKANTSEPFADLPGYPDNVRPDGKGGYWVALHREKYELPFGLDKHLLAIRIGADGEKLQEMKGPKNVRPTEVVERQDGKIYLGSVELSYVGIVGT >KQL11962 pep chromosome:Setaria_italica_v2.0:IV:37850903:37852277:-1 gene:SETIT_006569mg transcript:KQL11962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL11961 pep chromosome:Setaria_italica_v2.0:IV:37850512:37852695:-1 gene:SETIT_006569mg transcript:KQL11961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL11963 pep chromosome:Setaria_italica_v2.0:IV:37850512:37852978:-1 gene:SETIT_006569mg transcript:KQL11963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL11260 pep chromosome:Setaria_italica_v2.0:IV:32058906:32059388:-1 gene:SETIT_007716mg transcript:KQL11260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVERAIMQRQNKVNDISNHLRKLFLSAIYLGLSQYLITQALRAVLVGKSANINAKLSHNCSTNQEVAQYKYTA >KQL11515 pep chromosome:Setaria_italica_v2.0:IV:34382043:34386317:-1 gene:SETIT_006450mg transcript:KQL11515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAKPPSPGSGAAGAAAHIHGHRRWAAPLLASVLLSSLLISASLFFSSSRALLLSFSPLPSAASAEPLFVEAKLRQQMRAEDRPPRGAVPRIAYLVSGSAGDGAALRRTLRALYHPANTYVVHLDLEAPAAERAELAAAIRSDPVYARFRNVKVVTRANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHVLSELPRQLNFIEHTSDIGWKEYQRAKPVIIDPGLYSLQKSDVFWITEKRSVPTAFKLFTGSAWMMLTHQFIEYCIWGWDNLPRTVLMYYANFLSSPEGYFHTVICNVPEFRNTTVNHDLHFISWDNPPKQHPHYLTLDDFDGMVNSNAPFARKFGREDPVLDKIDQELLGRQPDGFVPGGWTDLLNTTEKGGPFTVEHVQDLRPGPGVDRLKKLVTGLLTQEGFDDKHCL >KQL11015 pep chromosome:Setaria_italica_v2.0:IV:29696001:29696378:1 gene:SETIT_009063mg transcript:KQL11015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLPISKRLNQQNMRRTQVQGLSVQGLGTLYHPIQPHMFKTSRRFPMTLFG >KQL12013 pep chromosome:Setaria_italica_v2.0:IV:38182416:38184090:1 gene:SETIT_006548mg transcript:KQL12013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVASNLAPAAVPYGWLSPRVSFSRDAGDMDAVAVSSPVAVAAMAVATPEPAISKDFIDFEFSLGGSATMLPADELFADGKLLPLRKAAAPLPGPEAPPPAQAEAAMPAPAEPIKPLRAAAVTAAADGTDPYVFSPKAPSCSSRWRELLGLKRAAAAQSPSAKPSPSPAAAAARTPAARATNSAAARSLKLLLQRNTGRGSGASASDLASAPLLRDSSDSEASLSLASSRFSLSSSSSSSGHDHDDVPRLSLDSAAAADPNPPRLRLVRSSNHRHSTSGSTRAGRSPARRRPSPPPPPRCLSVDSPRMNSSGKIVFQGLERSSSSPCSFHAASKSRSRAVDRSYSSGVRVAPVVLNVPVCSRPVFGFFKDKKDSAAAKDAATAAAAAAARSRSSLGRKAQGWSGELPRSSG >KQL10122 pep chromosome:Setaria_italica_v2.0:IV:9909048:9911024:1 gene:SETIT_008792mg transcript:KQL10122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASDAKKRRPDAYESQDPKQIIGPSKDQIQHPALLPPTTSESEETQIQESPPTRLLEGEEGINLINCLPESIVGEIISLLPTKDARLISKIIDNHKSSVRHFAVSVLLLHRRRQTLNRWLLFSALNNLQELEFDASGLIYTEIRRPLLSHHVFCFSSTVCITTISECQMPDPVESFHFPQLAQLGLESVMISNDSLDNLITGCPILESLLLKQCYGLMACIRINSSSLKSIGFSPRCTKLVIEDAPMLERLLQLENSEVEIVMVISAPKLETKLDVVNYPKVGCCSVKILAISVCNLSLDMVISLMRYFPCMEKLYIELSDFPKGNNVWPREHHHGLIRCLDINLKKVVLKNYRGTESHSIAYNDKKQLIAMQQRLLELKKKASRGAHFYFTASSCHHWLPHIKHVHDLAKGDPFRCTFGMPLNSLISSK >KQL12248 pep chromosome:Setaria_italica_v2.0:IV:39643775:39648741:-1 gene:SETIT_005759mg transcript:KQL12248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPSSTTASHLLSPRPARHRAAPAATMPPPLPLPVLLLFLLLPASASASPERDAYALARLKAALVPSTTSSLPTPRALADWDPAASPPAHCAFSGVTCDPATSRVVAINLTAVPLHGGTLPPEVALLDALANLTVAACSLPGRVPPSLASMPALRHLNLSNNNLTGTFPAPAAPSSSDEQPYFPVLELIDMYNNNLSGPLPPFGPRHAGLRYLHLGGNYFNGSIPDSFGDLAALQYLGLNGNWLTGRVPPSLGRLTRLREMYIGYYNQYTGGVPPEFGDLRSLVRLDISSCNLTGPVPPELARLTQLDTLFLSINQLTGEIPPELGDLTSLQSLDLSINELSGEIPSSFANLAGSLKLLNLFRNHLRGEIPEFLGGFLHLEVLQVWDNNLTGHLPAALGRNGRLKNLDVTGNHLTGTIPPDLCAGRKLEMLVLMENGFFGNIPDSLGDCKTLKRVRLGKNFLTGPVPAGLFYLPKADMVELTDNLLTGELPDLIGGDKMTMLMLGNNGIGGRIPPSIGNLPALQTLSLESNNFSGPLPPEIGKLRNLTRLNVSGNALTGGIPLELMGCGSIGAIDLSRNDLTGEIPDAITSLKILCTLNVSRNRLSGELPPAMPNMTSLTTLDVSYNLLSGPVPMQGQFLVFNESSFAGNPGLCGAPFADACPPSAGGSGSPFSLRRWDSKKMLVWLVVVFAFLIMAFLGARKGCEAWREAARRRSGAWKMTAFQKLDFSADDVVECLREDNIIGKGGAGIVYHGVTHGGTELAIKRLVGRGCGDHDRGFTAEVTTLGRIRHRNIVRLLGFVSNREANLLLYEYMPNGSLGEMLHGGKGGHLGWEARARVAAEAACGLCYLHHDCAPRIIHRDVKSNNILLDSAFEAHVADFGLAKFLGGGGGGATSECMSAIAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGSFGDGVDIVHWVRKVTAELPDTSDAAAVLAVADRRLAPEPVALVVDLYKVAMACVEEASTARPTMREVVHMLSNSAAAQLQPGDELHAF >KQL10009 pep chromosome:Setaria_italica_v2.0:IV:8405723:8412118:-1 gene:SETIT_006079mg transcript:KQL10009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKPNGVAKATAASAGAAAGPSKANPSTPGSAKTSKFKKRRVRANHDKAAAAAAAVDEAASVGAGTAGGDASASAVLPQPSHVAEASPGAQMPKSATVAEASPVAQTPKPASDAEGSEPAPAPATAEASASGAKLKPKPADADAVAASAASKGKGVGADNRGGDGRMKSRRERARNGKGKEVDEDGGSKGKGRKAVGKKEERGDIAGFIFMCNAKTKQECYKNLLFGLPSGKIGMVKKIRPGARLFLYDFDLKLLYGVYKAASHGGLNLVPEAFDGKFPAQVKFKIDKDCLPLTESSIKQAIKENYSARSKFDPELTARQVHRLLALFKPANAPQPAPNNHREGRRHREERRHREERRQPYHFEERWPSLPIEEVCQPRFDEERRPAVIRVPLEDPYRAPRFAPLPVESELGHSLARGDHNRYYQPALAPEPRHIPLTLEHHHVPSVPELRHVPAAYYHTLAPSSDSYYRSAENLAPERYADRTVAEITTRDTIIPRDYTRVPGEISSRTDRLEDLYHTRSIAARGAHVEELYPPGEFAARADRVGITTRADHLEDLYRSDRLATRAVDPLPRSTYYTAAYETHPAYAETSARPVSARANGPGVPVSSLYSFGGGPAYR >KQL10010 pep chromosome:Setaria_italica_v2.0:IV:8406081:8411506:-1 gene:SETIT_006079mg transcript:KQL10010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKPNGVAKATAASAGAAAGPSKANPSTPGSAKTSKFKKRRVRANHDKAAAAAAAVDEAASVGAGTAGGDASASAVLPQPSHVAEASPGAQMPKSATVAEASPVAQTPKPASDAEGSEPAPAPATAEASASGAKLKPKPADADAVAASAASKGKGVGADNRGGDGRMKSRRERARNGKGKEVDEDGGSKGKGRKAVGKKEERGDIAGFIFMCNAKTKQECYKNLLFGLPSGKIGMVKKIRPGARLFLYDFDLKLLYGVYKAASHGGLNLVPEAFDGKFPAQVKFKIDKDCLPLTESSIKQAIKENYSARSKFDPELTARQVHRLLALFKPANAPQPAPNNHREGRRHREERRHREERRQPYHFEERWPSLPIEEVCQPRFDEERRPAVIRVPLEDPYRAPRFAPLPVESELGHSLARGDHNRYYQPALAPEPRHIPLTLEHHHVPSVPELRHVPAAYYHTLAPSSDSYYRSAENLAPERYADRTVAEITTRDTIIPRDYTRVPGEISSRTDRLEDLYHTRSIAARGAHVEELYPPGEFAARADRVGITTRADHLEDLYRSDRLATRAVDPLPRSTYYTAAYETHPAYAETSARPVSARANGPGVPVSSLYSFGGGPAYR >KQL10076 pep chromosome:Setaria_italica_v2.0:IV:9313146:9314585:-1 gene:SETIT_008303mg transcript:KQL10076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAYQPLDPYNQKPSSKKYGCFLSKPVCAWLACGFLFLALLHLLCCSPDGTQQALFSPLRQYINNTYSFVSSVPGGGRSCNYSVGNWVWAPGHARRYNATECNAKESHDCIRNGRPDTRYLDWRWQPAGGCPLPAFDAGAFLSAVHGKHVAFIGDSMARNQAQSLICLLTAAFPYRLLYRDVGEVRKYNFWRYVFPTHDVKVSYYWNPFLVKATGKSVDDTIRENHVHLDTPGDRWAADADTFDVVVLAAAHWLLNGAIYYNNSEVIGAHNPPPELNTTGLGYAWPLHMAYRTSVERLRSSAAGRPRTLVLATFSMSHFEGKPTDDPTACTRTEPYKDGEKDNEWVFREVRDIVYDEAEAARARSGEDNSSLRIEVLDVSKLASLRPDGHPGLYMRPNPLANGMPEKMYSDCLHFCLPGPVDTFNEILLQILRKKR >KQL10666 pep chromosome:Setaria_italica_v2.0:IV:22724905:22728719:-1 gene:SETIT_006400mg transcript:KQL10666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPVRCADADECGGGAGMEMEEIGPVSDLDFDFTVDDIDFGDFFLRLEDGDALPDLEVDPAEIFTDFEAIATGSDGVMDQEVPSVQPLTDAVHLDALDPCSVVLGEDNTTRADVEEGKGECNHAEEVVPGNGDFGGGGGTVLAEEKSPSSTTSSSQEAESRHKSSSKHSHGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMLAREAEAASWTQRRQMYAAGGASTAVKRPDSNAWTVPTIGFPPPPPPPPPPHPMQHFGRPLHVWGHPTPGVESPRVPMWPRHLVPRAPTPPWAPPPPSDPAFWHHPYMRGPAQMPGQMAPCMAVPMPAARFPAPPVRGVLPCPPPMYRPLVPPVLANKSQQDAQLQLQTQPVSVIALTCFSGKIKTKLSYLRILWHSQFGASFSL >KQL10665 pep chromosome:Setaria_italica_v2.0:IV:22724471:22728880:-1 gene:SETIT_006400mg transcript:KQL10665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVSPVRCADADECGGGAGMEMEEIGPVSDLDFDFTVDDIDFGDFFLRLEDGDALPDLEVDPAEIFTDFEAIATGSDGVMDQEVPSVQPLTDAVHLDALDPCSVVLGEDNTTRADVEEGKGECNHAEEVVPGNGDFGGGGGTVLAEEKSPSSTTSSSQEAESRHKSSSKHSHGKKKAKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIDSLTRHNIASHLQKYRSHRKHMLAREAEAASWTQRRQMYAAGGASTAVKRPDSNAWTVPTIGFPPPPPPPPPPHPMQHFGRPLHVWGHPTPGVESPRVPMWPRHLVPRAPTPPWAPPPPSDPAFWHHPYMRGPAQMPGQMAPCMAVPMPAARFPAPPVRGVLPCPPPMYRPLVPPVLANKSQQDAQLQLQTQPSSESIDAAIGDVLSKPWLPLPLGLKPPSVDSVMDELQRQGVADVPPACG >KQL11132 pep chromosome:Setaria_italica_v2.0:IV:31034436:31036353:1 gene:SETIT_006690mg transcript:KQL11132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHKYWGVGGRRCGSCEAAPAAVHCRSCPAGGAFLCTACDARPGHARLAHERVWMCEVCELAPAAVTCKADAAVLCAACDADIHEANPLARRHVRVPVAPIGSEAAAAAVEAMLFGTAEAAASEADEPHNATAAAAAGHQHQHHHQHHALNLNVEAKDMKLDYLFSDLDPYLSVEIPRFQHADSVVPNGVGAGAGGAIELDFTCGIGVKPSSYSSYTATSLAHSGSSSEVGVVPEAFCGGGGGSFELDFTRPKPQAYMPYTATPQSHSVSSVDVEVVPERGDMAAARPVPLMGESREARLMRYREKRKNRRFEKTIRYASRKAYAETRPRIKGRFAKRADHDADADDAEAEAAVPSSSYVLDFGYGVVPSF >KQL11131 pep chromosome:Setaria_italica_v2.0:IV:31034649:31035671:1 gene:SETIT_006690mg transcript:KQL11131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHKYWGVGGRRCGSCEAAPAAVHCRSCPAGGAFLCTACDARPGHARLAHERVWMCEVCELAPAAVTCKADAAVLCAACDADIHEANPLARRHVRVPVAPIGSEAAAAAVEAMLFGTAEAAASEADEPHNATAAAAAGHQHQHHHQHHALNLNVEAKDMKLDYLFSDLDPYLSVEIPRFQHADSVVPNGVGAGAGGAIELDFTCGIGVKPSSYSSYTATSLAHSVSSFVFPCFCLRTHKHVLETRADESCRYLDALAGLIVGGRRGARGLLRRWRRELRARLHPAQAPSLHAVHRDSSESQRVVG >KQL11130 pep chromosome:Setaria_italica_v2.0:IV:31034436:31036353:1 gene:SETIT_006690mg transcript:KQL11130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHKYWGVGGRRCGSCEAAPAAVHCRSCPAGGAFLCTACDARPGHARLAHERVWMCEVCELAPAAVTCKADAAVLCAACDADIHEANPLARRHVRVPVAPIGSEAAAAAVEAMLFGTAEAAASEADEPHNATAAAAAGHQHQHHHQHHALNLNVEAKDMKLDYLFSDLDPYLSVEIPRFQHADSVVPNGVGAGAGGAIELDFTCGIGVKPSSYSSYTATSLAHSGSSSEAAVPSSSYVLDFGYGVVPSF >KQL10120 pep chromosome:Setaria_italica_v2.0:IV:9823359:9825002:-1 gene:SETIT_006743mg transcript:KQL10120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMERDFHMVEGDGETSYTTNSRLQQKALFETKSVLQEAVRQVCSALLPPNLVVCDLGCGPGDNTLIFLSEVIKASSSHNVPEIQFFLNDLPGNDFSHVFRSAERFKSSVTAYHKGETRLPFHIAGLSGSYYTRLFPSQSVHLFHSSYSLHWRSQLPDGLDGNKRNIYIAKATPLSVVKLYQEQFQKDLMLFLELRYDELVVGGQMVLTFLGRKEEDVYSGNLNYLYGLLAQSLQSLVEKGLVEEDKLNSFNLPIYGASIDEVKAAIKQTGLFDFNEFKLFESNWDPYDDSEDDNVQDNIQSGVNVAKCIRAVMETLFVSHFGESILDALFKEYASKVAEYLERDKAKYSVIVLSLQRR >KQL09215 pep chromosome:Setaria_italica_v2.0:IV:1910791:1912554:1 gene:SETIT_006229mg transcript:KQL09215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVAVEGQERHYGGRITAFVVLSCMTAGMGGVIFGYDIGIAGGVSSMEPFLRKFFPDVYRRMRGDTRVSNYCKFDSQLLTAFTSSLYVAGLLTTFLASRVTAGRGRRASMGLGGAAFLAGAAVGGASVNIYMVILGRVLLGVGLGFANQAVPLYLSEMAPARLRGAFSNGFQLSVGIGALAANVINFGAEKIRGGWGWRVSLSLAAVPAGLLTLGALFLPETPNSLAQRGADRRDVARLLQRIRGAGVDVGDELEDIVAANANAAGEGDASGLRRLLFERRYRPQLVMAVAIPFFQQVTGINAIAFYAPVLLRTIGMGESASLLSAVVTGVVGVGATLASMLAVDRFGRRTLFLAGGAQMLASQVLIGAIMAAELRDAGGVGKGWAGVLILLIAVYVAGFGWSWGPLGWLVPSEIFPLEVRAAGQGVTVAVSFAFTVFVAQAFLSMLCHMRAGIFFFFAAWLAAMTAFVYLLLPETKGVPIEQVARVWREHWFWSRVVGHEPDPDDAKIVKRASAQKPEESEFSKGGS >KQL09526 pep chromosome:Setaria_italica_v2.0:IV:4039760:4041174:-1 gene:SETIT_006744mg transcript:KQL09526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANESWKIPMLVQELAAKVQEPPSRYVQPEQQHPVSLVVGAEKPEAIPVIDLSRLLAADGADEEGSKLRLALQSWGLFLIDNHGIETSLMDDLINASREFFHLPLEEKQKCSNLIDGKHYQVEGYGNDPVASKDQILDWLDRLHLRVEPEDERNLVHWPEHPNSFRALLHKYTLNCKRIKDRILWAMAKSLGLDEDYIVAQFSGKAPSFARFNYYPPCPRPDIVFGAKPHSDGGVLTILLMDKDVGGLQVLRDGVWHNVPTSPHRLLVNIGDFSEIMSNGIFKSPVHRVVTNMGKERISLAMFHGLDPEKEIEPATALLHEKQLARYRKIKAKVYLAGFYEHFCRGTRFIDSEDLREVA >KQL09527 pep chromosome:Setaria_italica_v2.0:IV:4039617:4042409:-1 gene:SETIT_006744mg transcript:KQL09527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANESWKIPMLVQELAAKVQEPPSRYVQPEQQHPVSLVVGAEKPEAIPVIDLSRLLAADGADEEGSKLRLALQSWGLFLIDNHGIETSLMDDLINASREFFHLPLEEKQKCSNLIDGKHYQVEGYGNDPVASKDQILDWLDRLHLRVEPEDERNLVHWPEHPNSFRALLHKYTLNCKRIKDRILWAMAKSLGLDEDYIVAQFSGKAPSFARFNYYPPCPRPDIVFGAKPHSDGGVLTILLMDKDVGGLQVLRDGVWHNVPTSPHRLLVNIGDFSEIMSNGIFKSPVHRVVTNMGKERISLAMFHGLDPEKEIEPATALLHEKQLARYRKIKAKVYLAGFYEHFCRGTRFIDSEDLREVA >KQL09528 pep chromosome:Setaria_italica_v2.0:IV:4039617:4042415:-1 gene:SETIT_006744mg transcript:KQL09528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANESWKIPMLVQELAAKVQEPPSRYVQPEQQHPVSLVVGAEKPEAIPVIDLSRLLAADGADEEGSKLRLALQSWGLFLIDNHGIETSLMDDLINASREFFHLPLEEKQKCSNLIDGKHYQVEGYGNDPVASKDQILDWLDRLHLRVEPEDERNLVHWPEHPNSFRALLHKYTLNCKRIKDRILWAMAKSLGLDEDYIVAQFSGKAPSFARFNYYPPCPRPDIVFGAKPHSDGGVLTILLMDKDVGGLQVLRDGVWHNVPTSPHRLLVNIGDFSEIMSNGIFKSPVHRVVTNMGKERISLAMFHGLDPEKEIEPATALLHEKQLARYRKIKAKVYLAGFYEHFCRGTRFIDSEDLREVA >KQL11735 pep chromosome:Setaria_italica_v2.0:IV:36430505:36435065:1 gene:SETIT_006435mg transcript:KQL11735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAGSGGGNHRLIGLRIEEYGKYISDSTCCPQCGHKIDRKLDWVGLPAGVKFDPTDQELIEHLQAKVRPGSTAAPSHPLIDEFIPTIEGEDGICYTHPEKLPGLTKDGLSRHFFHRPSKAYTTGTRKRRKIQPPAAEASSSSSPAAQQQQQRSETRWHKTGKTRPVVVAGRQRGCKKILVLYTNFGKHRRPDKTNWVMHQYHLGDNEEEREGELVVSKIFYQTQPRQCGVAAEPAAAAASSDTVDGAAGAEQVAEAAVAPPDVGGAFHGATGIDEFNFTQFRSSFEEVDVGTSVQVSARADEEVHTGHLHLHQEHDLHRRQYTNQEQQRLAAAAAAFQISTPTEPITTMITSSPMVHHGSVILQQQETYDHGASYHQQQQEDEQPHQPSNFDGRSTSGLEEVIMGCTSRRSRRGEASGSGGNKESSNWQYPSFWPPGSQDHHG >KQL09240 pep chromosome:Setaria_italica_v2.0:IV:2061353:2062406:-1 gene:SETIT_007599mg transcript:KQL09240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPVYRRVLRAVQKHVGGDASKQHFRDFIAAEFRAPAGTEADARARLRLAGDYAYLLTSVHHHKDLLFSYNIAVDRSDEMKKILNKSAASVGLQLPDVYQP >KQL10821 pep chromosome:Setaria_italica_v2.0:IV:26301512:26302027:-1 gene:SETIT_008815mg transcript:KQL10821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTDHEAAGYSSGARLLVWDCGSALYDSYELAAFTRQLDAAVLSCGRSLSMPHLTAATPPVPADAQLQGTGRRRRRRLPALLRRLFSKVLRLRLSSPGVARRAPYRMRDDGAGSPWSGALTSIPEEQSSSSPEIGSSPMEPGTRALRKTQSERFIGGKTAPSVLQFDVVL >KQL11777 pep chromosome:Setaria_italica_v2.0:IV:36727368:36729800:1 gene:SETIT_006458mg transcript:KQL11777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSAISLMRDRAKVGVLKRLTLSSSKTAGRNSSGRITSFHRGGGAKRLQRKVDVKRGTSSLGIVERIEYDPNRSSSIALVRWVQGVHFRRRKIPQELSTNSHTPGSTTADVSSRFSLAALSGREHKGKEAASALYSSLGNGDIPSVNSGPSLSLPRIALAGAKPAFFTQVRGNEEGKQTFSLSEIQKWTTDDVLWAQRMKRQAALSWQNDLKKKPLLLTQANRFSSLAAKSVGTSKGPKGKVDCVPVSYVLASHQCLPGSTVMNYDSSKPSKSSASSPSSSANQYDIIDLNSKVGNCIPLANARIGTWVHDIECRPGQGGKMVRAAGTYAKVVQEPGAQCVLRLPSGAEKIVDSKCRATIGIVSNPSHGTRKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRSPSVASRKA >KQL11778 pep chromosome:Setaria_italica_v2.0:IV:36727368:36729800:1 gene:SETIT_006458mg transcript:KQL11778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSAISLMRDRAKVGVLKRLTLSSSKTAGRNSSGRITSFHRGGGAKRLQRKVDVKRGTSSLGIVERIEYDPNRSSSIALVRWVQGVHFRRRKIPQELSTNSHTPGSTTADVSSRFSLAALSGREHKGKEAASALYSSLGNGDIPSVNSGPSLSLPRIALAGAKPAFFTQVRGNEEGKQTFSLSEIQKWTTDDVLWAQRMKRQAALSWQNDLKKKPLLLTQANRFSSLAAKSVGTSKGPKGKVDCVPVSYVLASHQCLPGSTVMNYDSSKPSKSSASSPSSSANQYDIIDLNSKVGNCIPLANARIGTWVHDIECRPGQGGKMVRAAGTYAKVVQEPGAQCVLRLPSGAEKIVDSKCRATIGIVSNPSHGTRKLRKAGHSRWLGRRPVVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGYRSPSVASRKA >KQL12328 pep chromosome:Setaria_italica_v2.0:IV:40039830:40043232:1 gene:SETIT_007486mg transcript:KQL12328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTACFVIVSKNDIPIYEAEVGSAPKKEDLSYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYVTAGHTRFMLLHDSRSEDGIKSFFQEVHELYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >KQL11076 pep chromosome:Setaria_italica_v2.0:IV:30538039:30543661:1 gene:SETIT_006238mg transcript:KQL11076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGERITTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAIKSGDVYIPRGVSVPALDKDQLWEFQPKKLGVGDAITGGDLYATVFENTLMQHHVALPPGSMGKISYIAPSGQYSLQDTVLELEFQGIKKQFTMLQTWPVRSPRPVASKVAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSEAVVYVGCGERGNEMAEVLMDFPQLTMTLDDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPDRTGSVTIVGAVSPPGGDSQILLPLQPLVFWGLDKKLAQRKHFPSVNWLISYSKYSKALESFYEKFDPDFIDIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTP >KQL09129 pep chromosome:Setaria_italica_v2.0:IV:1393171:1393823:1 gene:SETIT_007524mg transcript:KQL09129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKSIASPALVFLAMMALMLAACAQALAPAPAPAPAPSSQGSCPPGFKSYLDMTDFMRGAGRVAVTFVNPNLMPVVQSILTLIPHTGLKLCVCFESCHLPPHPMCVILSMEQQAYVCAAY >KQL09505 pep chromosome:Setaria_italica_v2.0:IV:3925255:3931008:-1 gene:SETIT_006193mg transcript:KQL09505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITATTPDILGERQSGQDVRTQNVMACGAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVKNKIHPTSIISGYRLAMREACKYVEEKLSVKVDKLGKDSLINSAKTSMSSKLITTDSDFFAAMVVEAVQGVKITNAKGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKATGATMVTTFADMEGEETFDPSFLGHADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIERSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKDEGNEEE >KQL10267 pep chromosome:Setaria_italica_v2.0:IV:12198670:12203009:-1 gene:SETIT_008696mg transcript:KQL10267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMDSYNNIESSIADGLDCRPLKKAKCEQLNDCDLSPSPPSSTSLASSCDNIESSNVYDLDAQPLKEEKCEQMNDLDISLSPPSATTLPSSSPEKDPYIIDSIVAKKVSCSDWIDFESDNDRRILLDDEKHQNHHEQFKVDQTYDYLPQDYEMTDLDYCALITIETSLESDILVKIDDIFVTQSQLSCLLDPKKFLNDDVISAYICCIKYQAHLESRNDVKFYFENPFISVMLKRDGKLGVGQDGNHITKIVRNYLKHEMILIPINIKETHWYLAIINIQKCEIQVLDSLCWDSNRGDLADTLQGLQFHLDIIGRQQNLISHNWKDLQVISWIITEQLQEPMQKDGYKLAGILLCWKTNTAQTTPKNISLLGSSDDQKEPKATDSLLEETKYQSLMSILSKISENELVGGLCDYIKSINCPKTLEKVWVRNSKPYSISLTVRKLQEILKEDLPIDRDCLNLMITDFGRHPNFRKKLDVEQLAETVCSWPGVNYSISRCKLILIPIVQFNKTFILFILNQDTRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWSEDVWRQIILSDVPIENRELSGYLVSLFMCIWKDEELRLPILKDGYELRKQFMAQLLTYKENECEDNMPAGVRDFLRCINATQS >KQL09740 pep chromosome:Setaria_italica_v2.0:IV:5600543:5602584:1 gene:SETIT_008908mg transcript:KQL09740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRAQKMKIPVIRMNCLRIRVINQHTSSRMGNLPQTMDETSHQVIYHLVLLSLLQIWDIHAQRTN >KQL09361 pep chromosome:Setaria_italica_v2.0:IV:2680691:2686913:-1 gene:SETIT_005753mg transcript:KQL09361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPGSGGVTPSPAEGDKKPINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMHKELDTIPSYPSLPSKLICKLLSLTLHADSETDEVYAQMTLQPVNKYDRDAMLASELGLKQNKQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFTMQPPAQELIAKDLHDISWKFRHIYRGQPKRHLLTTGWSVFVSTKRLLAGDSVLFIRDEKSQLLLGIRHASRPQPALSSSVLSSDSMHIGILAAAAHAAANSSPFTIFYNPRASPSEFVIPLAKYNKALYTQVSLGMRFRMLFETEDSGVRRYMGTITGIGDLDPIRWKNSHWRNLQVGWDESTASERRTRVSIWEIEPVATPFYICPPPFFRPKLPKQPGMPDDENEVESAFKRAMPWLGDDFALKDVQNALFPGLSLVQWMAMQQNPQMLTAAAPAVQSQYLTSNALGMQDGIGGVNEDPAKRLSMQAQNIGLPNLQAGSKVDHPAITSLAQQQQQQHPILQQQQVQPLQQNSAILQQQQAQLLQQNAIHLQQQQEQLQRQHSQPPQQLKATASLQPMDQHKLKEQQPSGGQAVSQAQLLNQILQPSSSQIQQLGLPKSPTQRPGLPGLTTMGSLPQPQLTQTPQLQQTAEYQQALLQSQQPQLQQLSQSELQLQLLQKIQQQNLLSQLNPQHQSQLIQQLSQKSQEILQQQVLQHQLGGADTMGQLKHLQQTPLNHMTGSMTPQQLVRSHSALAESEEPSSSTAPSGSRVSPINSLSRAQQGSRNLPEIPSTPHIEHLLQEIQSKSDNRIKNDIQGSKETVHAPNRHPASDQLDASSATSFCLDESPREGFSFPPVCLDSTAQVDPRDNFLIAENVDTLMPDALLSRGMSSGKGICNLPTGQRDHRDVENELSSAAFSSQSFGVPDMSFKPGCSSDVAVADGGMASQGLWNSQTQRMRTFTKVQKRGSVGRSIDITRYRGYEDLRHDLACMFGIQGQLEDPYRTDWKLVYVDHENDILLVGDDPWEEFVSCVKSIKILSSAEVQQMSLDGDLGCIPPQGQACSASDDANAWRG >KQL10014 pep chromosome:Setaria_italica_v2.0:IV:8424224:8424686:1 gene:SETIT_008304mg transcript:KQL10014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKYAVSLVADNSKEKVAAKLEFFKRTLGCSESELSIAISKMPRILGISDENLTCKIEFLVNEVGMEPQYILERPVLLGYSLENNRTYFTLANMVDAFILKFIDCHQDSVPGLAAYYAKACAGDVPPEVQLLS >KQL09776 pep chromosome:Setaria_italica_v2.0:IV:5950542:5951945:1 gene:SETIT_008392mg transcript:KQL09776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPVAVAVPFAPLDQSLVPVDSVGALGSKCFLCWWILSAIVSALDSIPLLPKILEIVGLEYTIWFGTRYLPFKENRDELLVKVEDLKRRIVGSGDE >KQL11150 pep chromosome:Setaria_italica_v2.0:IV:31170660:31176850:-1 gene:SETIT_006073mg transcript:KQL11150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPLASWPWASLGSYKYLLYGPLVAKAAHAWRETGSPPLDSWCLHLLLLLALRSLTFQLWFSYGNMLFFTRRRRVVKDGVDFRQIDAEWDWDNLVILQTLIAAMVANSPAFPGVPELRAWDPRGWALALLLHVTVSEPAFYWAHRALHRVPLLSQYHAKHHSSPVTQPFTAGFGTPLEALILTAAMVAPLAGAFTAGAGSVSLVYAHVLLFDYLRCMGYSNVEVISHRAFAAVPALRYLIYTPTYLSLHHREKDCNFCLFMPLFDALGGTINSRSWELQKEVDQGMNDRVPDFVFLAHVVDVVSSMHVPFGFRSCSSLPFSTHLVLLPLWPLAFAFMVLQWFCSKTFTVSFYFLHGRLHQTWSVPRYGFQYFIPSAKKGINRQIELAILRADKMGVKVISLAALNKNEALNGGGTLFVSKHPNLRVRVVHGNTLTAAVILNEIPSNVKEVFLTGATSKLGRAIALYLCRKKIRVLMLTVSTERFLKIQREAPAESQQFLVQVTKYQAAQSCKTWIVGKWLSPREQRWAPAGTHFHQFVVPPIIGFRRDCTYGKLAAMRLPKDVEGLGCCEYTMERGVVHACHAGGVVHCLEGWEHHEVGAIDVDRIDVVWKAALKHGLTPA >KQL11527 pep chromosome:Setaria_italica_v2.0:IV:34476109:34478129:1 gene:SETIT_007724mg transcript:KQL11527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSSSGARVADGGGVSVSEAALPTYDPLSAAGRREAARTRALSRAVHCIPVVLLVCAFLLWLSASSHTHLD >KQL10864 pep chromosome:Setaria_italica_v2.0:IV:27201039:27203739:-1 gene:SETIT_006563mg transcript:KQL10864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGAAATARWACAVLAVLPLLVAAAEARGRYRAVFNFGDSLVDAGNLVTDGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIGKFRQLLEVLFFASLAKWLCSEFDGFWLLCSPAAQELGLPFLPPSKAKNASFARGANFAITGATALDTEFFQKRGLGKTVWNSGSLFTQIQWLRDLKPSLCNSAQECKDFFAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVVQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYTDPKEGHGSRTGCLKRFNTFSWVHNAMLKRALEKLRAKHPGVRIMYGDYFTPVIQFILQPEKFGFYKQLPRACCGAPGRGPYNFNLTAKCGEPGASACADPKTHWSWDGIHLTEAAYGHIARGWLHGPFGDQPIVQSS >KQL10865 pep chromosome:Setaria_italica_v2.0:IV:27200746:27203850:-1 gene:SETIT_006563mg transcript:KQL10865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGAAATARWACAVLAVLPLLVAAAEARGRYRAVFNFGDSLVDAGNLVTDGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIAQELGLPFLPPSKAKNASFARGANFAITGATALDTEFFQKRGLGKTVWNSGSLFTQIQWLRDLKPSLCNSAQECKDFFAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVVQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYTDPKEGHGSRTGCLKRFNTFSWVHNAMLKRALEKLRAKHPGVRIMYGDYFTPVIQFILQPEKFGFYKQLPRACCGAPGRGPYNFNLTAKCGEPGASACADPKTHWSWDGIHLTEAAYGHIARGWLHGPFGDQPIVQSS >KQL10862 pep chromosome:Setaria_italica_v2.0:IV:27200746:27203845:-1 gene:SETIT_006563mg transcript:KQL10862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGAAATARWACAVLAVLPLLVAAAEARGRYRAVFNFGDSLVDAGNLVTDGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIGKFRQLLEVLFFASLAKWLCSEFDGFWLLCSPAAQELGLPFLPPSKAKNASFARGANFAITGATALDTEFFQKRGLGKTVWNSGSLFTQIQWLRDLKPSLCNSAQECKDFFAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVVQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYTDPKEGHGSRTGCLKRFNTFSWVHNAMLKRALEKLRAKHPGVRIMVLQTTTKSMLWCSRQGPLQLQSNSKMR >KQL10863 pep chromosome:Setaria_italica_v2.0:IV:27201039:27203739:-1 gene:SETIT_006563mg transcript:KQL10863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGAAATARWACAVLAVLPLLVAAAEARGRYRAVFNFGDSLVDAGNLVTDGIPDYLATARLPYGQTYFGYPTGRCSDGRLVVDFIGKFRQLLEVLFFASLAKWLCSEFDGFWLLCSPAAQELGLPFLPPSKAKNASFARGANFAITGATALDTEFFQKRGLGKTVWNSGSLFTQIQWLRDLKPSLCNSAQECKDFFAKCLFIVGEFGGNDYNAPLFAGKDLKEAYKLMPHVVQGISDGVEQLIAEGAKDLIVPGVMPSGCFPVYLTMYTDPKEGHGSRTGCLKRFNTFSWVHNAMLKRALEKLRAKHPGVRIMYGFYKQLPRACCGAPGRGPYNFNLTAKCGEPGASACADPKTHWSWDGIHLTEAAYGHIARGWLHGPFGDQPIVQSS >KQL09651 pep chromosome:Setaria_italica_v2.0:IV:4975985:4981597:1 gene:SETIT_006007mg transcript:KQL09651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAAAEADHLAAERAAARFDVEEMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMISRKDLFKDTLRKAAHAWKRIVELRLTEEEAGLLRQYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYKFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFHHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTMVFVRQSIVADASKALSRAVCIAVRYSAVRKQFGSQDGGPETQVLNYRTQQSRLFPLLASAYAFRFVGDWLKWLYTDVTQKLEAKDYSTLQEAHACTAGLKAVTTSATADAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARILMKTVSQLTSGKQPVGTMAYMGKVQYLMQCKCAVNTAEDWLNPAAIQEAFEARALRMAVNCAQNIGQATSQEEGFYERSPDLLEAAAAHIQLIIVTKFIEKVQQDIPGHGVKEQLQNLCNVYALYILHKHLGDFLATGCITPKQGALANEQLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNETVVPDGYHEYLRPLLKQQLRLSRL >KQL09650 pep chromosome:Setaria_italica_v2.0:IV:4976143:4980569:1 gene:SETIT_006007mg transcript:KQL09650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAAAEADHLAAERAAARFDVEEMKVAWAGSRHAVDVADRMARLVASDPVFRKDNRTMISRKDLFKDTLRKAAHAWKRIVELRLTEEEAGLLRQYVDQPGYVDLHWGMFVPAIKGQGTEEQQKKWLPLAYKFQIIGCYAQTELGHGSNVQGLETTATFDPKTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFHHVRIPRDQMLMRLSQVTREGKYVHSDVPKQLLYGTMVFVRQSIVADASKALSRAVCIAVRYSAVRKQFGSQDGGPETQVLNYRTQQSRLFPLLASAYAFRFVGDWLKWLYTDVTQKLEAKDYSTLQEAHACTAGLKAVTTSATADAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARILMKTVSQLTSGKQPVGTMAYMGKVQYLMQCKCAVNTAEDWLNPAAIQEAFEARALRMAVNCAQNIGQATSQEEGSIYISQYHILLFH >KQL09938 pep chromosome:Setaria_italica_v2.0:IV:7587339:7587553:-1 gene:SETIT_007805mg transcript:KQL09938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLQCYSIILITVYVQLLRSKITLYICYYSILYNTGTSRSPFLRESAYGQQL >KQL11001 pep chromosome:Setaria_italica_v2.0:IV:29350618:29350989:1 gene:SETIT_008929mg transcript:KQL11001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCCYLFLYDFPTSFTSMLQTETPIYSIIFIKGKTR >KQL12335 pep chromosome:Setaria_italica_v2.0:IV:40066554:40071465:-1 gene:SETIT_005748mg transcript:KQL12335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDSFVRRCTASLHNFAGQDSCAALGVGDDVRALLATLSRINAIVSHEERRRVLTAKLDAWVAQVKDAMYDIDDVLDVCIIEAGKILADDRPPTPKFRHEIGFSIRDIDLRLREVEEEMPRLPVGSTHYDAKRDWSSHNSVCNNCYDAAKPQAVGSQVHKAVGGLVPRMLREGKKKVDVFAIVGAVGIGKTTLAREIYNDDRMTENFPICVWVKMSKDLSEVAFLKKIITGAGANVGDTENREELLGLLSSALSKRFLIVLDDLDNPGIWDNLLKDPLGDGVARGRILITTRNEEVASSMKAIVHRVDKMDTENAWALLCKQVDPECNSEELAALKDVGIKIAEICDGHPLAIKVIAGVLRSRGNSKAEWEMVLNNDSWSMPPIVPEVPQALYVSYADLPSELKECFLRCSLYPEECSIQRFDLVRRWIAEGIVNAGDNKLLEESAEEHYVELISRNLLQPDPDNVERCWITHDLLRSLARFLIADESILIHGQQKLSTSLSKPRHLTFCNMENCSEDPISLKQQISIRSLMLFKSPNVRAIDPLIESAPLLRVLDLSKTAVEALPRSIGNLVHLRYLNLDGTQVRDMPSSIGFLINLQTLSLQGCQRLQRLPWSIRALLELRCLRLEGTSLSYVPKGVGELKHLNHLSGLIIGHDNNGPEGCDLDDLKALSELRHLHIENLDRATSGANALANKPFLKDLYLSEKAPVMEEQQQEEQESQENKDESVIEEKKEQEVSNGQCSGEESAKASEKIWNELIPPKSIEKLVIKNYRGVRFPNWIKGPKLGTSFPSLVLLDLENCMSCTKLPSLGLLDQLKSLQISNVDSVVTIGSEFLGTTVLSPATSFPKLEVLKLRNMRRLEEWSLAVEESQVLLPCLKSLHIQSCPKLKALPEGLKHVALRELHVEGAYSLTEIKDLPKLSDELHLKDNKALQRISNLPMLHSLIIDDCSKLKHVAGLDALQYLRLVFPPSTETFYFEELIIFWSIAFPRWLELLIQKCRGLRRFELQCSLSLLRSCLDGGKNWHIVQQIPEVRIISCDGKRYIRYNKSRRIYETNAQSEE >KQL09095 pep chromosome:Setaria_italica_v2.0:IV:1183776:1186163:1 gene:SETIT_007446mg transcript:KQL09095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSRALGRRLFSSAAAASESAAAASTSAVRKAQNPLEEFFEVERSTEEDKPPPHYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMTQRQMLYAENLRFPNPERISKVKKSMCRIKHVLTERAIAEPDPRRSAEMKRMINTL >KQL10259 pep chromosome:Setaria_italica_v2.0:IV:12088314:12091209:1 gene:SETIT_006475mg transcript:KQL10259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPKLPSPSRPWRPCLLPGAPPPRPLPCPRISARTLPGRRHPLSATASSSGDSPLPPAKPSASKKSPDEIGRWKAVPPGMRESVAVHESEDPSTPPAPRTALWSARRRARAAWRKMASWVPRKARSVMLLNLVTLIFASNISVVKEAETLLDPDLFNMLRFTIAAIPFVPLLLKSLRDMQIFVRGLELGIWVSLAYLAQAIGLVTADAGRTSFISALTVIIVPFLDGLVGAEVPPYTWFGALLSLLGVAMLELSGSPPCVGDLLNLMSSFSFAIHMLRTEHISRNMKKENFLTLVGCEVFVVAIISAATYILKCFIWNVQHWNFKSWPPTELFGMAMSLPWPAILYTGIFSTSFCLWAEVAAMRDVSATETAIIYGLEPVWGAAFAWAMLGERWGMTGFVGGIFIIVGSLMAQIFGSIPDTSGGDTYQLNS >KQL09663 pep chromosome:Setaria_italica_v2.0:IV:5047537:5052826:1 gene:SETIT_006600mg transcript:KQL09663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVCAWLIIPEHGTWRRVAKFVSVYDRTSEPPPQNGQRSPGRGEPSLIFSHRNHRFPSSLPLSLHRRKRATAGTRDPLIIHRRRPQATRIARELATSAPICFPTRPRDPTRRPRSLSPERLHTLQRDQSVPTDRATRRPMEYDFRGRPGSGSYGAPPGAAAPGGGSSLYPRVGQPTHGGGGGGGGSATASPRAAPYHHGPGAGSGSSAPIVTPLAPTSTSSKVGIQVAIKPEFRITPPPQLPPQMVEIPRSTFNFDFEYERRILAEAEKENPNWSKFVVERQAPPPPVPQQARPASSGSGDPVVDKYVSMGLGREAVSFAVLNYGDNPAKMYLSSAGIISVWQLSKFKLQKIIYSEHTSCFFKGEGVCEIVQHPPRDGLHIAKRPRAAGDPRQRP >KQL09662 pep chromosome:Setaria_italica_v2.0:IV:5047537:5052826:1 gene:SETIT_006600mg transcript:KQL09662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVCAWLIIPEHGTWRRVAKFVSVYDRTSEPPPQNGQRSPGRGEPSLIFSHRNHRFPSSLPLSLHRRKRATAGTRDPLIIHRRRPQATRIARELATSAPICFPTRPRDPTRRPRSLSPERLHTLQRDQSVPTDRATRRPMEYDFRGRPGSGSYGAPPGAAAPGGGSSLYPRVGQPTHGGGGGGGGSATASPRAAPYHHGPGAGSGSSAPIVTPLAPTSTSSKVGIQVAIKPEFRITPPPQLPPQMVEIPRSTFNFDFEYERRILAEAEKENPNWSKFVVERQAPPPPVPQQARPASSGSGDPVVDKYVSMGLGREAVSFAVLNYGDNPAKVKEFVKSYNILHEMGFTSPNVPELLAIHDNDPDKVIQRLLSSPS >KQL09970 pep chromosome:Setaria_italica_v2.0:IV:7837607:7838127:-1 gene:SETIT_009021mg transcript:KQL09970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQRSAGIVFFGFSCRRLKHVLSSRVSSSRLQEKEEIYIAHQTTIVYLVYSFVCFWKVR >KQL11786 pep chromosome:Setaria_italica_v2.0:IV:36763098:36766877:1 gene:SETIT_005799mg transcript:KQL11786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALFVVLKKVALSLGEGALTKIASEVVEAAPILTDFEHSVKQIEGELSVMLAFIGQVRAQKAADRAFDAWLDQVRDVAHELEDIIDEYAYLTVQAANTGSFFKRKFHQVRNFAAWQKLPTRISQVEARIRRLAEMRNQYGISVGEIDRSDKLQIPNQLSVSDSAYLTDNSEIVGHADEIGILTQWLLEEKQDRTLIAIIGMGGLGKTTVVSSVYKNQKIRRSFDCHAWVTVSQTYQVEELLREIISQLIEQRASMASGLMTMSRMRLVEKIQSYLRDNKYLIVLDDIWDKDAWLYLNHAFVGNNCGSKVLITTRRKDVSYLAAHNRIIELKTLNYAESWELFCKKAFCASKDNICPMNLRSLAGKIVYKCQGLPLAIVIIGSILSYRELDAQEWSFFYNQLSWQLANNPELSWISSVLNLSLDDLPCHLRSCFLYCSLFPEDHKIKRKLIAKLWIAEGLVEERGDAATMEEVAEHYLVELTHRSLLQVIERNASGRARTFLMHDLVREVTSVTAQKEKFAAIHGTAGVAHVSQKARRLCVQKVVDSQNYLASSHLRSFILFDTVVPSSWIYDVSSHFRLLRVLCLRFTNIEQVPDVVTELYNLRYLDISYTKVKWISPSFRKLVNLQVLDLRFSYVKELPLEITMLTNLRHLHVCVVHDIQERSLNCFSDTKFRGNICGLKNLQALHTVSTNKDLVLQLGNLTMMRSLSVMKVRQSYIAELWNSLTKMPNLSRLLLFASDMDEILNLKMLRPLPDLKLLWLAGKLDGGTVPSLFSKFEKLTLLKMDWTGLKKDPIRSFSHMSTLVNLGLRGAYGGEHLSFCAGWFPKLKYLQLADMEHLSCILMEDGTMIGLHHLELIGLRNIRAVPKGIKYIRTLHQMFLTDMPMEFVESLRGSASHIVQHVTNVHIFDSSDSEAVNNFIFWPHLSKKYGSGAAKYDPTAE >KQL11857 pep chromosome:Setaria_italica_v2.0:IV:37203925:37205535:-1 gene:SETIT_006328mg transcript:KQL11857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATPAMLAAAVAILAVVASLPLVLLRLLSASAGGKKTQPPLPPGSFSLPFIGQTLSLVRALRANTADDWLRRCVAAYGPVSRLSLFGCPTAFLVGSAANKFIFSSAAVTAKTPESLARMVGRRTIRDVVGDEHRRVRAMMVQFLRVDAVKRYVAGMDGEVRRHLDAEWRGRGAVAVMPSMKLLTFDIMSTTIFGLGRDAAVRRELWTEFQQLLRGIWAVPVNLPFTSYSRCLAASRRGRRAVAGVIQERRARLERGESSPSDDVVTLMLVEGLHDEEIIDNVMFLMVAAHDTTAALLTFLIRQLEFDKDTYEKVVQEQEEIARSKAPGEALSWEDLTRMRYTWAAAMETLRMVPPVFSMMRKTVADVEYGGYLIPKGWQVIHAANMTQWDPAIFPEPGRFEPARFESPAAAVPPFAFVPFGGGARVCPGNEFARVETLVTVHYIVTRFRWKLAAGCDRNFSRFPLPYPSQGLLIDIEPIQK >KQL09139 pep chromosome:Setaria_italica_v2.0:IV:1474463:1476167:-1 gene:SETIT_006506mg transcript:KQL09139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLVLAAAFAVLAPALAAAGAPALGINYGQVADNLPPPQAAAVLLRALNATKVKLYDADARVLSAFAGSGADFTIGVPDRLVPRFATDPSAASAWVRANILPHVPATSITAVTVGNEVLTGTDTTMLRSLLPAMEALHAALAACNLTSRVAVTTAHSLGVLSSSFPPSAAAFRRDVLPYMSPLLGFLAKNGAPFLINAYPYFAYKADPDGVDLSYALFEPNAGVSDAATGLRYGNMLHAQVDAVRAAICRANYGKALEIRVSETGWPSQGDDDEAGATPENAARYNGNLMRMVAEGKGTPAAPGEALQVYVFALFNEDQKPGPASERHYGLFKPDGTPAYDVGVKAPTISGWKGSGGGSGNGTGGGAGLVVAQGPGGADGVGPGTGFYTVSAASANKVKRRRRCVESLLVAAVLAMVSGLCWS >KQL10583 pep chromosome:Setaria_italica_v2.0:IV:19294720:19298608:1 gene:SETIT_006826mg transcript:KQL10583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGDDSMALVPARPDSSAVETPRTRTRRQKWDVEYARYFGTPRRDPSAPPPPGLRHITRGIHRHQGTWLPASSPAALCVSRPTLSSAVPVLTVSIGDVVFEEHFVSILNFSWPQVMCVTQCPIRGSRVVFMSFCDKSKQKFAVRFPQLCDAESFLNCVKECPCETMDIIPSGSDYVCEDSSASEYIASNGLHHRPDDASSFEEQASDHMIEAPTMSYHEEWDLPVLEPLSANNTNNSYSGFPPSFSQMLTNFSTENEQDAEESYPVGTTNHASQEVYALDTSHDDNVSGVAVAPEETTADKGMDVGEGIDTSILTGDIMTRVKTYMADDSFNGMFSLPW >KQL11988 pep chromosome:Setaria_italica_v2.0:IV:38009365:38020200:1 gene:SETIT_007945mg transcript:KQL11988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACACAIELLDLLEQFELATWKYAKVNEAMTHCTIGTELKPVGEEPISIGFEKTFAFTGQMGPVYVFSDALSSEQASDGRSLFSVSSALDNADKSTFEAAIMGGTKLCSRHLPQDIIYCVGGVSVFFPLFTQFFDAAIDVVQSCHTPVGNDKLAAEVIELVATVLDGNVSNQQQMYLLSGLSILGFLLQSATPQLLTTKTLSALKYMFDILRNCGMSKILLKDAISQIYLNPQIWVYASYEVQRDLYMFVIKYFETDGRLLPLLCQLTWIIDIVCRYYWEKADSRHVAASKPLLHPVTKEVIGERPKIVEIRKLRLLFLSLAEMSLKLKSSPDDIRALVAFFERSQDIACIRDMLDTIIPALSQGSVLSSFVENVNCLGGCCIFINLLKSSFFREFEPVRLLGLQLLGKLLAGIPSEKKGMKLFPLPLVQSRSISENLTKEITAAPQLFFYAISERLFKFPLSDNLCAALFSVLGGTTPQQVLQENSQSDPSRDKNCNLSSSAPFSLPQILVCIFRYMHSCQDSSARRRILNDLLGLLDSNPSNIEALMEHSWNSWLESSTKLDVFKDYKSVSKGELDDGDTDEISLVRNLYSLVLSYYLRSVRGGWHQLDDTTNFFLLKLDQGQLSSFDLLRDILDDIAGSLLQKSVKDNIFLFQPCCDNVLYFLNLIQELLVNQMGIKLLFPSSNLSEESSHDNMWKEDIKSIVNDILNTESNGQYTRFSDGKKVSDDWWSFFDKVWSIICNLNRKGPSKLLQKDPNVDVASLGLMESVNVPTPEKAAVVVSEGIGTALGVKTNRFTEKTIMSREEIIPRVFFHLVILYLCKAGSENASKCVLQFMSLLPILLISEDDQSKNKLHFLIWSLLIVRSQYGQLDDGARFHVCSHLILETIIYGKSMLVTNILGRDDSMEVNKNKETGFILSFIQKDRIFAAAADEVKHMKAVQADRLKQVQELQFKLNESSRKETRLVQAIEDEIHFTVTAALSADDGRKAASQLAFREDQQMITDKWIHISRALMDERGPWSANPFPNDVVTHWKLDKTEDRWRRRFKLKRNYKFDERLCQPSQSRNESTCPSADQPYISAKIPEKMKRFLLKGVRGITEDSGYEPSEDTSDASESSQSNPLESQNQNNAADSSDYHSTVHDKKEPSSTNGDNDYTKVLCSVRCVLVTPKRKLAGYLDITRTVMHFSFEFLVEGTGGSSVFSKFKDKKDSDCKNELGGVDRLDGCRDGMIETNGVLMQNQSNKIKRHRRWNITKIKGVHWTRYLLQYTAMEIFFDDSSAPIFLNFSSQKDTKNAGTLLVSLRNEALFPKGSIKDKNSIISFVDRRVALEMAENAREIWKRREISNFEYLVILNTLAGRSYNDLTQYPIFPWVLADYTSEKLDFNKSSTFRDLSKPVGALDENRFKGGKFDHADRLFHSIDSAYRNSLSSTSDVKELIPEFFYMPEFLENSNSYHLGVKQDGEPIGNVALPPWAKGSPDEFIHINREALESEYVSSNLHHWIDLIFGYKQRGQPAVEAANIFYYVTYEGAVDLENMDDMLQKSAIEDQIANFGQTPIQIFRMKHPRRGPPIPIAHPLYFAPQSIILTSSVSRTISHMCAVLFIGLLENTVVLMNEGLILSVKLWLTTQLQSGGNFTYSGPQEHFFGISSDVISPRKIGTFLAENVEFGRHCLATMQNNGDNYLILCGNWENSFQIISLSDGRIMQSIRQHKDVVGCVAVSSDGNVVATGGYDTTVMIWHAFRGRPIDKKLRTANFELSEKEHVIVERPVHILCGHDDIITCLFVSTELDIVISGSKDGTCIFHTLREGRYVRSIQHPSGVGFSKLVASQHGRVVLYSENDLSLHMYSINGRHIASSATSGRLNCMELSCCGEFIACAGEKGHIVLRSMHSLDTVWRYDGAGKTITSLAVTPEECILAGTKDGSLLVFSIETPLLRRGSMQRNRIKPSTTG >KQL11609 pep chromosome:Setaria_italica_v2.0:IV:35284454:35284740:1 gene:SETIT_007731mg transcript:KQL11609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYIRHYKGKRRLYNLTKLISHPRHSCIFCLKINSASSHYYTNMGLNRRCSLKRGHLNVIICRSSTFRGTH >KQL09165 pep chromosome:Setaria_italica_v2.0:IV:1628844:1629980:1 gene:SETIT_008353mg transcript:KQL09165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSNPGNKWEMILETTARFIVQLLSTVCTTNWTEDDPQHLVLSADATVADLVRAAVAAYVREGRRPPIHQHPGGAADGGDAADGFELHFSKYSLESLRPEEKVLDLGSRNFFLCARRSAASA >KQL09326 pep chromosome:Setaria_italica_v2.0:IV:2545338:2546519:-1 gene:SETIT_009141mg transcript:KQL09326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTTLTILLGFAAVFVCIEPLETAAKIQFQTSASAFARAAASALLPAVLATIGLTLLLLVAHVRALSRANPAAAGAAELDRLAKATLAAATTAALLAGVVMGFVVD >KQL09581 pep chromosome:Setaria_italica_v2.0:IV:4400593:4401908:-1 gene:SETIT_006979mg transcript:KQL09581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSLISCSPSVDLKVEKPDEMVTTGVLASLQNFLRKCLIAVLSYGPMPKHIAFIMDGNRRYAKFRSIQQGAGHRVGFSALIANLLYCYEMGVKYITVYAFSIDNFKRDPSEVQSLMQLMEEKINELLENRSVINKINCKINFWGNLDLLSEPVRVAAQKLMASTAGNTGLVFSVCMPYNSTSEIVNAVNEVCAERREMLLREHAGDSDDQAANNGVNSEISVADLDRHMYSAGCPDPDIVIRTSGETRLSNFLLWQTTFSHLQNPDPLWPEFSFRHLVWAILQYQRAYPYLEQNRNLAKKQL >KQL10991 pep chromosome:Setaria_italica_v2.0:IV:29228131:29229113:1 gene:SETIT_008366mg transcript:KQL10991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGRQWRIRCYPTGIHDLWYPLAGPEGISIILVLMNNTQKELESRNGNLEHGFNCLVGHHEIEHVWDHSGCVNISCIVIVLEDNCIEVPPPSVGRSICTIIATQAHVDVIFDIGGQVIRARWADVAALSRVMEALLYGSRVESKSETVSIKDTNFACFSLLMKYVCEGSLPEEVDLCDTPINTWPVLLSLTDMYCVERLKLHYASKMWDMAYEKTMTTFLRWAFETNCTQLQEKYMSLIALISPDGILTEDFVFVCYHPPVVIKRICMLALKNVE >KQL11706 pep chromosome:Setaria_italica_v2.0:IV:36196519:36197753:-1 gene:SETIT_007790mg transcript:KQL11706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIFLSQSSYLTIFIGSKVEKAGQILLLSWIQNLANKRGDFAGVKGGTQVLKCFHG >KQL10616 pep chromosome:Setaria_italica_v2.0:IV:20296734:20297771:1 gene:SETIT_009016mg transcript:KQL10616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCNHVDGALQSIYAPLWHLVIRWQNSDTGSIKFSSHLQGDSSKN >KQL09083 pep chromosome:Setaria_italica_v2.0:IV:1107135:1107306:1 gene:SETIT_0071102mg transcript:KQL09083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAYWRYAAADPRQQQQQPPSAAAGAGAHPGMGGAPQMAAAGGQQPMKRPRPTDFS >KQL09084 pep chromosome:Setaria_italica_v2.0:IV:1107054:1107306:1 gene:SETIT_0071102mg transcript:KQL09084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAYWRYAAADPRQQQQQPPSAAAGAGAHPGMGGAPQMAAAGGQQPMKRPRPTDFS >KQL09130 pep chromosome:Setaria_italica_v2.0:IV:1403552:1404001:-1 gene:SETIT_007956mg transcript:KQL09130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAVDAATPVAHAFASCDAARFPAPLMAVPTSATAAADKPEAAAWSADLSAALYNVDGWGAPYFFVNDDGDVAVRPHGAATLPGQEIDLAKVVAKAAGPRDGGGLGLPLPLLVRFPDVLRHRVETLNAAFDYAVRSTGYGSRYQGVYP >KQL09723 pep chromosome:Setaria_italica_v2.0:IV:5460417:5462764:-1 gene:SETIT_006188mg transcript:KQL09723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGTVFPAASPEQDEEGAPLVPPPIEEEITEEGGTPCRDGDAAPVVAARPGRSLSSLGAVLRTRGVGSVMVGLVLLALLLGARRWIDLDATSPLRGNTVSIGAGQRRRHHNSTAPLVPIPFTCGNETSPQPPKCPGTPAPPPSPPQPTPGGGPAPSCPDYFRYIHEDLRPWRGAGITREVVERARPHAFFRLVVVGGRAFVETYRRAYQIRDVFTQWGILQLLRRYPGRVPDLDLMFACDDPGQVRAADFPAPAEAPPVFRYCKDASTLDVVFPDWSFWGWPEVGIRPWTQMLEEVGKENERLRWPERQPYAFWKGNPEGYRIRHELLRCNVSNGQEWNARVFTQNWNHAIQNGFKDSRIPKQCIYRYKVYVEGNAWSVSEKYILACDSPVLFITTPFQDILSRGLVAGEHYWPINRDHVCKSIKFAVDWGNEHPAQARLIGEQGSRFVREEMSMDYVYDYMLHLLTEYAKLLRYKPTVPEKAVEICTESMACPAQGLHRECMMDSMERHVAGFDPCTLPPPFTEEEAKEIAEREAEVLRKVEKMEG >KQL10794 pep chromosome:Setaria_italica_v2.0:IV:25845643:25846754:-1 gene:SETIT_008174mg transcript:KQL10794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRIASWLAPLILSAVLLSCTVANGDHRLSVSYYDKSCPSVQSIVQSVMASRVAADQAIAPAVLRLFFHDCFVNGCDASVLLDDDGRHFFESEKAAEPNDSLRGFDVIDEIKSHLEHSCPATVSCADILALASRDAVALLGGPAWNVQLGRKDSRAADKYAAMTELPSPKDNLTALVQLFERYGLDAKDLVALSGAHSVGTARCLHYRERVYGYDGQGGADDIDPSFAEIRRQTCQAGGDDAMAPFDEQTPMRFDNAYYKDLIARRGLLTSDQELYGCGGPLDHLVERYSMDGEAFAKDFAKAMVKMGKIPPPPGKPVEVRLTCSKVNY >KQL10035 pep chromosome:Setaria_italica_v2.0:IV:8774917:8775430:-1 gene:SETIT_009048mg transcript:KQL10035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSPGTLKRSRLLILNKFEMVKYCKPRTSHESSNEDLKPYKNTRRRHARKQGNKTQIRIRDSPRWSHHAIVGILPICQTFCNFATTDTFPRVYFACFKEESQMLRNI >KQL12160 pep chromosome:Setaria_italica_v2.0:IV:39185364:39188753:1 gene:SETIT_006655mg transcript:KQL12160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQGDEAGLEDDPPPEEGLVDPDEGVEADVGGGGDEDEEDVDGLASFLESEILSGSSAEDPIDQQEEGDAVKNKRKQESGSDGDGGNGSGSGSGSSSDGEQNKRARREEKRRQAKGKFVAVAPQIDTGMFSSIPPELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLASNAKFRERAWKNLYIQRDREDMVEFVRNTPTEFREYYIQMQAAKRSQAPLPSEVNDDKVILDKTVADQVSTWKSSRGLTDESVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDTDQQQGGVADEPEPFMGSGRFARAIMLGYNCSDEKELEHALRFC >KQL12161 pep chromosome:Setaria_italica_v2.0:IV:39185364:39188753:1 gene:SETIT_006655mg transcript:KQL12161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVQGDEAGLEDDPPPEEGLVDPDEGVEADVGGGGDEDEEDVDGLASFLESEILSGSSAEDPIDKQQQEEGDAVKNKRKQESGSDGDGGNGSGSGSGSSSDGEQNKRARREEKRRQAKGKFVAVAPQIDTGMFSSIPPELFLQIFKFLSSEDLISCALVCRFMNAAASDETLWRRLYCMRWGLASNAKFRERAWKNLYIQRDREDMVEFVRNTPTEFREYYIQMQAAKRSQAPLPSEVNDDKVILDKTVADQVSTWKSSRGLTDESVKGHSCSGNTCSYTQIGDAYICEKTGRVHVCDDACREFVLDQSSGLLLCTISGHCFERWLCPDDEWDADDTDQQQGGVADEPEPFMGSGRFARAIMLGYNCSDEKELEHALRFC >KQL11797 pep chromosome:Setaria_italica_v2.0:IV:36821239:36823405:-1 gene:SETIT_005990mg transcript:KQL11797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAADNQQQPEEAEEGRRMAALLAARQALRSGVERSRALGRALARESSPRLEEIQSRLPAMEASVRPIRAPAEALASAGGNIDRALGPAAAVLKVFDAVHGLEPTLLARDSLADDVPGYLAVLAQLEGALRLLADNCGLAAQWLADIVAYLGDRSLADPRFLSGLAGQLDNLKGRAAADLDAGLLAAALGMLEAEFRRLLAEHSAPLAMRERGDSSTPASIVPSRIPPSVVHKLSLILDRLAANGRLDRCSAAYADARGDTVGASLRALGLDYLKETSEDAQVLSPSVERWGRHLEFAVHHLLEAERKLCVAVFERRPEAMPSCFAEVAARAGILDFLKFGRALADTRKDPIKLLRLLDVFDALNKLRLDFNRLFGGKACAEIQTRTRELVKMVVDGAVEIFEELLVQVELQRNMPPPVDGGVPRLVSFVAKYCNQLLGEPYRSVLTQVVTIHRSWRKEVFNDKMLVDAVLNIVKTLEINFETWSKAYGDTTLSCLFMMNIHWHFFKHLKGTKLGELLGDAWLREHEQYKDYYSAVFLRESWGTLAPLLSREGLIMFSKGQATARDLVKQRLKSFNAKFDEMFQKQSTWVISDRDLQQKTCHLVVQAIVPIYRSFMQNYGPLVEQDISASKYVKYSAEDLDKMLNTLFLPKPGRPRRTGSFQIRHSGDKITSAMTGLYRSASTLK >KQL09832 pep chromosome:Setaria_italica_v2.0:IV:6504133:6505984:1 gene:SETIT_008425mg transcript:KQL09832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNTRGTEARDEASWLGIEEASPFTGKKTTKAATADIRQWSSVVNATLVLLIMTMPPLLLLLSGGLGAPTTVWIKSTVASIGSQRVAEPKKDVLLGGLLVPGFDEHSCASRYQAAYYHKDMTRPASPHLIKRLREQEALQRRCGPGTEPYTRASERLRSGQTDADDVDGCSYLVLISYRGLGNRMLAMASVFLYALLTGRVLLVDRGYGDTLTGLFCEPFPGTTWALPPDFPVEGFKELGEDAPESYGNVAVNRSGSVSALRFVYLHLDHAASQANRLVYCDDHRQFLHRVQWAIIRTDQYMAPGLFFNPAYREELDRLFPSKDSVFYILSRYLLHPTNDIWGMVTRYYNSYLKDADERVGIQIRVFDSSDKPFQQVLDQILACTSREHLLPAVVTTEGVAPALPAAAGARTTAVLVTGLSSWYHDNIREMYWKSATTSGEVVRVHQPSHEEHQLWFHSKHDMKALAEIYLLSLTDRIVTSGWSTFGYVGHGLGGHTPYLLFRPMNYSEPAPDPPCARAMSMEPCSHGPPAFECTRKEINRIIDTGALLPHVRHCEDLSWGLKLTDPVIEKKV >KQL10774 pep chromosome:Setaria_italica_v2.0:IV:25506891:25507289:-1 gene:SETIT_009116mg transcript:KQL10774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRIQVLDSMLAFFEFISGFNQRYSFTGRQHARQ >KQL11048 pep chromosome:Setaria_italica_v2.0:IV:30358965:30359220:-1 gene:SETIT_008243mg transcript:KQL11048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVYEQCEEEIDSLVSNASFKIKWLMDRVVERMPASLKAYIS >KQL11414 pep chromosome:Setaria_italica_v2.0:IV:33419951:33424941:1 gene:SETIT_006417mg transcript:KQL11414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDLDQALLLYFDGQQAKPSIQEQPQTLNIFPSQPMHIEPSPKGSISMASSAAAAQVAGPSKNSQAPPSKAGGGPLAAGKSSKGAIKREGSAGGGKRGGAGASSSDQEGPRTPDPKTLRRLAQNREAARKSRLRKKAYIQQLESGRIRLAHLEQEMQMARTHQGALWGTGTLSPDAALFNLEYERWLGDHSKVVARLRAAAEEHRPDGELRAYADEAASHYGALMGHKARLAAADPLHLLSGLWKGAAERCFLWIGGFRPSELIKVAVRHAEPLAEQQAAGARDVEQAARRAEEALDAEMEALMRSLSEVVASDAQPPPGVFGGQFYPHHPTADAAGYMGMGHMHMALAMDKLATLGTFLRQADELRMQALQALRQILTARQAARCFVAVDDYFCRLRALSSLWTSSRAAPPQLARGPAG >KQL11413 pep chromosome:Setaria_italica_v2.0:IV:33419951:33424941:1 gene:SETIT_006417mg transcript:KQL11413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPSTSGMVQASSSLHGSIRRDPEGYDMPSDLDQALLLYFDGQQAKPSIQEQPQTLNIFPSQPMHIEPSPKGSISMASSAAAAQVAGPSKNSQAPPSKAGGGPLAAGKSSKGAIKREGSAGGGKRGGAGASSSDQEGPRTPDPKTLRRLAQNREAARKSRLRKKAYIQQLESGRIRLAHLEQEMQMARTHQGALWGTGTLSPDAALFNLEYERWLGDHSKVVARLRAAAEEHRPDGELRAYADEAASHYGALMGHKARLAAADPLHLLSGLWKGAAERCFLWIGGFRPSELIKVAVRHAEPLAEQQAAGARDVEQAARRAEEALDAEMEALMRSLSEVVASDAQPPPGVFGGQFYPHHPTADAAGYMGMGHMHMALAMDKLATLGTFLRQADELRMQALQALRQILTARQAARCFVAVDDYFCRLRALSSLWTSSRAAPPQLARGPAG >KQL11421 pep chromosome:Setaria_italica_v2.0:IV:33477970:33484237:1 gene:SETIT_006781mg transcript:KQL11421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRLPLPPELPAAGGEIQAALIPAAAPATGPAVSASSAAGRGGGGGSFTALLGLPTSQAMELLLPRAAAAPPAPAPAPAPAPTFPSDPHLVDRAARFSAFASPSPSSPSPTPPPPPPPAPPAAAAAANAGKRKADQPADRASKGKSAKKGKTAEEKPAGGDGEDEKPAYVHVRARRGQATDSHSLAERARREKINARMELLKELVPGCSKVSGTALVLDEIINHVQSLQRQVEYLSMRLAAVNPRVDFGGLDSFLTTECGRIAGLNCKNGIDLEQVTWPEMGVHGARHLMQLQQQFWHGDLAHPHQAPSQWEKRGDGHPPVFSSSSPSLFGYDLTSSGAQQPPASKLKTEL >KQL11139 pep chromosome:Setaria_italica_v2.0:IV:31087354:31089375:1 gene:SETIT_009018mg transcript:KQL11139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVVDPLRDFAKDSVRLVKRCHKPDRKEFTKVAARTAIGFVVMGFVGFFVKLIFIPINNIIVGSG >KQL11140 pep chromosome:Setaria_italica_v2.0:IV:31087463:31088048:1 gene:SETIT_009018mg transcript:KQL11140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIDSVVDPLRDFAKDSVRLVKRCHKPDRKGTCQAPSIRRPVSNPSRFVFCLRQIWQWFNPICVCMCAQSSPRWRRGRRSGSSSWASSASSSSSSSSPSTISSSVPARCVPLVPLLARSPPLDPVVLLSWFGDLWCALLFVTLFDSVHAVGLVDLGRPENYCPIRL >KQL11710 pep chromosome:Setaria_italica_v2.0:IV:36212704:36219516:-1 gene:SETIT_006298mg transcript:KQL11710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSMAAASDHAGPGSEAGAGDSSLRLRHAPSADASGLALDSTGGRRENGEPRPPPSPQQEQQQQHEMLYFRASAPAHRRVKESPLSSDAIFRQSHAGLLNLCIVVLVAVNSRLIIENLMKYGLLIRAGFWFSARSLRDWPLLMCCLTLPIFPLIAFMAEKMIRRKLIGEHVVILLHIIVTTSVIVYPVVVILKCDSAVLSGFVLMFLASIMWMKLVSYAHTNYDIRVLSKSTLKGASYGNHVDPENMKDPTIKSLVYFMLAPTLCYQPTYPRTTCIRKGWVIRQLVKCLVFTGLMGFIIEQYINPIVKNSKHPLKGNFLNAIERVLKLSVPTLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNAKTVEEYWRMWNMPVHKWIIRHIYFPCIRKGLPRGVAILIAFLVSAVFHEICVAVPCHIFKFWAFFGIMVQIPLVFLTRYLQEKFQNIMVGNMIFWFFFSILGQPIFVLLYYHDVMNRQAQASR >KQL12288 pep chromosome:Setaria_italica_v2.0:IV:39846667:39847598:1 gene:SETIT_007045mg transcript:KQL12288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTMTVPLSPGRPAARSLMGGGHVRSASVPCHTHPLLMDVDDQLLALRSWTSNPGQNPLSLAHVRALLCVLDELLHLPLAQGALVAADSLLDGFLVLADAFGTFLAALLALRQHASELHAAVRRRDAAKLASAARAQRQVGKELEQLAAAVAREAARCARASLASSTYSAPAELEVARTVAEAVNDTAVASAAVFSEVGAVADAAAALASPASSSPKKRLPLVNASSRSSKRPASEEQREAVALGKLLELEQCIGELESESEKVFRSLVQTRVSLLNIHTPTF >KQL09060 pep chromosome:Setaria_italica_v2.0:IV:997958:1001822:-1 gene:SETIT_005982mg transcript:KQL09060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVLGTASCALLSFLNQFFWYRKEPLTITAISAQIAVVPLGRLMAAALPERAFLRGTRWEFSLNPGPFNVKEHVLITIFANSGAGTVYAIHVITAVRVFYGKHITFFVSLLVVLTTQVLGFGWAGIFRRYLVEPAAMWWPSNLVQVSLFRALHEKEQRVKGGLTRNQFFLVAFICSFAYYIFPGYLFQMLTSLSWVCWVFPHSVFAQQLGSGLRGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFVFVMYIVTPIAYWFNFYKAQNFPIFSDGLFTTTGQKYNISSIVDSHFHFDTKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVFLFHGSEIWQLSKSAFQEKKMDVHTKLMRRYKQVPEWWFICILVANIAVTIFACEYYIEQLQLPWWGVLLACAIAFFFTLPVGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMETVPNICNTELLPRDSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPLLVWLAHKAFPGQSWILLINMPVLIGATGNMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGIVVEGCPVYT >KQL09059 pep chromosome:Setaria_italica_v2.0:IV:997958:1000326:-1 gene:SETIT_005982mg transcript:KQL09059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLNTHFLQCICNPFTRALHEKEQRVKGGLTRNQFFLVAFICSFAYYIFPGYLFQMLTSLSWVCWVFPHSVFAQQLGSGLRGLGIGAIGLDWSTVSSYLGSPLASPWFATANVAAGFVFVMYIVTPIAYWFNFYKAQNFPIFSDGLFTTTGQKYNISSIVDSHFHFDTKAYEKNGPLYLSTFFAVTYGVGFASLTATIVHVFLFHGSEIWQLSKSAFQEKKMDVHTKLMRRYKQVPEWWFICILVANIAVTIFACEYYIEQLQLPWWGVLLACAIAFFFTLPVGIITATTNQTPGLNIITEYIMGYLYPGRPVANMCFKVYGYISMSQALTFLQDFKLGHYMKIPPRTMFMAQVVGTLIAAFVYIGTAWWLMETVPNICNTELLPRDSPWTCPGDHVFYDASVIWGLISPRRIFGDLGTYSAVNWFFLGGAIAPLLVWLAHKAFPGQSWILLINMPVLIGATGNMPPATAVNYTTWILVGFLSGYVVYRYRRDWWERHNYLLSGALDAGLAFMAVLIYLCLGLENISLNWWGNDLDGCPLASCPTAKGIVVEGCPVYT >KQL10331 pep chromosome:Setaria_italica_v2.0:IV:13039385:13052888:-1 gene:SETIT_005674mg transcript:KQL10331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILLQKAAECLHGIEQSPGLSVMEAIQPSLKAVTREEFLKHEDEDVKVLLATCFCEITRITAPDAPYDDDVLRDIFYLIVGTFRRLSDVNSQTFGRRVAILETVARYRACVVMLDLECDDLITDMFRTFLEVVSDSHEENIVKSMQTIMTLIIDESEDIQESLLRVLLSALGQKKTGAAMSGRKLARSVIAHSAGKLEPYIKKFLTSSWTGDGSSLNDQIDHHGIVFDVYQCAPKVLKVIVPYITGELLADEVDVRSKSVELLGEIFSLPGVPIVEHFKTLFAEFLKRLTDRVVEIRISMVEHLKRCLISDPSRAEAPEIIKALCDRLRDYEENVRKGVVTALCDVACHSPDAIPIDTIKVVAERVRDKSLSVKCYTVERLADIYKLYCQRGPDNSASSDDFEWIPGKILRCIYDKDFRQESIESILCASLFPPEFPTKGRVKHWVTAVTYFDKNDMKALESILLQKQRVQQEMLKYMSLRQLSQEDAPDLQKRIVGCFRSMSRLFSDPAKCEENFNMLHQLKDGNIWKIFTSLLDCSSTFEKAWSLRADLLKILGEKHALYDFVGALAMKCSYLLVNKEYAKEILSEASEQKTSGNTKLISACMNLLTAISSFFPSLLSGLEEDIIELLKEDNEVLKEGIAHVLSKAGGNIREQLASSSSLDLLLERLCLEGTRRQAKYSVHALAAITKDDGLMSLSVLYKRLVDLLEEKKVHLPSILQSLGCIAQISMPIFETREEEIINFITKNILECNDDMVENSSHKSEWGDSTQNCLLKIYGIKTLVKSYLPCKDAHAHPGIEKLFDILKNILTYGDISPNMVSSAADKAHLRLAAAKAVLRLSRQWDHKVPVDVFYLTLRISQDDFPQVRKLFLCKVHQYIKERTLDAKYACAFLFGVNDYHAPQYEEFKHNLIEVVQICQQVKMRQLSVQADMNLPTAYPEYIISFLVHGLAHDPSSPDIEEHENVKAFGPIYWRLHLILSILLGEKGLQHGVPGMKKESFTTLISIFKSIKHSQDVVDGNKTKTLHAICDLGTLIAKRLCNDQTDLSEAQTVPLPAQLYTPLQDNQNENSVENDEKMWLGCEKVLAHFEAVMTANVDKVKSPKHKMLIDETDEFGNEVPLGKIVKLLKSQGEKKAGRKQKTPSSSVNAGNDDDVLGLVREINLDNQGDLGESQKSKPKKRQTESKESNEKPLDFSSPKRKRSISNNRPHSAKGSKNSDERLLHTPNKGRTDNSLETKLKEKKGRHDSNDTELLVSPSSKTPVSKGNKGAKKSHTDILNSGLKKSADADSTKRTVEPRSLNGSLKRQKPKPVSGLVKCSTHESSSTDLVGHRIKVWWPLDKRFYQGLVQSYDSSKKKHTVLYDDGDVEVLNLAKEKWMPVESNDSSVKKQKKDHLVTNQGRAQERTTSISKSPPSQQKSKKRSLPPKRKGQPKNKRRKTAGGIKSVVGSSGAGGNDSDSYNSLAHSDVDKDVESDDQMDEEVVISSAEKEKAGKDSKDVKIKEKARKGSKDVEMKEKAGKDSKDAEMKEKVGKDSKDVEMKEKEGKDSKTVKIKEKAVKESKDVEGKEEAGKDSKDVKMKEKSTKEPKDVEVKERAGKDSKDVEMKEKAGKESKDVKMKQKAGKESKDAEMEEAEQQDDHSLSNKEESDNETLSVWKKRTAKAT >KQL11856 pep chromosome:Setaria_italica_v2.0:IV:37197759:37201323:1 gene:SETIT_006130mg transcript:KQL11856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQYRSQLEQEVKKLQRQLQEEVDLHLALADAITYNAALILKSSIKLPDKAHELLISIASLEIAITKLEEDLNHLHYQLCHARNERLLAENNPGCLLPIPSDCQPSTACNFTEEESLFPCYFSKHVSMLRDLGFSDYHSVEEDVSTEPEDKQDGEKDTEDRERVSLNRLLEKHQDVSLTGLLEHRNEEMQEACSIEKESKEDQKIDALPFSQSNLKKNSMRENVWNNPNQLSEEMVRSMKDIFLHLSASSKISPEATFANSSSSAERLSGSTLTSLSDSSVIASVLRSPSVDLHHDDGITDEVRNFDPYNVNGKEARRDIGSYCSVAEVSWMYIGNEQLEYASGALKKFRFLVEQLSKVDPTCMDCDERLAFWINLYNALIMHAYLAYGVPENDIKLFTLMQKACYTVGGQSVSAAEIEFVILKMKTPVHRPQLSLMLALHKFKTSEKLKRYSIDNTEPLILFALCCGMFSSPAVRIFSAANIRQELQESIRDYIRASVGINYKGELIVPKLLQSYAKGIVEDSLLADWICRHLTLEQVAAIQDTSSSHKQRLLGVRSFSVIPFDSRFRYLFLSDNIRCQK >KQL10892 pep chromosome:Setaria_italica_v2.0:IV:27825378:27825851:-1 gene:SETIT_009069mg transcript:KQL10892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLKFAGCPLDGLCNLVIIMLLKHSYLEHIECITIL >KQL12221 pep chromosome:Setaria_italica_v2.0:IV:39517559:39517834:-1 gene:SETIT_007772mg transcript:KQL12221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGGIIDDLRKSTTTASSEPDANSKP >KQL12220 pep chromosome:Setaria_italica_v2.0:IV:39517559:39517834:-1 gene:SETIT_007772mg transcript:KQL12220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGGIIDDLRKSTTTASSEPDANSKP >KQL12222 pep chromosome:Setaria_italica_v2.0:IV:39517397:39518180:-1 gene:SETIT_007772mg transcript:KQL12222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGGIIDDLRKSTTTASSEPDANSKP >KQL11492 pep chromosome:Setaria_italica_v2.0:IV:34148466:34153467:1 gene:SETIT_006409mg transcript:KQL11492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAARKKRVGRYEVGRTIGQGTFAKVKFAVDAETGAAVAMKVLDKETILSHRMLHQIKREISIMKIVRHPNIVRLNEVLAGKTKIYIILELITGGELFDRIAHHGKLRENEAGKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDARGNLKVSDFGLSTLSQNGVGLLHTKCGTPNYVAPEVLGSNGYDGSAADIWSCGVILYVLMAGYLPFEENDLPSLYEKITAAQYSCPYWFSPGASSLIQRILDPNPKTRITIEEIRADPWFKKNYVAIRRGEDENVSLDDVQAVFDNIEDKYVSEEVTHKDGGPLMMNAFEMITLSQGLDLSALFDRQQVICSSI >KQL11494 pep chromosome:Setaria_italica_v2.0:IV:34148466:34154948:1 gene:SETIT_006409mg transcript:KQL11494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAARKKRVGRYEVGRTIGQGTFAKVKFAVDAETGAAVAMKVLDKETILSHRMLHQIKREISIMKIVRHPNIVRLNEVLAGKTKIYIILELITGGELFDRIAHHGKLRENEAGKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDARGNLKVSDFGLSTLSQNGVGLLHTKCGTPNYVAPEVLGSNGYDGSAADIWSCGVILYVLMAGYLPFEENDLPSLYEKITAAQYSCPYWFSPGASSLIQRILDPNPKTRITIEEIRADPWFKKNYVAIRRGEDENVSLDDVQAVFDNIEDKYVSEEVTHKDGGPLMMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAMTIVATIEVVAESMGLKVHSQNYKLRLEGTSPNRMGQFAVVLEIFEVAPSLYMVDVRKVAGETLEYHRFYKNLCSKLDSIIWRPIEVSAKSTLLRTTTRCVP >KQL11493 pep chromosome:Setaria_italica_v2.0:IV:34148704:34154475:1 gene:SETIT_006409mg transcript:KQL11493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAARKKRVGRYEVGRTIGQGTFAKVKFAVDAETGAAVAMKVLDKETILSHRMLHQIKREISIMKIVRHPNIVRLNEVLAGKTKIYIILELITGGELFDRIAHHGKLRENEAGKYFQQLIDAIDYCHSKGVYHRDLKPENLLLDARGNLKVSDFGLSTLSQNGVGLLHTKCGTPNYVAPEVLGSNGYDGSAADIWSCGVILYVLMAGYLPFEENDLPSLYEKITAAQYSCPYWFSPGASSLIQRILDPNPKTRITIEEIRADPWFKKNYVAIRRGEDENVSLDDVQAVFDNIEDKYVSEEVTHKDGGPLMMNAFEMITLSQGLDLSALFDRQQEFVKRQTRFVSRKPAMTIVATIEVVAESMGLKVHSQNYKLRLEGTSPNRMGQFAVVLEIFEVAPSLYMVDVRKVAGETLEYHRVCSVCISSFILIILLAVGHQQSTS >KQL09693 pep chromosome:Setaria_italica_v2.0:IV:5307533:5307769:1 gene:SETIT_008570mg transcript:KQL09693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGQPCLWIPWVLACSFDLVSYCLRSVLQLPFILLWLFHERCPTHCFLLGVWIPW >KQL09964 pep chromosome:Setaria_italica_v2.0:IV:7770111:7770634:1 gene:SETIT_009094mg transcript:KQL09964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMPLLLLFSIRVDLADPDLAAGLQALVRANHDAAAAAAAAPPSPAPPPQRRPAAPWVRPRWAERESARSLLPPPISSLRRRPALVRTRTGDGRLVITHRGEGSVGRGGLVCTRRREGEGQGQGHLTMRLL >KQL11474 pep chromosome:Setaria_italica_v2.0:IV:33996633:33999329:-1 gene:SETIT_007235mg transcript:KQL11474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVFGKVFGKSKQQSQATALASLDKLNETLEMLEKKENLLVKKANLEVEKAKNFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGASAMKAMHKSTNIDDVDKTMDEINDNMENMRQIQDLLSAPMGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTTAPVRVPTAQQSTRPSAQSSKAEDDELAALQAEMAM >KQL11473 pep chromosome:Setaria_italica_v2.0:IV:33996148:33999616:-1 gene:SETIT_007235mg transcript:KQL11473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVFGKVFGKSKQQSQATALASLDKLNETLEMLEKKENLLVKKANLEVEKAKNFTKAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGASAMKAMHKSTNIDDVDKTMDEINDNMENMRQIQDLLSAPMGAAADFDEDELEAELADLEGEELEAELLAPTTTAPTTAPVRVPTAQQSTRPSAQSSKAEDDELAALQAEMAM >KQL10944 pep chromosome:Setaria_italica_v2.0:IV:28675677:28677112:-1 gene:SETIT_006373mg transcript:KQL10944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVVLLPWLAFGHILPFTELAKRIARQGHRVTILTTPRNTRRLIDIPPDLAALIRIVDIPLPRVEHLPEDAEASIDLPSDDLRPYLRLAYDHAFARALSDILEAAPAPSWRTDWVLTDYARAAARHGVPCAYLSLYAAAALGFFGTPEALMGRGRHAKTAPEHLTEVPDFVPFPSTVAYRGHEARGMFRPVAVADVSGVAELHRSGMSIAGSQVVGIRTSMEFEPEWLRLLGELYQKPVIPVGLFPPPPTQDVAGHEATMRWLDGQAPGSVVYAAFGSEAKLASAQLEAIAMGLEASGLPFLWAFRAPGAGADTGDEGTGGGLPAGFEERVAGRGVVCRGWVPQVSFLAHGSVGAFLTHAGWNSVTEGLANGVKLVLLPLMFDQGLNARLLVEKKMGVEVVRDEEDGSFAPKDIAAALRRVMVEDASEELGMKAKEAARVFGNDEANDQCLRDFLRYLSEHSRHR >KQL12324 pep chromosome:Setaria_italica_v2.0:IV:40014370:40017586:-1 gene:SETIT_006457mg transcript:KQL12324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAQVEERDGLDSSGLSIDKRLPLDAVKSPLKDDAVQPKEGMDGYPVKVRKPYTITKQREKWTEEEHEKFLEALKLYGRSWRQIQEHIGTKTAVQIRSHAQKFFSKVVREPGASNAIEIPPPRPKRKPLHPYPRKCADSSTLANPAVGQPKLAPISSSSGYNVENGSPVSVLSAMQSDTFGSSVSNPSTGCTSPASSDDGNNAPVLVSEEENLLTQQVEDDQSRQEMKLDNSDGDLSEEDSSGGVQETSLKLFGKTVIIPDPKKVCSSDGGCGDGEKSSQPSKQEVLQASSIGGVAAYPTHNGWLLPYHSFQFHMGDSGNARISPLHVWWPYYGFPAGHPRGFSMGLPTEGTCESDTGKSLSAESSSDCLGNVQTTAPTNCKVVKEPLGAIQVPESALSFELKPSTNSAFARVKPGSSRDHSLRGFMPYKRCKVE >KQL09418 pep chromosome:Setaria_italica_v2.0:IV:3229331:3237886:-1 gene:SETIT_005912mg transcript:KQL09418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLEAQDGIRMPWNVIPGTKQEALNCVIPVSAIYTPLKSIPDIPVLPYSPLRCRMCRSVLNPFSIVDYVAKIWVCPFCFQRNQFPQHYSLISENNLPAELFPQYTTVEYLSSMETGPIVPPVFIFVVDTCMIEEEIGYLKSALAQAVELLPDNSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILDQMCFFAGKQKPTTGVIAGTRDGLSSESIARFLLPASECEFVLNSVIEEMQKDPWPVPVDQRASRCTGVALSVAANLIGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLDKDSAPLYDKAIKFYDQIAKQLVHQGHVLDLFACAVDQVGVAEMKVAIEKTGGVVVLAESFGHSVFKDSLLRIFQSADDNLGLSFNGILEINCSKDVKVQGIIGPCTSLEKKSPLSSDTVIGQGNTSAWKMCGLDRKTSLCFVYDIAKKDGPDSIGQSTSNQFYFQFLTYYQHNEGQMRLRSTTISRRWVSGVDNVEELVAGFDQEAAAAVMARLVSFKMETEVDFDPVRWLDRALIRICSKFGDYQKETPSSFSLSPRLSIFPQFIFNLRRSQFVQVFNNSPDETAYFRMMMDRENVANALVMIQPSLISYLFQSGPEPVLLDATAVASDKILLLDSYFTVVIFHGITIAQWRNAGYQDQEGHEAFAQLLKAPHEEAGSIIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPSPGGDVIFTDDVSFEVFMDHLQRLAVQ >KQL12285 pep chromosome:Setaria_italica_v2.0:IV:39812701:39812980:-1 gene:SETIT_007755mg transcript:KQL12285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQATVHEVIALPVKTPYSDDIRMIMQSQHMIRLFLSLPVTRLDMQQHISIWLSNSQNYNTSKKL >KQL09919 pep chromosome:Setaria_italica_v2.0:IV:7477236:7478422:-1 gene:SETIT_007002mg transcript:KQL09919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKLQDRFQDDEDVRVGVDRFPERHPIGATAADDLGRDYTEPPPAPLFDAAELSSWSFYRAGIAEFVATFLFLYVTVLAVMGVSNSPSKCGTVGVQGIAWAFGGMIFALVYCTAGVSGGHINPAVTFGLLLARKLSLPRAGYYAVMQCLGAACGAGVVKALVGGALYEAAGGGANAVNPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPVLAPLPIGLAVFLVHLATIPITGTGINPARSLGAAIIYDRPHGWHGHWIFWVGPFTGAALAAVYHQVVIRAIPFKSSAHY >KQL12075 pep chromosome:Setaria_italica_v2.0:IV:38613460:38614210:1 gene:SETIT_007450mg transcript:KQL12075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISSPRAVGSDRKEPSNSGFFRVGIIQRLDFARAVQTGGHGSAGPAAGRCRRDGLMGIGADERAYTHEGWPGRLARKSAAVGYRRPRRAVARTAAAGPPRVVCLLVAASGAPAWFQPAMWPVLAQRGAKPTDPPGARLDLVER >KQL10668 pep chromosome:Setaria_italica_v2.0:IV:22867251:22868809:-1 gene:SETIT_007422mg transcript:KQL10668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIERMMGCQIPAFGVWNYCNDLSITQYFDSAMQARLMKRWNRRGDGVVAAVEKGVACGEPLVLFRTPSFQRKPAQIKVIRREAEKHCSADELQDGGVQAEVGAYPMKRKVVSKPVDEDLYKVPQPLLYRKPKKMRKVVWSMWIGCLGLDCIA >KQL10228 pep chromosome:Setaria_italica_v2.0:IV:11409010:11410995:1 gene:SETIT_006432mg transcript:KQL10228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATGSSAKAAAAGAVGGKAARACDGCLRRRARWYCAADDAFLCQACDTSVHSANPLARRHERVRLQPTSPLRAPPPAWAAQCEPRDDVVPAWFRRKARTPRGGHAKSVAQVLSRRLVVPEAAGGDGDSPEGRNGEGEVEEEQLLYRVPIFDPALAEFCSPPPLEDAAAVASSCNEDGTVEDPANPHPAAPAPPPVQFFPDGHASFEPTDAELREFAADMEALLGRGLDDGNEDSSFYMETLGLLDPVDDDAGVARVKLEIDGGGAFDASGTPACGVQLEAEASDEMLDIDFDYGSPQETPDDKAASSDTSAAATDAQFLQTSLSLTLNYEAIIQSWGSSPWTGSGERPHVKLDGSWPHDYTSMWVVGGMVGHVGEELGTPRLGMMDGGREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRATAGGSVAVAGLA >KQL10655 pep chromosome:Setaria_italica_v2.0:IV:22307705:22311197:-1 gene:SETIT_008903mg transcript:KQL10655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGNTCPRMVGDGCGRGRAAWDGVASLGGLLAAQRGAGLPPSAGVLGAAGTGGHGGGCLPSRLVPESRGALPWPAAMHLHTRCPLLSSCSCTKLSTARGDF >KQL10657 pep chromosome:Setaria_italica_v2.0:IV:22309709:22311197:-1 gene:SETIT_008903mg transcript:KQL10657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGNTCPRMVGDGCGRGRAAWDGVASLGGLLAAQRGAGLPPSAGVLGAAGTGGHGGGCLPSRLVPESRGALPWPAAMHLHTRCPLLSSCSCTKLSTARGDF >KQL10654 pep chromosome:Setaria_italica_v2.0:IV:22310238:22310546:-1 gene:SETIT_008903mg transcript:KQL10654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGNTCPRMVGDGCGRGRAAWDGVASLGGLLAAQRGAGLPPSAGVLGAAGTGGHGGGCLPSRLVPESRGALPWPAAMHLHTRCPLLSSCSCTKLSTARGDF >KQL10656 pep chromosome:Setaria_italica_v2.0:IV:22309709:22311125:-1 gene:SETIT_008903mg transcript:KQL10656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHQPTDSSSKCQPPANAASGLLVTPAGGHTGMPVGNTCPRMVGDGCGRGRAAWDGVASLGGLLAAQRGAGLPPSAGVLGAAGTGGHGGGCLPSRLVPESRGALPWPAAMHLHTRCPLLSSCSCTKLSTARGDF >KQL12235 pep chromosome:Setaria_italica_v2.0:IV:39587067:39590345:-1 gene:SETIT_006810mg transcript:KQL12235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVSDNAKGLALAVASSAFIGVSFILKKIGLLRAAKCGARAGGGGYTYLSEPLWWAGMTTMLLGEVANFVAYIFAPAVLVTPLGALSIIVSSVLAHFVLKERLEKLGVLGCVSCIVGSVVVVVHAPEEHMPNSVEEIWNLATQPGFVAYAVTTLLVVGTLVLFFERRYGQTNILIYLGICSSMGSLTVVSIKAIGVAIKLTLDGVNQAAYPYTWFFLMVAVTCGVSQINYLNKALDTFNLAIVSPIYYVMFTTLTIVASGIMFKDWAGQSLSSIASELCGLITILSGTILLHAAEEGANNSAALLPWPLDKGSISWCISLSSDNLLKNVEEDYFAALQNSPAPV >KQL10411 pep chromosome:Setaria_italica_v2.0:IV:14222349:14222700:1 gene:SETIT_008845mg transcript:KQL10411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRAGAWRRFRALVFAAVPRFGVARGGGRWINPGSGDPRRRPAV >KQL11953 pep chromosome:Setaria_italica_v2.0:IV:37810167:37810531:1 gene:SETIT_008952mg transcript:KQL11953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRMLILSQKNLIWKISHTLSSVRFMLWSMCFNNVFRSFYSSLKHAL >KQL12292 pep chromosome:Setaria_italica_v2.0:IV:39878954:39880313:1 gene:SETIT_008094mg transcript:KQL12292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKMLSFVDAIVAPNPNTSCIEEIIDSNGALAPLERLKTLFDVRGAVFMASFLIQPLLLRLSSSAQTESCRALEDMEALRSDKDDILAEAIWNTMQEVTAAILTDDGNHDSWEDTPQEPASIHKVTRSIERYIRLLEMNYRSVDQIVRKAADCGTYAVPAETYNKTNNPFTSMMADMVSCLEEKLTKMSQSFPNKSLGLLFLINNLHSLWQQLHPMYDTKFNMPVLTRKIEDYIDKYLQEAWEPVLARLHTSPSPLQFGRRYFPKVLDPELRKKLRKAITDKVTSGFTNFLEEDTVSTRGSTITPQEVEDMLQELFEG >KQL11000 pep chromosome:Setaria_italica_v2.0:IV:29334402:29334900:-1 gene:SETIT_008651mg transcript:KQL11000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEPNMARRMWHVVRAVLFMLRKGVSKRKLTMDLHLLLQRGKIAGKALGNLMTAHGHHDKAKAAEAAVPPAQQFSCRALDLASAVYNPRDVREVEFSCSNTPSYPSLHLIPTGKRRRRGNNRRSHRGANGAEPGWYNYDAADIARVFEILNNNEHLLSGVGGDDT >KQL10365 pep chromosome:Setaria_italica_v2.0:IV:13579421:13582657:1 gene:SETIT_005957mg transcript:KQL10365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAGHRGYLIPFPGPRRPNLPRARGAAQGTRRCPRHRPLQPQRHFLEHMALVPSGGAGAGKDEAALGLPWSEMFRSASLRRPKQGADDTPPKKPPPPALKPALKEGKAKPAAAAAGAGAGPDIAGLSLEPDARLALYIAMAHAGLATALLVLYGLYLLLADFLRPLQWALLCSVPLRETQRALVAFWEPPLRGGLSAAVLALPLAALRSSAATLADARAALLRRPLPHSPAFPRLLRWLVSFFFFLVLFERLGAAAALLFLALALAFCAATPKLTRAASSRIYSRRPSSRGLLLTGGILRHLKTLVAVGLMLGMIAGFLTGSIFFSYQIGLEGKDAVMSLKSHVEKGNYSEKIGLKKWLDDNDIPGLVDQYSAKIYDTVWEQVDQLAVQYNLTDFTSGFRHFLISQSVDPKSKALISARPHPYSMKLQSIAARVKKREWLEIYMELDSFFRELLITREDLVVKAKELALQGTEIAKRLLSSSTSVLGGSANLMLSVALRIVSGAAEVVNFLSQLMVFLWVLYYLITVEGGGATEQIIDLLPVSKQVKDRCVEVIDHAISSVLLATAKIAIFQGGLTWLLFKFFKVHFVYTSTVLGFISALVPILPFWLSSIFAAGQLLMEGRYVLALVVTVIHLTLMDYGTTTILEDIPGYNGYLTGLSIIGGMTLFPNALEGAILGPLIMTVVIALKNLYTEFVLADAEETSS >KQL12165 pep chromosome:Setaria_italica_v2.0:IV:39209629:39210170:-1 gene:SETIT_009106mg transcript:KQL12165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISAGVSTSTGKSSVLFSALLFGSTMNFTLSSSSFRPGHSVLTEPIGSVPRFLHNSGS >KQL11912 pep chromosome:Setaria_italica_v2.0:IV:37570975:37571491:1 gene:SETIT_007807mg transcript:KQL11912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAYIILSCTCMFGEMCALMCLLFVYLSVCFSVCIVAHRLRIHCFAVD >KQL09593 pep chromosome:Setaria_italica_v2.0:IV:4468583:4471534:-1 gene:SETIT_006706mg transcript:KQL09593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKLSRAARRLLCCARAASREDLSDEGSGSLRWVFSLRELRSATNSFNYDNKIGQGPLGSVYWGQVWDGSQIAVKRLMNTKNGTEVEFASEVEILGRIRHKNLLSFRGYCADGPERILVYDYMANSSLYAHLHGPLSAECLLDWRRRASIAIGAARALLYLHHHATPQIIHGSIKATNVLLDSDFQAHVGDFGLIRLIPDGMDHEKITSENQRGYLAPEYIMFGKPTAGCDVYSFGIILLELASGKRPIEKSGSVKTYGIRNWVLPLAKEGRYDEIADSKLSDKFSEPELKRMVLVGLACTHSEPEKRPTMLEVVPLLKGESKETLLKLERDELFRPDSTVSSQGTSTPDVSTDSVPRKDQELELAGA >KQL10202 pep chromosome:Setaria_italica_v2.0:IV:10846089:10850067:-1 gene:SETIT_007605mg transcript:KQL10202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVDSWDEFVERSVQLFRADPSATRYVVKYRHCEGKLVLKVTDDRECLKFKTDQAQDAKKMEKLNNIFFALMTRGPDADISEVSGKEQAEQQQSKKGRGRRQ >KQL09765 pep chromosome:Setaria_italica_v2.0:IV:5829972:5831614:-1 gene:SETIT_008031mg transcript:KQL09765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RMPAERLDVAVASRTLVRASDPPPGFPAMLAASNLDLILGSFHLYLIAVYPAPAAGFNAVAAAVRASLPAFLSRFFPFAGRVVTNASTGVPEIACNNAGAELVVANVGTSLAEMDFANADRSLGIIQVPFEQGLALSLQLVRFACGGFAFVWGADHLLVDGHGLMELPNAWAELLRAGGLSWEPQHDRVSLFRPRSPPRYSPALDAEFTRYAPASLPNPLLVATLVRRMYVVSGADLDRLRAAASTADRRATRLEALSAHIWKLLAAAVGGSDTHCRLAWLVDGRRHLDPSKYDKARLGRYLGNVVTYASREVAVESISSAPIADVATMAGAAIKKAFRSERYEELVDWMEANKGVFRDGGKWTEAVGVGTGSPALVVSSFVPFRVEGDFGFGRPRLVMPWVRPGRLGSAAMMVARSPEEDGSWVVTARMWPQLADAVEADLEAVFRPATAARLGFSVPES >KQL11035 pep chromosome:Setaria_italica_v2.0:IV:30133208:30134761:1 gene:SETIT_007330mg transcript:KQL11035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDAACEAVVTLHGRRRRGEVVEGDRCDGGWVAAGTETMSSGSSTSSLTDEEEDGDGATSSSRPDRDVSSSSLSSLTSSGSETTTQIGEAAGGPPGPLYALSTMMEDLPALRTGLSKHYKGRSQSFTSLVDVSCVEDLAKKTTPYTRRKKAPGRCAEVLGAKNRLSKTISKKAPRGKPPAYQGKREMYRC >KQL09891 pep chromosome:Setaria_italica_v2.0:IV:7146942:7149284:-1 gene:SETIT_008001mg transcript:KQL09891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKQYTNAEPLPWEANLQRRKLEFQHLDLPEDMLCKILSQLPLKEVIRTSDLSSKWRYVRTINPKLRFDGMTMCSHRSIYGSKQCTQEFIQSVNAALQQHNGMFVEDFELKFGLHSELVIHLDDWVRFVTASQTKNLAFDLVPAEFRGRHDRKFDLHLVRATTKDLNVLSSCSSLEWLSMVRCHLDDELKVDLPLPRLKYLCVADSMITGIKVNAMNIETFVYKGWRYPIETRSLDLKDAHLHFFDWISIEDTLTILPTVLSHMPTMLENASKFSQLKYLVLELTVSDKDAGNILSLASYLRAAPLVEKFELHVTLLIILFLPQLLQFNVVIYPHLDLEPLRSFPQCPRIYLKDLYISGFVACTGQLEFLLHTVENAPGLEILTLDPAPKFDKNVGTEHGQRTDLFSQDVREISIRYLSGRISPTAKLCIL >KQL10346 pep chromosome:Setaria_italica_v2.0:IV:13289295:13289706:1 gene:SETIT_008886mg transcript:KQL10346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLELNSNKNLSLGKLGIKSQIRTTIVTTSDRTMLQFSLCFGSPLIGVPESCLFRVLLQSRWPC >KQL09380 pep chromosome:Setaria_italica_v2.0:IV:2940567:2949045:1 gene:SETIT_005859mg transcript:KQL09380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLTRLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALFDSDKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGQNTSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSIPQDTPYSEFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALEDEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRVPFRNENGILRKWISRFDVWPYLETYTEDVASEIMKEMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELIYSDVENSEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNARLRELANLVIVAGDHGKESKDREEQAEFKRMYSLIDQYNLKGHIRWISAQMNRVRNAELYRYICDTKGAFVQPAFYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFDKCKADPSYWDKISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLASAVPLSFD >KQL09381 pep chromosome:Setaria_italica_v2.0:IV:2943066:2949045:1 gene:SETIT_005859mg transcript:KQL09381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLTRLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALFDSDKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGQNTSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSIPQDTPYSEFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALEDEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRVPFRNENGILRKWISRFDVWPYLETYTEDVASEIMKEMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELIYSDVENSEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNARLRELANLVIVAGDHGKESKDREEQAEFKRMYSLIDQYNLKGHIRWISAQMNRVRNAELYRYICDTKGAFVQPAFYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFDKCKADPSYWDKISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLASAVPLSFD >KQL09379 pep chromosome:Setaria_italica_v2.0:IV:2940567:2949045:1 gene:SETIT_005859mg transcript:KQL09379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLTRLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALFDSDKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGQNTSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSIPQDTPYSEFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALEDEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRVPFRNENGILRKWISRFDVWPYLETYTEVYRLIFLLDVVHNLDFSVNTEVMHSVLQDVASEIMKEMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELIYSDVENSEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNARLRELANLVIVAGDHGKESKDREEQAEFKRMYSLIDQYNLKGHIRWISAQMNRVRNAELYRYICDTKGAFVQPAFYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFDKCKADPSYWDKISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLASAVPLSFD >KQL09378 pep chromosome:Setaria_italica_v2.0:IV:2943097:2949045:1 gene:SETIT_005859mg transcript:KQL09378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKLTRLHSLRERLGATFSSHPNELIALFSRYVNQGKGMLQRHQLLAEFDALFDSDKEKYAPFEDILRAAQEAIVLPPWVALAIRPRPGVWDYIRVNVSELAVEELSVSEYLAFKEQLVDGQNTSNFVLELDFEPFNASFPRPSMSKSIGNGVQFLNRHLSSKLFQDKESLYPLLNFLKAHNYKGTTMMLNDRIQSLRGLQSSLRKAEEYLLSIPQDTPYSEFNHRFQELGLEKGWGDTAKRVLDTLHLLLDLLEAPDPANLEKFLGTIPMMFNVVILSPHGYFAQSNVLGYPDTGGQVVYILDQVRALEDEMLLRIKQQGLDITPKILIVTRLLPDAVGTTCGQRLEKVIGTEHTDIIRVPFRNENGILRKWISRFDVWPYLETYTEDVASEIMKEMQAKPDLIIGNYSDGNLVATLLAHKLGVTQCTIAHALEKTKYPNSDIYLDKFDSQYHFSCQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHIAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSVYYPYTETDKRLTAFHPEIEELIYSDVENSEHKFVLKDKNKPIIFSMARLDRVKNMTGLVEMYGKNARLRELANLVIVAGDHGKESKDREEQAEFKRMYSLIDQYNLKGHIRWISAQMNRVRNAELYRYICDTKGAFVQPAFYEAFGLTVIESMTCGLPTIATCHGGPAEIIVDGVSGLHIDPYHSDKAADILVNFFDKCKADPSYWDKISQGGLQRIYEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMFYALKYRSLASAVPLSFD >KQL09445 pep chromosome:Setaria_italica_v2.0:IV:3436535:3439663:1 gene:SETIT_005776mg transcript:KQL09445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLIPIIIFHVGLVLIAVAAAAAAAPPAVADDDRSALLAFLSNVSADPGSALADWGRSPEFCNWTGVICGGPGRRRVTQLVLSGKGLSGVISPALGRLSLVTVLDLSSNAFAGTIPPELGALSMLAQLSLTNNLLEGAIPAGLGFLQRLYYLDLSDNRLSGGIPAALFCNCSSLQYLDLANNSLAGDIPYADECRVPSLRFLLLWSNDLSGEIPPALANSPILEWVDFESNYLSGELPSRVFDKLPRLQFLYLSYNNFSSHGGNTDLGPFFRSLSNCTRLQELELAGNDLGGRLVGELPGGLRQLHLEDNAIWGPIPPNISGLVNLTYLNLSNNLLNGSIPPDMSRMRRLERLYLSHNLLSGEIPESIGEIPHLGLVDLSGNRLAGAIPDTFSNLTQLRRLMLHHNRLSGAIPPSLGDCLNLEILDLSYNGLQGPIPAHVAALSSLKLYLNLSNNHLEGPLPLELSKMDMILALDLSANELTGAIPAQLGSCVALEFLNLSGNALRGALPAPVVALPFLRTLDVSRNALSGPLPESLQVSTSLREADFSYNNFSGEVPRAGVLASLSPEAFRGNPGLCGYVPGIAACEPKRAPRRRRPLLPAVVGIVAAVSLMLSAVGCRSMATARAKRLGRRSVRLVDGEEEVEREHPRISYRELAEATGGFVQEGLIGAGRFGRVYEGTLRGGARVAVKVLDPKGGGEVSGSFKRECEVLRRTRHKNLVRVITTCSTAGFNALVLPLMPNGSLDGFLYPHGGGDNGDGGGLDFGQIMGIASDVAEGMAYLHHYAPVRVVHCDLKPSNVLLDEGMRAVISDFGIARLLAGAGAGEASSTSEESAPCNSITGLLQGSVGYIAPEYGLGGHPSTQGDVYSFGVMLLELITGKRPTDVIFHEGLTLHDWVRRHYPHDVAAVLAHAPWRGRPLQSPPAAAAEVAVVELIELGLVCTQHSPALRPTMADVCHEITLLREDLARHGAADGRRSFSTKDSLFSN >KQL08948 pep chromosome:Setaria_italica_v2.0:IV:402770:403296:1 gene:SETIT_009148mg transcript:KQL08948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGMASDDATPPRSGEPTSGRLLLRGCRPHPPRDRARSPPPCRSRTRAAAGPARGRRVHVGDNQRRRQPPPSARR >KQL10857 pep chromosome:Setaria_italica_v2.0:IV:27131184:27132698:1 gene:SETIT_008549mg transcript:KQL10857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERATWSYTYEKGLLDILKEFVNIPIFKGQNGWTSEGWRNIINKFNDIARKGERELKGNFKIIKEARKSGVGWNDTLGMIIAEPKGWEKLIKDNHKVAKFRKKSFPLYNSLELLYEGSVATGDLNFTSIEPTPQRTEPTPQRAEPTPQRSELRAEPTPQRSISEQSNHSMASIDRNPLSFGLGGVESIEVQSAPASRNSVDQDVTGGKKCKQKKLEEKKRREEDYSIDKCIDIVDAMEGLSDEQKADANEVFQSETNRKILVGTKNPSVRLIWLKKKIAR >KQL09971 pep chromosome:Setaria_italica_v2.0:IV:7836715:7841113:-1 gene:SETIT_005950mg transcript:KQL09971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDKDEKNLTVTKECTKPEENCGDAGDLSRKTEKLNVEEATNSSNVDLSEESEAQIREEGNSAKYLNGQMNESTSTDAMEPVDSNQITKEILAEDKSEEPVFDGTEVPEMEEMRRSSNQSVELDSEAQGSVLNERAVAIKNFVKEKSAIAVSTFMRRLSGKKDENEFKVEADKSDGSECINSEKTGSDAEPKPKEVQQKTDERTAWNPLNLIKIGRDFDTFITGEAGHEDVPGLLEQPTVKGRIIIYTKLGCEDCKMVRLFLHQKRLKYVEINIDIFPSRKLELEKNTGSFTVPKVYFNDLLIGGLIELKKMEDSGILDEHIGVLFKEEPSSSAPLPPLPGEDDESGSGKMDELATIVRKMRESVTPKDRFYKMRRFSNCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFFRHVLDENVFEDGNQLYRFLDHDPVVMTQCYNIPRGIIDVAPKPIAEIATRLRLLSYAIFEAYVSVDGRHVDYRSIQGCEEFKRYIRTIEELQRVEIDDLSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRKKFFGDFKCVIGGCAYSLSAIQNGILRGNQRPPYNIAKPFGQKDRRSKVALPYHEPPVHFALVCGTKSGPALRCYSPGDIDKELMEAARDFLRNGGLIVDPDAKVASASKILKWYSSDFGKNETEVLKHAANYLEPAQSEQLLELLASTQLKVAYQPYDWSINI >KQL09972 pep chromosome:Setaria_italica_v2.0:IV:7836450:7843079:-1 gene:SETIT_005950mg transcript:KQL09972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDKDEKNLTVTKECTKPEENCGDAGDLSRKTEKLNVEEATNSSNVDLSEESEAQIREEGNSAKYLNGQMNESTSTDAMEPVDSNQITKEILAEDKSEEPVFDGTEVPEMEEMRRSSNQSVELDSEAQGSVLNERAVAIKNFVKEKSAIAVSTFMRRLSGKKDENEFKVEADKSDGSECINSEKTGSDAEPKPKEVQQKTDERTAWNPLNLIKIGRDFDTFITGEAGHEDVPGLLEQPTVKGRIIIYTKLGCEDCKMVRLFLHQKRLKYVEINIDIFPSRKLELEKNTGSFTVPKVYFNDLLIGGLIELKKMEDSGILDEHIGVLFKEEPSSSAPLPPLPGEDDESGSGKMDELATIVRKMRESVTPKDRFYKMRRFSNCFLGSEAVDFLSEDQYLERDEAVEFGRKLASKYFFRHVLDENVFEDGNQLYRFLDHDPVVMTQCYNIPRGIIDVAPKPIAEIATRLRLLSYAIFEAYVSVDGRHVDYRSIQGCEEFKRYIRTIEELQRVEIDDLSREEKLAFFINLYNMMAIHALVTCGHPAGPLDRKKFFGDFKCVIGGCAYSLSAIQNGILRGNQRPPYNIAKPFGQKDRRSKVALPYHEPPVHFALVCGTKSGPALRCYSPGDIDKELMEAARDFLRNGGLIVDPDAKVASASKILKWYSSDFGKNETEVLKHAANYLEPAQSEQLLELLASTQLKVAYQPYDWSINI >KQL11633 pep chromosome:Setaria_italica_v2.0:IV:35468786:35470126:-1 gene:SETIT_008000mg transcript:KQL11633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSEESCGASNCSINNGHDDRDMDRLLRQLDVGWVLHAADADSDAGSSRQLRHRTATWLRALAEILHTYSYFRNNGATPAPAGNFFCVMHRRYWEEVSGTPDQQNFTRFAGAAISKMLPFVDSLVAPAPPRTATVDDGALPAQRLQALIQVRGALTRIRGIAELRPATSAEVASVHDELFSLLSAKLARLDEAVWNTMEEVRACVMSSTEEDDDGGGGGSDSDSWGNHSVLHGSSHIHRMTRSVLNYTNLLQTDYGSLHRIVYEAAKLRKCAPAIGSIGRYSSLPKFESEFHKVYSTQKLWKVPDPELRTRLRKAVIEKVNPSFTEYLQDSTVITEGITLTPQELEEMLQELFEG >KQL12097 pep chromosome:Setaria_italica_v2.0:IV:38739849:38743579:-1 gene:SETIT_006472mg transcript:KQL12097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFGMNWDQKNSMVWDCENLALSVPNEIVRHGSANSSGGTLTSSSELGHGSSKSSISGSIDSPFGVGNSIEFNFAAVERHVKDMGKNGRVDDSRTSPSSMIAFSHGEPSISLKLGKRAYVESVCGRQDNKSSAPSTVTSASTVVKKTKVSHQNAKNSYCQVEGCKVDLSSAKAYHRKHKVCEDHAKAPKVVVAGLERRFCQQCSRFHGLAEFDQNKRSCRRRLTHHNARRRKPQTDTISFNSSRLSTMFYDTSQQTNLFFSQPLFSQVRSNALSSWDNLGGFKFVETKHMSMHPMKTVGLDELPFSNLQISTSVAAQTARHHNFDGLMPVKGTNTKVLNQGVEASTAASNSNGAPELGRALSLLSDGSWGSSSTVIQQHNSHVHTGAMPPLGTIAVSNPVTNHLDPSPGGFWHDDPATLDGTLQIQASTHL >KQL12096 pep chromosome:Setaria_italica_v2.0:IV:38739849:38744211:-1 gene:SETIT_006472mg transcript:KQL12096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFGMNWDQKNSMVWDCENLALSVPNEIVRHGSANSSGGTLTSSSELGHGSSKSSISGSIDSPFGVGNSIEFNFAAVERHVKDMGKNGRVDDSRTSPSSMIAFSHGEPSISLKLGKRAYVESVCGRQDNKSSAPSTVTSASTVVKKTKVSHQNAKNSYCQVEGCKVDLSSAKAYHRKHKVCEDHAKAPKVVVAGLERRFCQQCSRFHGLAEFDQNKRSCRRRLTHHNARRRKPQTDTISFNSSRLSTMFYDTSQQTNLFFSQPLFSQVRSNALSSWDNLGGFKFVETKHMSMHPMKTVGLDELPFSNLQISTSVAAQTARHHNFDGLMPVKGTNTKVLNQGVEASTAASNSNGAPELGRALSLLSDGSWGSSSTVIQQHNSHVHTGAMPPLGTIAVSNPVTNHLDPSPGGFWHDDPATLDGTLQIQASTHL >KQL12338 pep chromosome:Setaria_italica_v2.0:IV:40072583:40074876:-1 gene:SETIT_006770mg transcript:KQL12338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSCSSSLSLHGVRVDDEQSALDNSSRPSSPFDILTPQDVLPIEMARSRFLDLVVDYFISEHVVETVECSSSDFSQVDDKSSKRKQQGVRYEGDPMIALPLMYIANLYETLVSDVNVRLASLIGFREKSIGLALEASGGLYRKLIQRFPKKGPCSFKRRELATSHSTRTKFPELVVQEEKRVRFVVINGLAIIERPDNMRMEDAEWFKRLTGRSEVAICSRDYKFYSPRHKFRRSPQAAFDIPETSIQNQHQSTSKRHIEQLENQPYLHLFHQSEDDTIQQVQHCTQFPPVHQCTSAPHLSDNPQNQQQAYLSQHISCLQVGQGHLGGRMHIIVSNLLQFSIGNSYQLHAVLS >KQL12336 pep chromosome:Setaria_italica_v2.0:IV:40071981:40075415:-1 gene:SETIT_006770mg transcript:KQL12336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSCSSSLSLHGVRVDDEQSALDNSSRPSSPFDILTPQDVLPIEMARSRFLDLVVDYFISEHVVETVECSSSDFSQVDDKSSKRKQQGVRYEGDPMIALPLMYIANLYETLVSDVNVRLASLIGFREKSIGLALEASGGLYRKLIQRFPKKGPCSFKRRELATSHSTRTKFPELVVQEEKRVRFVVINGLAIIERPDNMRMEDAEWFKRLTGRSEVAICSRDYKFYSPRHKFRRSPQAAFDIPETSIQNQHQSTSKRHIEQLENQPYLHLFHQSEDDTIQQVQHCTQFPPVHQSNQPCQVL >KQL12337 pep chromosome:Setaria_italica_v2.0:IV:40072319:40074876:-1 gene:SETIT_006770mg transcript:KQL12337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSCSSSLSLHGVRVDDEQSALDNSSRPSSPFDILTPQDVLPIEMARSRFLDLVVDYFISEHVVETVECSSSDFSQVDDKSSKRKQQGVRYEGDPMIALPLMYIANLYETLVSDVNVRLASLIGFREKSIGLALEASGGLYRKLIQRFPKKGPCSFKRRELATSHSTRTKFPELVVQEEKRVRFVVINGLAIIERPDNMRMEDAEWFKRLTGRSEVAICSRDYKFYSPRHKFRRSPQAAFDIPETSIQNQHQSTSKRHIEQLENQPYLHLFHQSEDDTIQQVQHCTQFPPVHQCTSAPHLSDNPQNQQQAYLSQHISCLQVGQANQPCQVL >KQL09003 pep chromosome:Setaria_italica_v2.0:IV:658279:658869:-1 gene:SETIT_007837mg transcript:KQL09003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDHRCMAATAAAAASAGYGGGSVEAALRPLVGAHAWDYCICWRLSPDQRFLEMTGLCCSSELEAQVSALGELPSSIPLDSSSAGMHAEAMMSNQPIWQTSCVPAELPASCSTNTQESCASFH >KQL09279 pep chromosome:Setaria_italica_v2.0:IV:2264379:2265292:-1 gene:SETIT_007520mg transcript:KQL09279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENRNLAPTWATTAGLGFLTLNSGLAIYRAKGDPASILFVLGSYLTLLLLFGYLRAFERAPPGSPARERARRAVWPLTTLLTLGFAWKVAAVMPSPAAAAVVWGLAVATAAGGFFALFVAG >KQL10367 pep chromosome:Setaria_italica_v2.0:IV:13600638:13602388:1 gene:SETIT_007618mg transcript:KQL10367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKERRLAAMAAAGRRVKLDLFLDPSPGEASQKEGIGGEIRDQQTVVPTSPSSSGGFHQFPSKGYVKETCLWIMEHKYGGNLDLLYKHVCPPHVWF >KQL11405 pep chromosome:Setaria_italica_v2.0:IV:33241184:33244665:-1 gene:SETIT_008232mg transcript:KQL11405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAEAVGAGGRGEEAEPVTPQPQPQPQPQQQQQQEGPQAVDDRRLLRSQYLAVKCLISDEKDDMASADSENFLSIINKVESLHQQVQRPREQIADAEALLDLATSLVTSVRSHSVLGITPSDFVAGLLKKFGKQRGPDDEHASLDWARVGRAASHVFMNAAGCATMVGPMKTEVKPRRVCIRKKRTARPRGSARPEQLVDPTVKTKSDTDKNMSAIFNLLRRKKNARLEHLVLNRTSFAQTVENVFALSFLVKDGRVEINVNDEGHHIIYPRNAPAASAVASGEVVYNHFVFRFDFKDWKLMKGVVPEGEELMPHRSSQDAPGAAGNSHPEPELTVPTQSAPIRKRCRNRGLISQDETVATGVQEIMANRTVATGAQQVVEDEMPAAMGAKEVMEDETGAATGAKEVMEDKMVAKYRKEVNLTYKRRRLFQDG >KQL09780 pep chromosome:Setaria_italica_v2.0:IV:5967455:5968838:1 gene:SETIT_007593mg transcript:KQL09780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNLQQLSALDDASVHHTGPHGCGAIASGMTSVFTSQQSAVLYCETNHHGKRLLYKNGLKSNPLFVSSGHRFHIGVNKYNDMNNVAQAANSRIFRTKIMHTPLQI >KQL09539 pep chromosome:Setaria_italica_v2.0:IV:4110681:4111228:1 gene:SETIT_007869mg transcript:KQL09539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQLLAPAPPLASRPFLAFFAGGRHGHIRDQLLRHWKARDPDVFPVYEYDLPVGTNYYTFMRNARFCLCPSGHEVASPRVVEAIHAECVPVLVSDGHAPPFADVLRWEAFSVAVPAADIPRLREVLERIPAAEVERLRRGVQLPPERLDMFRMILHSVWLRRLNLRLDN >KQL09205 pep chromosome:Setaria_italica_v2.0:IV:1849368:1852805:-1 gene:SETIT_007168mg transcript:KQL09205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHPGSSAAAGEAPTTGEHRMGTTIIGVCYEGGVILGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQAGQPATVKVAANLIRLLAYQNKNMLQAGMIIGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYALMDHEWREGMSEEEAQKFVVKVVSLAMARDGASGGVVRTVTINADGVKRNFYPGDKLPLWHDELEPHNSLLDILAAGNPDPMVQ >KQL09204 pep chromosome:Setaria_italica_v2.0:IV:1849368:1851612:-1 gene:SETIT_007168mg transcript:KQL09204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNTHVAVFMLPCLYFLSSKKFLLCSLSARDGHSFLHVIHFTCKLLAVFIFSQAADTQVISDYVRYFLHQHTIQAGQPATVKVAANLIRLLAYQNKNMLQAGMIIGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYALMDHEWREGMSEEEAQKFVVKVVSLAMARDGASGGVVRTVTINADGVKRNFYPGDKLPLWHDELEPHNSLLDILAAGNPDPMVQ >KQL09395 pep chromosome:Setaria_italica_v2.0:IV:3111801:3112316:1 gene:SETIT_007753mg transcript:KQL09395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTTAVMCWSERRLGYAFCSVRLEPFCILQHHFVSIIHFELASFHLSHFLQYGSSLFSACCLVGG >KQL09444 pep chromosome:Setaria_italica_v2.0:IV:3432757:3433171:-1 gene:SETIT_008936mg transcript:KQL09444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTNVLCKSGNTLHKTGMSNSSYLSHCLQGHNQ >KQL09766 pep chromosome:Setaria_italica_v2.0:IV:5835974:5838327:-1 gene:SETIT_007138mg transcript:KQL09766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVADGARCCGSIDNWLFLMQNDGGCSLTNPFSKATLDLPKLATVWRPGGSLALDSSPESLVVLILDCANSSTVCICQPPVATDMSRGRHMEPSRCLSDVAFFNGKLYGVAFGDKLVIFEIGYIGSKPKISVTECIINSRDDLWDLPQSLSREKGYMLREYLVESCGRLLKADLSTNPGHWRRVDKLGGQALFVGRHCSKLFAAEEFCCDPLRDSGVYNLRNGTITPLLSQTATVPQHHGGHWRPTWFFPADSI >KQL10454 pep chromosome:Setaria_italica_v2.0:IV:15461677:15462399:1 gene:SETIT_007410mg transcript:KQL10454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSVLLLAIVLVSLRLITQDVAAATDRFTNKANESDGKKVKLTSGDGTNEEKLGHGHEYYGGYGYGGGYGGGGYGGGYSGGYGGYTPGHGWYGGGYGHYHGHGGGYGHYPRHGGGYGHYPGHGGEYSGRYGGYGGGGYLGEGYYSSGGGSSGGWH >KQL09645 pep chromosome:Setaria_italica_v2.0:IV:4881441:4884778:1 gene:SETIT_007507mg transcript:KQL09645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTIPPRQPGRGALLHRAAVAALLFLSPLASCGSAAGAQLLILHRTPRRPSSSSCPHRLSLSLRVPYRHPPPLQRLGAAPGSRNSLVVEWAQLRQLAHPGHDAGGRTKSGVASSSLCSNSPSTNLTAV >KQL09644 pep chromosome:Setaria_italica_v2.0:IV:4881441:4884778:1 gene:SETIT_007507mg transcript:KQL09644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTIPPRQPGRGALLHRAAVAALLFLSPLASCGSAAGAQLLILHRTPRRPSSSSCPHRLSLSLRVPYRHPPPLQRLGAAPGSRNSLVVEWAQLRQLAHPGHDAGGRTKSGVASSSLCSNSPSTNLTAV >KQL09643 pep chromosome:Setaria_italica_v2.0:IV:4881441:4884778:1 gene:SETIT_007507mg transcript:KQL09643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTIPPRQPGRGALLHRAAVAALLFLSPLASCGSAAGAQLLILHRTPRRPSSSSCPHRLSLSLRVPYRHPPPLQRLGAAPGSRNSLVVEWAQLRQLAHPGHDAGGRTKSGVASSSLCSNSPSTNLTAV >KQL11376 pep chromosome:Setaria_italica_v2.0:IV:33004279:33012620:-1 gene:SETIT_005672mg transcript:KQL11376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPDAEGAPGGASPAARVLARALDKVIKHSSWRRHAALVAASKSALDLLCSAPAAPEPDEPSAAPASPVPGLPAPTADAALAALLLALDPGSPKVAEPALECVAGLLTLRLLRGDVDAADPSASSPPSPVSRLFAAVLSCVSLGGGGGDDALELAVLRVLVAFALCPAVSVSGDCLGQVVKACYNVYLGSASGGNQLCAKLAIAQVLAIVFARVEADDMDVRVRTVSAADMMDLSDRSLNDSSVVQAAQAFINEAMEGSDVPEEAPPVDAVPIEGEGSGGDGGMSKIREDGLALFKNICKLSMKFGTPESPDDPMLLRGKVLSLELVRMVVDNAGPFWKTNEKYLEAVKQYLCLSLLKNSALSAMSVFQLLCSIFMSLISRFRSGLKEEIGMFFPMLILRVLENVLQPSFLQKMTVLNFLEKICKEPQVIIDIFVNFDCDVDAPNIFERIVNGLLKTALGVPAGSTTTLTVAQDQTFRIESVKCLATIMKSMSAWMDQQLRIGEFSPSNSENLSSVDNQNIHNGEEGSGMDYELQFDTINSDITDSSSLEQRRAYKMELQKGITLFNKKPSKGIDFLIRSKKIGQSPEDVASFLRNTAGLNATMIGDYLGERDDFPLKVMHAYVDALNFESMDFGQAIRFFLQGFRLPGEAQKIDRIMEKFAQCYCKCNPNAFTSADTAYVLAYSVILLNTDAHNPMVKNKMSKADFMRNNRGIDDGKDLPEDYLSALYDQIVNNEIKMSADSSVAQTKQSNSVSRLLGLDNIINFVNWRPAEDKAVGANDLLIKHIQEKFKAKRGKLESTFYVIADATILRSMMESCWAPMMAAFSVLLDQCDDKASTSQCLKGLRFSVHITSVMCMQTQRDAFLTSIAKFTSLHSAADMKQKNVDAMKAIISIAIEDGNYLQEAWEHVLTCLSRFEHLHLLGEGVPTDASFLTVPLIESEDKTQKSTSVISSKKTNALQNPAVMAAVRGGTYDSTVAKTSVSALVTPEQINNFLSNINLLDQIGIVELNHIFAHSQRLNGDAIVAFVKALCKVSMTELQSPMDPRIFCLTKIVEIAHYNMNRIRLVWSRIWKVLSDFFVSVGLLENLSVSIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVVVMQRSKAPEVRELIVRCVSQMVLSRVHNIKSGWKGVFMVFTFAAADDTRSTVLLAFETVEKIIRDYFHHVTETETTTFTDCVTCLIAFTSSQFNSDANLNAIAFLRFCAVRLAEEGFVRQDRGAEQPMNSDMSGGNATVHKDGYVSLWVPLLAGLAKLTTDPRLTIKKGAVGVLFDTLKDHGHLFSQAIWTDIFERIVYPLFNSEMPIPNDQISTSNLPDLETQTLAMKCLVGLFVNFFDVIRPEFARTASIVTNFVRSPYKHCATTGVSAIMRLTEGLGNKLSEEEWKEILVCFKESVTHTFVIFSKIVRMMQDIEISDRLDSYSETEQYLDHEMYSNDEEEANMETASYAIVKLKNHMALLLVVIQSIIKLYEEHRKYLRAEHMSILLEMVSAIATHSSEVSSESSLQMKFHKACSLLEVSEPAIVHFENESFQSYLKLLQALQHDDPSLSEEMNIESQVLNTCKKILRTYLKCAGHEPCDESSQRNPPLNCAVPLSATKKEELAARTLLVLQVMKLLGDLERDSFGRILPFFFPLLVDLIRCEHSSGEVQLALYNIFQSVIGPMIRV >KQL10744 pep chromosome:Setaria_italica_v2.0:IV:24665469:24668042:1 gene:SETIT_008122mg transcript:KQL10744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLFLNRLLCSTHQHCHQQQIILIPNKLGSPLANTIHPSDVNDLANRLVIVCRKAAEGFTTIADNKVEMEIDREVFINTDQNFDENKKEFQKAAASQLGDVTKIDQQIPGDSGSYCSNTQEDSRPASPGTRALLCDEQDLTFGTAYRSSIPVALHDQDISELQTAQENVVLREFRNYLRLIIARGKLNEGKSSSGTVMELDATRNHESSTTLPPVRAEEKSCAPDDPRNPKTSKSFGSDGVSTHEIK >KQL10975 pep chromosome:Setaria_italica_v2.0:IV:29057955:29059120:1 gene:SETIT_008400mg transcript:KQL10975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARREDDSYTNGSVFEVSVEEGRKDKSEAYADASKQPEEANDGIDDAVCGMPASISYIQQLIAEFLATFFLIFAGCGVITVNDKNGMATFPGIAVVWGMTVMAMVYAVGHVSGAHINPAVTVGFAVSGRFPWRKVPAYMVVQTVAATFASLLLRQMFGRRHLVASVTVPSGISSQSLVLEFIITFYLMFVIMAVATDDRAVGQMAGLAVGGTIMLNALFAGPVSGASMNPVRSIGPALVGGKYTGLWVYIFGPFAGAAAGAWAYNLIRHTDKTLAEITKSISRTNN >KQL11642 pep chromosome:Setaria_italica_v2.0:IV:35534759:35543361:1 gene:SETIT_005683mg transcript:KQL11642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPTKRRHQPGGAHPTRRKVVEEPFHPAAPTPPAAAAAAAAPPRLVGAIVEKGFSAAAPSSAPRPSVLPFPVARHRSHGPHWGPAAKGAVKDGAEEEDEMDMDEADYQLVVAAAAGPVKRKEKKGMDFSQWREFVGDAPPKRRQGKPAQAKKHSEQKIDAGAVNSKVAAASAGGRELDGGAMQIDSGNAREGPGAAISVSDVVSKKPLNQAESRVGLVKAGEVRNSALQGERMELDGGESSMEAEISAENMARLAGMSAGEIVEAQADIINKMNPALVEMLRRRGREKSGGTKGVGKDKGLENSGPQKAKKATPGDWLMAGEHSGRSWKAWSERVERIRSCRFTLDGDILGFQSSQEHQDGKKTHAENVAERDFLRTEGDPAAVGYTINEAVALTRSMVPGQRVLALQLLASILNRALQSLHKMDLLDIVKEMDFNDKVHDWQAVWAYALGPEPELVLSLRMALDDNHDSVVLSCAKVINVMLSFEFNESYFESSERVVDHGKDICTAPVFRSKPDLDGGFLEGGFWKYNTKPSNILPQYGDNDEDEGDEKHTIQDDVVVSGQDVAAGFIRMGILPRICFLLEMDPPPVLEDYLVSILVALARHSPQSANAILNCPRLIQSVTKLLSKQGSMEIRSSQIKGVTLLKVLSKYNRQTCLNFVNHGVFQQAMWQWYRKAGTLEDWVRSGKEQCKLSSAMMVEQLRFWRSCISYGFCIAHFADLFPVLCLWLSPPNKNLSEHNVLVEFSSVARESYLVLGALAQRLPLLHSVEQLAKQDVGVSASTYIETWSWSHVVPMVDIALSWLRLNDIPYVCSLISSQNRNTKQMLEASYLILVIASVLGMLNSILERISPDATSDGKIYSLPWIPDFVPKIGLGIIGNGFFSISGTVAFGNLDHQSFCSTSLVQGLCYMRCHGNVDMSLSSISCLQRLMQLSWSVDRVIQGATKSCTEHLIESKTGAAGKLLGEGISSLWHDDLLHLLTSLLPMISSQWSILQNIEVFGRGGPAPGVGLGWGTCGGGFWSLKCLLAQLDSQLVLELFKNFSSAPGGSVTLNNRMNSDNVSKTAVTSSDRISSSLGASLIAGPGQIYMMEKAFDILLEPSSLKYLKSSIHIFASHMALPKLFEWDITEEEYQLFSSVLNSHYRSRWLSIKKKHSDKDAGNNNSTNVQKIPETLETIQEETELTEAVNEPHSTLVVEWAHQRLPLPVHWILSAVCCIDDPKGILSTSAKYILDVSRAGLIFLLGLEAISAAPCLHAPLIWKMHALSVSIRSSMDLLQEDRSRDIFHALQELYGQHLDRLCQKYCRSHSVKEDDSAGVANLEEAKEISRFEILRFQEKIHGSYTTFVESLVEQFAAVSYGDVTFGRQVAIYLHRTVEPAVRLAAWNALSNAYALELLPPLDKCIGNTEGYLEPLEDDEKILESCAKSWTSGVLDKAAQRDSMAFTLVKHHLSGFVFQCSASGKTLRYKVVKSLLRCYAQKPHHEAMLKSFIMQGIAQDPEHSSNELDRRFEILKDACEMNSSLLAEVQRLKASLGQ >KQL10973 pep chromosome:Setaria_italica_v2.0:IV:28971369:28971625:1 gene:SETIT_009127mg transcript:KQL10973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSQGTGSLSLMTKLTVFYKLCPVYFFLLTGD >KQL10236 pep chromosome:Setaria_italica_v2.0:IV:11591784:11592221:-1 gene:SETIT_008307mg transcript:KQL10236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLRERSFGRRRRAANSAPPPQVRNRTSPGDMATSAPADAPSPPAPQPQPESARKAVRVVVKGHVTGVGFRDWTASTAESLGLAGWVRNRRDGSVEALLSGDPAKIEDMITRRFPVGPPASTVTAVVPSPAEPVDPSAGFEIKFTV >KQL11133 pep chromosome:Setaria_italica_v2.0:IV:31038083:31039679:-1 gene:SETIT_006522mg transcript:KQL11133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSLSSCCGSEKVEQVGCVSASSMSSTWRIFSYKELHAATNGFSEENKLGEGGFGSVYWGKTPDGLQIAVKRLKATNNSKAEMEFAVEVEVLARVRHRNLLGLRGYCAGGPGTDHRMIVYDYMPNLSLLSHLHGQFAAETRLDWPRRVAVAVGSAEGLVYLHHEAAPHIIHRDIKASNVLLDSDFAPLVADFGFAKLVPEGVSHMTTRVKGTLGYLAPEYAMWGKVSGACDVYSFGILLLELVSGRKPIERLPSGAKRTITEWAEPLIARGRLGDLVDPRLRGAFDADQLARVVECAALCVQGEPDRRPDMRTVVRILRGEDTDVPGGKGGDRPPVRIQSVKYTDHLMEMDKSSSYYGEPEDGDEEEDDIDDEEEVEEYSLIDDKSSMNFGAFGAMPAVQTMHDPYAKRFSGNANAIKI >KQL11502 pep chromosome:Setaria_italica_v2.0:IV:34250105:34250637:-1 gene:SETIT_009052mg transcript:KQL11502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVWLGRRQTDRSGADRVGGVLASTGWGDAHMARPERAAARERPLRRRRRRRPCPGRLRPTSVSTYGGLDCLTGSLPARVQLQRQAGRDTTISSWSSEHLNSSAFV >KQL08893 pep chromosome:Setaria_italica_v2.0:IV:170828:172310:1 gene:SETIT_006365mg transcript:KQL08893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHSINSDPSPVRVVGRRTVKPPPRPRDLIPLTTWDVSFLSADYIQKGLLYAPPPFPTARLVDHLQAALADALAAYYPVAGRFVTEKHGGGCSVSIDCDGQGVDILHAVADGVAVADAIPPDADVPRLVHSFFPLDGAVNHDGHHLPLFVVQVTELADGVFIGFAYNHALSDGTAFWDFLNVWAGIARARLPLLSGGKEAASWDFQPPLLERWSPDSVPGPVVLPFPDLTGLIDRLSPQPLRERMLHFSGESLAALKERARQELLAAGDAAGAAAVTRFQALSSLVWRCVTRARSLPAEQPTACRAAINNRARLRPQLPPDYFGNTIYAISTEAVRAGELLERGHGWATAAMGRAVAAHTDADIRARVAAWMAKPVVYTNRYFDPNCVMMGSSPRFDMYGCDFGWGKPLAARSGRANKFDGKASLYPGRDDGGGIDAELVLAPEHMARLEQDQEFWAAVTPDSPALGL >KQL10232 pep chromosome:Setaria_italica_v2.0:IV:11473626:11477903:1 gene:SETIT_006560mg transcript:KQL10232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNVKTLKGTSFEIEASPEESVAEVKKIIETTQGEVYPADQQMLIYQGKILKDDTTLESNKVAENSFLVIMLSKAKASSSGASTAAAAKAPATPAQPAAPAVPVASVARSTPPQAPVAQAETAPPTAQPSPAAAAATTPAATVAASSDADVYSQAASNLVSGNNLEQTIQQILDMGGGTWERDTVIRALRAAYNNPERAIDYLYSGIPENVEAPPVARAPASGQQTNPQAPPAQPAVAPPVQPSAASAGPNANPLNLFPQGVPSGGANPAAGAGAGAGALDALRQLPQFQALLQLVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNESPEGGAGG >KQL10233 pep chromosome:Setaria_italica_v2.0:IV:11473475:11479045:1 gene:SETIT_006560mg transcript:KQL10233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNVKTLKGTSFEIEASPEESVAEVKKIIETTQGEVYPADQQMLIYQGKILKDDTTLESNKVAENSFLVIMLSKAKASSSGASTAAAAKAPATPAQPAAPAVPVASVARSTPPQAPVAQAETAPPTAQPSPAAAAATTPAATVAASDADVYSQAASNLVSGNNLEQTIQQILDMGGGTWERDTVIRALRAAYNNPERAIDYLYSGIPENVEAPPVARAPASGQQTNPQAPPAQPAVAPPVQPSAASAGPNANPLNLFPQGVPSGGANPAAGAGAGAGALDALRQLPQFQALLQLVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNESPEGGAGGNILGQLAAAMPQAVQVTPEEREAIQRLEGMGFNRELVLEVFFACNKDEELAANYLLDHGHEFDEQQQ >KQL10231 pep chromosome:Setaria_italica_v2.0:IV:11473475:11479045:1 gene:SETIT_006560mg transcript:KQL10231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNVKTLKGTSFEIEASPEESVAEVKKIIETTQGEVYPADQQMLIYQGKILKDDTTLESNKVAENSFLVIMLSKAKASSSGASTAAAAKAPATPAQPAAPAVPVASVARSTPPQAPVAQAETAPPTAQPSPAAAAATTPAATVAASSDADVYSQAASNLVSGNNLEQTIQQILDMGGGTWERDTVIRALRAAYNNPERAIDYLYSGIPENVEAPPVARAPASGQQTNPQAPPAQPAVAPPVQPSAASAGPNANPLNLFPQGVPSGGANPAAGAGAGAGALDALRQLPQFQALLQLVQANPQILQPMLQELGKQNPQILRLIQENQAEFLRLVNESPEGGAGGNILGQLAAAMPQAVQVTPEEREAIQRLEGMGFNRELVLEVFFACNKDEELAANYLLDHGHEFDEQQQ >KQL10773 pep chromosome:Setaria_italica_v2.0:IV:25454652:25459358:1 gene:SETIT_006277mg transcript:KQL10773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHEFVFDAVLDDDVSNDKVYNETVEPIIPAIFQRTKATCFAYGQTGSGKTYTMQPLPLKASQDILRLIHYTYRSQGFQLFVSFFEIYGGKLFDLLNKKRKLCMREDGKQQVCIVGLQEYRVSDVEMIKELIERGNACRSTGTTGANEESSRSHAILQLAIKKVVEGKESSRVVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQVHIPFRGSKLTEVLRDSFIGNSRTVMISCISPNSGSCEHTLNTLRYADRVKSLSKVGTKKDDPLPPASNMRESSPGPPLSNLDYCDASDELKRYGLKKTAQDDSLLSNISRVPSGRFVTQGPLPYLNHVNEPSRSSAKGNGYELSEEGSEPDIPLQKSSRAPTNSTSSIDGTRKTATQMQWKSTPEVHANGLDADDVLDALLKEEEDLVIAHRKQVQESITILREEMKLLEEADQPGNQLDEYITRMSFIISKKAAGLMNLQARLARFQQCLSEQNVLVNQSDL >KQL10145 pep chromosome:Setaria_italica_v2.0:IV:10254027:10254251:-1 gene:SETIT_007946mg transcript:KQL10145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITRVNIAAMPVILLLILALWAVSGAAKPLSSEVWLPAGEAVSGDEGVVQFLHQIYLQQLGAGPSCGTNSSNL >KQL11475 pep chromosome:Setaria_italica_v2.0:IV:34015673:34016596:-1 gene:SETIT_008761mg transcript:KQL11475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNRANWDKGTMKTLLDLCIDQKNQFNWSNICLSKLGWKNMYHSFNQQTGLHLGSKQLQNKLNALRRTFLSWRALQNQSSLGHDTQTGDRGTLLTAGGIRESTPRVGTEGNAADLDQDPMPTSSARNFSKRSAREFSVDSPQKKRSGSLEQYIRDLSESVAKRSQKHADRAQGEMYRAMQLIKEDGLQEGSPLYCHALYLCTKNPDYRRAFTKMKTKEGRLNWIQFN >KQL09923 pep chromosome:Setaria_italica_v2.0:IV:7485515:7486520:-1 gene:SETIT_008061mg transcript:KQL09923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSLMKLFFDNSCQKEVKVVMLGLDAAGKTTILYRLHVGEVLSTVPTIGFNVEKVEYKNVAFTVWDVGGQDKLRPLWRQCLSNSDALIYVVDSVDRDRVGVAREEFQAIAKDPLMLNSVVLVLANKQDMKGAMKPPEVGQRLGLYDLKNRTSRVVGACALTGEGLHEGLGWLAATLKDAHAWGSSVRF >KQL12027 pep chromosome:Setaria_italica_v2.0:IV:38275520:38278184:1 gene:SETIT_006660mg transcript:KQL12027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL12028 pep chromosome:Setaria_italica_v2.0:IV:38275520:38278184:1 gene:SETIT_006660mg transcript:KQL12028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL12029 pep chromosome:Setaria_italica_v2.0:IV:38275520:38278184:1 gene:SETIT_006660mg transcript:KQL12029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL09953 pep chromosome:Setaria_italica_v2.0:IV:7692001:7692469:1 gene:SETIT_007714mg transcript:KQL09953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLVDWVAKCIVVIIECSKKLSFAPSREDSDDLIITISLVMDLLMKYFGFRVCQPCVDLWSIIAVCTYKWHQQLK >KQL11146 pep chromosome:Setaria_italica_v2.0:IV:31126808:31127959:-1 gene:SETIT_007705mg transcript:KQL11146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASRKKPEKEGQRPRKSAKIEAMMERFLEMRTKQAEDEAQQLARENETREKKDAKGDEYSIKKCISIINTMEVTK >KQL09146 pep chromosome:Setaria_italica_v2.0:IV:1497067:1498245:-1 gene:SETIT_007639mg transcript:KQL09146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVLAFSILSASPADIAAGAGAFPTTRLSWRRGGGGQGDSAEATRQRDGEKQGGSPRPHGHGGGKDEPAAFLPRFAPEFDGIDCFETIVSH >KQL10724 pep chromosome:Setaria_italica_v2.0:IV:24091332:24092251:-1 gene:SETIT_007145mg transcript:KQL10724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNIAFGRFDDSFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLSGGAPLDAAGLVAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLALGGQITILTGLFYWIAQLLGAIVGAVLVQYSTGVVRQQATPTHGLSGIGALEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDFTNIWIYWVGPLVGGGLAGIVYRYIYMCGDHAPVASSDF >KQL10723 pep chromosome:Setaria_italica_v2.0:IV:24090904:24092392:-1 gene:SETIT_007145mg transcript:KQL10723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNIAFGRFDDSFSAASLKAYVAEFISTLVFVFAGVGSAIAYTKLSGGAPLDAAGLVAVAVCHGFGLFVAVAIGANISGGHVNPAVTFGLALGGQITILTGLFYWIAQLLGAIVGAVLVQYSTGVATPTHGLSGIGALEGVVMEIIVTFGLVYTVYATAADPKKGSLGTIAPIAIGFIVGANILVAGPFSGGSMNPARSFGPAVASGDFTNIWIYWVGPLVGGGLAGIVYRYIYMCGDHAPVASSDF >KQL09066 pep chromosome:Setaria_italica_v2.0:IV:1026418:1027434:-1 gene:SETIT_008092mg transcript:KQL09066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVESSETPCPPFPLIGDEDDVGGHENQAAAEVPQGEALELPTVDLEAPGPALDAACRGLGIFRLANHGVPADLTARLFALARDLLGRTPFPEKKAQPGYFWGTPEEPSRRVRPRDVNWIEGYHVHLAQPRPIAVGPPPPSDDDPALGDLVAEYADHMARVARRLFDAIAEALCLDADRTASYINEHGGYLRVHRYPRCPEPGHHGIQAHTDSTTLSIINQDAASGGSGGLQVHHDGAWRDLAAPGVPDDGALLVNLGDMAKAISADAYRSVPHRVVASMGDDERLSLCYFAFPRDDAVVSCDGSRYRPFTVPEFRAQVQADLKAIGSKVGLERFLRH >KQL11864 pep chromosome:Setaria_italica_v2.0:IV:37249658:37252837:-1 gene:SETIT_008339mg transcript:KQL11864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLNLPCCSSSSSKLPVPSFGLAFVLLLSTASFVSSCTEQERSSLIDFRDGLSLEGNGGLNNSWINGTDCCQWDGITCTNSVVTEIMLASKGLQGKISPSLGNLTGLLHLNLSRNSLYGSLPANLLFSSSIIILDVSFNHLSGPLLEQRSSNPGLPLQVLNISSNFFTGQLPSTTLEVMKNLVALNASNNSLMGPMPSSICNNAPSLAMLDICLNEFSGTISSEFGNCSMLKVLKAGHNNLTGVLPHELFNATSLEQLSFPNNDLQGILDASNLVKLANLIILDLGSNGLRGNIPDSIGQLRRLEELHLDNNLMSGELPLALGNCTRLKYITLRNNSFRGDLSTVNFAQLDLRIADFSINKFTGTIPESIYACSNLIALRLAYNNFIGQFSPRIGNLRSLSFLSITNNSFTNITDALQKLKSCKNLTSLLIGTNFKGETIPQDEAIDGFENLQVLTIDACPLVGKIPVWLSKLTKLEILDLSINQLTGSIPSWINGLKFLFFLDISSNKLTGDIPTTLMEMPMLQSEKNAAKLDPKLLELPVYWTQSRQYRVLNAFPSVLNLCNNRFTGIIPREIGHLKMLDVLNFSTNSFSGEIPQEICNLTNLQTLDLSNNQFTGPIPSALSNLHFLSWFNVSNNELEGPVPTGGQFNTFTNSSYSGNSKLCGSMLSTHCNSVQAPPASMRRKHNKGIVALALCVFFGGLAILFLLGRLILSIRRTKSADRNKGSNSRDIEATSFNSVSDHLCDGIKGSILVMVPRGKGESNKLTFSDILNATNNFDQQNIIGCGGNGLVYRAELPCGSKLAIKKLNGEMCLMEREFKAEVEALSMAQHENLVPLWGYCIQGSSRLLIYSFMENGSLDDWLHNKDDPNSFLDWPIRLKIAQGAGRGLSYIHNTCKPHIIHRDVKSSNILLDREFNAYVADFGLARLILPYDTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVLLELLTGKRPVQVLTKSKELVQWVREIRSQGKDVEVLDPALRGRGHDDQMLNVLEVACKCINHNPCLRPTIQEVVSCLDSVDVNLQVQT >KQL09690 pep chromosome:Setaria_italica_v2.0:IV:5262491:5262742:-1 gene:SETIT_008690mg transcript:KQL09690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRKTKAPPPKKAKLEKAFDCPFCNSRASVECTINLKDRIATARCEVCKDVYFTSAHALTEPIDVYSDWIDACELANEGV >KQL09199 pep chromosome:Setaria_italica_v2.0:IV:1825089:1825449:1 gene:SETIT_008951mg transcript:KQL09199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCEICHKKQSTIFLSVLQKTSILILKETVITESISRA >KQL09804 pep chromosome:Setaria_italica_v2.0:IV:6207536:6208834:-1 gene:SETIT_006704mg transcript:KQL09804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAARLRHYTNRLVRTLHPSRIPPPLQSAARPASHSHRRRERAVMAAAADPDTEVQADFFPMVRQYRSGRVERFMNMPPLPAGTDPATGVASKDVVLDPATGVWARLFLPPGVPKGKKLPVVVYYHGGAYVVGSAADPFTHSYLNALVAEAGVLAVAPEYRLAPEHPLPAAYDDSWEALRWVASHAAGGTGPEPWLAEHGDFSRVFLAGASAGGTIAHVVAARAGGHGAGGLGMRVRGLLIVHPYFSGAADIGDEGAAGKERKARADAFWRFLYPGSPGLDDPLSNPFSEAAGGSAARVAAERVLVCVAEEDDLRDRGVWYYENLKASGYPGEVELLESTGEGHVFYCINPRCDKAREMQERVLSFLRK >KQL09590 pep chromosome:Setaria_italica_v2.0:IV:4447941:4448231:-1 gene:SETIT_008372mg transcript:KQL09590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRKSRTSKSMATPRKPPKLDTEFTCPFCGHPDAVWCHIDRKDRIAKAACRICSENYFTSAHALTEAVDVYSEWIDACELANQGVRRCRPCLVKA >KQL12315 pep chromosome:Setaria_italica_v2.0:IV:39980193:39982056:1 gene:SETIT_007288mg transcript:KQL12315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGARLLLIPSLSLPAPAPAPARLSLSPPSFPFPSQGQACPRLPPVQESAMKGAKSKGAAKADAKLAVKSKGAEKPAKGRKGKAGKDPNKPKRAPSAFFVFMEEFRKEFKEKNPKNKSVAEVGKAAGNRWKSLTDADKAPYVAKANKLKSEYNKAIAAYNKGESTAAAKKAPAKEEEEEDEEESDKSKSEVNDEDDDEGSEEEEDDDE >KQL12314 pep chromosome:Setaria_italica_v2.0:IV:39980193:39982056:1 gene:SETIT_007288mg transcript:KQL12314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGARLLLIPSLSLPAPAPAPARLSLSPPSFPFPSQGQACPRLPPVQESAMKGAKSKGAAKADAKLAVKSKGAEKPAKGRKGKAGKDPNKPKRAPSAFFVFMEEFRKEFKEKNPKNKSVAEVGKAAGNRWKSLTDADKAPYVAKANKLKSEYNKAIAAYNKGESTAAAKKAPAKEEEEEDEEESDKSKSEVNDEDDDEGSEEEDDDE >KQL12316 pep chromosome:Setaria_italica_v2.0:IV:39980193:39981139:1 gene:SETIT_007288mg transcript:KQL12316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGARLLLIPSLSLPAPAPAPARLSLSPPSFPFPSQGQACPRLPPVQESAMKGAKSKGAAKADAKLAVKSKGAEKPAKGRKGKAGKDPNKPKRAPSAFFVFMEEFRKEFKEKNPKNKSVAEVGKAAGNRWKSLTDAVSFLCIHRFLVALWLGLSADPMCLLLITAGQGSLCSQGQ >KQL09484 pep chromosome:Setaria_italica_v2.0:IV:3753512:3757340:1 gene:SETIT_008718mg transcript:KQL09484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAFTHIAGSMQPLLAANRAAVAIAGAAMQQDPTSNNDSSASIISEQVRSKMFMAFAMQPLLAQVSYAGADGAAFAYYRGEGGRARALFTDAQNGWKWSTQPVDPATGGLVGRPAAAAAGLRLPSSTWALLGSENASRASVGAGWARPGVRMLFFSAPAGDAGVVSAAVAVDGLLDAAADRVGHQEDLDVYYAVSDAPATATDYKPLLLGRQPRYGDTKEQKMRAFSKARCAAATIDAPKLGKLVAVGHGLYNKYKVACTNFNVSGVQLGFRLVLRGPTEDDMLRSMCIPVAVFVCAAVAVAAASCVLAVRALRRAAAREASLNADLVRQKEALRQAERKSMNKSNAFASASHDIRSALSAIAGLVEMSRPEAHALPGIMDNLDQMAVCTKKLFDILNSILDTSKVESGKMQLQEAEFSMADVLQESVDLASVMGVRRGLEVVWDPCDFSVLRCAAVTGDCKRLKQILDNLLGNALKFTDEGHVVLRAWANRPIAGSSASPPSRFGCPTCCVGSGFFGFLFRAREDPDDQDHVENDPDDLVEFYFEVVDTGVGIPREKRMSVFENYVQVNNGQGGTGLGLGIVQSFVRLMGGEISIKEKQPGERGTCFAFNVLLKMSGRQEPQDIEEGTSTPSDPLSRSNFRASVFQEASSFKGFHCILYVHGGETMRILQTWMESIGVKVWLVLHPEFIASTMENVLHNGTTPAARASASPTTDEGDDRCFSSKEMVSHVLPALRNSTGPRRGSHGGNPSGILVVIDVSCGESEDVFLEMEKLVRIKHQAPCKVVLLDDIRTPSDDLWRFKELGCDLVLRKPVHGSRLFTLLMTLRDLQDSDATAHSSQVGPEIAGTSQQQDLPEIVLHGPQEAAASTETASLAQEQKPEDEKPMAGMQVLLVEDTLVLQTIQRKMLSQLGATVRVAQDGAVAVNLFKEALEQASVSEEGAMPLPYNVIFMDCQMPNMDGYEATKLIREEEHRYKIHTPIIALTAHEMEEDLQKAIDAGMDLHLTKPIERKRIVEAVCRVCKREN >KQL10705 pep chromosome:Setaria_italica_v2.0:IV:23891703:23894303:1 gene:SETIT_008522mg transcript:KQL10705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATSSPFLAPLRFSTQIPGSHITSAPGSSPVLRIRCWRPFAASRCDVSLVPARFPRTLPRRRNALRDKASQLWPPPAVPHQVRHPYDEAPKLESLDRQEPKSGCLDAEPSSYLKEKLEISGCLGASRSGNVASESELDGEKDAAGVAEEEITFCRTGAGPVSHAAVGEGSDDSESMLSCARVMAMEPKRGKCVTPKNSSLFQFVAANRVESLILNGEVSVAQRNATPLQCVSWSGLTALFSVCLVLALSKLIQTNSKAHLLRRLLYMRRPGMEWVKFDKGNMTMLKKAHGFPGGLQRRPLLDRKELMNNIKQAKESRDWFVLRSSFSCETVSNGDDARITEFRRMVKEVHRPKERNLEQSSTEESNGTIFPHLIVADDEEVSGNQAGVNDVSDSSKLSGYSLSTDKIEETVEQTVDMENGEAVMSTSVKDDDNIGEIELPEPAYNNDMAIGSNDGPSDMNTSEKEAQIGSADYHNLDPNMINTTSCELESEEAFSETCAKNLDIIQGTKPSVPSISYHQIIHSKDNSEFSINVAPERADGLSSDCFDCSASELRNKKTPMDVSVNDINVNQEIEASRTSAKDTQTDHYKEFAHNMNMIGEEECKTSIVMDNVTSASSQGSREEPIDLKRDSMQLAQEPKPSISSWNDNQHRETESTRTLNNASTSSLYAPPEETVQHNFSKISTSEKKQEKRTSSNKKFRAHLSKNKVKLQKEVCSSKETETTQSEQGVPGTKAVNGPSNSVQKTKKVAKKLLKKVQSDMQIVTTLEDDQSNGLVDQKNNSQNIKKTRRRYLKNAFSNHEARTRDVNPETTHEVNSPYNAPADIVEPLGVEASSVQTQFSK >KQL09329 pep chromosome:Setaria_italica_v2.0:IV:2558117:2558979:-1 gene:SETIT_008322mg transcript:KQL09329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAGAAKFALAVALGCAYILTPILDFLDGVSPRSAVLDAAVAVVLVTLPITYLLGVILVFLHVTPAPPMPPGTPRQLAGLACTFASTLLAVLAVPLVAFMFLAGAVGSGCSPPGCGQR >KQL10144 pep chromosome:Setaria_italica_v2.0:IV:10242853:10243082:-1 gene:SETIT_008251mg transcript:KQL10144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGISAVPVILLVIMMLSVVPEAVWPLGGDVWAPARETELRAGPSCGTNSSNSGCPHLP >KQL09209 pep chromosome:Setaria_italica_v2.0:IV:1865216:1869619:-1 gene:SETIT_006841mg transcript:KQL09209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAAAEGGGGGGSAAARSSPAAVQATNDDAATSKLSCVNKGYMKDDYVRFFVRRATRRAPIINRGYYARWSVLRKLLHQFLNAGKNSNDEKPKQILSLGAGFDTTFFQLQDEGIAPHLYVELDFKEVTSKKAAIINHYSEMKEKLGSEASISIDKGEVISTHYKLFSADIRDIPKLDSVIRMAEMDPSLPTFIIAECVLIYLDPTATGAIVNWASEKFTTAIFFLYEQIHPDDAFGEQMIRNLESRGCPLLGINATPTLSHKEKLFLDNGWQRAVAWDMLKIYNDFIDSQERRRIERLELFDEFEEWHMMQEHYCVAYGINDAEGIFQNFGFDKE >KQL09174 pep chromosome:Setaria_italica_v2.0:IV:1668667:1670447:-1 gene:SETIT_008145mg transcript:KQL09174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPHQAGGTRRHHRQIKLPLADPSEVKQGRCEVPAAGASESMELEELLNIASLEESIFDSPQSVPHKTIGGSSSSFPSRCQKLLANVPDYMFALTLPEPDVPSFDEFPDNSSEEKLVEGAVSSLEELLDTTSSEESITANPRTVPHNTIDGLLRTFPSRFGKLVTDVSSLDEFCDSSSEVSISTSPRMVPHNTIDGPLPSASSLGSNILIRQPPNSFEVFYIRIDRRGTFWMYPNLGGPFQSIDETEKAINSFLDMQQCEARTGTKKENTYSEKWYLVQAILDHFNDENNLSGNLAYELEDLLRKQWVLENLRWYYHFNFTTKQKADDNPSTGNKLFFAEVSYIKEKDALEVNCCRMIKSIAEGIPNSYLT >KQL12262 pep chromosome:Setaria_italica_v2.0:IV:39716041:39716603:-1 gene:SETIT_008909mg transcript:KQL12262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMKSRHGGSLSWTASAIEQDFTFVNLRRRSRMTAELNGR >KQL09758 pep chromosome:Setaria_italica_v2.0:IV:5757871:5761798:-1 gene:SETIT_006665mg transcript:KQL09758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEKDTFDLADLNASLPAAAAALSAEDRAGLVNALKDKLQSLAGQHADVLETLSPNVRKRVEFLREIQGQHDDIEAKFFEERAALEAKYQKLYEPLYTKRYEIVNGVVEVEGVSDEPTSENAAEGKESDAKGVPDFWLTAMKTNEILSEEIQERDEAALKYLKDIKWSRIEDPKGFKLEFFFDTNPFFKNSILTKTYHMVDEDDPILEKAIGTEIEWYPGKNLTQKILKKKPKKGSKNAKPITKTEVCESFFNFFNPPEVPDDEEDIDEETADELQGQMEHDYDIGTTIRDKIIPHAVSWFTGEAVQADDFEDMGDDDEDDNEDEDDDEDEDEEEEEDEEDEEEESKPARKSGSGRKQKVTQKVTQGNAEQPPECKQQ >KQL09912 pep chromosome:Setaria_italica_v2.0:IV:7435308:7436100:1 gene:SETIT_008508mg transcript:KQL09912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAGRSREADPARCRRHPKHRHEAGVCPFCLRDRLSRLSAAAAGASASSSSGSSSPCSSWEETVALSSAQAPRPRRGNLGLLLRQEGREAAALAAGRRVEQEQHQEERTARRGSNFWARLQQQLHHGGWHRKDGCSEAAEKHGDAAATNKRAPWAYGPFTSNRATELFACHWH >KQL11600 pep chromosome:Setaria_italica_v2.0:IV:35179474:35180413:1 gene:SETIT_009099mg transcript:KQL11600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDKNSPVQRAPSVSNSPATPAITPPAPPGSCNPPSTPGAGGDPPAVQPVTPPAVPDPADPDSENRHDPKAFLRDP >KQL10996 pep chromosome:Setaria_italica_v2.0:IV:29294069:29295108:-1 gene:SETIT_007313mg transcript:KQL10996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAPFLAMASNIGSAAATATAAGDNGEMKRRRTSSDALQRTVSDVSFELHHHQHGAKEKATKEAGDEQLPPVAEECTPEYIRGVRRRFSGRWVCGLCAEAVTEEAGKSGGTLEEALKAHMGVCKRFNGFGRTYPVLHQAEAMREILRRRAKLGPRSRSSINPREVRGIATAGTSIARSSSCMPFITDDEFSDRVSINKN >KQL09390 pep chromosome:Setaria_italica_v2.0:IV:3085619:3086700:1 gene:SETIT_007408mg transcript:KQL09390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSQPSGGPICSLVNTFLSSLETKMAARMPCHHHPSSTSSSMAMISPWSGTRKSAFRFSTSAHGSSSSSNLSFTAVTDKKKVYEDQLRGIICYRDDNGEMICEGYDEGPRLGIRLPEKACFPWPVGIQVTDFIQLATLQVFEDVDVLQLKDDQKRNL >KQL11561 pep chromosome:Setaria_italica_v2.0:IV:34834147:34837272:-1 gene:SETIT_006682mg transcript:KQL11561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARRGRGGGGANGVIRPRPRDRGDAAGGGGSMAGRVAVLAFCVAGIWSAYIYQGVLQETLSTKRFGPEARRFEHLAFLNFAQNVMCFVWSFIMIKLWSGGSSSTGRAPLWKYWGVSVTNTIGPTMGIEALKYISYPAQVLAKSSKMIPVMLMGTLLYGVKYTFPEYLCTFLVAGGVSSFALLKTSSKTIKKLANPNAPLGYALCFLNLAFDGYTNSTQDLIKSRFPKTNPWDIMLGMNLWGTIYNALIMFVAPLLFSNWPYANGFEAVKFCQENPEVAWDIFLFCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFMSIVVSSVISGNPLSLKQWGSVVMVFSGLSIQIFLKWKKKKGREHKEEEMQQIVLH >KQL09072 pep chromosome:Setaria_italica_v2.0:IV:1053022:1055040:-1 gene:SETIT_008822mg transcript:KQL09072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKNSTTTFTQSWGSTQGRLVRVEVLVLFSALIWILVKFFGSRRRRYSQGFFRFFVWVVYTLFTVLGPYTIGLLQDGPFRDQTFVLWGTILLFIQVSVDSLSVYSIHDIEQRKRMLVQHLIQIILVLWLIVNCKGHNKSYTVNIWLFWIQSVILTYTKYQSLSNASKKGGLLKLSKVVADYMMIEHEQIPRDFNPGTMEGYKYIFHGEEQVASLLPTAPEYRVKFTEATRRKYTAIDSVWRWIERESAFNQEAKETLKDVALSFSLFKLLKRRLCGYQIGEAGLAKTLDFVLKGLISERGNYVRAFGVIEMELSFLYDFLYTRFDTEYSSFKGWALCFVIITVIVSNSISGAFSRHYHRSSLEQRVHGIDVTRWVTIVLLIIVCASYLVAAATQDWRWDIVDELHSNERPTWETGLVETSSVKRKAKKSWQRALGQHSLLLNFDYHPRNVLSLLSLGLVDPTREGQKAGETIKLTDELIERVLSRFKKSKGQLQDGQSALAKNQLGSQFSWACTLSTHIHKILVWHVGTTIAMDGHPVPPTGDHRVAKTLSDYCAYLVAFVPDMLPGHGYDTQRIFDAVVVEARESISGCDSLSSRCEKLVMAVLPSNSSCTILELGGRLGRELRGVVPEEQRWKVLADFWAEFILFLAPSSNVEIHTEMLAAGGEFMTHL >KQL11521 pep chromosome:Setaria_italica_v2.0:IV:34433522:34436637:-1 gene:SETIT_007846mg transcript:KQL11521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGSPEIVPVNSPASDLDREPESSESGDAGGDGPPEPLSEKLPVPPAELGLYRAAVALRVLLLAAFFRYRVTHPVPDAPWLWLAALACELSLALAWLLGQLPKLSPTHRATHPDRLASRYGSDGVGEERLPGVDVLVTTAAAGAGREREPPLATANTVLSVLAVDYPAGRLACYVSDDGADMLAFEALFAAAAFARRWVPFCRRHAVEPRAPELYFARGVDYLRDRAAPSFVKERRAMKGRAVADREWVGLQREYEEFKVRINYLAAKARKVPEDGWVMSDGTPWPGNNPRDHPAMTQVLLGHPGDQDAEGNELPRLFYVSREKKPGFPHHGKAGALNALLRVSALLTNGAYVLNLDYDHCITNSSALGEAMCFLVDPEAGNRTCFVQFPLRIGVDDDGGDRDATHDSVFFDIDMKCLDGIQGPVYVGSGCCFNRKALYGFDPALAEDDDAHRNWCCFGNGKERALRRTMSSVPLLDSEDSDEQDGEDNARTRRRLRSYHAALERHFGHSPAFIASAFAAQRRGGGSDSTVAAACSLLREAIHVVSCAYEERTRWGKDVGWMYGSGGGGGMATATGFRMHARGWASAYCAPARAAFQSFAPASPSHVLAAASRRAVAAMGVLLSRHCPVWAGAGGRMRLLQRLGYVTCVAYPLVSLPLTLYCALPAVCLLTGRSIFPDDVSYYDAVLLILLLSSAAATVALELRWSRVAPRAWWRDQKLWVVTGTSACLAAVFQGVLRACAGIDVGFSYTEETATRSSSDDDGNGGGEESSGARRSMRWSNLLIPPASLLLGNLAGVVVAVSYGVDHGYRSWGPVLVKLALAGWVVAHLQGFLRGLLVRRDRAPTIAVLWSVLFVSVLSLLWVNVDSYSAPPARSTTSPQPVL >KQL09424 pep chromosome:Setaria_italica_v2.0:IV:3275225:3277571:1 gene:SETIT_008039mg transcript:KQL09424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSGLLVAALLFLLTFWSVRGSAAAATTTTVPIVGGRKSLASISMALDGSYMKHPSHATRAGLHVTDSRGDPVASAHADTKRIDDRGLVSRYPSAAPRGNEKLIIAVPVKHGFQIFLDFAIDIFKTAMAKLQHPPRYELHAFNGTYDELVRNVSIGMFHGAAGDVTITADRARDADFTMPYAQSGVSLLVLADNDSKPPIQWIFLDPLTTQLWLTTVVFFFLTAFVVWMIERPSNPVYQGSTVRQFSTASYFAFSTLTFSHGQIIRSPLSKVVDVIWCFAVLVLVQSYTANLSSILTAKRLRPSVTGLDQLVRNGDYIGYQDGAFVRSFLIKQGAKEKKLKPYNNQAEYAEALRKGSKDGGVSAIVDEIPYLTYFLSDGNNKEFEMGEPLCKTPGLGFVFPKGTPLVHELSIAILDLTGGNESLQIERKWFHSAAPFMGDDSQNVNYKPLTLRSFSGLFVITAGVSASMLFISVILSVYASWYSRVTSSESQSTNGNDGSVRLNGIVPDQLLHEGRDDDSQGAQHGGSGDEEAGGPMQGSALHNGTGNGSVPQVSIQVEMSSSQGVGRAL >KQL11876 pep chromosome:Setaria_italica_v2.0:IV:37366720:37369895:-1 gene:SETIT_006887mg transcript:KQL11876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDFKAIPLIDIGPLVEKIDDPRMANDADLLEVVRMLDDACKEAGFFYVKGHGITESLLKEVRDVTRKFFQLPCEEKLKIKMSPQSGYRGYQRIGENITKGKPDMHEAIDCYTPIKPGKYGDLAKPMEGSNLWPENPSNFEALLENYINLCRDLSRKIMRGIALALGGAIDAFEGETAGDPFWVLRLIGYPVEIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVQNRSGLVEWNL >KQL11877 pep chromosome:Setaria_italica_v2.0:IV:37366825:37369812:-1 gene:SETIT_006887mg transcript:KQL11877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDFKAIPLIDIGPLVEKIDDPRMANDADLLEVVRMLDDACKEAGFFYVKGHGITESLLKEVRDVTRKFFQLPCEEKLKIKMSPQSGYRGYQRIGENITKGKPDMHEAIDCYTPIKPGKYGDLAKPMEGSNLWPENPSNFEALLENYINLCRDLSRKIMRGIALALGGAIDAFEGETAGDPFWVLRLIGYPVEIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVQNRSGEWIYATPIPGTFVCNIGDMLKVWSNGIYESTLHRVVNNSPRYRISVAFFYESNFDAAIEPVQFCREKTGGAAKYEKVVYGEHLVKKVLTNFVM >KQL11875 pep chromosome:Setaria_italica_v2.0:IV:37366720:37369895:-1 gene:SETIT_006887mg transcript:KQL11875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDFKAIPLIDIGPLVEKIDDPRMANDADLLEVVRMLDDACKEAGFFYVKGHGITESLLKEVRDVTRKFFQLPCEEKLKIKMSPQSGYRGYQRIGENITKGKPDMHEAIDCYTPIKPGKYGDLAKPMEGSNLWPENPSNFEALLENYINLCRDLSRKIMRGIALALGGAIDAFEGETAGDPFWVLRLIGYPVEIPEEQRTDTGCGAHTDYGLLTLVNQDDDICALEVQNRSGEWIYATPIPGTFVCNIGDMLKVWSNGIYESTLHRVVNNSPRYRISVAFFYESLFSSAGRKLAVLRSMKRSCTGSIW >KQL12284 pep chromosome:Setaria_italica_v2.0:IV:39808303:39809616:1 gene:SETIT_007031mg transcript:KQL12284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLLLFTISQFLLPASCSPSSKNNNYYCDWCPRHSTASLLPPASADLDDCGYGAAMAMDLNGGHAAAAGAEFFRDGAGCGACYQLRCRDRRVCGDGGVKVVVTGAANRTGFLLGREAFAAMARPGMADQLAAALDDDNVQVDFRRTPCEYKKNLTVQVEEGSRNPGQLGIRFLYQGGQTEIAAVEIAAQQANYHTQTASSSWRPMARRLRRAWRTPRAPAGPLRLRLVVTAGFGGKWLLAKEAVLPADWRPGQAYGTGLRVTDVALRTCARSCRARPPGDEELRR >KQL11891 pep chromosome:Setaria_italica_v2.0:IV:37410652:37416205:-1 gene:SETIT_006196mg transcript:KQL11891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGQYPASGCSKEHQKIYQEWFALADSDGDGRITGPDAIKFFGMSKLSRPDLKQVWAIADSRRQGYLGFPEFVAAMQLVSLAQAGNEITQDSLKRDDLSSLNPPVMEGLDALLAKSKHVVKRVDPEMDGFPQEQSPLTNKWFSSKSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLQDEFAKVQREYHLPAGDFPYVEHFKEVLSGYSFDKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE >KQL11890 pep chromosome:Setaria_italica_v2.0:IV:37412209:37416071:-1 gene:SETIT_006196mg transcript:KQL11890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGQYPASGCSKEHQKIYQEWFALADSDGDGRITGPDAIKFFGMSKLSRPDLKQVWAIADSRRQGYLGFPEFVAAMQLVSLAQAGNEITQDSLKRDDLSSLNPPVMEGLDALLAKSKHVVKRVDPEMDGFPQEQSPLTNKWFSSKSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIG >KQL11888 pep chromosome:Setaria_italica_v2.0:IV:37410652:37415272:-1 gene:SETIT_006196mg transcript:KQL11888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFPQEQSPLTNKWFSSKSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLQDEFAKVQREYHLPAGDFPYVEHFKEVLSGYSFDKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE >KQL11889 pep chromosome:Setaria_italica_v2.0:IV:37410652:37416152:-1 gene:SETIT_006196mg transcript:KQL11889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGVIDGVADGDGRITGPDAIKFFGMSKLSRPDLKQVWAIADSRRQGYLGFPEFVAAMQLVSLAQAGNEITQDSLKRDDLSSLNPPVMEGLDALLAKSKHVVKRVDPEMDGFPQEQSPLTNKWFSSKSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIGSFNDKPVNESAVGPLGKELFEREQDDLLSDLKDIPKKACDRRINEFVKRARAAKIHAYIIGHLKKEMPTMMGKAKAQQRLIDNLQDEFAKVQREYHLPAGDFPYVEHFKEVLSGYSFDKFEKVKPKMIQAVDDMLGYDIPELLKNFRNPYE >KQL11892 pep chromosome:Setaria_italica_v2.0:IV:37411571:37416205:-1 gene:SETIT_006196mg transcript:KQL11892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGQYPASGCSKEHQKIYQEWFALADSDGDGRITGPDAIKFFGMSKLSRPDLKQVWAIADSRRQGYLGFPEFVAAMQLVSLAQAGNEITQDSLKRDDLSSLNPPVMEGLDALLAKSKHVVKRVDPEMDGFPQEQSPLTNKWFSSKSSKKIPLTAVTSVIDGLKKLYIEKLKPLEVTYKFNDFVSPLLTSSDFDAKPMVMLLGQYSTGKTTFIKHLLRTSYPGAHIGPEPTTDRFVVVMSGSDERTIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMRHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIGSLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVSRVYIG >KQL10543 pep chromosome:Setaria_italica_v2.0:IV:17672313:17673380:1 gene:SETIT_008166mg transcript:KQL10543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSSTDTYTGPDWLLILLTRVNKDVAELISLMLWRTWSMRNDVMHGEKLKPVAASVSFLLNYVESCNSVVLIWGRRTREGTKHDYGWVNVNVDGASDQLTGHAGIGIIIRESSGKPELCAWKAIFDGLYAKEIEALACLEGVRLAVELTHGKAIIESDCSTVIAASSKPGRDRSQLAFIVNELKHVSRLLPEVGLKAVKREQSVIARELALLAKRTTHSAVWRMRRLPNVLSH >KQL11271 pep chromosome:Setaria_italica_v2.0:IV:32161797:32163537:-1 gene:SETIT_006270mg transcript:KQL11271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLHLLLLLPLLAVVSFLWLHRAVSRRRGRGGGARLPPSPWALPVIGHLHHLAGALPHRAMRNLAARHGELMLLRLGGLPVVVASSADAAREVMRARDLDFATRPVTRMVRLVIPDGAEGIIFAPYGGGWRQTRRICTVELLSARRVQSFRPVREEEAGRLLRAVASAAPPAWAVNLSELLSVYAADSSVRAIIGSRFKDRDTFLAMLERGLKLFAKLSLPDLFPSSRLAMLVSRMPGRMKQHRQETVVFMDALVRDHEESRAADDGDGKEDLLDVLLRIQTEGDLPVPLTTDNIKSVVGDMFAGGSETAATALQWIMAELMRNPRVMQKAQDEVRRALAGRQTVTEDDLGDLHYMRLVIKEALRLHPPLPLLLPRECRNSCQVLGFDVPAGTIVFVNAWAIARDPKYWDKPEEFVPERFEDGKIDFKGTDFEFIPFGAGRRMCPGMAFGLVHLELALAGLLYHFDWELPLGMEAADLDMTEEMGVTARRLQDLRLVPVVRVPVPVE >KQL09252 pep chromosome:Setaria_italica_v2.0:IV:2144790:2148261:-1 gene:SETIT_008518mg transcript:KQL09252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTARSSPWPDIQPELLGLVLRRLPSLADHVRLRALCRPWRYNARVEPLPPTTYMDGEIHHMPLLDDASCHGSMDNWLFFVHNDDGCTLMNPFSKAALQLPNLTTTWHHEMKYAYTRDSLFYKLCLSIGTGHLSWFNMLADIAFFNGKMYGLGSGKHTDTQLSVFEMNYGLDGKLKISGVECITRDLVKECLSNLGGQQALFVGKHCSKSLPAGGCTGIQEDCIYFMNGIITPLLSEGVAVPQHRGGLWRPTWLFPADDAM >KQL12307 pep chromosome:Setaria_italica_v2.0:IV:39940681:39944791:1 gene:SETIT_006189mg transcript:KQL12307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRIESEQSTKPSLVPYFFFLLPLLVLIANHHCSFPPSNTTPGPNPRRDPGRPAMDPYRHRPSSGNNSSFWTTNSGAPVWNNNSALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESMHMFTFLFDDVGIPLNYRHMEGFGVNTYTLISRDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLYDSIAAGNFPEWKLYIQTIDADHEDKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHDGFMNFMHRDEEVNYFPSRFDPARHAEKVPIPPRVLTGCREKCIIHKENNFKQAGERYRSFDPARPVHPAGG >KQL12306 pep chromosome:Setaria_italica_v2.0:IV:39940681:39944791:1 gene:SETIT_006189mg transcript:KQL12306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRIESEQSTKPSLVPYFFFLLPLLVLIANHHCSFPPSNTTPGPNPRRDPGRPAMDPYRHRPSSGNNSSFWTTNSGAPVWNNNSALTVGQRGPILLEDYHLIEKLAQFDRERIPERVVHARGASAKGFFEVTHDVSHLTCADFLRAPGVQTPVIVRFSTVVHERGSPETLRDPRGFAVKFYTREGNFDLVGNNMPVFFIRDGMKFPDMVHAFKPNPKTNLQENWRIVDFFSHHPESMHMFTFLFDDVGIPLNYRHMEGFGVNTYTLISRDGKPHLVKFHWKPTCGVKCLLDDEAVTVGGTCHSHATKDLYDSIAAGNFPEWKLYIQTIDADHEDKFDFDPLDVTKTWPEDIIPLQPVGRMVLNKNIDNFFAENEQIAFCPAIIVPGIHYSDDKLLQTRIFSYADTQRHRLGPNYLMLPVNAPKCAHHNNHHDGFMNFMHRDEEVNYFPSRFDPARHAEKVPIPPRVLTGCREKCIIHKENNFKQAGERYRSFDPARQDRFIQRVVDALSDPRVTHEHRSIWISYWSQCDASLGQKLASRLNLKPNM >KQL11360 pep chromosome:Setaria_italica_v2.0:IV:32899558:32900092:1 gene:SETIT_009011mg transcript:KQL11360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRTRTRGLLLLLFFYSPLLRGLDFGCTRRGGRTGGEVYGGKIVF >KQL11411 pep chromosome:Setaria_italica_v2.0:IV:33394190:33401312:-1 gene:SETIT_006127mg transcript:KQL11411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPLDASPSAPSAAAPADGVSSSSSAAPAPAPAPALRPRREAFEHGLLPIPKLVIPEGALSQTLAQMKERLAPGGARVGAAALAEALQIPAEQAALALGTLAAVIPAEDPALGEDGAGEADLRDLLLFLYIQSYKRLVPRGHKDSPAVADVWPSTSAFDGCLSALSPIQFIRCNSRRFMPSQVDEEAHQLSYLQKHMANILTLLADSVDGEGDDSMVLTMETFEHLGFLLQLSEGTPLSQAASFFANSDPDMPAAPVPAALVHDWILQHIASTLEFMAEKSSAKENSQHNASDPDVTMSDAVTNTRIHSSSPTGTSGPNYPGHYRNTTFVEGFSKTSVVKQASDMTGHSIKVLNCHDSVIYILAPLKYATIYGCSDTTIVLGAIGKVVKVEHCERVQIIAASKRICIANCRECTFYLGVNHQPLIMGDNHKLLVAPFNTYYPQLGEHLAQVGVDPNVNQWDQPFVLGVVDPHDSLSHPAGVSDVKAESATCLDPDLFMNFLIPSWFEPQGPTKYNPFTLPEVYWASQRKKHASLEDIQKNIRELEIDDNRKKELACALHAQFKDWLYASGNIRQLYCLQGE >KQL11365 pep chromosome:Setaria_italica_v2.0:IV:32930530:32931327:-1 gene:SETIT_008824mg transcript:KQL11365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKWWEQAALDFPPPQHQAVAMPALATAPAPAAAAAATGASPEGKQQGQQQPGAIVPLRRPRGRPLGSKNKPKPPVIITRDSPDALHSHVLEVAPGADVSACVAEYARRRGRGVCVLGASGAVADVAVRGAAAPLRGRFELLSVTGTVLPPPAPPEASGLAVLLSAGQGQVVGGCVVGPLVAAGPVTVFAATFANAVYERLPLPDAPDADVKPDLSTATSAGHEVQPPQLPLAPPSQHQPPAMGGAGGYADHRSPPYPWGGGV >KQL10225 pep chromosome:Setaria_italica_v2.0:IV:11223152:11224371:-1 gene:SETIT_007903mg transcript:KQL10225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPTPPLELTVTKAVLLHLGVWNGVADQEKTTMAYSSIASSLPSNYSSDEDGGPVEDGGLLYDESDPSFEPSIVPESPRYSYDEENEYEEEDDDNDETYIEENSDEQDKEDKEAAVEAMEEEVMAAAFQVEWEAKKRKRVEAAEARGPRSGLPRREGGEEVDSEMAKKRRQVDFDVDTGPANASSMAPAIVGPAPDSLRNSSEGSS >KQL09646 pep chromosome:Setaria_italica_v2.0:IV:4884975:4885496:1 gene:SETIT_007860mg transcript:KQL09646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVLVVRYDLKEIAFPSSLPDPPHIKKRPKLTWHDHWCILKEVTRLYSVSWVRDISPDLRPNDYKKAAENDEEPSNGKPSSDNGKKGKSSEPSVLEDLAVVARGGVETLKPTLRRIYMTRAATYTDAMKNFVETYQEGLKDQLQEKAADGEAGRQQPQQGDEAMLKPPPPPSSS >KQL09733 pep chromosome:Setaria_italica_v2.0:IV:5549603:5549988:1 gene:SETIT_008968mg transcript:KQL09733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRVMSLALRRWAIDVKQNVRSTRASTDTALLGAF >KQL09936 pep chromosome:Setaria_italica_v2.0:IV:7582003:7582632:1 gene:SETIT_007671mg transcript:KQL09936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGAEMARPFPAAGGDDEVSTAWAVAPVFLAAETNQAVDPVIWADEKRMKRELLAWAKAMASMAAAGKNTSSTAPRYRRRGRP >KQL11717 pep chromosome:Setaria_italica_v2.0:IV:36297977:36301730:1 gene:SETIT_008577mg transcript:KQL11717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HHPSSSTCGSFLLLAVFWRYTFRLTEETVSLALHACLGGTPAGFHVSYVQDRHFRFVLSCKQVGFMVCDLKRIITDSFDVYFHLWRDGGDSWVREERRWLREEALSWKEVSYRKKKKAPHGRRGLFGSQEINFSPCHIGCLILIRATPLPSPLFAPNPSSPLPSSQHADPPHHSATTLPTSAADSPELSTFHTSAGADPELSEMANFPADPEHYIPLGMEEEDGGLGRRIRATVSLAGNPVKRHEEFMIATTEEILSPAQKLALMHDIRDYITIEARKQVRLYSHHPHGIGIYQLRDVCQRDILVRSIPHWVGPHLVSHYSSTGWIMLLGYPLAYKESRFINQACSPFGKVIHWHHTDTSLGRVLVKVLIDDPSEVPRSLKLKNGSVYILNTDFANQMPGDEDPLPPDNGNPHPHVGPIFPGEPEQVAQWADNQMQLHQHNEDDAHNEEHDGDSDVSSDHHFVPPAGNIEEIEVPMMQIPNPAMDNNTSVEQDNSNMLIDPEVQLTQKQTLPEKTNKDEMEVQNIITQEGGVQAFSFISPGQMNNSGDNSQKASRFADQMINCFKAFISNLAHQALDPGRSIGDINIPASTFRFTLNDRGLESIQLIPAAIQKANTVTAKTNLIEGSAPIPATENTPLTEHENCPGKYTHARLIKKTYYSRRKKGVSTDHEPVFGSTELEQSTAKKRKEMDSS >KQL09509 pep chromosome:Setaria_italica_v2.0:IV:3955504:3956087:1 gene:SETIT_007803mg transcript:KQL09509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFIAPQKRERLPIHAKQHPFLLSRNVQVSTFGCFGASLEPSIFYNIAWS >KQL12295 pep chromosome:Setaria_italica_v2.0:IV:39888454:39892327:-1 gene:SETIT_005992mg transcript:KQL12295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSVSTSHLLITASLPKPKPSSLRPPRLPLARPLPATLLALAAAPALAADAPAPPPAPAPAPAPELQAEATTPTANPFANSLLTAPKPSAAADLPEGAQWRYSEFLSAVKRGKVERVRFSKDGGLLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVSEGEAAGPGGFIAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFVDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADIVDAEVRELVERAYSRATQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGQAELFVA >KQL12296 pep chromosome:Setaria_italica_v2.0:IV:39889390:39892327:-1 gene:SETIT_005992mg transcript:KQL12296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSVSTSHLLITASLPKPKPSSLRPPRLPLARPLPATLLALAAAPALAADAPAPPPAPAPAPAPELQAEATTPTANPFANSLLTAPKPSAAADLPEGAQWRYSEFLSAVKRGKVERVRFSKDGGLLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVSEGEAAGPGGFIAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFVDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQVCHYY >KQL12294 pep chromosome:Setaria_italica_v2.0:IV:39889990:39892204:-1 gene:SETIT_005992mg transcript:KQL12294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSVSTSHLLITASLPKPKPSSLRPPRLPLARPLPATLLALAAAPALAADAPAPPPAPAPAPAPELQAEATTPTANPFANSLLTAPKPSAAADLPEGAQWRYSEFLSAVKRGKVERVRFSKDGGLLQLTAVDGRRATVVVPNDPDLIDILATNGVDISVSEGEAAGPGGFIAFVGNLLFPFLAFAGLFFLFRRAQGGPGAGPGGLGGPMDFGRSKSKFQEVPETGVTFVDVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKAKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFAGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILEVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSEEKKKLVAYHGKFHEL >KQL11624 pep chromosome:Setaria_italica_v2.0:IV:35411972:35413160:1 gene:SETIT_008804mg transcript:KQL11624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSTIEEARMFWVTFGGQKDREKGNYKVNDLILEHNHTLHLPQASHLMASQRKISELQGFEIEMANDAEIGPKATHELAYIQNAVKHLAELDDEESDASPKQEVEDNNKEPSILSDFSVCMYEYEDEATFEETFNIMRSKVSKQTWLDSIYKVREK >KQL11969 pep chromosome:Setaria_italica_v2.0:IV:37864494:37867644:1 gene:SETIT_007632mg transcript:KQL11969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEERPVPRRESPWGLPEGDTRQPKAHRCNDRAEDVVQAVFEGNPFKTVPGPFKLFLQCMRSKPGEEPTEPYTYLQLDPPRRVEVNVEQTASES >KQL10100 pep chromosome:Setaria_italica_v2.0:IV:9549815:9551559:1 gene:SETIT_006345mg transcript:KQL10100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVVVPPGQTFERACIQVCAALAFSPPAVAADLAASVSSSSPLVLVPNVALRTAILNWCDRLGLPHPAPLSPDTAHDIVCRLMPPQREDQSSKPQQRPQVASSVRFRRQSVDGFAQEPSPRQRGDALEEEIMAVLGAEGATPAEQASAMASLRQATRENREVRRQLCTPRLLAALRPMLLSADAGVQSNAAAAMVNLSLEPENKVRIVRSGAVSPLVDVLRGGHPEARDHAAGAMYSLAVEDENRAAIGVLGAIPPLLELFAGAAGAAAAGYRARREAGMALYHVSLSGMNRSKIARAPGAVRTLLAAAEARDRSNEADAAALRRLAVMILANLAGCPDGRAALMDGGAVAAVVGLMRNGSAAPGSAEEEYCISTLYGMSRGSMRFRGLARAAGVEAALQPVAEGGGGVGRDMARRTLRAMRGEDDEAPVTATGLLGRQWDDGSVVSEGLVSIRRPPHRSNYAGPSGSNTTQF >KQL09930 pep chromosome:Setaria_italica_v2.0:IV:7517011:7517925:1 gene:SETIT_008337mg transcript:KQL09930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTTLILLSLARPSLCQRRELELVETPAGDQLTYHGGAVLSGDIPVFIVWYGSFSAEQKAIVVDFIESLTSKPHSATPSVAQWWSTIHNVYLSTSTAAAGGDTRILLASQASDEQYSLGKSLTLDQVFQLAAGAGPRKGGLVLVLTDPGVVVDGFGSVRCGLHGADAGAGYAYAWAGDAERQCPGQCAWPFAKPSYGPQDKPLGAPNGDVGVDGMMVTLASMVAGAVTNPFRDAYYQGEKDAALEACTACAGVYGSGSYPGYAGDVLVDKDTGGSYNAIGAGGHKYLLPAVYDTGKPGCSTLV >KQL09829 pep chromosome:Setaria_italica_v2.0:IV:6458084:6458432:-1 gene:SETIT_008875mg transcript:KQL09829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFDRWWSIKLWLVCLWHWNSMLRFVDKGCLVHTSLSKFLLRTTRTIWCFEV >KQL09289 pep chromosome:Setaria_italica_v2.0:IV:2366304:2366881:-1 gene:SETIT_007590mg transcript:KQL09289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRCSRLTWDNPTKDRAAVSPTRRTPARSRTVDPTDESSNQLLDQKRSSRLMWEKTTKKASSSAPSQLRKLESTSSCRRRIPLSCEEKLVVSHIMTPSDEFHFY >KQL10422 pep chromosome:Setaria_italica_v2.0:IV:14495529:14496227:1 gene:SETIT_007915mg transcript:KQL10422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVAAPVLREDDRGIPRSLPLLAALVEAESRRFAAAASRPAETGLVRAFRGGAAPKVPIRIFMERIHLLTRSVPTSRGMTRIDGTSFVLAGIYLTRFIRSPAGREAGILVEPATAHRLVAVALFLGAKFGGHPPRKWIGVFQASSEGAIRAGEMVGLEGRFLRAIDFRLFVETWEFDSFCLVLERGPRAPRGGSGSVGCASKKRQADATVGEDERRRVRARLPPPAVLSN >KQL12305 pep chromosome:Setaria_italica_v2.0:IV:39933076:39933648:-1 gene:SETIT_008297mg transcript:KQL12305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAQVFFYGVAYGQFLAGVGGGPGGDEPNRPWRPKSAHEADAAPAAALRKKRPAASRNRKKDHPAAAAAAGGEAAGPYPCPICHRLFDAVKAVHGHQRSHPERDWRGMAPPRPLPPVAADGKQYRYACDRCGAPFETRQALGGHRASHSGKMGCFSLSRQQPPAAVPPAAAPMPVFPFDLNEPAPEQEE >KQL09306 pep chromosome:Setaria_italica_v2.0:IV:2476406:2478352:1 gene:SETIT_008082mg transcript:KQL09306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDSRPLRSSSHAGGVSSDRTPSSPLQASGSDPPVPLSPTGHLALAMKLLVATARPMSWSDLPPELLGLVLKRLPSLADRVRLRAVCHPWRSNALLQPLPPPLPWLALLDGTFLSIPDGEIIEMPMPDDAFCYGSVDNWLFLVHSDGQCSLMNPFSEDTLKLPDLSTGWHIRLPGCPEFNPVFCKLSVPSPLESSLNPLVAIMHESWGLCIFQPPVVTDTIQGREPLEALLEISFFGGKLFAIDSSRKLLNIELVEDLEHKPKISLFKCIIESSDDLLSRPESMSSDEEYIVKPYLVECAGKLLMVNRWICGLHPAPCIDYDRTRKFDVFEADFITKPCRWRRVNDLGGHALFVSSSSSKSFPAGECSGVQENCIYFMSELSGLKLVADPLHDSGVYNMKNGVTIPLLSDTSAVPLPSYHVGPCRLTWLFATQAL >KQL09750 pep chromosome:Setaria_italica_v2.0:IV:5721930:5724716:1 gene:SETIT_007033mg transcript:KQL09750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPTPPTATAAASAPRYSLPPVRLPAEDILFCVDVDLEASAEMKSAAASAPSPGSTSTASPQPQPPAGAGGARPAVRRMDAVRQALLLFVHSKLTMCPDHRFAFASLGETVSMVKKDFSSDVGSAMEAIHSLSASESRYATADLTQLFKIACQEGKMAESQGRLLRVVLIYCRSSTKPHHQWPVKPKNFTLDIIYLHDKPTADNCPQKVYDALVDALEHVSQYEGYILETGQGLARVLFRQMCILLSHPLQRCIQDDLDIPKQVAKKTPAIEAVQNEDGAPVSSQQ >KQL09803 pep chromosome:Setaria_italica_v2.0:IV:6206055:6207320:1 gene:SETIT_006872mg transcript:KQL09803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSTTTNSSPAAAMDPDSEVAFDFPPYLCQYKSGRIHRPGGAPTAPAGTDPATGVVSKDIRAGPTSVRIYLPPGATGKIPVVVYFHGGGFVVGSPARPGTHNYLNDLVARSGAIGVSVYYRLAPEHKLPAAYDDAWAALRWAVTLGDGEEPWLIDHADLSRVFLAGCSAGANIAHNTAVRASAPGALPDGVTLRGLALVHPYFTGSEAIGGETAFGPEIRAFMERTWRFVVSETVGLDDPRVCPFVDDAARKASAGISCERVLVCIAENDVLLKERGLWYHRELKASGYAGELELFESKGVDHAFHFDKLDSEEGVALQERTVAFIKT >KQL10200 pep chromosome:Setaria_italica_v2.0:IV:10818771:10820936:-1 gene:SETIT_008541mg transcript:KQL10200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFTEGNLTRGHVGDSEDGERISTVAEISSSVNLYLMEFEKLANQSIIKIGTPCSRWKPPPANYYKINVDASFYDSSKQGGWGFISHDCEGNFLEEGAGNVPRVASALQAETLGVLRSLERAAELGMTRIILETDAGVVGKAIMSSELDRSPNGYLFRQIRELIMPHFVHCIVTVCPRTCNSVADSLASYGCTLEQNSSRYMSHTPDFVSSLVSSDWPRASD >KQL09592 pep chromosome:Setaria_italica_v2.0:IV:4462234:4463469:-1 gene:SETIT_008293mg transcript:KQL09592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDTGSPSPWADLQLELLAIVLRRLPSLADRVRLRAVCRAWRRELLPVPFPWLALPDGTSFLSVPDGAVHRLPFPALLPDGGGGDGGLTTCHGSVGSWLFLGVGRSMSLANPFTGDVVPLPDVATICRYEGKARDDYLHPPSAFKLVQLAPPSPLGAAPPADSLFAVLMPAPSRRSSMICICRPPAAATAFVIPGGERAYDAAFYDGKLYAIAYRQLYMFDVDTACKGKPAVPPMRCIANFVNTRWGPFHTTVGDQRYSCRYCGYLVESGGRLLQVRRLIGHLCSAPRGHGVTRARTLSFEVFEADLRARFCFPWRRVAALGGGQALFVGKHSKSVTASECGAREDCIYFLREYCGEEEDSDPLGDSGVFDMRNGRITPLLPEAVAAPPLGGNLGRGRPAWFFQMELIM >KQL09680 pep chromosome:Setaria_italica_v2.0:IV:5144921:5147882:-1 gene:SETIT_006635mg transcript:KQL09680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGLYGDLPPPSSSAGDDDKASTASVWSSATKMAPPTLRKPSTTFAPPPSLLRNQHLRPPKAAPASAAPAVVAAEPALAPAASFQPAFVAVQSVVEEYDPARPNDYEDYRKDKLRRAKEAELSKELERRRREEQEREREREQREREAREREERDYQSRASSLNISGEEAWKRRAAMSGGGGAAAAQRTPSSPPHGDGFAIGSSSSAGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITAPLVAKKTDRRGGVIVDESSSRPPEKKPKSVTFDGPPTRVLLLRNMVGPGEVDDELEDEVASECAKYGTVTRVLIFEITQADFPAEEAVRIFIQFERAEEATKALIDLQGRFFGGRLVQSSFFDEERFGRNELAPMPGEVPGFFD >KQL10628 pep chromosome:Setaria_italica_v2.0:IV:20728086:20729188:-1 gene:SETIT_008209mg transcript:KQL10628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WVEFHEVELEHIFWRWDVNAMVFWKGNIREYGGQEYLHVILVDEQGTKMEAVACGDHHMMFNNVLIEGETYDFLGVYFTPTYVDPIPNMYRLCEYYAVILLPNTVIKTPQRPIWISECPRAFREFEDVYRQPVDTFADVIGVVVYASEIQDRGDFRRRPNGHVVIMNQRKNFIIIHVNDPHLQCHIWEWHRTAYQFKTLAALHVKISTMQGGVTTTDYSQIIFLPYVLMRTI >KQL10843 pep chromosome:Setaria_italica_v2.0:IV:26836575:26839924:1 gene:SETIT_007617mg transcript:KQL10843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIPLIKFPKRNLKAPSPSAPAASQPADQHATLMSRLGAKVEAPPSGEIKNYRFRSDVPSPPSHTAVGGPASLLPKRKPLTEEEIEAIMLGGSI >KQL10845 pep chromosome:Setaria_italica_v2.0:IV:26836575:26839924:1 gene:SETIT_007617mg transcript:KQL10845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIPLIKFPKRNLKAPSPSAPASQPADQHATLMSRLVSLSGAKVEAPPSGEIKNYRFRSDVPSPPSHTAVGGPASLLPKRKPLTEEEIEAIMLGGSI >KQL10844 pep chromosome:Setaria_italica_v2.0:IV:26836575:26839924:1 gene:SETIT_007617mg transcript:KQL10844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIPLIKFPKRNLKAPSPSAPASQPADQHATLMSRLGAKVEAPPSGEIKNYRFRSDVPSPPSHTAVGGPASLLPKRKPLTEEEIEAIMLGGSI >KQL11478 pep chromosome:Setaria_italica_v2.0:IV:34035850:34036171:-1 gene:SETIT_007754mg transcript:KQL11478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITRICSDQNHLVKGAIPPAATNPLVVHEGLSDSSYIYSHTVLDTCRSTEGLIYGSEQLLTTHT >KQL12020 pep chromosome:Setaria_italica_v2.0:IV:38232396:38236584:1 gene:SETIT_007310mg transcript:KQL12020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGIKVLDEKPFLVAVCTTRLLRDPRRAGRTLAPAGFLMWLAGVALLLLAFTAGRRFRAASRFAARLVEAVMAAVFYGRHGSPGRLPSSSGQLAGSLPLRLATSPPPPCAPAAGRDAATAPQLSTALTLARQPACTPVVALVLTLAGQLQTGFEERGCMQWQHELANYYTLDNNKHMIMEIINHVHYRTDGYRDELQINDD >KQL11547 pep chromosome:Setaria_italica_v2.0:IV:34709352:34713736:-1 gene:SETIT_006525mg transcript:KQL11547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIAASAFFPGSPAPAPAAPKNGLGERPESLDVRGVAAKPGSSSNAVRAGKTRTHAAVPKVNGGGKSAVADGEHETVPSSVPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLIDTFGFGRIIHDGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVDTWVSANGKNGMRRDWHIRDSITGDTILKATSKWVMMNKLTRKLARIPDEVRTEIEPYFFERSAIVDEDNRKLPKLPEDKSATAAKYVRTGLTPRWADLDINQHVNNVKYIAWILESAPISILENHELASIVLDYKRECGRDSVLQSHTTVHTDCNSESGETTLHCEHLLSLESGPTMVKARTMWRPKGTKAQETVIPSSL >KQL11548 pep chromosome:Setaria_italica_v2.0:IV:34710835:34713736:-1 gene:SETIT_006525mg transcript:KQL11548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIAASAFFPGSPAPAPAAPKNGLGERPESLDVRGVAAKPGSSSNAVRAGKTRTHAAVPKVNGGGKSAVADGEHETVPSSVPRTFYNQLPDWSMLLAAITTIFLAAEKQWTLLDWKPKRPDMLIDTFGFGRIIHDGLMFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLFWVVSQMQAIVERYPCWGDTVEVDTWVSANGKNGMRRDWHIRDSITGDTILKATSKWVMMNKLTRKLARIPDEVRTEIEPYFFERSAIVDEDNRKLPKLPEDKSATAAKYVRTGLTPRWADLDINQHVNNVKYIAWILEVILFPMIRPYSTC >KQL10475 pep chromosome:Setaria_italica_v2.0:IV:15875927:15877044:-1 gene:SETIT_007444mg transcript:KQL10475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGRGRKQLSNGRNHEDKGSSGEEVVVPARKRRGRPQKRVAAEKIIEAEVKKLEADDGDEDYVVGAGDGAKLKGSRTEHASAGVGSNKRNRVPKEEEDGSNLDMEENSSSTRSSNDESTRSNGFRQSGSRRKSTPRRAAEAGL >KQL11922 pep chromosome:Setaria_italica_v2.0:IV:37632551:37634619:-1 gene:SETIT_008235mg transcript:KQL11922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QEMAIDTAAASGGEKRQRVDEQGDRCGSVGADAIPADRISALPDELQQRILTHLPLKDAVRTGAVARGWRDLWKGRWAHRASPRPRRRIDRFSLIVDTCKFKSSQIRRFIEYAAECRVEDLHVETRKITAADKLNFHLPLASLLLARLSLRRTSISNMYYKGAQPFHALEVILLHSVSIAQATFKRMMALCPSLLTLDLRGCACEPLFFWGEAMVWPAKLRSITVAACSGTIRLDLVRVPSLRSFRFSCGFLDIPFFLAGEAFNKGLPNDLSALTVLTICNNALPVVSSSYDDGATAQLPKLSNLHGLRELHLLMLKMEDANLADIYVFLKTIQCHNLERLFVQLPGFVYEPMEGSQSLDVAPEEPPEDGLDNLAMVKVMGVSKHPHFNWRCAEVQLVSFLLRKAKSLQKLLIVSPNVTPRDVPGVQEADLLFLKEALANGKIILSESDDAATQPYHSEVFIKL >KQL11757 pep chromosome:Setaria_italica_v2.0:IV:36627389:36635200:1 gene:SETIT_005800mg transcript:KQL11757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGNTITRRLKVFSMALLIYFDYKAVQKRVQWVSTGKKSAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPSEEVRGTIEKELGKPMSDLFADFALDPLATASIAQVHRATLADGREVVVKIQHDGIKEIILEDLKNAKSLIEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTRTVSRNLSRETDCGSGSSSSAVDVLIPEVIQSTDKVLILEYMDGIRLNDNDSLEAYGVDKQKLVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISKSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPQQAMDIATIFFRQSTTASEAKENIKALNDQRERNVKALQEKMKLNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSLMHGQIPNSQWIYDSPANSDVESKLRNYLLELGSDKILGIQVCAYKDGKVIIDTAAGMLGKYDPRPVQHDSLFPVFSVTKGVTAGMVHWLVNEGKLKYEETVANIWPNFGTNSKELIKVHHLLNHTSGLHNALGDVVKSDPMLVCDWEETLNQVAKCTPETEPGSAQIYHYLSFGWLCGGVIEHASGKKFQEVLEEAIVRPLHIEGELYIGIPPGVESRLATLTVDTEELQKLSGIRAGPGVPPELLSNIAQMASGVPVLFNTLNVRRAIIPAANGHCSARALARYYAALATGGSIPPPHSADSKPPLGSHVHTPKFPTAPLKKKKGAGKKGVGSTGNLQDVSNTDKNGYSQLRTSDANDEAAAGSGGRIFSSDKILDAFMGVGEYQSMVHPNGKFGLGFRRYNNPSGGTLRCFGHSGMGGSTGFCDVENNFAMAVMVNKMSLGSVTRGIVRFILEELGLPVPDEFSTSGEKGPDMVLNLAPPQQQR >KQL11758 pep chromosome:Setaria_italica_v2.0:IV:36628989:36633105:1 gene:SETIT_005800mg transcript:KQL11758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGNTITRRLKVFSMALLIYFDYKAVQKRVQWVSTGKKSAIWTKTHERNARRVLNLMIELEGLWVKMGQYLSTRADVLPEPYINVLKQLQDSLPPRPSEEVRGTIEKELGKPMSDLFADFALDPLATASIAQVHRATLADGREVVVKIQHDGIKEIILEDLKNAKSLIEWIAWAEPQYNFNPMIDEWCKEAPKELDFNHEAENTRTVSRNLSRETDCGSGSSSSAVDVLIPEVIQSTDKVLILEYMDGIRLNDNDSLEAYGVDKQKLVEEITRAYAHQIYIDGFFNGDPHPGNFLVSKEPPHKPILLDFGLTKRISKSMRQALAKMFLSCAEGDHVALLSAFAEMGLKLRVDMPQQAMDIATIFFRQSTTASEAKENIKALNDQRERNVKALQEKMKLNKKEVQRFNPVDAFPGDAIIFMRVLNLLRGLSASLNVRIVYLDIMRPFAESTLLGSLMHGQIPNSQWIYDSPANSDVESKLRNYLLELGSDKILGIQVCAYKDGKVIIDTAAGMLGKYDPRPVQHDSLFPVFSVTKGVTAGMVHWLVNEGKLKYEETVANIWPNFGTNSKELIKVHHLLNHTSGLHNALGDVVKSDPMLVCDWEETLNQVAKCTPETEPGSAQIYHYLSFGWLCGGVIEVCFFLPSCKLSLVFYENWTLLVV >KQL09462 pep chromosome:Setaria_italica_v2.0:IV:3562302:3566277:1 gene:SETIT_006048mg transcript:KQL09462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSKPDAFQTDGSDSRYVLSDLPSDIVVHVDDARFYLHKFPLLSKSSLLQRLIIEASQNGTDEVVIQDIPGGVKTFEICAKFCYGMVVTLNAYNVVATRCAAEYLGMTEDVEKSNLIFKMEVFLNSGIFRSWKDSIIALQTTDALLPWSEELKLVGRCIDSIATKATVNPSNVMWSYTYNRKSASSDEIVEARKSSHSVPKDWWVEDLCELDVDLYRRVMVAVKSRGRIPSDVVGEALKAYAARWLPECCDMLVDDVYTESYKHLLETIVWLLPSDKGSSGIPCRFFLKLLKVTVLIGAGELLKEELMDRIVLQLHKASVNDLLIPSKPPAQTIYDIQLVQTLISRYMRHAGVAEDGIFLNNLDQEMFETNVDNESLVALCKLVDRYLAEVASDPNLSVSSFVDLATSMPESARTTHDGLYTAVDVFLKLHPGLPKTEKRKICGLMDVKKLSKGACIHAAQNDRLPLRVVVQVLFFEQLRAAGAAASAAAGPNGSVARCMARLEEEEDDEDGGWKEGRALPEPPTPGALRKQLGSLKLAAADHGAGDDGRRLVARSSSVANQSSRLSLSSRSRRIFDKLWVGGAKLPGEATVGGKGSSDTSGSSQSPRSSAKPLESKSSSSSSRNRRYSVS >KQL11933 pep chromosome:Setaria_italica_v2.0:IV:37725788:37725958:1 gene:SETIT_0081352mg transcript:KQL11933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSYTTGSAVPIPRPRRRRQLRERRGDEADDAPPRPAMADLQASRRQMASLRSAASA >KQL09990 pep chromosome:Setaria_italica_v2.0:IV:8017712:8018839:1 gene:SETIT_008691mg transcript:KQL09990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLQKRLLLSRHQWLLTLTRFAASTASSSASPGHAPFAVADYLAASCHLTRNQALRASRKLSHFKSPSKPDAVLAALSGFGFTPQDIAAAVIRHPELLCCKVDKTLALRFAALKDHGLSASQIARLLVLDPRGFLQPAIVSKLKYYVHLFGSVDDLVKAIPHSRNLLSADLENVVKPNVRRLGEYDISRICRGAPRLICTRPEHIQAIGVQRGTPMFIYALRCVSSRSKESIATKMEFLKTTFQWSEDQVRLAVSRDPGVLTVSKDKALRVSKFLMSVVRLDPEYIAYTPAMLKFCLQGRHIPRHYVMKFLKANGLLKHDRSYYSAVVVTEKVFMERFICPFKEAAPHLAEDYAAARRGEVPSRFIL >KQL11668 pep chromosome:Setaria_italica_v2.0:IV:35759112:35761642:1 gene:SETIT_006567mg transcript:KQL11668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAKFSSISTRKLSKALSVLTCDKTMVATSDYGDFHKMVKRYIMTSMLGTSGQKQFRDTRNMMVDNMLSTFHTLLNDDPNAPLNFREVFKNELFRLSLIQALGEDVSSVYVEEFGKVISKEEIYQATVVDMMMCAIEVDWRDFFPYLSWVPNRSFETRVLTTEGRRTAVMRALIDQQRKRIARGEARISYLDFLLAENTLTDEQLLMLVWEAVIEAADTTLVTTEWAMFEIAKHPEKQDRLYQEIQEVCSNEIVTEDHLPRLPYLNAVFHETLRRHSPVPLVPPRFVHENTNLASYDIPAGTEMIINLFGCNMNKRDWDEPEEWKPERFLDGRFESADMYKTMAFGAGRRACAGSMQAMNISCTAIARFVQEFTWRLKEGDEEKADTIQLTTNRLYPLYVYLTPRGRK >KQL10977 pep chromosome:Setaria_italica_v2.0:IV:29096141:29098729:-1 gene:SETIT_007376mg transcript:KQL10977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSLTRGNIIGDVLDPFTSSVPLTVMYDGRPVFDGMEFRASALSAKPRVEIGGDDFRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDDSFGREIITYESPSPTMGIHRIVLVLYQQLGRGTVFAPQVRQNFNLRNFARRFNLGKPVAAMYFNCQRQTGTGGRRFT >KQL12267 pep chromosome:Setaria_italica_v2.0:IV:39729675:39733625:1 gene:SETIT_008881mg transcript:KQL12267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPRRRYSRSPSPYSRGHPKARSRSRSPARSQSRSPVPDPRSQARSRSRSHEREEEAVNRGNTLYVTGLSSRVTEREIKDYFSKEGRVVGCHVVLEPHTRVSRGFAFVTMDTVEEAERCIKYLNNSVMEGRNITVEKSRRGRPRTPTPGSYLGHRYERRDRGRGYRRGYGGGRDEDYRNGYGYRRSPPPMYSYRESRDYPSYRDTRDYPPYRDYSPHRGDPRDYYESRGGRGYSPPPYGGGRSRRERSISPYRMPERGYGGGRRAGGGGYDR >KQL12268 pep chromosome:Setaria_italica_v2.0:IV:39732307:39733625:1 gene:SETIT_008881mg transcript:KQL12268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVETVGEDTAEAMVAVVMRITAMAMGTAGLHLPCIPTGRVGTTLPTGILETTLPTGTTPRTGGTRETTMRAGVGAGTPRLLMVGAGQEGSDQFRRIGCQREATVVAAVRVEAAMIGKMFLALHITMETVCQRWMAMACCCDGGGPASRDSG >KQL10754 pep chromosome:Setaria_italica_v2.0:IV:24958640:24968602:-1 gene:SETIT_005671mg transcript:KQL10754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPNKTFSDVVKLLTSWLPRRSNPDNFSRDFWMPDHSCRVCYECDTQFTIFNRRHHCRLCGRIFCGKCTVNSIPVSSGPDRHVDEGDRIRVCTFCFKQWEQERVTSLKQVLPVLSPSLSEASLFSTKSTITINSVTTTAGSYSTGNYQHVGCVASSSHDKASHNMQDTHMPEKIMSTVSNKDDSSSVQFGFYTNRSDDEDEEYPAYCSDQQQNGQYYGPDEFDELDTSYNTTMSQRTLPVAKLENEQEPDNNSECGAASSIYALESNDTNPVDFEKDELFWLPPEPEDEDDEMGGDLFDDDDDDECIADGEQCRIRSSSSFGSGEFRSRDRSGEEHKKVMKNVIDGHFRALISQLLEVENISLHEDDDMGWLEIVTSVSWEAANFLKPDTSQGGGMDPGGYVKVKCLACGHRSESIVVKGVACKKNVAHRRMTTRIEKPRLLLLAGALEYHRVTNQLSSIDTLLQQETDHLKMAVAKIVAQKPNLLLVENSVSRYAQDLLLEKNISLVLNIKQPLLQRIARCTGAQIVPSIDLLPSQKLGYCELFHVDKYDEHSVNSGNVAKKMVKTMMFFEGCPKPLGCTVLLKGGSMDELKKIKHVVQYGIFAAYHLALETSFLADEGATLPELPLKSPLTVALPDKRSTADNSISAVPGFTINVCKNEQTDSFDHLGTNYNMPTDPGETAEVEAPVSSECLTSQNTYSCSYGPLCANSGNFNHGNGDGNGLVKVTATSASVSISSTATSSAPTDHTPRNSTVEKKGMHFGDYHDGSTRSRGKTIVMDSASTLSCYRHSTVEACTNIASSYIKESLEGSYALPNVKTISKNNAVIVQPVSSAAPQNQETNQGDGSTSNNDEVVASDHQSILVSLSTRCVWKGTICERSQLLRIKYYGNFDKPLGRFLRDYLFDQGYQCRSCDKPPEAHVHCYTHRQGSLTISVRKLTEIVLSGERDGKIWMWHRCLKCPWSNGFPPATQRIVMSDAAWGLSLGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYAPISVHSVYLPPHKLDFGHQPLDWIQKEANEVIERAKYLFDKVLHALRLISGKKVQGGSLNIEFSSYIAELESMLRKEKSEFEGCLNKVLRKDMQKGQPDILEINRLRRQLLFHSYLWDKRLVFAARSDRCSHELFNFKQGDKEKIHSADSVAELNALTKPQSEVSGNKYTSKDSKYVECLQESIFGGNRTGLDASTSTNSSHDQQMSTSDLDSLQRDIKTPLYSSVSVSGDWLPLEPDLVARRTLSEGQFPSVLDVTNALEAKWTGKDDPVPSKLTMLESTASSEDSEEHMGDTTPSCASLLLNKLGDSAADHSNWIRMPFLLFYRSLNKQWNRSNRFDALNEYTPEYVPFLREVERQIGPKFIFPIGISDIVVGVYDDEPTSIISYALASHEYHLQMSDELERDKIDSSLPLCDSRSASLTELDDCTSELLRSVISTEDNILSVSGSKNPLASDPLVPRKVSHIKVNFGDEGPLGQVKYTVICYYAKQFDALRRMCCPSERDFIKSLSRCKKWGAQGGKSNVFFAKSMDDRFIIKQVTKTELESFMKFAPDYFQYVSESIYTGSPTCIAKILGIYQVKSLKGGKEMRMDVLVMENLLFERNVTTLYDLKGSARSRYNPDSNGSDKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEKRHELVMGIIDFMRQYTWDKHLETWVKASGILGGPKNVSPTVISPKQYKKRFRKAMSAYFLVVPDQWSPPAIIPNPQVAESGQDSDQVLLTEL >KQL10450 pep chromosome:Setaria_italica_v2.0:IV:15334082:15334264:-1 gene:SETIT_008249mg transcript:KQL10450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LICSQCDQMCSSSTGGCTSSFCGSACGNATSPGCLSCKQAYYNKCKNLCMNYCVANCVNG >KQL09260 pep chromosome:Setaria_italica_v2.0:IV:2181134:2182454:-1 gene:SETIT_006451mg transcript:KQL09260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALIIRSPLLSILFYYVLATAVAAAAAAAASNVTSDEEYWAERAEEARANNRAAYVSDPIAAMNRFNTETLRATTRRSLRRYQGPCAATNPIDSCWRCRADWATDRQRLALCARGFGHKTTGGAGGKIYIVTDASDDEMIIPRKGTLRYGVIQGRPLWIVFARDMVVRLRQELIVSDNKTIDGRGAQVHITGAQITLQSVSHVIIHNVHIHHSAPHSGGIIRDSKRHYGLRTRSDGDGISVLSSSNVWIDHVSMYRCSDGLIDVVNGSTGITVSNSHFTKHDHVMLFGASNDNPQDRMMQVTVAFNHFGKGLVQRMPRCRYGFFHVVNNDYTHWQMYAIGGNKNPTIISQGNRFIAPDDPNAKEVTKREYTPYSEYKDWVWKSQGDVMMNGAFFNESGGQNERKYDQLDFIPARHGSYVGELTKFAGTLNCRAGKPC >KQL09155 pep chromosome:Setaria_italica_v2.0:IV:1577339:1579130:1 gene:SETIT_008692mg transcript:KQL09155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDSTGYLAASCRIGKPGVIGAALNLSIEFEAKGPETKGFASTCRRIGVRESYVIDDRSPRVVEKENRGGRPTEPSDSPTPAKDPRWVLLDCGECRRSDSLAAADGKTVAECRTSTGRSLCVSSAGLSAPPASSFLYYNCTGTAPDGKNGDAPKIIAAHGDSILLRMMRPREAPSFFMPTFDHFVYRAGAAAAARPPSLSLLPPRNIPTRHEEAHEEGGALRDPYNRVLLPEDTGVLRRGGDELLVMQIELMPEYSERHGTADLCVLQLGSSVWEQKRSVPIVHEEGDELPGPLSAPDMAIPVGDRFLCWVCYEGFILCDMAEEASPKLRYIRLPSSPYDPDYYTDDLLPLPNPQSMGAAGDGAVRFVAIEPRCCCGGLGRSTCPRSRFAFTVTTWTMTLTMDKPVTWVKDGVMDCEELWALPGYEGIPRVHLQEPLVSLDNPDVVFFKVICNADRKAWMIQVDMRRKALLAAVQCSTKRNKHLAARLQ >KQL09647 pep chromosome:Setaria_italica_v2.0:IV:4886657:4887964:1 gene:SETIT_006806mg transcript:KQL09647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFGCEYKRRRWYVRYVGESNVAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRFRLFPYHSTELKPYHQFRRWVPPPPNPPRMTDEEKQEAACRHVRDPPMCKCGVPAKLMCPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMVMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFYGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKSRKQQEIKEKIRKKYDVPIPDDDLLWGKIYQDMVHETGVEPKGLYARETIIKYWRQNRSKEKIGGSCRRRGSWRNKG >KQL11172 pep chromosome:Setaria_italica_v2.0:IV:31382916:31383396:1 gene:SETIT_009098mg transcript:KQL11172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPWMLKVLISNHTGKRSTSKLVLVAIYVCISCIEKHHAHITVSTPCSNFSCTMFLIDSYN >KQL09576 pep chromosome:Setaria_italica_v2.0:IV:4357665:4361054:-1 gene:SETIT_005757mg transcript:KQL09576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLIVLVSLAEVLPAAAVSSRSNGTDTDLDALLAFRAQLSDPLGVLRGNWTPGTSFCRWLGVSCSQRRERVTALELPNTPLHGSISPHIGNLSFLSVLNLTNANLTGSIPAELGRLRRLRVLALPQNSRSGYIPSTIGNLTRLESFALYKNRLAGLIPPELQNLQNIRLIDVHMNYLSGMIPEELFNNTPYLNHLNLGNNSLWGPIPVGVGNLPMLQILVLQQNQLSGIVPPSIFNKSSLQVLSLWRNNNLTGTVPDNESFSLPMLQVLSLSGNNFVGRIPMGLSACQFIQVISLSENAFTDVVPTWLDKLSNLWYLALGGNNLVGSIPVQLTNISGLQKLDLSNCKLKGQILPEFGKMKQLFYLHLSDNELTGSIPASIGNLSDLSFLVLDTNMLTGPIPVTLGNLGSLGLLSFGWNRFKGDLDFLGALSNCRQLSYLGISSNSHSGSLPDYIGNLSKTLVTFRASDNNIIGGLPATISNLTSLQFIDLIGNELSKPIPKSVVTMENLQVLGLASNSISGPIPTQIGMLRSLQQLVLDDNEFSGTIPDGLGNLSMLQRISMSHNQLSSTIPQSLFNLHNLIELDISNNHLIGTLKADIGSLNTINKIDLSTNQLLGDLPDSFGQLQMLTYLNLSHNSFQDSIPNSYGKLASMETLDLSYNNLSGNIPMYLANFTYLTNLNLSFNKLQGRIPEGAFGAIAICLYVTIRRKTKRPGALTDPNDVTDAISHRLISYHEIVRATNNFSEDNLLGMGSFGKVFKGQLNNGLVVAIKVLNVRVEEAIKSFDAECQVLSRVRHRNLIRIINICSNQDFKALLLQYMPNGSLDAHLHNEGKPPLRFLKRLDIMLEVSMAVEYLHYQHHEVILHCDLKPSNVLIDDDMTAHVADFGIAKLLLGDNNSMVSASMPGTIGYMAPEYGFMGKASRKSDVFGFGIMLLEVFTGKKPTDPMFVGELSLRQWVHQAFPSRIDRIMDGNVPKDDEIVHGFHHTGSSSEVPHSILHSTLTSVFELGLLCSSDLPDERMAMTDVVAKLKKIKDNLKLESSSA >KQL09718 pep chromosome:Setaria_italica_v2.0:IV:5443113:5445297:1 gene:SETIT_006180mg transcript:KQL09718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHTAAVARQAAAASASAACCIQYTVHHAHLAALLNPSPRSPPLPLPLRRRHLPLSLPAASRLAASFPPLPLLVSFLRALRLLQSPPPRPFDALIRSYASLPSRASLAAAALAFARSAGYAPSVLTYNAVLLALSDASLPSARRFLDSMHRDGVAPNVYTYNILVRALCGRGHREEALNIVRDMRGSGCAPNAVTYNTLVSAMDEARELVSEMEAKGVKPDVVTYSTILSAYCKSGDTDSAFQVNQKMLEKGVLPDTITYSSLIRGLCEEKRLNDAHVLFENMIKLSLIPDEFTYTSLIDGHCKEGNVEKALSLHDEMIKIGVLPDVVTYSVLINGLSKSARTKEAQRLLFKLYHEDPVPANIKYDALLDCCRKAEFKSVLALLKGFCMKGLMDEADKVYQSMLDRNWKLDGSVYSVLIHGHCRGGNVMKALSLHKQMLQCGFAPNSTSTISLIRGLLEKGMIVKADQVTQQLLSCCSLVDSEASKALINLNLKEGMDCFQVQDEGDSGITLYWKPDGHVWNTLLSHESMTHRALGSVFCGRICSATLP >KQL11101 pep chromosome:Setaria_italica_v2.0:IV:30744217:30744704:-1 gene:SETIT_007701mg transcript:KQL11101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESRAGVNRKLELWREILESKGFRLSRTKTEYMRCDFGTTTHEEGDVSLENQVVLRKDTFRYLGSMLQ >KQL09292 pep chromosome:Setaria_italica_v2.0:IV:2370395:2370784:-1 gene:SETIT_008627mg transcript:KQL09292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNRREEGPADARGLVRAGVVLLTVTCAAAAYRSAAAGDVGSVAFVIVTYGALLLLLRFLRAYELVMAAPEAAADDRVRLIRRKVWALCTLLTAMFAWKVAGVVPWPVAIGVWAAAAATSAGGFVLMFR >KQL09633 pep chromosome:Setaria_italica_v2.0:IV:4735479:4736639:-1 gene:SETIT_007902mg transcript:KQL09633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARHFPSWADLHPELLGLVIGRLPLADRVRLGAACRPWRRAARQEPLPPPLPWLTLLDGTFLSIPGGEVHRMPIPEEDASCYGSMGNWLLLRRSVGEFSLANPFSGDAVRLPEIACPPGSLTSVKPMPISTSTPDLSPDSSPFAVLTKGGGFRSEISVCRPGTTAATAFSFPVRERISDVAFFDGKLYALSFGKLFVLDLETTGSTYLGKPRRSVPSMKRVAGAVDDPWPTCRSIAGERYVCAYWSYLVESSGKLLQVRRLIGCLATLPKEERVENSRTLSFEVFEADLVVGKWRRVDDLGGHQALFVATQSSMSLPAPECGAREDCIYFVCDYDIGNWEADPLRDCGVFDMRTGTITPLLPDGVVVRRQGRRALPAWFFPTKAM >KQL09153 pep chromosome:Setaria_italica_v2.0:IV:1569451:1572691:-1 gene:SETIT_006686mg transcript:KQL09153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVAAAASPPLVARAVLLLLSPRFPPPIPRPQSIMNSSPSSSPPVGYHSRAAANTQPRGGGGRRGGRRGGGGGRWVARGGGDGGDRIDALGSSSSSSSGGYHSMPAAAASPQPRGGGDGNERTDALRSSPPSSAGYHSRTTAFASPQPRGGGDRGGRGGGNGRDRIAALGRIMSRVLRHMAVELGLDMRTDGYVRVSDLLSLNLRTYAEVPLKNHTVDEVREAVRRDNKQRFSLLEEDGELLIRANQGHTVTTVTSESLLTPILSADEVSVCVHGTYRTKLSSILQSGLKRMARLHVHFSSGLPSSGEVISGMRSNINILIHLDVKKALNDGMKLYISENKVILTEGFDGVVPVKYFEKIETWPGRAPVPLQR >KQL08916 pep chromosome:Setaria_italica_v2.0:IV:284059:284250:1 gene:SETIT_008632mg transcript:KQL08916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPNNKKEPCCSLISGLADLEAAVCVCLAINANVLGVNLDVAVDLSLLVNYCGRTVPAGFQCA >KQL11467 pep chromosome:Setaria_italica_v2.0:IV:33976552:33982390:1 gene:SETIT_006430mg transcript:KQL11467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKDSKPSYGHSYDYGSTSSGYTSRYAGNTSSSYNTRYTPSSENNVQPETHARLQRKYSRIGDDYRSLSQVTDALAQAGLESSNLIVGIDFTKSNEWTGKMSFNRRCLHDIGSTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQYGQLSPQERDTIDAIVRASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPEQYKATLDLQLLGRRQRITPRVALPPPTRNAYSRSTSFSQQSGVYSRSSSFDQQTSGFQQRSESFKQQQPAATRRPGPDTYAAESALEDRILCPICMYKSKDLAFGCGHQTCYECGKNLERCPLCQQPITTRIRLY >KQL11469 pep chromosome:Setaria_italica_v2.0:IV:33976552:33982390:1 gene:SETIT_006430mg transcript:KQL11469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKDSKPSYGHSYDYGSTSSGYTSRYAGNTSSSYNTRYTPSSENNVQPETHARLQRKYSRIGDDYRSLSQVTDALAQAGLESSNLIVGIDFTKSNEWTGKMSFNRRCLHDIGSTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQYGQLSPQERDTIDAIVRASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPEQYKATLDLQLLGRRQRITPRVALPPPTRNAYSRSTSFSQQSGVYSRSSSFDQQTSGFQQRSESFKQQQPAATRRPGPDTYAAESALEDRILCPICMYKSKDLAFGCGHQTCYECGKNLERCPLCQQPITTRIRLY >KQL11466 pep chromosome:Setaria_italica_v2.0:IV:33976552:33980400:1 gene:SETIT_006430mg transcript:KQL11466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKDSKPSYGHSYDYGSTSSGYTSRYAGNTSSSYNTRYTPSSENNVQPETHARLQRKYSRIGDDYRSLSQVTDALAQAGLESSNLIVGIDFTKSNEWTGKMSFNRRCLHDIGSTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQYGQLSPQERDTIDAIVRASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPEQYKATLDLQLLGYLL >KQL11470 pep chromosome:Setaria_italica_v2.0:IV:33977160:33982390:1 gene:SETIT_006430mg transcript:KQL11470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKDSKPSYGHSYDYGSTSSGYTSRYAGNTSSSYNTRYTPSSENNVQPETHARLQRKYSRIGDDYRSLSQVTDALAQAGLESSNLIVGIDFTKSNEWTGKMSFNRRCLHDIGSTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQYGQLSPQERDTIDAIVRASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPEQYKATLDLQLLGRRQRITPRVALPPPTRNAYSRSTSFSQQSGVYSRSSSFDQQTSGFQQRSESFKQQQPAATRRPGPDTYAAESALEDRILCPICMYKSKDLAFGCGHQTCYECGKNLERCPLCQQPITTRIRLY >KQL11468 pep chromosome:Setaria_italica_v2.0:IV:33976552:33982390:1 gene:SETIT_006430mg transcript:KQL11468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKDSKPSYGHSYDYGSTSSGYTSRYAGNTSSSYNTRYTPSSENNVQPETHARLQRKYSRIGDDYRSLSQVTDALAQAGLESSNLIVGIDFTKSNEWTGKMSFNRRCLHDIGSTPNPYEQAISIIGRTLSAFDEDNLIPCFGFGDASTHDQEVFSFYPENRPCNGFEEALERYREIVPTLRLAGPTSFAPIIETAIGIVDSTGGQYHVLLIIADGQVTRSVDTQYGQLSPQERDTIDAIVRASQFPLSIVLVGVGDGPWDMMHQFDDNIPARSFDNFQFVNFTEIMSKSIAADRKEAEFALSALMEIPEQYKATLDLQLLGCAPFACINQRTSHLDVDIRLAMSVGRIWSAALYASSP >KQL09242 pep chromosome:Setaria_italica_v2.0:IV:2085212:2085685:1 gene:SETIT_007490mg transcript:KQL09242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGKEDERPSSKKDVARAGDDEAAAGAAVQEHRLPLRRNRRSWMNRPASAWRHHLRRCWCMRRLWRPGRRSLTRPPSRRQERLEPHGCWAGRRQRQRRLGQRGQAGQDQKQLLRRARHPRRSPTLDLLAARR >KQL08974 pep chromosome:Setaria_italica_v2.0:IV:494526:495494:1 gene:SETIT_007326mg transcript:KQL08974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPNRTRPPQGRQAGPDPVVSTSQHVALRVDPGVEHGFGHVYRCKQAESKQVCSISSSSSSRAEDQSRMQAVKEKVKDTVSAAKAKAKEKQAKAEEKAEMATARSHAERELAHERGKARVAAAKMELHQEKALHREEAIQHRLRKHHGVGHHHAGYGGAPAATTVPPAGTTAMPPPAAGPLHHHQAPAAKHYY >KQL09516 pep chromosome:Setaria_italica_v2.0:IV:3981233:3983230:1 gene:SETIT_006149mg transcript:KQL09516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDHDQQAASGHGTTTPLVFDEVRWVVQIRHSLQDDGAGGSDDDDDNGIPVSVFNVPKQLRVHKPEAYTPQFIALGPYHHWRPELYEMERYKLAAARRAQKRLCPAGLKLDGLVDQFKRLERRVRAHYHRYLDFNGETLAWMMLVDGAFLLEFLQIYAFAADNEGDGSGRELRRVSSRMQHLVDFAGRKSAHNLILRDMLMLENQVPLFLIRRILEPQCASAGEAGELLGRMVAGLMRELCPFKMMESFPPADDDSAAEADANGNFHDDGYDIEEQPAAGSGGGGEEQRKPAAGCEYVKQLFLAVWGIVSGLNNTAGPVRYVTKPIEFAIKAPWKMLAVVPGVGSFVSGDGSTTNPRDPSTSAAGYLTRPPLIEEIMIPSVSELVNAGVKFLPTAGDLSTVSFDAKTATFSLPVVTLDSNTEVVLRNLVAYEAAAASGPLVLARYTELMNGIIDTGEDVALLRRRGVVLNRMKSDGEVAKLWNGMTRSVRLTKVASMDRAVEEVNRYYNSRWRVKTKRFMRKYVFSSWQLLTFVAAILMLLLTTLQAFCSVYTCSRWFGAVTVAKAR >KQL10815 pep chromosome:Setaria_italica_v2.0:IV:26159815:26164175:1 gene:SETIT_006178mg transcript:KQL10815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFTASDENLWVPLASCKSSRSLLAASQMLTLATLFPHLSIPALPFVLTSKPANHLHGSTVTAARRGTDTTEVPVPGGAVARLRDHLGIGRVRRGMGMEWPLAPAQQRKAVAGGGGPALKLLLFVILTGLALRLLAGPAANLLLPIASPDEATRLVAAPGRGIPGGGATPPSETDASIFAMTGSGPLIAPDKKEGSLGENCNIFHGEWVPHSSGPAYTNASCRFIESPQNCMTNGRPDTDYLYWRWKPFGCDVPPFDGKKFLDSMRGKHWALIGDSILRNHIQSLLCLVSKVEDATEVYHDDTFKSRRWHFPSHNFTVSLIWAPFLVKAKIFEDDDGVSTADLQLHLDVLETNWTSRWQSFDYVVISTGQWFFKTAVYLENGAEIGCHSCQNKNLEEMSPEYSFRKALSTAFQFITSSPHKPVVFYRTWAPSHFENGEWFSGGTCNRTSPFKPGEAGDRESDNKMWTIEREEFDKVVANKGPNDSADHLKLLDTFELSLLRPDGHSGPYRTYHPYKTGMAAKVQNDCLHWCLPGPIDAWNDIIMQMLAKD >KQL09726 pep chromosome:Setaria_italica_v2.0:IV:5505189:5506187:1 gene:SETIT_008964mg transcript:KQL09726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKPEAGDADGGAAEVGSPRSGYFRQRSMHAAAAAADPEAARRALDVENPPCSAGGACGAPGLRPSESVTKLESLERAERAALAPAVVLRTGFYILVWYAFSTCLTLYNKTLLGDKLGKFPAPLLMNTVHFALQAGLSKLIIFFQPMGPDSGVEMGWKDYFMRAVLQSYQLL >KQL10554 pep chromosome:Setaria_italica_v2.0:IV:18094264:18099740:-1 gene:SETIT_005682mg transcript:KQL10554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNSYSAAAFALRAAKPSLQAHSSYSYSYLPSHHCHRDDADEHRRRRHHHELLQQSPYLTPRFLLDGYLLRHSAHLLLLSARLRTPPPTHPHPSRCCRRRASARCCCDGGCGRTVAGQVSWQVESCGCRCCGRGAGRPDLGTVCRRLEAARCRCSGSVGGRLLRAGCGRRDAPRLVGRAVRQEVWEYEGGEWPRRRCLTECHDDWEDEDDCDHDQLEVVSLVRRRWKDDDNDDDDVCRCRDCGRRKGLESYYSGEDAYSGQRRERRDVDEDRRSFRDSDRRRQQQREYHDDEDDLDLKRRRRRWEGRDKRDFDFDDAVDTRTVETRRYRGDGREYDRRRERRGFDSDDMVDVRRADRHAEDVQRFDQRSESRDFKIDDKVDLRREGRRLSNDDHRYVSRHERSEDTDREDISLLRSRRRNNEEIDYDEQDLAERRYYSGGRSQKSARASSFHEDDSKRASSSRSTVDARRARHEENSSSRVRWHDNVDQRTEQTSEERKRRHSVGWSNDERDTHDYDDARFVRITDARTSMQDVKVITEDDTKLTSSSKKASILKHSSNVDQQAAVHKDESRKSSQKIMDISEVQDNRTERGSRSQNYHQEDRRNYIENMSSSVQNSANMVSDSRRQVDQHNEVNQNLVSLTESSKHSENLINVTTDSTHNVSRASHSQRNYDEVNQADIDDRSTSLQNIIHVTRDKKRIVNQQIIHETDIDMQNITQVDVSKIRASDTSTSRSSQSHSETKSDVNSTSNMSFINSTRSQEKEVYQNKISASNSAMVRGSQSHLETGLYDQFHSSSSANIADNTKKSQEQVELNIGNASNVVVASTSGSHLDDQFQATSAVNTIGSMREQIDHSNIHASDATVASSSQGLVTRNGNQVHRTSAAHWPREKQGKNDWQIIQVSSTERNDEMGSKFSESSHDSRYRMVRSEDTHQNMDLIWQQADTSRISDDKDTTGLLLESTEEGSSMVNVDMAQGAAIMGSNEQEVRSETTAGSIMPSSSSAGQPVKESMLESAARLEKASTFHVGRFVDELQKGVSDAETTSTKKNEKSMVEGTTRSSSRSRMKGPADEMWDVHSTSSQETFKTADKEEGSSADGATNSASQTPKNESALARKVHKSLWAYVADIIRLGWIQRGDSPDSSDKSVKKSSSSNSQSTEGWLSSQERDNDGTRKKIKTKDQQLIKSHSGESEPGVASTSEEGYFNSSTQGLQISETVIEPQLGRSEGDLLARSSKDDLHISRERIKQSDVGESPKRNTRDDSMPTLVDVTIGHLPEHKTATSSSITAKDSGEFNTGKGMLADSSSVAISATEAGRSGDGADWMNDPSGAITPYRHPQTQAAMPHESTSTGILEPPAVRVGGIRFEEKNVVQEAPEIIKTGGKDAELKRRMFQRNKQVLKETFDEWEEAYQRDAEQRKADELFMREALLEAQRAADIWEVPVGAVLVQNGEIIARGCNLVEDLRDSTAHAEIVCIREASNKLKTWRLADTTLYVTLEPCAMCAGAILQARIDTVVWGAPNKLLGADGSWVSAEGCRYMGGNMVEAMQNAPYDMCICLE >KQL10692 pep chromosome:Setaria_italica_v2.0:IV:23579316:23583100:-1 gene:SETIT_006713mg transcript:KQL10692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSAEPAPGEEKAESEEEPFPATAAAGGGAGDAGELSHEEWRRWGTSSPLPGAVAAVVRELLEMEAAAGEKMRFGGVGSKLKGDFKDVEDKKHRAVYETLADSDQKLQYFSARQIGCRLLGSRGYLCQKCWLPAEDCMCANVFPCNLWRGMKFWLYMHPKDFLRQNNTGKLLWQVFGIQAAQLCLFGIQEHEDIMWDAFQRSGKGKISFLYPNKSTIPKSVKDLKFDGLSLSSDLHDMSSQDEPFNFILLDGTWSNSAALYRRLKERWTAIWGDEDIPCISLSTLSASVMHKLRPQPAWDRTCTAAAAAGLLWELNLRPELSTLEFEKQAEAVECSLDILLDALTTRRVRLGRSITRKQRHNRNCI >KQL11301 pep chromosome:Setaria_italica_v2.0:IV:32429676:32432538:1 gene:SETIT_006580mg transcript:KQL11301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIGSGMYVSGPAPDRRRERRLSSGSGATPPYTGGDVSRSGELGRMFDIAAASQSQAPSPASSSRRSSGPLPRPSPASGPLSQLSHSGLLVGPSPSPAPSPAHGSSRKGSWRRGAGKEAETAGSAVAARGRARLGVPFACYVLVVLAAAAALGAGVFCLVSWRRWEVIASAAGAVAAVGAVFAWNAWRRGAEAERFFRRFPDTAFDGHGDMPVGELVKITGQVTCGRHPLAAYFHDGAARCVFTSVQLFERRGWARCCCRRRWQQRHSEARVANFYISDRNSGKRFYVRAGEGAKITPMIKLKTISFDGDGKGASVNLKNWMASNGLPCNGAVRVKEGFIREGDIASVIGVLKKHHACDIVDAPAGVVTTGCQPMRFMFPILIEGLILIGNEDPDEAVYMV >KQL12117 pep chromosome:Setaria_italica_v2.0:IV:38823383:38824934:1 gene:SETIT_007203mg transcript:KQL12117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIATEAWALAGCGAASKVAAAAVQELPAQQHPPAAACRAKFRGVSINGGQDRREAAVVVGRRSGLASCVLAALAASFSPLAADRPARALVLEEDDDIELLERVKEDRKKRLEKQGIISASGTETGYLQDLIYKLSKVGQAIDKDDLPAASSVLGPSTDAQWVQNINAAFSKFSSSPEERSTVDSFNASLASLFTSVNKLDAESSKSAFVSSATALEKWIALAGLSGQLKGF >KQL09085 pep chromosome:Setaria_italica_v2.0:IV:1108410:1111629:1 gene:SETIT_0071101mg transcript:KQL09085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPGAPDMTGYYPRDEERAGYRAARDTEALNASYERFLRTGQIQSYGAGPAGEPIRPAVGGNAGYPVDERSMMAARGMDSRNIGGYGGGMPEPPLPPDASNTLYIEGIPTGCTRREVSHIFRPFVGFREVRLVNKEPKHPGGDPIVLCFVDFAEATQAAIAMDALQGYKFDEHDRNSSNLRLQFARFTGPRGNSGPGGPRGRR >KQL09086 pep chromosome:Setaria_italica_v2.0:IV:1108410:1111175:1 gene:SETIT_0071101mg transcript:KQL09086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPGAPDMTGYYPRDEERAGYRAARDTEALNASYERFLRTGQIQSYGAGPAGEPIRPAVGGNAGYPVDERSMMAARGMDSRNIGGYGGGMPEPPLPPDASNTLYIEGIPTGCTRREVSHIFRPFVGFREVRLVNKEPKHPGGDPIVLCFVDFAEATQAAIAMDALQGYKFDEHDRNSSNLRLQFARFTGPRGNSGPGGPRGRR >KQL10436 pep chromosome:Setaria_italica_v2.0:IV:15144115:15145580:1 gene:SETIT_008190mg transcript:KQL10436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRLLLFVLLSSYYYIAHGGHRNGFVVVQTRSFESKSLCSASTTNLELGRASVPVVHRHGPCAPSQSSHKLSFAERLRLSRARANFIMSRASNGMVSTRDDDANVSIPTHLGGSVDSLEYVVTVGLGTPAVSQVLLMDTGSDLSWVQCAPCNSAACYPQKDPLFDPTKSSTYASIPCDTAACQNLTADRYQNGCTDGGAHCGYRVEYGDGSKTRGVYSTETLTLAPGVTVEDFRFGCGRDQRGDNDKYDGLVGLGGAPESLVVQTSPVYGRAFSYCLPALNSDAGFLALGAPRAADTSGFVFTPMSHLSDTATFYMVTLTGISVAGKQLDIPPSAFRGGMIIDSGTVITGLPQTAYNALQAAFRKAMEAYPLLPNGDLDTCYNFTGYSNVTVPKVAFTFSGGGTVDLDVHNGILLKDCLAFTESGPDVGLGIIGNVNQRTLEVLYDAGQGKVGFRAGAC >KQL12016 pep chromosome:Setaria_italica_v2.0:IV:38217740:38217976:-1 gene:SETIT_008075mg transcript:KQL12016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGTDAGGGDGEQKTSWPEVVGWVTLNAAFKINDDRPDVSTAFYMIPTPLPTDYDAQRVIIVCDDREVVVRTPVIG >KQL09988 pep chromosome:Setaria_italica_v2.0:IV:7990195:7991367:-1 gene:SETIT_008210mg transcript:KQL09988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLRRLTTPTPLLSTRRYLLTSHYPHLPPLTLSLHRLLSATAASPSPKPFAVEEYLVSTCGLTRAQALKASKQLAHLRSPSKPDAVIAFLSALGLARPDIAALVAADPRFLCASVEKTLAPRITELSDLGLSRAQIARLVPLARTAFRSSTLGHSLGFWLPVMGSFEKVLTFLRLKCNILGSDIEKVIKPNMALLQQYGIHVGNFPNSFLPVVMTRPPEHVQAAMARISKFGFRQDSGMFAIALEVFAIHSQEKIDEKIRTLEMFGWSQDDVLMTVRKMPHLLNMSKERLQRNLEFLARDVGLEIPYIAQRPVLVMYSLDRRLVPRHHLIKILNAKGLLSDKFDLYSAFALSEKKFLDRFIHPYEHMVPGLAGAYASSCAGKAPHGLTI >KQL11812 pep chromosome:Setaria_italica_v2.0:IV:36943525:36945140:-1 gene:SETIT_007018mg transcript:KQL11812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSLAIAIAAVACLALAAEAAGNFYQDTEMTWGGGRGKVVDGGRGLDLTLDRTSGSGFQSRSEYLFGKIDMQIKLVPGNSAGTVTTFYLSSQGSTHDEIDFEFLGNETGEPYTLHTNVFTQGQGQREQQFRLWFDPTTSFHTYSIVWNPQHVIFAVDGTPIRDFKNHEARGVAFPKSQPMRLYASLWNADDWATQGGRVKADWSHAPFVASFRGFSADACVWANGRQQCPVGTMETAAVAGRRGGRSWWNQQLSDMSYRRMRWVQRKFMIYNYCTDAKRFPQGVPAECHLR >KQL09850 pep chromosome:Setaria_italica_v2.0:IV:6672111:6672699:-1 gene:SETIT_009154mg transcript:KQL09850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVKHMNIMLAVSNLRFILNFANSRQHKNRSSRCTIASS >KQL10159 pep chromosome:Setaria_italica_v2.0:IV:10367572:10371898:1 gene:SETIT_006386mg transcript:KQL10159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERAKEWLLAAGAGAAVGALSAAAVMNLLSRSKRREGYVRQLLESNGVTAGAGNARSSRHLGATGSSDLLSDEVVSEQLTRNTQFFGMDSQKKVTESYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSLSSLNRHAVATRDDVGTPKALCLKKHFSMIYPECQIDARVQLYDPSSEAEILSGQPDFVLDCIDNIDTKVALLAACVRRGLKVLSAMGAGARADPTRIRVADLRESSNDPLSRSVRYRLKKEHGIEGGIPVVFSLEKPKAKLLPFQASKEEETPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLAGLDFQTEPVVNLDLDHYCILHQRLIEHEELTYGTAEQVLVDAEEIMYIVKELWRGRSARDQNQKDTGRKMWRSVNELMLVRWDKSKPAGASNLILLKFSEADAHESTTLDHIKEEEPEFYSMVTRVLKRAEVEFGL >KQL11885 pep chromosome:Setaria_italica_v2.0:IV:37402004:37402516:1 gene:SETIT_007916mg transcript:KQL11885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGAVTTIMTSAGSVPVMFVPFVLRPPFDSLFDPDFLDNLSAPAADHDRKRARGAGTSDDDDLALELQEVAAAERSDASGEEEECVICLRGFRAEETLRAMPAWAHAFHHHCISEWLCRNPVCPLCRRWLPATNPTLEDEEEDWADTGGWEVEGVDERRILRRRIRFI >KQL11907 pep chromosome:Setaria_italica_v2.0:IV:37539939:37542250:-1 gene:SETIT_007333mg transcript:KQL11907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMAALQGAMASLSVSAPGAASTSSFWGNRLATHSAPQPGIRFMVKICPIEMRLKRWERKKCKPNSLPVLHKMHVRIGDTVQVIAGREKGKVGEVTRLFKHNSTVIVKDLNLKSKHKKGTEDEPGEIVMIEGPIHSSNVMLYSKEKNVTSRVGHKFLEDGTKVRYLVKTGEVIDSVEKWVKVFKEGNSE >KQL12334 pep chromosome:Setaria_italica_v2.0:IV:40061696:40065809:-1 gene:SETIT_005845mg transcript:KQL12334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLSFKRTDSIADSMPDALRQSRYQMKRCFQRYVSKGKRLLKNQQLLEELEKSLDDKVEKEKLVEGFLGYIICSTPEAVVLPPYVAFAVRMNPGIWEYVKVHSDDLSVEGITPSEYLKFKETLYDENWAKDDNSLEVDFGALDLSTPHLTLPSSIGNGLQFVSKFMSSKLGDKPETSMKPLLDYLLSLNYRGEKLMINDIIDTVNKLQTALLLAEVFVSGLPRYTPFAKFEQRFQEWGLEKGWGDTAERCKETLNCLSEVLQAPDPINMEKFFSRVPTIFNIVVFSIHGYFGQEKVLGLPDTGGQVVYILDQVRALEEELLQRIKQQGLKVTPKILVLTRLIPDAKGTKCNVELEPVENTKHCSILRVPFKTEDGKDLRQWVSRFDIYPYLERYAQDSCAKILDILEGKPDLIIGNYTDGNLVASLMSSKLGVTQGTIAHALEKTKYEDSDVKWRDLDQKYHFSCQFTADMIAMNTSDFIITSTYQEIAGSKEKPGQYEHHYAFTMPGLCRYATGINVFDPKFNIAAPGADQSIYFPFTQKQKRLTDLHPQIEELLYSKQDTDEHIGYLADRNKPIIFSMARLDKVKNITGLVEWYGQNRKLRDLVNLVVVAGLLEASQSKDREEIEEINKMHNLIDKYQLKGQIRWIKAQTDRVRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLTTFATNQGGPAEIIVDGVSGFHINPMNGREASNKIADFFQKCKEDPSYWNKVSTAGLQRIYECYTWKIYATKVLNMGSTYTFWKTLNKEERAAKQRYLQMFYNLQFRNLAKTVPRVFEHPPQTPAGAGPSTVTVVRPKERKPQTRIQRIMTSLMGNKSSTSD >KQL09811 pep chromosome:Setaria_italica_v2.0:IV:6236645:6237870:-1 gene:SETIT_007151mg transcript:KQL09811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFVVFKGVPLAGLVKELPAAPSVAAANGAPLAGLVKELPAAPSVAFKGVPLAGLKDLPVAPSVSVPGNSDFSARRLLNTKGHYYDDESTTTEEPSGADYSRRALSFSVPKLFSGDALDVFREPMKLTQLLSLMENGGAASRTGYSGHGWWVSKEDDDALQLKVVMPGLGKEHVKVSAEKNILVVKGEGDKNPEDGDDKGLAKYSRRFQLPAEAFKMDQIKAEMNNGVLKVTIPKIKDEERKDVFQIKVE >KQL11841 pep chromosome:Setaria_italica_v2.0:IV:37109276:37111273:-1 gene:SETIT_006737mg transcript:KQL11841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATCNACNVRFVDDEQKRLHYRSDWHCFNLKRKVAGVPGVTEALFLAWQAALGEGSTLTGTPIQYGCALCGKEYRSSRAHAQHLSSRSHLMRASDEGPDSSIAAGIAVVKLKPPAERRGGPAAVEEEEEWVEELDDESTSDMQVDEDSFGCDGEAEEFDTLLCFMCDLKHETAEDCMVHMHKKHGFFIPDSEYLKDPNGLLTHVGLKVKRDFICLYCNDRRQPFQSLEAVRKHMNAKGHCKLRYGDGGDDEDADLQDFYDYSSSYVDVEGKQLIAADGVNNNIELGIGGSELVITTKSGKGTRVRTLGSREFTRYYRQKPRPSAVTNCALALSLPSSYKSMDLVAVQSKEQSLRMKVA >KQL12094 pep chromosome:Setaria_italica_v2.0:IV:38725043:38731977:-1 gene:SETIT_008629mg transcript:KQL12094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVSEGGGPWLRSSSGFLGRRVWEFDPDAGTPEERAEVDRLREDFTRHRFQRKESQDLLLRMQYAKLNGLLGNIPSVKLENGAEVTEEILLTSLRRALNQYSTLQAHDGHWPGDYSGILFIMPIFIFALHVTMSVSIVISPEHRREILRYIYNHQNEDGGWGTLVLGRSNMFGSCLNYATLRLLGEVADGNNEALAKARAWILSHGSATAIPQWGKIWLSIIGAYDWSGNVPVIPELWLVPYCLPIHPGRFWCFCRLVYMPMAYLYGKKFVGPITPTILALREELYSIPYDTIDWIKARHSCAKEDLRYPRSQVQNLIFSCLNKFVEPMLNRWPGNKLREQALDNLMEHIHYQDETTKYIGISPIDKALNMICCWVESPNSDAFKQHLPRIYDYLWLAEDGMKAQVYDGCQSWETAFIVQAFCSTDLVNEYGPTLARAYNFLKKSQVLENHPNYQSYYRHRSKGSWTLSTLDNGWSVSDCTAEALKALLLLSKTSLNLSVDPIKEESLYDAIDCIISFMNKDGTFSTYECKRTFSWLEILNPSESFLNIINDYPYVECTSSVLEVLTFFKELYPLYRTKEIEKCIKDSAKFIENKQCKDGSWYGTWGLCFTYGTLFAVKGLVAAGRTYNSSASIRKACNFLLSKQQISGGWGESYLSSETEDYVDGGTSHAVNTAWAMLALIYAGQVERDPLPLYRAAKELINMQLDTGEFPQQEHVGCFNSSFYFNYGNYRNLYPIWALGEFRRRLLANKC >KQL11408 pep chromosome:Setaria_italica_v2.0:IV:33311863:33314064:1 gene:SETIT_006896mg transcript:KQL11408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPPPATAGPRAPAHPCLRTHGKEVARLHLFDWIVLVLLVATYAVLGLIQPFHRFVAEDMMATLRYPMKDNTVPGWAVPIIAIVVPMVFVIGIYMKRRNVYDLHHGILGLLFSVLITAVLTVAIKDAVGRPRPDFFWRCFPDGVPKYNNITGDVMCHGNPSVIKEGHKSFPSGHASGSFAGLGFLSWYLAGKIKVFDRRGHVAKLCIVLLPLLLASMVAVSRVSDYWHHWQDVFAGGVLGLVVASFCYLQFFPLPYSEHGFWPHAHFEHIRRAAGESQEQSTTNLNIHHQSPFLDISQSSDMRTTSQALDSMEEGSRDHRISY >KQL12275 pep chromosome:Setaria_italica_v2.0:IV:39772802:39775138:-1 gene:SETIT_007349mg transcript:KQL12275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPAAPLLAQLPSHATRPLRRHGGGAARAAPPRSVAATRRTSLRVAGVRCGVDGAEALRSGSDVEVPPRSVPVRVAYELQQAGHRYLDVRTEGEFSAGHPEGAVNIPYMNKTGSGMTKNTHFLEQVSRIFGKDDEIIVGCQSGKRSLMAATELCSAGFTAVTDIAGGFSSWRENELPITQ >KQL12276 pep chromosome:Setaria_italica_v2.0:IV:39772802:39775187:-1 gene:SETIT_007349mg transcript:KQL12276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPAAPLLAQLPSHATRPLRRHGGGAARAAPPRSVAATRRTSLRVAGVRTEGEFSAGHPEGAVNIPYMNKTGSGMTKNTHFLEQVSRIFGKDDEIIVGCQSGKRSLMAATELCSAGFTAVTDIAGGFSSWRENELPITQ >KQL12273 pep chromosome:Setaria_italica_v2.0:IV:39773085:39774826:-1 gene:SETIT_007349mg transcript:KQL12273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPAAPLLAQLPSHATRPLRRHGGGAARAAPPRSVAATRRTSLRVAGVRCGVDGAEALRSGSDVEVPPRSVPVRVAYELQQAGHRYLDVRTEGEFSAGHPEGAVNIPYMNKTGSGMTKNTHFLEQVSRIFGKDDEIIVGCQSGKRSLMAATELCSAGFTAVTDIAGGFSSWRENELPITQ >KQL12274 pep chromosome:Setaria_italica_v2.0:IV:39772802:39775187:-1 gene:SETIT_007349mg transcript:KQL12274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLPAAPLLAQLPSHATRPLRRHGGGAARAAPPRSVAATRRTSLRVAGVRCGVDGAEALRSGSDVEVPPRSVPVRVAYELQQAGHRYLDVRTEGEFSAGHPEGAVNIPYMNKTGSGMPKWQEISHGSD >KQL11783 pep chromosome:Setaria_italica_v2.0:IV:36749789:36754229:1 gene:SETIT_006750mg transcript:KQL11783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHDGSKPYQPRRGPERHPQPADEVAAPPPAAVAPTVDHLAAVAAEAEALNRYTEEQQQQQMLQGHEQAGEDEEEEDGEEEEMEEDEDEQEGGQDGGVGAEHVPMDADAAAAAAAAAAAGAQMDPHGSMVPGAVPPMANNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNHPGLGAGSSSGAYNKRLNFPHRVASLMRFREKRKERNFDKKIRYSVRKEVALRMQRNRGQFTSSKPKPDEIAASEMVTADGSPNWGSVEGRPPSAAECHHCGTSATATPMMRRGPDGPRTLCNACGLMWANKGLLRDLSKSPVPLQAVQSAPVLDGGNGSVIAAPGSELENPAAAMANGHES >KQL11784 pep chromosome:Setaria_italica_v2.0:IV:36749789:36754229:1 gene:SETIT_006750mg transcript:KQL11784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHDGSKPYQPRRGPERHPQPADEVAAPPPAAVAPTVDHLAAVAAEAEALNRYTEEQQQQQMLQGHEQAGEDEEEEDGEEEEMEEDEDEQEGGQDGGVGAEHVPMDADAAAAAAAAAAAGAQMDPHGSMVPGAVPPMANNQLTLSFQGEVYVFDSVSPDKVQAVLLLLGGRELNHPGLGAGSSSGAYNKRLNFPHRVASLMRFREKRKERNFDKKIRYSVRKEVALRMQRNRGQFTSSKPKPDEIAASEMVTADGSPNWGSVEGRPPSAAECHHCGTSATATPMMRRGPDGPRTLCNACGLMWANKGLLRDLSKSPVPLQAVQSAPVLDGGQNGSVIAAPGSELENPAAAMANGHES >KQL10302 pep chromosome:Setaria_italica_v2.0:IV:12620108:12624984:-1 gene:SETIT_005763mg transcript:KQL10302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSEAQARKASLAESVLAAISELMSSAAAIDVEQENFMDVDSHLHHTAAPGTMELQKAQHSPTNTLHVMEYLAANVDLAKDLVAKCSAAAQRLMDDDLLGITEDLDNVIKNISNELSRIPVSTFTSSRFAEPAVSGHLQVVRNRHDLYDQRSCDGYSEGDMSMVVSMERPRRRTLHNSDMPRLVDFLQGMYQESHEFGGQSFSSLPEVAEYVEPLYDSFFCPLTNKVMVDPVTTESSVTYDRRAIEDYFEKFTDSSEPVICPVTKTAMQSKTLRSNIPLKSTIAEWIMRNEATRIRIARTALSMATTEAMVLEAIHELKVLARLRRKNRDQMHKIGITKFLARLLDHKDALIRCDSLDLLCLLVEDDAGKEIIAKTRAVSRTIKLLSSSSTDERHAAISFLVELSKSELLLENIGSTAGSILILTTMKFNGSDDPIAAEKAGEVLKNLEKLPKNIKYMAESGYLDPLQRHLVEGSEDVQIEMVSYLGELIQKQEMTINIAGSASEILIKMVRSGNTAIRKAALDVLVQISSHHPNGKTLVDAGAVPVMVEELFIRKIDEEPMGSKTEAAAVLANIVESGLDPEAIVVNKEGHVITSKYSVYNFAHMLKCSMPDTLNLSIVRVLLALTALPKPLATVVSVMKEQDSSQTVIELMGSLSESLGIAATRLLIALSPHMGHTIAEKLCKAPGQPGKLVKSIGLNGRISERHAVLATLLAKLPYQHIALNLALLNRGAVATALAKIDEMQCGETRASRHAKAYMEGLVGTLVRLTTTLYDPDVLLAAMNHNLTSVLTDLLVRSAGSDEVQRLAAVGLENLSSQTHNLSQPPAEERRPKKKNILQRLREAHAAGRVHDNRRPPAHSRVCPVHRGVCSPSTTFCLVEAGAVEGLLCVLESNESGRVVEAALGALCTLMDDAVDVTSGVAVLAEHDAARHVLRALRQHRDDGRGAGDGGTVARRCFWAVERFLAHGSERCVREVTGDRALPSLLVGAFHKGDAATKQAAESVLRCLHRMPDYSATYESVEL >KQL12185 pep chromosome:Setaria_italica_v2.0:IV:39318124:39318783:-1 gene:SETIT_008991mg transcript:KQL12185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPMGRWRVRTLEAEHNKHIRTQSESLGRSRSGKSRGGGGTRGPQAALPESVDEDEGFYSMAGRGGSEKVLGSRHRPASISLP >KQL12206 pep chromosome:Setaria_italica_v2.0:IV:39432762:39436797:-1 gene:SETIT_006480mg transcript:KQL12206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQRSPAMVGGGGGAATAAAPLGMPSQAAVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIEHQPNIYDVVHVKYFDEEPLKLDFIISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYATSLIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDRAAVPALPSGSLYMEEVHAKLGEELWFTIGGQRIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSGSDTRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEVEGTYQPGNVSKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >KQL12208 pep chromosome:Setaria_italica_v2.0:IV:39432762:39436797:-1 gene:SETIT_006480mg transcript:KQL12208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQRSPAMVGGGGGAATAAAPLGMPSQAAVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIEHQPNIYDVVHVKYFDEEPLKLDFIISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYATSLIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDRAAVPALPSGSLYMEEVHAKLGEELWFTIGGQRIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSGSDTRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEVEGTYQPGNVSKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >KQL12207 pep chromosome:Setaria_italica_v2.0:IV:39432246:39436908:-1 gene:SETIT_006480mg transcript:KQL12207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQRSPAMVGGGGGAATAAAPLGMPSQAAVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIEHQPNIYDVVHVKYFDEEPLKLDFIISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYATSLIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDRAAVPALPSGSLYMEEVHAKLGEELWFTIGGQRIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSGSDTRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEVEGTYQPGNVSKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >KQL12209 pep chromosome:Setaria_italica_v2.0:IV:39432245:39436908:-1 gene:SETIT_006480mg transcript:KQL12209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAQRSPAMVGGGGGAATAAAPLGMPSQAAVPVRRRCEGTAMGAITLDLRPGLGVGPFTLGMPISDAFAQIEHQPNIYDVVHVKYFDEEPLKLDFIISFPDHGFHLRFDPWSQRLRLIEIYDVKRLQLRYATSLIGGPSTLATFAAVYALFGPTFPGIYDKERGIYTLFYPGLSFAFPIPSQYTNLFTNGEVADLPLEFPDGTTPVTCRVSIYDSSTDSKVGVGSLMDRAAVPALPSGSLYMEEVHAKLGEELWFTIGGQRIPFGASPQDVWTDLGRPCGIHQKQVDQMVIHSGSDTRPRTTLCGDYFYNYFSRGIDILFDGQTHRIKKFVLHTNFPGHSDFNSYKKCNFVIYDAEVEGTYQPGNVSKNCITPRTKWEQVKEILGDCGRAAIQTQGSMNNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >KQL09321 pep chromosome:Setaria_italica_v2.0:IV:2534681:2535129:1 gene:SETIT_008946mg transcript:KQL09321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATILFLRHCNVQFQYMIETIKINSNSQLLKVEYNDEEIKIIFMCLD >KQL09465 pep chromosome:Setaria_italica_v2.0:IV:3579137:3582494:-1 gene:SETIT_007961mg transcript:KQL09465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIASQMPSWEDEANIGVGSRDENNDGPEIGAGVWVEGVWQDPLDPHPLPPREGRSMVDFDPDYNPNPSERGRNQYPEGKWRVDVISPAGEPIEPPMVHSKFRNAIGAIIRTKEILDPSISNWLLVPERRKEAMWKLLKQIFILPRSEELRKCVKHYARKQLSESFRWSITPPQWDEFVRQKNSPEALALSQRNRELALSNIHKVHLGLGGKGEFVPNRDKDVLSLALGMKQHGGRIRGVFSKLTIKDGFERGMATYKSHSRYKDDLREAIEKALETSGEPNIQMPMVMMSHPLLGQASTQVYAPSNVGSMIAQPYPIDSIRINTPCSLCFLVGRAGKTKEIAKGLAIPVGGLFEEKPIPHHYACVTVIEINSNYDDHEIEIPTTEDATSEEQRVSTPLDAASEEQQVATPPASLAAASEPMDWPEDHPPPAQAKAGYLDPYAICEVRNNFPSKWGDDHEKLGQHKKATRRPKNGVVTVFHSLDYDQSTYKEFIFILQKLAYQHYITNGGIHNPERPVEMVVCTNFPCHKQPSGSVHCGYYMCEYIRMPGRYTTDPKCEGATFHI >KQL11102 pep chromosome:Setaria_italica_v2.0:IV:30744836:30746385:-1 gene:SETIT_008389mg transcript:KQL11102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAPWFASDSSPSPLRASQASASSSSLPRFSRFFHELFQIAKPPQARRVLSSPPHPTSAAGSVGMAYVDHAFSITDDDDLVGGAVGGPRGAPVKEIAFAAALLAFGVLGVVAGSFMTAHQVGGDSAHGIFFAVLGVVMFIPGFYYTRIAYYAYKGYKGFSFSNIPPI >KQL10253 pep chromosome:Setaria_italica_v2.0:IV:11928949:11931468:1 gene:SETIT_006639mg transcript:KQL10253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPSKECPKCSHVIDNSDVVHQWPGLPKGVKFDPSDQELLWHLLAKHGKSGIKPHPFIDEFIPTVEGEDGICYTHPQKLPGVKQNGSVSHFFHRTFRAYNTGTRKRRKINTDDLADVRWHKTGKTKPVLVEGKHLGCKKIMVLYTSTTKGGKAEKTNWVMHQYHLGTGEDEKEGEYVVSKLFFQQQFKPGEKNAQELTTADGLESMAAEADIPDFTTLPSEELVGTIQEIAQNPDHNPYQVNENCEINIQENAAEETVVLPPSEKPEGGDNPQSQDPKLWEGESQFELLDSQQLAEGLALCDEFLLSQSQTSCGGGDEPRAIKPRLAVYAQLPTEDFKKDLEECQRLEPSDTVNLELDNTTEFRLSQIDFSQDSFTTWAGGKIIDD >KQL12225 pep chromosome:Setaria_italica_v2.0:IV:39530028:39533860:-1 gene:SETIT_007129mg transcript:KQL12225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLASVNEELAEIDGQIGDILRALQNGFQKLDKIKDANRRSRQLEELTDKMRDCKRLIKDFERVSKDEAGRTDPDTAKMLHDRKQSMIKELNSYVALKKQHASGNKRIDLFDGPSVEDGYGEENVLLASNMTNQQLMDQGNQLMNETDQAIARSKQTVQETINVGTETSAALKAQTEQMSRVVNELDSIHFSIKKASKLVKEIGRQVATDRCIMAMLFLIVAGVIAVIIVKIVNPHNKDIPNIPGLAPPVSRRLLR >KQL11687 pep chromosome:Setaria_italica_v2.0:IV:36135882:36136837:-1 gene:SETIT_008648mg transcript:KQL11687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPWTCEARLPTRRRGRQCQQWCYHLCSLFTGLSRKPGFSGCLPEEESAFLMPQCAVSLCREAGTRMPGIMGVKHLGESPGQRWIWF >KQL10741 pep chromosome:Setaria_italica_v2.0:IV:24575134:24577986:1 gene:SETIT_007509mg transcript:KQL10741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASLTAIAPSPPALLKASPPALISLRPVSRRCKSLSVKTKATENDQSAKKPQKVRSILCKDCEGNGAIVCTQCEGRGVNSVDHFNGRFKAGALCWLCRGKREILCGSCNGAGFLGGFLSTFDETSD >KQL10439 pep chromosome:Setaria_italica_v2.0:IV:15212539:15217043:1 gene:SETIT_006233mg transcript:KQL10439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELATATATAAAAVASASRNHSSFSSPRAVSASWNSPSLASTSPASTSRRRGRWLPVTSAAVELREAAAGGGDSVRVTETPQPGSSVKFSVEVPASIIQECYQLTLQEYAKRFKVPGFRPGKIIPENILINYVGPEHVQDATIEAILKHTLPQALSSVEDKALEDSVRILTQFDDMRSSFSLDDVFRYDVAVDIAPEVRWLSEDKYKNLKVVVEIDEAVDAEKATEKELQRRHKALGLLRIVADRGLQIGDLVVLDIFAESINSDGSKGEKISSAESTGFHLDTEENNNLVPGFLGSLIGIRPGEARSFPIQFPESFDQESLRGVCAQFTVVCKELFYRELPEMDDSLAGKLLPGCNTIDEVRERILERCKEVEKTAIEQATDNAILDQLGKLVEVDVPRALFQEQGQQLYGAKLLQLQAERKLDKDQLASLSTQRSVQAYLEDEKENITRIIKQMLAVGDIFKSENLQYSTEQLIKEVENLVAEFKQYNQDYNEDNIKQQVQDVLEAAKVLEWLKENCTIEYVRR >KQL12234 pep chromosome:Setaria_italica_v2.0:IV:39582335:39586378:-1 gene:SETIT_006619mg transcript:KQL12234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSADAAAPASTSAPPLAPLIAAQLNYLISHSKPPIRVGQIWSGCRNGRHSDRFTLSIPFCLDYVHWDVVYNALSPKVAPDVVFGPDDEGFHPLVDYAEAGNGDKSCLACWDCRDPKGLLALVQELRELYVEYHKKQVAKVDDARVTFELSTVLSKEGIEVCMVPSADRPDEVKFAVPLLDADFDFTKLVQGCPWRVPQKIHLQVIFPISRSSSYSSVPSAPRLKLISTPDLKSLFSVEDVKLPPWSNGMCLAEYLPALEESLNLLVVEASASIGARRRFIEALAPTFGRPIEADPIFCKRATVLSISGIFTFLVHFAIPLQFPKQQPVLTLQSSQVTI >KQL12233 pep chromosome:Setaria_italica_v2.0:IV:39580911:39586378:-1 gene:SETIT_006619mg transcript:KQL12233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSADAAAPASTSAPPLAPLIAAQLNYLISHSKPPIRVGQIWSGCRNGRHSDRFTLSIPFCLDYVHWDVVYNALSPKVAPDVVFGPDDEGFHPLVDYAEAGNGDKSCLACWDCRDPKGLLALVQELRELYVEYHKKQVAKVDDARVTFELSTVLSKEGIEVCMVPSADRPDEVKFAVPLLDADFDFTKLVQGCPWRVPQKIHLQVIFPISRSSSYSSVPSAPRLKLISTPDLKSLFSVEDVKLPPWSNGMCLAEYLPALEESLNLLVVEASASIGARRRFIEALAPTFGRPIEADPIFCKRATVLSISGIFTFLVHFAIPLQFPKQQPVLTLQSSQHCNADGTPITSPPINDYPWSPRWDQAEMVERIYDFLTDECQNFKKFCSDAITQQK >KQL09851 pep chromosome:Setaria_italica_v2.0:IV:6670844:6673882:-1 gene:SETIT_007280mg transcript:KQL09851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTFPALLLAAMLLLMPGAEAVWLELPPSGTKCVSEEIQPNVVVLADYAIMYESHPTSHPTVAVKVTSPYGNTVHHNENATTGQFAFTTSEAGNYLACFWIDSAEKGSGTSLNLDWKIGIAAKDWDTIAKKEKIEGVELELRKLEAAVESIHHNLLYLKAREAEMRTVSEKTNSRVAWFSILSLGVCIVVSVLQLWHLQGFFRKKKLI >KQL10275 pep chromosome:Setaria_italica_v2.0:IV:12336034:12336823:-1 gene:SETIT_008257mg transcript:KQL10275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGLAGGMHPLAWLSWAWPEACTPWRTPFLDTKVRCYPWAIVGLRGRGDLAIDNGNNTLLDFRAFLWSACSLPLAPAPMAAAKPRLMLVDRHKTRRFVTTPEICGEGAAAKELSALARLADSCDVLVGEHGVGLTHLLFLRSRSVVLEVVPYGLVGVAFTYRDFFKRPARALRLRYVAAEESTLLERYGAAHPVISDPVGVYRRLAAEGKPYAFRHYWNEQDIRLNVTRFDAVLARACRHVVAHR >KQL10467 pep chromosome:Setaria_italica_v2.0:IV:15649389:15650893:1 gene:SETIT_007575mg transcript:KQL10467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLHLAMAGHGCSQGNARQRQDLSPSAERAHVASSKDKMPVRHHERIMFPLNPQARPACASFPDGHIPDPITQPHLYQNVACKSKCYEAGTEPNTMLDKSRISILHLFQD >KQL10468 pep chromosome:Setaria_italica_v2.0:IV:15649560:15650448:1 gene:SETIT_007575mg transcript:KQL10468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLHLAMAGHGCSQGNARQRQDLSPSAERAHVASSKDKMPVRHHERIMFPLNPQARPACASFPDGHIPDPITQPHLYQNVVLTPFVF >KQL11513 pep chromosome:Setaria_italica_v2.0:IV:34374567:34378676:1 gene:SETIT_007047mg transcript:KQL11513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPASLTASRALSPLLAPARVSSGRWSSLSVSVGARPATVTVSVAALTAQRRLVAAAAATEMAPAASGEEGSKPFIEEMRAVAMKLHTKDQAREGEKEPQAPPVAKWEPSVEGYLRFLVDSRLVFQTLEDIVDRATVPWYAEFRNTGLERSEALKKDLEWFKEQGHTIPEPSDPGTTYSSLLEELSEKDPQAFICHFYNVYFAHTAGGRMIGKKVSEKILNKKELEFYKWEGNLTQLLQNVRDKLNQVASSWSREEKDHCLEETEKSFTYSGQLLRHIFT >KQL11406 pep chromosome:Setaria_italica_v2.0:IV:33267867:33271903:1 gene:SETIT_006588mg transcript:KQL11406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAPGTASSLLLSLRRSRGGDSSSSFRAAAPRFRSPRCVLGSEQLRAVDGGKRTGGVEQRGAVWTPKAPAQEARLAALPRDARDSRMKIFSGTANRSLSQEIAAYLGVDLGKILIKGFADGEIYVQLQESVRGCDVFLVQPTCSPVNENLMELFIMIDACRRASARSITVVIPYFGYARADRKAQGREAITAKLAANLLTEAGSDRVIVCDIHSTQALGYFDIPVDHIYGQPVILDYLASKTISEDLVVVSPDVGGVVRARAFAKKLFDAPLAIVDKRRQGHNMSEVMHLIGDVKGKVAIMVDDMIDTAGTITSAAALLKQEGAEAVYACCTHAVFSPPAIERLSGGIFEEVIVTNSILLPEDKCFPQLTVLSMANLVAETIWHVHRDGSVSSIFQ >KQL10207 pep chromosome:Setaria_italica_v2.0:IV:10904208:10904630:1 gene:SETIT_007884mg transcript:KQL10207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATADVWVMMIGTMLFVAVVLVTKRSWCDQPAPAPVSPEESQRASLAALEPPVAVVVVPAVVLPHFPYARGRATETLVCAICLEVLRDGEACSEVPGCRHVFHGDCVGAWARSKDSCPLCRTKIAPGSGAVAAAADDMV >KQL10276 pep chromosome:Setaria_italica_v2.0:IV:12375634:12376189:1 gene:SETIT_008779mg transcript:KQL10276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFREAPTLEFKGKDFIDEHGSFILEIPQGPCSSNASPESATLCAPSTYEDYNHLKVEIKENDGQATPKNMGATQTTVP >KQL10942 pep chromosome:Setaria_italica_v2.0:IV:28635521:28637874:-1 gene:SETIT_007119mg transcript:KQL10942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGGKAPVPAKKKPEKVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAEAEGKTVEAKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKVLEAIKANFNDKFDEVRKKWGGGVMGSKSQAKTKAREKLLAKEAAQRMT >KQL11377 pep chromosome:Setaria_italica_v2.0:IV:33015456:33017549:-1 gene:SETIT_006978mg transcript:KQL11377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLFADIDLDALLASFSGEPAGVSGLIDPSPPPPAPTAAHDAEAGSPESVTSRASPPGEEALTEIERLLMQEGEAELGGEAEGISVEEFFDALYDGGEGEREGKESEAGGSTDGDSGRDEVVEVVTPEAETVEVDGDDPVSKKKRRQMRNRDSAMKSRERKKTYVKDLEAKSKYLEAECRRLSYALQCYAAENMVLRQSLLKDRPVGAPTAMQESAVLTETLPLVSLLWLVSIVCLFLMPGLPNRSPAAPSSAGRDLGMVTGKTSSENPDILELILHGRRCKGTRAKIKLDKLPFHAVAAC >KQL12056 pep chromosome:Setaria_italica_v2.0:IV:38485673:38489470:1 gene:SETIT_007265mg transcript:KQL12056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVYLYIPNIIGYFRIIINFIAFAVCYSNKALFAILYFFSFVLDGVDGWFARKFNQASTFGAVLDMVTDRVSTACLLALLSQFYRPGLVFLILLGLDITSHWFQMYSSFLSGKSSHKDVKHTGNWLLKLYYGYRPFMAFCCVSCEVLYIILFLFADEKSTSLLSVSRGILNQSPLIVLVFISTLVGWAVKQVTNVIQMKTAADACVVYDLKRGK >KQL10582 pep chromosome:Setaria_italica_v2.0:IV:19241426:19241953:-1 gene:SETIT_008528mg transcript:KQL10582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPSQEINHGGSMLILDHGSIKGFLDWSVWTEEGEGSDSTARRSSWLDLCSSWRGSDLTTKEVFSVIRYRDFLPSARILWRNDPPAMMLR >KQL11726 pep chromosome:Setaria_italica_v2.0:IV:36344271:36344797:1 gene:SETIT_009070mg transcript:KQL11726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILITETRNIHLAPAAEFTCSFYAVSTESGKTNVGNEKQGISAVCNILQEKLLSKITTSSHQMNHHQS >KQL09044 pep chromosome:Setaria_italica_v2.0:IV:831889:832781:1 gene:SETIT_007259mg transcript:KQL09044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAAGDGEKSGFRWLDLARYAVAAVVTVVIIAVVVHAIQVVFRPDSLTLSVLGGSVSTNSLPPATDPSLSFSYTLRARNPSGRVRMYYFNINTFLFNSSTPATTPEPMADCLVSFQMPDMSVMQMRFTDSLIQRTVQRNPNDIMPFAFDALHKTGGSIKDVTMLVNGTLVTEIRFGFNTTPRVVKYYCRQLVVGLYDVATMKTDQETSCTTSS >KQL11471 pep chromosome:Setaria_italica_v2.0:IV:33986313:33986555:-1 gene:SETIT_008132mg transcript:KQL11471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNWPRTRTQRQTTPAQQLTTVGSLGLAARAKANNSNSSLITCMHISKQFVTVVRSNPCVQFCSCFSNVTFLPERFMRP >KQL09607 pep chromosome:Setaria_italica_v2.0:IV:4562332:4562649:1 gene:SETIT_008729mg transcript:KQL09607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSCVQWRRLNSLGGQALFVGPSSKFLQASECGAQPDCIYFICDYDWDILEQILSATATTAMRPKDVSEGRSEEKFYSARPGWFFPS >KQL12144 pep chromosome:Setaria_italica_v2.0:IV:39111264:39117315:1 gene:SETIT_008523mg transcript:KQL12144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASSPHRKLLHSLVYWAVQRCRMSESPCRLTVSLKGPAEPASPSPLRVSVSDTGVGSKLEEFLELDDLARETPVEKWDGTLLITTTGINDEAIYRYRFNLQEELSSARFTKLATTYKNHATFSGTEVCLCLSNEADADDFILWLVGFFHKILVLRAANLACELFVEQIGSAESRNVCLPQYSDDVHHSVMASSSDRLVCGLKDYALSHGNTCDNCDTCTLNRDLLKIGTGAANNVDRRKAKGLHVEVVILIARTASDLSCWTVLYFEDFVPCPISQSSFDVLVSIDWQSYGFKLKGATAMQECQGSQQDRHLVRKALKSALSHLKADHAGDFLSCHGQRVREYVPDLAESIAGLILSSNDKEFQDECITLLGLGSNQDVSEGLVQSSICEKMVRVIELNDTKENAEDNAPYLFECEKLDEDSQLDEEDGDEDMNFDF >KQL11217 pep chromosome:Setaria_italica_v2.0:IV:31804225:31807896:1 gene:SETIT_006932mg transcript:KQL11217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHYPDHALAMDPAAAAAAAGVGAAVNPSFVPGGGGVGGPGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPMAAAAAAGGAQSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNQVDSESNMFDGNEGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEIMRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKR >KQL11219 pep chromosome:Setaria_italica_v2.0:IV:31804225:31807896:1 gene:SETIT_006932mg transcript:KQL11219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHYPDHALAMDPAAAAAAAGVGAAVNPSFVPGGGGVGGPGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPMAAAAAAGGAQSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNQVDSESNMFDGNEGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEIMRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKRSTAGDGNAEQSW >KQL11218 pep chromosome:Setaria_italica_v2.0:IV:31804225:31807896:1 gene:SETIT_006932mg transcript:KQL11218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHYPDHALAMDPAAAAAAAGVGAAVNPSFVPGGGGVGGPGGWEREKAAIAAHPLYERLLEAHVACLRVATPVDQLPRIDAQIAARPPPMAAAAAAGGAQSGGEELDLFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWELEQTLQSLTGASPGEGTGATMSDDEDNQVDSESNMFDGNEGSDGMGFGPLMLTEGERSLVERVRQELKHELKQGYREKLVDIREEIMRKRRAGKLPGDTASTLKAWWQAHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPASSSSDKSKRKSTAGDGNAEQSW >KQL11976 pep chromosome:Setaria_italica_v2.0:IV:37939358:37940809:1 gene:SETIT_006477mg transcript:KQL11976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTIMPLPLSSSRFSRLSPSPPPSSFLPRAAPQARLRRHRDGLPGRRPWTWARPRRPQDRLPREEAVAASSPVPASDGLPGRWPWPRRPQDRLPRACAALRPRLRSWSLAPPAPALASAARDAEWFNPAAHSWRRLERVRAPPSAAHVVFRGRVWCIEGNAMMEWMGTRRGWREVGPYPPGLKADTACAVYVGGGEKVVVTGALDGKGVRRQDQAVDRGGPAAGVRRLRLLRHVLPAGSGPRHPCARAGPGRSVRVLVLRPQPPRTRRGAADSSSSSSIWEDFGGTQAAAEMRCGPVLSSEEGQVWYSNQTAVTLRVSGRDLTAIEPRDVKFYLVAVKRISMAFRDPYKFQWHSGNLLFLIQIPLRFCYQAHFSSRWLAKGGIGISQGARAAMGWRQRLRPGSRLKGCSGMAAMFQPIDYHGNIREAMDR >KQL10511 pep chromosome:Setaria_italica_v2.0:IV:17105145:17106816:1 gene:SETIT_0085481mg transcript:KQL10511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLRRLPHDASPHIFPHLVAALARSPRPILALRLFLSPPTPAATTHHSFNSALVRFPLPPHLLPAFFSHSLRRFPGLTPTLLSFNLLLKCISSSLVPRNPSIYLATALRILHDAIPVWNLAPDKFTYSTVVSALSDAGHVEDAVALVHEMVVDGVVAAEAFNPVLRAMLRAGDVNGAAKLFRFMQLKGCTLTAATYNVLLHGLLLCSKVKAAMGIMRRMENEGIVPGLMTYGAVVDGLVKCGRVEDAWKVAEEMGNKGLPPSEFVFSAVITGFCRSGEVDRALRVWETMVAATGLCMSGMVDGGLRLFNDMLAKGDAKPDAIIYNVVLDGLIRTNNLARAMDMLNQMLDQRCDPDAVTCNIFLREVGVAEAKGREFLEGLVMRLCNRERYRAAGDVLMVMLAKYIVPEAAIWHTFVRGVCQTKRVRKVVDNCWDEIWKP >KQL11677 pep chromosome:Setaria_italica_v2.0:IV:35983345:35985222:-1 gene:SETIT_007950mg transcript:KQL11677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVALLPCILLQQWRYLLVAVALALVSILLSWSRRSNRYTSAGLHLPPGLQKLPLLGNLHQIGALPHRSLWALARQHGPVMLLRLGSVPTVVVSSPEAAREVMRTHDAHCCSRPAMPGARRLTYGFKDVAFAPYGDHVREMRRLFILELQSMRRVNAAWDAREAQVDKLVENLTRAGPNPVKLDEHIFSAVDGIIGTVVFGKIYGTEHFKMQFLDMLSEAMDMLGSFSAEDFFPNAAGRLIDRLTGLISRRDRIFRRLDDFFDAVINQHLNPSCNKLDDKNCRSDPVQALVELWKDKGSVAVPFTRDHVKAMLFDTFVGGINTSAVTMVWAMSEMVQHPRVLKNVQDEIRAVVGRKQRASRDDVSKLKNLKMVVKEILRLHPPLTLLLPRETIQQVNITGYDVPANTRIIVNAWAISRDHNIWKDPEEFNPERFIGSNIDFNGAHFEFIPFGSGRRICPGMAMAVSNMEFTLANLLYCFDWELPEGVAKEDISMQEAGSLAFQKKAPLMLVPRRYETSY >KQL12183 pep chromosome:Setaria_italica_v2.0:IV:39313777:39315217:1 gene:SETIT_007448mg transcript:KQL12183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLLAVALAVACVAAASAPPSHAQGPAAAGAGAVPSCAAKLVPCAAYLNSTSAPPPACCGPLKEAAANETACMCAMLLNKAALQRSASSPSRGSASPSAAASPPTTPPAPSPPPPPPPPVQQVQPLAAALLHLQLPLAALLLQ >KQL12182 pep chromosome:Setaria_italica_v2.0:IV:39313777:39315217:1 gene:SETIT_007448mg transcript:KQL12182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLLAVALAVACVAAASAPPSHAQGPAAAGAGAVPSCAAKLVPCAAYLNSTSAPPPACCGPLKEAAANETACMCAMLLNKAALQRSASSPSRGSASPSAAASPPTTPPAPSPPPPPPPPVQVQPLAAALLHLQLPLAALLLQ >KQL09585 pep chromosome:Setaria_italica_v2.0:IV:4419347:4429558:-1 gene:SETIT_005836mg transcript:KQL09585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAINPFSSWHPLRDMIRSIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMGAAASLLKEKHHGVLISAVQLCTELCKASTEALEYLRKNSLEGLVRILRDVSNSSYAPEYDVAGITDPFLHIRVLKLMRTLSQGDADCSEYINDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFRVLSLAGNHVKDDVWHALIVLISNASELQGYSVRSLYKALQACGEQESLVRVAVWCIGEYGEMLVNNVSMLDIEEPITVTESDAVDAVEVYLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVLDEANYLVKRAASMQATVSSVKSAPAVTPGGQLKLPNGVAKPPAAPLADLLDLSSDDTPVTTSAPTTAPNDFLQDLLGIGLIDSSPAGGAPSTSTDILMDLLSIGSTPVQNGPPTSNFIPPGIETKPVPVTPQVVDLLDGLSSSTSLPDGNAAYPTITAFQSATLRITFSFKKQPGKPQETTINATFTNLATTTFTDFVFQAAVPKFIQLRLDPASSSTLPASGNGSVTQSLSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >KQL09587 pep chromosome:Setaria_italica_v2.0:IV:4421455:4429385:-1 gene:SETIT_005836mg transcript:KQL09587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAINPFSSWHPLRDMIRSIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMGAAASLLKEKHHGVLISAVQLCTELCKASTEALEYLRKNSLEGLVRILRDVSNSSYAPEYDVAGITDPFLHIRVLKLMRTLSQGDADCSEYINDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFRVLSLAGNHVKDDVWHALIVLISNASELQGYSVRSLYKALQACGEQESLVRVAVWCIGEYGEMLVNNVSMLDIEEPITVTESDAVDAVEVYLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVLDEANYLVKRAASMQATVSSVKSAPAVTPGGQLKLPNGVAKPPAAPLADLLDLSSDDTPVTTSAPTTAPNDFLQDLLGIGLIDSSPAGGAPSTSTDILMDLLSIGSTPVQNGPPTSNFIPPGIETKPVPVTPQVVDLLDGLSSSTSLPGYLLLLDYPIQ >KQL09584 pep chromosome:Setaria_italica_v2.0:IV:4419347:4429558:-1 gene:SETIT_005836mg transcript:KQL09584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAINPFSSWHPLRDMIRSIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMGAAASLLKEKHHGVLISAVQLCTELCKASTEALEYLRKNSLEGLVRILRDVSNSSYAPEYDVAGITDPFLHIRVLKLMRTLSQGDADCSEYINDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFRVLSLAGNHVKDDVWHALIVLISNASELQGYSVRSLYKALQACGEQESLVRVAVWCIGEYGEMLVNNVSMLDIEEPITVTESDAVDAVEVYLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVLDEANYLVKRAASMQATVSSVKSAPAVTPGGQLKLPNGVAKPPAAPLADLLDLSSDDTPVTTSAPTTAPNDFLQDLLGIGLIDSSPAGGAPSTSTDILMDLLSIGSTPVQNGPPTSNFIPPGIAETKPVPVTPQVVDLLDDGNAAYPTITAFQSATLRITFSFKKQPGKPQETTINATFTNLATTTFTDFVFQAAVPKFIQLRLDPASSSTLPASGNGSVTQSLSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >KQL09586 pep chromosome:Setaria_italica_v2.0:IV:4419728:4429385:-1 gene:SETIT_005836mg transcript:KQL09586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAINPFSSWHPLRDMIRSIRACKTAAEERAVVRRECAAIRAAISEGDQDYRHRNMAKLMFIHMLGYPTHFGQMECLKLIAAAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQFIVGLALCALGNICSAEMARDLAPEVERLLQNRDPNTKKKAALCSIRIVRKVPDLAENFMGAAASLLKEKHHGVLISAVQLCTELCKASTEALEYLRKNSLEGLVRILRDVSNSSYAPEYDVAGITDPFLHIRVLKLMRTLSQGDADCSEYINDILAQVATKTESNKNAGNAILYECVETIMGIEATSGLRVLAINILGRFLSNRDNNIRYVALNMLMKAIAVDTQAVQRHRATILECVKDADVSIRKRALELVYLLVNDTNVKPLTKELVDYLEVSDQDFKDDLTAKICSIVEKFSQDKLWYLDQMFRVLSLAGNHVKDDVWHALIVLISNASELQGYSVRSLYKALQACGEQESLVRVAVWCIGEYGEMLVNNVSMLDIEEPITVTESDAVDAVEVYLKRYSADVTTRAMCLVSLLKLSSRFPPTSERIKEIVAQNKGNTVLELQQRSIEFSSIIQRHQSIKSSLLERMPVLDEANYLVKRAASMQATVSSVKSAPAVTPGGQLKLPNGVAKPPAAPLADLLDLSSDDTPVTTSAPTTAPNDFLQDLLGIGLIDSSPAGGAPSTSTDILMDLLSIGSTPVQNGPPTSNFIPPGIAETKPVPVTPQVVDLLDGLSSSTSLPDGNAAYPTITAFQSATLRITFSFKKQPGKPQETTINATFTNLATTTFTDFVFQAAVPKFIQLRLDPASSSTLPASGNGSVTQSLSVTNNQHGQKPLAMRIRMSYKVNGEDRLEQGQVSNFPAGL >KQL10018 pep chromosome:Setaria_italica_v2.0:IV:8475519:8479451:-1 gene:SETIT_007007mg transcript:KQL10018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTAPSRTNSRVNYSNEIHDLSTVQSGGSAVPTMYYPEKSLADIFPPHLGKKVISEVVATFLLVFVTCGAASIYGEDLKRISQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLKAVLHPIEVIGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVIGTLSGAWVYTYIRFEEAPAKDAPQRLSSFKLRRMQSQSALAADEFDTV >KQL10020 pep chromosome:Setaria_italica_v2.0:IV:8475913:8479344:-1 gene:SETIT_007007mg transcript:KQL10020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTAPSRTNSRVNYSNEIHDLSTVQSGGSAVPTMYYPEKSLADIFPPHLGKKVISEVVATFLLVFVTCGAASIYGEDLKRISQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLKAVLHPIEVIGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAGPVSGGSMNPARTLAPAVASNVYTGLWIYFLGPVIGTLSGAWVYTYIRFEEAPAKDAPQRLSSFKLRRMQSQSALAADEFDTV >KQL10019 pep chromosome:Setaria_italica_v2.0:IV:8476739:8479344:-1 gene:SETIT_007007mg transcript:KQL10019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTAPSRTNSRVNYSNEIHDLSTVQSGGSAVPTMYYPEKSLADIFPPHLGKKVISEVVATFLLVFVTCGAASIYGEDLKRISQLGQSVAGGLIVTVMIYATGHISGAHMNPAVTLSFACFRHFPWIQVPFYWAAQFTGAMCAAFVLKAVLHPIEVIGTTTPTGPHWHALVIEIVVTFNMMFVTCAVATDSRAVGELAGLAVGSAVCITSIFAG >KQL11880 pep chromosome:Setaria_italica_v2.0:IV:37376195:37378287:-1 gene:SETIT_0060841mg transcript:KQL11880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADQWCDDMELNFSDSHMFRQVQHVLQSVRMDPFLIDLRDKDHYDFLLLAVDPTKKRSKDEMAVLVTILKALSEAVSKIDVMYHHALLHNIFTTCIWYLDLDTRDALLHLITRLAAVADQYLRECLQMLVNNFTPPGPYVPLMEQPRMLAKKKEIYSQLHETLKMISDTVPLASRMLKDVLNRSMPKLFDNKA >KQL11881 pep chromosome:Setaria_italica_v2.0:IV:37376195:37378504:-1 gene:SETIT_0060841mg transcript:KQL11881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADQWCDDMELNFSDSHMFRQVQHVLQSVRMDPFLIDLRDKDHYDFLLLAVDPTKKRSKDEMAVLVTILKALSEAVSKIDVMYHHALLHNIFTTCIWYLDLDTRDALLHLITRLAAVADQYLRECLQMLVNNFTPPGPYVPLMEQPRMLAKKKEIYSQLHETLKMISDTVPLASRMLKDVLNRSMPKLFDNKA >KQL10971 pep chromosome:Setaria_italica_v2.0:IV:28965054:28965445:1 gene:SETIT_0067612mg transcript:KQL10971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGAGGGGGLGGTRVPTWRERENNRRRERRRRAIAAKIFAGLRAYGNYNLPKHCDNNEVLKALCNEAGWTVEPDGTTYRK >KQL11254 pep chromosome:Setaria_italica_v2.0:IV:32025749:32029293:1 gene:SETIT_006592mg transcript:KQL11254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELPEVEAARRALQAHCVGRRIARCVVADDSKVVVAAAGRAVFERAMVGKTIVAARRKGKNLWLQLDAPPFPSFQFGMAGAIYIKGVPVTNYKRSVVNSEEEWPSKYSKFFAELDDGLEFSFTDKRRFARVRLFEDPETVPPISELGPDALFEPMSVDNFLDSLGRKKIGIKALLLDQSFISGIGNWIADEVLYQSRIHPLQIASNLSRDGCEALHRSIQEVVKYAVEVDADLERFPKEWLFHHRWGKKPGTVNGKKIEFITAGGRTTAYVPQLQKLTGTQSSKMIAANLEQLAENGDAKDLDTDGEDADNLKPKKRAATSRAARGQQNKDTIGASSRKARGSGGGSKKPGTHVEAGELKTAVTDSNGEQGLDQANSNAVNKSDQVTRRSSRKVKPRK >KQL09724 pep chromosome:Setaria_italica_v2.0:IV:5465867:5467861:-1 gene:SETIT_006575mg transcript:KQL09724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAADDLVRRVAAFLPVPPLPPPPQKPQQLSGVAAAVLDAGGRLGRAVGDVFRRLRIDDTFYSGAPEQRWGNAGKNGRRPAAGAPLAGKEGTTSGGAPLGASGRFARSQGSMNLSATYDSRTNDVESSVVARGDLWRAEASHSSGAGASASAPRGAGDGTNMFLVQLGPVLFVRDTTLLFPVHLSKRHLIWYGFERKNGVHSVCPAYWSAHKRWFFMSMLCLNPFACSFMDMQFPNGQLRYVAGDGFTTRAFLPVGGGVLQAHGKFPGEKRISFSFKNRSGSSVVPMVQWPDKSLSLGFVQPLSWRRSGLMLQPATQISICPTIGGRHPGVCTELIHSVNENVGVIYGYSHTASPSAHASLSIGRSKLNGGVARSGIVFRVDAPLHGFDRPWFSVQMSSGIEF >KQL10005 pep chromosome:Setaria_italica_v2.0:IV:8325058:8328128:-1 gene:SETIT_007340mg transcript:KQL10005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSFLKVLAKNFDVLAGPIISLAYPLYASVRAIETKNPIDDQQWLTYWVLYSFITLFELTFAPIIEWLPFWSYAKLFFNCWLVLPWFSGAAYVYDHFVRPMFVNRQIVNIWYVPRNDKPSKPDDVLSAAERYIEQNGPEAFEKLISKSTKSSKSRTTRRSILEDAEAERESWGENPFYDKNYRH >KQL10460 pep chromosome:Setaria_italica_v2.0:IV:15547309:15550251:1 gene:SETIT_006239mg transcript:KQL10460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVECSLAATLAPRPLPGWVRKAPPRPAVLEAGRARLRVRSARREQPPLPEPVAVRSPSGTEHGGRALGQAAAGFAAAAVVSLTGFAGDMSPLPTPPPARAESLTVAFPVAKAREVNRVQKTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMLSTLGDPFTRIISPTEYQSFRIGSDGNVQGVGVFINREPSSGRLLVMDCIQGGPADRAGIHEGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKLLDGADNDRGGRIRQKEVQLSREIINLSPLSTTIISHRSDDGHECKTGYVRLAAFSQTAAAEMENAVKRMEDEGVESYILDLRNNPVSLIFSQLLFRSSTANFFLH >KQL10462 pep chromosome:Setaria_italica_v2.0:IV:15547232:15551713:1 gene:SETIT_006239mg transcript:KQL10462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVECSLAATLAPRPLPGWVRKAPPRPAVLEAGRARLRVRSARREQPPLPEPVAVRSPSGTEHGGRALGQAAAGFAAAAVVSLTGFAGDMSPLPTPPPARAESLTVAFPVAKAREVNRVQKTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMLSTLGDPFTRIISPTEYQSFRIGSDGNVQGVGVFINREPSSGRLLVMDCIQGGPADRAGIHEGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKLLDGADNDRGGRIRQKEVQLSREIINLSPLSTTIISHRSDDGHECKTGYVRLAAFSQTAAAEMENAVKRMEDEGVESYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTIDREGNVLPINMIQGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILVGHRTFGKGKIQSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCSPDILSLPRAPQLRENSEATSLEMDSCIMVAEQALGIEQTKGSAS >KQL10461 pep chromosome:Setaria_italica_v2.0:IV:15547232:15551713:1 gene:SETIT_006239mg transcript:KQL10461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVECSLAATLAPRPLPGWVRKAPPRPAVLEAGRARLRVRSARREQPPLPEPVAVRSPSGTEHGGRALGQAAAGFAAAAVVSLTGFAGDMSPLPTPPPARAESLTVAFPVAKAREVNRVQKTLVEAWGLIRETFVDPTFNHQDWDQKLQQTMVEMFPLKSADAAYGKISGMLSTLGDPFTRIISPTEYQSFRIGSDGNVQGVGVFINREPSSGRLGGPADRAGIHEGDELVEIDGKSVSGLDGEAAAQRLRGRVGTTVKVKLLDGADNDRGGRIRQKEVQLSREIINLSPLSTTIISHRSDDGHECKTGYVRLAAFSQTAAAEMENAVKRMEDEGVESYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTIDREGNVLPINMIQGHSLTHDPLVVLVNEGSASASEILAGALHDNGRAILVGHRTFGKGKIQSVTELDDGSALFITVAKYLSPALHEIDQVGIQPDIQCSPDILSLPRAPQLRENSEATSLEMDSCIMVAEQALGIEQTKGSAS >KQL10969 pep chromosome:Setaria_italica_v2.0:IV:28947206:28949630:1 gene:SETIT_008206mg transcript:KQL10969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHTKLLLILFSLITTASFKLVNTVVNKSLLVNAELGTMWKNNPFLLHNESPDNFSVRLIVGTTRISVDYEYSPPLLACCLLFTLDPVYDQYLPKVVWSANRDRPVRENATVQFTEPGDLMLHVGQKLVASTSETNWANARFYVTVLSDSIYAFAGVDTLAYYRSPHCLEVFTSFWETGAPDYQIWLPPNPYGIEFVKLDWDGHLRVYHWEVSGDWVSSDVLDIADSCSYPLACGEYVICLGGQCSCPDAALRQSGLFDLDSLSCGSAQKASFLALPNTTHFNIVYNWTTNEDCCKLSCLNDCSCKAAFSLHMDDSSGFCFLASEIFSMISVSVNSQSHSRNFSSYAFVKVQEHRAMLSKEKIAIILVISSSTFVASILTTVLMVLRRKSTEPTDDGDTIDQLPGLPTRFSFESLKSASGDFSRKIGAGGSGSVFEGHIGDMQVAVKRLDGINQGEEEFLTEVQTVGSINHIHLWIFGKHQAAPLDWETRLKIITDVAWGLAYLHSDCRQTIAHLDIKPQNIILDEMFSAKVSNFGLAKLIDREQSTIMTRLRGAPGYLAPDWHLITMLQEKAKDDKDDQLINLIDPCSSDMKSHLDEVFRVVNLAVWCLQVDNNRRPSMCMVVKTLERTMSAETKLELSR >KQL11058 pep chromosome:Setaria_italica_v2.0:IV:30403114:30408043:-1 gene:SETIT_006150mg transcript:KQL11058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEAEAGEDERLLKDLPGSSDKEAHTSAGEDQENTKRDSEGTTTEECGSGGSVIKATVSSEDQNDRHGNDSNIQCAESDGACKPMPEMDSKSINGECPDEVPEIGSKSSNDDNCGSSEEMPRIGTKSSSDDNSECADRSSPRAVLDISVSGSVDSDDSASVEQSAESNHNVQWRNLISGLILRRKKSMGRAVTFPQRSKSRGLRGYLERMRSGKNQMDCSAIAPEILPEIGKWRPSWRSFDYEELCTATDRFSSEKLIGKGGHAEVYKGQLADGQFVAVKRLTKGGNKEDRVSDFLSELGIIAHVNHPNAAQLLGFSVEGGLHLVLQFSPHGSLASVLHGTKEPLKWKVRFNIALGIAEGLLYLHEGCHRRIIHRDIKASNILLTEDYQPQISDFGLAKWLPDQLTHLVVYPIEGTFGYMAPEYFMHGIINEKTDVFAYGVLLLELVTGRKAVDSSRQSLVIWAKPLLDANNIKELVDPSLGNEYDPEEMVYILAVASLCIHHSSTSRPSMKSVVCFLKGDRESLELVRRPKIVKPLMFDSCDSEDYTRSSYLNDLNRHKQLALEQ >KQL10895 pep chromosome:Setaria_italica_v2.0:IV:27841052:27847445:1 gene:SETIT_005828mg transcript:KQL10895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSADPLTSLDPDMPPRKPLAPGGGKLKKPLTEKQRAAAEQRLAHLRAHLLLRPLESPAAGARALTPPHEAALRALGLLDFARLDLHSDAPRPDLVAPLVAYYDPACKRSFVRGVRVAVSRHELARALSLPPKPASAAAAPPDVDPAAVAPAVMQLLQDYVLLPFQGDDMCILPQEVAAAERAVREGSAHRVDWAGLIWGLVEKELLELPKRDDGVCYFGLHLQRLIWAQKPNLFEAVDGGERGEAVPEASVDGDMEMGQEDGDEDADTDVKSKSLEELELGDGEADADMDVRGKSLEESELGNADVRSAGLDEMELGDLVTRNKELEVVDKDARGKSLDKSEAVDEDVRSKSLDEPEAVDEDGRGKNLDELEAVDEDDKGTSLDESGMIDGHVNGTNMDGSGLGFVAVEAVSAEAMPDDKEDAGDAEPAEGDDVAGASEEDGEEPLVETVVVTQEEVVAVAEEVGDEEADGEEESDAMGLSLGFNSTNGYDSMDVEEETHIENLDEGDSDNEDAEESEDDGFEGVNGGKDMSWRIGDDSGDGNEDEEMTHSLQRCNTFGGMEFENLNKGEAEMRDELGFDDFSGRGSLERMTSSNLLQAMNSIPSSYNITENVHGLSGEFLSMGADAHKNGVDLEPGSSYLFGNNGKRQIGDIDGYNGNLQAQEQFPQCNQQKRMRHSNSSSISPGSGFFNANFSVPIQNLMVEASRLYEQKEQELQNLQFEKQHWSDMLQQKDAIIQTLNSTRFEQQNKYQAELRRFEHDLNVMAQLVTGYKKALKQTQASFEEYRKKFPCNKPLYGDVTGGGGLVLSVSELERMRFEEERQKLAAANAMIEKFQNEWFLKLDEWTLSVNSLWSRMEGLYKEIDLLKEYRRARFATPSTEE >KQL12015 pep chromosome:Setaria_italica_v2.0:IV:38195621:38195926:1 gene:SETIT_007914mg transcript:KQL12015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRPAELHLQRVIAGRRARLLVNAGALLISAAGSVIIHAAATPSDASSGPARPLIAFCIFVLGVSLVMSALVADRFPRAARAGVAIARALQRYVFGLVGW >KQL11105 pep chromosome:Setaria_italica_v2.0:IV:30750114:30752801:-1 gene:SETIT_006647mg transcript:KQL11105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPPMPTHLLPTRTISPRSNGCAATASPSPPSMAARPRRLPSGLQSVTGRGKFSLAAITLDDYLPMRSTEVKNRTSTGDITSLRLITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSIEGMISHFEAVLPMGPTIIYNVPSRTAQDIPPEVIMAISGYPNMAGVKECVGHERVKQYTDKDIAVWSGNDDECHDSRWKYGATGVISVASNLVPGLMHSLMYEGENATLNEKLLPLMKWLFCQPNPIALNTALAQLGVTRPVFRLPYVPLPLKKRIEFVRIVEAIGRQNFVGQKEARILDDDDFVLISRY >KQL11104 pep chromosome:Setaria_italica_v2.0:IV:30749893:30752914:-1 gene:SETIT_006647mg transcript:KQL11104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPPMPTHLLPTRTISPRSNGCAATASPSPPSMAARPRRLPSGLQSVGKFSLAAITLDDYLPMRSTEVKNRTSTGDITSLRLITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTVNCFGTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSIEGMISHFEAVLPMGPTIIYNVPSRTAQDIPPEVIMAISGYPNMAGVKECVGHERVKQYTDKDIAVWSGNDDECHDSRWKYGATGVISVASNLVPGLMHSLMYEGENATLNEKLLPLMKWLFCQPNPIALNTALAQLGVTRPVFRLPYVPLPLKKRIEFVRIVEAIGRQNFVGQKEARILDDDDFVLISRY >KQL10371 pep chromosome:Setaria_italica_v2.0:IV:13612468:13614686:-1 gene:SETIT_007315mg transcript:KQL10371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRALHLLTANRRISSTPHLASLGWFDKIKSTFTGKKPDAASEADSFTLIKFADTMETARKMGAFKNFVAGRASEATVVNAFEKHSAVLRYLGAIDPTGEKLQNSDKINATKHCNCTIADVEHILAKYTWAKEAQKKMAKLKEEGKPLPKTFNEIQNLMGSTPMDVGQSNLAKSGQISRNALCPCGSKKRYKRCCGAS >KQL10212 pep chromosome:Setaria_italica_v2.0:IV:10929319:10930592:1 gene:SETIT_007017mg transcript:KQL10212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGVKKGPWTAEEDQKLVGFLLTHGHCCWRIVPKLAGLLRCGKSCRLRWTNYLRPDLKRGVLSDDEERLVIDLHGQLGNRWSKIAARLPGRTDNEIKNHWNTHIRKKLLRMGIDPVTHLPLQEAPAPPPQEQQEDQPPPAPPQQQQQQPPQPQEHHQPRNDSGLMLQDDDAGEEDLPMFQPHEVATAPPAPPTAAAAAVSNCGSVSSASAGSVSVVSPSCSSSASAPAASGVEATEWPEPMYLFGMDDGIIDAGSWDGLFPGGGMGVDPFDGYPGGGFDQDDDWL >KQL10239 pep chromosome:Setaria_italica_v2.0:IV:11634067:11635815:1 gene:SETIT_006177mg transcript:KQL10239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPRRHALPPPGHHRRTLSSTLVDESVAAAAALVHKWHPDDAPAGGSLFLHGAEEDEARRFLRAAADLHRAMLFFASDVAHGSSHGLVEAQALLQTAMRRLDLELRVLLDDIDSIQSDHAADASRSRNNICAVAEAMMAAGYGKECISTFKTRRRAALTASLRRLLGFSPPVDHLHKITWDQLDARIIPSWLAAATAAFGSLFPAEKDLCDAVFAGDNAAVGEAVFAAVANDQATGLLAVTEAAAARARRAPERLFRVLDVHDALTEALPALLSVFGDGSEVAARAALSVAKVGEAARGALGSLEAAIQKEPSKATAAGGAVHPLTRYVMNYVVFLADYKEGLALLYDYDYDSDSSEQASPSVIHRLVSALLSKLEAKAGCYREAALSYLFLANNTRYVANKVAGSGQLRGVLGDGWAEAQSAKARAHVGVYVRAAWGKVTSLCTQQGGAEPEAVEAAVMESVGMQEQWVAADDETGEALRAAATAAVVPKYRMFYRRHGAAVRLTPGDVTAMIAALFGGPLDLVSQRHQEDPNPYRDPTRSPRQLFT >KQL11232 pep chromosome:Setaria_italica_v2.0:IV:31921479:31925617:1 gene:SETIT_006997mg transcript:KQL11232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPMGFAGRVSALLLLLFAGAVASDQIFTASGVPFGRSSREPRFRVEFHPVDSPYQPENGQESEPMANHEGKHYMCFLPVEETKTMKSILPQNATNVIIESDRRIKPKEPDELLEPLKDQCLYRHEGWWSYEFCYHGKIRQVHVEGDKVIQEYVLGEYDNDATTAYHENNTSEFADDDHRVKDISKRYHVHLYTNGTVCDLTEIPRETEVRFVCSEPTVLISSIKEISSCKYVVTIQSPMLCKNPLFHQEKRTLSIHCNELPAEEEPRVTVEDDLLPKEAPISIIPDQDELHDFTAYAT >KQL11233 pep chromosome:Setaria_italica_v2.0:IV:31922592:31925617:1 gene:SETIT_006997mg transcript:KQL11233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKRWLLGIIQTLLFYPNQRYLWCIQQENGQESEPMANHEGKHYMCFLPVEETKTMKSILPQNATNVIIESDRRIKPKEPDELLEPLKDQCLYRHEGWWSYEFCYHGKIRQVHVEGDKVIQEYVLGEYDNDATTAYHENNTSEFADDDHRVKDISKRYHVHLYTNGTVCDLTEIPRETEVRFVCSEPTVLISSIKEISSCKYVVTIQSPMLCKNPLFHQEKRTLSIHCNELPAEEEPRVTVEDDLLPKEAPISIIPDQDELHDFTAYAT >KQL11285 pep chromosome:Setaria_italica_v2.0:IV:32323446:32323746:-1 gene:SETIT_008918mg transcript:KQL11285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPKPDDMVYSAWWLKISGMVDIPLKPRLNSLVVLGASVFSSS >KQL11526 pep chromosome:Setaria_italica_v2.0:IV:34473260:34475770:1 gene:SETIT_007225mg transcript:KQL11526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCNHETTAISMDGFKVVVPAHPLISHWVSVLRDRSTPSHAFRSALGELGRLLIYEATRDWLPTVTLEIQSPVGTAVVESISEMEPIMIVPILRAGLALADLATSILPSTRTFHLGMARDEKTLLPSVYLNKLPDRFPKGCHILLVDPMLATGGTVTAAVDLVKERGAEISQIRIISAVAAPPALKKLNQRFPGICVYTGAMDQTVNEKGFIVPGLGDAGDRSYGT >KQL10152 pep chromosome:Setaria_italica_v2.0:IV:10318628:10319022:-1 gene:SETIT_007677mg transcript:KQL10152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSTALPLLLLLAVLSGSWTRLPSAAAAGRPLLAADGAVDTVIVLPSLRRPWHKLPSLEMKPAGHSCETYSPNNKDCPTRP >KQL10688 pep chromosome:Setaria_italica_v2.0:IV:23547661:23548855:-1 gene:SETIT_009149mg transcript:KQL10688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKYFISAKVGGGNAGPEQANTLEDGLARVPLARHLLISGGLAAVAPEDLLREKEAIVVPQLFTIVHSTRANRCNFFV >KQL09468 pep chromosome:Setaria_italica_v2.0:IV:3610626:3612647:-1 gene:SETIT_007311mg transcript:KQL09468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDAEEEAAATPAPAPAPAAGRLKGSPELTVEADMREMAKTAAWSVSSCKAGNGVAALRDDSLDTYWQSDGAQPHLVNIQFQKKVQLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTVELAKPIGWVHISLSGADPRETFIHTFMLQIAVLSNHLNGRDTHIRQIKIYGPRPNPVPLQPFHFISREFITYSTIR >KQL10242 pep chromosome:Setaria_italica_v2.0:IV:11672863:11673792:-1 gene:SETIT_007433mg transcript:KQL10242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAYSVALLGGARLPAVPRGSALLPRRTACQLRLQDAPRLSLLRVKAASEDTSASGDELIEDLKAKWDAVEDKPTVLLYGGGAVVALWLTSVVVGAINAVPLLPKILELVGLGYTGWFVYRYLLFKESRKELATDIETLKKKIAGTE >KQL10241 pep chromosome:Setaria_italica_v2.0:IV:11672593:11673899:-1 gene:SETIT_007433mg transcript:KQL10241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAYSVALLGGARLPAVPRGSALLPRRTACQLRLQDAPRLSLLRVKAASEDTSASGDELIEDLKAKWDAVEDKPTVLLYGGGAVVALWLTSVVVGAINAVPLLPKILELVGLGYTGWFVYRYLLFKPERVGY >KQL11185 pep chromosome:Setaria_italica_v2.0:IV:31446266:31449576:1 gene:SETIT_005826mg transcript:KQL11185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAFVAILCLAGAAAASAAEAGCGANTSLAGYRADLRMSQHQLRGRVEVLDGCSFRVAALDLLPGSASARWWRADGTDLDALARGEPAAADPLDRTFRSESLVFHLLPGLSWPLVPVLAAYDPLTSSLFGFVRLPGANASSDPSSSSAAPTMLDSCAHLSPRFRVRWTLHEANNSVDIGLEAAVGSEYYMAFGWAEPGAAEPPSVIGADLVVAGFTEDGLPFADDYYVTKYSECLLRDDGSVEGVCPDTIYGRNHSSSASAGLVNGTRLVYGHRRDGVSFVRFSRPLAPKDSKYDVAVNATRNMTVVWAIGLLRPPDSLRPYYLPLMSRGGAFSFAKLNLSDASGGCVGPLDAEDKEDQARITAERKTPLVVTVGPALHYPNPPNYDKVLYINKKEAPLLKVERGVPVTFSVEAGHDVPLYITSDPVGGNATARNTPEVIYAGGPEAEGVRATPTELVWLPDRNTPDLVYYQSLYDQKMGWKIQVVDGGLSDMYNNSVLLDDQQVTFFWTLSGDSINIAARGEKKSGYLAIGFGSAMVNSYAYVGWVDGNGKGHVKSYWIDGKDGMSVHETHENVTHKRCRSENGAIVFEFTRPLTPSCSGRVECKNIIDPTTPLKVIWAMGAQWSSGPLSLKNMHSDTSNRPIRILLLSGLAEAVEDLRPVLAVHGFMMFVAWAIFLPGGIMAARYLKHLKGDLWFQAHTYLQYSSIAVMLLGVLFAVAELRGFSFKSRHARIGAVAFTFACVQPINAYLRPHKMEDREPSSGNRTVWEYLHHFTGRSAALAGIVALFTGLQHLGHRYGSKNIKGLTCGLILWFLSVALVTAYFEYMAIKRRRDGADGLSGKWVLGNTDEDDTVDLLQSDRVVSKLESNSSPEPMEVQLEPLKG >KQL11186 pep chromosome:Setaria_italica_v2.0:IV:31446266:31449576:1 gene:SETIT_005826mg transcript:KQL11186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAFVAILCLAGAAAASAAEAGCGANTSLAGYRADLRMSQHQLRGRVEVLDGCSFRVAALDLLPGSASARWWRADGTDLDALARGEPAAADPLDRTFRSESLVFHLLPGLSWPLVPVLAAYDPLTSSLFGFVRLPGANASSDPSSSSAAPTMLDSCAHLSPRFRVRWTLHEANNSVDIGLEAAVGSEYYMAFGWAEPGAAEPPSVIGADLVVAGFTEDGLPFADDYYVTKYSECLLRDDGSVEGVCPDTIYGRNHSSSASAGLVNGTRLVYGHRRDGVSFVRFSRPLAPKDSKYDVAVNATRNMTVVWAIGLLRPPDSLRPYYLPLMSRGGAFSFAKLNLSDASGGCVGPLDAEDKEDQARITAERKTPLVVTVGPALHYPNPPNYDKVLYINKKEAPLLKVERGVPVTFSVEAGHDVPLYITSDPVGGNATARNTPEVIYAGGPEAEGVRATPTELVWLPDRNTPDLVYYQSLYDQKMGWKIQVVDGGLSDMYNNSVLLDDQQVTFFWTLSGDSINIAARGEKKSGYLAIGFGSAMVNSYAYVGWVDGNGKGHVKSYWIDGKDGMSVHETHENVTHKRCRSENGAIVFEFTRPLTPSCSGRVECKNIIDPTTPLKVIWAMGAQWSSGPLSLKNMHSDTSNRPIRILLLSGLAEAVEDLRPVLAVHGFMMFVAWAIFLPGGIMAARYLKHLKGDLWFQAHTYLQYSSIAVMLLGVLFAVAELRGFSFKSRHARIGAVAFTFACVQPINAYLRPHKMEDREPSSGNRTVWEYLHHFTGRSAALAGIVALFTGLQHLGHRKMGAWQHRRRRYS >KQL11977 pep chromosome:Setaria_italica_v2.0:IV:37950929:37956620:-1 gene:SETIT_005920mg transcript:KQL11977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVGFDVGNDTLVAAAARQRGIDVLLNAESKRESPAAVAFSHNARLIGSHAASASASHAPFSSVKRLILGATGRDPASSILRDIPRLPFPVSSSAADGGAVVHADHIGRHIPLSPTHLLSMLLAYLKQLAEADLGGAPVADCVISVPCYFTQAQRHAYLDAAAVAGLRPLRLMHDLAATALGYGLYRSDLGSAGGPSHVAFVDVGQCDTQVAVVAFDASGMRVLSHGFDADLGGRDFDEVLFEHFAEEFRDRYKIDVVGNVKASMRLRAACEKAKKVLSANAEAVVNIECLMEEKDVRGVIRREEFEKLCAGLLERIVEPCKRAVAVSGIGLERLHSVELVGSGSRVPSIAKVLAGFFRRETSRTLNASECVARGCALQCAMLSPTFRVREYEVQDAIPASIGCCTSEGPISTLSSNALFRRGLPFPSVKTITLQKNSSFNLDAYYVDENELPPGTTTRIGSFQIGPFQADTEASKVKVKIRLNLHGLISVESAALIDDYERNATSADHMEVDSSGDDTGHKSRNERSIQRQDLPIAEYIYGAMSKQELLEAQEQEQQLAYQDKLMERTKDRKNALESYVYDTRNKLSERYRSFATDSEREEISVNLQQTEEWLYEEGDDETEAVYCSKLEELKKLVDPIENRCKDDEVRAEAMRELLKCIVDHRTAAKSLSTLERDAVDNECNKAEQWLREGLQLQETLPKNVDPVLWSYQIKRKEEELDMFCQNISRHKASPARTDGSRGSDHMATPDRD >KQL10710 pep chromosome:Setaria_italica_v2.0:IV:23923446:23924791:-1 gene:SETIT_007035mg transcript:KQL10710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQPRREEDPLQAQQQSDKAQLGQGQGLQDLQPEQAAIRYGHVFAVTGYLAGQPIAPRDAAAMRSAEDSVPGVQVPEGAGGGFSAATAMETAAAYNQAVGAVRPGQASDAAAVQGITVTQTAVPGGRVVTEFVAGQVVGQYSVADPPPAAEEDATKITIGEALEATARAGGGRPIDRADAEAIRAAEMSAHRADVAMPGGLGDQAQAAARANAQATRDGDKVKLGDVLSDATAKLAGDKAAGAEDATRVIQAETFNDAEAHARAGGVGAAVTTAARLNEDNHLGDA >KQL11604 pep chromosome:Setaria_italica_v2.0:IV:35247731:35249279:-1 gene:SETIT_006418mg transcript:KQL11604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGFRWSRPGSFVLYAVVLLLSAAVSEANIGEFDDYWRQRKLMADAAAEATYQHDPIEVPNQLNRAVHRSIKKEDISTRREMLGQKKGKMNGPCKATNPIDRCWRCRKDWATDRKRLARCAQGFGRNTTGGLAGKFYVVTDGTDDDVVNPRPGTLRWAVIQIEPLWITFAKTMIITLKEELIIRGDKTIDGRGVQVRIANGAQLTVQFANNVIIHNIHINDIISSNKNGGNIRDSPDHFGWRTVSDGDGITVFGSTNVWLDHLSLSNCQDGLIDVIAKSTGVTISNCHMTNHNDVMLFSSSDKHPEDQIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWLMYAIGGSKAPTIISQGNRYIAPPNLAAKQVTKQHDAPESEWKNWVWHSEDDLFMEGAYFTVTGGQINRQFNKKDLIKPKPGSYVTRLTRFAGSLDCKPGKPC >KQL09048 pep chromosome:Setaria_italica_v2.0:IV:883179:885883:1 gene:SETIT_007411mg transcript:KQL09048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASDPSPASSRGQRRRGALEPSPPAGRSVHHRCTSFGLMMRTVEALLEPMGRTVEGFFDGPRHALPPPPSLFSIGIEGGRRKTICSEAKGEIEEEAKMIYRNWSLLSSTVVIWGGVATAGLAGIFLFGGKEKFQDYLCREGERLRRQDRAAMGRN >KQL11275 pep chromosome:Setaria_italica_v2.0:IV:32212953:32215492:1 gene:SETIT_008390mg transcript:KQL11275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGVQTLGRSSFTHATSNPATSSSGATGVKLGPNGVAFFSSSIPDLDRILGGGFLLGSVVMIMEDADAPHHHLLLRCFMAQGVVYKQPLLFAGPMKEQRLFLGTLPAPISSSKEDGRHRAMGVGSSSDERANDEGLRIAWQYKKYFGDEKSSGDNKQEFSNDFDLRKPLERHLLSGQNIECVSTQDADTLSDHQDRCSAFLSKLPRKDVGSLTAGRIAIQSLCAPKCGYFEKDWDMVSFIRSVKAMVRSSNSVAVITFPSTVLSSSFCKRWQHLADTLLSIKAIPDEDKDLAKLLTGYQDMVGFLHVHKMAQTNSQVPAILEASTLSLKLRKWRSLVLERLNQAPVDGSSGPSSAATGSCSSSQGSQPDF >KQL11942 pep chromosome:Setaria_italica_v2.0:IV:37772257:37774978:1 gene:SETIT_007000mg transcript:KQL11942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGWREEVVALSLRGYGYGNEEDDRPEKPRRYGVTEMRSPFYSLRPANQALQEILDSLGPFVDGLKFSGGCHSLMGKELVREITDLAHKHDIYVSTGDWAEHLLRQGPSSFKQYVEECKALGFDTIELNAGSLKLPEEALLRLVRLIKSSGLRAKPLFSVNFDSSDIPASGDRAFGAYIAPVKEQSSERVEDVDLLIRRAERCLEAGADMIMIDADDICQRADSLRADIVAKIVGRLGLEKTMFEASNASTSEWFVKRYGPRVNLFVDHSDVMNLERLRGFNMRRSNPASRLTSPFFLM >KQL12172 pep chromosome:Setaria_italica_v2.0:IV:39260320:39263188:1 gene:SETIT_006795mg transcript:KQL12172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEDAVAGPAAEGKSRPECINSSNPYHECSDYCLRKIAEARQRLDDELPDSWKRPPEQRTVHPNCINASNPYHDCSEYCFKRIADAESGLERGEPQPPSIGAGTSDAADQQPDDNDAEKEEDAGADDGYPQMTEKQKKLFELRLKMNEARKANQQAMVAEKKRMEPRGESRGVSKQKWLEDRKKKIGKLLDSNGLDMSKAYMLDTQETAEGKYKKWEKEPAPYGWDVFNQKTLYDAYKKRTKNIEVDMDAYNKAKEADPEFYRDASSLQYGKVSKVPDENIDKMVKELKEREEKRKSFSRRRKFNEDKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >KQL09383 pep chromosome:Setaria_italica_v2.0:IV:2995984:2997127:1 gene:SETIT_007885mg transcript:KQL09383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCCAKNKKDGMMVRYKRSHLLRSLDSECFFVGFNDLYDLFKIDGLDVSLLRCFTLSMVVETKAKAVAVGFLDPELMSLSTITSNKSYVVEYVTKAFQHYAKKKMVIFAHNTGGHWISVVVIPKCSKAFYIDSLRGRGRDHSKLKDVLNEAFISYCTIKKLDSSNLEHVTKFPYHQQTPGNSYGFYATYHMVEAMGLLAVDDDPEMLIFHNVCSSFYGSSQHQFIGYGSFSLF >KQL12261 pep chromosome:Setaria_italica_v2.0:IV:39715738:39716603:1 gene:SETIT_009100mg transcript:KQL12261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLASECGRSHALSALSTFRSKFQLLVQRRSSAACVSILSLSAPVEFSRHAGASSQIHERKVLFNRACCPTQRSAVSTLHGVHCSVLYMNGLNPLQI >KQL12260 pep chromosome:Setaria_italica_v2.0:IV:39715489:39716603:1 gene:SETIT_009100mg transcript:KQL12260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLASECGRSHALSALSTFRSKFQLLVQRRSSAACVSILSLSAPVEFSRHAGASSQIHERKVLFNRACCPTQRSAVSTLHGVHCSVLYMNGLNPLQI >KQL09461 pep chromosome:Setaria_italica_v2.0:IV:3546141:3546658:1 gene:SETIT_008676mg transcript:KQL09461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSTVNVPSDSDESEGVKELENYQMTEDGGNDSDTIARNSPTTEGTCSGRKRKRVHPRNPSKEKTSRGSLNDKVSDSIVRLADFLACGNPAAPVQNVKNDEPSDPNALLWKRIEDLTITAKDKIGIAAYLSNPNQEIFRAWVIDFIGRKYGDADGFGSV >KQL11581 pep chromosome:Setaria_italica_v2.0:IV:35033813:35035364:1 gene:SETIT_008675mg transcript:KQL11581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLRLLAGAAALLLAASPAMAGDHVEVGVNWGSQLSHPLLPTSVVKMLKANGISRVKLFDADPWPVGALLDSGIEVMLGIPNDMLEMMNSYGNAKDWVSENVTAYGDKLKLKYVAVGNEPFLKAYNGSFMRTTFPALKNIQKALNEAGVGDKVKAVVPLNADVYVSPDDKPSSGSFRPDINDLMTDMVKFLHDHGAPFVVNIYPFLSLYQSDDFPFEFAFFDGGRNIQDKGGISYSNVFDANYDTLVNALEKAGVPNLKVIVGEAGWPTDGNKNANLKLARRFYDGLLEKLSKNEGTPVRPGKMEVYLFGLFDEDMKSIAPGNFERHWGIFTYDGKPKFPIDLTGQRHDKLLSPVPDVEYLPHQWCVFDDGAKDKSKLPGNIQYACASGDCTALGYGCSCNGLDEKSNISYAFNMYFQMQDQDVRACDFDGLAKISDKNASTRGCLFPIQVLSAGGRAAPGLGWAAALLAVAVMLFV >KQL08921 pep chromosome:Setaria_italica_v2.0:IV:322175:323925:-1 gene:SETIT_008349mg transcript:KQL08921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVKEASFHPPALDACGDDEEEIPSVLIEFKAYVADRRNEPTAVTFFAARPPRVSHLCVFCHPAATDEHQEMIAMEPQVLATDDKLDLIDGNDFYIYQPAGGDGPSLTRLPRPPGDVILDTHRVGILSCPANDHDGSTGLSLLRPHRPRQEKFYMVAALCDDESALGRGRFVLYVYNSKLQAWTATNVSDKPWLRYVPLPRPSGSPRLGDAYRSRDIAVVDGHFKFVRARDEWTDCPTCGSDEFYSWRSTVWTRPVSASSLLDDSWQLVWPAWVIAVDMMNNRLPGVAGFDAQRYVATGFGYLHSRISKYLKKTPEAGIDTCK >KQL09388 pep chromosome:Setaria_italica_v2.0:IV:3031402:3036446:1 gene:SETIT_006332mg transcript:KQL09388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSAGSYFSTWPVNSASEGYSLADGSVESFGGEGSMTPSSYFMTARSDHNLKFSGHEQDSAMLTNERLTYTGAGHADLLPGELLSRDKLPENLLELQRLENNGNLQSNLVNPGVLKRTSTPGGFHQQLNTSLSEMPHALSSSIDSNSSEVSAFLADINAVSSASTLCPTFQNHPSFMEPMNLEAFSFQGAQSDAILNKTSHPNGNISVFDNGALASPQDSKEFISCRLPSFANVQETSLVASGFKIHKQEQNPMCNVPIPTFTARNQMTVTTTQGAQIPQKMPSWVNENKSECPVSRPPDVQNQANSAANGVGVKPRVRARRGQATDPHSIAERLRREKISDRMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLAESQTEGCHGQPLSAATNAQGLLDTQDLEDALAFEEEVVKLMETSITSAMQYLQNKGLCLMPVALASAISTQKGASGAATPHER >KQL09387 pep chromosome:Setaria_italica_v2.0:IV:3033322:3035728:1 gene:SETIT_006332mg transcript:KQL09387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSAGSYFSTWPVNSASEGYSLADGSVESFGGEGSMTPSSYFMTARSDHNLKFSGHEQDSAMLTNERLTYTGAGHADLLPGELLSRDKLPENLLELQRLENNGNLQSNLVNPGVLKRTSTPGGFHQQLNTSLSEMPHALSSSIDSNSSEVSAFLADINAVSSASTLCPTFQNHPSFMEPMNLEAFSFQGAQSDAILNKTSHPNGNISVFDNGALASPQDSKEFISCRLPSFANVQETSLVASGFKIHKQEQNPMCNVPIPTFTARNQMTVTTTQGAQIPQKMPSWVNENKSECPVSRPPDVQNQANSAANGVGVKPRVRARRGQATDPHSIAERLRREKISDRMKNLQDLVPNSNKADKASMLDEIIDYVKFLQLQVKVLSMSRLGAPGAVLPLLAESQTEVPNLFIFYNFHESLHSCSIISTTDLTSFHLHIKLHCLK >KQL10515 pep chromosome:Setaria_italica_v2.0:IV:17287450:17287935:1 gene:SETIT_009109mg transcript:KQL10515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYQHQRDLQWFETLSTCLLQQTNPRFCELWPRIRQR >KQL09582 pep chromosome:Setaria_italica_v2.0:IV:4415244:4415773:1 gene:SETIT_008848mg transcript:KQL09582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPWRASSQLETARESRAATHAAQPLPQPHHTNLNFLRINKRKP >KQL10103 pep chromosome:Setaria_italica_v2.0:IV:9567570:9568849:-1 gene:SETIT_006974mg transcript:KQL10103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLFAYSGAGLGLLALAALESLPLPVRVPPHRLLPRRLATPLHLRHLLAAALSALCLLSALVSAHHLSLPTLAASALFLLYSLAPFAPLAAPLPLPLLDLLLAAAFAQELLLFAHRRPSTAAGIENRYFDLLLVPIALCLGATLLAAHRPGEAAPRLARAAGLALQGTWMVQMGFSFFTSAIAQGCALHAASRADYTIKCRTHEDYHRARSVATLQFNGHLALLVIAGAAAYAAVLSRANRPPSGYRILGKEVQMEGVPIMSQFTLDSDEEKEDEGITNTAAPAANGVESHDEIPLHAPGSK >KQL09294 pep chromosome:Setaria_italica_v2.0:IV:2377961:2379027:-1 gene:SETIT_007091mg transcript:KQL09294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVPGTLWDHAPVSLIGDSSVQVKQMRTGAMKKRSSSSSCGERSGDVWKWNYGCKSSTHRTDRCSWQISGTNMDADAVASCPCAGGRHAAERRSGRLATSWTACSFRASFPRMPTGHMERRPASNQSLPAWPNGSPITTLSSPRSRFDDMDRQPALTKLGFAVLGCNSVLAVYNSWGHPDSVAFVLGADAALALLFLCLCQFERDRGGAGARGRRVIKAAVWALTTLLTLMFASRVAPLMPPVVAAAVWTMAVATATAGFWAFFLN >KQL11379 pep chromosome:Setaria_italica_v2.0:IV:33017908:33027554:1 gene:SETIT_005889mg transcript:KQL11379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGRSSKLIQSNVYISHGPPLTPGASRIQTSDSNDRPLPLQRLRFGRYRRLPLALARARRRRRAAMETLMVDRVHSSLRLFMHRNAVFLCERLCAQFPSETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEDTLCPVNETNETNIEVPSGATGHYLLGVIYRCTGRMSAAAEQFTQALTLDPLLWAAYEELCILGVAEDADGCFSEATALRLQQEHTSTSTLEKSNFANENRILSSSLPSSLGDIIPKQMKQLHANNTAEVPGYPHVRATALHVQNSTTSNVAQFDTPSPIATQTSSIVPPPLFRNVHAFQNTISGDVPAKQKANGANQPLRRKHLDEAKLKKVSGRLFNQSSDSLPRRSARLSRDATINSNSNISQFGGNGPDHSSGSRYEVIDEMWTDNMPATSSSVSTTDGRFFEQDKTERIMSQDSKVAVGIRELLGLLRTLGEGFRLSCLFKCQEALEVYRKLPEPQFNTGWVLCQVGKAYFELVDYLEADHYFELAHRLSPCTLDGMDIYSTVLYHLNAEMRLSYLAQELISIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVQLDSRFAYAHTLCGHEYSALEDYENSIKFYRCALQVDERHYNAWYGLGVVYLRQEKFEFAEHHFRRAFQINPRSSVLMCYLGMALHSLKRNEEALEMMEKAIAADKKNPLPKYQKALILLGLQKYSEALEELERLKEIAPHESSMYALMGKIYKQLNILDKAVFCFGIALDLKPPAADLAIIKSAMEKVHLPDELMEDDL >KQL11378 pep chromosome:Setaria_italica_v2.0:IV:33017908:33027554:1 gene:SETIT_005889mg transcript:KQL11378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGRSSKLIQSNVYISHGPPLTPGASRIQTSDSNDRPLPLQRLRFGRYRRLPLALARARRRRRAAMETLMVDRVHSSLRLFMHRNAVFLCERLCAQFPSETNVQLLATCYLHNNQPYAAYHILKGKKLPESRYLFAMSCFRMNLLREAEDTLCPVNETNETNIEVPSGATGHYLLGVIYRCTGRMSAAAEQFTQALTLDPLLWAAYEELCILGVAEDADGCFSEATALRLQQEHTSTSTLEKSNFANENRILSSSLPSSLGDIIPKQMKQLHANNTAEVPGYPHVRATALHVQNSTTSNVAQFDTPSPIATQTSSIVPPPLFRNVHAFQNTISGDVPAKQKANGANQPLRRKHLDEAKLKKVSGRLFNQSSDSLPRRSARLSRDATINSNSNISQFGGNGPDHSSGSRYEVIDEMWTDNMPATSSSVSTTDGRFFEQDKTERIMSQDSKVAVGIRELLGLLRTLGEGFRLSCLFKCQEALEVYRKLPEPQFNTGWVLCQVGKAYFELVDYLEADHYFELAHRLSPCTLDGMDIYSTVLYHLNAEMRLSYLAQELISIDRLSPQAWCAVGNCFALRKDHETALKNFQRAVFCIGGL >KQL10355 pep chromosome:Setaria_italica_v2.0:IV:13429188:13431596:1 gene:SETIT_008058mg transcript:KQL10355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFEGPPSFSDLVDRVMRKYGCRVDEISLRGCFDCGKARAHYVIMKLASDANWKHYKDVVHKANVACLEVIVEIVRMPGPNVVMREEVAVVNHNGTQESEMLHHVLGETERDFDLVIANDDFPNNIFERDEANIDVYNVSMGFEDCEFEEDGVVGVEVEEESLFESGGHEYEDDGVENEEDGPQFNTATVHDVEVEGIRRMYNCFSYTRNELRLLKERDVEPPSVPNDKDISMVHKAICESSMVNAEGTSVGESPVIKKGIKFNSLEELKFFLADYAVRLHKPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGHWRISNVVQLHTCRSSQPKREHVQCTTKYLGRRILGIIRKDSEISVPSLVESIFAFSGYRVKYSKAWRVKEHAVVLLWGDWKESYGMVPRVLSAITYYNPGIKWCIDSCGMRHPDNGVLKHILQRVFWCFPQSSEAFQHCCPVIPVDGTFLTGKYKGTLVMAVGVDPEQQLVPLAFALAESENNESWSWFMKLVRLHVLGPSRIVCMISNRHHGILNCAKDHMDGFPPLVHRWCTRHFAANMSRRQKSNRVIGKLKILCKVHTEREFSEKLEDLVKNLNDDAKEWLKAEIEDKDKWAQAFDEGGMRWGILTTNYSESLNAVFKGIRSRPVSGTIEYSFEKCNAYFVDRWQKARRIGKVADDYLSEAELRSVHHLAEPYGPERMVYSIRSYACKARGLNYESPLYMSPLYSREHTVKIWESSFQPYLNPSQRPA >KQL09654 pep chromosome:Setaria_italica_v2.0:IV:5012534:5012953:-1 gene:SETIT_008638mg transcript:KQL09654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAKEGARDAKQARLSLSAGDVDLMISGLDDDVLLRVLGLVPDARDAVRTAALSRRWLRLWMRVPALRFASRPGSRAARGAERRAALEEFVSFVNVVLAHRARSDCAIEALSISYTSGPARNREERMQASIDAVQGWI >KQL10671 pep chromosome:Setaria_italica_v2.0:IV:22905491:22908863:-1 gene:SETIT_0065302mg transcript:KQL10671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHECPGLSATAYAMCISEVARVDASIASFCLVQSCLAMLCIAQLGSESQKDKYLPSLSKLHKVCAYALTEPDYGSDASSLNTVARKVPGGWVLNGRKRWPGNSSFADVLVVLARNTSTNQVNGFIVNGGSPGLKISKIENKVSMRMVQNCDIELKNVFVPEDDSLSLAFSRVMAAWVSIGIAVGVYDACRRYLGERKQWRVPLAAFQLNQEKLVRMLGNIQAMWLFGWRLCKLHGSGKMTIGQASLGKAWITKQARETVALGRELFGGNGIVTDFHVGKAFCDMETVYTYEGSYEINALIVAREITGISSIRPTSRL >KQL08999 pep chromosome:Setaria_italica_v2.0:IV:642660:643819:-1 gene:SETIT_008448mg transcript:KQL08999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CFEIGQISQMACWTPSFRCWARSATSLHLQPPATRGALPSLLTHPNLPCTHSSHLFFSSLMSFLLPSPFAFRGASFGHMIFSSNRSCFLFDVFTGIDVSAPPLPIDEIYYSAALTAPLASPNSHLIVSTGSSNFFWRVGSNSWLKRSPRNGTLAKFVVFKGQLQKIPVSWGEKNSMTKWHLSTPWLVACGDMLLMVGCQSYYPGTSDVFEAYRLDTSTEPAKWMKVEKLENWVIFISNDEGVQPLSCMNPERWGGRSNCVYCYDSGRWVAFEFGKSTPLLGDATKPDVRICICCVSMVQPIWVIPSMFSLCCDG >KQL12046 pep chromosome:Setaria_italica_v2.0:IV:38446112:38453757:-1 gene:SETIT_005863mg transcript:KQL12046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSKEEFLEQFGGDYGYPDAPRGIDELRAADFKRLEGMVYLDHAGAALYSEAQMANVAKDLMSNVYGNPHSQSDSSMATSDLVTSVRHQVLKYFNASPRDYKCIFTSGATAALKLVGECFPWSRESCYMYTMENHNSVLGIREYALSKGATVSAVDVEEVTDPSKNLGSDSLFNISKHSNQRRGDDVLLHNYQNGSLTAISGNNLNLFAFPSECNFSGHKFNLSLVKLIKERKFLSASQQQGRWMVLIDAAKGCTTEPPNLTVCPADFVVCSFYKIFGYPTGLGALIVKNEAASLLNKTYFSGGTVAASIADIDFVQKRKSIEQVLEDGTISFLSISSLRYGFEIIDMLTISAIARHTASLATYVRKKMMDLKHSNEKNVCIIYGQQASKVKDLKMGPTITFNLKREDGTWFGYREVEKLASLSGIHLRTGCFCNPGACAKYLGLSHSDLVSNFEAGHVCWDDNDIINGKPTGAVRISFGYMSTYEDAEEFLKFLQSSFVSKAVGLTNGYMVNMDIPNFVDDWSQQAISDIRLKSITIYPVKSCQGFSVQGWPLTIGGLKYDREWLLQGSGGEILTQKKVPELSSIRTLIDLELGKLFLESPKRKDKLQISVLENWTHLSAEVDVYGQRYEVQTYGDKVNFWFSDAIGRPCTFMRCLSSKYRSCTINGRRDRLCRDTQSKLSFVNEGQLLLVSEASITDLNSRLSSGNGNGKQRVFVDAMRFRPNIVVSGSTPYNEDNWKRLNIGEAYFTSMGGCNRCQMINLYQSSGQVIKSKEPLATLASYRRQKGKILFGILLNYEDSTDGEDETIVERWIKVGQEVYPSTE >KQL11608 pep chromosome:Setaria_italica_v2.0:IV:35280141:35283273:1 gene:SETIT_008677mg transcript:KQL11608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVITSRESFPEDYPTHTKSLSECSELQKIYLFNNKLSGIIPDGLGTLSNLSVLFLANNNLTGGVPLSLGSNSFIRTVILINNTLTGGIPPLLANSSSLQLLDLTNNHLSGEIPSALFNSSSIVILALGVNNFVGSIPPLVHTSSPLEILILSENNLSGSIPTSLGNFSSLTWLLLSQNSIKGAIPSSLSIIPTIEQLDLSFNNLLIGEIPNNIGHTLPNIQTLILQGNKLRGQIPASLALAANIQVINLCDNAFSGIVPSFRNLPYLTELNLGINQLEAGDWSFLSSLRNCDQLVYLCLGRNILKGTLPSSIGDLPRSLQVLLLTANKISGAIPKEIEQLKNLTLLYMEHHLLTGNLPDSIGNLPNLFVLSLSQNKLSGQVPLSIGNLSQLSELNLHENNFSALNLSHNSFSGSIPKEHFTLTSLAEGFDLCHNKLSGEIPLEIAGLINLKSLNISYNQLRNLLHGTIPESFTNLRGVSEMDLSLNNLSGEIPEFFESFTSMKLLNLSFNNLEGPVPTGGIFQNTGVVFIQGNKLLCASIPLLQLPQCNTEASKKWQASVILKIVGFTALCLVLLSWFAVVLLKKRKKVTQSSHPSSKELMQCSYPDLVKATNDFSLANLVGSGKSGSVYKGRFEFEEHTVAIKVFKLDQLGIPKSFIAECKTLRNTRHRNLLRVITACSTFDTIGNEFKALILEYMPNGSLEGWLYPNLDKYGLKRPLSLGSRITIVTDIASALDYLHNHCVPPVVHCDLKPSNILLDDVMGARLADFGLAKFILSFSHSCHHSSTSLLGPRGSIGYIAPEYGFGSKLSTEGNVYSYGIIILEILTGKHPTDGMFTNGLNLHKYVEKAFPQKIAEVLDPCIVPSSEDDGVDSCILHLVKLGLLCSMETPNDRPTMQDVYAEAITIKEAFAVLHG >KQL11482 pep chromosome:Setaria_italica_v2.0:IV:34063571:34064040:-1 gene:SETIT_008048mg transcript:KQL11482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSQTSFLERTNTLFSMAKDLSWEFGAHITVVAFFPTGEPKAYGAPTAGSVLCTYLPEIHRLSSPACSEMAGRLLLGALEVLRTDVQRHLDVMESSRKENMQS >KQL12059 pep chromosome:Setaria_italica_v2.0:IV:38502704:38512357:1 gene:SETIT_005681mg transcript:KQL12059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASASASPRTRQELMDALTAHLSLYHAAANPSPAAASSSTSSSPRAAILRWLASLAPAARAAAATSLLGPAASAALLSMLRRLRLRGHSSFFVLHSPPPSTSSARGAEEPTVLSRLSRGLLARAAAGSRAHALLFANLLLFPSSPTSSRCPDAITVAEAFLADLDGFVAAMDEISGGRFLCSGEGEVDLAALAFQDFPELPWLNDKGYYVIEEFVANRLEIALRMSWAAAGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRMRARIMGAFFGKGAVALANEMVEGSDIAWDSFSFCLGESGSFVADKSCECTRQSFFRKNRACSIDIANILSCSKKPIFAKELTRLKLVEEIVCLKNNITCCGDDAIFFTSLALAPTAAGEILMKLRGLLMMVSTESINLELIGDGAPKKKDVEKTSGGSRKGKKKSNTSKKLTASAKPSKDNGCSSSESRNCRPLPNQCPASVRGTTDGPPSEETPCKEIIPTMKVEQTVGLDDCKNQCNKKKNKRKGKTKPSNLMRPESPRSTKLKTVVPHIATDASHKPVEEVDVLPHHPSYVHPSKSEISEAVRCSDPSILSNGTNVIASRKGKKLEDPSYSPRVSSSVTTEHFQSADEYDASYMDEQASSYISQSESVVQSSSCLPSGINNVASNNLNGSSVGSLVRSAQEKTGCDEKQLDHKSVATTDKILPSVIPANMLQSAITDNGAVMKNSGGEYYVYNRNLLGGTSYEWPSVTPPHFVSPEMQQRPAAADRLHLDGYKWPTQFNQPFLSANHQVRNPPIDAGCNQMLPSLAVPLSFDWPPVFRGYGKNAAVSYDPLYTPQMQSSAWSGFPAQLMQRGGICSDSDVGDDTESYWFSEEEPDSRAHSGRDINQYFGGGVMYWNPAEHAGTGFSRPPSLSSDDSAWAWHEADVIRVVDDVANGIPSTYTNGVSSPPSTPSCSQNESFDPAAHSITGNDINNEAHTSPSSMQESPEDKTTSVVKSVPCGSEVVKGDTLPYAMLRPIVVPISRRSSRSEIKAGHDHRSPCVPSTRRDIPLLRRPPSPVVLSVPRVPRPPPPSPAGESRKRGFPIVRSGSSSPRHWGMRGLFSEDKIFHRAQFCLDGPEVVWPSWGNKATSSGTLVQSIEDTVLQDHLVKISQLSRDQHPDVALPVQPPDMLNGSSHKTSLSSMHNALHEEIDQFCKQVAAGNLVRRPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLTNQDWVRSDSLKTVENTAIPVIMLVADVPCDTNMFNEYSSVLDSSQEYSVNVLGEQGSPPRSDTSSSEGSNMLVSSKLNKDDCDIVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAVVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNIFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFSSECSMPESSFNILKKIIPSIDTDEL >KQL12060 pep chromosome:Setaria_italica_v2.0:IV:38502704:38512357:1 gene:SETIT_005681mg transcript:KQL12060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASASASPRTRQELMDALTAHLSLYHAAANPSPAAASSSTSSSPRAAILRWLASLAPAARAAAATSLLGPAASAALLSMLRRLRLRGHSSFFVLHSPPPSTSSARGAEEPTVLSRLSRGLLARAAAGSRAHALLFANLLLFPSSPTSSRCPDAITVAEAFLADLDGFVAAMDEISGGRFLCSGEGEVDLAALAFQDFPELPWLNDKGYYVIEEFVANRLEIALRMSWAAAGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRMRARIMGAFFGKGAVALANEMVEGSDIAWDSFSFCLGESGSFVADKSCECTRQSFFRKNRACSIDIANILSCSKKPIFAKELTRLKLVEEIVCLKNNITCCGDDAIFFTSLALAPTAAGEILMKLRGLLMMVSTESINLELIGDGAPKKKDVEKTSGGSRKGKKKSNTSKKLTASAKPSKDNGCSSSESRNCRPLPNQCPASVRGTTDGPPSEETPCKEIIPTMKVEQTVGLDDCKNQCNKKKNKRKGKTKPSNLMRPESPRSTKLKTVVPHIATDASHKPVEEVDVLPHHPSYVHPSKSEISEAVRCSDPSILSNGTNVIASRKGKKLEDPSYSPRVSSSVTTEHFQSADEYDASYMDEQASSYISQSESVVQSSSCLPSGINNVASNNLNGSSVGSLVRSAQEKTGCDEKQLDHKSVATTDKILPSVIPANMLQSAITDNGAVMKNSGGEYYVYNRNLLGGTSYEWPSVTPPHFVSPEMQQRPAAADRLHLDGYKWPTQFNQPFLSANHQVRNPPIDAGCNQMLPSLAVPLSFDWPPVFRGYGKNAAVSYDPLYTPQMQSSAWSGFPAQLMQRGGICSDSDVGDDTESYWFSEEEPDSRAHSGRDINQYFGGGVMYWNPAEHAGTGFSRPPSLSSDDSAWAWHEADVIRVVDDVANGIPSTYTNGVSSPPSTPSCSQNESFDPAAHSITGNDINNEAHTSPSSMQESPEDKTTSVVKSVPCGSEVVKGDTLPYAMLRPIVVPISRRSSRSEIKAGHDHRSPCVPSTRRDIPLLRRPPSPVVLSVPRVPRPPPPSPAGESRKRGFPIVRSGSSSPRHWGMRGLFSEDKIFHRAQFCLDGPEVVWPSWGNKATSSGTLVQSIEDTVLQDHLVKISQLSRDQHPDVALPVQPPDMLNGSSHKTSLSSMHNALHEEIDQFCKQVAAGNLVRRPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLTNQDWVRSDSLKTVENTAIPVIMLVADVPCDTNMFNEYSSVLDSSQEYSVNVLGEQGSPPRSDTSSSEGSNMLVSSKLNKDDCDIVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAVVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNIFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFSSECSMPESSFNILKKIIPSIDTDEL >KQL12058 pep chromosome:Setaria_italica_v2.0:IV:38502704:38511662:1 gene:SETIT_005681mg transcript:KQL12058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASASASPRTRQELMDALTAHLSLYHAAANPSPAAASSSTSSSPRAAILRWLASLAPAARAAAATSLLGPAASAALLSMLRRLRLRGHSSFFVLHSPPPSTSSARGAEEPTVLSRLSRGLLARAAAGSRAHALLFANLLLFPSSPTSSRCPDAITVAEAFLADLDGFVAAMDEISGGRFLCSGEGEVDLAALAFQDFPELPWLNDKGYYVIEEFVANRLEIALRMSWAAAGGGGGGGRKAVRVGKGVKEKAGLAANAFWREKGYVDWWMRLEPRMRARIMGAFFGKGAVALANEMVEGSDIAWDSFSFCLGESGSFVADKSCECTRQSFFRKNRACSIDIANILSCSKKPIFAKELTRLKLVEEIVCLKNNITCCGDDAIFFTSLALAPTAAGEILMKLRGLLMMVSTESINLELIGDGAPKKKDVEKTSGGSRKGKKKSNTSKKLTASAKPSKDNGCSSSESRNCRPLPNQCPASVRGTTDGPPSEETPCKEIIPTMKVEQTVGLDDCKNQCNKKKNKRKGKTKPSNLMRPESPRSTKLKTVVPHIATDASHKPVEEVDVLPHHPSYVHPSKSEISEAVRCSDPSILSNGTNVIASRKGKKLEDPSYSPRVSSSVTTEHFQSADEYDASYMDEQASSYISQSESVVQSSSCLPSGINNVASNNLNGSSVGSLVRSAQEKTGCDEKQLDHKSVATTDKILPSVIPANMLQSAITDNGAVMKNSGGEYYVYNRNLLGGTSYEWPSVTPPHFVSPEMQQRPAAADRLHLDGYKWPTQFNQPFLSANHQVRNPPIDAGCNQMLPSLAVPLSFDWPPVFRGYGKNAAVSYDPLYTPQMQSSAWSGFPAQLMQRGGICSDSDVGDDTESYWFSEEEPDSRAHSGRDINQYFGGGVMYWNPAEHAGTGFSRPPSLSSDDSAWAWHEADVIRVVDDVANGIPSTYTNGVSSPPSTPSCSQNESFDPAAHSITGNDINNEAHTSPSSMQESPEDKTTSVVKSVPCGSEVVKGDTLPYAMLRPIVVPISRRSSRSEIKAGHDHRSPCVPSTRRDIPLLRRPPSPVVLSVPRVPRPPPPSPAGESRKRGFPIVRSGSSSPRHWGMRGLFSEDKIFHRAQFCLDGPEVVWPSWGNKATSSGTLVQSIEDTVLQDHLVKISQLSRDQHPDVALPVQPPDMLNGSSHKTSLSSMHNALHEEIDQFCKQVAAGNLVRRPYINWAVKRVTRCLQVLWPRSRTNLFGSNATGLALPTSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARCLTNQDWVRSDSLKTVENTAIPVIMLVADVPCDTNMFNEYSSVLDSSQEYSVNVLGEQGSPPRSDTSSSEGSNMLVSSKLNKDDCDIVQSIRLDISFKSPSHTGLQTTELVRELTQQFPAVVPLALILKKFLADRSLDHPYSGGLSSYCLVLLIVRFLQHEHHLGRPINQNLGSLLMDFLYFFGNIFDPRHMRISIQGSGIYLNRERGHSIDPIHIDDPLCPANNVGRNCFRIHQCIKAFADAFAVLENELLQFSSECSMPESSFNILKKIIPSIDTDEL >KQL09275 pep chromosome:Setaria_italica_v2.0:IV:2241123:2242073:-1 gene:SETIT_007558mg transcript:KQL09275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHRHGLLTTLGFAALTGNSALAIYRSRDDPGSVAFVAGAYASIALLFHFLRRFERGEGDRGRTKAAVWLLTTLLTAMFASRVAPLMPPPVGSLVYLMAAGTAGAGFWSMFLHQ >KQL09276 pep chromosome:Setaria_italica_v2.0:IV:2241702:2242049:-1 gene:SETIT_007558mg transcript:KQL09276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHRHGLLTTLGFAALTGNSALAIYRSRDDPGSVAFVAGAYASIALLFHFLRRFERGEGDRGRTKAAVWLLTTLLTAMFASRVAPLMPPPVGSLVYLMAAGTAGAGFWSMFLHQ >KQL11462 pep chromosome:Setaria_italica_v2.0:IV:33961614:33964554:-1 gene:SETIT_006867mg transcript:KQL11462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRDGANSGRAASDKMRKLRELLHTSDNRICADCSAPDPKWASANIGVFICLKCSGVHRSLGTHVSKVLSVTLDQWTDDEINSMIEVGGNSYANAIYEAFLPEGYHKPHPDSSQEERADFIRSKYESQEFMKPSLRIVSNKSSLEAIDSRKHMDSNASHSASFNSEAGMVEFIGILKVKVIRGTKLAVRDLISSDPYVVLTLGQQKAKTSVIKRNLNPVWNEELKLSVPQKYGPLKLEVFDHDLLSRDDKMGEAEIDLQPMISAATAFGDPDLLADMQIGKWLKSPDNALARDSAVNVVGGKVKQEVSLKLQNVESGEVDLELEWIPLTQ >KQL09610 pep chromosome:Setaria_italica_v2.0:IV:4570645:4574475:1 gene:SETIT_006901mg transcript:KQL09610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAVDDMIRRLLEARGGRTPRNAQVTDAEIRRLCAAAKDVFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYPENFFLLRGNHECASINRIYGFFDECKRRFNVRIWKIFTECFNCLPVAALIDDKILCMHGGLSPELKNMDQIRNIARPVDVPDHGLLCDLLWSDPDKDIEGWGDNDRGVSFTFGADKVAEFLEKHDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSIDDSLTCSFQILKPSEKKGKAGTVNMSKPGTPPRKIKISVTRI >KQL11688 pep chromosome:Setaria_italica_v2.0:IV:36136891:36139362:-1 gene:SETIT_007099mg transcript:KQL11688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYERKHATSKEDQAAAAAAAADNARAQEEIAAALLEDGALVARGGGVEGRGGGGNKEDAMHIVGVHAHAAAHRHSQAHGHSSCHGGASYDSHGHGHGHGEEPSQARHAVVAQVLELGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCICEAQFKSFSAFLMAFFFAITTPAGITVGAGIASFYNPNSPRALVVEGILNAMSAGILIYMALVDLIAADFLSKRMSCNPRLQVGSYIALFLGAMAMASLAIWA >KQL11689 pep chromosome:Setaria_italica_v2.0:IV:36137504:36139362:-1 gene:SETIT_007099mg transcript:KQL11689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYERKHATSKEDQAAAAAAAADNARAQEEIAAALLEDGALVARGGGVEGRGGGGNKEDAMHIVGVHAHAAAHRHSQAHGHSSCHGGASYDSHGHGHGHGEEPSQARHAVVAQVLELGIVSHSVIIGLSLGVSQSPCTIRPLVAALSFHQFFEGFALGGCICEAQFKSFSAFLMAFFFAITTPAGITVGAGIASFYNPNSPRALVVEGILNAMSAGILIYMALVDLIAADFLSKRMSCNPRLQVGSYIALFLGAMAMASLAIWA >KQL09227 pep chromosome:Setaria_italica_v2.0:IV:1978635:1982278:1 gene:SETIT_008147mg transcript:KQL09227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAKIRSCRKLNAYNMASPLCAGLESRLLRKKNLMLITATMLILHHSAATTDASGESSQAKDSCQDKCGNVSIPYPFGIGKGCSLEGFEVVCPPDNVPILLLNTSNSSTPLLGINLTLGEARVQNSIAQYCNFTNNTDVFKTSIFVAGPSFTVSGAKNKFTAIGCATVASIFSSPESSLTSACGSFCYRENSIDNGTECFGRGCCQSPIPERLHQFYPSFYTVSNDTGVQSFSPCSYAFIAEEDSFQFHPSYAKSQDFEMNYGYPMVLNWVVGQDSCAEARKKDNGLTYACKSTNSTCIDMPGRGYLCNCSEGYHGNPYLVGGCKDIDECIALPQPCKGGQCSNTIGNYTCTCPRGTHSNDPKSIPCTRTDNGPNTKVIGVSIGLICLVVCTFTVLNACQKRKLAKEKEKFFKQNGGQILYQQILSKKVDTVILFSIDDLKKATDNFDKSRELGIGGNGTVYKGILKKDNRVVAVKRSRFSNVEKAEEFVQEIVILSQINHRNVVRLLGCCLEVEVPILVYEYIPNGTLFQFIHGNHGSRPPVSLEARLKIAQESAEALSYLHLSTNSPIVHGDVKSLNILLDENYMAKVTDFGASRILPKDAVQLMTMVQGTLGYLDPEYLQERKLTEKSDVYSFGVVLLELITRKMAISFEGPEEEKSVASSFLRALKENRVEGMLDSSIMGVGMEELFEEVVKLASMCLSSKGEDRPSMTQVADKLKAIRSTWREVLLLQHQETEHLAEGLAAASSSFGLPPSMHWTAGMMGLDIEAPR >KQL10026 pep chromosome:Setaria_italica_v2.0:IV:8632628:8633688:1 gene:SETIT_008273mg transcript:KQL10026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANHEESSLWPFWTSAWPDSYLLEEDALLSSLSFPSLHFQPSCSTITPSNILQDELDAIFNDDVLKHWDEMEQADSKAEDGHTTKGLPLLCYGEEKEVSSNSGTMVVGADQQRVRPEAETVLTFELVSQYFYMPIMQAARELNVGLTLLKKRCRELGIPRWPHRKMKSLQSLINNVQVLQEAGKATGEEQLRAMVEMLQQEKQLLEQRPYVQLDEKTKRLRQACFKANYKKRRLLALEAGEAPRSHNY >KQL11578 pep chromosome:Setaria_italica_v2.0:IV:34963499:34964300:1 gene:SETIT_007785mg transcript:KQL11578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFIIFMSSGRSKFDPVLLILNTHVRVHETVLYILLGCGVANMQSCTRCVDQNSGVVLY >KQL12310 pep chromosome:Setaria_italica_v2.0:IV:39961615:39963168:1 gene:SETIT_006551mg transcript:KQL12310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKANPILTALSAATFGFFIGISFPLQMAPQLPCYVFPWNGGGGGGDAANSTLRATGMLSRFWVVGNNNNNNTAAATEGKATTAPAVVHPNATSPAIIKPTGAERLPPNIVVSESDLHMRRLWGDPRQDTPVRKYLLTMTVGLSEKANVNATVHKFSDEFDVMLFHYDGRTTEWDDEFAWSKDAIHVSARKQAKWWYAKRFLHPSVVAPYDYVFLWDEDLAVDFFDATEYVRIVREHGLEISQPGLDITRGKKTYDITTRRSNNTSEVHKNTTGGPGNCPDVHRRPCSGFVEVMAPVFSRKAWACAWYMVQNDLIHGWGLDLNFWRCVDDPEEQMGVVDAQYIAHRGLPTLGRQGNPETGGGGNVRARAWQEFSEFKTRIRDADRAAETERRREAAAALLVATPPTPSPPRSK >KQL10805 pep chromosome:Setaria_italica_v2.0:IV:26054884:26057456:1 gene:SETIT_005971mg transcript:KQL10805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAASDPGLGSLTSRDTGTRLPRATRVKNKGPAPVQITAEHLLREARELRGSEHPRAPARKIADAEELAERRLMERKFFEHSVGRAGASASAWAKYAQWEERQGDLARARSVFERALAASASASRDHSLWVKYAELEMRRGCVGDARNVWDRAVALLPRADQVWRKYVHMEETLGEVANARQVFDRWMAWWPGATAWCSYARFELRYGEVGRARAVYERFVAEYPRADAFMRYAGFEEKRGELERARRVFERAADVLADDEEEAGTLLVAFGEFEEEFREVERARAIYQYALDRVPKRRAEQIYGKLLAWEKQFGDPKGIEDAIVARRRLECQDDVRKNPLNYNSLFELIRLEESVGDKERIREAYERAVAKVPPAEEKRFWRRYIYIWINYALYEELDAQDAERAREVYRECLNLIPHKRFTFAKIWLMAAQFEIRQRNLSAARRILGNSIGVAPKPKVFNKYIEMEVSLGNFDRVRTLYQKFIECYAANSYAWRKYADLEKNLGESDRAQAVYELAIAQPTLDNPELIWKEYLEFEIDGNEFDRARKLYERLLGRTKHLKVWLSYAEFEATAGSCGEDSTNRQMERAQRCRGVFQRAFDHFRTSSPESKEERAMLLEEWLNREVSFGHLGDVSVVQTKVPTKVKRKRSIPSEDGSTFVCEEFIDYIFPEEITHAPNMKIIEVAYRWKRQKTDDE >KQL12355 pep chromosome:Setaria_italica_v2.0:IV:40131398:40132051:-1 gene:SETIT_007596mg transcript:KQL12355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPPPVAKKVPRQLVDHGDVRVDNYYWLRDDSRSDPDVLAHLRAENDYTAAVMSDVKQLEDEIYAEIRGRIKEDDIDAPLRKGQYYYYERTLTGKEYVMNCS >KQL10970 pep chromosome:Setaria_italica_v2.0:IV:28949802:28950429:1 gene:SETIT_007746mg transcript:KQL10970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRMVHGDCTNVLDMACQNKTASCISRIRLRTGLLILMICHICGPIAIDEQVPMIAASPVCTLTDC >KQL09047 pep chromosome:Setaria_italica_v2.0:IV:878704:882826:1 gene:SETIT_006459mg transcript:KQL09047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPSCQVVEINSYIGNLTSSYTEKSNELSMVAASVIIAILDPKVRLVLTSALSLFLPVMSYLFSEAKNTGHLQSSTTAGSTQVADLPLRAGLILAWMLLVELLRKKVDEIRMRGFSGTIQRAGRVVWLGSLVFFNIESAGRKAVFSILWILCATKVLQRIAFTEVGKRSYAHGKNARLISSYMSQMLEWEPPQDAHLQQEDDNDTLIKTCNYIVMGEEKLVDIEPTADGYKLGKAKSPGYDSIVKLLEENDSIITVRKVWELDDKDKFFSLQQIQSLKRICLSFALFKLLRRRFEHLPAVTKEEARNSQNLILKGLYNKGQTSSSAEAVFQVLNDEVAAEHVVEKVVEEAKEEPAAAAVTEPPATAEPEQKAEATPEAIVEPEHKEEQVLEKIVEEDQKPAAPVEEKTAAAIEVAEEPTEVKKDAGEEEEEKPTQS >KQL08887 pep chromosome:Setaria_italica_v2.0:IV:130861:136296:1 gene:SETIT_005788mg transcript:KQL08887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSSSSSSVGSACASPPAAPPPPPPPPPPRAMKRELAFALRSLSEISASPGRTRSGRPISSLPDPSASASLKRRKRSDPPAAAADLVSPPTPPIDAEPPTQPLRDIIEPVDGSNPPTAADHQSNSNAAQEVIAQKMLDTPQPSHAEAEPEAAREDSVIATPNVPMENCVALDDAASTLLESAVADASLQLQAVDNASVPNALLVEDTATPVAAAGLKPARRFTRSLLKNKPDKEESTATEGHAIPDGSKDASFDLALLMEKPQRRFTRSLLKTKVESSLVESDDALDSASDSPPSVKKMEMKMSKKVACLTKHPGNIRELLNTGLLEGMPVMYIIPHSKKAVLKGVITGCNIRCFCPSCNGSKAVSAYYFEQHAGSTKKHPADYIYLGNGNSLRDVLRASERSPLEALEKTIRSSIGPVAKGSRINCLNCNEDVLLSSQIEHVLCQHCLESKQPQDPPTPSYPCKSNSSLTPSSKEALLKNISSSKKGGSAGKVTNKDNGLHKLVFNVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNRVVSPSAFEAHAGEGSRRKPYDNIFTSNGVSLHELAMKISKDMEQSERETDDLCRECGHGGDIFPCKICPRSFHPACVGLPEAPSEWYCDNCRNLVQKEKALAENKNAKAAGRQAGVDSIEQILKRAIRIVPLSDDLGGCALCKKKDFNNAVFDDRTVILCDQCEKEYHVGCLQSQWQVELKELPEGEWFCCGSCSETRSSLEKIISDGAQLLAEPDIEIIRKKHETRDLCMDTSTDLKWQLLCGKRATEDGRILLSAAVPIFHQSFDPIIEVHTKRDLIPEMVHGRGPKEGMAGQDYSGMYCALLTVG >KQL08886 pep chromosome:Setaria_italica_v2.0:IV:130799:137326:1 gene:SETIT_005788mg transcript:KQL08886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSSSSSSVGSACASPPAAPPPPPPPPPPRAMKRELAFALRSLSEISASPGRTRSGRPISSLPDPSASASLKRRKRSDPPAAAADLVSPPTPPIDAEPPTQPLRDIIEPVDGSNPPTAADHQSNSNAAQEVIAQKMLDTPQPSHAEAEPEAAREDSVIATPNVPMENCVALDDAASTLLESAVADASLQLQAVDNASVPNALLVEDTATPVAAAGLKPARRFTRSLLKNKPDKEESTATEGHAIPDGSKDASFDLALLMEKPQRRFTRSLLKTKVESSLVESDDALDSASDSPPSVKKMEMKMSKKVACLTKHPGNIRELLNTGLLEGMPVMYIIPHSKKAVLKGVITGCNIRCFCPSCNGSKAVSAYYFEQHAGSTKKHPADYIYLGNGNSLRDVLRASERSPLEALEKTIRSSIGPVAKGSRINCLNCNEDVLLSSQIEHVLCQHCLESKQPQDPPTPSYPCKSNSSLTPSSKEALLKNISSSKKGGSAGKVTNKDNGLHKLVFNVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNRVVSPSAFEAHAGEGSRRKPYDNIFTSNGVSLHELAMKISKDMEQSERETDDLCRECGHGGDIFPCKICPRSFHPACVGLPEAPSEWYCDNCRNLVQKEKALAENKNAKAAGRQAGVDSIEQILKRAIRIVPLSDDLGGCALCKKKDFNNAVFDDRTVILCDQCEKEYHVGCLQSQWQVELKELPEGEWFCCGSCSETRSSLEKIISDGAQLLAEPDIEIIRKKHETRDLCMDTSTDLKWQLLCGKRATEDGRILLSAAVPIFHQSFDPIIEVHTKRDLIPEMVHGRGPKEGMAGQDYSGMYCALLTVGSTVVSAALLRVMGGDVAELPLVATSRDVQGLGYFQALFSCIERVLISLKIKHFVLPAAHEAEGIWMNKFGFSRIPPEELEAHLNGVHLTIFQGTSYLYKAVPLPSSQETESSALE >KQL08885 pep chromosome:Setaria_italica_v2.0:IV:130799:137326:1 gene:SETIT_005788mg transcript:KQL08885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASSSSSSSVGSACASPPAAPPPPPPPPPPRAMKRELAFALRSLSEISASPGRTRSGRPISSLPDPSASASLKRRKRSDPPAAAADLVSPPTPPIDAEPPTQPLRDIIEPVDGSNPPTAADHQSNSNAAQEVIAQKMLDTPQPSHAEAEPEAAREDSVIATPNVPMENCVALDDAASTLLESAVADASLQLQAVDNASVPNALLVEDTATPVAAAGLKPARRFTRSLLKNKPDKEESTATEGHAIPDGSKDASFDLALLMEKPQRRFTRSLLKTKVESSLVESDDALDSASDSPPSVKKMEMKMSKKVACLTKHPGNIRELLNTGLLEGMPVMYIIPHSKKAVLKGVITGCNIRCFCPSCNGSKAVSAYYFEQHAGSTKKHPADYIYLGNGNSLRDVLRASERSPLEALEKTIRSSIGPVAKGSRINCLNCNEDVLLSSQIEHVLCQHCLESKQPQDPPTPSYPCKSNSSLTPSSKEALLKNISSSKKGGSAGKVTNKDNGLHKLVFNVLLDGTEVAYYVDGQRKVDGYIKDQRIYCNHCNRVPVSIRSSCGYDNIFTSNGVSLHELAMKISKDMEQSERETDDLCRECGHGGDIFPCKICPRSFHPACVGLPEAPSEWYCDNCRNLVQKEKALAENKNAKAAGRQAGVDSIEQILKRAIRIVPLSDDLGGCALCKKKDFNNAVFDDRTVILCDQCEKEYHVGCLQSQWQVELKELPEGEWFCCGSCSETRSSLEKIISDGAQLLAEPDIEIIRKKHETRDLCMDTSTDLKWQLLCGKRATEDGRILLSAAVPIFHQSFDPIIEVHTKRDLIPEMVHGRGPKEGMAGQDYSGMYCALLTVGSTVVSAALLRVMGGDVAELPLVATSRDVQGLGYFQALFSCIERVLISLKIKHFVLPAAHEAEGIWMNKFGFSRIPPEELEAHLNGVHLTIFQGTSYLYKAVPLPSSQETESSALE >KQL09078 pep chromosome:Setaria_italica_v2.0:IV:1074086:1078742:-1 gene:SETIT_006355mg transcript:KQL09078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGVLGSRCAAGAGQILGIKSAAATSCGKTTSTSFAGSTKHDVKPLQLAANGSSCLANASPVLKKQRNLSVRCSSANVVNTFDDAKGVSSSVVEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKIALEKKNLQANIYVGMRYWYPFTEEAIEQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDSFFAGLPISIIESWYQRDGYVKSMADLIEKEISAFSNPEEVMIFFSAHGVPLTYVEDAGDPYRDQMEDCIALIMGELRSRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASALVTRKPDDTDSDIDLMHYLTKMFFGSILAFILLLSPRLISAFRNTML >KQL09077 pep chromosome:Setaria_italica_v2.0:IV:1073792:1079049:-1 gene:SETIT_006355mg transcript:KQL09077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGVLGSRCAAGAGQILGIKSAAATSCGKTTSTSFAGSTKHDVKPLQLAANGSSCLANASPVLKKQRNLSVRCSSANVVNTFDDAKGVSSSVVEEKIGVLLLNLGGPETLDDVQPFLFNLFADPDIIRLPRLFRFLQRPLAKLISTFRAPKSKEGYASIGGGSPLRKITDEQANALKIALEKKNLQANIYVGMRYWYPFTEEAIEQIKKDKITKLVVLPLYPQYSISTSGSSIRVLQNIVKEDSFFAGLPISIIESWYQRDGYVKSMADLIEKEISAFSNPEEVMIFFSAHGVPLTYVEDAGDPYRDQMEDCIALIMGELRSRGILNSHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFISDLADAVVEALPSASALVTRKPDDTDSDIDLMHYLTKMFFGSILAFILLLSPRLISAFRNTML >KQL08936 pep chromosome:Setaria_italica_v2.0:IV:361810:363727:-1 gene:SETIT_006318mg transcript:KQL08936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPIMLSPFLHQLVLLLVAASGTVVAARHHHSSPAESRTGQSMYLAPSCRAHTASLADFGGVGDGTTSNTAAFRSAVDHLSQYSGEGGGGAMLYVPAGKWLTGPFNLTSHFTLFLHSDAVILGSQNISEWPVIAPLPSYGRGRDKIGGRYASLIGGSNLTDVVITGNNGTIDGQGAMWWSKFHQNKLKYTRGYLVELMWSDTIFISNVTLVNSPAWNIHPVYSSNIVVQGVTILAPTRSPNTDGINPDSCSHVRIEDCYVVSGDDCVAIKSGWDEYGIAYGMPSEHIVVRRLTCVSPTSAVIALGSEMSGGIRDVRAEDITAINSESGVRIKTAVGRGNYVRDVFVRGMKLDGMKYVFWMTGNYKSHPDDGFDPNAIPVVENISYQDVVATGVYKSAARLEGIDGAPFRGICLANVTAEFDKSRKYPWTCTDVEGVSSADVSPAPCEALQGKHDGACPFPTDTLPIDQVTVQQCAYDVPNPKGGN >KQL08937 pep chromosome:Setaria_italica_v2.0:IV:361550:363826:-1 gene:SETIT_006318mg transcript:KQL08937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPIMLSPFLHLVLLLVAASGTVVAARHHHSSPAESRTGQSMYLAPSCRAHTASLADFGGVGDGTTSNTAAFRSAVDHLSQYSGEGGGGAMLYVPAGKWLTGPFNLTSHFTLFLHSDAVILGSQNISEWPVIAPLPSYGRGRDKIGGRYASLIGGSNLTDVVITGNNGTIDGQGAMWWSKFHQNKLKYTRGYLVELMWSDTIFISNVTLVNSPAWNIHPVYSSNIVVQGVTILAPTRSPNTDGINPDSCSHVRIEDCYVVSGDDCVAIKSGWDEYGIAYGMPSEHIVVRRLTCVSPTSAVIALGSEMSGGIRDVRAEDITAINSESGVRIKTAVGRGNYVRDVFVRGMKLDGMKYVFWMTGNYKSHPDDGFDPNAIPVVENISYQDVVATGVYKSAARLEGIDGAPFRGICLANVTAEFDKSRKYPWTCTDVEGVSSADVSPAPCEALQGKHDGACPFPTDTLPIDQVTVQQCAYDVPNPKGGN >KQL08904 pep chromosome:Setaria_italica_v2.0:IV:219997:224175:-1 gene:SETIT_006076mg transcript:KQL08904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQDGSSKLRHPEFQRMRVTLTIGVIGLCVTSYILGAWQGTSNSIKPSFISTKTRCHDLARSSGARLDFQAHHQVVFNESSLVLEKFPSCQLKYSEYTPCQDPRRARKFPKKMMQYRERHCPKKEDLLRCLIPAPQGYKNPFEWPKSRDYAWYNNIPHRELSIEKAVQNWIQVEGDLLRFPGGGTMFPHGADAYIDDINALIPLNDGNIRTALDTGCGVASWGAYLMKRNIITMSFAPRDSHEAQVQFALERGVPSMIGVMGTERIPYPARAFDMAHCSRCLIPWNKLDGVYLIEVDRVLRPGGYWILSGPPIHWKRHFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKGDLSIWQKPTNHIECVDSRKVYDTPKICKGNDVDSAWYKKMETCISPLPDVKSEDEVAGGALEQWPKRASAVPPRISRGTVPGLTPEKFQEDSKLWSERVDHYKKLIPPLGKRRYRNVMDMNAGMGGFAAALMKYPLWVMNVVPSGSPRDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHADNVFSSYQDRCDITYILLEMDRILRPEGTVIIRDTVEVLGKVQAIAEGMRWKSQIMDHESGPFNPEKILVAVKTYWTGKPAQKQ >KQL08905 pep chromosome:Setaria_italica_v2.0:IV:221221:223295:-1 gene:SETIT_006076mg transcript:KQL08905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEQDGSSKLRHPEFQRMRVTLTIGVIGLCVTSYILGAWQGTSNSIKPSFISTKTRCHDLARSSGARLDFQAHHQVVFNESSLVLEKFPSCQLKYSEYTPCQDPRRARKFPKKMMQYRERHCPKKEDLLRCLIPAPQGYKNPFEWPKSRDYAWYNNIPHRELSIEKAVQNWIQVEGDLLRFPGGGTMFPHGADAYIDDINALIPLNDGNIRTALDTGCGVASWGAYLMKRNIITMSFAPRDSHEAQVQFALERGVPSMIGVMGTERIPYPARAFDMAHCSRCLIPWNKLDGVYLIEVDRVLRPGGYWILSGPPIHWKRHFKGWERTEEDLKQEQDEIEDLAKRLCWKKVVEKGDLSIWQKPTNHIECVDSRKVYDTPKICKGNDVDSAWYKKMETCISPLPDVKSEDEVAGGALEQWPKRASAVPPRISRGTVPGLTPEKFQEDSKLWSERVDHYKKLIPPLGKRRYRNVMDMNAGMGGFAAALMKYPLWVMNVVPSGSPRDTLGVIYERGFIGTYQDWCEAFSTYPRTYDLIHADNVFSSYQDRLIGFFAALLVLLVFGS >KQL11356 pep chromosome:Setaria_italica_v2.0:IV:32883272:32887482:-1 gene:SETIT_007136mg transcript:KQL11356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVSVAASPSPMVRSEEDLGPPWLRPLLGTSFFVPCRMHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRMAGFDLGRFDRPGIRWSDDEGSRSNNGRPITPGTPPINRCRPSRRKGIPHRAPFYG >KQL11358 pep chromosome:Setaria_italica_v2.0:IV:32883272:32887732:-1 gene:SETIT_007136mg transcript:KQL11358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVSVAASPSPMVRSEEDLGPPWLRPLLGTSFFVPCRMHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRMAGFDLGRFDRPGIRWSDDEGSRSNNGRPITPGTPPINRCRPSRRKGIPHRAPFYG >KQL11355 pep chromosome:Setaria_italica_v2.0:IV:32883272:32887338:-1 gene:SETIT_007136mg transcript:KQL11355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDDDASCLVQVMVSVAASPSPMVRSEEDLGPPWLRPLLGTSFFVPCRMHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRMAGFDLGRFDRPGIRWSDDEGSRSNNGRPITPGTPPINRCRPSRRKGIPHRAPFYG >KQL11357 pep chromosome:Setaria_italica_v2.0:IV:32883272:32887743:-1 gene:SETIT_007136mg transcript:KQL11357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVSVAASPSPMVRSEEDLGPPWLRPLLGTSFFVPCRMHPELSKNECNLFCLGCTGDALCAYCLPAHRDHHVVQIRRSSYHNVIRVSEVGKLIDISHVQTYVINSAKIVFLNGRPQARPGKGVTNTCEICCRSLPDSFRFCSLGCKLGGMQWDPSLTFAIRPKRGQGSGDDGSGSDDSFSPKKPRRMAGFDLGRFDRPGIRWSDDEGSRSNNGRPITPGTPPINRCRPSRRKGIPHRAPFYG >KQL10270 pep chromosome:Setaria_italica_v2.0:IV:12302774:12303443:-1 gene:SETIT_007384mg transcript:KQL10270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPTEILSVALLITGVSLMLAVHILVVFWALRRGLGSRGTSHTDEERAADGCGGRGGLSAGELGALPCYDFKAAAADGGGAGTGSGDCAAFEPGDRCRRLPRCEHSFHAECVDSWLRKSSACPVCRADVVDRPPKGEGKAAAAGEAGVPGALEMAERRSPVAWGVVAER >KQL11234 pep chromosome:Setaria_italica_v2.0:IV:31925627:31925903:-1 gene:SETIT_008989mg transcript:KQL11234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVICKLYVQLEESFCIVVLSSFVIFFNLITW >KQL10597 pep chromosome:Setaria_italica_v2.0:IV:19612933:19615233:-1 gene:SETIT_007407mg transcript:KQL10597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPLHVDLDALPDQMDCDACPSASINVGTTSYTPRNAPQQSETELCRRRKPCSEIFWRAQKRRNTNVYSDQPGSDNGTEEAEEGFRVVLDQNTMLKDECSKLEAAGKLLTSKADELRKELSVWRRVHRSLTDELQFYNGLEGLRRGGHLSNPGVGFI >KQL10088 pep chromosome:Setaria_italica_v2.0:IV:9461897:9464977:1 gene:SETIT_007100mg transcript:KQL10088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTNGASGGGGGQQQASAAGKLPRKRFYRARAHSNPLSDSHFPVPVSPDEFDLSEHYPRYFPADKGSGEEAPPPPRIRFADVGCGFGGLLVGLAPLFPDTLMIGMELRDKVTEYVKERILALRASNPGQYDNISVVRTNSMKYIPNYFRKAQLTKMFFLFPDPHFKEKNHRRRVISMQLLDEYAYVMEVGGIIYTITDVEELGEWMRSCLEKHPLFEPVPEEEIKADPVVKLLSTATEESQKVARNGGQTFHAIFRRISLQE >KQL11088 pep chromosome:Setaria_italica_v2.0:IV:30593150:30596944:-1 gene:SETIT_008340mg transcript:KQL11088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGTWRKAYGALKDSTMVGLANFNSEYKDLDIAIVKATNHVECPPKERHLRRILYATSAHRPRADVAYSICTLARRLTKTKSWIVALKTLIVVHRLLREGDGTFKEDFLTYSYRGNILQIPQFKDDSSPLDERVECFRVLKYDVEADRLLKLPQASGKPEGAACSNFLVQYALALVLKESFRIYCSINDGIINLVDMYFEMPKYDAIKALEIYKRAGQQAEKLSNFYDQCKHLELARRFQFPTLRQPPPSFLVTMEEYIREAPRANIENKSLENEENQHSDNQEEAPQETEKPVEEEKQEPAEPEEEPQPAAEPAEEAVEPQPPATTGDLLNLDEEVNPMISDLEERNALALAIVAPGNENKTSTSRDLFALDKSGWELALVTAPSNHTNQQMDNQLAGGFDKLLLDSLYEDEARRQQIASVTYNGTIAANPFEFNDPFAMSNSFAPPSNVQLAMMAEQQQYYQAQQQQYLQIQQQQQMVMLPPQTYQQNSQYSASSSQAALSNPFGDPFSNLVAMAIPPKQSNSNLV >KQL11802 pep chromosome:Setaria_italica_v2.0:IV:36871426:36872577:-1 gene:SETIT_007238mg transcript:KQL11802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEDFGKSWLGLGIGSGGDMKRSHGERRSSALQFDLLFPQSVKEEAAVGTNAEKGARKRLRITDDDGRRSHELSPSDDGGDGAATRKKLRLTKEQSTLLEDTFRAHNILSHAQKHELARRVNLSARQVEVWFQNRRARTKLKQTEVDCEILKRCCESLTGENQRLKHELAQLQRSSSAASGLYVQFPRGAAAAAGGVCPSCEKVTVTTSGGETSKSSSSYSS >KQL09343 pep chromosome:Setaria_italica_v2.0:IV:2608162:2616241:1 gene:SETIT_005691mg transcript:KQL09343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMAAASPPFPFPSSSSSSTRHLRRAATTTASAASSTSDEFDYPLVDPSVRWPHLRFPHLPAPRFPAATVPAAPPAPARPPRVEDDDDPAETPASASALVEPLDARAHRGRVKRLSKLALRRARDWRARVAGLADAVLALAPGAPVDDVLEGARAAPDEVSLVVRTVGESSWRRALDVFEWLARSGAPAPRAVAVVIGVLGRARQDAVAEELFLRFAGEGATVQVFNAMMGVYARSGRFDDARQLLDTMHERGIEPDLVSFNTLINARAKSGCLAAGVALDLLFEVRQAGLRPDVITYNTLISACSQSSNLEDAVTVFEEMMASECRPDLWTYNAMVSVHGRCGKAEEAERLFGELVEKGFMPDAVTYNSLLYAFAKEGDVDKVERTCEDLVKAGFRKNEITYNTMIHMYGKMGRLDLLVGLYDEMRSMGCTPDSVTYTVLIDSLGKMDRIAEAGKVLEEMAAAGLKPTLVTFSALICAYAKGGRWAESEKTFDCMVASGVKPDRLAYLVMLDVFARSGETEKLLDLYRKMMKDSYRPDDGLYQVLLAALAKEDKHEEIEEVIQDMELLCQMNPGIISTILIKARCISQGAKLLKKACLQGYKPDIKSLRSIMDAYVTTEKQEEGLSLLECIREHVSSSHDLISEFSVMLLCRKQTSIAAYEEYNRMQMFKYESFGRNCNLYEYLITCLEEAEFFSEACQVYCDMQFIGIEASKNIYESMISTYCKLGFPETAHRLMDDALQSGIPLNVLRSRVLIIEAYGKIKLWQQAEILVKGLRQSSGIDRRIWNALIHAYAESGLYEKARAVFDNMIKAGPLPTVDSINGMMRALIVDGRLDELYVVVQELQDMDFKISKSTVLLMLDAFAKGGDVFEVMKIYNGMKAAGYLPNMHLYRSMISLLCRHNRSRDVELMIAEMEAAGFKPDVAILNALLMMYTATGNFDRTIQVYQSILEAGLEPDEDTYNTLIVMYCRNFRPEEGFTLLNEMGKRGLIPKLQSYKSLLAASAKAELREQADQLFEEMRSKGYQLNRSIYHMMMKNYRNAGNHSKAENLLSVMREDGIEPTIATMHILMTSYGTAGHPREAENVLNSLKSSSLEVSTLPYSTVFDAYLKNGDYELGITKLLEMKRDGVEPDHQVWTCFIRAASLCEQTDDAILLLNSLKDCGFELPIRLLTERTPSVLSEVANYLEELEALEDSAALNFVNALEDLLWAFECRATASWIFQLAVKRNIYRDNVFRVVEKDWGADFRKLSAGAALVGLTLWLDHMQDASLQGSPESPKSIVMVTGEGEYNMVSLRKTIRAYLLEMGSPFLPCRVRSGRFVVKAYSLKMWLKDSPFCMDLELKDVPALPKLNSMKLIDGYFMRAGLVSAFKDIHERLGEVWPKKFSKLALLSEESRDEAIKADIQGRKEKLDRMKKKDLVTARNSKRRPQRAKFVREQGQSMKAVSK >KQL08971 pep chromosome:Setaria_italica_v2.0:IV:476338:479069:1 gene:SETIT_005902mg transcript:KQL08971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLQLQAVALVVLLSRLAPVTPASSQRQQQPQAMPLARPGCRDRCGNITIPYPFGIGAGCYRDDGVGGFQLLCDDTRSPPRLTFYSINQLADLSLAAGEARAYLNATRRCYNDTGGLVDRNTNLSYMTLIGSHYLFSPVKNRLVATGCPSLGYFVDAEGSFVSGCTSVCRPSQFTIPGQGSCTGVGCCQSDIPPGVNFYEPYTLNFFERTDVSSVSQRWQDPTPFSTNFTTCRYVFLVETAWFSYSDAAFFSRTDDFAVPVVLDWAVRNVGNCTAARRNATDYACRSAHSDCVDSIGNGHGYRCNCSNGYEGNPYLDGGCRDIDECNRKHEYPCYGDCTNQPGTYICECPPGTTGDPSKQNGCRPKDKFTLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTKQRFFEQNGGVILQQQMRSYSSAGAGAGGFKIFSVEELEKATNNFADDRVLGRGGHGVVYRGVLEDKTVVAIKKSKMMEEAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPMLVYEFVSSGTLYHYIHKDLKADKTFDTRLRIAAESAEALAYMHSSASPPILHGDVKTANILLDDKLTAKVSDFGASKLAPSDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVLLELLTRKKALYFDGPEEDRSLVSCFMTAMKAGRHEELLDSQLRNEMRAEVFEEIAHLVMRCLSMSGEERPTMKEVAERLRRYQQHPWAQAHVNPEERQTLLPREQQDLPLLFRQQDVLDLEEGSTYTYSV >KQL11623 pep chromosome:Setaria_italica_v2.0:IV:35410639:35411052:-1 gene:SETIT_008730mg transcript:KQL11623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFSWLTALGFLFLTFNSGMAVYRSNGDTGSIIFVVVSYLDLVALFACLRYYERLDRHSPKRETVKAAVWGLTTLLTVMFSYKVAEIMPLAVKLVVWAMAAATSCGGFYAFFIHEEKPALPAAGVPAAAHKEGAAN >KQL09853 pep chromosome:Setaria_italica_v2.0:IV:6694145:6696478:-1 gene:SETIT_006032mg transcript:KQL09853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQALTELRDPGGSSRQAIGKYIADHFSGLHSSHEALLSAHLRRLKSQGQLRLVSGNYFLSTEAPPPQQRRGRGRPPKSAASGQKRGRGRPRKNADLAPSAPIPNSEGPKRGPGRPRKNALVPVASSASPLLGATASPPPSGVKRGRGRPRKNSLVPVASSASPLLGATASPLPSGVKLASSASPLLGAIAAPPSSGVKRGRGRPRKNALVPVASSASQLLGAIALPSPSGVKRGRGRPRKNALVLLASSAPPPSGDKRGRGRPRKNALVLLASSVPPQPGAIALPPSGVKRGRGRLRKNALVPVASSSLQLPRAIAMLPPSGVKRGRGRPRKNPYPVASPLLGVVSVSSNSVVGVKRGRGRPPKAVVAGKRKRGRPPKEKMQPESGQSTDAPLTKRGPGRPRKEKTLEGGQLNAAQTTGDQHEALPAQATGQAGAVQNEVEARSLQSFGTYLTEKRDRGRPRKRPLETETAETGVAASVEKRGRGRPRKKNRSAGTSTESGLTASMGIKRGPGRPRKVRPFETGVAETADEVSRHLIEERPEKDEDLASGKKAETQGVLLVEEIDAKPADAGCVLVSGEEAATAPMDAGGAMPRVVSVEEAATARMDSGGAMPRVVSGEEAAIAPNDAGAAMPGVDPMDSNVGTKSH >KQL10787 pep chromosome:Setaria_italica_v2.0:IV:25713361:25718036:-1 gene:SETIT_006167mg transcript:KQL10787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDFSRGSGGPSFEFAFNSVNFSDRVLRIEIVAGDDAPGAKGAAGEGCSSLADWARHRKRRREELRRGKDLHFEQLLHYNFIAPESGANCKVEAEECDAYEEGNEEPVAMIEESPPDIEQDGQCNLTSAIKCEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISVATAVQPLTDTAKEFLANKYKDLTKFQDEAMTIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFYCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >KQL10786 pep chromosome:Setaria_italica_v2.0:IV:25713361:25718036:-1 gene:SETIT_006167mg transcript:KQL10786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDFSRGSGGPSFEFAFNSVNFSDRVLRIEIVAGDDAPGAKGAAGEGCSSLADWARHRKRRREELRRGKESGANCKVEAEECDAYEEGNEEPVAMIEESPPDIEQDGQCNLTSAIKCEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISVATAVQPLTDTAKEFLANKYKDLTKFQDEAMTIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFYCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >KQL10785 pep chromosome:Setaria_italica_v2.0:IV:25713063:25718221:-1 gene:SETIT_006167mg transcript:KQL10785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDFSRGSGGPSFEFAFNSVNFSDRVLRIEIVAGDDAPGAKGAAGEGCSSLADWARHRKRRREELRRGKESGANCKVEAEECDAYEEGNEEPVAMIEESPPDIEQDGEDGESSDSSWSMECTQVLRVKSIYISSAILAAKSPFFYKLFSNGMKESDQRHATLRITASEENALMELLSFMYSGKLTTNQPTLLLDILMIADKFEVVSCMRHCSQLLRSLPMTTESALLYLDLPSSISVATAVQPLTDTAKEFLANKYKDLTKFQDEAMTIPLAGIEAILWSNDLQVASEDAIYDFVIKWARAQYPKLEERREILGTRLLPLVRFCHMTCRKLRKVLACSDLDHEQATKCVTEALLYKADAPHRQRALAADVMTCRKYAERAYKYRPLKVVEFDRPYPQCIAYLDLKREECSRLFPSGRIYSQAFHLAGQGFFLSAHCNMDQQSAFYCFGLFLGMQEKGSTSVTVDYEFAARTRPSGEFVSKYKGYYTFTGGKAVGYRNLFAIPWPSFMADDSLFFIDGVLHLRAELTIKQP >KQL11070 pep chromosome:Setaria_italica_v2.0:IV:30526846:30527252:-1 gene:SETIT_009087mg transcript:KQL11070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSCDPQMGALDCFRYPEGDRGLPTYLMWR >KQL09032 pep chromosome:Setaria_italica_v2.0:IV:766318:771225:1 gene:SETIT_006361mg transcript:KQL09032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYTIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKNRGKAFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKDLIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQATVYNAAVDMWAMGAIIAELFSLRPLFPGSSEADELYKICGILGTPNQRTWPEGLQLAASIGFQFPQCESVHLSEVIPSASEDAINLISWLCSWDPRRRPTAVEVLQHPFFQPCFYVPPSLRFKSTGYASTPPSVGAKGAVDQKNGRRYSMGTVPNGRPTVNYSYLSNNAPVRAAGVQRKLELDHQEANHKVTKANAMNQSWSRSAAVRSNGNYLAKDQSSRASDLAEKLSQLSMGPNRVSGLGPERFTDLKPRTHGSAIKRPLPVGSRAWHGPADPFRRPYEMPGDRTLLPRKLVS >KQL09031 pep chromosome:Setaria_italica_v2.0:IV:767250:769687:1 gene:SETIT_006361mg transcript:KQL09031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYTIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKNRGKAFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKDLIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQATVYNAAVDMWAMGAIIAELFSLRPLFPGSSEADELYKICGILGTPNQRTWPEGLQLAASIGFQFPQCESVHLSEVIPSASEDAINLISVNVNIQYSLLSLLFFCC >KQL09034 pep chromosome:Setaria_italica_v2.0:IV:766318:771225:1 gene:SETIT_006361mg transcript:KQL09034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYTIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKNRGKAFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKDLIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQATVYNAAVDMWAMGAIIAELFSLRPLFPGSSEADELYKICGILGTPNQRTWPEGLQLAASIGFQFPQCESVHLSEVIPSASEDAINLISWLCSWDPRRRPTAVEVLQHPFFQPCFYVPPSLRFKSTGYASTPPSVGAKGAVDQKNGRRYSMGTVPNGRPTVNYSYLSNNAPVRAAGVQRKLELDHQPQEANHKVTKANAMNQSWSRSAAVRSNGNYLAKDQSSRASDLAEKLSQLSMGPNRVSGLGPERFTDLKPRTHGSAIKRPLPVGSRAWHGPADPFRRPYEMPGDRTLLPRKLVS >KQL09033 pep chromosome:Setaria_italica_v2.0:IV:766318:771225:1 gene:SETIT_006361mg transcript:KQL09033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERYTIIKEVGDGTFGSVWRAINKESGEVVAIKKMKKKYYSWEECINLREVKSLRRMNHPNIVKLKEVIRENDMLFFVFEYMECNLYQLMKNRGKAFSETEVRNWCFQIFQALSHMHQRGYFHRDLKPENLLVTKDLIKIADFGLAREISSEPPYTEYVSTRWYRAPEVLLQATVYNAAVDMWAMGAIIAELFSLRPLFPGSSEADELYKICGILGTPNQRTWPEGLQLAASIGFQFPQCESVHLSEVIPSASEDAINLISWLCSWDPRRRPTAVEVLQHPFFQPCFYVPPSLRFKSTGYASTPPSVGAKGAVDQKNGRRYSMGTVPNGRPTVNYSYLSNNAPVRAAGVQRKLELDHQPQEANHKVTKANAMNQSWSRSAAVRSNGNYLAKDQSSRASDLAEKLSQLSMGPNRVSGLGPERFTDLKPRTHGSAIKRPLPVGSRAWHGPADPFRRPYEMPGDRTLLPRKLVS >KQL11041 pep chromosome:Setaria_italica_v2.0:IV:30266213:30266440:-1 gene:SETIT_008681mg transcript:KQL11041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLTDLFCNKQSWLICVHGLHNPGLTCLAVLLIHRRPRSMFLGVSLIAPSYSWRCFISNSPHKQVACFFHFKSVSA >KQL12044 pep chromosome:Setaria_italica_v2.0:IV:38432488:38435153:-1 gene:SETIT_007179mg transcript:KQL12044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFERKGVRQYNRSEVPRMRWTEELHRQFVEAVECLGGQDEATPKRILQLMGVKGVSISHIKSHLQMYRSSSNSSTHQSSLHKSTSTSDSKRVFLNREDHCIYASPDGNTPASDRNIYAMFRGCSHSSPYQIPSLQEVFRSWEQSRGRVPWDSNVLTIEQAVRPRSHTTCNMMKPEKQTGCDLTLSIGLWEDASSDADGSSTISEELPAPAAGARCVATVKEEESKPALNLDLTISSSWLA >KQL09762 pep chromosome:Setaria_italica_v2.0:IV:5771113:5773022:-1 gene:SETIT_006760mg transcript:KQL09762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTVALLAVSLLAASAMSGGGGVRAQPLVPAVISFGDSTIDVGNNNYLPRAVFKADYAPYGENFVRQEPTGRFSDGKIVTDITAETLGFESYAPPYLSPQASGKNLLIGANFASAASSYYDDTAAVYDAITLTQQLKYYKEYQSKLAAVAGRAKARSILTGALYVVSTGTGDFLQNYYHNASLSRRYNVDQYCDLLVGILSNFASELYKLGARRIGVTSMPPLGCLPASIRLYGEGRDACVARLNRDAETFNWKLNATVKALKGQHADLKMAIFDIYTPLRKLAEAPAEQGFADARGTCCRTGTAKTRVYLCNPTTGGTCRNASSYVFFDGVHPSEAANLFMAESMVEAGIELVT >KQL08922 pep chromosome:Setaria_italica_v2.0:IV:326150:326300:-1 gene:SETIT_008751mg transcript:KQL08922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLKKASFHPPALDAGGDEEEEIPSVLLEYKAYVADRRNETTAVAYSSC >KQL09734 pep chromosome:Setaria_italica_v2.0:IV:5550532:5552764:-1 gene:SETIT_007496mg transcript:KQL09734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHLLCEIDGQHLTAAAIIGHDGSVWAQSPTFPQYKPEEIVAIMKDFDEPGTLAPTGLFLGGTKYMVIQGEPGAVIRGKKGTGGITVKKTTLALIIGIYDEPMTPGQCNMVVERLGDYLLEQGF >KQL09101 pep chromosome:Setaria_italica_v2.0:IV:1215806:1216342:1 gene:SETIT_009137mg transcript:KQL09101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCCHEGGGIACGPVGRGCVVGGDCDHACLLQTWMVIRLVISPSLACFLPV >KQL09098 pep chromosome:Setaria_italica_v2.0:IV:1196439:1200721:1 gene:SETIT_006533mg transcript:KQL09098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALGCAGLGERLAAAARDGDGAEVRRLLEANPGLARCAAFGSLNSPLHLAAAKGHHEIAALLLENGADVNARNIYGQTALMQACRFGHWEVVQTLLVFRCNVSKADSLSSRTALHAAAAGGHVKCARLLLAAAAAAGGGRFVNRAASGGVTALHLAALHGHVDCVHLLIDEHADLAAQTLPCVAAPMGSIGAGSTPLHYAAAGGEVKCCQILVSRGADRTAVNCNGWLPVDVARTWGCHWLEHVLSPKSHLPIPKFPPSGYLSSPLPSVLTLARDCGLVLNTSSEFSDGGVDESDACAVCLERPCNVAAEGLPVHSYKHMNLSQGEKNQFLQMLILFMLDSVWARAVREVRAGPVLGDQVLRRAGDRRQHPVPALPERHRLVQEAGGLGSGGRGARA >KQL09096 pep chromosome:Setaria_italica_v2.0:IV:1198419:1200220:1 gene:SETIT_006533mg transcript:KQL09096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTSTDRWTALMQACRFGHWEVVQTLLVFRCNVSKADSLSSRTALHAAAAGGHVKCARLLLAAAAAAGGGRFVNRAASGGVTALHLAALHGHVDCVHLLIDEHADLAAQTLPCVAAPMGSIGAGSTPLHYAAAGGEVKCCQILVSRGADRTAVNCNGWLPVDVARTWGCHWLEHVLSPKSHLPIPKFPPSGYLSSPLPSVLTLARDCGLVLNTSSEFSDGGVDESDACAVCLERPCNVAAEVCGHELCVKCALDLCSVIKSYDVPGIAGSIPCPLCRSGIASFRKRAASEAEDEELEPDLNSACSGGSHYKSAGEHTASSSPEKKRSTDSDQGIILPLYSPPAVLS >KQL09097 pep chromosome:Setaria_italica_v2.0:IV:1196439:1200721:1 gene:SETIT_006533mg transcript:KQL09097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNALGCAGLGERLAAAARDGDGAEVRRLLEANPGLARCAAFGSLNSPLHLAAAKGHHEIAALLLENGADVNARNIYGQTALMQACRFGHWEVVQTLLVFRCNVSKADSLSSRTALHAAAAGGHVKCARLLLAAAAAAGGGRFVNRAASGGVTALHLAALHGHVDCVHLLIDEHADLAAQTLPCVAAPMGSIGAGSTPLHYAAAGGEVKCCQILVSRGADRTAVNCNGWLPVDVARTWGCHWLEHVLSPKSHLPIPKFPPSGYLSSPLPSVLTLARDCGLVLNTSSEFSDGGVDESDACAVCLERPCNVAAEVCGHELCVKCALDLCSVIKSYDVPGIAGSIPCPLCRSGIASFRKRAASEAEDEELEPDLNSACSGGSHYKSAGEHTASSSPEKKRSTDSDQGIILPLYSPPAVLS >KQL09748 pep chromosome:Setaria_italica_v2.0:IV:5661774:5664701:1 gene:SETIT_006973mg transcript:KQL09748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAAALLLAVALAAVLLHPAAVAAAGQKKPATAARREDIPYIRCQVCERIAREISAQVAKKQQALPPSKKVPEIEIIDIAENVCNLKKQEADWMLRIDIVEKGDKLELVEQDEEGHCNAECKTIERACQEVMGYADTDVAEFVYKNNPSVDQLMKFLCKDLSKACAKDPPPVPKDRVPGEPFAKKPSKDAEMEKILKSMEGMPGAPSMKMYSRDDLMKNNFGTEDDDDEDDEDEEDNFPKNLGKVLKDKGSQKKDLKQQVVQQFKDTSKKLKGHVNKVSNMVKKWWQGTKKPAKSGKSKTEL >KQL10887 pep chromosome:Setaria_italica_v2.0:IV:27759861:27760463:1 gene:SETIT_008253mg transcript:KQL10887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLPPGFNLPPIALPLPPPPPPPPSNNNNSVIAALTIAFGIFVFVIFCGCILSRKNRDGDEAEATEAAADLAAPPWATPSSVPVPPEPWENDEQHLRRPRSGGGEGRPRRVSPAAGLPSFTYSRSVTHNVTGGGGEEAATCSVCLGAFQTGETVRLLPVCLHLYHVECIDPWLDAHSTCPVCRSGTDPATDGRLHLPV >KQL11563 pep chromosome:Setaria_italica_v2.0:IV:34856434:34858061:-1 gene:SETIT_006334mg transcript:KQL11563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVRVLNISHVRPVQTAGLSPPSQGEHKLSFLDLLQISKTIQRLFFFDGPDLPPFPSVVSALRSSLAATLAVFLPLAGELAFRPDSGDVVIDFSPVAISSSTGVKFVEAEFAGGADGMRRLARDDAHDAEAFARLVPELEAGSLPAPVLAVQVTRPADGGAAVAVGVSIRHAVADGHAVWQFLRAWSAASREGHGSLAAPGFVQPTFDRAGIWHPKSAEIARSVLSKVAPALPLLRSTSSKPEIMKQSRRTFLLRADEIRSLKQHILEQSRAINRGEPWKPPSTYVAVSSLAWASIARANLTMLDADDAHLMVSADCRNRLRPPLGDGFFGNSVKPCVAWASAGDLRGEAGVARAAAAIRDAIRVYLEELEGGPLADAEGWVAAYGAVPKERLVTVGSSNRFAAYETDFGWGGPSRVELVSLFATQMVTLLGARDGGVQVSVALDAAAMDAFAVNFVVPAPVSAADTVILRMAKDIR >KQL11734 pep chromosome:Setaria_italica_v2.0:IV:36420434:36426307:1 gene:SETIT_007277mg transcript:KQL11734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVSGGAAVGDAAGATTYPVVLNVYDLTPINNYLHWCGLGIFHSAVEVHGSEYSFGAHDHPSSGVFEVEPKNCPGFIYRCTIFIGSTTMNPLEFREFIQRMASEYHGDTYHLISKNCNHFTDDLSTRLTGKTIPGWVNRLARLGAFCNCLLPESMRLESTETKHLADCRFSDDSHTTSKDNFDEDDLEDKHLLPTSSVGEDAIVKEVHR >KQL11941 pep chromosome:Setaria_italica_v2.0:IV:37768517:37769858:-1 gene:SETIT_008037mg transcript:KQL11941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLWWTALKKSLSCKSRDSCDDVIKREDSRGASARGLKKSFLPPPSMLPRSGCSRSISNLRDVIHSQYGGGRRPRHCDSPRSIESSDVLNAATHDVLLAAAGGPPAASGRVDLRAGAGFAPGLAAWSIGGAAPLSPLLTRCSTARLSQREVSPLRRSAGAAPAWVSSGVGVRCDRCGGRFSSDDALESHHLAYHAVTELVDGDTASKVVELIYRVGWPDPEAALDRIERVVKVHSMDKSVDRFKAYMEEVKARAARLPNKHPRCIADGNELLQFHGTTVSCSLGASGSHSICASGTCNVCRIIRHGFSATRESNKDGVGVFTTSMSKRALECIGGITGGGEEAGTGTGGARHALIVCRAIAGRIHRPLENLRDVAGQPGFDSVAGQVGADSSIEELYLLNPSALLPCFVVICKA >KQL10749 pep chromosome:Setaria_italica_v2.0:IV:24684926:24688736:-1 gene:SETIT_007635mg transcript:KQL10749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPVVLRSRVLARAVSSSLRRSILGAHPHPPSSPLAASSRPSVVHRLPSVCGGLLTVMPLHSAVASTRLRSAISPESQSWGVVPQGNSMPL >KQL09547 pep chromosome:Setaria_italica_v2.0:IV:4166079:4168671:-1 gene:SETIT_007419mg transcript:KQL09547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLDLAMTSGVLLHRRALPHASFIPGRGRSASGKRSRNLALSASSSNGAAVPSLTSDSDKKGPVIMEIPLDKIRRPLMRTRANDPVKVQELMDSIRVIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKVRRGTKETLRHHMR >KQL09548 pep chromosome:Setaria_italica_v2.0:IV:4166568:4168598:-1 gene:SETIT_007419mg transcript:KQL09548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSLDLAMTSGVLLHRRALPHASFIPGRGRSASGKRSRNLALSASSSNGAAVPSLTSDSDKKGPVIMEIPLDKIRRPLMRTRANDPVKVQELMDSIRVIGLQVPIDVLEVDGVYYGV >KQL10791 pep chromosome:Setaria_italica_v2.0:IV:25731192:25732035:1 gene:SETIT_009058mg transcript:KQL10791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWISLGDFAASAFFSGDSSVVDDCLDEESVRCLFLGFVPGGGCAFNSAGEFSRRSFVFLFVCETVGSLASPLGCLSSLLAVAPATADSFSSRWFFRWRWRICASLLLSGVRAADVLSTESRRAGGIQALDHAAHPSFGALRLGGR >KQL09346 pep chromosome:Setaria_italica_v2.0:IV:2623006:2625330:-1 gene:SETIT_008614mg transcript:KQL09346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAAIFVFLLVSALQMLDGVLDLARRRGSLSDEQLKLRMEITQILKEASALSTPSTFAQAAKLKRLAAAKEKELAKIQDLNIKGKESLYEQYGKVLLITKVLIYGALVLWFWSTPVTTVPKHLLQPFGRLFSWRGVDAATGRVVVGILPWLFLTSRVSKLLSEKLAPIFLHP >KQL11300 pep chromosome:Setaria_italica_v2.0:IV:32424469:32425002:-1 gene:SETIT_008488mg transcript:KQL11300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCFRLPRAAAGGGGAADQSAGASPRRPPLPFAASLFAASPASTSGRGKTPWPSEADDMEKKRWDSMESWSMLLDTVMGPSGEGSRDSGRREEWMADLSQLFIGNKFASGANSRIYRGIYKQRAVAVKMVRIPERDEDRRALLEDQFNSEVAFLSRLYHPNIVQVTACSRSCSSHY >KQL09722 pep chromosome:Setaria_italica_v2.0:IV:5454887:5456793:-1 gene:SETIT_008749mg transcript:KQL09722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRTRGLGFIMAALVVLALLVGACRWIDLDVSSPIDNLINIGAAPVASIPFCGNVTSPRRLTCPGTPTAPPPSQTTPPPTSGPAPPCPDYFRYIHDDLRPWRGAGITRASVERARRHANFRLVVVGGRAYVEKYRRAHQTRDVFTQWGIPAAAPPLPRPRSRPGHHVRLRRPPRVHAADFPAPAEAPPVFLYCNDAGWPEKNIRPWSQMLEEMRRESERVQWPERQPYAYWKGKLHVYRIRHELRRCNVSNDQEWNARLFTQDWKHAIRNGFKGSSIPKQCLYRYKIYIEGNAWSVSEKYILACDSPVLFVVTPFKDNLSRGLVAVDWGNKHPVQAQLIDYMLHLLTEYAKLLRYKPTVPKKVVEICSESIVCPTRGLHRECMMDSMESHFTGFSPRTLPPPFTKEEPKEIADREAEVLRNIENIERLAS >KQL10875 pep chromosome:Setaria_italica_v2.0:IV:27467173:27467766:-1 gene:SETIT_007874mg transcript:KQL10875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLPANPAGTARIPAMSAAAEEYPWRAQQVFGDAMAAQRSALVLASYPVLLLLVILAAFVRYLWVALAMYCALLFVLSCASRTFAARAASDEEEARLSRGGLSPTAITPVAPAFPYEPPAAGAPVSDCAVCLEAMKAGEAARRLPACAHAFHVGCIDMWLDSHATCPVCRCHVVPQKKGGKEPPEGPVQQPSIEPPPV >KQL09604 pep chromosome:Setaria_italica_v2.0:IV:4543245:4544000:-1 gene:SETIT_007417mg transcript:KQL09604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARGREGQRARAGGQKQGPFELLTNSTMSILSNRNLAKVQRFRVQTMEVGNLVESKLACCQIRRILHSSRVVDSILVWYVCSLKFYPELPNSVHFAFIQSCGLHSCLRWHPVARARSSSTATAACRYLLVASICRAVACRTT >KQL10448 pep chromosome:Setaria_italica_v2.0:IV:15293054:15296078:-1 gene:SETIT_008195mg transcript:KQL10448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPKHVFHMKGGNGETSYAKNSRLQRKAILETKPLLEMAIAEVCMSMTALPRTMVVADLGCSCGPNALLFVSEVICTVADRLKTFGHNPVEIQFFLNDLPGNDFNHVFRSLAEFHMCMAEEGSAEGGDELPAYYIAGLPGSFYRRLLPCRSVHLFHSSYCLMWCSQVPEELLEGKYVNEGKICIGATTPPAVVKLYQEQFHRDFSRFLELRSMELVPGGQMVLTILGRKHRDPRTGELFTLYALLAQALQCLLLEGRVEKEKLDGFNLPLYTPSVEEVAAAVEAGGLFDVRRVQLFQSNWDPHDDSEDSNAVTGGARESGENVARVTRAVLEALLVQHLGECVLDELFAVFARLVAAHLEEEKTKFTVVVLVLSLREGRKEASVPRAPASVDGHAHAKMRVASEV >KQL11868 pep chromosome:Setaria_italica_v2.0:IV:37288865:37289629:1 gene:SETIT_008097mg transcript:KQL11868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRAVALAAVLLLALAAAGGASAATLALYNRCGETVWPGIQPSAGKELLARGGMQLAPGHATSIRLPAGWSGRVWGRQECKFDAAGRGRCATGDCGGTLYCNGAGGAPPATLAEITLGSGSGAPAAQDFYDVSLVDGYNIPIAMTPVHGSGANCVPAGCVSDLNRGLAVRGGDGNRVVGCRSACAAYGAPQYCCTGNFGTPQQCKPTAYSRLFKSACPKAYSYAYDDPTSILTCSAGASYVVTFCPHRR >KQL11621 pep chromosome:Setaria_italica_v2.0:IV:35391095:35392054:1 gene:SETIT_007233mg transcript:KQL11621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADDNKDLVLLDFWVSPFGQRCRIALAEKGIPYEYSEQELLGTKSDLLLRSNPVHKKIPVLLHAGRPVCESLVILNYLDEAFPSAARSLLPSDPYARARARFWAAYSDKVYELGTKLWKLRGEEERAQARDELVQVLRNLDGELGDKEFFGGGEFAFVDVAVVTFMPWLPSYERYGGFTVEETAPRLAAWARRCGERESVAKSLHSPEKVDEFITMLKKFYGIE >KQL11005 pep chromosome:Setaria_italica_v2.0:IV:29405491:29408415:1 gene:SETIT_007358mg transcript:KQL11005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSRIGLGPLSKGRRLPPPPSRAAAAAATATCAASSRRTAAMADSKAAAAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNCIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >KQL10503 pep chromosome:Setaria_italica_v2.0:IV:16753117:16753617:1 gene:SETIT_009076mg transcript:KQL10503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGSAGFAPQAGPSNGGTPKHRASPVAARRRT >KQL09266 pep chromosome:Setaria_italica_v2.0:IV:2208347:2210560:-1 gene:SETIT_007857mg transcript:KQL09266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYSGLVKDELHGIGGGQGLYCGATPRAAPAMMTGGARDGVVKSVKRRKREPSSVVTVSNGKEEAAGGDKAAGSNAAKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQKKKGKQVYLGAYNEEEAAARAYDLAALKYWGPSTYTNFPVVDYEKELKVMQNVSKEEYLASIRRKSNGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPAAAAGDDGGTPTSGVKPNIPPSLCLQAAGGLLQHPHAGAAAGMLQVDVDLYRGHLAAAQQGASSFSGLDDVGSVYAAGPSPTAVCGRPSPSSSTTALSLLLRSSVFQELVARNAGAAQQQQHVPGDHGAAVSDVVLDAKVEHEEPLGRPDEEGEIGEEMYGAAGAAEEAFACSMYDIDDSFARIEQSFWNCLSQ >KQL10570 pep chromosome:Setaria_italica_v2.0:IV:18535738:18536259:-1 gene:SETIT_008789mg transcript:KQL10570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STTQHADRPVPDDGPGSFGPVLVVMAVISFLAVAACVAGRLCGRGSSSRGSSSGQQSAEADKGLGAKHLEVMRPLPSSRATVHDVDDAFEIRLVPQTKPAGSEASGGIIRLQALPELPLPQPQLGAPRQYPAAAAGGVGFRVPAASANTGAARQAHPLYGSRAASFVPAQQRR >KQL11183 pep chromosome:Setaria_italica_v2.0:IV:31443751:31445254:1 gene:SETIT_007704mg transcript:KQL11183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSNELDRSPSIRHVSRSNNWLPKEIDAVREYTSSSSTSVVNVLWYYPKSSSVLGGCHLVKSARLQLFSGSLMHIP >KQL11184 pep chromosome:Setaria_italica_v2.0:IV:31443751:31445254:1 gene:SETIT_007704mg transcript:KQL11184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSNELDRSPSIRHVSRSNNWLPKEIDAVREYTSSSSTSVVNVLWYYPKSSSVLGGCHLVKSARLQLFSGSLMHIP >KQL10595 pep chromosome:Setaria_italica_v2.0:IV:19595293:19596777:-1 gene:SETIT_006976mg transcript:KQL10595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFFGTSAAYVHCHLQLRNGSVHSELIRPNHYRPRSVIRCCSTARGRTRDYYYQVLGVTVHSTPQEIKEAYRKLQKQHHPDIAGYKSHDYTLLLNEAYNVLMRNLSRHVDGKSRAGTGSGYTGDGYSSWNGPVRSQALFVDENKCIGCRECVHHAARTFSMDDVLGSAHVDIQFGDLEQQIQLAVESCPVNCIHWVESQELPVLEFLSRPQPKEGHGIFGGGWERPRNVFAAAKNFAKRLEREEQELEREQSSRSHGHADCEAETEAQAEARHRAGEELRWKPLVDIWNGFRGWRKGGTDR >KQL10594 pep chromosome:Setaria_italica_v2.0:IV:19595418:19596777:-1 gene:SETIT_006976mg transcript:KQL10594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFFGTSAAYVHCHLQLRNGSVHSELIRPNHYRPRSVIRCCSTARGRTRDYYYQVLGVTVHSTPQEIKEAYRKLQKQHHPDIAGYKSHDYTLLLNEAYNVLMRNLSRHVDGKSRAGTGSGYTGDGYSSWNGPVRSQALFVDENKCIGCRECVHHAARTFSMDDVLGSAHVDIQFGDLEQQIQLAVESCPVNCIHWVESQELPVLEFLSRPQPKEGHGIFGGGWERPRNVFAAAKNFAKRLEREEQELEREQSSRSHGGHADCEAETEAQAEARHRAGEELRWKPLVDIWNGFRGWRKGGTDR >KQL09184 pep chromosome:Setaria_italica_v2.0:IV:1722987:1723412:-1 gene:SETIT_008983mg transcript:KQL09184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQKNYLVVMISSSILLYALFCAYIVYYMQCDHSE >KQL11147 pep chromosome:Setaria_italica_v2.0:IV:31128984:31130172:-1 gene:SETIT_008214mg transcript:KQL11147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISLRDRIRKRREEEDDDMMMFLFPALYLMGSAREGGVKKKRHISEETGEVKVRRLLEGHIKNCQVTFRMEPHIFKELATYLRRKRLVVDTRITVEEKLGFFLYMLSRNASYEDLAVTFGHSNDTFHRHINHFFKKSLDPNQVHPKIQDNPRFYPFFKNCLGTAQGNALRDTIAQEMWVQYQQHVN >KQL11442 pep chromosome:Setaria_italica_v2.0:IV:33788968:33790550:1 gene:SETIT_008212mg transcript:KQL11442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAGLAAHPGAPPRLYLKTHGTRVARLHLLDWAVLVLLAALDGALNLIEPFHRFVGEDTVPGLRYPLKDNTVPVWAVPVLAVAAPVAVVAGIYVRRRNVYDLHHAILGKFLNLLCHSSSYSAATLLTRSVPKLAGLLFSVLITAVLTDAIKDGVGRPRPNFFWRCFPDGLPRYDNVTREVICHGDAAVIKEGYKSFPSGHTSWSFAGLGFLSWYLAGKIKAFDRGGHVAKLCIVAMPLLLAAMVGVSRVDDYWHHWQDVFTAGVLGLVVASFCYLQFFPPPSGEQGFWPHSYFEHMLTLDGEIEVQSAAGSSRHPSLTLDSSPGRAGREMRTSSQALDSMESGRRS >KQL12031 pep chromosome:Setaria_italica_v2.0:IV:38280152:38282292:1 gene:SETIT_008607mg transcript:KQL12031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARRAVTAHCCCVAVCLPVPVRPPTPGLRFPQSSPVSSLPIREISELAGKRTKEEDLDKMVANGDAPARGSTAAAASLRRRRTTSGAAGAGAGASSMLQFYTDEAAGRKMSPNTVLIMSIGIVAVVAMLHVFGKLYRTSN >KQL10931 pep chromosome:Setaria_italica_v2.0:IV:28423925:28425633:1 gene:SETIT_006946mg transcript:KQL10931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTLMQCLLAISLLSFAAHAQLSTMFYASSCPNLQGIVRAAMTQAINNEPRMGASLLRLFFHDCFVQGCDASILLDAGGEKSAGPNANSVRGYEVIDTIKKNVEAACPGVVSCADIVALAARDGTNLLGGPTWNVPLGRRDSTTASASLANQNLPPPTASLGTLISLFGRQGLSARDMTALSGAHTIGQARCTTFRGRIYGDTDINASFAALRQQTCPRSGGDGNLAPMDLQTPARFDNAYYTNLLSQRGLFHSDQELFNGGSQDALVRQYSSSPSQFNSDFVAAMIKMGNIGAGNAGQIRRNCRVVNS >KQL11884 pep chromosome:Setaria_italica_v2.0:IV:37389382:37391203:-1 gene:SETIT_006648mg transcript:KQL11884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPRSPVVPAAALLALTVALAFASAAAAARHHSYSTVPAQAERADDEVRRMYAAWKSEHGRRPRAGNCDMAGDEERRLRLEVFRDNLRFIDAHNAEADAGLHSFRLGLTPFADLTLEEFRGRVLGFSGAARRAGSTRYLRRHGDQLPDAVDWRQLGAVTDVKNQEQCGGCWAFSAVAAMEGINEIVTGNLVSLSEQELIDCDSQDNGCNGGYMQNAFEFVINNGGIDTEADYPYTGTDGTCDANKLNEKVVTIDDFVNVATNNETALQEAVASQPVSVAIDAGGRVFQHYQSGIFNGICGTKLDHGVTAVGYGSEDGKDFWIVKNSWSADWGEGGYIRMARNVPSPRGKCGIAMDASYPVKYSSDNNPTARAAMTVLEMVVA >KQL11532 pep chromosome:Setaria_italica_v2.0:IV:34537432:34540747:-1 gene:SETIT_006523mg transcript:KQL11532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAMDVSQPSALPADGDKAAAAKGSGVGKGLERYYKQQIHDVDLGLLQKIKDLRRQEAQRNVINSRVKFCRDELRLLQEPASHVGEVVKVMSKSKVLVKVHPEGKYIVDVDKSIDIAKLMPSTRVALRSGSYMLHVILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPTGVILYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMGSGGGRGDSEVQRTMLELLNQLDGFEATHKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNETSRFDILKIHSRRMNLMRDIDLKKIAAKMNGASGAELKAICTEAGMFALRERRVHVTQEDFDMAVAKVMKKDTEKNMSLRKLWK >KQL09742 pep chromosome:Setaria_italica_v2.0:IV:5620524:5621903:1 gene:SETIT_008402mg transcript:KQL09742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACRPLHLEIASRTLVRASRPPPGFPAVLAVSNLDLVLGPFPIFLISVYSAPTAGLDAVLNAVRGAFPTYLSSFFPFAGRIVRDPETKIPDVQCNNAGAELVVADADVPLAAVDFREVDRSLGLIQIPFDASIPMSLQLVRFACGGFALTIGTTHLLADGRAFTVLLSALAEMVRDGGLSREPLFDRSLFKPRSPPWYSASLDAEFARFTPQTMINPVLTAAMKRRLYRIEAADLAALQAAASPPGGRRRASRFVALCAHVWKLLARAVGDADPSCRMAWIVDGRKQVEPSDGALDRYIGNVVTYTSREASVAELMRAPLHDVAAAVRAAIAGVMTAARFQELADWMEERKAAFRDGGKWTEEVNLGLGSPALVMSGLLPFPIDGDLGFGKPRLVVPWVRHGRLGSAAVTVVPSPSGDGSWFVGATRMWPRLWEVVEFDPLLKPAADLGLATPAGPRL >KQL10659 pep chromosome:Setaria_italica_v2.0:IV:22316148:22317126:1 gene:SETIT_007741mg transcript:KQL10659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKLEGAHITVLRKRLFSGEHCHQCHRSGHGMEGCVLLSRITFQEYELKLKPMVAGVQGASGSEIISQ >KQL12318 pep chromosome:Setaria_italica_v2.0:IV:39988354:39989837:1 gene:SETIT_006662mg transcript:KQL12318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSDLNTHLPPRKRLLAGLRTAATSCDAAEPLPSPLASGDLAARLREMALAANASASSPEEMIEAARAAAEAATDAAAAARAAAAEKAAVAAKARAAARAAMEFLDSFSRTGTSRNGLQFKVKSRKKHVQVKMLYRPNGTLGDAPKPRRRKQSDEEIARNLHRAMNSSPRISHTGPPKRPRGKAGDGKDGAAPGEGNREGRDDACNGSSTHAPIEAGGGLSNGCCEEKSSETTVPLFKHGGPDDGGDDPSRHAAKSRGDVTDNGVGTGNLSAARKVKIKRKELLLNQHNNKETEEPKETEPSVQPIGQDEPKTNGNGTEKHGSPADAKAPGDGTASMKITSVWKFKKLKTSHCSSDSKVLHNVCSSPTAAETSASVKAD >KQL12319 pep chromosome:Setaria_italica_v2.0:IV:39988354:39990156:1 gene:SETIT_006662mg transcript:KQL12319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSDLNTHLPPRKRLLAGLRTAATSCDAAEPLPSPLASGDLAARLREMALAANASASSPEEMIEAARAAAEAATDAAAAARAAAAEKAAVAAKARAAARAAMEFLDSFSRTGTSRNGLQFKVKSRKKHVQVKMLYRPNGTLGDAPKPRRRKQSDEEIARNLHRAMNSSPRISHTGPPKRPRGKAGDGKDGAAPGEGNREGRDDACNGSSTHAPIEAGGGLSNGCCEEKSSETTVPLFKHGGPDDGGDDPSRHAAKSRGDVTDNGVGTGNLSAARKVKIKRKELLLNQHNNKETEEPKETEPSVQPIGQDEPKTNGNGTEKHGSPADAKAPGDGTASMKITSVWKFKKLKTSHCSSDSKVLHNVCSSPTAAETSASVKAD >KQL09926 pep chromosome:Setaria_italica_v2.0:IV:7494916:7496478:1 gene:SETIT_006649mg transcript:KQL09926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVCRKFFIGGIHSTYTRFSRCVPDFIYYENSMVGSSFKRLRRYGVPDARLRCKRKLGVLQVEHEPRIHLLQRADDGSASRRYGKARDPPLLVIHHQEQLLRRDYQHRFLVPGEVKRQRLEAPLHRLRRPDAEAVPAPPHPLRSVALEVRPHGLLDDVVRRPSHHRHRRAEVDHAPSLPVLAAGEHLRPDPVEPAGAQADADEANDLGGPVPRVARDVGAETEESLAVRLAAVEEAVGEPREPAGHGRRADDAGEHAVVLEMVGLVSATEGDVLVHRVVVGQLVGAQVALDGHVPRAVEAESACFPVELGPVGRPAERVGAGDEVVSRRGGRVEETVALRGVRVAPHALHPYQVARGVDDLEEVVLVPGAGADADDQGFWQA >KQL09892 pep chromosome:Setaria_italica_v2.0:IV:7151246:7154001:-1 gene:SETIT_008811mg transcript:KQL09892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIVSEGGRRGDSGSSGFGRRGQRSGPAAGGGGSFSSSSVVLGSDSRAAVLQCDEMEESDGEVQSSIRGPLDTLDALQEALPKNRKRLPKFYNGKSSSRANAAEPAQDTANPGKPSPKKRKGFLSFSFSWNKSRSKGSSSSRRRDAAATSSKNWRKTLPPSPTTSSSQRNSRGGGNEHARRWLHRRSSTSRGVSASPPSASLRSQLIAVQMQSVCLEDVEESTASLSSREKRRKSLR >KQL11077 pep chromosome:Setaria_italica_v2.0:IV:30545263:30549811:1 gene:SETIT_006666mg transcript:KQL11077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMMPQRHRAAAKKPMWIIVLLSMVCLVLIGAYVFPPRRYSKCYLFASSVCTPSFKDWLPSMGRRERTDEEIISSVVIRDILSMPMPVSKNPKIALMFLTPGSLPFEKLWEKFLQGHEGRYSIYVHASREKPVHTSSLFAGRDIHSDAVVWGLISMVDAEKRLLANALEDVDNQFFVLLSDSCVPLHSFDYVYNYLMGTNVSFVDCFKDPGPHGSGRYSIEMFPEINERDFRKGAQWFAVTRRHALMILADSLYYKKFKLYCKPAEGRNCIADEHYLPTLFNMVDPGGISNWSITHVDWSEGKWHPRSYSAADVTYDLLKNITAIDENFHVTSDDKKLVMQKPCLWNGSKRPCYLFARKFNPEALDNLLKLFTSYTSV >KQL10571 pep chromosome:Setaria_italica_v2.0:IV:18610711:18610833:-1 gene:SETIT_008900mg transcript:KQL10571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTTDNNDEALNMPIVQAHFDHVHTIRRPT >KQL09357 pep chromosome:Setaria_italica_v2.0:IV:2675106:2679517:-1 gene:SETIT_009064mg transcript:KQL09357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQLSGAGVAAVAFTNKSLATLRVCSSRRSARSLVVRAATVVAPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQTKPQGGEVVAVGAGRTIGDKKIETGAEVVYSKYAGTEVEFNDSKHLILKEDDIIGILETDDVKDMKPLNDRVLIKVAEAEETTPGGLILTETTKEKPSIGTVVAVGPGSLDDEGKRQPLSVPAGSTVLYSKYAGSEFKGADGTGYIVLRASDVMAVLS >KQL09356 pep chromosome:Setaria_italica_v2.0:IV:2675432:2678952:-1 gene:SETIT_009064mg transcript:KQL09356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQLSGAGVAAVAFTNKSLATLRVCSSRRSARSLVVRAATVVAPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQTKPQGGEVVAVGAGRTIGDKKIEVSIKTGAEVVYSKYAGTEVEFNDSKHLILKEDDIIGILETDDVKDMKPLNDRVLIKVAEAEETTPGGLILTETTKEKPSIGTVVAVGPGSLDDEGKRQPLSVPAGSTVLYSKYAGSEFKGADGTGYIVLRASDVMAVLS >KQL09359 pep chromosome:Setaria_italica_v2.0:IV:2678245:2679517:-1 gene:SETIT_009064mg transcript:KQL09359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQLSGAGVAAVAFTNKSLATLRVCSSRRSARSLVVRAATVVAPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQTKPQGGEVVAVGAGRTIGDKKIEVSIKASQCTNKNTLLTFNGKLSRE >KQL09358 pep chromosome:Setaria_italica_v2.0:IV:2675106:2679255:-1 gene:SETIT_009064mg transcript:KQL09358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVQLSGAGVAAVAFTNKSLATLRVCSSRRSARSLVVRAATVVAPKYTSLKPLGDRVLVKLGAAEEKTVGGILLPSTAQTKPQGGEVVAVGAGRTIGDKKIEVSIKTGAEVVYSKYAGTEVEFNDSKHLILKEDDIIGILETDDVKDMKPLNDRVLIKVAEAEETTPGGLILTETTKEKPSIGTVVAVGPGSLDDEGKRQPLSVPAGSTVLYSKYAGSEFKGADGTGYIVLRASDVMAVLS >KQL11075 pep chromosome:Setaria_italica_v2.0:IV:30532100:30532731:1 gene:SETIT_007800mg transcript:KQL11075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQMERWSRDMGGRISKKLRSERSAARPWQNLKKYSSESSIYCQSLHLIMSPD >KQL10013 pep chromosome:Setaria_italica_v2.0:IV:8419483:8420023:-1 gene:SETIT_009143mg transcript:KQL10013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKLKPDFLLWRHFTEHLLCNGHGGCWSNWGGGAWSRGGVGLS >KQL10572 pep chromosome:Setaria_italica_v2.0:IV:18709153:18709563:1 gene:SETIT_009032mg transcript:KQL10572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIVMSLGYLWAGMAIMDGCIYRINDKKYS >KQL09371 pep chromosome:Setaria_italica_v2.0:IV:2871339:2873342:-1 gene:SETIT_006011mg transcript:KQL09371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVRYSQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKISKDYLRLLKYGDSLYRCKCLKVAALGRMCTVVKRISPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEDDMKLVMDMKAEAMKTITQAGDPNEEGVLLTMSTLTDDGVMAVKNAACERLLEQRVDVKMKSKKMVDCLNRFHVAVPKPRDNKERPICIPPAVLEARANAAAKEKKKLEKDFEQENGGAGVYSTSLKKHYILANDEWKEDILPEILDGHNVADFLDPDILERCEELEREEGLRLEEQAAQDAFEIDGHELTEEQKEILSQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRKFTTKRMGRQLSSMGVDPSAAIARSKSRGRKRERSLSRAAADGDDMEVDGQQSNKKLRLRSRSRSKSRAPEEVIPGEGFKDSEQKKKAIKKAKDATRNRNKEARRGEADRVIPTLKPKHLFSGKRTLGKTSRR >KQL09370 pep chromosome:Setaria_italica_v2.0:IV:2870822:2874296:-1 gene:SETIT_006011mg transcript:KQL09370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVRYSQQNFYEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNIIAKISKDYLRLLKYGDSLYRCKCLKVAALGRMCTVVKRISPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLVIVCNKTDLQPLEGLSEDDMKLVMDMKAEAMKTITQAGDPNEEGVLLTMSTLTDDGVMAVKNAACERLLEQRVDVKMKSKKMVDCLNRFHVAVPKPRDNKERPICIPPAVLEARANAAAKEKKKLEKDFEQENGGAGVYSTSLKKHYILANDEWKEDILPEILDGHNVADFLDPDILERCEELEREEGLRLEEQAAQDAFEIDGHELTEEQKEILSQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRKFTTKRMGRQLSSMGVDPSAAIARSKSRGRKRERSLSRAAADGDDMEVDGQQSNKKLRLRSRSRSKSRAPEEVIPGEGFKDSEQKKKAIKKAKDATRNRNKEARRGEADRVIPTLKPKHLFSGKRTLGKTSRR >KQL09648 pep chromosome:Setaria_italica_v2.0:IV:4889735:4893289:-1 gene:SETIT_0082802mg transcript:KQL09648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVSEGRMAAPGYPLLEAAYDLHHRAHHLADQNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQTHTFHMPFGEMTITMQDAAMILGLPLHGQPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTYGFGSECPWPWHRDDALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQVEWKPYDREQLSHIVFSPTCYRDRELWRCMTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHLWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTMTRHGTQPEHAPLHDYMGQQLARLSNEAGVIMEHAVGEGDSLLRAFAERVRKSCRRMAQRMNCMTSSDAHDGGNVQGTSSGSRRTALVTTPRAATPSTAAGPSRRSRGKEPASPQESEDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSQ >KQL09999 pep chromosome:Setaria_italica_v2.0:IV:8182428:8183678:1 gene:SETIT_008802mg transcript:KQL09999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKGSKHALHGGCGPPPERRRSSGRLASSRRHSVALRSAASLGTLSLDRAAAAAAAAVGVSFDDDAFRGEGDEGMMKAGNDVVDGAAGKLLGPPRPVAVPLPKRQKRVVAAPPPPRVAPRTPTKTPVRGPPEEINVWELMKGLDDEEEGEGDDSDQEEEDDDGRGHCVERKAQSAPGSPVFDPEILDAFRKALDELTPDSPLPDFVKRGGDGFEKREIQMFPGIVRARVSLLQEKINAKTKLAKKASPPPESAGRVVVYLTSLRGIRQTYEDCWSTSAILRSYGVRVDERDLSMHAGFKDELRAALGGDGDGEGRRPQPLPQVFVDGRHLGGAEEVRRLHEGGELAAALETCDAAPLAKGGAALQTCNSCGGVRFVPCDVCSGSCKVFVEDGAVAGAFRRCPECNENGLVRCSVC >KQL09679 pep chromosome:Setaria_italica_v2.0:IV:5139787:5142238:-1 gene:SETIT_008812mg transcript:KQL09679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGAGGEGGIQLLLTILADGEEQARQLGELADDPRSRAEHYRGAARRLQCTLGKAVAVAKAVEAASGGSSRGTDRSDSPRSVDESSGATAAVEPQERQVIGNKRRKGLPRWTAKFRVPDANLEATPDDGFSWRKYGQKDILGAKFPRGYYRCTYRTAQGCPATKQVQRSDADLCVFDVTYQGEHTCHQKQRHVAVATAHGGGGGSQSPPPSCHEQGDPSMQLLMGFKDALKVETGPGALHQDRDYYDHGPASAPAAPFSFPSVPFGEAAAAAAAFSAPGSSYFPAPPHHCPAVAGSYDVYDYEAGPGPRVRGGAEPSELGEVVSRATGCDYSSLYHHAGLDPHLPFAPFGGPSHGPFQ >KQL10941 pep chromosome:Setaria_italica_v2.0:IV:28608647:28612091:1 gene:SETIT_005767mg transcript:KQL10941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPMLSLLAHILLLASSSVSIYHVNDDLSVLLSFKYYIKNDPGQALSSWDATDNGTNNLSTDFCRWNGIACNDRRHPGRVTAIRLRGSDLGGTISPHIGNLTQLQHIDLSQNNLLGEIPVSLGSCTELRTMNLSVNQLSGSLFPDPLGHLSKLKVFNVRHNNLTGVIPITLSNLTALTNLSVESNNLQGQIPSWLCNLTSLAAIDLAHNGLDGHIPSELGKLTKVATLMIQGNQLEGPVPASIFNISSIQHLDLRFNQLSGSLPLDIGFQLPNILFFATSGNQFEGLIPASFSNASALESFLIRRNQYHGLIPRDIGIQCNLKLFSVGYNQFQTSQPREWDFLTSLNNCSKLQLLDLEQNNFVGIMPVTIANLSKELTWLTIARNQIAGTIAELGMFQKLIMLTLGDNLFTGTLPVDIGRLRSLQILDLSHNRFEGQIPQSLGNITGLSKLSISNNYLNGTIPTSLGKLRALASMDISCNFLSGRIPQEILSIPALTILLKLSNNALSGSIPTQIGHLNNLIAIDLSMNKLTGEIPDDIGSCVQLQLLHLQGNILQGKLPKGLEKLGVLENLDLSRNNLIGPIPEFLERIKTLNHLNLSFNNLSGPVPDTGIFCNATILSLTGNSMLCGGPPFLQFPSCASVHSQHRMHLLIVFCIPGTLIFLLFSITTYCCIKRRVKPNIVYQENLFLSEAHERISYNQLRSATQSFSPANLIGSGSFGNVYIGTFIIDENLATVAIKVINLNLRGASRSFLTECNALRRIRHRNLVKVITVCSGLDHNGDEFKALVLEFICNGSLDEWLHPNTMENSMTAKRLSLMRRLCIALDVAVALEYLHHNIEPPIVHSDIKPSNILLDDDLVAHVTDFGLAKIMYAEVWNKNHGGNESSSLAVKGTIGYVPPYGSGYGVSTDGDIYSYGVLLLEMLTGKRPTDSFDHGTSLVSYVKMAYPNKLLEILDVSATYSGNTQDVIDLVIHPMFGIALACCQDSPRQRIKMDYVVEELKAIKKACAALMGS >KQL09002 pep chromosome:Setaria_italica_v2.0:IV:655804:657556:-1 gene:SETIT_006500mg transcript:KQL09002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHLTLSLIMCVRAQMVEDEQMAELVREQCGVPTRATEGEGDEGGAAVHIWPEAPGFAWDGADPQRMYGAVPPSLSQFDAAGDPFLAAPPPGVVDDAAAAGWQYATAAGSEPSVVAAQQEQHGMARAGGTDSGSEGSDLQGDPEDDGDGDAQGRGGGDGGKGGSKRQQCKNLEAERKRRKKLNDALYKLRSLVPNITKMDRASILGDAIDYIVGLQNQVKALQDDLEDPADGAPDVLLDHLPPASLVGLENDDSPRASLQQPPASKRARAAAAAPAEEEKGHDMEPQVEVQQVEANGFFLQVLCEHKPGRFVRLMDAVNALGLDITNVNVTSYKTLVLNVLRVVRRDNEVTVQADRVRDSLLEVTRESYGVWSAPAPVVGSSGSIDVKLDCVDVKLDGGVDVQAPAAAATTEDHYGGYNHLLQYLA >KQL10940 pep chromosome:Setaria_italica_v2.0:IV:28581641:28582380:-1 gene:SETIT_008231mg transcript:KQL10940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRQCLLLTDLPMEVLIINAGDVAATSFKPMEDLGNLRQSIGSWNHVAMLRIYMEGLEWLDPDRYYNLLALLVGVANPQACTLKGIADFFAGTDPSLNKLSCATAALMLYRNNAGAADDNIAKMYICRLKCEDDSVAIESAGPKKLRNDGCWVCRKEAAYLVNSVTWRMHGEPHPPALVRGDFPCARGDCGKVKGWEQTALFCNEDCRIRHEMVEFEKIMGIDQ >KQL09042 pep chromosome:Setaria_italica_v2.0:IV:828807:829712:-1 gene:SETIT_007294mg transcript:KQL09042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAPAPQAAATTTTTKSVKAPTAKQYIIAALMAVLVAAIIVTVFFIVLSPARILISVADASSHKTADGVELALTLSVNNTSTRARVEYDNIYIDVSNSTVAGGLSFRADISAAVAGPLLQPKLKETRINATLFSALKGEPLMVRAFTRNMTNNAFAVTVTAVARFKVGFAQTRLYDIKVTCAPVSFFPAAAELSGVPPAPLPVLCG >KQL10339 pep chromosome:Setaria_italica_v2.0:IV:13124427:13126255:-1 gene:SETIT_006327mg transcript:KQL10339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAASTPVKSVLVLVSLATLLLVLVSAVEGYNGRHAAAHSAVARRSRLGITRHVHHRRTATPHRYVLAEKSNRTDGGAKNRSAPATSNATSQTPAPPAAPSKHHRSHKHRVRNWIIGFVVGSLAGVISGLVLSVLFRLTLNCIRGRYRSRSGVTIFTPKLIRRAEHLAFLEKEDGLASLAVIGRGGCGEVYKAQLPVEREGVEPRFIAIKKIKKQNSDTPNNLSDEESRQLDKWSRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEYMKNGSLHHALKADAAGDGSSSGAVGLSWPARLRVAVGVAEGLEYLHVSHRPQIIHRDLKPANILLDDDLEPRIADFGLAKAMPEQHTHVTASNLAGTWGYIAPEYHQTLKFTAKCDVYSFGVILAVLATGKEPSDDYFKEVDEVGIVRWLRRVVQSGNHAEAIDAAIAGAGYDEQIVLVLRIAVFCTQDDPKERPSAKDVRCMLSQINN >KQL10860 pep chromosome:Setaria_italica_v2.0:IV:27160183:27160713:-1 gene:SETIT_007369mg transcript:KQL10860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGRPCAATTSREKRQPTRCMPMCDSARIGFQPQIPFFSSSAVAAPRVTAAKLWRLSRRAASPASLPSSSSSLSSSFPCFSCLGIDRNGDIRDKRRDSGRGRGSPGTTSTPSSSLSSSFPCLSCSDLDRNGDIRDKRRDSGRGSTRTASTPPAASSPSSSAGSAREVVRRVARAW >KQL12051 pep chromosome:Setaria_italica_v2.0:IV:38471590:38471954:1 gene:SETIT_009121mg transcript:KQL12051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYRVTPGARSRSSTLPPPPSTHPPRSAWPPPPSPPARSSRK >KQL10652 pep chromosome:Setaria_italica_v2.0:IV:22104501:22109747:-1 gene:SETIT_006674mg transcript:KQL10652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTCLGGHGSWRRFARPLLLHLVVVVAAAAVFQPGVVVHGASSAGDQKISSIFIFGDSIVDPGNNNNRFTEAKANFPPYGQDFPGGVATGRFSNGLVPGDLLASKLGIKELLPPFLSDDLDLQDLLTGVAFACGGSGYDPLTSKLATTLSSTDQLELFREYKEKLRGLVGEEEMARVISEGVFLTVMGANDILNNYFALPLRRHEYDLPSYVEFLVSSAINFTKTLNNMGAKRIGFVGVPPLGCCPSQITLGGSPSRQCEPLRNQASRLFNSRISQEIEILNAERSVSGSKIAYFDIYYNLLDLIQNPALYGFKDVSEGCCGSTVLNAAIFIAYHSACPNVIDYIFWDGFHPTEKAYNIVVDKLIQQNMKYLM >KQL12143 pep chromosome:Setaria_italica_v2.0:IV:39113991:39114414:-1 gene:SETIT_008858mg transcript:KQL12143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSKRINFFSYVGMLDSGCFVIAAYTDKGQIWIPVCFHQTSAFDL >KQL09460 pep chromosome:Setaria_italica_v2.0:IV:3529385:3534646:-1 gene:SETIT_006656mg transcript:KQL09460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKKKFEGKDVEDHELFFMCSQFIAWMSVSIVCVTGAWFEILYNPIMCFCWILKILLEIPHLQYKLTVLKTMASFMEIISFCTATTFGLFVVVAAVVGQLGNKRKMNSIEAPLILNDEKAESEITNMVKKGHTLWELLTFKFVNPMMDIGVTRQLDFTDLLELPPELRATSCYDRLLSSWTVEYQNHHDNSSLLRAMFCSYGWTYLRLGLLKVLNDSINFVSPLLLNKFIRFLQQGSGGMDGYILAISLGLTSIIKSFLDSQYSFRLAKLKLMLRSSIMGIVYRKCLCLSLAERSRFSEGEIQTFMSVDADRTINLCNSLHDAWSLPLQIGVALYLLYTQVNYAFLSGLAITIILIPVNKWISTRIAGATEKMMKQKDRR >KQL10472 pep chromosome:Setaria_italica_v2.0:IV:15859628:15860039:1 gene:SETIT_008636mg transcript:KQL10472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYNLEWVCQPNNPSHPKCRGNKFSWPELVGKKGAEAKAVILKENPYISSVVYAPQDAIVTDNYCCNRVRLLMNCDAGCDYENATVFQVPIVG >KQL12263 pep chromosome:Setaria_italica_v2.0:IV:39716907:39719094:1 gene:SETIT_006641mg transcript:KQL12263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAWACAVDRAAGMADSAKRFFLSFRRPQQQPQPQQPPPPHPNPNPVDILKRLQREAFHDIMQLRERQEKVERVLSLFKASKVGPFAEESTQVKGVINVAGSLSRDSSEAESGISSRFVFQTTVRKKDSLFAELVTDHKYMSQENDHIGSPLVLSKVMYLSNINDSLSVAAVPVGARCDDFSTDPNLREEHWLASLRSSLRPPLLIKSHKYAGGLILRSKNFAVSLAELISVAGNPLNAGEASRFLTEFGQFSYQMPDDIKLTLSAAWHGPSVIPRKRKPTAGGCIDVELKIDEDSRIGAWIEINRKSNPRLLRWALTLSDTPEDDLGWGLSLRRGTEAKPQRFQVEGFLNLHLGKKAAVQPGIVFNVDGRRCTPALVFQSSWSL >KQL10217 pep chromosome:Setaria_italica_v2.0:IV:10990357:10997719:-1 gene:SETIT_005675mg transcript:KQL10217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCGGLGVRPINGGRGGAVEQRESGENGPPVSPPERVFTPPVPRMAAWRRMSSPGPLRCSTPRSVGYDDGEDSDRYFSPQSEFSQDTSDTDSVSTSISRMYTFRLGTSSPLDSPIKKLGVGDTSPPSRRGAHSPCYPWNSGRVSDDVDSSFLNSLPRDDEQNKDAVQPVDFESRHIWYPPPPQDEGDDLENGFLKYDDDDDDDDNDVCDGKVFEDVNHDCGDGSDDDLLGTKGKHNIAHKESLRNALHGHFRALVSQLLQGHGIDPVDGWSDIVASLAWQAATFVRPDTSKGGSMDPTDYVKVKCVASGNPNDSAFIKGVVCSKNVKHKRMVSKHENPRLLLLGGALEHQKVANKLASINSILEQEKEYLKNAVAKIEAQHPHVLLVEKSIPLDAQQLLSKDISLVLNVKRSLLERISRCTGAQIASSVENVTSTMVGHCQTFWIERVTECSSPRMSNKKTFKTLMFFDGCPRRLGCTILLRGKFYEELKKVKLAVQFALFAAYHLSLETSYLADEGATLPKVPSDLQLEKQIFSLSNCQQNFNDLQTIADRTSGNGCIMPCLDGSSASEQHSRADLINAEYVESHPRADSSQEAYTGETIDTYPYSTKASLYDSCIPPVGITVQTCARKRTPADHNGHIGELVGQMLKVESDLDNGWNHISDEDRVAIRDHNENHNFTTSDNPQSILVSLSVACPLRGIVCKQSQLFRIKFYGTFDKPLGRYFREDLFVQTSCCESCKEPAESHVRCYTHQQGSLTISVRTLASVKLPGEHDGKVWMWHRCLRCKPKDGIPPATQRVIMSDAARGLSFGKFLELSFSNHTTANRIASCGHSLQRDCLRFYGLGSMVAVFHYSPVDILSVNLPPSVLDFSYPTAQDWIIKEAADVASRKEHLYKEIVAKLDCIEQIIKAQNVTMKSGLYKHVADLKELVKVEWKKYDVLSGFSNIDNLQTFGPTLDVLDLNHLRRELALDIHIWDRRLYMMHSLTKENCHTVPTETQCSEKLTESVLEEPKDVISSKHGTINNSLEQTHPNALELPTDSAKPFPMREQNNTSGSHLGLKTNTAADVSLHSGSAGISSALGPCKIQSEVVLADELKAETMLQKSQSSASNISDRIDLAWTGSGQFVIDPSQCSMETVPVIPAALKDDPAYQKVIAPIRIKSFDSAVSSRNRLSPVDDSNASIRRSYSQRPPKAIEKTGRARSPTFMSNLSLSGVVDGEGRLLLSQNDSDVVVPIYDDEPSSMIAHAMTVPEYHSFLLPLMDENNESSVLNYVAHKSSRSTFDGSMRSYGSDQSQAITGSDSKDNHLTVSFEDEDSNSVDKAKFSVTCYFAKQFDAIRRKCCPDELDYIRSLSRCKRWSAQGGKSNVYFAKTLDDRFVIKQVTRTELDSFEDYAVEYFKYLTESVSSGSPTCLTKILGLYQITAKNLRDGKELKMDVMVMENLFFKRKVSRIYDLKGSLRSRYNPDTSGKNKVLLDLNLLETLHTKPIFLGSKAKRRLERAVWNDTSFLASVDVMDYSLLVGIDEERKELVMGIIDYLRQYTWDKQLETWVKASAFLGGSKDGLPTIISPDQYKKRFRKAMSKYFLTLPDQWSP >KQL11430 pep chromosome:Setaria_italica_v2.0:IV:33525782:33526272:1 gene:SETIT_007738mg transcript:KQL11430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDDDAFALKFSSVAKSLKTLVDVEAANNTAIANAIQIAFAKELEAQNQDAERRDQLFDVLEKFNHVPL >KQL09404 pep chromosome:Setaria_italica_v2.0:IV:3147115:3155375:-1 gene:SETIT_005710mg transcript:KQL09404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDDDVEEDDVDFNPFLREGSPSETSSSLTSEAECEEHSSDKRPSSGMYLQNSIVNENTSDCALPQTRLSSKDVVKEIFPEKTSTQVNIENDEGRLNELEKEVLPGEATCSPTVQNSHHPLEASEEDAICRRTRARYSLANYSLEELETFLQESDDDGDLQNVDEEEEYRKFLASVLSGVGDDTQTCQGDENQDEDDNDADFELEIEEALESDGDENTENYDDTNGKKGKDGRRPQTRQRRPFTELPGAGSYRHESNKTHLRPILPYVPTAVVTPAHAFGWQYPTQNALFPSSLALVTCAPLVCGFTDQQLGQLHVLIYEHAQLLIQTFSLCVLDPSKQDVANNIKKMIVELVGSRDQALARSAPHRHIFFESQHLSSSLVSSESSQCQWMPLIKSPVISILDVAPLQFAHGYLSDVATAVVKHRKSHVDGTADKNRRKEPLFPSPVINNCKEASNISQDTSVSSGQLQQKKSLAATLLENTKKDTVALVPADIARLAQRFFSLFNFALFPHKPPPAAMANRVLFTDAEDRLLALGIQEYNNDWGAIQKRFLPCKSNHQIFVRQKNRSSSKAPDNPVKEVRRMKTSPLTVEEKECIREGLRIFKNDWTSVWKFVVPHRDPSLLQRQWRVASGVQKSYTKSDAEKERRRTYEAKRRKLRASMPDSRVVRGQEADYNASEDVENDDDSYVNEAFLEDTDSRSINMMPCQLPLPRNAGKNMMMQSGTGLDEECGTTCGYIEPQKGSGTRLDVTTSYIPLMFCPSDGPSYVRAPSTTAPVVSCGSLDQLQASQVSKEKGSCVVKLAPDLPPVNLPPSVRVLSQVAFHPNATHFHGTSDNAAPVPPLTYTESAYRQLNLFPDHRANSRLQQNGISNENTTEDGAEQDLQMHPLLFQYPQDVVSSYSHPVQNLINQSRKYDLFPFEKVQVERSNNQISGSTENGTANANTIDFHPLLQRTEVEVHDEVPEGDYHQSVNQSEYNMRQAPVDDQSTPGQASTSPSERETSIDLNIHLCSPTEIKDSNDLRGTFSKSNVQDEVSRKDKAGIPELEVLNSCSHHCIEEPNEESMQGIVMEQEELSDSEEDSQHVEFECEEMDDSEEEQVQGTEASPIQKKGISASVICGEFHVNNDQSQIQQGSVQMDKQGTSSMQKLQVSSRSARAMLKPETAKRTGFRVNQRSSSSRTTETSRSKTRSSKQPQGQSSAERKPNDSRRTRKTPAPR >KQL09156 pep chromosome:Setaria_italica_v2.0:IV:1581255:1581659:1 gene:SETIT_008973mg transcript:KQL09156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYCKSECINTVLFLYGSLYPYFIFVLLVIITMLHCLLGTNAKSSWGTHSSVICEPILVL >KQL10798 pep chromosome:Setaria_italica_v2.0:IV:26014916:26020271:1 gene:SETIT_006068mg transcript:KQL10798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTLEHSGYTGKSLNSNNLGNWTTLRPIVMDSRACPTQVDVLSQDRTNILSRGIKRKWIDLSLGLGNTSSSSDSSKQSMGTCCTLSSAAKDRDDGSSIDLDLNFQFSLCNEGTSKLGTNACNAKTAPEKQPVTDLQLSLTVGPAESVVTNVDLNMGSQNHTVLFQSYNMASVPTVDEGSTSARWKSGGKLLPYLLPVGSNQSHGPLPMSPAIQLPKSSVACSSGVSSPQQRCTSTKICSQPGCSKGARGSSGRCISHGGGRRCQREGCKRGAEGKTIFCKSHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCRNEGCKKAARGKSGLCIKHGGGKRCQKENCTKSAEGQSGFCIAHGGGRRCKHEGCTKGAQGSTNFCKSHGGGKRCTHPNCSKGAEGSTLFCKGHGGGKRCGAEGCPKSVHGGTEFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCQFAGCSKSAQGSTDFCKAHGGGKRCLWGQPGSELGTSSTPCERFSRGKNGLCVAHNALVEDSRVRGGETLGAMGSPGPVVNNMVSHGSISRTADGEAFNPFNFSERASNILRPAETLPQAPISAPEGRVRGGNIVAILSTSMNLGKQLSYNAEASTSAGNWL >KQL10799 pep chromosome:Setaria_italica_v2.0:IV:26015198:26020271:1 gene:SETIT_006068mg transcript:KQL10799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTLEHSGYTGKSLNSNNLGNWTTLRPIVMDSRACPTQVDVLSQDRTNILSRGIKRKWIDLSLGLGNTSSSSDSSKQSMGTCCTLSSAAKDRDDGSSIDLDLNFQFSLCNEGTSKLGTNACNAKTAPEKQPVTDLQLSLTVGPAESVVTNVDLNMGSQNHTVLFQSYNMASVPTVDEGSTSARWKSGGKLLPYLLPVGSNQSHGPLPMSPAIQLPKSSVACSSGVSSPQQRCTSTKICSQPGCSKGARGSSGRCISHGGGRRCQREGCKRGAEGKTIFCKSHGGGRRCEHLGCTKSAEGRTDFCIAHGGGRRCRNEGCKKAARGKSGLCIKHGGGKRCQKENCTKSAEGQSGFCIAHGGGRRCKHEGCTKGAQGSTNFCKSHGGGKRCTHPNCSKGAEGSTLFCKGHGGGKRCGAEGCPKSVHGGTEFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCQFAGCSKSAQGSTDFCKAHGGGKRCLWGQPGSELGTSSTPCERFSRGKNGLCVAHNALVEDSRVRGGETLGAMGSPGPVVNNMVSHGSISRTADGEAFNPFNFSERASNILRPAETLPQAPISAPEGRVRGGNIVAILSTSMNLGKQLSYNAEASTSAGNWL >KQL09947 pep chromosome:Setaria_italica_v2.0:IV:7638242:7640747:-1 gene:SETIT_006695mg transcript:KQL09947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAVAAAAALPGPLFACRGAAGAVSSLRRGGAYGGLGALPGAADGGEPFLGARSAAPMAAAGRSCTSRVVEAIRASSPTRCPAVDEYEAWTSKHPSALGSFDKITAAARGKRIVMFMDYDGTLSPIVTDPDMAFMTAEMRTSVRDVAKHFPTAIVTGRCVEKVCSFVGLSELYYAGSHGMDIKGPSSKDDQTVLLQPAREFLPVIDKAFRALEEETRATPGARVEHNKFCLSVHFRCVDEKSWSSLAEQVKAVLRDFPELKLTEGRKVLEIRPSIMWDKGKAVEFLLKSLGFDDRSDVLPVYIGDDRTDEDAFKVLKKRGQGLGILVSKCPKETDASYSLQDPIEVMEFLVRLVEWKRLRSPSAARPRAP >KQL12343 pep chromosome:Setaria_italica_v2.0:IV:40086402:40092334:-1 gene:SETIT_005718mg transcript:KQL12343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCALEVIDSRVQSTSHIQCNRSLDQNIGSHFSKGYLICKPVSVHPRNGDTALTILPLGHDSDWKSAPFFSDNQEVNKVISLTSGDLGTEGLELLSAQPRLPYCLGQLNERNMHDQDDSSKPVSIGNPCGGSTLSQSRQRKTICNSSVAVPPCHVVAKKFSRPATTILRSDSDILHDDDKPPKRSYRKKGNKKGKHHGRTTRKKLNLASGITFDENTYGVSPVEVLPTNLLVDKFSEITSSASLLVKKAHLGGENNNYVKCGTMLNLCTLGTDEMDGSECAGSSNDAAGGRLSCTCVPYLNDESNTTDSSEFDGSTFTERGSGEESKSYQKLPCACVYNPDHATIDSFSSKWSNDNSGNYSVHVGASLTMKDENSHDHSQLVASTGLNGARKCQLIRSHLSATHAEDTNNPLESRSCSSKDVTDSCSHTERVQCSSEACSSKASLQISSLTRNRKSRRTPSYSDLTVSNRVTSANRHKNNGKDSFAVWQKVERNDKIISKAGHSSNLPIQEKSAHENSNKGVYDDRTRNRAKHNHNRKACKQESPNGTVELESTKEEQDALDSCQTFSGPIYKKQAPFLRQQRSSSSKQGSQLSRNYYTPRNSIPKVPKDSLQQEELPVLQLVHANDIGDRSTSNFCSAGEVVLTGDCSNCPTEGNESSQSGIDVAPSVSCNLVPDLTLQAASDDSHISDPYSLCPQDKGVYTSWSSNNSCTDPCAAEIEEVRCVKLTTEGNSQESCKWYSAAGHLSQKWVPVGKKEASSVIHLDISEASVVEGIVSANDISDSVGPVSANGEDSKLASEMTPKLNSSEHVDLRSQAYNVIETGYSKTKEAISCVYTAQQRAEDIQLRIGRPLADFEHFVNSASPVLHCNPCPAGCKSYLQKCVEDGLCFHQTPYITLRSVWQWYEEPGCYGLEVKAQDFRRSKGLWNSHCQFTTYFVPYLSAVQLFRQTKRTNGGSIVKETMDRDMPCETSPYENLPPIFAKLLPQQSNPTNRSSTLHTEDDEQLKSGELIFEFFESEQPYSRRQLFDKVNELIAGVKSVNGQIFGDPKGLELSLHDLHPASWYCVAWYPIYRIPDGKLQAAFLTYHSLGHWIRRSSSADEAVVLPVIGLQSYNDKAERWFEMRKSDSEGGEPAELQYSEASEVLKERVRALNEAAAGMSRAKVVKNGPIMSRNRHPDYEFFLSRYR >KQL10002 pep chromosome:Setaria_italica_v2.0:IV:8282621:8282932:-1 gene:SETIT_007713mg transcript:KQL10002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQETFHRATSRTMVGRTPIDAARSIWYSDWRQHLPIKNNSRKRIRPYLRLNDFLFFLPADALEQRKVCAGDGGH >KQL11749 pep chromosome:Setaria_italica_v2.0:IV:36554546:36555729:1 gene:SETIT_008281mg transcript:KQL11749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVQKYKRNYRRFTWTNRTANGAPVLTRRRNTPKDECSFYSVIAALESNMRLQRGFVDNLSIKYLKQKRKKVLSADVEMTKFGKVEQLLKILKEIGVPSEHIYNLILQRQCPVCPMHKISGYKKYDVSKPMHIRAALERHLKHGPMVAVFWISANYDECMKNGAVYRFIHIRGKVRISHEVCVVNFGIEEDVPFLLFRLDIAGWPEFGRVEMQSVIELYGINM >KQL12002 pep chromosome:Setaria_italica_v2.0:IV:38086674:38088604:-1 gene:SETIT_006820mg transcript:KQL12002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGWRVGSKVQRWGRSCLRGFSSHAIPSQLENVGFIGLGNMGSHMARNLITAGYKVTVHDINENSMKKFSDDGIPTKQSPLEVSESSDVVITMLPSSSHVLEVYNGTNGLLGAGSRLGPWLYIDSSTVDPQTSRKISAAISRCHLKENKGYAENPMILDAPVSGGVPAAEAGKLTFMVGGLEEAYLAAKPLLLSMGKRAIYCGGAGNGSASFVNSASSLIILDVQMIPTHS >KQL12000 pep chromosome:Setaria_italica_v2.0:IV:38084961:38087906:-1 gene:SETIT_006820mg transcript:KQL12000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHMARNLITAGYKVTVHDINENSMKKFSDDGIPTKQSPLEVSESSDVVITMLPSSSHVLEVYNGTNGLLGAGSRLGPWLYIDSSTVDPQTSRKISAAISRCHLKENKGYAENPMILDAPVSGGVPAAEAGKLTFMVGGLEEAYLAAKPLLLSMGKRAIYCGGAGNGSAAKICNNMAMAISMLGVSEAFALGQNLGIKASTLTDIFNCSSARCWSSDTYNPVPGVMEGVPSSRNYDGGFTSKLMAKDLDLAMASASGVGFKCPLGSEALEIYRKLSKDGCELKDFSCAFRHYYAGKDEE >KQL12001 pep chromosome:Setaria_italica_v2.0:IV:38084736:38088604:-1 gene:SETIT_006820mg transcript:KQL12001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFGWRVGSKVQRWGRSCLRGFSSHAIPSQLENVGFIGLGNMGSHMARNLITAGYKVTVHDINENSMKKFSDDGIPTKQSPLEVSESSDVVITMLPSSSHVLEVYNGTNGLLGAGSRLGPWLYIDSSTVDPQTSRKISAAISRCHLKENKGYAENPMILDAPVSGGVPAAEAGKLTFMVGGLEEAYLAAKPLLLSMGKRAIYCGGAGNGSAAKICNNMAMAISMLGVSEAFALGQNLGIKASTLTDIFNCSSARCWSSDTYNPVPGVMEGVPSSRNYDGGFTSKLMAKDLDLAMASASGVGFKCPLGSEALEIYRKLSKDGCELKDFSCAFRHYYAGKDEE >KQL10601 pep chromosome:Setaria_italica_v2.0:IV:19873254:19874738:-1 gene:SETIT_007385mg transcript:KQL10601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCQLLSSPLLMWQSSMVDMFALYPSCSPIPSHLCPSRNHSLLPVSSFSIAAVEAPLRHCSCNSSLEQHPPKGAHGGGRLHPRAAEEERRSSTAYSAGLGGGGGGVAGTADDEELPCDLMHLSMVLSSRSRVSPTVRQMRDGGAALRHGGECKNNSGGSCFGKCVSCA >KQL11500 pep chromosome:Setaria_italica_v2.0:IV:34236006:34238480:1 gene:SETIT_007399mg transcript:KQL11500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLIITRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK >KQL11501 pep chromosome:Setaria_italica_v2.0:IV:34236006:34238480:1 gene:SETIT_007399mg transcript:KQL11501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLIITRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKKNVARLIAAQDSLVEAAKEEASSISNIIAQATK >KQL11499 pep chromosome:Setaria_italica_v2.0:IV:34236367:34237673:1 gene:SETIT_007399mg transcript:KQL11499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFVLLISRQGKVRLTKWYSPYTQKERTKVIRELSGLIITRGPKLCNFVEWRGYKVVYRRYASLYFCMCIDADDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKCRFPIYAGLLHTG >KQL08942 pep chromosome:Setaria_italica_v2.0:IV:384164:387477:1 gene:SETIT_008134mg transcript:KQL08942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMVQVLTVVMLLLSKLALNTGMRPVVLIVYRNLVAAAAVAPLAFVLEREMWKKLNLVVLGWISLNATFGVVLAMGLYYYGLQATNPAYSVVFLNLIPIVTFVIAIVLGEERVALGKWPGRMMLVGTLTCLGGTMIVSLLKGRLLHLWPTHLLKSSHGGAPASGAHHDGMVAGTLFLCGSCLSYALWFIVQASLGKIFPSKYWATTLTCLSGSFQSFVVGVILNHDRADWRLKWDLQLLTIVYSGVFNTGITFVLISWAVSRRGPIYPPMFNSLSLIITTVMDSVLLGTNIHVGGVVGMLLITVGLYAFLWGKGKELQAAMVMKKPEQEEEGGVEMA >KQL11932 pep chromosome:Setaria_italica_v2.0:IV:37719588:37725059:1 gene:SETIT_005970mg transcript:KQL11932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRAAAIRRGAAAAFSTVSEKPETGLYGFDVLRTAKGFRRFVDDAIQRSDELVAHVARLPSSPEIVRAMDEISNTVCTVIDSAELCRNTHPDREFVEEADKASMRIYEHLQYLNTNTTLYNAILKAEGESVLLTEEARRAATTLRIDFEKGGIHLPEDKLERVNQLNLEIAQLGRKFSENVMNKPGFVDVYPASRVPRNMQPHFKSVYRSNSGSFEKQSNWMSTAKQKGLRIVTDSGNLSSALRWISDEEVRKQVYIVGNSEPRENIGVLNELIDARDELAKTMGCKSYADFAIRPNMAASADVVMSFLGDLSNIVRHKADEEFNVIKDFKRRICNEKSADLEPWDEDYFIGMMKSSVHSLDASVIAKYFPLSQCLKGLNVLVESLFGATFHQIPMRDGESWHPDVIKLSLCHPDEGDLGFMYLDLYSRKGKYSGCAHFAVQGGRKLSDSNYQLPIIALVCNFSSSSGITARLNHGDVETLFHEFGHALHSLLSRTDYQHFSGTRVALDVAETPSNLFECYAWDYRVLKTFALDETTGDAIPEKLVKALNASRNMFPATELQRQIFYSIKDLTLFGEQASKPMDTISTVADLRRKHTSWKCAEGTHSHTRFTHLINYGAGYYSYLYARCFATTIWQEICQEDPLSRSAGSAIREKFLQHGGSKDPSSLLKDFAGDAIIRNSGAGIIPDISSLCKEIGL >KQL11908 pep chromosome:Setaria_italica_v2.0:IV:37548612:37552076:1 gene:SETIT_006286mg transcript:KQL11908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLTLVHILISFTTCAEALRRADFPPGFVFGTASSAYQYEGAVNEGQRGPTIWDTLTRRPGRVIDFSNADVAVDHYHRYKEDVDLMKDIGMDAYRFSISWSRIFPNGTGEPNEEGLNYYNSLIDAVLDKGMQPYVTLFHWDLPQALEDRYGGWLNSQIVDDFVHYASTCFKEFGDRVKHWITFNEPHNFAIEGYDLGIQAPGRCSILSHMFCRDGKSSTEPYIVAHNILLAHAGAFHTYKQHFKNEQGGLIGIALDSKWYEPWTDVDEDTQAAARAMDFELGWFLDPLMFGHYPPSMQKLAGDRLPQFSTQASKLVSGSLDFVGINHYTTLYVRNDRMQIRKLVMNDASTDSATIPTAYRHGKRIGETAASRWLHIVPWGMFKLMKHIKEKYGNPPVIVTENGMDDANLPFSRLEDVLQDDKRIRYHNDYMSNLLDAIRKEGCNVHGYFVWSLLDNWEWNSGYTVRFGLYYIDYNNNLTRIPKASVEWFSRFLAQETAII >KQL10403 pep chromosome:Setaria_italica_v2.0:IV:14178891:14181623:1 gene:SETIT_007995mg transcript:KQL10403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGSFLCSLVLLQVCIVQLSLISSQLTGAQVGDNPLCQDPIQRARLMSSHGRDDFNGHNADLIFDGELIQLQSPRDVATSPKQRRPYTRRDSPQGSAALIAGCRIQAKERRIEPLAHTMEHTHGERGPLRLLARLFGGSKTTGLGKEACEADGGAPPMSPMRGDACELGWNPPVLPVRGDANEVVDTGDEYASNDGGVAAVVGGEAAVEEEEGLLHPDGEDSCGTKDSSGIGGDVECPECGKFFKNDKSLFGHLQSHPNRGYKGLGCDMAGDGNAVKIKRKVHSDGPRAVKGEYE >KQL10108 pep chromosome:Setaria_italica_v2.0:IV:9589149:9589409:-1 gene:SETIT_0083201mg transcript:KQL10108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRQEETQAQDVSETEHTISFLSQSNRPSLQNSANPSLSSCLYQCIATLKGNSFYVSSLAIDGDSLYIASSNGHIRLWPLDMAMD >KQL10110 pep chromosome:Setaria_italica_v2.0:IV:9618303:9619409:1 gene:SETIT_008823mg transcript:KQL10110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMASTTSPLLVLLSAMAVAALVAAPVSSADLPSTFADIASKIPNPWSAFRNLTGCHFGEEQQGVAKLKDYLAHFGYLPESSGFTDIFDADLEEAIKVYQRNFGLNITGVMDATTVAQMMAPRCGVADVINGTSTMGASSHAHGRNLYSYFPGSPSWPRSKKRLTYAITDTAATTIDRATLSRVFARAFARWSAATTLNFTETASARDADITIGFHAGDHGDGEAFDGPLGTLAHAFSPTDGRFHLDAAEAWVAAGDVSRASSDVAVDLESVAVHEIGHLLGLGHSSEPGAIMYPTITSRTRKVDLASDDVVGIQSLYGGNPNFKGVPTTTPATSSRDMDSGAAGARSRPWDALVAVLAAAAGLVVAL >KQL08989 pep chromosome:Setaria_italica_v2.0:IV:593946:595483:-1 gene:SETIT_006375mg transcript:KQL08989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEPPPSPAGAAAEPEAEAERLLALAEAELSAGRLRAARKHARRAALLDPASPRAALLATATNVLVADASSHSAVLLLPDDDSASPISASALRRHFKSLVKSLRIHPDTAAAASPAVAAAVEEALGRAAEAYEAITAPALPTFWTTCAGCRLLLEFERKYVGYRLTCPSCRRKFLAAEVPPPPEAEPTVPSPPPPAKKPKTEKLEMTLAEMQLQLAKKRGAKASKSKSRVEVEEEDGEEVVAENNHSDLMAVVDSDFYNFDADRGERCFKRGQLWALYGDDDGMPRHYALVDGVLRGSRFRVQIRWLDGGEGKPCGRFKVGRAETLHSVNVFSHLVACERVARELYRVYPRKASVWAFHADKESNTGRGKYEIVVFLSGYSGQYGASFGYLEKVEGFRSIFTRRDVGTHAVHSLQEGDLGALSHQIPARKVTKGEGSALPPGDCWELDPASLPPELLRLEPQK >KQL09808 pep chromosome:Setaria_italica_v2.0:IV:6224962:6225318:-1 gene:SETIT_007814mg transcript:KQL09808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTSNKKTRLPINYKQNFLISPSAMFRFGTLNIFSALH >KQL10882 pep chromosome:Setaria_italica_v2.0:IV:27651910:27652853:1 gene:SETIT_008191mg transcript:KQL10882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYFGKIPPEMWEEFKQQKNTPKAKVWSKQNTAKAMKTTENPHHLGAGGYAAKIAKWRREQEEGRRAGLLDMFAGLDQRSRNWVLARILTVTPNGKTPPPEYTWVQVVTMLDESCKINIPTNEGIEVLDDAMN >KQL11534 pep chromosome:Setaria_italica_v2.0:IV:34560951:34561385:1 gene:SETIT_007980mg transcript:KQL11534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRAPAIDRHHQLLIDSGRVLMLIGSIVITRQLVVREAASSNAEHVLLAFILWLLGAAFVMLWIVARRFPGSRPPAPLLRARCATTGSAAVSESAWQSTRPRWQSTGGQLASVHPLSLRRSAAAYPTYRMQFLFNRHILTSPT >KQL09220 pep chromosome:Setaria_italica_v2.0:IV:1934047:1935069:-1 gene:SETIT_007551mg transcript:KQL09220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPATRKSLDEQRALAKQCSREAALAGAKAAAIATVATAIPTLASVRMSRWAKANINPAGQALIISTVAGMAYFIAADKKVLSLARQHSYENAPEHLRDTSYRGTGRHHPSFFRP >KQL09328 pep chromosome:Setaria_italica_v2.0:IV:2554160:2554658:1 gene:SETIT_007642mg transcript:KQL09328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHESLHHVPDGIRKSIGRSRLLPRVEFRSSTEPLGRTFHWNIFPCRGCATASPAKKFGTLKAYRCYGFLCGKVLMILGSHFVSFIHAIKFL >KQL11787 pep chromosome:Setaria_italica_v2.0:IV:36768022:36771379:1 gene:SETIT_005798mg transcript:KQL11787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALFVVLRKVALSLGERTLERIGAEVVEAAPVLTDFEHSLKQIEDELLILQAFVGQVGPHKVGDKAFDAWLDQVRDVAHEVEDIIDEHAYLAAQAVDTGSFFKRKFRQIKNFVAWQKFTSQISQVEARIQRLGEMRNRYGISVGEIHRSNKLRHPNQLFMSESSYLTDNSEIVGHADEIERLTQWLLEEKQERTLIAIFGMGGLGKSTIASSAYKNQKISRTFDCHAWVTVSQTYQVEELLREIINQLIDQRASVAGGFMTMSRLRLVEVIQSYLRDKKYFIVLDDVWDRDAWLFLNYAFVRNNSGSKVLITTRRMDVSSLAVDKYVIELKTLPYAESWELFCKRAFYASKDNICPENLRSLAEKIVAKCQGLPLAIVTIGSTLSYREFEEQEWAFFYNQLSWQLANNPELSWISNVLNMSLNDLPSYLRICFLYCSLYPEDYRIRRKLISKLWIAEGLVEDREDGTTMEEVAKYYLTELTQRCLLRVTECNACGRPRTFVMHDLVREVTSVIAKKEKFGIAYRDAGITKVSHEARRLSIQKGAQSLNSLTSSRLRSFILFDPEVPSSWIYDVLSQFRLLRVLCLRFANIEQVPGMVTELYNLRYLDFSHTKVKHIPVSFKKLRNLQVLDLRFSYVEELPLEITTLINLRHLYVIVIHDLQQRSLDCFSAVKILGNICHLKNLQTLHIVSANKDLVSQLGNLTLMRSLAIMKVRQSCIAELWSSLTKMPNLSRLLISACDMDEVLDLRMLKPLPNLKFLWLSGKLAEGVLPLIFSKFEKLALLKMDWSGLKKDPIISFSHMLNLVDLRLYGTYGGEQLTFCAEWFPKLNSLQLADMEHLNWIDIEDGTMIGLYHLELIGLRNLKAVPVGIKYIRKLHQMFLTDMPNEFIQRLQGSDDDIVQHIPNVHIFDSSDSKAVNTFHFVPYLAKKYGPGATKYAPTYWGSSSI >KQL09325 pep chromosome:Setaria_italica_v2.0:IV:2540947:2541511:1 gene:SETIT_009120mg transcript:KQL09325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGYRYSRYQEQEGSAIVQGGTDQDEDKHRGTVQRVPRQCCILLRICLGLTEEQKGCRELGLLLDLDNG >KQL11134 pep chromosome:Setaria_italica_v2.0:IV:31045475:31046281:-1 gene:SETIT_007325mg transcript:KQL11134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGAEFPTMSHGAPTAAPGANGTAPHSPWQSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLDADRDRRAGEAGADGEKGSAAGAARPAAGFQEHVVVIMAGEEMPTFLAMPAASRAVVELGAVPTAPGSCGGSGSGEEKKAPVQDDSGCAEQTSSQPRVGADDDAGAVSRSRESSSSSSSATTLQENLQ >KQL10670 pep chromosome:Setaria_italica_v2.0:IV:22882317:22883638:-1 gene:SETIT_008466mg transcript:KQL10670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGCEYKRRRWYVRYVGESNGGGPVPPTLPVPLCRCGAQAEVKQSRHPKTAGRAFDVCKWTFDPLPAALCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRRWVPPPPNPPRMTEEEKQEAACRRVRDPPMCKCGIPAKLMRPNLGDPPKFIPFFQCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESGEAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKSRKQQEIKEKIRKKYDVPIPDDDLLWGNIYQDMVHETGVEPEGLYARETIIKYWRQNRSKEKIGGSCRRRGSWRNKG >KQL09393 pep chromosome:Setaria_italica_v2.0:IV:3095711:3098286:1 gene:SETIT_007181mg transcript:KQL09393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDYVLEGSKGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIKTSDAEKRRLAKASLAYNCESKNCPHFRKLFPEYVEKYNQQQQLENTAAESEPRENPAAPAPSPAVQQAPVVANRAQPVAEAHREKNQKKAVPFWMVLVMFSVFGAVMALPLMQL >KQL09392 pep chromosome:Setaria_italica_v2.0:IV:3094376:3098286:1 gene:SETIT_007181mg transcript:KQL09392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKGCLKRLQKEYHALCKEPPPQIVARPLPNDILEWHYVLEGSKGTPFEGGYYYGKLKFPPDYPFKPPSISMTTPSGRFAPHKRICLSMSDFHPESWNPMWSVASILTGLLSFMMDDALTTGSIKTSDAEKRRLAKASLAYNCESKNCPHFRKLFPEYVEKYNQQQQLENTAAESEPRENPAAPAPSPAVQQAPVVANRAQPVAEAHREKNQKKAVPFWMVLVMFSVFGAVMALPLMQL >KQL10115 pep chromosome:Setaria_italica_v2.0:IV:9670177:9673239:1 gene:SETIT_007148mg transcript:KQL10115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLSGRIAAAKEGAFFLHESQAAVGRLAEKLPKSATAPGEASAPPSPDVLPEILRHSVPIKGTPPPAEPSLSASSRWALPPSSTEAAGVHPDALNPLRSYVSLPQATFGPKRWQLPNEQPNYLASTANERRRDRSPPPMDPEKLKAVIAGYSQIGKAFLAATILVLGGATAALFYTADKLQLHSVDDVKTKGKDALQPRADMIKERIAPLRSWAEEMSRKWHFEGDKEAKEKSIIIRELSRSLGSRTPPS >KQL09255 pep chromosome:Setaria_italica_v2.0:IV:2158416:2159174:1 gene:SETIT_007655mg transcript:KQL09255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGTSNYINLNVSTSLRKNATLSHSPVLGAVKWFFTCSHMQSSSDLVLLCDLIKSIVHRIAALDREIFIRVSSSKVTTIIIFVANLA >KQL11154 pep chromosome:Setaria_italica_v2.0:IV:31214901:31218299:1 gene:SETIT_006574mg transcript:KQL11154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTVTTTTATAATTTTTRALRHWGVLGCCCGHRAVDDAADVVASSSREEEPAVALPGKEIKGGEGGQQLPRLVRFEELPDYLRDNEFIHAHYRCEWSVRDALRSAFAWHNETLNVWSHLGGFFLFLYLAVAKETGRVAAAAARAAPGIVTFVLTSANASWETRSNSSSLVASTDFSSVLLGSVSSSGHGAAHHLVPRWPRTVFLGGAMTCLAVSAAAHLLACHSHRFNRLFWQLDYAGIAVMIVASFFPPVYYAFLGDAVTQLLYLSLITLLGLLVVGLMLSPARSSPRLRHLRAGLFVCMALSGAVPALHALAINWAHRECHLALALELVMGLVYAAGAGFYVSRVPERWRPGRFDCVGHSHQIFHVLVLVGALTHYAATAILINWREAMAAAAGAAAALL >KQL12055 pep chromosome:Setaria_italica_v2.0:IV:38480338:38485323:1 gene:SETIT_005866mg transcript:KQL12055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVDASEFGAEGFDPKQWINAALDARHPSEPLDRFLADAEERLRAAADDAAAALERDSGDALRRVPLACRDALRLRDDAVALRAHLASVLQSLSLAEGSSAESIAALAQIDTVKQRMEAAYTTLQDAAGLAQLSQSVEDVFSSGNLPKAAETLATMRHCLSAVGEVAEFANVRKQLEVLEERLDEMVQPRLVDALSNRKVDAVQDLRGILIRIERFKSLEAQYTKIHVKPLKKLWEDFDLKQRASRVDMEKLGGESINALSFSSWLPNFYDETLLYLEQEWKWCLTAFPEEYKSLVPKVLVETMSELNSSFVSRVNIATGDVVPETRSVSKGILDVLSGDLPKSTKLQNKHLQALIELHNMTGTFARNIQHLFSESDLAVVLNTLKAIYSPYETFKARYGQMERAILSAEMAGIDIRGAVPRGVGAQGIELSETVRRMEESIPQMIVLLEAAVERCISLTGGSEADELVVALDDIMLQYISNLQEALKSLRIVCGLESDALKKDAGLEKKEAQRLVDVSEEEEWSIVQGALQILTVADCLTSRTSVFEASLRATLARIGTNFSLSGFVSSLDKSPAAIADENADLPLGGRAALDIAAIRLRDLPDKSKKLLTVLEQSKDPRFHALPLTSQRVAAFSDTVNDLVYDVLISKVRMRLSEVARLPIWSSVEEQGGLPLPSFSAYPQAYVTSVGEYLLTLPQQLEPLAEGISGNETGNDEAQFFATEWIFKVAEGATALFMEQLRGIHYITDRGAQQLAADIEYLNNVLSALSMPIPPFLSTFHACVSTPRDQVRGLIKSDGGSQLDLPTAHLVCKIRRISLD >KQL10094 pep chromosome:Setaria_italica_v2.0:IV:9519254:9525107:-1 gene:SETIT_006095mg transcript:KQL10094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLLLSSPRPLRSFHPLLSPTARRRHASFRGKPPAPPPPLPPITRGSRRLGVAVPRAAREAFDDGVRSQDRPPGVGRGGARRRAYRETQGESAVPPAAAVAPYVVPAGAVLVLSFVIWKVVQNMLPGKKNDQDSGESAPSGIMWSFAAGSNLATSTRNAEKESRKNLNKFYKELRTLKTVNLAGRQFGDEGLFFLAESLAYNKSAEEVDFAGNGITAVGIEAFDGILQINTALKTLNLSGNDIGDEGAKCLSDILIENVGIQKLLLNSINIGDEGAKAISNMLKKNKSIRILQLSNNAIEYSGFVSIAEALLENNSIRSLYLNGNYGGPLGASSLARGVLGNKSLREIHLHGNGIGNEGIHELMSALSAHKGKITVVDIGNNNISPEGLRPVAEFIKRTKSLLWFSLYMNDIGDEGAEKIAEALKDNKTISTIDLGGNNIHSKGVSAIAETLKDNTVLTTLDLSYNPIGSDGVKALCDVLKFHGKIQTLKLGWCQIGVQGAEFISDCLKYNTTLSTLDLRANGLGDDGAICLARSFKIINESLTSLDLGFNEIRDDGAFALAQALKANEDLAVTSLNLGNNFFTKFGQIALSEARDHVYEMSEKEIDIYF >KQL08953 pep chromosome:Setaria_italica_v2.0:IV:422781:423805:-1 gene:SETIT_007372mg transcript:KQL08953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADSSSSSHCSSKQEMLMGFPVGYSEVPKLLLHLLFLLGHLRRLSSWLLRLAGLDVEGSSDADHHHPRWQYNHRDDDGAAAERLEKHSPAVRFDSLSSDGSAAGVEALPLPEGCCVCLGDFHGAAEVRRARGCRHVFHRACLDRWAAHGHRTCPLCRTPLLPPPLLLPLPLPPS >KQL12138 pep chromosome:Setaria_italica_v2.0:IV:39083009:39083486:1 gene:SETIT_007673mg transcript:KQL12138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKRSSGCAGGLCRVCRRQQRWFEAHKCGVLYNEIMASCEPEAGVIASCAPSSALSCAKSGSDGRDEWRRTGDAGGRTFFYY >KQL11788 pep chromosome:Setaria_italica_v2.0:IV:36773687:36774203:1 gene:SETIT_008913mg transcript:KQL11788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGVVNLAGEGIHHGVGSTSAHAMALATEMQDTPEKTAVEADATAAAASTTTVASMGRLAGRRDAIRGRLRLDRRNTCGADVSQVGSSRCSAAQARPGARRGTPARSGSCRARRRKKISLKESARRKGESGTIGGGASTQKYAGRWSF >KQL09299 pep chromosome:Setaria_italica_v2.0:IV:2414880:2416332:1 gene:SETIT_007378mg transcript:KQL09299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNWSDSPTKNSLKLRDSAPSPLRSDRLRKMEVEPATVETVHHQSLHAKLKHYVTPPKKNYVTLAPPATGGAMGSAATSLGFLLLTVNSIMAIHRSWGDAMAAIFVIGSYAVLVLLFYCLRWLERAPPGSAAEDRARAGVWVTTMFLTAMISCGVVPVMPGLMAAAVWLIVR >KQL09630 pep chromosome:Setaria_italica_v2.0:IV:4727665:4729658:-1 gene:SETIT_006808mg transcript:KQL09630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTAAARVQALAETGVSRLPSQYIQPPEHRPAPPSSPAPATPFVPVVDLSSPTAVDAVRAACADWGAFHVVGHGVPGKLLDAVRGAGLAFFRAPMEDKLRFACDPARGAAAEGYGSRMLANDDSVLDWRDYFDHHTLPVSRRDPAHWPDFVPGYRDTIVKYSDSMKDLAQRLLQIISGSLNLPPSYMEEAVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGLEVLKDGMWIPVAPLPDGILVILADQTEIITNGRYKSSVHRAVVNAECARLSVATFYDPSKSRKICTAPQLVSKDEPQKYRDVVYGDYVSTWYSKGPDGKRNIDTLLIQQ >KQL11712 pep chromosome:Setaria_italica_v2.0:IV:36238023:36246027:-1 gene:SETIT_006268mg transcript:KQL11712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPGPGDQGEASQLQPPPPLEWRFAQVFGERAAGEDVQEVDIISAIEFDKSGDHLATGDKGGRVVLFERTDARDSESRRELERQDVPITRHPECRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQTANNALSLLSTNDKTIKYWKVQEKKIKRVSVMNLDTSQSVGRSTASASTSSSKAPLPNGGCSDKFNCLNTDLSFPPGGYPSLRLPVVTSLEANPVARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEINSQSFNIVDVKPTNMEDLTEVITCAEFHPTHCNTLAYSSSKGSIRLIDLRQSALCDNHSKIFEEHEASRSFFTEIIASISDIKFSRDGRHILSRDYMTLKLWDLNMDSGPVSTFQVHEHLRPRLCDLYENDSIFDKFECCLSGDGLRVATGSYGNIFRVFGCTPGSIEATTLEASRNPMRRQIANPTRPTRTLTSFTRGVRRGGENQGVDANGNSLDFSTKLLHLAWHPTENSIACAAANSLYMYYA >KQL12214 pep chromosome:Setaria_italica_v2.0:IV:39456042:39457282:-1 gene:SETIT_007647mg transcript:KQL12214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQDAVQGLGPSVKANLVLGAESFAISSESGILSEQLSTMKEKSMVILKEYITKHNAPNDVPDESTEGESDDEGEALVKNPPKKSKRQK >KQL11118 pep chromosome:Setaria_italica_v2.0:IV:30900956:30905830:-1 gene:SETIT_008708mg transcript:KQL11118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAAGGHTPPRPSAASGGASPPPPPPPPPPPPPRGWLAGLVSGAGRILAAVLGPEPTASVSGSGSGSIASDAASDGDSPSASCSPASVCTSIDLSIQSNEIFSLTDNGNSPFFPVRNDQLNQGEKETVLKDYAGSLAIISEIEPKDAIMQLLMQETYSRSECRKFIKIIQERVLDSDSGDIDTGGFALTGARKVGRQAVDGYSSFSPTESSPATSSLQMHRCDNSVAVGTIPKLADTDQSPFIQNSKNIQPVLKRNYSVREDAYGELRRVRPKINGSPLNLSKFKQVDIIRNHPAASSHEELTARDPNASRDEKKLLTDVMGANNLTYPNVISKVESADEILDVPNKPSAVTPQLFDSSFSQSGKDQKGFGATTLNKCSSQEDIEAAPSLPMGLQLQNGSKNRRRRQPNSQKNTPTPTRSPAKGPRQKSNDIIVKSEMDLLEQSRLVLTEQEPELGDVPVKRPVGRPRKAR >KQL09193 pep chromosome:Setaria_italica_v2.0:IV:1796765:1798290:1 gene:SETIT_008604mg transcript:KQL09193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDLLPDLSDDEKRLLRLRGCLPERDEDIPRSYDDTIMPTVLHALHHYNARHPVRSFHSSSNLQSLQGGEFDVAKPLMEDGVHYRDEACHSSKKIKRFFAEVHYKAPECSDPSFLPPCIATVETCTIIEEPLAQYKRSCAFCHSYLDILHPVDDSEFVCGNGNEEDWN >KQL08973 pep chromosome:Setaria_italica_v2.0:IV:486803:492321:1 gene:SETIT_006302mg transcript:KQL08973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAPPADSPGERTPSDSRFRGGGGADRRSRAGGLRRNGEERVAFWRVRASGGAGRAGLSGIWGLIGRDYPARFRSLFPGEGSSHVETFHAKSRCATPFLVGRQRFVLGTAALRCLLFFSPHPLAGSPSPCSDSSPQIAPSLTPCCASSSAALSPATVGASNPGPLGPPSSRCGDPPVRCWIGFIVCWSEMDEVVPALATGEASVDAVTEQPLKVYIWDMDETLILLKSLLDGSYAGAFDGVKDREKSVEIGKRWENLILELCDEHFFYEEIENYNEPYLNALSEYDDGRDLTSYDFEADCFSSPYDDVNKKKLAYRHRAIGEKYAKGLEKILDKHMVKVWNDLYNLTDKYTDGWLSSAHKLLEEALGKSAAAPTTNSSSINCIVTSGSLIPSLAKCLLYRLNDVVSSENVYSSWEVGKLQCFKWIKERFDGPNVRFCAIGDGHEECSAAQVMKWPFIKIEFHPDAPHRFPGLDMPTVQTYMDVIYESSSKDG >KQL11097 pep chromosome:Setaria_italica_v2.0:IV:30687517:30690885:-1 gene:SETIT_006060mg transcript:KQL11097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGRDIYDVLAAIVPLYVAMFLAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMQYRFLAADSLQKLVILAALAVWHNVLSRYRRGAGGAASSLDWTITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSVIWYTLMLFLFEYRGAKALISEQFPPDVGASIASFRVDSDVVSLNGREALQADAEVGSDGRVHVVIRRSASASTTGHGAARSGVGGYRPYGASSAMTPRASNLTGVEIYSLQTSREPTPRGSSFNQSDFYAMFNGSKMASPLAQPGSGARAPGLDEQVANKFASGKQGSDATAYPAPNPGMMPPPRKKELGGSNSNSNKELHMFVWSSSASPVSEANLRNAVNHAASTDFAGVPPPAAPVDGATPKGVSGTVTPIKKVDAAAAANGDVEIEDGLKSPATGLGAKFPVSGSPYVAPRKKGADAPGLEEAAHPMPPASVMMRLILIMVWRKLIRNPNTYSSLVGLVWALVSFRWNIQMPSIIKGSISILSDAGLGMAMFSLGLFMALQPKIISCGKRVATFAMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNCHPQILSTAVIFGMLIALPITILYYVLLGI >KQL12210 pep chromosome:Setaria_italica_v2.0:IV:39437564:39439685:-1 gene:SETIT_007567mg transcript:KQL12210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAGYLARRAGQKERVRLLYRRALKDTLNWAVHRHLFYQDASDLRDKFEANRHVDNLDVIDRLIDDAEAQHRNFQHPDPYIVPWAPGGTKFTRNPPPPQGIEIIYNYGKED >KQL12156 pep chromosome:Setaria_italica_v2.0:IV:39153259:39154473:-1 gene:SETIT_008852mg transcript:KQL12156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRKNNDPLHEPAKKHKVRRRMATKNNDLLHAAFREGKTMSLLEKS >KQL11568 pep chromosome:Setaria_italica_v2.0:IV:34875780:34878738:-1 gene:SETIT_006255mg transcript:KQL11568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSERGRIMMRFADLVEQHAGELAALESLDAGKHPAVTMAVDIGNAAGSLRYFAGAADKIHGETLKMRGQFQGYTLREPLGVAGLIIPWNFPTTMFGIKVAPALAAGCTVVVKPAEQTPLSALYLADLAKQAGIPDGVINVVPGFGPTAGAAIASHMNVDIVSFTGSTEVGRLIMEASAKSNLKPVSLELGGKSPLIIFDDADLDMAVELAISANFFNKGEACVAASRVYVQDSIYDRFEKKLAERMKSWVVGDPLNDPRVDQGPQVDKAQYERVLSFIDRGKREGATLLTGGKPCGKKGYYIEPTIFTNVKEDMVIAKEEIFGPVMCLMKFKTVEEAIARANGTTYGLGAGVVTRDLDVANRVVRSVRAGLVWVNCYFAVSSDCPFGGRKMSGFGKDEGMHALDKFLAVKSVVTPLRDSPWL >KQL11569 pep chromosome:Setaria_italica_v2.0:IV:34875780:34879663:-1 gene:SETIT_006255mg transcript:KQL11569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGNGGVTDRPAAEEKNVGAPPFEMPEIRFTKLFINGCFVDAGSGRTFETRDPRTGDVIASVAEGDKEDVDLAVKAARDAFDHGEWPRMSGSERGRIMMRFADLVEQHAGELAALESLDAGKHPAVTMAVDIGNAAGSLRYFAGAADKIHGETLKMRGQFQGYTLREPLGVAGLIIPWNFPTTMFGIKVAPALAAGCTVVVKPAEQTPLSALYLADLAKQAGIPDGVINVVPGFGPTAGAAIASHMNVDIVSFTGSTEVGRLIMEASAKSNLKPVSLELGGKSPLIIFDDADLDMAVELAISANFFNKGEACVAASRVYVQDSIYDRFEKKLAERMKSWVVGDPLNDPRVDQGPQVDKAQYERVLSFIDRGKREGATLLTGGKPCGKKGYYIEPTIFTNVKEDMVIAKEEIFGPVMCLMKFKTVEEAIARANGTTYGLGAGVVTRDLDVANRVVRSVRAGLVWVNCYFAVSSDCPFGGRKMSGFGKDEGMHALDKFLAVKSVVTPLRDSPWL >KQL10770 pep chromosome:Setaria_italica_v2.0:IV:25408257:25410593:1 gene:SETIT_007817mg transcript:KQL10770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTLPWGKAFPNDQASYRKRDRYKKNLEEKMREIAKYGFLEFLANHGMSQTMADLIVSDGFVAPSSDGSIANVRYPVDDIQVDTLCRLFREVAISMAVTGHVFPMAPPLEYAWVQVIIVLDELYEIDIPTDEGIEVLDIVLNPLLETSRPSQELPLPDSNVDTKQSTLSHVQGANNKDEQPMLSPVREALNEEDRIATLEGNEQVDDFKNNDQTSRSTASPPPKRQTVPCMVSTYEKPPSADVDKFLNVLKKKASSSSEKSVTCSASRQKRKDQNLNFFASDDVPMDYEHGKPFLYRWDLLEDPWELNKLHGWIMNAMKEGIRAITAHVPTKDLHRLCCQQHLDVNLISLWCLMQWREEELMHGRFKVAYLDPSSIIQIEAVEAQAEKNAMKIKAHRDEMHKVLVYIAKCHKQPPSFLLCGYYVCEFIKNNRRYQTNPEDMSTIDSNYTKIEDKQIDNICTDMTRFILHEICHEDRAFFDKDGVLMADECTKLHRWV >KQL10414 pep chromosome:Setaria_italica_v2.0:IV:14277074:14277761:1 gene:SETIT_008712mg transcript:KQL10414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGCNGFLSNEILKLMPSIMLKDFMFGCGSRPGDRDLLDQIVAVMSLGRGSLSITSQGYRSINSSFSYCLPSLYGNARFLLFGAQPEESGLVQFTPMLHNPTAPSYYFVDLIGLRVGRKMLPIPASVFKDVGTILDSGTVVTYLPEAAYHALRSEFDAWVRRYAVSVSDFANLETCYVALLFGDGVTLELPLTGILYYIGSSKYCLAFAATKESG >KQL09007 pep chromosome:Setaria_italica_v2.0:IV:676182:676331:1 gene:SETIT_008338mg transcript:KQL09007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLPQWNSMNSIVWHNVWCSNSKLARRQWVHYQTLRFFTPDFIRFGLL >KQL10697 pep chromosome:Setaria_italica_v2.0:IV:23603896:23607592:1 gene:SETIT_007468mg transcript:KQL10697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGDLRSTIKKWNVIYPVYLNSKKTVAEGRRIAASKACPDPTCIEIADCCSHLKIPYAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLMIQIAELVPKHHGRTKKQESAPSSSASGSSKNTKGGKKKK >KQL10696 pep chromosome:Setaria_italica_v2.0:IV:23604009:23607592:1 gene:SETIT_007468mg transcript:KQL10696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGDLRSTIKKWNVIYPVYLNSKKTVAEGRRIAASKACPDPTCIEIADCCSHLKIPYAIELDKAYPRDFFQVGRVRVQLKKDDGSPVNPAIKTKKQLMIQIAELVPKHHGRTKKQESAPSSSASGSSKNTKGGKKKK >KQL12129 pep chromosome:Setaria_italica_v2.0:IV:38988801:38991488:1 gene:SETIT_006362mg transcript:KQL12129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISLSNCVLLHADGFHGNFLLRNATTAMAFTSRGTSIAASLSCPERPLLPTILFVECSGVDFTSEPPRIVRAVENIILFSVLIGPRLPDLSPCDYDYFVYRVGEVPSLQRLPSPLPTFQDEDAGLLLCGDDDFIVAALIATNKSGVYDLHRFDSRTWTWSQEVVPLVAPQAAFPFKITMNSIRLGYHLTSTVITIGGEGGTMGWVDLWRGILICDVLHRKPELRGVPLPVPMDLLTCNNGRGADIGGCGKSLRGIAVINQSLRFVHLEAIVSTTFKTLPTDSDSDEETAVPHSLMSDWVITTWSNSKMSTSWDDWIKDCEAKASHTTIQSKPKSKMLNSGLLSPEGANQVRALQNLWVSHPAPGINDDVVYLLARVRFRDPKAFVIALDARKNVLLGSAEFATEKKRGDGVMYFPSNISKYIAPEARVLPITKGTALIKYCSCKLNPAPRYGSGSTKMSSKLMRIPDH >KQL12130 pep chromosome:Setaria_italica_v2.0:IV:38988801:38991488:1 gene:SETIT_006362mg transcript:KQL12130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISLSNCVLLHADGFHGNFLLRNATTAMAFTSRGTSIAASLSCPERPLLPTILFVECSGVDFTSEPPRIVRAVENIILFSVLIGPRLPDLSPCDYDYFVYRVGEVPSLQRLPSPLPTFQDEDAGLLLCGDDDFIVAALIATNKSGVYDLHRFDSRTWTWSQEVVPLVAPQAAFPFKITMNSIRLGYHLTSTVITIGGEGGTMGWVDLWRGILICDVLHRKPELRGVPLPVPMDLLTCNNGRGADIGGCGKSLRGIAVINQSLRFVHLEAIVSTTFKTLPTDSDSDEETAVPHSLMSDWVITTWSNSKMSTSWDDWIKDCEAKASHTTIQSKPKSKMLNSGLLSPEGANQVRALQNLWVSHPAPGINDDVVYLLARVRFRDPKAFVIALDARKNVLLGSAEFATEKKRGDGVMYFPSNISKYIAPEARVLPITKGDEDGWEESSLHEGTENVEFPSLPFAYPGLIV >KQL10262 pep chromosome:Setaria_italica_v2.0:IV:12121584:12122477:1 gene:SETIT_007917mg transcript:KQL10262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMKVVIQHLAFAFVFTMFTTQQVWGETDCYKERDWVKHNCLITITILGPYVHPTRRCARAVKKSNMNCMRRIITHEDETKIILEKIVRLAHQCHKPVLESKRERPVAEAAGAQGQGPTTRPSP >KQL11049 pep chromosome:Setaria_italica_v2.0:IV:30364883:30368283:1 gene:SETIT_007068mg transcript:KQL11049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSKLRLITVDVTGTLIAYKGHLGDYYCMAAKSAGMPCPDYNRMHEGFKLAYTEMARQYPCFGFAAKMPNIEWWRTCVKNSFVKAGYDYDEETFEKIFRRIYSAFGSSAPYSAFPDAQPFLRWAREKGLTVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGVVGVEKPDPRIYKLALEMAGNIAPEEALHIGDSMRKDYIPARSIGMQALLLDRFKTADAESWRQSGATVLPDLVATQEWLTKNQNEEPKHETVAAQVLNRMSEKLKMGA >KQL11050 pep chromosome:Setaria_italica_v2.0:IV:30364621:30368283:1 gene:SETIT_007068mg transcript:KQL11050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSKLRLITVDVTGTLIAYKGHLGDYYCMAAKSAGMPCPDYNRMHEGFKLAYTEMARQYPCFGFAAKMPNIEWWRTCVKNSFVKAGYDYDEETFEKIFRRIYSAFGSSAPYSAFPDAQPFLRWAREKGLTVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGVVGVEKPDPRIYKLALEMAGNIAPEEALHIGDSMRKDYIPARSIGMQALLLDRFKTADAESWRQSGATVLPDLVATQEWLTKNQNEEPKHETVAAQVLNRMSEKLKMGA >KQL11051 pep chromosome:Setaria_italica_v2.0:IV:30364883:30368283:1 gene:SETIT_007068mg transcript:KQL11051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSKLRLITVDVTGTLIAYKGHLGDYYCMAAKSAGMPCPDYNRMHEGFKLAYTEMARQYPCFGFAAKMPNIEWWRTCVKNSFVKAGYDYDEETFEKIFRRIYSAFGSSAPYSAFPDAQPFLRWAREKGLTVGIVSNAEYRYKDVILPALGLNQGSEWDFGVFSGVVGVEKPDPRIYKLALEMAGNIAPEEALHIGDSMRKDYIPARSIGMQALLLDRFKTADAESWRQSGATVLPDLVATQEWLTKNQNEEPKHETVAAQVLNRMSEKLKMGA >KQL10196 pep chromosome:Setaria_italica_v2.0:IV:10705000:10709861:1 gene:SETIT_006201mg transcript:KQL10196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRCPAGAAHAAVTRQQSTPAPPGGHHSSSRGSPQQQASSRTRRQPRRRPADRSAESQRQGARRRGAAATVRTGPRGLGERAGEPRGRRAAMSVSCGLEWVVCLGCTRWAWKRLTYIGAYDSETWPAAAPAEFEPVPRLCRVVLANYDPDLSNPKFAPPGRGYADVDPKGIVKRATYDDVGNRCPPYLIYVDEAHKEIILAVRGLNLVRNADYKVLMDNKLGMQMFDGGYVHHGLLKAAQYILERETETLRDLLRRYGPEYKLIFTGHSLGSGIAALMTVLVVNNRKEFDNIPRSRIKCYALAPARCMSLNLAVKYADVVSSVVLQDDFLPRTPTPLEYIFGSIFCLPCLLFLICLRDTFKQDKRKFKDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVEGRFEHVVLSCSTTSDHAIAWIERESQKALELMKESENAMAPPPQQKMERLQSFEEEHKSALQRAKTLDVPHAADLSEEEIQEDGSTAPPSDTHSETTMEPKSAGRSSWDELMEKLFTRDEDGKLVVKKDMAKEIVVE >KQL09764 pep chromosome:Setaria_italica_v2.0:IV:5827930:5828376:-1 gene:SETIT_007855mg transcript:KQL09764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKHASSAWSDLPSDLLGLVLPRLHSLADRVRVGAVCRPWRSGARQHHPKLPPPMPWVALGDNAYLDVVNDAVRKLNLQVPWNASCGSADHLIFLTRASGWCFLAEPFTVAVLPVADLAMFIMEQTREEIFSLSYSLSLRVHKVVLL >KQL12136 pep chromosome:Setaria_italica_v2.0:IV:39064335:39067974:-1 gene:SETIT_006331mg transcript:KQL12136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAEASAPSATASSPLLLPRAPPRPEVGAEVRRQVGLAAPLVACSLLQYSLQVVSVMFAGHLGELSLSGASFANVTGFIVLLGMGSALDTFCGQSYGARQYDMLGTHTQRAIIALMITGVPLAFVLAFTGQILTALGQNPEISSEAGLYAQWLIPGLFAYGLLQCLTRFLQTQNIVQVLVVFSGLTLLLHIILCWFLVQTFGLGHKGAALATSISYWFNVALLAIYVKVSEAGRRSWHGWSREALNLNDVKVYLRLAIPSTFMTCLEYWAFEMVVLLAGFLPDPKLETSILSISLNTMWMVYTIPSGLSSVISIRVSNELGAGNPQAARLSVYISGIMCLTEGLFIAIVTVSVRDLWGYLYSNEKKVVKYVSMMMPILATSDFMDGIQCTLSGAARGCGWQKLCSLINLFAYYVVGLPSAITFAFVLKIGGKGLWLGIICAMAVQIVALIVMMLRTSWDEEAEKAQARVQCSGGSITSA >KQL10908 pep chromosome:Setaria_italica_v2.0:IV:27974566:27975477:-1 gene:SETIT_007530mg transcript:KQL10908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTSAFAALLLAMLAVHQLMAVPPVRAAVSCSGVMNHLSLCLEFLQGDADEPGDRCCKGVKAIYAAADTAAERQATCKCLKSAYNLVDADLYATQTLTGLCGVPLSYTISPDTDCSEIE >KQL11208 pep chromosome:Setaria_italica_v2.0:IV:31690446:31690610:-1 gene:SETIT_008148mg transcript:KQL11208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VICMVVHWIQLWSHLLPADQREPMVTGCNQLLTVAHDFYFQATGWQHNRRIANG >KQL11848 pep chromosome:Setaria_italica_v2.0:IV:37150602:37154182:1 gene:SETIT_006803mg transcript:KQL11848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGILAWAADVVGGAGASDDEADGARAAASAAMTPEQRLRAADLDARAASMRRAIQDLRLRVPPPHVAQRLPHLHAHSLASSAALALQLNAHSSTKEQAQQREITLQEENAAYEKALSDCRQKIQERQMEISQLQSNLKEMEVAEHNLKAQLEDALKEQEATQHKVSTTASETTENALLEAESSLNLKSKDLEEKKRELELLNNKVQTIEKEWSVVEEESLKNPTPEQREKVLERQLHSLIEQLTSKQAQAEILITDVRAKEKELERLNHLHRNLHSSANETSAPRNRFSRGLLSAPEDYYGAKAGRRLYQPDLRTEGQKRMMVLRSAIVLYVLLLHIVVFIKISV >KQL09342 pep chromosome:Setaria_italica_v2.0:IV:2605660:2605949:-1 gene:SETIT_008602mg transcript:KQL09342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHAGWTRVIVEKPFGKDLDSADELSAELGKLFEEEQLYRIDHYLGKELVQNLVNYVT >KQL10172 pep chromosome:Setaria_italica_v2.0:IV:10465094:10467723:-1 gene:SETIT_007079mg transcript:KQL10172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASIINEPRTSTYYEDGLCSIASSSGSYLSRAMECYTFCEVHSHSHTSGEEGISGGKLVMAGRQRLPAAAVSALLLLWIFSCDHVEASIDFANMTALEKHVEFFDRDKDGIITASEIFEGYVAIGCDAAFARASAASISAGVGPITSPVEAPLPHLSIYIEYIHRAMHGSDTGAYDAKGRFVPEKFEEIFTKHAKVRPDALTSMEIEEMILANRDPLDPQSWGAPEGEWGLIYKLASDKQGFLHKDSARGIYDGSVFYMLEEQRTSSRSDM >KQL12126 pep chromosome:Setaria_italica_v2.0:IV:38902826:38903529:1 gene:SETIT_007684mg transcript:KQL12126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRPHAPPSPTSLTGATPPPQVHLLPTLSTFYCPMSRAERRGREAPASCGPPWNYPSWIQLYLRPPPQALSAPHLSTPA >KQL08895 pep chromosome:Setaria_italica_v2.0:IV:172588:177170:-1 gene:SETIT_005862mg transcript:KQL08895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNNPWRVAPPPLQNARAGFGDASLFSTSLPLLPHEKLNFPDSAHGTPSMDDTSVKMKTLDDDPEEKDYKFDFDLRQIDDLLPDEDEFFAGITDEIEPIGQTNNTEELEEFDVFGSGGGMELDLDPLESVTASFANSSIADGARGNGINPFGVPSTVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRSARNAMRALQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDIRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDLDQEEPRSYRHHHVGSPIANSPPGAWAHYSSPTDNNVLQALNRSPTGNGMSPIGMPSLISNAVKIAPIGKDSNRSKYDQVFSNGNQSVGGAFQHSHSYQDRSSEHMSSSPGTLSGPQFLWGSPKPYSETSQSPVWRPPAIGSALSSTSRSQGQGFLHGSRQASLFGSSDQHHHHHHVGSAPSGAPFESHFGFLPESPETSFMKQVRFGNMGNIGTGRNGAGLMLNMAGRSSLNPISSLSGSLTDNSSTNFRPMLSPRLGHAFYSNPTYQGPGSFGLDSSIDRGRNRRVDSSALQADSKRQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDELHKGTYDFFYLPIDFKNKCNVGYAFINMISPTHIISFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHPNGLESGNQDAFPINGICIHMPVEDDLFDDEDDKSQDVKMGGESSMQMAGSL >KQL08894 pep chromosome:Setaria_italica_v2.0:IV:173307:177170:-1 gene:SETIT_005862mg transcript:KQL08894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNNPWRVAPPPLQNARAGFGDASLFSTSLPLLPHEKLNFPDSAHGTPSMDDTSVKMKTLDDDPEEKDYKFDFDLRQIDDLLPDEDEFFAGITDEIEPIGQTNNTEELEEFDVFGSGGGMELDLDPLESVTASFANSSIADGARGNGINPFGVPSTVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTATKHRGFVMISYFDIRSARNAMRALQNKPLRRRKLDIHFSIPKENPSDKDLNQGTLVIFNLDPSVSNEEVRQIFGAYGEVKEIRETPNKKHHKFIEFYDIRAAEAALRSLNKSEIAGKRIKLEPSRPGGTRRNLMQQLGHDLDQEEPRSYRHHHVGSPIANSPPGAWAHYSSPTDNNVLQALNRSPTGNGMSPIGMPSLISNAVKIAPIGKDSNRSKYDQVFSNGNQSVGGAFQHSHSYQDRSSEHMSSSPGTLSGPQFLWGSPKPYSETSQSPVWRPPAIGSALSSTSRSQGQGFLHGSRQASLFGSSDQHHHHHHVGSAPSGAPFESHFGFLPESPETSFMKQVRFGNMGNIGTGRNGAGLMLNMAGRSSLNPISSLSGSLTDNSSTNFRPMLSPRLGHAFYSNPTYQGPGSFGLDSSIDRGRNRRVDSSALQADSKRQYQLDLEKIRKGDDTRTTLMIKNIPNKYTSKMLLAAIDELHKGTYDFFYLPIDFKNKCNVGYAFINMISPTHIISFYQAFNGKKWEKFNSEKVASLAYARIQGRTALISHFQNSSLMNEDKRCRPILFHPNGLESGNQVSTQRLAVVEGDR >KQL11508 pep chromosome:Setaria_italica_v2.0:IV:34336275:34337154:1 gene:SETIT_007861mg transcript:KQL11508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSESPSPIQGPQPQTPILFLLLSSPTPRRVRPPPSNPSPASPPPPDVDPGLTTDPEAYSSGRGPNPAPPTISAKILSHLQPRQREVYRAIFAAGSSGMSVQDLRDATGLSTDTGHNQARSLVKLRVLKEVQDVHNRRRNLYMAIEFRPSDEVSGGTWYHEGRVDAGAIAAARRRCLALVKRLGAATADMIHEGVRRDDPGTGGKIADILRTMVLDKTLEEVSSTGEGEFAAVRRGAMSYREPGKQHPGGMMEGIPCGVCPMIDDCSPEGVISPSTCVYYQKWLELDF >KQL08933 pep chromosome:Setaria_italica_v2.0:IV:353839:356975:-1 gene:SETIT_007200mg transcript:KQL08933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTSLKPRKEGQQTTEELQKRNLREELEERERKHYSSKDKSYAEERDLRKSSSQLLLEGSKRDAEDKIVPREIDADDSDVEPKSDDESDEDDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNPGSFSVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >KQL08934 pep chromosome:Setaria_italica_v2.0:IV:353839:356975:-1 gene:SETIT_007200mg transcript:KQL08934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTSLKPRKEGQQTTEELQKRNLREELEERERKHYSSKDKSYAERDLRKSSSQLLLEVIGSKRDAEDKIVPREIDADDSDVEPKSDDESDEDDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNPGSFSVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >KQL08935 pep chromosome:Setaria_italica_v2.0:IV:354116:356872:-1 gene:SETIT_007200mg transcript:KQL08935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTSLKPRKEGQQTTEELQKRNLREELEERERKHYSSKDKSYAEERDLRKSSSQLLLEVIGSKRDAEDKIVPREIDADDSDVEPKSDDESDEDDDDDDDDTEALMAELERIKKERAEEKLRKERQQAEEEAKMKEAELMRGNPLININNPGSFSVKRRWDDDVVFKNQARGETKTPKRFINDTIRSDFHRKFLQRYMK >KQL09876 pep chromosome:Setaria_italica_v2.0:IV:6944860:6946264:-1 gene:SETIT_008720mg transcript:KQL09876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSLIARYNSDSSYTNDMNKKHNDIVNEVHATLPLKEKHQIIHLYVDLMMEMMQSGISDGSYHSAMTSRDLSNNNFEIPVEDPTIDNMDMLLGYPTMEIMATRVAQEVPRRQPTSRMEKKHIGFWTKPEHRLFLRGLHVYGHGNWKNISKYFVKTRTPMQVSSHSQKYFQSINDVGLYDVEPWAQNNTSGKEGSTFTSSANNPNRYGANGQHATMNNLTQ >KQL10204 pep chromosome:Setaria_italica_v2.0:IV:10858662:10861838:-1 gene:SETIT_007574mg transcript:KQL10204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYQDAMAICRVYGPPDLFVTFTCNSKWREIADALRYEPVCLVCAVARVVLYTIEFQKRGLPHIHCLMWSRPSPNKNVAKKLFTGKEPGDDNCGSDGSAEAADQDSPSKDA >KQL09854 pep chromosome:Setaria_italica_v2.0:IV:6707157:6709119:-1 gene:SETIT_006601mg transcript:KQL09854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGAYRTVREPRAFLRRCRWKCHPVMSRSERRLWKAERVPVGSGAHNDRSQSAARCALRVRHGGHVDASRGARHEKTVALRPSPHAMDEAGAAASASAARLRPSTPRSKKRSSRSKPRARSRDRRRSPNPNPSSRRERGSEPGSAVPAPAPSRKSDRRPRPRYIPDSATLATAIASSAAPSSGGGGRGSAGAISKLWTEADEVALLTGAAAFKDRTGVAPRLPDMADLFESIRDSLSPHLDQAKMYYKLKRLKSKFQHSVPGDSSTAHEHRVRDLCAALWDAEPARPVENDVVEAGEAEEDDADGGFTGADREGSARLPMVKEVLGEYWKVNGQGLSGVSLEKGLALLGSQEASAAEVKWRRQLEADMRIQMRRHELGKEVYGLLIDAIKGLGP >KQL11808 pep chromosome:Setaria_italica_v2.0:IV:36906830:36909028:1 gene:SETIT_006487mg transcript:KQL11808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTCENVPLHLHVQQHLTLLGTLSMSTRDAVGNCSGTTCQCRCCYPAAASCAALPPLVPTHTHYLQDHTAGARWLGWLLDRSRNHPPGTLCHSYLSQTPYKTPHAPRILHSQNTSSISPFPFLRAPHIFFLWYLLLTTMAARVSLVLSVLLACSAALAAANFNQEFDITWGDGRGKIRDNGQLLTLTLDRTSGSGFQSKHEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGNTHDEIDFEFLGNVTGEPYTLHTNVFTQGQGQREQQFRLWFDPTKDFHTYSILWNPKHVIFMVDDLPIRDFRNLESKGIAFPKNQPMRLYSSLWNADDWATQGGRVKTDWSHAPFSASYRNFRADACVAVAGGKTRCGATVGTEGSAGAAAGDWYNQELDLTLQQRMRWVQRKYMIYNYCTDPKRYAQGLPAECSMQQ >KQL11973 pep chromosome:Setaria_italica_v2.0:IV:37915991:37920098:-1 gene:SETIT_005805mg transcript:KQL11973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAQLVALFLALAATAAGRAVSAAGARPSEVAVGALFTYDSTIGRAARLAVDDVNADGTVLAGTKLSLKTHDTNCSAFIGTVRALQLMEENVVAVIGPQSSGIGHVISHVANELQVPLLSFAATDPSLSALEYPYFLRTTISDYFQMNAVASIVDYYQWKRVTAIFVDDDYGRGGVFALGDALAAKRAKISYKAAIPPNSDSDVISDVLSRANMMESRIMVVHVNPDTGMRIFSIANNLQMMTSGYVWIVTDWLAAVLDSSTSRDLKDLSHIQGLIVLRQHTPESDAKNKFISKWNAVARNRSVTSGLNSYGFYAYDTVWTVARAIDQFLNSGQQINFSTDPRLHDSNGSTLRLSTLKIFEGGDQMLQQLLLTNFTGVTGPVQFGSDRSLVRPAYEILNVGGSGSRLIGYWSNYSGLSVAAPDILYQKPPNTSAQQLYDVLWPGESTSTPRGWVFPNNGQPLRVGIPNKASFKELVSSGGPGNVTGYCIDVFSAAIKLLPYPVPLEFVTIGDGTKNPSYIGIVRMVANNSLDAAVGDFAIVRNGTAISEYTQPYVEAGLVIVAPVKQVTPSAWTFLKPFTLEMWCVTGALFILVGVVVWLLEHRINEEFRGSPRRQVVTIFWFSFSTMFHSHRENTVSALGRFVLIIWLFVVLIITSSYTASLTSILTVQQLDTGITGLDSLISSSLPIGYQNGKFTKKYLILELNIPESRLVALNTIQDYADALNRGPKNGGVAAIVDEKPYIDIFLSHYCNFRIVGQQFTREGWGFTFQRDSPIAADMSTAILQLSESGQLQRIHDDWFKGPSCAYDDESQVGATRLGIGSFSGLFLMCALICLFALLVFFIRLCWQYNKYSNSGAAGEPSAADADAIQRKPSGLGSFKEILQFVDKKEEEIRRSRKRRSSDEDNQAAGSSGPLSVSSPY >KQL10348 pep chromosome:Setaria_italica_v2.0:IV:13293625:13294323:-1 gene:SETIT_008808mg transcript:KQL10348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPIPALLEELVSAASSAPPWRRLISGRSFRRRFHEFHRAPPMLGLICNRVNENFYIIYLARFVPTCSFRPPHADRREWRALDATAASSSASWGPRHLVVWDPVTDEWSQLPTGQLLADYWNVAVLCVACGTGGACDHIDCHHGHFFFVFLGTGAEHMTLWVYSSEAGTWSEPTSVVQFPKYHVDEPPSAIVGNALY >KQL09611 pep chromosome:Setaria_italica_v2.0:IV:4576380:4586171:1 gene:SETIT_005829mg transcript:KQL09611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAPAPQPPPQPQAQQPHNDQQQRALTVNAIRLKAIGDRIRAHLDGVAALPINEFAHLVYAFARGIDFAVSAGDIPPMAGDIPGILRKVYELRRETFIQSSLMVLVISCKNACSSKWFQPADSRDILSMANELSGNFCTSSGQAASDSTVLEIISQIMPRYYPRLKFERLIISMEAKVGYDILMADFFIERNLPRDEKIRLIVVQKENLDASTCVTSPPHVSFLVNGKGVDKRTNVSMEPGPQFPTDITKMLKYGANIIQAAGYFNANYIIAVAFVNNSTSFSAPKLEDYAQPMTVYPADSDVLEGPSRVSLNCPISFKRIKTPIKGRLCKHYQCFDYDNYMEMNSRKPNWRCPFCNTPSNFTDLRIDQKMAKILEENGNDVIDVLVFADGSWKAAPAHDEKSDRHRGDAVQQNGDTIETDSSSSGVIDLINGNGDGDLPMDWTSTSEDTKPQLNSQDLSVSDYLPDFPMADQTEDLYLGDGSNGGSNMAFTSRQNLLLPSTSGLGSSSFGTLESILPQNVLRPVITDAVSPSLEASSSTSSMQHVSQETHCETVQLQPQIGPVLGSEVRRISIPRNPRREPVGVQALPVPPQNPGSSARLQPNILNCPPPIPLSTPASSTYQGHQVTNPDCAPMNNGCGPLPRTPSVAASSHLQSTRDMRNTSSHQPSRVVGLPAPHLMGARPSPGQAGGVNTYRPTPMQQTPTVDPLRHTRMTMNQTALAAVGQTTAAAHVKPTQADVQSRHFPMQQIQVPRLQTVPRAATPPSLQRAPPHLQPSSVPSAAPSIHPPSLQRAPPHLQPPSVPPTAPSTPQAGSSDGLPPELPVDENWCPTGQMRGSLTGNAYSSALRRYLGPNEQQQGQAHPPSASGARRPH >KQL11772 pep chromosome:Setaria_italica_v2.0:IV:36690081:36696104:-1 gene:SETIT_005867mg transcript:KQL11772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIKLACLLLVFVQQVVLGTHDVYIVTMEGDPVVSYRGGVEGFPATAVDLDEEMDVTSETVTSYSLHLRRHHDNLLDSLFVEGTYEKLYSYHHLINGFAVHMSSLQAEFLRKAPGVKHVERDMKIQKLTTHTPQFLGLPTGVWPTGGGFDRAGEDVVIGFVDSGIYPQHPSFSSHKTDPYGPVPRYKGKCEMDPVTHRSFCNGKIVGAQHFAKAAMAAGAFNPDIEFASPLDGDGHGSHTAAIAAGNNGIPVRMHGHEFGKASGMAPRARIAVYKVLYRLFGGYVADVVAAIDQAVQDGVDILNLSVGPNSPPTATRTTFLNPFDAALLSAVKAGVFVAQAAGNGGPFPKTLVSFSPWITTVAAGVDDRRYKNHLTLGNGKLLSGLGVSPATHGNKSFSLISAADALLGSSATKYSALDCQRPELLNKRKVQGKILLCGYSFNYISGTASIKKVSQTAKSLGATGFIVAVENSYPGTKFDPVPVSIPGILITDVSKTTDLIDYYNSSTTRDWAGRATVFKATAGIADGLAPTLYNSAPQVALFSSRGPDVKDFSFQDADVLKPDILAPGNLIWAAWAPNGTDESNYAGEGFAMVSGTSMAAPHIAGIAALIKQKNPKWSPSAIKSALMTTANTLDKGSHPLRAQQYTTSEMMTLSRATPFDCGSGAVNPKAALDPGLVLDATHEDYITFLCSIPDVNHSEVSNIAGASCNSSSKGQRPYDLNIPSITISQLRGTVTVKRTVTSVSEETETYTIMTRMPPEIALDVTPAALTVLPGASRDITVTLTARSVTGTYSFGEIRMKGDRGHLVRIPVVAMGFK >KQL09960 pep chromosome:Setaria_italica_v2.0:IV:7728700:7732796:-1 gene:SETIT_005858mg transcript:KQL09960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKASILLSNSTVVDAKPLRTLTPMFPAPPGLHTFTPQNSPSFVCVTPFGPHAGGTELGMPAGVPPMLAAPAAPAEPCQRQPQRVNMNGASHANGTAINSWVTPSQTPPSAATPSLQTPLSAATLESSKRKRGRPKRVPDATVPSAPSVPPAPTIPPIPSLPLVPSAPQGGNVLSPMPSAAISQEGGKRKRGRPKRVQDVPVLSAPLAPQADNTPVLQTLPAPTVHESGTRKRGRPKCLQDSSDTPTPPIHSKDNEPTFQTPATTSPESGKRKRGRPRRVSDGSATPSSIDDDTVDATKRGRPRKIDTTLLQLPSLSSDDPRESTDNVLLMFDALRRRLMQLDEVKQAAKQQHNLKAGSIMINAELRVNKNKRIGEVPGVDVGDMFYFRIEMCLVGLNSQSMAGIDYMSSKFGNEEDPVAISIVSAGVYDNTEDDPDILVYTGQGMSGKDDQKLERGNLALQRSLHRGNPIRVIRSIRDLTCPTGKIYIYDGLYKIKEAWVEKAKSGFNVFKHKLLREPGQPDGIAMWKKTEKWRENPSSRDHVILSDISYGVERYPVCLVNEVDDEKGPIHFIYMTKLKYGNLLSSMTKMQGCKCCASVCLPGDNNCTCTHQNAGDLPYSASGILVSRMPMLYECNDSCTCSHNCRNRVVQKGTQIYFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEIVDKNSADGEDEYIFEAPPSEQNLKWNYAPELLGEPNLSNSKETPRQLPIIISAKRTGNVARFMNHSCSPNVFWQPVLYNHGNEGYPHIAFFAIKHIPPMTELTYDYGQSQGNELLGSNSGCRKAKNCFCWSRKCRGSFG >KQL09959 pep chromosome:Setaria_italica_v2.0:IV:7729070:7732796:-1 gene:SETIT_005858mg transcript:KQL09959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKASILLSNSTVVDAKPLRTLTPMFPAPPGLHTFTPQNSPSFVCVTPFGPHAGGTELGMPAGVPPMLAAPAAPAEPCQRQPQRVNMNGASHANGTAINSWVTPSQTPPSAATPSLQTPLSAATLESSKRKRGRPKRVPDATVPSAPSVPPAPTIPPIPSLPLVPSAPQGGNVLSPMPSAAISQEGGKRKRGRPKRVQDVPVLSAPLAPQADNTPVLQTLPAPTVHESGTRKRGRPKCLQDSSDTPTPPIHSKDNEPTFQTPATTSPESGKRKRGRPRRVSDGSATPSSIDDDTVDATKRGRPRKIDTTLLQLPSLSSDDPRESTDNVLLMFDALRRRLMQLDEVKQAAKQQHNLKAGSIMINAELRVNKNKRIGEVPGVDVGDMFYFRIEMCLVGLNSQSMAGIDYMSSKFGNEEDPVAISIVSAGVYDNTEDDPDILVYTGQGMSGKDDQKLERGNLALQRSLHRGNPIRVIRSIRDLTCPTGKIYIYDGLYKIKEAWVEKAKSGFNVFKHKLLREPGQPDGIAMWKKTEKWRENPSSRDHVILSDISYGVERYPVCLVNEVDDEKGPIHFIYMTKLKYGNLLSSMTKMQGCKCCASVCLPGDNNCTCTHQNAGDLPYSASGILVSRMPMLYECNDSCTCSHNCRNRVVQKGTQIYFEVFKTGDRGWGLRSWDPIRAGTFICEYAGEIVDKNSADGEDEYIFEAPPSEQNLKWNYAPELLGEPNLSNSKETPRQLPIIISAKRTGNVARFMNHSCSPNVFWQPVLYNHGNEGYPHIAFFAIKHIPPMTELTYDYGQSQGNELLGSNSGCRKAKNCFCWSRKCRGSFG >KQL09251 pep chromosome:Setaria_italica_v2.0:IV:2147229:2147507:-1 gene:SETIT_008710mg transcript:KQL09251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVPTDSCRKWRSVSTLGGQALFVGECSESLPAAECGVQEDCVYLISHYDESTPTVNPLHDSETVVVQTQGVRPSC >KQL10341 pep chromosome:Setaria_italica_v2.0:IV:13220307:13224153:1 gene:SETIT_005777mg transcript:KQL10341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETALGMATTLVGSALSVASSAAREEMGLLLGVQDDIWFISDELKMMQAFLRAADGARENTGVLKAYLELIRDLAYDIEDCLEEFMVFIKHKNLVQQLLSLRARHRIAVQIRILKQRVQEVSQRNLRYNAIKLTPSTSSNVTGDMELSRNFTALNVDEAQLVGLDEPKKKLMELIAKSKAPMEHTETDNAGPRVVSLVGMGGIGKTALTKKVYDSKDIREMFSTRAWITVSQSFDQMELFKEMILQLFGAESWDRLLKDHQGQVPEVHLADYLSRRLKETRYLIVLDDVWTIDAWNRIKITFQDGGKDDSCVVVTTRNGKLAEYCSPPSNIHHPEFLGKEEATTLFLKKTNKSLDDLEKDKNTKGIVEKILNKCGGLPLAILTIGGLLANKDTKEWKSIYRQLPWDLATNPSLDSLRRVVSLSYSHLPSHLKPCFLHLSIFPEDFEIEKKHLVNRWVAEGFVADDSTTRTLEEVSENYFYELISRCMIQPSKLDNLGNVKTCRIHDIVHDIAVSISRQENYAAIPGGDTSTMSRRISIRHLSYFASKKLDLGMDLSRVRSFTLFSEPLDPIAFLCSSKFKMLRVLDLKNSQFLARQRDIKNLALLLHLKYVHFTGSYVYALPRSIGNLQGLQTLDIRRSNISTLPSETTKLHNLRSLRCSRAPDRSYDRITQDGECLKVVLELILSGEHDDYSDEIANLHMGMSSCWSSSSGIKVPKGVGSLKQLQILEEVDIKRTSRKAIKEIGELTQLKKLVVTGKGASKKKSKAFSKAVEKLSSLRSFSVGTNEIDKVDEMDLLVSFAFPLPSLERLKLKGRLEEIPAWVGKSVNLVKIDLQYCKLKDLKALATEPPNLMQLRLYEDAYSADKLEFDRHEFPNLRFLHLQLGYTAALREVTFVESSTPNMERIRIENSKLASGINGVKHLRKLKELYIWSCTLAKHDILREDVNKHTNRPALQILDCNHTSPEESEVKVEVTESISEPGESSQS >KQL11210 pep chromosome:Setaria_italica_v2.0:IV:31695018:31697318:1 gene:SETIT_008693mg transcript:KQL11210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGGGGFIAGECRTPHGASEIGRYVASSSARSIANVRYPVDEIQVETPCRLVIPYGRKQNNNGSCVPKGTPPEYSWVQVVTMLDESCELDIPTDEGIEVLDIVLNINASAETSRPNQDEPMVATTKGEQPTQSHVQGATNEGEQPMPLSPILEGLTEEEWTSFPQGDDPTSSRPPSPPPERPPSPAPQPPAVPRMVRTYDNKDPSTQVDKFLNVLKNKASSSDEKSVACGPSRDHWICICIYPKLRFAMILDSARFTKDSYKEFLGIVQNAHRLYVLIGGECPENRKKVMKIITHRWCHKQPSCSVLCGYYVCKFLRNNGRYRTNPEDMPRIDTCDAALEDRGIANICRT >KQL09408 pep chromosome:Setaria_italica_v2.0:IV:3166177:3167975:1 gene:SETIT_006259mg transcript:KQL09408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAALAVALLPWLWAALVHLVCRPYAVARAFARQGIRGPPYRFFVGNAGEATAMLEAASGEAALDRSSHDIVPRVMPHLRAWTSLYGKVFLSWSGSTPALCVGSYAMARRVLSDKAGLYGKKDPGPAILALLGMGLIFAEGEDWVRHRRVVHPAFAMNKLKAMAGVVAACAGEVIRSWEAAAAVGGEVTVEVGQQFMELTADVISRTAFGSSYRQGKEVFLAQRELQLMAFASNRVRVPGMQWAPTKANVRRWRLERKVRGTLMAIIDKRLSAAKEGAGDYGTDLLGLMLEANAGEGGQSVMTMDEIIDECKTFFFAGHESTTHLLTWAMFLLGTHPEWQRRLREEVLRECGGAEKPLHGDTLNKLKLVTMVLHETLRLYGAATLIKRRATADADLCGVVVPEGTVLLIPIAMLHRDEEAWGGDAGEFNPLRFKDDAGRAAPAHPGALLSFSAGPRSCIGKDFAMMEAKAALAAVMRRFAFEVAPEYVHAPADFLTLQPSQGLPVVLRLLDP >KQL11290 pep chromosome:Setaria_italica_v2.0:IV:32333478:32335942:-1 gene:SETIT_008905mg transcript:KQL11290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASEKVRWSLHTVRTRLAKKQQYCQFFTRFGECKKSGGKCPYIHDRAKVAICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNTACPYRHVKVNSKAPVCEDFLKGYCADGDECRKKHSYVCPVFEATGECPQESRCKLHHPKKKIKSKRSRVDTLQTNSWGRYFDTSIGHRRGARVVSSEEEERQKREQVSGDDLADFIDLGADIEVTGDVDTSDDIQLMELDSRNLKMRADNLEALIKPLRIMRTARV >KQL11291 pep chromosome:Setaria_italica_v2.0:IV:32334271:32335942:-1 gene:SETIT_008905mg transcript:KQL11291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASEKVRWSLHTVRTRLAKKQQYCQFFTRFGECKKSGGKCPYIHDRAKVAICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNTACPYRHVKVNSKAPVCEDFLKGYCADGDEVSMISLQIYPGLLLNFYFQTFTLIGE >KQL09696 pep chromosome:Setaria_italica_v2.0:IV:5327150:5328683:1 gene:SETIT_006398mg transcript:KQL09696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRLRVLDTARLSPPAPAPHAVAPLPLSGLDADRNVLDVTFRTLRFFPPPPPSVDPFAVLPRAFAAALGLFPALAGRVVRDGHVALDAGAVPLVLAASDLSAADVDTDSPGSALLDCLAPGDGNGGGVADGPALALQATRFACGGVALGMRVAHALCDGAGATKFLAAAARFARGMGSPDVAPVWERRELLGPRQPSRVATPVFDRVLELDGDVARCGPYGAAGEWHEQQRQLARECFHMSDARVEALRARLADEAGLRLTTFEVVAAFIWRAKVKANGTSSGEVVKMVYSMNISKLVDPPLLDGYWGNVCVPVYVALAAGDLTAQPLAATAALIRKSKQAVDDEYVRSYIDFQELHRGEGVTAGAVSAFTDWRRLGHGDVDFGWGGPDAVLPLSWRILGSTEPCFLLPYGAGDERRRRGFKVFVALRRIAVADFREEMQDLVMQQQQSSAGKL >KQL11588 pep chromosome:Setaria_italica_v2.0:IV:35068546:35072877:-1 gene:SETIT_005910mg transcript:KQL11588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPSSPKPTVLLLLLLHLCASIATLASAQAQPLASSQAKALLRVRKLLGYPPALEPLRGAPDPCALPPTPSLAVACDGGQVTALSVRGDRDPDAAWRAALPASFSSEALFTTLARLPALARLSLVGLGAWGPLPGDKLRRLQALQQLNLSSNYFYGGVPGDLARLYSLQSLVLSRNWLNGSVPSLAGLQFLEELDVSHNRLGPAFPEVGKAVVRLVLAGNNFTGKIPAGVSALGQLQYLDVSGNRLQGWIPSSIFALPALRYINLSRNRFAGQLPATTACAEALAFVDVSANLLTGARPACMRGNSSARTVLVAGNCFADAKQQRASTYCSPGALAAVLPPPQGSGGGGQGRGKGGEIGMILAIAGAVVGGALLIALVMVVVLRRARTRHPEVSVLPKSPAAAPAKKADGWKAPAKATQKIITPADKRHASQAARVNTLEVPAYRVYTLEELQEATNNFSSSNMIKTSPLAQHYNGQLQDGSRVLVRCLRLKPKYSPQSLAPYMEIISKLRHRHLVSIIGHCIVGDQENPNIASSVYLISECVTNGSLRSHLTEWRRREMLKWPQRVSAVTGVARGIQFLHNMTAPGIVKNDLNIENILLDKTLTSKINDFNLPIISTSKNGKIFSEIPFAVHEDNDIGSAHNMEQGDKQDIYQFGLILLEVITGKPTDSQSELESLKAQLSEALTEDPDRLKDMADPAIQGTFAVDSLCTVAEIALNCTAGEPSDRPSIDDVIWNLQYSMQVQDGWASSESLGLSVKSQG >KQL08949 pep chromosome:Setaria_italica_v2.0:IV:406794:407432:-1 gene:SETIT_008509mg transcript:KQL08949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLALESSSSSRGEGGGDGHGSCRPRESMFEKVVTPSDVGKLNRLVVPKHLAEKHLPLPGAAARAGGTVLCFHDARGGEAAWRFRYSYWSSSQSYVMTKGWSRYVRDKRLAAGDTVSFYRDGARLFIDCRRIRRAGVVPAVPPRQPQQAFFFHNQQQAAVQPQQHLVVAPRVVVVDDEVEEARRRRCLRLFGVNLELELRAEPLLLDLQL >KQL10297 pep chromosome:Setaria_italica_v2.0:IV:12548312:12549868:1 gene:SETIT_008374mg transcript:KQL10297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSPSTLMFLVLISSSSSALLVAPAGPNPNYEVVYLMTIKNLLEDPQGVLKNWDMMSVDPCDWNLVTCSPENLVTRLEAPGRNLSGRLSPTIENLTNLELLLLQNNNITGPIPAEVGKLAQLKKLDISSNHFYGEIPSSVAHLKSLQYLDLSYNNLSGPVPRLLAGTLNVIGNPLICGANTGQDCSRTAPVPLSSNQKISQASLPTAKAKSHKFAVALGSAIACVIFLSFPICWLGNSMRELILSSKNSMRRSDISDMNNCLASLGVLWPG >KQL11235 pep chromosome:Setaria_italica_v2.0:IV:31926361:31927115:1 gene:SETIT_007461mg transcript:KQL11235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLKLDELFYSTRESGGMIFLLTDRWARRVGGTTSKQAGPAPSFGGQSDRRAVSARPMWPDASAQARQCSPIPSLPPPLSGGPTFLWAHTSLILYERDKAQISLSTVKRPSLLSIQSPLVLPANSSLRFIFVSSNFFSCSP >KQL08899 pep chromosome:Setaria_italica_v2.0:IV:187664:192821:1 gene:SETIT_006012mg transcript:KQL08899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAVDHLAAERAAARFDVEEMKVAWAGSRHAVDVEDRMARLVASDPVFRKDNRTMLSRKDLFKDTLRKAAHAWKRIVELRLTEEEANLLRLYVDQPGYVDLHWGMFVPAIQGQGTEEQQQKWLPLAYKFQIIGCYAQTELGHGSNVQGLETTATFDPNTDEFVIHSPTLTSSKWWPGGLGKASTHAVVYARLITEGKDYGIHGFIVQLRSLEDHSPLPGVTLGDIGGKFGSGAYNSMDNGVLRFDHVRIPRDQMLMRLSQVTREGKYVHSGVPKQLLYGTMVFVRQSIVADASKALSRAVCIAVRYSAIRKQFGSKDGGPETQVLNYKTQQSRLFPLLASAYAFRFVGDWLSWLYMDVTQKLEAKDFSTLQESHACTAGLKAVTTSATADAIEECRKLCGGHGYLNSSGLPELFAVYVPACTYEGDNVVLLLQVARILMKTVSQLASGKQPVGTMAYMGKVQYLMQCKCAVNTAEDWLNPVAIQEAFEARALRMAVNCAQNISQASSQEEGFYERSPDLLEAAVAHIQLIIVTKFMEKVQQDIPGHGVKEQLENLCNVYALYILHKHLGDFLATGCITPKQGALANEQLGKLYAQVRPNAVALVDAFNYTDHYLGSVLGRYDGNVYPALYEEAWKDPLNETVVPEGYHEYLRPLLKQQLNLSSRL >KQL10097 pep chromosome:Setaria_italica_v2.0:IV:9529731:9534692:-1 gene:SETIT_006439mg transcript:KQL10097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRFGSFKSEKGDSAASAAAAAGGAAQRRDPYEVLGVGRSATDQEIKSAFRRMALKYHPDKNGDDPVASDRFQEVTFSYNILSDPDKRRQYDTSGFEAIESDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGSSVQRKVEKQTAHFYSVDITEKQAKMGLVCRVHSNDKSKFKLLYFELEENGGLSLALQEDSVKTGKVTAAGMYFLGFPVYRFEQNNLAAAAKDSDGAFFKRLDSFQPCDIHELKPGTHFFAVYGDNFFKSANYTIEVVCGESFPAEKEMLRSVEAKILTKRAELSKFESEYREVLAKFTEMTSKYTQEMQTIDQLLKERNEIHASYTNNPPLKRSSSRNKAKSPSKMPKNDAEKHHQKEKKVKDHCMEGYGSEDDNSSEKKPKERFPRKKWLNIPFKLDRRKSC >KQL10096 pep chromosome:Setaria_italica_v2.0:IV:9530248:9534692:-1 gene:SETIT_006439mg transcript:KQL10096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRFGSFKSEKGDSAASAAAAAGGAAQRRDPYEVLGVGRSATDQEIKSAFRRMALKYHPDKNGDDPVASDRFQEVTFSYNILSDPDKRRQYDTSGFEAIESDSQELELDLSSLNTVNTVFAALFSKLGVPIKTTVSATVLEEALNGSVMVSQLQLGSSVQRKVEKQTAHFYSVDITEKQAKMGLVCRVHSNDKSKFKLLYFELEENGGLSLALQEDSVKTGKVTAAGMYFLGFPVYRFEQNNLAAAAKDSDGAFFKRLDSFQPCDIHELKPGTHFFAVYGDNFFKSANYTIEVVCGESFPAEKEMLRSVEAKILTKRAELSKFESEYREVLAKFTEMTSKYTQEMQTIDQLLKERNEIHASYTNNPPLKRSSSRNKAKSPSKMPKNDAEKHHQKEKKVKDHCMEGYGSEDDNSSEKKPKERFPRKKWLNIPFKLDRRKSC >KQL12205 pep chromosome:Setaria_italica_v2.0:IV:39427495:39431915:-1 gene:SETIT_007320mg transcript:KQL12205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAGGEAGAPAPAPTAAAAAGAGGGPAKGRSCKGCLFYSSVLRSRARGPVCVGVTRALPQVSERMIGEIELEAIQEGRNLSDFKYACVGYSVYLDDKETSMGVREKKAHAQLPVCVGVELLADRRAPVKKGSPHNKKEVPQPHRYKPGHAGDDFITKFQRNAGLVANGVAKNLNRVGTYIKDTVGDVMYPNRKRPK >KQL10158 pep chromosome:Setaria_italica_v2.0:IV:10344831:10351122:-1 gene:SETIT_005846mg transcript:KQL10158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGLGSKRRVAEGDATVHEEESESSEEYEVDVVRDHIASSRGSRLALFGSDLRLGRLRPRRRRRRPLGGEGAAEGFFHDLVIHPDNRWYRLWTKFILVWAVYSSFFTPLEFGFFRGLPRKLFFLDIAGQIAFLIDIIVKFFVAYRDPDTYRIIYDPTAIALRYCKSSFIFDLLGCFPWDAIYKACGSKEEVRYLLWIRLTRALKVTEFFWQLEKDIRVNYLFTRIVKLIVVELYCTHTAACIFYYLATTLPESMEGYTWIGSLQLGDYSYAHFREIDLAKRYITSLYFAIVTMATVGYGDIHAVNIREMIFIMIYVSFDMILGAYLIGNMTALIVKGSRTERFRDKMKEVIRYMNRNKLGKEIREQIKGHLRLQYESSYTEASALQDIPISIRAKISQTLYKPYVECVPLFKGCSAEFIQQIVIRLQEEFFLPGEVILEQGSAVDQLYFVCHGALEGVGIGQDGQEETLLMLTPESSFGEISILCNIPQPYTVRVCELCRLLRLDKQSFTNILEIYFVDGRRILSNLSESGSEYGGRVKQLESDITFHIGKQEAELTLRVNSAAFYGDLQQLKSLIRAGADPKNTDYDGRTPLHLAASRGYEDVVQFLIGEGVDINLTDHFGNTPLLEAVKQGHERVAALLYAKGAKLSLKNAGSHLCTAVAKGDSDFIRRSLACGADPNCRDYDHRTPLHIAAAEGLYLIAKMLVEAGASVFATDRWGTTPLDEARKCGGRMLLALLEQARADELSKFPERGEEVRDKMHPRRCSVFPYHPWRAAGAGAEQRRKEGVLLWIPHTIEGLVASAQEKLGVRGPGSRLRLLCEDGARVLDVDTVNDGQKIYLVGGEDDDDQEDAE >KQL11093 pep chromosome:Setaria_italica_v2.0:IV:30666922:30667414:1 gene:SETIT_007685mg transcript:KQL11093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPQRCHTAASSGPAWRNGLCWQHASSVGSSNGRMQQLMEKETMELQRKRKRKSGLVCLPTTISTLLQGQFCGSIDGFVW >KQL10690 pep chromosome:Setaria_italica_v2.0:IV:23547803:23549126:-1 gene:SETIT_008999mg transcript:KQL10690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVLDGDFRVSLQGSPENELQLCLESGDPEVQTMEAADAVFINSGDNPFKLMKESIKLLSKIKGNFKHIEDKEIPANLDWFGWCTWDAFYKAVNPAGIEEGLKR >KQL10689 pep chromosome:Setaria_italica_v2.0:IV:23547661:23549126:-1 gene:SETIT_008999mg transcript:KQL10689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVLDGDFRVSLQGSPENELQLCLESGDPEVQTMEAADAVFINSGDNPFKLMKESIKLLSKIKGNFKHIEDKEIPANLDWFGWCTWDAFYKAVNPAGIEEGLKR >KQL09400 pep chromosome:Setaria_italica_v2.0:IV:3135011:3140931:-1 gene:SETIT_005976mg transcript:KQL09400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFYAGASPHKQQPYQRRRQEIRQQRKSLPIASVEKRLVDEVRKNDTLIIVGETGSGKTTQLPQFLYDGGFCQNGKVIGITQPRRVAAVTVAKRVAEECNDQLGKKVGYSIRFDDSTSNATRIKYMTDGLLLREALLDPLLSKYSVIVVDEAHERTVHTDVLLGLLKKVQHSRANASKNGKTLPDIWGHSQNLTQKACQETRCAPLKLIIMSASLDAKCFSDYFGGAKAVHIQGRQHPVDILYTYQPEPDYLDATLVTIFQIHLEEGPGDILAFLTGQEEIESLERLIYERARLLPPESSKIWTTPIYSSLPSEQQMNAFKSAPAGTRKVVLATNIAETSVTITGIKYVIDPGMVKARAYNPVTGMESLIIIPVSKAQALQRSGRAGREGPGKCFRLFQESEFDKLVDSTIPEIKRCNLSNVVLQLKALGIDDIIGFDFMEKPSRTAILKSLEQLILLGALTDDYKLSDPVGHQMARLPLDPMYSKALIVSSEFKCLEEMLIVVSMLSVESIFFCPREKLEEARAARKGFESSEGDHITLVNVYRAAAECLEKSKNANAKERTMEKALNRWCKENFINNRSLKHALDVHSQIQGHVQQMGLNLSSCGDDMALFRRCLTAAFFLNAAMRQPDGSYRALATGQSVQIHPSSVLFRTKPDCVIFNELVRTTQNYVKNLTRIDPLWLAELAPQYYATED >KQL10123 pep chromosome:Setaria_italica_v2.0:IV:9927697:9933389:1 gene:SETIT_0060042mg transcript:KQL10123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPALPPSSSLRAAVKREIDAVEATAHTPAPPPRKKRRRGGRLPVTPTQLPLSPTLLTPQIIPSAASGNASVAGLTPTPARSAVKREPGADTDVGARGRAPGKPKQARDLRHGRRPAATEPPTLWLNRRRLGRILHELAGAHRWREAAGVVSTHLRGIQRPGSFQETRSLFVVAMEIHKQLAEDSGVQQSSRRSYYLRTKKLFDVWLRKLIWFPSCPKKHLVILELALFYLSQGNIDNAYNTTRILIAKDRLQTEPILNLIHGLISFDKWYSGLPKDMQVEEFDVYSEACAISIKSDGCEETGLVDDSDDNSIDDDASLSAYSSESSINNEDIDRKINEKPFLVYPKEENDPLGSEVNEDFRSIFLNTSDGPTCGLEKSLLPLRLKIPTGASNDCFDRYWQYKSAPNTSYEDAEKCLRLALHSNPPVMAALLPLIQILLLGDKLKDALDELERTCLSSTTALPFRLRGRLLEEYFDQNQVSTISSCYEEALRRDPTCSYSMERLIKMHRKGYYNTIQLLEAIALHLDSVNGKPCIWEELVLCFLRLFSDKTADYEDCIACTNTQGDQALDVFSKFSSFFFERFTRESWKARCRWWMHHHFSQNAYASETLT >KQL09751 pep chromosome:Setaria_italica_v2.0:IV:5725200:5726038:-1 gene:SETIT_008764mg transcript:KQL09751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIANAELRDKDATGRATEERASSGLQSSSSSEAAAAMSSPGRQPAAASPPPTQLMDRRSSSGGAGAAEADPERIPAAVFERDDPSESNKDWSMMSTESVFGLQVAPSSDFTGFFLAHPELMDIATPPRSSTVATPPRTSAADDADDDDAKAPVISPPFDSIPELPDNTMKGNYSFAFPNLIEDRRNFSKKAAPQEEEQPAAAAPTLPAEAAPAPAQEEAQAQRQASSKPEEAPKPASGKGGLFSCFPCC >KQL10194 pep chromosome:Setaria_italica_v2.0:IV:10697632:10700715:-1 gene:SETIT_007283mg transcript:KQL10194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGLSGIESGTKLYISNLHYGVTREDIKELFSEMGHLKHCAVHYDNNRHPTGSAEVIFTRRSEALAALKRYNNVRLDGKAMKIEVIGADLGLSAAATPRISVVPGARGRGQREVVMMPGGNGFGRGTAGSSNSLPGWKRGGFAQRGGGQVRGGFTQRGGRQVRGRGRSSFGRGRGRGYVRKGNVEKSADQLDKELDNYHSGAMNVD >KQL10192 pep chromosome:Setaria_italica_v2.0:IV:10697896:10699794:-1 gene:SETIT_007283mg transcript:KQL10192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLKHCAVHYDNNRHPTGSAEVIFTRRSEALAALKRYNNVRLDGKAMKIEVIGADLGLSAAATPRISVVPGARGRGQREVVMMYGPGGNGFGRGTAGSSNSLPGWKRGGFAQRGGGQVRGGFTQRGGRQVRGRGRSSFGRGRGRGYVRKGNVEKSADQLDKELDNYHSGAMNVD >KQL10193 pep chromosome:Setaria_italica_v2.0:IV:10697896:10699998:-1 gene:SETIT_007283mg transcript:KQL10193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGLSGIESGTKLYISNLHYGVTREDIKELFSEMGHLKHCAVHYDNNRHPTGSAEVIFTRRSEALAALKRYNNVRLDGKAMKIEVIGADLGLSAAATPRISVVPGARGRGQREVVMMYGPGGNGFGRGTAGSSNSLPGWKRGGFAQRGGGQVRGGFTQRGGRQVRGRGRSSFGRGRGRGYVRKGNVEKSADQLDKELDNYHSGAMNVD >KQL09178 pep chromosome:Setaria_italica_v2.0:IV:1701246:1702676:1 gene:SETIT_006340mg transcript:KQL09178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEITLSFDRSILPCCMSMAMTTKLALQRALVCFACLLALPVSMSGDRSVRGDAAGAAAAASGAGPRVGVAGEREAVHEAVGELVGEGWRWVRVPGAHWQLRRRRGGGLSAGQALRRLLVLRRLVVVEGEALVGGVAEAGGDDHDGPGGDQRAGDRAADDLALPAGEVDGEAGGGRGSRRREEGAAEREDLEAAGEVDGGAGPGPGLPDGDVGDVAGAAEDADADLAPAREPGDAVDDVAPRGDLEDVGAQRAGAVPRDDHRRLGLVLGPRRAAPGAPHHHGLRRLLLARRSRQLLVVVLVRAAVAAAAVAPGAVDGVAVVGELLLRRRATRSHELLLEMLERRVLPREVVRERVERRRRREVVPAAVRRSSRHGIHLSRAAGLLQSPPHDLLAAAEQVFRRPVRRETVARAVKGEACGRPGGVVVWCSRCLGLGPTTMRGGLRQARRLGAGRWDPRGVCVGPTGGSGESSLSVRS >KQL12252 pep chromosome:Setaria_italica_v2.0:IV:39666382:39666749:1 gene:SETIT_008888mg transcript:KQL12252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSACVADLLLVYMHGLYCRCFFRWLMTSSRLQLKIQLDFFSSF >KQL09815 pep chromosome:Setaria_italica_v2.0:IV:6262886:6263528:1 gene:SETIT_008574mg transcript:KQL09815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEIDGKLLQGMFGGHFDLSITTMNAIVRLYHQSDDGMYARWTEKRWRHFLPVDFAVIAPVLIHWHWCLYVWDFERERVIVLDPMDMPFGEHHMAKKHKLGVKIMHAAIYKNPKK >KQL10650 pep chromosome:Setaria_italica_v2.0:IV:22054669:22055791:1 gene:SETIT_008102mg transcript:KQL10650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAKVKKKTTKLIKKKIKEESEKHLFFGYHKVPPNYPPTSSQYSSSQFQYVHLGNPPYFDGTDYPKWGYDMKMHFYGLHPSIWEVVVVSVTSPKNGIPTAEQAQDYFRNAQAIRVITGSLCAQEFNKVRSVEIAKVIWDTLKEVHEGTDQVREGKMDLIHGELEHFMILEEEIVTQIFDRLMLLVSDIRTLRRRIGMITRRDPSFKTKTPNQLLEEVQGQEQKLQEEISRSSSCWSRMGF >KQL11917 pep chromosome:Setaria_italica_v2.0:IV:37604484:37606426:-1 gene:SETIT_006133mg transcript:KQL11917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEEAPEGSSHGEALELLMSMASSSLACSVSQFPAKWQSIKDKLQQLCCSLNSLCCSVGVDGKDDDEEHPVLVELLRSASATVRNIQAVASQCSDGSYKGGRLRLRSDLDNLSCKLDAHMKQLKEMASSGVPSPSKAIVAVRPGVEASVGEKTFYLKDLFSRIRIGGTVQRSQALATIRELLAEDELCAKAVALDIDDGITFLTGFLESTDACIQEEAAGAVAIVASSECYRGMLVKAGVIAPLVQLLENTDAASELGKERAAQALRELTENSDNVWAVCAHGGLTTLLHACADAGSSSKLISSSFAVLRNLSRVEEVKMFMVEQGVVTELVKLSQKKEEVRKLGAVELLHAMALDDADVREEAVSMGVIQSLLQLIYPDLPYSYKAREVALAAIWFFCFSSANSIDDLISSDVLGWLLFYLNNGDYAVLECTLKILRHLSEVSEEYNRMMGRAGYLSALSSLLGAKSCRVREMAAQVLSSLLLLHPNRTIFVQDSDNLDRLLQLLDPAEGKLIAKDLILSAIMSLTETNSGRKKIVTSEHFCSLKELADSGDFDAKKIVRKLSTNRLQTIFSKIWSV >KQL11021 pep chromosome:Setaria_italica_v2.0:IV:29845119:29845766:1 gene:SETIT_008016mg transcript:KQL11021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLATESLLTHTSSQVPWDSRRLGKDLDRISRGLHTKLPIHVREGLKWLEVPMQATKFASEGGIILCGYIPILTRWKDYKTDNEKHLKNYISKLTRQGRYWLKKKLFNDLPANVVPTKSPMTTLNDDQWNNMVTMWSSPPHRV >KQL11370 pep chromosome:Setaria_italica_v2.0:IV:32944024:32949534:1 gene:SETIT_006920mg transcript:KQL11370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAKSVCVTGAGGFVASWLVKLLLSRGHYTVRGTVRDPGASKNAHLKVLEGAEKRLQLLKADLLDYNSVASAVSGCVGVFHVASPVPSGRSSNPEVEVIGPAVTGTANVLKACYEAKVGRVVVVSSISAVSNNPNWPKGKAFDEDSWSDEEYCRKNEDWYNLSKTLAEREAFAYAGKTGLDIVTICPSLVLGPLMQSTINASSKILLNYLKGDRDTVENKLRNIVDVRDVADALLLAYEKPEASGRYICSSHPIKVSDMINILKNLYPTYPYPKNFMEVEENTVNNSEKLQKLGWTFRPIEETLRDSVESYKAFGLLN >KQL09746 pep chromosome:Setaria_italica_v2.0:IV:5649317:5653425:-1 gene:SETIT_008539mg transcript:KQL09746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTVPGFESLTFAFFKLPCDMQCVITFQTTLPVMGCRRILFEEAGPSGPSRDSADSPSPKRLEINDMRVAVDVRSTEVPERSPRRSEPPQPGERDTIDSCIKVPEMMESSSTRFRTPPTQIGCQQGSNEDETNTTGRQGVVFLPPDTLDANQMIASEEEIPPQTHEAGVSTQSIKNVLQNMHGGAELVPITSRDIENRKATNVREEHADDINKLIEFFKDYQDQAMGVAIAKEFPGVVHKICRWHVVNKHMPHLTNLFGMYAKKNFKDKFYSVLNHPLTPVEFEAAWQELLDEFDLQKDGTLDSLYCQRQLYVPAYFKDQYCGRMASTQRSESSNFVMKKCFVNKHTALHRFAKKMLDFMHSRKMKESEESYHGTSKRLTRSKWPFEIQVSRIYTRNVFKDFEKKMIDCTAYDIEDNPIEGETCYLVTHTNRSSKLSRGQHQFKVRANKENGEFHCECKEWQHTGLFCVHLLRAFMRIQLNSIPQHYILRRYTKYAQQELGFDRNDKLLVGADGVTQLYRIKDLTSLAMAAVRSGSMSRAAHIRTREVLAKLDKDNKEIPPDIGPSTTNMHQESPGEYHANDDRLISRVPPTRSKTKGRSIPPSEKKEITLGAKGVKKGTRKCSICGYYATHNARTCPKLQHNKERLEVLKNRMRGRPRGAQHKRSTSQHDSGGEEHNIGRQQDTKKCKENKYIDYESNDEQFRDTDMEG >KQL10446 pep chromosome:Setaria_italica_v2.0:IV:15280274:15284713:-1 gene:SETIT_006262mg transcript:KQL10446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLHHLPPLLLLLLLFLSAAAAVPAGGADEAHISAVVAEKGLAFAKDVLIGEAVRSLTPLRLPGVEKAVRVPFLGGVRVAASNITLFHLDVGDNSTVYLGDSGLVVVASGVTANISMHWSYRYDSWLFPIEIADSGTASILVQGMEVGITMAIKNSNGSLALSVSQCGCYVKDLVISLDGGASWFYQGFINAFEDHIKAAVEKAIPENIVEGAGKLDSFLQGLPRTVSLDDVAALNMTFINDPHYGNSSIEFDINGLITSAVAKTTNLQKHPHLSLSCGVASKMLLLSLDEDVFNSALEVYFKADSMHWVVDKVPDQSLLNTASWKFIIPRLYWNYPNDDMRLNISMTSSPVIRITSEKVGATINADMIIDVVDDKETVPVACISVVVSASGVVETSGNKVYGRVDLDDFSLALKWSKIGNFHMSLIQGVIRVFLNTVCMPYLNSRLGNGFILPVVHGFTLQDVYVLTSAKQLTLCSDVTFTNTSSLASLALLRYREARVPSVLAAKQALAF >KQL11949 pep chromosome:Setaria_italica_v2.0:IV:37809096:37813443:1 gene:SETIT_006448mg transcript:KQL11949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLYGSRPRRRPRPPHFSLRIRLRFVSSAAVSPSRGVAGCCPRGGTMREGMRERDRGGGADALDAPPFRGPAYKTKLCALWRGRGGCSRPNCGFAHGEAELRRPPPRASFQPRPRPGRRDYRDQDFRVRPERRHSPRGRYSPERDNRGRSVRDGKPSSQDRESSRSRSPIRKSERKHSKSPDGGKTNSSESFRTSDNEDREKDEKYFTSDEKNGCEAQLKQMHLDMEALREDKSKLETILEKKTDEERKLCTRVEDLELQLNKEKEDCQRMTSKTKKLIKAHGRYIKAKEDLKRSQARFERFADLLASDILKPSTKEQGSTGITANEDPYEMSPPSDQRQNHVSASRKRPIALSASEEAKTGKRQRDNDDDMIPASENYRPEDALEHVQDSKGTDIPKPFTAKQKLGEGDYREEGNIVSSTNIFADRVRYLFILQYCL >KQL11950 pep chromosome:Setaria_italica_v2.0:IV:37809096:37814648:1 gene:SETIT_006448mg transcript:KQL11950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGLYGSRPRRRPRPPHFSLRIRLRFVSSAAVSPSRGVAGCCPRGGTMREGMRERDRGGGADALDAPPFRGPAYKTKLCALWRGRGGCSRPNCGFAHGEAELRRPPPRASFQPRPRPGRRDYRDQDFRVRPERRHSPRGRYSPERDNRGRSVRDGKPSSQDRESSRSRSPIRKSERKHSKSPDGGKTNSSESFRTSDNEDREKDEKYFTSDEKNGCEAQLKQMHLDMEALREDKSKLETILEKKTDEERKLCTRVEDLELQLNKEKEDCQRMTSKTKKLIKAHGRYIKAKEDLKRSQARFERFADLLASDILKPSTKEQGSTGITANEDPYEMSPPSDQRQNHVSASRKRPIALSASEEAKTGKRQRDNDDDMIPASENYRPEDALEHVQDSKGTDIPKPFTAKQKLGEGDYREEGNIVSSTNIFADRYKGDDEEVDVD >KQL11951 pep chromosome:Setaria_italica_v2.0:IV:37810542:37814648:1 gene:SETIT_006448mg transcript:KQL11951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGCGRRDYRDQDFRVRPERRHSPRGRYSPERDNRGRSVRDGKPSSQDRESSRSRSPIRKSERKHSKSPDGGKTNSSESFRTSDNEDREKDEKYFTSDEKNGCEAQLKQMHLDMEALREDKSKLETILEKKTDEERKLCTRVEDLELQLNKEKEDCQRMTSKTKKLIKAHGRYIKAKEDLKRSQARFERFADLLASDILKPSTKEQGSTGITANEDPYEMSPPSDQRQNHVSASRKRPIALSASEEAKTGKRQRDNDDDMIPASENYRPEDALEHVQDSKGTDIPKPFTAKQKLGEGDYREEGNIVSSTNIFADRYKGDDEEVDVD >KQL10848 pep chromosome:Setaria_italica_v2.0:IV:26848136:26849554:-1 gene:SETIT_007822mg transcript:KQL10848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSGGPLSVPPGFRFHPTDEELLYYYLRKKVAYEPIDLDVIREIDLNKLEPWDLKDRCRIGTGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIFLGNARRIGLRKTLVFYIGRAPHGKKTDWIMHEYRLDEENVEIQEDGWVVCRVFKKKNYQRGLNPADMAALDDDELQPFPVPVPAAMPTDHKHNPHLMQYEFPSFDPTMQLPQLMNADQPVPTVLPSQPGVPIAMSSLDVDCSQNLMKLTSNGSDGMLHGGAGGVDRFTGTTDWSILDKLLASHQNLDQLFQGKVSTASAPPMAPYHQQLMELGGSSSSLQRLPLHYLGDEAADLLRFPK >KQL09840 pep chromosome:Setaria_italica_v2.0:IV:6584753:6589346:-1 gene:SETIT_008551mg transcript:KQL09840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGIFNPILYGKRLFQRGACHMHTFLLIMQTKYKITCPEQYDLLISAELPNKKKYPDLYRMVTKHIMHGPCRTLNPLCPCTRGRTSCKNHYPWPFCDSTSEARWVTSPEAMWRIYGFDLSKNHPTVEQLQLHLPDMHMVTYHKWDKIERVVKHPGAYESMLTAYFDYNRLHEEARGILYHDFPAHYTWESNGKFWKPRRNVVYQVGRLVSAHPAEGECYFLWVLLNHVAGATSYRDLRTVDGVLLPSFREAVESLFHMPSTLCRLFATILVFCELNDVFGLWTKHLDTMSEDCRCNNPNPSLVEQMVLIDIRNMLQSMGKDIRLFPLPGIDDAYHASGIPHEIFEEAIIDQNPEDRATYEEIMFKVDTERGGLFFVDGPIGAVRLAMLPPCTCGYLGGVAPAALGRAADEPRRAADKPWHEGDLAARGRAAGRRRDRLRMTTLIHFAASTRIYIFRTSSASSGNPDLPFGGKTIVFGGDFRQVLPVVRKGSMTQIVDASLWRSYLWESMCYLKLVRNMRVQSDPWFVEYLLRIGGGTEEVNGDGNVCLPDDICVSYSEDSEKDLYRLIECIFPNLNANMTNKDYITSRAILSTRNDWVDNINTKMIGMLQGGEMVYHSFDSAIDDPHNYYPSEFLNTLTPNGLPPHLLKLKIGCPIILLRNIDPANGLCNGTRLVVRGFQRNSIDAEIVLGQHAGKRVFLPRIPLCPSDDEMFPFQFKRKQFPIRLSFAMAVNKSQGQTIPNVGVYLPAPVFSHGQLYVAMSRATARTNIKILTLPPNAEADEEQTKKKEKKKSL >KQL10651 pep chromosome:Setaria_italica_v2.0:IV:22065419:22068472:-1 gene:SETIT_006717mg transcript:KQL10651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTAGRGISGHAFCQVVLLLAVVLSPGVVHGSGSGGFEKISAILMFGDSIVDPGNNNHRLTEARANFPPYGQDFPGGVATGRFSNGLVPGDLLASKLGVKELLPPYLSDKLQPNDLLTGVAFASGGSGYDPLTSTLSTARSSAEQLELFHDYKEELAALVGEEEMTRVISQAVFFTIMGANDIVNNYFAVPLRRHDYDLPSYMDFLVSSAINFTTTLNVMGAKKIGIVGVPPLGCCPSQITLGGSPSRECEPSRNQASLLFNSKLSKEIQRLNVERNSSGSKFVYIDIFYNLLDLIQNPAFYGFKEVNEGCCGSTVLSAAIFIAYHNACPNANDYIFWDGFHPTEKAYNIVVDKLIQQNRKYLV >KQL11934 pep chromosome:Setaria_italica_v2.0:IV:37726516:37730061:1 gene:SETIT_0081351mg transcript:KQL11934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PALPPQPPRREDEQLVDGWPTWLLDNVPREALEGIVPRSADAYDKIEKVGQGTYSNVYKARERGTGRVVALKKVRLDTSESESVRFMAREIRVLQRLDHPNVIRLEGIATSRMHRSIYLVFDFMYSDLTKLIARPGHRLTEPQIKCYMQQLLAGLQHCHERGILHRDIKGSNLLIDRHGVLKIGDFGLANYYGPGRRRPLTSRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFFGKPLLRATTEVEQLFKIFTLCGSPPDDYWRKLKLSPTFKPPKAYKPTTGERFRDLPPSAVGLLATLLALDPAARGTAGQALQSSFFSTPPLPCDLSELPVVYKEEVADPAASKPKVRQRSQRRKDSKLKTEEQRSGINTESPNKEEDKVIDRANSGQESDGAANAAANASSRVQEPLDVTINVATYSYSTVPGRFSVSPDQVLLPQEASPAAPQDQQQLPAAKASHRSGSDDDHENRKQIRALDDDNDAADGAGEPPSGSGNEGVALNGSQESRSAAFMTDFEAAAAVLRGSEEIPSKQYVIVD >KQL12325 pep chromosome:Setaria_italica_v2.0:IV:40020091:40033467:-1 gene:SETIT_005663mg transcript:KQL12325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGRRPDMSSSSPSPSPAAPPSSGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLRAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTNQAIMRYPEIQAAVYALRNIRGLPWPKDHEKKPDDKNTGKDLLDWLQGMFGFQKDNVSNQREHLILLLANVHVRKIPKAEQQPKLDDQALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPKDAYPNRLNGENTSVGSVHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIILAWNGGTPSDIFDTKVFKQVLSIFITAAVLKLGQALLDIIFGWKARRSMSFAVKLRYVLKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGGGQNQPSLYILAVVVYLAPNMLASMLFLFPFLRRYLESSNVKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMREPIRTFQWHEFFPHGSNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPVAFNERLIPSDANKRKGLRAAFSRKPKASDDEKEEEKRAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLTKRMGSDPYFSYAIRECYASFKNIINTLVFGQREKLVIKEIFDVVDKHIAEETLIRDLTMRSLPALSKKFIDLLELLQKNKEEDLGQVVILFQDMLEVVTRDIMEEEQLGGMLESIHGGHNRRHEGITPLDQQDQLFAKAIRFPMEESDAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRNMLPFSVLTPYYKEDVLFSSQNLEEPNEDGVSILFYLQKIYPDEWKNFLERVDRKSEEELREDEELEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAQDDDLMEGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEPCAHDILRLMTTYPSLRVAYIDEVEAPSQDRNKKVEKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQMHWEKRVNHVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGRRFVHNTPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVFEIFGQSYRGAITYIFITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPEKSWESWWDKEQEPLRHSGKRGTIVEILLALRFFIYQYGLVYHLNITKKITKDTHSVLVYCFSWVVIFVILLVMKVLFNFYFSSINCGTQRSQRRVGCDNDAIRQ >KQL12326 pep chromosome:Setaria_italica_v2.0:IV:40020091:40033726:-1 gene:SETIT_005663mg transcript:KQL12326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGRRPDMSSSSPSPSPAAPPSSGRRLLRTQTVGNLGESIFDSEVVPSSLVEIAPILRVANEVEATNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLKGRVHQSDAREMQRFYREYYKKYIQALQNAADKADRALLTKAYQTAAVLFEVLRAVNVSQSVEVDQAILDTHNKVEEKKKLYVPYNILPLDPESTNQAIMRYPEIQAAVYALRNIRGLPWPKDHEKKPDDKNTGKDLLDWLQGMFGFQKDNVSNQREHLILLLANVHVRKIPKAEQQPKLDDQALDAVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGDEEAFLMKVVTPIYKVIEKEAERSKTIKSKHSHWRNYDDLNEYFWSVDCFRLGWPMRADADFFKTPKDAYPNRLNGENTSVGSVHWMGKVNFVEIRSFWHIFRSFDRMWIFLILSLQAMIILAWNGGTPSDIFDTKVFKQVLSIFITAAVLKLGQALLDIIFGWKARRSMSFAVKLRYVLKLISAAAWVVILPVTYAYTWENPTGLARTIKSWLGGGQNQPSLYILAVVVYLAPNMLASMLFLFPFLRRYLESSNVKVITFMMWWSQPRLFVGRGMHEGAFSLFKYTMFWVLLLAMKLTVSFYIEIKPLVQPTKDIMREPIRTFQWHEFFPHGSNNIGVVIALWAPIILVYFMDTQIWYALFSTLIGGIYGAYRRLGEIRTLGMLRSRFESLPVAFNERLIPSDANKRKGLRAAFSRKPKASDDEKEEEKRAARFAQMWNLIITSFREEDLIDNREMDLLLVPYCKDRELNIFQWPPFLLASKIPIALDMAADSGGKDRDLTKRMGSDPYFSYAIRECYASFKNIINTLVFGQREKLVIKEIFDVVDKHIAEETLIRDLTMRSLPALSKKFIDLLELLQKNKEEDLGQVVILFQDMLEVVTRDIMEEEQLGGMLESIHGGHNRRHEGITPLDQQDQLFAKAIRFPMEESDAWTEKIKRLHLLLTVKESAMDVPTNLDARRRISFFANSLFMEMPNAPKVRNMLPFSVLTPYYKEDVLFSSQNLEEPNEDGVSILFYLQKIYPDEWKNFLERVDRKSEEELREDEELEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAQDDDLMEGYRATELMSEDSQLMTQCKAIADMKFTYVVSCQQYGIQKRSGEPCAHDILRLMTTYPSLRVAYIDEVEAPSQDRNKKVEKVYYSALVKASVTKPNEPGQSLDQVIYKIKLPGNAILGEGKPENQNHAIIFTRGECLQTIDMNQMHWEKRVNHVSSLAWFMSNQETSFVTIGQRVLANPLRVRFHYGHPDIFDRLFHLTRGGVSKASKIINLSEDIFAGFNSTLREGNVTHHEYMQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYFSTMITVWTVYVFLYGRLYLVLSGLDEALATGRRFVHNTPLQVALASESFVQLGFLMALPMMMEIGLERGFRTALSDFVLMQLQLASVFFTFSLGTKTHYYGKTLLHGGAEYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLVVFEIFGQSYRGAITYIFITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWHKWISNRGGIGVAPEKSWESWWDKEQEPLRHSGKRGTIVEILLALRFFIYQYGLVYHLNITKKITKDTHSVLVYCFSWVVIFVILLVMKTVSVGRRRFSAEFQLVFRLIKGLIFITFTSIVIILIAIPGMTVLDIFVCILAFMPTGWGLLLIAQAIRPVIQKIGLWGSIKALARGYEILMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHKKDRATRNKE >KQL11203 pep chromosome:Setaria_italica_v2.0:IV:31670107:31671149:-1 gene:SETIT_007299mg transcript:KQL11203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSACSGVPMRSASGRARGVVAAHGQALRRPRAAGVNGSHPAHGRALRRPMAAGVGGTGLARSGPVATWGHGGGGSRRCGVQAWCGGAAWPQVAVRGLVRDYGCYLPVRAAGTLAERVVAFGDSEDARVCHQHPAMEPGGSCGKACAVRGRGVQGDWDYGESLARPTADGSDTFGCRYLLGSIVVLTSSLRAADASWCKPKPSS >KQL10685 pep chromosome:Setaria_italica_v2.0:IV:23189574:23190778:-1 gene:SETIT_008184mg transcript:KQL10685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRAGHPPARLEERERRREAERCLRLFSTMNFRPHHYPQNYLSPHVHPNFHDHYPQNVNPFLGPSYQSLSPTPASYHGGPFSRNIRQYLPGGVGGFVANGPASPVGSMAFLLSSGGSKEWSYASEDEAKKKGGRIIWNQEDDLRLVSCWLKNSNDPISGNGKKSCHFWKDIADEYNKHAPEGKNRTAMQCKEHWNKTIPHINKFHGVYHDICSTIVGLLFDCQWHDCAGLSSN >KQL09569 pep chromosome:Setaria_italica_v2.0:IV:4315966:4318385:1 gene:SETIT_006052mg transcript:KQL09569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGFELHCNKSQDGHKNVTYLSNLPVVDISLLKGHVRMMSYIASTCHNHSTTYLLDFRGTPFTVSEKENMFTVIGANALASMAGARQSAIYVIGCLTQSSPYKNLAAQEGKCTGVGCCQVALSSRLSYHNISFRDASASDENASGMDGERHCRYAMVVEADKFKFHTAYLNATNFWDEHKGEVPIILNWAVGSKTCDVAKKDTASYACRSSNSYCINSTSHPGYLCNCSDGYRGNPYLSGLSVTVVMLVIAVTCSYLIRERRKLANIKERYFRQHGGLLLLEQISSGQGTTFTIFTEAELMEATDQFDDKNVLGRGGHGTVYKGTLKNGTAVAIKRCISMTDEQQRKEFGKEMLILSQVNHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFQFIHGINGCRSTPFSTRIRIAHESALAMDYLHSCASPPILHGDVKSSNILLDDNYSAKVSDFGASIVAPTDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELITGKKALSLQGPESERSLSMRFLCALKEGRLMDVIDDHIKGEENVGLLEEVADLARQCLEMAGENRPAMREVAERLDRLRKVMQHPWMQRNPEEVESLLGGREPSVAGVEMVSSTTFLTMEKRVGLLEFGR >KQL10947 pep chromosome:Setaria_italica_v2.0:IV:28677427:28682892:-1 gene:SETIT_007078mg transcript:KQL10947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPSSSYSLSSKKRPRSPNNNDEHPQGRDQICSSLEDNLTFNDTMIALQLMRTQFPKLEKVVVQPFILQSQLYSSVKDRTQVDRDLESFKKDKLLRIFKLSSGQDDHAIMFMDDYLKQVAFAIKRSGGKDQDGSEVFKWFERYVIPSKLDVSINQIELCSLLSRGGGVTDKHITLLMNAGLLTRQLIDPNIYWFAIPRIGPILKGLSQGRKEILSLLNRKKYKEMLLSSLEKTKLRLSPLDTRFLLRDLIGSGHIKTVQTPTGLLARITRD >KQL10946 pep chromosome:Setaria_italica_v2.0:IV:28677710:28681260:-1 gene:SETIT_007078mg transcript:KQL10946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPCSDDAEDNLTFNDTMIALQLMRTQFPKLEKVVVQPFILQSQLYSSVKDRTQVDRDLESFKKDKLLRIFKLSSGQDDHAIMFMDDYLKQVAFAIKRSGGKDQDGSEVFKWFERYVIPSKLDVSINQIELCSLLSRGGGVTDKHITLLMNAGLLTRQLIDPNIYWFAIPRIGPILKGLSQGRKEILSLLNRKKYKEMLLSSLEKTKLRLSPLDTRFLLRDLIGSGHIKTVQTPTGLLARITRD >KQL09612 pep chromosome:Setaria_italica_v2.0:IV:4586689:4590680:1 gene:SETIT_006254mg transcript:KQL09612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARLRCAAAIAVATATPAVAAPPLRRHPAFLPVPGAARLRLTSCRIPPPRGAAAAAMSSSARAEHEAGAWYAVPGLSLRDHRFAVPLDHSSPDSGATITVFAREVVAAGKEDASLPYLLYLQGGPGFESPRPTEAGGWLKKACEDHRVVLLDQRGTGLSTPLTPSSLSQITSPTKQVEYLKHFRADNIIKDAEFIRLRLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGEPCTAQTVYRACFKQVQQQNEKYYKRYPQDIQVIHEIVRYLSETEGGGVALPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLLERVWDPVLVPGAKRRISYYFLKEFEMWLGFDQNPLYALLHESIYCEGSSSKWSAEKIRGEYESLFDPVKATEEGRPVYFTGEMVFPCMFDEIHALRDLKEAAHLLAEKEDWPPLYDVSKLNNNKVPVAAAVYYEDMYVNFNIAKETASQIAGIRLWVTNEYMHSGIRDGGSHVFEHLMGLLNGKKPLF >KQL09613 pep chromosome:Setaria_italica_v2.0:IV:4586708:4589185:1 gene:SETIT_006254mg transcript:KQL09613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARLRCAAAIAVATATPAVAAPPLRRHPAFLPVPGAARLRLTSCRIPPPRGAAAAAMSSSARAEHEAGAWYAVPGLSLRDHRFAVPLDHSSPDSGATITVFAREVVAAGKEDASLPYLLYLQGGPGFESPRPTEAGGWLKKACEDHRVVLLDQRGTGLSTPLTPSSLSQITSPTKQVEYLKHFRADNIIKDAEFIRLRLVPDAKPWTVLGQSYGGFCAVTYLSFAPEGLKSVLLTGGLPPLGEPCTAQTVYRACFKQVQQQNEKYYKRYPQDIQVIHEIVRYLSETEGGGVALPSGGRLTPKMLQCLGLSGLGSGGGFERLHYLLERVWDPVLVPGAKRRISYYFLKEFEMWLGFDQNPLYALLHESIYCEASSEPYSSTNTTSVLFYLALASSNSS >KQL09771 pep chromosome:Setaria_italica_v2.0:IV:5886295:5887988:1 gene:SETIT_008098mg transcript:KQL09771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAASAAGFADLIAAIMALEIFFFLEPQSTGAGTAPSEQAPLIDSAVELCLPLAAAGTLLTAVAFVYNHLNCHAAVPAAGAANRRVSGVAFFILCVSAGVLDLFFYVQPPAGSVDHGARARALGLGALRALPAAATATFFWGMMLIIIAHVRAGGEGGGGAGAGAGHGAIKMAVRILTMIAVGAAAGLAFLVIMALCVKCPRCDSPPYPYLALISTTGIQRRACRARCAMRPCLVCPVLAPLGQLFPSPTMSLVSQCLSPSMATVYTGPSVRRPHHFDRAGEEAGLPTFQAHTSNIPCIGLQLRRRGRGGIMDVGVRIKTKLEEEVPTGYGISIMNLVMCNYIQMNVVLNTVLVVLVICDYGSCRVRGDTLSLLVYSEQTFLCNHLIRLMCDCFGEVHMRGAIFIWCTYLIIADLTNLRCLYWCPGIRNW >KQL11838 pep chromosome:Setaria_italica_v2.0:IV:37097337:37098263:1 gene:SETIT_008452mg transcript:KQL11838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARSPLRRWERFFPAFAAIDDAIEAASGHPRGEFRSVKARIVGLLRAAGDDDGVAEKLCAALDAAMEEALATLRVAAPSPPESTGLAGAVVVLSDDHESARVRGLAGDVVRRWMAATEAFLVGAIRFIEVVNNSKRANEPLILRESASSIVAERTRGCDAHFAADEDKERHAPPTKTKVPSLRSNPIKPANGSASVKVAAPSQNERAQGKMEENTEARRKTPAGGNGGGNRVQSEEMMEATKRKLREGYQQVEDVKRQRKIQVIEAPKMLERRQKKMHPNLRERSRARCGSSSAVRRSLIPSLHRI >KQL09285 pep chromosome:Setaria_italica_v2.0:IV:2313656:2314071:1 gene:SETIT_009038mg transcript:KQL09285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENELSGHVEELFDYNICSKACVASTFLAAWSISSVLIQRYNF >KQL09284 pep chromosome:Setaria_italica_v2.0:IV:2312176:2313983:1 gene:SETIT_009038mg transcript:KQL09284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSITTIDGDFCGGARAFPELRKFCLCRMGNLKLWETMYSYDMGSVKKFMFPNLVELLIRDCPNLRLKPCPPRAKRLEIESSDNVLSSWGEWGASSVSSVSLTNVMVTVKSSKVPLVQWMLFHHLRAITELRIISCTDLSCNSLDIIGDLSFIKSLWLEDNTQPELPKWLGDLSSIRKMDITGCKELSDLQGSMRHLTSLQELSFIDCPRIKVLPEWLGDLTALKKLEIRYCRGINSLPQSIQKLTKLKVLTIYHCPELAKWCKIEKNKKMLAHIQVKYIFLMNDHLVQRVKLHGKRIKRSCGRTI >KQL10458 pep chromosome:Setaria_italica_v2.0:IV:15517048:15519109:-1 gene:SETIT_008140mg transcript:KQL10458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLMHFIPAMTVMEEHSFTTQAVREDRTEMDPQASQLGEEVHAPADCTEAGQVPEEEEVEYAFEDEAPEEGTKKRLFEVVIELIPLLIRIYDISMGMMTTGFVTALGAKVGRVLEVGEAVLEVGEAVKDFKRVRVDFALEDSLKYTALAMLSGSAPDKELNEEGVRFGTELRTSPFKKELGRMLSFHVSTPPVKRGLNFSRSQKERLDGRVVGSSTAKRTVIAEVAEDLVHGVQKMAVDVIRPSTNVGSVANGRMQDEMLGVNERVCGIDLYNGSSDGTLSTQEESAKNKGAALPLNLHDRLLLAKSKTGGLPDRKSLVKSPGATKHINKSRKTNKSLKPEVIVQSLKELQSNGKLMGSLLAPMGAGLGSRALEEEKKVAGETQDALKRVAATNNLVGAKDKPHQAQ >KQL10389 pep chromosome:Setaria_italica_v2.0:IV:13998772:14002952:1 gene:SETIT_006991mg transcript:KQL10389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAVTENAATPVGAAAFEAAVHADQRVEGGAVVEDSAAPTVAIEATSDADQIIEDAAPEDGRHGDTVTHVDVSPEEMRSIIEIIADTGKFWHDWNFLKSLLSLQLKQVLDEYSEAQMASQDGVQQQRSFSGETYSELVGRLSDALWRFEEGPPFTLQRLCEILLNPKGTYTKLSKLALALEKNLLVTSTIAKCTDPYPAAHGPPSSDCTQITENSGPVDEEPESTPEHTTAVPNGTEHVAGDRDEEMADAEAEELSGSHDVEMQEDKPDQIEIVNSDANPGAAADTEAVNVSEQLSEPQS >KQL10489 pep chromosome:Setaria_italica_v2.0:IV:16473970:16477731:1 gene:SETIT_006294mg transcript:KQL10489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSTVDGGTDGLFAQKTMDISDEALASYKNQGGYDMLGRTRDQIRTTEQVEATLAICQALKLDSLVIIGGVTSNTDAAQLAETFAELKCPTKVVGVPVTLNGDLKNQFVETTVGFDTICKVNSQLISNVCTDALSAEKYYYFIRFMGQKASHVALECALQSHPNMVILGEEVSLSKLTIFDITKQICDAVQARAEKDKYHGVVLIPEGLVETIPELYALLQEIHGLHNKGVSVENISSHLSPWASALFEFLPPFIRKQLLLHPESDESAQLSQIETEKLLAQLVENEMNKRLKEGTYKGKKFNAICHFFGYQARGALPSKFDCDYAYVLGHVCYHILAAGLNGYMATVTNLKSPVNKWKCGAAPITSMMTVKRWSRGPTASQIGKPAVHMASVDLKGKAYELLRQNSSSFLMEDIYRNPGPLQFEGPGAETKPISLCVEDRDYMGRIKQLQEYLEKVKSIVKPGCSQDVLKAAVSAMASVTEMLTIMSTPPFSSQATI >KQL11437 pep chromosome:Setaria_italica_v2.0:IV:33644044:33648389:1 gene:SETIT_008344mg transcript:KQL11437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSGRVALHSILFVSTQMPYYHGNMKFSGKVLVYKHPGLHFGDIHKLTATHIDGLQEIVGDSKYDIFFPTSGSRSLADEMANSDFDGDMYWVSWNSQKKPQDYDGSKLESLLVSEFLRARFSPSYVLGTSANCWLTLMDRLLTPGVSQSEKDLIKINMLELVDIYYWALDAPKNGNKKYPHFLEREPSYHSTSLLGEIYDQAKSQQSETAPPINNFERSRVSFISVRCSLVALQLREWVFGSDVRLRLTSRLDDFSRLRIFRPRISPLKCFTEKVVSKDYKHRWTDLYRKYLKESSSLSEFEASLRSRSDLFDEACAICQVVGKCGFASKVAGRALCELYVLKHGGERVTCLRSVLEDAFKKNRAGQARRDVGE >KQL09665 pep chromosome:Setaria_italica_v2.0:IV:5058114:5058877:-1 gene:SETIT_007481mg transcript:KQL09665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KQL11774 pep chromosome:Setaria_italica_v2.0:IV:36714733:36720156:-1 gene:SETIT_005831mg transcript:KQL11774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRLPAPPAARWAPLPSQVSAPAAAAATAVARRVRPPRRLVALRAKGEETPETPEPPVRTLLIDNYDSYTYNIFQELSVVNGVPPVVVRNDEWTWKDVFNRVYKDRAFDNIVISPGPGSPACPGDIGELTSPSVYVCGYFWSVETYPSWVSALATSEIEHDGCYLFKCVPSGRNSGFKVVRYHSLVIEAGSLSDDLMPIAWTASPNLLSYLESDRTDVSPFLGSLDNNFMAIPLEHSISCGELSNISNDNASESDGSRVIMAIRHSSRPHYGVQFHPESVATHYGRQIFQNFKKMTRDFGLHSSWLQETKVNSAGQCGSVSHDLLHTERLELQEPNGACMLAQRAIGKKCLRLRWKKIDRFLCSSVGSEDIFTALFGHQSGEDTFWLDSSSVDQNRARFSFMGGKGGSLWKQMTFHLSGQRANCGGTLIIRDACGSTANNFIKEGFLEFLNKEMQSIEYNEKDYEGLPFDFHGGFVGYLGYGLKVECGASSNKAKSSTPDACFFFADNTVVVDHSNGDVYILSLHDEFSSSNGDGTCRNSTHNSWLVETEKKLLRLGAMPPGLLINGKAYAISSNVNKQSFVVEKSKDQYIRDVQSCLDYIRDGESYELCFTTQMKRRVDYINALQLYLKLRKQNPAPYAAWLNFSSENLSICCSSPERFLRLDRSKTLEAKPIKGTIARGRTPEEDECLRLQLQYSEKDQAENLMIVDLLRNDLGKVCEPGSVHVPRLMDVESYKAVHTMVSTVRGTKKSNLSPVDCVKAAFPGGSMTGAPKVRSMEILDSLESSPRGIYSGSIGFFSYNHTFDLNIVIRTVILHNGEATVGAGGAIVAMSDPEAEYDEMMLKARAPTKVVEGCSQTVYSSDRSESMQATTS >KQL09427 pep chromosome:Setaria_italica_v2.0:IV:3303280:3307690:-1 gene:SETIT_005993mg transcript:KQL09427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFGSRRVEDEMALTRQRTVRFHDERAKATIPIHQKQHGLAASRLGLGSSGKNKIFVAGDDLWYNKIIDPSSDFILTWTYIFRVSCFIALFMDPLYFYVPIIDYRPTPAGTPTIPCAGKDRRIAIIVTVFRSIVDLFYAIQIIIKFRTAYINPNSKLGVFGRGDLITDHKEIAKQYLRSDFAVDLVASLPLPQIIIWSVIPAIKYSSSEHGNDMLLLVALFQYILRLYLMISLNNKIVKITGVFAKTAWQGAAYNLLLYMIASHVLGALWYLLSVDRQFACWKKYCNETEIRYLYCGVIPDPSWNGTLVFSRCNASSKPDFDFGMFQPLLYNETPNQSFLKKYVYCLWWGLQNLSCYGQTLTVSTFLGETLYAIFLAMVGLVLFAHLIGKVQTYLQSITARVEEWRIKQKDTEEWMRHRQLPHELRERVRRFIHYKWLATRGVDEESILIALPADLRRDIKRHLCLDLVRRVPLFSQMDDQLLDAICERLVSSLSTEGTYIVREGDLVTEMLFIIRGKLESSTTDGGRTGFFNSITLKPGEFCGEELLEWALVPKPTVNLPSSTRTVKAILEVEAFALRAEDLRFVASQFRRLHSRKLQHTFRYYSHHWKTWAACFIQHAWRRHKRRKMAKDLRMRESFSSMRSYEGHGSPEQNFTLRRGVSIIKELPKFRKPSEPDFSAEHDD >KQL10037 pep chromosome:Setaria_italica_v2.0:IV:8782606:8784192:-1 gene:SETIT_008514mg transcript:KQL10037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLLSIHRNRMIRKGSARLSPRALKQIHGNLVVSGITSRGLQPVRDILLSCIVSFRGGMDYARRLFDGIPPPGPDLFMHNAMLRGYAHAGAPHAAFALYSRMEAVGLRPDGFTFCYLLRACCAGIGMPVGSSAGWQVHAVVVKLGFLEDAFVRNALINMHAKCGDLGVAGTLLGEASERDIVAWSAVIAGNAARGNLDVARQMFDQCRHKDVVCWNVMLGAYAKNGEMEKARELFDCAPEKDVVSWNTIITGYSTQGMLEQALEVFDEMRGAGWMPDDATIVSLLSCCANVGSLDAGRMIHSWHLEGRRLSILTGNALVSMYAKCGDVNTAMEVFSRMEEKDVWTWNSIIGGLALHGQAEKSVQFFSKMLDEKMHPNEISFLCVLSACSHAGLVEDGQRYFSLMKERYGIEPNARHYSCIVDMLGRAGLLDEAFAIVGSMRQPSAVVWRTLLGACRTHGDVALGKIAQERLLSINGDASGDYVLLSGIYSSYGEWSRVETVRRSMDKRGLRKVVGCAQIGHKTAGLSTL >KQL08914 pep chromosome:Setaria_italica_v2.0:IV:271001:273749:-1 gene:SETIT_006621mg transcript:KQL08914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRRHFLDDPFFPFPPSSCPFLDYDSPSPFSTSPFPELDLFLPPAPPLNPFPAPSPYPFLLRDLTDRVAALELAVAARRPEPTTRKCTYVTEAGGRKVKWTSIEKPRAGDRTLKWEAEIKSPNDDGFDRKWKWEAKGGSSRKIKWGAAVKGKGSLQPWSQAYTWEEDFAATDTSDEEDEKKADRNKTKIADKHKKNKEGKVVNKAKKCPVATVKIEEIPDDNDAGCVAIRKAFAKGNGKGKKKELSPEDAALLIQMNYRAHLAHRSQVLRCLRDLAVAKAKLKEIRSLFYNISYRRRIAHDHEERQRFSEKIIVLLITVDALEGPDYMVRTAKKSMLEELEAMLEVVDPQSPGKRRSLSRRKFDLPEGGAVSGEKADGVNKAVRIINEGK >KQL09588 pep chromosome:Setaria_italica_v2.0:IV:4431815:4433515:-1 gene:SETIT_008612mg transcript:KQL09588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPSSRGRPRALPRLEARDSVSEKKAIGVDGTGELKNSNFWATPQGWVLVRDAAASSTYLLDPHDPRTRIQLPHLPEDNLPPVCTCLLSGYPDDPANPKGCLVLLIELDNPIIRYCRIGGGGGDGDEWVKHEYDIGTLDLPEGSSEKLVMCSVAACNGKFYFNGGFDELSVLEFGGPVAPAFSSVAIRDAVEELFMVSLLSATDLNVSTGMDFSRQEWREVGDIGGRAFLLSPCECGPEANCVCMAYAETKRLMVFNVKDGTTKMQDLDGAPVSELALWMLPTNP >KQL11683 pep chromosome:Setaria_italica_v2.0:IV:36052414:36053341:-1 gene:SETIT_008689mg transcript:KQL11683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWDLLEGPWELNKLYGWIMNAMKQDIRAITEHVPTKVFLSVLDYQIVIDFEDLHRLYCRQHLDVILITIWCL >KQL10521 pep chromosome:Setaria_italica_v2.0:IV:17348758:17350432:1 gene:SETIT_008150mg transcript:KQL10521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHTNRCSIRRTTGGRTNDCSIKAGGLARRPSTTCSSIITITTCGPSRGATTTRCEPARGATTTICGLAKGATTTIGGPAISALSHTGLREGRIRYCSKMAHKQQSSQDNRQRKTFDNRIYWIVLKNLSTEKSLLPDRTIAGIPKNVVHVVKENAEYLDPYAICEVRHNFSSQQRRTKGRNKYDNIKSYEEGSAYIAFMTLKWKDRHYIWAPCNFQAYQHCITDGGIHNPERPKEMVVRTNFPCHKKPSGSVHYEYYVCEHIRMLGRYTTDPERTRPRLHSSRLHEQQLLNIGVDL >KQL11047 pep chromosome:Setaria_italica_v2.0:IV:30358189:30358802:1 gene:SETIT_008217mg transcript:KQL11047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCACGSGADCGMAAHASFAFNTYWQRTKAAGGTCDFAGAAMLVTRDPIPFVLF >KQL08981 pep chromosome:Setaria_italica_v2.0:IV:537041:539254:-1 gene:SETIT_005933mg transcript:KQL08981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCCRATASPPPSPPTPSHAADPHARLRAAAARSDLPGALTAFASMPSSPSAPAAARPVLRTFTALLKLCAARADLATGRAVHAQLAARGLASESLAATALANMYAKCRRPADARRVFDRMPARDRVAWNALVAGYARNGLHEAAMEMVVRMQEEDGERPDSVTLVSVLPACANARALGACRQVHAFALRVGLDELVNVSTAILDAYCKCGAIEAARAVFDWMPVKNSVSWNAMIDGYAQNGNATEALALFKRMVKEGVDVTDATILAALQACGELGYLDEARHVHELLVRIGLESNVSVMNALITTYSKCKRTDLAAELFNDLGNKKTRISWNAMILGFSQNGCSEDAVRLFSRMQLENVKPDSFTLVSVIPAVAEISDPMQARWIHGYSIRHHLDQDVYVLTALIDMYSKCGRVTIARGLFDSARVRHVITWNAMIHGYGSHGFGKVAVELFEEMKGTGILPNETTFLSVLAACSHAGLVDEGRRYFASMKEDYGLEPGMEHYGTMVDLLGRAGKLDEAWLFIKDMPIQPGISVYGAMLGACKLHKNVELAEESAQRIFELGPEEGVYHVLLANIYANASKWKDVARVRTTMEKKGLQKTPGWSIIQLKNEVHTFYSGSTNHQQAKEIYARLAKLIEEIKDVGYVPDTDSIHDVEDDVKAQLLNTHSEKLAIAYGLIRTAPGTTIQIKKNLRVCNDCHNATKLISLVTGREIIMRDIQRFHHFKDGKCSCGDYW >KQL10304 pep chromosome:Setaria_italica_v2.0:IV:12636390:12638939:1 gene:SETIT_008282mg transcript:KQL10304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRAAAGPCCSFRDKCLCSWVRCCSPRIPSVAPGRDYSCVPASGDDPGAWSVLVGFISVSEGNAVDFRLHRFHVARSGRVLGRSDDTLEVVRGGDDIAKGGEMEPPQILSAMASPSPDGRSLFLFPIQIEDRLRPLQLHLRLYASDNRKITASPLPAVPLGPIMRTRPIAAANDLWAPCFSDHVGPPLSSTLTMKRLDKDAGRWVEVDAKNKPHVTSPPPGEFVGRVLHGYVVIGHVILLSMQPSHVFFTFDCSTCTWAEVVTTETEKNRYIPIHERAVYVEEDDTIYFLSSAVVYAYKLCQDDRGQYRMAPPTLVDCICPFDDEGYGFLTHLGNRLMCAVWIGVSLRCSCDTRHVLITVFRVTGSMSNQGHFFPKGIKILHSTCRRLDISPSTPITSNGEFCFLQEYEEFNLDASMPLEAMEAATSLNVAEPSVMLGCCREFRNETPLLTAVMLEGSPILARKALYIVCQVASHSTVYKVFIADGRLESHGQILRPRCIMNTFSSGDEYGMMKKPLPWHFVCCSKNIYAYGRSGDELYTCNLHSGALSCIPLKRPVQVSIALVLQVGSRIIAIGDTICDVYCFGSNQEWKHIRTHGTFNLKREVNLSGYAVLSDDIFIVSDADRSCLLLLDLLTREWSYIRIFSEFSRSPHSWVEVVSGWPSESGFLNGRSVFIEGFIYSCADGGIAAYEIIKQGDSYYLGDHVYMKLQWCKFWEAHRMCLDYVGKDTVSGAIVLCVVQ >KQL12170 pep chromosome:Setaria_italica_v2.0:IV:39249405:39251452:-1 gene:SETIT_006925mg transcript:KQL12170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSLPSPLPSHLGLGLPIPGAASTQPAPPAMAYSGGGKMSAVDAILAEAADLIALEQIAKLNTAHVADDSALPSSLESRFRKLKSLPAAPSAAAPVRTLGRSVTAPHPTLPDPPRPRPPHEQPAAPAPAAQDERPPGDAAAAEAAEKKGDSSPPLPQQQARPSATVPAAHGDDDDEDLERLFGSGRRGRPTLRERNRGRDDGGGGSPSPPPPRQACCFGFSPRKPLQRTPTKGKKVHGSGDGDVLGVDAGEWGDENRRMVTELKEQQRKLKKALEEQVKVSRETAKMASWVKQASARMTHTAAIDDLLSDCEDDEELK >KQL10642 pep chromosome:Setaria_italica_v2.0:IV:21766789:21771128:1 gene:SETIT_008261mg transcript:KQL10642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARVPPSSAARLLPSSLLQSFLRPFSSSASPLRSSRRRSSPIAAAFSHAVREGQVMEAPIPISRRPWKPTCLYYTQGKCTMMNDAMHLEKFSHNLMMDLPVNASAADKVKPQKLDYLLILDLEGKVEILEFPVVMIDAQSMEFIDSFHRFVRPTAMSEQRITEYIEGKYGKFGVDRYVQHIPLLCCSIQFDLYDLNQFQE >KQL10953 pep chromosome:Setaria_italica_v2.0:IV:28766403:28768099:1 gene:SETIT_006538mg transcript:KQL10953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGYVLAEVQVPVVEIRLPRAGGHVWLHERVEVPDPLHLRCATAVSTGEPQVHVLDVVQHAGAVRERRRRLRDAADRRAVGVQDHDPRVGPREPGGEDVLPRVPADGLADVVGGHLGVGARGGGPVQDVLHSGAGAHAKVRHRLDPGHLLAVRAVHPHPELPEAAPHHRQERVARAEVQGLEGRGLHDAEALAAAASDGPEHVVSHGVLVQEPPLGIHKHGVQDVVSGEAVLAEQRAEAAAAEVSPDADGRAQAGRESQLVARLCDGVVELAERRAGVHPRGGVLLVDTDAAEVGQVDHGERLRAQGPVGQALVVVPAAAHAEADAVPAAADHGGLHVGGVRGRDDPERPHRCRCGEPLVPDGGHQHRGERRRALRVHELAGDARREALEEVVRGSVGRDEGVATENGEDEHRR >KQL09235 pep chromosome:Setaria_italica_v2.0:IV:2024272:2025269:1 gene:SETIT_007603mg transcript:KQL09235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFIFLANYVHSPRLQADEQGRSTCSTCPLTCHNSYTRLCWWWQFISHNVFSEPVLSSFERNHRSTHSWAVQIKFTRTNTVTLESERDANNTMRRFKPWLQYQ >KQL11267 pep chromosome:Setaria_italica_v2.0:IV:32087741:32089413:-1 gene:SETIT_008733mg transcript:KQL11267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISCYLLLALLPLVLLIFLRPRLQQRLRNEAPPLRLPPGPWRLPVIGSLHHLIMNPLKHRVLADLARRCDAPVMYLRLGELDVVVVSSPDAAREVMKTHDVTFASRALNATARATAAHGLGLVMTPYGERWRQLRKVCVVELLSTKRVRSFRPIREDETAQLVADIAAACPPGGEPVNITQRLAKPIADSSFRAMMGERFKYRDEFLESLSQMVKIGAGFNVGDMFPSSRLMCAISGTVRKSRAFHRKIYQLIDYAIDQHGERKAAGGAAAAADADMIDVLLRIQKEDSLDYSSLSIGTIKAVILDLFAAGSETTSTTISWAIAELMRNPSVMQKVQEEVRHAVQDKSRVSEDDLVNFHYLKLVIKETLRLHPAAPLLIPRECQEPCQILGYDVPKGTYVFVNAWAIGRDPKYWDEPEAFKPERFEGVAFDYTGTDFRYIPFGAGRRICPGAAFSLANIELILATLIFHFDWKFPAGVMPGDVDLTEEMGVTVRPKRDLYMHASVRVPLPAREPLI >KQL10374 pep chromosome:Setaria_italica_v2.0:IV:13653548:13655569:-1 gene:SETIT_008816mg transcript:KQL10374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQMMKIITSFLLLGVVQTIAALVAGGGADDQFVYSGFTGANLTMDGTATVTPVGLLELTNGTLQLKGHAFHPTPLRFREGGGAGAVRSFSASFVFGILSAYPDISAHGIVFVVSPTTNFSAALASQYLGLVNVTSNGDERNRMFAVELDTLQQDEFRDINDNHVGIDVNSLISLNSSDAGYYDDDGSFKNLSLISHEDMRVWVDYDAVSNRINVTLAPLGLVKPAKPLISAIYNLSAVLTDMAYVGFSSATGSFNSRHYVLGFSFAMDVPAPDIDITKLPKLPREGPKARSKVLEIVLPIASAAVVFCLGTVIILFMRRRTKYSELREDWEVEFGPHRFPYKDLHRATEGFRNKNLLGVGGFGRVYKGVLPISDLDIAVKRVSHNSSQGMKEFIAEVVSLGRLQHRNLVQLLGYCRRKGELFLVYDYMSNGSLDKYLYDHERRPTLSWPQRFKIIKDIASGLLYLHEEWEKVVIHRDIKASNVLLDSGMNGRLGDFGLARLYNHGTDPQTTHVVGTIGYLAPELARTSKATPLTDVFAFGTFILEVTCGRRPIFQDASDKQVMLVDWVLEHWREGTLVDTVDANLRGDFIVSEACLVLELGLMCSHPFVNARPSMRQVVQYLSKEVPLPELIPTNMSFHMLALTQNQGFDSYIRSYPSSSRESIRTTSLASQ >KQL11243 pep chromosome:Setaria_italica_v2.0:IV:31979307:31980936:-1 gene:SETIT_008003mg transcript:KQL11243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGEFLASAALKQVGGMLGSAIWEAIASQLKLGDELKGLKDTVDAIQNSMVRVEKRLMKDGDVCVWMRELKAAAYDMEDIIMEFEGAILSNKDDSQNNKSTTEISIPLKLRLSMPSKLKAMKQRLDNIEKLRRFDLMVDTSSDDQDVIQTRATGPCLVEGILGRDKDKLGALPYDDCWKLFKAKAFPNGIKESEMAKVHMGEKIVKKCDGVPLAVKSLGDRLLDMPMHKWEETLKSDLWEHERDPTTGTTSTAILPSLKISYYHMPYYLRPCFVYFSAFPKGFVIEKRELIHKWIALRFVLTTHRAEEYLHELCQMSFLEATSDSISNMAFIYY >KQL11304 pep chromosome:Setaria_italica_v2.0:IV:32496335:32497060:-1 gene:SETIT_007967mg transcript:KQL11304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVELARQAAARDDVRAAREVAELPVMRYHGVQKYGRRYAATLLNPFVKKVIWLSSYGTPAEAAYAYDAAARSVIRHWARLNFPELSPAAREVAAVDLTRMRRAPEPAHQQQPALRRQALLIRCWAPEPAGAAPPAFPFQREVTPQVYRVHYRPFSAAIGGELYATPFVLGVHDLNEPMPNAPASSALSSTKPGLAAAAPNRRELPNPSAMAMEPDQSVVGDNFTYVDGASLPAAPTFGWVW >KQL10271 pep chromosome:Setaria_italica_v2.0:IV:12310119:12311336:-1 gene:SETIT_008687mg transcript:KQL10271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFHEIKLPYTIHCAIPAALLATCLVILAVVILQDDSEPLLPSLVADGDSGSANLSCNIFKGEWVPDPGAPCYTAETCPVIHGHYDCLRYGRPDLGFIRWRWRPAGCELPHLDPARFLRAARGRSMAFVGDSLARNQMHSLVCLLARAETPSPWTNAAGHAFRFRRHRFTVASFWSPFLVHAAEADPDGPARSGAGLWSLHLDEPDAGWAARASEFDYVVVSAGSWFFRPSVFHERGHLVGCNACLAPNVTDLTLRYPLRKAFRTALRAAAAAGAPPGRRRARTVVVGTVSPSHYENGTWNEDGDCARTRPLARGEWEVNAVEKEMHAIQVEEFAAARREAKGKGAARMLLLDATEAMAQRPDAHPGKYRLWQPDRFNVSRDCLHWCLPGAMDACNDMLLHMLLH >KQL11438 pep chromosome:Setaria_italica_v2.0:IV:33678081:33678421:-1 gene:SETIT_009068mg transcript:KQL11438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKLLLSSGLEYVLKERESILSSMLLWGNDENIFQRLFLRVINVIYLLIIPCTSV >KQL11002 pep chromosome:Setaria_italica_v2.0:IV:29343432:29351771:1 gene:SETIT_005706mg transcript:KQL11002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAAAESRKPLYPAAFTKLLSPGAALTADPESREERRRRSEAVAWLRSLLAGEGLPLPPPRASDHDLRAALVDGALLAAALRTLAPAASTPDEGGASAAAAAAGGTDVARFLAAVERMGLPTFAASDLDRGPMSAVIVCLLALRDRFGSHVGEGLHCSLEENGRMPSMEFSIRENGHSTQNSGFGEESKQARGNLQKVSKSPGPSEPSSPMSRPELSSISRHAGHNFHEVFHLRHGVYSDVPTSKILEMMKSTNLDNAPTQSLLSFVNSILDEIIENKNGEIPYHITCLLRKVILEIERRISTQAEHIRNQNNLMRAREEKYKSRIRVLEALASGMSGQTQIHSNATNGKANVAADYVMKMDKSKGEERRLVDKDMPSSMKDKEDVTRLTKDKEDMARLLKDKEEIIRLMKEKEEIVTLIKEKEDIGTLKNGKVDDKDQSADVHAAKSMTYDDKIFRMMKEKEESNHTIMKLKLELETMKSSYEESQSMLKSVKEDMLKLLKDKDNSDIIISKLRQELAEAGKSHNRRIQELETRALQANEEFEQRIKAVELMLEDSRMRASDFEESLKSRIESWEQKEIMVNQFVGLQIQSVQDLRLSSVSIRHEIQNCQKRWCEEISGLGQSLNVLINDAENYHAALEENRKLFNEIQELKGNIRVHCRIRPFLPGEDRKSTTIEYVGDDGELIIANPTRKGNEGSKSFKFNKVLGPTASQDEVFKDIQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPENATEKEWGVNYRALNDLFHISHNRGDTIMYEFSVQMIEIYNEQIRDLLCSNGPEKKLGILNASQPNGLAVPDATLHPVSSMSDVIQLMRMGLANRAVGSTALNERSSRSHSVVTIHIRGLDLKTGATLRGALHLVDLAGSERVDRSAVTGDRLKEAQHINKSLSALGDVIFSLSQKNAHVPYRNSKLTQVLQTSLGGHAKTLMFVQINPDVSSYSETLSTLKFAERVSGVELGAAKANKEGKDIREFMEQLSLLKDKIAKKDEEINRLQLLKTQTPRARTVKRADSPLKHSSSSPGISSLGSRIKHRRTASGGKAMNIGSRAGSDADNFSDISDRHSESGSMQSVDDIQPQRGIMGLPKISLGEMSQNSADPELACFGYAESEERLSDISDSGLSMGTETDVSVGSIVELTLFPEQEKTSSTLKEKEKAPKTPNDRLSKVATRVQRTTAPKPAQTSLWPKLRDPPAPRSPLSAARTSSTQAISAPRTSNTTKRWT >KQL11461 pep chromosome:Setaria_italica_v2.0:IV:33958276:33961389:1 gene:SETIT_007236mg transcript:KQL11461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVLGRQDTQKRSFHGSKLEAKMVDAMQQRASHGTSLKSFDGIVMKFPRIDESFRKCKTIFEQFDEDSNGEIDKEELKHCFQKLEISFTEEEISDLFEACDINEDMGMKFNEFIVFLCLVYLLNEPAVSEAKIKMGLGNLEATFETLVDAFVFLDKNKDGYVSKDEMIQAITETTTGERSSGRIAMKRFEEMDWDKNGMVTFKEFLFAFTRWVGIDENEDDDE >KQL09661 pep chromosome:Setaria_italica_v2.0:IV:5043398:5044312:-1 gene:SETIT_008428mg transcript:KQL09661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAAHKAAAIRKVVRIFCDDRDATDSSGDEAEAGAGVATPRGVRKFVKEIRMEQRRAITSSAPAPAGRVAPGGGGKRKLPGVPAAARAAEPSYRGVRRRPWGKYAAEIRDPHKNARVWLGTFDTAEEAARMYDSEARRLRGPSATTNFPAAPPKPVRVVPPPQAIPAVVADLSSAEESSDESQLVGSPVSVLPAMPGETTDDAVAPLALKPTDATDSTAKKDASPFSADAILPELEEGVFPGIITPFDDPALGVLFDDIAAPRLDHLPDDGHLGDLPMWPGEDGCGFSDIGDDFFAAEPLPAV >KQL10620 pep chromosome:Setaria_italica_v2.0:IV:20615371:20618060:-1 gene:SETIT_007695mg transcript:KQL10620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPPQELIGSLPAPARSTSRWRHDVDGGASWWRRHGSGGIRSSLGPVRCSMQVHSCGSARSYMDQDCPISCP >KQL10621 pep chromosome:Setaria_italica_v2.0:IV:20615371:20618060:-1 gene:SETIT_007695mg transcript:KQL10621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPPQELIGSLPAPARSTSRWRHDVDGGASWWRRHGSGGIRSSLGPVRCSMQVHSCGSGEGRYSSSLLRSPHRAFFHW >KQL10619 pep chromosome:Setaria_italica_v2.0:IV:20617548:20617837:-1 gene:SETIT_007695mg transcript:KQL10619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPPQELIGSLPAPARSTSRWRHDVDGGASWWRRHGSGGIRSSLGPVRCSMQVHSCGSGEGS >KQL10622 pep chromosome:Setaria_italica_v2.0:IV:20617378:20618060:-1 gene:SETIT_007695mg transcript:KQL10622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPPQELIGSLPAPARSTSRWRHDVDGGASWWRRHGSGGIRSSLGPVRCSMQVHSCGSGEGS >KQL11189 pep chromosome:Setaria_italica_v2.0:IV:31451932:31454523:-1 gene:SETIT_006537mg transcript:KQL11189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPRVRSLNIAAPEVEARPVLVPGGNKARSGPANARKPSPKPLRKAEPAAAGTPEKPAAAAAKEEEGAKRNAVGGGGGGAPKGASPVPSPRRTPPGPPPRRNDAPLLQPSLPLSSSCSSDASAELVRTRAFTGKVEKSRSWSTAAPKQGKAVGKVAESKSAGVDFVAPVTPEAVEGKRRCAWATPTTDPFYVTFHDEEWGVPVHDDRRLFELLVLSCALAELTWPEILKRRQLFREIFMDFDPPAVSKINEKKLVAPGSVALSLLSEQKLRAVLENARQILKIADEFGSFDRYCWGFLNHKPIVSKFRYPRQVPVKSPKADIISKDMMRRGFRGVGPTVIYSFMQAAGLTNDHLVSCFRFEECNATPTLCTRDINKANMKADLKKDEMTTKICCEEVGTNAEMPRTIDALIVS >KQL12358 pep chromosome:Setaria_italica_v2.0:IV:40149547:40152992:-1 gene:SETIT_005893mg transcript:KQL12358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMAVAVALLVVGFVVGVLSLAIAEGAALLWAIRTLTTTTTRPSNSSSNSSDDTSPAPPPPPLGDLKRQGFLWILEQEKMPAKASHHPSNDAQSHGGIKDKKTVVEVFPVKMLAKLEGHSLTLSAPDDASQQTTTIHLLDSTIVAVSASNLPSRKWAKRYPIKLESKESQVSRGSKVCYVYAQTSWEKESWCKALRLASTTDKDKHKFHAMLAREFRSYISSLNAGYPCFLKPSMLSGLEQVLVDSTVKTDGSSKFRNLLKRLAKKAPVKASPDSKSPVTSKQDIKQPTTPSSSVSSNSQLSYSSHTNVEEKLADEGTLCWNLLFSRLFFDAKMNGEVTKAIKARIQRTLSNTRTPAYIGEITLAGLSLGKLPPYLHRMRVIPLDLNELWAFEVDFNYSSGMLLHIETRLEIQEPELEKDIMRTSLKDDSNGDVGSDVLDSIEQYGNQFRSSEALDSVVGDDDEADALRKSKSTGWTSTYMSRWKRILHSIADQVSQVPLSLAIKISSIRGTMRLHIKPPPGDRIWYGFTSMPEIEWELESSVGDRKITNSHIASLIGNRIKASLHQSLVLPNCESIPVSWMISDKDDWVPRKIAPFIWLNREPTTENSARPPVGTTRELPGEASVSKAIAKNKSSPPASSTRSENELPKTKICFDGSEQAEASTSRHWQSQLVSASGAPLQSCEDATGDQLRMPLLRGSSRDDRAMVVAAGTSASEEAGDVKRKRGARRARVMDLGRRMGGKLEEKGKHIVGKMRENARSNNSLLLPGLERATTPAPAPAPS >KQL09265 pep chromosome:Setaria_italica_v2.0:IV:2195887:2197428:-1 gene:SETIT_006526mg transcript:KQL09265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPRLRILSLTHVLPDRDRAAAYSPPPSLPDDGLVELSFMEVLFVNRVMPMRRLFFYEGPGVPAFPCLVRSLRSSLAVALALFPPLSGKLAHRPSAAAGDVVVDCSPAAVSSGVKFVEAEYGGGIEDMRRVAGGGSDEGDREALAELGPELDATRLPAPVLAVQVTRPAVGRAAVVAVALHHAVADGHSAWQFMRAWAALSRMEVSPSRAGADLAPPAFDRTPLRYPEADELARKILRTVAPALPVISSSSSCLPPDCLRRTFLIHAGEIQLVKQHIRAQSQTTGEQADKPPSTYLAVSSLVWTSVARAKFRDLAGGDANFLVPVDFRRRLGSPIDERYFGDCVVPFMAYETDFGWGAPSRVELLSLFAMELVLLLGAQDGGVQVTATLRPEHMEAFASNLGRFSGRGDGRRLRIGRP >KQL11641 pep chromosome:Setaria_italica_v2.0:IV:35526191:35527141:1 gene:SETIT_007592mg transcript:KQL11641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAGQDATPRRKVCRCPPNPLAGAHHKVRNTTTARGRKEFGLDCSVSVRTKYVSRYSIAASLLLCLRIIQNGGTTKKKKKIQSPCTFNMLYGSSEKQQQNLHTKE >KQL08876 pep chromosome:Setaria_italica_v2.0:IV:42655:45380:-1 gene:SETIT_008519mg transcript:KQL08876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVYLYEILWLRLEKIRKKLRRQGIDGPRPTLLYGNTQEMKRIRQELKCVHRQQDQDMNNGYISTIFPHFILWRKTYDSCLRKDIHKHFVFKWTQILRMGILVYGGSVLYTWPVFLYSTGVLEILHVAQPEMVKDLGRHWIPSELGKPRHKKIHAPQFFVEKIKGMIGLIVDATVPLLQSWEDMLDSTGGSSQEIYLVNFGSNFVEGKEIFCKLRQLQKLISQQDTLVGLSALWKYWPTKRNWEIQKLDQQVRLLILDLSREHRSSRNRSNGGGTHNLLHAINIYFAGHETAAVTATWCLMLLAAHPDWQERARAEALEVCCGQTTLTMVIQETLRLYPPASLIMREALTDDIRLGGVDVPHGTIIQVAISMLHLDMEAWGPDANEFRPDRFANGAAAACKPAHMYMPFGYGPRLCTGQNLAMAELKVVLACLLSKFSSLSPGYQHSPVFRLTIGPEFGKPLVVTRLP >KQL09736 pep chromosome:Setaria_italica_v2.0:IV:5573553:5578223:1 gene:SETIT_006066mg transcript:KQL09736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPKGRVVLAPHPIRSSPPPVAVTPRRPALPAAATTPRRPALPAAAVAVTAPPQGHPQWIFPPHPPPPPAMAPPPAPPADSAPDPRPAATASAPVTITVPSPRDHLLLHHHNHLVDRRDTPRGRAWEPERSRRGEAMEGAAAVKLVSGEAGYVLEDVPHVSDYLPDLPTYPNPLQDNPAYSVVKQYFVNPDDTVCQKIVVHKDGPRGNHFRRAGPRQRVYFEPDEVHACIVTCGGLCPGLNTVIREIVCGLSDMYGVTKILGIQGGYRGFYARNTITLTPKSVNDIHKRGGTILGSSRGGHDTMKIVDSIQDRGINQVYVIGGDGTQRGAGVIFEEVRRRGLKVSVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAVSAENGIGLVKLMGRHSGFIAHYATLASRDVDCCLIPESPFYLEGEGGLFRYIEKRLKDNGHMVIVVAEGAGQKLIAETMQSIGKDASGNELLLDVGLWLSQKINEYFKKNKMTINLKYIDPTYMIRAIPSNASDNVYCTLLAHSVVHGTMAGYTGFTIGQVNGRHCYIPFYRITEKQNRVSITDRMWARLLSSTNQPSFLCNKVVEEAKKEEERTAQLIDGSPSHRKVDKKVAGSSSSATK >KQL12212 pep chromosome:Setaria_italica_v2.0:IV:39447712:39453939:1 gene:SETIT_006075mg transcript:KQL12212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKAGASSRADHDDIAGAVAPPSPLPANGAPQTPPQQAQATAPGTPRRRKSGSTTPVHQTPGVAWPSPYPAGGASPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEGGVGPGGGGGGGGGADGAEAERPLDKTFGFGKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGHTVAVKIISKAKMTTAISIEDVRREVKILKALSGHNNLVKFYDACEDALNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDESAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILIFRLVKQYLRATPLKRLALKALSKALREDELLYLRLQFKLLEPRDGFVSLDNFRTALTRYLTDAMRESRVLEFLHALEPLAYRRMDFEEFCAAAISPYQLEALERWEEIAGTAFQHFEQEGNRVISVEELAQELNLAPTHYSIVQDWIRKSDGKLNFLGFTKFLHGVTIRGSNTRRH >KQL12211 pep chromosome:Setaria_italica_v2.0:IV:39447720:39452614:1 gene:SETIT_006075mg transcript:KQL12211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYGKAGASSRADHDDIAGAVAPPSPLPANGAPQTPPQQAQATAPGTPRRRKSGSTTPVHQTPGVAWPSPYPAGGASPLPAGVSPSPARSTPRRFFKRPFPPPSPAKHIKATLAKRLGGGKPKEGTIPEEGGVGPGGGGGGGGGADGAEAERPLDKTFGFGKNFGAKYELGKEVGRGHFGHTCSAVVKKGEYKGHTVAVKIISKAKMTTAISIEDVRREVKILKALSGHNNLVKFYDACEDALNVYIVMELCEGGELLDRILARGGRYTEEDAKAIVVQILSVVAFCHLQGVVHRDLKPENFLFTTRDESAPMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSMEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVSAEAKDFVKRFLNKDYRKRMTAVQALTHPWLRDEQRQIPLDILIFRLVKQYLRATPLKRLALKALSKALREDELLYLRLQFKLLEPRDGFVSLDNFRTVSYEDMSTLCCMF >KQL09314 pep chromosome:Setaria_italica_v2.0:IV:2519526:2519699:-1 gene:SETIT_009081mg transcript:KQL09314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMGPMHLNPSLDPMRWSWFHVESASQCIGPGS >KQL12025 pep chromosome:Setaria_italica_v2.0:IV:38262311:38262940:1 gene:SETIT_007616mg transcript:KQL12025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGKTGGLTARGTGKAPGPPPPPPSRDEANGGHRRGQRKGIWPRGRRLVVALVAMIAAAVYLLFADALAVPPPTAGWWLAAYVLWIIGLNMLQVWLMN >KQL09208 pep chromosome:Setaria_italica_v2.0:IV:1862192:1864243:1 gene:SETIT_007879mg transcript:KQL09208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSADKKQEHHCISPRPASSSNNFMFIFIFSRISWSQIFSPTESTNWNRLLKMHTTRHRGHLCVLHDQLDFPSVRNSYREQEGCRDSCCLHICMDLDQQVFEAESLEVPSQKDCCKTLRYWTADRLTRRGLPHPERCPLCDQVDETIDHLLVSCVFTQQVWFRLLQSVGLHILAPQPDETSFDDWWEKASAWSVWNHHNHCMFDGVQPDLNGVYNVIREELRLWPTKGGKYIKDQG >KQL11071 pep chromosome:Setaria_italica_v2.0:IV:30527667:30530502:1 gene:SETIT_007043mg transcript:KQL11071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCPSELQLEAFIRESGEGAVSGAAESKPSGSGPSEPGGSGVFSPGGIGFGDTSAMDGNSWWFGSIRPANPVASQTASISASPRATTSANHALESESDSDSESLYEVEGGSCERGTKSMETKRIRRMVSNRESARRSRRRKQAQLSELESQVEQLKGENATLFKQLSEANQQFTTAVTDNRILKSDVEALRVKVKMAEDMVARSAMSCGLGSDAFRGTTAARQVQNSPVQSTASLESLDNRKSSEVTSCAADMWP >KQL11073 pep chromosome:Setaria_italica_v2.0:IV:30527965:30530502:1 gene:SETIT_007043mg transcript:KQL11073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRIRRMVSNRESARRSRRRKQAQLSELESQVEQLKGENATLFKQLSEANQQFTTAVTDNRILKSDVEALRVKVKMAEDMVARSAMSCGLGDLGLAPYLNSRKMCQALNMLTVTGLDLLGSDAFRGTTAARQVQNSPVQSTASLESLDNRKSSEVTSCAADMWP >KQL11074 pep chromosome:Setaria_italica_v2.0:IV:30527965:30530502:1 gene:SETIT_007043mg transcript:KQL11074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNSWWFGSIRPANPVASQTASISASPRATTSANHALESESDSDSESLYEVEGGSCERGTKSMETKRIRRMVSNRESARRSRRRKQAQLSELESQVEQLKGENATLFKQLSEANQQFTTAVTDNRILKSDVEALRVKVKMAEDMVARSAMSCGLGDLGLAPYLNSRKMCQALNMLTVTGLDLLGSDAFRGTTAARQVQNSPVQSTASLESLDNRKSSEVTSCAADMWP >KQL11072 pep chromosome:Setaria_italica_v2.0:IV:30527667:30530502:1 gene:SETIT_007043mg transcript:KQL11072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKCPSELQLEAFIRESGEGAVSGAAESKPSGSGPSEPGGSGVFSPGGIGFGDTSAMDGNSWWFGSIRPANPVASQTASISASPRATTSANHALESESDSDSESLYEVEGGSCERGTKSMETKRIRRMVSNRESARRSRRRKQAQLSELESQVEQLKGENATLFKQLSEANQQFTTAVTDNRILKSDVEALRVKVKMAEDMVARSAMSCGLGDLGLAPYLNSRKMCQALNMLTVTGLDLLGSDAFRGTTAARQVQNSPVQSTASLESLDNRKSSEVTSCAADMWP >KQL09975 pep chromosome:Setaria_italica_v2.0:IV:7855182:7856327:-1 gene:SETIT_007609mg transcript:KQL09975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQEAEVLYLLPVLYSMMQPLMFFHNFRCTLVWFWNTGPCSMSNVLGVDWQIRHEDCNLLSAFGVLRSPGASSAPTKAIGEEDCGRTWIDIVFSLFQVLRL >KQL10347 pep chromosome:Setaria_italica_v2.0:IV:13290737:13293450:-1 gene:SETIT_008737mg transcript:KQL10347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWDGHKSVIDLPKLLSVDANSICSDFLGFAHGVGVIFVGTGDGLFSFDLKSGQVRNVCEAACYFNSIRGVVPSMSFHTPGVDDSGVVLLDLLLLSIGAGDNGGVGSVVVSVVTSLPSQMILTSQ >KQL11038 pep chromosome:Setaria_italica_v2.0:IV:30152537:30153816:1 gene:SETIT_007519mg transcript:KQL11038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRASWDEGTTKTLLDLSIAQKNQLNWSDKCLTKLGWRNVYSCFRAQTGLQLGSKQLQNKLNNMRRAFLGWLALQNKSGLGRDTQNWWCVCLCHLLGAGPTGHPGEVPVEFCEASTFPRRVI >KQL11867 pep chromosome:Setaria_italica_v2.0:IV:37277567:37282464:1 gene:SETIT_006207mg transcript:KQL11867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARATVDADAAPRCCRPVAQACSLPARELDQQPAAQLSSGVKNAAMAVVERRRRGHARRAVLLLLVVVTLPAAILAPAAGCSAATFLDEDVILGAAAAAAAKVGGGKQLGGGGGANTSGTRPRGRSAYYLGWKEEVAAMAGRPELAEWLRRVRRRIHERPELAYEEVETSRLVRDELAAMGVGFRHPLARTGVVATLGTGRPPVVALRADMDALPIQEAVEWEHKSRNPGKMHACGHDAHVAMLLGAARILKAREHHLKGTVKLLFQPAEESGCGAKRMIEDGALEGVEAIFAVHVSHQHPTSVIGSRTGALLAGCGFFKAVIRADMNEHRRSAADPILAASSTIISLQNLVSREADPLDSQVVSVAVVNGTGDGGVVLGGTFRAFSNASFYHLRRRIEEVIAAQPRVHGCLASVDFFEDESFYPPTVNDARMYGHVRRVAAELLGPGSYRDVPAMMGAEDFSFYSQAVPAGFYYIGVRNETLGSVHTGHSPYFMIDEDVLPTGAAVHAAIAERFLAEHGSLMGSPSADDLAREQEL >KQL09349 pep chromosome:Setaria_italica_v2.0:IV:2637181:2637759:-1 gene:SETIT_008108mg transcript:KQL09349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPEQPHERRSPSGEINYKGVRQRKSGSWVSEVRLPNSRERIWLGSYYTPEKAARAFDAASLCLRGPGGADGLNFPSSPPAVRRRTSDRKEVLAAALSHANQSAATESPSANGGAPMERVPTLPPAEPKVSPEAESTDWSQLLPPMPSPIRMGSHTYLPVSPTAAAAEDVDMEEEEDSGSCYGLWSPSFW >KQL11079 pep chromosome:Setaria_italica_v2.0:IV:30554356:30557704:1 gene:SETIT_006129mg transcript:KQL11079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHFLSSSSASSPLCPRFLLHPNPPAGLRFLAMSAASSSSSSRPVRGAAVPVPFLGADEAGAVADEAFQRHTSPTLRRGGAGVAVVWFRNDLRVLDNEVLVRAWAASEAVLPVFCVDPRVLEGTTHYFGFPKTGALRAQFLIECLGDLKQNLRKKGLDLLVRHGKPEEILPSIAKAVSAHTVYAHKETCSEELLVERLVRKALEQVQITQGGAPGQKKPMNPRLQLIWGATLYHIDDLPFTVSNLPDVYTQFRKAVESKSSVRNCSKLPPSLGPPPTSGLDEIGGWGAIPTLESLGLSVTKSEKGMHFVGGESAALGRVHEYFWKKDQLKVYKETRNGMLGPDYSTKFSPWLASGSLSPRYICEEVKRYEKQRVANDSTYWVLFELIWRDYFRFLSAKYGNSIFHLGGPRKVASKWSQDQALFESWREGKTGYPLIDANMRELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPASNYGNWTYGAGVGNDPREDRYFSIPKQAKTYDPEGEYVAYWLPELQSLTKERRNFPGASYIRQIIPLKFDGGNQKKDQFNRQRRPNNMYRRQVK >KQL08896 pep chromosome:Setaria_italica_v2.0:IV:178687:181371:-1 gene:SETIT_006403mg transcript:KQL08896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDEIESVSLRYLSGLGNSFSSEAVAGSLPVGQNSPLVCPLGLYAEQLSGTSFTTPRASNLRTWLYRIKPSVTHEPFHPREDKGRLVGEFDRATTVATPTQLRWRPTEVPLDRPLDFIDGLYTVCGAGSAFLRHGYAIHMYAANKSMDGCAFCNADGDFLIVPQQGRLFITTECGKMLVSPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGAHFQLPDLGPIGANGLASPRDFLSPTAWFEQAHRPGYMIVQKYGGELFTATQDFSPFNVVAWHGNYVPYKYDLSRFCPFNTVLFDHGDPSVNTVLTAPTDKPGVALLDFVIFPPRWLVAENTFRPPYYHRNCMSEFMGLIYGMYEAKADGFLPGGASLHSCMTPHGPDTKTYEATISRADASTEPFRLSGTLAFMFESSLIPRVCRWALDSPCRDLDYYQCWIGLKSHFSHDNNAGLDEKD >KQL09738 pep chromosome:Setaria_italica_v2.0:IV:5589634:5592197:1 gene:SETIT_007460mg transcript:KQL09738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAIYSLFIINKSGGLIYYKDYVSAGRMDTNDSLRLASLWHSMHAISQQLSPTPGCTGIDLLQAHNFDLHCFQSLTGTKFFVVCETGAPNMEMLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQLIQKDRVALLGR >KQL09998 pep chromosome:Setaria_italica_v2.0:IV:8132204:8134626:-1 gene:SETIT_006109mg transcript:KQL09998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPPSTAAAAAAAQQLESLLPRLTTLSHYKQFHARLLTSGHLCSHPPLRARFLDRLALSPHDTALPYALLLLRSLPAPATNDLNAALRGLAASPQPARSLLLLAGRLLPAPAPPRPRLDALSLSFALKATARCSDALATLQLHAILVRLGVAADVRLMTTLLDSYAKCGDLPSARKVFDEMPVRDVATWNALLAGLAQGTEPNLALVLFHRLAGSFREFLPREEPNEVTIVAALSACAQLGALQDGLGVHEFARKIGAEDNVRVCNALIDMYSKCGSLGRALEVFHSIKLEDRTLVSYNATIQALSTHGHGADALKLFDEMPAWIEPDEVTYLAVLGGCNHAGLVDDGRRVFDSMRVPPNMKHYGTIVDLLGRAGRLDEAHDMIVHMPFPADIVLWQTMLGAAKMHGNVELAELAATKLAELGSNVDGDYVLLSNVYASKARWADVGRVRDTMRSNDVRKVPGFSYTEIDGVMHKFINGDKEHLRWREIYRTLDDIVSRISELGYEPETSNVLHDIGEEEKQYALCYHSEKLAIAFGLISTPPGETIRVIKNLRICGDCHVVAKLISKVYGRVIIIRDRARFHRFEDGQCSCRDYW >KQL11027 pep chromosome:Setaria_italica_v2.0:IV:29874053:29880403:-1 gene:SETIT_005973mg transcript:KQL11027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESPEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDIDEATVRSLNGCRVADQILRLVPNIENFCTALRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINSYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDSEDGTATRSPDSQLKRKHDSAGTDDAEPVRSAKRSSVSPVHPKTFPESGNAGFETTCNNQIRRASSDASGGSQASPQASERSPEPVASGPRCASMGAVCSGDATSKHGIPLVESCATPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEV >KQL11028 pep chromosome:Setaria_italica_v2.0:IV:29874053:29880403:-1 gene:SETIT_005973mg transcript:KQL11028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESPEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDIDEATVRSLNGCRVADQILRLVPNIENFCTALRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINSYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDSEDGTATRSPDSQLKRKHDSAGTDDAEPVRSAKRSSVSPVHPKTFPESGNAGFETTCNNQIRRASSDASGGSQASPQASERSPEPVASGPRCASMGAVCSGDATSKHGIPLVESCATPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEMMQG >KQL11031 pep chromosome:Setaria_italica_v2.0:IV:29874039:29880403:-1 gene:SETIT_005973mg transcript:KQL11031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESPEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDIDEATVRSLNGCRVADQILRLVPNIENFCTALRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINSYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDSEDGTATRSPDSQLKRKHDSAGTDDAEPVRSAKRSSVSPVHPKTFPESGNAGFETTCNNQIRRASSDASGGSQASPQASERSPEPVASGPRCASMGAVCSGDATSKHGIPLVESCATPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEG >KQL11025 pep chromosome:Setaria_italica_v2.0:IV:29874700:29880082:-1 gene:SETIT_005973mg transcript:KQL11025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESPEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDIDEATVRSLNGCRVADQILRLVPNIENFCTALRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINSYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDSEDGTATRSPDSQLKRKHDSAGTDDAEPVRSAKRSSVSPVHPKTFPESGNAGFETTCNNQIRRASSDASGGSQASPQASERSPEPVASGPRCASMGAVCSGDATSKHGIPLVESCATPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELED >KQL11023 pep chromosome:Setaria_italica_v2.0:IV:29874053:29877668:-1 gene:SETIT_005973mg transcript:KQL11023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLQAALQNFLCSLFHQIVSLFCFVALNYEQRIAVLSFCLMLCHFIPRDAYLANMLLQDLDISQGSVLYDIDEATVRSLNGCRVADQILRLVPNIENFCTALRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINSYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDSEDGTATRSPDSQLKRKHDSAGTDDAEPVRSAKRSSVSPVHPKTFPESGNAGFETTCNNQIRRASSDASGGSQASPQASERSPEPVASGPRCASMGAVCSGDATSKHGIPLVESCATPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEV >KQL11024 pep chromosome:Setaria_italica_v2.0:IV:29874671:29880082:-1 gene:SETIT_005973mg transcript:KQL11024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESPEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDIDEATVRSLNGCRVADQILRLVPNIENFCTALRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINSYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDSEDGTATRSPDSQLKRKHDSAGTDDAEPVRSAKRSSVSPVHPKTFPESGNAGFETTCNNQIRRASSDASGGSQASPQASERSPEPVASGPRCASMGAVCSGDATSKHGIPLVESCATPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEV >KQL11030 pep chromosome:Setaria_italica_v2.0:IV:29874687:29880082:-1 gene:SETIT_005973mg transcript:KQL11030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESPEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDIDEATVRSLNGCRVADQILRLVPNIENFCTALRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINSYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDSEDGTATRSPDSQLKRKHDSAGTDDAEPVRSAKRSSVSPVHPKTFPESGNAGFETTCNNQIRRASSDASGGSQASPQASERSPEPVASGPRCASMGAVCSGDATSKHGIPLVESCATPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEPNHRIEVGF >KQL11022 pep chromosome:Setaria_italica_v2.0:IV:29874671:29880082:-1 gene:SETIT_005973mg transcript:KQL11022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESPEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDIDEATVRSLNGCRVADQILRLVPNIENFCTALRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINSYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDSEDGTATRSPDSQLKRKHDSAGTDDAEPVRSAKRSSVSPVHPKTFPESGNAGFETTCNNQIRRASSDASGGSQASPQASERSPEPVASGPRCASMGAVCSGDATSKHGIPLVESCATPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEV >KQL11029 pep chromosome:Setaria_italica_v2.0:IV:29874671:29880082:-1 gene:SETIT_005973mg transcript:KQL11029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESPEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDIDEATVRSLNGCRVADQILRLVPNIENFCTALRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINSYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDSEDGTATRSPDSQLKRKHDSAGTDDAEPVRSAKRSSVSPVHPKTFPESGNAGFETTCNNQIRRASSDASGGSQASPQASERSPEPVASGPRCASMGAVCSGDATSKHGIPLVESCATPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEMMQV >KQL11026 pep chromosome:Setaria_italica_v2.0:IV:29874671:29880082:-1 gene:SETIT_005973mg transcript:KQL11026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDAPKQYGITKPLSLLGPVEADLQRTAELEKFLVEAGLYESPEESAKREEVLGKLDQIVKDWVKQLTSQRGYTDQMVEEANAVLFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFIILHEILAQTEDVTELQPVPDAHVPVMKFKFHGISIDLLYASVSLLVVPADLDISQGSVLYDIDEATVRSLNGCRVADQILRLVPNIENFCTALRCLKYWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAVPSMLVSRFFRVFTQWRWPNPVMLCSIEEDELGFPVWDPRKNPRDKCHHMPIITPAYPCMNSSYNVSTSTLRVMMEQFQFGNKICQEIEMNKASWSALFEPFQFFEAYKNYLQVDIIAEDDEDLRLWKGWVESRLRQLTLKIERDTYGMLQCHPYPHEYADPSRQSAHCAFFMGLSRKEGVKIQEGQQFDIRGTVEEFRHEINSYMFWKPGMELAVSHVRRKQIPAYVFPEGYKRPRPSRHVNHQQQSNKNDSEDGTATRSPDSQLKRKHDSAGTDDAEPVRSAKRSSVSPVHPKTFPESGNAGFETTCNNQIRRASSDASGGSQASPQASERSPEPVASGPRCASMGAVCSGDATSKHGIPLVESCATPTVAVCTTLKRVAEKVVSELVGSERLGSTNSAELLESMEKDVLAENVHFGGNGVAQGGLPEELEV >KQL11572 pep chromosome:Setaria_italica_v2.0:IV:34895996:34897599:-1 gene:SETIT_006267mg transcript:KQL11572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANATTATNGVPAMANGTATTAASHGTNNGGNDVAVSGSGRDHVVIFPFMAKGHMLPLLHFATALSAHHAGLRVTLVTTPGNVSFARSRLPASVALVALPFPSLPPLPPGVESTDALPSPSLHLAFLHATALLRAPFAEYLASLPSPPLAVVSDFFLGFTRRAAAVAGARRVVFNGMSCFASAICKALAATPPASFEPGARLRVPAMPEHVVVSAEEVPEGVTKRADPDDPFARFFAQEIGDSDVRSWGVLVNSFAALDEDYVPGLESFYEPGSRAWLVGPLFLAAGDDMPPEGEKEQDPEGCLSWLDERAAQPGSVLYVSFGTQAHVTDAQLDELAHGLAQSGHPFLWAVRSDAWSPPVDVGPNGRIVRGWVPQRGVLAHEAVGGFVSHCGWNSVMESLAAGKPLLAWPMIAEQHLNARHVANILGVGVRIAVKAGADVVGRAHVEEKVRELMDANSKAARGMRERAAWAQQAAKSAVSLGGTSAMSLRNLVEELQRTYGDDVVGKGENG >KQL09519 pep chromosome:Setaria_italica_v2.0:IV:3999504:4002728:1 gene:SETIT_006147mg transcript:KQL09519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGLRLLGVVWIFATLMPLGAAAAGAAGGHAAVAAVDGRRAVAATGEDFVCATLDWWPPDKCDYGTCAWGRAGLLNLDLSNKVLLNAIRAFSPLKLRLGGSLQDKVVYGTADLGRPCTPFVKNASQMLGFTQGCLPLRRWDELNAFFQKSGAKVVFGLNALNGRVPLPDGSMGGPWDYTNAASLIRYTAYKGYQIHGWELGNELSGSGVGTRIGAGQYAADVIALRRIVDDIYRSNPSKPLVLAPGGFFEPGWFTELIVKTKPNLLNVITHHIYNLGPGRDTHLIEKILDPSVLDGMISTFSNLQGILKSTGTSTVAWVGEAGGAYNSGHHLVTDAFVFSFWFLDQLGMSAKYDTKSYCRQSLIGGNYGLLNTTTFQPNPDYYSALLWHRLMGTKVLATTFTGTNKIRAYAHCARDSPGITLLLINLSGNTKTQVSVTQTAAVAGGAHNHGARRSNTTTQVSVTTQAAAAGEHKHGWRRHGRKFGHSHAPGLAAAAAAGATRDEYHLTPKGGDLRSQVMLLNGRALATGADGSIPRLEPVKVGAAEPIAVAPYSIVFARIPHFNAPACRS >KQL10911 pep chromosome:Setaria_italica_v2.0:IV:27995147:27999889:1 gene:SETIT_006725mg transcript:KQL10911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAAEGPIRGADAVPAAEREAAAAEAAAAAKGGDGEAGKEEVREYESDMRKLEDLLSKLNPSAEEFVPLSRRRGDGGARRLSADAPVFVSPAIDYYARHHQLPPPPPQQQPMHVLQLVGGGGMGGAGGRDSSSDGSANGQPNRRRRNGFIQGRRRMMGGRPRRADREASVQRTVYVSDIDQHVTEQKLAEVFSTCGQVVDCRICGDPNSVLRFAFIEFADDVGARTALTLGGTVLGFYPVKVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVAEDVVKQFFEGTCGEVSRLRLLGDYVHSTCIAFVEFVQVSKTGDFSMLIVIKNKSVSISEMMPITLERTTQTLSHVNEFLLER >KQL10913 pep chromosome:Setaria_italica_v2.0:IV:27995147:28001720:1 gene:SETIT_006725mg transcript:KQL10913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAAEGPIRGADAVPAAEREAAAAEAAAAAKGGDGEAGKEEVREYESDMRKLEDLLSKLNPSAEEFVPLSRRRGDGGARRLSADAPVFVSPAIDYYARHHQLPPPPPQQQPMHVLQLVGGGGMGGAGGRDSSSDGSANGQPNRRRRNGFIQGRRRMMGGRPRRADREASVQRTVYVSDIDQHVTEQKLAEVFSTCGQVVDCRICGDPNSVLRFAFIEFADDVGARTALTLGGTVLGFYPVKVLPSKTAILPVNPKFLPRVAEDVVKQFFEGTCGEVSRLRLLGDYVHSTCIAFVEFVQADSAILALNCSGMILGTLPVRVSPSKTPVRPRSPRVTSY >KQL10912 pep chromosome:Setaria_italica_v2.0:IV:27995147:28001720:1 gene:SETIT_006725mg transcript:KQL10912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAAEGPIRGADAVPAAEREAAAAEAAAAAKGGDGEAGKEEVREYESDMRKLEDLLSKLNPSAEEFVPLSRRRGDGGARRLSADAPVFVSPAIDYYARHHQLPPPPPQQQPMHVLQLVGGGGMGGAGGRDSSSDGSANGQPNRRRRNGFIQGRRRMMGGRPRRADREASVQRTVYVSDIDQHVTEQKLAEVFSTCGQVVDCRICGDPNSVLRFAFIEFADDVGARTALTLGGTVLGFYPVKVLPSKTAILPVNPKFLPRTEDEKEMVSRTVYCTNIDKKVAEDVVKQFFEGTCGEVSRLRLLGDYVHSTCIAFVEFVQADSAILALNCSGMILGTLPVRVSPSKTPVRPRSPRVTSY >KQL10788 pep chromosome:Setaria_italica_v2.0:IV:25716996:25717347:1 gene:SETIT_009044mg transcript:KQL10788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVQLQCVDVVHIFMSMQIILLTSIKVRHTNSY >KQL09278 pep chromosome:Setaria_italica_v2.0:IV:2262903:2263530:-1 gene:SETIT_007538mg transcript:KQL09278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHGDGVSLLTKLGVGALTCNSVFAAYRSRGDPGTLAFVLAAYAALLLLLHSLRRFERAPPADRGRAKAEVWALSTLLTVMFASRVAPLMPPTVGVAVWAMAAATAGGGFWAFFLSHR >KQL09700 pep chromosome:Setaria_italica_v2.0:IV:5340046:5343274:-1 gene:SETIT_007994mg transcript:KQL09700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRVGGRRRRPAVEERYTRPQGLYPHPDIDLRKLRRLILEAKLAPCHPGADDPRADLDECPICFLFYPSLNRSKCCAKGICTECFLQMKSPTSCRPTQCPYCKTLNYAVEYRGVKTKEEKGIEQLEEQRVIEAQIRMRQQELQEDAERMKNKQTAASTDSVATAQVESCDADGTSTTVASSEQGNDAVSSQVQHSELLLRNSEAFKQMRGNNFDMDLEEVMLMEAIWLSIQDQEALGNPGCVATTPPSIQRPYDGSMTTTAEAASSGGFACAVAALAEQQHIHGESSSTPTCQTTRFDTLSRSDRSYTEDLSIVGSSSSDSRVEEPSSSGTHRTVEGAESSNDQWSEIAEAGTSHAGSDVTAEAIAANSAASVGSSIASGSIPDSFEEQMMLAMALSLVDARTRANSPGLAWR >KQL09123 pep chromosome:Setaria_italica_v2.0:IV:1353631:1354665:1 gene:SETIT_007401mg transcript:KQL09123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVTLHTNLGDIKCEVFCDQVPRTAENFLALCASGYYDGTVFHRNIKGFMIQGGDPTGTGKGGASIWGAKFADEFRESLKHNARGIMSMANSGPNTNGSQFFITYAKQPHLNGHYTIFAKVIHGFEVLDLMEKAQTGPGDRPLAEIRLNRVTIHANPLAG >KQL09598 pep chromosome:Setaria_italica_v2.0:IV:4498403:4500309:-1 gene:SETIT_006584mg transcript:KQL09598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLALPFLHLLPNPAPFHPFRIHRTQQSHPHLPLRTRRPSAAAAAEAENPSASVDVEMVRGQDGVWTARSPTVVVLWDLDNKPPRGPPFPAATSLIAAASLLGRVVSISAFANRHAFSHLPAWVAAERRDRRALDRAERAGSAAPSVPYSCAVCGRRFPTRPDLTRHFRQLHERERNKKLSRLRSLKGKKRQKYRERFITGNTKYEDAARELLTPKVGYGLASELRRAGVQVRTVSDKPQAADHALKRQVKHCLACGVDWMVLVSDDSDFTDTVRNARAADLRTVVVGDGCRMLGKVADIWLPWDRVENGEVDEEMLRSCTFPEFGEDQEDEQGEEFRLDWDTSDLDDVVDDIVGMRTSLHGAAAISAFADEDITDGIFGLELKGDSMFWSSDDEEEDGYL >KQL09597 pep chromosome:Setaria_italica_v2.0:IV:4498582:4500309:-1 gene:SETIT_006584mg transcript:KQL09597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLALPFLHLLPNPAPFHPFRIHRTQQSHPHLPLRTRRPSAAAAAEAENPSASVDVEMVRGQDGVWTARSPTVVVLWDLDNKPPRGPPFPAATSLIAAASLLGRVVSISAFANRHAFSHLPAWVAAERRDRRALDRAERAGSAAPSVPYSCAVCGRRFPTRPDLTRHFRQLHERERNKKLSRLRSLKGKKRQKYRERFITGNTKYEDAARELLTPKVGYGLASELRRAGVQVRTVSDKPQAADHALKRQVKHCLACGVDWMVLVSDDSDFTDTVRNARAADLRTVVVGDGCRMLGKVADIWLPWDRVENGEVDEEMLRSCTFPEFGEDQEDEQGEEFRLDWDTSDLDDVVDDIVGMRTSLHGAAAISAFADEDITDGIFGLELKGDSMFWSSDDEEEDGYL >KQL12236 pep chromosome:Setaria_italica_v2.0:IV:39595680:39595885:-1 gene:SETIT_008943mg transcript:KQL12236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPARLTEFEAVVAQLDPRRARDQRRAGGAP >KQL10858 pep chromosome:Setaria_italica_v2.0:IV:27134198:27135605:1 gene:SETIT_006742mg transcript:KQL10858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIALAAAALPGVSSSGCDLFQGRWVADKSYPLYDASTCPFVPDVFDCRRNGRPDDAYLKFRWNPANCRLPRFDGADFLRRWRGKTVMFVGDSLSMNQWVSLACMLHASAPAPVRATLTAGEPVSTLRFEDYDLLLVLYHTTFLVDVVREDGVGRVLKLDSMRNASAWLGAHLLVFNTWHWWTYRGASQVWDFVQDGNNTFKDMDRLTAFSKGLSTWARWVDANIDASKTKVIYQGISPSHYLSKKQEGEAGAAATVPAATGGGSCLKQMRPLQEATAAAGGGTSETPEQAVVRGVIGGMRSPVALLDITELSQLRIDAHPSVYAGPGRDGMDCTHWCIAGLPDAWNHIMYAMLLQQQG >KQL09503 pep chromosome:Setaria_italica_v2.0:IV:3885012:3885872:1 gene:SETIT_009042mg transcript:KQL09503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRKVFAWVLVVVFLMAGFMCFRPNNLLHAHSRTRWEEGMDRTRRYPGRRRRRRRRQVQQEERMEQAPVPGDEDEVSGGSSCAAAPTAGAEGSISAST >KQL12079 pep chromosome:Setaria_italica_v2.0:IV:38625034:38625429:1 gene:SETIT_009022mg transcript:KQL12079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDLCLKLIRYAKLYRSCQPLYYLYLIIEKLVFLCSRNKSRCCEQ >KQL09125 pep chromosome:Setaria_italica_v2.0:IV:1362909:1364168:-1 gene:SETIT_009083mg transcript:KQL09125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDEWVLVRSDDQGGDPVAGRQGGGEPVRDRQAHGGEAPGRAPGQLPQGAGRAAAQLRRQGPARQGQGLLQARRGRGEEARRRDGEGEGAGGGQAQEGGGVRRARQEEEAGRPRGGEEGAREAGQEGGAGAGAADAEAEAGGQARPRRRSQEGGEQGQRLINRQRQRRVVLVPVSARRRPCIAGSSCSSELVVASTPVQYRSSPPCV >KQL11263 pep chromosome:Setaria_italica_v2.0:IV:32068592:32071227:-1 gene:SETIT_006466mg transcript:KQL11263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRLGELPAVVVSSPDAAREVMKTHDVTFATRPLSTTLRATVAGGLGVAFSPYGERWRQLRKFITLELLSAKRVRSFRPIREDEAARFVGGIAAACPAGEPVNVTARVANLVADSALRAMIGERFGRREELLKCVAQAVKIGSGFNACDLFPSSRLVCAIDGTVRKARAFTRKTFELVDYAIEQHRERRSGASATDVAEDEDLLGVLLRTQEEGGFGCPLDVGDIKAILAELFLAGSESTSTTIIWAMAELMRNPSVMKRAQTELGCALQDKSTVTEDDLVNLPYIKLIIKETLRLHTPGPLLLPRECQESCEILGYDVLKGTIVLVNAWAICRDPKYWDEPEVFKPHRFEECTTDFMGTDFCYTPFGAGRRICPGLAFALANLELVLAVLLFHFDWQLPSGVIPSELDMEEEVGISVRRKRDLFLHPTLRVPL >KQL12045 pep chromosome:Setaria_italica_v2.0:IV:38444233:38445830:1 gene:SETIT_006930mg transcript:KQL12045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNAPATKLASLFEANSSEGCEEHHAPSELDIEDTQHLLGVESVDHSAFLEKSPFQNIQHKLHDCTGSPFVTPLVLRDDMQTPGTVYTSHRGASMSGKRVQTRKQFIYPVLRPIENRVKQMELAEDSSPLLSSNPPKKRNLEEDHVMKPKQTSSNSVAKSGLSKTPPISRQVKEALSPEELLDGGELSKTKSDERNAALSLSHWVKSSSKDVENQGDVKVVAGDQSYDECSFPAERPVFMASDFNWDIENPTPKLHKTFNGNGIPNTTTRYKEDRRVSWHTTPFEERLLKVLSDEKHCAPRKVFRGKLFHQEEKAE >KQL09233 pep chromosome:Setaria_italica_v2.0:IV:2020553:2023775:1 gene:SETIT_007118mg transcript:KQL09233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALHLRLLPSPPAGVKLQQRRLRSRILRQGGLSRRYSKVVCYYGLTTPPYKLDALEPYMSRRTVELHWGKHHHDYVEGLNKQLATSPLYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGLPEGGVLQQIEKDFGSFTNFREEFIRSALQLLGSGWVWLVLKRNERKLSVVHTRNAISPLAFGDIPIISLDLWEVRILTLEF >KQL09232 pep chromosome:Setaria_italica_v2.0:IV:2020564:2021934:1 gene:SETIT_007118mg transcript:KQL09232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALHLRLLPSPPAGVKLQQRRLRSRILRQGGLSRRYSKVVCYYGLTTPPYKLDALEPYMSRRTVELHWGKHHHDYVEGLNKQLATSPLYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGLPEGGVLQQIEKDFGSFTNFREEFIRSALQLLGSGWVWLVLKRNERKLSVVHTRNAISPLAFGDIVCLLDSVFFPLMRYKLKSLE >KQL09234 pep chromosome:Setaria_italica_v2.0:IV:2020553:2023775:1 gene:SETIT_007118mg transcript:KQL09234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALHLRLLPSPPAGVKLQQRRLRSRILRQGGLSRRYSKVVCYYGLTTPPYKLDALEPYMSRRTVELHWGKHHHDYVEGLNKQLATSPLYGYTLEELIKEAYNNGNPLPEYNNAAQVWNHHFFWESMQPEGGGLPEGGVLQQIEKDFGSFTNFREEFIRSALQLLGSGWVWLVLKRNERKLSVVHTRNAISPLAFGDIPIISLDLWEHAYYLDYKDDMLTYVTNFMDHLISWDTVTLRMMRAESFVNLNEPNIPVA >KQL12084 pep chromosome:Setaria_italica_v2.0:IV:38641296:38642253:-1 gene:SETIT_008436mg transcript:KQL12084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAEPPRHVSRRPPRRRRGPSHDDTTTIDSLGEIFLRLPTLATLVRAALTRRAWRRAPQPDALPAFESAQRRDRDVHAAIRGGDFALTSLLDPEDYARDLPLPGASTTAATATSS >KQL10392 pep chromosome:Setaria_italica_v2.0:IV:14017885:14019406:-1 gene:SETIT_007580mg transcript:KQL10392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVPLAAPPALAAAGSLYEALRVGRAATPVEIKAAYRAMAKRLHPDASRASGAAAPAAFLEIRRAYETLSDPDARARYDRSLGMPHHRPVLAAGGVRVRRWETDQCW >KQL09761 pep chromosome:Setaria_italica_v2.0:IV:5769117:5769284:1 gene:SETIT_008538mg transcript:KQL09761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTNGACCCNMEALSCSCRNQTIKLLLRNRLFYSIFSLSYTLFSLPAYLLQSDV >KQL11978 pep chromosome:Setaria_italica_v2.0:IV:37957603:37960931:1 gene:SETIT_006796mg transcript:KQL11978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGKSVVAELAASLSDVRVTPRQNPKPKSFLPSPSFYSFSKKAKPRKLVSLCLGTLGQHLEDIIADISEFAAFFPPHIKLAILSIARRRRLLNDEVLTALAESSWEILDISGSDVTDVGLATVANVCSNLRAVDISRCEKITNAGVSKIVSHCLSLEILRCGGCPRSEFTARRCLNLLKPKLNTLEEDSWEELDTLDIGGGAESLRWLVWPKIDDNSKETLAAECPRVTINPQPSLYDLSGSKVPVEALASVPLDHSIVEDVDPKTWAVSAAPRRPVAPSNPNDPPEIPIAERFRLAYVEREARLAPKRAKRERQQRRRAERDYMMNDIDARSIALASQASRNLRKS >KQL11801 pep chromosome:Setaria_italica_v2.0:IV:36861988:36863538:-1 gene:SETIT_007858mg transcript:KQL11801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVLDWRSLGSLLATLMVFRTAMRDFLPPEAEMCLRRLLARVAAAFRPPSGTILIDEADGASGGANDLYDSAQLYLGERCLATAPAPRQAHRPVASLPDSHTTHDTYQGVRVRWTSTARTAERGAGGGGYSPYGVFGRGGHGVGGGGDHRTLELQFPRQHRDLIHDHYIPHLIAEAARMRLKSRERRLYTNRATGPGDDHHRLWTSHAFSHPSNFDTLAVDPALREEIRADLLRFAARRDHYARVGRAWKRGYLLHGPPGTGKTSLVAAIANLLEFDVYDLELTTVPTNSHLRRLLVSTTPKSVVVVEDIDCSLDLSDRNKKNKNGNNGADDETAAQLAAISPAAAAAMAVVGRESISLSGVLNFVDGLWSSCVGERLMVFTTNHPERLDPALLRPGRMDRKIELGYCTPPALRVLAKNYLGVGDEGCEDAGDDPDTVRDLMAEAEDLLAPAAGVRITPADIAEVFMGCDGTGAAAALRKLVGELRRRRDDYAAAAAVAPGESGEDTTDC >KQL10373 pep chromosome:Setaria_italica_v2.0:IV:13649849:13651864:-1 gene:SETIT_007969mg transcript:KQL10373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLLHLLLIVSLGPNLLEVSADGGQFTYSGFAGSNLTLDGTATITSGGLLQLTNGTAYLKGHAFHPAPLRLRNSTNGTVQSFSVTFVFGIVSIYPDFSAHGMTFFIAPGKDFAAALPAKYLGLTNVQNNGNASNNLFAVELDTIQSVEFKDINNNHVGIDINGLQSLRSYNAGYYDDKSGEFQKLKLISRQAMQVWVDYNGEKKQINVTLAPLRMTRPLKPLLSTIYDLSTVLTDQVYLGFSAATGRVNSRHCVLGWSFGLNRQAPAIDIAKLPNLPRAGPKPRSKVLEIVLPIVTATFVLCLGSIVVLVVRRRFRYAELREDWEVEFGPHRFSYKDLYRATDGFKDKHLLGEGGFGRVYKGILRTSRMEVAVKRVSHESRQGMKEFVAEITSIGRIRHRNLVQLLGYCRRKGVAAGLVYLHERWEKVVVHRDVKASNVLLDRDMNGQLGDFGLARLYDHGKDSQTTHVVGTMGYLSPELMRTGKASPLTDVFAFGVFLLELTCGQKPIKENGQSGGGHVVLVDWVLQHWRNGSLMETVDGRLHGEYDVEEAALVLKLGLLCSHPFADARPAMGQVVRYLDGVTPLPELASTDLSFHVLAMMQNKEFDMSTISYPDMVTSFGTISSLSGGR >KQL11533 pep chromosome:Setaria_italica_v2.0:IV:34547495:34547785:1 gene:SETIT_008044mg transcript:KQL11533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMDGRARAIGGHQQLLVDSARVLLLLGSITLTHQLTRSAPSSGNVELHLVLGLVIWLLGAALVTLSLVARRFPRHAAAGVCIARALRNYLLGGL >KQL10522 pep chromosome:Setaria_italica_v2.0:IV:17416493:17419822:-1 gene:SETIT_008202mg transcript:KQL10522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGIFNPILHGKRLFQQFAVDTYVKIESSRLDYIRNNQDILRADLYQGLVDSWRTGVEDADEVGKRTVLSPTFIGGPRNMRRRYMDAMALVRKFGKPDIFLTMTCNPNWDEIKNELYPGQSPQDRPDLVSRVFRAKLEELKKMLMEKDILGKVRAFVYVMEFQKRGLPHAHFLLIMQSKYKITCPEQYDLLISAELPNKKKYPDLYRMVTKGRTSCKNRYPRPFCDSTSQGKDSYPIYRRRDDGHKEIIRGHILDNQWVIPYNPCLLRTFNCHINVEACSSIKSVKYLFKYIYKGHDRASVAVREVGKKDDKGNVDEITQYREAQWVTPPEAMWRIYGFDLSKNHPPRPGADESMLTAYFDYNRLHEEAQGILYRDFPEHYTWKSNGKFWKPRKNAVYQVGRLVSAHPAEGERYFLRVLLNHVAGATSYKDLRTVDGVLLPSFREAAERRGLIEEDNTLDECLTENSLFHMPSSLRRLFATILVFCEPNDVFGLWTKHLDAMSEDYMRNNPNPSLVEQMVLIDIRNMLQSMGKDIRSFPLPGIDDAYDDASGIPREIFEEASINQDPEDVGLSDSLNKEQRAAYKEIMSKVDTEQGGLFFVDGPGGTRKTFLYRALLGTLRNQNKLAIAIATSGGRTAHSHFKIPLTLEDGGCCSFTKHSGTAKLLQKASLIIWDEASMAKRQAMEALDNSLRDIMGRQDLPFGGKTVVFGGDFRQVLPVVRKGSRAQIVDASLRRSYLWESMHHLKLVRNMRAQSDPWWHGGDGDVCLPNDICVPYSGDSEKDLDRLIECIFPNLNANMTKKDYITSRAILSTRNDWVDNINIKMIGMFQGGEMVYHSFDSAIDDLHNYYPSEFLNTLTPNGLPPHLLKLKIGCPVILLRNINPVNGLCNGTRLVVRGFQKNSIDAEIVLGQHAGKRVFLPRIPLCPSDDEMFPFQFKRKQFPIRLSFAMTVNKSQGQTIPNVGVYLPAPVFSHGQLYVAMSRATARTNIKILALPPNAEVDEEQTKKKERKTTNKKVNGQGNQNNNEQKGSSEKKKRVPTVDGTYTKNIVYKEVLTP >KQL12109 pep chromosome:Setaria_italica_v2.0:IV:38790070:38791969:1 gene:SETIT_006407mg transcript:KQL12109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLNEIVLIDDELSGCIPPQVGLLSQVTVFDVSGNHLQGPLPGSVAGMSALQQLNVAGNLLRGPIPPAVCGLQRSLRNFTYEDNFFTSRPGCPAATADGRWNCIPGAPAQRPPAQCAAAGVPFDCSTAQCQAPPASSPPGGGDIGSPPGSGSGSPPQTLPPPGSNTPSYPSPPGSSTSPPGSSTSPPGGSTTPSYPSPPGGSSTTPPGGGGSPNPSMPPSSGPSHGGSPPSSGYQPPSSGWSPSGQPVVAPPTEHPGGVWPPHSPSAPGTPGSTFPPTTPGAPGSPSTPTTPGTPGSTYPPTTPGAPGSPSSPTTPGGTPGSSTPGTPGSPSTPTTPGYHPPSPGTPSSPGSWSPPPHGGDHGGDHGNQHSPPSTPGGGGSGGFPFPPVHGVSYSSPPPPSDPGKLPFPFPPVHGVSYSSPPPPLLPPVHVYGVSYASPPPPTTPYKNNN >KQL11780 pep chromosome:Setaria_italica_v2.0:IV:36733811:36738034:-1 gene:SETIT_006708mg transcript:KQL11780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMVDPPNGMGNQGKHYYTMWQTLFEIDTKYVPIKPIGRGAYGIVCSSINRETNEKVAIKKINNVFDNRVDALRTLRELKLLRHLRHENVIALKDIMMPVHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCQYFLFQLLRGMKYLHSAGILHRDLKPGNLLVNANCDLKICDFGLARTNNTKGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIVNVLGTMSEADLEFIDNPKARKYIKSLPYTPGIPLTSMYPQAHPLAIDLLQKMLVFDPSKRISVTEALEHPYMSPLYDPSANPPAQVPIDLDIDENLGVDMIREMMWQEMIHYHPEVVTGMSM >KQL09417 pep chromosome:Setaria_italica_v2.0:IV:3228050:3228220:-1 gene:SETIT_008447mg transcript:KQL09417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAADEIAIESPAHFRLYKSGRIERLNRPPVLPAGLDEATGVTSKDVVLDPETGLS >KQL10025 pep chromosome:Setaria_italica_v2.0:IV:8626849:8629262:1 gene:SETIT_005994mg transcript:KQL10025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWPPRIPVPAAVARRRAAAGATSTAADSTDDLIRQHNRSIAALLRRGRFAAARRLFDALPARSVVTWNSLLAALSRRGDVLAARSFFDSMPVLDAFSWNTLLAAYARSPHPDHLAAARRLFDEMPQRDAVTWNTLLGAYARRGLMDEAQSLFNDMPQRNTTSWNTMVTGFFAVGQAKKALDVFEAMPVKDSASLSAMVSGFIRNGWLREADVLLTKHLRATDMDKAVDAYSTLIAAYGQAGRVADARRLFDMIPKEQSQHKGHKRRVFERNVVSWNSMMMCYIRTGDVCSARALFDEMPGKDLVSWNTMIAGYTQASDMEEAEKLFWEVPEPDAVTWNLMMRGFTQKGDVEHARGFFDVMPERSTITWNTMISGYEQNKDYDGTIKLFQRMLEAGERPNHHTLSSVLAACASLATLRLGAQLHQLIEKSFLPDTAICNALITMYSRCGELTNAKAIFSQMHTQKDLVSWNALIGGYEHHGHAIEALQLFKEMRGAKVAPSHITFISLLSACGNSGLVSEGRVVFYTMVREYCLDARVEHYAALVNLIGRHGQLEDALEVIKSMPIAPDRAVWGAFLGACTAKKNEQLAQMAAKALSEIDPESSAPYVLMHNLHAHEGRWGSASVVREDMERQGIHKHPGCSWIDMHDKVHVFISGDTSHPLTHEIFSVLECFYRSCRDWS >KQL12100 pep chromosome:Setaria_italica_v2.0:IV:38762892:38763116:1 gene:SETIT_007827mg transcript:KQL12100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVFQAPIEEEGMMEWWLQLRHGHNSLKQKGIDFTFMLITWSIWKERNSRTFDSAPGRYEMHMVEAVLSEGQL >KQL12272 pep chromosome:Setaria_italica_v2.0:IV:39768310:39769983:-1 gene:SETIT_008168mg transcript:KQL12272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CTGNGADGALQAEPEVVVPRSVPVHIAYELQQAGHRYLDVRTESEFRDGHPERAVNIPYMFKTGSGMMKNSHFLEQVARIFGKDDDIIVGCQSGRRSLMAATELYSAGFTAVTDIAGGFSSWRENGLPINQ >KQL12223 pep chromosome:Setaria_italica_v2.0:IV:39518347:39521454:-1 gene:SETIT_006612mg transcript:KQL12223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFAFKSGKGRSRSQPAAGAKTPPPAKSPPASDSSGGGGGKRSKASSASASTPTRSIQELSEERGAQRLRVFDLDELGSATNGFSRALKVGEGGFGSVYRAFFRSAGGGRVVLAVKRLNQRSLQGHKQWLAEVQFLGVLEHPNLVKLIGYCAVDSEAGKHRLLVYEFMPNKSLDDHLFNRSHPPLSWRLRLQIMIGAAWGLDYLHEGVPEVQVIYRDFKASNILLDAEFKPKLSDFGLAREGPTEGKTHVSTAVVGTHGYAAPDYIETGHLTAKSDVWSFGVVLYEILTGRRSLERSRPAEEQKLLAWVRRHPPDSAGFRAIMDPRLGGRYPLAAARDVARLADRCLGKNPKERPAMRDVVEELERVLQMEPPPPPADKKGGDGRTPPSAKR >KQL10443 pep chromosome:Setaria_italica_v2.0:IV:15255649:15261244:1 gene:SETIT_006194mg transcript:KQL10443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQAAATTRLPPKSNQKPIRRPPNPQLPPPRTTARASTPGDRRRGIRAGSPASAPPASMGSDAEPAKGLLPYLQRADELQKHEPLVAYYCRLYAMEKGLRIPQKERTKTTNSILISLMNQLEKDKKTLTLGPDDNLHLEGFALNVFAKADKQDRAGRADINTAKTFYAASIFFEILNQFGELQPDIEQKQKYAIWKAAEIRKALKEGRKPEAGPPGGDKDETPVSTTTISQDMGRSQSFNSMQHGTEAPSPPVDKDFSRRDSFSALQPGNNVHRQNTEFNDHPSTQSPYNYSPPAPSQPQHPSPSQSYSSPSYQATDYPSSDSHKQNPNHSSPPYTSTDYPTNEVHKPPSNYSPPPYTRTDYPSNDGYNPHSNDKPDVSPYPQTYQPPPYTIEPQHTSQNFYSTEAPAAPYNYPNFQSYPSFQDSTSPSVPTHQSPSVPTHQSSFYSASDGPAATSYSPASNPSAPTHYHSTADSSPQVTPAVPPASQYKYDSTYQPAVEKIAEAHKAARFAVGALAFDDVSVAVDHLKRALDLLTNPSAETH >KQL11151 pep chromosome:Setaria_italica_v2.0:IV:31196221:31197529:-1 gene:SETIT_007566mg transcript:KQL11151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVVSGVQIRRRLPAVEERLTRPRRLVRELPDLDAGRLHRLIRSGDLAPCFDPAEDAGDGQAEECPICFYFYPSLNRSKCCGKGICTECFLQLMPSKTSNAVQYPILQLMNN >KQL11174 pep chromosome:Setaria_italica_v2.0:IV:31385184:31385666:1 gene:SETIT_007740mg transcript:KQL11174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPEKMKAWAAMADDPLKTASSASSHPSNPLKRYSPTTLAAGGLIVVGGLGYLMFGGKKNGHDQQASRA >KQL12011 pep chromosome:Setaria_italica_v2.0:IV:38151393:38155847:1 gene:SETIT_005827mg transcript:KQL12011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPPLSTAHTRVAVLLLLFLLAVVPWPGAAAQGGYRVMSVAGGGGQLSARLELAGDGEKAELGPDVQRLSLTASLETDSRLHVRITDAEHPRWEVPQDIIPRKAPRDIVLDASTGASPRSRVLSAATSDLTFTLHASPFRFTVSRRSTGDVLFDTSPSVFFKDRYLELTTALPAARASLYGLGEHTKRTFRLQRNDTFTLWNADIAAGNVDLNLYGSHPFYLDVRSASPAGAGNGAGAAHGVLLLNSNGMDIEYGGSYLTYRVIGGVLDFYFFAGPAPLNVVDQYTQLIGRPAPMPYWSFGFHQCRYGYKNVADLEGVVAGYAKARIPLEVMWTDIDYMDAFKDFTLDPLNFPAGPMRQFVDRLHRNGQKYVVILDPGINVNETYGTFIRGMQQDVFLKWNGTNYLGKVWPGNVYFPDFLNPRAAAFWAREISLFRRTLPVDGLWIDMNEISNFVDPPPLDALDDPPYRINNSGVRRPINNKTVPASAVHFGGVREYDAHNLYGLLEARATNAALRADTGRRPFVLSRSTFVGSGRYTAHWTGDNAATWDDLHYSINTMLSFGLFGIPMVGADICGFSGDTTEELCSRWIQLGAFYPFARDHSAIGTVRRELYLWETVARSARKALGLRYRLLPYLYTLMHEAHATGAPIARPLFFSYPKDVQTYGVDRQFLLGRGVLVSPVLEPGATAVDAYFPAGRWFSLFDYSLAVASATGKRVTLPAPADTVNVHVAGGNILPLQMPALTTSRARQTVFHLLVALAEDGSADGELFLDDGESPEMAGPRGQWSLVRFTCKAGRGGATVRSHVLHDSYGPSRKLVIGKVVFLGLRSPAPPRELAVYVNGVRTANSTGRAQGYRMSGALGAAHVAGLSLPVGKEFELKVVMS >KQL11483 pep chromosome:Setaria_italica_v2.0:IV:34069742:34072290:1 gene:SETIT_008002mg transcript:KQL11483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISDEQRMEMSVAERHCLPPPSSHGNGDADVEADVEEEHLWPTKDGPLPIFLKFENVEYRVKMTLKNPLTAARVAFTSQARVDQGSSCKHILKGIAGSVDPGEILALMGPSGSGKTTLLKILGGRLSGGIKGQITYNDTPYSPSLKRRIGFVTQDDVLFPQLTVEETLVFAAFLRLPARMSKQQKRDRVDAIIAELNLERCRHTKIGGAFVRGVSGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSASKLILVLQRLARSRRAIITTIHQPSSRMFHMFDKLLLISDGHAIYHGKARDCMPHFASLGFAPEIPMNPAEFLLDLATGNLDDITVPEALQGSPDPQQEFFRSQVIRHLQLKYRQSAAAAAGSNRTREPSEQLRLAVRARNGHRGGGIGWLQQFAVLSRRTFRERAPDYLDKMRLAQAVGVALLLGLLWWRSKAGDEAQLRDQVGLIFYICIFWTSSSLFGSVYVFPFEKLYLVKERQAGMYSLSAYYASSTLCDAVPHVVYPVLFTAVLYFMAGLRRTPACFSLTLLATLLVVFTSQGTGELLGAAILSVKRAGVMASLVLMLFLLTGGYYVQHIPAFIRWLKYVSFMHYGFNLLLKAQYHGHLAYDCGGRGGCRPLQSSPSFDTVDLDGSMREVWILLAMALAYRLLAYFCLLKRISRTPL >KQL11870 pep chromosome:Setaria_italica_v2.0:IV:37319514:37321275:1 gene:SETIT_007178mg transcript:KQL11870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAESSAGLDPSSDSWLGRNPRSSRELEAEQRVVAGTHLIGLGGRVEGRRQVQIERQGRAGGGRVVVASVVFAEDGRRGSAVAAAVLLVLLLLHRGGGAARQGGRGDAGGAAAPRLYGAAMAGRGRRRRRRGGGGPAVPRRRGGRKVGAGGGPAEGSGGGVVGARGGLGGVEGAEPDRGLLGGVPDLGGVAPRRPPPHAAVPDLRRRRRLRRLRPAHRGTAAGGRGGAWRCWVGKPRAYPG >KQL10916 pep chromosome:Setaria_italica_v2.0:IV:28010057:28011286:-1 gene:SETIT_007367mg transcript:KQL10916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVEMRVNIDCDGCEGKVRRSLEKLEGVHSVSIDRMHGKVTVMGSVSQKKVLRAARRSGRLAVLWPSAYNNPAYHHAYAQPAAAYYPNHYQAKPAQALAQHHHYFSSVPRGGVSSAARMPVAQYPQGKASSYNYHVHGYYDSELYGNYHEQPGVVPAAVRNYFSDENPTGACSIM >KQL09475 pep chromosome:Setaria_italica_v2.0:IV:3670205:3672580:1 gene:SETIT_006438mg transcript:KQL09475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISSDDVQATTARVMVPPIPPVRSRARRRDCWIETKCWRLKNEHGRARDRVVVSDFFSFWAAHFAMERPKIPPEPHGFLKIRPNEQQSPSATTAHPLRRRRRSVVLRLDDLSLPPRYLTVPSHLPVSDLLGALPLPSSSYYLTSGGRPLAPSSRVAALPPSASVHLRLRALRGGGGDGGATGAESRDCYLSMYLAKKPDKADPNEARLSRFTCCALSGEPLAAPAVADRLGNLYNKEALVEALLHKRLPKALSHIRGLRDMIPIHLHPRPDADAAGEEVRFECPVTGLEFNGKYQFLALRGCGHVLSVKALKEVKTSACLVCHKEFEEADKMPINGTEEEVAVLRKRMEEERGKVKEKKDKKVGNGLSGTKHAAAAALTAAGAEKLENGKKGEAATAKRFKAADHAPAHANKEVYASIFTSSRKSDFKETYSCRSLPLGRN >KQL12115 pep chromosome:Setaria_italica_v2.0:IV:38815168:38817669:1 gene:SETIT_006933mg transcript:KQL12115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASKDKRDIYYRKAKEEGWRARSAFKLLQIDQEFNIFHGVKRVVDLCAAPGSWSQVLSRNVYVPAKQSPDYKEGDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAALTIVTHVLKVGGKFVAKIFRGKDTSLLYCQLKLFFSQVTFAKPKSSRNSSIEAFAVCENYSPPEGFKEEDLYHLLEKVGTPSGADDLDCRSGWLEGPNKVYIPFLACGDLSGYDSDRSYPLPSTEGGSYRSLDPVQPPIAPPYKTALEMKKASSHGASADTVRPSADS >KQL08958 pep chromosome:Setaria_italica_v2.0:IV:437738:443160:1 gene:SETIT_005901mg transcript:KQL08958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRPRPGGAGNFEKRIRRRILNGGLAGAGASAEDVASTLRVHYPELRRQKPDPFTALVRRVLSSIPSPSSPSGSDGGDYDDAEDSSASRHRHRHRHRHDAHASTSSSTSVSDEVAHPPPAPAYDVTKSVIRSNYAAQTAKRNPASNQQLEIEETAEKARRLITSDGGAGGDAKPEAMASEGIVRGDKGPRFADLGGMEAVIEELMMEVVVPLCHPELPQRLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPEVVSGVSGASEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDQFHQNIGPGGGDLDAESSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGVPDENARKQILKMLIQNLRLEGNFDLFKIARATPGFVGADLKALVDKAGNLAMKRIIDKRRAQYYHEHNGNSKHDWWRQPWDAGEVEGLHITMYDFEEATKMVQPSLRREGFSSVPDVTWDDVGGLNSLRKEFDRCIIRCIKHPEDYEVFGVNMQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKIFTRARTNSPCILFFDEVDALTTKRGKEGGWVVERLLNQLLIELDGADQRQGVYVIGATNRIDVIDDAVLRPGRFGKKHYVPLPGADERVSILKAHARSKPISSDVDLEALARREECNNLTGADLASLVNEAAMAALEERLDFVEHGTSSMSSSCLIELSHFERALSKVKPSVSEQLIKYYEALSKRYSSN >KQL09909 pep chromosome:Setaria_italica_v2.0:IV:7390685:7400782:-1 gene:SETIT_007939mg transcript:KQL09909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEKKKKEDEAQVGALAGFLQDSYSDNIHSILLDDDPSKLHFPLVIEFAELMDFDPKFAGKLYSYPDKYLSLLDKAARCVKGDMIEELGDLKHAASKKLVHVRIDVSGSPLEFPEASPSIGKVRANHMKKLITLKGTVIRSGGVKMIERERYYMCKKCQHRFLLYPELEAGNRINLPALCPSESSRGCGSASFQFIEGTTVCRDYQEIKIQENVQLLGVGSIPRSMPVILMDDLVDNIKAGDDVIITGILSAKWSPDIKDVRSNLDPMLLANYVRRTNELKSDIDIPAETEEEFKHFWKKYRLTPLKGRNFILEGICPQIFGLFTVKLAVALTLIGGVQHVDASGTKVRGEPHMLLVGDPGTGKSQFLKFAAKLSSRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRTTIHEAMEQQTIISASFTILAGLVTTLSSRTTVFGATNPKGQYDPDESLSVNTTLSGPLLSRFDIVLVLLDTQNSDWDKIVSSHILKENFDEKKDKTNASDVKWTLPKLRRYINYVKRFKPVLTKEAERVISSYYQLQRRSGTHNAARTTVRMLESLIRLAQGMFSHARLMFRNEVEQLDAIAAILCIESSTTTSPIVDIVGNALHSNFTDNPDEECILTCDFVAYLFGFNMP >KQL09796 pep chromosome:Setaria_italica_v2.0:IV:6146838:6152908:1 gene:SETIT_006001mg transcript:KQL09796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPTAGGAGKATAPYGSWESPISAAAVSAAGRTAEGLAVAGDGRLVWVETRPEEGGRAVLVKESTESGGKARDVTPQGFAVRSLAQEYGGGAFAVQGDVVVFSNYTDQRLYKQTIGDNSPLPLTPEYAGSVVRYADGVFDPHFHRFVTIMEDHRQNSSNPITTIAAVRISDQDVEEPTMLVSGSDFYAFPRVDQTKKRMAWIEWSNPNMSWDKSQLWVGYFNEKGEVTKRICVAGGDPTLVESPTEPKWSSKGELFFITDRRSGFWNIYKWDEQRNVVTTLYSLDAEFSKPMWIFGVSSYDFLGKDNSSHKIICCYRQNGKSYFGVLDYDSESFSKIDIPFSSVNNIVSGDGSFYIEGASASLPVSIAKVTLDERGTMATNFSIVWSSSEDVAKFKSYFSLPEFVEFPTVIPGQHAYAYFYAPYNDIFQGSSEEKPPLVVRTHGGPTAEAQGVLDLSVQYWTSRGWAFVDVNYGGSSGYGREFRERLLGQWGVVDVNDCCSCATFLVETGRVDGQRLCVTGESAGGFTTLACLAFRQTFKAGSSLYGIADLAALRAGMNKFEAYYIDNLVGNKQAYFERSPINFVNNFSCPVILFQGLEDTVVSPDQATKIYKAIKDKGLPVALVEYEGEQHGFRKAENIKFTLEQEMVFFARLVGKFKVPDDITPIKIENFD >KQL09813 pep chromosome:Setaria_italica_v2.0:IV:6238915:6243080:-1 gene:SETIT_006855mg transcript:KQL09813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGLAPAAALRGRAAAAAARWCTCRRVAVALCLGNLVAALLVARALYAPGTFASAPKRGEARYSREQMRWVEESIRIRRAAEPAELIEAVEKLRRAFVREEKRRKELPLELKQKVSHEILQRLRDLGENRNTTEQREAVESWRVEKLKYIRSSSTQNLSNFGLSGEESRMLKRALDFNWRMLLEDIGLWIPPTVHHIEHDDKPENEQEEEEIVPGPPLPPECNAELHTDYGGTAVRWGLTHHKESAADCCQACIDQAKRAKPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSEPYRDSHPTAPVVVPWMSGVISA >KQL09812 pep chromosome:Setaria_italica_v2.0:IV:6239224:6243080:-1 gene:SETIT_006855mg transcript:KQL09812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGLAPAAALRGRAAAAAARWCTCRRVAVALCLGNLVAALLVARALYAPGTFASAPKRGEARYSREQMRWVEESIRIRRAAEPAELIEAVEKLRRAFVREEKRRKELPLELKQKVSHEILQRLRDLGENRNTTEQREAVESWRVEKLKYIRSSSTQNLSNFGLSGEESRMLKRALDFNWRMLLEDIGLWIPPTVHHIEHDDKPENEQEEEEIVPGPPLPPECNAELHTDYGGTAVRWGLTHHKESAADCCQACIDQAKRAKPGALKCNIWVYCPSEYGCYSPDKYEHKHQECWLKQADHPKLNFKDRYSEPYRDSHPTAPVVVPWMSGVISA >KQL09448 pep chromosome:Setaria_italica_v2.0:IV:3459820:3464206:-1 gene:SETIT_007214mg transcript:KQL09448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAFTSLLPAAASASAAASRLPSAAGAAASFVRLPHHPTGWAGPSVAAAPRTARRRAPGVAYATAATEKSIYDYTVKDIDGKDVPLKKFKNKVLLIVNVASQCGLTTANYTELSHIYEKYKTQGFEILAFPCNQFGAQEPGSNSQIKQFACTRFKAEFPIFDKVDVNGPNTAPIYKFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTTSPFQIEKDIQKLIAA >KQL10818 pep chromosome:Setaria_italica_v2.0:IV:26217489:26218551:1 gene:SETIT_008462mg transcript:KQL10818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYYVVEFHMPHSVMRQFGRMQPCPPLELSTLLKRYKENDWKVKHAQYLIMWENRQRCDPEDGPYWRAGPNNEYIRWYCTSMRTKVKPSWSNVPIEDAPSDSSDDIADVYDTVTRYGTQPKRAPLHDYMQLARLANEAGLVMERAVGSGDGLLRQFAERVRKSCRRMTMRMNCVSSSDVHHGGNGQGTSSGSRRTPLATPPRAATPSTTAGHSRRSRGKALASPQASEDSEGDQSEDDDPTYGEELEVSGMIDAPPMTQT >KQL11582 pep chromosome:Setaria_italica_v2.0:IV:35040223:35041235:1 gene:SETIT_008429mg transcript:KQL11582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLFSSSSFKKYADASPAPSGGDMEAGGESVVNLDKFFEDVEAVKEDMRGLEGMYKGLQSTNEETKTAHDARTVKSLRARMDKDVEQVLRRAKAVKSKLEELDRSNATSRKVPGCGPGSSTDRTRSSVVAGLGKKLKDLMDDFQGLRARMAAEYKETVARRYFTVTGEKAEDSTIEALISSGESESFMQKAIQEQGRGQVMDTISEIQERHDAVKDIERSLMDLHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTVELETAREYQKSSRKWMCFAVLAGILLIAVLILPVLVNLRILTLPTRR >KQL10441 pep chromosome:Setaria_italica_v2.0:IV:15222668:15223164:1 gene:SETIT_007454mg transcript:KQL10441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPYSYGRAIRNKTILFVLQQPSLSPSRCCTRHQNASFLPRRCTRLRQSPLRTSALRQHGGRILAFALGASTAAALREDRHRCTRGGPRCGLRPPPPTAARGFLSARCACRPPLPLACCRRRRAGPGGWHEKLEELAFFPGP >KQL09481 pep chromosome:Setaria_italica_v2.0:IV:3715742:3716970:-1 gene:SETIT_006623mg transcript:KQL09481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSMRSSRTRSQSEGRKCRRSEPAARRTTACVLLSMNTWRSPGDASAAAFSSSAASRHETSSATSLWIPSAPGARGVAGDSPSSPPRTRWRETRRRSMQRRYPIQNPTHGCTSPPCGICHVQWERTNAPRSRPPRRAVSTRPASAAPAAAGTGWKGPQEKSTASTGYVSESGAYRSSAASSVDGELPRTATAPASPSIAPLLAAASSPRAAACAGSSSRCRSRRNRRSMGAAASAGRAHVQNPGDVEPGEPVRQRVGLRSGVGGRGEGREEEEERALLVGVDVVEDAWRRHEELADTVAVEVELVASRRGERGGVARELTGYEVGWIELVGTAGAVALGGARRGWAATAAAAVVVAAAAAGLQPGLGNDSLDARVHGARRHGRCGHVGS >KQL09789 pep chromosome:Setaria_italica_v2.0:IV:6045613:6047241:1 gene:SETIT_006673mg transcript:KQL09789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQAPKEVIPLMTPYKMGQFQLSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATKGGLVIAEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGALFFCQIWHVGRVSNNKFQPDEQAPISSTDKQISPDPESGMVYSKPRRLQTKEISGIVDDFRRAARNAIEAGFDGVEIHGAHGYLLEQFMKDSSNDRTDEYGGSLENRCRFAVEVIDAIVHEVGAHRVGIRLSPFVDFVDCVDSDPIALGHYMIQQLNKHEGFLYCHMVEPRMAIVDGRRQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVVAEGYADLVAYGRLFLANPDLPRRFELDAPLNKYDRSTFYTQDPVVGYTDYPFLEEDSNRKAATQA >KQL11923 pep chromosome:Setaria_italica_v2.0:IV:37640172:37645274:1 gene:SETIT_005840mg transcript:KQL11923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVPPPPRQLEVRRFASARAGELRSLHAAISSRLDDGGGRSRKQPRSERRRTTGHLPSKRRRRWSGADAAGTGEVGPAGEGSSAPRKQSRRVRRQRELAGNPAEGFSVAGDGARRLRTHLWHAKRFAMERRWGFVLPVGAQGRGRGSRSVLKRLKNGTIVHDASYFIPVELDGPEDSLLSILRMVLRPSPVDKTPELKHLQDQVMRGVCYENAMLCGVGSPRSQIIGPVTYMWRPFSRENDKSETEGDLSTAHSFDEKSRSSLRRQLWIWIHPVALDEGLSAIRFACEKQIQDSGSVVKCCSLEGKIGRLEVMGCKAMQSLKKMLHPIKASKINMVPDTSHKSTSIDTPPDSSTVPHLLEASIIDHAEILQPGAILSMIVHDPREVSVQGTVSSKLVSLDKENEGLEEDVVPNADEAPSEVGNMLSSMWMQPGKHDIFLSDCRELWDSSQSINPPVAEEVLCMEKQHERIKFFCLDSGNDQGQTTQEKDSFSRSCPVVLLKHAKKGMPSLGWSIILPLSWVKPFWLFLVSHGAHVIGLRERRWIATKFRMPCFPYDYPDSKAYASYMSKEAAVFDKAVECRPAAKRPPTVPMPPLWHCIMACFHKDDGILSGLEVDDLVRANIVLPKSLSVNSKSGDVEPSQANIASLQLHVPRTIQMLRQYVKEFDRKYLSLSSGMETDADKPNLTSDDTIKMTCSVCLTRVLIRAFKEGSFEEGAVVCAPLPSDLPAWKIRSEEEEEECVEKWELQLPQSHVSSYFSWFDSSTGNLQLPKDDAARDAFRWPIGFVTTGFVHGSNGQDAVAVAFCEAKLLAVLRRQQWAHKNLQGREICVLVRNARSAAYRRSLATIILEHQESDLEFL >KQL12312 pep chromosome:Setaria_italica_v2.0:IV:39973534:39976084:1 gene:SETIT_007094mg transcript:KQL12312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAAWIWQAHPVHQLLLLLNRDEFHSRPTKAVGWWGEGSKKILGGRDVLGGGTWMGCTKDGRLAFLTNVLEPDAMPGARTRGDLPLRYLQSNKSPLEVATEVAEEADEYNGFNLILADLTTNIMVYVSNRPKGQPTTIQLVSPGLHVLSNARLDSPWQKAIRLGKNFRELLMKHGDDEVEVKDIVERLMTDTTKADKDTLPNTGCDPNWEHGLSSIFIEVQTDQGLYGTRSTAVLSVNYDGEASLYEKYLESGIWKDHTVNYQID >KQL10050 pep chromosome:Setaria_italica_v2.0:IV:8837774:8847258:-1 gene:SETIT_005743mg transcript:KQL10050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNFLRKLHIGDSAGGDGASVHPPPPTKKGGGGRGGEHMHASGLSGWLSSVTGRPHAPPPPPSLPEPAASAAAAAAAAEVQAEESALATALASSIEERRVAREEESRKEAEDERKREVEMEKKEKQKAELEDYHMQLALEMSVRENPEAMQIEVAKQISLGSCPLQSSPAEVVAFRYWSFNALSYDDKILDGFYDICATGNEATLSTIPSLMELQALPLSHGAKTEAVLVNRALDSELVALEQKAFIMTVEFRSKNSEFVGRSLVQTLANLVSDHMGGPFVDPESMLLKYRNMSSALRAGIRSAVIPLGQLKVGLARHRALLFKVLADSLAVPCRLVKGRQYTGSDEGALNIVKFNNGRECIVDLMIDPGTLVPSDGADLGREVEDSLFVDDHHDSNTQLVSSFSEASSSIHGSFENESLEKGLTPTNAGHSGPHGAINAQTDNDVSGSGVSSSFEELSVSTYASENVPIIHESNADHNITSEGKDKSIASNISSSSSPPSSEMGSTPTVRRKKVKDVSEYMISAAKENPQLAEKIHAVLLESGVVPPPDLFSEESKEQPKDLIVYDTSVFQTKDEMIRTMNELESTAHDGSGGHGPSLPHHPGHELKIVPYRMPLDLKPVQGLGVYHPFDSRDNAAPSIPLYEPSAPPQENPLQLIKQMPVTAAAVATAAVVASSMVVAAAKSNSDIKLDVPVAAAATAAAVVATTAAVNKQYEYLDPGCQLLCLPSSSNKSIQKGKHDLLDNDQLETNHGQDNALKHEKDSVQEPHEAERVSDRSTGTESARSEITLDDVAEFEIQWEDLTLGERVGLGSFGEVYRGEWHGTEVAVKKFLQQDISSDALEEFRTEFGIMRRLRHPNVVLFMGAVTRVPHLSIVTEFLPRGSLFRLIHRPNNQLDERRRLRMALDVARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSRIKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVFSYGVILWELCTLLQPWEGMNPMQVVGAVGFQQRRLDIPGSVDPAVAEIIKKCWQTDPRMRPSFSDIMAALRPLLKNMPANQPTRKRTQQIDD >KQL11113 pep chromosome:Setaria_italica_v2.0:IV:30858847:30861911:-1 gene:SETIT_006559mg transcript:KQL11113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGRLNVTTSSPGDDFPFAPVQQQQQQPPPPYVGFEHGVTGGSGGVMQRHLYDGLDFATALQFQEAAAPHHQLLTLPSSLAPMAPPMQMPMPMPLQMPMPMPGMPGDVYPALGMVKREGGGVGADGATAAGRIGLNLGRRTYFSPGDMLAVDRLLMRSRLGGVFGFGFGGAHHQPPRCQAEGCKADLSGAKHYHRRHKVCEYHAKASVVAAGGKQQRFCQQCSRFHVLTEFDEAKRSCRKRLAEHNRRRRKPATTASSKDAASPPAKKPSGGSISGSYTTDSKNLSTTKSTMSSNTGSVISCLDQGNKQLARPTLTLGASPDKQDHHHQLSTMLQVQAAAGGHHQEQHFITSLQVHNNGGNGGGNNILSCSSVCSSALPSAAANGEVSDQNTNNGNGNMHNLFEVDFM >KQL11897 pep chromosome:Setaria_italica_v2.0:IV:37451814:37452258:-1 gene:SETIT_008076mg transcript:KQL11897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKSHDKQAKLNPISTSKSDLAYLQKKKDEEKALKELKAKAQKGAIGGSGLKKSGKK >KQL10504 pep chromosome:Setaria_italica_v2.0:IV:16845798:16849763:1 gene:SETIT_006346mg transcript:KQL10504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAARKDAGAAAGVAAFGVSCFDIKSFVASLALLTLVMALWQLHPYQPLLSTSRSSSCPLLPSQPISASSRAATAAALPTANSTTADAADTKTAPSTVPAATATKPDAAVLPAARPRDPNKRDLRPYGSAAALFVQMGAYRGGPRTFAVVGLASKPAHVFGTPYFKCEWVPNLDPSSPAPPRPVRTKAYKMLPDWGYGRIYTVVVVNCTFPTNPNAGNRGGKLLVHAYYSTASRRYERFVALEEAPGSYDESRFRPPFPYEYLYCGSSLYGNLSAARMREWLAYHAHFFGPSSHFVLHDAGGVSPEVWAVLDPWYIYLPDGRTLQEVLGQLERYTQFTIEQNPMSSKLCVEDPNKEYSREWGFEKLVFRNSITGVRRDRKYAIQARNAYSTGVHMSQNVIGRTSHKTERLIRYYHYHNSINIMGEPCREFVQKPTNGSKVMFEGIPYVYDDNMKRLTVEIKRFEEETIGAIHT >KQL08982 pep chromosome:Setaria_italica_v2.0:IV:540127:540551:-1 gene:SETIT_008041mg transcript:KQL08982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDEWIEMKLKVVLEFTAPWSEPCKFMRPAMEEIAARFKEHADFYTLDVEQFKTFARNTRVEALPTFLLVRDTILERVVGVSKDDLQRSIEKHTTNTAVHVDRTSGST >KQL11681 pep chromosome:Setaria_italica_v2.0:IV:36046874:36047316:-1 gene:SETIT_009157mg transcript:KQL11681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLFRNLLIGRRKPSQCMCAMVWISWPYEQVGLIPPHEYMG >KQL09655 pep chromosome:Setaria_italica_v2.0:IV:5014362:5016043:-1 gene:SETIT_008616mg transcript:KQL09655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIALKFPAPPRRRNVTVPTNELLLCPSPLLPRNSAKKRRNPLPRRPPPPPRPGTSAAPPPAPAMEFLPDGAHVRLRNRMHGAYLHADEDGVGVSLSPRRASLNTAWRVHRVRRGGDDYVLLHSAAYGRYLALSPQRVSLVYAGHAAVQGAYDAPEQVDVLWEAVRVADAAADVLMLHVSNRLLRAAPWNPALPSPVYVDIDNAGTVMQWVIEAIPLRQAPPALQGPTELPRGVVLWRTIVYMKADSQGKVDPLSRRTYGFSGRSLFHLTGDLANQLRLQFRSITLCVRAGSQGRLTPLVIDLPADERTMEVVVFTNGSLCNTCYLFPFS >KQL10682 pep chromosome:Setaria_italica_v2.0:IV:23032821:23033408:-1 gene:SETIT_007683mg transcript:KQL10682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRVELDMLQQEKKGTKRKRERVELRRIEDRTSRQVRFSKRRSGLFKKAYKLSVLCDAQVALVVFFPAGRLHKFTFAVSR >KQL09846 pep chromosome:Setaria_italica_v2.0:IV:6659217:6661821:-1 gene:SETIT_008388mg transcript:KQL09846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSSRRQAIKRREDPQLILRKRLREEMEALRGLVRKAELLCGKRRAAPRCGKDDGRFLEAEGWSESPTEAEGTTCAKRRKTTPAEPRMSADEIADLVARVASLSENMPASILEFLEECTGHEDRNRGEIDIGSMRRSAMFELKKMLGKFAKEEKRRSPPDQQEDGEIVDICGDASPSAAQKVLRYRSPPRLLEDGEIEEEAEDMSVDVCGDASPVAEKFAGTVNSPGSTSSSCSDSSHCDSNGSGSEDESVASSPAPVVLASPMAVAHKVPCLLEDGEIEERGGASPVAAEKFTDTVMHSPRSSSSSAYKKINKKKIGNTNQSVMSESDRCPCCCGSPPRRVRSQSDQRGRLLSSARACQWNLLRAASYRDLVAKACRMQRRLHNPERLRAYEELEEMERNAKPISDWIHPMHLRQLGITPVEYAVTSERRVPGRGSPVRRLLGFFLKAE >KQL11821 pep chromosome:Setaria_italica_v2.0:IV:36998058:37001939:-1 gene:SETIT_006833mg transcript:KQL11821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSWRPSPSPLRQSMVAVVVVMAATAWCAAAQAAQLSQSYYASTCPNVETLVRGAVTQKLQETFNAAPGTLRLFFHDCFVRGCDASVLLSGPDDEHSAGADTTLSPDALDLITRAKAAVDGDAQCANKVSCADILALAARDVVSQTGGPYYQVELGRLDGKVGTRAVVKHSLPGAGFDLDQLNTLFAANGLTQTDMIALSGGHTIGVTHCDKFVRRLYPFKGSRGGAGGAGPPMNLYFLRQMRQTCPLNYSPSAFAMLDAVTPRKFDNGYYQTLQQMKGLLASDQVLFADRRSRATVNYFAANQTAFFDAFVAAMAKLGRVGVKTGSDGEIRRVCTKVN >KQL11722 pep chromosome:Setaria_italica_v2.0:IV:36332807:36333555:-1 gene:SETIT_007591mg transcript:KQL11722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQTLTVLASSCAISQGEDEEDSSSGLGSAGVSSGSRSVRPLLPLVLASSSSSQSSCRRNLLMVSESSVALACRAEAQPTSPSRSSNHSAILSIRANSSRASPESM >KQL11086 pep chromosome:Setaria_italica_v2.0:IV:30591264:30591632:-1 gene:SETIT_008060mg transcript:KQL11086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AATALTLTQLPPFAAARNTDASPLFSLVCERGRRRLGFRGEMSAYDEVEIEDMEWNAELKAYTYPCPCGDLFQITLEDLRLGEEIARCPSCSLFLTVVYNAEDFADAKEPAHKPGPSPVAVA >KQL11431 pep chromosome:Setaria_italica_v2.0:IV:33529973:33537482:-1 gene:SETIT_005730mg transcript:KQL11431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECISSIQSLPGEYFCPVCRTLIYPNEALQTQCTHLYCKPCLAYVVATTKACPYDGYLVTEADSKPLMESNKTLAETIGKVTVHCLYHKSGCQWHGTLSACITHGTTCAYGNSPVICNRCGTQIVHRQVQEHAQLCNGVQSQTQQTDGTQVQPAVATQAVTQDPSLASAGSAAGTATTLPSTTATVVADSASATGGGAAGATTASTTLPPSFSATSGSGSQTPTAEQCYQQQQLQYSQYYHQQHPGCNPYVQQYQQYGQYQHVYQQYPQPPLQVPSQNMMQGSAQPATYVQPQVQSSQPQYMMQSQPQSQPHLPHFQLPAGQSQPHQSIQPAPQVPQLQPQSQVPLQLSGPQVQPAAHTPAPTPVGNQQFAITSTQAMTPQVQPHVQAQPPQQQHANLQALPPQQNLQPQMQLNTQTQPEIPQAQQQSYPQPQAYAQQTHHMHPQNASYPQQQIPHGALLQQPVHTSRQEAPVSQHPAPMRPPVPGQQPAMLPPQGIQQTTQNQQHIGYHAQWPPMQPNIAFQAPQQGLPPQSSVSSQSVQPYQQGTPLPQQLVLSQPGQPYTQQHIPGNTGHVQTSSVGPASHLAPPQQFQHQQPTMLRTQSPAVGQPFGQGSLDLETRGSKSGKSENTSSAADNTAVSENKNNGAVSTAMRPTTLQSLGDENMNSKQNGFGGVRKDAVQTGFASHGVDGSIGRGGIADRVGNSHGPVIQGGKDHKASDASTNHEKGRPFRQISQQNAGQGSYVPPGMGPQHPTGPDRLLPQHMMHPGHKHGFSENNRPPLQQPYGLFHSGMTPRPFGENQIQMPTSQPGSVGMIRPEPHMVGPLPGHHDAMLPPFVEHLGHPPVSGRAFHEEGFNSSGEHLRSHAAYPGRHDNVKDRLKQFPGPAHLDGQGIPSGPRPFESALGRPDGFLDSIPGRPPFPNQRSPFPVGLHDDFSRKPNATAGHPDFLSHGAEFDHHRADGMPIFRNPGPFAQGMSGGSHGPPHKVQLGSGNLPGNLQHSFGGPEYPPTRFNPGHMHPGDPNLVADYAQHGFPKELAHFGLGGPLRNGNVGWCRICMFNCGSAENLDLHVQTREHQQCAMDIVLKMKQDVAKRQKLSYGGPKSFHNKKVAGKGHFRGNRR >KQL09004 pep chromosome:Setaria_italica_v2.0:IV:660900:662114:-1 gene:SETIT_008661mg transcript:KQL09004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSHSKISGTSASTTTTRAVCGLRGWADLPEGLLQSIVALLGSFLDLLAFAGTCRSWRAAFSSYPSKSTFCSLLPPLLIRPNIHVSAPHLPSKGDDGRKLRTCQVIDLANPNTPLRSQIPQETFQKLCFAGSSYGQLICGSGRNCLVVNVFTGAEVSPPQLPLSEDAYFNSGMLTAPIASPDSHLLVSVQSDQDYTQRSLLDWPVGSHSWSELQLNDSRIEQIVEFNGQFIAMDEVCRLYSLSLAPQLDLQEITTVWWDDMDECPFLRPWLVVCGDMLLIVGYHFHYISLLSGALASYKAYHLDMSTEPATWVEAAKLENHALFTGGDVRSPAFSSTSPGRWSGRTNCLYYAHDTQPWSLHGLGNDADAVWADSTDPDLVFKRTWYRQLQPFWVYPSMLYSDGQ >KQL11276 pep chromosome:Setaria_italica_v2.0:IV:32216176:32220097:-1 gene:SETIT_006061mg transcript:KQL11276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSSDSQPTDAFEYMLLEKDPDIYRMVFSGPSQISPWIDPSVLNLKHRIGRGPFGDVWIATHHQWTEDYDRYHEVAVKMLHPIKEDQLQLFSARFDEIFSKCQGLSNICFLHGISTQNGRICIAMKFYEGSVGDKMARLKGGRLPLPDVLRYGTDLARGILDLHNRGILILNLKPCNFLIDEHDRAVLGDFGIPSLLFGLSLPHPDLIQRLGTPNYMAPEQWQPNIRGPISYETDSWGFACSILEMLSGIQPWRGKSPDEIYQLVVLKKEKPIFPYSLPPDIENVLSGCFEYDFRNRPSMKDILHAFESAKDADHDNIGWDNSENVSVDRPTMTNHTNWLLFKDKLQVGDKIRSRKLKNSCSPETMEIPDGTIVGMEDDGERDGYILVRVHGLHDPLRVRCSSVERVTYGFAAGDWVRLREEDNKRSQVGILHSIDRDGTVAVGLIGMDTLWKGNYSDLQMAEAYCVGQFVRLKANISSPRFEWQRKRAGELATGRISHIHPNGCLVVKFPGKFSLGEVCSCLADPSEVEVVSFDKCEGIVKKYEHLEDFHWAVRPLFIAIGFFTALKLGVIVGKSIARPRSRKVASISDQNDDYPQQQVVQNNANAAWLPPPVANMFFIDGAASSG >KQL11543 pep chromosome:Setaria_italica_v2.0:IV:34651477:34654797:-1 gene:SETIT_006754mg transcript:KQL11543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQVPRGGGGRPPIPAGGRRPALARHASFVRSPANSTRPETERTFESMDIEFIPVIRSGGWADIGSRHSMEDVIIFSDNFMQDFGFESYEEGPSAFYGVFDGHGGKHAADFVSSNLPRFIVEDEGFPREIAKAVSSAFLQTDAAFADACSLNCELDSGTTALAALVVGRSLLVANAGDCRAVLCRRGKAIEMSRDHKPSCNLEKMRIEALGGYVDDGYLNGQLNVARAIGDWHMEGMKVCGGLGPLSAEPEVMTMDLTEEDEFLIMGCDGIWDVFRSQNAVDFARRKLQEHNDPAACCKELVDEAIKRKSGDNLSVVVVCFNSRPPPVLTAPRPRVQRSISAEGLRELQSFLDSLAD >KQL08961 pep chromosome:Setaria_italica_v2.0:IV:448521:450922:1 gene:SETIT_007108mg transcript:KQL08961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIKTEAGSFPPGKKITVVFVIGGPGSGKGTQCSKIVKHFGFTHLSAGDLLREEAKYDTEQGTMIKNLMHEGKLVPSELIVKLLLKAMLQSGNDKFLVDGFPRNEENRQAYDNIIGIEPEFVLFIDCSKEEMERRILNRNQGRDDDNIDTIRRRFQVFQESTLPVVQYYEKRGKLRRVDGAKSADEVFEDVKAIFVQLNTQVNQGSSVSRAQSNPLKRFVDLFCGCFGTQEETN >KQL08965 pep chromosome:Setaria_italica_v2.0:IV:448457:450697:1 gene:SETIT_007108mg transcript:KQL08965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIKTEAGSFPPGKKITVVFVIGGPGSGKGTQCSKIVKHFGFTHLSAGDLLREEAKYDTEQGTMIKNLMHEGKLVPSELIVKLLLKAMLQSGNDKFLVDGFPRNEENRQAYDNIIGIEPEFVLFIDCSKEEMERRILNRNQGRDDDNIDTIRRRFQVFQESTLPVVQYYEKRGKLRRVDGAKSADEVFEDVKAIFVQLNTQVNQGSSVSRAQSNPLKRFVDLFCGCFGTQEETN >KQL08962 pep chromosome:Setaria_italica_v2.0:IV:447817:450922:1 gene:SETIT_007108mg transcript:KQL08962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIKTEAGSFPPGKKITVVFVIGGPGSGKGTQCSKIVKHFGFTHLSAGDLLREEAKYDTEQGTMIKNLMHEGKLVPSELIVKLLLKAMLQSGNDKFLVDGFPRNEENRQAYDNIIGIEPEFVLFIDCSKEEMERRILNRNQGRDDDNIDTIRRRFQVFQESTLPVVQYYEKRGKLRRVDGAKSADEVFEDVKAIFVQLNTQVNQGSSVSRAQSNPLKRFVDLFCGGDKLTTYVQKIQKTLAVADEVCVCVCVYLVCNNL >KQL08964 pep chromosome:Setaria_italica_v2.0:IV:448710:450697:1 gene:SETIT_007108mg transcript:KQL08964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIKTEAGSFPPGKKITVVFVIGGPGSGKGTQCSKIVKHFGFTHLSAGDLLREEAKYDTEQGTMIKNLMHEGKLVPSELIVKLLLKAMLQSGNDKFLVDGFPRNEENRQAYDNIIGIEPEFVLFIDCSKEEMERRILNRNQGRDDDNIDTIRRRFQVFQESTLPVVQYYEKRGKLRRVDGAKSADEVFEDVKAIFVQLNTQVNQGSSVSRAQSNPLKRFVDLFCGCFGTQEETN >KQL08963 pep chromosome:Setaria_italica_v2.0:IV:448404:450697:1 gene:SETIT_007108mg transcript:KQL08963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAIKTEAGSFPPGKKITVVFVIGGPGSGKGTQCSKIVKHFGFTHLSAGDLLREEAKYDTEQGTMIKNLMHEGKLVPSELIVKLLLKAMLQSGNDKFLVDGFPRNEENRQAYDNIIGIEPEFVLFIDCSKEEMERRILNRNQGRDDDNIDTIRRRFQVFQESTLPVVQYYEKRGKLRRVDGAKSADEVFEDVKAIFVQLNTQVNQGSSVSRAQSNPLKRFVDLFCGCFGTQEETN >KQL11166 pep chromosome:Setaria_italica_v2.0:IV:31315630:31331346:-1 gene:SETIT_005750mg transcript:KQL11166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRSYVINYLAARGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVMEMNQQNPAMPMTLHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDDFRHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLIAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRISSVQAILADNSLENPLDSVEILQDQLEFLPYLCRFQYQSASLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKVRQTVGVSQESQESIDAELSARVLQLISVTDTGAHAQRYQELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKLLYTRLSELLGLNDHLVLLNVIVGKIATNLKCYAQCEDVIDHTLSLFLELASGYMTGKLVLKLESVKFIIASHSQENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPIKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAIALWTDEPEVTTPLLKFMCEFVLNKAQRVTFDSASPNGILLFREVSKIIVAYGSRILVLPNGTDIYGSKYKGIWISLTILSRALCGNYVNYGVFELYGDRALADALDISLKMTLSVPLSDIMAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFVHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGECPNLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHLLASQTVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTAFRHDFRMK >KQL11165 pep chromosome:Setaria_italica_v2.0:IV:31315630:31329880:-1 gene:SETIT_005750mg transcript:KQL11165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRSYVINYLAARGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVMEMNQQNPAMPMTLHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDDFRHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLIAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRISSVQAILADNSLENPLDSVEILQDQLEFLPYLCRFQYQSASLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKVRQTVGVSQESQESIDAELSARVLQLISVTDTGAHAQRYQELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKLLYTRLSELLGLNDHLVLLNVIVGKIATNLKCYAQCEDVIDHTLSLFLELASGYMTGKLVLKLESVKFIIASHSENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPIKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAIALWTDEPEVTTPLLKFMCEFVLNKAQRVTFDSASPNGILLFREVSKIIVAYGSRILVLPNGTDIYGSKYKGIWISLTILSRALCGNYVNYGVFELYGDRALADALDISLKMTLSVPLSDIMAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFVHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGECPNLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHLLASQTVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTAFRHDFRMK >KQL11167 pep chromosome:Setaria_italica_v2.0:IV:31315630:31329880:-1 gene:SETIT_005750mg transcript:KQL11167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMESLAQLEVLCEKLYNSRDSAERAHAESTLKCFSENSEYISQCQYILDNASTPYALMLASSSLLKQVSDRSLSLQLRLDIRSYVINYLAARGPKLQNFVIVSLIQLVCRITKFGWFDDDRFRETVKEATDFLGLASQDHYFIGLKILNNLVMEMNQQNPAMPMTLHRKIASSFKDQFLLQIFQISLTSLNQLKSEAPDDFRHVPLDLALKCLSFDFVGSPVDESSEEFGTVQLPASWRPLLQDPSTVQIFFDYYKVNDTRVSKEALECLVRLASVRRSIFVEDPARSQFLSHLMSGTKEILQTGQGLADHDNYHEFCRLLGRFKVNYQLAELLSVEFYGEWIGLIAEFTTRSLLSWQWASNSVYYLLSLWSRLVTSVPYLKGETPSLLDETVPKITEGFITSRISSVQAILADNSLENPLDSVEILQDQLEFLPYLCRFQYQSASLYIINIMEPLLQAYTERSRLPAPGDADELSVIEGQIAWMVHIIAAILKVRQTVGVSQESQESIDAELSARVLQLISVTDTGAHAQRYQELSKQRLDRAILIFVQNFRRSYVGDQAMHSSKLLYTRLSELLGLNDHLVLLNVIVGKIATNLKCYAQCEDVIDHTLSLFLELASGYMTGKLVLKLESVKFIIASHSQENFPFLAEYRCSRSRTTFYYILGSLVFMEDSPIKFRTFMEPLQQVAFNLEATPDAAFWNDVAKRAFIGWMRDLRGIAMATNSRKTYGLLFDWLYPSRMPLLLRAIALWTDEPEVTTPLLKFMCEFVLNKAQRVTFDSASPNGILLFREVSKIIVAYGSRILVLPNGTDIYGSKYKGIWISLTILSRALCGNYVNYGVFELYGDRALADALDISLKMTLSVPLSDIMAFRKLSKAYFGYMEVLFNNHIKFVLNLDTNTFVHIVSSLESGLKGLDTGISTQCASAIDSLAAFYFNNITAGDTPPSPAALNLARHIGECPNLFPQILRTLFEIILFEDAGNQWSLSRPILSLIMTSEQMFSDLRAHLLASQTVDQQQRLSQCFDKLMTDVNRNLEPKNRDRFTQNLTAFRHDFRMK >KQL10419 pep chromosome:Setaria_italica_v2.0:IV:14355790:14358659:-1 gene:SETIT_008223mg transcript:KQL10419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGEDQFVYSGFSRSNLNLDGAATITPDGVLELTNHTVHIKGHAFYPTPWRFRKSPGEMVQSFSITFVFGMVPIYSDQCTDGMTFLISPTKDFSGAQTSQYLGLLNKTSDGKESNHIFAVEFDSSQNTEFNDIDDNHIGININSLTSNQSKSAAFYDDKIGMFKNLSLVSRKEMQVWVDYDGETTQINVTLAPIRVAKPSRPLLSATYNLSTVLEDPSYIGFSASTGPINSLYCVTGWSLGINRPAPLIDITKLPKLPHVGPKPRSKLLEIILPIATAIFIFIVGTTIILLVRRRMKYAEINEDWEAEFGPHRFSYKDLFHATDGFKNKNLLGQGGFGKVYKGLLPVSRLEVAVKRVSHESKQGMKEFVAEIVSIGHLRHRNLVHLLGYCRRKGELLLVYEYMSNGSLDKYLHCEEDKPTLNWAQRFQIIKGVAYGLFYLHERWEKVVIHRDIKASNVLLDSEMNGRLGDFGLASLYDHGTDPQTTHVVGTMGYLAPELARTGKATTQTDVYAFGIFILEVTCGQRPINSHADDSSQILIDWVVEHWHKGSLTYTLDSRLQGNYNSDEVSLALILGLLCAHPFCNARPSMRQVIQYLNGEMPLPELMPTNISFSVLGLMQNEGFDDVKNCLLRHLVKHVVCAVGQLRRNHPHNELHI >KQL11006 pep chromosome:Setaria_italica_v2.0:IV:29410671:29414509:-1 gene:SETIT_006195mg transcript:KQL11006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPEPAAEPSATLSIYKAARRIKRRASTLYNALRSVAEDAAFVAEVAALWPALPLVANLRCGLWYAPPRSFAATCYFKSTDGHAGNWSFSTARLNLHLALLAGDRGGCIIVDSTRKGKRFPDSMSKTIPIWCCVLNRAIERHRLRTIHDGGKVNYEVSSSVLNGDAENNSCSSNWDSSVHLPVWVLGTEKNAIEGHIEEWTDQFESCGADIHSLAVNLQKPLRPLWISQRTRIWLNEVPELESWDFTPIILISASASNAVAMQRMSSEFSWQYIAGAGDDEESWARGLTPTLFWKHSYDLLDAGPDHCNQLVADIVEKDRVYRAQRGEHSPQITAKPLKSHDDIKCNDDRISAMRPTNSDTCTSTTDAQYCNNGPLLFWIGTTNLAVSSTLQVADALVGVDCILNCDSTSKIPSSSSENSYLELSIVGSKDDRFSLMKNLSKAVDFAKRNFIAGRKILVCCQNGDDISICVALAIVTLLFDDNGCFDYGHSFMKRDITKLEMRKRLVFICKFAVNARPSRGNLKQVFGFLSNEKERLSNST >KQL10397 pep chromosome:Setaria_italica_v2.0:IV:14077665:14093330:1 gene:SETIT_005670mg transcript:KQL10397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYNAWLPPPVAAAARGEAAAFAGAVRSAADAWRPGDPDSAYATLKWISVFDLFIKAKSDIAPEDVQALIKLGLEIFHASKNKFVVQIKWGGLLVRLLRKHGKRLSLAVQWRPLYDTLIKTHFKRNMGPEGWKVRKQHFETVTSLVRASRNFFPEGAAAEIWSEFRPLLDNPWHNSAFEGVGFLRLFLPVNSRNQDHFTIDWIAQCLDIWDSVTNCNFWDIQWASIIARCIKKFKSVNWDDFLPLLFTRYLNMFEVPISSRNGSYPFPVEVPGNTRFLFSSKTRTLSKAIAKSIVYLMKPKSLAFEYFEKLINLLEQFYHPSNGGRWTYSLERFLRHLVVYFEKRLQQEQFDTTVEEHDQTYLGKEERVVFIKAILKLLDRGQYSKDNSLAETVSIAISILSYVEPTLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRPLLLCSLCSSQSDDSSVVDSFSDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGDNDDVPAFLQSTSLSNWLDEFFSRLFSVLQNLESSSPINEGYQTSFMSGTFLVEDSSYYFCMLEILLGKLSKPLFNQSLKRITKFVNANILPGATSEVGLLCCACVHSYPEEASVHLVKPILMTIMSSFEGTPTTGYVGRAVPDKMSKKAALSPALETALDYYLRVLAIAITYAGPVSLKYKEELNHIITAAFQAPSWKVNGAGDHLLRSLLGTLVSYYSTDQHKPFTCQPIGNIIEPWGCSKAHQDREVEMLNFPPKWHDPSQDELSFANELLQFHFQSALEDLLTICQTKVNSETGDEKEHLKVTLLRILSALHGVMSCLPEMRPSYKDGRSKEVEPIFFIAGSYGSNVGSSEMREKAAEFVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYLEWSSHVQAWKLESASIIEPPCNFIVPFHAQGKKRPRWALVDKANLHSTWRCSQSSYHRYRTNADVSPSVLMTDLMSDLIDLSLHNYETVRSYAGRSLTKLLKRWPSLISNCVPTLTGYLRDPKAPEHVVLGSCSILSSRTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVMYNICFSGISRSFFKNSESQVDKPVFLSLVPQINALGFETNSLHWRYNLMANRVLLLLILASRNESGIYSQMLAETAGHFLKNLKSQLPHSRMLAISALNTLLQGSPHKACPQGSQQSLDHPEYCNTSSTGEILNQIIQEEGFMNETLNSLSNVHIISDNDGSSKASYGASSFQSGSDKAITNFYFDFSASWPRTPSWISLVGGHTFYSSFARIFKRLIQQCGMPVMSSLQTALEDFLSSKERSRQCVAAEAMAGMLHSDITGNLESEKNWLMVQLQKIMLVPSVESAPEWAACIRYAVTGKERSGTRAPVLRQKVLECLCSPVPQSMATSVLAKRYAFLSVALIEISAPKMSPAEKQYHVKILDELLDNMNHSSAQVNQMAPLWGCL >KQL10398 pep chromosome:Setaria_italica_v2.0:IV:14077665:14097188:1 gene:SETIT_005670mg transcript:KQL10398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYNAWLPPPVAAAARGEAAAFAGAVRSAADAWRPGDPDSAYATLKWISVFDLFIKAKSDIAPEDVQALIKLGLEIFHASKNKFVVQIKWGGLLVRLLRKHGKRLSLAVQWRPLYDTLIKTHFKRNMGPEGWKVRKQHFETVTSLVRASRNFFPEGAAAEIWSEFRPLLDNPWHNSAFEGVGFLRLFLPVNSRNQDHFTIDWIAQCLDIWDSVTNCNFWDIQWASIIARCIKKFKSVNWDDFLPLLFTRYLNMFEVPISSRNGSYPFPVEVPGNTRFLFSSKTRTLSKAIAKSIVYLMKPKSLAFEYFEKLINLLEQFYHPSNGGRWTYSLERFLRHLVVYFEKRLQQEQFDTTVEEHDQTYLGKEERVVFIKAILKLLDRGQYSKDNSLAETVSIAISILSYVEPTLVLPFVATNFQLALETTTATHQLKNAVTSVAFSGRPLLLCSLCSSQSDDSSVVDSFSDLIVTSLSNALLGMDANDPPKTIATMQLIGSIFSNLATVGDNDDVPAFLQSTSLSNWLDEFFSRLFSVLQNLESSSPINEGYQTSFMSGTFLVEDSSYYFCMLEILLGKLSKPLFNQSLKRITKFVNANILPGATSEVGLLCCACVHSYPEEASVHLVKPILMTIMSSFEGTPTTGYVGRAVPDKMSKKAALSPALETALDYYLRVLAIAITYAGPVSLKYKEELNHIITAAFQAPSWKVNGAGDHLLRSLLGTLVSYYSTDQHKPFTCQPIGNIIEPWGCSKAHQDREVEMLNFPPKWHDPSQDELSFANELLQFHFQSALEDLLTICQTKVNSETGDEKEHLKVTLLRILSALHGVMSCLPEMRPSYKDGRSKEVEPIFFIAGSYGSNVGSSEMREKAAEFVHVACRYLLKERTDDSILLALVVRVIDALVNYGSLEYLEWSSHVQAWKLESASIIEPPCNFIVPFHAQGKKRPRWALVDKANLHSTWRCSQSSYHRYRTNADVSPSVLMTDLMSDLIDLSLHNYETVRSYAGRSLTKLLKRWPSLISNCVPTLTGYLRDPKAPEHVVLGSCSILSSRTVLRHLTTDSVSLSSFIMGILESSHHESLKCQKAITELFVMYNICFSGISRSFFKNSESQVDKPVFLSLVPQINALGFETNSLHWRYNLMANRVLLLLILASRNESGIYSQMLAETAGHFLKNLKSQLPHSRMLAISALNTLLQGSPHKACPQGSQQSLDHPEYCNTSSTGEILNQIIQEEGFMNETLNSLSNVHIISDNDGSSKASYGASSFQSGSDKAITNFYFDFSASWPRTPSWISLVGGHTFYSSFARIFKRLIQQCGMPVMSSLQTALEDFLSSKERSRQCVAAEAMAGMLHSDITGNLESEKNWLMVQLQKIMLVPSVESAPEWAACIRYAVTGKERSGTRAPVLRQKVLECLCSPVPQSMATSVLAKRYAFLSVALIEISAPKMSPAEKQYHVKILDELLDNMNHSSAQVREAIGVAMCVTCSNIRLSESFGTGCSPEELCGDVRMIEQTGNEYWSKCLTDGANELAVSIQNSIQSKQPELTSDSAAENSMDHGEQADAKRMETIFHFMIASLKSGRSSVLLDIIIELLYAVLSLQETSNKDLSLLAKSAFELLKWRILHRPFLETAISSILSSVNDPNWRTRSALLSYLRTFTYRHTFILSGSEKSQIWQTIEKLLVDNQVEVREHAAGVLASLMKGVDEDLSKDFRDRSYAQAQRIIVARRRNSKSGHSVASIHGAVLALTASVLSVPYDMPSWLPAHVTLLARFISEPSPIKSTVTKAVAEFKRTHADTWGIQKDAFTEDELEVLRDTSSSSSYFA >KQL10016 pep chromosome:Setaria_italica_v2.0:IV:8425859:8426056:-1 gene:SETIT_008430mg transcript:KQL10016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKILFSMFYSILVGEEPDSIFLKKEGKQNQVKMIWVAPSSCAKDLTISEGTGATFFFNFHSRVSI >KQL10937 pep chromosome:Setaria_italica_v2.0:IV:28568418:28568837:1 gene:SETIT_007686mg transcript:KQL10937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPIYGCRGLCSSRSNQGCIKAISSPRSTPHMKEVVTNYHILHPRIQYPNTLGDVRAPSPHISMANANLSGKKGGRRIV >KQL10632 pep chromosome:Setaria_italica_v2.0:IV:20761970:20767617:1 gene:SETIT_006039mg transcript:KQL10632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSEKTVVWFRRDLRIDDNPALATAAKEGSVLPLFIWCPADYKQYYPGRCSRWWLKQSLGHLRKSLESLGCPLILIHAEDSTLAALLESVHSTGATRVVYNRLYDPISLVHDDKIKNELSALGISVQSFNGDLLYEPWEVYDENGQAFTTFNMYWEKCMNLPLDISQPLAPVRLVPVPGIDNVRGCSIDDLGLESSKDEESSNALLSRAWSPGWCNAENTLQEFVSYGLLEYSKHGMKVGGTTTSLLSPYLHFGELSVRKVYQLVKMHQVKCENEGKSEAEESVQLFLRSIGFREYSRYLCFNFPFTHERSLLGNLKHYPWRSDEDRFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIVSSFAVKFLQIPWIWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEGQKYDPDGEYIRTWIPELARMPTEWIHCPWDAPSSILEVAGVELSFNYPKPIVELHMARECLDDAISTMWQLDTAAKLAELDGEVVEDNMNNIRSFDIPKVVLKKELSPSTSSIYQRMPSSSGQKKKLQPMEVTNASNMEDTGSTAKSQVSRKRSSDYLQQKAERNGAGKVEDNDSEDSGTSISRPSKRAA >KQL10631 pep chromosome:Setaria_italica_v2.0:IV:20761970:20767450:1 gene:SETIT_006039mg transcript:KQL10631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSEKTVVWFRRDLRIDDNPALATAAKEGSVLPLFIWCPADYKQYYPGRCSRWWLKQSLGHLRKSLESLGCPLILIHAEDSTLAALLESVHSTGATRVVYNRLYDPISLVHDDKIKNELSALGISVQSFNGDLLYEPWEVYDENGQAFTTFNMYWEKCMNLPLDISQPLAPVRLVPVPGIDNVRGCSIDDLGLESSKDEESSNALLSRAWSPGWCNAENTLQEFVSYGLLEYSKHGMKVGGTTTSLLSPYLHFGELSVRKVYQLVKMHQVKCENEGKSEAEESVQLFLRSIGFREYSRYLCFNFPFTHERSLLGNLKHYPWRSDEDRFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIVSSFAVKFLQIPWIWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEVQGQKYDPDGEYIRTWIPELARMPTEWIHCPWDAPSSILEVAGVELSFNYPKPIVELHMARECLDDAISTMWQLDTAAKLAELDGEVVEDNMNNIRSFDIPKVVLKKELSPSTSSIYQRMPSSSGQKKKLQPMEVTNASNMEDTGSTAKSQVSRKRSSGDSAFNVPSCSSSLIMHSRIPDPDSCFVLYSDYLQQKAERNGAGKVEDNDSEDSGTSISRPSKRAA >KQL10633 pep chromosome:Setaria_italica_v2.0:IV:20761970:20767617:1 gene:SETIT_006039mg transcript:KQL10633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSEKTVVWFRRDLRIDDNPALATAAKEGSVLPLFIWCPADYKQYYPGRCSRWWLKQSLGHLRKSLESLGCPLILIHAEDSTLAALLESVHSTGATRVVYNRLYDPISLVHDDKIKNELSALGISVQSFNGDLLYEPWEVYDENGQAFTTFNMYWEKCMNLPLDISQPLAPVRLVPVPGIDNVRGCSIDDLGLESSKDEESSNALLSRAWSPGWCNAENTLQEFVSYGLLEYSKHGMKVGGTTTSLLSPYLHFGELSVRKVYQLVKMHQVKCENEGKSEAEESVQLFLRSIGFREYSRYLCFNFPFTHERSLLGNLKHYPWRSDEDRFKSWRQGMTGYPLVDAGMRELWATGWTHNRIRVIVSSFAVKFLQIPWIWGMKYFWDVLLDADLESDILGWQYISGSLPDGHELSRLDNPEGQKYDPDGEYIRTWIPELARMPTEWIHCPWDAPSSILEVAGVELSFNYPKPIVELHMARECLDDAISTMWQLDTAAKLAELDGEVVEDNMNNIRSFDIPKVVLKKELSPSTSSIYQRMPSSSGQKKKLQPMEVTNASNMEDTGSTAKSQVSRKRSSGDSAFNVPSCSSSLIMHSRIPDPDSCFVLYSDYLQQKAERNGAGKVEDNDSEDSGTSISRPSKRAA >KQL10899 pep chromosome:Setaria_italica_v2.0:IV:27909197:27909842:1 gene:SETIT_008343mg transcript:KQL10899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGIVVFPKGTCKGPVYSCPARCRSCCGSPTVAWIRCARPQRGENQQWWSSLPQRARRGRRLPAPHHQSAACAGSPCRAAPAPAAGRCAAPVVGRCTTPPKLSRTGRRMLRRPTQSTAYCLSPCPDANGREKKRRVNRRDGQEGHGSRQKYLHHFTLLPLTKIEIEESSLRKARRI >KQL10486 pep chromosome:Setaria_italica_v2.0:IV:16335713:16344138:-1 gene:SETIT_006107mg transcript:KQL10486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYNIESSNADCLDSRPLKKAKCEQLNDCDLSPSPPSSTSLASSCNNIESSNVYDLDARPLKEVKSEQMNDLDISLTPPSPTTLASSSPIDDIFVTQAQLSCLLDPEKFLNDDVISAYICCIKDQAHLESRNDVKFYFENPFISVMLKRDGKLGVGQDGNRITKIVRNYLKHEMVLIPINIKEIHWYLAIINTLKCEIQVLDSLCWDSNRGDLADTLQGLQYHLNIIGRQQNMISHNWKDLQVISWIITEQLQELMQKYGYKLAGILLCWKTNIAQTTPQNISLLGSSYDQKEPKASDSLSEETKYQSLMSVLSKLSENELVGCLCDYIKSINCPETLEKVWVRNSKPYSFSLTLRKLQEILNEDMPMDRDCFNLVIRKFMFDDIQMMKKTKGTISKHYLDMRFWMITDFGRHPNFRKKIDVEQLAETVSSWPGVNYSVSRCKLILIPIVQFNKTFILFILNQDKRTVYILDPTPLNPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWNEDVFLWRQIILSDVPIENRELSGYLVSLFMCIWKDEELQLPVLKDGYELRKQFMAQLLTYKENECEDNMPAGVRDFLRCINATQS >KQL10464 pep chromosome:Setaria_italica_v2.0:IV:15627155:15633384:1 gene:SETIT_006712mg transcript:KQL10464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNRVLCKFFMHGACLKGEYCEFSHDWKDQANNVCTFYQKGACSYGSRCRYDHVKVSRNPTVLPPPPSSSTARLASTSRQLLSSSHLPHTGHQTDSSNQRNQISVDMLAHPTSKPAWSNDFQHVVSEDEIDWSSNQTLQNQTKLKPSDLPICSFAAAGNCPYGEGCPQMHGDLCTTCGKMCLHPYRSDEREEHIKLCAKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCIACIRNWRSNSPASGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKEEITESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKNIRLSDFLSRMHL >KQL10466 pep chromosome:Setaria_italica_v2.0:IV:15627155:15633384:1 gene:SETIT_006712mg transcript:KQL10466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNRVLCKFFMHGACLKGEYCEFSHDWKDQANNVCTFYQKGACSYGSRCRYDHVKVSRNPTVLPPPPSSSTARLASTSRQLLSSSHLPHTGHQTDSSNQRNQISVDMLAHPTSKPAWSNDFQHVVSEDEIDWSSNQTLQNQTKLKPSDLPICSFAAAGNCPYGEGCPQMHGDLCTTCGKMCLHPYRSDEREEHIKLCAKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCIACIRNWRSNSPASGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKEEITESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKNIRLSDFLSRMHL >KQL10465 pep chromosome:Setaria_italica_v2.0:IV:15627155:15633384:1 gene:SETIT_006712mg transcript:KQL10465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNRVLCKFFMHGACLKGEYCEFSHDWKDQANNVCTFYQKGACSYGSRCRYDHVKVSRNPTVLPPPPSSSTARLASTSRQLLSSSHLPHTGHQTDSSNQRNQISVDMLAHPTSKPAWSNDFQHVVSEDEIDWSSNQTLQNQTKLKPSDLPICSFAAAGNCPYGEGCPQMHGDLCTTCGKMCLHPYRSDEREEHIKLCAKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCIACIRNWRSNSPASGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKEEITESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKNIRLSDFLSRMHL >KQL10463 pep chromosome:Setaria_italica_v2.0:IV:15627155:15633384:1 gene:SETIT_006712mg transcript:KQL10463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNRVLCKFFMHGACLKGEYCEFSHDWKDQANNVCTFYQKGACSYGSRCRYDHVKVSRNPTVLPPPPSSSTARLASTSRQLLSSSHLPHTGHQTDSSNQRNQISVDMLAHPTSKPAWSNDFQHVVSEDEIDWSSNQTLQNQTKLKPSDLPICSFAAAGNCPYGEGCPQMHGDLCTTCGKMCLHPYRSDEREEHIKLCAKNHKRLEALKRSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCIACIRNWRSNSPASGMDVNSALRACPICRKLSYYVIPSVLWYFSKEEKEEITESYKSKLKSIDCKYFDFGTGSCPFGTSCFYRHAYRDGRLEEVVLRHLDADDGSTVIAKNIRLSDFLSRMHL >KQL11556 pep chromosome:Setaria_italica_v2.0:IV:34775823:34783399:-1 gene:SETIT_006335mg transcript:KQL11556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLSRIHPPAAISTTSFLSTSLAASRTLPPSPTLVPLRLVRRFAAMAASAAEEFVKGRLFPNGVAVITLDRPKALNAMNFLSCVFEKKTFNIKIEAVMNSKPVEFCYVLQNYCILSSLKMCVQGALCYIVICKMDVRYKALLDEWETNPSIKCILVESSSPRAFSAGGDVKRLANECTIPEILEVFTAEYSLICKIHEYAKPYICLMDGVTMGFGIGLSGHGRYRIITEKTLLAMPENGIGLFPDVGFAYIGAKAPGAGAVGAYLGITGKRISSPADALFIGLGTHYVPSGNLGSLKESLLSANFTNDPHRDVESILTGYKKEPESEPQLEKLLPQIISSFGPDKSVAESVEELKKCSQSDDAAVAEWANEALAGIKKGAPFSLCLTQRHFSQVASAYGNSEHYLSKLAGVMKLEYRIALRSSVRNDFVEGVRAVLVDKDQNPKWNPATLEEVNMGEVESVFEPLGAEAELSV >KQL11557 pep chromosome:Setaria_italica_v2.0:IV:34775823:34783418:-1 gene:SETIT_006335mg transcript:KQL11557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLSRIHPPAAISTTSFLSTSLAASRTLPPSPTLVPLRLVRRFAAMAASAAEEFVKGRLFPNGVAVITLDRPKALNAMNFLSCVFEKKTFNIKIEAVMNSKPVEFCYVLQNYCILSSLKMCVQGALCYIVICKMDVRYKALLDEWETNPSIKCILVESSSPRAFSAGMDIKGVAAEIQKDKSTPLVQKVFTAEYSLICKIHEYAKPYICLMDGVTMGFGIGLSGHGRYRIITEKTLLAMPENGIGLFPDVGFAYIGAKAPGAGAVGAYLGITGKRISSPADALFIGLGTHYVPSGNLGSLKESLLSANFTNDPHRDVESILTGYKKEPESEPQLEKLLPQIISSFGPDKSVAESVEELKKCSQSDDAAVAEWANEALAGIKKGAPFSLCLTQRHFSQVASAYGNSEHYLSKLAGVMKLEYRIALRSSVRNDFVEGVRAVLVDKDQNPKWNPATLEEVNMGEVESVFEPLGAEAELSV >KQL11503 pep chromosome:Setaria_italica_v2.0:IV:34250741:34251645:-1 gene:SETIT_007493mg transcript:KQL11503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSSSASAGSHAGLAIAATAMALSGTLVLFSICRAKQPPHLQVPSSSAAGAGAPSPRLRPCLSSSEKRKKREKARRGGEKRVRFADDVVDNGAASASSPRAAAAAMPANREALYRGMLRGRSMLRVSCSY >KQL11859 pep chromosome:Setaria_italica_v2.0:IV:37207901:37210040:1 gene:SETIT_007190mg transcript:KQL11859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMQVPAGASDSSVVLAPPQQQQEQEVTVTQFSPEAVDGIITSEVVLAPPRSAAEAGARGVTVTQFSPEAVDEIIKRYKAFPKSETDELDEKRGWLMVLATLTASITYSAALNPPGGVWQADDATNGYVAGSPVLLSKHPHRYKAFYYFNVMSFLVSVVIIVALSVPKFFDGERMRYCNMLVVLDIMSLMGAFVAGSANCTFDYVCGITVLAPLLLIVFYGESVSSSSRMHKNVSP >KQL11858 pep chromosome:Setaria_italica_v2.0:IV:37208511:37210040:1 gene:SETIT_007190mg transcript:KQL11858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMQVPAGASDSSVVLAPPQQQQEQEVTVTQFSPEAVDGIITSEVVLAPPRSAAEAGARGVTVTQFSPEAVDEIIKRYKAFPKSETDELDEKRGWLMVLATLTASITYSAALNPPGGVWQADDATNGYVAGSPVLLSKHPHRYKAFYYFNVMSFLVSVVIIVALSVPKFFDGERMRYCNMLVVLDIMSLMGAFVAGSANCTFDYVCGITVLAPLLLIVFYGESVSSSSRMHKNVSP >KQL09021 pep chromosome:Setaria_italica_v2.0:IV:737302:739628:1 gene:SETIT_007319mg transcript:KQL09021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDPRLAHPGLAIVIMGVSGCGKSTVAALLAEALGCSFIEADDYHSQANKAKMSKGIPLSDADRTPWLESLRDAISDRLDHGEDVAVSCSALQLKYREVLRAADRSYKPGHYADCRVKFVCLKASVEVITERMQRRSSEGKHFMPASLLQSQVDLLQINAAEGITEVDATEHPGDIVRDAIAQFREELASTDPPCF >KQL10063 pep chromosome:Setaria_italica_v2.0:IV:9025691:9031479:1 gene:SETIT_006104mg transcript:KQL10063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHGHASGGKRKRGRKPNPRAPDSPDDNHNHHHPAPSSSPLATAAAGASDSPDPEPASSSPALRHRGRKSRRVRNEPPSEADAAHSPSPPPRRGGPKGAPNGGAEAAEPSRRELAVSMVEVPAAMEPLRWEQVVKVMPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLAIGTECDIAMLTVEDDEFWEGVSPVEFGSLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVIKHFIEDYEKSGEYTGFPILGIEWQKMENPDLRKAMGMKSDQKGVRVRRVEPTAPESGCLHPSDIILSFDGIDIANDGTVPFRHGERIGFSYLVSQKYTGEKARVKLLRNSKIHEFNIKLATHKRLIPAHIKGRPPSYYIVAGFVFTVVSVPYLRSEYGKDYEYDAPVKLLDKHSHAMAQSPDEQLVVVSQVLVSDINIGYEDIVNIQVLAFNGTPVKNLKHLATMVEECNEAFLKFDLDYDQLVVLETKTAKAATQDILTTHCIPSAMSEDLKS >KQL10495 pep chromosome:Setaria_italica_v2.0:IV:16556591:16559685:1 gene:SETIT_006465mg transcript:KQL10495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTPPPGVLFPYQRKGRRWQIAGEASVHAHDSQRDPPSLKRRAVPIRGSRHRRIRRPRGAGPLQAARQQKRRKAETRGGSGRIEPGAASDSAPVRGTAEMGADGGLNRAAETPQDGKGGGGDARVFRCTDYSLPRTTLALALWLGGIHFNVLLVLASLFLLSRRAAAIVVAFQLFFMFVPVNDRDKWGRSIARHAMGYFPISLHVEDYKAFDPSRVYVFGYEPHSVLPIGLSALADLVGFMPLTKIKVLASSAVFYTPFLRQIWTWLGLVPATRKNFYSYLRAGYSCIVVPGGVREMLHMDNDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQSYAYKWWRPGGKLFVNIARAVKFTPIIFWGRFGTPFPFPRPMHVVVGKPIEVDKNPQPAIDEINEVHEQFIMALRELFEKYKGKAGYPGLHLRVL >KQL10494 pep chromosome:Setaria_italica_v2.0:IV:16556591:16559685:1 gene:SETIT_006465mg transcript:KQL10494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTPPPGVLFPYQRKGRRWQIAGEASVHAHDSQRDPPSLKRRAVPIRGSRHRRIRRPRGAGPLQAARQQKRRKAETRGGSGRIEPGAASDSAPVRGTAEMGADGGLNRAAETPQDGKGGGGDARVFRCTDYSLPRTTLALALWLGGIHFNVLLVLASLFLLSRRAAAIVVAFQLFFMFVPVNDRDKWGRSIARFICRHAMGYFPISLHVEDYKAFDPSRVYVFGYEPHSVLPIGLSALADLVGFMPLTKIKVLASSAVFYTPFLRQIWTWLGLVPATRKNFYSYLRAGYSCIVVPGGVREMLHMDNDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQSYAYKWWRPGGKLFVNIARAVKFTPIIFWGRFGTPFPFPRPMHVVVGKPIEVDKNPQPAIDEINEVHEQFIMALRELFEKYKGKAGYPGLHLRVL >KQL10492 pep chromosome:Setaria_italica_v2.0:IV:16556591:16558496:1 gene:SETIT_006465mg transcript:KQL10492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTPPPGVLFPYQRKGRRWQIAGEASVHAHDSQRDPPSLKRRAVPIRGSRHRRIRRPRGAGPLQAARQQKRRKAETRGGSGRIEPGAASDSAPVRGTAEMGADGGLNRAAETPQDGKGGGGDARVFRCTDYSLPRTTLALALWLGGIHFNVLLVLASLFLLSRRAAAIVVAFQLFFMFVPVNDRDKWGRSIARFICRHAMGYFPISLHVEDYKAFDPSRVYVFGYEPHSVLPIGLSALADLVGFMPLTKIKVLASSAVFYTPFLRQIWTWLGLVPATRKNFYSYLRAGYSCIVVPGGVREMLHMDNDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQVTILLWSMTCLTSLNIGIKKTRRN >KQL10493 pep chromosome:Setaria_italica_v2.0:IV:16556591:16558830:1 gene:SETIT_006465mg transcript:KQL10493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTPPPGVLFPYQRKGRRWQIAGEASVHAHDSQRDPPSLKRRAVPIRGSRHRRIRRPRGAGPLQAARQQKRRKAETRGGSGRIEPGAASDSAPVRGTAEMGADGGLNRAAETPQDGKGGGGDARVFRCTDYSLPRTTLALALWLGGIHFNVLLVLASLFLLSRRAAAIVVAFQLFFMFVPVNDRDKWGRSIARFICRHAMGYFPISLHVEDYKAFDPSRVYVFGYEPHSVLPIGLSALADLVGFMPLTKIKVLASSAVFYTPFLRQIWTWLGLVPATRKNFYSYLRAGYSCIVVPGGVREMLHMDNDSEVAFLKSRKGFVKIAMQSGCPLVPVFCFGQSYAYKWWRPGGKLFVNIARAVKFTPIIFWGRFG >KQL09363 pep chromosome:Setaria_italica_v2.0:IV:2758031:2758216:1 gene:SETIT_008537mg transcript:KQL09363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LHLSWFSYLLVSDGSESDNLLQFGNDAVPQTENNHSTPLLINEAYPNLYFIKLTGIMINGR >KQL12008 pep chromosome:Setaria_italica_v2.0:IV:38133152:38134268:1 gene:SETIT_007438mg transcript:KQL12008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMTCETRALCLAWLAHQHPSPRSMEMTAGLGHGPLLARTRNTGTAAWRPASCRIHPSLGVSLSVSVRTPYLAASSEQLVPEAHYLCIAHTTTWSQRPHRARTYTFALYSFIQVPKAPPLKSADPLFMRPIYYYTAQNIRCQKKKS >KQL10990 pep chromosome:Setaria_italica_v2.0:IV:29200988:29202166:-1 gene:SETIT_008613mg transcript:KQL10990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASAQNDKVDVEEVPVPPAPDPTGKGRRKKMVNRTKLGNFNLDEDVNIVKSWLEISCDPITSTAKKKDRMWDRIVQQYNLRRGSYPERSLRSLQSHWDIIKAEVGKFSSFYADAIRENPSRMSDADKTTHATANFAGILKHNFAYMHCWEIMKDEPKWQDPKPRGFGKSTGGDGFGEDSSHEPDTSDLGDDNSSPTGSARRRPMGRDSAKAAKKKANSSAGSTSSSKYASRMQDLSLQKISILQEESMRKTDRFQQLACIDEKRFEEMRSHNQSLLDIEQEKIRIMCEKHDMDKQEKEKQEDERILGIDLNACTPAQRMYYEALQEEIFKKIAARRGKRWEKTGALNHGWERQPYLCFLDFISGN >KQL11537 pep chromosome:Setaria_italica_v2.0:IV:34597105:34599275:-1 gene:SETIT_006240mg transcript:KQL11537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSAAEPNAAPAPPAPEPARPRQRLPDFQQSVRLKYVKLGYHYLISHGMYLLLSPLMALVAVQLSTVSPRDLADLWEQLRFNLLSVVACSTLLVFLSTVYFLTRPRPVYLLDFACYKPEPERKCTRETFMHCSKLTGSFTDENLEFQRKILERSGLGEDTYLPPAVLRVPPNPCMDEARNEARAVMFGAIDQLLEKTGVRPKDIGVLVVNCSLFNPTPSLSAMVVNHYKLRGNIVSYNLGGMGCSAGLLSIDLAKDLLQVHPNSYALVISMENITLNWYFGNNRSMLVSNCLFRMGGAAILLSNKRSDRRRSKYELVHTVRTHKGADDKCFGCVTQEEDEIGKIGVSLSKDLMAVAGDALKTNITTLGPLVLPLSEQLLFMATLVAKKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELTDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKRDRIWQIAFGSGFKCNSAVWKALRTVNPTKEKSPWMDEIDNFPVDVPKISKVGNA >KQL11017 pep chromosome:Setaria_italica_v2.0:IV:29704456:29704896:1 gene:SETIT_008090mg transcript:KQL11017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDPSQEFDAHISVVAFFPTGEPKAYEAPTVDSVLRTYLPEIHSLPSPACSEMAGEAAAKVDGMKWEAEKVAFLAEAKRNWWEVDVDALGADELAVFVRALEVLRTDVQRHLDAMESSRKEKMQP >KQL11755 pep chromosome:Setaria_italica_v2.0:IV:36596599:36597987:1 gene:SETIT_007534mg transcript:KQL11755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYTFVCRNSGGEWTAKQHSGEIEASAATPYELQRQLVAAASAADSASGVQSSFSMVTPSSAVFQVIVGAVGGGAMISGGAVAGGAASSGGGAADAPKEEKKEEEKEESDDDMGFSLFD >KQL10317 pep chromosome:Setaria_italica_v2.0:IV:12831338:12833871:-1 gene:SETIT_006019mg transcript:KQL10317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNLESPVQTQMAVSALDRALSSEYPTKSRSEARVGRWKRLFVQTDTGCVLDVQLDRGDNVHTVKRRMQVALNFPTEESSLVLGDRVLKNDLSTIRNDSPLLLTKNSMHRSSSTPCMSPTGNDLQQQRDKGRSIELLVCPSRCSRTRLLVKDVAKAIRKGVDPVPVSGGLGGAYYFRNSKGQNAAIVKPNDEEPFAPNNPKGFVGKSLGQPGLKRSVRVGETCIREVAAYLLDYDNSANVPPTVLVRISHPAFHINEGVNCANKNVVEGGTQAVSKIASFQQFIPHDFDASDYGTSSFPVSAVHRIAILDIRIFNTDRHAGNLLVRKQTGAGKFGNLTELIPIDHGLCLPECLEDPYFEWIHWPQASVPFSEDELEYIENLDPVKDADMLRMELPMIHEACLRVLILSTIFLKEATAFGLCLAEIGEMMSREFTGMEDQPSELEFICMEARRLATEQDDNSSEHDSGDEDLTQFELDSEDHEIPKEPSAHQFDIKRGNSRNQLSKLDEGDDDDEEEEEEEEEEEEEDDIEEVESDAGKLASPKPVNKWLANISKLSTSLKGVSLTDKTQRQLSAGPKVVDSVKTSKSNSNNNGSQLCNWGSANDMLPASVSFVKLADMGPEMWGLFLEKFRELLPEAFRSRKCSVTAQRAKQRLGTSCQF >KQL11558 pep chromosome:Setaria_italica_v2.0:IV:34789368:34790747:1 gene:SETIT_008226mg transcript:KQL11558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRPHFLVLTFPFQGHIAPALRLARRLLAAAPDALVTFSTTEAAHSRMFPAKPDDGGAEVPDDDEVGGRLEFLPFSDGTVAGYVRTGDPGAFNAYMARFHAAGARSVAGIVDAFAARGRPVSRVVYTLLLPWAADVARERGVPSALYWIQPVAVFAIYYHYFHGQDGVITEHRHDPSFVVELPGLAPQTIGDLPSFLTESTDPSNMFHSVFTGIRDLIETLDKESPKATVLANTCQEFELASLAAVGAHDVLPVGPVLPSGDESGIIKQDDAEYMEWLDAKPENSVVYVSFGSLASMAREQLDELLRGLEESGRPYLFVIRKDVKVALAEVEAEMMGRLKNGIAVEWCDQVRVLSHTAVGCFVTHCGWNSVLESVASGVPMVCVPRLSDQHMNAQLVVREWRVGVRAQVDDGGVLRAAEVRRCIDELMGNLEAAAEVRRMAGKWKRIAAEATAKGGSSD >KQL11324 pep chromosome:Setaria_italica_v2.0:IV:32587352:32587834:-1 gene:SETIT_007797mg transcript:KQL11324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDWSSMAPLFRVQALKRHRYPSICIPIRHCTVQSAVQASVQRLCNKKPHSSCQ >KQL10839 pep chromosome:Setaria_italica_v2.0:IV:26751629:26753009:1 gene:SETIT_007093mg transcript:KQL10839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKACAVPHSLPRVLCRAAPRPGQPRTHLPTGPRPLHVHAPPLCSLRAPSSRSRALSAFAADPACARTLHRTAAPLLCSASRLRYTNIRARHQPTSHRLQSSTHHSSPRLLTTSPKAPRAVPARLPCRRPAGQPAMGNCLNAASKQRRGGLLPEEEESLSEMRRISQLLRDEEEEDDAEAEYPEETDESPPPAAAAAEGLKVKIVLTRAELEWLMAQLKTGDQRLEDVLHHMHAAKGAAAADKQLQGGGWRPRLESILECPEALVS >KQL09014 pep chromosome:Setaria_italica_v2.0:IV:714057:714554:-1 gene:SETIT_007898mg transcript:KQL09014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALVFCRSGGTRGLLHSSAQQRREDQSAGDRHNAASAAAVTAVQVEASLNRKNVEVVQGDRSATLLPDEAADALGGVGAEEAADAWVPDQETGVFVPAEEAADAWVPDQETGVFVPAEEAAGNGNGGPHEQPAGPSVLDQAVFVREDMEDVERPPVDMASAHGGA >KQL11820 pep chromosome:Setaria_italica_v2.0:IV:36977953:36982618:1 gene:SETIT_006132mg transcript:KQL11820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESSSRSAAPIGFLRRGSGISLRNQSNEDRPSQYNNRPGKSTNLNPVKARFTENKEKPRYLQGPFHSSGSKASSVSSSKAPVRKYHDERQKRPFLAEADIAESSNGRTEVRRLQCGKKAVANEHRHPYTQKGTSGGSSSSTFTEGGLPEEHDLGVLDFSVSSRSSARTIDSGNTALSGMEHRQKDREELSSGRPQGASTFVNRRTVPQSFTTGAKLSSAPGTTSTALQRRGLKSLGCTSISDVLPSGCSSSDSVHNRRVEVTKKRTSDAGSSSRSRGINEQSNLGQPRASLPRPRAAEQSARTNSTSVQDSTDSVRTRRPSTLRARERMPGEREDGVFALRETVTRVRRPERGHFPTDDISPQRLARPFYGELPHAVYSSNRQGSSSRTARRRSPSHPEERPQQMFHGLFGERDGYRHINMEGIAEVLLALDRIEHDDDLTYEQLLVLETNLLLSGLGLHDQHQDMRLDIDNMSYEELLALEEHIGSVSTALTEEQFAKCINQSVYEARNSDRDGNNIEVDDVKCSICQEEYVEGEEIGRMQCEHQYHVCCIHEWLRQKNWCPICKASAIPSEVDKGDA >KQL08901 pep chromosome:Setaria_italica_v2.0:IV:206044:209811:-1 gene:SETIT_006853mg transcript:KQL08901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSASRRRPPPPHRRRAMPPASSTFSISISAPQPPKPLYLRRPLIAVAAALAALLLIAAAYATWRVGSPPAALLGRPAAASRFYSFDLVREYPHDPDAFTQGLLYGGNDTLFESTGLYHRSSVREVELPTGKVLVHHQMDGDMFGEGLTLLGDRLFQVTWLKNDGFIYDRHNFTKRKSFTHKMRDGWGLATDGKVLFGSDGSSKLYQMDPKSFKVMKMVTVKYQDNEVPNINELEYIDGEVWANVWQTDCIARVSPEDGQVLSWIFLHELRRNLLNSGYTNIDVLNGIAWDEGNHRLFVTGKLWPKLYEIKLRPVVGPADGSVEKLCPKASFYR >KQL09686 pep chromosome:Setaria_italica_v2.0:IV:5232312:5237409:-1 gene:SETIT_006727mg transcript:KQL09686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPKVFFASSASSSSRRAGALRRLLSSPAFSAACLLFGLAGFLAAAVTLSRSPSAPRTRCPDSSRPLSVSVAWDRRPGDSSAADLPAHLATGSRGRHKVMAFVGIFTGFSSIGRRRALRRTWLPADRQGLQRLEEATGLAFRFVIGKSNSKNKMAVLNREVEEYDDFVLLDLEEEYSRLPYKTLAFFKAAYALYDSDFYVKADDDIYLRPDRLSLLLAKERSHPQTYIGCMKKGPVFTDPKLKWYEPQSFLLGSEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGSWMLAMNVNHENTHALCEPDCTESSIAVWDIPKCSGLCHPEVKMLELHKRKECVGGPTVAAEVSESEDR >KQL11228 pep chromosome:Setaria_italica_v2.0:IV:31902950:31903892:1 gene:SETIT_007251mg transcript:KQL11228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWYAWLSRTGLAPSLTHEYGRLFSRNELEPGDAAHFDHDLLKSMGIAVAKHRLEILKLAKKQAEAEGGGEGASSGAAARLARRATRCLARCVRRLAGEGGGGSGRRRRGASSVTVVPRICSGDDAVRVGAVQRKSATKKMVLMITDGAGGAGDGLAIARGCGAARLSASSQKASLMFHDCYHNDDEEEEGEGDDEEEDGGAGDDDIKWDSMFQDLKPT >KQL11495 pep chromosome:Setaria_italica_v2.0:IV:34156516:34159443:-1 gene:SETIT_006063mg transcript:KQL11495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFQGYRGDGALAGVRSRKRVFASAAAEPAVTAAPKRQKRREEPSLDALPDECLFEVLRRVRGARARCASACVSRRWLALLAGIRASEAVLAPPAPAVPDLNQEYLGGEDDDEADLMDHDGDARERTLEGKEATDARLTAAAVAGRLSAVSVRGSHPARGVTDAGVSALARGCPALRSLSLWDVPQVTDAGLAEIAAECHALERLDVTGCPLVTDKALIAVAQGCPELKSLTIEACSGVANEGLKAIGRSCTKLQAVNIKNCAHVDDQGVSGLVCSATASLAKVRLQGLSITDASLAVIGYYGKAITDLTLARLPAVGERGFWVMANAMGLQKLRCMTVTSCPGLTDLALASVAKFSPSLKLVNLKKCSKVSDGCLKEFAESARVLENLQIEECNKVTLMGILAFLLNCSPKFKALSLVKCIGIKDICSAPAQLPVCKSLRSLTIKDCPGFTDASLAMVGMICPQLENVNLSGLGAVTDNGFLPLIKSSESGLVNVDLNGCENLTDAAVSALVKAHGASLAHLSLEGCSRITDASLFAISESCSQLAELDLSNCMVSDYGVAVLAAAKQLKLRILSLSGCMKVTQKSVPFLGSMSSSLEGLNLQFNFIGNHNIASLEKQLWRCDILA >KQL11947 pep chromosome:Setaria_italica_v2.0:IV:37803756:37804255:1 gene:SETIT_007721mg transcript:KQL11947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQIKVLSAPLGRSQTGITVFYLCYHHPNQQINGIKSASSWTISDLPIQYERTIMVNLNNQDWALSPSKFHII >KQL09495 pep chromosome:Setaria_italica_v2.0:IV:3850815:3850953:1 gene:SETIT_008878mg transcript:KQL09495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEYFTDLKYSIHAKFTTFERFTEQNSTS >KQL09637 pep chromosome:Setaria_italica_v2.0:IV:4756161:4756860:1 gene:SETIT_007456mg transcript:KQL09637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASAGVPGSLAGPRAAPSLLVSVGARPHRSAELRARTEPALQRGRELGRGRGGALEEMKSKGLLPNITTYVTLTRAMYATQRMQVGEKLLEDIQERGLIPSKHSESLERRMEDAIRRLNMIRNCRKGMPVKEVEVLPVESFQ >KQL09710 pep chromosome:Setaria_italica_v2.0:IV:5413153:5414053:-1 gene:SETIT_007607mg transcript:KQL09710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAGLHEHQARAPTSTVKDPICLDLQRLHLICSSPWSNFLIHQWTWGNREEKGRGSRHTGGGARQVQHFAGGGRRRCEHVIEKFFTRVWPQRTGVYTAGSER >KQL10649 pep chromosome:Setaria_italica_v2.0:IV:22044935:22047559:1 gene:SETIT_008467mg transcript:KQL10649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFFLVASFSRSSICLNEEYVALILQSVLGGVAHDLPVVHQVEWTFGFSIDFKRVGIMIHRLGKFLCKIFAIFFTLWRDDGFDYIREKTKWDQEQEAECYAHIAAQQVPPIVPKRKSIFKRISFPSSYFSTNFASDLSSCKSVFERLGSKVHSKAKNKEDSHRSHSEAFSNLNSNKKSAPTFLPRDISQLSYFHCLAKGHLIMMCSQDIRCKVCFRYGHIARSCMASCVSYHPKTRADKEPSFSGPFHPYINIKCSIPADPPASPHPAPSPSNKSSEKIPSSPPLPPPPPPHVIHHDPDVPPLILSTYLECMESYNEDLAIAYPVPKVNKVDFEPMVAAVKEFFVQTHGVHVAEVQPYPIGNAYVLFHNPVERERFLDKIIQFGPDYHIYVRKHNEGKNARFQDMDREAWIMLISFPEDARNNSTISKAVADFGLLHYWNDTNNLARIVAKINLFYGAKIPHGVLVSTSIPLKTRSCMYLVFVLTNKGITMLADEDQLPANGPLFPLPVQAPRWMGLHGNVHAPGPQAIGEESSVGQGAQSAAAMDEDKDKSKENLLSYVGADLVMPSSPALDVMPLQMVAPPINKVSLSLSKVFATLNILFHIPCSLSRNICSCLCAFGSNLDTIIPSYVSDHESLFFLASLAIDQQDASTLIGPEDEDVVILDGQLPAAGSHKSHRRRAHKLKEPLDDKFLRRSKRLNPA >KQL09921 pep chromosome:Setaria_italica_v2.0:IV:7480789:7485189:1 gene:SETIT_007307mg transcript:KQL09921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEHEVYGQEIPVDGEDVDMSGAGDDAAKLQELDEMKRRLKEMEEEAAALREMQAKVAKEMQGVDPNATTSENKEEMDARSVFVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEAVKLNESELHGRQLKVAPKRTNVPGMKQPRGRGFNPYHGHPYMRPYGYSPYGYGRFPRFRRPRRPYF >KQL09922 pep chromosome:Setaria_italica_v2.0:IV:7480789:7485189:1 gene:SETIT_007307mg transcript:KQL09922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEEHEVYGQEIPVDGEDVDMSGAGDDAAKLQELDEMKRRLKEMEEEAAALREMQAKVAKEMQDPNATTSENKEEMDARSVFVGNVDYACTPEEVQQHFNSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEAVKLNESELHGRQLKVAPKRTNVPGMKQPRGRGFNPYHGHPYMRPYGYSPYGYGRFPRFRRPRRPYF >KQL08977 pep chromosome:Setaria_italica_v2.0:IV:525824:525950:-1 gene:SETIT_0070881mg transcript:KQL08977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAEVPVSAP >KQL12270 pep chromosome:Setaria_italica_v2.0:IV:39745523:39757847:-1 gene:SETIT_005659mg transcript:KQL12270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSTYIHELRKLIASSTTTSAGSAPGSAHLEVKLREVLPNLLRDYVIPSRKASERELREVIALLNLVAYTALKFPGVFYNGRAADVISVIARILLFLAEPDFRLSHELIFTAVWNLLSILRTGDREAYRQFFLDAMVAVEDVLYVASIHDESPGGVPPGRCLVKCLCGSFTDILDSPGPYSELPDSCRPKNGPGVVVDLTGDARWRPFATSLIKLVNKCLTDGTLYVDGLVNMSFVSAACSILCYGDGSLHKVCFDFARIVATVMTAEILPIENIIRSITCILSQDATELSDIRDADYDFSMGACLRVLHSSCPGYIVESTAADIVNVLQRAIKTSRSAELQVAMYTAYKRIIELCSAQVWKPEILLKLLCLPKPCSKLIDCIRVVISKFGQNFFTLDDGKGQSSPQARSEKNDLPKVGQKRTSQNEQSSCAKRQKMTESRFSAGIGFELKEGYALRQSLNSLIKCLSPENHETYPLDPETAIEVISLLCISLSVYPRTSLFTRVSKQVLSWISWIHKQITQRNLFSFDVPLYFEALHTVMLLQFNLPGHAKLSEDESQLIDDGTHFVHQVYADLISMLKLMWDDGHAVTQTTSDYKIKCLLMQVIAKLGNRLNAGCDLEVLDLAIHNGIAEIQNEAIMSLPIIVLYSGPTMLGAMFKKLDSMVALGLEKVGKSIAVSLGFLSCLNGTTDYTKNVGNHCKLFLDKHSEQHTSTLDLLLRGFWCPQCDIRSRIVCNEEQISILDSALLQVENVDFNVNISKAHTLFFKLLYADTFEESIISSVEVLPRILRHSSKSVLLEMRTQWVQCFEFLLLHEMKAVREAFSGVVCCFLESNVMDILFSDGLGMDGGTIERQFMDKIKCAFTEAEDFQIHLTLLESIGTIMKVSDIHGEVFFCSFVLLIGQLGNHNSIIRMTTLRLIHRCCTYCFKGGLDLFLLKYSHARDNLYNYLSSRLVTHPIMIKEFAENVLGIKTEELIDRMVPSVIPKLIVSHPNNDHALITLRELASHLNTELVDLIVNWLPKVLCFALFYEDGQHLPSVLQFYKNETGTDSKEIFAAALPSLLDEIVCFAVESDQSETDIRTAKISPTIQNIARILTGNETLPEFLKNDFVRLLNSIDKKMLRSDDMKLQKQALQRIRKLVEMMGPYLSTHTPKIMVLLIFAIDKEGLQMDGLDVLHFFVKQLAEVSPNSIKYVMSQVVAAFIPSLERYKGCPAHLSKTVEILEELVVKNNSLLKQHIRELPLLPSLPSLSEVNKVIQEARGLMTLQDHLKDAVNGLNHESLNVRYMVACELSKLFNARREDMTALIIGEDIADLDVISSLIMALLKGCAEQSRTNVGQKLKMVCADCLGALGAVDPAKIKVISCERFKIECSDDDLIFELIHKHLARAFRAAADTTVQDAAALAIQELLKLAGCQSSSSDNNLRGQKLWGRFSNYVKEIIAPCLTSRFHLPNPTDSAVVGPIYRPAMSLRKWIYYWIRKLTSHATGSRSGIFSACRGILRHDMPTALYLLPYLVLNVVCYGTPEARQSITEEILCVLNAAASESSGAAVHGNAGGQSEVCIQAIFTLLDNLGQWVDDLKQEIALSQPSHATAGKHGGKLKDGTYSGYEQDQMLVQCSYVAGLLAAIPKVTLARASLRCQAHARALMYFESHVQENSGSSNPAAQCSGTFSDDDISFLMEIYGGLDEPDGLLGLANLRKSSSLQDQLIINEKAGNWAEVLTLCEQALQMEPTSVHRQSDVLNCLLNMCHLQAMIAHVDGLVCSIPEYKKTWCMHGVQAAWRLGRWDLMDEYLTGADKGLVFSSSENNASFDMDLAKIFKAMMMKDQFLVDEKIAQSKQALLVPLAAAGMDSYMRAYPYVVKLHMLRELEDFNSLLGDESFVDKSFSAEDPDFLKLTKDWENRLRCTQPSLWTREPLLALRRMVFSQSHMNAQVGNCWLQYAKLCRLAGHYETAHLAILEADASGSPNAHMEKAKYLWNIRKFDSAIAELQQTLLNMPAEILGSAVLSSLCSLSLALPNAPISATQASKENPDVSKALLLYTRWIHYTGQKQSADIKSLYSRVTELQPKWEKGFFCMAKFVDDLLVDARKRQEDEKIACKVGPVPSSSSNSVSRATEEKEKPWWESLPVVLLCYARALHKGHKNLFQALPRMLTLWFEFGSMHTRDVSSSDQRMKEIHGRVLGLIRGCLKDLPTYQWLTVLSQLISRICHQNDDVVRIVKYIITSVLREYPQQALWMMAAVSKSTVPARRDAAAEILQSAKKGCRRGSQNSALFNQFPTLIDHLIKLCFHPGQPKAKTINISTEFSSLKRMMPLGIILPVQQALTVTLPSYDSDMSDQSGFHPFSVSEHPTIAGIADEAEILTSLQKPKKVVFVGSDGVARPFLCKPKDDLRKDSRMMEFNAMINRLLSKVPESRRRKLYIRTFAVVPLTEDCGMVEWVPNTRGLRHILQDIYITHGKFDRMKTNPQIKKIYDTFHGKMPDDEMLKKKILPMFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDRGLLLDKPEVVPFRLTQNMIDGLGITGYEGIFLKVCEITLSVLRTHKETLMTVLETFIHDPLVEWTKTQKSSGGEVQNPHAQRAITNIKARLQGVVVGVKATPSLPLSVEGQARRLIAEAVSLSNLGKMYIWWMPWF >KQL09628 pep chromosome:Setaria_italica_v2.0:IV:4720428:4721141:-1 gene:SETIT_008454mg transcript:KQL09628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTKNKWRRRRRQQQQQQQSGRPVLVTFYVIQPRRGRCGSARATSSHIIRHHHHRQHHHQTLHGGHHDERRGGNGGKLFPDNRRADLLEYSRQLRALARQAITAAAAPPPPPPPPLPRLHQRHDIDTVRYRIVFFLSWCKHISFPALSHLGLQAVAVHGDEGHPAAVNRSLERAMSPQQIRRQRCFGSDGWSWKSILLLIFPICINCDGDRGGWMAMTAKRTGTIIKRVGRLRCWSAS >KQL11893 pep chromosome:Setaria_italica_v2.0:IV:37421286:37421582:1 gene:SETIT_009130mg transcript:KQL11893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSIEGTIVHDLITRAFFTCLELQMEHSVNWDSHAQF >KQL09136 pep chromosome:Setaria_italica_v2.0:IV:1456114:1457873:-1 gene:SETIT_006381mg transcript:KQL09136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPSPRAAALPRRPAAVLLLLVLGAAMLVPPAAAEIRETLIRSDPRSIIPLDEFGFSHSGVLELNVSGITFDPPASAELDLSQLGFFLSTLDAWVHVLRQLQDLDVTCALQSESVKLAFTFDRLRPPSNPAGVEVARSSSFSTAFRVSEPGQYTLVFANCLGGGLKVGMDVRSAMYNVDPATGDRQYLSAGASSLPSIYFLFCLAYAGLAAAWVAILLRKRAAVFRIHYFMLAVLVLKALNLLAEAEDKSCIERTGTAHGWDVLFYIFSFLKGISLFTLIVLIGTGWSFLKPYLADKEKKVLMVVIPLQVVANIAQVVIDESGPYARDWVTWKQIFLLVDVVCCCAVLFPIVWSIKNLREAARSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALQTITSYRYLWTSVVAGELATLAFYVFTGYKFRPEVHNPYFAIDDEEEEAAAEALKLDDEFEL >KQL11020 pep chromosome:Setaria_italica_v2.0:IV:29789037:29790931:-1 gene:SETIT_006166mg transcript:KQL11020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTTPMPSAPPVALVGGKVGHHVYVAVPQHAEADAAGHHCGRQLKCRAVPLPAAGETVREAVALCRLAFPIALTALLLYSRTALSMFFLGSIGDLPLAAGSLAIAFANITGYSVLSGLSLGMDPLCSQAFGANQPRLLGLTLYRSILFLLCCSLPLSALWLNMSKILVFLGQDREITALAQEYVIFSLPDLLSFSIIHPLRVYLRSQGITRPLAAAAGAAVLFHVPANYVLVGCLGLGAPGVAAAASASNFVLLAVLLAYVVVRRDAALLAAGPPTAEWLAGWGPLARLAAPSCVSVCLEWWWYEVMILFCGLLPDPKPAVASMGVLMQTTALVYVFPSSLGFGVSTRVGNELGANRPGRARAAAHVAVAGAAAMGLAAMSFAAGVRHAWGRMFTADADILRLTAAALPIVGLCELGNCPQTVGCGVLRGSARPARAAHVNLGAFYLVGMPVAVLLAFGLGVGFVGLWMGLLAAQVCCAGLMLCVVGSTDWEAQARRAQELTSCSPDDVEKPRAHKSATAAGEGGRPEKGEQAGVERKCYEPLISNSEETVPDTV >KQL09259 pep chromosome:Setaria_italica_v2.0:IV:2176098:2177510:1 gene:SETIT_008183mg transcript:KQL09259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAVVSVSILLFVLLATAAAATPTDVAIDEAYAHLVNVTGDQEHWAERAEVARAYNRAAYMSDPVAVMDRFEDGGVRTDEAATCWRWCRGDWARDDRKRLARCAIGFGGHKANGGLAGEFYVVTDPTDDPASLLSNELIVTSDKTLDGRAAQITLQHVSNVILHNPPHPRREAAPGGAVIRDGARPPLPRPRRQRRRRRLRGRVQQPLDRPRVHCTAAPTGSSTHGRVMLFGGSDDSPRDKIMQVTVAFNHFVKGLVQRMPRCLYGFSHVANTDYTHWQMYAIGGNKNHHQPGQPREHATYSEYKDWVWKSQGDLFLNGAFFNPSGGQNERRFEKLDLIQAKSGQYAESLTKFAGALNCRVVKKCYPARMTM >KQL11215 pep chromosome:Setaria_italica_v2.0:IV:31774992:31778650:1 gene:SETIT_008844mg transcript:KQL11215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLEDLKNENVDLESIPIQEVFAVLKSSPHGLTSNDGASRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIVLANGGGRPPDWQDFVGIVTLLFINSTISFIEENNAGNAAAALMASLAPQTKVLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLMDGDPLKIDQSALTGESLPVNKMPGDSIYSGSTCKQGEIEAIVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMLIEIIVMYPIQHRQYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEPFVKDLDKDAVVLYAARASRTENQDAIDASIVGMLADPREARAGIQEVHFMPFNPVDKRTAITYIDSDGTWHRISKGAPEQIIDLCRLRDDVSRRVHAIIAKFADRGLRSLAVARQRVPEGNKDAPGSPWQFLAVLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLKDGDTGGLPVDELIEKADGFAGVFPEHKYEIVRRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRVVLGFLLLALIWRFDFAPFMVLIIAVLNDGTIMTISKDRVKPSPVPDAWRLQEIFATGVVLGTYQALATVLFFWAVRDTSFFTNTFGVRHIGDSTEELMAAVYLQVSIISQALIFVTRARSWFFVERPGLLLVVAFLAAQLVATLIAVYAHWPFARIKGIGWGWGAVIWLFTIVTFFPLDIFKFAIRYFLSGKQWNNVFDNKTAFANELDYGKSKREAQWAIAQRSLHGLQQPESSGLFNTENNNDFIELSEIAEQAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQHNYTV >KQL09698 pep chromosome:Setaria_italica_v2.0:IV:5328759:5332679:-1 gene:SETIT_006819mg transcript:KQL09698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDWFKTIISKKKSKRAKSKHAKLAGQLPNGGSQTNQKSNGPSSSSDHEDNAALEEWAATRIQNAFRKYKARKTLRCLRGIKRLRVVGQANPVKKQTAATLSYIQSWNKLQSEIRNRRAFMVTEGRNRKKKQENQMKLEAKLHNLQVEWNGGSDTMDEILARIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFSYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENPKKAQAKKDNSNTNPSALKLQGSITLSNNVNDRKAPKKKPSPLTADQKKPSPSPTDQKKPSPSPPSDQKKAAPKEQRAKAAGTPPKPKAKEMKGRPEKQQQQQQVVPSVSA >KQL09697 pep chromosome:Setaria_italica_v2.0:IV:5328759:5332892:-1 gene:SETIT_006819mg transcript:KQL09697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDWFKTIISKKKSKRAKSKHAKLAGQLPNGGSQTNQKSNGPSSSSDHEDNAALEEWAATRIQNAFRKYKARKTLRCLRGIKRLRVVGQANPVKKQTAATLSYIQSWNKLQSEIRNRRAFMVTEGRNRKKKQENQMKLEAKLHNLQVEWNGGSDTMDEILARIQQREEAAVKRERAMAYAFNHQWRARSATSLGNFSYEVGKGGWGWSWMDRWIAARPWEPRSLVHPENPKKAQAKKDNSNTNPSALKLQGSITLSNNVNDRKAPKKKPSPLTADQKKPSPSPTDQKKPSPSPPSDQKKAAPKEQRAKAAGTPPKPKAKEMKGRPEKQQQQQQVVPSVSA >KQL09164 pep chromosome:Setaria_italica_v2.0:IV:1620587:1621876:-1 gene:SETIT_008700mg transcript:KQL09164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVAPELPEMGPRYPDWILLATRAYISDRKNATVAHSHTSDGHPIQVSLFAATPPAVSHLCVHSPGREEQFSYNPAVIFSREDLILFDVCFGNGDVSDYFIYKAGSETPSLVRIPYPEPYISGFCNTGIVCCGADHFAVAALVGDYMSDMYKLAVFDSKTGVWEARLLPVEPLEPLEPLGSHCSPLDSPRLLPLELSHSEVPFFSSKVIPLKGSLLGWVDLWWGILVCDVLSDNPKLQYIPMPKPMPSNEGAQGEGEASYFRDVTGCEDMITFVEMEYVYHYIPVTDPSCYSPYGWTAVIGSRKLDSREWIRNHVVNIENITVSEDCHGRTDLLPQFCENGTPSLKKMPIGTPTLGDCNNVLYLMSKVKFGDTKGWVVAVDINSKRLEAVSTFSAASLPCFSTAYYPSSFSKYFNKNSTGIYCFQLEW >KQL11686 pep chromosome:Setaria_italica_v2.0:IV:36136315:36136710:1 gene:SETIT_009059mg transcript:KQL11686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKNWESTNQKKSLLISSPSRLLMDQVIKQAASFYLTVHLRLSSLQM >KQL10211 pep chromosome:Setaria_italica_v2.0:IV:10928693:10929066:-1 gene:SETIT_008927mg transcript:KQL10211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVMFCFSLALCPLWSGFGCSAIVLQNQNANVAV >KQL11419 pep chromosome:Setaria_italica_v2.0:IV:33470179:33472298:-1 gene:SETIT_008327mg transcript:KQL11419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVAAARGLLLTPTALLLVAGLITVLYALRRRRGGGGGLRLPPSPYALPFLGHLHLLAPLPHQALQRLAARHGPLLYLRLGSVPAVAACSPAAAREVLKTHEAAFLDRPKPAAVHRLTYGGQDFSFSAYGPYWRFMKRACVHELLAGRTLDRLRHIRREEVARLVASLSRSAAAAGEAVDVDAALMGLTGDIVSRMVMSRRWTGEEDGDTEQMRTVVAETAELTGTFNLQDYIGVFKHWDVQGIGKRVDAVHRKFDAMMERILTARDAERRRRREEDGAGEVGAKDVLDMLFDMHEDEAAEMRLNRDNIKAFMLDIFAAGTDTTTITVEWALSELINNPSVLRRAQAELDAVVGTSRLADESDVPNLPYLQAIAKETLRLHPTGPLVVRRSMEPCRVSGYDVPAGATVFVNVWAIGRDPESWGPDPLAFRPERFLEGENAGLDVRGQHFHLIPFGSGRRICPGASLAMLVVQAALAAMVQCFEWEPVGGAPVDMEEGPGLTLPRKHPLVCTVKARLDPMPGLAADEDDAAAAAGGK >KQL09239 pep chromosome:Setaria_italica_v2.0:IV:2058472:2058833:-1 gene:SETIT_008923mg transcript:KQL09239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWILTMIVVMMTINTAARCICCWAVLLE >KQL09716 pep chromosome:Setaria_italica_v2.0:IV:5428764:5431873:1 gene:SETIT_007052mg transcript:KQL09716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKLDMSALETNFAVPCGDDGDGMYFSAEAPDVPSMVLPTCADFDGFQAATKDMVKNKKGTTTLAFIFDKGVIVAADSRASMGGYISSQTVRKIIEINPYMLGTMAGGAADCQFWHRNLGNKCRLHELSNKRRISIAGASKLLANILYSYRGMGLSIGTMIAGWDEKGPGLYYVDSEGARLVGNRFSVGSGSLYAYGILDEGYRFNMSVEEAGELARRAIYGATFRDAASGGCVSVYHVGPDGWKKLSGDDVGELHYHYYPVQKTPVEQEMTDAPSASA >KQL09927 pep chromosome:Setaria_italica_v2.0:IV:7499379:7500545:-1 gene:SETIT_006902mg transcript:KQL09927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRKKQAFQPLLIRFVLAAVVLSAAAAPRCAAFNPRMLFLVKPDPIVLRDHGGALLTGNLTVNLLFYGRFAPAQRAIVADFVRSLSAAAAPRHRAAAAPSVASWWRTTSLYRGGGARVALGRQILDERMSLGPGPLSPGNVTALARAAGHHRGAVTAVLTAADVPVVPFCVSRCGAHGRDLAGAHGRARYAYLWAGNPARQCPGQCAWPFHQPPYGPQAPPLVPPNGDVGVDGMVISLAALLTGTVTNPYGDGYYQGDAGAGLEAATACAGIFGSGAYPGYPGKLLTDPATGASYNAVGLGGRKYLLPALWDPTTSQCKTLV >KQL10274 pep chromosome:Setaria_italica_v2.0:IV:12331952:12333327:1 gene:SETIT_006460mg transcript:KQL10274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALPVIPSLRGLLLTGARRTRHAVPKIITSVPALAAFLILAAATFLVISLGPFQRSPTSSSSSLFSGSGAACDLTRGQWVRDPAARPYYTNATCAFIEGYQNCMKHGRPSLEFLRWRWRSEGAGCGDGVPGRRFDAGRFLRLVRGKSILFVGDSLASSHVRSLVCTLSQVDGSPERSSSGGFERWRFPAHGFTVAFFWTPFQVRWRLTRGPPEPVGPDRQGEVFAGPSDLHLDEPDPRWAAAARDHDVVVMSASHWFARPAVYYRGGRVAGCHGCGAAALANATALIKPEQAQRAAFRTVLRALAGMEGFRGTAILRTVAPTHYENGGWFDGGECTATKPADPEDPVEIAEPEGEFYRAQVEEFAAAEEDARRNGVRLRLMDVTKMMLRRPDGHPDRYGHGAGEHEGFDIDCLHWCLPGPIDVWNDLLFQILAGR >KQL09246 pep chromosome:Setaria_italica_v2.0:IV:2097216:2097591:-1 gene:SETIT_0068981mg transcript:KQL09246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETAAAARSTVCVTGAGGFLASWLVKLLLSSGRYAVRGTARDPGDGKNAHLMPLENAGERLQLLKADMLDYGSVASAVAGCEGVFHVASPVPYGQPSNPE >KQL09247 pep chromosome:Setaria_italica_v2.0:IV:2097216:2097663:-1 gene:SETIT_0068981mg transcript:KQL09247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETAAAARSTVCVTGAGGFLASWLVKLLLSSGRYAVRGTARDPGDGKNAHLMPLENAGERLQLLKADMLDYGSVASAVAGCEGVFHVASPVPYGQPSNPE >KQL09560 pep chromosome:Setaria_italica_v2.0:IV:4270237:4272131:-1 gene:SETIT_008179mg transcript:KQL09560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPVAAPSSLAVPSARRPRELPAAVSAAARDPPRAWGATGAEERARRGKEAEMDDEEAERRRKEEVNRKIASRKALSVILRREATKAVLDKRKPGKGTRRLLPRTVLEALHDRVAALRWDSALKVFELMRDQVWYRPHIGIYIKLITMLGKCKQPEKAHELFQAMIDEGCAPNLESYTALVSAYSRSGRFREAFELLDQMKDTPGCQPDVQTYSILIKSCLHAYDFERVKSLMADMARVGIRPNTVTYNTLIDAYGKAGKFAEMESTLLKMLSQNCKPDVWTMNSTLRAFGSSGQIETMENCYEKFQASGISPNIKTYNILLDSYGKAKMYEKMGAVMEYMQKYYYSWTIVTYNVVIDAFGRAGDLEQMEYIFRLMKSERIKPNCVTLCSLVRAYGRAEEVKKIKTVLRIVENSDITLDIVFFNCLVDAYGRVGCLAEMWDVLDLMKEHRCKPDKVTCTTMIKWFLVKGINDHRVQYLRDLKDGRITDDT >KQL09352 pep chromosome:Setaria_italica_v2.0:IV:2646474:2646852:-1 gene:SETIT_009142mg transcript:KQL09352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRATVCVATMISSTLIDGKEYIYNSSYVVLHPPHL >KQL09274 pep chromosome:Setaria_italica_v2.0:IV:2239467:2239969:1 gene:SETIT_009012mg transcript:KQL09274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIINELSSAQSLFMFGLFFGKKKKFHGICICC >KQL10432 pep chromosome:Setaria_italica_v2.0:IV:14967529:14968731:-1 gene:SETIT_008386mg transcript:KQL10432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRLTCGTWGIIGWQVPRQEEAAGCGFGRQRSFVHHQIIGPFVHNPAPVHIDAEDALLQGEVDQVGLGRAHGGEHGNPPWQLGRPVAVVVPDLLEAHRVGLLPLPPSLTEDHRPHVHRHCTGLTGDLHVPQVALAHRDAAMVEHARRRRGQGTVRREAVEEQSIVVPLHVAADDPPREVEPPHRGLEDDGAVGSPLPVPVLCRQRGLHVLVVPLVVARVEGDERGAVAAPVNLELGDREAAVSVGDEADAKDAGELGDEAGADRAVGDALGGCRDLAVGRSSKVAGHDEVGVSGVVAEKEPDGGRDGEVGEEAVGRRGDDPGAPVEAVGVGVGAAARGEDGDPGQRRDREGEVWRSSRCAGGELRADGAIQEHPRRRHGGLVRLASFRQRASEREGERW >KQL09522 pep chromosome:Setaria_italica_v2.0:IV:4021837:4022797:1 gene:SETIT_007831mg transcript:KQL09522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDSWRLPSLVQELAATVQERDRLGGQLAGAEMPEPVPAVDLSRLSASHGAEAGEEAPELRSALHSWGDLLHEYTLKRARVAILNQFGDKQGSCTFARPELVLGIRPRCDVGVLTLLLMDRDVAGLQVLRDGAWHGVPPLGDGCGLLVNIGVSLEVMSNGIFRSPVHRTVTNSEQERISLVMFYTADPEKEIEPMAGILDEKQPARYKRVKCRDSLAAHREYFARRGRVVESLKILVVK >KQL08909 pep chromosome:Setaria_italica_v2.0:IV:233588:234946:-1 gene:SETIT_0059421mg transcript:KQL08909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRACPPAAVPLSFSAAPAPSYSCPWPSSSTTYTAARRPANLAAVQFEALRSDSGPWAADQGVATTGDGDDMGDGLNEEAGPESDGKGIPGIYVPRQRYIAIPKAALLDAVLSQFPSDADAADFKRCTRCLDAILHAEHKGMLEEMRTSYTLTQRHQEEDQTDTSDVQAIFNGKASSGLSGITQEDGTLFLTRSLGLRTLLGLTPDPDSNTRAAFATQFQRSFMNLLRNAQFEELSVQDLLLTYALNSDYLLTLPIYVDWKKAAQSNAIIFRRGYATERQKGLLLVEKLDYLQSKLLQSIFFSLSKPLKRPGKWLNE >KQL11116 pep chromosome:Setaria_italica_v2.0:IV:30892722:30892778:-1 gene:SETIT_008085mg transcript:KQL11116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTFIMIKPDDVQRGLIG >KQL09207 pep chromosome:Setaria_italica_v2.0:IV:1859413:1859784:-1 gene:SETIT_009031mg transcript:KQL09207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALTSLFSLHLSRNTLSGVLPASLSSCNRLNLLDLGENDFDGVIVPYLHGLEILCNSSCFLGCGQISSPEVVLLDCHNW >KQL10623 pep chromosome:Setaria_italica_v2.0:IV:20618997:20635782:-1 gene:SETIT_006143mg transcript:KQL10623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYEIPRVAFINKLDRMGADPWKVLNQARSKLRHHNAAVQVPIGLEEEFEGLVDLVDMKAYKFEGSSGQNVTAYDVPSNMADLVTEKRRELIEVVSEVDDQLAEAFLNDEPITAHQLKAAIRRATVARKFIPVYMGSAFKNKGVQPLLDGVMDYLPCPLEVDNYALDQNKSEEKVLLAGTPAEPLVALAFKLEEGRFGQLTYLRIYDGVIRKGDFIYNVNTGKKIKVPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGTVKYTMTSMNVPEPVMSLAVSPISKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIKREYKVDAKVGKPRVNFRETITQRAEFDYLHKKQSGGQGQYGRVCGYIEPLPSGSDGKFEFDNMIIGQAIPSNFIPAIEKGFREACNSGSLIGHPVENIRIVLTDGASHQVDSSELAFKLAAIYAFRQCYTAAKPVILEPVMKVELKFPTEFQGTVTGDMNKRKGIIVGNEQEGDDTIVVCHVPLNNMFGYATAIRSVTQGKGEFTMEYLEHNIVSQDVQMQLVNSYKAAKGTE >KQL10784 pep chromosome:Setaria_italica_v2.0:IV:25676097:25677137:-1 gene:SETIT_008295mg transcript:KQL10784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQYPTWASDIEINFASRGIVEAIQEPSDGAPPVTDKNNFSALFSLRIYIHKDLKQEYLMERCPLALWKALKERYEQQEELIWPLANHDICSKLKFCEKELTDAEKIEKTLSTMLPEDRIWHQQYRSSNFQQYSQLIHTLSQVEKHHELLLQNAHQRPLGCAPLPEVHYNVHNNAGNKKEFKGNNFSRNSAGKHKFNNRRKFHKRGKGKEKAPPPRGNSRKLCNRCGSNNHVAKECRCPPHLVLLYQKSLKKPKFDKPRYEVHFNLTEPTPKVESSQLAPTAPQNNLTLPQENPIATTGMLNLPEEDPMDGMLIEYFSKDPLEDLE >KQL11457 pep chromosome:Setaria_italica_v2.0:IV:33918482:33919819:1 gene:SETIT_007049mg transcript:KQL11457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHAHITSRFHYNTTGSNALVELEAGEDVVVDGVGEVVEQVLEGADAGDEPLDEVPEDGEHGEPAVLDLLELELLHLLLGLSEPERVEERAAGVGRVAGAGEELLEAEEVLLAHGARVVPVLEAAVLGEAHERHVEDEERVGVGPVVVGARRRDDPRLEPRQRRLRRDEAQLAEDLRSDGARSAQHGEAPVDHLPVGQPLGLDEAAGALRVGEAQRVETVVAGQPATTTASSKIGSVYSNCKNIHGGMELAVRTCHRGRRGIAWTRTTAACQRLAARKKIHP >KQL09507 pep chromosome:Setaria_italica_v2.0:IV:3941732:3942105:1 gene:SETIT_008004mg transcript:KQL09507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSLPLVAAVIVLLLVMARVEGIRLDSESHEAFSNQMVHKSGEMAVKNTDNEPSGEKMEESISEEKDRVGHRLPEIHVDYYGPRGHKSRHH >KQL10293 pep chromosome:Setaria_italica_v2.0:IV:12515126:12515895:-1 gene:SETIT_008889mg transcript:KQL10293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLMKMVDNNESVYVAFAHFDARSRQATKGEGDRAPSSSVCRRGFYPVQLSQPRPCRDAIA >KQL09133 pep chromosome:Setaria_italica_v2.0:IV:1428700:1432871:1 gene:SETIT_006279mg transcript:KQL09133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIATCVWQEKAAAAAAAAMGAGGGGGVGAGKKGERVSVAALVAAAGGALNCAVSLVVFSVLDVLDVVLCLVYKLVDYAVEAEWKACYCTAAARDGAGPRILVPPEAAAAAPGPKVVRLSASSAKMQLEDVSDTLYVRPSLLADATRKGAGPAAPALTVSPAIAEMIRGKMDRPPRPPRQAPCWSDCDCKVCHAWSAAPRSASHLYVHVQAPPPGAPAAEGVAVEDVVFIHGFISSSVFWTETVFPAFSAAARGRYRMFAVDLLGFGRSPKPAESLYTLREHVEMIERSVLQRYRLGSFHVVAHSLGSVLALALAVKYPDAVKSLTLLAPPYFPVPESEAGAATQYVMRRVAPRRVWPPIAFGASMACWYEHVSRTICLTICRHHRVWDRLFRILTRNRVRTFLIEAFMCHTHNAAWHTLHNIICGSAARMDAYLDVLSSELSCKVSIFHGRDDELLPVECTLAVGARVPRARVTVYDRKDHITIIVGQEELFAAELEAIWRSAAAE >KQL10325 pep chromosome:Setaria_italica_v2.0:IV:12934646:12937013:1 gene:SETIT_005969mg transcript:KQL10325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVPRLLPLLLLLTLTAPPAAAAAALATAAAAKNVSIDSATLSFADLTLLGDSFLRNGSVGLTRDTGVPSSSAGSVLCTQPVAFRGPNATDATAVASFAARFSFVIDNPNAGAAGGDGIAFFVSPGRATLGATGGYLGLFNSSGSAKNGSAAAIVAVEFDTMANPEFADTSDNHVGLDLGSPVSVAVADLAASGIDLRSGNLTTAWIDYRSADRRLEVFLSYAPAPKPKRPVLSVAVDLSPYLNGAMYVGFSASTEGSTQQHTIKEWTFQTFDLPSTANSSSFESNATSNSSDQAVPTSTAHHKRVGLALGILGPVALAVAFVFFAWVSIRKLIQLTARNDGAFSPELLKGPRKFSYKELSAATKGFHASRVVGKGAFGTVYKAAMPGAATTTTTYAVKRSTQAHQSRSEFVAELSVIACLRHKNLVQLEGWCDDKGELLLVYEYMPNGSLDRALYGEPCTLSWPQRYTVAAGIASVLSYLHQECEQRVIHRDIKTSNILLDGNLSPRLGDFGLARLMDHNKSPVSTLTAGTMGYLAPEYLQSGKATEQTDVFSYGVVVLEVCCGRRPIDKDDSGGKNVNLVDWVWRLHGEDRLIEAADARLAGEFDRDEMLRLLLVGLSCANPNCEERPAMRRVVQILNREAEPAPVPRKKPLLVFSSSASMKLQEIAFSCGDDVRGGYSVANPTSPKSEGGDIER >KQL09848 pep chromosome:Setaria_italica_v2.0:IV:6663358:6664056:-1 gene:SETIT_007977mg transcript:KQL09848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTTRASDDIAAAAAHGGKRTYKGVRMRSWGSWVSEVRAPGQKTRIWLGSHSTAEAAARAYDAALLCLKGSAAAADLNFPLRLPFDLPPAAMSPKAIQRVAAAAAAAASNGGADFAACAAADNNADSGCSGTATPAWSNGSPSDASAVSSPESTLSSESELTAHYGDGDGDADYYNSLADIDAFFQSPKCMEYAMMDPCSAFFAPAPMAMDDGCWEEEGDIALWSFSTFDC >KQL10453 pep chromosome:Setaria_italica_v2.0:IV:15389404:15389894:1 gene:SETIT_009129mg transcript:KQL10453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFILKLQKYITPEKLRGRSLDKSRDLPIASRLTDERIGGQH >KQL10388 pep chromosome:Setaria_italica_v2.0:IV:13991447:13995068:-1 gene:SETIT_007161mg transcript:KQL10388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRSPATASLLLRSLLRQPRGFSSSAAAAPAAAKEGSDGKLVASVLFERLPVVIPKIHPVVYAFQEFSFRWRQQYRRQYPDDVLGKADARGKGDYQIDYVPAPRITEADKTNDRKSLQRALDNKLYLLLYGNAYGAPDGKPVWHFPEKVFENEETMRLCAESALKSVLGGLDNTYFVGNAPMAHMAVEQTDSNVSSFKRFFFKSQVVGTTKYHIGKCKDYAWVTKDELLEYFPENKDFFNKMIIHIR >KQL10442 pep chromosome:Setaria_italica_v2.0:IV:15244370:15249031:-1 gene:SETIT_006117mg transcript:KQL10442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDITCGSLLQKLQLIWDEVGESEEDRDKVLYQLDQECLDVYKRKVDQATNSRDLLIQALDDSKIELARLLSALGEKAIARTPEKTTGTIKQQLAAIAPTLEQLTKQKNERKREFVNVQSQIDQICGEIAGTIEVGEQVATPQVNEDDLTLERLEDFRSQLQELEKEKSNRLEKVLEYVSMVHNLCTVLGMDFLSTVTEVHPSLDDSIPDDSKSISNDTLSKLDKTVATLNEDKKLRLSKLQELAGQLYDLWDLMDAPKEERRMFDHVTCNRSASVDEVTAPRSLALDLIEQAEVEVQRLDQLKYSKMKEIAFKKQTELEDIYAGAHIVIDTAAAHEKILALIEAGNIEPSELIADMDSQIAKAKEEALSRKDILDKVERWMSACEEESWLEDYNRDDNRYNSSRGAHLNLKRAEKARILVNKIPALVETLVAKTRAWEENRGLSFMYDGVPLLAMLDEYVMLRQEREEEKKRMREQKRYIEQQLNTDHEGPFGSRVSPNRPASSKKAIGPKLNGSVSNGTPPNRRLSISGQQNGHGVRSGGKDSKKDTVKTASPGNNNAAAAAPASVPAAAKEDAASHISGTDPVPSTP >KQL11634 pep chromosome:Setaria_italica_v2.0:IV:35472730:35475381:1 gene:SETIT_008559mg transcript:KQL11634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKKTVKGSSCKKGKLTDGPISKDASEMDPCYKLFLEHLSKDGNTYVLDVPNGDHGMPVSVRYEEDDTSYGNTNGKNGPNSPNGSLHKSWGVPNGKQPGVKAVKATSGNVDHSFSPKRSLVKQKKSSSVDESYELFLRLVKFKDGFMVIEPEPGVTIVYEREEDMPAGYDELRTGTSTNEPEPLMSPLENMEEDYTTYGCEYGLTQANKIASEHEMDGPSSENIDGQDVICTDERGLVLYTEPSDSNACEDEQAAPLAISCSGSSTFDENLNAVLSQPYDQNEYEELWRKATDRKPNLCHEGAYMPWISKALACNPISPDEYEQTPTLETCEDEQ >KQL10195 pep chromosome:Setaria_italica_v2.0:IV:10702435:10703325:-1 gene:SETIT_007474mg transcript:KQL10195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGCGAGTREIRPAERTKASSKVRAKARSAFIVWSGESRGRKLGARPHGFLRSQGEKLPLDADVSASRTRREDDSPSRRQKPISPRPQRTGRSRAHPAGRTSFLTASPTSAPPRELSGSQAIRAAGISSRCWRPRLRS >KQL11424 pep chromosome:Setaria_italica_v2.0:IV:33487681:33491606:1 gene:SETIT_006121mg transcript:KQL11424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARGFRRVAAAVCLARRSASAVAALPSAGAARFHDYDTAVTECVERRALREGRQVHARMVAAGYRPALYLATRLVIMYARCGALEDARNVLDGMPERNVVSWTAMISGYSQNERPAEAMELFVMMLRAGIHQVKQVHAFAVKTNFELHMFVGSALLDMYAKSENVQEARRVFDMLPARDVVSYTAIISGYTQLGLDEEALDLFRQLYNEGMQCNEVTFTALLNALSGLASLDYGKQVHGLILRKELPFFMVLQNSLIDMYSKCGKLLYSRRVFNNMPKRSVVSWNAILMGYGRHGLAHEVAQLFRSMREEVKPDSVTLLAVLSGYSHGGLVDEGLDMFDLIGKEQGTLLNIEHYGCVIDLLGRSGRLQKALNLIQKMPFEPTRAIWGSLLGACRVHVNVHVGEFVAQKLLEIEPENAGNYVILSNIYAASGMWKDVFRVRKLMFKKTVIKEPGRSWMILDKVIHTFHSSERFHPKKEDINAKIKEIYVAIKAAGFVPDLSCVLHDVDDEQKERMLLGHSEKLAITFGLMSTPSGLTIRVMKNLRICVDCHNFAKFVSKVYGREISLRDKNRFHIITDGTCTCGDYW >KQL11138 pep chromosome:Setaria_italica_v2.0:IV:31079867:31086874:1 gene:SETIT_005766mg transcript:KQL11138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGGGGGGGGAGLGLDLSAVIQAAFVGLVLFSAAVVAVRRAASRYFVVDAAGFAASYDDHHHHHHSLPDYPMSPKGRRQEEGPAAGEVSGSGPCAACGVVTSKKCSRCKRVRYCSQECQTKHWEAGHKSKCKPMNADKLSRGVEANSKKSSGFGRISLVPTSKKIKKGQLLFSYDEFLKLYNWKDFDYIPCGLMNCGNSCFANVVLQCLSCTRPLVAYLLGKDHSRECSTKHEDWCFLCELQFHIQRASESIHPFSPMNILSHLPNIGGNLGYGRQEDAHEFMRFAIDKMQSACLDEYGGEKAVDLSTQETTIIQHIFGGRLQSQVQCTACGMVSNRYDNMMDLTVEIQGDAESLEKCLDQFTAVEWLDGDNKYKCDGCNDYVKARKHLSVHQAPNILTITLKRFQSGRFGKLNKRVTFPMELDLTPYMSSTDGSDLYDLYAVVVHLDMLNASFFGHYICYIKGSRGNWYKIDDCKVMTVDEEEVHAQGAYMLLYSRRTARPRPLATVEEPVKQQQQCKVLPLNGQNHMIPEDATLNCESPLESSVDLLQQDSESNNKSLHKMDIKDQESDLDLHTSIEAEKFVSTEVDLLGSPVSDVLEDTRVPCSPLEASTSLRSVPLSPPVEGGPTTMSSVEFGNSMSEASSVHSFAEQREEPTSCIDSVDYMDVDTEAGTEVERCDEQQPALDGSIRRMDNKTSVPILSNGMAVKPKSTFALGFLDKPSRKRSSFAEEDHVDGNSAGSSRKLNGHCNEYLSGSDQGVLPDSYGNSPSSRSEKCNGDILEKSSNGNYCTVNSDTRSSNSSLHADRRDVPLVSHGFEPRSYSEPSSINKNCSSTSSGKPSKASQGDLSFLPRGFLARPPSRGNSVKVDDRLPFGNGTSSSFENGNSKPSNNIRESVILGTSSDIPMEQKSNGAVVPDHVEERCSDCTINGSLFQVRAATDHLDENSHSIFATNNTSCRQENGSDGTPGVNGMGCQRDDTPSMLVSKKSTGSEHDGLRRRVTSKFFEQNGIDAQ >KQL11577 pep chromosome:Setaria_italica_v2.0:IV:34963499:34964312:-1 gene:SETIT_007658mg transcript:KQL11577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTKTARFLVLALLVVSAVIMPSSVCHGIRGVGLGSGGALDPNHPACIGPCPGRGQPYTPRPGGGPGVYYPSTPAAQSNGENLHP >KQL10725 pep chromosome:Setaria_italica_v2.0:IV:24164800:24165888:-1 gene:SETIT_008404mg transcript:KQL10725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGDGLPIVEEATATTVVVGATTSLGGVTIDPFSQFSRSRPPSVHVASSSNDSSSSDELEGEDLEAKKRKEKMKAKIKKKATKLIKKRIKEESDKHPFFRYHQVPPNYLPPSSQYPSSQFQSVHLGKPPYFDGTDYPKWAYDMKTHLYGLHPSIWEVVVVGVTPPKNGMPIAEQAQDYFHNAQVVRVITNSLCAQEFNKVHYIEIAKVIWDTLKEAHEGIDQVREGKMDLIHEELEHFIMLEEETVMQMFDILMLLVSDIRTLGRMDWDDHKVTNKMLRAFTPMNPTLATMIRRDPSFKIKTPNQLLGEILHQELVERDVVKSLSMRMNVGSRTLAGSRHNRFRTNKVLSLDQFLSSGCL >KQL11037 pep chromosome:Setaria_italica_v2.0:IV:30134860:30137529:-1 gene:SETIT_007097mg transcript:KQL11037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLPLVLALSLAAASSAAATASGAWSVLRTVTDAPTAASSDDADTLFCDSWRLSVETANAGPWGAIPVRCVAFVQAYMEGPRYASDSAVAAADSLAFASGALAAAEAGAAKPAWVFDVDETLLTNAPYYAVNGWGSQEFNETSFDEWVDAAKAPALPSSLKLYKELQGLGFHIILLTGRTEFQRNSTEANLLFAGYQSWEKLILRQPSDIGKTAVLYKSERRAAMEAEGFKILGNSGDQWSDLIGPPMATRSFKLPNPMYFIS >KQL11955 pep chromosome:Setaria_italica_v2.0:IV:37815104:37816023:1 gene:SETIT_0060442mg transcript:KQL11955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQPQDYAAAAAMAYAQAQQPPPPQYGGYHPQAPPPQYPPHPYGAPLPQYPPAPYARPMPPAYSHLAPHQQPPPPYGGHPPPHVMSTHSPPPHHHYMHPPPFESAPPPPAAPPADPELQKRIDKLVEYIGKNGPEFEAMIRDKQHDNPDYAFVFGGEGHAYYRYMLWLLPRPPAPGPYPPGSMHMMPPMGPMMRGPPIHQPGYPTFYDQHQQFAAAHGHGDYEAAAQPFKGLPGPLPTDVAAELQDVLNSLNGTKESIKGAKTWFMQRLPFVPALAEALRERVFALEDSERQLHIIFLVNDILFE >KQL11954 pep chromosome:Setaria_italica_v2.0:IV:37815104:37816023:1 gene:SETIT_0060442mg transcript:KQL11954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQPQDYAAAAAMAYAQAQQPPPPQYGGYHPQAPPPQYPPHPYGAPLPQYPPAPYARPMPPAYSHLAPHQQPPPPYGGHPPPHVMSTHSPPPHHHYMHPPPFESAPPPPAAPPADPELQKRIDKLVEYIGKNGPEFEAMIRDKQHDNPDYAFVFGGEGHAYYRYMLWLLPRPPAPGPYPPGSMHMMPPMGPMMRGPPIHQPGYPTFYDQHQQFAAAHGHGDYEAAAQPFKGLPGPLPTDVAAELQDVLNSLNGTKESIKGAKTWFMQRLPFVPALAEALRERVFALEDSERQLHIIFLVNDILFE >KQL10742 pep chromosome:Setaria_italica_v2.0:IV:24590848:24591936:-1 gene:SETIT_008673mg transcript:KQL10742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQIEQVVDVKEKKCSQLNSQASYILTHALSKGLYDVLDAHMDEDDDSHTSHDAHLIWITLKEMYGTGSRQESVKPVGQTGQTSLSRDISPMCNMGACQEPSQASSPPESSSSKNEIKLCLMAKKSKKKAKNGTSQKIELSSSLVKELELLNSDHASLVCKYDSLANDYTCATKSLSCVASLEKANEVLKAQLEKLTSEHMALQATHKELDCSHEKLVESYAILDIAHEVVITLVKSIQPLTHTCSCSQVKINSSCTNLCCSQASQSSIEHVFVESCDDLVAQENDELIQQVERLKKDLSELNGKSQVQPSQDNREDMVKKLDKGSTTTRLATSQNQQQQDSRKGQI >KQL10898 pep chromosome:Setaria_italica_v2.0:IV:27906595:27907622:-1 gene:SETIT_007404mg transcript:KQL10898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQAIEDHRSGAEVHAGRELCAQKSREFMVELGLPDGLLPLPALDEVGYNRSTGFVWLRQAAGVTHTFDTIGKQVWYDKEVTAFVEPGRMSGLTGVKSKELLIWVTISEIVVSPSGTKVVFRTPAGLGRAFPVTAFQLNPPAEGDKKEEGDAGAATNN >KQL11740 pep chromosome:Setaria_italica_v2.0:IV:36454098:36461272:-1 gene:SETIT_005741mg transcript:KQL11740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDVDGHVPSYPNLPSKLICLLHNVTLHADPETDEVYAQMTLQPVTSYGKEALQLSELALKQPRPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQEIQARDLHDATWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVIFVRDEKQQLLLGIRRANRQPTNISSSVLSSDSMHIGILAAAAHAAANNSPFTIFYNPRASPTEFVVPFAKYQKALYGNQISLGMRFRMMFETEELGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESAAGERRNRVSIWEIEPVAAPFFICPPPFFGSKRPRQLDDESSEMENLLKRAMPWLGEEICIKDPQTQNTIMPGLSLVQWMNMNMQQNSSFANTAMQSEYLRSLSNPNMQNLGAADLSRQLCLQNQILQQNSIQFSSSKLPQQMQPVNELSKATLPLNQLGMGTKLQEQIHDPSNLQRQQQSMNQLLPLSQSQTNLVQAQVLVQNQMQQQQQPPSMTQNQQPAASQPQLLPHPQQQQQQQQQQQQQQQQKLLHQQQQLLLQQQQLQQQQQNQQQLNKMAAQVPNLAAQQLQLSDQQLQLQLLQKLQQQQQSLLSQPAVTLAQLPLIQEQQKLILDMQQQLSNSQSLSQQQTMPQQSTKVPSQATPPPPPSMQQEPQQKVPQKHVGFTDTSHAAIPPTTSVNAISAAGSSMMANGAAHSVLTEEIPSCSTSPSTANGNHLAQPILGRNQQCGMINNEKLPQSTAPMSIPSSLEAVTGIPRSTKELPKLNSNVKQSVMASKLPNTGPIPQNLVNSAPPTDYLETASSATSVWLSQADGLLHQGFPMSNFNQQQIFKDAPPETDIQGTDPSNNALFGINNDGQLGFPMGADAFLSNGIDASKYQNHISTDIDGNYRIPKDGQQEISSSMVSQSFGASDMAFNSIDSGMNDGGFLNRTSWPPAAPLKRMRTFTKVYKRGAVGRSIDISHYSGYDELKHALARMFSIEGQLEEQQRIGWKLVYKDHEDDILLLGDDPWEEFVNCVRCIRILSPQEVQQMSLDGDLGNNILPNQACSSSDGGNAWRARCDQNSGNPSTGSYDQFE >KQL11520 pep chromosome:Setaria_italica_v2.0:IV:34425036:34430147:-1 gene:SETIT_006082mg transcript:KQL11520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYGVDGGGAWSTVPVRSRRTATPAPAPRGGGDDDRAPGAGGLAEAVEGLDIGGGGGERRLDKYEIPVEVSGEGAPPPADGFEAAGLAEAVLRNVARCGYESPTPVQRYAMPIAMAGRDLMACAQTGSGKTAAFCLPVVSGLVAAAAGGYGCRDRGSFERRAKPRALVLAPTRELAAQINEEAKKFSFQTGLRVVVAYGGTPMNDQLRELERGVDLLVATPGRLVDMVERSKISLEAIKYLAMDEADRMLDMGFEPQIRKIVDMMNMPKKSVRQTMLFSATFPPEIQRLASDFLHNYIFITVGRVGSSTDLIEQKIEFVNDKEKRGFLLELLHKQSVGGGNSKQPLTLIFVETKREADTLRYWLYSKGFPATAIHGDRTQQERESALRSFKSGATPIMVATDVASRGLDVPNVGHVINYDLPKSIEDYVHRIGRTGRAGKAGKATAFFTESNHPLAKGLLELMTEAKRDVPKWLVEYADRPCYGGSSYGGRGRRGGGGGFGGRDYRRSSDYSSGCGDYSYGGGGGGNSGGGGDYDSGGGYSGGGGGGGYSGGGGGGSYSCRGGGYSRGGCGGYSRGGGGSSRGGDGGGGGSFRSSAPPPRYYPSYPMGTTDISASGWD >KQL11682 pep chromosome:Setaria_italica_v2.0:IV:36045895:36048885:-1 gene:SETIT_007269mg transcript:KQL11682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSGGSVVSVDVERISFGGKLCKAAASARLLLLVAVIVLLLPSADARRRPVHLRLYMHDIIGGPEQTAIHLIRNAGPPHKSLKGAYFGDTMAIDDLVTDGPGIDSGSVGRAQGTYMLSSQHEEVLVAAVTVALTDGPYSGSTFVIAGRVGIYDDKSELAIVGGTGQLRRAAGYVLWRMAKVVSEVYIVVELIVHMSVPANAAAPSNGSSLAIE >KQL09828 pep chromosome:Setaria_italica_v2.0:IV:6436836:6438719:1 gene:SETIT_008446mg transcript:KQL09828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTAHLDRLYGGLLVDGFDVESCHSRYQSAMYRRNPGRRPSPYLISKLRRHEALQRRCGPGTAAYSNALEQLKSGKSVASPECTYILSISYRGIGNRILAAASAFLYAVLTDRVLLIDPSNEMDELFCEPFPNTTWLLPPGFPLTDYANFSIDTAESYGNMVKNKVIRSDVGVTDDDVPTAAQLPAFAYVHLNYDATIEDNSFFCGDDQRLLRRIQWLVMRTDSYIVPGLFLVPAFQEELDALFPERDAVFHHLGRYLFHPNNHVWGLVTRYYDTYLAAARQRIGIQVRVFGGMPDSPELLEQITTCTQKEGLLPEVLPAAGEPILPASRARSKAVLVTSLKSWYYERMKSMYWELATATGEVVSLHQPSHEEFQQSGARSHEHKAWAEIYLLSLTDVLVTSGRSTFGYVAQGLAGVRPWVLYKAANSSAVPDPPCGRDVSMEPCFHKPPGHDCRLKQWADPSKDVPYIQHCDDAIWGLKLVGRNT >KQL10151 pep chromosome:Setaria_italica_v2.0:IV:10316784:10316909:-1 gene:SETIT_007928mg transcript:KQL10151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLAVSGAARPLSGEVWSPAGEAVSGDEGVVQFIQQIYLQ >KQL09887 pep chromosome:Setaria_italica_v2.0:IV:7075061:7082968:1 gene:SETIT_006374mg transcript:KQL09887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHGLILTGYHFGGRFKKSTRRHPTTPVQHRRNRAPFSALPGASRPPGAPSTSDHPRSRRRPAGREPDRAGMGFIGAHGVETLKRYKYSGEDRSVVAKYVLQPFWSRCVTLFPLWMPPNMITLTGFTFLVLSALLGYIYSPRLDTAPPRWVHLAHGLLLFLYQTFDAVDGKQARRTSSSSPLGELFDHGCDALACAFEALALGSTLMCGGWTFCFWVVAAVPFYLATWEHFFTNTLILPTINGPTEGLMLIYVSHLFTFLTGAEWWAQDFRKSLPIFGWIPLPFLSEIEIPLYVIVLILMIMGAVIPTVRSNVSNVQEVVEARKGSMALALAMILPFIALLAGVSIWCYLSPSSIMRNQPHLLVIGTGFNFGYLVGRMILAHLCDEPKGLKSGMFMSLVFLCFPIANALIAKINDGTPLVDELVLLVLYCAYTVGLYLHLAVSVVHEIKDALGIYCFRITRKEA >KQL10814 pep chromosome:Setaria_italica_v2.0:IV:26143250:26143537:-1 gene:SETIT_008849mg transcript:KQL10814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSKIASVMRLHLHLCGRACRACPFAIIYFVRPASHANQ >KQL11708 pep chromosome:Setaria_italica_v2.0:IV:36202045:36207355:1 gene:SETIT_005694mg transcript:KQL11708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRAASPSPSGAGPDPPQLAPPRVAMACVVVSEVATVLAVMRRNVRWAGVRYDGGGADEHLDHPLVAGLKSLRGRAAGWGRPGGACRWLDDVDPLLYLRPFLDVVRSDETGAPITGAALSSLHKVLSLDLVGPAAPNVAGAMGAVVDAVTGCRFEVTDPASEEAVLARVLQVLLACVRGRAAPALSNRHVCAIVSTCFRVVQQAATKGELLQRVSRQTMQEVIRCVFARLPDLDATVVADGQISSFKGQGLGAGELENGKSDYLCLNSSGDEVGDESGVAQDKAMMELFGVPCMVEILQFLCSLLNVAEDIEVNPRMNSIDFDEDVPLFALGLISSAIELSASSIHRHPKLLAFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHLRHQLKLQIEAFFSCVILRLAQSRYGASYQQQEVALEVLVDFCQQKEFMAEMYANMDCNLQCSNVFEELANLLSKSAFPVNSPLSVLNVLALDGLVAVIQAMAERTDNAPRHHDQIVPEISEYFPFWQLKCESNKDPGQWVTFVNQQKGIKRKLMVGVEHFNRDKKKGFEFLQGAHLLPVKLDPHNVALFFRYTPGLDKNLLGDYLGNHDEFSIQVLYEFARTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQIFVNIDAALVLSYSVILLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSEIYYSICRNEIRTIPEQGAGCSEMSFSRWVDLIWKSKRTSAYIACDSCPFLDHDMFSIMAEPTVAAISVVFDNVEHEEVLTGCIDGFLSVAKLAAFYHLNDVLNNLFVALCKFTTLLSSTDIDDPVTAFGEDTKARMATEAVFTITTAYGDHIRSGWRNIVDCILKLHKIGLLPARLTCDTADDQGSSDLFPSKAASSSAVAPPVLLVSAPKKSYGLMGRFSQLLYYDAEEPRAQPSEEQLAAQRNALETAKKCQIGTIFTESKFLQADSLSNLARALIQAAGRPQKITRSLDDEGTAVFCLELLITVTLNNRDRIVLLWQGVYEHIAHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKENLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQMGWRTIISLICITACHPDASDAGFEALIFIMSEGSHLSPANFVLSVEASRQFAESRLGSTERPIHALNLMADSVNCLTRWTREVKEAGGETDRILEGIAEMWLRLVQALRKVCTDQREEVRNHAWLMSFDIIFQLLDELLDIAQNHSPKDFRNMEMSLLHAVKLLCKVFLQSLKDLSAQSSFGKLWFEVLDMIEKFMKVKLRGRRSEKLQEAIPELVKNVLMVMKASGILSKTKTGENSLWGATWLHVNNISPVLQSEVFPDNDGNNATQDEQNKLDTPAQSDQNAEQ >KQL11524 pep chromosome:Setaria_italica_v2.0:IV:34467880:34468314:-1 gene:SETIT_007965mg transcript:KQL11524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSATTFRRSGSSGLVWDERFLTEAEADAEAKAGDGAAEEPQPELRHSRSVGSIGMLRRGGAGGNGDNKKAKAKAKDQKKGHKEDARSNQQDVAPDVDPPSPRVSGCILCSIFGGSSGSGSGAGTARRRSKPRKK >KQL09432 pep chromosome:Setaria_italica_v2.0:IV:3343722:3346402:1 gene:SETIT_006757mg transcript:KQL09432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFFKQPLEAKKVYSMIPGNLQGYGQHFVVSENQKLDWADLFCLVLRPVDSRDMKFWPSHPPSFRNSIDRYSSEAAKLVSCLLKFLAMDMGVEPESFLEIFRGQPQRMRMTYYPPCRQADKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWVAVNALDGAFIINVGDTLEILSNGRYKSIEHRAMVHPTRDRISAAVFHAVCRDATVGPLPELVKNDGEARYKSMSYMEFVKGFFAAKLGGRGHVESLKNS >KQL09433 pep chromosome:Setaria_italica_v2.0:IV:3343722:3346402:1 gene:SETIT_006757mg transcript:KQL09433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAEAGGSLPVPNVQALAQTYNRSDDQIPERYIRVDEAAEEVIVDHGTSSAIPIIDVNKLLDPQSSKEECAKLGSACKQWGFFQVINHGLPNEVISNFRNDMTEFFKQPLEAKKVYSMIPGNLQGYGQHFVVSENQKLDWADLFCLVLRPVDSRDMKFWPSHPPSFRNSIDRYSSEAAKLVSCLLKFLAMDMGVEPESFLEIFRGQPQRMRMTYYPPCRQADKVVGLSPHTDRMGLTLLLQANDVQGLQIRKDGKWVAVNALDGAFIINVGDTLEILSNGRYKSIEHRAMVHPTRDRISAAVFHAVCRDATVGPLPELVKNDGEARYKSMSYMEFVKGFFAAKLGGRGHVESLKNS >KQL10350 pep chromosome:Setaria_italica_v2.0:IV:13297782:13299945:-1 gene:SETIT_006122mg transcript:KQL10350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVLPQAPPAVPDIQTLKLHSQIGSSLESARLNQPSASVPRRLPEIDSESKPSSEVESKEQKPNHLPNEPVDRTTLNKIFGEMQDKMVSECPVVEEKKVVDHDNTGRSLKPSSTLDKECSLAKANESGRLIKRSETGEREISSRYRPSNSSDISDESLCSSISSITKPHKANDSRWEAIQMIRTRDGILGLSHFKLLRKLGCGDIGSVYLSELSGTKSYFAMKVMDKASLASRKKLLRSQTEKDILQCLDHPFLPTLYTHFETDKFSCLVMEFCPGGDMHTLRQRQRGKYFPEQAVKFYVAEILLALEYLHMLGIIYRDLKPENILIREDGHIMLTDFDLSLRCAVSPTLIRSSNPDAESLRKNQAHSAQQACVKPSCMMQPSCTAPTTCFGPRLFSKSKKDRKPKPEVVNQVSPWPELIAEPSDARSMSFVGTHEYLAPEIIKGDGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVIGQPLRFPEYPVVSFPARDLIRGLLAKEPQQRLGYKRGATEIKQHPFFEGVNWALIRCASPPEVPRPFEIGKPPKQPASTSESAASAGASQKGSDNYLEFGFF >KQL11124 pep chromosome:Setaria_italica_v2.0:IV:30958972:30965764:1 gene:SETIT_006172mg transcript:KQL11124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPEDSAAAPTAVFRSKLPDIEIPGHLSLQAYCFERLPEVSSRPCLIDGQTGAVHTYADVELLSRRAAAALRGLGVGKGDVVMNLLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQAAAAGARVIVTEACAVEKVRAFAAERGVPVVAVDGEFEGCLRLRDLMDAAEPLAADEEVEPDDVVALPYSSGTTGMPKGVMLTHRSLVTSVAQQVDGENPNLYFSQDDVLLCVLPLFHIYSLNSVLLAGLRAGCAIVIMRKFESGALVELVRAHGVTVAPFVPPIVVEIAKSPRVCAADLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDTDTGASLGRNQPGEICIRGEQIMKGYLNDPEATKNTIDKDGWLHTGDIGYVDDNDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSMKDELAGEVPVAFIIRTEDSEISEDEIKQFVAKEVVFYKRINKVFFTDSIPKNPSGKILRKDLRARLAAGIPGGDSAQSKS >KQL11123 pep chromosome:Setaria_italica_v2.0:IV:30958972:30965074:1 gene:SETIT_006172mg transcript:KQL11123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPEDSAAAPTAVFRSKLPDIEIPGHLSLQAYCFERLPEVSSRPCLIDGQTGAVHTYADVELLSRRAAAALRGLGVGKGDVVMNLLRNCPEFAFVFLGAARLGAATTTANPFYTPHEIHRQAAAAGARVIVTEACAVEKVRAFAAERGVPVVAVDGEFEGCLRLRDLMDAAEPLAADEEVEPDDVVALPYSSGTTGMPKGVMLTHRSLVTSVAQQVDGENPNLYFSQDDVLLCVLPLFHIYSLNSVLLAGLRAGCAIVIMRKFESGALVELVRAHGVTVAPFVPPIVVEIAKSPRVCAADLASIRMVMSGAAPMGKDLQDAFMAKIPNAVLGQGYGMTEAGPVLAMCLAFAKEPFEVKSGSCGTVVRNAELKIVDTDTGASLGRNQPGEICIRGEQIMKGYLNDPEATKNTIDKDGWLHTGDIGYVDDNDEIFIVDRLKEIIKYKGFQVPPAELEALLITHPEIKDAAVVSYVDPLA >KQL12187 pep chromosome:Setaria_italica_v2.0:IV:39322961:39326008:1 gene:SETIT_006175mg transcript:KQL12187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPSPASLFTPLLSGSVAPARAANGHANNHRHHGDSDGAAAVSVCDDGGGDPFAFLSEDRPPQDRGPSPADPFRNGTPAWGGGVYAWARTLLLAPVAAVRLVLFGLAIAIGYAATWVALRGWADVQDRPREGAGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPRELAPIVVSNHVSYIEPIFFFYELFPTIVASESHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTNYAMARALNVLPTSYSYGDSMIMARAVEAGKVKRLCVGV >KQL12190 pep chromosome:Setaria_italica_v2.0:IV:39322961:39327597:1 gene:SETIT_006175mg transcript:KQL12190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPSPASLFTPLLSGSVAPARAANGHANNHRHHGDSDGAAAVSVCDDGGGDPFAFLSEDRPPQDRGPSPADPFRNGTPAWGGGVYAWARTLLLAPVAAVRLVLFGLAIAIGYAATWVALRGWADVQDRPREGAGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPRELAPIVVSNHVSYIEPIFFFYELFPTIVASESHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTNYAMARALNVLPTSYSYGDSMIMARAVEAGKANCSNYMVEMAWVKDMYGVSTAEAMELLEHFLAMNPDSDGRVKAQDFWAYFGLDCSPLCKKIFHYFDFSIKESITFRQFLVGCAHLRKQPLFQGACETAFEKCRDPETSEISRGQLADILRLSMLLPSDDGMQKLFKTFDVDGDEKISRDDFITCLGRFPFLIAFFAAPINGEVYIEIV >KQL12189 pep chromosome:Setaria_italica_v2.0:IV:39322961:39327597:1 gene:SETIT_006175mg transcript:KQL12189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPSPASLFTPLLSGSVAPARAANGHANNHRHHGDSDGAAAVSVCDDGGGDPFAFLSEDRPPQDRGPSPADPFRNGTPAWGGGVYAWARTLLLAPVAAVRLVLFGLAIAIGYAATWVALRGWADVQDRPREGAGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPRELAPIVVSNHVSYIEPIFFFYELFPTIVASESHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTNYAMARALNVLPTSYSYGDSMIMARAVEAGKANCSNYMVEMAWVKDMYGVSTAEAMELLEHFLAMNPDSDGRVKAQDFWAYFGLDCSPLCKKIFHYFDFSIKESITFRQFLVGCAHLRKQPLFQGACETAFEKCRDPETSEISRGQLADILRLSMLLPSDDGKLFKTFDVDGDEKISRDDFITCLGRFPFLIAFFAAPINGEVYIEIV >KQL12188 pep chromosome:Setaria_italica_v2.0:IV:39322961:39326456:1 gene:SETIT_006175mg transcript:KQL12188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSPSPASLFTPLLSGSVAPARAANGHANNHRHHGDSDGAAAVSVCDDGGGDPFAFLSEDRPPQDRGPSPADPFRNGTPAWGGGVYAWARTLLLAPVAAVRLVLFGLAIAIGYAATWVALRGWADVQDRPREGAGPMPAWRRRLMWITRISARCILFSFGYHWIRKKGRPAPRELAPIVVSNHVSYIEPIFFFYELFPTIVASESHDALPFVGTIIRAMQVIYVDRFSPASRKAAVNEIKRKAACNSFPRVLLFPEGTTTNGRFLISFQHGAFIPGYPVQPVVVRYPHVHFDQSWGNISLLKLMFKMFTQFHNFMEVEYLPVVYPPEIKQENALHFAENTNYAMARALNVLPTSYSYGDSMIMARAVEAGKANCSNYMVEMAWVKDMYGVSTAEAMELLEHFLAMNPDSE >KQL09621 pep chromosome:Setaria_italica_v2.0:IV:4628717:4630209:-1 gene:SETIT_0082181mg transcript:KQL09621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGEQGRVPVLLLKLNDPVPKRKPVIVFLHSSYKCKEWLRPLLEAYASRGYICVAIDSRYHGERASSETTYIDALKSAWRNGDTMPFIFDTVWDLIKLGDHLSEREDVDPCRIGITGESLGGMHAWFAAFVDTRYSVIVPIIGVQGFRWAIDNNKWQARANSIKPLFEEARIDLGKSEIDTEVVEKVWEKIAPGLDSQFDAPHSLRLIAPRPLLLLNG >KQL10600 pep chromosome:Setaria_italica_v2.0:IV:19871407:19871911:1 gene:SETIT_008907mg transcript:KQL10600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVLAVKLGNRLKKVAAKMISGKCSEVRFKKRSASISTHVRQVHRKHYIPLM >KQL11750 pep chromosome:Setaria_italica_v2.0:IV:36557647:36564506:1 gene:SETIT_008441mg transcript:KQL11750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGSLCYFCPGLTTRMKNLMKEGLGSCASMLRKTLFGCQSLWSFCTLIFEQITVYLEQRIYRDLRSEQYGFAKVVMLIYRRLLVSCKEQMPLFASSLLSIVHTLLDQKRQDDMRIIGCETLFDFAVNQVDGTYQFNLEGLVPRLCEIAQEVGEDERAKSLRAAALQSLSAMIWFMGELSHISSEFDNVVQVVLENYTPHKMQNDGQRKTLGILSFGPESVCTIWPSFLGRRPHSVASWNVCFGTLVTIVHGCQNMHLMLSLLIKHIEHKAMVKQPDMQLSLVEVATTLAEQSSAMASAATIGAISDLVRHLKRTFHITLGSKDLELVKWNEKFRKAIDECLVQLSKKVSDAGPVLDMMAVMLENIASTAVVARSTAAAVYRTAQIIASVPNLQYQNKVFPEALFHQLLLTMIHPDHEARIAAHRIFAIVLVPSSVSPSIQASPSGQAKKHDMQRTLSRAVSVFSSSAAIFEKLKKDKFSDNSQGESKDSSLHNIGEGTGQHKSQILPVSQSRKRSMKVPNFSMKRGPSMAIRAPSVSIRAPSISLRGPSMSLRAPSMSVKEDQSSSSKSDEETESVLVKLSTRQITLLLSSIWAQAISPENTPANYEAIAHTYSLLLLFSGSKASTFEALTQSFQVAFALRSHSLTEADSLAPSRRRSLFTLSTTMIIFSSRAYNVLPLIPICKQMINDRAADPFLHLVDESKLMAVKDSSDDPSKIYGSPEDNANALRSLSEIEVSESQSRECIVSTIMNNIANMMDAELHNVRSQLLSDFTPDDMCPMSTQFFEAHTDNPSSGSHETGHHQEAMLIDLGNDHDAFGEASESTEACASSVPASDLLSIDQLLETVGADAAPQAGAAPLSTDMAFKDMTSHCEALTIGKQQKMSAFMSFQQSVQAAGLPSSQPNEMELALFQGPQLPQTAARSTNPFADDNLQGFPQLTNAPNGENPHPPPAQDFQQDFLKLPAASPYDNFLRAAGC >KQL11212 pep chromosome:Setaria_italica_v2.0:IV:31735502:31740999:-1 gene:SETIT_008512mg transcript:KQL11212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNKFLAGLRVLAVDDDCTSLSVLKRLLQLCNYNNVTTVMEAETALDMLRERKDRDDQFDLVISDVFMPDIDGFKLLELIGLEMDIPVIMLSANDEMDIMMKGIKNGACDYLVKPACMDHIRNIWKHVVRKDRTDLRNSVTDGKKSAHHTKHSKKNKKDGDGAEKDKEGTSTQKRQRIKWSGQLHRKFVEAINQIGMDRAVPKNILEVMNVDGLSRDNVASHLQKYRIYLRKLSEGTLSHSNPFVDEPQAWLSDTTANMNGPNSSQDHLELVQPSPSSIGTSSSSNGFARISCPSAFGTHNLQQDTEPVGNGVNLPTNVVPVSVPVQDVSRSIFSGRSYGTVSIGGLSSASQCFPSGPSSSSSGNISNGVVFNTSRPFSSGTSGNSFANISNDSSPSTTSMHFPSTRSCSSYASILRRKMLDANRGIPFDADSFFEEIAGGEMPALPSYLALQSPELANQHSIQIQPSSTGLFNQVAPSSHHLPSHSSELVNQPSIQIQPSPTGHFNKVARESHQFAGLCNPSNSWSVGVQSRFPDVGHSAGTSIINSSQGSSARINQTSRFVASSGDVPTFGSEYQNQMAGLSGRTTPMLGFSGQVAPFNFGISAMSVGSSALGSSSSVGPALANHHIGNSFLPTQMLSDRGSSGNFLGGGTVDQQAIGDQVSNNNEHPTGRSEAQDGAMIDDLDDFLADWVKQIHSSNDKSLIAVTSNCR >KQL10042 pep chromosome:Setaria_italica_v2.0:IV:8798559:8799752:-1 gene:SETIT_008716mg transcript:KQL10042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRFFAYDPYDYYYAAPYHYPYPYYQYQQPALSRGVGGFFTDAAPEAVNVAPRPRVESSRPVSIPVHFVGSDPEPERGTARMPAAAAVPRKRAPSAEEAAVRVQAAARGFLARRSVRAVRDVERETDEVAGKIAREAEVLRGDARARIAVGEALMRLLLRLDAVRGAREYRKKVTKRDAVDALEAKPAPAQVAVAERDESEVTAEMADEGAVASELPDVVEHSGMIEEEVVAGNRADGEPEEAEETGQAQGGANLDGHKLEGSDAEGEDEWEMVTDEPVPATETPAPRPESPRPQEPAGTEIRRAAEAAAAAGDGGLDARKVMEMVATLCERNAQQCAVIGALAERVDALERALRRAEDAERRRRRGKKAKKEGRGSNHSKCYSDCGTEI >KQL12269 pep chromosome:Setaria_italica_v2.0:IV:39742038:39743872:1 gene:SETIT_006877mg transcript:KQL12269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDEQAFLEEILSLRRDAWDCNAMSDFFSPAAACTAAMDCSFQDRHQPPPTVSVLPTFTASYDQPQPQPHPHPAAPGFDCLSEVYGAAAAFGGNNAADYGAEMGFLDVIEPKAALAEGGLGVCKVEPGLAEGGGAFGAGAAPPAPPASKKKRVEGMPSKNLMAERRRRKRLNDRLSMLRSVVPKISKMDRTSILGDTIDYMKELLERIKLLQEEIDEQQQETPGVLSVFRELNPNEMVARNTPKFDVERKEGGETRVEIYCAAKPGLLLSTVSTLENLGLDIQQCVVSCFNDFGMHASCSEMQRERISADAIKQELFKNAGYGGGCL >KQL11770 pep chromosome:Setaria_italica_v2.0:IV:36668815:36672842:1 gene:SETIT_006694mg transcript:KQL11770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIIRARPVPSKNQKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRDRPIHSSPIFAGRDIRSEKVIWGTISMVDAEKRLLAHALQDPENQHFVLLSESCVPLHNFDYIYSYLMETNVSFVDCFDDPGPHGAGRYSDHMLPEIVKRDWRKGAQWFTVKRQHAILILADTLYYGKFKRYCKPGNEWHNCYSDEHYLPTLFNMADPTGIANWSVTHVDWSEGKWHPKVYRAVDTSFELLKNISSIDESVHVSSNAKHVAQRRPCMWNGMKRPCYLFARKFYPEALDNLMNIFSNYTII >KQL11769 pep chromosome:Setaria_italica_v2.0:IV:36668815:36672842:1 gene:SETIT_006694mg transcript:KQL11769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSRSSSRRPLWFVVLIAFVCAVAIGAYLYTPRHYTSCYLVPSEGCNTRPPPEPARVYTDDEIAARAIMRDIIRARPVPSKNQKIAFMFLTPSSLPFEKLWEKFFMGHEDRYTIYVHASRDRPIHSSPIFAGRDIRSEKVIWGTISMVDAEKRLLAHALQDPENQHFVLLSESCVPLHNFDYIYSYLMETNVSFVDCFDDPGPHGAGRYSDHMLPEIVKRDWRKGAQWFTVKRQHAILILADTLYYGKFKRYCKPGNEWHNCYSDEHYLPTLFNMADPTGIANWSVTHVDWSEGKWHPKVYRAVDTSFELLKNISSIDESVHVSSNAKHVAQRRPCMWNGMKRPCYLFARKFYPEALDNLMNIFSNYTII >KQL12019 pep chromosome:Setaria_italica_v2.0:IV:38234036:38234522:-1 gene:SETIT_009061mg transcript:KQL12019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVQPRAVDEHAETQGQEADRRAREATVLGRDQL >KQL08915 pep chromosome:Setaria_italica_v2.0:IV:274408:281976:1 gene:SETIT_005771mg transcript:KQL08915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLKRKAQDGPAAADNASPLKAARSDATEPPARTTLAAAEPVACVHDVSYPEGYDASASASRLLAGGAEGSEPAKKFPFQLDPFQAEAIRCLDNGESVMVSAHTSAGKTVVALYAIAMSLRNQQRVIYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIEPNASCLVMTTEIWRSMQYKGSEVMREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSRFVFLSATVPNAKEFADWVAKVHKQPCHIVYTDYRPTPLQHYVFPSGGDGLYLVVDEKGKFREDSFQKALNALVPASDSDKKKENGKWQKAIIAGKSSEESDIFKMVKMIIQRQYDPVILFSFSKRECEFLAMQMAKMDLNEDDEKANIETIFWSAMDLLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDRFRWLSSGEYIQMSGRAGRRGIDQRGICILMVDEKMEPSTAKMMLKGSADSLNSAFHLSYNMLLNQMRSEDGDPEKLLRYSFYQFQADRALPDLEKQIKELELERNSMVIEEEESLKDYYELLQQYKSLKKDVRDIVLSPKHVLPFLQPGRLVRLEYSTDEPATFSIDENITWGIIINFEKVKSHGEDRRPEDSDYTVDVLTRCSVSKDSSGKKAMKIVPLKERGEPVVISLPLSQVDGLSSIRMYIPKDLLPVEARENTLRKVEEVLSRFAKDGVPLLDPEEDMKVQSKSFRKATRRIEALESLFEKHDIRSSPHIQQKLKVLHAKQELSAKIKSIKKTMRSSTALAFKDELKARKRVLRRLGYVTSDDVVEVKGKVACEISSADELTLTELMFSGTLKDATVEQMVALLSCFVWQEKLQDAPKPREELDLLFYQLQETARRVANLQLECKIQIDVESFVNSFRPDIMEAVYSWAKGSKFYQIMEMTQVFEGSLIRAIRRLEEVLQQLILASKSIGETELEAKLEEAVSKIKRDIVFAASLYL >KQL09109 pep chromosome:Setaria_italica_v2.0:IV:1240707:1247249:1 gene:SETIT_005968mg transcript:KQL09109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFGKKLMADQIPEWKGYYINYKLMKKKVKQYGQQIQLGEKDRRRVLKDFSKMLDDQIEKIVLFLLEQQGMLASKIEKLGKQRAILQEQPDISGIAELREAYREVGLDLIKLLKFVDLNATGIRKILKKFDKRFGYRFTDYYVTSRSNHPYSQLQQVFKHVGVGAVVGALSRNLAELQERQGSYLSIYDQPSSALKDPIIDMINSSVDKLTRSTNFLRFLGQHAMIVDEESPSTAGEEEIEDQKYHFMSLMLNLVNTFLYMVNTYIIVPTADDYSVSLGAASTVCGVVIGSMAVAQIFSSVYFSAWSNKSYFKPLIFSSIVLFLGNVCYAIAYDMKSLTVLIIGRLLCGLGSARAVNRRYISDCVPARIRMQASAGFVSASALGMACGPALAGLLQWKFKIYMVTFNQSTLPGWVMAVAWLLYLVWLSISFKEPNRATEVNDATQNPASGQRVDIGQLENGLAQPLLTDAENKQNGDEDEEIDDSEEASEDSRKPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVITNHYFSWNTSEVAIFLAILGLTVLPVNAVVGTYISNMFEDRQLLMVSQITLLVGIIFSFKVTSTYSIVQYVASALITFVSAEVLEGVNLSLLSSVMSSRLSRGTYNGGLLSTEAGTLARVVADCTITAAGYLGVGKLLNITLLPSLVICATSIACTFLTYNSLF >KQL09426 pep chromosome:Setaria_italica_v2.0:IV:3283491:3284678:-1 gene:SETIT_008637mg transcript:KQL09426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAGDVPIIDLSKLRGTSSERSAAVQDVGRVCQEKGFFQVINHGISTDILRDALAAAAAFFSLPMDDRSALASDDITLPVRYVTSSSALDGGEVKVHRHVLKQYSYPLEKWIGNWPAKPSQYREKMGKYAREVRNKLVSDLMEAITESLGLGRYYLSSQMENGFEMMLLSLYPPPPVSSGSDDLRCGDHTDYTFISVILSTHEGLEELDRGTGAWLAAPHRRGAEGGSPPPPPSLTVHVGDCLEAMSNGRYRAAVHRVLWGRGDTDTSRVSITSLTNWAMDESVEVAKELVDDRHPSMYKGSTLRDYTRFLLAGRASGSTFLESLKIN >KQL09961 pep chromosome:Setaria_italica_v2.0:IV:7735340:7736030:-1 gene:SETIT_007436mg transcript:KQL09961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDGKPAALWGPRAWADSRPWRWRHHRPPPTMAPAARSAKGGALTYLHASDWGARWRRRPWPVAGRRRPAAQPSSTNNAMRGARTGEASREQHRRGEDRAARNNRELEIGWLERKRRKWRGKGERGVFPLNEGRLSCWVMKLIHFWE >KQL11878 pep chromosome:Setaria_italica_v2.0:IV:37370713:37375025:-1 gene:SETIT_0060842mg transcript:KQL11878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMLSFVECMLGLDTDRLGDLIGTTLFEKVVDLLTELDVNITWEDILQEEHNKGIFDMELEDLDDDDDNFGQEGTKALFGGNVCAEKLDGLMVVVCEHLKSCNRDRLFKEFGILKTIFRKSVLKVHKSKFAQFIMFYACSLDPEICGVDFALFLTDIFTKKEDDPIARMSAVSYVGSYLSRARFISADTVVAVLKRLVEWCIDYIKRDRDPVNQAKATKPKDHQIFYASCQAVMYVLCFRLRSIMDYPNLKSQLFQMPIESILMDTLEPLKVCLPSIVNEFLRQSRAARLFNASVDLPLEDIVESDLSKAFGGPNRLDMFFPFDPYLLRESDRYIRPNFEFWSLVKTTYSDGEDDELGDLDAPGMNVDSLDDHVEIDLNDDEGIEYSMNKMSITPHRSFYHPMAMSSDSGLSMPARIRPSVSPPS >KQL11879 pep chromosome:Setaria_italica_v2.0:IV:37370274:37375025:-1 gene:SETIT_0060842mg transcript:KQL11879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMLSFVECMLGLDTDRLGDLIGTTLFEKVVDLLTELDVNITWEDILQEEHNKGIFDMELEDLDDDDDNFGQEGTKALFGGNVCAEKLDGLMVVVCEHLKSCNRDRLFKEFGILKTIFRKSVLKVHKSKFAQFIMFYACSLDPEICGVDFALFLTDIFTKKEDDPIARMSAVSYVGSYLSRARFISADTVVAVLKRLVEWCIDYIKRDRDPVNQAKATKPKDHQIFYASCQAVMYVLCFRLRSIMDYPNLKSQLFQMPIESILMDTLEPLKVCLPSIVNEFLRQSRAARLFNASVDLPLEDIVESDLSKAFGGPNRLDMFFPFDPYLLRESDRYIRPNFEFWSLVKTTYSDGEDDELGDLDAPGMNVDSLDDHVEIDLNDDEGIEYSMNKMSITPHRSFYHPMAMSSDSGLSMPARIRPSVSPPS >KQL10793 pep chromosome:Setaria_italica_v2.0:IV:25840145:25844032:-1 gene:SETIT_008159mg transcript:KQL10793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EISILLLLQKMDSHNIESSNANGCDTRPLKKAKCEQLNDCDLSPSPPSSTSLASSCDNIESSNVYDLDARPLKEEKSEQMNDLDISLSPPSPTTLASSSPEKDSYIIDSIVAEKVACSEWIDFESDNDRRILLDDEKHQDQHEQYKVDQTYDYLPQDYEMTNLDYCAQITIETSLKDDILVKIDDIFVRQAQFSCLLDSEKFLNDDVTSYLCCIKDQAHLESRNDVKFYFENPFISVMLKRDGKIGVGEDGNHITKILTYSYSNKIKEKHWYLAIINTQKCEIQVLDSLCWDFNRGDLADTLQGLQYHLNIIGRQQNLISHNWKDLQPMQKDGFKLAGILLCWKTNTTQTTPQNISLLGSSDGQKEPKASDSLSEETKYQSLMSVLSKISVHELVGGFCDYIKSINCPEILEKVWVRNSKPYSISLTLRKLQEILKEDLPMDRDCFNLVIQKFMFDDIQMMNKTKGTISKHYLDTRFWIITNFGRHPNFHKKIDVEQLAETVSSWPGVNYSISRCKLILIPIVQFNKTFILLILNQDTRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWNEDVFLWRQIILSDVPIENRELSGYLVSLFMCIWKDEELQLPVLKDGYDLRKQFMAQLLTYKENECEDNMPAGVRDFLRCINATQS >KQL09334 pep chromosome:Setaria_italica_v2.0:IV:2589712:2592232:1 gene:SETIT_006863mg transcript:KQL09334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGSTPHSILSTHAATPSRRAATLLSLGEASSSYRGPRVGEHLRRPAPRSEQRGIRRRPRVRGEPNPRPRPGHRRPPADEASRRRHSSVNRRCAAAVLPTTGDPSVQAELMATSEPVQESLMLDYPQPSKPRVLLAASGSVAAIKFESLCRSFSEWADVRAVATKSSLHFVDRSSLPSDIVLYTDDDEWSTWKKIGDEVLHIELRKWADILVIAPLSANTLAKIASGLCDNLLTCIVRAWDYSKPLFVAPAMNTLMWNNPFTERHLQTINQLGIILIPPVTKRLACGDYGNGAMAETSQIYTSVRLACKTQPHDSSSSVVIPVSNNQPSS >KQL12018 pep chromosome:Setaria_italica_v2.0:IV:38223145:38224012:-1 gene:SETIT_008064mg transcript:KQL12018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSARPCHYAMLGVARDASAADIRSAYRQLVLRWHPDKVQVQGDDPREKEEAAARFRQIHQAYEVLSDASRRAAYDAVAFRPVRDRAKNAFPSRSTMNDELTRDLARSLGKMKELVERMKQEPKPTREELIALMKEMQDAGPTAAARNAVLRGRQSAASSPASSSTSTSCRYCPFSRKG >KQL11542 pep chromosome:Setaria_italica_v2.0:IV:34627384:34634160:-1 gene:SETIT_005792mg transcript:KQL11542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPPPQARHQQGPAGKGRKQPLQSSVAQPKAEPAAPAAVVPPEGGKRCGGGGGGGGRRRGGRGRAKAAVAAAEPRALQAPAHAPPPRTVIGPPVPSSKGLSFCRRPGFGTIGARCVVKANHFLAELPDKDLTQYDVKITPEVSSRAVNRAIIAELVRLYRASDLGMRLPAYDGRKNLYTAGTLPFDAREFVVRLTDEDDGTGVPPREREFRVAIKFAARADLHHLRQFIAGRQADAPQEALQVLDIVLRELANQKYVSIGRSFYSPDIRKPQRLGDGLQSWCGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVAQILGKDVISRPLSDANRIKIKKALRGVKVEVTHRGNVRRKYRISGLTTQPTHELIFPIDDQMNMKSVVEYFKEMYGFTIQHRHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNEKQITSLLKVTCQRPREQEMDILQTVQQNGYEQDPYAKEFGINISEKLTSVEARVLPAPWLKYHDTGKEKECLPQVGQWNMVNKKVINGCKVSHWACINFSRSVPEATARGFCQELSQMCQISGMEFNSEPVIPIYSARPDQVVKALKHVYNIALNKLKGKELELLLVILPDNNGPLYGDIKRICETDLGLISQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISWRIPLVSDIPTIIFGADVTHPETGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPQRGTVTGGMIRELLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHKDRSSMDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADEMQTLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPEMSENQTSKSSNGMNGASVKPLPAVKEKVKRVMFYC >KQL12320 pep chromosome:Setaria_italica_v2.0:IV:39993183:40000900:1 gene:SETIT_005716mg transcript:KQL12320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAFDAYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQIWTYADKNRTGFLGREDFYNSLKLVTVAQSGRELTPDIVRSALFGPAAAKIPAPRINISTAAPQTNSAASPPNATQALGSGQQNHAIRGPQVLPGASSNPQVRPPQPPNANAVPPAQGIASRPPVGGGPSGLNHTSSTTTNLATDWFSGKRSASPLGATSQAPTRGISPQANLNSAGISAQNSTPLPGYNSQTAGATTPANANSTNLNMMPSRPSVNDSKALVPLGNGLSSNSTFGVDPFSATPQAEQDSSLHPNVPNNLPSSTAPSSAAGPHHPPKPMQAGPAQVISPLPSHTSQLPHSQPAPRQQQFNSIPSTPGPVSANIPGGIPSNPNHSQAPWPKITQVDVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRDILRKVWDLSDQDKDGMLSFKEFCVAVYLMERHREHRPLPDVLPDGIWAEGTSLPSTGQFAGNPSGPPSHASTGLANRGMQGPHHGMLPPSMKPPSRRPLSLDADDTVKAEQQKPKIPVLEEHLVGQLSKEEQGTLEAKFKEASDADKKVQELEKEIQDSREKTEFYRTKMQELILYKSRCENRLNEVSESMSADKREVQSLAAKYDERCKKVGDVASKLTMDEATFREIQEKKLEIYNAIVKLQKGDESDEKLQERANKIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAATWDEEWDRFGDEGFSVIKELTVEVEPPIVPKSEPTVEDVKVSANGASTEKEDKKGDKSTAAAVEQAVEPEATPSNSKPESVKSPPVSPVKNREDGSTEKQSGTNDISPRATESVSNRGATNSSAHGDNTYDGHSWAPSFDHGIDNDSLWNFGHKDGENGDSDLFFGPQGLPPIRTGGSSSSSLFAKEQKPSFDSVPGTPMEKPFFDSIPGTPVQKSVFDYSVPSTPMQNSVFDYSVPSTPMQKSLFDSVPGTPVQRSVFDSVPSTPMQKSVFDSVPSTPMQKPFFDSFPSTPMQRSLFDSGPSRAESPTAGSMYGNEQKGFFDSSVPSTPMYNSSFTPRYSEAGDDSFDTMSQYSSFGMHDNNSFGQRDSFSRFDSFRSNADNGGNDTFARFDSFRSISDQGGGNSFMRYDSMNSTSDHDRTDTFARFDSMKSSDYNNRGYSFDDEDPFGTGPFKPTETSSSPTKHGTDAWSAF >KQL12321 pep chromosome:Setaria_italica_v2.0:IV:39993183:40001443:1 gene:SETIT_005716mg transcript:KQL12321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAFDAYFRAADLDRDGRISGQEAVAFFKGSGLPQPVLAQIWTYADKNRTGFLGREDFYNSLKLVTVAQSGRELTPDIVRSALFGPAAAKIPAPRINISTAAPQTNSAASPPNATQALGSGQQNHAIRGPQVLPGASSNPQVRPPQPPNANAVPPAQGIASRPPVGGGPSGLNHTSSTTTNLATDWFSGKRSASPLGATSQAPTRGISPQANLNSAGISAQNSTPLPGYNSQTAGATTPANANSTNLNMMPSRPSVNDSKALVPLGNGLSSNSTFGVDPFSATPQAEQDSSLHPNVPNNLPSSTAPSSAAGPHHPPKPMQAGPAQVISPLPSHTSQLPHSQPAPRQQQFNSIPSTPGPVSANIPGGIPSNPNHSQAPWPKITQVDVRKYMIVFIKVDRDRDGKITGEEARNLFLSWRLPRDILRKVWDLSDQDKDGMLSFKEFCVAVYLMERHREHRPLPDVLPDGIWAEGTSLPSTGQFAGNPSGPPSHASTANRGMQGPHHGMLPPSMKPPSRRPLSLDADDTVKAEQQKPKIPVLEEHLVGQLSKEEQGTLEAKFKEASDADKKVQELEKEIQDSREKTEFYRTKMQELILYKSRCENRLNEVSESMSADKREVQSLAAKYDERCKKVGDVASKLTMDEATFREIQEKKLEIYNAIVKLQKGDESDEKLQERANKIQSDLEELVKSLNEQCKRYGLRAKPTTLVELPFGWQPGIQETAATWDEEWDRFGDEGFSVIKELTVEVEPPIVPKSEPTVEDVKVSANGASTEKEDKKGDKSTAAAVEQAVEPEATPSNSKPESVKSPPVSPVKNREDGSTEKQSGTNDISPRATESVSNRGATNSSAHGDNTYDGHSWAPSFDHGIDNDSLWNFGHKDGENGDSDLFFGPQGLPPIRTGGSSSSSLFAKEQKPSFDSVPGTPMEKPFFDSIPGTPVQKSVFDYSVPSTPMQNSVFDYSVPSTPMQKSLFDSVPGTPVQRSVFDSVPSTPMQKSVFDSVPSTPMQKPFFDSFPSTPMQRSLFDSGPSRAESPTAGSMYGNEQKGFFDSSVPSTPMYNSSFTPRYSEAGDDSFDTMSQYSSFGMHDNNSFGQRDSFSRFDSFRSNADNGGNDTFARFDSFRSISDQGGGNSFMRYDSMNSTSDHDRTDTFARFDSMKSSDYNNRGYSFDDEDPFGTGPFKPTETSSSPTKHGTDAWSAF >KQL09676 pep chromosome:Setaria_italica_v2.0:IV:5111775:5112920:1 gene:SETIT_008801mg transcript:KQL09676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASRPTSRPRTRTASTSALETARGTHAFKIAGYSLHRGLGVGKFVRSATFAVGGHDWSICFYPDGWDSSEDHADWVAVFLELMSTKDAKAVVRASFDFRLVDQATGRSTVLVNQVTPLSFTSKAAAVGAPCIQKRKNLEASTFLRDDCLVIECDLTVIVNEPRVVEEEAAAVSRPQVPPPDLSENLGRLLEEKRGSDVAFKVGDEVFPVHKIILAARSPVFNAELFGPMAAAAEKDTAAGGQLCIAVEEMHPDVFRALLHFVYTDTMPDMGEFDDADDDSKEMTKHLLVAADRYAMERLKLMCEDVLCQSLHVDNVAAMLALADQHQCRALGDACAEFIASSNGIGNVVASQGYAHLKRVCPAVLVDMLERVAKCLQSYM >KQL09945 pep chromosome:Setaria_italica_v2.0:IV:7621089:7625182:1 gene:SETIT_006378mg transcript:KQL09945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWDGSGTSRARVGASGSGSLLRVPRRLAGCHAARWRGRGKCGVGSRLVARPTVVWRRVRGWTDSRPIQVFLSRNGPSNTAEWGGETVRVTAIIVAAGYLYPLPVSTPIPCISAHFYTTPLLPLPGRAFHSTSTGPLSRDPASHPQPPPMATLKVPATVPAVADDCEQLRKAFQGWGTNEALIISILGHRDAAQRRAIRRAYAETYGEELLRSITDEISGDFERAVILWTLDPAERDAVLANEAARKWQPGNRVLVEIACTRGSAQLFAVRQAYHERFKRSLEEDIAAHVTGDFRKLLVPLVSAYRYDGPEVNTRLAHSEAKMLHEKIHHKAYSDDEIIRILTTRSKAQLLATFNYYNDAFGHPINKDLKADPKDEYLKTLRAIIRCLTCPDRYFEKVVRQAIAGLGTDESSLTRVITTRAEVDLKHIKEAYQKRNSVPLERAVAGDTSGDYESMLLALLGQE >KQL10342 pep chromosome:Setaria_italica_v2.0:IV:13233628:13236323:1 gene:SETIT_008787mg transcript:KQL10342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSAFLRTTNVAEGNAEVLKAYLELIRDLAYDTEDCLEEFMVSIKHPSMLQQCFNLGARHRIAIKIRAIKQRIQELNQMRKMYNLIQLTDTISDDMKGDFQVTRNFAALYIQEAQLVGFQRPKVELLEMTSSKTDGRKVVSIVGMGGLGKTTLPKKVYDSKELHERFVNFAWITVSQSFSIMEILKDLIKQLLGENSLEDLLKKHQGVTLLGKHCTDLLREQLEGKRYFVVLDDLWTIEAWNSIQFAFPEHSSELGCVVVTTRITEVAQVCNEDKILDEILKKCGGLPLAIVTIGGLLANKGINEWKSLRDQLPSQLARGDPSVEALKQVVTLSYNHLPSHLKPCFLYLNLFPEDFEISRRHLVNRWIAEGFISIGTAQATLEDFADNYFYELISRSMIQPSKLDVQGNVKTCRVHDIVHDIAVTISRQENHVLLVDEQTRTAALTKESIRHVSCFGTRKWNGMDLSRVRSFTLFSEPARGPIAPLCLPQLKMLRVLDLKNARFKARQQDIEIIGLLLHLKYLHFHSESSVYTLPGSMGNLHGLQTLDIRKSNFASLPTEITKLQDLRSLRCSRIRRAYFDISAPKEFLRDVFSLINLCGGAPKEFKGFSSCWSDSSGIRVPRGMGSLRELQILEKVDIERTSRKAIKELGELTQLRKLVVRGRGDSNKKCKAFCEAAEKLSSLRSLNVGTKEPLEEAGVLDMLVSFTSPLPCLERLKLKGFLQQVPAWVGECVSLVKIDLKCCKLKELGALAQLPNLIQLRLLEDAYDAEKLVFCRDAFPKLRILSLHYYNCALREVTFEQSTSPNMETIDIKYCDLASGINGIENLPKLKEVYIQGGILAKQDMLKEEAGRHRNHPVLQIQG >KQL10684 pep chromosome:Setaria_italica_v2.0:IV:23158447:23160822:1 gene:SETIT_007886mg transcript:KQL10684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFGVLGTRLGLDGGGGGGELPPGFRFHPTDEELITYYLLRKAVDGTFCGRAIAEIDLNKCEPWELPDKAKMGEKEWYFYSLRDRKYPTGLRTNRATVAGYWKATGKDREIRSGRTGALVGMKKTLVFYRGRAPKGQKTHWVMHEYRLEGTYAYHYLPNSTRDEWVIARVFQKPGEVPPARKHRLGLSSAGGESCFSDSTSASIGGGGGGASASSAPRPLLPDASSLFAAAAAASADADSGSYCGAASGANGNTVVTGRELVPCFSTTTGPLDAALGIGQPYNPAPLAFEPPPAAFFSNLRSMPDNLQLPLFLSGGLSTGASTTLGPLGGGGFHWPAAGMEVKVEGRTAPQMAVGPGQLDGAFGWGF >KQL10853 pep chromosome:Setaria_italica_v2.0:IV:27024746:27026057:1 gene:SETIT_006735mg transcript:KQL10853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNPKPLLLVISLCISALSPCTAATGGKPLVTAVTKDAATSLYTAPLKDGRPLVLDLSGPVISLTTCSSKNGTVTTLSSNATNGANPLFPVSFSAVASCAPGQPNLPAGAVGVAGLAPSTQSFLAQVARTQKVANKLALCLPSDGKITTGNSVGVAIYGGGPLIFPDRGDFTTMLAGTAPLVGFNGSPGYFISATGIAVEQSLAGNPGTLVVGLSTTAPYTALRPDVYDPFMKAFDQAATGSNFPWMTRVASVAPFERCYDSTKLPPTRLGYAVPQIEFVLKSGSNYSVVGGNSMVQVNVNTACLGFVKASGQAPAAIIGGFQMENRLLVLDAEKKTLGFTTFLNGVGLSCSNFNFTLAA >KQL11145 pep chromosome:Setaria_italica_v2.0:IV:31123594:31124154:1 gene:SETIT_007919mg transcript:KQL11145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKRDLLKNLLLGLRARADACSFDAMSIQERRRAVKCSADVAMAAARGAAGGGGRARWPKAILAAAGAAGAVSSGSSSPGACKARRSRCRRVVRRCVGAKRMRRGCCAAAGSSAAAAAVTSSDVARRLVRRRTMALRKVIPGGSGARDEAALLREAMDYVVHLRAQVDVLRRVSAAVQRARDPPPS >KQL11815 pep chromosome:Setaria_italica_v2.0:IV:36955704:36958320:1 gene:SETIT_007115mg transcript:KQL11815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVIGPSGSGKSTLLRALNRLWEPAPGAVLLDGADIRGIDVLALRRRVGMLFQLPAMFDGTVADNVRYGPQLRGKKLTEAEVKNLLSMADLDPALSSKPASELSVGQAQRVALARTLANDPEACPHVSMCTLTCFLFLAPIILHGGLADETVATSIAAGAAAGRADERAGPHLHAEHRGGDRAAEEGEGAHHGARLPQREADPAHRRPGVPRRLRRDRRGARAVRAVRRQAPHGQALLGAQQLMARPSSPFAPSKRKEYM >KQL09132 pep chromosome:Setaria_italica_v2.0:IV:1417309:1419116:1 gene:SETIT_008185mg transcript:KQL09132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSGRDDDAPDLVCQIDCVHGMVDALSSVRWKRHQDAVMELSAHGIVLTVEESGCLQSKVFLKRELFVEYDYAGDGRERFGLSLGLFVDCLNIFSAPGHASAVEIRYPGPDMQLLLKSVDSPDACLYAEIRTRIPDTISWDYNFEHDGNTPVTFTVKSAVLKETIDDLEWPGSSIQIRMQPDPPTVVFKGEGHGDLQVEFPYYANTDLLIVFQCDREVSYRYKYKFLRATTSNIPSSVMKENRRTKVTIGRGGMLKIQHLISLARPGMPYFRNIGGGTEQTSRIAHIEFFVKPEEDDNDA >KQL09304 pep chromosome:Setaria_italica_v2.0:IV:2453442:2453978:1 gene:SETIT_008049mg transcript:KQL09304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAALPADRRASAFRQFPRGCGRHHNAAAGLPPRHPVPKTAAATKPPLPNPSLARVANKSIPCISAVRRYPPGCGRGVAVSKPLASLGEAGSEADAGKPTAVEGNVDAKARACDREVMMPASALDLGEFNSNGGMQNEGGGDAGAQEEAGGKPWVVTGLMAVPFLPWAQHGRRSQRLNA >KQL09958 pep chromosome:Setaria_italica_v2.0:IV:7725942:7728150:1 gene:SETIT_008439mg transcript:KQL09958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLQIKRIENTTNRQVTFSKRRNGLIKKAYELSVLCDIDIALIMFSPSNRLCHFSGRRRIEDVITKYINLPEQDRGGMFEPDPLSLASMNEVEATEKFLMETLARVEERKKYLLCNHMGPFDPSPSDMQHVFGLPPPPPAPPQQQGDMGVGAFGGVGGDVGSWFADGASIFAGQDPILAFREQVMFDSMRRDAAGVDPTGGMAAMCHVDQHGGPSEDWQQAYMSTDFLSALIPSTPFPLDDDQVTSRDDELDKPSTARFSVHELEAVIQDAMVDPVLASPPPMVPPPPPPPHVHEPPVEAAGSCSSVPPGGDCAAAAAAAGQEHGGLPGGAVNMG >KQL09682 pep chromosome:Setaria_italica_v2.0:IV:5174145:5174357:-1 gene:SETIT_008324mg transcript:KQL09682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFSECVPYVVGDEPAVTPNCCTGLGNIWDMRGTAAQRDTLCACLLLELKAAGGGRMDPATPPGSPPRVTS >KQL11274 pep chromosome:Setaria_italica_v2.0:IV:32197012:32199553:-1 gene:SETIT_006253mg transcript:KQL11274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHYYLYLALALASLLVVIASKRRRAPAAHGHGLRPPPGPWQLPVVGSMHHLAGQLPHRAMRDLARRYGPVMLLRIGEVPTLVVSSREAAREVMKTHDTAFASRPLSTTVRVLTNGGRDIIFAPYGEHWRQLRKVAITELLSARRVLSFRAIREEEVAAALRACAAAAAAPGGGRPVEMRARLSALVADATARAVLGDRCRDRDAFLRALDRSLELSAGFNPADLWPSSRLVGWLSGAVRRAEQCRDTVYGILDGIISEHLEMMDSAGGAGEAEDLLDVLLKIQRDGSLQIPLDMDVLKAVIFDIFGAGSETSATTLEWALAELVRNPKAMARATAEVRGAFAAHGSVPERALGELRYLHLVIRETLRLHAPVPLLLPRECREPCCVLGHDVPAGATVLVNVWALGRDERYWPGGDPEEFRPERFEAEVGGVEFKGADFELLPFGAGRRMCPGMAFGLANVELALASLLFHFDWEAPGIADPAELDMAEAFGITARRKADLLLRPILRVPVPGV >KQL09403 pep chromosome:Setaria_italica_v2.0:IV:3155134:3157559:1 gene:SETIT_007276mg transcript:KQL09403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEFFVLDREQETSTPKRARVTYPRKKNTIHARMGLNSTPLEYRRSTLRPRRLRTQERRRREATPASSSAAPEGGCGVYPQPARALFSGGGSGRKQAATMVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVRVFMYPSSI >KQL09402 pep chromosome:Setaria_italica_v2.0:IV:3155134:3157559:1 gene:SETIT_007276mg transcript:KQL09402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEFFVLDREQETSTPKRARVTYPRKKNTIHARMGLNSTPLEYRRSTLRPRRLRTQERRRREATPASSSAAPEGGCGVYPQPARALFSGGGSGRKQAATMVKGRTGQRVRLYVRGTILGYKRSKSNQYETTSLVQIEGVNTKEDVAWYAGKRMAYIYKAKTKSSETRYRCIWGKVTRPHGNSGVVRAKFKSNLPPESMGRKVRVFMYPSSI >KQL10535 pep chromosome:Setaria_italica_v2.0:IV:17622011:17624455:-1 gene:SETIT_006605mg transcript:KQL10535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALDTLCGQAYGARQYNLLGIYKQRAMLLLTIVSIPLAVVWFYTGEILLLFGQDADIAAEAGAYARWMIPAIFGYGLMQCHVRFLQTQNIVQPVMASAGAAAACHLVVCWLLVYGLGMGSKGAALSNAISYWLNVAVLAVYVRISSACKETWTGFSTEAFRDALSFFRLAIPSALMVCLEMWSFELVVLLSGLLPNPKLETSVLSISLNTSSFVWMIPFGLSCAISTRVSNELGAGRPQAARLAVRVVLFLAVAEGLIVGLILVCVRYIWGHAYSDVEEVVVYVARMMLVIAVTIFFDGIMTVLSGVARGCGWQKIGACINLGAYYIVGIPSAYLLGFVLCLGGMGLWMGINCGLLVQLLLLMIVTMCTNWDKEAAKAKDRVFSPLSSNFDT >KQL10536 pep chromosome:Setaria_italica_v2.0:IV:17622895:17624449:-1 gene:SETIT_006605mg transcript:KQL10536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALDTLCGQAYGARQYNLLGIYKQRAMLLLTIVSIPLAVVWFYTGEILLLFGQDADIAAEAGAYARWMIPAIFGYGLMQCHVRFLQTQNIVQPVMASAGAAAACHLVVCWLLVYGLGMGSKGAALSNAISYWLNVAVLAVYVRISSACKETWTGFSTEAFRDALSFFRLAIPSALMVCLEMWSFELVVLLSGLLPNPKLETSVLSISLNTSSFVWMIPFGLSCAISTRVSNELGAGRPQAARLAVRVVLFLAVAEGLIVGLILVCVRYIWGHAYSDVEEVVVYVARMMLVIAVTIFFDGIMTVLSGVARGCGWQKIGACINLGAYYIVGIPSAYLLGFVLCLGGMVRFLFPLNPKKIKACVCR >KQL11302 pep chromosome:Setaria_italica_v2.0:IV:32466460:32467523:-1 gene:SETIT_007260mg transcript:KQL11302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSVPPLSSSSRPATSGGRREQQQRWPDGQIHHRRAGSTSSAASDPGGRGAEQSKFAPPPQFQPRPSPRPAAAQHHGGGHHQHRDGQYQHQPQAAHGTGGAGAHRAQHAQRQHQQPRARSASPQSNAPNRQRPSTVPKFGVWDEQTAASAAQGFTVQFENVKRHREVARTAVPAVPRAPSPPEGAAARRAHHQKTPFVSKMFGCFLPATKDRERCI >KQL11033 pep chromosome:Setaria_italica_v2.0:IV:29924173:29924847:1 gene:SETIT_008767mg transcript:KQL11033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNQGWSEERLQPHTRGMHTIKEMDMLAAKLDLLLKCMDEREKPQELMLKPVHALDSHLTCEVYGNVGHLGNDCPETCEDVAYMNNNNNGKT >KQL10051 pep chromosome:Setaria_italica_v2.0:IV:8894578:8898960:1 gene:SETIT_006629mg transcript:KQL10051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHAAAPPCAAGRGLPSSSSPPRAGGRPSLSAAARPRSVSFAALLRTRTVQTKAVLSSDGAPGMSNSPHVVCFGELLIDFVPTVNGVSLAEAPAFKKAPGGAPANVAVGIARLGGSSAFIGKIGDDEFGYMLADILKQNNVNTQGLLFDAHARTALAFVTLRSDGEREFMFYRNPSADMLLEEKELELDLIRKAKIFHHGSISLITEPCKTAHLAAAKAARDAGVLVSYDPNLRLPLWSSAEDARDGILSIWETADVIKISEEEVSFLTNGEDPYDDAVVKKLIHPNLKLLLVTEGPEGCRYYSKEFSGRVGGLKVTAVDTTGAGDAFVAGMLSQLATDFSLLQDEGRLREALKFANVCGALTVTERGAIPALPTRQQVLDALTSVVA >KQL11266 pep chromosome:Setaria_italica_v2.0:IV:32081092:32082141:-1 gene:SETIT_007479mg transcript:KQL11266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANREITQPSQPGGPGFDLNAPPLSSLPPPSSTIAPRPPRPGLPQFLPLFALRAATTLPLHGLQIRPLFPALLPSRAAARSPRRAGAAGRRSVGGSRFLDLAGGPAFLVLRSPRLLVIRTACWMVMAVTSSGVMAP >KQL08880 pep chromosome:Setaria_italica_v2.0:IV:114394:116436:-1 gene:SETIT_007155mg transcript:KQL08880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFGFQSQHRRRTGGFDSLKRLGHISPAVQSHLKHVYLTLCFALAFSALGVYLHILLNVGGTLTTVGCLAAIAFLISLPPSQDQQRNRFALLMSAAFLQGASVGPLVDLVLHFDPRILVTAFVGTAIAFGCFSGAAIIAKRREYLYLGGLLSSALSILLWLQFAASIFGHSSATFTFELYFGLLVFLGYMVFDTQEIIERAHQGDMDYIKHALTLFTDFVAVLVRVLVIMERSEEEEKKRKKR >KQL08879 pep chromosome:Setaria_italica_v2.0:IV:115289:116292:-1 gene:SETIT_007155mg transcript:KQL08879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFGFQSQHRRRTGGFDSLKRLGHISPAVQSHLKHVYLTLCFALAFSALGVYLHILLNVGGTLTTVGCLAAIAFLISLPPSQDQQRNRFALLMSAAFLQGASVGPLVDLVLHFDPRILVTAFVGTAIAFGCFSGAAIIAKRREYLYLGGLLSSALSILLWLQFAASIFGHSSATFTFELYFGLLVFLGYMVFDTQEIIERAHQGDMDYIKHALTLFTDFVAVLVRVLVIMLKNAQERSEEEEKKRKKR >KQL08972 pep chromosome:Setaria_italica_v2.0:IV:479505:486710:-1 gene:SETIT_006020mg transcript:KQL08972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFSQAQRNILSLNKQRLLAMEELKKLQDENKSLLQEIEVLETEVQGVPLEAVQSSTFCELLLRIDTMVVSGMISMHEASDLREKVVNNRSIIQSTFSEIHHKANTELLSKLRLFLRKPIDYATSYLCPNCNSYCLSFKVSAILYSCIWSSNLFNLNLRYHVCRCYISSYVQCGKSLDVGHICSELDPISSCGSLSTYVASVSCAVQGKGNLVEVILPKYTSINMDGIHGLRKAEAEYESYFGDIWHKNRIWTGTSSGVGLILIEPVQLSYFNRDMLRGYPDDFERFSYFSHASLDYIVKSGKQPDILHIHNWETAIVAPLFWDIFAHQGLENTRILLTCQDLNSQCLEEPNKVEMCGLDPPKLHRADRLQDPNKTHLVNILKGGIVYSNKVVLMSSIHSRDVLIRGLRLGLEATLTAHKEKKLVVSHGLDGELWYPSKDIYLPWRYSANDIEGKSICREALKRRLGFRSGSSIIVGCICDGYSDIHNLKEAVHVALRKSAQVIFMGKLGSVVNSTVRALKEEVKFINLDDDIAFVEEYNETFAHLIYAGSDIILCSSFEDPSLQIAVKAIKYGCAPVQINFPNDGSRQSEGRDCRNRVMSKYIISTYGEFSLLQALDSFKNDPSSRDQQIKDGMVKVLAWDAECYDLHWEAYSSLRKL >KQL11583 pep chromosome:Setaria_italica_v2.0:IV:35041729:35045193:-1 gene:SETIT_006894mg transcript:KQL11583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIQLDGKNCLVTGANSGIGFATAEGLASHGATVYMLCRNKERGEAALNQIRSKTGNENVHLEICDLSSINEVKSFATKFTSMDKPLHVLVNNAGLLEHKRETTAEGLELNFAVNVAATYTLTELVMPLLEKAAPDARVITVASGGMYTEPLNKDLQFSEENFDGTRQYARNKRVQVALTEWWAEKYNSKGVGFYSMHPGWADTPGVSKSLPGLSEKLSGNLRSNDEGADTVLWLALQPKEKLSSGAFYFDRAEAPKHLKFAGTAPSHSQIKSVVDSIRSICGLPALG >KQL11584 pep chromosome:Setaria_italica_v2.0:IV:35042338:35044993:-1 gene:SETIT_006894mg transcript:KQL11584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQKAWRTAAFGLYGFTQFTKSGFLEHAKKFREEDMLIQLDGKNCLVTGANSGIGFATAEGLASHGATVYMLCRNKERGEAALNQIRSKTGNENVHLEICDLSSINEVKSFATKFTSMDKPLHVLVNNAGLLEHKRETTAEGLELNFAVNVAATYTLTELVMPLLEKAAPDARVITVASGGMYTEPLNKDLQFSEENFDGTRQYARNKRVQVALTEWWAEKYNSKGVGFYSMHPGWADTPGVSKSLPGLSEK >KQL11585 pep chromosome:Setaria_italica_v2.0:IV:35042023:35044993:-1 gene:SETIT_006894mg transcript:KQL11585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIQKAWRTAAFGLYGFTQFTKSGFLEHAKKFREEDMLIQLDGKNCLVTGANSGIGFATAEGLASHGATVYMLCRNKERGEAALNQIRSKTGNENVHLEICDLSSINEVKSFATKFTSMDKPLHVLVNNAGLLEHKRETTAEGLELNFAVNVAATYTLTELVMPLLEKAAPDARVITVASGGMYTEPLNKDLQFSEENFDGTRQYARNKRVQVALTEWWAEKYNSKGVGFYSMHPGWADTPGVSKSLPGLSEKLSGNLRSNDEGADTVLWLALQPKEKLSSGAFYFDRAEAPKHLKFAGTAPSHSQIKSVVDSIRSICGLPALG >KQL10959 pep chromosome:Setaria_italica_v2.0:IV:28914832:28916365:-1 gene:SETIT_007672mg transcript:KQL10959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKDARGAWKICFFVSGNMLGTIPVKQREKRRSSGYADASFHSMSSNLATVQCIYILAWSNLGCSNPVIRWC >KQL10960 pep chromosome:Setaria_italica_v2.0:IV:28915244:28916365:-1 gene:SETIT_007672mg transcript:KQL10960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKDARGAWKICFFVSGNMLGTIPVKQREKRRSSGYADASFHSMSSNLATVQCIYILAWSNLGCSNPVIRVTPDGAETLGLW >KQL12053 pep chromosome:Setaria_italica_v2.0:IV:38474914:38475842:1 gene:SETIT_008873mg transcript:KQL12053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNDVPVTNYYHFRRAILQLIFGLVRFGTNSLIFGSSCKSCLVLLGALQKMPVNWDWAPKSADQRQNRC >KQL09856 pep chromosome:Setaria_italica_v2.0:IV:6714826:6715329:1 gene:SETIT_007597mg transcript:KQL09856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALFEQLSVLADMALDDGGFDPARLDGVLALFESEARASWGAAEAEHEAVARATEAAAEDAGGHLDAVMGAAVGTYRGSSGEADALAAAAAAMEMAFSATSRSP >KQL12036 pep chromosome:Setaria_italica_v2.0:IV:38342680:38349402:-1 gene:SETIT_005915mg transcript:KQL12036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLSLHSLLLMAASASSSAAAAGGDSGLLLAARRRLPVAAAAAAAGGHRIRLLHSFAGGRVTRRLEVACCVRSAPGARPAGPVTVRSRNVHSENNYKAASEERLGQLVQKLKSEGINPKQWRLGNFQRMMCPKCNGGSNEELSLSVYIRMDGTNATWTCFRSTCGWRGFIQPDGVPKVSQAKGDIESETDQEVEANKAAKKVYRKISEEDLNLEPLCDELVTYFSERRISAETLRRNKVMQRKWKNKISIAFTYRRDGVVVGCKYREVDKKFSQEANTEKIFYGLDDIKRAQDIIIVEGEIDKLSMDEAGYRNCVSVPDGAPPKVSSKIPDKEQDKKYQYLWNCKDYLDSTSRIILATDADPPGQALAEELARRLGKERCWRVKWPKKNETDTCKDANEVLMFLGPQALRKVIEDAELYPIRGLFAFKDFFPEIDNYYLGTHGDELGIHTGWKSMDDLYKVVPGELTVVTGVPNSGKSEWIDALLCNINQESGWKFVLCSMENKVREHARKLLEKRIKKPFFDARYGGSAERMTPDEFEAGKQWLNETFHLIRCEDDSLPSINWVLDLAKAAVLRHGVRGLVIDPYNELDHQRPSNQTETEYVSQILTKIKRFAQHHSCHVWFVAHPRQLHNWNGGPPNMYDISGSAHFINKCDNGIVIHRNRDKNAGPLDVVQVCVRKVRNKVIGQIGDAFLTYDRVTGQFRDAGKATIAAATAATAQTATRKNSYGKSTKDNVAYEMPVPHVAEDDSVSGLDSLF >KQL09254 pep chromosome:Setaria_italica_v2.0:IV:2158416:2161807:-1 gene:SETIT_006836mg transcript:KQL09254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAVVQALSSPPSLSPLLPSRPRALRINIPLSLSAKPRARATAAAAAGSDSSNFGNQTSLLPPFSFTLDEGSSRSKRPYRWQRVLLKVSGEALAGDRTENIDPKITMAIAREVASVTKLGVEVAIVVGGGNIFRGASWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDADPRHNPNAHLLETVSYHEVTTRDLSVMDMTAITLCQENNIPVVVFNLQKPGNIAKAIVGEKVGTFIGCTRNQEQNGNAMGEERRLVNEV >KQL11911 pep chromosome:Setaria_italica_v2.0:IV:37570277:37570852:1 gene:SETIT_007813mg transcript:KQL11911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSNVSGSVMSTAATTRSTVTDLGVVGRGVKRASSLASR >KQL10923 pep chromosome:Setaria_italica_v2.0:IV:28159017:28160027:-1 gene:SETIT_008516mg transcript:KQL10923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDPTSASPASPPPKRPEVPHIVNRYNQKAPSADVDKFLNVFKKKASSSGEKSVTRSASRQKEKDQNLNFFASDEVSIAYEHGKPFLYLWDLLEGPWELNNLHGWIMNAMKRVCHKQPPGFVLCRYYVCKFIRKNGRYRMNYEDMPTINSNYNKIEDKQIKNIYTNMARFILREICHENGGFFDKDGVLMTDECTTLRRWV >KQL09538 pep chromosome:Setaria_italica_v2.0:IV:4101674:4105257:-1 gene:SETIT_006353mg transcript:KQL09538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAPTAPARLFLLHSTRSSNPSSSASAQAQALRVPLPGLTLSRRRMAGAPPAAIAGASGDSERDLSASALSVEAHESAVAPDSDLEAKEPSVATILTSFENSFDMYGALSTPLYQTATFKQPSATDYGAYDYTRSGNPTRDVLQSLMAKLEKADQAFCFTSGMAALAAVTHLIQSGQEIVAGEDIYGGSDRLLSQVAPRHGIVVKRVDTTKISDVASAIGPLTKLVWLESPTNPRQQITDIKKISEIAHSHGALVLVDNSIMSPVLSRPIELGADIVMHSATKFIAGHSDLMAGILAVKGESLAKEIAFLQNAEGSGLAPFDCWLCLRGIKTMALRVEKQQANAQKIAEFLASHPRVKQVNYAGLPDHPGRSLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFHVTVSFGSVKSLISLPCFMSHASIPAAVREERGLTDDLVRISVGIEDVEDLIADLDRALRTGPA >KQL12370 pep chromosome:Setaria_italica_v2.0:IV:40198788:40202472:-1 gene:SETIT_006211mg transcript:KQL12370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPVLALLLLSALAEAAAGGDIVHHDDEAPKIPGCNNDFILVKVQSWVNGKEGDEFVGVGARFGPKIVSKEKHANRPKLTLADPIDCCSPPKHKVSGDVLLVQRGKCKFTKKAKFAEAAGASAIVIINHVHELYKMVCEKNETDLDIHIPAVLLPKDAGSALHTLLTSGNAVSVQLYSPDRPVVDTAEVFLWLMAVGTVLGASYWSAWSAREAVIEQEKLLKDGHEGLLNVEAGGSTGMVDINVASAIMFVVVASCFLIMLYKLMSYWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFIGAVSHLTLAVSPFCIAFAVLWAVFRQASFAWIGQDILGIALIVTVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDFVAKKSLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTLIALGWKRGELRNLWVKGEPDRVCTHMHLPLLPASPN >KQL12371 pep chromosome:Setaria_italica_v2.0:IV:40199139:40202291:-1 gene:SETIT_006211mg transcript:KQL12371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPVLALLLLSALAEAAAGGDIVHHDDEAPKIPGCNNDFILVKVQSWVNGKEGDEFVGVGARFGPKIVSKEKHANRPKLTLADPIDCCSPPKHKVSGDVLLVQRGKCKFTKKAKFAEAAGASAIVIINHVHELYKMVCEKNETDLDIHIPAVLLPKDAGSALHTLLTSGNAVSVQLYSPDRPVVDTAEVFLWLMAVGTVLGASYWSAWSAREAVIEQEKLLKDGHEGLLNVEAGGSTGMVDINVASAIMFVVVASCFLIMLYKLMSYWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFIGAVSHLTLAVSPFCIAFAVLWAVFRQASFAWIGQDILGIALIVTVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALRYDFVAKKSLQSGYFLWSMVAYGSGLLITYVALNLMDGHGQPALLYIVPFTLGTLIALGWKRGELRNLWVKGEPDRVCTHMHLPLLPASPN >KQL12369 pep chromosome:Setaria_italica_v2.0:IV:40199703:40202291:-1 gene:SETIT_006211mg transcript:KQL12369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPVLALLLLSALAEAAAGGDIVHHDDEAPKIPGCNNDFILVKVQSWVNGKEGDEFVGVGARFGPKIVSKEKHANRPKLTLADPIDCCSPPKHKVSGDVLLVQRGKCKFTKKAKFAEAAGASAIVIINHVHELYKMVCEKNETDLDIHIPAVLLPKDAGSALHTLLTSGNAVSVQLYSPDRPVVDTAEVFLWLMAVGTVLGASYWSAWSAREAVIEQEKLLKDGHEGLLNVEAGGSTGMVDINVASAIMFVVVASCFLIMLYKLMSYWFVELLVVIFCIGGVEGLQTCLVALLSRWFKPAAESFVKVPFIGAVSHLTLAVSPFCIAFAVLWAVFRQASFAWIGQDILGIALIVTVIQIVRVPNLKVGSVLLSCAFLYDIFWVFVSKRWFHESVMIVVARGDKTDEDGVPMLLKIPRMFDPWGGYSIIGFGDILLPGLLVAFALR >KQL10032 pep chromosome:Setaria_italica_v2.0:IV:8710395:8714471:1 gene:SETIT_005900mg transcript:KQL10032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAASAAPSAFILAPSSATPGGRRRGAPPFLRGANLNFPFWAPPPPPRGATVVRAEAGTGGKDDAARPPERRGDADASSRQPRARRKAAVSKRRDPVQPVGRYGSGSGAGNAAATRGAAASGRNGALAGGEIKSIVATPPASIVKFEGPGYTVILPAQDIAPAPPRTVAPSSKPPLPPSEEDFVPPPPTSIPKPDTYGNAELAEKKRAQADIGGVPNPIPPPTQPPVPEVQEAAWDFKKYIGFNDPAEMKGDTGADADGAGSFENYENDDPGPLAGENVMNVIVVAAECSPWCKTGGLGDVAGALPKALARRGHRVMVVVPRYGDYAEASDMGIVKYYKAAGQDLEVKYFHAFIDGVDFVFIDAPLFRHRQGDIYGGNRQEIMKRMILFCKVAVEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDNGQMQYTRSVLVIHNIAHQGRGPVAEYPYMDLPEHYLQHFELYDPVGGEHANIFAAGLKMADRVVTVSRGYLWELKTVEGGWGLHDIIRSNDWKINGIVNGIDHQEWNPEVDVHLRSDGYTNYGLRTLDAGKRQCKAALQRELGLEVRDDVPLLGFIGRLDGQKGVDIIGDAMPWLAGQDVQLVMLGAGRGDLERMLQHLERMHQDKVRGWVGFSVPMAHRITAGADVLLMPSRFEPCGLNQLYAMAYGTVPVVHAVGGLRDTVVPFDPFGDAGLGWTFDRAEPNKLIEALGHCLDTYRNYRESWRRIQERGMSQDLSWDHAAELYEEVLVKAKYQW >KQL11760 pep chromosome:Setaria_italica_v2.0:IV:36636594:36644676:1 gene:SETIT_005943mg transcript:KQL11760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLHLFSRHKHSSLILLLSIAAQLRACGHTGEVERLGRRREGRRAPPPPPHKRERERSGLPAREPSPSPSPREATQLTPTPPSRSAPPRRAMASGADAAAAGVAALGISGGEWAAACPPLRRNLQLLAPDEVELAKMLLNEGQMHLFEHWPEPGVDDDKKRGFFDQVRRLNSSYPGGLVSYIQNAKKLLADSKAGKNPYDGFTPSVPSGEVLNFGDDNYVSLEAAGVKEARNAVFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILSLQEASCKMVDAGCHIKIPFVIMTSDDTNALTIKLLESNSYFGMEPSQVKILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHSLLYSSGLLEQWKSEGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIVDPVVDTFNGQEVEVWPRITWSPRWGLTFKDVKEKVRGNSSISQRSALVINGRNIFLEGLSLDGTLIVNAVDEAEVIVTGHVHNKGWTIQHVDYKDTSEKEEIRIRGFKFEKVEQLEVNYNEPGKHSLSA >KQL11761 pep chromosome:Setaria_italica_v2.0:IV:36636594:36644676:1 gene:SETIT_005943mg transcript:KQL11761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLHLFSRHKHSSLILLLSIAAQLRACGHTGEVERLGRRREGRRAPPPPPHKRERERSGLPAREPSPSPSPREATQLTPTPPSRSAPPRRAMASGADAAAAGVAALGISGGEWAAACPPLRRNLQLLAPDEVELAKMLLNEGQMHLFEHWPEPGVDDDKKRGFFDQVRRLNSSYPGGLVSYIQNAKKLLADSKAGKNPYDGFTPSVPSGEVLNFGDDNYVSLEAAGVKEARNAVFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILSLQEASCKMVDAGCHIKIPFVIMTSDDTNALTIKLLESNSYFGMEPSQVKILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHSLLYSSGLLEQWKSEGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIVDPVVDTFNGQEVEVWPRITWSPRWGLTFKDVKEKVRGNSSISQRSALVINGRNIFLEGLSLDGTLIVNAVDEAEVIVTGHVHNKGWTIQHVDYKDTSEKEEIRIRGFKFEKVEQLEVNYNEPGKHSLSA >KQL11759 pep chromosome:Setaria_italica_v2.0:IV:36636594:36644676:1 gene:SETIT_005943mg transcript:KQL11759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLHLFSRHKHSSLILLLSIAAQLRACGHTGEVERLGRRREGRRAPPPPPHKRERERSGLPAREPSPSPSPREATQLTPTPPSRSAPPRRAMASGADAAAAGVAALGISGGEWAAACPPLRRNLQLLAPDEVELAKMLLNEGQMHLFEHWPEPGVDDDKKRGFFDQVRRLNSSYPGGLVSYIQNAKKLLADSKAGKNPYDGFTPSVPSGEVLNFGDDNYVSLEAAGVKEARNAVFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILSLQEASCKMVDGCHIKIPFVIMTSDDTNALTIKLLESNSYFGMEPSQVKILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHSLLYSSGLLEQWKSEGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAVPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKTAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKAGAQIVDPVVDTFNGQEVEVWPRITWSPRWGLTFKDVKEKVRGNSSISQRSALVINGRNIFLEGLSLDGTLIVNAVDEAEVIVTGHVHNKGWTIQHVDYKDTSEKEEIRIRGFKFEKVEQLEVNYNEPGKHSLSA >KQL12066 pep chromosome:Setaria_italica_v2.0:IV:38565360:38566887:-1 gene:SETIT_007187mg transcript:KQL12066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKRIENRVSRQVTFSKRRKGLLKKAHELAVLCDVDVGVVVFSERGKLFEYPDPPASLTDLIRRYEAVNNTQLQETPCTDHQQQMIAEIGRLRREYQQLEANLMAYTGEYLSSVAPVDELDELERQLEMALSKVRARKCLCAFPRRRRRSSQINGRGPHDAAATGEEGMEEEMAEPAPAPSPSFAYLLNVNEKSAASTMLQLWPQTDGDDDDVGTAGGGSSSPPPPRGLQLW >KQL11490 pep chromosome:Setaria_italica_v2.0:IV:34119557:34123327:1 gene:SETIT_006651mg transcript:KQL11490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASAEVADAIGTMGIENAAKGKLPPCDNVEGHGEEHGALADGAHSGESEVINPSEEVEGEATSQSQDIKPRVPEGSQNHSPKVVKSQRQSPRGGDKSQARRSSPSPSYPKAPIARVSDPDLVDSSSSNGDASVSKKKAEKSSFRPVAKESPSHEDSKEKKKTQKPSNQHSVEKDIEEESNESIKPQRVGSTPAYGFSFKCDERAEKRREFYSKLEEKIHAQELEKSNLQAKSKEAEEAELKMLRKSLNFKAAPMPSFYKEPPPPKVELKKIPTTRPRSPKLGRSKNTTSTGTEGNTNPTVRSARLSLDERVSQNGIKKAPATNAVKKPQRKSLPKLPSEQKAKVDVAASLSSTEELENKPSTDPVREPIRAQVTPEEPGLNV >KQL11860 pep chromosome:Setaria_italica_v2.0:IV:37230853:37233063:1 gene:SETIT_008351mg transcript:KQL11860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPLNLPCCSSSSSKLPVPSFGLAFVLLLSTASFVSSCTEQESSSLIDFRDGFSLEGNGGLNNSWINGTDCCQWDGITCTNSVVTEIMLASKGLQGKISPSLGNLTGLLHLNLSHNSLYGSLPANLLFSSSIIILDVSFNHLSGPLLEQRSSNPGLPLQVLNISSNFFTGQLPSTTLEVMKILVALNASNNSLMGPMPPSICNNAPSFAMLDICLNEFSGTTSSEFGNCSMLKVIKTGHNNLTGVLPHELSLEQLSFPNNDLQGILDASNLVKLANLIILDLGSNGLRGNIPDSIGQLRRLEELHLDNNLMSGELPLALGNCTRLKYITLRNNSFRGDLSTVNFAQLDLRIADFSINKFTGTIPESIYACSNLIALRLAYNNFIGQFSPRIGNLRSLSFLSITNNSFTNITDALQKLKSCKNLTSLLIGTNFKGETIPQDEAIDGFENLQVLTIDACPLVGKIPVWLSKLTKLEILDLSINQLTGPIPSWINGLKFLFFLDISSNKLTGDIPTTLMEMPMLQSEKNAAKLDPKLLELPFLELPVYWTPTRQYRILNAFPILLNLGNNGFTGSIPPEIGQLKILNVLNFRSNNLSGKIPWQISNLTSLQALDLSNNQLTGELPSTLSDLHFLSIFNVSNNELEGPIPTGAQFDTFTNSSYGGNPNLCGAVLSVHCISASRHQSSISREHKLHRAIIFGFVFGGLAALALLACFLIAKL >KQL11498 pep chromosome:Setaria_italica_v2.0:IV:34227364:34229706:-1 gene:SETIT_007374mg transcript:KQL11498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSCRSLATWVRRLVACMGGCFGCCAKPTPITAVDEPSKRLRIQGRSVRKASLSEDFWSTSAHEVENSGIQSQRSMSSISTVAQSSDQHGAGSSSNPNEFVNQGLMLWNQTRQQWVGNKKRHSRSQQLREPKLSWNATCESLLGSNKPFSQPIPLGEMVDLLVDAWEQEGLYD >KQL10593 pep chromosome:Setaria_italica_v2.0:IV:19519120:19519983:-1 gene:SETIT_0064761mg transcript:KQL10593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSFYFVPLLLLLMLLLASPTSTAALASSKLGSGDAGNATAAATRLRPGKELLKYKRIRALLRKLNKPSLKTIKSPDGDIIDCVPSHLQPAFDHPKLKGQKILDPPERPKNYNFTIVGSGSSRVGAVVVQAWHATGEACPEGTVPIRRKTEKDLLRASSLRRYGRKPVHRGVRRDSTNNGHE >KQL10795 pep chromosome:Setaria_italica_v2.0:IV:25892180:25893413:-1 gene:SETIT_006869mg transcript:KQL10795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRIASWLVPVILSVLVACTVANGDHRLSISYYDKSCPSVQSIVQSVMASRVAADQAIAPAVLRLFFHDCFVNGCDASVLLDDDGRHFFESEKAAEPNDSLRGFDVIDEIKSHLEHSCPATVSCADILALASRDAVALLGGPAWNVQLGRKDSRAADKYAAMTELPSPKDNLTALVQLFERYGLDAKDLVALSGAHSVGTARCLHYRERVYGYDGQGGADDIDPSFAEIRRQTCQAGGDDAMAPFDEQTPMRFDNAYYKDLIARRGLLTSDQELYGCGGPLDHLVERYSMDGEAFAKDFAKAMVKMGKIPPPPGKPVEVRLTCSKVNY >KQL10235 pep chromosome:Setaria_italica_v2.0:IV:11587675:11591064:1 gene:SETIT_006106mg transcript:KQL10235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEAETRKTTGRKKGGLRTMPFIFANEVAEKLAVVGFSTNMLTYLTTQLHMPLAKAATTLTNFGGTSAATPLIGAFLADACIGRFWTIAAASVVYQVGMALLTVSAALPLFRPPPCKPGGAAACQEAAPWQLAVLYVSLLLNAVGAGGYRPCIVAFGADQFDESRAAERARSWGFFNWYYFCNGASMLLAVTAVVYVQDNVGWGWGLGVPAFCMGVSVAAFVAGYPMYRRLEPAGSPFTRLAQVVVAAVKKRRLPACDVVDAARLYENDELDAPISMYGKLVHTDQLSFFDRAAVVTDGDLVTPTDAASSGKPSPPPVPNPWRLSTVHRVEELKSVIRMGPIWAAGILVITASSTQHTFSLQQATTMDRRLAPGLSSFQIPAGSMTVFALLAMLLTLFLYDRALVPLARRATGLDRGISFLHRMGVGFALSALATLVAGVVERHRRDAASTAPGATDAGTSPLSAYWLVPQYALHGIAEAFNSVGHLEFMYDQAPESMRSTATALFWLSISLGSYASTMLVDAVHRWSAGPGGANWLSDNINHGRLDYFYWVVTMLQLMNLLYYVVCAKKFTFKPVQLHKEVEEEEVGMALVELQDKV >KQL10721 pep chromosome:Setaria_italica_v2.0:IV:24062227:24066886:-1 gene:SETIT_006518mg transcript:KQL10721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGRSSRRWRFASPNPAVAAAGERSVRRYLLDLHGCLDERGPRPVIPLSVGDPSSCPSFRTAPEAVEAVATALRSGEFDGYPSRDTNLAARRAVAEYLSCDLPYKLSPDDVLLTSGCTQAIETVMSVFGQPGVNILLPRPGYPKHEAHAVFHKMEVRHYDLVPERGWEVDLEAVEALADENTVAIVIINPNNPCGSVYTYEHLSKIADTANKLGMLVIADEVYGHLVYGSTPFVPMGVFGETVPLLTLGAISKRWAVPGWRFGWIAICDQKCILKETKVFHSLRSFRMLTGDPATFVLGAIPHIMKNTNDEFFSKIIKLLKETAEICYSEIKEINCITCPHKPEGSFFMMVKLDISQLSDISDDIDFCRKLAKEESVMVLPGTALGMENWLRITFASEPPKLKQGLERVKSFCQRHQSQVN >KQL11591 pep chromosome:Setaria_italica_v2.0:IV:35108441:35109189:-1 gene:SETIT_008639mg transcript:KQL11591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIKDPPPEISFDAHPTHRLKLVMPAAAGEQPFWCDGCKEPGGGKGRRYRCGGGCDFDLHECCALAEPTLKHPLLGDDLEFKLLLPEAAAAAAVPPACTACGCAASGLVYHCSNKKQGLYLHPCCAALRMESFLHDGHHVQLCGEARLRCVVCGEKARTFSSSRKLWAYRWRYNGAEGYLHSWEEAYQDGSVLEASVPIMKGVLRRRSPGEAGSSATSSGIELGILGMELANNIADSR >KQL11249 pep chromosome:Setaria_italica_v2.0:IV:31996030:31998710:-1 gene:SETIT_006895mg transcript:KQL11249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGWVDLRPLRQRATVAAAHSGAVGGGLEEAPGGFVLGGAAAALANHFGDWRASQAVTERERAHATDESLRKAAVSVTIPPQQPKPNRSKPKAATSSRLSPSGLPRPRRAATTTAKMAASFPRHGRLLVLLVVAVVSACLGAAAAHQAGSGEGYTISGRIKIEGANPKGFGLPAKTSNTKVILNGGQRVTFARPDGYFAFHNVPAGTHLIEVSSIGYFFSPVRVDISARNPGYIQAALTETRRVLNELVLEPLKEEQYYEVREPFSVMSLLKSPMGLMVGFMVLMVFVMPKMMENIGKLSTLLHP >KQL11248 pep chromosome:Setaria_italica_v2.0:IV:31995217:31998710:-1 gene:SETIT_006895mg transcript:KQL11248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGWVDLRPLRQRATVAAAHSGAVGGGLEEAPGGFVLGGAAAALANHFGDWRASQAVTERERAHATDESLRKAAVSVTIPPQQPKPNRSKPKAATSSRLSPSGLPRPRRAATTTAKMAASFPRHGRLLVLLVVAVVSACLGAAAAHQAGSGEGYTISGRIKIEGANPKGFGLPAKTSNTKVILNGGQRVTFARPDGYFAFHNVPAGTHLIEVSSIGYFFSPVRVDISARNPGYIQAALTETRRVLNELVLEPLKEEQYYEVREPFSVMSLLKSPMGLMVGFMVLMVFVMPKMMENIDPEEMKQAQEQMRNNPVSFSSLLSRAQG >KQL12247 pep chromosome:Setaria_italica_v2.0:IV:39639063:39642477:-1 gene:SETIT_006564mg transcript:KQL12247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPHGYSCSSSSANPNNPWPAPSAPPLYPTLTMADLAPVEIGPVSSPTAGEDTGPPPSEDVLLRIPGAQLHLIDRSRSHPLAAGDLSLLRIRSGDTNLAAIALLDPVQWPLARDVAAVKLDPCHYSFSLTVPVSADDPNPDPLHYGLTLSHPDARLDGLLATYTSFSVHSVVGTKELESRVRDEVEAAAYWTAVAPNVEEYGGKVARAIATGAEHLAKGILWCGEVTVDRLRWGNEVLRKRMQPGDANAEVSPEMLRRIKRAKKVTQMSEKVATGILSGVVKVTGYFTSSFANSKAGKKFFNLLPGEIVLASLDGFGKICDAVEVSGKNVLSTSSTVTTGLVSHKYGDKAAAATNEGLDAAGHAIGTAWAVFKIRQALNPKSVLKPTALAKSTIKANVAEVRAKRSK >KQL11202 pep chromosome:Setaria_italica_v2.0:IV:31641323:31642212:1 gene:SETIT_007747mg transcript:KQL11202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRCKGPEMELKNMEWSSPKQALILCPNHYYTAAAAATAVISLACCCLCVLCCACDLLTALLCSGCS >KQL12047 pep chromosome:Setaria_italica_v2.0:IV:38454350:38458547:-1 gene:SETIT_007228mg transcript:KQL12047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEQDHVSKSSSSSISSSTQESEEEVSVTIGSLLAQAKNGSGHSLGKRLSHLGSIPYTPRVNGKIPNVDNATLDHERLLERLGTYGLAEFQIEGDGNCQFRALADQIFRNPDYHKHVRKAVMKQLKEFRKQYESYVPMEYKVYLKRMKRSGEWGDHLTLQAAADRFGAKICLLTSFRDTCLIEIVPRDLTPTKELWLSFWCEVHYNSLYGIDDLLTRKTKKKHWLF >KQL08987 pep chromosome:Setaria_italica_v2.0:IV:591227:592609:-1 gene:SETIT_006421mg transcript:KQL08987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPAVRVASRRPHERIPLTYWDLALLPADYLQYGLLSAPPPISTADLVDHLQAALADALAVYYPVAGRLSTDQHRDGKGDVVGCSVSVDCAGQGVEILHAVADGVAVADVAPPDADVPAVVRSFFPLDGSINYEGHELPLFVVQVTDLADGVFVGFAYNHALSDGAALWDFVNAWARIARVRLAPLPRRPRFERWSPDGDSAAPVVLPLRYLAFLLTKTQSPPPELRERMLHFSAESLIALKERARQELVAAGDEAGAAAVTTFQALSSLLWRCVARAQRLRPEQETACRFAIDNRGRLRPPLSTGYFGNSVYAISAEAVAAAAVGRAVAAHTDAAIRARVAAEPALVQPAAAGPLHRNGVVIAGSPRFDVYGCDFGWGRPLAARSGRAAKYDGRVSLFPGREAGGSGDVEVALAPEHMTALENDGEFWAAVSPDATHQLARKMDH >KQL10117 pep chromosome:Setaria_italica_v2.0:IV:9674367:9675937:-1 gene:SETIT_007354mg transcript:KQL10117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEQKLLKKTNFLEYKREGGHREALVTQHYRLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPFRIEMTDMLLDKLYNMGVIPTKKSLLKCENLSASAFCRRRLATVMVKLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVMEYNDALDDYDAMF >KQL10116 pep chromosome:Setaria_italica_v2.0:IV:9674149:9676242:-1 gene:SETIT_007354mg transcript:KQL10116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEQKLLKKTNFLEYKREGGHREALVTQHYRLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPFRIEMTDMLLDKLYNMGVIPTKKSLLKCENLSASAFCRRRLATVMVKLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVMEYNDALDDYDAMF >KQL11540 pep chromosome:Setaria_italica_v2.0:IV:34621796:34623404:-1 gene:SETIT_006385mg transcript:KQL11540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRVAVVVGGSVAGLAGAHAVAGAGWDVVVLEKAAAPAAGGGTGAGLGLDPQSMETLARWIPGWGLDAATLPLAVDLVSPAALSESPGARSEVEIYWERRTANRLWLQNRATDSETKAARTLVRDEDFNFRAAHWGDLHRRLHEALPASATVLWGHKFLSFEAPDDTRGGVIATARVLRTGKTVDVAGDILVAADGSTSSIRRRFLPDLKLRYSGYCAWRGVFDFAGKESSDTMIGIRRAYPELGNCLYFDLAYKTHAVLYELPRSRLNWLWYINGPEPELTGSSVTMEATDAMVARMRGEAGRVWCPELARLVRETASPFVNVIYDADPLPRLSWAGGRVVLVGDAAHPTTPHGLRSTNMSVMDARALGRCLARWATEPAAPARALAEYEAARLPVVAAQVLHARRLGRVKQGLPVDGEAEGFDVRMATEDALQLRQRSMPFFGGAPTADDGAGGSDFC >KQL09348 pep chromosome:Setaria_italica_v2.0:IV:2632617:2633297:-1 gene:SETIT_008323mg transcript:KQL09348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATGSSSSTPARDRNEPSPSGQRRYRGVWRRRLGRWVSEIRVPNSRDRIWLGSFDTPEKAARAFDAALVCLRGPGAVHGLNFPGSPPDVGRRTSDSREVCAAAVWHANHATTPANDDGAPMERVAAASHHASQAAGAAAAPEHDRGGVPVESVAAPAPLQLSAQRLDWSQLVANPPPLYSPTVTGSHTYLPISSTAAPPDDLDENDDRPCPGLWSFDSGGSCSRH >KQL09181 pep chromosome:Setaria_italica_v2.0:IV:1714498:1715529:1 gene:SETIT_007571mg transcript:KQL09181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGDDEAMRARFEDWMKQYGRTYQDEQGKARRFRIFKAVARFVDVANAVADELGSDVCMGLNEFADWNDQGLAGMCGTKHMSEDQYLSMVGVNQASDKKATKQTLQKQESKD >KQL09623 pep chromosome:Setaria_italica_v2.0:IV:4684619:4689526:1 gene:SETIT_005916mg transcript:KQL09623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAVEEARRAKKAGNEAYGKSLLETAYQECVRDCDEAARRGRELRADNKLIAKALSRKASALLELAGCAGDYALAIRALQQSLAEHYSDETLDKLGKAESKMKELEEQERLDQAADHHRERGNESFKQKKYHEAAMHYTQAMKMNPNDPRIFSNRAQCYINLGALPQGLEDAEKCIELDPTFLKGYVRKAKVQFLLEDYENAMATYQEGLRCDPNNPEVLDGLRRSEAPIKTAHGGADLEYLKQMFEELWAEDIVHKFQKAMERAAKLKKEPSEECLRHTESERMVDLSNKGNKQRSFLSRTQQELERFKIQHDDVTKKLQMANEHNERLLGQLSESRGQYDWLLSEHDHLLHNRDRAVREVEGSRQKRVQMLSVLVTSMYSEFSTSELESASENFSSSLKIGEGGFACVYRGILRNMTVAIKVLKPDNLQGRSQFEQEVAILSRVRHPHLVTLLGACSESSTLVYEVLPNGSLEDFLVCADKRQALIWQIRIRIIAEICSALIFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGISRFLIQSSTNNTTLYHTMHPAGTPLYMDPEFLATGELTPHSDVYSFGIVVLRLLTGKPPVGIKKVVEDAMMKGELQSVVDSSAGEWPDVLVQQLAHLALSCTELSRRCRPDLSGEVWRVVHAMRDAASIPSASSSRPVSDENCTPLYFICPISQDIMNDPHIAADGFTYEAEAIRSWLDSGHDMSPMTNMRLEQSELIPNRALRIAIQRWLQQQNMTL >KQL09532 pep chromosome:Setaria_italica_v2.0:IV:4070716:4072945:1 gene:SETIT_007312mg transcript:KQL09532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSKEQAPKNYADFEEKVKRTIYVEHLSPQVTIQVIKAALAQCANVVNVEFIVNYTIPYDIPYAALVELDDHMQAKAAVELMGDFPFIIGGMPRPVKATHAKAEMFRDRPPCPGLKKDFRWIKQGDGEYEAMKKLKILAKRQESENMALIKNLLDEEKELAKQQQEALDGNWQNYEMLEIVMKNGAIKSLARHYGVDLGD >KQL11348 pep chromosome:Setaria_italica_v2.0:IV:32797474:32806275:-1 gene:SETIT_008269mg transcript:KQL11348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAAAASHGATTVTRPRAGGDDEEEARRLWAELERLPTPQRARSAVVTLEEEEGGDGGADVGAARRKAVVDVGRLGAEQRRAMVGRLVSSVDRDNERFLRELRERIDRVGIVLPTIEVRFENLKAYAEVHVGTRGLPTILNSVTNIFEGAANALRILPSRKQTMPIINGISGIIKPKRMTLLLGPPGSGKTTLLLALAGRLGKDLKVSGNVTYNGHGMNDFVPQRTAAYVSQHDLHIGEMTVRETLAFSARCQGVGYFYDLLCDLLRREKEANIKPDADLDAFMKAAALGGQEANVVAEYMLKILGLEVCADTMVGDEMFRGISGGQRKRVTAGEILVGSARALFMDEISNGLDSSTTFQIINSLRQAIHILGGTAVISLLQPAPETYNLFDDIILLSDGQIVYHGPREDVLDFFESMGFRCPDRKGVADFLQEVTSKKDQKQYWAHHDQPYRYISVKEFAESFHTFHVGQVMANEIAVPFDKSMSHPSALAVSKYGVSTKELLKANIDREILLMKRNSFFYMFRVVQLIMLSVIEMTLFFRTEMHRDSVANGGIYMGALFFTTLMIIFNGFSELTLTIFKLPIFFKQRDLLFYPAWTYTVPSWILKIPITFLEVGGFVFITYYAIGFDPDVIRLFKQYLLFLAANQMAASLFRFIAGAARNMIVAYVFGSFAILVVMLLGGFVVSRDNINKWWIWGYWTSPMMYAQNAVSVNEFLGQSWQKVLPGTTEPLGVLVLKSRGIFPEAKWYWIGFGALLGFTVLFNSLFTLCLAYLESYGHSYPSVSEETLKEKHANLTGVAVEVPLHKGKGLGSNCQSSESACQATGSYNETKLASVDANSMPAPRGMVLPFVPLSLTFDSIRYSVDVPQEMKTQVLEDKLEILKGVSGSFRPGVLTALMGISGAGKTTLMDVLAGRKTSGYIKGSISISGYPKKQETFARVSGYCEQDDIHSPQVTVHESLLFSAWLRLSGDVNSKTREMFIEEVMELVELTPVRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKQGGEEIYFGPLGHHSSEMINYFEDIEGVAKIKDGYNPATWMLEVTTVSQEFALGVDFSDIYKNSELYQRNKASIYELSTPPPGSSDLHFPTTHSRSFFTQCLACLWKQNLSYWRNPQYNAVRFFFTAIIALLFGTIFWGLGAKREKPQDLFNAMGSMYAAVLTIGVFSSASVQPVVSIERTAFYRERAAGMYSAFPYALGQVLIELPYTLVQTCIYGAIVYGMMGFKWTAAKFFWYLFFIYFTLLYFIFCGMMSIGLTRNHTVASIVSAAFQATWNLFSGFLIPRTKIPIWWSWYYWLCPVAWSLYGMVVSQYGDDVDTPLFDGVSNTTVADFVRDYFGFNHSFLGVVAVVVVAFGVLFAVLFGLAIMKLNFQRK >KQL10114 pep chromosome:Setaria_italica_v2.0:IV:9668370:9668779:1 gene:SETIT_007764mg transcript:KQL10114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGHLLLNVMLDLDYLAVSCVMEVDQGLNFAYASVPPYFLWGVVKLFCEWRRTISLTKGILC >KQL11552 pep chromosome:Setaria_italica_v2.0:IV:34768201:34768546:-1 gene:SETIT_009108mg transcript:KQL11552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRRHRRGPALTRVPCRGFDDIFLERAAPMHVSRMDGSGRPLGFSLTVWA >KQL09441 pep chromosome:Setaria_italica_v2.0:IV:3405942:3409710:1 gene:SETIT_006356mg transcript:KQL09441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHNVLDEPSPLGLRLKKSPSLLDLIQMRLSQASNAGESSIDNSGSEPSKKKDNKSGVSAAGERLKASNFPANILKIGTWEYVSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDITALKATCPENGQGTLDVVLARPPLFFKETDPQPRKHTLWQATSDFTGGQASMHRRHFLQCPSTLLSKNFEKLVQCDQRLYQLSQQPEIILETPVFEPRCSIFEDPVESKCAGFTNLKDEHEALPGYSGSLSPCAGSSMSAKNEANDSIGMPAEYLPQTVGSGAGAVGLQAISRNVNGAAPEFNIPHWWSQLKVPGLRPSMSVDDLVNHLGNCISEHITSGNTALAKNEVPTKETLEEIAQYLLGDAQGPPVSASEERSLMARVDSLCCLIQKDTVPVAKPKPEPNDSGGIGVDASDGSDEEFSSAPTGKTTDAAELPAMSRKDSFGELLTNLPRIASLPQFLFKIPEDTEN >KQL10053 pep chromosome:Setaria_italica_v2.0:IV:8930653:8931367:-1 gene:SETIT_008250mg transcript:KQL10053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRGSRYTASLCVKLSCHIIRCRFSFVMLL >KQL10133 pep chromosome:Setaria_italica_v2.0:IV:10010604:10011996:1 gene:SETIT_008790mg transcript:KQL10133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAILRRLSPTPAGSPRVSPLPLPPLFARGVSDSTDPITVETSVPFKSHLVEPPSRSATTSARELLSFFRDMSLMRRFEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITRADAIITAYRDHCAYLARGGDPVSAFAELMGRRDGCSRGKGGSMHFYKRDANFFGGHGIVGAQVPLGCGIAFAQRYRKEGTVTFDLYGDGAANQGQLFEVLNMAALWKLPVILVCENNHYGMGTAEWKASKSPAYYKRGDYVPGLKVDGMDVLAVKQACKFAKDHVLENGPI >KQL11059 pep chromosome:Setaria_italica_v2.0:IV:30410795:30411195:-1 gene:SETIT_008882mg transcript:KQL11059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSVTRNIRGNKIVLSGILPQKVSHPFPSHTCLKSST >KQL09442 pep chromosome:Setaria_italica_v2.0:IV:3410007:3419888:-1 gene:SETIT_006087mg transcript:KQL09442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAALCAALTELGFDGEDPLDADALEWPFQYEEARPLLAWICSCLRPSNVLSPSHLAQYEQLVEEGRLLEGEDLDSAFDSISAFSSKKDNQEAVFGSEETILDIREAKLAYRAEVFELQKQLARQQAQFDLLAGQASTLIQGRRARVSAMSAVSGELVSLDEILSSRNLEMNAVLGRIAATTQELAHYHSGDEESIYLAYSDFHPYVVGDLACTKQLNKWFSKQFEKGPFRLVAEEGKSKCSWVSLDDITNCLIRGDSEKSHHHQRVAELQRLRSIFATSERQWIEAQVENAKQQAILSILKAQVSSDEAHIHRDIHSLRRKGSELAGELSTLSQKVQAFVSETIPCLCSELAQLQGTYILQGDYDLKVMRQEYYINRQKTFINHLVNQLARHQFLKIACQLERKNIASAYSLLRVIESELQSYLSAVNTRLGHYNSLIQAASDVREQGAIDDRDTFLHAVRDLLCIHSNVQATVPTYMSAHALVQQISALQSDLLSLQSELENTLPADRKRFINELCTLIQTVEQLLFASSTTAEPILTPWPLMRALDDMENANAQVEVSVEEVTKARTQKIKIFENRAHEVGRERQIFVDFFCNPERLKNQVRELTSRVKALQD >KQL09366 pep chromosome:Setaria_italica_v2.0:IV:2849015:2851473:-1 gene:SETIT_008360mg transcript:KQL09366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVSASELEVLLRTFNSEHPGVVLGHGFLRAAAIVDGLRDRTTNEELGRFFEAFGDVRAVVADEGYRGERLGLVVCPDAGNCSAATNQTSDNYDSIVKVDSIGIDREFLIKAVRLPKRMQDVIRFSNVRNLMGVLFAVNPEVADDLQFNFLERSVLLIGVSSETTPRDLTTRCFGGRDVEAAVMIRDPETSERVGMVVFAEAGDATVVKNRQPMPRLYRTCILANSVNHVHQAVLEGSEDRERRKETSATMRSLIPPQYLQSGDSTDFHLRCLLLKNSSLVDLSQGLHHLCCVAEEKLQVSGRLCAAVVSEVLDAAILVYDDSQSTDKAYRSASRLPAGSLRLYDSSLFPMPAGKVVTRVSDQVPERGMLPELFTKPEYLGRVVSLRGIDANKWDARELVYYLTGCKLEALYIHRAERKVFAVFGSQSDVHLARLHKPRAWGKLCGWRLRFEDLDEACFQQTVPAPAPPEQKPYPGVPKEAIKLAKRLTRLSISHCSSGDTKGIAEGLIRLAAVSKPDVLLRKDFADTVVLLMGIDAGTIKPDLRSELVNAFGEVDLLRLHNEQGVALAVFKSQTAAAKLHQQPAETLRRFGVDRCEPIPGELDVAALVIGTSEMVRSFGETYGRV >KQL09422 pep chromosome:Setaria_italica_v2.0:IV:3265038:3266229:1 gene:SETIT_0083092mg transcript:KQL09422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECHCGQCANGPANRVQRGYVKLIAVPRKHAFKVFVNVTIDIFRKAIEKLQSPPCYELCAFNGTYDELVQNVSKGVFDGAVRDMTITDDRARIADFTMPYAPSGVSLLVLADTDSKPPIQWIFLKPLTKELWLTTVGFFFFT >KQL09480 pep chromosome:Setaria_italica_v2.0:IV:3708806:3709961:1 gene:SETIT_006850mg transcript:KQL09480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGWSSLPADLLKEVSGRLSSDADHLHIHQYSLRLPRGDGRRLELGAPPAGLPYCCGASRGWLALVDDGQSPTRLVLWEPLSSTEISLQCLSPLTRIFISDDPLTSSDWIAIAAQIKGEIGRKTLFWRPGDATWTMMNERGTCEIDTVAFHGGKAYYIDIQQNIVICDLNTGSDPSPRCAPIFFACSVVNKLCRCPRLHPVCGVHLAVCNGELLLVVLYYWGSHPSPAEAYKPVWTPNQRLELHERVRDLGDHSLFVGRGDTFALSAKEFPVIKRNCIYYAGIACNKPYWVSVFHLESDVVEEIPYMEKLKEGRTNRTPYAWFRPRKPFLKQS >KQL11064 pep chromosome:Setaria_italica_v2.0:IV:30435991:30439156:1 gene:SETIT_006519mg transcript:KQL11064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKFRPLMATAAKARAPPVVAASTSAAAAAAERDEHVHYKHTDACHHLRWTAKESYEYMYARPWSRVVDFYAELVRTGAGAAGLAKLFGKDEKDYSLDTTGENYLAPSEKQTATISSKDRGGRWERVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKHLGQFVDERKAKQLEARSLPIEGGAIVAGRTDKGVTALQQVCSFYTWRKDVKSEDIKDAIKEAAPDKLKPLHVSEVAREFHPNFAAKWRRYMYIFPLDEDAKSIIGEGHSEVLKNSEHIIKPQSFDVAKVDEILRKLAGKTLSYKMFARDTQASRSVGPPTECFMFHSRAAAAKLYCANEDYKEGLSVMCVELVANRFLRKMVRVLVATAIREAAAGAGEDALLNLMEATDRRATAPPAPPEGLCLVDVGYKDFSKQRCFIVD >KQL10580 pep chromosome:Setaria_italica_v2.0:IV:19123226:19124599:1 gene:SETIT_007987mg transcript:KQL10580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIGPFVEQILVASIVPLNSNIDHIDWSNITQEESDCTQLNASVTNFLRSTLCEDIQDIIFDIKDVCNDAHLIWALLMETYATHECDDEEQAEEKSLEECSTSPEIRTDPQVSLLIEEEGQRSQDMVPLQELVERFKKDLSELKGKSQVQPSQDNCEIMVKKLEKGSTVTYSAPQRHLKISNSKIQEKNKFEHIKCFNCSKIGHFAYTCPTKLKGKETLSKKQRSLARKRVCYRCNERGHIAATCPSAT >KQL08986 pep chromosome:Setaria_italica_v2.0:IV:588285:591012:1 gene:SETIT_007563mg transcript:KQL08986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRATSDAKLLIQSLSNAYAATPTNLKIIDLYVICAVATALIQVAYMGLVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKDNKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >KQL12245 pep chromosome:Setaria_italica_v2.0:IV:39636668:39637207:1 gene:SETIT_007630mg transcript:KQL12245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQHNSHITWFTGGRWDVYNEGIRIVAGSISSKMKHLKRANTDTKFISTNKHRSIYNSLVVQCCLVSRNSPNMKLSKNCIEKKMSNLLYPIYDS >KQL09741 pep chromosome:Setaria_italica_v2.0:IV:5609350:5611747:1 gene:SETIT_006213mg transcript:KQL09741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKDMTEGSPPLVRPFSPAQHCRRASCCTPRGYLLRARDRRVSIASRASPCLRQDPKSTLPAGGMPAERVDVAVTSRTLVRASDPPRGFPAVLPASNLDLILGSFHTYLVAVYPAPAAGFPAVAAAASAALPAFLSRFFPFAGRVVTNASTGVPEIACDNAGAELVVAEAAARLADVDFADADRSLARVAVPFRQGLALSLQLVRFACGGFALSWGTDHLLADGHGLTMLPNAWAELLRTGGLSWEPHHERASLFRPRSPPRFSPSLDAEFTRYAPAGLPNTLLTAALVRRNYVVSAADVARLRTAASTPARRATRLEALSAHVWKLLAAAVGGSDTHCRMAWLVDGRPRLDPARYDAGTVRRYLGNVLTYASREAAVEAVSSATLADVAAMAGAAIAEVFRSERFEELVDWMEARKGVFREGGKWTEAVGVGTGSPALVVSAFVPFRVEGDFGFGRPRLVMPWVRPGRLGSAAMTVARSPREDGSWVITARLWPRLADAVDADPEAVLKPATAARLGFGEPEPAAGVAQHASRL >KQL09767 pep chromosome:Setaria_italica_v2.0:IV:5840772:5843824:-1 gene:SETIT_006719mg transcript:KQL09767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAAESLSWSDLPPELLGLVLKHLPSLADRVRLRAVCQPWRSNARLQSLPPPLPWLTLPDGTFLSIPDGEIIQMAVADGACCCGSIDNWLFLMQNDGGCSLTNPFSKATLDLPKLATVWRRDWLNANEGFNPLFYKLVVPSPLDSSPESLVAVLILDSANSSTVCICQPPVATDISRGRHMEPSRCLFDVAFFNGKLYGVAFGDKLVIFEIGYDLGCKPKISATECIINSRDDDLWDLPQSLSNEKAYMWREYLVECCGGLLKADLSTNPGHWRRVNKLGGQALFVGRHCSKSFAAKEYNGIQEDCIYFMCDYPWPDSAADPLRDSGVYNLRNGTITPLLSQTATVPQHHGGHWRPTWFFPADSI >KQL10444 pep chromosome:Setaria_italica_v2.0:IV:15266123:15273148:-1 gene:SETIT_005899mg transcript:KQL10444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGRKRRRGGGELGRVAEIVMVMAAAGEARGGRAPTAAERALAAEARGALAAVVAGEVELLRPRELFTTEAVRALVQDLGLTRTRDPAAVGFRPRKASIAERVLLTKRKMEEVKEALVPSPTVPKMTASSARKGFQHGASKITTGVPRNLSTPMTSPVISKQPMLNATVAGASSIKSPDIPSAVSLPPIGSADVEMEIVVNGSNFTQNGGAETIEQSNKSGHHTANSSNRSSLQSSSQAEKSVDEKEPAICPGTGSVVMGYQAPKEELSVQKQTIFSNHKAIAKNVARILRQPANHPSWSVPSTEYMNKRLDCQICKVPIINTESLLVCDACERGAHVKCLQYYGNQGLQKPEWYCPTCVLHSKGKPLPPKYGKVSRTIVVPNTCMTNGAQPSQVAAENPTEKDGRSDKNVAANGSVINQNTNKVGSTVCKSGTLALDATGSKSPSGAEPRKEDVKHDETSSVEKEGNGVPSGGIHTETATLCNKAQSSGASTYSSGNLSGGFHMYIESSSVSPVNYSILQSTALSGVKHADHSSIVSSVENCQSTRAPTDELYQADGVTNNGIRKPHKHETMANDAISDHDNAHQMTLNGHLCSKPEIIGDRNAYEGSSTASIIDWVGDGLKSIDNKTYYNSCNIDGVIYNLHDHMLIASEGGKFGPCKLQSLWEEHDSGSRLAMVNPYIFGSDIPGSISKPCIDEEDEVYGSNNDRIVLVSAIHGPCEVLHVDKFREETKRRCQLDSSGCVLHPIFFCRWNYDDSTSSFYKDYNVDN >KQL10445 pep chromosome:Setaria_italica_v2.0:IV:15265498:15273148:-1 gene:SETIT_005899mg transcript:KQL10445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGRKRRRGGGELGRVAEIVMVMAAAGEARGGRAPTAAERALAAEARGALAAVVAGEVELLRPRELFTTEAVRALVQDLGLTRTRDPAAVGFRPRKASIAERVLLTKRKMEEVKEALVPSPTVPKMTASSARKGFQHGASKITTGVPRNLSTPMTSPVISKQPMLNATVAGASSIKSPDIPSAVSLPPIGSADVEMEIVVNGSNFTQNGGAETIEQSNKSGHHTANSSNRSSLQSSSQAEKSVDEKEPAICPGTGSVVMGYQAPKEELSVQKQTIFSNHKAIAKNVARILRQPANHPSWSVPSTEYMNKRLDCQICKVPIINTESLLVCDACERGAHVKCLQYYGNQGLQKPEWYCPTCVLHSKGKPLPPKYGKVSRTIVVPNTCMTNGAQPSQVAAENPTEKDGRSDKNVAANGSVINQNTNKVGSTVCKSGTLALDATGSKSPSGAEPRKEDVKHDETSSVEKEGNGVPSGGIHTETATLCNKAQSSGASTYSSGNLSGGFHMYIESSSVSPVNYSILQSTALSGVKHADHSSIVSSVENCQSTRAPTDELYQADGVTNNGIRKPHKHETMANDAISDHDNAHQMTLNGHLCSKPEIIGDRNAYEGSSTASIIDWVGDGLKSIDNKTYYNSCNIDGVIYNLHDHMLIASEGGKFGPCKLQSLWEEHDSGSRLAMVNPYIFGSDIPGSISKPCIDEEDEVYGSNNDRIVLVSAIHGPCEVLHVDKFREETKRRCQLDSSGGTMMIPQAVSIKITMLTIRWVPSILLCKELYV >KQL10802 pep chromosome:Setaria_italica_v2.0:IV:26034871:26035631:-1 gene:SETIT_007612mg transcript:KQL10802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGPTTISSMSMAKAAGVGTKNSAVAATDQQQAAGQKNCSSYAFQMPLHYPRYKKADYETMPEWRVDCLLREYGLPVAGDLDSKRKFAMGSFLWPDQY >KQL12003 pep chromosome:Setaria_italica_v2.0:IV:38089324:38093397:-1 gene:SETIT_006324mg transcript:KQL12003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAEGQAPELGDRAALDHDGSAQTTPGHNSRRPNLSLQIPARTMDTGVPTSTRINISSSPSSTRAGLPPRPNSTRTKSSIKNILPQNSFRARSSAQDGDRVILLNPGTSSEGQQDNPTTARSFSFRKVINSLSAKRTHSLPVTPVGTTDKVSSPSNHLESLPSTSNREVEAKIKRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPVPVDATTSNDAIEETIDVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPSQTANRRVANAAQQRAAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLRSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNAVLAVLLSSFTGFGIAISTNSLLVEYMRWRARRNQRLAQQAVNAAQHPESGSNDANDNNGDRQQGHDPNSGNNAV >KQL12004 pep chromosome:Setaria_italica_v2.0:IV:38089932:38093397:-1 gene:SETIT_006324mg transcript:KQL12004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAEGQAPELGDRAALDHDGSAQTTPGHNSRRPNLSLQIPARTMDTGVPTSTRINISSSPSSTRAGLPPRPNSTRTKSSIKNILPQNSFRARSSAQDGDRVILLNPGTSSEGQQDNPTTARSFSFRKVINSLSAKRTHSLPVTPVGTTDKVSSPSNHLESLPSTSNREVEAKIKRSLSVPGNRKNRSLRRADSLGVIRVIPTTPRPVPVDATTSNDAIEETIDVPEDGGEDIPEEEAVCRICFVELNEGGETLKMECSCKGELALAHQDCAVKWFSIKGNKICDVCKQEVQNLPVTLLRIPSQTANRRVANAAQQRAAQQYRFWQDIPILVMVSMLAYFCFLEQLLVTDLRSRALAISLPFSCVLGLLSSMIASTMVSKSYLWAYASFQFAIVILFAHIFYNVLRVNAVLAVLLSSFTGFGIAISTNSLLVEYMRWRARRNQRLAQQAVNAAQHPESGSNDANDNNGDRQQGHDPNSGNNAV >KQL11511 pep chromosome:Setaria_italica_v2.0:IV:34359808:34361455:1 gene:SETIT_008723mg transcript:KQL11511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAWLSVPHGALSLDRAPSIHFRPDQPASRGVPAVLRRPGTVAVSVAALRRPLVATVAAATNTAAAASVEERERTFIEEMRAVAMRLHSRDQSKYGEKEAPMEPPVAKWRPTVEGYLRFLVDSKLVFETLEAIVDSAAIPWYAEFRSTGLERSEPLKKDLEWFRQQGHKIPEPCAPGITYASLLEELSVKEPYAFVCHFYNVYFAHSAGGRIIGKEVAEKIHLQKELEFYEWEGDLSQLQQNIRGKLNQVASGWSRAEKDRCLDEMEKAFACSIDLRRHMF >KQL10532 pep chromosome:Setaria_italica_v2.0:IV:17560735:17567629:-1 gene:SETIT_006027mg transcript:KQL10532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESNIGLRNVRSFGTEGRKKDGQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQLATLHNDPAIIQSHYPHPALSSTSLPSAASTTAADATSHNAPSAIRMPPPFQGNLPPYQPGASLQSWNSSPMPSSANGAGLTMPPMYWPGYYTPPTGFPHLQPPPFLRPPHSLTVPQALQPPVQYPGLNGSVPAGFPSMPELPSFLQPGNSNSNSLGPSSGVSTSVSAPVSSSTSVTESSGSQLPKLSSISASMFSVGLTPPSVSPSISAVEPSMLVSQGIPSLVNSKPVALPDSTVPSVSSDKPVSVPAASVPTYLPSSQSPSANDASPVNVAEQVTLVTPGQLLPTASSTVISSQALQTASPMVPSSKVASTVPSSEATSSVVPSSQATSSIATPSQREWKAKQPAVAPSGNKEPLLPAPKPVLEKPIGASSYVQYNNRGRGRGRGGRGNGQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSTGQLNDDLNDYEDVLEDEISPRKPEAKPVYVKDDFFDSLSCNTIDNGGRNGRIKFSEQRKIDTETFGDSARHRPMGMRGGRGPRGGGPRGRGYYGRGYGYMGRGRGYSYPNHQS >KQL10533 pep chromosome:Setaria_italica_v2.0:IV:17560735:17567705:-1 gene:SETIT_006027mg transcript:KQL10533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAPESYIGSVISLTSKSEIRYEGVLYTINTEESNIGLRNVRSFGTEGRKKDGQQIPASDKIYEYILFRGSDIKDLQVKSSPPAQLATLHNDPAIIQSHYPHPALSSTSLPSAASTTAADATSHNAPSAIRMPPPFQGNLPPYQPGASLQSWNSSPMPSSANGAGLTMPPMYWPGYYTPPTGFPHLQPPPFLRPPHSLTVPQALQPPVQYPGLNGSVPAGFPSMPELPSFLQPGNSNSNSLGPSSGVSTSVSAPVSSSTSVTESSGSQLPKLSSISASMFSVGLTPPSVSPSISAVEPSMLVSQGIPSLVNSKPVALPDSTVPSVSSDKPVSVPAASVPTYLPSSQSPSANDASPVNVAEQVTLVTPGQLLPTASSTVISSQALQTASPMVPSSKVASTVPSSEATSSVVPSSQATSSIATPSQVASSSVLSQDVQVISETKAAKQREWKAKQPAVAPSGNKEPLLPAPKPVLEKPIGASSYVQYNNRGRGRGRGGRGNGQSRPITKFTEDFDFMAMNEKFNKDEVWGHLGKSTGQLNDDLNDYEDVLEDEISPRKPEAKPVYVKDDFFDSLSCNTIDNGGRNGRIKFSEQRKIDTETFGDSARHRPMGMRGGRGPRGGGPRGRGYYGRGYGYMGRGRGYSYPNHQS >KQL12322 pep chromosome:Setaria_italica_v2.0:IV:40009272:40010107:-1 gene:SETIT_008912mg transcript:KQL12322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGRRYGGWRRSRPRPSTSSSRSSTISSWTSSKLGRGAVRAYLQSEELAA >KQL09632 pep chromosome:Setaria_italica_v2.0:IV:4734196:4734486:-1 gene:SETIT_008364mg transcript:KQL09632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRSSKSMAAPKKAPKLDTLFTCPFCGYPDAVECRINLKDRIAKASCRICSDTYFTSAHALTAPVDVYSDWIDACELANEGVRRCRPRLVEV >KQL10924 pep chromosome:Setaria_italica_v2.0:IV:28164830:28166064:1 gene:SETIT_008711mg transcript:KQL10924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QFRASHGGGRPSRPYGRGRGRDCAGRDLDQGGRGEGYTGRAQRDGNTGGAHGVGHGGSHSDKAPADDHNRQGKEKPAGEPVSKKNKKFVLCCEICEEEHFTNQCPLLHGPKPAATYCGLAGDGLRFFHIPYTSAAKAPRKVSATALIKIIEGDVPADLVKSELARTILIKWDWVVQEHGKNTYIVPFLCQVELQRMISMRHLQTDINEGVMLFEKWNNEIKPKQNLQKIRSFLPLRGVGSILGATQRVDMRSMRKTGKQVVEQVIDTAVDKILEELSAKVAAE >KQL10358 pep chromosome:Setaria_italica_v2.0:IV:13460076:13462765:-1 gene:SETIT_005849mg transcript:KQL10358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNEIAPSNPMEPGDQKASSQPAVGNKPSSHSTEFQLRKYLLLLATLVATVTYVAGLNLPGGAWQEDTKDGLHRAGDPILQYAHHSRYLAFYYCNATAFAASLVVCLLLLVLDGTNTGWEALLRVVMVLDLLGLMGAYAAGSCRDTFTTIYSALLVCAVFAYIVISFSAFVISKNSVLAVVLPKKQGDDTEKQGKDTSTLEHEDELREVLMLLATFAVTITYVAGLNPPGGFWGEGNHKMSDPVLQEHYLSRYQAFFVCNTTAFIASLLIIILLVDKKLSSNKSVRFVALHGVIITVLFGLMGAYAAGSCREVDDTTYVVCLIGAVLAYIFLQAALTKAVKKKVPSPIHESPSKWLKLKAIKSFLGSKHQPERDQTGSGNTQHEEAVEKARSLVLLLATLVVSITYQAGLDPPGGLWPADGRAANGRDYKNGDPILLTTHPNRYKVFFYSNSAAFVASLIVIIMVQSRFLLKRHTLEAAMILDLFGLIGAYAAGSCRDEITSIYVVALAGIVLVYVVIHIIFFTLDHEDNRRDAKKLENRREVLLLLAILAATLTYQAGLTPPGGFWLDDEDEHRAGYPVLYDNYRPRYSIFFYCNAASFMASVAVIVLLVNPNLYRPGIRCYALYVCMVVGMFGLMGAYAAGSSRDLRTSIYVLILVVAVFAFVALEESGSSGSNTEQKTGPQSETTGKTPEAQKEKNMREYLMLLGVLAASVTYQSGLKPPGGLWQEDRNGHSSGNPILHDINKGRYYAFFFSNSTSFMASIVVVILLLPWTLHKHKLPLWPMQTAILLDMLGLLGAYAAGSTRDWVTSRNVIYLVIPVLAYIAAYAAVSLFRKRRRCHNSPEDV >KQL11680 pep chromosome:Setaria_italica_v2.0:IV:36022360:36023050:1 gene:SETIT_007396mg transcript:KQL11680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKSIKDERGRDSGGAANLPSNVVPRLHHNIHLGHHLGHPPQHVAGGAPELARPADDRELRLVVVDPDAAGDDEGAAAARPVGERDGDGGHEDLLVLGGQHVGALRPADGAGVDAGAIGDEVVDGHRVAEVRALQGLVRRPGVPDEVDGRLLRAADDVVHV >KQL10017 pep chromosome:Setaria_italica_v2.0:IV:8427451:8427857:1 gene:SETIT_008734mg transcript:KQL10017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSCLNQSLKPNKLLLRRIDGAIQVRSHVDLTFYSLVGSGRPRTTRSPLSFWGDGGIVPFEPFFHAFPGGLEKAVINRTSLILPF >KQL11068 pep chromosome:Setaria_italica_v2.0:IV:30509101:30509953:-1 gene:SETIT_007258mg transcript:KQL11068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSPWTSRWAPKRWSPSGTGPEKLLLARSTSISLPDVAMELGIAPVKALLDRFSFWSPLSAANAPSGISPESPFWDRKRNLSAGSADTSGNAPSLERREQAQVRRGALQAVSLQLQHHHAAAGALPHPDPPRRVVAAGVAGRARVRHPLVQLLRRAAVRPTQRVAERLQHVGLRRLSRRRREDERHRGQEEEHPGGLAGHGLGRWGCPRERVRAAH >KQL11450 pep chromosome:Setaria_italica_v2.0:IV:33837191:33842174:-1 gene:SETIT_006123mg transcript:KQL11450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGHNDPLLGETTCGSLLQQLQAIWDEVGESDEDRDKMLLQLEQECLDVYRRKVDHASSSRARLLQQLANSKSELTRLLSALGELSVSGIPDKTTGTIKEQLAAISPSLELLCRKRDSRVKEFADVQLQIQTLRGEITGNLQSGELLETPHVNEDDLSVKKLNEFLFELQALQKEKSNRLHKILESVSSVHDLCSVLGMNFVGTVTEVHPSLDDSVGVQSKSISDETLSKLSKMVIGLQEEKSKRFAKIQALASQLSDLWNLMDARVEERQPFHHITCNMSSTLDEVTVPGALALDVIEQAELEVERLDQLKASRMKDIAFKKQTELEDIYARAHIAIDTSAARDRIMSIIESSSFEPSELLANMENQILQANEEALSRKDILERVDRWMSACEEESWLEDYSRDDNRYSATRGAHLNLKRAEKARVLVNKIPAIVDTLVAKTQAWEQEHGMPFTYDGVPLLAMLDEYKILRQDKEDEKRRMRDQKKINDQLAAEQEKLFGSKPSPARPQSSRKVAGARANSGGGAVNGTPVRRLSALQSGGRTASRDGRRDASRPVAPVNYVAIAKEDVASQASSNHTGLSTP >KQL11422 pep chromosome:Setaria_italica_v2.0:IV:33482692:33482874:-1 gene:SETIT_008766mg transcript:KQL11422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSFMHLLVKFNVYYSREKKPQPHAADSGIQAEVVSSWLAPTYQIGRQRPRQVVDNLQK >KQL11157 pep chromosome:Setaria_italica_v2.0:IV:31228820:31230147:-1 gene:SETIT_008840mg transcript:KQL11157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRLLLCLVTWMQVLVGTVVASGARPPAMYVFGSSLVDVGNNNYLPGAAVPRAHRPFYGIDFPGSIPTGRFCTGYNIADYVALLPTCRWHPTPTCRSGVNYASAGAGILDSTVNAGNTIPLSKEVEFFKATKAKMVAAVGAGAVDALLKKSVFVVNMGNNDFYVFAAAERERNRSGKDQRRDAAALYTPTSARPVGECSGLLNQLAAGFNGALGSLLAGLAPRLPGLVYSLADLYGFTRDVVADPGASGFTDVAAACCGSGRLGGEAGCRPNSTLCTDRDGHVHWDLAHPS >KQL09090 pep chromosome:Setaria_italica_v2.0:IV:1143861:1145020:1 gene:SETIT_007247mg transcript:KQL09090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRVVVVSAAAATLGLAAAVLGFAAEYFKHKAFVRSDTSRCEYRRTPALGCGIAAALLSLTGLALVTAASRCFRRRDDAFAAAAESDERRCVTKVCATLAWLLVAAAAAMFLYGASRNAGRGRRGSFTAVERQPGRTFDFVYRCPELRDGLFVSASINAGIGIACAIAAYVDLHKKREHQTVTLGVAMGQPYPPAPVAYNPAQPPYGGYGGAKQPAGTA >KQL12351 pep chromosome:Setaria_italica_v2.0:IV:40117691:40120113:1 gene:SETIT_006406mg transcript:KQL12351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQSDLFQVPVGYTFSSSACHKNLVAAEKAPQPIMASFSGPLHRPLSAMAVAAFAAVSSLELPDKLSHHKLSDASSNADVLGSVPATRADAPAVPSASTLSGMQLLPCNLQNLHPLKAPFASLPVIQTAYQYAKIAKTSEQDEAIPAIPSSSSDVLYRWHLPDPRACADFPDKSQTVVVLLGWLGSRQKHLKRYAEWYTSRGFHAVTFTLPMSDILSYNLGGKAEKNVEMLSEHLAGWVREESGKKIIFHTFSNTGWLCYGVILENLQQQDPSAVEKIQACVVDSAPVAAPDPQVWASGFSAALMKKHSVTTKGLGSNDSRSDVLVVESNMEPKPAATEAVLLSALETFFDVVLNSPRINRRLSDVMELLSSKQPKCPQLYIYSSADRVIPANSVESFIEGQRRAGREVRACDFVSSPHVDHYRSNPGLYTSQLSDFLEECVLSTCREDKCPS >KQL12352 pep chromosome:Setaria_italica_v2.0:IV:40117691:40121811:1 gene:SETIT_006406mg transcript:KQL12352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQSDLFQVPVGYTFSSSACHKNLVAAEKAPQPIMASFSGPLHRPLSAMAVAAFAAVSSLELPDKLSHHKLSDASSNADVLGSVPATRADAPAVPSASTLSGMQLLPCNLQNLHPLKAPFASLPVIQTAYQYAKIAKTSEQDEAIPAIPSSSSDVLYRWHLPDPRACADFPDKSQTVVVLLGWLGSRQKHLKRYAEWYTSRGFHAVTFTLPMSDILSYNLGGKAEKNVEMLSEHLAGWVREESGKKIIFHTFSNTGWLCYGVILENLQQQDPSAVEKIQACVVDSAPVAAPDPQVWASGFSAALMKKHSVTTKGLGSNDSRSDVLVVESNMEPKPAATEAVLLSALETFFDVVLNSPRINRRLSDVMELLSSKQPKCPQLYIYSSADRVIPANSVESFIEGQRRAGREVRACDFVSSPHVDHYRSNPGALERRVPRVQRDEKQQGRTT >KQL11447 pep chromosome:Setaria_italica_v2.0:IV:33817392:33818737:1 gene:SETIT_008011mg transcript:KQL11447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKADTGSDLWVRGYLDPPHCGPGHGISIGSLGRYKDQKDVTDITVKDCTLKKSSNGLRIKAYEDAASALTASKIHYENIKMEDVGNPIIIDMKYCPNKICTSSGASKVTVKDVSFKNITGTSSTPEAVSLLCSDKIPCSGVTMDNLKVEYSGTNNKTMAVCKNAKVTATVSLKELACA >KQL09605 pep chromosome:Setaria_italica_v2.0:IV:4556160:4556617:1 gene:SETIT_009104mg transcript:KQL09605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMVTVPEDLQKQALLQVLCGGYTSMGGTEEIKVVYENKFERQADPSPIHHRTEFRSQSQMSATSLRLPRRPRQLIHQSVPFPASRTHVHAAESHK >KQL11716 pep chromosome:Setaria_italica_v2.0:IV:36293424:36297401:1 gene:SETIT_006216mg transcript:KQL11716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASVSFKSREDHRKQLELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNSEKPSLKHQRKWKSDPNYTKSWYDRGAKIFHANKYRKGACENCGAMTHDKKSCMERPRTVGAKWTNMQIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIAEYEAREEARKKYLKEQQLKKLEKNGNQDDNDVGSDEDTEDGLKIDEAKVDESNQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDMDPNEKFYVGDNQNRLSGQALEFKQLNLHAWEAFEKGQDIHMQAAPSQAELLYKSFKIKKEKLKSETKEKIMDKYGNAASEEPLPRELLLGQSEREIEYDRTGRIIKGQDTSVPKSKYEEDVYINNHTSVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEEEPVQHEERRLATWGTDVPDDLVLDKKKLAESLKKEDERRREERDERKRKYNVKWNDEVTAEDMEAYRMKKIHHDDPMKDFLH >KQL10012 pep chromosome:Setaria_italica_v2.0:IV:8414913:8417893:-1 gene:SETIT_006285mg transcript:KQL10012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRAFRPSAPRRAAFAALLTLLLLAALSFLLSSGPASSARSSAPPSARLAAVRRHAADHAAVLAAYAAHARKLKEASAAQSLSFSSLSSDLSALSARLAAHLSSSSLPEDALRPLEKEARERIKFARALAADAKEGFDTQSKIQKLSDTVFAVGEHLARARRTGRMSSRIAAGSTPKSLHCLAMRLLEARLANPSAFADEPEASPEFDDPALYHYAVFSDNVLAVSVVVASAARAAADPSRHVFHVVTAPMYLPAFRVWFLRRPPPLGVHVQLLDYSDFLFLNTTNSPVIRQIEGGNRDVVLLDYLRFYLPDMFPGLRRVVLLEDDVVVQKDLAALWHVDLDGKVNGAVEMCFGGFRRYRKYLNFTQPIVRDRFNPGACAWAYGVNVFDLEAWRRDGCTELFHQYMEMNEDGELWDPTSVLTAGLMSFYGNTKALDKSWHVMGLGYNPSISPEAIRSAAVIHFDGNMKPWLDVALNQYKALWTKHVDTEMEFLTLCNFGL >KQL09288 pep chromosome:Setaria_italica_v2.0:IV:2363531:2364229:-1 gene:SETIT_007352mg transcript:KQL09288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENRNLAPTWATTAGLGFLTLNSGLAIYRAKGDPASIFFVLASYLTLLLLFGYLRAYERAPHVSPARERARRAVWPLTTLLTAAFSSPRTGAAGAGHPMERQVAALMMAAFGAMACDSALAVHDARGGDVVSAAAVLVAYAALLALTFRFLRAFAGRARGVGHGQDDEGRGVTAVDAVRLD >KQL10189 pep chromosome:Setaria_italica_v2.0:IV:10673528:10673794:1 gene:SETIT_0057352mg transcript:KQL10189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLLVVAVYPGSKTNLLSAQPLRVRKNAEAGSDGRGSELQIRAPAGCRELRIGARCQLLLDSIGGLSQGWRSAGPDQGAHQGSKISSWI >KQL10602 pep chromosome:Setaria_italica_v2.0:IV:19874835:19875308:-1 gene:SETIT_007660mg transcript:KQL10602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMRLPTINSIFTLSFPPRETSSAVSTSHPQSQQGNVASPTATTPISINGKQYSKQVNCDPKLFTKLKFQIEQPVPCQQKKYHPAD >KQL11902 pep chromosome:Setaria_italica_v2.0:IV:37474644:37475763:1 gene:SETIT_008219mg transcript:KQL11902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNAIIQAKLVLLGDLGAGKTSIVVRFAKGLYYECQESTIGAAFFSQVMSLDEATVKLDIWDTAGQERYHSLAPMYYRGAAAAVVVFDITSTDSYMRAKRWVDEIQRQAGNPHLVMALVGNKVDLEERRQVGTQEAMDYAEANGLLFTETSAKTAQNVSELFHELAERLVELRPSRPAGMILHDGRHRHGNGGGRWRFCCSG >KQL11673 pep chromosome:Setaria_italica_v2.0:IV:35819957:35825807:1 gene:SETIT_006316mg transcript:KQL11673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVKDGVDMEEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRSGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDATH >KQL11672 pep chromosome:Setaria_italica_v2.0:IV:35819957:35824807:1 gene:SETIT_006316mg transcript:KQL11672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVKDGVDMEEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKGKHAEGGEDDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRSGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQVLLHVLILSSQMLQLHKGQL >KQL12009 pep chromosome:Setaria_italica_v2.0:IV:38141680:38148044:1 gene:SETIT_006026mg transcript:KQL12009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYCNGPSAPSSAELEVAKWQRADGLVVERKEGWQAGGGPTPCSPRRVRNERESGRRTKPRRVGASAPLQFPLLPPDRLSPPRRAPEPRSTAGSDFLGDNEMSGADQCSSQPQFMTSVGRSNRSNGPGTPLIESIDVDQIVIPEKNSWKNLFSYIGPGFLVSIAYIDPGNFETDLQAGAQYKYELLWIILVASCAALIIQSLAARLGVVTGKHLAEHCRAEYPKVTNFVLWILAELAVVACDIPEVIGTAFALNMLFRIPVWCGVLITGLSTLMLLLLQQYGVRKLEFLIAFLVFLIATCFLVELGYSKPDASEVVRGLFVPELKGNGATGLAISLLGAMVMPHNLFLHSALVLSRKVPRSVHGIKEACRFYTIESAFALTVAFLINISIISVSGAVCGSGNLNPEDQANCSDLDLNKASFLLKNVLGTWSSKLFAVALLASGQSSTITGTYAGQYVMQGFLDLRMTPWIRNLVTRSLAILPSLIVSIIGGSSAAGQLIIIASMILSFELPFALVPLLKFTSSKTKMGQHTNSIFTSVLTWVIGSLIVIINTYFLVTSFVKLLLHSGLSTVSQVFSGIFGFLGMLIYMAAILYLVFRRNRKSTQPLLESDPELAASDHSTGAGAEGGSLGHLPREDISSMQLPQQRAGTDLD >KQL09595 pep chromosome:Setaria_italica_v2.0:IV:4484533:4485962:1 gene:SETIT_007373mg transcript:KQL09595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELGLAPPNPHGLLGGGCGKRVFGGAEKATLPLFVRDGGGGGDDGNRDVLDHEPSNKRKRLVGWPPVKCAHRRSCGGGAGYVKVKMEGVAIGRKVDVSLHASYEELLRTLARMFPSAANKGAEEEREVAQHERRRGHPYAVTYEDGEGDWLLVGDVPWEAFAKSVKRLKILA >KQL09995 pep chromosome:Setaria_italica_v2.0:IV:8106871:8109170:1 gene:SETIT_007900mg transcript:KQL09995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGVGGGRGNPSGGGARAQNRGVARWRKQAPKERWRERLTPHLNTGDHSPRDMSQEPAAVAVVSMTSAPDQPRPHVLLVAAPFQSHVNPLLRLGRRLAAKGLLVTFTTALRAGIRLGPGDGDDGDAEADASRGRLRVERLRGGGIWAPDDPRFRVAGDMARHVEAAGPAALEELVRGQAEAGRPVTCVVANAFVPWALRIAGELGLPGGMLWIQSCALLSVYYHHVHALAAFPEADAPGSVTLPGLPELDADDLRPLLIYASGHEIWRHMLVEDLGRATETVPWVFVNTFDDLEHATISGLCEHFPVIPVGPLIDPDDADGESSSAAADDGCTSWLDERPPRSVVFVAFGSLVNNDSDEVAEIAAGLASTGRPFLWVVRDDNRALLSSDALAAACCGRGRGKVVPWCAQGRLLAHPSVGCFVTHCGWNSTAEALAAGVPVVASPRWSDQRINARFLVDVHRVGARAPTPLTRDALRERVEEVMGGPEAEAMARRAASWREKARAAVRDGGSSDRGVQAFVDQIRHVGARP >KQL08929 pep chromosome:Setaria_italica_v2.0:IV:345261:349738:-1 gene:SETIT_006883mg transcript:KQL08929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMSTTPHPLDSSVPGVGGGGGGGGEGKEAARRVRLVQAAAPTAVPLGDEDDEGPRRVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPHKASKKALQVVLPQQASHIMEQGCGTSMDTATVATDLSANDAFLSWENDPVQQFSPRHTQGSGATNNYSSSIGSQSGTWPTSEATEQAVMLPALRAMPDFARVYSFLGSIFDPETNGHLQKLKEMDPIDAETDFDLQVLLLMKNLSINLTSPNFEEHRRLLASHDSSMDQDVGSSHTVHLPFMITSK >KQL08930 pep chromosome:Setaria_italica_v2.0:IV:345878:349842:-1 gene:SETIT_006883mg transcript:KQL08930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMSTTPHPLDSSVPGVGGGGGGGGEGKEAARRVRLVQAAAPTAVPLGDEDDEGPRRVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPHKASKKALQVVLPQQASHIMEQGCGTSMDTATVATDLSANDAFLSWENDPVQQFSPRHTQGSGATNNYSSSIGSQSGTWPTSEATEQAVMLPALRAMPDFARVYSFLGSIFDPETNGHLQKLKEMDPIDAETVSPLSFHLKLIHVL >KQL08928 pep chromosome:Setaria_italica_v2.0:IV:344840:349842:-1 gene:SETIT_006883mg transcript:KQL08928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMSTTPHPLDSSVPGVGGGGGGGGEGKEAARRVRLVQAAAPTAVPLGDEDDEGPRRVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPHKASKKALQVVLPQQASHIMEQGCGTSMDTATVATDLSANDAFLSWENDPVQQFSPRHTQGSGATNNYSSSIGSQSGTWPTSEATEQAVMLPALRAMPDFARVYSFLGSIFDPETNGHLQKLKEMDPIDAETVLLLMKNLSINLTSPNFEEHRRLLASHDSSMDQDVGSSHTVHLPFMITSK >KQL09861 pep chromosome:Setaria_italica_v2.0:IV:6779109:6782421:-1 gene:SETIT_006579mg transcript:KQL09861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPAPAIAAALLAILAAAAAKTTIEPCSGADACPALLGYTLYADMKVSEVAALFDADPAAVLAANALDFASPGAANRILPAGTALRVPTRCGCADGVRKSVAVRYATRPSDTLGNVADVVFAGLPSADQIRTANGLAAEDPDAPLAPGLKLVIPLPCVCFNSTDNNLPAVYLSYVVRVGDTAQSIAASHATTVTDISNVNAMGSPIVAPGDILAIPLSACASAIPSSASDYGLLVANGTYALTAGNCVQCSCGPENLNLYCTPAPASLTTSCSSMQCPNSSLILGNVTAQPTSGGCSVSSCIYGGYVNGTIATSLSSGLQPTCPGPHQFPPLTALPTAVNHGSYSPAPAPGPGEAGGAIPGGSNVSPANEPAGNTAQAPVMNQPGCILLLSFLCMVLSLRM >KQL09652 pep chromosome:Setaria_italica_v2.0:IV:4990501:4991201:1 gene:SETIT_008401mg transcript:KQL09652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRWNSFSSICIPIRSFSYHCNRKNLGGYGIIGLFRRRGEPSVEPLQAIRYVRMNDHGHFNQHGWATFQLYGRSLYLLISGVVYHLDEPVFNGDEGNFGITLTGIYGRRTPLVIDLPRSEEPMDIFVLTTGSPGENFAVFLSNLFSTCYLFLYS >KQL09658 pep chromosome:Setaria_italica_v2.0:IV:5026234:5029308:-1 gene:SETIT_008564mg transcript:KQL09658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDFRRDNYPSTAAPPFPVPFPNLRLRRSSFPPPSNLHLRPRPKNRGKEGQIGKEGRISIGEEGESLQLVRAISTFGVAVEDGVEVLSTPQEPFVGMTFNSSDAARDYYNSYARHTRFSIRIDTSHESKRSGDKTKFIFWEVVHFEKEHNHVRMRKFLLTKYLNSHIGIPGEEKDFINLLHDCNIRTTQAYQIMGELYGSIAHCPYTEGKDMKATLDYFEELKKEDPDFYYNYTLDDKDRVENLFWVDDKHGLNDDERFKRLYDMRHRWVPAYFMQYFFPFLHTTTRSEGFNAVLKRYVNPKNSIYNFVQQYRKIQQRIFGKRDLQEANMVTKVSHYLTGHPLECQMKEVYTRKLGDNLIDVVPYKCCREPLYSTRTFRVTADKLESRFGEPLYGTRTFRVTANKSEGVYSCTCCKFQRDGVLCCHVMKVFDMLIVREVPEWYILPRWSA >KQL10091 pep chromosome:Setaria_italica_v2.0:IV:9472381:9476647:-1 gene:SETIT_006186mg transcript:KQL10091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRKPARGAAAEERDPDGMFRGVSAFIVPHGVQTRRLEVWKQRLVQMGGRVVEKVDKGGAAGGANHVLAMDAKALLRELDAAWLHRFRGSVVSFEWLEECLKSGKRLPEHKFAINYEGEFKPKKAAGNGDSGASQSAKRSKISSEDLGDQQRTSGGDREEHSDASADKGSGVETKPNQYAISQSSSGDTKNTVASHGTFDIEEASSGGPSIYAPADLNRNITSIFGRLIDIYRALGEDRRSFSYYKAIPVIEKLPFKIESAEQVKDLPTIGKSLRDHINEIVTTGKLSKLEHFENDEKVRTISLFGEVWGVGPATAVKLYEKGHRTLDDLRKDESLTNAQRIGLKYFDDIKQRIPRHEVSEMEKILQDVGKDILPGVIIVCGGSYRRGKATCGDMDIVITHPDGESHVGFLPKFVQRLKEINFLREDLIFSIHSVDGTDSGVDTYFGLCTYPGRELRHRIDLKVYPRNRYACGLLAWTGNDVLNRRLRLLADSKGYVLDDTGLYLATRGSGGKHAGRSDAIVNCHTEKDVFDTLGFPWLEPHERNL >KQL11332 pep chromosome:Setaria_italica_v2.0:IV:32647658:32650559:1 gene:SETIT_006289mg transcript:KQL11332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAATTATTTTLRPALPQGPEQRASLLCTPKHRVAAGGRRSLSFTARASLNSGAKVSIPKQWYNLVADLPVKPPPPLHPQTHQPLNPSDLSPLFPDELIRQEVTDERFVDIPEEVIDVYKLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASSLFGLNCEVWQVRASFDQKPYRRLMMETWGAKVHPSPSEATEAGRKILAADPTSPGSLGIAISEAVEVAATDAATKYCLGSVLNHVLLHQTVIGEECLEQLAALGEAPDIVIGCTGGGSNFGGLAFPFMREKLAGRMSPEFRAVEPAACPTLTKGIYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMDAVAIQQTECFQAALQFARTEGIIPAPEPTHAIAAAIREAMECKKTGEEKVILMAMCGHGHFDLAAYEKYLRGDMVDLSHSADKLEASLAAVPKV >KQL11333 pep chromosome:Setaria_italica_v2.0:IV:32647658:32650559:1 gene:SETIT_006289mg transcript:KQL11333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAATTATTTTLRPALPQAGPEQRASLLCTPKHRVAAGGRRSLSFTARASLNSGAKVSIPKQWYNLVADLPVKPPPPLHPQTHQPLNPSDLSPLFPDELIRQEVTDERFVDIPEEVIDVYKLWRPTPLIRARRLEKLLGTPAKIYYKYEGTSPAGSHKPNTAVPQAWYNAAAGVKNVVTETGAGQWGSALSFASSLFGLNCEVWQVRASFDQKPYRRLMMETWGAKVHPSPSEATEAGRKILAADPTSPGSLGIAISEAVEVAATDAATKYCLGSVLNHVLLHQTVIGEECLEQLAALGEAPDIVIGCTGGGSNFGGLAFPFMREKLAGRMSPEFRAVEPAACPTLTKGIYAYDFGDTAGLTPLMKMHTLGHGFVPDPIHAGGLRYHGMAPLISHVYELGFMDAVAIQQTECFQAALQFARTEGIIPAPEPTHAIAAAIREAMECKKTGEEKVILMAMCGHGHFDLAAYEKYLRGDMVDLSHSADKLEASLAAVPKV >KQL10833 pep chromosome:Setaria_italica_v2.0:IV:26597589:26599029:1 gene:SETIT_007973mg transcript:KQL10833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLIFDNALTIPSPYLQSASLRIEEMRVKRHDTDQWIIRCYEQYRWQETSGVDEEQLLVNLPKDLRRDIKLHLCLSLLMRVPMFENMDDQLLNGMSDRLKPILYTEGSCIICEGDPVTEMLFIMRGNLMSMTTNGGRTGFFNSDILKGGDFCSEELLTWALDPTSTSSLPNSTRMMKTISKVEAFALRVDDLRFVATQFRRLHSKQLQHQEQWRTWAACFIQAAWHRYCRKKTEYSFFMAALYASRFARNMVRILRRKATRKARLPARLLWKPAETNFSAEEQ >KQL10023 pep chromosome:Setaria_italica_v2.0:IV:8559251:8560662:1 gene:SETIT_008382mg transcript:KQL10023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNTPSKSGTGFFKTCFNGVNALSGVGILSIPYALSQGGWLSLLIFITIATICFYTGILLQRCIDSSSLVKTYPDIGELAFGRKGKIIVAIFLYLELYLVAIDFLILEGDNLEKLFPSANFHIASLKIGSKQGFVLIFSLLVLPTTWLRSLNMLAYVALGGVMASFILIASVLWVGAFDGVGFHEKGVTVNWSGMPTAMSLYAFCFSGHAVFPMIYTGMRNRKTFPTVLLICFIICTLSYGVTGIIGYLMYGESLSSQVTLNLPSKRIASNIAIFTTLINPFTKFALLITPIAEAIEDSLRVGKNRTIGIFIRTALVVSTTIIALVVPFFAYVVALTGSFLSSTVTILLPCVCYLKISSRTSRNLRLELVVCLGIIMIGAGVIVVGTYNSLKQIVHSF >KQL10435 pep chromosome:Setaria_italica_v2.0:IV:15082392:15083884:1 gene:SETIT_008188mg transcript:KQL10435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLLLCVIFCSYCLVALGGRHERGFVLVPTSSSSASEPACSSVSQVTSDPNRASVPLAHRQGPCAPASVASSGKPSLAERLRRDRARRSHILSKASGRTVTLSGASVSIPTSLGAAVDSLEYVVTLGFGTPAVQQTVLIDTGSDLSWVQCKPCDSTACYPQKDPLFDPTASSTYAPVPCDSNACKALADGGYNDGCTNRTDSSLCQYGIEYGNADTTVGVYSTETLTLKPGVAIRNFSFGCGLRQQGTFDKFDGLLGLGGAPESLVSQTAGTYGGAFSYCLPPGNTTTGFLALGAPSNNTADFLFTPLHSSPEGPTFYVVTMTGISVGGKKLDIPPAVFSRGMIIDSGTVITGLPETAYSALRTAFRSAMSAYPLLPPKEDLDTCYNFTGFSNVTVPTVSLTFDGDVTIDLDAPSGILLDDCLAFVGAGSDDTGIIGNVNQRTFEVLYDSGRGHVGFRPGAC >KQL10569 pep chromosome:Setaria_italica_v2.0:IV:18478946:18480658:-1 gene:SETIT_008799mg transcript:KQL10569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGSGRKHKQSHIGSALEGYVEYKKSQTSKTLQALEKRKRHEEEFLVEKCVDQVDAMVELTDEEKSYTLDVFESETHRKIFIATKNPNVRLMWLK >KQL09051 pep chromosome:Setaria_italica_v2.0:IV:894890:896128:-1 gene:SETIT_008029mg transcript:KQL09051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIPLSSRTWLPLNHRRGPCSPFPSSETIPSTADVLHRDRLRADRIRKGLNGTAGAKRRDVTVPTTLGSSLDTLEYVVTVGLGTPAVTQTVHIDTGSDVTWVQCRPCPTTACHPQKDKLFNPARSATYSAIGCGSAACNGLSRDLYGNGCSKRRQCQYIVNYGDGSNTTGTYSTDKLTLTPAYAVDHFQFGCSHAAQLFSDKADGLMGLGGGSPSLVSQTATKAFSYCLPPAANYSGFLTLGVPRASSSRFTVTPMYRTMSVDTFYLVLLQGITVAGRRLRVPPSAFSAGAVMDSGTIITRLPPKAYRVLRAAFRKEMKMYPRVASSSAILDTCFNISDAVGDDVKVPSVSLVFERGATVELDRSGTILDGCLAFASTGDDESVGIIGNVQQRTLEVLYDIGGGAVGFRRGAC >KQL09186 pep chromosome:Setaria_italica_v2.0:IV:1755863:1761800:-1 gene:SETIT_006305mg transcript:KQL09186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSDERYRSAPSAASGRTARCRTGSRVSMSASSRWICFATIRTRRSFAMHRTTRSDEGGGRSDDGGSRTKVRARGRGGGASGRHGNIAAGSFDRQPPALSPASLSPNPSRRHRRLNPRRTNRSDLSAAMAKEKKRKEPPSDAAPPQGGEGEGKRERKGKKAKKHKAEAILPSQIKNKEKRSELHAKLKREKKAEKRKLARERSQAIRRAEELGEQPPERQVPRTIENTREPDETVCRPDDQELFAGNDADEFNAVLKQHIIPKVLITTCRFNSGRGPAFIEELMQVIPNSHYVKRGTYELKKIVEYAQNRDFTSLIVVHTNRREPDALLIMGLPDGPTAHFKLSKLILRKDIKNHGNPTSHKPELVLNNFTTRLGHRVGRMIQSLFPQDPNFRGRRVVTFHNQRDYIFFRHHRYIFETKESKVASKDKKAKTSESKSQPEKQVICRLQECGPRFTLKLLTLQHGTFDTKSGEYEWVHKPDMDTSRRRFFL >KQL09035 pep chromosome:Setaria_italica_v2.0:IV:772186:775035:-1 gene:SETIT_008818mg transcript:KQL09035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HRLLPSSPSSINGRHQEPSNPHLTSPPASSSAVRFPRLLSVRSFLVLVGLKEEGKGEVGDWGWSEAVGARPSMLSSASSAGAAAGDGGWGWAVGGGGYPPPQRGAAVFTAAQWAELEQQALIYKYLMAGVPVPPDLLLPVRPSPHSAAAAFSFAGPAATSPFYHHHHPSLSYYAYYGKKLDPEPWRCRRTDGKKWRCSKEAHPDSKYCERHMHRGRNRSRKPVESKTASPAHPSQPQLSTVTTTTRETAPLDSLTAGGKTHGLSLGGAGSSQLHVDASNAHYRYGSKYPLGAKADAGELSFFSGASGNSRGFTIDSPTDNSWNSLPSNVPPFTLSKSRDSGLLPGTYSYSQLETPQELGQVTIASLSQEQERHSFSSGAGGLLGNVKQENQPLRPFFDEWPGTRDSWSEMDDARSNRTSFSTTQLSISIPMPRSRQPLGEVWGVV >KQL09330 pep chromosome:Setaria_italica_v2.0:IV:2560672:2561007:-1 gene:SETIT_007577mg transcript:KQL09330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNDAPRFALCVALGVSYIIKPLLEFLEGATPLGPVLHAAVGVVLVALPFAYLMSIVLLQLRLAPVQALPPDPAPARRFACLACTMVAAVLVVLAVPLIALWFLAGGGL >KQL09331 pep chromosome:Setaria_italica_v2.0:IV:2559324:2561636:-1 gene:SETIT_007577mg transcript:KQL09331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELNDAPRFALCVALGVSYIIKPLLEFLEGATPLGPVLHAAVGVVLVALPFAYLMSIVLLQLRLAPVQALPPDPAPARRFACLACTMVAAVLVVLAVPLIALWFLAGGGL >KQL09542 pep chromosome:Setaria_italica_v2.0:IV:4122123:4126109:1 gene:SETIT_006627mg transcript:KQL09542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLRGVRDDLSELGRHLLDIACFLHPLLNPAHTDSPPATPTGPRRAARRSPSPHPAAAPPSPSLLAGILSDLAEIGGSLRGGFSRAATAPAPQPDHLPASAAAESLQGSASPPASPPPPATAAAAAASQVPDDVVGAARALAARPEAWIDFPVLALDENSIISDIQRDHLESIEKLVPDLASLRTRLCPSYMDEDIFWKVYFRLLESNINEHSSEEDNRSVPNSARHVNEIESDSPPHVCEIESVKSNQEGYQSSDGQALPKTRSERSIDQWVFAKSKSEESMDQWSEIPSDVESFREGKRYLSSEELSDVDSANVVVMDKYMDSLLSDRRNLPYASSSVRRDSVRRKPASSTDYSHRPPQPTPPASLSKKESWDVIEDSEFDILDS >KQL09087 pep chromosome:Setaria_italica_v2.0:IV:1121183:1123018:-1 gene:SETIT_008220mg transcript:KQL09087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGCSFSSSRHQMSTAQRFDILPYGFSKRSNRGDGGGGGAPRVAAGDARTGGAATCSFRAHPAPPVTQAVSWGAKPEPGGNGAGGVWERSRAVKRAHEEYGGPVVRAKRTRMGGDGDEVWFHQPIAGTVQAAGGGEGEEPEEEKAFLVPSAAAFPHAGTSLAAAKEEEFSKSPSNSPASSGGTDGGSSAVPPPELHARNGVPAPEEAGREAMELVVALTACADSLAARNHNAASYYLARLGEMASPAGPTPMHRVAAYFAEALALRVVRTWPHLFDVTPPRELTDGAAGDDDATALRILNAVTPIPRFLHFTLNERMLRAFDGHDRVHVIDFDIKQGLQWPGLLQSLAMRAVPPAHVRITGVGESRQELQETGARLGRVAATLGLAFEFHAVVDRLEDVRLWMLHVKRGECVAVNCVLAAHRLLRDDTGAALADFLGLARSTGAAILLLGEHEDALNSGRWEARFARALRYYAAAFDAVDAAGLSDASPARLKAEEMFAREIRNAVAFEAADRFERHESFAGWQQRMEEGGFQNAGIGDREAMQGRMIARMFAPGNYSVQAQGDGEGLTLRWLDQPMYTVSAWTPMGDGGGGGSTVSASVSTTASHSQQS >KQL10967 pep chromosome:Setaria_italica_v2.0:IV:28942211:28942951:1 gene:SETIT_007584mg transcript:KQL10967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPEIMSCCSSSEEEEPSSPTAAGDERLLVQLVPRAVSDGLLGKFADTSAFDFDYDRSGLWSPLVLRHDSLLLAAAQSPGRRRGLRPRRRWRRKRRKMICCCWRWW >KQL09075 pep chromosome:Setaria_italica_v2.0:IV:1067054:1067994:1 gene:SETIT_007199mg transcript:KQL09075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYTDVGYDEQDQSPEAAAGGEYATVMSAPPKRPAGRTKFRETRHPVYRGVRRRGPAGRWVCEVREPNKKSRIWLGTFATAEAAARAHDVAALALRGRAACLNFADSARLLRVDPATLATPEDIRRAAIELAEASAHQDAAAAVASSSSSSSSAAVAAAAPMTAMMHQHQDAAPYDYAAMYGNMDFDNPYCYDGMGGGAVAGGNDWTQTGWHTDGDDDGAGAAGCGSDMTLWSYY >KQL12014 pep chromosome:Setaria_italica_v2.0:IV:38187556:38192091:-1 gene:SETIT_005786mg transcript:KQL12014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAFSASKPSPSGREKGRRKGGGGAAAEHLLTDQVLTLRTRLRDALALGLTKSDGHGAKTWQSTDAGIQSHVLKAVAAFVGSLSNEALRLALIKESISDVLLALEGILKTKNVSVLIQAADVSLKLVSSIGNSIRQYPILEMVSSLSCQLSAEQLRIAVPCASALTCILNSLVTARASTQAEIWVALEKTDAVASVISALQNYTHDVHPLNYLTEMISLLRSILWIWPSSRYHVWSNCNLMAKLAQYCLSAETTVAAKILKLYAALALCGNGAMILLKDEELICKVGDLMGRSHPIVTRIEALKLCQVLLRSSRGYNQLMASHYQPIVQGIIDAMSEIDESLLVTEGCRTALLALRYYGNHHRCFWSNSIDEVLYKILAGHCSSEHQTHQMRHGDLFNKDYKDIMNMHPYVWDILGYLAVHCNNEYLSVRKRKNSFLQALISCVCSLATDLTQRNSSTKFSKEDQEPALRAVLMMLLSPSQYIFSEASSKFLEVVVPLGDEYMNILMSSLESNATRNLTASFDCVKIMTNLMNLACLVIVQSNHSLSKRNAVDVLSTIIKECLHNHLYITRANIASHLQFCFDGSSCCYLTEEWEGENVLLFYGLMVLYNVLRKVSFVCIHCKKNLDAGIVCHDCREYYNEGFIRVLEHAFCQNLSPGPKSYIAHILSLFGLCGFPSKLGGKMRSALCDNELVDLELLLADGSSLSAHAAILSARCPKLLPSEKSLVRDGKSSDEWSRRSLYHVRMSDRVDSHALKKILEYAYTGFVTVDDDIVKPVKTLAKYCHLKSLREMLQKEQPRWNSDCPRYDLTAAVEPAEDSFSFAEAIRVPVGWEALNKLVQWFYSGELPRVPPDCRWKNMSAEEQLSRLKPYAELSSLAEFWFLEGVKEESLEVVASCLNSSTNASLDTISFAANLGQWELVDAAIGSVAHLYPRLRDSGQLEQLDEDVLNMLRAEYVRYLQHRGGSY >KQL09504 pep chromosome:Setaria_italica_v2.0:IV:3917954:3920195:1 gene:SETIT_006387mg transcript:KQL09504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARPPHGGAPARGLGRHEYAVLALTFASYASFHASRKPPSIVKAVLSAGWAPFSGARGTHRLGELDVAFLSAYALAMFAAGHLADRADLRRLLGAAMLASGAACAALGAASPAASSSPPGWPCVVAIVGNWFGHSSKRGTIMGVWNSHTSVGNIAGSVLAATVLEFGWGWSFLVPAFVIAALGVVVLVFLVAHPSEAGLEVQAMEVEMNGDGGEEVGLLGQDKKEAQGNEDDELELEMGSQLPRAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYIRNNAVAGQLLSHKASGILSIVFDIGGVLGGISAGFLSDAIGARAVTSVLFLFLSIPALIVYRTYGSISMHHNIGLMFLSGYFVNGPYSLITTAVATDLGTQDAIKGNSRALATVSAIIDGTGSVGAALGPLLTGYISTRGWNSVFFMLIVSISLAILFLIRLARDEIVAKLGTRS >KQL11845 pep chromosome:Setaria_italica_v2.0:IV:37131528:37133855:1 gene:SETIT_008496mg transcript:KQL11845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEAGVSFTLDDGTGKIALVRWNGMYLKVQVTLVGFQAKQQGFARSIRPVTNFNEVVLHFIECIHVHLENVRPKFSAYPGTGGQQRDLQRMVMEVMQQPDI >KQL10717 pep chromosome:Setaria_italica_v2.0:IV:24004844:24005925:-1 gene:SETIT_008050mg transcript:KQL10717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EADRAAWNSAYEKGLVEILLDYKDNPKYKDQNGWVSEGCKIITSKFNEKFPAAHFTKKQAQEKEKELKANYKALRDAKRDSGNGWNESLCMINGTKSMGDANCAKFRKKPFPLFYQLEALYEVLLKLNFTSTMQVDPPSTPAPPAVPPPAPPAVPSSAPPIERSNSEQSSSHLGANPFTSSFDGQETSSAHNERNEAQDSRQEEGESGKKPLEERKRCEEEFLVEKCVDQVDAMAELTDNEKSYALDVFESGTHRKIFITTKNPNV >KQL10626 pep chromosome:Setaria_italica_v2.0:IV:20696311:20698413:1 gene:SETIT_007924mg transcript:KQL10626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NRDEVEEPQMAKKQAAPKNTWRCGGSRAEDAGAALAPRVLPRRSPRLAGRDPDHLILIDNGIEECEVRDYQSAITPRRRSPRFHPADKSLGTQLLPQKFLQVTHNRKTPNVSGKDKNIKNRRRSQRNAAAKALPRTKNHKEPQPLRSNSQDIPIRQKIADLSCKRKEKKQLNPSHREVLTRKRKRGTEGRSSPKRQNYQELQSLSPDSQEIATSNETRKVSQKKGKNKRNSSLVVQPQFGDERLMNTEENNEVPSGIERERKQHFHGLDDWTEEQDVALRHAYFSARPSPHFWKRVSKLVLADGMEEELLPGLLKADGQPSM >KQL10842 pep chromosome:Setaria_italica_v2.0:IV:26835445:26836183:1 gene:SETIT_008975mg transcript:KQL10842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQAPMAVALCKKSNCVARVLAYALLEWILIALLLTNSVFSYLISRFAAFFGLAPPCALAPASASTASSSATRTVASAAPSPCAACCATRTPPSCRASATAASTAGSRTPGTCARTAPRRPGRRCCRGWAGASSASGNSPALAAASCS >KQL09769 pep chromosome:Setaria_italica_v2.0:IV:5851699:5852287:1 gene:SETIT_009091mg transcript:KQL09769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLHLLIEGKPMNFFLKKAGRVLQSLDLVIVLVLLPLYFEVQLQFYHRFLNFVILSFTIYNSTQFCLNKIMLTYEYK >KQL12195 pep chromosome:Setaria_italica_v2.0:IV:39350514:39351229:1 gene:SETIT_009151mg transcript:KQL12195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTTTSSGDGGGRFPPELSVEAVFRCVRIGPVDEPDAELAYQTAVSIGGHTFKGILRDHGPADDAAVGQLPPSSEYHQLTGQAREGSSPAGSSEAAATVATSAAVLMDPYPTPIGAFAAGTQFFPHNPRT >KQL10354 pep chromosome:Setaria_italica_v2.0:IV:13394310:13398317:-1 gene:SETIT_005734mg transcript:KQL10354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGNTRHDSVDTSSTKNLLDRSPESRVADDFDLLWSLRKYLVLLGILAVSVTYNAGLTPPGGFWSNNINGPGGHYAGDPVLHAQFFIRHQVFFYCNATAFAASLVLIILLLSKNVTRQKLWLRSMQLTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVFAVFVYIMIHILVFIRVVPKFVSEKRFVPKWLKEKVDSVQGWILSKCHKSQRNSPQEKDIDEARKFILMLVTFAATVTYQAGLSPPGGFWAENEKKQIPATSMLRSKHLARYNTFVICNSTSFVASLVTVILLLSPELSKHGIRSKAVIACVVVDLLGLIAAYAAGSCTSVATSVCVISITVGVWICFALLAGTFVHRPVADWLKKIEPDINKYVDAIGRVFSLETRRNKLRNREGDNTASSRKSAHCETDATESASESKHEIARDQQVPNIKDKEGESHGEQQTTGKHQTANTDEVVSGSEHALMSDEQSENSNDVMYSLEDHSTQAAAKEPMSKTDIEQVINDDTSPFTNGNIEKNDEAHKQDDSNENDGGNETDEHLKKSRTYLLLLAILAVSLTYQSGLNPPGAFWSKRENNSSTADSILKGAHHRPHLPGDPILEDTHHRRYIAFFYLNAIAFVASLVMIIMLLNRRMSNKVIKRYALQTAMIVDLLSLTGSYVMGSCRKTKNSIYISLLVCLVLAYVVVHVLIAIHVIPEGWKKALAGKIEKLSCRYIWTKEPSFGHNQRNADNDMDYELGHGQRGDADDKYWERRRKLLLMLAVLAATVTYQAGMNPPGSVWSDGMSKPGDPILQHNNVKRYDLFYYSNSLSFVSSVVITILLVNKESCEHGIKSYALRMCLVFGLVGLLIAYAAGSCRKERQSIYLIIIAVAVLIALVIQVLLLSSTQGTLGGQTGKLIECLLQLLIGKEASQETASKKKESSGHPKKEGRKRHKYLILLAILAASITYQAGLNPPGGIWPDDDDEGHVAGNPVLLDIHPRRYKIFFWFNSISLMTSIVVIMFLLNRSVWKKDVPLWVLHIIMVVDLLALMTAFAAGSCRKFRTSVYVYALVIGVVIFLVIVMFMSSGIAKHLTSRERSGTSPSSQRSPNHVSGTTTPIPGRQV >KQL09212 pep chromosome:Setaria_italica_v2.0:IV:1896015:1897477:1 gene:SETIT_007019mg transcript:KQL09212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPQAASLDLGLSLGLGLTSQGSLSSSITTAGSSPWAAALSSVVAAQQHQPHHAAAAAAVDPDSGAMRASTSPDSAAALSSGTSGGGDNKRELERTGSGGVRSDEEDGADGAGGRKKLRLSKDQAAVLEECFKTHSTLNPKQKVALANRLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCERLADENKRLEKELADLRALKAAPSTAAQPASSPAATLTMCPSCRRVAANHQQQCHPKSNASPAPAAATAVAGSVVPSHCQFFPAAVDRTGQSTWNAAAAPLVTRELF >KQL11643 pep chromosome:Setaria_italica_v2.0:IV:35551928:35554943:1 gene:SETIT_008753mg transcript:KQL11643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAHCSNDPTSQTASLARAAYPTRGRRSFRRAVASDPAGRLASWREEDHDCCCWRGVRCSNVTGHVLELRLRALPVPCDLSYDDDDDNILGGPDDFDDKTLQFQIAPLLSLERLEHLDLSWNGFQEGSPRLPGSLRYLNLSNTGLGVGGDAGMVLYQLGISNLSRLQYLDLSNTWLEGVVPPQLSNLSNLEYLDISKIGFDGVLPPQLGNLSKLQYLDISGNDRMNSSDMSWVEQLQWLGYLDLGKVDLSKASNWAHAVNMVPSLRVLVLSDCKLACANQSLPYVNVTKLESLDLSANIFNHTAASCWVWNLTSLEYLNLRFSTLLGQVPDALAGMSSLQILDFSVRNSVMMLPCLLRSLCDLEILNLEGSLSSGNMTELIESLQMNCSYQKIQELNLAGNFINGTIPTGIGRFVSLVTLELHDNKLTGLVPLEISMLTKLTVMNLGSNNLHGVITEEHFAGLRNLRMIGLSYNQLEIAVGPEWLPPFIRLEDAYFASCHMGPLFPFWLKHLLDIDRINISSAGIATLLDMSNNSIHGGLPKNMEIMSLKGLFSASNQLTGPINLLPKNLTRLDLSNNSFSGPLPSNFGTPNLRWVHLPSNSFSSHIPDFICELQELRILDLASNLLEGEFPQCSGTMSQRSALILSKNNLSGMFPSFLQDCTQLGLLDLSGNKFTGRLPIWIGDLMELQYLSLSNNLFYGNIPFTITNLGKLHDLNLAGNSLSGAIPCRLSNLTAMAGYGKHDGGEQDWYFDTSPSMLSVLTKGQELHYGGFALPSMVSIDLSSNHLIGGIPEGLSSLELLKNLNLSRNYLNGKIPDKIGSMRSLESLDLSSNKFSGEIPQSLSNLSYLSYLNLGNSGLCGPPLRRNCSGVIAKNHGCGSQNKAAHVTEPMFFYLGLGSGWVVGLWVVFCILLFKKTWRTAYFLFFDKVYDKIYVFVVVTLASFAQKTTAR >KQL10067 pep chromosome:Setaria_italica_v2.0:IV:9076079:9076671:1 gene:SETIT_007420mg transcript:KQL10067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGRGGLGGGARGVLGSGARGIGRRGAAAMSRTRGRGRVSQGGGRDRGRVAELGSDDVAGLGGEPIGQDVAAAAAQHSGVKKTSKRKASDTVMVSCVPLLCCLWMTNSRNSRSSKFRVNVEFVSCIIGVFFCLVSVYLIYLLHVNPVTGKIS >KQL10976 pep chromosome:Setaria_italica_v2.0:IV:29061398:29061851:1 gene:SETIT_009004mg transcript:KQL10976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIIFGLLLTSKYTRVLLRVHENYIDILPYYIIWSICS >KQL09701 pep chromosome:Setaria_italica_v2.0:IV:5349919:5364444:1 gene:SETIT_005666mg transcript:KQL09701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTNIFKIVDLQTVSWFQFLPIEPDASTTKERSSKAEQKDALNNVVLSAYLHLQSEGFLSTWTNSFVGPWDPSQGEHNPDEKIKLWLFLPGRHSSVSEMAQTAVNKLRVASNGLWVAPGNSEEVAAALSQALRNSLERSLRGLSYARFGDVFTKYNPPTRNQNSFRRAQPTVEFVFAATEEAIFVHVLISARYMRNLCSDDIEKVLTHSPRSVGEGLPVVVAPSGMLGRLVGCCPSDLVRQVYPSKSSAPNLPGFTQPTVCQLRGQSYYVEVALGFPAASADRVSESEQIQIKKEMDSVKDSQLGADGQRKVESPDSLPVLERTFIYPPEAVLVPMVHQAFVRFSSKRMCLQGSLGGSLWEAWPFWNFTPSSYFQNSSFLGSSRGLGVNSNFLRLRRKKNKCNNMASSVSSVSSTSDGSEHAVATEGDLLADADSMVRRQSDTPSNNENAGSKTVSKRPRSEITEVSSHAGKDVSENVQGANGQVGRPWGWDDEGVVMDINILLSEFGDFSDFFQEEELDFGEPPGTAESHALVIPASDCGDVTFTDSPSTAMDIPEQRLSPVGFTSLDAFDQQIMAPAQDAVSKVQEPQKDVATPAQSQSLVLSSGRFDYLTKAEAMLTFAPEYAAVEVSVAEVPASLFTNPYLPRSKKPGSSSFSSRVYSYDVTQSSQIESAGDKPEKPSKSGNPLRDVDSSNLYTLVQGGKKESDKSLNSTDIQPTKGGTSPPISGVTSFSSSLVSQKKSDSMFNAGYFLLSMKTALATEIECITFQAAMCRIRHTLLSLRRKASADFNGAMSSFMQTDVSNKSDLTPKYDIRKKEVMPIRLSSDVEHETHDRSLMESVGVWRPVVTPKGANSLESLSAKTLTGASPSQSMQRQPVVDLLFAMALLVQQSTSFVDISLDMDDGDGSFFWLSLDEQKRRGFSCDPSMVHAGCGGLLGTCHSKDCAGVDLVDPLYAEVSESSMFSLLQSDIRTALKTAFANMDGPLSVIDWCRGRSNPPESAATGDAYSFQYSTGDIREPSNTLSIGGDSMSPPQPTSSNRGTSELEHQKGYHRVRPTIAVLPSPSMLVGYQDDWLKVSVNSLKTWEKAPFEPYASPKPVTYYALCPDIDMLTSAATDFFLQLGTVYEVCKLGTHSPQNSGGQMELSPGKYLPSGLVLVECPDQVKKVGSSHLSPISSISDYLQAFSKHWSVKSFLTSVSRILRDIKLTSSISTNQKESSSGPCTVIYVVCPFPDPGAILQTLVECSVSLGYVISSPERERKSLYFQVAKAQNSSACADEASASNVVMLSGFSIPKLVLQIVTIETVLRIDKPSNELAVLKDIAFTVYNKARRIPRAVSTNDMFQSPTYLGRSQSTMMHVTSPAPTLWKECLVPRMSGPTLSRETDFDAPMRSATWDNSWQPARAGLLDPSKIPDLCAQDDRKYAFEPLFILADPGSVDPNASMESSKSGADASGSGVYASDSGASPLLDGSECDRAASLHCCYGWTEDWRWLICIWTDARGELLDSLIFPFGGISSRQDTKVLQSLFIQILQQGCQIMSSSPEASNMRPRDVMITRIGGFLELEIQEWQKAIYSFGGNEVKKWPVQLRRSIPEGIPSNSNGPALQQQDMTLIQDRNMPSSPNPLYSPHSKSSFMKGGLGQSGNKKQILVEQTGMDNSRGSLHLVRSISLVAVSQDHSLHLTCQADLLTRLAPGEGNQGSSGPLSYLEGFTPVKSIGSMPASHSYLLVPSPSMRYLSPATLQLPTCLTSESPPLAHLLHSKGTAIPLAMGYVVSKAVPPVRRDSAQLTREDRPSVLSVSIIDHYGGSIGMVQEKMSRGAGGSNMSKQARNFTQETSTRDYEMEMHSVLETVAAELHSLSWLTVSPVYTERRTALPFHCDMVLRLRRLLHYADRHLTQPAEKGETA >KQL10934 pep chromosome:Setaria_italica_v2.0:IV:28509320:28511797:-1 gene:SETIT_008319mg transcript:KQL10934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVNVLKRNSDDVGWEYGFLVDPNNKDKVECKFYGHRSQGGVHRLKEHVANVGTNAKKCKKSTQEAKDKKKVVARELELREEVNVSRVGGEDEEVTCVGSLEPHKLGSMDKWTHAIHPKATKSESLQQQKLNKELWKKRTHEVHKYIARWKKDQLRNMVVHNRWDTLKDVKSKKGKDATATILSPTFWKDVKLCLSVFEPLVKVFHLVDGDVKPSMEIKEAYGNVQSRYNEVIGIIDKKMKGRLDSPLHLTAYLLNPYYSYGNPSIFDDATITEGFISCAVNTELKKFQNREGYFNKKLARSCEKIDYNPASWWWLYGTETPALQKLATRILSLTSSSSGCERNWSTFEMIHTKKRNRLTTTRLNKLVFIQFNSKLINKKERIMSKKITDVLLSSEATEAQGFLYEDGDNCATVVYRDEEDEEMDGTGIPWSVIGDAVGADQQLELRRSARVRQLYEGEEFESEEEEFDDDEDEYMEPY >KQL09666 pep chromosome:Setaria_italica_v2.0:IV:5063880:5064164:1 gene:SETIT_008955mg transcript:KQL09666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQYLISFLGYWLVILADDVRCFAGIVQEY >KQL09954 pep chromosome:Setaria_italica_v2.0:IV:7694448:7695467:-1 gene:SETIT_008826mg transcript:KQL09954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTAQKAFDGASKDNRKAFEEISNCRLNSASNPDAILALLSGVGLSRADIADIVVADPLLLRSSPKNVGPRLLALRDRLGLSAPQIVRFLLVGSRAVRGCDVVPKLEFFISFYGSFERLLVIIKNHSCILWSDLERVIKPNIALLHQCGLSGRDIARLFSIRARVLVFRPESIKELVQRAEELGVPCSSRMFWQAVVAVSNISKEKVAARLELLKSTLGCHESEIATAVSKMPSILTISEESLHRKIRFLINEVGLEPQYILQRPALFAYSLEKRLVPRYCVMKVLLAKGLLDSNRSFYTFAIYGEETFKLRCIDSHQDSVPGLADDYATARAGVVPYQL >KQL12241 pep chromosome:Setaria_italica_v2.0:IV:39611459:39615073:-1 gene:SETIT_008759mg transcript:KQL12241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPASGGAYSCECMEALTTFLGRQRPLLEAHVVNFFKDRMWELVDAEWMECLRREPVESLLKLPSGCVQEHWPATLREFVLTARSLVVPREQKAPYCLHYISSLAVVSSHLVKRLSIFLIALVPDIRVASIGTVLAQGMNSKKKHEIENLAAMVHAIAKSCGAKTVVDVGSGQGYLTQALSFEYQLPVVAIDASSHHASVTIARAERIKKHYAAKCDTLAAVTQDACKDNHGEHVSETKACTKKSPQILEPTQNSPPLILAGLHACGDLSVNMLRVFVSCEQVKALDSYEDTNTCSGFPMSKAAKLSKLVLGKSIRDLACQSAERWRSLTVDIALQNFDVHAFQAAFEMAMPCKSSCPSLLHSALSFPSVTYIASNNNRSLQ >KQL10315 pep chromosome:Setaria_italica_v2.0:IV:12804213:12806913:1 gene:SETIT_005926mg transcript:KQL10315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAGGDSRPLPPSPSSKGKAKMDDEAEADSSGKMCGICYVDGRQAIRGELDCCAHYFCFVCIMAWGRVESRCPFCKARFRTIRRPPVPGRFPDERIVTVPERNQVYHPQGNGSSTVGVDPYAETICTVCNGSRDDELLLLCELCDAAAHTYCAGLGTTVPEGDWFCKDCATVREEQLRWLAENEGRGAQDEFEVSIDVLRAEPVAAPSVRDVVDELECDPDRAGVGNGRLSMDDQVPSIYDIVDDDFPTVGGIGRRPGKNTEDLPSQGASSAGSQHPGLTKGRDNGLGAYHACIRLEVERARTLRNSRNLDKRIRELRENWAALRDGSVGFAPRVPNGRGKDTGSTSAATDHQRHRQTTPATASYRNGAPATSAQQPRPSPEETSTSLGHSKKVSHKDARDAHKAWKRLEIAKTSGGRKISSKPASLSCSTPFSMGNRSTSYSPIDSILGQKNGNLPNKVSQHNRANCGQGTEMESTPPTEYTAERRSLPEDRHAWVHERTISFRNRINEESLNGKVDSSTQDQHVDQPLASSCSTGGLEKSKSGMLHPLKCSSSSGQSPVISSLQLGPRAGSQSTMMVNPEEPSAVCAATTNEIGSAATAEVRKSSRADRHERKRKHSSEKCSDEGPKRSRSACKIAKSEISSLAVRELKLLKIDKTHGSETFKEVARTATHTVLASCGFEHSPSQSLALSRPVCKHSPKVKAQMSSAIIDSCRECLRSSVKEAISLALLGRHMDQTGASC >KQL10523 pep chromosome:Setaria_italica_v2.0:IV:17492915:17495895:1 gene:SETIT_006777mg transcript:KQL10523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLHRYTAALVLVTISTRLLPLPAASPALPDPASLEPSLLFPSAGGGASQTQPQSPAGGSTIPAFPEQSEAAATTSVCQLTPSPPLLPAVLASCNANAGGSGGVLPPRLRCCPALAAWMYAAYAPTALSGRGAREPSSVAAAAEAAAVVDMPVLPDDAEECAGAADRALRAAGAALPRPQQGGGSTGAGNGTAACDVEFCYCGVRLRRPVCPAPEGRMARRLERDCALPGIAGCSKCLRALNKLSGKTNATSSAKARQEQREDCQVMGLTWLLQRNATRHREAATAVIQALMAADEAGAGHQATCSLPAGDELPVAVGSSQINGTAAASPSAVGHLLLVLLGASLAFVSQCL >KQL10250 pep chromosome:Setaria_italica_v2.0:IV:11882506:11882905:1 gene:SETIT_009049mg transcript:KQL10250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLEPFLLKSLCRTCVQDLSSFWSFQFGGSFYSFLLRLD >KQL12043 pep chromosome:Setaria_italica_v2.0:IV:38425382:38427311:-1 gene:SETIT_008424mg transcript:KQL12043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQPVTGPCVSEGWRARVAAFLGREVEEQGTRTSGCPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWIHCLTDWHQAGFYSWGSAVLCFLYRQLCEACHRTSGSPLVGGCVYLLQLWMWSRLPVGRPDIMPRRPLFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSPYDGDDPLPFPLSFMCATDDDVYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSMELHDVDRKKKRKVSEWAAFHHAYIQEWDQFDENVDENNELHTNSAYRQFQSWYQGAPRHRLRAAWTEDDYADIHSSDDEDTVYDQSTRAGRQVEAGPILDRMGRTLQSSVRDIEHIRPRVRDPEIGSFLERLSNRLRRAAARCGCKTATTRDVHVPSLREGGVGTSSQGPSGSKSIASEEVDDDDDDDDDEQRAEEIGPSQLQEAPLTQPTQVVGGTRLRRPRSPYTPGTDALGHKGKGKTRRQ >KQL11175 pep chromosome:Setaria_italica_v2.0:IV:31386474:31389515:-1 gene:SETIT_006040mg transcript:KQL11175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRPVMALLLVAVAVLAGAGAARGFYLPGVAPADFRKKDPLAVKVNQLSSIKTQLPYSYYSLPFCRPGTIVDSAENLGEVLRGDRIENSLYVFEMMEPKLCQIVCKVAPNQDEAKDLKEKIDDEYRINMILDNLPLVVPIKRLDQDVPTVYQQGVHVGVKGQYSGSKEEKHFIHNHFTFLVKYHKDANTDLARIVAFEVKPYSVKHEYDGDWKETATPLKTCDPHSRRLVVDSNSPQEVEANKEIVFTYDVNFEESPIKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLEDQEDAQEETGWKLVHGDVFRPPVNADLLCVYVGTGVQFFGMLLVTLLFAILGLLSPSNRGGLMTAMLLLWVFMGLFAGYSSSRLYKMFKGSEWKNITIKTALMFPGIVFAIFFVLNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKKPAMEDPVRTNKIPRAIPEQPWYMNPVVSVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFVILILTCAEITIVLCYFQLCGEDYQWWWRSYLTAGSSALYLFLYATFYFFTKLEITKTVSGVLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >KQL10968 pep chromosome:Setaria_italica_v2.0:IV:28943547:28947110:1 gene:SETIT_007681mg transcript:KQL10968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNCHQLALPATCSTECASEDAAASLLSAMTMTEKGKKDDLGLAFDMKFNPSFSSLVCSFLAGWKRRRIQGLDIFFHLLCH >KQL09568 pep chromosome:Setaria_italica_v2.0:IV:4303309:4307536:1 gene:SETIT_006017mg transcript:KQL09568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGRPPSGANPFPLRLLHATLPSHDPSTTPLPAAAPSTPAGPTSSCDSSSRIRPSSSSRCARSFFSSPSIASLLLLPTPPPPSIPPNLDAALLTAAVSPQPPKLCRQFATAAEINQPSIPMARTTGEVSKPDNASSIRGLVFVRPHSLRTSRLLGAAAAAAAAAESRRGMAHRLLRDAQADGWERSDFPIICESCLGDNPYVRMLRAEYDKECKICARPFTVFRWRPGRDARYKKTEICQTCCKLKNVCQVCLLDLEYGLPVQVRDTALAINSNDAIPRSDVNREYFAEEHDRRARAGIDYDSSYGKAQPNDTILKLQRTAPYYKRNRAHVCSFYVRGECTRGAECPYRHEMPETGELSQQNIKDRYYGVNDPVAMKLLSKAGEMPSLTPPDDETIRTLYIGGLDSRVTEQDLRDQFYAHGEIESIRMVLQRACAFVTYTTREGAEKAAEELANKLVIKGVRLKLMWGKPQAPKPEEDESGRQGQVSHGGLLPRAVISQQQSGDQPQPPGMEGQQQPPASYYFNIPAPPPAERTLYPSMDPQRMGAVVKSQDGEGKPGLQPGHAQPSSSSAQGGYPAPPPYYHGQYPPYYAPPPYVGYMPPPRMPYPPLYPPYQPMLAPPAQAHASSSQQPAQAGQQPPHGPPAQQQQPAPGPSAQQQPTQN >KQL10416 pep chromosome:Setaria_italica_v2.0:IV:14319362:14320157:1 gene:SETIT_007256mg transcript:KQL10416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLVPNGGGGAQNKPPPPLVDRTLSGASDIIKLLPTGTVMAFHALAPSFSNHGSCGAASRYLTLALVGACAASCVLLSFTDSLVGRDSRLYYGVATPRGFYPFNFDGTGEERRRKFGDLPWMKVRPLDFVHALVSAVMFVVVALGNAGVQSCLFPDVGADVREVLMNLPVGLGFLSSMVFMIFPTTRKSIGYTDLMPHKQEDEGKGSKSALSMSTV >KQL10552 pep chromosome:Setaria_italica_v2.0:IV:18023256:18025154:1 gene:SETIT_008196mg transcript:KQL10552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQFLMGMNMREMGDISNPQLQAIMEQLGVDCPQLVGLGVDMALQYLYDATPPPPVSRRAPLASAAVSAPTGDDIDCLSRLPDGILKNVVSRLPAKDAARTAALASRWRGIWRSAPLAVVDAHILPDGFAAVRMAPGGDDPSSMLVVAAASRVLAAHPGPFRCVQLTCTHMASHRDEAARWLKLLADKGVQELAFINRPWPLDLPLPTEFFGCAASLTRLHLGVWRFPSTAGLPRSARFPHLKELVLSLIIVGDRDLQFLVDRSPVLEILTIISSQIDVRLRLISRTLRCVQLGMSALADVNVVDAPRLERLLLWMTMNHDKGSRIKIGHAPNLRMLGHWQLADHELEIGNTVIKVGTKVSPSTIVPSVKILALEVQSEVRNDVKMVPCFLKCFPNVEILHVYSQNADHTTSKLNLKFWQEAGHIECVQSHVKKFVFEEFRGNRSELSLLKFIAEKAQILEKMVVMVVSECFSSVDDVNAKLKPLTSAKWASENCKLSVFMSPVSERGSPTWHFRIGSDFSWSDPFDLMAADAEHRGNAVLHYFSSTL >KQL11034 pep chromosome:Setaria_italica_v2.0:IV:30128170:30128410:1 gene:SETIT_008919mg transcript:KQL11034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDAYRSPYSCMTPIRPSVTHVQLRVDTGGRKSRRGLYVGRSCP >KQL09868 pep chromosome:Setaria_italica_v2.0:IV:6822536:6826111:1 gene:SETIT_005966mg transcript:KQL09868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGDEPEGSDSQRQRKRYHRHTPRQIQQLEAMFKECQHPDENQRAALSRELGLDPRQIKFWFQNRRTQMKAQHERADNCFLRAENDKIRCENITMREALKNVICPNCGGPPVTEDYFDEQKLRMENARLKEELDRMSSYTSKYLGRPFTQMPPVPPMSVSSLDLSVGGMPGHGLGGGGPSLDLDLLGGCPPGMPFQMPAPVTEMERPMMVDMAARAMDELIRLAQAGEQLWAKGVAGDARETLNVATYDSLFAKPGGEFRPPDINVEGSRDSALVFMSAVALVDVFMDTNKWMEFFPGIVSRAHTVDVLVNGLGGRSDSLIMMYEELHIMTPAVPTREFSFLRYCKQIEQGLWAVADVSLDGQRDAHYGGIPSRTRRLPSGCLIADMSNGYSKVTWVEHMEIEQMLPVNVLYRNLVLSGAAFGAHRWLAALQRACERFASLAVLGASHHDLAGVTPEGKRSMMKLSQRMVSSFCASLSSSALQRWTPLSGTTDVSVRVSSHRSADPGQPNGVVLSAATSIWLPVPGDHVFAFVRDETVRSQWDVLSHGNQVQEVSRIPNGSNPGNCISLLRGLNANQNSMLILQESCTDASGSLVVYSPIDLPAANVVMSGEDPSGIPLLPSGFAILPDGRPGSGAAGASSSAAPLASPPGCVVTVAFQILVSNLPSSRLNAESVATVNGLIGTTVQQIKAALNCAGP >KQL11257 pep chromosome:Setaria_italica_v2.0:IV:32043997:32047834:1 gene:SETIT_007304mg transcript:KQL11257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFEYNGSAVMAMVGKNCFAIASDRRLGVQLQTIATDFQRVFKIHDKLYIGLSGLGTDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSALLYEKRFGPYLCQPVIAGLGEDNEPFICTMDCLGAKELAKDFVVSGTASESLYGACESMYKPNMEPDELFETVSQALMSSVDRDCLSGWGGYVVIVTPTEVREHVVKGRMD >KQL09976 pep chromosome:Setaria_italica_v2.0:IV:7856825:7858092:-1 gene:SETIT_006604mg transcript:KQL09976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLRTRLLPLLRATSRLPSPIHHPACLLSTAAAPFSLEDYLVAACGLAPAQARKTAKKAFDESSQVYKKAFEDLAWSRLNSASNPDAILALLSGVGLSRADIAAVVAADPLLLRSKPNNIGPRLFALRDRLGLSAPQIVRFLLVGSRSLRNCDVLPKLQFWISFYGSFEQVLVAVKRNNSLLEVSLERVIEPKIALFRQFGVRDIAQMCSNNPRLLTFSLERLKDFLLRAEELGVPRTSRMFKYAVSLVASNSKEKVAAKLVLLKRILGGSESDVYTAMSKMPSILSISEENITRKIEFLVNEVGMEPQYILERIVLLGYSLEKRLLPRHRVMQALHAKGLLNSNLNLFSLAVIGEEAFILKFIDCHKDKVPGLAAYYAKACAGDVPPEVQLSS >KQL10890 pep chromosome:Setaria_italica_v2.0:IV:27804960:27806018:1 gene:SETIT_008248mg transcript:KQL10890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLMSLRLKALQEITSAGLLSVAVGCKSLSALRLMSCKNVGWMKLQKFWFQNKYLPNTFKFDGPSSMVGSQSRYDFCCDFLKDLTLARITTEQEIGLCCLLRKCKALENISLYYALGVHDNDMITLAQNNRNLRSISLMLTPQHCEDYVYRTSLTDDSLKAIALWCPMLQSFELTFFGCKPDYPEIGFTQEGLVMLIQSCPIRDLTLSGANIFDDEGMKALSCARFLESLKLVRCIAITDAGVHLLARSPSLINLTLELCDGLTYHGVAEFVRARKLESLTIEKCSRISLKAVQGAAKTVHHTDDCPGFKEWVERCV >KQL09502 pep chromosome:Setaria_italica_v2.0:IV:3880710:3881505:1 gene:SETIT_0085931mg transcript:KQL09502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRLRQGGAGPGGEVGGGTRRPSFCLYAAGHGGHPAAGHGGAGSSGKQRSSVMEMLHGWTLDSHAREAKERLDQKLRSKREAAIKRHHSTGSIKLSRPHHHGGAGAAGAAGADERGESSAASAMCGVQREVYSRKGVMRRLMRWSRPRWAAAEQAECAVCLDDFRAGDVLAHLPCGHRFHWACAAPWLEGTSRCPFCRAAVDANPPQPAAGA >KQL11986 pep chromosome:Setaria_italica_v2.0:IV:38004785:38006770:-1 gene:SETIT_0080222mg transcript:KQL11986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEAYSAAGGSSPAKDAGLAPQVLGPAACVNGGGWEPDQRDQRCQRKGGFAGVARRAALLVSSRNPHLMRIMKPPLPPSARVTRTPLRLQPFVTPVLRLHVMRWTRFLHTSAASLSTAVEVQVRGIPAHAWELATAEQLLGDFCWISGVHPDTACQRDVFRVAAWCSSLSQVPTAMELQIIEPFLAADGDRPVRRTLSYPINISVAPFGQPELADDPPPTPPADDGHGRRRRRRRRRRRLLVPQRMLASALCCPPGIDGLAVPEASEAHEGPRGVEGLAVEAALGTCDIDRPEASPFPDGGISVTAPQEPEEASAPEEASAPEEAVPAADFAERTPSTPGTDQVAILAHAGGGTVLEDLMSLAPPGLVRLEGPEVTTAAAGLPPEDGPVAQVMAGGGAALEVPSMAASPQHLLLEGIFGWTVEEGEQARSADMLASL >KQL12259 pep chromosome:Setaria_italica_v2.0:IV:39712463:39714892:1 gene:SETIT_007970mg transcript:KQL12259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSGAGDYAPYYPPYPSPAAPPPATYPSVSAPASAPPYSPYPTDFAPAPSYPAYPPAQPVDLPHYAPPAAAPPPPPPQPYYPYEPPPLPPSPHNPVPSPYPSLDRAGSYGYGSGSGSGYGQELYPPKPAGGGGWSDDGVYAYDGGEAPEPYGARGTAPRSGSGSALFDDYGRSIGSATDRGGRGGSAASPKVVRAVPKAETTEDVRGGVQKFRVKLLPEGAGSPMDVLCQVGLDGIRMLDPNTSRTLRIYPLETVTRWDVLDSSIFAFWSKSSVDVEAKRIRLKSNSYTSNTILDTVTAATVQFKEMGESSISRSRAIADAAKPAEQQNERKKIFPDWRNLMKPMNEEKDHW >KQL09491 pep chromosome:Setaria_italica_v2.0:IV:3826443:3827279:-1 gene:SETIT_007359mg transcript:KQL09491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARIMCGPRVRTNFPQDAAAADGHAHNHAASSSFLSPALVAKLHRFNLASVQAAQARGGKADAASPAAPAPAAVLPRVAAVPARNAGMGMVAPTPSSAAADWSGGFLEEQYVDQMIEELLDSNFSMEISY >KQL10074 pep chromosome:Setaria_italica_v2.0:IV:9267469:9268858:1 gene:SETIT_008133mg transcript:KQL10074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACEPLHSSSQKPLPPRSTKPGYFLPKTVCIWLACGFLFLAFLHLLCCSPRDAQEAAFTYVLQYANDAYSKLITSRSGGGQPCDYSEGRWVWAPGHARRYNATVCDVKEGQDCLLNGRPDTGYLNWRWQPAGCPLPAFDAAAFLTAVRGKHVAFVGDSMARNQGESLACLLTSAVPHRVVYRDPVRYRRHFMRWAFPTHDVTVSVYWAPFLGRATGKCENYSMPYNLVDLDAPADRWAADADTMDVVVLAASHWVLNPAVYHNGGEVVGVHGHPELKHTDIGYATPLRQVYRMSLEWLSSGRPRTVVLATFSPTHFENHPLDDPTACAKKEPYKEGEKELDSMEKELRKIVVEEAEAAVQRNGPGGAVRIEVLDVTKLAAMRPDGHPGPYMNRDPFANGVAQKMPTDCLHFCLPGPVDTFNEVLQQILMKKR >KQL10412 pep chromosome:Setaria_italica_v2.0:IV:14238763:14240025:1 gene:SETIT_009123mg transcript:KQL10412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEGPRSEDDATNTLLGKVLLQLLTICCSAPTT >KQL10548 pep chromosome:Setaria_italica_v2.0:IV:17934022:17936906:1 gene:SETIT_009092mg transcript:KQL10548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPHSDQLDRSLDWRQLAVPFLEWQVSSDESSSELVREYDINEGFFFQVRLGPELWILEGPNMNIELQACRRS >KQL11628 pep chromosome:Setaria_italica_v2.0:IV:35430657:35431341:-1 gene:SETIT_0077771mg transcript:KQL11628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRSRSMPCPLIRIQAKLRVHLPRRREPRRRLRGLHQEHPAHKA >KQL10303 pep chromosome:Setaria_italica_v2.0:IV:12627578:12628266:1 gene:SETIT_008971mg transcript:KQL10303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEFMEEDAGRRVSGTLELLRVEAAGARGQERPPELASATAAARPPARAKDNCEA >KQL11559 pep chromosome:Setaria_italica_v2.0:IV:34804714:34806210:1 gene:SETIT_006350mg transcript:KQL11559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPPHFLVLTYPLQGHIAPAFRLARRLLAVAPDVLVTFSTTESAHGRLFAAKPEGGPNGGDGGRLEFLPFSDGTECGYAGGGGVEEFNAYMASFHAAGPRSVGDIVDALAARGRPVSRVVYTLMLPWAADVARGRGVPSALYWIQPAVVFAVYHHYFHGYAGVIAEHHRRGDPSLAVELPGLAPLAVRDLPTFLTESTDPAHYFHAVFLTFRDLFDTLDKETCKATILVNSCQELEVGALAAVGPHDVLPIGPVLPTGEEASILKPDDAKYMEWLDTKPASSVVYVSFGSLATMAREQLDELLHGLEESGRPYLLVVRKDNKAMLAGAEAEMGERLKNGIAVEWCDQARVLSHAAVGCFVTHCGWNSVAESVASGVPMVGVPKVSEQSMNARLIEHEWRVGVRAEVDSGGVLRAAELRRCVEEVMGDGTDAAEVRRKAEEWKLVVAEAMRNGGSSYCSLVAFVDGARSST >KQL10223 pep chromosome:Setaria_italica_v2.0:IV:11137986:11139416:-1 gene:SETIT_008662mg transcript:KQL10223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSPIHKFLKIVYTKTIDNFLLAASVSLTIAAIVVTARSKPHDFTDRIQDLGPSYILSIGLILATAVTVYIMQRPRMVYLVDYACFRAPHNYRIPSAAFVEHARQVTHFSERSVRFMTRLLERSGLGEETSLPQMASYLEAYKYCTLETAREEAELVVFSAIDDLFAKTSIDPITIDMVVVNCSGFSPTPSMPDMIVNKYKMRSDIRSIHLSGMGCSAGLISVELAKNLLQTMPQGARALVVSTETLTPNYYLGNERAMLLPYCLFRMGGAAVLLSTSPAKARFRLKCIVRTLSAADDKSYRCIHQEEDDKGNTGANLTMDIIDVSANTLKTNITTIAPLILPASEKLRFALSFVSQKLLKMRTKLYMPNLLTAFEHICVHAGGRAVIDGIQRSLSLSDEHVEPSRMTLHRFGNTSSSSLWYELAYIEAKRRMHKGNRVWMIGFGSGFKCNSAVWECIVPAHNEDGPWAGCIHRYP >KQL10807 pep chromosome:Setaria_italica_v2.0:IV:26087655:26088058:1 gene:SETIT_008130mg transcript:KQL10807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQQSKEELLYQQFNYGNADGIQILRSIDKEGKTPLMAICMRPNQQQGRRRCTVPCRRGSGCWWMVDQAAGGAVAPRQSTSPCRLR >KQL08918 pep chromosome:Setaria_italica_v2.0:IV:294646:297222:1 gene:SETIT_008524mg transcript:KQL08918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWSALRDVSPVTVSYPDRSYYDTVDYECTFCGAIFWYGERSKHDSTQRCVIYNLCCRGGKVFLPSFQAVLEFLASLQRYDGDSRCKNFLNRIRQYNSLFAFTSMGANIDDGINDGAGPYVFEINGLLYHRIGSLMTVEGESPKKARDLLAQHGGEDIGIRIVGAHEDDPIQFNVPTTSEIARLVVGDFSLENYKQDIIVDSIPSNLQHISCLHPAYMALQYPLLFPYGGHSFHLGIPYRRTDLLQAGARKNVTMQEYACYNFYYRRDQPNPYLCCGRLSFQSAVNIFACIEKYDFRCEHFQGIIDAVSKGCVDGSSIGKQHVIPVSFVGEKRGLSHAHILVWLQDITVTDIISVVDKYISAEIPDLEEDPLGYALVEEFVMHGPCGDDNKNCPCMKNGPSVRLDNRYVVPYNTFLFKKYQAHINVEFCNRSNIAKYLCKYVMKGPDQANVTFRRTGKRKASSSSTFLRIFGFELHHKIPAVERLAVHLPNMNIVPYATGANLASLIATPFLQKTRLTEWFVANRNYPVARELSYCDFPTRWTWDSSSRLWKPRGSVTYKIGHLRMLMLVARGSQCYEDVCRVNGILYDTFKEACSARGLL >KQL09862 pep chromosome:Setaria_italica_v2.0:IV:6785731:6786228:1 gene:SETIT_008979mg transcript:KQL09862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKIHLKYNDICDSGQQKKMKNNPTYTYMYIYTDI >KQL10581 pep chromosome:Setaria_italica_v2.0:IV:19159991:19164982:1 gene:SETIT_005932mg transcript:KQL10581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLCIGTADTKLEELVFLAARLRSALAASGSDPKVQVSIVDVSTTEKTTAQDLKDITFIPRNTVLSCLLGVEQHNLPDNRSEAIALVSKALQNFLKKKYDSGTLVGAIGLGGSGGTALIAPALRSLPLGVPKLIVSTVASGHTAPYVGTSDLVLFPSVVDICGINSVSRVILSNTAAAFAGMVHGILSASNESDETAAKPTIGITMFGVTTPCVNAVKDRLNEEGYETLVFHATGVGGKAMEELVRGGFIQGVLDVTTTEVADHIVGGVMACDESRFDAIIDNKIPLVLSVGALDMVNFGARDTIPPAFADRKIHVHNEQVSLMRTTVEENKKFARFIADKINKSSSQVTVCLPQKGISAIDAPGMPFYDPEATSTLLGELNTLIQRTDIREVKLLPYHINDPEFANALVDAFLSMDVKACSTVQPQQDGKMKNSCSGQKSSDSSIVWRPPVDFPEAKPETLQKTLSILNKLKQHISEGIPVIGAGAGTGISAKFEEAGGVDLIVVYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKGVPVLAGVCATDPFRRMEYFLKKLETIGFCGVQNFPTVGLFDGNFRQNLEETGMGYSLEVEMISRAHNMGFLTTPYAFNPEEAAAMAKVGAHIIVAHMGLTTAGSIGAKTAVTLDDSTVRVQAIADAALRVNPDIIILCHGGPISGPQEAEFILNNTKGVHGFYGASSMERLPVEQAITNTMRQYKRISLK >KQL09904 pep chromosome:Setaria_italica_v2.0:IV:7309871:7311609:-1 gene:SETIT_006452mg transcript:KQL09904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPRHHAAPPPLLAALILLLLLVGPAAAQGPSRDKDNGGGGGGGYSRQTQPPGFSAPMVVLLVALIAAFFFIGFFSVYMRRCGRGASSGGPAIPASALLALSRQEERNRQRGLDPTVVASFPTMRYAEAKELRVGGKDAALECAVCLSEFEDDEELRLLPRCSHAFHPDCIGEWLAGHVTCPVCRCSLDPQELAAAEANSSGELAGEDQQADSVAIDVSCDGAEEEDRRREEAMELERIGSQRRAVRSRSGRPPLPPPLVPRSHSTGHSLATRLDGDLERFTLRLPEHVRREMVAAGEESLRRTGPAERDRDRDGSAGAARSARLGRSDRWPSFIARTLSSRVPFWSASRRVPVPDAGEPAVAAGTTTEASPRTTKREKTANAADGGAVTPPKGSVRFDCLGGAATAVSGARVGAAAGDSETEDDDEEKAIARQRQA >KQL12254 pep chromosome:Setaria_italica_v2.0:IV:39684922:39687212:1 gene:SETIT_006210mg transcript:KQL12254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSHHYRQELFVAVAVAVAVAALVAVGEASCVGFDLHHRSSPVVRRWAEARGHPALAAEWPAKGSPEYYSELSRHDRGLLARRNLAGADGFLTFADGNATIQSLGFLYYAQVALGTPNATFLVALDTGSDLFWVPCDCKQCAPLSGGGNATAELRPYSPRLSSTSKQVTCDSAFCDRPNACSAATNGSCPYGVRYVSANTSSSGVLVQDVLHLTREGPGPGAATEALQAPIVFGCGQVQTGAFLDGAGFDGLLGLGMDRVSVPSVLAARGLVASNSFSMCFSDDGVGRINFGDAGSPGQSETRFIARSTNPTYNVSFTSANVGSESVAVEFAAVMDSGTSFTYLNDPEYTAFATSFTSQVRERRTNFSSGSSGRLPFDYCYKLSPNQTEVLPPIVSLTTAGGAQFPVTNPIIFLRDDRITIGYCLAIVKNDITINIIGQNFMTGLKVVFNRERSVLGWQKFDCYKNAVVADAPDASPSPAPGGPNPTKLTPQQSDASNRNPGAAPVPRSAGSLDAARALGGGLSLLLPLLVGAALV >KQL10410 pep chromosome:Setaria_italica_v2.0:IV:14202602:14207221:-1 gene:SETIT_006384mg transcript:KQL10410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPLLLACSLLFTVATPARDIPSVCASQISDFPHRNSSGLHLTLHHPQSACSPAPLPSDLPFSTVLTHDDARAAHLASRLDTNNDAPPRRPTSLRSKKKAAGHLDDSLASVPLSPGASIGVGNYVTQLGLGTPATSYAMVVDTGSSLTWLQCSPCVVSCHRQAGPLFDPRSSSTYASVPCSASQCGELQAATLNPSACSVSGVCVYQASYGDSSFSVGYLSRDTVSFGSGSFPDFYYGCGQDNEGLFGRSAGLIGLARNKLSLLYQLAPSLGYSFSYCLPTSASTGYLTIGSYNPGQYTYTPMASSSLDGSLYFVTLSGMSVGGSPLAVSQSEYGSLPTIIDSGTVITRLPTGVYTALSKAVAAAMGGAPRAPAFSILDTCFEGQASQLRVPAVSMAFAGGASLKLATRNVLINVDDSTTCLAFAPTDSTAIIGNTQQQTFSVVYDVAQSRIGFAAGGCS >KQL09407 pep chromosome:Setaria_italica_v2.0:IV:3162882:3165504:1 gene:SETIT_008477mg transcript:KQL09407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSYHEGKDAAATDLGYPLVAVCIDKDKNSQNALKWAMDSLVHKGQTLVLVHVNTKGTSGGLEDAAGFKQPTDPHMKDLFIPFRCFCTRKDIHCKDVVLDEHDVAKAIIEFTAHAAVEKLVLGATARGGFVRFKADIPTTISKGAPDFCTVYVVNKGKVSSQRNAIRAAPRVSPLRSQILQAQAAAQQSQAAPRPEPPSSHRWSSSSRGSDHLETPRVDNFRSPFARGGTGNTRKSYADLSHMSMPDSADISFVSSAGRRSIDHHPVIPPRMSNSSADSYDHSFEMSRTPSKWGGDSFGGMDHTTFSQTSSSSFCSLGMQDDVEAEMKRLRLELKQTMDMYSTACKEALTAKQKAMELQRWKMEEEQKTQDSRITEDSAMAMIEREKARAKAAMEAAEASQRIAEMEVQKRITAEKKLLKEAEDRKSRGGGGGMSHEVRYRRYSIEEIEQGTDRFNDARKVGEGGYGPVYKGFLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMASGSLDDCLFRRGGGPVIPWQHRFRICAEIATGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDIYSFGVMLLQIITAKPPMGLSHHVGRALERGALRDMLDPAVPDWPVEEAQCLAEMALRCCELRRKDRPDLGGVVLPELNRLRALGEDNMQYCGPIRGGGGGGMHSSPFYSNVSRSNAAEMMSDSSQYPRSVFSSRASDSPMPPRRSNA >KQL11062 pep chromosome:Setaria_italica_v2.0:IV:30427010:30427159:1 gene:SETIT_008572mg transcript:KQL11062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AARYVCRGKCGDFWPDCDSWCRTAGGYPKGGQCVPPLDQYCCCIEWLLR >KQL11944 pep chromosome:Setaria_italica_v2.0:IV:37777576:37785834:-1 gene:SETIT_006145mg transcript:KQL11944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYCTHCADYCPSIKDPDKGYICCGTCGKVLDEEIYTDEPTFVKDPSGTSRLAGSILASIGSHYSMSRERTLEKGKDEISQIVNNLHVSGGETIISKAYCFYKLAIDRNFTRGRRTTHVAAACLYIACRQSKKAYLLIDFSDYLQISVYVLGAVFLQLCQVLLLADHPVVQKLIDPSLFIHRFTERLLGRRDNAVSDTALRIVASMKRDWMQTGRKPSGLCGAALYIAALSHGYNYTKADVVAVVHVCEATLTKRLIEFESTDSGSLTIEEFLATADEYNQEPVSKRSLKSGEILCKHKGKEGFEHFAHGLCEKCYNKFTKLSGGLEGGANPPAFQRAEKQRLEAAKRAEEAVAVKEAALEESLCDTQNSDVENPRKGVREDKSSTVASGELTNDSEVSKDPEGGGENCEGDADPESLSDIDDVEVDWYLHNEEETQYKKIIWEEMNKEYLEEQAAKEALAAELAARGVVVEEGKKKKRKRNEDIKSSTPAETPAEATFNMLKRKGLGSKVSEGAVGELYKTKYEDGDANKKDEMDFDAQYEQDNADGETFDHGYNYDADGAGAYNGIDDFDFF >KQL09641 pep chromosome:Setaria_italica_v2.0:IV:4799863:4800334:-1 gene:SETIT_007901mg transcript:KQL09641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKLKTSKSMAAPRKLLKLDTLFTCPFRGYPDAVGCHIDLKDRIAKASESYFTSAHVLTAAVDVYCEWIDACKLANEGVIDRRCRPRLVEA >KQL10321 pep chromosome:Setaria_italica_v2.0:IV:12904250:12905782:1 gene:SETIT_006370mg transcript:KQL10321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAGILSASTVLLPLLLVLGASPPCHGASGHNITDILAAHPDFTEFSAALTSTGAAAEINNRTTITVLAVDNDVMSQLKAQQLQPRDLERVIYLHCLLDYFDATKLGSIRGGFVQATSLYQATGKAQGGEGMVNVTMFRGGRVAFALSGTSNVPPAAFYQKSIKEEPYQIAVLQVSAPIWSPAPVAGAQAPAPEPPAPGLADLLSKNGCGGFASLLAATADAAAKFERSAGGGGGLTVFCPDDKAVTAFDATFKNLSADGQLAVLLYHGVAAHYSAQSLKTINGDVNTLATDGSKGYKYNLTVRADGDTVELSSASQSAAKVTKTVVDKGPLAVYLIDAVLLPSELFNASHGRTAPAPAPASSPAHAPPPAPAPASSPAHATPPAPVPASSPAQAPTPPAIAPATPPTPRRHPAPPQEADTPADSPDADSQPPADQKNNGARDTVSWSLGMVVAAAVPVIVLLLQ >KQL11314 pep chromosome:Setaria_italica_v2.0:IV:32542827:32547065:1 gene:SETIT_006323mg transcript:KQL11314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGHASEARPPSRRRFPVPPGPGQQRRRRGATRPPPTHRRTCPNRSHAGSRAAPHAPGSRTLHPGHTDTSDHAGPYTSRRRLAGSCCHLLHATTSSRRIKRAGDALSRMAAHSLSLSLSLPITRSRAPLALRRLLLLLLNPCRLAAAPPQTHRGLSSAAASSRARALGLAGCPPRPRAALLGTGGAGRRRRGELVRRAMSGSTNSTVAAELTVPVEQLPFVNDKHGGVIIEMVVPMDPGVFSASLKAALAKWREQGIRGVWIKLPITLSNLITPAVEEGFWYHHAEETYLMLAYWLPNTTHTLPVNATHRVGVGAFIMNDKREVLVVQEKSGVLRGLGVWKFPTGVVEPGEDINVGAVREVKEETGIDAEFVEVLAFSGCQWRNLQHNHSSRNTNS >KQL11316 pep chromosome:Setaria_italica_v2.0:IV:32542827:32546475:1 gene:SETIT_006323mg transcript:KQL11316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGHASEARPPSRRRFPVPPGPGQQRRRRGATRPPPTHRRTCPNRSHAGSRAAPHAPGSRTLHPGHTDTSDHAGPYTSRRRLAGSCCHLLHATTSSRRIKRAGDALSRMAAHSLSLSLSLPITRSRAPLALRRLLLLLLNPCRLAAAPPQTHRGLSSAAASSRARALGLAGCPPRPRAALLGTGGAGRRRRGELVRRAMSGSTNSTVAAELTVPVEQLPFVNDKHGGVIIEMVVPMDPGVFSASLKAALAKWREQGIRGVWIKLPITLSNLITPAVEEGFWYHHAEETYLMLAYWLPNTTHTLPVNATHRVGVGAFIMNDKREVLVVQEKSGVLRGLGVWKFPTGVVEPGEDINVGAVREVKEETGIDAEFVEVLAFRQSHKSFFEKSDLFFVCLLRPLSYDITKQDSEIEACQVLGTKIPTLNC >KQL11315 pep chromosome:Setaria_italica_v2.0:IV:32542827:32547065:1 gene:SETIT_006323mg transcript:KQL11315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGHASEARPPSRRRFPVPPGPGQQRRRRGATRPPPTHRRTCPNRSHAGSRAAPHAPGSRTLHPGHTDTSDHAGPYTSRRRLAGSCCHLLHATTSSRRIKRAGDALSRMAAHSLSLSLSLPITRSRAPLALRRLLLLLLNPCRLAAAPPQTHRGLSSAAASSRARALGLAGCPPRPRAALLGTGGAGRRRRGELVRRAMSGSTNSTVAAELTVPVEQLPFVNDKHGGVIIEMVVPMDPGVFSASLKAALAKWREQGIRGVWIKLPITLSNLITPAVEEGFWYHHAEETYLMLAYWLPNTTHTLPVNATHRVGVGAFIMNDKREVLVVQEKSGVLRGLGVWKFPTGVVEPGEDINVGAVREVKEETGIDAEFVEVLAFRQSHKSFFEKSDLFFVCLLRPLSYDITKQDSEIEACQWMPVEEFAAQPFVQKHELVKYILEVSLAKVDKEYAGFSPISIKSAFTDKLSLFYLNRRDLDRASGSSKQ >KQL11269 pep chromosome:Setaria_italica_v2.0:IV:32108752:32110567:-1 gene:SETIT_007106mg transcript:KQL11269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTVVATRKRGTEFLLDDPFDLPRLAKRGGRCSMSAVAADLGLSFPLEFDPVTALQLIFPGADPQILEEHLQASGNVLEAAIRAYKDYLVDRSSESTSSITYATSNDGADDGVLSEVDLPVAIPTNGSEWAELIVKEMSSASDLVDAKNRSLKILKLMEKSAARVSPDEKGKVHKEHKIVKQMLGALLHQNGVLKRAFLIQHNRLKEYQEMVQERSQFNQIIEKYQKQIKALEEKNYALSFHLQQANQCSNTYRHRNPDIF >KQL10573 pep chromosome:Setaria_italica_v2.0:IV:18711055:18712460:1 gene:SETIT_006527mg transcript:KQL10573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELIRQEIDQGGGKNDTNIQPVTPRKPICDLSDPRYDICEISGDARTLGANRTVLYVPPVGELGADSQEWSIRDQSRKYLEFVNKVTVKSLNASQAAPECTSRHDVPALVFAMNGLTSNPWHDFSDVLIPLFITTRALEGEVQFLVSDLQPWFVDKYRLILKNLSRYDIVDFNQDAGVRCYPHLTVGLRSHRDLGIDPARAPRNYSMLDFRLYIREIYSLPPAGVDIPYKEANRAASGATTAEQHRKPRMMLINRGRTRKFVNFPEIVPAVENAGFEVIPIEPRRDLSVEEFSRVVDSCDVLMGAHGAGLTNFFFLRTNAVMLQVVPWGHMEYPAMGFYGGPAREMRLRDVEYSIEAVESTLYDKYGKDNPVISDPESIHKQGWRSGMRYYWTEQDISLNVTRFAPTLHQVLQMLKQ >KQL09685 pep chromosome:Setaria_italica_v2.0:IV:5235096:5235370:-1 gene:SETIT_009028mg transcript:KQL09685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGIMRSSFQSVFYWKIEMVVYLRLRKRNAYGIMLIV >KQL09296 pep chromosome:Setaria_italica_v2.0:IV:2401865:2402548:1 gene:SETIT_007528mg transcript:KQL09296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTATYLGFVLLTGNSVLAIYRSRGDAAAVLFVLASYLSLVLLFCCLRRFEASAPGSAARDRARVGVWLATTVLTALFSWRVAAVMPWPVAAGVWFMGGCTVAGGYYALFLLPRGEGDY >KQL10669 pep chromosome:Setaria_italica_v2.0:IV:22875424:22880730:1 gene:SETIT_008306mg transcript:KQL10669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFNGPPSFSDLVDRVMRKYACRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVAVVNHNGTHESEMLHHVLGETERDFDLAITNDDFPNNIFESDEANIDVDNVPMGSEDCELEEDGVVGVEDISMVHKAICESSMVNAEGTSIGESSVIKKGMKFNSLEELKFFLADYTVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCWSSQPKREHVQCTTKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWCIDSCGMMLPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGIFLTGKYKGTLMMAVASENNESWSWFMKLVRLHVLGPSRIVCMISDRHHGLLNCAKDHIDSFPPLVHRWCTRHFAANMSRRQKSNRVIGKLKLLCKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMTTNYSESLNGVFKGIRSRPVAGIIEYIFEKCNAYFVNRWRKAHEMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLNEVSCTCNVPQLLHLPCSHFITVCKARGLNYESPLYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGAGYVPNPSLIRNKVGRRQKKRFTGDMDVMAAPGYPLLEAAYDLQHRAHHLADLNENVTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHLPFGEMTITMQDVAMILGLPLDGQPPWHRDDARPTFYHVWKHVRPVRGNPDRRYRACTNEFDVLTQHQVEWKPYDREQLSHIVFSPTCYRDRELWRCTTPLILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKWYKENDWRLKHGQYLLLWQNKQGCDPEGGPYWRPNNEYIRWYCTSTRTKVKQSWTNVPIEDAPSEDDADIADAYDTVTRYGTQPERAPLHDYMGQQLARLSNDAGVIMEHAIGEGDGLLRAFAERVRKSCRRMAMRMNCMMSSDVHHGGNGQGTSSGSRRTALATTPRAATPSTAAGPSRRSRGKEPASPQESEDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSH >KQL09471 pep chromosome:Setaria_italica_v2.0:IV:3630222:3636309:1 gene:SETIT_005821mg transcript:KQL09471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSTAAAPEGVLHRRIEFHLARRPHASVTVGGGGFRMETLNPDAAGKAGAGAAAGSSEGEARRPEKGDAGGIDPELSVARIYLGRIGAGLQNLGNTCYLNSVLQCLTYTEPFAAYLQSGKHGSSCRTSGFCALCALQKHVKTALQSTGKIVTPSLIVKNLRCISRSFRNSRQEDAHELMVNLLESMHKCCLPSGVPSESQSAYDKSLVHKIFGGRLRSQVKCTRCSHCSNKFDPFLDLSLDIAKATTLVRALQNFTEEELLDGGQKQYQCDRCRQKVVAKKRFTIDKAPNVLTVHLKRFSPFNPREKIDKKVDFQPVLDLKPFVSDSKGTDFKYSLYGVLVHAGWNTQSGHYYCFVRTSSGMWHNLDDNQVRQVREADVLKQKAYMLFYVRDSIRNPVVRRDNSTANLPTKKTPEKISTLNGITQSSVKAQHLNGSSPFGDKTHITSSGYSSNLGKSSAGHFSKNEVKAEDVAASQSNAPLSTQALESRNNGATLPLKSMQCSVNGQKAVETKGDAGGNTSVASPMVNGVGTLSKADKLTSQPPTTPFSKPAAHINDTSAGFAVQTPSKKDPIVSNGIVPGSGSLTSSEKTKDLPGSVEQANAIAKALPMSQDNTAPELAQVTCGQQVSSGGSVQVAVAASCNGTTAKKVNLKSKKFVRYPVVNMWLGSKKLLAASLKPGKKTKHTRTRRRAVVCKDMANISCLGDSMNEQLTSTSATAQSETVEHTSDCRKRSHASSRPEDDPQSSKNMQKIDGACVGTGTSAPSANADVPKSGPSSSVDQTQSRKNVDAKLGAPQPVSIWASDLMEATVPSWDGIDMPNTKVAAQQYLKRKSIGYVLDEWDEEYDRGKTKKVRNSKQDFGGPNPFQEEADYISQRRSKQKSYQGKSWNKPNMTERLRI >KQL11768 pep chromosome:Setaria_italica_v2.0:IV:36662321:36662809:-1 gene:SETIT_007552mg transcript:KQL11768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLIFVFLTTYLFLLLLIRFSTLIYTCMSVYACLSPLDTKFIYLHLRLREFSGGHQHHALSSMLNGGTVSNVDMTLVQSQDPNGRPKTVYGPSVGIVRVQINSHETSHQFEMCLQI >KQL09088 pep chromosome:Setaria_italica_v2.0:IV:1126944:1128272:1 gene:SETIT_008080mg transcript:KQL09088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDQAQPWKIPPIVQELATGVQEPPSRYVVREQDRPAAAAAATMPEPIPIVDLSRLTAADDGGGADEVAKLRSALQNWGLFLAVGHGMEPGFLAQMMQVTRDFFNLPLEEKQKYSNLVNGREFRFEGYGNDMVLSEDQILDWCDRLYLFVEPESRVVSSLWPAQPPAFAGVLREYTSRCRAIAVVVLAGLARVLDLHEGRFAGMMGEGVAMTHARFNYYPRCPRPDLVVGLKPHSDASVITVVLIDDAVSGLQVQKPNDGAGVWYDVPIVPNALLVNVGDAIEIMSNGFFVSPVHRAVTNAERDRVSLAMFYTLDSEKVIEPLPELVDEKRPRRYGKTTTKDYLAVLFEMFARGGRAMDTVKISAAERDSGARSEDG >KQL11325 pep chromosome:Setaria_italica_v2.0:IV:32593644:32593838:1 gene:SETIT_007964mg transcript:KQL11325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVACLLCMAVALLLAQGVQSRKLLWTGQEEQDPGSLGSSHGAGTAATPVSDEHISEPCKLLSAK >KQL08955 pep chromosome:Setaria_italica_v2.0:IV:429817:432051:1 gene:SETIT_006762mg transcript:KQL08955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGMARIDEWKPVIAMLVFDLISAVTTALIKKALQEGLDRLVLITLRQLVATVFLAPIAYFKERSTRPKLTLEILVYLFFSAAFGAALSQYTFFYGLQYTTATFAITFTNMAPVLTFLIAVLLRCSSIQTLSFPALGLLVLSETTAPSFRVESLNMRNKAGAAKIIGTLMSFAGVMLLTLYKGVALTHQVEPSESPDHHAGKKSWTLGTLALLANCLCFSFWLLLQSKLTKKYPALYSSTAYMFLISSLQGGSLTAAIQRRASGIMGSGVGYVVMTWCVEKRGPVFTSAFIPIIQIMVAMIDFFFLHENLYLGSVLGSILMILGLYILLWGKKRDASEASSSAKEAEEDKEKQVQS >KQL09425 pep chromosome:Setaria_italica_v2.0:IV:3279137:3280284:1 gene:SETIT_008579mg transcript:KQL09425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAPGHGAPARDPAACREMGLAEAGEPSSILSLAAAVSYGVASMAMVFVNKAVLMQYVHSMTLLTLQVLLNIMA >KQL10812 pep chromosome:Setaria_italica_v2.0:IV:26135011:26136495:-1 gene:SETIT_007038mg transcript:KQL10812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAGAHRTVAAGARSPATAMYVFGSSILDVGNNNYLPGASVARANRRYNGIDFPSSIPTGRFSNGYNIADYVAKSMGFASSPPAYLSLAPSSGPLVQNAVANGISYASGGAGILDSTNAGNTIRLSKQVQYFGTTKAKMVAALGPNVANALLSRSIFLIGIGNNDMYVFESAERAQNRSAVEQRRETAVLYVPTGTKLQDTSCCCGRQFSIGW >KQL10813 pep chromosome:Setaria_italica_v2.0:IV:26135011:26138216:-1 gene:SETIT_007038mg transcript:KQL10813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAGSIRFSLFNRLISHQTVFLSQLAKQIMGGLLLRLVICVQVLIGTVAVGARPPATAMYVFPPPVTFPSRGVGAHRTVAAGARSPATAMYVFGSSILDVGNNNYLPGASVARANRRYNGIDFPSSIPTGRFSNGYNIADYVAKSMGFASSPPAYLSLAPSSGPLVQNAVANGISYASGGAGILDSTNAGNTIRLSKQVQYFGTTKAKMVAALGPNVANALLSRSIFLIGIGNNDMYVFESAERAQNRSAVEQRRETAVLYVPTGTKLQDTSCCCGRQFSIGW >KQL10146 pep chromosome:Setaria_italica_v2.0:IV:10254927:10257912:-1 gene:SETIT_007134mg transcript:KQL10146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKNFKGSKTYILSKIKSFKAHERGSVLLEVHPSRPYVLSSLVPVNGSSRNVPMHVIKLWDWESGWDCVQTFNMEDCARQLKFSPKDQDTFVTISQQKDVKVWDIDSRKCKSTLPVTDEVSCFDFFTQGGKQYLIIVHRNEFKSTIWDYDTGTLVETLKEKNISTACSHPELPVLITGSKDGRVSLWSSSTFNLVGVLNCYLGKVITVSGVKGTERIVIEHEHGIAVVGIGHMLESERPDGSEGEASLGIDKDT >KQL09344 pep chromosome:Setaria_italica_v2.0:IV:2617606:2618227:1 gene:SETIT_008053mg transcript:KQL09344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGLVQNGVAMEAAVFYRRMVRVGEKGNDFCFTSVLSAFSTLANLEHGEMVHCRAVTAGFCFDVILGNTLVDMYFMCGSSTDAQFSLLGACGIHGEVGLGKRSAGKAIELEPRKDRPYVLLSNMSAEQCQWCVKEMLRERLGYSNVRKDAALSWFPVSEAN >KQL11318 pep chromosome:Setaria_italica_v2.0:IV:32559216:32563503:-1 gene:SETIT_008054mg transcript:KQL11318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRHPEPYLLPASQRSRWARWRRRWRRGSRSSRRPHRRTGWSRRRRRRPRRRTRRWWSSVGCPWRGAAAWRRASCPPSAARRWWPCTCASPGRDSRCSTPTATPPTWARCTSSSSSSARTSTSTSWVTIILGTDSHLGRCLIETYGASEENIILYGQSVGSGPTLDLASRLSHLRAVVLHSPISSGLRVMYPVKHTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGRTLWELSKVKYEPLWVKGGNHCNLELYPEYIKHLKKFVTAIEKSPPVKDESLESSGPSDPSETGSEGTESSRRSTDIRDKPRSSIDHRPSTDRREKPRGSIDRRDKSRKSVDQLDKPRASMDQPDRPRKSIDRFGGMMRSVKLCNIDCFTAASGS >KQL11361 pep chromosome:Setaria_italica_v2.0:IV:32901545:32907385:-1 gene:SETIT_005779mg transcript:KQL11361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGLGFLVAGSRREFVVLNVDDFSKICGDDIDILQEENEYFVACNECAFPVCRTCYEYERQEGSQVCPRCKTRYKRHKGSPRVHGDEEEEGSDDIESEFASIRTRPINPNRDLALYGYGSVAWKNRVEWKRKQQHKMQKVSSDGEGSDLNDFDSDRDTPRCAESKQQLSRKLPIPSSKINPYRIVIILRLAILALFFHYRILNPVHDAYWLWLTSVICEIWFAFSWILDQFPKWYPIKRETYLDRLSLRYEKEGKPSELAPIDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVCCYVSDDGAEMLTFEALTETCLFARKWVPFCKKHKIEPRAPEWYFAQKIDYLREKVHPEFVRERRAMKREYEEFKVRINAVVANSHKVPEGGWSLPEGGPWHGNNVRDHAGMVQVITGHDCVMDDAGNKLPWLIYVSREKRPGYDHHKKAGALNALLRTSAVLSNAPFVLNVDCDHYMNNSKALREAMCFLMDPVLGEKICYVQFPQRFDGIDQHDRYSNHNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPTATKPPSKTCNCWPISCCLCCGSKRKCLKAKKKQENQKKMKCRDASKQVHPLEVTGGENAALVPQEKFEKRFGQSHAFLASTLLENGEGCRFDMLKSLDDCIHVLSCGYENKTQWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPRRPAFKGSAPINLTDRLHQVLRWALGSVEIFLSKHCPIWYGYRCGLKPLERLSYINSVIYPWTSIPLIIYCALPAFCLLSGKFMVPEMNIYSSILFIALFVSIAATSILEMQWGGVRIDDWWRNEQFWVIGGVSSHLFALCQGLLKVLGGVDTKFRVTLKGGDTNDFSELYEFKWTWLLVPPMTLLLLNVVGILAGVSKAITDGYESWGPLLGKLFFSFWVILHLHPFLKGVMGKQDRVPTIIVVFSVLLAAIFSLLWVRVNPFTVKFDGPVLEVCGLECE >KQL09902 pep chromosome:Setaria_italica_v2.0:IV:7293939:7294609:1 gene:SETIT_008974mg transcript:KQL09902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEIYNEHPTDTNAKLYSITRPTRAMCKQRNARENMKRTRCFLTEC >KQL11345 pep chromosome:Setaria_italica_v2.0:IV:32779494:32780616:-1 gene:SETIT_007216mg transcript:KQL11345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSALATLSATAATAAGKRLLLSRPTTSLAFTSRRLAAAGPLRTRGFLGAPIRAAASSASAPAAAKTIAVGDRLPDATLSYFDSPDGELKTVTVRDLTAGKKVVLFAVPGAFTPTCTQKHLPGFVAKAGELRAKGVDAVACVSVNDAFVMRAWKESLGVGDEVLLLSDGNGELARAMGVELDLSDKPVGLGVRSRRYALLAEDGVVKVLNLEEGGAFTNSSAEDMLKAL >KQL10449 pep chromosome:Setaria_italica_v2.0:IV:15312379:15315852:-1 gene:SETIT_007883mg transcript:KQL10449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGTAARSLPTTSQCPAPVLSASSAVTPLQHMSPGTMATPDPERTYMHVRHWDYYKPGKERVREKMSMRYECKAFVKIKWNIKKDYWFFERIRLEHNHPLHPSPTVTHVDVMVEYMEIIKAATAREESENDIPKLLEFFRAMKAKNEYFYYELQDEKANTFEWLFGAFKNCMSGSRDPRCILTDQDSSMAAAIKEVFRQTQHRLCCWHMLKKYQAELKKLYKIHDGLKIKLLTVINHPLTPIEFESAWNELVDDYDIREDDAIQGLWESRKLWVVAYLKPLYCGRMTSTQRSESVNKMIKGSGFTGPLTCMSKFARRMLNFIQHTNHSAGNLRLTLQPFDGHLSRVYTRAVYKKYRETYIYSIAFRIEAHPNEVDVYMVTHTDQSWQYAWFQHSFRVEADVRSEYIMKRYTRGARTMVTWDRHDIVTSGPVCKSDQYKTKKLVEIAMAAVRACCKTSLGFEKGCEQLSALVEWGESIARGAVQILECAPREARTKGRNHGGKQVVNDHASSSKAHGQWTCGYCGSLEHYSMGCDVNPDNVYKKRGGSGSLRGKMGRKRGRPPTKRQLEDEFNDVA >KQL11747 pep chromosome:Setaria_italica_v2.0:IV:36537767:36538961:-1 gene:SETIT_007180mg transcript:KQL11747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSQKPAQEAAPVKLITAFGSPFAHRVEVALALKGVPYELVVEDLANKSALLLAHNPVHRSVPVLIHGGRAVCESLVIVEYVDEAFHGGAAPRILPTDPYDRATARFWAQFIADKCLKPLWLSMWADGEAQARFTRETKESLAILDARLDGKRFFGGDALGFVDLAACTLAHWLDVLEEVAGVRLVGDGEYPALRRWAKEYTSDETVKRFLPDREELVAFFAANKERYTSMVRAALQQQ >KQL09405 pep chromosome:Setaria_italica_v2.0:IV:3156559:3156760:-1 gene:SETIT_008110mg transcript:KQL09405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVRHLKNSKNLTILNQQTVLNECAS >KQL11538 pep chromosome:Setaria_italica_v2.0:IV:34612523:34616020:-1 gene:SETIT_006578mg transcript:KQL11538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSLRSRLLILPPPPASPTAVSLRLRPHAAVLPSCSRRRNLHLTARAAPPGGAVAPASSSSAPAEEKEKDEKGGGVGLSATDAEMLCEFLRADLPHLFDDVGIDRSAYDDRVRFRDPITRHDTIDGYLFNIRLLKLLFRPDFYLHAVKQTGPYELTTRWTMVMKFMLLPWKPELIFTGLSIMGVNPQNLKFNSHVDLWDSIQNNEYFSFEGLRDVFKQLRIYKTPDIETPNYLILKRTAHYEVRSYEPFLIVEAKGDKLTGSSGFNNVTGYIFGKNASSEKIPMTTPVFTQASDDTLSDVSIQIVLPMNKDLNSLPAPNTEAVTLRKVEGGIVAVKKFSGRPKEEIVLQKEKDLRSQLLKDGLKPQQGCLLARYNDPRTKSFLMRNEVLIRLNDFTLEL >KQL11427 pep chromosome:Setaria_italica_v2.0:IV:33497411:33502647:1 gene:SETIT_005830mg transcript:KQL11427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARWRAAWAVVMVMVMAVVAAVRAKECTNIPTQLSSHTVRARLQASPGAAEWRWRELFHDHLNPTDDAAWMDLMPPPRGGLRAAAGAEEGEELDWAMLYRSFKGQHQLPGGGGASTSAAGPFLEEVSLHDVRLDPDGDAAYGRAQRTNLEYLLLLDADRLVWSFRAQAGLPAPGEPYGGWEGPDVELRGHFVGHYLSAAAKMWASTHNATLAGRMSAVVDALHECQRAAGTGYLSAFPAEFFDRFEAIQPVWAPYYTIHKIMQGLLDQHAVAGNGKALGMVVAMADYFAGRVGSVIRSYSIERHWASLNEETGGMNDVLYQLYSITNDQRHLVLAHLFDKPCFLGLLAVQADSLSDFHANTHIPVVVGGQMRYEVTGDPLYKEIATFFMDTVNSSHAYATGGTSVSEFWSDPKRLAGALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKARSYHGWGTQFNSFWCCYGTGIESFSKLGDSIYFEEKGERPALYIIQFIPSTFNWRTAGLTVTQKLEPLSSSDQYLQVSLSISAKTNGQFATLNVRMPSWTSLNGAKATLNDKVLELASPGTFLTISKEWNSGDHLSLQLPIHLRTEAIKDDRQEYASIQAVLFGPFLLAGLTTGDWDAKTGGATAAPSDWITPVPPESDSQLVTLVQESGGKAFVLSAVNGSLKMQERPKDSGGTDAAVHATFRLIPQGSGTSAATNSTATAMLEPFDMPGMVVTDKLTVSADKSSGALLDVVPGLDGSPGSVSLELGARPGCFLVGGGEKVQVGCGGVRNRGGDGGAGFRRAATFARAEPLRRYHPMSFAARGVRRSFLLEPLFTLRDEFYTIYFNLQA >KQL11428 pep chromosome:Setaria_italica_v2.0:IV:33497411:33502647:1 gene:SETIT_005830mg transcript:KQL11428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARWRAAWAVVMVMVMAVVAAVRAKECTNIPTQLSSHTVRARLQASPGAAEWRWRELFHDHLNPTDDAAWMDLMPPPRGGLRAAAGAEEGEELDWAMLYRSFKGQHQLPGGGGASTSAAGPFLEEVSLHDVRLDPDGDAAYGRAQRTNLEYLLLLDADRLVWSFRAQAGLPAPGEPYGGWEGPDVELRGHFVGHYLSAAAKMWASTHNATLAGRMSAVVDALHECQRAAGTGYLSAFPAEFFDRFEAIQPVWAPYYTIHKIMQGLLDQHAVAGNGKALGMVVAMADYFAGRVGSVIRSYSIERHWASLNEETGGMNDVLYQLYSITNDQRHLVLAHLFDKPCFLGLLAVQADSLSDFHANTHIPVVVGGQMRYEVTGDPLYKEIATFFMDTVNSSHAYATGGTSVSEFWSDPKRLAGALTTETEESCTTYNMLKVSRHLFRWTKEIAYADYYERALINGVLSIQRGRDPGVMIYMLPQGPGRSKARSYHGWGTQFNSFWCCYGTGIESFSKLGDSIYFEEKGERPALYIIQFIPSTFNWRTAGLTVTQKLEPLSSSDQYLQVSLSISAKTNGQFATLNVRMPSWTSLNGAKATLNDKVLELASPGTFLTISKEWNSGDHLSLQLPIHLRTEAIKGVRVYTSGPVRTVPPRWPHHRRLGRQDRRRHRRALRLDNPRPSGI >KQL10706 pep chromosome:Setaria_italica_v2.0:IV:23896839:23897416:1 gene:SETIT_009079mg transcript:KQL10706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHYHLNLLHTKFCMHSCFLPYLLGLLYSTNTDNISLRSSGI >KQL09150 pep chromosome:Setaria_italica_v2.0:IV:1557719:1561100:1 gene:SETIT_006296mg transcript:KQL09150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAAAATASLDLAAAPALSRRCHRPTSARPSAAAAAARGLRMRGAARVVVAAAAAAAAPAKAGAEEVVLQPIREISGTVKLPGSKSLSNRILLLSALAEGTTVVDNLLDSEDVHYMLGALKALGLSVEADKAAKRAVVAGCGGKFPVEKDAKEEVQLFLGNAGTAMRPLTAAVTAAGGNATYVLDGVPRMRERPIGDLVVGLKQLGADVDCFLGTDCPPVRVKGIGGLPGGKVKLSGSISSQYLSALLMAAPLALGDVEIEIIDKLISIPYVDMTLRLMERFGVKAEHSDNWDRFYIKGGQKYKSPKNAYVEGDASSASYFLAGAAITGGTVTGDVKFAEVLEKMGAKVTWTETSVTVTGPPREPFGRKHLKAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMVAIRTELTKLGASVEEGPDYCIITPPEKLNVTAIDTYDDHRMAMAFSLAACAEVPVTIRDPGCTRKTFPDYFDVLSTFVKN >KQL11148 pep chromosome:Setaria_italica_v2.0:IV:31131939:31132720:-1 gene:SETIT_008609mg transcript:KQL11148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLTASVQAFSFLAPAIPEQSAGTPWPGLAMAAERRRSKEGARQGEVPRWRWETPLAAREATRRRREVAVWRREVTAWRRSTSSTATTATATAAFSPLAAMA >KQL10429 pep chromosome:Setaria_italica_v2.0:IV:14877086:14877833:1 gene:SETIT_008568mg transcript:KQL10429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDSQELPTEGQATTTHTEDIVDVMTSEGKSFDLFSQSVARPSARVQVPRDLRRLGRDLDRISRGLHTKLPIHFASEGGIILRGYISILTRSKDYKTDNEKHLNIFIGKLVGKFDIDTTSQLVIEACTDMLKSQQRHGRYQLKKKYFNGLATNEIPNETPMTIMNDDQRNKLVTMRSSQPHSV >KQL09177 pep chromosome:Setaria_italica_v2.0:IV:1685575:1688357:1 gene:SETIT_006886mg transcript:KQL09177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRPPLRLPLSTRSHTPPSQLYSPSPSTPPPAPMAAPANSSCFHARAAASQTSSLSVGTRVFVGLRAQTKLGSSESSCPNVSAGFYTAVNRRISLGLSNKKATRARISMMPIGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDNIDEEFSNQVLASMLYLDSIDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLAEHTGHSVEKIHEDLSRVKRFDAEGALEYGIIDRIIRPSRIKKEGSTGHRKDMRNLGLG >KQL09176 pep chromosome:Setaria_italica_v2.0:IV:1685575:1688357:1 gene:SETIT_006886mg transcript:KQL09176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRPPLRLPLSTRSHTPPSQLYSPSPSTPPPAPMAAPANSSCFHARAAASQTSSLSVGTRVFVGLRAQTKLGSSESSCPNVSAGFYTAVNRRISLGLSNKKATRARISMMPIGTPRVPYRTPGEGTWQWLDIWNALYRERIIFIGDNIDEEFSNQVLASMLYLDSIDNTKKILLYINGPGGDLTPCMALYDTMLSLKSPIGTHCLGFAFNLAGFILAAGEKGSRTGMPLCRISLQSPAGAARGQADDIENEANELIRIKNYLYGKLAEHTDS >KQL11506 pep chromosome:Setaria_italica_v2.0:IV:34314996:34316655:1 gene:SETIT_008394mg transcript:KQL11506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATLTHPSPTARPTPPTPTHQGPLAPSSTIPLSLLHLPSLSSSALQALQFYHLLQASDLLCVTAAVSELRAHSSPGSHRLPPLSFLPLAGNLQCLPAGRQRGGSGMVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFPVPRTATGELAPVPPARDARHGGGGSSSSTASGGGNSLSQILSAKLRKCCKTPSPSLTCLRLDPEKSHIGVWQKRAGARADSSWVMTVQLNKDAASSGDEPPPSDGATTTPTSTSTSTVTTAGSPPASSVMMDDDEERIALQMIEELLGRSSPVSHPHGMLQAGEGSLVI >KQL11598 pep chromosome:Setaria_italica_v2.0:IV:35173533:35173763:1 gene:SETIT_007816mg transcript:KQL11598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSDLTSEFDFMGIRSLTTPMPPSLVPRNSV >KQL09140 pep chromosome:Setaria_italica_v2.0:IV:1479906:1480400:-1 gene:SETIT_007788mg transcript:KQL09140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTNIFTTSQKVITDLLSLRTDLSVDLCYSYHWRSDSDYPGVLLTCEYELKILYFQ >KQL11056 pep chromosome:Setaria_italica_v2.0:IV:30393097:30397274:-1 gene:SETIT_006053mg transcript:KQL11056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAPWLASVVAAQLLLLIVAVRGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVIYPDKKATGCAEFDTKFKSKSRRPVILLLDRGDCFFALKAWNAQRAGAAAVLIADSVDEQLLTMDSPEASPGTEYIDKINIPSALVNRAFGESLKKMAQKVAAGDAAGEEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQAEFIRGFRGHAQLLERGGYARFTPHYITWYCPEAFRLTQQCKSQCINHGRYCAPDPEQDFGSGYDGKDVVVENLRQLCVHRVANESGRPWAWWDYVMDYKIRCSMKEKKYSKTCAEDVVAALGLDLKKVLECMGDPEADAENAVLSKEQEDQIGSGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSPDIETNECLRRNGGCWRDEATNVTACRDTYRGRVCECPVVNGVRYEGDGYTDCQAVGPGRCALNNGGCWSETIGSHTFSACSETALTGCRCPPGFHGDGHKCEDLDECREKLACTCPDCHCKNTWGNYECTCSGNQLYIRGEDVCVANGMSKLGWFITLVAVACVAGVGIAGYVFYKYRLRSYMDSEIMSIMSQYMPLDSQNNENQPLRQHDSEALRH >KQL11055 pep chromosome:Setaria_italica_v2.0:IV:30392566:30397681:-1 gene:SETIT_006053mg transcript:KQL11055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAPWLASVVAAQLLLLIVAVRGRFVVEKSSVRVLAPEHIRGHHDAAIGNFGVPDYGGTLTGVVIYPDKKATGCAEFDTKFKSKSRRPVILLLDRGDCFFALKAWNAQRAGAAAVLIADSVDEQLLTMDSPEASPGTEYIDKINIPSALVNRAFGESLKKMAQKVAAGDAAGEEVVVKLDWRESMPHPDERVEYELWTNSNDECGARCDEQAEFIRGFRGHAQLLERGGYARFTPHYITWYCPEAFRLTQQCKSQCINHGRYCAPDPEQDFGSGYDGKDVVVENLRQLCVHRVANESGRPWAWWDYVMDYKIRCSMKEKKYSKTCAEDVVAALGLDLKKVLECMGDPEADAENAVLSKEQEDQIGSGSRGDVTILPTLVINNVQYRGKLERTAVLKAVCAGFKEGTEPRVCLSPDIETNECLRRNGGCWRDEATNVTACRDTYRGRVCECPVVNGVRYEGDGYTDCQAVGPGRCALNNGGCWSETIGSHTFSACSTALTGCRCPPGFHGDGHKCEDLDECREKLACTCPDCHCKNTWGNYECTCSGNQLYIRGEDVCVANGMSKLGWFITLVAVACVAGVGIAGYVFYKYRLRSYMDSEIMSIMSQYMPLDSQNNENQPLRQHDSEALRH >KQL10334 pep chromosome:Setaria_italica_v2.0:IV:13080640:13081286:1 gene:SETIT_007546mg transcript:KQL10334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGRLRRRGSQASGASGSPLKCRRSSTGLDFTLTGDSTSRVARQSGNSSMAAYEASLGREASTSHALVNFMMATEADFVVGALGSTCCYLIDGMRNTEGKVMSWYLSVNKDRFW >KQL10149 pep chromosome:Setaria_italica_v2.0:IV:10266675:10267194:1 gene:SETIT_007795mg transcript:KQL10149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYNKNVIQNFRNILTEIIFSTHHRKTRNSGNSDEISSKMLTLVLLLYMSTPACY >KQL10125 pep chromosome:Setaria_italica_v2.0:IV:9934569:9937385:-1 gene:SETIT_007090mg transcript:KQL10125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPASAAGSETPKQLLSIIRDFASEKSHGERRVSDLRRRLADVRAAADAAAAELDAAKRAREAAELELRGSQVQAAIAASTIQELEATISRLQEEISKVGSELDELKSKGDSERDEFISKMHEMNAEIRQFQQMFSLELAEYNHCGLQSAEGQHVGDKSETIESDGILKDLADKASNIDAEMQLLEGEYKKDLLDHDKVHQELADVQAKRALMEAVMGEMKQLQELGGRAAELEKVHASLTDELQRRYTCPGCGVNNMPRLEEAAN >KQL11036 pep chromosome:Setaria_italica_v2.0:IV:30135584:30135968:1 gene:SETIT_007799mg transcript:KQL11036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYLIMTYYNHHSIRFNVNLAPTLIKNFSCFYSSETLKPYIRISQDKAYIRYFS >KQL10932 pep chromosome:Setaria_italica_v2.0:IV:28497392:28498856:-1 gene:SETIT_006919mg transcript:KQL10932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATVRCLLTIAVVLAPFLAGATAGGPLSTSFYSKKCPNVQGIVRAGVASAVAAERRMGASILRMFFHDCFVNGCDGSILLDDTSTFTGEKNAGPNANSVRGFEVIDAIKAQVEAACNATVSCADILALAARDAVNLLGGPTWTVYLGRRDALTASQSDANAKPPRLATLVAMFGNKGLSPRDMTALSGAHTVGQARCATFRDRIYNDANINATFASLRQQTCPQAAGGASDAALAPIDAQTPEAFDNAYYTNLMGKRGLFHSDQELFNGGSQDVLVKKYSGNAGMFAADFAKAMMRMGAISPLTGAQGEVRLNCRKVN >KQL11382 pep chromosome:Setaria_italica_v2.0:IV:33051021:33058360:1 gene:SETIT_005884mg transcript:KQL11382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVTLDLNEQPHENQGGCLNYVLLQKDGKNICRTKVCDLPIEVPTIWSIISFKPTKAYQKSVFPKFSLLPDPEDRRQKTEWGKFMRFLSDNKKAAIVRCESSTFHILASQPDEYSNFPHAVLLYKCGQNDPRDCKQMAGTSGRYVNNPAMDSSKRYYKSKFQYGSKKPPYFKEEICDSGPNLKEMESSSKHHDPHVTGLHRPVQESSPCESIEDSPRVLNPVVKKRMASPKKNFIVADPSYLRTLSQTHAGWIFGAIAELIDNSRDAGASRLSISIESLFSKKAQRKIPVLSIIDDGHGMTYADMMRMISFGHKRPNEHRENQIGRFGIGFKTGAMKLGKDAIVLTQTSSSRSVAFLSQSFNEEKDNLEIPVVTYRKEGQYMEVDLSVQSEATAEYNLSAIKEFSSFNEYFIGEKLGLFGEERTGTQIYIWNLDRWGNDYTLEWNSGKSSENPVHNGRGDILIRSRRIRSRPGQTSNKVLLDYSLQSYLEVMFLNPRMKISVQGSLVKSRPLAKTLNKTSVMSGEIMGRTILLTLGRSKVEWDRTNCGIFLYWHGRLIESYKRVGGQKHSADVGRGVIGVADITNLIDDEDGNSWVLNSKQGFQDCEIYAKLEEWLGRKVDEYWDTKFDNLELRKRDEHHKPDCDWVQCCSCRKWRVLNAGFNTDTLPVEWFCYMSPFNGKCEVPEQQMGRGVIVIGEKRSGNDEQNKTTQQGETPKKEMRPENLEVEKIVQDEDAKNVQGTLEVNKRKNSSSGVPSKPKNNSDDDFEGASSQTEDDAPRPTLKRLRRGPAAKTYKC >KQL12243 pep chromosome:Setaria_italica_v2.0:IV:39629562:39633379:-1 gene:SETIT_005877mg transcript:KQL12243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWALSSALLLLFLLTTLPDPAKKLQVNAEESSDDLANPPKVEEKLGAVPHGLSTDAEVAQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKRYSEFINFPIYLWSTKEVDVEVPADEEETSEDEESTPETTDEEETEEGEEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTDEEYSKFYHSLAKDFGDDKPMAWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNNNKSNLKLYVRRVFISDEFDDLLPKYLNFLKGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKIAEEDPDEYSNKDKTDEEKSEMEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFERFILQCCFPFIMSLIICSRQNKADLVFVCLFQYQVRWQTCLS >KQL12244 pep chromosome:Setaria_italica_v2.0:IV:39628209:39633409:-1 gene:SETIT_005877mg transcript:KQL12244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKWALSSALLLLFLLTTLPDPAKKLQVNAEESSDDLANPPKVEEKLGAVPHGLSTDAEVAQREAESISRKTLRSSAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDTAKLEIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQSGGDLNLIGQFGVGFYSVYLVADYVEVVSKHNDDKQYVWESKADGSFAISEDTWNEPLGRGTEIRLHLRDEAKEYLEEDKLKDLVKRYSEFINFPIYLWSTKEVDVEVPADEEETSEDEESTPETTDEEETEEGEEKKPKTKTIKETTSEWELLNDVKAVWLRSPKEVTDEEYSKFYHSLAKDFGDDKPMAWSHFTAEGDVEFKALLFVPPKAPHDLYESYYNNNKSNLKLYVRRVFISDEFDDLLPKYLNFLKGIVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKIAEEDPDEYSNKDKTDEEKSEMEEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFESTKSDGKLASLDEYISRMKSGQKDIFYITGSSKEQLEKSPFLERLTKKNYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLGKDSKLKDLKESFKELTDWWKKALESENVDSVKISNRLHDTPCVVVTSKYGWSANMEKIMQAQTLSDSSKQAYMRGKRVLEINPRHPIIKELRDKVAQDTESEGLKQTARLVYQTALMESGFNLPDPKEFASSIYKSVQKSLDLSPDATVEEEDEAEEQPEVEEKEPAKEEESEPSYDKDEL >KQL10257 pep chromosome:Setaria_italica_v2.0:IV:12046712:12050595:1 gene:SETIT_006190mg transcript:KQL10257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAPSPPSGRPVQEDGDGDRQRSSQRPFGAVLITVPDGPGSALPASSGNGLRAALLDHAGAVGSDEERPRPRGQSFTLWRATVAVLALAALAAAGYVCLYTDGDAAGAAWRLLGASEEEEEGEDRGGRKSFLLPLYPKPHRGGGEARKRASGGAAENLTAAAFPSTGNVFSTGLYYTTVSIGNPPRGYFVDVDTGSDITWIQCDTPSRSCAKGAHSPYRPAQANIVPASDPLCERVQRDPNQCNYDINYADRSSSMGVYVRDNMQLISEDGERENLDIVFGCGYDQRGNILDTLENTDGVLGLGSREISLPTQLASRGIISNVFGHCMTTDPSSGGYLFLGDDYIPRWGMTWVPVRNGPADNVRRAQLQQVNHGDQQLNVQGKLTQVIFDSGSTHTYFPHEVYLNLIAALNGASPRFVHDDSDKTLPFCMKADFSVRSVDDVKHFFKPLSLQFKKQFFFSRTFNIRPEDYLIVSDKGNVCLGVLDGTAIGYDSVIIVGDVSLRGKLIAYDNDENQLGWIDSDCTDPSEQSRIPFFLRKVLHNQLL >KQL10174 pep chromosome:Setaria_italica_v2.0:IV:10538824:10542252:-1 gene:SETIT_007077mg transcript:KQL10174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPAADPGKSPHKESAREAMGNESGAAAVPLLRKPRPERSLARRHVLRGASFALVTTALLFVLGRPGINDDAVKEQGSSSVAGVYGGELTPLQGHVAFFDRDKDGVIYPAETYQGFRAIGAGVALSAVAAVFINGGLGPKTIPENGKTPRLKLPIYVKNIHKGKHGSDSGVYDANGRFVPEKFEAVFKKHAHTRPDALTGMELQELLQANREPKDFKGWLGGFTEWKVLYYLCKDKEGFLHKDTVRAVYDGSLFERLEKEGKSKESTKKK >KQL09907 pep chromosome:Setaria_italica_v2.0:IV:7353441:7354757:1 gene:SETIT_007984mg transcript:KQL09907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLEAVVFPPDHPRVPCCRSCGSSCVLGGYGVASAGEFEGIIEKGVVVVQENGELLVPPPAHGSACCAWAGGAATSSWAGPVPSTTLATSSARWPAHHHQPAVTRKPRRRRGTAARRSASEAESLRRNHIAVERSRRRQVNEYLAALRALMPTSYARRGDQASIVGGAIDFVKELEHHLQSLQAQRHPAAAAAGHGSERFPGFFTLPQYSTAEAANDVDDGASSSGGERRPATRTGVADVEVAVSDGGHTTVKVLAPRRRRRMLLGLLLGMQRRGLTALHLNATTTADQMALYTFSLKMGDEWQLSSAGDVAAAVHDIVAGMDTAEERAV >KQL11796 pep chromosome:Setaria_italica_v2.0:IV:36817395:36817980:1 gene:SETIT_007492mg transcript:KQL11796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMQCIVVVQLERMPYSRVRCGKATRRPGQVEVEPRSSGIEVLCIRSLAAARGSSSSLASATRRHSTRHRLERWRLLGRSAPKAAGSDRGGWIVETWEVPRKRTENKRSPRCIALAAVTSIRFSIEIILRTK >KQL11738 pep chromosome:Setaria_italica_v2.0:IV:36438723:36440932:-1 gene:SETIT_006768mg transcript:KQL11738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASAQESGLWKQIDDAEDYLLSGLFEQAVSTALSVSDQTRTVSLETECDHDELLDILESAGMVLVQALKELRRTSEMFVQLKKMFGSVATVPAKVFLTGATMQMAAGSGSDLRPIFEEYLAKWRYTNDEVYVLNGGQDNPLNGFVVSSVMSTKQYLEVAELYTVTFLCIVSQESETAISWAEKAELTEQGRQDLLKKLYALRSAANKKPSTVEGVKQIAERNLSTSTNGSTPSLHEDAPSTAPVYDSQKVQVKSTRPSIQRVTNQFDPFFWWFHSVRLRFGKIHIVLPSGKLMLLLSLLFSTIYVLRRKTAGLKRTVFQHASSLRRAFFDALQLAFSVQMNPLAAVQQVPQAPR >KQL11737 pep chromosome:Setaria_italica_v2.0:IV:36438542:36441234:-1 gene:SETIT_006768mg transcript:KQL11737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASAQESGLWKQIDDAEDYLLSGLFEQAVSTALSVSDQTRTVSLETECDHDELLDILESAGMVLVQALKELRRTSEMFVQLKKMFGSVATVPAKVFLTGATMQMAAGSGSDLRPIFEEYLAKWRYTNDEVYVLNGGQDNPLNGFVVSSVMSTKQYLEVAELYTVTFLCIVSQESETAISWAEKAELTEQGRQDLLKKLYALRSAANKKPSTVEGVKQIAERNLSTSTNGSTPSLHEDAPSTAPVYDSQKVQVKSTRPSIQRVTNQFDPFFWWFHSVRLRFGKIHIVLPSGKLMLLLSLLFSTIYVLRRKTAGLKRTVFQHASSLRRAFFDALQLAFSVQMNPLAAVQQVPQAPR >KQL12091 pep chromosome:Setaria_italica_v2.0:IV:38699466:38704797:-1 gene:SETIT_0064412mg transcript:KQL12091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPCDLRLLLLAAAAAFIYIQVRLFATQSHYADRLAEAERSENQCTSQLKSLIDQVSMQQEKIVALEEMKIRQDEERAQLKILIQDLEKRSVQKLLNKNVVPVAAVVIMACNRPDYLERTVESILKYQTSVASKFPLFISQDGTNGAVKKKALDYKQITYMQHMDLEPVRAERPGELTAYYKIAKHYKWALDQLFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVNDPKALYRSDFFPGLGWMLTKSTWIELSPKWPKAYWDDWVRLKEVHGNRQFIHPEICRTYNFGKHGSSLGQFFEQYLEPIKLNDVHIDWNSEDLSYLREDKFLTKFGKEVASAMPLHGSDAVLKAQNMAADVRIQYNDQEDFEQIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSPRRIFLVSPDSLRQLGV >KQL12090 pep chromosome:Setaria_italica_v2.0:IV:38699466:38704774:-1 gene:SETIT_0064412mg transcript:KQL12090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPCDLRLLLLAAAAAFIYIQVRLFATQSHYADRLAEAERSENQCTSQLKSLIDQVSMQQEKIVALEEMKIRQDEERAQLKILIQDLEKRSVQKLLNKNVVPVAAVVIMACNRPDYLERTVESILKYQTSVASKFPLFISQDGTNGAVKKKALDYKQITYMQHMDLEPVRAERPGELTAYYKIAKHYKWALDQLFIKHNFARVIILEDDMEIAPDFFDYFEAAAKLLDNDKTIMAVSSWNDNGQKQFVNDPKALYRSDFFPGLGWMLTKSTWIELSPKWPKAYWDDWVRLKEVHGNRQFIHPEICRTYNFGKHGSSLGQFFEQYLEPIKLNDVHIDWNSEDLSYLREDKFLTKFGKEVASAMPLHGSDAVLKAQNMAADVRIQYNDQEDFEQIARQFGIFEEWKDGIPRTAYKGVVVFRYKSSPRRIFLVSPDSLRQLGV >KQL10529 pep chromosome:Setaria_italica_v2.0:IV:17542220:17545273:1 gene:SETIT_007825mg transcript:KQL10529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASCSPRNTETDTEAHLAAGFCAATGTYSSSHPPLAAATAASFPEYLFPRLLTFPLDRPAFVDATTGATLSFADLRARSLKVATALSALGLRRGHVALLLAPTSLHFPVVSLGVLALGAVLSTANPLLTPHELADQARDSEPFLVLTTAELAPKLSSLTASPVVVLIDELLTGIDGHDTWAYTSDDISRDDPALLFYSSGTTGRSKGVVSTHGNVIAAAAFLRRVWRRGDGDDDDGVDVYGCVLPMFHMFGFSAFVLGTPAIGATAVLVPGRFSVDRLMAAMEEHRVTRLLAVPPMVVQMAKVAAGEPSSTSTRRLCLREVVSSGAPLQREHMARFRSCFPRVNIVQCYGLTESTGIVTMCDLPQLLHEHGNDDGVECSNEPPTISIGRLVPSTEARIVDVESREALPPNHVGELWIRGPSVMQGYLRSKEATAAALVTTDAEDGGGRWLRTGDLCYFDSGGLIHVVDRIKELIKYKAYQVAPAELEDVLAVHPDIHDAAVAPYPDEEAGEIPVALVVKKPGSKRLQAQDVLSFVQSKVAPYKEVRKVVFVDSIARSPSGKILRAQLKSFLLA >KQL12128 pep chromosome:Setaria_italica_v2.0:IV:38907803:38908732:1 gene:SETIT_007908mg transcript:KQL12128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSKLAAAGDGWADLPRDLLESVLARLPVPDRLRFPAVCTAWQSAAATGASGSNAPLSPWLMLPFNPTARGRPRRPTPSGTAVSEHVCVGSSPDGWLITADASSELHLLNPVTGAQVQLPSVATLPFVDATRGADGCVESYSLRRCFADDDCDDEVLIPPETLAPDRLRYEVYEKAIVTWGGYALVLICQPLSRVAVARAGDAGWTQLDTPARCWVDAVRAAGADGVVYAMDTAGRVEAWDMDATPAPAPSRAAIAPPCRCSARACAMFAVCRRYPMELAP >KQL09745 pep chromosome:Setaria_italica_v2.0:IV:5634054:5636924:1 gene:SETIT_008772mg transcript:KQL09745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISESLSRRDKSLQLINKDIKSLSFMDRLKGGCDDIENLEEMIDVERDLERYQRDTLRKIRPQQIYQMGWFENKNRLYRISREVELSVLTEPVQLRIVSKQFENGLKYSGYKYIHQGMYIIGIKGMTRKKLGTKVLITLLDKRWDSVNKAALGFLEGDMNENMLITYIAPDLIMPIKEFIDKMAIGFQTKGYEDFKGTNLLVSIEFVGRLTNRSATKYKVNVNNVIESMQSKGIKFMSPLKISSEERAGEEWNISALIEPKILKQPKDYVSYENSKGKTSIRFVNYKERSLDDLEVSTSESNIGEARRHSVCEFMEKLDIDNEIKHYEKKLSNVQDEYNTSMICEWSAIREKELYFRRELYRLNKIKKERELNNKKINMPIIKHEPTLQHVSDKNSKIQKELENNKEIVKEKEEVVISEEDQWEINNKILLERETDRPSRKPGNWPPEKEEPTYTYIPGQYKHMGSKRREFERTVQFQNYRSDGAILNLAAHDPIDWPNIISIWKSLIVQKYIQNQHNIGSRVEDMITYLETFLGESVKVLWEQWVETYPHYYEELKRAGSNPYNFANIISSIVIDEDPELGYTALQNERLKEIEKLTLTNWKGIKEFSQHYLYNATTAKQGYNKSIVERYFNKLPDPLGSMIFEEYKKESNGREYNISQAITFVFKQLRKICTSIQAQRSMKQSDYNFCNKIVQIPLTYGEEKYRNKKYPKNYKKGNVKTKKRYFLRRSDNRAPFLHKRNVRRYNPRKNYDSKCRCFICNSPDHLSKTCPNKDKKRYSNKQEEQENVLIIDSVNENILVCDDDIMDDESIYSIIETDEIEYNEEDESSDEETNLIEELAGLKIEMMDQIIRDHKRDEVNRNCVFCIYYQDPGKRATCSLCIKQACRSCLEQPSDKK >KQL10824 pep chromosome:Setaria_italica_v2.0:IV:26466954:26468412:-1 gene:SETIT_008795mg transcript:KQL10824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HNQPHQMLATNSPKDTSLINSDVAAPISKLTCDFSSVHSDVCTMEGDLRIHGKSATVYVVSASTFSPENSTIKVKPYTRKWEKETMSRIREVTMRSMPPAPYSFTIPPRCTVRHDVPTVVFSTGGCGTNFFHAMSDLIAPLYITAREYNGRVQLLITDYQPKWVAKFRPILAALSMYPVIDFDADTVVRCFPSARVGLESHRILGIDPALSRNGYTMMGFRDFLRSIFSLQRPWTSPISRSSGRKPRLVMVLRRHSRAITNEADVITAMVDLGFEVVAAGPKDASDMGRFAGVANSCDVMVGVHGAGLTNMVFLPHNATIVQIIPWGEMKVACRYDFGDPVPDMGLQYAEYEVTAEETTLNEKYPRDHPVFTDPQSLHHQGKLWEIFLEGQNVTLDIGRFREVMQQVYQSVTTE >KQL09050 pep chromosome:Setaria_italica_v2.0:IV:890581:893210:-1 gene:SETIT_005864mg transcript:KQL09050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHFALKLDPREFCDPNMTMYISNLTSSYIDKSNEPTIVSSTTIMFVLAGLFFSLNLFSGISDVSAILDPKVRLFLSSALSLFLPVMSYLFSEAKNARNTTTTSSSSTTTTVADLSLTAGLILVWMLLVELLRKKVDEIRMRGHSSTIQRAGRVVWLGNLVFFNIKSVGRKAVFSILWILCATKVAQRFVFTEVGKRSYAHGKNASVLTSYMAQMLEREEHQAERHQAVQDGDELLKRCKYIVMGENKLVKKATADGYEFFFPTNDDGVITVGKVWELPETGSQHKEIQHLKRICLSFALFKLLRRRFEHQPAMSDEEARDCRKFLLNGLYGQRRKEDAHVLFQVMNDEVNFLSEYYHSVIPVVFASPFFLIANYFLLPIVVTVLCLMSIILCGNGDVGYAFKSLGRDNYTLQHGVSKIVRCLLAKALDNKAPAFFSLVDLSITAFLFIIFFYEEIWEFVVFLLSNWFMVSVLCSFRAKYDWPRSPTFGGAFRRLLWLRSRMSHAPLRVKQFSMLNLRWPPHLPLFSPLFLAIRTEGVPNSLKQSIMDCLVEHDRHPNNTPLTKGTSALEKHKIPQHHALREACTSDSAAEVILTLHIATSILEAKCAPPSNKNEDVRMVAIKLSNYCAYLVAFHPELLPDNKEKAEDVFEAMKKELKDMLGCRVYFLSSKSTRVEKMMKAVEDESNKQQETSTEDESNKQQETSTAVQIRDIEVETPGHSEQDNKKTEAAAGKSDGSKVVLNGAKLGKLLMDEVDPEAVWKLLADVWTELIVYVAPSNDEERVKGHEEVLVQGGEFITVLWALTTHIGVARPPPQTKQSTPGP >KQL09261 pep chromosome:Setaria_italica_v2.0:IV:2183333:2184265:1 gene:SETIT_008668mg transcript:KQL09261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFDVDDDGDFLDQQPDQGDCSFMSDDDDDYLDGQSDQGEDGFMSGDDDDSSLHEQSSSDQEDDCSSMSDDADSSLDEQSDQEDDDDDFFDQEPDRQANIFMKFLMGAIRRYTDRGFHSPAGAGGEDGPAGTFSQHYYVVNFTGRRVPRLRIRRFPAAEDYAVELDEDDPFPVQAPPVAASEAAVAALEAAEEPADDCCPVCLQDGAAPGAAWTRVAPCGHRFHAACVAQWLRVKLSCPVCRRPAAAAAAPTACRRDDVVEEPSRSLPIPDIVEEMFSIGYEESLVLECTSRWMEFMGTFRLPSTGNSSG >KQL10663 pep chromosome:Setaria_italica_v2.0:IV:22449014:22449139:-1 gene:SETIT_007893mg transcript:KQL10663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNDGAATLELVVCLGLLLGHLAIAQKSTRPPSCSRTTRP >KQL12108 pep chromosome:Setaria_italica_v2.0:IV:38784298:38788281:1 gene:SETIT_006602mg transcript:KQL12108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRHELCRNFQRGSCKYGAQCRFVHASSQQQQQQQQQQVKPNPFGFGSGSRQQQQQPSFGAQFQQQQQQQQQQQKPNPFGFGVQGAAKPFQNKWVRDPSAPTKQPEAAQPPPAAHTSCTDPESCRQQIAEDFKNETPLWKLTCYAHLRSGPCDIKGDISFEELRAKAYEDGRQGHPLQSIVEGERNLQNAKLMEFTNFLNNPRVSVSQTPSFPTVASFPEVKNNPSFGVPQTSGPPVFSSFSQVGAGNNFGPGPRTAPGNPTNTLFGQPVQASLPAFPAPTFGRSDMKFGVSGSFGSQVSQQPSAGSSMSNVGNFPKPPAGYQQSASSSHHRDIDRQSQDLLSGIVAPTSALNQAPVEDNKNENQDDSIWLKEKWSIGEIPLGEPPQRHISHVF >KQL09138 pep chromosome:Setaria_italica_v2.0:IV:1463599:1465287:-1 gene:SETIT_006879mg transcript:KQL09138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGVSTAPLSRLHSLPPPRPALLHHPHSHVLLRPQRKAPSTTAAALPPAADLPPLSLPAAAAAAAALAAAVSLSDPERRRRAQAEAAGGGDKEAVRAYFNSTGFERWRKIYGSATEGVNRVQLDIREGHAQTVAATLSMLRDSPLQLAGATVCDAGCGTGSLAIPLAAEGADVLASDISAAMVSEAQRQAQLALASQPAGSPFRMPRFEVRDLESLQGRYDVVVCLDVLIHYPREEARAMIKHLASLAEKRLLISFAPRTLYFDFLKRVGELFPGPSKATRAYLHAERDIEDALREAGWRVANRGFISTQFYFAKLFEAVPAGSSS >KQL09536 pep chromosome:Setaria_italica_v2.0:IV:4097081:4099143:-1 gene:SETIT_007730mg transcript:KQL09536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVPVRMKAVVYSLSPFQQKVMPGLWKDITTKIHHKVTENWISAGLLLGPVVGTYQYAMWYKEQEKLSHRY >KQL11282 pep chromosome:Setaria_italica_v2.0:IV:32310344:32313916:1 gene:SETIT_005832mg transcript:KQL11282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRRRGGAVAGGAVLWLLLLGASLCGGAAGLNADGTLLMSFKAAVTADPLGALAGWGYDAAEPCDWNGVVCKGYPQPDTTAAAAVNVTSASAADGGGGGGGNSTTAARNGTAAAAGAGGLNASLAAATVSRVISLVLPNAQLSGTLPPDLGRVEHLKHLDLSGNALNGTLPATLLNATELRVLSLAGNGGISGELPDEAAAYARGLQELNLSGNALAGRLPAALCRLPGLAVLGLAGNNLAGGLPIGGLGALELVDLSGNYFNGSLPSDFGGSRLRLLNVSSNKLAGALPTELAAVVPANATVDLSRNNFTGAIPQAGPFAAQAAAAYEGNPDLCGPPLKQACSIPSSLSNPPNATDSPPAFAAIPKNPARAPPGADGQPQAPRDQEKLRPAAIVAIVLGDIAGVGLLFMLFLYAYHVRKKRRQRREQDPAPPMQLKSTGGFGAVKTLDIAGGKEDKASTSMGCCIGRRNDGSDSSECSVSSDGESDDSEDLKKRGSLIGRSTPQDHGSKKHNPPHQQQAAPAPATLVTVDGDGDLEMETLLKASAYILGATGSSIVYKAVLADGTALAVRRIGESGGADKLKDFESQVRAVARFRHPNILRLRGFYWGADEKLLIHDYAPNGSLANIAFSRRFGSSSPLHLSLEARLRIARGVARGLAYIHEKKGVHGNLKPSNILLGADMEPWIGDLGLDRLLSGEAAGHRAGASARLFGSKRSMHSTSSLPDLSQMPGPGASPCGSASAAASGAGANPPPYQAPECLKNLRPTAKWDVYAFGMVLLELLSGRVYSEVELCQWHAGLVAEEHGRVLRMADPTLRGEADGREDALLACFRLAFACCAMAPGKRPAMRDAVMVLERTAAPGASAGSNAGAIP >KQL08927 pep chromosome:Setaria_italica_v2.0:IV:335828:338524:-1 gene:SETIT_0056771mg transcript:KQL08927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADFDDTTEDFFDNLVNSDDDADDDRPSLPSAAEAEASAGDLAALTLTDQSDAKPDNHPAPAPLTEHHPAPPNPQLALKEEALLEPEPAVAPPPEAAADQPAVPPPGAAADVPAAAPEAVSTGSDKGVHAAAPSLKQAHWNDFGGASTGAPGADPFGDLPPASPPERDTNSANSQFDSATGAAGYATDHSTNAQLESADPRYLESLYPGWKYDDTTQQWYQVDTLTDQHVTTEVTSAVASDGIQQQQQLSALYLQNDSHAAAGLEPTEVTPQPKPVTQADDQPMPAPHKEEEEEEHEPTLAHPQETKSEVQETHASADEAAMAPDSGSPGSHKGIHTAIKQVQWNDFGSSTSAGGADPFGDLLPEGAEDDLFGATVPGDQGMQASVVGTNNVSTTDHSFSAGVDNKAAIGAGLTDYSFYGGMDNDAISHFDSTASAAGYGDQSTNAQLDSTDPKYLETLYPGWKYDAATQQWYQVDTPSAQSYVADNTGAVAVLGSGVQQQQQFNASYLQNSLHSALETIAEESSANAATWGAAPVEYPPNMLFYAEYPGWYFDTNTQQWQSLESYQQSVAQAATTPAASDGFAGAGHSLAPCTQDSYASSYGQQSQWQPDSLGNTMQPDVSGGNSLLGSSYSSNQQAENQIGQQANAESLQSSVNYKPHIDTFAIRLVMRGSNLLQGTRVGTRVLSILQTAMDFAQQQLIGTNGPSQQFGFSPHEQRSSAGRPPHAV >KQL08926 pep chromosome:Setaria_italica_v2.0:IV:335828:338644:-1 gene:SETIT_0056771mg transcript:KQL08926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADFDDTTEDFFDNLVNSDDDADDDRPSLPSAAEAEASAGDLAALTLTDQSDAKPDNHPAPAPLTEHHPAPPNPQLALKEEALLEPEPAVAPPPEAAADQPAVPPPGAAADVPAAAPEAVSTGSDKGVHAAAPSLKQAHWNDFGGASTGAPGADPFGDLPPASPPERDTNSANSQFDSATGAAGYATDHSTNAQLESADPRYLESLYPGWKYDDTTQQWYQVDTLTDQHVTTEVTSAVASDGIQQQQQLSALYLQNDSHAAAGLEPTEVTPQPKPVTQADDQPMPAPHKEEEEEEHEPTLAHPQETKSEVQETHASADEAAMAPDSGSPGSHKGIHTAIKQVQWNDFGSSTSAGGADPFGDLLPEGAEDDLFGATVPGDQGMQASVVGTNNVSTTDHSFSAGVDNKAAIGAGLTDYSFYGGMDNDAISHFDSTASAAGYGDQSTNAQLDSTDPKYLETLYPGWKYDAATQQWYQVDTPSAQSYVADNTGAVAVLGSGVQQQQQFNASYLQNSLHSALETIAEESSANAATWGAAPVEYPPNMLFYAEYPGWYFDTNTQQWQSLESYQQSVAQAATTPAASDGFAGAGHSLAPCTQDSYASSYGQQSQWQPDSLGNTMQPDVSGGNSLLGSSYSSNQQAENQIGQQANAESLQSSVNYKPHIDTFAIRLVMRGSNLLQGTRVGTRVLSILQTAMDFAQQQLIGTNGPSQQFGFSPHEQRSSAGRPPHAV >KQL10772 pep chromosome:Setaria_italica_v2.0:IV:25438405:25438752:-1 gene:SETIT_008096mg transcript:KQL10772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTHPYEHTHANFIPMSTSEILSRQILDIDEVTTGASLLTGTSSTTKKIAPVKSWNKSRKIRAPAGSSSRILIGTASSHAHGMLAVKSHHNRAISRSCKIKIRIFFLQDKSRYCM >KQL09625 pep chromosome:Setaria_italica_v2.0:IV:4706298:4711785:1 gene:SETIT_007226mg transcript:KQL09625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVELKRIENKISRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSSRGRLFEFSTSSCMYKTLERYRSCNFASEASSAPIEAELSNYQEYLKLKTRIEFLQTTQRNLLGEDLGPLSTKELEQLENQIEISLKHIRSSKNQQMLDQLFELKRKEQQLQDSNKDLRRKIQETSEENVLRLTCQDLGPCGSRGHPDDANQEYLRPAVCDPSLHIGYQAYIDHLSNE >KQL10021 pep chromosome:Setaria_italica_v2.0:IV:8496983:8497738:-1 gene:SETIT_008062mg transcript:KQL10021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATEPREVKVYGAWGSAHAAMARNALVLKGVPYEYVEDDLDNKSETLLRLNPVHGGKVPVLVVDGRPLAESLVIIEYVDEAWPEARGYPPALLPREPPARAAARFWARFFHDEVSPLSRAVVLADAEAERAELAREVKARMAVMEAGVAEDFRGGDGEDEGPFVHGRSPGLLDVIVGSCAAGIRVLSAVSGVEIVEPGAMPRVHASVAAFDELAAGFGTTVPHELLLARLLERKARSRAAAATASRVRA >KQL10800 pep chromosome:Setaria_italica_v2.0:IV:26020875:26021514:-1 gene:SETIT_009078mg transcript:KQL10800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFIGMLWSLFKLCSPVENPDSEYICWPRKSVPHLRLATKISDSCTSDETVANV >KQL11464 pep chromosome:Setaria_italica_v2.0:IV:33975099:33975338:1 gene:SETIT_007751mg transcript:KQL11464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRCSLTQRRLWRPGADGSLRRGARPKLWRVEAGGLRTERREEGRRGSGYPLTCGLHSVSRRKK >KQL09546 pep chromosome:Setaria_italica_v2.0:IV:4156535:4165572:-1 gene:SETIT_005689mg transcript:KQL09546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHAPEETAMESDLAAAEEEWRRKERHAGGRIQKMEVGKEAGGKGEVWRRGAEVEVEVMADGVGEDGYKWGERDSIGRKGRMQGHQRPPVAAGHRPFWRPRGGGVSGRGGRGGGFQFHRCPRDLPHHKLDISNKPEVCGGAIIICNHETKRQFFEQKHFALPGYAATFIKKIRAGMLLFLFEHEERKLYGVFEATSDGALNILPDSCASLCKFRPAQVLFRRVWFCKPLTEAEFSDAIKGSSLLPQRSFFGISYQQVLDLVDLFTSRMIRLHPYQKPKSRVLRDYKISLARTGQEFSSYNHSNAAFSRSSFMFCNNRISLPHSPFMYAKHNGKHPAHKHESPLHPWHKPVVFKAPDILEKSKPDDADYIPLELDDCNSDSDANLSTLMGIVNFHSTMESNIRCGNQAPKPFNGKHNEDDRCHPPVLNQRFISESETGQNSVIAHIMKESKSKLQAKECKRKAIVQLDELSDVLSPMRACSMAKKISFSFGGNGVSVTSDKASHKPTLSELQQNREAVLKERKEQIGFSPRDIQSKERDASTKMKKVKAGTTLFLYNIEQCKLHGVFEATSDGAVNIIPDAYVSSGKRYPSQIRFKRIWFCKPLMEGEFRDVVQNYSIRNKFSYSLSHQQVAKLLHLFSSRNRLQLCQNPRLKDDLPRKLETSLVKVTDAQSSPNSSSCGSFRSPCQTCSSSTLGDKLIDSVSLVHSGLQSDISDVDKSKSSQSPLHTRADTTTVKIPSNQEAMHDQSTDDYIPLPQEEDNLEGVDDLFGLLKDESHSSESKGSSDSEDHTTFHQVCVRKEDRCYPPVLNSKLHSDSEGRTSVFSRLVKTHKSCIRGKRSKTEAFPPRSAQSFNPLFQRKKQKKAQRGKPFPCQNDRMLVMSSGNRLNRVAASNHSFVGRRSTKCPGGKRSGIQTCLEPFVCEDGNKWDVSNKQPVRYDSCKKSFVPKPCSKSIDSCDNELNMPAVFAGEHDSSEVNVKEDLRTSSMNFKQCANDSYVEGGDQDFYSEDVEAIGRKKRQATASFHQECPSDTALVPKDTNTLDMLAISDENFKEKSIAFLCKDDAHTQLARHYLETKVPPQDEQQQSFQGSFEYVQEITCDSSLMLESSKTMDALGNHSFGDRKTSSEDETQSHVAAGHLENETSLQQKETQSIRSCHRVVNGDKILVLGKFETMDILPKHDEDCENKSLPSDGSDIPVTSCHLEAGMPMPQKQTANIQTCSEVVHDDEELVPEISKVMSPKFDADCGNKGTSLGSDYMEEVCHLVKSSQAIVPSDAATVLEDCGPLSNFPAFHGDSAKKTILLDETLEHLSTGHQDTVMLPLIDHCRSCCGDTSSVLEYSTVDTSTGDGDGEHKNSFDQKDDETLCLATDSKDHTSTANTSTSDGIPSCTPTDDQECRKAVLLKEEQYQNFQGNSNSLDSFAVSCEGCGSKSGTFGTSGLGSEDADHNTNGSGAYVEPPILQHDPGEAMEQL >KQL11284 pep chromosome:Setaria_italica_v2.0:IV:32323102:32323386:1 gene:SETIT_009065mg transcript:KQL11284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSLAPSIICIFHISCPTCQDVCHCQSDMLLSQQDEL >KQL08892 pep chromosome:Setaria_italica_v2.0:IV:153368:165647:1 gene:SETIT_005664mg transcript:KQL08892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVEMCSLNKAAESISTLEIGEQAAGDSNQNSLPELQNRDCSTAHHEEASKAIEDGDSIGACTSRHFEAVQCDETDYNDNMCVGCKSREISDILKFCDGKGCKRCYHLSCMDPPLGVSLGIWLCIVCTKKRLQFGVYSVSEGIESLWDVKEGADNSKQYFAKYKNLAHVHNRWVSESDIVNSTLQGRDLVSKFSKRIHKEKTIRWKQEWAEPHRLLKRRPLMPPKEAEAFINSLGDKIAYCNAEWLVKWKGLGYEHATWELESSSFLCTPEAEELKRGYENRLEAARRASDPAKADKVKGDIFKGDIFQKLQRLPDGCPPGLDDDHLSSLNQLLEFWHNSRGAVFIDDQERVIKTILFVTSILPYICRPLLIVSTSASLSLWETKFSRLAASINVVVYNGEKDVRKSIRDLEFYEDGSVMLQVLLSHPDAILEDIEAIGRISWEAVMVDDCQNSRISKCLEQLKRLPTNFRMVLLSSSLKENIPEYINLLSFLNPEENGIFSVSNGVSFDTAGTLGVLKAKLARYVAFERKADSSKLLEYWVPAHLSPVQLEMYCYTLLSNSPALRSHSKTDNVGALRNILVSLRKCCDHPYLVDQMLQSSLTKGHHVTDILDIGVRSCGKLLLLDKMLQKIRIEGLRVLILSQSGGGSGNPMGDILDDFVRQRFGFESYERVERGLLLQKKQAAMNMFNDKSKGRFIFLIDSRACGPSIKLSSVDAIIIYGSDWNPVNDLRALQRVSMESPFERVPIFRLYSSCTVEEKALILSKHDHILDSNILNVSPSLSHCLLSWGASFLFNRLEEFQNPSYSCKGSDAAELFVDNVASEFSTKLPNKVELSTEIDNTVISQAYLRGSFYSRNIVVVGEREGITSVDGDLPKFWAYWSSLLHGRSPRWQHVSEPSQRSRRKIQNVEDQSKNTEEQLEVPSEETDEARIKRRRTGEVMDSSPKVPPCKNKDTILSGNNTPSSSHQISVEDTWQELERNNLHGTQKGLHVQLKPELSKLYKLLQLPENVKSLCEEFLEYILKNHQISQEPKGILHAFNIALCWRAASLLKHKISRTESLTLAAKNLNYECTEELAEYVYAKLRILKKKFARRAGETSKQNHTTSVSNISTCEQETSVELRNDELIPSTSIDSNFETGSNREATGDFWTEDMVSGEKELLSDPGTRREECLSRDELLSRIMDNRIKLVDKVFYLRGKSIQDRHSNEVSFLDRHRQKEVAKLREACSLVVEHLRRSQNHIVQEDRDGKIKQVIKWFTMLLYAFLEHMKCQRNRLDTQQSATWTKESHLKEETLQAAKSGQLDHTFDQHIPLPDSEFAMEEFSHFREVGGSCHVHAAAPTPPSLDDNSAMEITFVRSVNTSEVNEEEARNRPAEVLIQGPASEVVGLSVNGICDVSDGIDSQRDASLAVHSLEPPGGDNRSTHVEESTPGVPLQDGTSEHLGDAAVEVDTENRNTALTDSPQFDTPALTATSRQATLPVSGEVEIQNNLVTQCAQQSLVSSQLSQGESEQADLSGVPSAQPLQSERQQSIPVSNNLHERAQPDQSQPSHQTDAAPGSVQSAELFPVTSMMFNHPPIDAEPLKNELHRLRLYMDTVHKTHELKKTQLRMECSQEIEKVKRKYDLLIEEHDSTHLQQKKTLDNFYEKVLCNQSLAEDFRAKFISPSAAQARAHTPPIRQTAQASQQVPMRTSVGGPSASSIALSSTCRPSVPRLRVQAPQVEQSSSLSQLSRSSLPSSQVVQPPPLIPGNLFRTTSATLSHMPPPRGSYGGQSELAPRAPAPHLQFKSPRANSMPPGNQQQLPTTRVEATSPRTQPVLAANSSPPDSHLGPVATSGMSSLHSALPASSLPSSSHTNHLVQRVLPAPNPALQVVAPPGPSTATPSITAGMQGSLSLDAWLTARLGLSGDAPRATAPATNGSDIDVVCLSDDESE >KQL11844 pep chromosome:Setaria_italica_v2.0:IV:37124459:37126443:-1 gene:SETIT_008276mg transcript:KQL11844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTLYHIHTLMSMRDAARAAGISRQFRQSWKFYRRLIFDIEALGINEDGPVDEVTSDFIRIIDHIMQNHSGIGVKTFRLRAVDCYNVQPSYVDRWLQCAITPGIEDFELLMPWLNKLEYNFPSSLLSTERGSSMKSFALSDCTFHSAAGVGCLSNLREVRLYSVHITGEDLLSCYDIVRLKIPCMLSQLKLLQVQDCGMLEIIESSAPNLFLFNYVGRPIHISLGHPTQLRHIEMLSLHDSNMLYSASAKLPSIAPNLQTLFLTSNSEIANTPMVHGKFIHLKYLEIALIKPSSSPDYDFYSLDSFLDGSPALDTFTLRLPAIRHDSILECSDSNSLHPRRLSEHSHDSLKNVKITGFCSAKSMIELAKHILERAPSLEHITLDTSRGRDDKSGNCAQMLQEELVEARRARLAIGRHLEEHVPSTVSLKVVESCSTCVY >KQL10306 pep chromosome:Setaria_italica_v2.0:IV:12699975:12700922:-1 gene:SETIT_008460mg transcript:KQL10306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDSGLGHPWLNPEGTFKAFCKADEGLCLAVRSSALVLAAADPADEHQHWFKDVRFSLRIKDEEGKPVFSLLNKATGFAVQHSLGAYHPLVKCNPEDFDESVLWTESGHLGREFGCIRMMHDVDLTLDAALPCNEDGGGVRDGTAITLTEGANGDTRSWKILSRSDEGREGFSLTVRDGAVCLAPADANNEYQHWIQDKRPGNRIRDLEGYPAFVLVNKVTGDVIDASVGQGNPGSNRSPFSMYHHMIRSHVLNLP >KQL11512 pep chromosome:Setaria_italica_v2.0:IV:34376135:34376546:-1 gene:SETIT_009139mg transcript:KQL11512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILYMSPISEAMCNSMQLNHELNAKTII >KQL11744 pep chromosome:Setaria_italica_v2.0:IV:36509327:36513934:-1 gene:SETIT_006029mg transcript:KQL11744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKNLKNKRGAERGVTRERRTRTRRDKLQLSSGSDGPKHSPPKRLCRAIRRMLRLQASRAALAEFDDKLCAIEEERFGTTFPDREVSNICFKEKPFGDEVKSDEELCESDVVSLALFDGDCAEENMLFACSGITLPPGSAILELTRFVTSACLVREFNDKRNRNDDLRIQVRLPDNTTTDGFLGLYDKDIAIVTSIDYLEDDPVDLDLQESPDCPDGHVLAAGRAFNSGSLMAMRGSLSNESPNIFLSDSQGLTEAALGGPIVGNDKRFHGMIVDLCHHGSENKKCAKFLSPKSLRERLELFQILNPRELHFRNYSLPEGVSSVVPSGFMKTIYRLKSLGYPMPPPLVLEFNGELLHQFEDRFGELLAWKGYPFGDPPNDTLESVWGELEKEVVTNISRSVVSLASFNRDHSRSFACTGLLIKWQGRAKRKRTVVLTSASLVRSRDNEDNIDKNLRIEVFLPPNQRCDGTLELYNLQYNIAIVSIKERFNAIRPADILDKEMEVSPEKVVAIGRDTIHGLLMGTIGEVKSSNEDCKLNCKELQCSTCHITKAGIGGPLIGFDGSFVGMNFYDGSDVTPFLPRVVIFNLLRRVVNSGLPAESGEYPMPILDHFMVGEKYRWPVPEPYWYHGELDVDMDDDDFPGLIGRTLN >KQL09600 pep chromosome:Setaria_italica_v2.0:IV:4525182:4528129:1 gene:SETIT_008590mg transcript:KQL09600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQGFEQPKVHKMDAQSDDDEENGSQELTEEEVEAFIRSEQLAASEGNNADIDNKYTPQIGMEFKDRHDAHYFFSFYGFLAGFEVVVAHVTRTTSKKKNNEVYKQEMKCHSPQNRNQLFSGRKYMTDMKKGMIITLNDNNIPTRKMIAILLYLRGGLTTLPYKTKDVQNIRTKINREVTGNDMTQAMDYFKKRKKEDPTLYYRFQVDKDMKVKNLFWREGISLQWYAEYGECVSFETTYMMNRYNLPFTPYVGITGRSNTCLYACAFLSDETTTTLKWVFETFLDSMGEKHPKSIIIDQDKAIKTPIEIVLAEMFEDTVYFSVTEDEFEMLWQKMISDFKLENNKYFNKMWNNRKRFIPVYYKNDFYPFIQSTGRSEGTNARFKDNVGPTYSLVSFLREYQRIIDVIRNKEEIDDNQSKQKMPKELYYGYTIEQQAVELYNWNIYLKFMNQLRQTESYKYKETEKAKCFELWYKSNQIKEREQFSCLCGKFNKDGIFCTHILKVIVEEEVKKIPEKYFIDRWRKKEKKIAMPLVRETSTTHEPKKPKRLMGMVEQERERMRKAEAKKKNKKPAIASKTEVKINFIVKY >KQL11936 pep chromosome:Setaria_italica_v2.0:IV:37734861:37736524:-1 gene:SETIT_008981mg transcript:KQL11936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGTKVTSELGTGKRSCVEGDSDSSKSRLKEIADIIGVCGLIFHTYNCVMTFYYAVQIHRSIKALDKVE >KQL11586 pep chromosome:Setaria_italica_v2.0:IV:35053344:35057241:1 gene:SETIT_005744mg transcript:KQL11586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHRRARLLPVVAVLAAALVALSVGPAAALSPDGKALLSLLPGAAPSPVLPSWDPKAATPCSWQGVTCSPQSRVVSLSLPNTFLNLSSLPPPLAALSSLQLLNLSTCNISGTIPPSYASLSALRVLDLSSNALTGDIPDELGALSELQFLLLNSNRLTGGIPRSLANLSALQVLCVQDNLLNGTIPASLGALAALQQFRVGGNPALSGPIPPSLGALSNLTVFGAAATALSGPIPEELGNLVNLQTLALYDTAVSGSIPAALGGCVELRNLYLHMNKLTGPIPPELGRLQKLTSLLLWGNALSGKIPPELSNCSALVVLDLSGNRLAGEVPAALGRLGALEQLHLSDNQLTGRIPPELSNLSSLTALQLDKNGFSGAIPPQLGELKSLQVLFLWGNALSGTIPPSLGNCTELYALDLSKNRLSGGIPDEVFALQKLSKLLLLGNALSGPLPPTVANCVSLVRLRLGENKLAGDIPREIGKLQNLVFLDLYSNRFTGTLPAELANVTVLELLDVHNNSFTGSIPPQFGELMNLEQLDLSMNNLTGEIPASFGNFSYLNKLILSGNNLSGPLPKSIRNLQKLTMLDLSNNSFSGPIPPEIGELSSLGISLDLSSNRFVGELPEEMSGLTQLQSLNLASNGLYGSISVLGALTSLTSLNISYNNFSGAIPVTPFFKTLSSNSYIGNANLCESYDGHTCASDMVRRSALKTVKTVILVCAVLGSVTLLLVVVWILINRNRKLAGEKAMSLSGAGGDDFSNPWTFTPFQKLNFSIDNILACLRDENVIGKGCSGVVYRAEMPNGEIIAVKKLWKAGKDEPIDAFAAEIQILGHIRHRNIVKLLGYCSNRSVKLLLYNYIPNGNLQQLLKENRSLDWDTRYKIAVGTAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRIAGSYGYIAPEYAYTSNITEKSDVYSYGVVLLEILSGRSAIEPVVGEASLHIVEWAKKKMGSYEPAVNILDPKLRGMPDQLVQEMLQTLGVAIFCVNAAPAERPTMKEVVALLKEVKSPPEEWAKTSQQPLIKPGSQQG >KQL09092 pep chromosome:Setaria_italica_v2.0:IV:1151631:1152476:-1 gene:SETIT_007497mg transcript:KQL09092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHARATSSGPGVARVEMANKAMAEVLKLRAMETGKAPQVQFEQEAAAAGERRTARTSTNEGSNDWCFFLGTSSPVKYSKLEQFLATYTLVLTSKMHGAAVCFLSTSELLNLRKTLIVTSFAMPYSRVDA >KQL09841 pep chromosome:Setaria_italica_v2.0:IV:6610044:6611469:1 gene:SETIT_008192mg transcript:KQL09841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMWNYYRAMALLRAPIESYLRSHAPRPTCVVSDFCHPWTTELAANLGVPRLSFFSMCAFGLLCHHNLERFNAWDGVEDPNEPVVVPGLEERFVVTRAQAPGFFRQTPIPCWEEFADYAERARAEADGVIVNTVLEMEPEYVAGYAAAWKMKVWTVGPVSTYHQSRTTLASTLASRGLRTSAVDPDECHRWLDGKAPGSVVYVSFGSISQAEPKQVVELGLGLEVSGHPFIWMLAQERRRVRRRGRGFLGELEARVAGRGLLIRGWAPQLLIMSHDAVGGFVTHCGWNSTLEAVAAGLPMVTWPHFTDQFLNEKMAVEVLGIGVSVGVTEPLTYQASPKKEIVVGWGVVEAAMRSVMGGGRRPRGGGDGRALAAKARAAVQVGGSSHANLWIWSSDSSRA >KQL12293 pep chromosome:Setaria_italica_v2.0:IV:39885914:39887569:1 gene:SETIT_008369mg transcript:KQL12293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMGQGSMEATGRDGGREQTGTSSSYTISSTSGTVSTGYTSNGSHLSWISMEAARHFREMEGADEFVQPVKKTHKETEKQHTELAIARLKEMSIKTAREMKIEQIKSLSNEIFGAPWVKCSIQGADMSVLERWFNKLGVGWVLHVTAGKLEHTLDASSWIRALSEIMDAFCLTTSLFCGHEEAPNAQEAQEGAAVTEGKEEDITDLFQFASFTEQAMLQMLAFVDFIAAPNVTCQVFFTDQMMWVPAPYRKLHTLLRVRGALSRIRSSYSPPSVEAGRIRVQVFNVWSAKEGKVSEAIWSTMEEIRTRILESIDGSKGSSGTQTPQGSSDIDETTRSLMNYVTFLRDDHMLVNTIVSEAASLGKYVPRIGESEFGKIYTAQKLWKVPNPYLRKTLREAIIEKIIPGYKEYIENNHVTTPKFTPRNLEEMLQELFEG >KQL09041 pep chromosome:Setaria_italica_v2.0:IV:804866:809657:-1 gene:SETIT_006434mg transcript:KQL09041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPAGARLALLLARRSLSSASSSSSAAATASYFPRAHRGIWSDAARAAPSRSSPFSSPSSAHRFFHGTRPVAARDYYDVLGVSKNASQAEIKKAYYGLAKKLHPDTNKGDADAERKFQEVQRAYETLKDEQKRSFYDQVGADQYEKASAAGGGTGNPFEGGFGNPFEDIFSGGGGGGGGGMNDFFRNIFRDREFGGRDVKVELELTFMEAVQGCTKTINFQTSVICETCSGAGVPPGTKPETCVTCRGTGFMFMQTGPFRMQSTCTKCGGSGKTVKDFCKTCKGNKVVTGTKSVRLDILPGSDDEDTIKVMRSGGADPDGRPGDLYVTLKVHEDPVFRRERGDIHVDAVLNVTQAILGGTVQVPTLSGDVVLKVKPGTQPGQKVVLRGKGIKTRNSSYYGDQYVHFNVNIPVNLTPKQRELIEEFAKEEQGEDEKDAKAASASG >KQL09120 pep chromosome:Setaria_italica_v2.0:IV:1339153:1341398:-1 gene:SETIT_005965mg transcript:KQL09120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKSSTTTFTESWGSTQGQLVRVEVLILFSALIWILVEYFGSRRRRYSQGFFRFFVWAVYTLFTVLTPYTIGLLQDGPFRDQTFVLWGTILLFIQANVDSISVYSIHDIEHRKTVFVQHLLQIILVLWLIVNCKGDNGSYTANIWIFWILSVIWTYRKYRSLSNASKKGGLLKVSKVVADYMMIEHEQIPRGFNPGTMEGYKYIFHGEEEVASLLPTAPEYIEAARRKCTTIDKVWRRIEHENTFNQEAKETLKDFALSFSLFKLLKRRLCGYQIGEAGLAKTLDFMLHGLISEEGNYVRAFGVIEMELSFLYDFLYTRFDTEYLVFKGIAVWFVVATVPISNSFSGAFSRSYHRSSLEQRVHGIDVTLWVTIVLLIIVLASYCQLLVSPFVEPVSPAWRWDIAYVLHCDRLPTLDQTSIVKRRAKKSWQRALGQHSLLLNFDYHPRNVLSLLSLGLVDATREGQKAGEKIKLTHELIERVLSGFKESNGQLQDGQSALAKNQLGSQFSWACTLSTHIHKILVWHIGTTIAMDGHPVPPTGDHRVAKTLSDYCAYLVAFVPDMLPGHGYDTQCIFDAVVVEAREIITGCDSISSRCEKLVMAVLPSNSSCTILELGGRLGRELRGVPEKQRWKMLADFWAEFILFLAPSSNAEIHAEKLAAGGEFMTYLWALLTHAGILERPSRTDGARGNNGAPAQDLPV >KQL10319 pep chromosome:Setaria_italica_v2.0:IV:12874409:12875565:1 gene:SETIT_007011mg transcript:KQL10319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQELQPIPGLAGRLFGSGGGAAAAIGLLRRHGGSSAAEVRCPRCDSPDTKFCYYNNYNLAQPRHFCRACRRYWTKGGHLRNVPVGGGCRKPRPRRPAAAAADGRGKDGVHRDGKAPRSGFAGAASSSSPTAAGDADAPVSGAFSVVTEPSAPRSGGVAEASAETGSFAAGDTRALLVPPPAPMFADQASVFASLFAPPRPLSAFGSSAQPQPEQAEERVAASLLAAEQPPPSCTAAFTDTAPFAAGSDGELSAGPSDWPTAGIFELAGGNAGDASLPEHWNHGSWTDPDPAVYLP >KQL11446 pep chromosome:Setaria_italica_v2.0:IV:33809211:33810514:-1 gene:SETIT_006532mg transcript:KQL11446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTTKNAMRALFLLALVCAVQAGKAASKDAKAADDGAAKGGGSCPSGSCDITKLGASGNGKTDSTKALEEAWASACGGTGKNTILIPKGDYLVGPLNFKGPCKGDVTIQVDGNLLASTDLSLYKKNWIEILRVDNLVITGKGKLDGQGSAVWSKNSCAKKYDCKILPNSLVLDYVNNGEVSGITLLNSKFFHMNVYQCKDLVIKDVTVTAPGDSPNTDGIHMGDSSGISIVNTVIGVGDDCISIGPGSTKVNITGVTCGPGHGISIGSLGRYKDEKDVTDITVKDCTLKKSSNGLRIKAYEDAASVLTASKIHYENIKMEDAGNPIIIDMKYCPNKICTSSGASKVTVKDVSFKNITGTSSTPEAVSLLCSDKIPCSGVTMDNVKVEYSGTNNKTMAVCKNAKVTTTASLKELACA >KQL10430 pep chromosome:Setaria_italica_v2.0:IV:14888887:14890030:1 gene:SETIT_006880mg transcript:KQL10430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKSCVAMLIPLALLLLAGSSSVVAQLELDYYSKTCPNVEAIVREEMQKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTEGNLAERDAKPNKSLRGFGSVDRVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPFWPVALGRRDGKVSSATEAADQLPPAFGDIPLLTKIFASKGLDLKDLVVLSGAHTLGTAHCPSFANRLYNFSSAYGADPSLDSEYADRLRIRCKRVDDKAMLSEMDPGSYKTFDTGYYRNVAKRRGLFQSDAALLTDASTREYVQRIATGKFDDVFFKDFSESMIKMGNVGVLTGVDGEIRKKCYIVN >KQL09523 pep chromosome:Setaria_italica_v2.0:IV:4024026:4025389:1 gene:SETIT_007909mg transcript:KQL09523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADESWRLPSTVQELAATVQEPPSRYLVPKQEQDRLSDQLTGAELPEPVPTIDLRRLLAPDGDDEEAAKLRSALQSWGFFLVTEHGIEAPLMDALIAASREFFRKPLEEKQAYSNLIGGKQWQLEGYGNDPVKTQDQILDWCDRLHLRVEPEDERNLDRWPGHPESFRGLLHEYTVSCKPVKDGILRAMARLLELDDGDAILNQFGDKGSTYARFNYYPACPRPELVPPVSDRTLLVNVGVSLEIMSNGIFRGPVHRVVTNSEKERMSLAMFYATDLEKEIEPIVELLDEEQPARYKKIKCRDFVAAHYEYFSKRERVIDRLRLLLQ >KQL09298 pep chromosome:Setaria_italica_v2.0:IV:2414192:2414539:1 gene:SETIT_007842mg transcript:KQL09298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVTVLGFLLLTATSIMAVIRSRGDTAAVSFVAASYLILVLLFYFLRRFEAAPAGSVLRDRARVGVWATTTLLMVMFSWRVAAVMPWPVAAGVWLMGGSAVAGGSYTLFLLPH >KQL11381 pep chromosome:Setaria_italica_v2.0:IV:33030142:33035360:-1 gene:SETIT_006372mg transcript:KQL11381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEQGGEEPPPPQPQAEGEAQPAADVPMGEAAAVKDEEEEEPAMGEGGADGAAGTFDSVEVSVKPEAAGAEDGDGEELNGDAAADSVGEAEKLENGDELVTVGGEKEGSPEGGAAEGGDDKGVDGQNQPGENQLVLVSAEEDPVMAKLSKNSFMFDYSTGGDDSGTEEEQAAFMKELERFYREKMMEFKPPKFYGEGLNCLKLWRQVTGLGGYDQVTSCKLWRQVGESFKPPKTCTTVSWTFRNFYEKALLEYEKHKIETGQFQVASSTLADRIGSESQVGGSHVSGSGRARRESATRAMQGWHSQRLLGNGEIADPIIKDKGTIVLKKDKTPKSSGSAKRKRMPSLEDDRVMPYKSDKLQNDSMVIDMGPPADWVKINVRRTKDCYEVYALVPGLLREEVHVQSDPAGRLIVTGEPEQLDNPWGVTPFKKVISLPSRIDPHQTSAVVTLHGQLFVRAPFEQSK >KQL09874 pep chromosome:Setaria_italica_v2.0:IV:6928936:6929403:-1 gene:SETIT_008201mg transcript:KQL09874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGGGGGGGYSPYYQPAPYYYNYYPQQPRLRGGGGRPSVCVFILLAMVSLIAATSLFAWCESAVGSLLDQLRRLLILSPLLLIVAVQLWVATGGDGGGGGIMCALSEMVAGDHRRQYGYGYGVGGAAGAGSSPWGVALALVLVLFLVSFHSSFQE >KQL09113 pep chromosome:Setaria_italica_v2.0:IV:1275262:1278882:-1 gene:SETIT_008544mg transcript:KQL09113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLSSLAATAGLCLLSALAIALLAVTIYILGVVASFAVFCIREYAWRAHDRPPLLGTVFRLLKNFNRLFDEHVEYALAHRTSRILFLGHSELWTSDPQVIEHILKTSFSKYRKGDFNIQIMKDLFGDGIFATDGKKWRHQRKLASHEFSTRVLRDFSSVVFRINAAKLAEKISSAAANGTAIDMQDLLMKTTMDSIFKVGFGFELNTLSGSDEPSMQFSEAFDEANFLVFHRYVDIFWKLKRLLNIGSEAKLKRNIEIIDNFVMQLIHQKREQMKNGRDYATAKKPLILASEEDPETMNDRYLRDIVLNFLIAGKDTSASTLSWFFYMLCKNPVVQDKVATEINESLEWAEEDNNIENFTARLNEGAIENMPYLHAAITETLRLYPAVPVDGKIADEDDTLPNGHRVTKGDGVNYMIYAMGRMTYLWGEDAEEFRPERWLVNGVFQQENPYKFVAFNAGLRVCLGKDFAYRQMKIMAATMVHFFRFKLEDESKEPIYKVMFTLHMDKGLHLYAYPRSA >KQL11971 pep chromosome:Setaria_italica_v2.0:IV:37884914:37890072:-1 gene:SETIT_005804mg transcript:KQL11971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAQLVALFLALAATAAGRAVSAAGARPSEVAVGALFTYDSTIGRAARLAVELAVDDVNADGTVLAGTKLSLKTHDTNCSAFIGTVEALQLMEENVVAVIGPQSSGIGHVISHVANELQVPLLSFAATDPSLSALEYPYFLRTTISDYFQMNAVASIVDYYQWKRVTAIFVDDDYGRGGVFALGDALAAKRAKISYKAAIPPNSDSDVISDVLSRANMMESRIMVVHVNPDTGMRIFSIANNLQMMASGYVWIVTDWLAAVLDSSTSRDLKDLSHIQGLIVLRQHTPESDAKNKFISKWNAVARNRSVTSGLNSYSFYAYDTVWTVARAIDQFLNSGQQINFSTDPRLHDSNGSTLRLSTLKIFEGGDQMLQQLLLTNFTGVTGPVQFGSDRSLVRPAYEILNVGGSGSRLIGYWSNYSGLSVAAPDILYQKPPNTSAQQLYDVVWPGESTSTPRGWVFPNNGQPLRVGIPNKASFKELVSSGGPGNVTGYCIDVFSAAIKLLPYPVPLEFVTIGDGTKNPSYIGIVRMVANNSLDAAVGDFAIVRNGTAISEYTQPYVEAGLVIVAPVKQVTPSAWTFLQPFTLEMWCVTGALFILVGVVVWILEHRINEEFRGSPRRQVVTIFWFSFSTMFYSHRENTVSALGRFVLIIWLFVVLIITSSYTASLTSILTVQQLDTGITGLDSLISSSLPIGYQNGKFTKKYLILELNIPESRLVALNTIQDYADALNRGPKNGGVAAIVDEKPYIDIFLSHYCNFRIVGQQFTREGWGFSFRRDSPIAADMSTAILQLSESGQLQRIHDDWFKRPSCTYDDESQVGATRLGIGSFSGLFLMCALICLFALLVFFIRLCWQYNKYSNSGAAGEPSAADADAIQRKPSGLGSFKEILQFVDKKEEEIRRSRKRRSSNKDNQAAGSSGPLSVSSPY >KQL09172 pep chromosome:Setaria_italica_v2.0:IV:1658052:1658871:1 gene:SETIT_007802mg transcript:KQL09172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRSTKWFNGVQKLAFGKTQLFDIKKKQEFSLDSNTTTSADRHSVTSSKKKM >KQL12253 pep chromosome:Setaria_italica_v2.0:IV:39670014:39674985:-1 gene:SETIT_006636mg transcript:KQL12253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTLMNLLRACWRPSSNRHARTGSDVAGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQCQIESGPLSFLDSGPYGTFVGVYDGHGGPETACYINDHLFQNLKRFASEQNSMSADVLKKAYEATEDGFFSVVTKQWPVKPQIAAVGSCCLVGVICGGMLYVANVGDSRVVLGRHVKATGEVLAVQLSAEHNVSIESVRKELQSMHPEDRHIVVLKHNVWRVKGLIQVCRSIGDAYLKKQEFNKEPLYAKFRLREPFSKPILSSEPSISVQPLQPHDQFLIFASDGLWEHLTNQEAVDIVQSSPRNGCARKLIRAALQEAAKKREMRYSDLKKIERGVRRHFHDDITVIVVFLDSSLVSRASTHRGPALSLRGGGASMRSNTLTPT >KQL11331 pep chromosome:Setaria_italica_v2.0:IV:32639592:32639893:-1 gene:SETIT_007847mg transcript:KQL11331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASFSCFGSSGGYDADEPGYEQLRRSSRKVRPSDEDGLWYVGERDVDMKASAFIAKFHASTNLSS >KQL11335 pep chromosome:Setaria_italica_v2.0:IV:32664384:32666732:-1 gene:SETIT_006034mg transcript:KQL11335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVVKNELYLVWATFLILLISGANSVSVQNLDENKQWLKLILDNVMYLIYGGSTVAIFFVDATKGMTIRAGALLILFTLLSIKNFERIIALKLASDPRSSGSQTVARHMKDYEMALGSAPDDYDPRTMRGYNYLVHPFHVSLPTGPRQDHIQTASDELITLHKICLHGNGVLQSSAEDPAICHLKDVCLSFALFRLTVRRYFGYSCAEAGIAKTRDLVLQGLLREMEDAKDCKRAFQVIEVELGFLYDFFYTKNAVIMIRGEIRSAVLSLCITLLSIFIGVYALIDLEPHEEYWEFGFVATEKQGIIVTKVILCALAIFQLLQIGMYCASDWAKVSLVCKYMAEPAWHGNSYIGKLFLLIGQVSIFLEECKWFGRWENKIGQYSLMDSFRYPDNQFLEKHSELMTMFREGRKKGQSIPVPMEVKKAIAWTLKASNGQIANGAPALVRHGMPELCWACPTNNEFTLAQVILLWHIATSYCEISESNRVNVQPTAQTSNPKLVATSLSKYCAYLVKFAPKLVPGSPIETESTLEELVYEARRAFKVNPDILTLFGELQQQHNEGRARDWMLAKGAVLGIKLASMEDQVTRWNLLADFWAELMLYIAPCDNVAAHIESLAEGGEFVTHVWALLMHAGILERPAAHAI >KQL09119 pep chromosome:Setaria_italica_v2.0:IV:1337350:1338038:-1 gene:SETIT_008367mg transcript:KQL09119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTLSTHIHKILVWHSGTTIAIDGHPVPPTGDHRVLKTLSDYRAYLVAFVPDMLPGHGYDTQRIFDAVVVEARESITGCDSISSRCEKLVMAVLPSKSSCTILKPGGRLGRELRGVPEEQRWKVLADFWAEFILFLAPSSNVEIHTEKLATGGEFMTHLWALLTHAGILGRPSTTDGARGNNGLFEGSKHYGLKRTASTFAGVIGLG >KQL11334 pep chromosome:Setaria_italica_v2.0:IV:32658982:32661839:1 gene:SETIT_006915mg transcript:KQL11334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRAAPWEEPQDVSSGGSSSADSDQEAGKGKFGSVSKAAAAAEDTKRGAPGEEAEDVSSDDSLSSDSDDEAGKGNGWNAFRLPNSSKAASPAEGALIRRAEMYQHYMKHIPVPAYRDSLIPFTSWMGLARSLKQLYKQPLHYLTNVLLKRWDQQRIGSDDEHRRLDAVVHPVRAETLIWATEEVHRLTTSGRHLASLWASDPFALSRHGYRGGPSVLTVRPQEESNWSWSTGKDRDDKEDAPESYEERERTRAAVTEGEKLIGVQNAPLNQLLLEKDHKNASFSQKEKRKRDRGQASRGKNYVEEEKRLLRGSGVYSGFDI >KQL09683 pep chromosome:Setaria_italica_v2.0:IV:5209484:5210653:-1 gene:SETIT_008517mg transcript:KQL09683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRDRDPLVVGRVVGDVLDPFTRTTNLRVSFGARTIANGCELKPSMVSHQPRVDVGGPDMRTFYTLVMVDPDAPSPSDPNLREYLHWLVTDIPGSTGAAFGQEVMCYENPRPTMGIHRFVFVLFQQLGRQTVYAPGWRQNFNTRDFAELYNLGPPVAAVYFNCQREAGSGGRRMYP >KQL10804 pep chromosome:Setaria_italica_v2.0:IV:26045231:26049598:-1 gene:SETIT_006911mg transcript:KQL10804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWYLVAALLTVLTSSQGILTTLSQSNGKYKYDYATIPFLAELFKLSVSSFFLWKECQSSSPPRMTKEWRSVRLYLVPSVIYLIHNNVQFATLTYVDPSTYQIMGNLKIVTTGILFRLVLKRKLSNLQWMAIVLLAVGTTTSQVKGCGDAPCDSLFSAPLQGYMLGILSACLSALAGVYTEYLMKKNNDSLYWQNVQLYTFGVIFNMGWLIYGDFKAGFELGPWWQRLFNGYSITTWMVVFNLGSTGLLVSWLMKYSDNIVKVYSTSMAMLLTMVLSIYLFSVKATVQLFLGIIICIISLQMYFMPVHMLVELPQTFPVTSK >KQL10437 pep chromosome:Setaria_italica_v2.0:IV:15157163:15157710:-1 gene:SETIT_008332mg transcript:KQL10437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKQQQQGMVAAAEPGVAWRLWWVVRDVLDMLRRGLPSGRKVAMDLHLLLHHGKIAGRVVGEIFLTFHHGHHSSHSAVFSYAGAGVDSRGGGRAFSCCTLDPSLAVKEPTPWSDAARVLVYGLRCTMSTRKFMAFI >KQL10915 pep chromosome:Setaria_italica_v2.0:IV:28006832:28009267:1 gene:SETIT_006643mg transcript:KQL10915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLRHFAGPSCFTATAAASSGASGFLRRYAPNFCAFAALRPIRPAAAAAFSAAAGAANPCAPPAAEHEQHQLRHDSDQPTPSPAPAAPAPAALRVGIVGFGNFGQFIAGGIQRQGHAVLAASRSDYSAYCADHGIRFFGSVDALCEERPDVLLICSSILSTESVVSAIPFHKLRPDTIVADVLSVKQFPRNLLLEILPPGFGIVCTHPMFGPESGKHGWGKLPFVYNKVRVAEGGDQATKCDQFLSIFEQEGCRMVEMSCAEHDRYAAGSQFITHTIGRVLSQLNLKSTPINTKGYESLLQLTHNTVSDSFDLYYGLFMYNVNATEQLDNLERAFEKVRQMLYGRLHDLLRKQIVERVPMPVVSSRKLENGRSNSFAGLSYRL >KQL10914 pep chromosome:Setaria_italica_v2.0:IV:28006874:28008624:1 gene:SETIT_006643mg transcript:KQL10914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLRHFAGPSCFTATAAASSGASGFLRRYAPNFCAFAALRPIRPAAAAAFSAAAGAANPCAPPAAEHEQHQLRHDSDQPTPSPAPAAPAPAALRVGIVGFGNFGQFIAGGIQRQGHAVLAASRSDYSAYCADHGIRFFGSVDALCEERPDVLLICSSILSTESVVSAIPFHKLRPDTIVADVLSVKQFPRNLLLEILPPGFGIVCTHPMFGPESGKHGWGKLPFVYNKVRVAEGGDQATKCDQFLSIFEQEGCRMVEMSCAEHDRYAAGSQFITHTIGRVLSQLNLKSTPINTKGYESLLQLTHNTVSDSFDLYYGLFMYNVNATEQASKLSRLLMMHHHYPSPTLL >KQL10378 pep chromosome:Setaria_italica_v2.0:IV:13762802:13763092:1 gene:SETIT_008405mg transcript:KQL10378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDALDNPTVGANIISSSLALTFLGDEPLAPIDRTFRSSSGDLLEEFGVLQSVSIGHRDVEAALDFHVFEVQDFDILIGHLIEDFLLDPPTLGKLD >KQL12010 pep chromosome:Setaria_italica_v2.0:IV:38151061:38151979:-1 gene:SETIT_007495mg transcript:KQL12010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLYKRTRRWSSKCVELNNTVGVNWQGQRRPVQRPWSVQAGRGRLVGDGRPFWFLFLSESRIETASRKDLAVCLLARCLCSVSLRFSGEGKTEKVCPWSLAWERAWGAEIPKPCLQKKMEVGSSKEICSA >KQL10141 pep chromosome:Setaria_italica_v2.0:IV:10138042:10139728:1 gene:SETIT_006416mg transcript:KQL10141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPMSRATRAASRLVPEIPLLRRGSKQSAAAAEEVAVPAHFVCPISLDLMRDPVTAPTGITYDRESVEGWLARGNARCPVTGRPLRLADLVPNHATRRMIQDWCVANRASGVERVPTPKVPLGDADADEAVAAVSCAARRGDVAACGAAAARARALGKESDRNRRCLAAAGAARALAAAFGLFAVERVEGAGAVSAALGDILAAMTVFFPLDDEARRCIASPASLKSLVSVMSHGAELAARASAAVVLRELASSADGHTLDAVARTPGMCDALVHLVQHPVSTPATKAALVTAYYLAYASDRAAARLAEYGAVPALVELLVDADKGTSEKALAALDGVLCADAGLAAARAHALAVPVLVKKMFRVSDMATEFAVSALWRLCRAGDAGANACRAEALRVGAFQKLLLLLQVGCGGVTKERASELLKMLNGSRGSVECIETVDFKGLKRPF >KQL11297 pep chromosome:Setaria_italica_v2.0:IV:32415344:32415947:-1 gene:SETIT_007402mg transcript:KQL11297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSPTLLRHHSAHQSPSQIPGSFRARDPVAGPPPVREPAARPTNQRNPTMAAAPVRAWLVVLALACALLLLGSAGGAEAAPAPQSSEHAVSSGAHKPKCEHGAVNDKACRVGAVHDPENQEEEGFSVTAKAPTGASDSDSDDDYNDPDEPNDDQLVVVGH >KQL10072 pep chromosome:Setaria_italica_v2.0:IV:9199965:9201755:-1 gene:SETIT_006295mg transcript:KQL10072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVPTSPSSSSTVSPRLLHSNSSEWNAVVQRNIKTSLLLLLVLSTIFVFSVLYSSRRLGSTAAEEVLTQSPLVWDLDVSSRLVPGEEEQIDELIVPAESKVAPEQSSPSDISLPSANLSSAPTAPTPSTSAEGTGDQSVVGVQVEERCDMSAGKWVREPKGPVYTNLTCPTLPDFKNCQKYGKDPGHLFWRWQTDGCDLPRFSPERFLDVVRGKRLAFIGDSLARNQMESLLCLLSQAETPTDVYRDAFDKFRTWHFAAHNFTLMAMWTEFYAHGVPVLDAEGKPTASFDIHLDRLNTNWTSRLPGLDYAVISGGNWFFRVNYLWEGGRRVGCVNCREANLTDFGIVHAVRRVARAALEAIARCRDCKGSLVTFLRTYTPDHFEHGSWFSGGYCNRTQPLEEGEISLESIGWELRRAQSEEVARVRETTGSRRFGVLDVTKAMMLRADGHPGKHYDKRWVRNASDCLHWCLPGPVDMWNDVLLQRLAQISPPPPPLVR >KQL09105 pep chromosome:Setaria_italica_v2.0:IV:1229749:1232106:-1 gene:SETIT_007006mg transcript:KQL09105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKDADPAGGDGSSPSVADEGGSGSGSGGELVDALARRRLYREVTLALRSGLRDAKADFSFLRARGLRSLLGFLRSTASEADDARLLLFRHSQSIPDLQVIPVLFQNSLHQPKEDPVVTLDHIVGTEPMRITSPPTDSEIALALRVLEGCCLLYSRCTALAHKYKAVKVLLNILASRGPTEQGVCLDALISLMLDSPSNQMDFEEYSGLEKVAELLKDVQVEKQIRQVLFLEVFFFV >KQL09104 pep chromosome:Setaria_italica_v2.0:IV:1229080:1232106:-1 gene:SETIT_007006mg transcript:KQL09104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKDADPAGGDGSSPSVADEGGSGSGSGGELVDALARRRLYREVTLALRSGLRDAKADFSFLRARGLRSLLGFLRSTASEADDARLLLFRHSQSIPDLQVIPVLFQNSLHQPKEDPVVTLDHIVGTEPMRITSPPTDSEIALALRVLEGCCLLYSRCTALAHKYKAVKVLLNILASRGPTEQGVCLDALISLMLDSPSNQMDFEEYSGLEKVAELLKDVQVEKQIRLKCGEFLLLLIGHVYVRENSPIHGQMKNLFGEQCASLIWAASRFGSTLDAEQRQTTLQIQAMRVVESLEPY >KQL10988 pep chromosome:Setaria_italica_v2.0:IV:29189881:29194524:1 gene:SETIT_007565mg transcript:KQL10988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFIPNVCHGIKDGGPQDCLVLAWSATDENNMFMTTVHALEKLVGSVEVALFRIALDPGRKFGFILCPDDEMAKSLHAHYVTIGMEKIFDVVRSVKYLPFL >KQL10987 pep chromosome:Setaria_italica_v2.0:IV:29189881:29194524:1 gene:SETIT_007565mg transcript:KQL10987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFIPNVCHDGGPQDCLVLAWSATDENNMFMTTVHALEKLVGSVEVALFRIALDPGRKFGFILCPDDEMAKSLHAHYVTIGMEKIFDVVRSVKYLPFL >KQL10985 pep chromosome:Setaria_italica_v2.0:IV:29193925:29194215:1 gene:SETIT_007565mg transcript:KQL10985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLGIKDGGPQDCLVLAWSATDENNMFMTTVHALEKLVGSVEVALFRIALDPGRKFGFILCPDDEMAKSLHAHYVTIGMEKIFDVVRSVKYLPFL >KQL10986 pep chromosome:Setaria_italica_v2.0:IV:29193925:29194215:1 gene:SETIT_007565mg transcript:KQL10986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLGIKDGGPQDCLVLAWSATDENNMFMTTVHALEKLVGSVEVALFRIALDPGRKFGFILCPDDEMAKSLHAHYVTIGMEKIFDVVRSVKYLPFL >KQL10989 pep chromosome:Setaria_italica_v2.0:IV:29189881:29194524:1 gene:SETIT_007565mg transcript:KQL10989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVMNKNNYDVPITRDNMTYLGIKDGGPQDCLVLAWSATDENNMFMTTVHALEKLVGSVEVALFRIALDPGRKFGFILCPDDEMAKSLHAHYVTIGMEKIFDVVRSVKYLPFL >KQL09631 pep chromosome:Setaria_italica_v2.0:IV:4731521:4732986:-1 gene:SETIT_007832mg transcript:KQL09631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQFPERAHVRLRSRVHGGYLHANEDGVGVSLRWHRRGSVHAAWQVHRVLHDGTTCVLLHSAAYGRYLAVSPHPAPPGHRGHRVVQGEYGEQGEYPILWKPVGSGHAGYVLLRHVSYRLLRADGRYRFWLAGVSVDDFDNQSTMMHWKVEAIPPRPAPLINRGGLRGLFLLHEEPVVLQRTIRYVRADNLGNFNLNPNGWPSFQFHGRSVFNLRSEVADHVGLAIFFFRVIVCVRAGRYGRPTPLLIDLPRNEETLDVVAIVIGTAGEIIR >KQL09476 pep chromosome:Setaria_italica_v2.0:IV:3679086:3681517:1 gene:SETIT_006453mg transcript:KQL09476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASNMRVLNATVNRLTVRSIWILDTCQLLSGSTGGFPSNDISREPLERLLGGDISNGSLLPPRSLPRTNSPPIPNPKSTHPHSSRPTHHRHPPTPIPKPTASEDRIRAMATLQHQPKPAAAAAPSTTTGGGLRAMDLYEKLEKVGEGTYGKVYKAREKATGRIVALKKTRLPEDDEGVPPTALREVSLLRMLSQDPHVVRLLDLKQGVNKEGQTILYLVFEYMDTDLKKFIRSHRANHEKIPALTVKILMYQLCKGVTFVHGRGVLHRDLKPHNLLMDRKTMALKIADLGLSRAVIAPLKKYTHEILTLWYRAPEVLLGATHYSTPVDMWSVGCIFAELVTNNPLFPGDSELQQLLHIFKLLGTPNEQVWPGVGKLPNWHEYPQWKPTKLSTLVPGLDADGYDLLGKMLEYEPTKRVCAKAALEHPYFNDVNKDLY >KQL09089 pep chromosome:Setaria_italica_v2.0:IV:1131118:1133373:-1 gene:SETIT_008264mg transcript:KQL09089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATFHKKIRGQIWKVNSLLLIEAVLAGVMVGIGAFGQRYRRHPFTRLIFLGATTLFLPIISSVVSTIGSEPNFVINFSPEFPYHLSALVATCDASNHSFVLVMWAFLVQIVMINTTVIVSVDEREGQSTSPPLELLVNGGWTIYLGVTAFKGFPWISICLGSVTPFALLGTKIVVQWYASRTARRSFSLGRNPGLVFVYMQQLQAGEASQDAAEPPVSVDVPPLLVMREDEKKVEVQPGGYAFKDDHSGTTLVSNIDPVTLDRIWQLDSAALPISTPPIKHLCLSFALFKLLRCRFARYHLTNAGSMGTLNFFRSLVLKADEHDTVFGVIADELSFVHDYYYSSLPISYSKYWLPVLFIFLSLLDIGYCILFLVANTNHLIFSSKDPQITCFIWCTAEGQMVGPPMATFIGMFYFDLVPLLSLLVLAVAAEVRDMSSYICSNWTKVSLICRYVNRASLQHSICMQRWVSLLLRCRCKLMKHWDEKMSQCSVLVLPPRTNQLLVRRLFRLPDRGRNVKMPAAVKVCIFNALTSVINGSCLLSNGVESLHRSQVGDNFIWACHGKGASDIILVWHIATCILEVKQYDQDSNSDHKIAATHLSRYCAYLVNWCPELLPDNKEWSKSLYEAVKKDVKCALAEHPASGSSTPEDEHRKLVELLQANSKHELLKNGVKLGMQLVDTFNDEEAMWKLLADFWSELILYVAPSDNLEGHKEAIARGGELITLLWAMLFHAGIASRTGEHDGATATSGSAV >KQL11032 pep chromosome:Setaria_italica_v2.0:IV:29917701:29918664:-1 gene:SETIT_008606mg transcript:KQL11032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNNPCCHKEHKQVLKEEVPVEQAQGQGGINKVKIPPMTTPTMMMQDQPTPDIVVHEGSFTKSISIMPPHFDGESYSKWKNSMRDYLIAVNPTLWDIVEVCITFPHGDDTLTQDHRIDIQRNYQALHLIKSSLCAKEFDKIDGLQSAKEVWNTLFINHQGTRRVREGRIRAFESELNRIIIRENETSQEMYNRLNKIINKIRSLGSDNSQRKPQEQREGSKCIIQGNYQGG >KQL11417 pep chromosome:Setaria_italica_v2.0:IV:33449179:33453217:-1 gene:SETIT_008378mg transcript:KQL11417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRNVPRRADEARVPLLLLAGFFTDRVVSWHSDPPRAMCRRRYDARPSSSSRPYVQPGRGLNSLGLSAFLDGLAPSVSTRACRGLAASVCVVGVVDSEGAGLASEVAAGPTGYLGMAAAPWRGAWPAVVMVMGVAAKECTNIPTELSSHTVRARLEAADDPGAPEWRLRELFRGHLTSTDEAAWMDLMPPCGGLRAAADDPVGAEEEEFDWAMLYRSLKGQQLLPGGGGGSRVSLHDVRLDPDGDAAYGRAQRTNLEYLLLLDVDSLVWRFRAQAGLPAPGEPYGGWEARELRGHFVGHYLSATAKMWASTHNATLAGRMSAAVDALHECQRAAGTGYLSAFPTSFFDLFEAIPLVWAPYYTIHKIMQGLLDQHAVAGNGKALGMVVAMADYFAGRVANVIRRHSIERHWTSLKEETGGMNDVLYQLYTITADSLSGFHANTHIPVVVGGQMRYEVTGDPLYKTCHDWILRCFFVRSDPKRLAEALTTETQESCTTYNMLKVSRHLFRWTKEVAYVDYYERALINGVLSIQRGRDPGVMIYMLTQGPGSSKARSSQGWELRIESFSKLGDSIYFEEKGEIPTLYIIQFIPSTFNWRTAGLTVTQQVMPLSSSDQYLRVSFSISAKTNGQFATLNVRIPSWTSLNGAKTTLNDKDLKLASPGTFLTISKQWDDRPEYACIQAVLFGPFLLAGLTTGDWDAKTGRATAAPSDWITPVPPESDSQLVTLVQESGGKAFVLSAVNGSLKMKKRPKDSGGTDAAVHATFRLATHEASGSSAMLEPLGMPGMVVTEKLTVSAEKSLGALFNVVPGLDGALGSVSLELGGDVLKHGGGAGFRRAASFARAEPLRRYHLMSFDARGVRRSFLLEPLFTLRDESYTIYFNLVA >KQL12093 pep chromosome:Setaria_italica_v2.0:IV:38711751:38716360:-1 gene:SETIT_008521mg transcript:KQL12093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKVSEGGGPWLRSANGFLGRHVWEFDPDAGTPEERAEVDRLREDFTRHRFQRKESQDLLLRMQYAKLNRFPENKPPVKLEKGAEVTEENLLTSLRRALNQYSAPQAHDGHWPGDYSGILFIMPIFVFALHVTGSLNTVISSEHRREILRYIYNHQNEDGGWGTQVLGPSSMFGSCLNYATLRLLGEEPDGKNGALAKGCSWILSHGSATAIIGAYEWSGNNPVIPELWLVPYFLPIHPGRFWCFCRLVYMAMAYLYGKKFVGPITETILALREELYSIPYDTVDWDKARHSCAKNYADGGTPHAVNTAWAMLALIYAGQVERDPIPLYHAARELINMQLDTGDILNWKLSLVSSASKMFIVSSRVIQNEHIGSFNSSLYYNYANYRNLFPIWALGEFRRRLLANKR >KQL11576 pep chromosome:Setaria_italica_v2.0:IV:34948703:34950125:-1 gene:SETIT_008646mg transcript:KQL11576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPGWSSLPPELADLVLRHLSSLADRVRFASVCRHWLHVAIRYSSPTLPRALPWLSFPDGTFRSLPDGERHSFRFRKNDLCAGSFGCWILFEQAGRRPSRRHFLENPVLGTTKRLPGHCREPDRHPCVVVCCRPGMSSWSTGLCNDHWYHDMAFYKGKLFTVTQEGNLFVHEVTEDSDNGELRVSRVEKVIQAPPPWKYTLDGSYATLICVRTCYLVISRAEKLLMVRWIVPLDYYSSKDSTKQMTLKVFEADFEMSQWLEVKSLDDQVLFVSSKSSKAISASSHRHCDYLRGNKIYFTDEDGFNISRVWPSNEPRTCGVYDMSSNTIHSISLGDLHISDQSKASWFFP >KQL10266 pep chromosome:Setaria_italica_v2.0:IV:12189387:12193825:-1 gene:SETIT_008472mg transcript:KQL10266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQINENESTVPEHSNINDPYDLVYSNIPDNTHKLKPVENCKYCDAKKFHHEPEGLCCRKGQIKLANLETPHQLMRLWTSNDSDAIHFRKNIRFFNGHFSFTSLYCRLDRDTTTMRNSSIYTFRAHGQIYHNIRSFGKDDSDPKHLELYFYDDDPTLEHRYRYCRKEMYEQDKHEENLEEYRVMLNLDQRLDQRTYNAPITSEVAAVWVEGNERRNTFDRNVILHGNNNEIQGIRSYAGCYDPLSYPLFFPRGELGWHADIPKVGITTEDVKKAHSSGRLWVTMREYYCYKFHVRPNIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDAVGKRIVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEEITNELEFGQTPQDRPDLVVRVFRAKLEEMKKELLEEHILGKVKAYTYVVEFQKRGLPHAHFLLIVIRKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHGPCGTLNGNCACTKNRKSCKNYYPRPFNATTIQGKDSYPLYRRRDDGHNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVEKSMLTAYFEANKIHEKARGILYRDFPEHYTWQTQGKFWQQRKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGTTCYEDLRTVDGQILPSFREAAERRGLIEEDNTLDDCLTEAELFRMPSSLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNAKMVEQMVLINIREMLQSMGKDIRSFPLPEINEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKAAYNEILTAIDRDEGGLFFVDGPGGTGKTFLCRALLATVRGQGKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTAKLLQAASLIIWDEASMTKRQAIEALDKSMRDIMDIPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSGLDRLIDSVYQMNSACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDSVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANELCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTAKNIKILTAENDDEDEDNKQDNKIEPSEKNKKRKKRKTKNRYTKNIVYSEVLTK >KQL09416 pep chromosome:Setaria_italica_v2.0:IV:3224839:3225785:-1 gene:SETIT_008594mg transcript:KQL09416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDNDEVVFDAPEDFRIYKSGTIDRFHRPVLVAAGVDDDASGVASKDVVIDAVTGLSVRLFLPKLQGPSAKKLPVLVYFHGGRFIIESARSATYHNYLTSLAAAAGVLAHRLPAAYDDCWAALRWAASARDDDWLAEHGDASRVFVAGDSAGGNIVHNVLMRASSADNAPRIEGAVLLHPFFGGSMAIEGEPECAVVITAKVWALACPDAAGGADDPRINPTAPGAAAGLESLGCERLLVCAAAKDWLVARDRAYYDAVAASAWPGSAAWLESEGVGHVFFLMKPECENAKRLMERIVAFIAGS >KQL10401 pep chromosome:Setaria_italica_v2.0:IV:14139894:14144252:1 gene:SETIT_006050mg transcript:KQL10401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMDAIVSLAILLLVTGAGASKHADLPAGPAVKSPKTMAPMVYWQAVLPETPMPQAIHDLLTQSTGHVSQDNKGLAQGENSRKVTASYGSQGEEDTRKVTTSHKSQGEDSRKVTASYGSQDDGDSMKIMMSYGSQCKDNSRKATTSYGSQGDEESRKVTTSYGSKGEEDSRKLTTSYGSQGDELSRKTTTSYGSQGDELSRKTTTSYGSQGDELSRKTTTSYGSQGDELSRKATTSYGSQGDELSRKTTTSYGSQGDELSRKTTTSYGSQGDELSRKTTTSYGSQGDELSRKATTSYGSQGDELSRKATTSYGSQGDELSRKTTTSYGSQGDELSRKATTSYGSQGDELSRKTTTSYGSQGEKDSRKATTSYRSQGDRHDHIHSHNNGNKLADVFFFHDVLRPGSIITPTIPPTTSLPPLLPRHEAETIPFSTKRFGDILTMFAPASHAMADEIRWTLDTCEHPQTLAGEKASCATSFESLAKLPAALLGIRNVHAFSGDMPIDPAGTTARRGRYNVTAVRKLSDSPMVAACHDLTYPYAVYYCHTTNPAAAYLVTLAAEDGGAPAMEALAVCHLDTSQWTPRHPFLVAHNLKPGDAVVCHFLSKLSIVWVPAGEQGGAREARKNAKYLRAFA >KQL10400 pep chromosome:Setaria_italica_v2.0:IV:14139894:14144252:1 gene:SETIT_006050mg transcript:KQL10400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMDAIVSLAILLLVTGAGASKHADLPAGPAVKSPKTMAPMVYWQAVLPETPMPQAIHDLLTQSTGHVSQDNKGLAQGENSRKVTASYGSQGEEDSRKLTTSYGSQGDELSRKTTTSYGSQGDELSRKTTTSYGSQGDELSRKTTTSYGSQGDELSRKATTSYGSQGDELSRKTTTSYGSQGDELSRKTTTSYGSQGDELSRKTTTSYGSQGDELSRKATTSYGSQGDELSRKATTSYGSQGDELSRKTTTSYGSQGDELSRKATTSYGSQGDELSRKTTTSYGSQGEKDSRKATTSYRSQGDRHDHIHSHNNGNKLADVFFFHDVLRPGSIITPTIPPTTSLPPLLPRHEAETIPFSTKRFGDILTMFAPASHAMADEIRWTLDTCEHPQTLAGEKASCATSFESLAKLPAALLGIRNVHAFSGDMPIDPAGTTARRGRYNVTAVRKLSDSPMVAACHDLTYPYAVYYCHTTNPAAAYLVTLAAEDGGAPAMEALAVCHLDTSQWTPRHPFLVAHNLKPGDAVVCHFLSKLSIVWVPAGEQGGAREARKNAKYLRAFA >KQL11998 pep chromosome:Setaria_italica_v2.0:IV:38079412:38079992:1 gene:SETIT_007521mg transcript:KQL11998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPSMPSQAIPVSEDAYCNSTPVPLDAAGSSSPAVTKLRKLLFRRMLIGVNDGRYFLGLFHCIDKQGNIILQDAVEYRSARHSSPPTEQRCLGIILIPATCRSSCQVDCSIEEKMSLLCLE >KQL10612 pep chromosome:Setaria_italica_v2.0:IV:20224841:20227259:-1 gene:SETIT_006228mg transcript:KQL10612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTAYSRPSKPPGPVAGDRRGPRLAKELGRIEPKKLGIGLVAGCCLALLTYLSFARLFAIYSPVFDSSALVLKNAPPATTTVPATEAPPVQPKTEVEQKDVTDPEVDPNLANLPEATRKEELKEAPATKPAAAATEAKITCDENGVDEGFPYARPPVCELAGDIRISPKEKTMYFVNPSGAGPFDANGEKKIRPFARKDAFLLPGVVEVTIKSVSSAEAAPQCTRRHGVPVVVFSVAGYTDNFFHDNTDVLIPLFLTTAHLKGEVQLLITNFKPWWVHKFTPLLKKLSNYDVINFDKDEEVHCFRAGHLGMYRDRDLIISPHPTRNPHNYTMVDYNRFLRRAFGLPRDAPAVLGEETAAKPKMLIIERKGTRKLLNLREVSSMCEALGFAVTVAEAGADVRRFAERVNAADVLLAVHGAGLTNQIFLPTGAVLVQIVPWGKMDWMATNFYGQPARDMRLRYVEYYVSEEETTLKDKYPRDHYVFKDPMRIHGQGWPAIAEIIMKQDVMVNMTRFKPFLLKALDELQE >KQL11127 pep chromosome:Setaria_italica_v2.0:IV:30993639:30996488:-1 gene:SETIT_008640mg transcript:KQL11127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISTRLYLLFGILLVPSLAAAQSRAFGGVPPAYARYLVDAAAMPAVELYDYIVVGGGTAGCPLAATLAGPGGGRVLLLERGGAPSEFPALATAGGFVRTLAMADPAPESDAPAQGFTSEDGVPNVRARVLGGGTAINAGFYSRAHPEWFRGRAEVQDGEVTNWDMRLVNASYEWVERQMTFQPTVRGFQAAMRAALLEANVTPWNGFTVDHVTGTKVGATTFDASGRRHSAADLLAFARPSRLRVAIRATVTRIITNPIDPSARHGRSPQPTIAAVGVVYQDRLLDQHQALLRPGGEVILSAGAMGSPQLLLLSGIGPASDLSYLGIPVSADIPDVGKHMFDNPRNGISIIPSVPVDHSLIQVVGIPSANGAASYLEAASYIVPLAPALRSSGPFIGSSSPLFVTVATIMEKVPGPLSEGSLWLSSSNPLESPPLRFNYLSRPEDLARCVLGVRRVAEVLEGRALDGFRSAVGSTNRRGAVRRDFRIVGAALPVDWRTNDRALADYCQQTVATLWHYHGGCVAGKVVDRDFRVIGARALRVVDASTFSETPGTNPQATVLMMGRYMGLKMIEERHSRRPVIPS >KQL11342 pep chromosome:Setaria_italica_v2.0:IV:32756598:32758310:-1 gene:SETIT_008033mg transcript:KQL11342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATLLGWGTVPAYRGDAAGQVAAVWQQLKSPVVVPLLRLAVALCLAMSVMLFAEKVYLAAVVLATRLLGRRPELRYRWEPIRGGEDGDLEAAAGGSAAYPMVLVQIPMYNEREVYGVSIGAACGLSWPADRIIVQVLDDSTDPAIRELVRAECERWASKGVNIRYEVRDSRRGYKAGALREGMKRGYARGCDMVAIFDADFQPEPDFLQRAVPFLVHNPDLALVQARWKFVVRDEMR >KQL10167 pep chromosome:Setaria_italica_v2.0:IV:10416592:10417147:-1 gene:SETIT_008656mg transcript:KQL10167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGDGELAIELVGKLDLAPGIRFREEVCQLFGSPVHHPSSNVDGSFFLLATFGRYTFRLTPTSVSFALASCLGGSPNGFHVEFLNEHHFRFSISCKKVGFLVYALRRFIDSSFDVYFHLWNNSVAYWEKEKRLWEEEQGKRVEQSSF >KQL11707 pep chromosome:Setaria_italica_v2.0:IV:36197784:36199889:-1 gene:SETIT_008825mg transcript:KQL11707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLPPRRHLLRRLWPRRNPTLLRLSLGAHAAALSTAHTAASAGARAAGTPISHLLRTLRSLHAVDPDHLLSHPLPSSAHVCLAAHLAARARLFAHSRRLLARLLGVGHRPHLAASLVDLLHRAALALGPRRSALPSVVDTLLSLLADRGLLDDAVRAFARVRELRVPPNTRTCNHILLSLARDRRGGLVKRLFDQLPAPNVFTFNIVIDFLCKEGELAEARALFLRMKVMGCSPDVVTYNSLIDGYGKCGELEEVEQLVGEMRKSGCAADVVTYNALVDCFCKFGRMEKAYSYFGEMKKQGVMANVVTFSTFVDAFCKEGLVREAMKLFAQMRVRGMMPNEFTYTSLVDGTCKAGRLDDAIVLLDEMVHQGVAPNVVTYAVLVDGLCKEGKVAEADGVLRLMERAGVKANELLYTTLIHGHFMNKNGERALDLLSEMKNKGMELDVSLYGTLIWGLCNVQKVDEAKNLLHKMAGCGLKPNNVIYTTIMDACFKAGKESEAIALLHEMLNSGFQPNVVTYCALIDGLCKAGSIAEAVFHFNKMRDLGLDPNVQAYTALIDGFCKNGSLDKAVQLLNEMVDKSMSLDKVVYTSLIDGYLKQGNLQDAFALKAKMIESGLQLDLYTYTCFIWGFCNMNMMQEAREVLSEMIQNGITPDKTVYNCLISKYQKLGNMEEASSLQNEMNSILISCTKDDTASGGET >KQL11536 pep chromosome:Setaria_italica_v2.0:IV:34586722:34595739:1 gene:SETIT_006231mg transcript:KQL11536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEVRLHGPPFLSVNKRPVEQKFVSAQTNLPKRSRKIQTVSRRSCGPQRPQPDKATASHSRSLALPSRCDRSSACLFASSRPYISPARPASPHPPLPPAPRRSIHPIKRLYRSNPKDPDRPAPRRSARFDSNFLRFFLPRIFVAGFLSSPIGVLGFGARGAAHSRAIVVAGARVFISPGERGASRGAMEQKKKVTIPLVCHGHSRPVVDLFYSPVTPDGYFLISASKDTNPMLRNGETGDWIGTFQGHKGAVWCCCLDKNALRAASASADFSAKVWDALTGDELHSFEHKHIVRACAFSEDTHLLLTGGMEKTLRVYDMNRPDAAPRELDKSPGSVRTAAWLHSDQTILSSCTDMGGVRLWDVRTGKIVQTLETKASVTSAEVSQDGRFITTADGSSVKFWDANHFGLVKSYDMPCNVESASLEPKSGSKFVAGGEDLWVHLFDFFTGEEITCNKGHHGPVHCVRFAPGGESYASGSEDGTIRIWQLSPPNADDNEAANGKPADGVNEVTTKIEGFHIPKEGQTEG >KQL09783 pep chromosome:Setaria_italica_v2.0:IV:6005452:6011187:-1 gene:SETIT_007139mg transcript:KQL09783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATPLPPPGQPPPGADGAGALPPPPGTDMTGICFRDQLWLNSYPLDRNLVFDYFALSPFYDITCNNESLRSRQIHPLDMSQLTKMTGIEYVLSDVMEPHLFVIRKQKRESPEKSSAMLAYYILDGSIYQAPQLCNVFASRISRAMHHISKAFTVACSKLEKIRNVETESDAAASESKTQKEAIDLKELKRIDHILSSLKRKIGAAPPPPPYPEGYVPPSAEQEKAPDDVLASEAPPQLDPIIDQGPAKRPRFQ >KQL11719 pep chromosome:Setaria_italica_v2.0:IV:36306867:36311828:-1 gene:SETIT_006215mg transcript:KQL11719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFDEFGRPFIILREQEKKTRLRGLDAQKANIAAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRVAEGYEMASRIAFDHLEHISRKFEFSADNIEPLVQTCMTTLSSKIVNRCKRALAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIHGIVVDKDMSHPQMPKRIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLREQEQKYFDEMVQKCKDAGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELSPEKLGKAGLVREKSFGTTKDRMLYIEQCANSRAVTIFIRGGNKMMIEETKRSLHDALCVARNLIRNNSIVYGGGSAEISCSIAVETAADRHPGVEQYAIRSFADALDAVPLALAENSGLPPIDTLTVVKAQQVKESNPHCGIDCNDVGTNDMKEQDVFETLIGKQQQILLATQVVKMILKIDDVISPSEY >KQL09303 pep chromosome:Setaria_italica_v2.0:IV:2448633:2452374:-1 gene:SETIT_007027mg transcript:KQL09303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRYRGYAAPYAHDRDPRGGYPEYFPSDGSIASYYASRPSVLPGGPDVLRNDVVLQPRAYALDGPGGVINPTLPGMNGLPAAARAHGPSPLEDPSFAGMSGLVPARALGPSLLEEPAVVGRSSSLGKGAGIPNVEHHSPLPNLDGPSEDESNILFVDGLPTDCTRREVAHLFRLFDGFKDIRVVHKEPRAVTRLMSCALWSLMMQKEHGLLWKNSEHTALTTGSPTPRFLRSNSQGSLSVCLPPTTIGNASSGADHCLLPPSSYQCRLCSRNLQMIRDQPVCTSNERFN >KQL09302 pep chromosome:Setaria_italica_v2.0:IV:2449002:2452309:-1 gene:SETIT_007027mg transcript:KQL09302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRYRGYAAPYAHDRDPRGGYPEYFPSDGSIASYYASRPSVLPGGPDVLRNDVVLQPRAYALDGPGGVINPTLPGMNGLPAAARAHGPSPLEDPSFAGMSGLVPARALGPSLLEEPAVVGRSSSLGKGAGIPNVEHHSPLPNLDGPSEDESNILFVDGLPTDCTRREVAHLFRLFDGFKDIRVVHKEPRHSGDKAYVLCFVEFDDAKRARTAMEKLRAYRFDDRKPDSPLLEIQFARFPFRLPAAHDDRERLIGR >KQL11979 pep chromosome:Setaria_italica_v2.0:IV:37961144:37961319:1 gene:SETIT_0088052mg transcript:KQL11979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSPQCAATRSDDGAAANASTNASAASGGGRNTTPRAAASFFRSGYRIPRAAGPRKHGT >KQL11162 pep chromosome:Setaria_italica_v2.0:IV:31260837:31265062:1 gene:SETIT_006734mg transcript:KQL11162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYLSTPKTEKLSEDGENDKLKFGLSSMQGWRATMEDAHSALLDLDNDTAFFGVFDGHGGKVVAKFCAKYLHREVINSEAYAAGDLGAAVYRAFFRMDEMMRGQRGWRELQALGDKINQFTGIIEGLIWSPKGSDSNDRHDEWAFEEGPHSDFIGPNCGSTACVALVRNRQLIVANAGDSRCVISRNGQAYNLSRDHKPELEAERERIQNAGGYIQMGRVNGTLNLSRAIGDMEFKQNKFLSPDKQILTANPDINIVELCDDDDFLVLACDGIWDCMSSQQLVDFIREHINNEESLSAVCERVLDRCLAPSTMGGEGCDNMTMILVQFKKPITQTKEASGAEQSTGDTECSETHVAEENGS >KQL11655 pep chromosome:Setaria_italica_v2.0:IV:35628081:35630971:1 gene:SETIT_007073mg transcript:KQL11655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSNNITACINFLVLLCTVPIAATGVWLASRHGGDGCARLARWPVAALGALLLLVALAGFLGAYRNRRGLLACYLFAMAGLITLLLALIVLAFAVTHGSGAYPVPGRAYDDYRLEGYSPWLRRYVAGDPERWEGIRACVAGSGTCRKLATDRSFIVPEQFYMTHLSPIQSGCCKPPTVCGYAYVGPTAWAGAANPAADADCAAWSNDPALLCYGCASCKAGVLGELRQQWRKANVALLVAAVALVFVYLVGCCAFRNAQTEDMFRRYKWGNNY >KQL09107 pep chromosome:Setaria_italica_v2.0:IV:1236822:1237058:1 gene:SETIT_008494mg transcript:KQL09107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATARRWPRSRSRPARRSSRPPLVFPVPKEEYAAADPVSPVAAKPRVAAAQKRRVACRGGRASTRFSPDLTAIPESE >KQL09639 pep chromosome:Setaria_italica_v2.0:IV:4765067:4766816:-1 gene:SETIT_006482mg transcript:KQL09639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLARFLCLNGDAAKPSPLPLPPADDGDISSRRAEVHGAGGDWSALLDPLDAGLRGELLKYGDLAQATYDGFDWRHWSPHCGTCLHGLRRLLPELGLAGHGYVATAFVYATCDEGRAPRWLRRQLHGQAWEGHANWIGYVAVAGAAEAARAGYRDIAVAWRGTIAPGEWLLDMRTRMVPMYTSKNAGSKNGERSAREQVAGEVARLVSHFRGRGEELRVTFTGHSLGGALALLAARDAAAAHPGVPVAAVTFSAPRVGNRAFCEGLTSRGVRVLRVAVRHDVVPLVPSVPRVVVDAPFSKALAKLWALTGRPPSWAYVHAGDELELDVRKSPFLKHAYDVLGFHNLETCLHLLDGREGAAAAFRPGARRDLALVNKTSGMLRDEARIPAWWYLPANKGLVRDALGRWVVAEREHDDLPVPDDRLPLSELD >KQL12022 pep chromosome:Setaria_italica_v2.0:IV:38241711:38242273:1 gene:SETIT_007487mg transcript:KQL12022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAERNAARGGGAGGCASDIRGGCFGVADRQRCGARRRSEHRESQPWTYLIYRPGLEYYEPLDRQAAATIRSDAAASLDQATAQFLFFEGGRPNYLSEPIRRLLLRPVLGEYCNGLSFLLTDLCQIATSPRL >KQL10258 pep chromosome:Setaria_italica_v2.0:IV:12050806:12054766:-1 gene:SETIT_006116mg transcript:KQL10258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARPPTPPRLGSLWSTLEDQRGSTVALLSSTSGEEREQQPKERLFRRAVAAVARWWGVACGAVSELWAFARADPRKAVFAAKVGLALALITLLVFLREPHDIVSHSVWAILTVVVVFEFSIGATLSKGFNRGLGTLTAGALALAVAELSKNLGKLEEVILITSIIVVAFVTTLTKLHPKMKPYEYGFRVFLLTFCYVMVSGYNTGKFTDTATSRFILIAIGAAVSLGINIGIYPIWAGEDLHNLISKNFTGVAKSLEGCVDGYLKCMEYERIPSKILVYQASDDPLYSGYRAAVEASAQEETLLGFAVWEPPHGPYKTMNYPWRSFTKVGGALRHCSFAVMALHGCILSEIQAPPESRRVFAAEIQKVGQEGAKALRELGNRVKTMTKLSSIDILLEVHMAAEELQKKIDEKSYLLVNTERWDASKQAQGIKEVLNGTNTVEKGNRNRENKNDGTSAVEKESKDEGVETTIVDQTLLHQSKSFLGNSFLRRYDSTSTMDGFKLSWPAQRSFNPNVPLEDEDSKTYESASALSLATFASLLIEFVARLGNVVDAFKELSQEANFKDPVEEPTTVSTSDGGYFDKIRKLVGF >KQL11389 pep chromosome:Setaria_italica_v2.0:IV:33124128:33126626:-1 gene:SETIT_008365mg transcript:KQL11389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLSFVLCFLALILLIISLLEQPHLFMATAAETNQLTIGSTMTSTQYLTSSSGIFVFGFCNIDPSNNSNQLLLAIWFNFGAPDSANKKVVWFARDLTSNRTVIATKQSVLKFAETGRVSLVDGQSELWSPSNPFGSALVLQDSGNLQLISKDGVPWESFSNPTDTLLPGQNMSNRPGAYLQSRNTDTDFSPGRFTLNLQDDGNIVLYMKEIDVPSDLGAPYWAAMTNGKKMAPTLFFNDSGNLYYTFINNNTEPVYLTTERPLNSFEMYYHYAALDPDGTIRVYVHQKNNTDSSLWDVFSQFPGDGCSRRTNFGLEGMCGPNAYCTIDKEERLDCECPYGYVFVDEQHKYKGCRPNFVPHTCNGKENSTEFMTMQVPYTSWSNQSTYKKFILTSTTTLEQCNSSCLEDCFCKAVLIDGSSCMFMGMLTAGKVTQDTSMTALIKIRANTSVPVPDRPPVPASVRSIWFYITIGIAFLLSASIIYILWQINISKMAKRNQPGLRIFTSKELKRATKNKKKELGKGSFGKVYQGKLSYLNPPHVAVKELIGSNEYSEKDFENEVRSIGQIHHCNLVRMIGYCKEGTDRKLVFEYMQGGTLANFIIRSKRPCWSCLSEAAIGIAKGLEYLHEGCNPKIIHCDIKPDNILFDDKHIPKITDFGIAKLLGDQKTQQTFTNTLAGTMPYIAPEWFGVGNVGSKVDVYSFGVVLLEMICCKRAKGKQPPPKDQDPRIMFCLREAESLIRSGRFEELVQGESEALADMESVKRFSHVAIWCLQDSSMRPRMRKVVQMLEGVVKVDPLPDSSMSSIFSPMHPTSSETNPSSSTVHIIQVE >KQL11433 pep chromosome:Setaria_italica_v2.0:IV:33583781:33584449:1 gene:SETIT_008731mg transcript:KQL11433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLASFFHFCCYVCVFHGIITLLFFSQIFIPIRDGYHFFVYYFNMIHQRIDILDLNDYFLKCTNQLEHHESIFAKTPIIDAAFQKVSNLKLPRVHKWKRPFVDVPKQRGPNDCLFFLWKYMEYYDRESLTKEINLGTIYKCELMHYQLFHSLSQAEIPESLDKFRVGGCRVDWDSSGSQ >KQL11518 pep chromosome:Setaria_italica_v2.0:IV:34404312:34406390:-1 gene:SETIT_007503mg transcript:KQL11518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVTEGVNNLAITEPHKKNRIQVSNTKKPLFFYVNLAKRYMQQHEEVELSALGMAIATVVTVAEILKNNGLAVEKKIMTSTVDVKDDSRSRPIQKAKIEIVLGKTEKFDELMAAADEEREAAKAAEEQS >KQL11386 pep chromosome:Setaria_italica_v2.0:IV:33107469:33108036:1 gene:SETIT_007796mg transcript:KQL11386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPPDITFQVGEGPVQVDYVTYLSVAFKHRSSSASLMCFFHVSVKLVGLSLFSF >KQL11214 pep chromosome:Setaria_italica_v2.0:IV:31771887:31774070:1 gene:SETIT_006764mg transcript:KQL11214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTGRQCRHSLIQFRCSAATHPQHDFNINQFEEIGIELTKKLRSFYWFCRPHTIIGTILGITSVSLLPMKSLDDLSVTVLWGFLEALASALCMNIYVVGLNQLFDIEIDKVNKPTLPLASGEFSVATGVLLVVAFLVMSISIGIRSKSAPLMCALLVSFLLGSAYSIDVPLLRWKRHPFLAASCILVVRAILVQLAFFTHMQQHVLKRPFTPTRSVVFATLFMCCFSAVIALFKDIPDVDGDGDFGIKSLSVQLGQHRVYRLCISMLMTAYTAAILVGASTTNLYQKIAISTKLFRCLAMACLHLCFGREHNNWTSQIRLASQNFTCSSGSYSTPSIYLYHLCSNKVMPGKTPLV >KQL11213 pep chromosome:Setaria_italica_v2.0:IV:31771887:31774070:1 gene:SETIT_006764mg transcript:KQL11213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTGRQCRHSLIQFRCSAATHPQHDFNINQFEEIGIELTKKLRSFYWFCRPHTIIGTILGITSVSLLPMKSLDDLSVTVLWGFLEALASALCMNIYVVGLNQLFDIEIDKVNKPTLPLASGEFSVATGVLLVVAFLVMSISIGIRSKSAPLMCALLVSFLLGSAYSIDVPLLRWKRHPFLAASCILVVRAILVQLAFFTHMQQHVLKRPFTPTRSVVFATLFMCCFSAVIALFKDIPDVDGDGDFGIKSLSVQLGQHRVYRLCISMLMTAYTAAILVGASTTNLYQKIAIVFGHGLLAFVLWQRAQQLDVANKTCVTEFYMFIWKLFYAEYLLIPFV >KQL10112 pep chromosome:Setaria_italica_v2.0:IV:9647151:9650776:-1 gene:SETIT_006236mg transcript:KQL10112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENGSSAKVALSRPCVLIIVMAGVERFANKGVGSNLVTYLTGVVGMSTAAAAKSVVAWTGVSFMLPLFSAILADSYWDRYSTIAVSSLLYVLGMSAITAWALLRKRMPRSTLFLPLYLMAIGQGGYQPTLQAFGADQLGIGDDDDDAEPGMTAEEKGKVKGMFFNWWYFGLCSGSLLGNSTMSYVQDNFGWVLGFAIPTGVMALSVAAFFCCTPLYRQTQPKGASTSSKPSQNKIFKVFKSIIPSRKISLPSKDDNGDAISELELQEKPLKTEPAEAKEALGEAAPSVARVILGLLPIWAILLIFAVIFQQPMTFFTKQGMLMDHKLGAFVVPPAMLQSSITISIILLVPMYDRVIIPLFNAVTRGTEGITVFQRIGVGMVLSVVAMVTAALVESWRLRVANASARLKIFWLLPQYVLLGVSDVFTVVGMQEFFYTQVPASMRTIGIGLYLSVFGVGGFLGAFLITALEMATARPGNSRGWFSDDAREAHLDNYYWFLAFLCFISFLIFTHLCKFYSGKNASGK >KQL10547 pep chromosome:Setaria_italica_v2.0:IV:17917231:17918302:-1 gene:SETIT_008596mg transcript:KQL10547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEDNTDDKTDRQPCLNLEISGSFLTCIRLMLAWDRNAFLQSKYNDRIKYEELTTAQNQLTSTMNCKRVSTDLPLVTLTIGNKKFIRKGDCNILASFCYVTQLVVCKFCYEKMIRRVDIPFSDITSLLVCFDDRRFDTLRIEARSSLQYFSADKPLPGKFTRWKVDDSKEDDCFPESKFVFLEIEKGMLEKGLAKLLYIDPRLQRSVEFARASEDQHMYQGRLHAHTQQTNMSALQPLLSVNALPNIGGRM >KQL10320 pep chromosome:Setaria_italica_v2.0:IV:12880256:12883140:1 gene:SETIT_006944mg transcript:KQL10320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDRSHNPQPAEAGAGNGGAAGEGGGGGNVDRVLFKNLVEMVPLVESLMDRRVNPSYSRRASLVYTPAPAKKASDLKSVKSPQSVSAKKRRDPGDAAKKSTPDSNGENGSVSPLSLSGAENKPKDEIAVLREQIDDLQKKLLEKEEALRYAENSVNEMNVAYATIDELRRQVAEQEALIRSTNSQLHDAKIMLADKQASLEKLEWEVKTSNKKVEDLQGDMSNMEFEISSLMALFEKVSENVSGDCYDGSIPSSYELEALQSTSEIDKIEVEKIEQERITYAEALAAARENPNEERLNLAAEARSRLQVLVL >KQL11439 pep chromosome:Setaria_italica_v2.0:IV:33696264:33696847:1 gene:SETIT_008624mg transcript:KQL11439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDGRPSTRVQVPRDSRRLGRDLDMISRGLHTKLLIHIREGLKQPEAPMQAGKFASEGGIILRGHIPILTRWKDYKAQNEKYLKDYIGKLAGRYWLKKKYFNGLATTEVPTKTPVTTMNDDRWNKLFTMWSSQPHRV >KQL09557 pep chromosome:Setaria_italica_v2.0:IV:4238879:4240222:-1 gene:SETIT_008503mg transcript:KQL09557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARRMHAAARHRARRSRRRRRRISQHPRPRLRRRRRAAASQPWVTTFASFHLSSLVATSSPTDALIGVFVSLRAGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >KQL09501 pep chromosome:Setaria_italica_v2.0:IV:3879967:3880148:1 gene:SETIT_0085932mg transcript:KQL09501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSDPNNSLPPVDFLAIPRAGPAAASPPPGIPCRGEVVGLARALALPSLVARQDQDAGV >KQL10440 pep chromosome:Setaria_italica_v2.0:IV:15217405:15221336:-1 gene:SETIT_007080mg transcript:KQL10440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRERENPCGICGHYHKYEEGEVCGVCGHRPPVAPAAGARQQDSAFPSEILKEFLFLGSYDNASRSELLKTIGVSHILNTVPLCQNLYRNSFTYHCLQEDKTLQFDDAIHFLEQCEREKARVLVHCMSGKSRSAAFVIAFLMKSRGWRLAQSFQWVKERRPQVQLSDGAQQQLIEYETKLFGSNVSMPAPSFVPADSFPSLGFGFPKPAGDIQVPTFNQQAPASIFERVSPNNFPTNFTFGAERAGEVKLPDSNNFGVINSSGGDSMMDST >KQL09409 pep chromosome:Setaria_italica_v2.0:IV:3179860:3182791:-1 gene:SETIT_008345mg transcript:KQL09409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAVSVGKAVLEGALGYAKSKAAEEIALQLGIDRDVAFIVDELEMMQSFLMTADEEHDKHKVFLTWVKQIRELAYNVEDTLMDFTLHSDKKPSCWCILHTLRERHDIAREVKDLRAKVEDVSNRNLRYQLIKRSGSNHSSVEEQTSVAAAAIFGIKEARHATFEQDKSKINLQQLIKSEEKDLRVIAVCGTSCNLGKTSEIRKAYDDQEVYKGFQCRAWVQLMSPFNPNKFFEDLVRQFQVYSREVNGKSEEGNAPGYDVLIELEKLESLGQSYFAKEVAVHVNTKRYLIVIDGLSSVVEWDWVKTYFPDKKNGSRIIVSTQQVEIAILCTEQPYQVTELKQLSSEQAVYMFHKVTRVSDSTGPTSKSNRVTSCWNNSAISNSEIQDEEPKFMYSGIGNAFSSTGKKFERSRTIALVDDVLVGRKAEKSRIIDLICQPDHKQGCKVISVWGMGGLGKTTLVRSIYKSQQLCGWRRAWVTASRPFNREMLLRSVGLQLDKDIQENPVVANESHQEKKIMTKVEVQELIKQTLQEKKNIAMMGPQELIQKLTRFLETQKCLIVIDDLLSIEEWDSVKEIFAKANRIIVTTRGKDVAKYCSREDKNMYSLEGLKGDTALNLFKQKVLSEILFFLSIGWFCFV >KQL09009 pep chromosome:Setaria_italica_v2.0:IV:699729:704586:-1 gene:SETIT_006135mg transcript:KQL09009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFGATSTVGLMAAPTGKNVRLQRRANFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVDELRKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENFLYVVEGMQFERGYISPYFVTDSEKMTAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLTKESTTIVGDGTTQEEVTKRVAQIKNLIEVAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDTLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNENFKYGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEAAPLTNPMDNSGYGY >KQL09011 pep chromosome:Setaria_italica_v2.0:IV:699982:703504:-1 gene:SETIT_006135mg transcript:KQL09011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFGATSTVGLMAAPTGKNVRLQRRANFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVDELRKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENFLYVVEGMQFERGYISPYFVTDSEKMTAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLTKESTTIVGDGTTQEEVTKRVAQIKNLIEVAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDTLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNENFKYGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEAAPLTNPMDNSGYGY >KQL09010 pep chromosome:Setaria_italica_v2.0:IV:699982:703504:-1 gene:SETIT_006135mg transcript:KQL09010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFGATSTVGLMAAPTGKNVRLQRRANFRVKAAKELYFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVQITRGIEKTAKALVDELRKLSKEVEDSELADVAAVSAGNNYEIGNMIAEAMSKVGRKGVVTLEEGRSSENFLYVVEGMQFERGYISPYFVTDSEKMTAEYENCKLLLVDKKITNARDLINVLEEAIRGAYPILIIAEDIEQEALATLVVNKLRGSLKIAAIKAPGFGERKTQYLDDIAILTGATVIRDEVGLSLDKADKSVLGTAAKVVLTKESTTIVGDGTTQEEVTKRVAQIKNLIEVAEQEYEKEKLNERIAKLAGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKDTLENDEQKVGAEIVRRALSYPLKLIAKNAGVNGSVVTEKVLSNENFKYGYNAATGQYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLTSDVVVVEIKEPEAAPLTNPMDNSGYGY >KQL09784 pep chromosome:Setaria_italica_v2.0:IV:6012094:6024902:-1 gene:SETIT_005702mg transcript:KQL09784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTRGRWSWDVPGFEPPQPATTAAAAAGASAPTAMPRAPPTAMVLRPSAGAPRAPAGAVPVADRLDQLADSVQLAREDCLELRQEASDLLEYSNAKLGRVTRYLGFLADRTRKLDQAALETEARITPLIHEKKRLFNDLLTLKGNVKVFCRSRPLFEDEGSSVVEFPDDFTIRVNTGDESLTNPKKDYEFDRVYGPHIGQGELFHDVQPFVQSALDGYNISIFAYGQSRSGKTHTLEGSSHDRGLYLRSFEELFDLSNSDTTSTAHFNFYFTACELYNDQVRDLLSESSSTVPKVRMGVQESFVELVQEKVENPLEFSGALKTALQNRSVNSPKAMVSHLIITIHIHYRNYVTGEHLYSKLSLVDLPASECLLEEDAGRDNVTDFLHVSKSLSALGDAFASLSAKKEPVLSGNSRITQILADSLGSSSKILLIVHVSPSASNLSRTLSTLSFSARARNAELSLGNRDTIKKWKDVANDSRKELHDKEKEVLDLRQEVLGLKHSLKEANDQCMLLFNEVQKAWRVSSTLQADLKSENLMLAEKHKIEKEQNNELRDQISHLLKVEQEQKLKLQERDLTIQSLQAKLKSIESQLNEALNASDARSTIGSEAASVISSPKVTESTAESSSVTKRLEEELAKRDALIEKLHEENEKLFDRLTEKSGLGSAPQASSPSANKPANAQGREIGRSDSSKSRSPDVFASPTSQDKTGISGAIVKSSNELAKTTPAGEYLTSALMDFDPDQFEGFAAIADGANKLLMLVLAAVIKAGAAREHEILAEIRDAVFSFIRKMEPRKVMDAMLVSRVRILYIRSLLARSPELQSIKVFPVERFLEKSNTGRSRSSSRGSSPGRSPVYHHDHGSRTALVDEHVHGFKVNIKQERKSKFSSIVLKLRGVEEETWRQHVTGGKLREITEEAKAFSIGNKALAALFVHTPAGELQRQIRAWLAENFEFLSVTGGDAAGGATGQLELLSTAIMDGWMAGLGTAQPPTTDALGQLLSEYTKRVYTSQLQHLKDIAGTLATEEADDPAHVSKLRSALESVDHKRRKIMQQMRTDTALLTKEEGGSPIRNPPTAVEDARLASLISLDNILKQVKEVMRQSATRPMRKSKRKALLESLNDLLTQMPSLLDIDHPCAQKQIMEARKVVESLEEDPDDPVPQSNALGESEVSQWNVLQFNTGTTAPFIIKCGANSSSELVIKADLRVQEPKGGEVIRVVPRPSVLADLSFEEIKGVFEQLPEAVSLLALARTADGTRARYSRLYRTLASKVPALKEIVVEMERGGVFKDVRSS >KQL11974 pep chromosome:Setaria_italica_v2.0:IV:37921989:37926753:-1 gene:SETIT_005801mg transcript:KQL11974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRLMLLLLSLLAAAARAVAAAGARPSEVAVGALFTYDSTIGRAARLAIELAVDDVNADRTVLAGTQLKLITQDTNCSGFLGTIEALQLMEKNVVTVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFIRTTISDYFQMNAVASIVDYYQWKEVTAIFVDDDYGRGGVTALGDALAEKRARISYKAAIPPNSNSDVISDVLFRANMMESRVMVVHVNPDTGMRIFSIANKLQMMASGYVWIVTDWLAAVLDSSVPRDLKYSHIQGLIVLRQHTPESDAKNKFISKWNVVARNRSVTSGLNSYGFYAYDTVWTVAHAIDQFLNGGQQINFSTDPRLHDSNGSTLRLSTLKIFDGGEQMLQQLLLTNFTGLTGPVQFNSDRNLVHPAYDILNIGGSGSQLIGYWSNYSGLSVTAPEILYQKPPNTSSNAQRLHNVVWPGDSTTTPKGWVFPNNGQPLRVGVPIKASFKELVSGGRGPDNVTGYCIDIFNAAIKLLPYPVPCQFITIGDGTKNPNYDDIIKMIAANSLDAAVGDFAIVRNRTKIAEFTQPYIESGLVIVAPVKQATSSAWAFLKPFTLEMWCVTGALFIFVGIVVWILEHRTNEEFRGSPRRQVITIFWFSFSTMFFSHRQNTVSALGRFVLIIWLFVVLIINSSYTASLTSILTVQQLATGITGIDDLISSALPIGYQAGKFTRNYLIEELNIPESRLVPLNTIQEYADALKRGPKDGGVGAIVDETPYVQIFLSYHCNFRIVGQEFTKEGWGFAFQRDSPLAADLSTAILQLSESGQLQRIHDEWFTRPSCSSDDSEVGATRLGLGSFWGLFLVCALICLFALLVFFIRICWQYSKYSNSEAAGEPSAADADAAAATDAAADAAERQRRPSRLGSFKELMQFVDKKEEEVRRTMKRRSSEKDNQAAGSSDAQSMAAA >KQL11975 pep chromosome:Setaria_italica_v2.0:IV:37921712:37926753:-1 gene:SETIT_005801mg transcript:KQL11975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRLMLLLLSLLAAAARAVAAAGARPSEVAVGALFTYDSTIGRAARLAIELAVDDVNADRTVLAGTQLKLITQDTNCSGFLGTIEALQLMEKNVVTVIGPQSSGIGHVISHVVNELHVPLLSFAATDPTLSASEYPYFIRTTISDYFQMNAVASIVDYYQWKEVTAIFVDDDYGRGGVTALGDALAEKRARISYKAAIPPNSNSDVISDVLFRANMMESRVMVVHVNPDTGMRIFSIANKLQMMASGYVWIVTDWLAAVLDSSVPRDLKYSHIQGLIVLRQHTPESDAKNKFISKWNVVARNRSVTSGLNSYGFYAYDTVWTVAHAIDQFLNGGQQINFSTDPRLHDSNGSTLRLSTLKIFDGGEQMLQQLLLTNFTGLTGPVQFNSDRNLVHPAYDILNIGGSGSQLIGYWSNYSGLSVTAPEILYQKPPNTSSNAQRLHNVVWPGDSTTTPKGWVFPNNGQPLRVGVPIKASFKELVSGGRGPDNVTGYCIDIFNAAIKLLPYPVPCQFITIGDGTKNPNYDDIIKMIAANSLDAAVGDFAIVRNRTKIAEFTQPYIESGLVIVAPVKQATSSAWAFLKPFTLEMWCVTGALFIFVGIVVWILEHRTNEEFRGSPRRQVITIFWFSFSTMFFSHKHCKRTWAVRVDHMVVCRADHQFKLYC >KQL09076 pep chromosome:Setaria_italica_v2.0:IV:1073283:1073677:-1 gene:SETIT_007770mg transcript:KQL09076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIFTAILGEVASRSISLLTGKYSKEKQHQLQMKCNTTYKFCCLGFVPALRVNGVSQTGIW >KQL09413 pep chromosome:Setaria_italica_v2.0:IV:3199163:3202169:-1 gene:SETIT_006776mg transcript:KQL09413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGDLHKVWDVRELKRKPDAAAARALLDRVAKQVQPIMRRHKWRVKVLSEFSPRNARLLGLNVGAGVEVKLRLRRAGRDYDFIPYEEVLDTMLHELCHNDRGPHDAQFYKLWDELRKECEELVSKGITGTGQGFDGTGRRVGGFTIHPPPPSLRQATLVAAQKRARNGALLPSGPRKLGGNNDIMSALSPAQAAAMAAEWRMHDDLWCGSHNQSGIDDSDDVIILEEPPNNMTIKDGKTTKGSCSNTSAESSTSSGIHTAARDGPSSFWTTGDAVDDSKWECGACTLLNQPLAPICEVCGTAKPKIAKAKYMTWSCKFCTLENSTKLDKCSACDHWRYSYGPPIATYGPSYD >KQL09412 pep chromosome:Setaria_italica_v2.0:IV:3197968:3202169:-1 gene:SETIT_006776mg transcript:KQL09412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGDLHKVWDVRELKRKPDAAAARALLDRVAKQVQPIMRRHKWRVKVLSEFSPRNARLLGLNVGAGVEVKLRLRRAGRDYDFIPYEEVLDTMLHELCHNDRGPHDAQFYKLWDELRKECEELVSKGITGTGQGFDGTGRRVGGFTIHPPPPSLRQATLVAAQKRARNGALLPSGPRKLGGNNDIMSALSPAQAAAMAAEWRMHDDLWCGSHNQSGIDDSDDVIILEEPPNNMTIKDGKTTKGSCSNTSAESSTSSGIHTAARDGPSSFWTTGDAVDDSKWECGACTLLNQPLAPICEVCGTAKPKIAKAKYMTWSCKFCTLENSTKLDKCSACDHWRYSYGPPIATYGPSYD >KQL11352 pep chromosome:Setaria_italica_v2.0:IV:32859387:32860288:1 gene:SETIT_007302mg transcript:KQL11352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGLSSNHHRRSSASLHQPPPAAAAPALVVAADGSLREFAPASSPVSASDVLNGAGSSNAFVCSSDALYFDADVPALGADELLRPGQIYFVLPAEMLGRPLSSADMAALAVRASDALAARPRAARAASHNGRARRGGLITKARVVPAAHHAPDGDEEINEKLNQRTLGGFETASRSPARAAKGSVPAARPPMRRALSTIMEDAD >KQL09099 pep chromosome:Setaria_italica_v2.0:IV:1202754:1203014:1 gene:SETIT_007935mg transcript:KQL09099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAVVERFMSIGVWGVGVSVISVMEGAVFAAQVHDRRVLAGCTGVVAAFIVALVAFWVWLVRTYGGGGDTSLARRRTDKLVRVNS >KQL10156 pep chromosome:Setaria_italica_v2.0:IV:10336906:10337685:-1 gene:SETIT_007670mg transcript:KQL10156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARRRMGRVLPVIVALVLLLAVLGVEARPLGGDGSWAVTGAGGPLPDGGVFFVETLRRLYLQQLGGPGASCETNSPNNGCPP >KQL10394 pep chromosome:Setaria_italica_v2.0:IV:14033650:14034918:-1 gene:SETIT_008221mg transcript:KQL10394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNPASDSAVPADTTAVATRLAAICDMIQAHRVSGAPISARRAAAISAMIDDVAATAAEGRPMACRRRRRMASAQGYKQEGCRLTQQGGTGVVVVRARHRATGRAVAVKSLHRRSGRSYVGDVLREACFTAAGGGHTFRTVARRPGTMDYSIVMDYVGPSLRAVMVDRGDRPLFMEAEVRRIMRKLLAGAEVMHGRGIVHRDIRPDNIFVGDGAGDVKFCNYGAAKSMFEKDPPCYGYPAGTCAYVAPEVLVRNAGHGAPVDAWSLGCVMAELLTGEPPFLGEDETNQLFKIFDVVGVPCRREWEALKPQVRDDKVRMWWAQQRQRGGLRNRLRGLVPEETLSGEGFQVLQGLLRCDPEKRLTAASALRCPWFTENVDEDPVSGRIRVAKITGMASKSCSLAMSFVGCALGFLRLKSLRL >KQL10675 pep chromosome:Setaria_italica_v2.0:IV:22964636:22965890:-1 gene:SETIT_009134mg transcript:KQL10675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASALIFERPLVLLPQAGVAAPTAPACHGDGPEEEMNEPWPPRREATSPTIMSLSVDHHPHDAVMNECYEKIGALTPNQG >KQL12163 pep chromosome:Setaria_italica_v2.0:IV:39207930:39208776:-1 gene:SETIT_007164mg transcript:KQL12163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRPLTCVAAGCAGWAWRPRSRVRSEAVSPKRSHAAAAAAGAVHSEEHRRGGMREVLFRPVGLPTETKFGAGLEDRIEKVICACRFMTFLGIGGLLAGCVPCFLKGCVYVMDAFVEYYLHGGGMLILMLLEAIDMFLIGTVMFVFGTGLYELFISEMDMSYGSNLFGLFSLPVGSLAHPSQRFSASKASLSVSVS >KQL12164 pep chromosome:Setaria_italica_v2.0:IV:39207309:39208921:-1 gene:SETIT_007164mg transcript:KQL12164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRPLTCVAAGCAGWAWRPRSRVRSEAVSPKRSHAAAAAAGAVHSEEHRRGGMREVLFRPVGLPTETKFGAGLEDRIEKVICACRFMTFLGIGGLLAGCVPCFLKGCVYVMDAFVEYYLHGGGMLILMLLEAIDMFLIGTVMFVFGTGLYELFISEMDMSYGSNLFGLFSLPERPKWLVIQSVNDLKTKLGHVIVMSLLVGIFEKSWRVTITSCTDLLCFAASIFLSSGCLYLLSRLSNTKGGSHT >KQL09201 pep chromosome:Setaria_italica_v2.0:IV:1834496:1837157:1 gene:SETIT_006918mg transcript:KQL09201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAMDRIATRLSAVEGLYFPSSFLSSSSPSSAAAPPSPPRRQAELRALLARDAPLFLERYGAALSADELAAFDALSPDYEVDWHLRRLRAAAAGAPPPAAQVRNRRRAYLDRLVREGEYFSEEAMREREPYLHHEYLGRFQDPLGRAMARPGERWSETLMRRAEEAVIVEKIRGEQIRRGVDPSEWVGGGPEEAMQEQEEEEEEEEEEEEEDEEEQSMKEKETEVVKPIATEVVANESAPMDSSNGRGSAAGTFNQTLSCEEMQDQLEQFTYVMQQKFLTGEDTEHMDYSQIDNDEMLDDHWSREANYDAEEKYFEED >KQL10575 pep chromosome:Setaria_italica_v2.0:IV:18750497:18750739:-1 gene:SETIT_008591mg transcript:KQL10575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSWTDELNKVFELALATYDKDTPDRWQNVARAVGGGKTADDVKMHYKWLTEDVADIDMQSRAGKDSQNGGSNSNSRGS >KQL10780 pep chromosome:Setaria_italica_v2.0:IV:25602062:25603080:-1 gene:SETIT_008136mg transcript:KQL10780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLLQLHKSKAACCSCTKASMASANTVAFPSRLPIISNLMEFLTRSKSQDST >KQL10313 pep chromosome:Setaria_italica_v2.0:IV:12770922:12777877:-1 gene:SETIT_007067mg transcript:KQL10313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAFVLHHFSEVADYSFTANMETELDNVSAGSTEWKGLLKDYWERFSKYCADASKLDGRKVERMFEEKFGPILFPDDDKDSRICPSCSEGTLRFKVSRYGEGYFIGCDRHPKCKYIARSLSQQEDDTEPIDESPKSFEPRLLGVMPDSDKKVFLKQGPYGHYVQVGEDKKGLFPKRASLSEVKDIDTVTLEDAIELLQYPKILGKHPDDEHPVLITHSKLGYNIKHRRSLAAVPKNMDPKEITLERALKLLSGKSVRQIGRPKGKAKKKEPVEWH >KQL10851 pep chromosome:Setaria_italica_v2.0:IV:26983829:26986099:1 gene:SETIT_008732mg transcript:KQL10851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRGFVDRYTIWSHHGEAGDTFSNTDIDTGTNEVGGDDANENDHVMMDDDYDRGDKNGYQTDVRVEPQVDEERDVDVADMLRHIEPEVLLGSAKRNHSILYRGEYAALEKCPNCDASCYKSNADFYEDRAGSSIRNKRKKDAKKSVGAQVEDKSFIGTDTTTQRRVPALVMWYLPVVDRLKRLFSNPKSAKIMTWHADRPVKGKTACIVCLDGTSYVYLKGFMKTVFMRHRRFVLKTHKYHRMKDFFDDTNENDFAPKPAMGKIAFEMCEKVKFKLELPNGKQYLPPASYNLTPDERLAMCKCLRGLKVLTGFSSNIRSLVSLKDMTLASYNSPDFHVMIIVFLTIAIRAIKTLFVKMIWTY >KQL12258 pep chromosome:Setaria_italica_v2.0:IV:39706352:39708890:-1 gene:SETIT_007412mg transcript:KQL12258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANYHHYQMAVAAAAAAWREPDSPQLSFVSGCSSLFSISTLQDDDDGAVVIAGHALPSTPVSLAGFAGDEVDMEVQQVSGGSGDDRRTIRMMRNRESALRSRARKRAYVENLEKEVRRLVDENLKLKKQCKELKLEVAALVLPTKSSLRRTSSTQF >KQL10563 pep chromosome:Setaria_italica_v2.0:IV:18354588:18355116:1 gene:SETIT_008573mg transcript:KQL10563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIQKLKAKVQNKACVEGCIVEAQLVEEATNFLTLLFRSQARSIRNKIPRYDDGAANFKSSSDIGLFQVPGHCMKPRGVHELPKEKYEAAFLYILTNMPEMDEFFQDVHEQWKSRSRPRHDQIRELWLKGWKNSRGQHDPNFFDWFKEE >KQL11999 pep chromosome:Setaria_italica_v2.0:IV:38080269:38084408:-1 gene:SETIT_005977mg transcript:KQL11999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRPRRPAKPEDEKAASAKAAKLRDLQAQVLQNHHSRTYTKEAIGLSFKLLEINPEAYTAWNYRKLAFQHNIKELSDPEAIRSAVDDELRVVEVALRQNPKSYGAWYHRKWLLNQKLAPVDSKREFGLLNKLLKVDARNFHGWNYRRFLARFMGVPDEEELKYTMDKISDNFSNYSAWHNRSILLSNLLIQQSKGFESKQKIFSEEFELVTQALFTDPSDQSGWFYHLWLLAQTSSPDNPQLIASWPCDGAKLSSSFVKENVEQNTLSSIWCHSLKEKTVPIVLYFNEPVKGLNQSSVKLKSDLDFGKDVHWRALSVTDSGYSNCWTTYLQITNECSSSQQYSVEVSIPSSDGIVSRSGSNYNCPVHFTFTIELISDDAQGIDLFDKPVAWNCSESLESHGNCKAIPFDPLKITSALVEDSNWHFERLTEEIDLFRELPDDKCKFVNLTLARLLLACAAIKSRGRSPIERKKYCEEALAHFSDLIHLDPSHKQYYEDERSLVLMDKLTCDMETFMKHCSVQVQNSVPLNHVQLCRLSLTCVGFVERLMWVQVLDLSHNSLRSIEGLEALQQLVSLNISNNHISNFTALEPLTKIMFLKVLDLSFNEIGAHSIDTTRYICSSPFSHKIEACEAFEECQKKNINVEEYWDAILFFKSLKLAQLDIKGNAVASKENFRTLIMTLIPSLKSINGECVN >KQL11085 pep chromosome:Setaria_italica_v2.0:IV:30589676:30590069:1 gene:SETIT_009002mg transcript:KQL11085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGRSLGWRYPISLVGWRCTDSFSALDWIASVHGRD >KQL10121 pep chromosome:Setaria_italica_v2.0:IV:9844534:9846165:-1 gene:SETIT_008770mg transcript:KQL10121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMERDFHMVEGDGETSYTTNSRLQQKALFETKSVLQEAVRQVCSALLPPNLVVCDLGCGPGDNTLIFLSEVIKASSSHNVPEIQFFLNDLPGNDFSHVFRSAERFKSSVTAYHKGERRLPFHIAGLSGSYYIRLFPSQSVHLFHSSCSLHWRSQLPDGLDGNKRNIYIAKATPLSVVKLYQEQFQKDLMLFLELRYDELVVGGQMVLTFLGRKEEDVYSGNLNYLYGLLAQSLQSLVEKGLVEEDKLNSFNLPIYGASIDEVKAAIKQTGLFDFNEFKLFESNWDPYDDSEDDNVQDNIQSGVNVAKCIRAVMETLFVSHFGESILDALFKEYASKVAEYLERDKAKYSVIVLSLQRR >KQL11052 pep chromosome:Setaria_italica_v2.0:IV:30367059:30371829:-1 gene:SETIT_006780mg transcript:KQL11052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHAAGTSNGGSVDAAAAGAARRNTRMPKYSKFTQQELPACKPILTPKWVVSVFFIVGVIFVPIGVVSLLAARDVVEIIDRYDEACVPGNMTDNKLAYIQNETISKECIRNLTVTKDMKQPIFVYYELDNFYQNHRRYVKSRNDAQLRDKRKANQTSACEPEKTTANGQPIVPCGLIAWSLFNDTYSFTRGNDNLTVDKKDISWKSDREHKFGKDVYPSNFQNGALKGGATLDPTVPLSEQEDLIVWMRTAALPTFRKLYGRIYFDLKENDTITVRLSNNYNTYSFGGKKKLVLSTATWLGGKNDFLGFAYLIVGGLCIFLAFAFTLLYFIKPRKLGDHNYLSWNRHPAGR >KQL11053 pep chromosome:Setaria_italica_v2.0:IV:30368819:30371829:-1 gene:SETIT_006780mg transcript:KQL11053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHAAGTSNGGSVDAAAAGAARRNTRMPKYSKFTQQELPACKPILTPKWVVSVFFIVGVIFVPIGVVSLLAARDVVEIIDRYDEACVPGNMTDNKLAYIQNETISKECIRNLTVTKDMKQPIFVYYELDNFYQNHRRYVKSRNDAQLRDKRKANQTSACEPEKTTANGQPIVPCGLIAWSLFNDTYSFTRGNDNLTVDKKDISWKSDREHKFGKDVYPSNFQNGALKGGATLDPTVPLSEQEDLIVWMRTAALPTFRKLYGRIYFDLKENDTITVRLSNNYNTYSFGGKKKLVLSTATWLGGKNDFLGFAYLIVGGLCIFLAFAFTLLYFIKPRLVTF >KQL11135 pep chromosome:Setaria_italica_v2.0:IV:31054151:31059481:-1 gene:SETIT_005785mg transcript:KQL11135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDYLAEPENEVAQSMWPENIGDKHQRQFRMEKFRNDQDAFKDVKFDEKPVHVDYQRLMEMANSEKGVSHMQYFMKHWEYKRANAARLQEEELGLLSQQRKEIEQNKQQILEEQRFQDESYYAVKRHVPILDEAYEDEWKRPSKKNDELSRNREPKIDADYDSVAYWKERATQLEKTLDESIQRERSLVEKLEENIKNLQSHTPTEEFSGMLKRADYFLHLVLQSAPIVIAHQDADLRYRFIFNHYPTLADEDVIGKTDYEILSGEGIEEMNSVKREVMATGIATKREFAFNTPMFGAKTFVTYIEPVFSKGGETIGVNYVAMDITDQVKRREKMADIRVREAIQNAKETELSRSLHITEETMRAKQMLATMSHEIRSPLSGVLSMAEILATTKLDKEQHQLLEVMLSSGDLVLQLINDILDLSKVESGAMKLESTTFRPREVVKHVLQTAAASLKKELTLEGCIGDDVPVEVIGDVLRIRQILTNLISNAVKFTHEGKVGINLQVVREQQPGCKIEHEKIQKRAYPGTPITTAAENPCVSPRNCDKDSLNCSKHEDAVHNGVPTCENFREDHEGEEVVWLRCDVYDTGIGIPVKSLPLLFKRYMQASADHARKYGGTGLGLAICKQLVELMGGTLTVVSKENEGSKFTFVLPCKIPVKEEHSDDPDEVDSSQSGFTNSDIEGSFIFKPQMRTSLLSSGVSVMNNTKLFGAKLMCYDPPSILDDCKPLSNGFTSKEQNSANCTSAAHQSNGASVRSTAEKQHDDAMVLELNSQAERVSSSRGDTVSASGASGQKTGPCKVLEEQSLHKKSKCSPIGNKAKILLVEDNRVNIIVAKSMLEQLGHGIDIVNNGMQAIRAVQQHQYDLILMDVHMPEMDGLQATKHIRSFENTGYWDASVKPEDDQMIADPAISSDCTPAKRQGQRVPIIAMTANSFSESADECLAAGMDSYISKPVNFQNIKECLQRYLPSQ >KQL11443 pep chromosome:Setaria_italica_v2.0:IV:33791670:33792986:-1 gene:SETIT_007823mg transcript:KQL11443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSCALLLVLAMATTWLAADAGAGAGPVFNVTDFGAVADGKTDSSRAFLRAWMRACATPGRPAVVVPRGGSYLLHPLVLRGPCRGYMEVRVAGVLRAPPGLGAFRGCREWVHFSGVDGLLVTGGGTFDGRGATAWPLNECPKKRDCKLLPTSIKLGRVTNATITGVTSLDSKFFHVTVAGSHGVRIHGVTIRAPRDSPNTDGVHIQGSSDVRVTDCAVATGDDCVSVGPGASDVVVSGVTCGPGHGISVGSLGRYPGEEDVRRLRVVNCTIAGTSNGVRIKTWRGGSRPTSVSGLVFEDIVMRKVRNPIIIDQEYCPYASCRESEQRPSAVRISDVKFRNIRGVSATQVAVKLSCSEASPCRGLELRDIDLRYFKRGVSTQSQCAHVAGGVVGGTLVPPSCI >KQL10731 pep chromosome:Setaria_italica_v2.0:IV:24362484:24363083:1 gene:SETIT_008483mg transcript:KQL10731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLATKLLLAHSTCMTVPKSLGDAHPSTRVHLPWNSRRLGRDLDKISIGLHTKFLIHVREGLKRPEAPMQAVEFASEGGIIFHGHIPILTRWMDYKAQNEKYLKDYIGKLAMDNLTLIPSGRYWLKKMYFNGLAANEVPIKTPVTTMNDD >KQL11374 pep chromosome:Setaria_italica_v2.0:IV:32984559:32986124:1 gene:SETIT_006861mg transcript:KQL11374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISGGCAGKTTKMKTVCVTGAGGFIASWLVQLLLSRGDYVVHGTVRDPSDPKNAHLMALGGAGERLRLFKADLLDYASVAAAVAGCDGVFHVASPVPAVNPTNPDVEVLAPAVTGTQNVLKASDAANARRVVVVSSVGAVIMNPKIPDGAVVNEDCWSDEDYCRTTENWYCLSKTLAEREALAYGEKAGAAMDVVTVCPPWVLGPLLQPTVNTTSMRLVAYLTGENTDEKMRNMVDVRDVVEALVLALETPEASGRRLICSAHVMMVSETVGLVHSLHRDLKLDYPRKFVQVEDEKGASSKRLQALGWKFRTAEQTLRDTIDSYKAAGILK >KQL09394 pep chromosome:Setaria_italica_v2.0:IV:3098803:3101422:-1 gene:SETIT_006731mg transcript:KQL09394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRGAVRSLLDRLRPPPRGWKQNPSPAMPPAAVNRPCLCRCSHSVAHNEGRATPLGKMGFLNLAGGRRFAPSGALSLKGCLGWQDGGSGGGGFKRRVDGEAAGFKAQVLTRQRQLMRDPEVLPLEEAAASAKTLSGNGACRRGKPLGFPEQAVAAKMVVAVDVDEVLGSFLAALNKFIADRYSWNHTVSEYHVYEFFRIWNCSRERANFLVHEFFTTHYFQDGIQPIPGARDALQNLSSFCSLSVVTSRQDAIKSHTLDWIERYYPGLFEQIHFGNHFALEGQSRPKSEICRSFGAQVLIDDNPRYAMECANDGMRVLLFDYDNSYPWCKTGVDESHPLVTKVHNWEEVEEKLLSWVVTES >KQL10329 pep chromosome:Setaria_italica_v2.0:IV:13020749:13021592:1 gene:SETIT_008177mg transcript:KQL10329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVAFPAAVACALLVAAAVFLVGSASASWHAQVFEVGGEPRGWAKPAAPNGETYNHWAARNRFHVGDFLHKYSCNASIASHFKYDKNDSVLVVSRDDYKFCDAVRPSQRFDGGDTRLRLENSGFSYFISGAPGHCDAGQRMTLRVLPQQQQQDGGSEAAPTGAPGAMAPGGAAGGGGGGDEGGEFGPPHGSGSGSGAGSSVTGPGLMSSSTPPPHPHGGVVGTDANNTSGAAPARAPSSFGGCCHHVVGAVVLGASLLVLGA >KQL09594 pep chromosome:Setaria_italica_v2.0:IV:4474124:4477120:-1 gene:SETIT_008444mg transcript:KQL09594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKVDGPAIGIDLGTTYSCVAVWRHDRSEVIANDQGNRLTPSCVAFKGAEKLVGDAAVNQAASNPTNTIFEVKRLIGRRFDDESVQGDIKLWPFKIVAGRDDRPMVVVQYDGKERQLAPEEISSIVLTKMRETAEVYLGKTVKNAVITVPVYFNNSQRQATFDAGTIAGLNVMRIINEPTAAALAYGLEKMPVSNKPRTVLVFDLGGGTFDVSLLNIDPGIGMDKGLFEVLAIAGDTHLGGADFDNEMVKYSLLEFTRKHPKTNISCNQKALRRLRTACERAKRMLSFTPQTTIEVDALHDGIDFCTTITRSRFEELNKSLFSKCMEALDQCLRDAKMDKSRVDDVVLVGGSTRIPKVQNMLREFFDGKELRRTINPDEAVAYGAAIQASILSGGTDDKRLVDMLLREVTPLSLGIDTDLDHKMSVLIPRNAAIPTKKVGSFTTLYDSQTSVTFRVYEGESTSAKDNNLLEVTFDIDANGILNVSAEDIDTGRKSGITILNYGGRMRKEDIACLVQEAGR >KQL10134 pep chromosome:Setaria_italica_v2.0:IV:10018723:10020237:1 gene:SETIT_007586mg transcript:KQL10134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTYRYHGHSMSDPGSTYRTRDEIAGIRQERDPIERVRKLMLAHDFATAQELKDMEKEIRKQVDAAIAKAKESPMPDPSELFTNVYVNDCGWESFGVDRKVLRTVLP >KQL11156 pep chromosome:Setaria_italica_v2.0:IV:31225131:31226526:-1 gene:SETIT_008557mg transcript:KQL11156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRLRVLCLLTCMQVLVGTVAGGGARPPAMYVFGSSIVDVGNNNYLPGEAVPRANKPFNGIDFPGSIPTGRFSNGYNTADYVAKKMGFGLSPPAYLSLAPSSSSGPLVLTALSSGVNYASGGAGILDSTNAGNTIPLSKQLQYFEATKAKMVAAVGAGAVDAVLKKSVFVVNIGNNDFYVFAAAELARNRSAEDQRRDAAALNASLVSNYSAAITELYSMGARKFAVVNVWPLGCVPAVRVASPAGACSGLLNQLAAGFNGAVRSLLAGLAPRLPGLVYSLADFYGFTQDVLADPGASGFTDIAGACCGSGRLGGEGECTTNSTLCTNRDQHVFWDRAHPSQRTTFLAAQAFYNGPAKYTTPINFMQLA >KQL10820 pep chromosome:Setaria_italica_v2.0:IV:26223173:26225708:-1 gene:SETIT_007533mg transcript:KQL10820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHQEKPTTSPPPPSPLQSQAAEGGGARPRLPGVGGAHAGGAGYPNPPEAAVPDAATLRDQWRFAVRQYSRWYSHAWGTAILAGAAFFALGWLVKGSNPLPSRAEPHDTNANSVAKEEG >KQL10893 pep chromosome:Setaria_italica_v2.0:IV:27829625:27830191:-1 gene:SETIT_008520mg transcript:KQL10893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPSPPLLLLLAPILLLAPRASAGAAAGVGVSGGGQLWCVAKNNAEDGALQSAIDWACSADGGRADCTAIQQGGACYDPPDLQRHASYAFNDYFLRAGGAASPAACDFSGAAALTALNPSHGSCVFPSRLVHRV >KQL09667 pep chromosome:Setaria_italica_v2.0:IV:5064412:5071992:1 gene:SETIT_005808mg transcript:KQL09667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSYIILKTTALKNGSLRHDIHYWIGKDTSQDEAGTAAILTVELDAALGGRAVQYREIQGNETEKFLSYFRPCIMPQPGGVASGFKHVEVNAQDHETRLYVCQGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTFHEGKCEIASVEDGRMMADAEAGEFWGFFGGFAPLPRRAPAEGNEKHEETAFKLLCFDQGKPEPVNYESLAHELLETNKCYFLDCGAELYVWMGRTTSLQERKGASEAAEKLLSDSNRTKTHIIKVIEGFETVTFKSKFKEWPQTPDLKLSSEDGRGKVAALLKRQGLNVKGLMKAAPAKEEPQSYIDCTGNLQVWRVNDKDKALLSSSDQSKFYTGDCHIFQYTYPGDDKEECLIGTWFGKKSVEEDRVTAVSLASKMVESTKFQAVQARLYEGKEPIQFFVIFQSLQVFKGGLSSGYKKFIAENGIDDSYSEEGLALFRVQGSGPENMQAIQVEPVASSLNSSYCYILHDGNTVFTWAGNLTTALDQELMERQLDVIKPNTQSRSQKEGSETDQFWSLLGGKSEYSSQKMVRELESDPHLFSCILLKGNLKVKEIHHFTQDDLMTEDVFILDCHTSIFVWVGQQVDVKVRLQALDVGEKFVVLDSLMEKLSRETPIFTVTEGSEPPFFTRFFTWDSAKSLMHGNSYQRKLAIVKGGGAPALDKPKRRTPVYSGRSTAQDKSQRSRSMSFSPERVRVRGRSPAFTALAANFESSSNRNLSTPPPVVKKLYPKSLTPDSSNTSSKSSPIAALAGSLDRPTQSPTPESVKDGSESERAKQEEDAKDGAATMTSRVESLTINEDVKENEPEDDEGLPIYPYDRLKTTAADPVTEIDVTRRETYLSSAEFKEKFGMTKEAFSKLPKWKQNRLKIALQLF >KQL12132 pep chromosome:Setaria_italica_v2.0:IV:38993545:38994486:-1 gene:SETIT_009039mg transcript:KQL12132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWLPRSRMVYRLLQLSGIYLWLGSRISWNRKGSRLNHQAMVYSERSTSWLLRLLGRKKGVTGMRQRCVSKVCSLMSTKPYS >KQL09137 pep chromosome:Setaria_italica_v2.0:IV:1462852:1463574:-1 gene:SETIT_007365mg transcript:KQL09137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHPMFSAARCFVPLHPQPHAAIPCRRAPAPTVVSAKPRRWTRGGSRRDRSWDDDGGGFDSDVDDGFFGQEQDDDDEVPEREEATPGRPISPAPAPEGGQLRGSDVLRALQRAAAAKEAARRKDKKPVARRQAKEKPGAGDVVVGEVRPVVIRPEWAARIRELELRVQQLADKYHHHQ >KQL12026 pep chromosome:Setaria_italica_v2.0:IV:38275268:38275870:-1 gene:SETIT_007469mg transcript:KQL12026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLHWCDSDPVIGHHSKSNREGRGQIERTRTLMRRSGERLASVPCRRVRRFRDRDRGEERKEALRHGSRQPNRTRTRGRRRVRPHHAASGTNSTRSPPIIAGQLRDGLLRPACRHTGQPEKRHIGLRLRPMYDVFRSTH >KQL12344 pep chromosome:Setaria_italica_v2.0:IV:40092696:40093114:-1 gene:SETIT_008956mg transcript:KQL12344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGVVHSRIQQFDPTFQIAHDILVPVSVIQL >KQL09350 pep chromosome:Setaria_italica_v2.0:IV:2641314:2641478:1 gene:SETIT_008601mg transcript:KQL09350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAIFRGAYWLRLWSLLQCEESKETICSASKMLEIVALDIFAMNGWRRNNRIYF >KQL09627 pep chromosome:Setaria_italica_v2.0:IV:4713473:4717648:1 gene:SETIT_007060mg transcript:KQL09627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRQLGWPTRTGPKNAAPPRSQGRQCLAASPRLAGSKSSTTSTPRRPSCARLAPEQRRRRRAGEEMKLKQLEGLLGGLTQFPAPKVELEQYPTGPHIASRMLYTAENSFNDITGKVVADFGCGCGTLAVASALLDAEHVIGIDVDPQSLELAQENAADLELDIDLIWSDIKNLNLKGFHIDTVVMNPPFGTRKKGADMEFLSMGLKVASQAVYSLHKTSTRERIKKAALRDFNAASAEVLCELRYDLPQSYKFHKKREVDIAVDLWRFVPNARRSS >KQL12080 pep chromosome:Setaria_italica_v2.0:IV:38626083:38626655:1 gene:SETIT_007972mg transcript:KQL12080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNLAFQCPVVISCVPCPSDVKNSKHQGQMTSFLLNGGAKQCSKSISISEGLQYFGSVGS >KQL09149 pep chromosome:Setaria_italica_v2.0:IV:1548807:1552354:-1 gene:SETIT_005927mg transcript:KQL09149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSVAAAHATIAARAGPAPGAAASAPAERLGFRRLSSLAGRGLRSPAPARRAPSAAASRRQRVVRAAAVEALEGKAATGELLEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKQFRQWGSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPDSEIVDHYTYAILGDGCQMEGIANEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSTRFEALGWHTIWVKNGNTGYDDIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKSNSYSVHGSALGTKEVEATRQNLGWPYEAFFVPEDVKSHWSRHTPEGAALEADWNAKFAEYEKKYPEDAATLKSIITGELPTGWADALPKYTPEIPGDATRNLSQQCLNALAKVVPGLIGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHSPGFVPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLVSFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPNLPGTSIEGVEKGGYTISDNSTGNKPDLIVMGTGSELEIAAKAADELRKEGKTVRVVSFVSWELFEEQSDEYKESVLPASVSARISIEAGSTLGWQKYVGAQGKAIGIDKFGASAPAGKIYQEYGITVESILAAAKSF >KQL10909 pep chromosome:Setaria_italica_v2.0:IV:27977859:27978600:-1 gene:SETIT_007547mg transcript:KQL10909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTISAIAVVLLAALAVQQQLARADIACPDVLNDMEPCLSFLQGGDASPSGQCCAGVRALYAAADTTADRRATCECLKTAYRQVHAQLSAARALPEECGLSLSYPITPDIDCNTIE >KQL11209 pep chromosome:Setaria_italica_v2.0:IV:31693722:31694798:-1 gene:SETIT_008229mg transcript:KQL11209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKFPEGLQVLAVDDNRAGLLLLRRQLKFCNYNKVTTVTNTTTALDMLRERKGRDDQFDLVVSDIFMVDDIDGFKLLEHISLEMDIPVIFDHVRNVVHLVPYKLQFVIPT >KQL09863 pep chromosome:Setaria_italica_v2.0:IV:6783821:6788230:-1 gene:SETIT_007272mg transcript:KQL09863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVMPKQRVLEAEQREEAMEMSGLELWKHEKPPRICPLPPPLPAAAACDESALVPPLNFAMVDEGIFRSGFPETTNFRFLKSLNLRSIVYLCPEPYPETNMEFLEKNGIRLHQFGIEGRKEPFVTIPDEKIREALKVVLDPRNQPLLIHCKRGKHRTGCLVGCLRKLQKWCLSSVFDEYHRFAAAKARITDQRFMELFDVSSLNHLTASHC >KQL09864 pep chromosome:Setaria_italica_v2.0:IV:6784417:6788136:-1 gene:SETIT_007272mg transcript:KQL09864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEVMPKQRVLEAEQREEAMEMSGLELWKHEKPPRICPLPPPLPAAAACDESALVPPLNFAMVDEGIFRSGFPETTNFRFLKSLNLRSIVYLCPEPYPETNMEFLEKNGIRLHQFGIEGRKEPFVTIPDEKIREALKVVLDPRNQPLLIHCKRGKVRPASDLQFESVFPRKQLCVPDPELTAECFHICSTELAAWSGA >KQL10870 pep chromosome:Setaria_italica_v2.0:IV:27370576:27370846:-1 gene:SETIT_007678mg transcript:KQL10870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVLCLCICVVLVIASSPVPLSDSSPSLGWRWLQDAVIVANGPAANTTTSFGPAWSQEPPAEVPCDGSKRLSPGGPNPQHH >KQL09230 pep chromosome:Setaria_italica_v2.0:IV:2007772:2010899:1 gene:SETIT_006669mg transcript:KQL09230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPNGAAAAAAGSSGARPVDKEVDFANYFCTYGYLYHQKEMLCDRVRMDAYHSSVFRNAPHFQGKVVLDVGTGSGILAIWSAQAGARKVYAVEATNMAEHARELARANGVADIVEVIQGTVEDIELPEKVDVIISEWMGYFLLRESMFDSVICARDRWLKPDGVMYPSHARMWLAPIRTGLGDKKLEDLDIAMEDWSLFVQDTQAYYGVNMNALTKAYRAEHEKYYLKSSIWNNLHPNQVIGQPAVIKEIDCLTATVDEIREVRAQVTLPISLDGTRLSALAGWFDVHFRGSAQNPAVEEVELNTAPVEHGGTHWGQQVFLLTPHLKVSKGDNVNVSFSMVRSKENHRLMDMEFTYELHEFSGRKHPAVTTKMYLE >KQL10004 pep chromosome:Setaria_italica_v2.0:IV:8308877:8310321:1 gene:SETIT_008628mg transcript:KQL10004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIILLQASLGHPSLPAEYLVSNYGLTHAQALKASKQLAHLRSPSKPDVVLAFLSALGLSRPDIAALVATDPRFLCASVENTLAPHVTELSDLGLSQKQIACLVPLARTAFRCSTLSVIGSLERVLTVLRRNCNILRSDIEKVIKPNMAFLQQYGIHVAMARISKFGFRQNSRMFARALEVFATHSQEKIDEKIRTLEMLSWSLDDVLMTVRKMQYLLNMSKERLQRTDAACDRETP >KQL10372 pep chromosome:Setaria_italica_v2.0:IV:13615704:13616150:-1 gene:SETIT_007853mg transcript:KQL10372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPANEASPRSSSPLLLMGLSPDAPAEDIAYVLGDVRRAQSRVESKRAALQEQIAAASSRRGNKRRAPPRRAQLPAANDAPLLPAAADDPGACGYTRKGGAGAVRRRLRAAAADVKKERKRLEAVRGDLDEALVDAREMLALHGGGTA >KQL12281 pep chromosome:Setaria_italica_v2.0:IV:39798109:39799355:-1 gene:SETIT_008654mg transcript:KQL12281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFVLFLVISMEVLGAAAGVLLPPPIPPPYLALAWSSSLVVSTALTIGGVSYASGGAGILDSTNAGNNIPLSKRVQYFNATRSKMIAAVGSGAVNTLLSRSVVLIGADGNDQLGAFYRSPPEQQSDVATFYGSLMSNYSAAITVLNTLIIWLASCTDQINHVAGSVHAITNLGLVGCLPVNGVLDTAGACSDLRNQLGAGFNDAVRNMLADLAVRLPGFRYSLGDASGLMVDTFADPPASGFTDVAGECCGGDQRVDCSPNSTVCANRDHYYFWDAVHISQEAAKQWAEAFYDGPAKYTTPINFKQLVWST >KQL09935 pep chromosome:Setaria_italica_v2.0:IV:7560448:7561379:1 gene:SETIT_007652mg transcript:KQL09935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGAEMARPFPAAGGDEEEVSARAATAFLAAETTDRPVDPLIWGDEKRMKRELVAWAKAVASMAATTTNAASPSTPSSPWPRTRHRG >KQL09673 pep chromosome:Setaria_italica_v2.0:IV:5105828:5107962:-1 gene:SETIT_007522mg transcript:KQL09673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAATATLRWVLQMHRDVPRAARFYAEGLDFSVNVCTLRWAELQSGPLKLALMHTNDSNLASQRVYSSMLSFTVPDINSTVSKLMALGAELDGPIKYEIHGKVAALRCIDGHMLGLYEPA >KQL11191 pep chromosome:Setaria_italica_v2.0:IV:31500606:31504152:-1 gene:SETIT_006250mg transcript:KQL11191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPHRRGLLLLPLLVAAAALWQPLPADAAAATCKAWLVQSIPTDMPHLRRVPGVLSTGDVLQWLSRNATESLDILAQYWQFLAQPNNPKSGDYGYSDSDMKRFGADEGHRVYKALENAADRKIKIRIVQHSGFAPDFDQESADLAAGRPNVQNVTLLFEKWWGSGVVHAKVWISDKKDVYIGSANNDWKSLTQVKELGIYFADCPQVAKAVEVYFQNLWSLSTLNSTTYTKVAWDKQWQVSRKVPCWSHFLQPKQRCRSPIPLSVDIPYTDGYPVLANPEMIDVPFETPGYKKSTQEHYLSYLSFAPPEVTFDKFQADEQGWVDTIKSVKIGGIVRMSTMDWLGQSQYATQTVYWPSLSSAISEVVFSKNATVRLLVAYWTHFIPNTEKYLKSLLYSNILCASSTYNHCGGKVEIKYYTVPGYNETGPALSQDGAATGNRYPGFTRVNHGKYAVSDERANIGTSNLIWDYFYTTAGVSFGTYNPSIVSQLQDVFDADWYSPYTVPVEPLEASASV >KQL11069 pep chromosome:Setaria_italica_v2.0:IV:30514840:30516660:1 gene:SETIT_008831mg transcript:KQL11069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCDPNVSWKSSGNKLLCGLPGGPPCPSSSPASPSSSGNMKVLMIIAIVVVAIGALLAVAGIFAAVQARRNEPRYAGRSETLSGKPDAAKVKVTSAPAVKFEQQGGADQHGGAVTPAAGKRGGGRRDEHGKLVFIQEGRARFELEDLLRASAEVLGSGNFGASYKATLLDGPSLVVKRFKEMNGVGREDFAEHMRRLGRLVHPNLLPVVAYLYKKEEKLLVTDYMVNGSLALVLHGGARSTLPPLDWPKRLKIIKGVARGLAHLYEELPMLMVPHGHLKSSNVLLDAAFEPVLSDYALAPVVTPQHAAQVMVAYKSPECGAAGGRAGRKSDVWSLGILILEVLTGKFPANYLRQGRAGTDLAGWVHSVVREEWTGEVFDKDMRGTRSGEGEMLKLLKVGLGCCEPDVSRRWGLEEALARIEELRERDSGDDSISTASSFVSDGEPQSHSA >KQL11268 pep chromosome:Setaria_italica_v2.0:IV:32107026:32108495:-1 gene:SETIT_008128mg transcript:KQL11268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFATRPRTATLRELTRDGLGVAFAPHGEHWRQLRKLCVTELLSARRVRSLRRGREAEAANLVASVVASLSSAPAPPKPVNVSALIATYVTDAIVRAVVGDRIRDRDAFMEKLDEGVKVAAGFSLADVFPSSRLARAFSGAARRARAHHREMTRLMDGVIEEHRKRRAAGAGNDEEDLLDVLLRIQKDGGLQVPLDIGTIRAVIIDLFGAGSETTATTLQWAMAELMRHPAALRKAQAEVRRVLAGERRVSEDALPELRYLQLVLKETLRLHAAVPLLLPRECQEETRGVLGYDVPGGAMVLVNAWAIGRDAASWGPDAEEFRPERFEDGGAMAGVDFRGTDFEFVPFGAGRRICPGIALGLAVMELGLASLLFHFDWELPGGAAPEELDMAEGLGITARRKSDLWLQATVRVPVPNV >KQL09238 pep chromosome:Setaria_italica_v2.0:IV:2052403:2056618:-1 gene:SETIT_006617mg transcript:KQL09238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQSSGRSIDVLMEKVLSVNILSSDYFKELYKFKTYHEVVDEIYHQVDHVEPWMTGNCRGPSSAFCLLYKFFTMKLTVNQMHGLLKHPDSPYIRAIGFLYLRYVAEPKTLWAWYEPYIKDDEEFSPGSNGKMTTMGVYVRDLLLGQYYFDSLLPRVPLPILRQVTSHLEKLKLPTKQSGMTGDSNRHESNDTARRPPSVKASLSVSFGQRAPHRASTRDSSPVRRTLPSKQEKERSYDGDRARSPPRKRRSRSRERDHDSERDRSDRDRGRYKDRDYDRRARDHSDRDHHRSSYSDRDGERRGREKRDRDSDRSGRSSTRRSRSRSPVRGRTEGDKHRSSPFGKAPESSNLAKLKDLYGDATNTKNDSGDDRAHRDSGTEEVIRLGGARWR >KQL10311 pep chromosome:Setaria_italica_v2.0:IV:12739116:12746074:1 gene:SETIT_007149mg transcript:KQL10311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFSNVFHPTTAGGGGGGADENLCLTVRDGDAVLAPADSSDDHQHWYKDKRFGRFVKLVRFDWDSFDNTLMWTLSSDPGNDGFGFIRTLNDVFLKLAVIEEDKGHGATIRLSDSCDGGSHHWKILQCTPCKADEGFSVAVRDEHQHWIEDTRSGDIIKDEDGYAAFALINRATGHAIKKSDEAREGPVMLVPYDLHHLDKSVLWSKSGDMMHDFHYIRMVDSICLNLDICDEGRHDKYHKGVQDGTKITH >KQL12048 pep chromosome:Setaria_italica_v2.0:IV:38462959:38468310:1 gene:SETIT_006824mg transcript:KQL12048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMSTTPKPLDSAAANAAAVVAGGDDGEGGGGKQQQQQRMQGAAAVMAPPPMAVPALAPAPAAGEEVRKVRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAYVGSKTVIQIRSHAQKYFLKVQKNGTGEHLPPPRPKRKAAHPYPQKASKNVSQAVLSQQLSPRREQGSVMSMDTSTAVRNANANAAVPSWDNTLVQPFSASHVQGAVATNNCSSSIESPSGTWPTSEAVEQENVVPPLRAMPDFARVYSFLGSIFDPDTSGHLQRLKAMDPIDVETVLLLMRNLSTNLTSPDFEEHRKLLSSYSYGSDDHIKSEGMDNLGSPQSCHLPFMVTSE >KQL11925 pep chromosome:Setaria_italica_v2.0:IV:37660616:37668105:1 gene:SETIT_006208mg transcript:KQL11925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVGGVLGFCVGLPIGLAAAYFLYLRYFAARRLQDPVIRPLRDLDSETLQTTIPDIPLWVKSPDYERIDWMNKFIFDMWPFLDKAICNNIKRATRPIFDQYVGKYGIESIEYEQLTLGSLPPTFQGIKVYEMREKELVIEPVIRWASIMSVIVNVKVHSFKVSAQLEDLHIMLTPRVTLKPLVPSFPCFSNLCVSLMEKPRIDFGFKLFGGDVMAIPGLYQYVQDQISKQISILYHWPKVIQIPILDGASGATKKPVGILHVKVIRAMNLLKMDLLGKSDPYVKMRLSGERLPSKKTTVKMSNLNPEWNEHFKFIVKDPGTQLLELHMFDWEKVKMHDKLGMQVIPLRLLTPYESKLFTLDLVRSMNPNDPHNKKNRGKLVVELTFDPFREDSTASVASDGEGTASARREADGDSSGGVLLVSVENAEDVEGKRHTNPYAEVLFRGERKKTKVIRKTRDPRWSEEFQFMLDEPPVEDKIHIEVKSKRRGLPYPNKESLGHVNINLVDVVNNGRINEKYHLINSRNGMIQVEIKWSTV >KQL12353 pep chromosome:Setaria_italica_v2.0:IV:40122015:40126312:-1 gene:SETIT_005809mg transcript:KQL12353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDFFTLTEMKDGISTAARIAELISEIQKLKDAAEINTADMIRQCSTAANTLASTKNEECLQHFVQLNGVGFLNHWLQDAQNCGEKVSSSAEDLIVAILTALECLPINSEHSTSCGVMSTVNHLLAHGNAVINQKARALCQKWSTVPKYGANAEHFNTEEACQTDQKSPEVSLKTEIDKQSVANEVENTVDEPKPEVTTCSDAPLPDPSLTNDNTDATKQPLGPASPNLSNGKTTLGDSKNLVPSPTSTCHVGLEDGQSITKETSASNDVDLSANGILRSNSINAKSGSGQDAPSDATPAAMSVEPNKPQRLFVSSKMDLEDNIVSTSSCIKESEPFAAGRPHLEKDTADTLNHLAVVTRELQDLSEESTGKEEGPTPSSSTDDMGMGSDYILKRCMKSFGGSSKATDTKSTVLKGEKSTRLTEYDDTDALEVARLVAIEVEREVIDYRGPFCGSPDINSRNADSPDLEARRQPVPDELNDNKSSTTGADSGSSSSLKEDGSGITDGSGPLSRKHTRRVELGNLDLNENQCPEEADCNPKSILSNSVNLSMPKAVAASRGSSVFPARLHFEGELGWKGSAATSAFRPASPRRTPDAEKSLSASSHKTSNVLFDLNVADSDSATSGEPLSTAILPASSDLASKGASTAVGVSGGLKLDLNFSCGDEEDVTTASNLPPLWNRQQFNGNWSQPSSSSSSRQPAVRNFDLNDSMSIADGSGRGMDGSSVKALAKDTSDHSAVTIMGKRIIVGQQEHGQHYQHNFLGLSAESRVPARSIQSFAHTSDYNGVSYPSQPAMPFPPAFFAPGGVPYMVDAKGAPVIPPLSGLSLGISHPSFNTRATPPSSNELSYYHPSMDFNYRLPSEGARREAGSYWPVSYQGHTVFMDERMRNMSQGGSSGLVTKRKEPESGWDMYSRR >KQL09566 pep chromosome:Setaria_italica_v2.0:IV:4296535:4299255:1 gene:SETIT_007289mg transcript:KQL09566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRSLLEDADLQTKLAPSDNGSASESATPMEGNISESSSPEELLEQALQYLGTGRRDQAIPLIRTAVERNPDLSTALIGMGQTLFSNRLFPEASECFKHAIEKIQEDDPLLVLALFGAGLSHERQGDNEMAIKLLQRIAELKEPEKPINKTCYFQGMVILGSILSREGRNSEAAKYLQMAIAYDPSVERLLKECEEGMDDQPKSEEK >KQL11834 pep chromosome:Setaria_italica_v2.0:IV:37083772:37087145:1 gene:SETIT_006411mg transcript:KQL11834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRRLLPLFLRRGAPAVRALSTAAAPAAAVASEEEEESITVKGVRISGRPLYMDMQATTPVDPRVLDAMLPFYLSRYGNPHSRTHLYGWESDAAVEAARASVASLVGADPREIFFTSGATECNNIAVKGVMRFYRDRRRHVITTQTEHKCVLDSCRYLQQEGFEVTYLPVRSDGLVDLAQLEDAIRPDTGLVSVMAVNNEIGVVQPLEEIGRICKEKGVPFHTDAAQALGKIPIDVNRMGIGLMSLSGHKIYGPKGVGALYLRRRPRIRVEPQMSGGGQERGIRSGTVPTPLVVGFGAACEIAAQEMDYDHRRVSALQQRLLDGIRAQVDEVVINGSMEHRYPGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRFGIGRFTTEEEVDRAIELTVRQVKKLRDMSPLYEMAKAGIDLKSIEWSQH >KQL09944 pep chromosome:Setaria_italica_v2.0:IV:7623683:7623956:1 gene:SETIT_008892mg transcript:KQL09944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLWNEVHVPVHFVTHLHVSRPTLIQITHSYSEGN >KQL10298 pep chromosome:Setaria_italica_v2.0:IV:12550756:12552384:-1 gene:SETIT_007943mg transcript:KQL10298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGALCFRAFALSMACLLLAVPLLVAQDPSKLSLEYYSKTCPNVEHVVRTEMECAVRADTRNAALMLRLHFHDCFVQGCDGSVLLDDTATMIGEKQADQNVNSLKGFELVDKIKEKLEAECPGTVSCADLLAIAARDAVVLVGGPYWDVPVGRLDSKKASLDLANSDIPTAQQGLVTLIAKFWQKGLDATDMVALVGSHTIGFARCENFRDRIYGDFEMTSKYNPSSETYLSKLKEVCPQDSGDDNISAMDSHTSAIFDNAYYETLIKGEGLLNSDQEMWSSIAGYSTADTVNKYWADPAAFFKQFSDSMVKMGNITNPAGGEVRKNCRFVNT >KQL12074 pep chromosome:Setaria_italica_v2.0:IV:38605126:38606413:-1 gene:SETIT_008810mg transcript:KQL12074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRRSFPAAGDLGEQVLGRPAAPPPHRTNARSPVKWTASWYVPAEIPIRIQDASPCGSDVTAASKPTKPGTAAGCQRRRRGGTGRPRHGGVDPTHLCHVPLVGGPQQAGGRISDASRKVGKLPRGKAAIKLHDRDASADPGRRTEAHGDPTNGDVRLTSPGSSSRSDQPAAMAMSDTGSSFAQWAELYHHDPSLPTADDTVAAGSPGDMFAAATAAASPPTSGGSGGSPTKAAAAAHHQQLGIDGPRVGKPARRRSRASRRAPVTLLNTDTANFRAMVQQFTGIPAPPAGAFGGPVINFAGDYGFPPSSGVMSFDHHHHNLHRSHGAPPPAVPLQDQLLRRQQQYTGGAFGGYNSSLLHGGGGDLFASHGLASAEDRMLLQSIQQAAAAHHHHMPPASAANAAGATGFFA >KQL09019 pep chromosome:Setaria_italica_v2.0:IV:730481:730804:-1 gene:SETIT_007666mg transcript:KQL09019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKYIIAALAASAAIAYASDVLVAQKKVFGGTTPRTVSDKEWWEATDKQFQAWPRTAGPPVVMNPISRQNFIVKDIKP >KQL09018 pep chromosome:Setaria_italica_v2.0:IV:730009:732394:-1 gene:SETIT_007666mg transcript:KQL09018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKYIIAALAASAAIAYASDVLVAQKKVFGGTTPRTVSDKEWWEATDKQFQAWPRTAGPPVVMNPISRQNFIVKDIKP >KQL09017 pep chromosome:Setaria_italica_v2.0:IV:730009:731047:-1 gene:SETIT_007666mg transcript:KQL09017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFSAMSGKYIIAALAASAAIAYASDVLVAQKKVFGGTTPRTVSDKEWWEATDKQFQAWPRTAGPPVVMNPISRQNFIVKDIKP >KQL10579 pep chromosome:Setaria_italica_v2.0:IV:19117452:19120029:1 gene:SETIT_007856mg transcript:KQL10579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARACRTLVPVGSKGASCPASGVVGPLCFVDICLCWACYETHKLVGLYCIYTLKLKDVCRYLFLYWFGSTPNLCAADLGMAKQVLSERTGMFPKYLTHGNLVRLLGTGLVLANGDGWKRHRKVLQPAFNMDKLNHGGDSRGVEIELSSQFVEVAANIISHTAFGTSYKEGKQVFLALKDLQLIAFSTLLSVQIPGLRYVPTANNRRTWKLDKKVRSLLMPIIRNRVASKDTVGFGEDLLGLMLEACAPESWTNECKTFFLAGQETTSQLLTWIMFLLSTHPGWQEKLREEVLRECGRDQPPIHQMLTKLKLVNMFLLETLRLYSPVPLIRRRTTTQVVLGNITVPEDTILTIPIAMMHRDKEVRGEHAGKFNPLRFQGRASASNLEPAQIVSALVGARPPSQTTMCCRATLATRLHRYFCAGGWLCYLLEICIFPLYILFP >KQL10952 pep chromosome:Setaria_italica_v2.0:IV:28720172:28721752:-1 gene:SETIT_008819mg transcript:KQL10952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFRGLAALALILTFSSCCYLTTPTSATPDSDGFLQCLLGNIPSGLIYTQGASNFTDVLASSVHNPRVYTGDMVRPLCIVTATDASHVQAAVRCGRAHGVRVRVRSGGHDYEGLSYRSLQQGDVFGVVDLAGIRAITVGSDAVWPLAPTAWVESGATLGELYYTVAKNNSEVAFPAGICPTIGVGGHFSGGGIGMLMRKFGLSIDNILDAKLVNADGDLVDRAAMGEDLFWAIRGGGGGNFGIVVSWKVSLVKVPSTVTAFNIVRTVDQGAIDVLTRWQEVGPTLPFDINMRVIIMGQQVTFQSLYLGKCSDVVPMLSNLFPELGMTGGDCLEMTWLQSVVFFNSWNPNAPVESLLSRGTSLSTFTKNKSDYVRRAIAKDDWNKIFPWFAMDGAGMIILEPHGGFIDTIPASATPYPHRSGVLYNIQYITFWPWGNDGSAATSWINNFYDFMGQYVCKNPRVAYVNYRDLDIGVNTVVNDVTTFDGGKVWGEKYFAGNFRRLAAVKAAVDPTDFFRSEQSIPPLVQ >KQL10506 pep chromosome:Setaria_italica_v2.0:IV:16883797:16884759:1 gene:SETIT_007465mg transcript:KQL10506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHFDFGRKISKEDEGAAVKPTRSFRYEDYSTRRVFLRSYPLQWDWSPAPDDDEKHALAGATEAAKVGDDGDERCGGGGRGWKRQVLEVVVEWGEDKLLLLRRAKKRLALYLLGCHYGGRPALPFRSGGGSSTASMLTSR >KQL11255 pep chromosome:Setaria_italica_v2.0:IV:32038294:32039197:1 gene:SETIT_007737mg transcript:KQL11255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVQIATQSQMFASPQFIDFIVQYDTAKFSLSPQTPHGDANSRLSITVFTNLPNKSSSPRDIAAQQHIA >KQL10900 pep chromosome:Setaria_italica_v2.0:IV:27915529:27915729:-1 gene:SETIT_008213mg transcript:KQL10900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYRIVAIDVRSRREGRDLQKVGFYDPIKNQTCLNVPAILYFLETGAQPTRIVYDILRKAEFFKDKE >KQL12256 pep chromosome:Setaria_italica_v2.0:IV:39693932:39694813:1 gene:SETIT_007103mg transcript:KQL12256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGFKHHLAATAVLVIACALAAAPTAGAFAPSGWSKGSATFYGGSDASGTMGGACGYGNLYTQGYGTRTAALSTALFNDGASCGRCYKLTCDARSDPRWCRRGASVTVTATNFCPPNYALPSDDGGWCNPPRQHFDMAQPAWEKIGVYRGGIVPVLFQRVPCRRHGGVRFTVAGRDYFELVLLTNVAAAGAVRAMEVRGTRTAGWMTMSRNWGANWQSLAYLNGQGLSFRVTTDDGQTIEFADVVPPSWNFGQTYASRLQFK >KQL09802 pep chromosome:Setaria_italica_v2.0:IV:6200232:6205422:1 gene:SETIT_008550mg transcript:KQL09802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRASPWSRPSLPSGPHFPTSARPRSPLGNRNLWKNAILKNSWTLGAGVPNMFALPSRLFRCMASSSSGDGGFARPTSTDEAVAPLPLYSWPDKQRPRVCILGGGFGGLYTALRLESLVWPSGKKPQVVLVDQSDRFVFKPMLYELLSGEVDVWEIAPSFTELLKNTSIQFVRDSVKLLRPSDHFRREPGESCTGGVVHLESGTVIEYDWLVLALGAEAKIDVVPGSAEYALPFTTLEDALRVESKLKMLERKRFGKSAPTIEVAIVGLGYSGVELAATISERLKNTGTVKAINVQTTICPTAPQGNRDAALKVLESRNIQLFLGYFVSCIEEAATSDDSSSTVTGSGVDGDHKKLILDLQPAERGVKSQTLEADLVLWTVGSTSQIPRLQPPDAPYVIPLNGRGQVETEETLQVKGHPRTFAIGDSAALRDPSGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAAITASFIDGLTLEGPLGHAARKLVYCLRMPTDEHRVKVGISWFTKTAIDSLASVQNAVSNMLTSS >KQL09929 pep chromosome:Setaria_italica_v2.0:IV:7508839:7508983:1 gene:SETIT_008527mg transcript:KQL09929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAYPGYAGELLVDKATGASYNANGARGRKYLLPALYDPDTGDCATLV >KQL11253 pep chromosome:Setaria_italica_v2.0:IV:32021172:32024463:1 gene:SETIT_006693mg transcript:KQL11253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMESSEEGATLCGHQEAAAAAAAVKGGGGGKRRKKGQRRGGEAGGERKKYKLVSYHELPDYMKENEFILNYYRSEWPILNAVLSLFSWHNETINIWTHLLGFMLFFGLTLVHLGQYFPQVADLIGHLSWPISKVAENVSSNIGDVLSGAAMFIQTNPTLASYGTAVASQTTRWPFFVFLAGAMFCLLSSSACHLLSCHSHRLNLFLIRLDYTGIAVMIVVSFFPPIYYIFQCEPRWQAVYLSAITAAGVGTVYALMSPRLSAARYRAHRALLFVGMGLSGVVPAAHAAAVNWHEPARNVTLAYEGAMAASYLVGTAFYLSRVPERWRPGAFDLAGHSHQIFHALVIAGALAHYGAAIVFLKARDEMGCPAK >KQL11807 pep chromosome:Setaria_italica_v2.0:IV:36897408:36898239:-1 gene:SETIT_007985mg transcript:KQL11807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRWARAAGAVCLAVVVVLQLVAAGEGRRPLLPPERARGHAHAHSGKGLPPPTGSTSSSLPVHHDVATAGASVSFNAAGARCKSNGRKAGGAAGIPAAAGGAACAEDDDDDKRRIPTGRKESVPNKSQSKSNRKTRIAGLSSLPAFLRGVFVPILEMEDSSVHHI >KQL10562 pep chromosome:Setaria_italica_v2.0:IV:18324813:18325769:1 gene:SETIT_007213mg transcript:KQL10562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGWSAADRCVGHRDLAARQRAAGTCAGARRLGTRRSADESCAGERGLPSTRMAAYTRHLHKTKPANSGDGPTTIHCLVLTLNTQSVAPAAAHAVEGARDHHLLAAADADGARRAGLEPRRVRPTSRHPRRVPIHSIMAFPRCTTRGPGPRRAQPTAAPGRAPASSRHARWGRTTRRSQAPAPEAWAEGGTGAGEPYLGAARPRPPAWETVRGRRSSGESRILGQVANLGF >KQL11095 pep chromosome:Setaria_italica_v2.0:IV:30669856:30672874:-1 gene:SETIT_008046mg transcript:KQL11095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALIKALNHFLVPPLPLPAAPSSLHSFSPQIEILLPAASPRVSLPPLRVRASSTPPAAAAAATPHLVALRLPYRLPVRPLPLPPRPDLRLLRLFVHKRCYCGTETFLSEVANKAGSKLSAIAAAMATNENLPPNVIRQLAKELKNLDESPPEGINVIVNDDDFTTIFADIEGPGGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKTGAISESTTALNVDKSSAASSENTPSMPPAVSTSTASRAFGTNLQDQNPTVSDPAAVGAAAARKKDGPMASKAPLDKKKMDARKKSLKRL >KQL09521 pep chromosome:Setaria_italica_v2.0:IV:4019807:4021370:-1 gene:SETIT_007034mg transcript:KQL09521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFSGHVLPGTLFLAVGLWRVWSAVARFAADPPAFRVRAWSPLSKGPRLLELYVVAGGAFLDMCLELGGGIFAGRGGGGGVEPASLIYFEHGGMLLTFFLFGALALLSQKTRYLPLTDGELCLLAATAFTSEFLLFSYHSATHTGLEGYYHHLLVILIGLCILATVLGALLPASFPADLAAGVLIALQGLWFYQTALTLYGPMLPAGCGPDGGGVDCRSRAAEERAEQLANLQLFGLVFLAFVYVLGCYAVAAARYGHPDLAAMHEEHVAALECRGAVGARAEECAI >KQL10568 pep chromosome:Setaria_italica_v2.0:IV:18470693:18471120:-1 gene:SETIT_009088mg transcript:KQL10568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFFLILLMYTSNELSIIRMISIPSKRNLCNLMCIHLFPARVACKENISNYSLQLSMEP >KQL11525 pep chromosome:Setaria_italica_v2.0:IV:34471137:34472990:-1 gene:SETIT_006856mg transcript:KQL11525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLPLAVLAAASAPAAPRTCISVAPVPPPLLGTRVGLLLRSPPRGVACALRRRPSKYKTKIQSEEEVVATEDAMDNDDEDGALEALFKQLEEDLANDDLSVDDDDDEISEEDMARFEKELAEAIEDVGGVDESAGDSLLSSGDYGNDEQIDRSEGPELKTWQLRRLARALKIGRRKTSIKNLAGELGLDRALVIELLRNPPPKLILMSDSLPDEAPSKPEVKELELPGSTTIDVDEVGTTEIDPQMELPVHVMSAEWSARRRLKKVQLETLERVYLRSKRPTNTMISSIVQVTNLPRKTIVKWFEDRREQDGVPDHRVAFKRSLSETVASS >KQL11110 pep chromosome:Setaria_italica_v2.0:IV:30845462:30847644:1 gene:SETIT_007062mg transcript:KQL11110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVSRETPLYVITEGSEPQYFTRFFTWDSAKSAMHGNSFERKLSIVKDGVKPRADKPKRRTTTSSHTGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPIVRKQPPKPVSPDSSKPPPRTASIAAISSSFERPKATLIPKSIKASPDASKPQTEASKPNPETNAKESNPTKDSQIATPAVQEDVKESQAEGEEGLPVYPYDRLRTSSTNPATDIDVTKREAYLSSSEFRERFGMTKEAFAKLPKWKQNRLKIALQLF >KQL09013 pep chromosome:Setaria_italica_v2.0:IV:710549:712329:1 gene:SETIT_007633mg transcript:KQL09013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCHPTLPVIVLGIRSYPGAIFLWNSTNNRLEKVIDECYFGLIKEFGFIGSTRLIVRYDKDEYEIQDEIRVLEIDLTTIAYQQGSSANMKDMNV >KQL09434 pep chromosome:Setaria_italica_v2.0:IV:3366590:3367888:-1 gene:SETIT_008647mg transcript:KQL09434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAATPAPPPPPSVASGIERKLSPGVVLLIAILAMVFFIIGLLNLLVQNLLRLRRARRRRRRVGDAAAAGGVGDGSSPTAFQGQLGQLFHLHDAGVDQAFIDALPVFPYRAVAAAGRRGDDPFDCAVCLCEFADDDKLRLLPTCGHAFHVPCIDTWLLSHSTCPLCRGSILAVADAECSPGSSPSALLVLDSESHPETVARDGGDPDDRGREEAPKDAEEIVEVKLGKLRCVDGNASARDLAVDGTSGSNGNGRGSLGQRRCLSMGSYEYVMDEHAALRVAIKATPKRRAASSRSRRRHALSECDFGGSKKGAWETAVTEAAAGRCGGGAVASLNRDSFSTSKIWMVPAARREEDGRRAADSAGERRAVSFRWPAMSAVCKKHRGGGAEASWDVEAAGGCRDDAAPAPAEERPSLARAAMLWVADGSQGNHS >KQL10904 pep chromosome:Setaria_italica_v2.0:IV:27919267:27919401:1 gene:SETIT_008803mg transcript:KQL10904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFLYFLRLRSRKARIFRYLRFHILVTRRSIGIRRNHNFFPLHIKR >KQL10767 pep chromosome:Setaria_italica_v2.0:IV:25388065:25391057:1 gene:SETIT_005796mg transcript:KQL10767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCHSVAALWSPSPPSHHVTAAAATPAALFTGAADGTVLHWPLAPASASPSSRPSSLLCAHAAAITALCPLPSPASLLAACAAGVLSLFSASAPLRCLRRRSLPPWAGSPSLVAALPSATSSNPRVAILCHAPDDGSGHRHVSALVVVDARTLAVLHTAFHGTLSIATPRAIAVCGGGDEAVSVVLADAQGRVQVVPLAEGAAVEGDSPRRLSVSSASSVASAETVDGRVEAVVMSHDGKVVALVLKGRCLLKCVAKGNMLGEVSLLGTLCKVDKVEENGCIGGFFLHGEEWNARVPGDGVVVRSLVLWSSSGAAAVYRVVVGNSSFESEAVCEIPDYLSMQGEGSEIKFCQSDQNLVRVESCSYKVAGSLIWKPNVSLWSLDQLDLSTAENKLPSSKMLGEGGLQGEEFRPEPSHCHYAINNGVEVNAQMCSSDSNSLERYGRTVSSSMVLSEDSCVPYAVVYGFHNGDIEVIRFLNMSPAAAKFGGGGIYPHISERFFLGHKGAILCLAAHYMHARSDSRNFHRALISGSLDCTIRVWDLDAGTLLSVMHHHVASVKQIILPPAWTYHPWDDCFLSVGEDGLVALVSLETMRVERMFPGHPGYASMVAWEGVKGYIACLCRNLHSCNDAGSGLYIWDLKTGARERIINGTASQSAFEHFCRGISKNAVTGSILGGTTSASSLLVPIFKDTSHLRSHADKKGHDVSSVSTNHNNGNTVSVTVSVPTTYDFKGKAPAPDEAHVFYGDNSVYSSGKAVSSHSVHKRIKCPIKCSCPYPGIASLRFDLTAIMSTQGMTNSNSDRQLRYHLHSANGKETLQPGTLDSPSGVHEMDSPSRESLEGRLLRFSLCFLHLWDIDCDLDKLLVDEMQVCKPEGCHIATGVVGDRGSFTLMFPGKEATLELWKSSAEFCAMRSLSIVSLAQRMITLSRSCTNASRLPYLLGVLEHCRKNLK >KQL10132 pep chromosome:Setaria_italica_v2.0:IV:9997525:9999317:-1 gene:SETIT_007645mg transcript:KQL10132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRWVRPEVYPLFAATGVAVGICGFQLFRNITGNPEVRVNKAGRAAGVLENHEEGRRYAMHGLRSFVHDKTPEIMPAINKFFTEPK >KQL10131 pep chromosome:Setaria_italica_v2.0:IV:9997525:9999113:-1 gene:SETIT_007645mg transcript:KQL10131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAYGASAAVQVYPLFAATGVAVGICGFQLFRNITGNPEVRVNKAGRAAGVLENHEEGRRYAMHGLRSFVHDKTPEIMPAINKFFTEPK >KQL10929 pep chromosome:Setaria_italica_v2.0:IV:28385219:28388606:-1 gene:SETIT_006094mg transcript:KQL10929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASSSSSSSSSAAAALAVQGGRGGEVGIRVGATNIGRLRCTARQQQGKQHGGRGGGVTSWHLRVFAAVVGVMGCVLLAASLAMSALHQVQFRNAAISRNFRGLQELKQNIVRREKPDQIMHGRLLQMATSAVTKNGSESEDFAQWEEPYKQARKWTPCAAKHSLADEEPDEINNGFILISANGGLNQQRVAVCNAVVVAALLNATLVLPRFLYSSVWKDTSQFGDIYQEDYFVNYMKNDVHIVKELPPHLQSLDLEAIGSQVTDMDISKEAEPSEFVRSVLPILQQNGVVHFLGFGNRLGFDSVPVHLQRLRCRCNFHALKFVPELQQAGSLLVQRLRKVSAMQTEMDKQLFGNNMVELDPAAFAEDHAAGGPSRYLALHMRFEEDMVAYSLCEFGGGEEERRELQAYRETHFPTLAMRLRNATVSPEEQRSLGRCPLTPEESGLILSALGYDGRTFIYVAGSQIYGGAPRLRPLTRLYPNLVTKEDILTTDELAPFKNFSSRLAALDFIACASADVFAVTDSGSQLSSLVSGFRIYHGRGRAPTLHPNRKRYAQVLSEEGSIAWGGFRRRVRQMVEEYKRVSPRPRGRSVYRQPRTPGCMCRAAGDGSVDF >KQL11661 pep chromosome:Setaria_italica_v2.0:IV:35674108:35677798:1 gene:SETIT_006542mg transcript:KQL11661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHSGPGNKNAFKALIAAEYSGVKVELTKNFEMGVSNKTPEFLKMNPLGKVPVLETPDGAIFESNAIARYVARLKDDNPLFGSSRIEQAHVEQWMDFAATEVDSGVAWYLYPRLGYIPYAQTTEETAIASLKRALGSLNTHLASKTFLVGHSVTLADIVLTCNLYHGFARILTKSFTSEFPHVERYFWTMVNQPNFKKVMGDVKQAESVPSVQKKAAAQPKAKDVKKEAPKEAPKPKVEAPAEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDEAQRERVSAMIEDQEPFEGEALLDAKCFK >KQL10396 pep chromosome:Setaria_italica_v2.0:IV:14066896:14071247:-1 gene:SETIT_007198mg transcript:KQL10396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQIRGSAAPAKATARRWLAQPSARILRFAPLAPSTVPASLRWGAFGDLAASAAYKPLTTMCMKADYTTPVDSVTATEQTGGEIEEPAIAAPANEEISTEQEVVPQQKCAKIHDFCLGIPFGGFLFSMGLIGFLFWRSPVSLTFGVAPGLAILALGVLSLKVWRSGKSSLPFILAQAGVAAAVSWKHCQAYTQKVLPWGFYAALSAAMICFYAYVLLAGGNPPPKKARAAA >KQL10395 pep chromosome:Setaria_italica_v2.0:IV:14067113:14071048:-1 gene:SETIT_007198mg transcript:KQL10395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQIRGSAAPAKATARRWLAQPSARILRFAPLAPSTVPASLRWGAFGDLAASAAYKPLTTMCMKADYTTPVDSVTATEQTGGEIEEPAIAAPANEEISTEQEVVPQQKCAKIHDFCLGIPFGGFLFSMGLIGFLFWRSPVSLTFGVAPGLAILALGVLSLKVWRSGKSSLPFILAQAGVAAAVSWKHCQAYTQTKKVLPWGFYAALSAAMICFYAYVLLAGGNPPPKKARAAA >KQL10691 pep chromosome:Setaria_italica_v2.0:IV:23574510:23578005:1 gene:SETIT_005747mg transcript:KQL10691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSAGAVGEGMRRLTQLSLVSKVCSELEAHLGVADRVLAEFVVDLGRASASAADFAAALRDHGAELPDYLVRSLHAVITAIPDHAPAPAPAAQNPTSRGAGKGRRGDKEAESDGDPELYQVHRGRVTRVADAGCFVRLDGARGREGLVHVSQIPGRRVSATRGQEVFVKVVSVDGSKLGLSMRDVDQDSGRDLLPLRRGSGEDDAPRANPPADRARAAGKRKGVSGIFVPDDDEAGPVPRRPTRRMSSPERWEMKQLIASGVLDAKDYPGIDEDDDGMLYQEEPEEELEIELNEDEPAFLQGKGRSTADLSPVRISKNPGGSMSRAAALQSALVKERRDIHTQEQRGMVDAIPKDLNRSWEDPMSGGRYLMQELMGMGLTAQSVPEWKVTCGKAGTYGQRSRLSIQEQRESLPIFRLKKELINAVHDNQVLVVIGETGSGKTTQVTQYLAESGYTATGKIACTQPRRVAAESIAKRVAEEFGCRLGEEVGYSIRFDDRTGPETVIKYMTDGMLLREILVDRDLSSYSVVMLDEAHERTIYTDILFGLLKQLIRRRSDLKLIVTSATLDAEKFSGYFFDCNIFTIPGRTFPVEILHTKQPESDYMDAALITVLQIHLTQPEGDILLFLTGQEEIEHACERLHERMKAFGGDIPELIICPVYSALPTEVQSKIFEPAPPGKRKVVVATNIAEASITIDGIYYVVDPGFAKLNVYNPKLGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMVPTTTPEIQMANLGWTVINMKAMGINDLLTFDFMDPPASQALVSAMEQLYSLGALDEEGLLTRLGRKMAEFPQEPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADRKRSNFFQPEGDHLTLLTVYEAWKAKGFSGPWCFENFIQVNSLRRAQDVRKQLLEIMDKYKLDVVSAGNNPTKIGKALAAGFFFHAARKDPSGGYRTLSDHQQVYIHPSSALFHQQPQWVIYHEIVMTTKEYMREVTAVDPRWLVELAPRFYRSVDPTKISKRKRQERIEPLYDRHSEPNSWRLSKRRW >KQL10357 pep chromosome:Setaria_italica_v2.0:IV:13439154:13442968:-1 gene:SETIT_005739mg transcript:KQL10357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTMILDLFSLMGAYAAGSCRAVKSSIYIWVLVFAVFVYIMIHILVFILVVPKFFSEKRFVPKWLKEKVHSVQGWILSKCHKSQRNSPQEKDIDEARKFIFMLVTFAATVTYQAGLSPPGGFWAENEQNKIPATSMLRSKNLARYNTFVTFNSTSFVASLVTIILLLSPELSGHGIRSKAVTVCVVVDILGLIGAYAAGSCRSMVTSFGAILLAVVVWIFFAVLAGTFVNRSVAAWFEKIRPDFMKCINKLGRVISLNFGSSRSINTVGENSVASHRQTAGCETEPESASVPECQLAYNQQVSNTKEGESSREHQSPGKQQTANNEEVGSSSEDAFVNDKQAANSNNVMCNLEGQSSDHNSIANEAMPEIKTENIQVASMEEKSSLVDDLMTPNTIAGMSNHEHQLDDNQTVENMIGQSLSTDDHESIFMPVECLPEDKLLANNHNGATNNLKEEKESLEKPMVSIEIEEVENKNATRPIENGNTGMHEVAPRQYASNGNAGANPTDEHLKKSRTYLLLLAILAVSLTYQSGLNPPGGFWSQRENNNSTRDPIPKNNHHRPYHLPGDPVLEDTHHPRYITFFYLNAIAFVASLVMIIMLLNRRMSNKVIKRYALQITMIVDLLALTGSYAMGSCRETKNSIYIWLLVCLVLAYVAVHVLISIHMVPEGCRKAVANKLENFSCRYIWTKASFGHNQRSDVNDNDCELGHNQEGDADDRNWERRRNLLLMLAVLAATVTYQAGMNPPGGVWSDDKAVSGKPGDPILQQNNLKRYDVFYYSNSLSFVSSVVITILLVNKESCEHGIKSYALRVCLVVGLVSLLIAYSAGSCRKARESIYLIIIAVAVLIALVAQVLLLSSAQDGLRGPTGESIERVLQLLFGKESSWHGTTSKQQESSGHPEKNVRKRHKYLMLLAILAASITYQAGLNPPGGFWSDDDEGHVEGNPGLKPPGVLWSDNKGHLAGNPVLLDINPQRYEIFFCFNSISFMASIVVIMFLLNKSARKKSVPLEVLHLIMILDLLALMTAFAAGSCRKFRTSVYVYALVVGVVVYLVIVILLSTGIAKCLRPPERSRFPSQRSPIRDSATSTLIPEDQD >KQL10011 pep chromosome:Setaria_italica_v2.0:IV:8407960:8408392:-1 gene:SETIT_009124mg transcript:KQL10011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPNSEAQYLHPNATLSLCQFIFPPSWLTE >KQL09397 pep chromosome:Setaria_italica_v2.0:IV:3113236:3119037:1 gene:SETIT_005886mg transcript:KQL09397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRLHEEGSHSTPTKRPLDDSSLYSSPGKAIPSSSSDFHGSFEHDGRFAKIQRVEPRDDKRPPLAHRMPGSSTNFAEHPISSDSRLESKQNKDAWDTKMDDRETKADGRDVYSDPRIEFPANKVESDAKVDNRADESEIRTDRRGHADYKGDTKFDKDSHSTVPSNLGWKDNKEHRGKRYFEQPSDNVDWRVSRPGLQGTDEAPKGPTSMEERNSKDAHESAGDNKAEPKSEDKFRDKDRKKKDEKHRDFGARESDKNDRRTGIQLGGSGVERREMQREDRDAEKCDRERKDSLRDKEVNDREKDSSKKDSSVVIEKDNTILEKASSDGVVKSAEHENTTTESKVIKDDAWKTHDRDPKDKKREKDVDAGDRHEQRSKYNDKESDDNGTEGDMEKDKDVFGSVQRRRMVRPRVGSQASQREPRFRSRMRDGEGTQGKSEVPAIVYKAGECMQELLKSWKEFEVTQDAKNAESLQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQESYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNSFHRYELCFSGEKPRSIGSNSNASDAEPEKHQNSSHHSQNGDRGSVDHELRDVFRWSRCKKAMPESAMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSN >KQL09396 pep chromosome:Setaria_italica_v2.0:IV:3113236:3119037:1 gene:SETIT_005886mg transcript:KQL09396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRLHEEGSHSTPTKRPLDDSSLYSSPGKAIPSSSSDFHGSFEHDGRFAKIQRVEPRDDKRPPLAHRMPGSSTNFAEHPISSDSRLESKQNKDAWDTKMDDRETKADGRDVYSDPRIEFPANKVESDAKVDNRADESEIRTDRRGHADYKGDTKFDKDSHSTVPSNLGWKDNKEHRGKRYFEQPSDNVDWRVSRPGLQGTDEAPKGPTSMEERNSKDAHESAGDNKAEPKSEDKFRDKDRKKKDEKHRDFGARESDKNDRRTGIQLGGSGVERREMQREDRDAEKCDRERKDSLRDKEVNDREKDSSKKDSSVVIEKDNTILEKASSDGVVKSAEHENTTTESKVIKDDAWKTHDRDPKDKKREKDVDAGDRHEQRSKYNDKESDDNGTEGDMEKDKDVFGSVQRRRMVRPRVGSQASQREPRFRSRMRDGEGTQGKSEVPAIVYKAGECMQELLKSWKEFEVTQDAKNAESLQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQESYTSTLRNNKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYELCFSGEKPRSIGSNSNASDAEPEKHQNSSHHSQNGDRGSVDHELRDVFRWSRCKKAMPESAMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSN >KQL09398 pep chromosome:Setaria_italica_v2.0:IV:3113236:3119037:1 gene:SETIT_005886mg transcript:KQL09398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAPKRLHEEGSHSTPTKRPLDDSSLYSSPGKAIPSSSSDFHGSFEHDGRFAKIQRVEPRDDKRPPLAHRMPGSSTNFAEHPISSDSRLESKQNKDAWDTKMDDRETKADGRDVYSDPRIEFPANKVESDAKVDNRADESEIRTDRRGHADYKGDTKFDKDSHSTVPSNLGWKDNKEHRGKRYFEQPSDNVDWRVSRPGLQGTDEAPKGPTSMEERNSKDAHESAGDNKAEPKSEDKFRDKDRKKKDEKHRDFGARESDKNDRRTGIQLGGSGVERREMQREDRDAEKCDRERKDSLRDKEVNDREKDSSKKDSSVVIEKDNTILEKASSDGVVKSAEHENTTTESKVIKDDAWKTHDRDPKDKKREKDVDAGDRHEQRSKYNDKESDDNGTEGDMEKDKDVFGSVQRRRMVRPRVGSQASQREPRFRSRMRDGEGTQGKSEVPAIVYKAGECMQELLKSWKEFEVTQDAKNAESLQNGPTLEIRIPAEFVTSTNRQVKGAQLWGTDIYTNDSDLVAVLMHTGYCSPTSSPPPSAIQELRATVRVLPPQESYTSTLRNNVRSRAWGAGIGCSFRIERCCIVKKGGGTIDLEPRLSHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWLKYSISIVADKGLKKSLYTSARLKKGEVIYLETHFNRYELCFSGEKPRSIGSNSNASDAEPEKHQNSSHHSQNGDRGSVDHELRDVFRWSRCKKAMPESAMRSIGIPLPADQLEVLQDNLEWEDVQWSQTGVWVAGKEYPLARVHFLSN >KQL12196 pep chromosome:Setaria_italica_v2.0:IV:39361156:39365520:-1 gene:SETIT_007221mg transcript:KQL12196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENATNRQVTYSKRRTGIMKKARELTVLCDAEVAIIMFSSTGKYHEFCSPGTDIKTIFDRYQQAIGTSLWIEQYENMQRTLSHLKDINRNLRTEIRQRMGEDLDSLEFDELRGLEQNVDTALKEVRHRKYHVITTQTETYKKKVKHSYEAYKNLQQELGMREDPAFGFVDNTGAGGWEGSSVTTLGGGASAADMYAFRVVPSQPNLHGMAYGSHDLRLG >KQL09691 pep chromosome:Setaria_italica_v2.0:IV:5275916:5277250:-1 gene:SETIT_006653mg transcript:KQL09691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAALVAGGGLLLQCLYCCSLLAAAAGAGGRYEALFNFGDSLGDTGNICVNMTAADQLLLTVAHPPYGMTYFGRPTCRCSDGRLVIDFLAQELGLPLLPPSKLRGADFRRGANMAIVGGTALDFAFLESIGLGYPVWNNGAMNVQIQWLRDILPSVCGGGAPPGGPRCRAHLAKSLFVFGPFGGNDYNAMLFFGFTTEHARNYTPKIVDTVASGVEQLIQLGAVDIIVPGALPVGCFAVYLTFLPSSNPADYDEYGCLKPLNELSVYQNALLQRRLAGIRERHPAARIIYADYYGHVERMIRSPARFGFRDGAVPACCGAGGGRFNFELDARCGMKGAAACPDPSTHESWDGVHFTEAVNRLIAEGWLRGPYCHPPVVLH >KQL09903 pep chromosome:Setaria_italica_v2.0:IV:7292447:7301801:-1 gene:SETIT_006402mg transcript:KQL09903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFGWLRHASRSLAFCSGEVAAPRSTWIRPDAPCSFTGIYIGDKYYGRFMLTSSVLSRSFHATGHRCSIDKDYYKILGVPKDASQDDIKKAFHSLAKKYHPDTNKGNTATKRMFQEIRDAYELFSRGSRGDFDGSYHDTFSGSNHDPFTEFHRQNDGHFSSKFYKIFSEVFGQDTDMRANDIKVELNLSFNEAANGCIKKVSFSAKNVCDSCGGRGHLANAKRYVCLSCNGLGRVTNYPFTSTCGVCRGVGKVIKDYCLTCKGSGVVDGMKHVEVNLPAGIDSGDTIHVREAGDSGGLGTEHGSLYITIQVANDPVFTRDGADIHVDRKISFTQAMIGGKVEVPTLNGKTEVKIPKGVQPGQVVVLRGKGLRNLAGYSGDQYVRFLIHFPSVVTERQRALLEEFAVEEATKEQNTSMVGNWWELVAENMTGQKVMIGVGILILIHMILSKAVS >KQL12309 pep chromosome:Setaria_italica_v2.0:IV:39950720:39954249:-1 gene:SETIT_006540mg transcript:KQL12309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCASAINSFVQRGTRSSPSAAPGMSASRRTSSSATTGKLSTLSTSTFMPSTISGVSVDDDYPDGQILESPDLKIYTFAELKSATRSFRPETVLGEGGFGKVYKGWVDEKTLNPSRSSIGMVVAVKKLNPESVQGMEQWQSEVNFLGRISHPNLVKLLGYCMDDNELLLVYEFMAKGSLENHLFRRGAVYEPLPWSLRLKILIGAARGLAFLHSSERQIIYRDFKASNILLDSHFNAKLSDFGLAKHGPDGEESHVTTRVMGTYGYAAPEYVSTGHLYVKSDVYGFGVVLLEMISGLRALDPSRQNEKVNLVNWAKPLLADRRKLSQVMDSGLEGQYSSKGALLAAQLTLKCLNGDPKSRPSMKEVVEVLEQIESMKSRVRREPRHSGSSLTRRGQGYSPRSDSGSSRNNSRGR >KQL11967 pep chromosome:Setaria_italica_v2.0:IV:37862476:37863204:-1 gene:SETIT_007432mg transcript:KQL11967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >KQL11968 pep chromosome:Setaria_italica_v2.0:IV:37862170:37864230:-1 gene:SETIT_007432mg transcript:KQL11968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRKTREPKEENVTLGPTVREGEFVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >KQL12380 pep chromosome:Setaria_italica_v2.0:IV:40379416:40381132:-1 gene:SETIT_008490mg transcript:KQL12380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EWRDWANLTSELVEDIAGRLLSDDVSEYYRFRAVCRPWRDHTADLRARGALDRRFRPRNWVVLTISPDAGPRRRVINMATAASLSVNLPALTTHCHLGAVDGLLVLFHRITKAICLLDPLNNAVTEFPAISISSIMATVPPVMAYHFSMFFRSPNSLNGHSINGACLDESTSPPTLVLCMRDDQSCIIVAKPGDPHWTLVNQGKLYHSETMGRVMFHSLLSQGGRCYVASLEGSIFLVELGPLPQLVKIIDQHQHATRDAILYIRIISYLVGGSNGRMLLVRYWRNVEHFGGRGAYNQTQLFTVGGVTGRIEVSEVDIAGRTLIPVRSLGHYAAFVGMTHCMLVSTKTFPSIVADAIYLGYSYQLSRKFSIYYLKTRRAEPPHEFVLDENQRVVPCARPCNLDQYLVCYVDRKHRLSGPCINHLAPCP >KQL09458 pep chromosome:Setaria_italica_v2.0:IV:3520642:3526737:-1 gene:SETIT_005820mg transcript:KQL09458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSWEKLFTERLVERRESEVKHLAVFTCVALFNTLISPLNSFPWVINGLIDAVISSRRLSNYLSTPEHHSSELTASADLLKHQFKRYTEVTHNPMAFVLQNVCCCWSSSSVAEPNIILRNISLQLQKGLFIAIVGEVGSGKSSLLNSVIGETHVISGSVSSCGSIAYVPQVPWILSGSVRDNILLGKEFDPRRYEEVIQACALDVDISAMARGDMSYIGEKGTNLSGGQRARLALARALYQNSDVYLFDDILSAVDSQVASWILEKAIMGPQLMQKTRLLSTHNLQAISAADMIVVMANGLVKWFGTLESFLATPYSRISKPDSSSPTSFTVSVKDKTPMVSCELKTDVILEDDSVVAYEEQKDQVEAEARKEGMVELSVYKKYATFAGWLIVVLIFLSAILMQASRNGNDLWLTYWVDTSTGTNNTSFYLIILAVFGIINSLFTLGRAFSFAFGGLRAAIHIHASLLENIISAPVCFFDQNPSGRILNRLSSDLYTVDDSLPFILNIFVANFFSLLGTLVVLSYSQVSFLLILLPLWLIYRKLQYYYRSTSREVRRLDSVARSPIYTSFTETLDGSSTIRAFQKEKTSYCELIASLWLSLRLQVGLALSYAAPVVSLLNGFLTTFTETEKEMISVERVAEYVGIPQEELQGSESPSRSWPTEGRIDFVHVTLRYKPELPPALDDVSFHIASGMQVGIIGRTGAGKSSVLNALFRLVPICNGCILVDGIDVAKVAVRELRAHFAVVPQSPFLFDGSLRENLDPFNTATDLRVWEVLEKCHMKGEIESIGGLDIHVKESGASFSVGQRQLLCLARAFLKSSKVLCLDECTANVDNQTAFLLQNTISAECKGMTVLTIAHRISTVMKMDNILVLDQGKLVEEGNPEVLLNHKSSRFARFAKASQM >KQL10868 pep chromosome:Setaria_italica_v2.0:IV:27330135:27330798:-1 gene:SETIT_007536mg transcript:KQL10868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRRIATVAAAVLLVCAILAWTPEAARMGRRGDVAVAGVDGGGGDSGNVRYWEWEQQRGFVGRRPRLASFTRRDGVALPNGQAAGGEDGGSKREVPSGPDPIHHGGVAPSSAAPTTP >KQL09420 pep chromosome:Setaria_italica_v2.0:IV:3256231:3259718:1 gene:SETIT_0057812mg transcript:KQL09420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRIVYSRDFLLSFGELEHCKKLPAGFDTALLSELQELSAGVLERNKGYYNTPLGRSDGLGGYTYSSRGGNTGGRWDTRSTGSSDRDGEAPDRESLTQAGRGGNQYKRNWQNTEHDGLLGSGGFPRPTGYTGQLASKDHVNAHQLNRTSERYQPPRPNKAAPFSRKDIDSMNDETFGSSECSNEDRAEEEKKRRASFELMRKEQHKAMQGKKNGPDILKETPSDDIMSQLQTSTEKANFRAKNEKLDGSVVSSYQEDTTKPSSVLLAPAARPLVPPGFANAFVDKKLQSQSSNISLDPKGRNTTTEANMSTVARLGGQLEVNQSATEFTAFESKGKGISDNIAIMGSKHTLPSGGVASSTELASSILKGSEDWEADVMDKYSIGKEGKSKNIDPVRKDDSISILEQFFGNALSKSGSNLPTYVESQSLKTDDDMNASSVPESSKFARWFLDEDLKPAEDLSSKSLLSMIVKNEHPGPENIIHAPLSDAAVQNLSPRAPINKFDSAPKLLSFTSSTPADGILEQYNHSDIPETVPVMMTCEDLEQTMLAQVKSNSGSTQRNATKEHQTVVDEPVAMQKVAVDNHASQHLLKLLQKGTDNNTSSSLGFQIGSADEPQ >KQL09839 pep chromosome:Setaria_italica_v2.0:IV:6580465:6581967:-1 gene:SETIT_008157mg transcript:KQL09839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFSRIVSQIGSIYFYLVRYDAPLHYICIKSRNYRWVEFHEVELEHIFWRWDVSAMEYLRVILVDEQGTKMEAVACGNHHMMFNSVLIEGETYNFLGVYFTPTYMDPIPNMYCLCEYYDVVLLPNIVIKTPQRPIWILECPRAFREFEDVYHHPVDTFVDVIGVVVYASKIQDRGDFRRRPNRHVVIMNQRKNFIIIHVNDPHLQRHIWEWRRAAYQFKTLAALHIKISRMRGGVTTTYYSQIIFSPICSD >KQL09474 pep chromosome:Setaria_italica_v2.0:IV:3659742:3660965:1 gene:SETIT_008162mg transcript:KQL09474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSGREIAGGEGGPQQADDFFDQMLSTLPSAWADLGAGGNKSPWELAAGAEDAAVQAAFGDESALLASRLRQHQIGGGDVKSSSSSPVMLQLSDLHRHGGGLAGGGAKESGGFSPLPLFTDRSAPAPEEMEGGFKSPNSAVSVASWTHNYASFLLGSIFPKPFARLVAMDSACPRASSREVTTRCSTGSGCTARPPCSHNLAREDQCRPRAWEDRRRAAARHHPLAAQLRRPAAVRHRRGSFGGSGSRSG >KQL09827 pep chromosome:Setaria_italica_v2.0:IV:6391200:6393018:1 gene:SETIT_006115mg transcript:KQL09827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQREAKVGVDGATAAWKEQQQQQQRSAAGRWLDAEAAAALGFTPRTKRAPYCSVISIVLAAFVMTVPTMVILLGARTSTRCANAVLHVTAYYVVTCNANRLDAVCYWIIASDGFICSLLGGLLADGFDERSCRSRYQSVMYRSRPGKQPSAYLVSKLRQQEALQRRCGPGTVAYSHALEQLRSGKSAGDGTGPQDCKYLVSISYRGLGNRILATASAFLYAVLTGRVLLVDPSNEMGELFCEPFPGTTWLLPPGFPLASYTNFSVNTTESYGNMLRNKVIRTAAGDVRPASRLPAFSYVHLDHDATEQDNLFFCDEDQRVLRNISWLVMRTDSYIVPGLFLDTGFQGELGRMFPEPDAVFHHIVRYLFHPNNHVWGLVTRYYDAYLATAQQRVGIQVRVFGSQPNSPELLEQITKCTQKERLLPELLAAAADPVAPGPSRKTKAVLVTSLKSWYYEKLKGMYWERAAATGEAVSVHQPSHEEFQRFGARSHDAKAWAEIYLLSLTDALVTTAWSTFGYVAQGLGGLRPWVMYRPDNDTHVPDPPCGRDVSMEPCFHAPPFYDCRLKRGADTGKIVPQVQHCIDMSWGLKIVHRS >KQL10840 pep chromosome:Setaria_italica_v2.0:IV:26818858:26820777:1 gene:SETIT_006042mg transcript:KQL10840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSAFSKSTTSPSPARLRHLLPASSLHTTTHLRLASIGAPSPSPPPAPSPSLLPPCPFLSYNHYATNLRSCVLSRAVRPGRQLHARLLVSGLGLNPALATRLVDLYASCGHVSHARRLFDGMPQQRNVFLWNVLIRAYARDGPREAAIEMYRAMLAHGSVEPDNFTYPPVLKACAALLDLAAGRELHERVARTRWAADVFVRAGLVDMYAKCGCVDEARAVFDGTAVRDAVVWNSMIAACGQNGRPVEALALCRDMAAEGIGPTIATLVSAISAAADAAALPRGRELHGYGWRRGFGLQDKLKTSLLDMYAKSGLVRVARVVFEQLVHRDLVSWNSMICGYGMHGHADEALALFSKMRSEAQVMPDNITFVGVLSACNNGGMAKEAKELFDLMVSVYSIKPTVQHYTCLVDVLGHSGKFKEASDLISRMLVEPDSGIWGALLNGCKIHKNVELAELALQKLMELEPEDAGNYVLLSNIYAQSGKWEEAARVRKLMSNRGLKKIIACSWIELKGKSHGFLVDDASHPRSDEIYAELERLEGLMSQAGYVPDTTPVFHNVEDDEKRNMVRGHSERLAISFGLISTPPGTKLLVTKNLRVCEDCHVVMKLISQIVQREIIIRDVNRYHHFVNGECSCKDHW >KQL10277 pep chromosome:Setaria_italica_v2.0:IV:12383621:12384553:1 gene:SETIT_008114mg transcript:KQL10277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLSHGLLGALNVVTLLLSLPVLCAGVYFRMRAATECERALQLPVVAFGCALLLLSLVGLAGACGRRGAATPFLWAYVVFMFLLVVVVFAFTVFAFVVVASRGAASGRHGYREYRLGDYSGWLQAQVAAPETWRRVESCLSEARVCGVRPFDGAVGRDAMEFYKQHLSPIQSGCCKPPTRCGFRHVNATFWAAPKSGSSPSAAPAGDGDCRAWSNDVQVLCFECEACKAGVLETVKTKWKAVAIVNVALLVLLIVVYTLGCCALRGNGGSRYSKRCGADET >KQL11292 pep chromosome:Setaria_italica_v2.0:IV:32336382:32341358:-1 gene:SETIT_005695mg transcript:KQL11292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDSGDLESTSSSGPPPRRQRQQLHPSYSPPPEGSFVDREISYSGYSSHEGFVTHSDSNNGNRKMPMSTSAMLPGSPNSLGAGYPRRAPQTAPARVSVWQRIEENPSVYTPPSPRKVHISPSKMKNPGSAPKELASVISLDCKSKSADSKDNGDSAGMKKNVVKTSEKVLASVLVKPSSEAKEKERAVSKVTKKSDSKVTKKPDKVENNIPGFTSGGVRSAAFPGAGGKKVKKIVIKKIVRKICTKDKQTSPIVSEKKDGIDANANASEKEEGEITSSSFEKDAISAHNLVSTSDTAGVANSVEVQREQSNDLVNLGKSNASPTIASTGALGTASVSRREHPGKEDDRSFMNSVDGNASPAIESTKTFNTTREHPGRQEDGVSIDSSGINAAFPCETNNSQKEEGGEILAVSGALNVASNSPRMLDAVRTHESEVENIEDKVPEVLSGNNASYVHGGKDDTAEVSENGNGRREEGDFFVNDSIRRPMTAEVSMTMSKDDNEKEGMILMGSSEVCVASLENSEGPFKIAEATATAHKEEGMLKNPREKYMLSVSSCGALNTPEISVNENKEKECRIPIEPSETVASFTHQVKASNTLEVSVIESVQKESQVPIYSSSSEKIQCPEAPNTAEVAISKFVQSEAGKRSMDLSGKYVGTSDNSVCAPEYLLHDAKPALSKSDFSREVVNTYLQASRDIESTNLSSLDDDPMKDSSGAVNLDNGIGRNTVSQVAELTHLHRTHPSPDNNFSFLHSHDSPSVSGNSEHSVPTALTLGNNIYFSRTESEGQPEENHKLMEVNQGFDVVTKTEFGSISNRKGESGNDLINAGVQNWLTLPLTVSYVNNDVTGSTDRLDLDQIMDEGASICQDHDIMPDMEQRGSIDALSGQDDSLNLCGNNTPQSDLLATKERNKDIDDETEAILPGYVSSVNVLDQYSFHTVDKPIDKPVLLSSHSIVVPGGELASSQVYVDPDHTYHSNTEDHVAVPSTKPDPLSSWIEAIVSEAKKEHQSCKSTQHSISSSDKLLAPKEDSRKAVSDSVVNSVVKSPPRINIASSTVSKVPAKQVALPSSSREPPRLNQNARHRTWRRDNVSSSISSLHVSQPSGLPPKLPIKKNGQSQNSYIRKGNALIRNPATGNHPHSSNLDAQNKLSKPVMRRSLNFVRKVDSNDAAAHSNLSVERPKTPPLPLHTKSISCAVNLLEPLSQTLQNQQLLETEKEDSNGQVISGVDNPLHRSEPLDAGKAVYVRPKLNQLVASQGQHPGDSSNSSMDKLMQPSATSDLYFKKRKNQIVLGSSTSDVSSTKDMTQAENIKSGENKSLMFASSNTVAKDRPHRALKTTNTVGSFSHVWTLSGQHPRRKSFVGTSHMKVFPRILPWKRKMFCQNFRSSYPSLLNTSSLGIVR >KQL09213 pep chromosome:Setaria_italica_v2.0:IV:1898445:1904355:-1 gene:SETIT_005883mg transcript:KQL09213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEDAGEMGEMEAPAVSTVAVAISGSRSSRHALKWALDKFVPEGRVLFRILHVRPAITMVPTPMGNFIPISQVREDVASAYRKEAEWQASNMLLPFKKMCAQKKVEAEAVLLESDDVAAAISEEIGKFNICKLVLGSSSKNIFRRKLKGSKTATKISESIPSFCTAFVISKGKLSFVRSATSDIVETPRSISSSTVSSPSSRSLSSCTPSEWGDTYGTANVSFRQPSLPLQRDQALAIINNLSNRRASSSGSVVSEISNNDDPALTSSHSIISEMQFSSGSSGNSIYKSFHRDNLTDNSDRASVSEISENVNHSNDQDDLRLQIERLRDKLQHFHKLHECAQQESFDTTQKLHKLRTQHIEDEIKLKETELTEDRVRRLVRKKEMEEHKAGREAEFKQCSGEIEEKHSYSGQEANENETGKKIAGRCFDDYNRYTWEEIQASTSSFSSALMIGKGSYGTVYKAKFHHTVAAVKVLNSPEGCGTQQLQQELEVLGKIRHPHLLMMLGACPEHGCLVYEYMENGSLDDMLQRRNNTPPLTWFDRFRITWEVAATLMFLHSSKPEPIIHRDLKPANILLDRNLVSKIGDVGLSTLLPSMGQYLSTMVKNTAPVGTLCYIDPEYQRTGVLSMKSDVYALGIVILQLLTARSPMGLAHVVETALEDGCFADILDATAGQWPLNETQELAALALRCSEMRRKDRPDLNEHVLPTLERLKDVATKARESVLKGHTAPPSHFICPILQEVMIDPYVASDGYTYDRKAIELWLSTNDTSPMTNLRLPNKGLIPNHSLRSAIMDWRSKSK >KQL11850 pep chromosome:Setaria_italica_v2.0:IV:37161777:37165501:1 gene:SETIT_007057mg transcript:KQL11850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLLSLAPPRPPLLTLRWSLVSSLVAPAPKPHFSAPSRGAAIIGTGTLSYAASRGSLPRHLSVAAAAASGPSDPTPVYAQDEAERTKLAQVSKKLKKTARYFKNLGTLGFWSQLVCTTISAGILSFSAVATGDATAPFTFIATSVGIIAAFISVFRSFGYIRLSERLRRAANEPAKAPPRADVVKNLRNSIMFNVVGMGAAVLGLQATVGALVAKALATSSVPYYQGIPPGQSPVLALDIFLVQASANTILSHFLGLSSSLELLRSVTQAAPVPKPA >KQL12264 pep chromosome:Setaria_italica_v2.0:IV:39719244:39722479:-1 gene:SETIT_006351mg transcript:KQL12264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSRGGGGAEAALKADSAAMRLVWRRGAVRLVLVSAIAWAMLVLLALAFHLWSCSSSVAFLSALCKKDSKVLNVLDSMGLSSKPLHRCPIPVADDPNAVAIPKRTPNTIIKKLSYITIDKQDKDPSPLFGGRQNWKQREESFKLNSTMKVHCGFMKNSGADMDSVDVKYIQKCKFVVASGIFDGYDIPHQPSNISRRSQKLFCFLMVVDEVSLDFIEKNTTVKIDSNGGKWVGIWRLITVHRLPFDEPRRNGKIPKILTHRLFPQAWYSIWIDGKMELIVDPLLILERYLWRGKYTFAVAVHKHHRSIYEEGDAIKRRKRYARPLVDLQMKMYYYEGMEPWSSKKKMPSDVPEGAVLIREHTTMTDLFSCLWFNEVNLFTPRDQISFGYVVHRLGDSLKFFMFPNCEYNSLFILHKHTREHSSKVEWAKTIDEILKKGLKESRGGLGLWTPYPADLSSVELPAVKRISPAG >KQL10679 pep chromosome:Setaria_italica_v2.0:IV:23031877:23034778:1 gene:SETIT_009110mg transcript:KQL10679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPPPDCERELCWRGARRAHGGARTCGGPSESRAWRRMMPDLGKKLARVAAGSP >KQL10872 pep chromosome:Setaria_italica_v2.0:IV:27435755:27436345:1 gene:SETIT_008051mg transcript:KQL10872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGASASATAQYAGIGVFVAVFLYFVVYYSRSFNTVFLTRRHGADADAAAAGTGDDAVTVLPGPVQALGLGPDDVAVLPTFTYRSPSPGRGGARSPPAAAADCCAVCLDELRDGALVRMLPSCKHYFHAGCVDVWLLSHASCPLCRGSPGPEKVRLGVASMSPPLPQLHRLGAAAAPERGEASGVEDAVVSSRSPSP >KQL11989 pep chromosome:Setaria_italica_v2.0:IV:38031164:38031517:-1 gene:SETIT_008160mg transcript:KQL11989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQRASFLLQVVRTSSRSRSRKREEAGAFSAVAPWRHPVYGGGGRFQPPRPAKLLDTIVEEDSSSVVSSMAAHDAGGGGGSVPKAFRFASAAAGGGAQQSR >KQL11724 pep chromosome:Setaria_italica_v2.0:IV:36343635:36351716:-1 gene:SETIT_005687mg transcript:KQL11724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVDPAMAVLGSWACGRLTVASPCVQRTLIDCVNAALLVAYASALVAACVRRRWASAASRRSGGARWWRWWLAVVSACCVLAAVGYSATGFREASDDVAAAAPYLVRSLVWVALAASLHVQPDRPSRAVAVLWWVLFSLLVTAYNAEMLISGGALDAMEVIAWPVNLLLLLCALGSVLRRSHGQCRDASDDGNGSLSEPLIGKDGKAVPTSELYRAGLFRQLAFSWLNPLLRLGRSKALDLDDIPLIAGDDTAEHASQKFAEAWSRHVNDKARSRRSVGSNSLALVLGKCFLGEILLTGFYAFLRMLSIAVAPLLLFAFVWYSNQEERDLGIGLVLVCCLLLIKLVESLSQRHWFFDSRRTGMRIRSALMAAIFQKQLRLSSQGRKNHSTGEIVNYIAVDAYRLGDAISWLHMGWSFPLQLVFAVATLFWALKLGALPGLVPLVIFGFLNVPFAKILQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEERFRNMIESFRDGEFKWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAIMGSAPLNASTLFTVLATLRVMSEPVRFLPEILTMMIQYKVSLDRIERFLLEEDIREEDVRRVPSVNSAIRVLVQDGNFSWTANRADLSLRNINLSVSRGEKVAVCGPVGSGKSSLLYALLGEIPRISGLVEVFGSVAYVSQNSWIQSGTVRDNILFGKPFNKELYEKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAVLFYDCVMTALAEKTVVLVTHQVEFLTETSRILVMEGGQVSQQGKYSELLESGTAFEKLVSAHQSSITQLDTSASQQNQVQGQLVPDENIVPSALQTTRQASDIEVAAKGTSAAIQLTEEEEKGIGDLGWKPYKDYINISKGAFQFSGMFTSQVLFTCFQIASTYWLAVAVQMDNISAALLVGAYSGLSIFSCFFAYFRSLFAAILGLKASKAFFSGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVVTGGIEVVTTVLVMGTVTWQVLVVAIPVTIAMVYVQRYYISSARELVRINGTTKAPVMNYASESILGVVTIRAFAATERFIHSNMQLIDTDATLFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGVISPGFAGLCLSYALTLTAAQVFLTRYYSYLENYIISVERIKQYMQLPAEPPAIIPENRPPASWPQEGRIDLQDLKIRYRPNAPLVLKGITCTFAAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDQEIWEALEKCQLKTAISSTPALLDTAVSDDGDNWSAGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDRVLVLSYGKLLEYETPAKLLEDKQSAFAKLVAEYWANTKRNST >KQL11725 pep chromosome:Setaria_italica_v2.0:IV:36345202:36351716:-1 gene:SETIT_005687mg transcript:KQL11725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVDPAMAVLGSWACGRLTVASPCVQRTLIDCVNAALLVAYASALVAACVRRRWASAASRRSGGARWWRWWLAVVSACCVLAAVGYSATGFREASDDVAAAAPYLVRSLVWVALAASLHVQPDRPSRAVAVLWWVLFSLLVTAYNAEMLISGGALDAMEVIAWPVNLLLLLCALGSVLRRSHGQCRDASDDGNGSLSEPLIGKDGKAVPTSELYRAGLFRQLAFSWLNPLLRLGRSKALDLDDIPLIAGDDTAEHASQKFAEAWSRHVNDKARSRRSVGSNSLALVLGKCFLGEILLTGFYAFLRMLSIAVAPLLLFAFVWYSNQEERDLGIGLVLVCCLLLIKLVESLSQRHWFFDSRRTGMRIRSALMAAIFQKQLRLSSQGRKNHSTGEIVNYIAVDAYRLGDAISWLHMGWSFPLQLVFAVATLFWALKLGALPGLVPLVIFGFLNVPFAKILQGYQAKFMVAQDERLRSTSEILNSMKIIKLQSWEERFRNMIESFRDGEFKWLRETQMKKAYGAVMYWMSPTVVSAVMYTATAIMGSAPLNASTLFTVLATLRVMSEPVRFLPEILTMMIQYKVSLDRIERFLLEEDIREEDVRRVPSVNSAIRVLVQDGNFSWTANRADLSLRNINLSVSRGEKVAVCGPVGSGKSSLLYALLGEIPRISGLVEVFGSVAYVSQNSWIQSGTVRDNILFGKPFNKELYEKAIKSCALDKDIENFDHGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADVYLLDDPFSAVDAHTAAVLFYDCVMTALAEKTVVLVTHQVEFLTETSRILVMEGGQVSQQGKYSELLESGTAFEKLVSAHQSSITQLDTSASQQNQVQGQLVPDENIVPSALQTTRQASDIEVAAKGTSAAIQLTEEEEKGIGDLGWKPYKDYINISKGAFQFSGMFTSQVLFTCFQIASTYWLAVAVQMDNISAALLVGAYSGLSIFSCFFAYFRSLFAAILGLKASKAFFSGLMDSVFKAPMSFFDSTPVGRILTRASSDLSILDFDIPYSMAFVVTGGIEVVTTVLVMGTVTWQVLVVAIPVTIAMVYVQRYYISSARELVRINGTTKAPVMNYASESILGVVTIRAFAATERFIHSNMQLIDTDATLFFHTVAAQEWVLIRVEALQSLTIITAALFLVLVPPGVISPGFAGLCLSYALTLTAAQVFLTRYYSYLENYIISVERIKQYMQLPAEPPAIIPENRPPASWPQEGRIDLQDLKIRYRPNAPLVLKGITCTFAAGNKIGVVGRTGSGKSTLISSLFRLVDPAGGRILIDKLDICSIGLKDLRTKLSIIPQEPTLFRGTVRNNLDPLGLHSDQEIWEALEKCQLKTAISSTPALLDTAVSDDGDNWSAGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQKVIRQQFSSCTVITIAHRVPTVTDSDRVLVLSYASGI >KQL12021 pep chromosome:Setaria_italica_v2.0:IV:38238328:38238852:-1 gene:SETIT_008859mg transcript:KQL12021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMQQLQAMMETADWRRRSWGRISRRGCCSPPPSPA >KQL10806 pep chromosome:Setaria_italica_v2.0:IV:26058176:26063428:1 gene:SETIT_008170mg transcript:KQL10806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLFLVLLLFLSAADAAAAAVNQRRSLAEQGKGNMAALAAGNPMVAGVMNERLKALTTSFAQQMGREFHYCIKNMDQEWNTAYNFSSDPTFLTNCMKETDGDLPQRVCTAAEMKFFFESFLEGNGRKNYVRPNKNCNLTSWIDGCEPGWSCSAGKDQEVNLKDAVNIPSRVLDCRGCCAGFFCPHGLTCMIPCPLGAYCPASTLNKTTGVCDPYHYQPPAGKPNHTCGGADRWADVVSTDDVFCPPGYYCPSTIQKFDCSSGFYCRKGSTSQTKCFNKGSCKPNSSNQDITIFGALLVGALSLVLLIIYNFSGQLLMNREKKQAKSREAAARHARETAAARERWKTAKDVAKKHAAGLQSSLSRTFSRKKTLRTHESSKGGTGLPSTEPDEGPSNEPGGKKESLTDMVRSLEENPEKSEGFHVQIGEKKKPKGRHAHTQSQIFKYAYGQIEKEKAMENETKNLTFSGVISMATEDDMMKRPTIEIAFKDLTLTLKGSKKKLLRSVTGKLMAGRVAAVMGPSGAGKTTFLSAIAGKATGCQTTGMILINGKTEPIRAYKKIIGFVPQDDIVHGNLTVQENLWFNARCRLSADMSKADKVLVVERVIESLGLQPVRDSLVGTVEQRGISGGQRKRVNVGLEMVMEPSVLILDEPTSGLDSASSLLLLRALRREALEGVNISMVVHQPSYTLYRMFDDLILLAKGGMTVYHGPVKKVEEYFSGLGIVVPDRVNPPDYYIDILEGIVKPDTKEPVNVKDLPIRWMLHNGYEVPRDMLQSSSDSESSFRGEGSRASGGDTGQSIAGEVWGNVKDIVGQKKDEYDYNKTSENLSNRCTPGILRQYKYYLGRCGKQRLREARIQGVDYLILGLAGICLGTLAKVSDETFGALGYTYTVIAVSLLCKIGALRSFSLEKIHYWRERASGMSSLAYFLSKDTIDHFNTIIKPIVYLSMFYFFNNPRSSIWENYVVLLALVYCVTGIGYTFAIFFQPGSAQLWSALLPVVLTLIATQQKNTFLANLCYTKWALEAFVIANAQKYSGVWLITRCGSLLNSGYDINDKILCIVVLVANGMIFRCVAFFCMVIFQKH >KQL12088 pep chromosome:Setaria_italica_v2.0:IV:38681770:38682340:-1 gene:SETIT_008478mg transcript:KQL12088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVEQLLGWQVWEFDHDAGTPEERAEVERLRQDFTRHRFQRKESQDLLLRMQ >KQL12323 pep chromosome:Setaria_italica_v2.0:IV:40013782:40014257:1 gene:SETIT_009101mg transcript:KQL12323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPGATGGWRGASAKQAAAGSMRPARAGKKMSHGKTESGD >KQL12333 pep chromosome:Setaria_italica_v2.0:IV:40057825:40061516:1 gene:SETIT_0057371mg transcript:KQL12333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIVNEKASMRYFIHMIMSCRQSYFLIRMRSTLFSFHFNPPPPPPPTSFSYFGMVNHNSYHEDPYAKEFGIKISERLASVEARILPAPRLKYNETGREKDCLPRVGQWNMMNKKMVNGGRVRSWFCVNFARNVQESVASGFCRELARMCQASGMDFALEPILPPMYAHPDQVERALKARFHDVMNMLGPQRKELDLLIGILPDNNGSLYGDLKRVCEIDLGIVSQCCCAKQVFKMNKQILANLALKLNVKVGGRNTVLVDAVSRRIPLVTDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQSHRQELIEDLYKVTHDPQRGTICGGMIRELLISFKRSTGQKPQRILFYRDGVSEGQFYQVLLHELDAIRRACASLEANYQPQVTFIVVQKRHHTRFFAHNHNDQNSVDRSGNILPGTVVDSKICHPTEFDFFLCSHAGIKGTSRPAHYHVLWDENNFTADALQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDSSESGSLASGVRGGGAPSSSSTSRSTRAATGGAVRPLPALKDSVKNVMFYC >KQL10007 pep chromosome:Setaria_italica_v2.0:IV:8397277:8398376:1 gene:SETIT_007863mg transcript:KQL10007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRGGQKTFWLGLHQADRPRLFLSLLAFVFDRFVAHSGFIQKYTFRSNLLKNRINHWICIMILPKRGEAVDFIGIIQKYNIPWNAYKLNILRGGPHNPKRMKAMKIIYHKYCHKQPPGTVLCGYYVCEFIRNNGRCLPINGNYTKIEDKQIDNICTDMTRFILHEICHEDGVFFDKNDVLMTDECTLFVDGRTSLAWQKTASSICWILIM >KQL09817 pep chromosome:Setaria_italica_v2.0:IV:6279575:6280095:1 gene:SETIT_009007mg transcript:KQL09817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYKAAGKSVKRVGSRKASAAAQKARALRRAVGRKRSDAASSKEETVQKTSRAERHQ >KQL09946 pep chromosome:Setaria_italica_v2.0:IV:7626181:7627358:1 gene:SETIT_008286mg transcript:KQL09946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLRRHVLPLLRAASPLPSPIYHRACLLSAAPFSLEDYLVAACGLAPAQARKTAQKAFDGASKDSRKAFEEISNCRLNSASNPDAVLALLTGVGLSRADIADIVVADPLLLRSSPKKVGPRLLALRDRLGLEFFISFYGSFERLLVILKNHNGIIWSDLERVIKPNIALLHQCGPSVRDIARLFSVRARVLTFRPESIKEIVLRAEELGVPCSSRMFWLAVVLVSNATKEKVAARLEFLKSTLGCHESEIATAVSKMPNILGISEECLHRKIQFLINEVGLEPQYILQRPALFGYSLEKRLVPRYCVMKVLLAKGLLDSNRSFYSFAQYGEETFKLRCIDSHQDSVPGLADDYATARAGVVPYQL >KQL10269 pep chromosome:Setaria_italica_v2.0:IV:12294034:12295690:1 gene:SETIT_006359mg transcript:KQL10269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSPPPVLRHVVMLPFMAKGHAMPLLHLTRLLLCRGLASAVTFLATPRDAPFIRTGAPRAAAVVELPFPSSAAGPQSMEDLPSASSFLDVVSASAALRPAFGDALAALDPRPDLLVHDGFLPWAKDTADELGVPRLVSLGMGAFASCVPMAVLAQKPHARVSSPSEPFEVDGFPGLRFTKADLSPPFDDPEPAGPHWDFICESGRAMGSSRGSILNSFHELESFYIDKWNREMPLNKMWPVGPLCLAGEPVRTLDSDIAAWLDSRLAMNRPVLYVAFGSQADLSRSQLEEMAIGLDRSDLDFIWVVRSKWFGQDEPFQGRFGDRGKVVKYFINQLGVLSHKAIKGFFSHCGWNSVMESISMGVPILAYPMAAEQKLNAKFVVDVLKVGIRIWPSKMGDGGPGSELVPSEDVQTLARELILGEGGKCAAAKASELATSARAAMETGGSSFESLELMLREVCEIGRPEAKE >KQL10111 pep chromosome:Setaria_italica_v2.0:IV:9646364:9646975:1 gene:SETIT_007650mg transcript:KQL10111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSNSFGTSWADQWDYGGDASPRAPRDSGHAGKAGGGGGVGEKTKAAAATGLRKVKEGTAHGFQWIKDKCQKKSTGGKKQQGSEVAGY >KQL10178 pep chromosome:Setaria_italica_v2.0:IV:10575630:10584840:1 gene:SETIT_005660mg transcript:KQL10178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDSDIPKALKPEILAESSKEPEQMRKEASPTVDDSPPAKRRRGRPKRSDVFLSPTTAPTDAVKQETGTTHDGSSATPATIINSDAPATPIHSAASDVNVHSISPADNINNQDFGTETKTSSSVIVPEGPIAKDTGSSLQSVHNVAAPAVPHQPARGRRAQAGETPRRRGRKPKSLTSSGVDDVGLNPPVSAGSGVADTSCVSSYTQVNTPPSQGSAVAVAGIQKDLVTVKLDTLLPDSGKRISPVHEGDKGATITTPVAKDICAETVMSDNATTLAPNTLNENVRLLQVESAPTMPVVSGGLVETSHVVADKPVEKQPASRRRRKKTSGSEDTGVSTRQRSAMKKSCYSTSVTIDDVGSGMVPSEKSGIMKERDDGSLQNTSNELPNIKLPSHEKSGYDSQPSTPIAVPINEATLPSGFKDNRATHSEITLATSANPHVDGKPVDSHLDAPVSVASQNQEHVKTGKDHLAVCSEVPASHLAMASANPASDHKSESAQFDRSASLLQNSGKEPTVVPSEVDIAAPNKAPGSRRKGSARESRSRSNSTTAASERRARLTGSKQVEDISAKPTTTVCVSSVEQQRADSLRAEVTAASVCEAQKNPGSHVSSDISIPVGSHASGAAVTEETTMMTQTPVMAKSEETKLPGDLQGIELKSSVPQTNMVSAAESAPANDEHLQGAEVNSSEQTKVVSAAEPAPSNDEHVHGVEVDSSEQPTKTVSAAESAPSNDEEHTTHEVRLKTSDVNILTSSAATDILQDKIDTSVACQSDAPCTDEIARQSDASLLDSKAPHDGSAKYSRGSTKEDDYVLRSEATGVDVTGSKQDDVKVDDTQVDDTSRASSSHLAATSQSTQSDQPPDQVEIVENRNEQVKMEETLDKSSGDNQTHSQNHETSHDTALLRNSPSEYLNEHCSAQVDGDTFKSKENIVEIHAAMNIDGPEEALDASSMQSQKEGSTTDVGLSTDGDTSETKETTVETHAAMNADGPEEAQDALSTQSDKEASMAEVDVPTDSSPTVCKAHNDLEGQVSCEETLVRADADNRTHSNTNDDSNNKDEDTMVNPVDSTREPMEESTIIASEISDLNKQSCTLHFGNDPPSSMQATVESNKVTSDAEMVCPGRLESSVIETGTVGIQETVIGDLERSEKTGDLDEKTGSPQRDVLGTSCSMMGLVCEKTPIEDLTAGSHLEAPVEPTQETTVANAVVFMDACNTEPDGDSTVAEGQKQTVEMVHSPENQSAASEHVETQAKPTVICGPMLNESQTAGLEDDFSILKHGGPTASSELVVESNPTSEISAIQVETEATKSDGYCTVEDGNASSETVMELEPNKETTIPMQEDTTETNDTIATYKACNDSESHSFGEAVMEMQSSEIKAASSIQSGAGNISTQAPAMPDGTEQTNMASTSEVAPENDKEHMPGTEVHSSDQQTKTISPANDEHVQDIMVHSSEQQTETVSDAQIDTLCVQETAIVDHGETRGTVDLNDISTQTPALPGSGLFGVEAHSSEQQKTASSPGDGVNSSEKQIKLDSVAEIETADVKETAIADHEETGDESGVSTHAPLLTESGEKGSPGTDLHDFEGEKDHSTGINIEGIQGPYDASDKDHSTDPPATTLVMVESDKDTCDAEIVSAGKLESSGGGDIGTVSVQEAADDADHEGTQGKGIEAHGSEQMEMVSVAQAASNLALVGYSSSEDSMLDDSARAADGGDFVDSKGAGVDSQETTSTQTTSTLPENTDMDWQSCPLQSGNDSPATTAVTVESDKDIGDAGTACVGKTESSSGVGIEMMVVQETSIADQQGAIGTGDLNEENDSLRRGDDCGTSCSTLVTACEKAPSVEGVIVADHSEVPTSVELIAAQSTQEATISNQEEIVAHEKATSVEGLTVDSRSDAPASMVLVGVESTQEAMSNQEQIIDAVGSMHESNSTASGAAATASGADLTEEVVKAPEEQPILFQLVDSQAKAAEISGPMQEESIANLENDVSEPKDGSPTASSERVAEPKPVDETSVMQVALTTSTGDECAAEDHNVVPSETVMESEPIQEIAVPMQEDGKEANDAHTAREVCRDLEGHASGAVSMPVESEIVARQGDDAEANDTTIISEVCKGTESHVSGEVPIPVESSPLKVELANETDDSQGLNRGTGFDEREMQCTTQPVAVADVPIIVGDEVLNTESTPGGANAKSDGADTEQKLLPSSVEPMVDVSSELPSQEVKEAPSTDLSGNDEDVKMEEAPAAQGQLNTDAAYGGENAKLGEAALELQLPPSSGEAIVEISSEPLSQEAKEAASTNPPGNDENELQLPPSSGEAMVEISSEPLSQEAKEAASTNPPGNDENELQLPPSSGEAMVEISSEPLSQEAKEAASTGPPGNNENAKVQEATVAAQVLLSTEHAPDGETSRLAGGDTELQLTPAGQAMVDISSEPPSSQEVNEAPISDALGNDENIKTENTADAEPAPGSENTELGEANTERQAKPVSAVVMVESSSELPSQEGKEAATTDLSGDDEKAKSARAAVVAELFGDATEGGSDQPLPSPRGEGEDADGDGGVE >KQL11795 pep chromosome:Setaria_italica_v2.0:IV:36813484:36813942:1 gene:SETIT_007779mg transcript:KQL11795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSDRWGGGRGVGVQPDMVGCRRMDGWGGGGGKKRVVSCLKAHSLCHKPITFSGPCRCWS >KQL11129 pep chromosome:Setaria_italica_v2.0:IV:31023600:31026893:1 gene:SETIT_006100mg transcript:KQL11129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATPLRHLLPVAAATATAPDPAGPSLTARRVLWGRLRSSLAASPSREPRSRSLTAPVAAAAGGRPTVLVTEKLGPAGLDLLRSFANVDCSYELTAEELRAKVSLVDALVVRSGTCVTREVFEAARGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHAVALLTAMARNVAQADASLKAGKWQRSKYVGVTLVGKTLAVMGFGKVGSEVARRAKGLGMDIIAHDPYAPVDRARAIGVDLVSFDEAISTADFISLHMPLTPSTAKLFNDETFAKMKKGVRIINVARGGVVDEEALLRALDNGTVAQAALDVFTEEPPPRDSKLVQHEDVTVTPHLGASTTESQEGVALEIAEAVIGALRGDLAATAVNAPMVPAEVLSELSPYVVLAEKLGRLVVQLVAGGSGVKVVKVVYSSARDPDDLDTRILRAMVTKGIVEPISSAFVNIVNADYVAKQRGLRIIEERILLDGSPEIPLDSIQVHLTNVESKFAGALSDAGDIRVEGKVKDGSPHLTLVGSFSVDVSLEGNLILCCQVDQPGIIGKVGSILGKMNVNVSFMSVGRTARGKQAVMAIGVDEEPEKEALKLIGDTPSVEDFVFVKL >KQL10118 pep chromosome:Setaria_italica_v2.0:IV:9738867:9740605:1 gene:SETIT_006642mg transcript:KQL10118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSIEQGLHMARGSGETSYTKNSRIQEKAMFQIKPLLEEATREVYTALHPRIMVVADLGCSSGPNTLRFVSEVISIIDRYCKELGIPHDHPELQFFLNDLPGNDFNNLFELVDQFKRSTTINHQEEARPPYYISGLPGSFYTRLFPCESVHLFHSLFCLQWRSQAPKGLKGTGKTLKDKGDIYITKTTSPSIVKLFQQQFQKDFSLFLKLRYEELVFGGQIILTFIGRKYEDVFSGESNHLYGLLAQSLQSLADEGLLKKEKLDSFYLPIYSPSVGEVVAIVEQNGLFNMNHVKLFETNWDPYDDSECDVVHDSFRSGVNVAKVIRAVMEPLVASHFGETILDTLFKEYAHRVAKHLEKEKTKLAVIVLSMKKLNQSRYPSIVP >KQL11306 pep chromosome:Setaria_italica_v2.0:IV:32517006:32517243:-1 gene:SETIT_009112mg transcript:KQL11306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIAEIRSAVRCKSNWPTKAHYGSDYINQF >KQL08998 pep chromosome:Setaria_italica_v2.0:IV:635727:636224:1 gene:SETIT_007734mg transcript:KQL08998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLVSMKVPYSPTLQRQPWSGLFTLSSTAEQQPGGGTTVKSLQCIASLAARVESLHFGIIPAHACYYHLH >KQL08874 pep chromosome:Setaria_italica_v2.0:IV:21516:22241:1 gene:SETIT_007875mg transcript:KQL08874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIILVFLSLIFAIVAAAEASSTQQPLSPATKKSIDDLTSATKKDIDDLTLLFQEVTDAINTATPPAKKPEATRASSKHIQTAESDVAKAAKAGDEEKLAHLILAYRMASAMVIHAPPAERLKVMEDTFNSAAAPNPYEYPNVDKAYCETRSKFNKAILGVVAAASPEQKKLWDKDSTLPKSMHTAMSTVNKAYADGDDKEIARVLAAYNKAADSVIAAPPSDKLKVMESTFKHAAASGA >KQL09860 pep chromosome:Setaria_italica_v2.0:IV:6751984:6753420:-1 gene:SETIT_006436mg transcript:KQL09860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLAALLVLLALPCPTHAAPAVPGAGAGIVALLTHADAGRGLARPELVRRMVHRARARRRLLSERPVRARVRAGLGGGIVTNEYLLRLSVGTPPRPVALTLDTGSDLVWTQCAPCRDCFDQGLPLLDPAASSTYAALPCGAPRCRALPFTSCGGRNRSCAYVYHYGDKSVTAGHLATDLFTFGNDGGGGNAGSSLSARRLTFGCGHFNKGVFQSNETGIAGFGRGRWSLPCQLNVTSFSYCFTSMFESTSSLVTLGGAPSALHGHAHSGEVRSTPLLRDPSQPSLYFLSLKAISVGSTRIPLPASRRRSAIIDSGASITTLPEDLYEAVRAEFAAQVGLPLSGAAEGSALDLCFALPVTAFWRRHAVPALTLHLEGADWELPRGNYVFEDLGARVMCVVLDAAPGEQTVIGNFQQQNTHVVYDLENDRLSFAPARCDRLAASS >KQL10181 pep chromosome:Setaria_italica_v2.0:IV:10611845:10614385:-1 gene:SETIT_007270mg transcript:KQL10181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCETCQLKELELEPTEIRDVLRCILHTIFFHRTLSLVRPKDVDCDFLEITYVQCGLPELEKEVDEKIDQFITWVEKHPNRRSQVCLSFFDEKSKNPGWFVNKTERIYWEQWFINLHVMSSKRYSKSNSSKRLTNIGGNDLEETSSRRAALESSLNEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPR >KQL10182 pep chromosome:Setaria_italica_v2.0:IV:10611481:10614634:-1 gene:SETIT_007270mg transcript:KQL10182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCETCQLKELELEPTEIRDVLRCILHTIFFHRTLSLVRPKDVDCDFLEITYVQCGLPELEKEVDEKIDQFITWVEKHPNRRSQVCLSFFDEKSKNPGWFVNKTERIYWEQWFINLHVMSSKRYSKSNSSKRLTNIGGNDLEETSSRRAALESSLNEVLFQIINFANEKKDHIPAIPDRIFNHEIMIPSSSDSVFGWNTDVIRRVLNSGHPCSL >KQL12034 pep chromosome:Setaria_italica_v2.0:IV:38336598:38339305:1 gene:SETIT_006433mg transcript:KQL12034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDHTGKYAGDSDLQLERINVYYNEAGGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEDEEEYEEEEEEIAE >KQL09962 pep chromosome:Setaria_italica_v2.0:IV:7758721:7760886:-1 gene:SETIT_007395mg transcript:KQL09962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRKRPRNSGSASRSSGGSSSSPPPSQSVMRRTTSLSDLGQQPPEPTGRAPTRPARGEVAAAAVGAGSVWGAEMRRHSAGCFPVPEAAFLKACGLCKRGLGPGRDTFIYMGEVAFCSQECRQHQMNLDELKEKKCSTPTGGGGSGGGGSDPSGKSSTVAAA >KQL11221 pep chromosome:Setaria_italica_v2.0:IV:31831913:31833229:1 gene:SETIT_006952mg transcript:KQL11221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSANQSPQFLGFISSGKWKPVALEHSLMLLLLLLVYHNSSLKYLAAQSSHRIIQFQLQEETPQKRSQSSDLRLVLLRDGDGRGPLVGPRGGGGRRGRQHTVVRSLCPSFDGDDDAASAPASMDLLVSAGLIDLIDRSVAFALPLESSRADGCFDLGRCSSGSGLGQAREAAWGTAGGRRRECQATGRWARASGAGAPLRVGLDVRAVRGFFRVGEGGSFRIGTAWLAPWGPRRPPSASGGSGRASEQQRVTVTGAHHVSHMVAGPRAGRGGSTAPAHGKTQRRTCPTGAARWCTAAKPSARFRPRQTK >KQL11425 pep chromosome:Setaria_italica_v2.0:IV:33492188:33496175:1 gene:SETIT_005815mg transcript:KQL11425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMVVVAAVLMLMLCTASVRRGAALHLCTDRLFNGTRGRHDDGLPHLTPTEEATWMALLPRRLRGGNARTEFDWLALYRSLTRGGGPPGPPGELLSPAPPHDVRLDDGGGGGDAASLSTSMYWRAQQTNLEYLLYLDPDRLTWTFRRQAGLPTVGDPYGGWEAPGGQLRGHFAGHYLSASAHMWASTRNGTLRERMARVVDVLHTCQKKMGTGYLAAYPEAVFDAYEQLDEAWSPYYTTHKIMQGLLDQYTLAGNKKGLDVVVWMTDYFSNRVKNLIQNYTIQRHWEAMNEETGGFNDVMYQLYTIMVILSPWHLAKDQKHLTMAHLFDKPCFLGPLGLHKDDISGLHANTHLPVLVGAQKRYEVVGDNLYKDISTYLFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNFLKVSRNLFRWTKEAKYADHYERLLINGIMGNQRGTQPGVMLYFLPMGPGRSKSVSGLSPSGLPPMNPGGWGGPNDTFWCCYGTGIESFSKVGDSIYFLEEGETPGLYIIQYIPSTFNWKSAGLTVKQQAKPLFSTDTYFEVSLAISAKGDAQLAKVSVRIPSWTSTDGVIATLNGEKLNLTASGNFSDAGFLTVAKLWDEDTLTLKFPITLRTEAIKDDRPEYASIQAVLFGPHLLAGLTHGNLPVTDSNHSNDGLTPGIWEVNATNASSVAGWVTPLKSASLNPQLVTLTQSAGGRTLVLSVSVADRKLAMQEEPASGTDACVHATFRVHGPDVASGRGLQGPNVTIEPFDRPGMAVTNALDVGRPGCGRDTLFNALPGLDGAPGSVSLELGSRPGCFVTTAGANAARVGVGCRGDGGGDGDEAAFRRAASFARAAPLRRYDPLSFAARGTERGFLLEPLRSLQDEFYTVYFSLVSGDGDS >KQL11426 pep chromosome:Setaria_italica_v2.0:IV:33492188:33496175:1 gene:SETIT_005815mg transcript:KQL11426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMVVVAAVLMLMLCTASVRRGAALHLCTDRLFNGTRGRHDDGLPHLTPTEEATWMALLPRRLRGGNARTEFDWLALYRSLTRGGGPPGPPGELLSPAPPHDVRLDDGGGGGDAASLSTSMYWRAQQTNLEYLLYLDPDRLTWTFRRQAGLPTVGDPYGGWEAPGGQLRGHFAGHYLSASAHMWASTRNGTLRERMARVVDVLHTCQKKMGTGYLAAYPEAVFDAYEQLDEAWSPYYTTHKIMQGLLDQYTLAGNKKGLDVVVWMTDYFSNRVKNLIQNYTIQRHWEAMNEETGGFNDVMYQLYTIMKDQKHLTMAHLFDKPCFLGPLGLHKDDISGLHANTHLPVLVGAQKRYEVVGDNLYKDISTYLFDVVNSSHTFATGGTSTMEHWHDPKRLVDEIKISSNEETCATYNFLKVSRNLFRWTKEAKYADHYERLLINGIMGNQRGTQPGVMLYFLPMGPGRSKSVSGLSPSGLPPMNPGGWGGPNDTFWCCYGTGIESFSKVGDSIYFLEEGETPGLYIIQYIPSTFNWKSAGLTVKQQAKPLFSTDTYFEVSLAISAKGDAQLAKVSVRIPSWTSTDGVIATLNGEKLNLTASGNFSDAGFLTVAKLWDEDTLTLKFPITLRTEAIKDDRPEYASIQAVLFGPHLLAGLTHGNLPVTDSNHSNDGLTPGIWEVNATNASSVAGWVTPLKSASLNPQLVTLTQSAGGRTLVLSVSVADRKLAMQEEPASGTDACVHATFRVHGPDVASGRGLQGPNVTIEPFDRPGMAVTNALDVGRPGCGRDTLFNALPGLDGAPGSVSLELGSRPGCFVTTAGANAARVGVGCRGDGGGDGDEAAFRRAASFARAAPLRRYDPLSFAARGTERGFLLEPLRSLQDEFYTVYFSLVSGDGDS >KQL09572 pep chromosome:Setaria_italica_v2.0:IV:4331839:4336303:1 gene:SETIT_006561mg transcript:KQL09572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRKQQGGCGCWAAVARGLRGACFRPATAAAAADGDGGGAAKASHVHDAAETRYLNASNRELGHHFQTNHDGENGVDASIEKKTPPKLLQFTFQELKSATINFRPDSILGEGGFGYVFKGWIEPNSTAPAKPGTGVTVAVKSLKQDALQGHREWVAEIDFLGQLHHKHLVKLIGYCIEDDQRLLVYEFMARGSLENHLFRRALPLPWPNRMKIALGAAKGLAFLHGGPKPVIYRDFKTSNILLDAEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKKRPTGEQNLVAWARPYLNDRRRLYQLVDPRLGLNYSVKGVQKVAQICHYCLSRDSKSRPSMDEVVKQLTPLQDLNDMASASSRPRSTQRGKVHR >KQL12050 pep chromosome:Setaria_italica_v2.0:IV:38470919:38471310:-1 gene:SETIT_008868mg transcript:KQL12050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYGKHLLHVRNGIHLKISKLKFQVLHFTMERYLTVPMSLLRAMMRRDFFIFIKQNL >KQL10057 pep chromosome:Setaria_italica_v2.0:IV:8990812:8992528:-1 gene:SETIT_006529mg transcript:KQL10057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAASSSSSSAGSFAPRRLHGARVVRDALPYGTLAAPPPPPQPQLPPVVAQQTPGGGGGGGGGGKISPAVLFIIVILAVVFFISGLLHLLVRVLMKKQHRRGGGRGAPAAARVSGGDAGGADAALQRQLQQLFHLHDSGLDQAFIDALPVFAYREIVVGGGGDGDKEPFDCAVCLCEFDAEDRLRLLPLCGHAFHLNCIDTWLLSNSTCPLCRGVLFVPGLMGEDDTMFDFEERLEEGRLSEDCDDGFGLPGQKASGLAQTPVAEKRVFPVRLGKFKNVGTQGPVQGGNADVNASVLSRDQGESSSSSLDGRRCFSMGTYQYVLGTSELRVALQPGWNRNGAGGAMRGRPAGLSSINADIMEGKKICARNKGESFSVSKIWQWSNLKGKLPAGSDECSDAGSLPWMKRGGAADTSNI >KQL10280 pep chromosome:Setaria_italica_v2.0:IV:12436990:12439142:1 gene:SETIT_006800mg transcript:KQL10280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNCSSARGRVIDDRPRGPRGRGHACTSEPSIPIGHPRRGARADQKLSAAPGSIAVAGAMAARQTLLALLFVAAAGAGGHAAAAVAGKVPTGSTLCCTNTTGYHECVEACFHYYPREQAEKFCDPGCQEAYQCHAVPGEKCPSSSLHHSSDLLTNSVVGEVVQPVTTTLCCSNMTGFHECVEDCFAYFPREQADKICDPGCKEAYQCRTVSGDKCPSEHPSSGSVVGEVVQAATKTLCCSNMTGFHECVEDCFGYFPREQAEKICDPGCQEAYQCRTVSGDKCPTNSAAKGAAVEKCVSGCKSSVCSKMVTGVGSKLFAVKHALDRCNNACYKFCTKGLRAGTATA >KQL10279 pep chromosome:Setaria_italica_v2.0:IV:12436990:12439142:1 gene:SETIT_006800mg transcript:KQL10279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNCSSARGRVIDDRPRGPRGRGHACTSEPSIPIGHPRRGARADQKLSAAPGSIAVAGAMAARQTLLALLFVAAAGAGGHAAAAVAGKVPTGSTLCCTNTTGYHECVEACFHYYPREQAEKFCDPGCQEAYQCHAVPGEKCPSSSLHHSSDLLTNSVVGEVVQPVTTTLCCSNMTGFHECVEDCFAYFPREQADKICDPGCKEAYQCRTVSGDKCPSEHPSSGSVVGEVVQAATKTLCCSNMTGFHECVEDCFGYFPREQAEKICDPGCQEAYQCRTVSGDKCPTNSAKGAAVEKCVSGCKSSVCSKMVTGVGSKLFAVKHALDRCNNACYKFCTKGLRAGTATA >KQL10452 pep chromosome:Setaria_italica_v2.0:IV:15372479:15373970:-1 gene:SETIT_008335mg transcript:KQL10452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTEQQRMKSSIEDAITGLLGTASTVPNSILIADLGCSYGSNALALVSTAVNAVLCNCMQHEQPLPEVCVLLNDLPGNDFNSVAKSIAEFKQSFESFPPIVINSGMVPGSFHARLFSRESLHLICSSTSLHWLSEAPEDLVKRRIPMYDCDDNLRRARSGLVLDAYSRQFRKDFTQFLTVRGKELVPGGRMVISLFGRCSDNPASMATHAWEILASSLNDMVSRGVLNKEKFESFYIPMYAPSDKELRMIIQDESSFLINNIEVHKPLSGIGEVVVTPKMIALAIRSAHEAIILEHFNCSAHIMDELVETLVGQLSSGGLNRQMQSFACLSLCSTM >KQL09315 pep chromosome:Setaria_italica_v2.0:IV:2523823:2524560:-1 gene:SETIT_007416mg transcript:KQL09315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLSPSNLSSAAISLSSSEEAAAALLLLVTREIRLADGFLDEDATAMVAADEMDSTAENTASEADAMAATDSASTPETSTSARVAEDPMSCGKYLAALCTEEARRARNTGGEYGADGCRSAPRSQGEKNVPGEVRRLVRGLCAGGLGYRIIYSVP >KQL10427 pep chromosome:Setaria_italica_v2.0:IV:14788390:14789757:-1 gene:SETIT_008543mg transcript:KQL10427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQQQRLFAPLVLLLATIFFAGAPAVIGHGEAASGLHPVVLLPGYTCIQASDAGRGWFRLWENYTALQADPGLLPCYQDQLRLVYDRAGGDYRNAHGVETRVVSFGTARSFRFDDPAQKNACMERLVEALEGVGYREGASLFGAPYDFRYAPAAPGVTARAFSDFSTSLTRLVERASERNGNRPVILVTHSFGGFYAMEFLNKRPLPWRRRHVKHLVMLCHGVGGSALIMQVLASTMGSPSPAPTLRDTVLSFGNRSFGSMFSLLPSPKVYGDTPLVITRAKNYSAENMPEFLAAVGFSDDEVARYRTRALPLTLNFRAPLVPMTSINGVGVPTVDKVVYWDANFTEMPRVVNGDGDGIVNLETVLALQRLVGDVPDQPYFKSILIPNTTHNSMISDDSALRVVVKEILEVNQATSS >KQL12348 pep chromosome:Setaria_italica_v2.0:IV:40111826:40112541:1 gene:SETIT_009062mg transcript:KQL12348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARIVAAARFAFADEPAPPETLDVVRRQRQLLRRAAALCLDPIAEEADNLDATRSTSTCVSGAGATTSLPFAAGSSSGGAALLRV >KQL09225 pep chromosome:Setaria_italica_v2.0:IV:1951029:1951822:-1 gene:SETIT_007554mg transcript:KQL09225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTRAYLDQRLAMAKRCSREATLAGAKAAAVATVASAVPTLASVRMLPWAKANLNPTGQALIICTVAGMAYFVATDKKILSLARRHSFEEAPEHLRNTSYQGAARPHPAFFRP >KQL12301 pep chromosome:Setaria_italica_v2.0:IV:39908445:39911713:-1 gene:SETIT_005911mg transcript:KQL12301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLLSGGGGSRGRLRRRRKPKKITPSLRGREEVEGGKDANPAPAPAIKLEELPVLTRWDSLAAEMLCITSSSSSPVPLQPTRRPRAPSDRAAPPGIAGGGNVRLSVLSVQRDVRRSGPAKAKSKFATAATVQENKTMEATKDDVNHLPIYKLDPKLEKFKDHFSYRMKRYLEQKSSIEKNEGGLEEFSKGYLKFGINTNKDGTVYREWAPAAQEAQLVGDFNDWNGANHNMKKDKFGVWSIKIDHVKGEPAIPHNSRVKFRFKHGGVWVDRIPAWIRYATVDASKFGAPYDGVHWDPPASERYVFMYPRPPKPDAPRIYEAHVGMSGEEPAVSTYREFADNVLPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMHEFMFDGFRFDGVTSMLYHHHGINVGFTGNYKEYFSLDTDVDAVVYMMLANHLMHKILPEATVVAEDVSGMPVLCRPVDEGGVGFDYRLAMAIPDRWIDYLKNKDDSEWSMGEIAHTLTNRRYTEKCIAYAESHDQVPCVILEGNIVM >KQL12299 pep chromosome:Setaria_italica_v2.0:IV:39905596:39910829:-1 gene:SETIT_005911mg transcript:KQL12299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATKDDVNHLPIYKLDPKLEKFKDHFSYRMKRYLEQKSSIEKNEGGLEEFSKGYLKFGINTNKDGTVYREWAPAAQEAQLVGDFNDWNGANHNMKKDKFGVWSIKIDHVKGEPAIPHNSRVKFRFKHGGVWVDRIPAWIRYATVDASKFGAPYDGVHWDPPASERYVFMYPRPPKPDAPRIYEAHVGMSGEEPAVSTYREFADNVLPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMHEFMFDGFRFDGVTSMLYHHHGINVGFTGNYKEYFSLDTDVDAVVYMMLANHLMHKILPEATVVAEDVSGMPVLCRPVDEGGVGFDYRLAMAIPDRWIDYLKNKDDSEWSMGEIAHTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWSLVDTDHLRYKYMNAFDQAMNALDEKFSFLSSSKQIVSDMNEEKKVIVFERGDLVFVFNFHPKKTYDGYKVGCDLPGKYRVALDSDAFVFGGHGRVGHDVDHFTSPEGVPGVPETNFNNRPNSFKVLSPPRTCVAYYRVDEEAEGLEGKAETASSPEVIEVDATPSTEGYGEAADRMATSGGKKYGSTEDASGKKGRKLGRQSSDKSTK >KQL12300 pep chromosome:Setaria_italica_v2.0:IV:39907903:39911713:-1 gene:SETIT_005911mg transcript:KQL12300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLLSGGGGSRGRLRRRRKPKKITPSLRGREEVEGGKDANPAPAPAIKLEELPVLTRWDSLAAEMLCITSSSSSPVPLQPTRRPRAPSDRAAPPGIAGGGNVRLSVLSVQRDVRRSGPAKAKSKFATAATVQENKTMEATKDDVNHLPIYKLDPKLEKFKDHFSYRMKRYLEQKSSIEKNEGGLEEFSKGYLKFGINTNKDGTVYREWAPAAQEAQLVGDFNDWNGANHNMKKDKFGVWSIKIDHVKGEPAIPHNSRVKFRFKHGGVWVDRIPAWIRYATVDASKFGAPYDGVHWDPPASERYVFMYPRPPKPDAPRIYEAHVGMSGEEPAVSTYREFADNVLPRIRANNYNTVQLMAVMEHSYYASFGYHVTNFFAVSSRSGTPEDLKYLVDKAHSLGLRVLMDVVHSHASNNVTDGLNGYDVGQNTHESYFHTGDRGYHKLWDSRLFNYANWEVLRFLLSNLRYWMHEFMFDGFRFDGVTSMLYHHHGINVGFTGNYKEYFSLDTDVDAVVYMMLANHLMHKILPEATVVAEDVSGMPVLCRPVDEGGVGFDYRLAMAIPDRWIDYLKNKDDSEWSMGEIAHTLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYTGMSDLQPASPTIDRGIALQKMIHFITMALGGDGYLNFMGNEVKS >KQL10974 pep chromosome:Setaria_italica_v2.0:IV:28970293:28974955:-1 gene:SETIT_006209mg transcript:KQL10974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETDRLYPPIEPYDMEPPQVPAAAATADSDDGEEKSRACDGFVMWDEEPDAPDAPEAGPHIFEPAAKDHLPTNFKEGSLGVANAYEFSDEMTTMKESGEKICDSFPLCEQTGIWVPASVPPMTKHDHEEWQKGFGYNSRCFPEEEYQWDIDEENMEITMWDVLSEMVVAGKDKILSIASFDLGRYGMSMVSDFFLEEALKDKAQTLEDISAGSEHALLETEPTKWLPDSAAPSCMLCGARFHPIICTRHHCRFCGGIFCGGCSKGRSLMPPKFMTSDPQRVCDVCGVRLECIQPYLMNRFSRACQFPTQDLTDLSTLRSWINIPWATKMEYEIYKAANSIYGYCKVGELKAEKSIPDSILREAKGLAIITVVKVGMMLTYKIGTGLVVSRRADGSWSPPSAISTCGLGYGVQAGGELADYIIVLRNTDAIKTFSGNAHMSIGAGISASAGHLGRAAEADFRAGDGGYAACYTYSCSKGAFVGCALNGSVVSTRDSVNARFYGGPIKASEILLGSMPRPPAAATLYKALSILFDKIEK >KQL10377 pep chromosome:Setaria_italica_v2.0:IV:13756871:13758150:-1 gene:SETIT_006846mg transcript:KQL10377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMPGTAPEWLFLLLALVGGVTAAVSSLRLLRYLALCLRRPRDLRRRYGAWAVVTGPTSGIGRSVALELARRGLNLVLLDIDAANLRETSDTIRSRHAVKTRTLVFDLSLVATPQGDESMRRLRAAIDGLDVGLLVNNAGMANPFLAYFHEADVEAWLRMVRVNLWALTEVAAAVLPGMVERGRGAVLNMGSASSEGMPSFPLNTIYASTKKYVAVFSRSLYVEYRSKGIDVQCQAPMFVATRIVPNILRDKWYLSPLLSTTADAYARAAVRWIGHGAICNPNLSHQLQRCLLAIVPEAALDWCLLQFVRRLRAESRGVLARVGAVGNGTDRKNSM >KQL10376 pep chromosome:Setaria_italica_v2.0:IV:13756601:13758190:-1 gene:SETIT_006846mg transcript:KQL10376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMPGTAPEWLFLLLALVGGVTAAVSSLRLLRYLALCLRRPRDLRRRYGAWAVVTGPTSGIGRSVALELARRGLNLVLLDIDAANLRETSDTIRSRHAVKTRTLVFDLSLVATPQGDESMRRLRAAIDGLDVGLLVNNAGMANPFLAYFHEADVEAWLRMVRVNLWALTEVAAAVLPGMVERGRGAVLNMGSASSEGMPSFPLNTIYASTKKYVAVFSRSLYVEYRSKGIDVQCQAPMFVATRIVPNILRDKWYLSPLLSTTADAYARAAVRWIGHGAICNPNLSHQLQRCLLAIVPEAALDWCLLQFVRRLRAESRGVLARVGAVGNGTDRKNSM >KQL09931 pep chromosome:Setaria_italica_v2.0:IV:7537782:7538843:1 gene:SETIT_006937mg transcript:KQL09931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCNLFDARTLLTVALVMASLPEFSLGARRLPDRLAETTALGDGISYHGGAVLRGEIPVSIVWYGQFKPSQKAIVVDFLLSLTSVPANATPSAAQWWRTIDRAYLSNATTNATRVVLAGQVADEQYSLGKSLTLVEVFQLAAALVPGAGALVLVLTDPGVSVEGFCSARCGLHGSDDAGAGYAYVWVGNAESQCPGQCAWPFAEPAYGPRGQPPLTPPNGDVGVDGMVLTLASTVAGAVTNPLGDGYYEGARDAALEACTACAGKFGSGAYPGYPGKVLLDETTGGSYNAVGANGRKYLLPAVFDPATSACSTLV >KQL11122 pep chromosome:Setaria_italica_v2.0:IV:30962746:30963019:-1 gene:SETIT_007739mg transcript:KQL11122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSGEASSLTMTRTSGLRPSHARRQWVFRSGQVRTNQGFGSELLSPLAHVCIQEAVFKSLTEYMDPRH >KQL11720 pep chromosome:Setaria_italica_v2.0:IV:36317072:36317442:-1 gene:SETIT_009135mg transcript:KQL11720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLPNWLKNKPTYILLIFSLGNLEFAVHSFSYELWTYMK >KQL12266 pep chromosome:Setaria_italica_v2.0:IV:39726251:39729399:-1 gene:SETIT_006317mg transcript:KQL12266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPERKRMAGLWEREVGGLPPRHFANAVMASKDFVQSLSIQKRLRKHRGCVNTISFNSDGRFLLSGADDRNVVLWNWVEAAPTFSFHSGHSNNVLHAQFMPFSDDRSVVTCAADGEVRHSQIREGGCATTDKLVELDFAVHRLAVEPGSPYTFYCCCEDSSVWLFDLRGKDAVELFRCRTADHFTADDIELFAIAIDPRKPCCFAVAGSDEYVRIYDTRKIGLDGNSNSGLATEHFCPPHLIGENKDGITGLAYSQTSELLASYSHDNIYLFSREHGLHFNNIEVDERLLMDETGPLPFCRDKLPIPKAFKGHTNQHTIKGVNFLGPNCDYVTSGSDCGRIFIWRKKDGELIRVMNGDRHIVNCVEQHPSGIVVASSGIDNDIKIWEPGEGETHSIATDDKVKEDMWLSTSSDSDGLIYNGDFDYVMDLDDIYLYGNADGHSSNEDEDGSSEEDDDGDISAEEDDDGDDSAEEGVDGENSAGDMSDG >KQL09124 pep chromosome:Setaria_italica_v2.0:IV:1355144:1356409:-1 gene:SETIT_006972mg transcript:KQL09124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPQLKDHHSMNLLALMNEKDSAIRERDHALAEKKAAIAERDMAFAQRDAAMAERNAAIVERDNALAALELARTNGFNMNNGNGFHQVPPLNGTKNIHNHDQLSHVQTSPLQLADSPYDHTREMHISEAYPIATAPSSIGKGKKPRKNSSQASPLKRPSGVLRKTKKVAGDWKNGGMSGGGEDSARASVMKNEWKDQDLGLNQVPFDESTMPAPACSCTGELRQCYKWGNGGWQSSCCTMSMSMYPLPVMPNRRHARMGGRKMSGSAFTKLLSRLAAEGHDLSTPVDLKDHWAKHGTNRYITIR >KQL12368 pep chromosome:Setaria_italica_v2.0:IV:40198156:40198704:1 gene:SETIT_008395mg transcript:KQL12368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCLILLAQGPAATVMEPAMPVPVPAPPRPTTTQRPPSSYECKTCNKCFPSFQALGGHRTSHNNDKIKKPRRPEAEAAAAAAVTTTLSLRTAAVAGRPAAAHECSACGATFASGQALGGHMRRHRPLTAGAAPESVVTAEETSAGGNNVSLELDLNLLPAPSTEQEVTSPALATKRTHHFN >KQL11198 pep chromosome:Setaria_italica_v2.0:IV:31618815:31619336:1 gene:SETIT_0083932mg transcript:KQL11198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGAAVGAGPGPERRPSSDPRLPGAIPLPAPPRRPWAGAGAAVAGGLGLGTVGAGSAACPGRGAWPGSAGSEPPLMDFFRAFGCEYFRFGTLAVSSSFFASPSNPATPAAAAPARAEGRRGGAGRGMAQGRRGSEEGRRSGPGPALTAAPLHIAADWSQRAGDVITEQLRLVE >KQL09508 pep chromosome:Setaria_italica_v2.0:IV:3944677:3946444:-1 gene:SETIT_008611mg transcript:KQL09508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDHQDTSRHGTPLVFDEVRWVVQIRESLEEDATGGDDDDTGIPVSVFNVPRALQVHKPEAYTPQFIALGPYHHWRPELYEMERYKLAAARRAQKRLCPAGLKLDGLVDQFKRLERRVRAHYHRYLDFSGETLAWMMLVDGAFLLEFLQIYAVAAANDGDVAAGDGKALRRVSSRMQHLVDFTGRKSAHNLILRDMLMLENQIPLFLLRRILEPQCASTDESGELLARMVTGLMRELCPFKMMEKFPAIDAGKHAHLLEVLYYLLVPKPAAEDHAAEADAAHANHQDDGYDIEEQPVDGGDAEDEEQKPAAGWEYIKQLLVTIGGMASGGRIRYPIAFVVKAPWKMLTVVPGFSAMKQPVEAFFMSGADGSVRPRDPNGAGYLTRPPLIEEIMVPSVSELANVGIQFSPTAGDLTTIAFEPKTLTFHLPVVTLDSNTEVLLRNLVAYEASAASGPLVLARYTELMNGIIDTDEDVAVLRRRGVVLNRMKSDGEVAKLWNGMARSVRLTKVAFVDRAVEEVNRYYNSRWRVKTKRFMRKYVFSSWQVLTFLAAIMMLLLTTLQAFCSVYTCSRWFGAVTVATAD >KQL09211 pep chromosome:Setaria_italica_v2.0:IV:1880536:1883296:1 gene:SETIT_006456mg transcript:KQL09211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRRKTVGEAEDWSLEGRRLRRPEGAPLATRHRPPAPLDTRLPAPRAARHTRRSRQCHAGACGLVRTSRIWTWIRIRPPLPLPRLLLPLPRRGGRGGAGGRGRGRAARPWRPGSATTVPSRARRRPRRLGRHPAGERDPRPDPGSRWCGFQSQAPMDALMANYASDSDSDGGEPAAAPAGAPEVPEASALLPPPPLDLLQPPNFVDYSAMAQGSRVRSFPHVEGNYAVHVYIPVVIPSDAKKQLALAMKRAASLVPDLYAVDADYALSELCKDEQKLEKVLLSREFHVSLGRPVAVQVHQIDSFVAMLRQKFQLQQRYWMEFNKWEHFVNDDCTRSFLSLEVTRTGLPELSKQILMVDEVYRLHGLPEFYKNPRPHISLVWALGDISSKLKQAIKDIEKYQSSMSSLQKCNVRCKFSRVVCKVGKKVYDICNVAD >KQL10078 pep chromosome:Setaria_italica_v2.0:IV:9319354:9320968:1 gene:SETIT_007455mg transcript:KQL10078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWPGDIVSSCFPLILARLIFSFAASFLSSVIFQFLFFSFAASFFVLLPLFIWSEQGANIIPRTSGAPPSLPQPPPPTSCALFGFFSMCSVQEGFWGSRGGRTPRRGEAMAIPSTHIRVIQTSRQPTVIPTSA >KQL10077 pep chromosome:Setaria_italica_v2.0:IV:9319354:9320968:1 gene:SETIT_007455mg transcript:KQL10077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWPGDIVSSCFPLILARLIFSFAASFLSSVIFQFLFFSFAASFFVLLPLFIWSEQGANIIPRTSGAPPSLPQPPPPTSCALFGFFSMCRMQRAGGILGKSWRQDAEARRGDGDPLNPHPRDPDFQTTHSDSHLRLDVIVFY >KQL11781 pep chromosome:Setaria_italica_v2.0:IV:36742650:36744278:-1 gene:SETIT_006244mg transcript:KQL11781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTREVVVPAALSEILGRIFAFLFDSLPRPSPGARELHRRRLERLLGNIGSMVEEAEGRHITNQQLLSHLKALTVGMYRGRFALEVTDLDDVRNAAGGEGDDDDGDGDDDATATAAAAAAGKRSFALCSSFNRAKRSRVTSLILGGGGGDEGTERLAAVVEELEGLTRDYMREFILLVQGYPRKVDRPVTTTLYMDRCVFGRHVEKERIVDFLLQRPPPGRAPFLSVLAVVGAKKVGKTTLVKHACDDERVRGHFSRIEWFETPDVVRAGGQPDQTIWESDGPEYLAGVRRILGEPRFAAERSLLVFEDAWPIDEPAWTALAATPSALAGGSKLLLTCRDADIARLGTAEPVVLRTLQEEEYWYYFKAFAFGGADPREHPRIAAVAREISGHLERTFLDARVLGTLLRANFDARFWRRVLAAIVRCERRPMHVGVLLELLPVRGRLQSYGYCRSPPKFTVQDVLSARESGGGGGGDSEEGFTIHLCRETLYMDHWYSITFKNEGAPPPSPPRRVLTT >KQL11886 pep chromosome:Setaria_italica_v2.0:IV:37403250:37404717:-1 gene:SETIT_008255mg transcript:KQL11886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPRSAAPAAALLALTVALSASVAIAVAAPLERADEEVRRLYEAWRSEHVRPRCNCDRAREEDRLRLEVFRANLRYIDAHNAEADAGLHTFRLGLTPFADLTLEEFIRRALGFRNATAAPRAPSTRYLPRAGDDLPDAVDWRLQGAVTEVKNQQQCGGCWAFSAVAAMEGINKIVTGNLVSLSEQELIDCDSQDSGCNGGDMGNAFQFVINNGGIDTEADYPFIGTDATCDAIRENKKVVSIDSYEMVPPNNEKALQKAVANQPGIFNGICGLKLDHGVTAVGYGSEDGEDFWIVKNSWGPGWGEAGYIRMARNVFLPMGKCGIAMDASYPVKNGPNPTAKAGIVKMALA >KQL10703 pep chromosome:Setaria_italica_v2.0:IV:23862120:23863596:1 gene:SETIT_008034mg transcript:KQL10703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPHFTPELVFPLPDKSLSRISDELEIPLVNGRPVYIQSSVEQSPGVITPQPIPANHTIHSKPVTPGIRNSRLNWRNQMFEATIGRNTKWPACEKFNYTSQPTQTSCVMDNGGTQTDARDSHPFTASNVFGAENTRPAPNDATTGIQTQPSVVDEPYSMPPHGGQAETHASMEEDDCDENIIFEDEEEEDEGYLFGGQEPDDWEADEDVDLETANEDPNEPDVPDPYDAVYANVPDVTHMLKLEDNCEHCNAKKFESEPPGFCCRSGKIHLSTHETPPELVRLWSSSDADARHFRANIRYFNGHFSFTSMYCKLDRVTTDMRNCGIYTFRAHGQIYHNIRSFGKEDGHEPGHLELYFYDDDPSLEHRLRKCREKSAQ >KQL09985 pep chromosome:Setaria_italica_v2.0:IV:7915671:7916849:-1 gene:SETIT_008489mg transcript:KQL09985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWHLRRCIFPLVLQTPPRLPASQATPLFFLHRHRAISSAASTISPKPFVVEDYLVATCGLSRAQALKASKKISHLKSPSKPDAVLAFLAGLGIPRADVARLVAGAPRFLCARVERTLAPRVAELGGLGLSHPQLARIIPYFYTSRCSSLRRTVGFWLEVFGSFNKLLQALRMNRGILSVDIEGVAKPNLAFLQQCGIGACEIPGMNLYSSRLFIMNPKSLQEAAEWVEELGLKRGSRMFRRALALVAFMSKEDVTRKLELFQKFGFSQDQVLVIVRKAPLVLPLTEEKIRRVMHFLMRDIGLEAPYIVQRPALFMYSLERRLLPRHWLLKVLREKGLLNVAVDYYYTAKLAERIFLQKFMHPFKDRVPGLADDYASRCSGKAPSGAALQEI >KQL10229 pep chromosome:Setaria_italica_v2.0:IV:11413003:11415912:1 gene:SETIT_008237mg transcript:KQL10229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKVTHIFQYGLLTWSTTIAPHIHHLWAFLLLIVVTIKYLVQRSRSVYLVEYACFGPHSNYRVNPTTWFKTLRLFLDDDTISFLRNIFRRSGLGNETCLPSSLHYIPPIHSLSRDAKTSVKPNEIDILIVNCSCTTMIPSMTDMIIINRYKLRSGIRNTELSGMGCSAGLIAVGLARNLLQTMSYGAHALVVSTEILTGKYYAGRKRSMQLTNMLFRMGGAAVLLSTSRANARFELMHIVRKSTSAQDSAYHCVFHEEDEEGNLGLNLSKDLVATAGEALKSNITTTAPLVLPFSFLLSTIAQKVFKKTTSTKHCVPNFSFAVQHFCVHAGGKAVIDAVQRSLNLSDEQVEPSRTPATVAAGRPASPPSSPGVAAIDVGGSRGRIRRQTRWPRLPPREAAVTLPGAEAGRAVRAGVDAAWWRLHGPRLSKGRSGRSWSYGKVGSTWPSSGRIRRKKKTLHRFGNTSSSSLWYEMAYCEAKQLMRKGDRVWMIGFGSGYKCNSAVWKCILPSHSADSAWANCIHRYPMDVAKQYV >KQL12049 pep chromosome:Setaria_italica_v2.0:IV:38467009:38467389:-1 gene:SETIT_009156mg transcript:KQL12049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNVHYLKSPRMSDIIVMKRVLEFQGVQGVIAITIAGT >KQL09469 pep chromosome:Setaria_italica_v2.0:IV:3618678:3620905:-1 gene:SETIT_006300mg transcript:KQL09469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYIGGILKQLLGRYSIDSFMLFNFEGGKKDNQIASIFSYYDMSVMGYPRNYEGCPLLTMEMIHHFLRSSESWLSLSQDNFLLIHSEHGGWPVLAFALAALLVYLKRCSDERKALEMVCRHAPDGLAELFSPIDPVPSQLRYLKYVSKMHTSPELWPPVDKMLNLNCIIIRKVPNFDGQGGCRPIFRIYGLDPLAPNDRATKVLFSIPKTSDFVQLYTQEECEIIKVNVHCPVQGDIVIECISLDEDFEHEVMVFRAMFSTAFIEDNLLVLDRDQIDILWDTKHRFPVDFRVEAIFSDMDMSTTIGKSKLSSEEKESLAKVDDAFSHLDWSSKNDHITNHEPDQKGLHNEHDGFDAIPLEETETSNKAAEHSLLDSRSVQIHHIEPADNHYSTHSLPEAEAPGPNSQGDQLFKDTSARDEPEVDGTKNEPNSETPKDAEAGGAGAAEWSDNNSDVFLSDSPSSSAPSSPPKFDEDILEEAGMVETRSQLTELKI >KQL11296 pep chromosome:Setaria_italica_v2.0:IV:32385115:32385748:-1 gene:SETIT_007619mg transcript:KQL11296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLRAQAPAVVALLLAAAGLLVLAATVADARPLKEEPSSSSEGDDVPTVVVESPAGDIQTVVGTAEDDGAGRNKFVMSIDMLGGIKESGPSPGAGH >KQL08956 pep chromosome:Setaria_italica_v2.0:IV:433101:435498:1 gene:SETIT_007839mg transcript:KQL08956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSAGCMEQWMPTAAMVATNVVIAIMTALIKQALNQGMNRLVLITFRQMVATVFLGPIAYFKERKMRPKFTTEIFMYMFLSGILGPVLLQYTLFVGLDYTTATFAATFSNMLPVVTFLISLAFRFEALEVRSMSGSAKISGTLISLGGAMMLTFYKGSALTHTSPSIISPAASGSDHGQAGGAHGGTVRWVLGSVSMLANVVGFALWLLLQRKFTSKYPAVYSATAFMSLFSFVQAGALTLSIERSSIAVWALKGTIEIVTVVYCGVVASGMGYLLLTYCVEKRGPVFTAAFSPLSQIFVAGIDLFILHEPLYLGSVLGSVLVILGLYMVLWGKKEEAAKAVASAKPVQAEVEQQEKV >KQL09058 pep chromosome:Setaria_italica_v2.0:IV:992046:992591:1 gene:SETIT_008608mg transcript:KQL09058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAPGGGIGAKHYILAALAVTLIAAAVVTVVFVVLSPARIFFSVTEARSKQQLPGGAVNLFLTIVATNPSRRAAVRYKSMYVDVSNNTGPLWTHWLKADVTPMPLDQPTKSETRINAMVTLVTRSQVEDFTGNEMSHSFRVMITTVVRFKVGVSGTRLYVIKVACGPLDFFAKQSRNAVV >KQL09616 pep chromosome:Setaria_italica_v2.0:IV:4615291:4619611:-1 gene:SETIT_006200mg transcript:KQL09616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARRVGALVLVVVLALAVSVVWSARLDLDDDDDSDVLDELLAIDEEAERGELDGGGGDGGGAAEAVRRAQSMVLALDNDNARRAVEDHAELLLLGYAPWCERSAQLMPRFAEAAAALRAMGSAVAFAKLDGERYPKAAASVGVKGFPTVLLFVNGTEHAYHGLHTKDAIVTWVRKKTGAPVIMLQSKDSAKEFLKKDQTFVIGLFKNFKGAEYEEFVKAATTDDEVQFVETSDRSVAKILFPGITSEEQFVGLVKSEPEKFEKFDGDFEEKAILRFVELNKFPLITVFTELNSGKVYSSPIKLQVFTFSEAYDFEDLESMVEEVARAFKTKIMFIYVDTAEENLAKPFLTLYGLESEKKPTVTAFDTSNGAKYVMEADINAKNLREFCLSLLDGTLPPYHKSEPVPQGKGLVEKVVGRTFDSSVLESPQNVFLEVYTPWCVDCEAISKNIEKLAKHFSGLETLKFARIDASVNEHPKLKVNNYPTLFIYPAEDKNNPIKVSKKLSVKDMAKFIKEKLQISDVETVAATDNAPAAENIKDEL >KQL09081 pep chromosome:Setaria_italica_v2.0:IV:1090746:1094006:-1 gene:SETIT_006273mg transcript:KQL09081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGWVGGGDAKYNSYKAPGLRGALLEAAHVSCLEDRYALGPQLGWGQFGVIRSCSDLVTGEALACKSIAKDRLVSPDDVRGVKLEIEVMARLSGHPNVVDLKAVYEDEDSVHLVMELCAGGELFHRLEERGCFSEHEAAVLFRYLMEVVAHCHSKGIVHRDLKPENILLVSKSLSSPIKLADFGLATYIQPGRSLSGMVGSPFYIAPEVLSGGYNEAADVWSAGVILYILLSGFPPFWGKTKSKIFECIRSTELRFPSDPWDRVSDSAKELVTGMLRRDPRQRLTAKQVLDHSWMQEHAEQSQDSCSHCHEIGLRREDLGSCSFSTPLASRSRDVSFNTGGPVSCQGMAGEDEACSPTFACRSSFSAFVADMAPSCSTSGFSFGEACEPSGGAFLPPPVASMPSFSFFCAQECDEPEPSGSSGQNAPAEATVAAAPFSSLRTVEATTTRTARGSTSRGGVGINSRRNHTIGAGEREHLDVAVAESVIRWASCTNLSTTHSLRASLVC >KQL10539 pep chromosome:Setaria_italica_v2.0:IV:17631805:17632325:-1 gene:SETIT_008950mg transcript:KQL10539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCLLYSLSYTLIHLDLIIQILADSSQAQYAREC >KQL11288 pep chromosome:Setaria_italica_v2.0:IV:32329183:32329937:-1 gene:SETIT_009010mg transcript:KQL11288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQSQSRRNLGRLVAIEALEERPAGRRGRSLRAGGAMDNEKVAALQRLHRRRLRMASAGRLGHPNFILLLY >KQL10994 pep chromosome:Setaria_italica_v2.0:IV:29259701:29259887:-1 gene:SETIT_009025mg transcript:KQL10994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLCRSWHQAVRKHHKLKGNQVYIEPSLRSVRC >KQL12073 pep chromosome:Setaria_italica_v2.0:IV:38604116:38604599:-1 gene:SETIT_008965mg transcript:KQL12073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEFRMKEGNLKWNPGRYRIRTDSCRIVHPRPYHI >KQL11388 pep chromosome:Setaria_italica_v2.0:IV:33108882:33109710:1 gene:SETIT_009146mg transcript:KQL11388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFTRIALGRKPLEVKAFQRSSMKQKPRGWAFGSKQG >KQL12197 pep chromosome:Setaria_italica_v2.0:IV:39367888:39371868:1 gene:SETIT_005793mg transcript:KQL12197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHKDRGKRNTEQTMERPKSQRARGRGDACLSLHPSPMHCPAWGSRGSRAGGKRGGGAASSSSSAAASACVYYATTALLVALCVAGAYFLTSTSSASSSSAAADGDAAAGTVTAYRHTTRSSFAYEVTRERAAPAPPRGARADGDASSGKPHRGGVQDEENLTEVEPQQKSAVSAAAVLDDPHAKPDDQDQERGSDEAGMEEEHRISAAAMEDVKGEDGDTVAGGGEANAEDAAKEQEAVLEESREPQLEMPHEQARAAAVEEKNLDGGIEEESNAGQRQREEEQSALDEQAAGGGLRREAQEEPQIDGDERTGEESEQRQPEEERGNEHPQNEEQVHSSVSDASGGEVFRDQNGDGGDGDKPAVSEDADGNASQDGRSVEDSLVGEARTEEHKAWATQADQSHREKDRRDENAGSSSENDGGEEHEWRVCNVKAGADYIPCLDNEKAIKKLRPENFRRYEHRERHCPDEGPTCLVPLPGGYRRPIEWPKSRDRIWYSNVPHTKLVEVKGHQNWVKVSGQHLTFPGGGTQFIHGALHYIDFLQQSVRGIAWGKHTRVVLDVGCGVASFGGYLFERDVATVSFAPKDEHEAQVQMALERGIPAISAVMGSKRLPFPSKAFDLVHCARCRVPWHADGGALLLELNRVLRPGGFFVWSATPVYQKLPEDVEIWKAMTSLTKSMCWELAAIKKDRLNGIGAAFYRKPTSNECYEGRRRQQPPMCSDDDDANAAWYIRLNSCMHRVPTVPSERGARWPVEWPRRVRTPPYWLDAARAGVYGKPEPDDFTVDYEHWRRVVGRSYLNGLGIDWSRVRNVMDMRAAYGGFAAALREKKVWVMNVVNVDAPDTLPIIFERGLFGIYHDWCESFSTYPRTYDLLHADHLFSKIKERCAVLPVVVEVDRVVRPGGGIIVRDEAGAVGEVEKLLRSLHWDVRLTFSKNDEGVLYAEKSDWRPELIEEPS >KQL11044 pep chromosome:Setaria_italica_v2.0:IV:30286839:30293774:1 gene:SETIT_005768mg transcript:KQL11044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLQLSAVAGGRPAAAGGRGDEMEDVALLDSYDEEMGVPPPGGAGAEGEEEAAAEAHVRVTGMTCSACTSAVEAAVSARRGVRRVAVSLLQNRAHVVFDPALAKVEDIIESIEDAGFEAEIIPDSAVSQPKSQKTLSAQFRIGGMTCANCVNSVEGILKKLPGVKGAVVALATSLGEVEYVPSLISKDEIVQAIEDAGFEAAFLQSSEQDKILLGLIGLHTERDVEVLHDILKKMDGLRQFDVNTVLSEVEIVFDPEAVGLRSIVDTIEMGGNGRLKAQVQNPYTRGASNDAHEASKMLNLLRSSLFLSIPVFFIRMVCPSIPFLSTLLSMHCGPFLMGDLLKWILVSIVQFVVGKRFYVAAYRALRHGSTNMDVLVVLGTTASYVYSVCALLYGAFTGYRPPVYFETSAMIITFVLFGKYLEVLAKGKTSEAIKKLVELVPSTALLVLKDKEGKHVGEREIDALLVQPGDVLKVLPGSKVPADGVVVWGTSHVNESMITGESAPVPKEVSGVVIGGTINLHGVLHIQATKVGSGTVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVITLSVVTFAVWFLCGWLGAYPNSWVAENSNCFVFSLMFAISVVVIACPCALGLATPTAVMVATGIGANHGVLVKGGDALERAQNVNYVVFDKTGTLTQGKAVVTTAKVFSGMDLGDFLTLVASAEASSEHPLAKAVLDYAYHFHFFGKFPSSKDGIEQRRDEVLSKWLLEAEDFSAVPGKGVQCSINGKHVLVGNRTLITENGVTIPPEAESFLVDLESNAKTGILVAYDGDFVGLMGITDPLKREAAVVVEGLKKLGVHPVMLTGDNWRTAQAVAKEVGIEDVRAEVMPAGKADVVRYLQKDGSIVAMVGDGINDSPALAAADVGMAIGGGTDIAIEAADYVLVRNNLEDVITAIDLSRKTFSRIRWNYFFAMAYNVVAIPIAAGALFPFTGLQMPPWLAGACMAFSSVSVVCSSLLLRRYRKPRLTTVLQITVE >KQL09494 pep chromosome:Setaria_italica_v2.0:IV:3847102:3849773:1 gene:SETIT_008420mg transcript:KQL09494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISPDDVRDGNVDLSKMPVEEVFKTLKCDRKGLSGAEAEGRLRAFGPNKLEEKKESKLLKFLGFMWNPLSWVMEMAAIMAIVLANGGGKPPDWQDFVGIVTLLIINSTISYIEEANAGDAAAALMAGLAPKTKLLRDGRWEEQDASILVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVNKHPGQEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQQVLTAIGNFCIISIAAGMLVEVVVMYSVQHRAYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDILCSDKTGTLTLNKLTVDKSLIEVYSKGVDKDMVLLYAARASRVENQDAIDTCIVNMLADPKEARAGIQEVHFLPFNPVEKRTAITYVDGNGDWHRVSKGAPEQIIELCNMGADAEKKVHALIDGYADRGLRSLGVSYQQVPEKSKESAGEPWQFIGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSTTLLGDKNSTVNGMPIDELIEKADGFAGVFPEHKYEIVKRLQERGHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIVSAVLTSRSIFQRMKNYTIYAVSITIRIVLGFLVIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPKPDSWKLNEIFATGIVLGTYMALVTALFFYLAHDTNFFSDVFGVASIKENDRELMAALYLQVSIISQALIFVTRSRSWSFVERPGYLLLFAFFAAQLVATAIAVYADWEFCRIQGIGWAWGGAIWVFSVVTYIPLDVLKFMIRSALRGQACSKEQNKASLA >KQL10155 pep chromosome:Setaria_italica_v2.0:IV:10327725:10328324:-1 gene:SETIT_007700mg transcript:KQL10155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGFAAVPVMLLLVLGFLAISGAARPLSGEVWSSAGEGEAVSGDGIVQFLQRIYLQQLGAGPSCGTNSSNGGCPRHP >KQL09187 pep chromosome:Setaria_italica_v2.0:IV:1765947:1766618:1 gene:SETIT_007725mg transcript:KQL09187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWRGCLQIKDKQNLQSECKFCYFLLLKRKKNASPLPRNVTCKTGIAPGAKSTSTLVSDFIEQQKYSSVQRF >KQL09269 pep chromosome:Setaria_italica_v2.0:IV:2218857:2219429:1 gene:SETIT_007627mg transcript:KQL09269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSFYMFVGKVPFSCLKVYIPFPCFIPKFICGDVFYCTCSRKSFIQCGKFKEVSVGGKDQAALEKKRIKQLLDFTSLTIYLLGKQCCTNYELQTK >KQL10061 pep chromosome:Setaria_italica_v2.0:IV:9024465:9024895:-1 gene:SETIT_008854mg transcript:KQL10061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASRASVCGLTGALTGLRMPAGVRPTGVPVRPTGG >KQL10084 pep chromosome:Setaria_italica_v2.0:IV:9376524:9377570:1 gene:SETIT_007767mg transcript:KQL10084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCSHFYILLESVLMHILHLHLTVSELHSPCRVQLILVTGCYMESGVSAALQVSCEDIGWL >KQL10345 pep chromosome:Setaria_italica_v2.0:IV:13258567:13259995:1 gene:SETIT_007944mg transcript:KQL10345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPGELGSGARPHVMFIPSAGMGHLLPFLRVIAALARHDDVDVSVVTVLPTVSAAEADHLAGLFAAFPRVRRADLHLLPFDASEFPGHDPFLLRWEALRRSAHLLRPLIACGAAPRVSAIVTDVTLASHVIPISKELNVQCHVHFISCATMLSLLAKAELGPGVGDVDIPGVRRIPESYLPQPLRDLNNVFTKQFIDNGREIINADGILVNTFDALEPAALAALRDGKVVPGFPPVNAIGPLDSHTASTANAKQSEPAGSPVAWLGEQPARSVVYVAFGNRSAVSRDQLRQIAAGLEASGCRFLWVLKTTTVDKDDNTEVEDVLGCGFLERVRGRGLVTKAWVDQEALLKHPAVGLFLSHSGWNSVVEAAAAGMPLLAWPRGGDQRVNAMVVASGGFGVWMEHWSWDGEDRLVTGEEIREKVKEVMSDAAVRVRAMKTGEEAAKAVAEGGTSYRSMQEFIGKLRAT >KQL10903 pep chromosome:Setaria_italica_v2.0:IV:27918503:27918613:1 gene:SETIT_008552mg transcript:KQL10903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLKLFVYTVVRYFISLFIFGFLSNDPGRNPGREE >KQL11926 pep chromosome:Setaria_italica_v2.0:IV:37668791:37670487:-1 gene:SETIT_006470mg transcript:KQL11926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPSSTGAGAASPSPSASASASDPTPSWWESVSQARSRIQTLSSILPPAASQDVAALADSDRPARALLRSPAAYSALSDALRAGGGADDPACHWLYDTLLSADPDLRLAALAFLPLLAALYLRRLPPELPSSLSGFEAVLLAVYSSEAKNRQGKPVLVQVPDLSVPSLYHTPASSPSSKSPRRPQPPPIPPPQATPVVGVLSPPLEPQAAVKSTKRAGIIGVAFEAYYSKISQMPAASKVDACNAVAAWAGQYCKCRFELDDKELEEEEGDSLGSVSPMSLEAENGKELEEELARMHINGTSSGRNCGEKDDKEGRVPLPWELLQPVMRVLGHCLLAPLNPVEVRDAAADAVRIVYARACHDLVPQAILAARSLIELDKSARKAAKAAAAAASGTIVAAGTAGSTASGSRPSSKPNTPSKQRKPDTLLASK >KQL09365 pep chromosome:Setaria_italica_v2.0:IV:2842870:2846385:1 gene:SETIT_005972mg transcript:KQL09365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRAAATAAAPRGAEGAAGMTDHLWAKAAELERDFAGYKRRLAERRAQTAAEVAGGRAEDAEEERRGGDDAAAGRGRRYEEYVRRRDERLRQEWRARMERKEAEMQALWARLDRAGSRGRRGGELAAASSNAGELSYYTRLLGERHNMLHAIYFFLVDLWLSTENLANDHGNLRQKPGNLEVKVRPTAPVTPRCSVPSSPATKLSRPRTSVPSSPAAAAASPRLSTPDPRRRPSHLHREQPQAAEPPATPRKENRLPPSTATAASPATPRPRTMLSRSRSLFKDRGSSAATARESLRPPQLQPPRLSYDSASNAREPAPLPPHADAIAVTRSNSCSNSNGQAVLADLKKASAVAPEPFRLGRSGNGSVETVSPPQVIPRDEPDSSEAAPARDGNADNESNHEHVDQSSNKVGSVEITGDSDTEPSYVYIKKDTGEQTPRPCQASAGLGTCPGAEEPRSDSKDSDDNADDTMESTGSNDVAGETPVTDAEDASRRESSESLYSNVQSSFSPRSELDTSAADSPLPSATEQSPESYASPRPRMKPEVEGAEKSMPIPTTPRSSVTVSITVQSPMDAVTGLKRFLSFGKKNGKGSEAPTAAVVERSPRSTAPSTPPGDGCMSGEWSAGDSVKGRLDSSDVASADDLDNSYVISPHVRSLQSYVPSYPANPGLKEPALHAQSPRAHRSFFSFSSFKSRAI >KQL11828 pep chromosome:Setaria_italica_v2.0:IV:37064825:37066542:1 gene:SETIT_006322mg transcript:KQL11828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLLPPPRRAAAPLLTPTVPHLARLLLSHAPATPPLLLALLPACPSLLTPLLSHLLLSHSPPLPALSLYRCLLALPHFPVPGSSLPVLLRLLARSRRHAHLSFPLLESLPSTHPHLLSTPALAVLLSTALSESAPGASFDAAVTCFDSAARVWARAGRAFGAAELNALLRAFCARGRVAEARALFHRYCDAYPPDTRTFNTLLLGFKEAGHAQALDLFYHDAVLRGFVPDAVSYCVRMDAYCKKGRFADALELLDEMRRRENCKPTLQVFTTLIYGAGIVRNAARAQLLFDEMEKWGVTPDRGAHNALMGAYVRARDLKSAMAVMDKMERKGVGLDDVSYNTMFCGFQRVGNLEGIWKVYSKMVASGFMPRTRTTMLLMKVFCENGRPDLGLELWDYIMGKGCVPHRHALDILVTGLCCRGVVSEAYRCFREIIEMGMAPTERAFRVLEGFLRRTREYGKVEEIRQMMKVVQLEGHQIEEEPM >KQL09052 pep chromosome:Setaria_italica_v2.0:IV:905599:905849:-1 gene:SETIT_008311mg transcript:KQL09052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKMVIKQMHVDEERNVDMEDMLRHIEPEVLLESPKGLENFETLKKVAKDRMYEGCGKEWIVLRFVLHLLILKAKFG >KQL11733 pep chromosome:Setaria_italica_v2.0:IV:36401669:36403927:-1 gene:SETIT_007988mg transcript:KQL11733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQLVYLLTIVSLSIPLLLPLRLQCEAAATSCHHVGAGAADAAAAAAGRSSGGKAGRQRPQVKGMFVFGSSLVDNGNNNFLNGSGVRADYLPYGVDFPLGPSGRFSNGRNVIDALGELLHLPGLVPPFADPRTRGRAALRGVNFASGGSGILDHTGQLTGEVVSLRRQISNFEAVTLPDLRAHLRGAAATATDHRIKGQDPFQRCYLSKCLFIIGTGGNDYLFNYFNPRRNGSDDGAPPLPEFTRSIITKLSAHLQRLYALGARKFVIFSIQPTGCTPVVRAFLNITGAACIEPVNNAVALFNSELRRLVDGARSRMPAARLAYVDSYKIIKDMLDHPAKHGVRETGRACCEMSRSSSGVLCEKQGPVCRDRTEYVFFDGLHPTDAVNAVIARKGYGSSSPEHAYPINVKKLAML >KQL12199 pep chromosome:Setaria_italica_v2.0:IV:39389016:39390205:1 gene:SETIT_008026mg transcript:KQL12199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRAPHETLHGDADAPPAAATAAAGGIETADHLFASCCYTQEIWFAVSRILNIHIQSLGTIIADWWMQLTVGMSKHRKKGFDSSFMLISWTIWKERNDRVFGRSPAQSAAQLITSILHQAQLWIEAGAKHMITLGWPAATVGTRSQ >KQL10469 pep chromosome:Setaria_italica_v2.0:IV:15691956:15693350:1 gene:SETIT_007820mg transcript:KQL10469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWKAQPAGSRSCPGVSSGRSRQPRHRRPRVMHLTPCDLRVINFEYILKGVLLPNHRTRPASAVADGLASSLARALARSTLLLARLTAAEVTGSVSSGLVISLRCNGRGRRVRPRCGARGLRVSDIATPLYVPPVVRSFFPFNGVRGVDAVLESRPLLAGEDTELADGIFIAMSLNHGVADGTTLWHFFNTWSEISRSSSGGGEGYKLSTALPVLDRWFLDTCPAPIPLPFAKVEDIVQRPEYTPVQECFFHFSAEECKEAQGNGQLGDGRNGGDGDHLVAAVSSRAHVASGVPRPRPRATPGDNVLPCHRAPGTGEGATRLRRQRRDGRGRAVRRRRCPRDLDRGLGWPAWLLNRAVASFDEAREGDDLASWARNPSLLYLTPLRDPANVMTGSSPRFDMYGNDFGWGRPVAVRSGAENKVDGKVIVYEGRDGGGMALEVYLSPEAMSRLVADEEFMEAVSL >KQL10164 pep chromosome:Setaria_italica_v2.0:IV:10400807:10401358:1 gene:SETIT_007996mg transcript:KQL10164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAAKMKKQPASAAPPRPPSAAEAAAKKKPTTLLDAYEVECIRRELERLVLKHNHNHQSAAAGGDDTAAAPPNARAHRHHHDNHLQLHHQRVSSSTKKATSAKRVSPPAAAPPEPKKGRRRGVRLLGRHAVAMCSGTAPVAGGRGRRAVTMCSGTTAPVACATVGVGGRRRPRGGFREVEKV >KQL12372 pep chromosome:Setaria_italica_v2.0:IV:40202842:40209110:1 gene:SETIT_005834mg transcript:KQL12372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEGSTMEDDPDGAAAADSSSFVLRSGVRAGLKREFAFAIASQAALSEPLGRTRRSSRTLLTTSSPPSDGPKPKRPRPGPSLADPPPPPPPPPPEGETVEQPGPPPPPPPPPPLSTPPPQADTNIVIPPESPPRRITRSMLNHKPPTAAPPPPHNATPLKPKPEPPEEEDDSKPGPALRRFTRSLLLKDKDSNDDDLSGTTTASNASSSPSPNATTSISSSKRNTTNKIPTNLRELLATGLLEGQPVKYIMRKGKRAVLRGVIKRAGILCACSSCKGRKVVSPYYFEVHAGSTKKHPSDYIFLENGNNLHDVLRACTNATLDMVESAIQKAIGPAPHKRTFRCQTCKSSFSTLRSGKFALFCDSCLESKGAKNSTRSSKVARGPTSSAKGYKSASPGAKSASVGRLTRKDKGLHKVVFMSGVLPEGTNVGYFVGGKRLLEGYIKELGIYCHCCDTVVSPSQFEAHAGRAARRKPYHNIYMSNGVSLHELSISLLKGQKTNRQSDDLCSICSDGGQLLLCDTCPRAFHRECVSLFANPKGTWCCRYCENRQQRESCLAYNNNAIAAGRIEGADPLAEIFTRSIRVATSLATGFGGCALCKLHDFSKKKFSTRTVLLCDQCGREYHVGCLKEHNMADLTALPEGAWYCSTDCVRINQKLQDLLNRGGEPVPTMDLDVIKKKREEKGLDEDADLDVRWRVLKDKSSEDSKLVLSKAVAIFHETFDPIIQIATGRDLIPAMVYGRSARDQDYTGMYCAVLTVRNTVVSAGLFRIMGSEIAELPLVATSRDSQGLGYFQALFACIERLLASLEVKHFVLPAAEEAESIWTERFGFTKISQDELHEYLKGGRTTVFQGTSTLHKLVPLPEREC >KQL09293 pep chromosome:Setaria_italica_v2.0:IV:2374289:2374848:-1 gene:SETIT_007541mg transcript:KQL09293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRWQCSALTKVGFGVLACNSALAICNSWGNAGSVAFVLAADAALVLLFICLARFERAAGAGGRNSLKAAVWTLTTLLTAMFASRVAPLMPPAVGAVVWAMAAATAAGGFWAFFLNP >KQL10299 pep chromosome:Setaria_italica_v2.0:IV:12554658:12555203:-1 gene:SETIT_007661mg transcript:KQL10299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGHAVSSVHPRVAGRAEVCSVGATVHHARRRAAPIARPGPWPTPFTTSNLLLQNAAAKIIIHERRDLSLALAPRWQFRSLSASK >KQL11398 pep chromosome:Setaria_italica_v2.0:IV:33220220:33222190:1 gene:SETIT_007126mg transcript:KQL11398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPPVRTPGRLLAAGGPSSSSSSLCAIFISASLSPSLSLPSPFERALASLSLPLYPRPHQWGSTTYGLSTSAMPAAARGGSHTATPTTRPKIKIIHIIAPEIIKTDVANFRDLVQRLTGKPVPSASVAVGMATTTSPPVQEEEKGTTKKRPAPAAVTTTTTERSDFTAQQEPSKKTKIKCEVKVEEGGFGDYYDLADRSSDLWMDLNPGGFLSFLEEEADVFQGLAAADDFLLPLGSSRLDLVGEMYASGFIQA >KQL12290 pep chromosome:Setaria_italica_v2.0:IV:39856480:39858106:1 gene:SETIT_006309mg transcript:KQL12290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRIESLVQELFGAPLLANCSGDMRVLERWFSELGVGWVLHLPLADDDDAPAAAGKLKLEHSFDARSWIRALAEIVRTIRSTASLFPERGSMSMGLPIISEEGQAVADDQFLLRRVTNKLFRRVTNKLFRTNSVGLPRACEEEPEARRIPDQLQFAQFFQQAMLRMLAFVDSVVGTEVVATNGVQEEPYEKLNTLLGVRGALSKALHQIHLSSYSPASADVFRIQRDIISLLAAKEGKTGEAIWSAMQQVFTRIMEEDGNDLLETQDPPESSGIHKATRSVTRYMGFLQANYSSVAPIVSEAARYGEYAPQNGGIPPLDSMVLEMASCLEEKLGRISQLFPNNSLGFLFVINNLYCLMEQLSANPMSSLSFSISVLTRKIKNTIEYYLQVSWAPVLLCLHISHTPFQLGRYCSPLPKFDSEFLKTYEVQKFWKVPNPDLREVLRRAVTEKVVSGLTEYLRDSNTICTVRTLGSSFTPQELEEMLQELYEG >KQL10847 pep chromosome:Setaria_italica_v2.0:IV:26843705:26844204:1 gene:SETIT_008960mg transcript:KQL10847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYYPKICQLALVCALWSTMSCHLKYEFQGYMSGLWLVPCCILDQCLLVPCLFINLV >KQL09915 pep chromosome:Setaria_italica_v2.0:IV:7456495:7457713:-1 gene:SETIT_007239mg transcript:KQL09915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAVASKGAPLAGLLKKLLLAAPSSSGAAPAAALALRPASVATARRLFNTGGAPFRRGDDDYEEESSGDEDVFYDRRRRARDFSTPMFFSADVLDRFGEPMRLGRLLALMEDDDAAAPRRGWWVSKEDDDAVQLKVAMPGLGKEHVKVWADQDGLMIKGEGTEDDDDEEEGPARYSSHIGLSSDAFKMDQIKAEMKDGLLKVTVPKIKVEDRKDVFQVMVE >KQL11653 pep chromosome:Setaria_italica_v2.0:IV:35604445:35608488:-1 gene:SETIT_005914mg transcript:KQL11653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTEAWSGWFTAFGKPVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTSGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALVSGDPTVQSIGNYEKAYVFKSSGGACAAFLSNYKTNAPATVVFNGRRYELPAWSISVLPDCKTAVFNTATVKEPSAPATMSPAGGFSWRSYSEATNSLDDRAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSSEQFLKSGKWPQLTIYSAGHALQVFVNGQSYGTAYGGYDSPKLTYSGYVKMWQGSNKISILSAAVGLPNQGTHYETWNVGVLGPVTLSGLNEGKRDLSNQKWTYQIGLHGESLGVHTAAGSSSVEWGGAAGNQPLTWHRAYFNAPAGGAPVALDLGSMGKGQAWVNGHHIGRYWSYKAAGGGGCGGCGYAGTYSETKCQSGCGDISQRYYHVPRSWLNPSGNLVVLLEEFGGNLSGVTLVTRTA >KQL11651 pep chromosome:Setaria_italica_v2.0:IV:35605889:35608317:-1 gene:SETIT_005914mg transcript:KQL11651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGALLVALLAAAAVIIVSSPAPANAAVSYDHRAVTINGQRRVLISGSIHYPRSTPEMWPDLLQKAKDGGLDVVQTYVFWNGHEPVQGQYYFGDRYDLVRFVKLAKQAGLLVHLRIGPYVCAEWNFGWVRHRHHHPWNSCCFKNCSLTSSSFRHGIHGCLLQWVPRVAQVRPRHQLPDGQRAVQGGDAGVRGEDRVDDEGGGALRVAGRAHHPGAGGERVRAHGVRHGRRRPALRQLGSQDGRRHRRPRALGHVQADDAPDPVINTCNGFYCDYFTPNSNSKPTMWTEAWSGWFTAFGKPVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTSGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALVSGDPTVQSIGNYEKAYVFKSSGGACAAFLSNYKTNAPATVVFNGRRYELPAWSISVLPDCKTAVFNTATVKEPSAPATMSPAGGFSWRSYSEATNSLDDRAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSSEQFLKSGKWPQLTIYSAGHALQVFVNGQSYGTDDWIF >KQL11650 pep chromosome:Setaria_italica_v2.0:IV:35604445:35607295:-1 gene:SETIT_005914mg transcript:KQL11650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDFQINTCNGFYCDYFTPNSNSKPTMWTEAWSGWFTAFGKPVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTSGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALVSGDPTVQSIGNYEKAYVFKSSGGACAAFLSNYKTNAPATVVFNGRRYELPAWSISVLPDCKTAVFNTATVKEPSAPATMSPAGGFSWRSYSEATNSLDDRAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSSEQFLKSGKWPQLTIYSAGHALQVFVNGQSYGTAYGGYDSPKLTYSGYVKMWQGSNKISILSAAVGLPNQGTHYETWNVGVLGPVTLSGLNEGKRDLSNQKWTYQIGLHGESLGVHTAAGSSSVEWGGAAGNQPLTWHRAYFNAPAGGAPVALDLGSMGKGQAWVNGHHIGRYWSYKAAGGGGCGGCGYAGTYSETKCQSGCGDISQRYYHVPRSWLNPSGNLVVLLEEFGGNLSGVTLVTRTA >KQL11652 pep chromosome:Setaria_italica_v2.0:IV:35604445:35608317:-1 gene:SETIT_005914mg transcript:KQL11652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGALLVALLAAAAVIIVSSPAPANAAVSYDHRAVTINGQRRVLISGSIHYPRSTPEMWPDLLQKAKDGGLDVVQTYVFWNGHEPVQGQYYFGDRYDLVRFVKLAKQAGLLVHLRIGPYVCAEWNFGWVRHRHHHPWNSCCFKNCSLTSSSFRHGIHGCLLQWVPRVAQVRPRHQLPDGQRAVQGGDAGVRGEDRVDDEGGGALRVAGRAHHPGAGGERVRAHGVRHGRRRPALRQLGSQDGRRHRRPRALGHVQADDAPDPVINTCNGFYCDYFTPNSNSKPTMWTEAWSGWFTAFGKPVPHRPVEDLAFAVARFIQKGGSFVNYYMYHGGTNFDRTSGGPFIATSYDYDAPIDEYGLLRQPKWGHLRDLHKAIKQAEPALVSGDPTVQSIGNYEKAYVFKSSGGACAAFLSNYKTNAPATVVFNGRRYELPAWSISVLPDCKTAVFNTATVKEPSAPATMSPAGGFSWRSYSEATNSLDDRAFTKDGLVEQLSMTWDKSDYLWYTTYVNIDSSEQFLKSGKWPQLTIYSAGHALQVFVNGQSYGTAYGGYDSPKLTYSGYVKMWQGSNKISILSAAVGLPNQGTHYETWNVGVLGPVTLSGLNEGKRDLSNQKWTYQIGLHGESLGVHTAAGSSSVEWGGAAGNQPLTWHRAYFNAPAGGAPVALDLGSMGKGQAWVNGHHIGRYWSYKAAGGGGCGGCGYAGTYSETKCQSGCGDISQRYYHVPRSWLNPSGNLVVLLEEFGGNLSGVTLVTRTA >KQL11713 pep chromosome:Setaria_italica_v2.0:IV:36273948:36276668:1 gene:SETIT_006763mg transcript:KQL11713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAASSLSSVNWIFSHSPGPHMTLAAYMEHHIQTNHGSVSVAIYGDHDKPALITYPDIALNHMSCFQGLLFCPEAASLLLHNFCIYHISPPGHELGAAPILPSTPVASVDDLADQVADVLDFFGLDSVMCLGVTAGAYILTLFATKYRERVLGLILVSPLCKAPSWSEWFYNKVMSNLLYYYGMCNVVKDILLQRYFGKGVRGCSTEPESDIVQACRSFLDQRQGMNVWRFIQTINERKDLTENLKQLQCRTLIFVGENSQFHAEAVHMTAKLDSRYSALVEVQACGSVVTEEQPHAMLIPMEYFLMGYGLYRPSQINCSPRSPLNPFCISPELLSPESMGLKLKPIKTRANQKA >KQL12070 pep chromosome:Setaria_italica_v2.0:IV:38584912:38585490:-1 gene:SETIT_008010mg transcript:KQL12070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTGNRAACPPSPREPPRAPRFAPPQLRSSAPYKITRPVTPPPTPFHFPFRSTKTTALLPSPANAPPGSEPPRFSGNKEIVMAEAGSAAASSARGPSASSSGCRGGRGCGLALGRLVRKLRRQSRMLVCTATAAGARHGHAASSSSSARCLQYDALSYARNFDFGTALDGSDGGYSFASRFVLAAPARRPQ >KQL09493 pep chromosome:Setaria_italica_v2.0:IV:3842733:3843461:1 gene:SETIT_007806mg transcript:KQL09493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHKTFRIKKKLAKKQRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KQL09901 pep chromosome:Setaria_italica_v2.0:IV:7289458:7291893:1 gene:SETIT_007464mg transcript:KQL09901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLAQGTKVLAEGGYEKIFKQTFEVLPDEQLKICYACYLSTSAGPVMGVLYISTAKIAFCSDNPLSYKAGNKTEWSYYKVVIPLHQLRAANPSVSKMNPAEKYIQVVSVEGHEFWFMGFLMYDKAAASLQEALASARELQP >KQL10272 pep chromosome:Setaria_italica_v2.0:IV:12315786:12317521:-1 gene:SETIT_006413mg transcript:KQL10272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAKAAEQQRRGGGGHLRQRLLGIASDLPVHKLQLAATPAAASLLPALAVAALLLLLAAARRAPASSFLDAYRSGVDIVSTPSTPPRGAAAAAAARVPRGCDIFRPGEWVPDDDAPYYTNLTCPLIQEHQNCMKYGRPDTGFLRWRWRPAGCELPRFDAAAFLDAVRDTSMAFVGDSLARNHMQSLMCLLSKVAYPKDISKTTHPEFRTMHYESHNFTVAIFWSPFLVRGYQPDPRRHMWDIHLDEPDAAWASGIAGFDRVVLSAANWFTRPAMFYESGRVVGCHYCLAPGVPDLTHRHSLRMAFRAALRVLVAGFNGTVIVRTLSPTSHFEGGAWDRGGDCRRTRPLTAGEVRMGGLDLDYHTAQVEEFARAKAEVEASGSGGRGPRLVLMDTTPAMVLRPDGHPSRYGHWAHENVTLYNDCVHWCLPGPIDAWNEMLLQMLLPDHPS >KQL12085 pep chromosome:Setaria_italica_v2.0:IV:38646375:38647606:1 gene:SETIT_008045mg transcript:KQL12085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRIATAGGSGWSTLPGDLLEQISSRLSTDADRLHVRQVCAHWRACTSPLAALRPWIVARAGPLPTSGHPAYSAWLPRRLRQQRMVGVRAAPAGLPHCRGASRGWLALVDDIRSPTRLVLWDPVSGSEVPLPCLSRVTQVFLSGDPLGSPDWIAVASQRVSTLGTKLFFWRPGDAAWSSLFEQPTAGVPSVVFHGGRMFYMDLRQLIAAYDLNLGAPNRRPASAGMSYFGPKVDKLCRCERLVHLVREVLMVSCDGELLLVVLRVSSACSSFAEVYKLDWTPKGTPKIGERVTDLGEYSLFLGLSESFALSAKEFPAVRRNHIYCVNPYHKLSDWAFVFDLGSDTLKGIPYPEELRDDGAKWWPYYWLCLRSPLTKKQQN >KQL09727 pep chromosome:Setaria_italica_v2.0:IV:5508987:5510781:1 gene:SETIT_008043mg transcript:KQL09727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCLPFAGLKNPITLMSHVTPVMAIATMILSLLLDPWSDFQKNSYFDNPWHVMRSCLLMLIGGSLAFFMVAVFYFHDEFTWLKGVGLFTIMVGVSLFNWYRYDKFKRGQTSEDDINSPQFTGDAKYIILDDLEYQDEFGEEDT >KQL12317 pep chromosome:Setaria_italica_v2.0:IV:39984132:39986882:1 gene:SETIT_008621mg transcript:KQL12317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSHHHTKPSRRRSRGTAEKAASREIPIQPPPNVIARLMGIDAIPIPIPAPKPAAIVQAHAHPTSCLKPPATASGAEAKVISPRSAPFRQAKCSLLSYRSRDLNGDSSSSRHHCLKKMRVPGRSRSRQRRRHPQEDLLQTIRHDFQAAWQQASNAMDSCSVTSAARLPTTSSTHLLDGRCIQMIAQENLRKQKMARYGLASSMAMEGENSLKNAMQQTDDPKPEERVITVLRAGPCAGAAASGKFRDLEGADKDEELLQPTTIVLLKPSSDVDAQEGQEPLFGLPKVTRDGNMSRFLQEVKEMLQQQLKANDTSHLNTTTWGTEPEQIARGLAKQTKETVTKDLLSKRFFRSESFRGFRSDRKRKEATTKQASPEHVRIVTRNHLAHRITSATPRARTETVSSSPKKDDEESVSSSCSITSSERVRSLADVSPSASGIGFGDECQMKHKDHSTVSSARALFRSFSAPELGFSLGILFGDGSVRSATHEASGMASEGSAAMTSKNRTSFGFIRGTVSSLRHSFSLRKNLFRRKTHWSKKTSLVELHPQMAIGTAPPSPETFNLFKANLTELPPSPVSPLEVVGHSCRHFFSDLNCTLPELSPKCVSEFEAPASELSYRTDITGETACNQDKAYIREVLVAAGLYDDGSSDNKANARVDSMARPICDGIFEEVEDIYYYRGKYCDDAIGTYNDAGGNATDHRMLFDLANEALQSLVQGAKTGSSLRQWIIDSTGVSRGRRLVDDVWQQVQTLRNPQMQEMQTIDSMVAYEIRKSVWADALYEDVYVVGRKIERAIFDELVEDLMVEVFI >KQL10287 pep chromosome:Setaria_italica_v2.0:IV:12488484:12489061:1 gene:SETIT_009034mg transcript:KQL10287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLYQKDEVKMHIHMKIRTAEHLIISSRRRIAAELRQ >KQL11432 pep chromosome:Setaria_italica_v2.0:IV:33575515:33577623:1 gene:SETIT_007852mg transcript:KQL11432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELMCSSPHLKTKHRKNLRLPDPPHPLPSDDDKDDDDFILEPIETVPSLDAHATRKRWRGEASSSVHYLVDPTKDTNNGDDDGQDKKIRPVSLQCSPFKFAELVATIDNEIKDRLCSMGFGGLLEFNPTILDRSLLTWLMDKFNPDTIKLEFGSGKEIEINEHSVWCVFLLTKEKLKFRTLAGDLAVRSFLMHAFCTLLFSYTDNYIRLDDVVWTDDLDRIGGIKWCKAVVDSLKVATRLYRLEKKTKGSDAPITGCGIFLIMLYVGRLQHRLDIDQARLPRCSVLDNRIIDRVAAMDRRGDVPSAVIEYNNLELRSLSSTCYVSPPAAAPAPAPAYAPSIAAAAARPSAPSSSTMDAPVLFNYPSFFSSFGQSLCELVGRSKNSKAEKILRSYDASTAKAQSMMRKAHNLTRTANELMAKAHHECYIGIEKLLNDARAT >KQL11323 pep chromosome:Setaria_italica_v2.0:IV:32583441:32583908:-1 gene:SETIT_008896mg transcript:KQL11323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRELYHLRVGQMSSHKKTNFVSRSHRKTYLVTKVS >KQL09049 pep chromosome:Setaria_italica_v2.0:IV:887132:887992:1 gene:SETIT_007526mg transcript:KQL09049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARYVKVASRYFIVGNGNAGDGCGGGGDHRRRHFLDACFLCKRDITPGRHIFMYKGDAAFCSDECRQDQRAMDAALKAARRRQRYLQRSASLPVPSSAAAAAMPRRPTVAGLAAHAPVLSG >KQL09144 pep chromosome:Setaria_italica_v2.0:IV:1490761:1491075:1 gene:SETIT_008510mg transcript:KQL09144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRILGLSLIGAGPGNVFGPGMSAGVLESFARGKAEEGNGRGAAGGTGSAAAWSGGKIAAERGSEAETKGAGGQERRGGEAEARFYPAFDGVLCFDAVAPYWHA >KQL10966 pep chromosome:Setaria_italica_v2.0:IV:28934592:28939859:-1 gene:SETIT_008423mg transcript:KQL10966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFRQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNGVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFQSPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRKKKRFTGEMDVSEGRLSADYDTGIAHAAVENQKALNLDRAVIGRVIRTIGMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFELSDIVFSPTCYKDRELWRCTTPMILYFVVEFHMPHRVVRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSEDDADIADAYDTVTRHGTQPERAPLHDYMGQQLARLSNEAGVIMEHAVGEGDSLLRAFAERVRKSCRRMVQRMNCMTSSDAHDGANVQGTSSGSRRTALVTTPRAATPSTAAGPSRRSRGKEPASPQESEDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSQ >KQL09932 pep chromosome:Setaria_italica_v2.0:IV:7539975:7542315:-1 gene:SETIT_006315mg transcript:KQL09932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRAHAMLFPFPCSGHINPTLKLAELLHSRGVYVTFVNTEHNHERLLRTAGGLRGREGFRFESVPDGLSEEDRRSPDHTVKLYLSLRRSCGAPLAALARGLIGEREGVPPVTCVVLSGLVSFALDAAEEAGVPAFVLWGTSACGFVCTLRLRQLRQRGYTPLKDESYLTNGYLDTPIDWIAGIPPVRLGDVSSFVRTLDPQCFALRVEEDEANSCARARGLILNTFEDLEPDVLDALRAEFPRVYTIGPLAAAMHSAQGHGHGAAGLSLWEEDAACVAWLDAQAPGSVLYVSFGSLAVLSLDQLEELAWGLAATGRPFLWAVRPGLVAGDRGADALPEDFLAATRGRCFIAEWCAQEQVLRHPAVGGFLTHSGWNSTTESILSGVPMVCWPGFADQYINSRYTCGDWGIGLRLDEALRREQVAAHVEELMGDTGRAREMRRNAARWKAAAEAATAPGGSSYESLRRLVEELRVGDADADAWTAGDP >KQL10198 pep chromosome:Setaria_italica_v2.0:IV:10802497:10806123:-1 gene:SETIT_006281mg transcript:KQL10198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPVSPAKAMAAAVAVVVLLAASSAVLAVHDYSDALHKSILFFEGQRSGRLPPNQRLRWRQDSAIHDGAEAGVDLSGGYYDAGDNVKFGFPMAFTATLMSWGLIDFGRSFGAHEADAREAVRWATDYLMKATSTPGTVYVQVGDASRDHACWERPEDMDTPRTVYRVDASHPGSDVAAETAAALAAGSLVFRDADPGYARRLLDRAAEVFAFADAHRGAYSGSLRDAVCPCYCDYDGYQDELLWGAAWLHRASHRRVYRDYIKRNEVALGASDAINEFGWDNKHAGINVLISKEVLMGKDEYFQSFRENADNFICSLLPGISGHPQIQYSPGGLLFKVGNSNMQHVTSLSFLLLAYSNYLSHAGGHVSCGGGATASPAQLRRVAKRQVDYILGDNPLRMSYMVGYGPRYPLRIHHRASSLPSVAAHPARIGCKAGAAYYASPAPNPNLLVGAVVGGPSNSTDAFPDARAVFQQSEPTTYINAPLLGLLAYFSAHPDPAQQNGRD >KQL09485 pep chromosome:Setaria_italica_v2.0:IV:3757822:3761667:-1 gene:SETIT_006013mg transcript:KQL09485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGAARMEEKKGLMMGRERDRFPVGMRVLAVDDDPVCLKVLETLLRRCHYHVTTTNQAITALKLLRENRDMFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSVNGETKSVMKGITHGACDYLLKPVRIEELRNIWQHVVRRKFSKRERSNLEIYKDFNKPPSADSCHGHSQIVGGASDQSGRICKKRKEMHSDEEDDGEENDLQEGDEPSAAKKPRVVWSVELHRKFVAAVNQLGIDKAVPKRILELMNVEKLTRENVASHLQKYRLYLKRLSAVASQQASIVAAFGGRDPSFLHMGAFEGIQSYQPFVPSAALSSFNPHGLLTGASAATFGVQELAPAMTVQTATNNGIISHCGSDGSKFQYVGLQENQHANLAQGSTTSLGLPQLEQKWIHQENNDLSTVFSGSALANSLSGALQRVTSSSLPPQELLECTQAKLSIQTSMPMPSMNSELVERTIGISCNLQDSSVSHQGALPINEGFSADKLQLHDPFDGTSGTKFSVTMPVCPSGSLTATNNTKSGASSSCGTVLLAPDTGRHSNYMQFGGASNSRREMDGMKQDHLQNQGLSIGGFSHDFGACMTQQTNASMLPLTPEVKIHSLTSEDKLKQKNVCDFGIPKLHGGVSSTSCNFDGLLSSMIKAEKDDFSFTDNDLGCDFFPLGACI >KQL10327 pep chromosome:Setaria_italica_v2.0:IV:12952980:12954174:-1 gene:SETIT_007029mg transcript:KQL10327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCPINVVDEAEQGRAISVTDAPPGATEIRATGQRGIPVLIAPLQGQSDGGRARGATAQEMGMGFQGFLLLEKKDDDDPDAQRKKWFKEMRGWLMVLATVAASVTYQAGLNPPGGFWQDDNDGHHAGNPVLRDRHWSRYMIFYYFNATAFVTSLVIMVLLMSERFYHTEAKVVALMLTTFIDLISLISAYIAGTTRFFSSCIYIIVIACVAFAGVIYIGEVMAELCRFIMRRMPCMLRLVQSKWFPAPAEVVRNLQPREERSQTRTAATSNQRRAACSACCASAPSVEG >KQL12330 pep chromosome:Setaria_italica_v2.0:IV:40043730:40047028:-1 gene:SETIT_006539mg transcript:KQL12330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILVRAASPGLSDAAHHGSGSGSLGQCSSLLKRRRRWMLCSLRYACLGLGPGEREIGRAPAVYSSLAVNPAGEAVVSSEQKVYDVVMKQAALLKRQLRTPVPLDVSRPRDLEMPRNGLREAYARCGEICEEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFEGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKARYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFNGVVTNRWRKFMKQQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKGKKLLALPVAYGKSLLLPCSLRNSQT >KQL12329 pep chromosome:Setaria_italica_v2.0:IV:40043730:40046934:-1 gene:SETIT_006539mg transcript:KQL12329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIILVRAASPGLSDAAHHGSGSGSLGQCSSLLKRRRRWMLCSLRYACLGLGPGEREIGRAPAVYSSLAVNPAGEAVVSSEQKVYDVVMKQAALLKRQLRTPVPLDVSRPRDLEMPRNGLREAYARCGEICEEYAKTFYLGTLLMTEERRRAIWAIYVWCRRTDELVDGPNANYITPTALDRWEKRLEDLFEGRPYDMLDAALSDTISRFPIDIQPFRDMIEGMRSDLRKARYKNFDELYMYCYYVAGTVGLMSVPVMGIAPESKATTESVYSAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFNGVVTNRWRKFMKQQIKRARMFFEEAERGVTELSQASRWPVWASLLLYRQILDEIEANDYNNFTKRAYVGKGKKLLALPVAYGKSLLLPCSLRNSQT >KQL10627 pep chromosome:Setaria_italica_v2.0:IV:20714041:20717090:1 gene:SETIT_008499mg transcript:KQL10627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFPYPLMCINHQREYSVVISLSNSEESDGGRMEHTPPALPPTPPTRLDAIVASTSPTPALTPSLAATSPPSLPASRPSPVTASGRSRAQRWGRDTPPTGKSGGGAPSLSFKDALLAAIPAVPECSASILPRGPDAEGWQEAESRRSRKAHLREERGLRCRVPVDLHDLCFNCFSQTSSVACNNWTHCFKCRRLGHRAVRCPIFGMAARPAPASRHPTSKHSSTVVWRRKDTGSTVAGGSDGIGGGAALPPPAESGGRRRRRRVRRWRSSAQGEGDPPPPAGAGHPPAVVPADGVQGVAGAGAGPRPRRVIDHSERMDRAEEELCFALSVLMVSDHATISVDGLVAELAYRYDLLGGSIVLHHLRPNELLLVFSSEEDAVRVYNEGRPIHLPLIALHCRRWSRLKDATGVSLPQLVDIEIRGVPAHVWEMETAEHLLDEWCWIRGLHPDTIDRRDYSSFQLSAWCLQPEKIPTAMELVVVEPPAPLVEDDPPLKRALSYDIKITVAPDARRVAGVGAPLAPPPAGHGAGRRRRRDSCSPGSSPRSSGDGSPSRGAAPRPLRHAGPGSDGCGTGVQEASCGAAPHLLQHVGPECDEYGTGVQEVPAGAACSTSLVAAAITAPFIPCNQADVDLLFANASPNHMTPGISESNGLIWSDNVAPLMGSNSASPFVPLDDPVLNTVGMSPAVRSSQDQLFNSLVTASGEASTIKTYFCRGKKKTASMRPDVSLAVCSEQALASVDAVEAITPPPPELAPVRVVGTMMPSPVVHHQDMEVLAPTSIVEDALAPPPAPSRPVCAAVTVAPPLVTPVVAETDSLIMMREGSSSDAQPSQVAPAATPAATSAFIAKVTKPVGVVLQRPPPPKPRKKTLSKDFVPRRSRRVANLPPVSDHKSAVAICRQLQFKNGEDNADFGVEGISEETMGQYVKIFEQTLSHEHVKALAALFGWNAPSCDEVRSMASI >KQL09705 pep chromosome:Setaria_italica_v2.0:IV:5388002:5392322:-1 gene:SETIT_006688mg transcript:KQL09705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSRSKQGPDHLLVLVHGIMASPKDWTYGEAVLKRRLGDNFFIYASSSNTYTKTFDGIDIAGRRLADEVLDVVKKMSSLRKISFLAHSLGGLFARYAIAILYSLETENAGQRSAQIVPTARGSAKSRCTSGLGAVAGLEPINFITLATPHLGVRGRNQLPFLQGLSILEKLAAPLAPLIVGRSGAQLFLTDGDPSKPPLLLQMASECEDKKFMREKDLIKPSHRSLDGYKHIVNVEYCSPVSSEGPHFPSKAARAKEAAQRTPNTENTEEYHQMMEEEMIHGLQKVGWKKVDVNFHSSFWPYLAHNNIHVKNEWLHNAGAGVISHVADSIKQQESRPCLPANL >KQL09707 pep chromosome:Setaria_italica_v2.0:IV:5388222:5390711:-1 gene:SETIT_006688mg transcript:KQL09707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSRSKQGPDHLLVLVHGIMASPKDWTYGEAVLKRRLGDNFFIYASSSNTYTKTFDGIDIAGRRLADEVLDVVKKMSSLRKISFLAHSLGGLFARYAIAILYSLETENAGQRSAQIVPTARGSAKSRCTSGLGAVAGLEPINFITLATPHLGVRGRNQLPFLQGLSILEKLAAPLAPLIVGRSGAQLFLTDGDPSKPPLLLQMASECEDKKFILALAAFKNRVLYANVSYDHMVGWRTSSLRREKDLIKPSHRSLDGYKHIVNVEYCSPVSSEGPHFPSKAARAKEAAQRTPNTENTEEYHQMMEEEMIHGLQKVGWKKVDVNFHSSFWPYLAHNNIHVKNEWLHNAGAGVISHVADSIKQQESRPCLPANL >KQL09704 pep chromosome:Setaria_italica_v2.0:IV:5388002:5392322:-1 gene:SETIT_006688mg transcript:KQL09704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDVVKKMSSLRKISFLAHSLGGLFARYAIAILYSLETENAGQRSAQIVPTARGSAKSRCTSGLGAVAGLEPINFITLATPHLGVRGRNQLPFLQGLSILEKLAAPLAPLIVGRSGAQLFLTDGDPSKPPLLLQMASECEDKKFILALAAFKNRVLYANVSYDHMVGWRTSSLRREKDLIKPSHRSLDGYKHIVNVEYCSPVSSEGPHFPSKAARAKEAAQRTPNTENTEEYHQMMEEEMIHGLQKVGWKKVDVNFHSSFWPYLAHNNIHVKNEWLHNAGAGVISHVADSIKQQESRPCLPANL >KQL09706 pep chromosome:Setaria_italica_v2.0:IV:5388002:5392322:-1 gene:SETIT_006688mg transcript:KQL09706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSRSKQGPDHLLVLVHGIMASPKDWTYGEAVLKRRLGDNFFIYASSSNTYTKTFDGIDIAGRRLADEVLDVVKKMSSLRKISFLAHSLGGLFARYAIAILYSLETENAGQRSAQIVPTARGSAKSRCTSGLGAVAGLEPINFITLATPHLGVRGRNQLPFLQGLSILEKLAAPLAPLIVGRSGAQLFLTDGDPSKPPLLLQMASECEDKKFILALAAFKNRVLYANVSYDHMVGWRTSSLRREKDLIKPSHRSLDGYKHIVNVEYCSPVSSEGPHFPSKAARAKEAAQRTPNTENTEEYHQMMEEGWLEEGGRQLPFIVLALPCSQQHTRQERVASQRWCRCYLARGGQHQAAGVTAMSSCESLALAF >KQL10777 pep chromosome:Setaria_italica_v2.0:IV:25550306:25550703:1 gene:SETIT_008464mg transcript:KQL10777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSDNCRHGYHVPFPRGDALNIYRHPDNTYGCPVCPARIHRWRILNEVKDNILGMARTVALRGDNKKKWSRHHVVAWNEGWMLGWHCTLPI >KQL11794 pep chromosome:Setaria_italica_v2.0:IV:36805962:36809350:-1 gene:SETIT_006556mg transcript:KQL11794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARSLAALSSARATRPGPRRAGAWPPRVSAAVARAVAAEPEHARRLVAEFDPAVPLDAAVTPPSGWYADQDFLRLELDRVFLRGWQAVGHIWQVKNPNDFFTGRLGNVEFVVCRDANGNLHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGTLLKATRISGIKNFNKNDFGLVPIKVATWGPFVLARFDDESTEDDAGDVVGDEWLGSASELLSTNGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLAYERVSVQRCESAPSEPDDFERLGTKALYAFVYPNFMINRYGPWMDTNLAVPLGSTKCKVVFDYFLDKSLLVQSIFFNLCANLCLFYASQVLC >KQL11793 pep chromosome:Setaria_italica_v2.0:IV:36804916:36809350:-1 gene:SETIT_006556mg transcript:KQL11793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARSLAALSSARATRPGPRRAGAWPPRVSAAVARAVAAEPEHARRLVAEFDPAVPLDAAVTPPSGWYADQDFLRLELDRVFLRGWQAVGHIWQVKNPNDFFTGRLGNVEFVVCRDANGNLHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGTLLKATRISGIKNFNKNDFGLVPIKVATWGPFVLARFDDESTEDDAGDVVGDEWLGSASELLSTNGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLAYERVSVQRCESAPSEPDDFERLGTKALYAFVYPNFMINRYGPWMDTNLAVPLGSTKCKVVFDYFLDKSLLDDQNFIERSLKDSEQVQMEDIALCEGVQRGLESPAYGVGRYAPSVEMAMHHFHCLLHANLSG >KQL11792 pep chromosome:Setaria_italica_v2.0:IV:36805135:36809238:-1 gene:SETIT_006556mg transcript:KQL11792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARSLAALSSARATRPGPRRAGAWPPRVSAAVARAVAAEPEHARRLVAEFDPAVPLDAAVTPPSGWYADQDFLRLELDRVFLRGWQAVGHIWQVKNPNDFFTGRLGNVEFVVCRDANGNLHAFHNVCRHHASLLACGSGQKTCFQCPYHGWTYGLDGTLLKATRISGIKNFNKNDFGLVPIKVATWGPFVLARFDDESTEDDAGDVVGDEWLGSASELLSTNGIDTSLPHICRREYIINCNWKVFCDNYLDGGYHVPYAHGALASGLQLQSYETLQAYERVSVQRCESAPSEPDDFERLGTKALYAFVYPNFMINRYGPWMDTNLAVPLGSTKCKVVFDYFLDKSLLDDQNFIERSLKDSEQVQMEDIALCEGVQRGLESPAYGVGRYAPSVEMAMHHFHCLLHANLSG >KQL11195 pep chromosome:Setaria_italica_v2.0:IV:31570616:31572318:1 gene:SETIT_006914mg transcript:KQL11195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWIGGQPSLSLDLNVGLPMAGPAPPATKVLVEENFLPVKKDRSEVEALEAELRRVGEENKRLSEMLRAVVAKYTELQGQVNDIVAAAGNNNRQSSTSEGGSAASPSRKRIRSDSLDRKLSPPFAIPVSADQMECTSAAAAAVFHEPGRRIREECKPKVSRRYVHADPADLSLVVKDGYQWRKYGQKVTKDNPCPRAYFRCSFAPACPVKKKVQRSAEDKTILVATYEGAHNHGQPPQHDGKAAKPLPPPQASEAVRAAPLPLQQQQQRHEAAAAAAGPSEVVRKNLAEHMAATLTRDPGFKAALASALSGRILELSPTRD >KQL11985 pep chromosome:Setaria_italica_v2.0:IV:37989409:38000598:1 gene:SETIT_008724mg transcript:KQL11985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSPEEGVLSVLWKKYENACDKVEKEKSLQIFILQFVETFRDWGPRPIEQLVGQELGSNETAVGCCCGHPSEVILILIQEISVIASTIVEIFSYYGGVQKITSLLKAAVAQLRNLNSLLAADDQSSDKEVGNTTLMLNILVFIITIISNFMKLEITVARVPRINETTKHTPSESHLAAVTSSSPDSTISDTVRHWQQKAIVLVMEASGVNWLVELLRVIQRLNLKEQWKDLSLHFLTLCTLQSTVSGTRAQNHLRSIGGLEILLDGLGLPASIFSVLKHSSISRNERCEILLLQIQYLQILSEAVYPLFANVNNLQFLCENGRVHKFANCICWPAFMIQKFHQQKDNTKASHALDSVSGPICFLDITEWNDYSVKLSNALCSFICPSKDIEYCSDEIAVSQIAVSIPSAYLEQSVRWIIRILMTVFLCIKACTKSELPNHINSFCRIFAKTLQYYMIRMFKRVLISTPALLPAFREEGVWDLIFSGNFFYFGSAVEDTHFDIVTDVQNGDINSSRISIDSESLYCTDVNILQVEAISFLEFAATLNENTYNTPECSALLDALEYCISDPSTVSALLKCFRVILQLATEQTLASFESLDVITRVLKAACHQAQELRNFSNFLCSNVMITEDSSQFKSIEDRAEKALICTELALSLFTEYVTISIDGRILVLQNAECIECLFDLFQEQNLRKHVLEQVLALFRLPSSSAQDHTAKLQLCSKYLENFARANEKEKVDSELLIDLLVSMREIIMMDHMYYQNLFRDGGCFLHIVSLLNGNFNEVTAEQLVLNVLETLTLLLKGNDASKGAFRLLVGAGYQTLQSLILDFYKWSPSERFLDALLNMLVDCKFELNEKTTIKNEDAVVLLLNILRKSSTSLQHYGLVILQQLLKQSITNRTSCFRAGLLSFLLDWFSIEEKDDTVIEIAEIIQILGAHSICGKDIRKIFALLRCEKIGAKQKHTSLLLTSLSHMLKEKGPEAFFEFSGHDSGIEIKSPFLRPYNRGLSFSCWLRVENFPDNGMMGLFSFSTEDGKGCSAVLNRSALVYEVIAIFFPILNQIKFLK >KQL09478 pep chromosome:Setaria_italica_v2.0:IV:3688007:3688494:-1 gene:SETIT_009033mg transcript:KQL09478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQDRILYRKLLNTPHNMEECSIYPVTPRQLSNMCQL >KQL11458 pep chromosome:Setaria_italica_v2.0:IV:33920712:33922323:-1 gene:SETIT_0061252mg transcript:KQL11458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVTKSEPPEKALSSDAQPTQVITELRRSYTSKLEESEKRKQELLAQLAAEEQHGHELTKIVRELLPTPKKTANLQRQPRHRRRSNDRSKVSKRLTEEAEQYFEDFLSNVEDTDFSSFDGERSDTSSTRKDVLHHAMMETPVALPKVASPAEADGVVLPWLQWETANDLQTSPCKTKAEGESMACSTSNQTVSSRGSWSPGDYSTSTASKDKLLARFEEVGIRQSSCPHFAGTSSFHIDDYLHLRQSEDLLFEKWRQKQRIESGGLFLCSRPTIL >KQL09114 pep chromosome:Setaria_italica_v2.0:IV:1281608:1286751:1 gene:SETIT_006313mg transcript:KQL09114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLRTLADTFSSLLFSSGGGAPMDAAGAAPSPAAVVGERVALKLRGYFELAKEEIDKAMRAEEWGLPDDATAHYRNAMRVMLEAKAARVPDAVSSSERGQVRVYQEKIAKWQTQVEERLRVLGQRSGGGAAASVVPKKVIASNLINRTAKPAPSSIQKSTLQNSPTFNRGGQASTHQRISSGGSKPVQKAGGSYDDKLAEMINTTIVDRSPAVKWDDVAGLDKAKQALMEMVILPTKRRDLFTGLRRPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFMVAIERQPSVIFMDEIDSVMSTRLANENDASRRLKSEFLIQFDGVSSNPDDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDPNVRRLLLKNQLKGQAFKLSNYDLERLAVETEGYSGSDLRALCEEAAMMPIRELGPQNILTIKANQLRPLKYEDFKNAMTVIRPSLQKSKWDELEKWNEEFGSS >KQL09859 pep chromosome:Setaria_italica_v2.0:IV:6744838:6749446:1 gene:SETIT_007055mg transcript:KQL09859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDSLSKFKQQQERCQSSLASIAASASKPKHRAQPVNAPSAPARPSQPIKFSNDTERLQHINSIRKSPVGAQMKLVIELLYKTRQAFTAEQINEATYVDIHGNKAVFDSLRNNPKVSYDGRRFSYKSKHDLKGKDQLLVLTRRFPEGLAVVEVKDAYPNVLEDLQALKASGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFRGIELPRDMVDIEKELQKNGIKPMTNTAKRRAAAQSNGVKPKAKPKKKQREITKRTKLTNAHLPELFQNLNT >KQL10537 pep chromosome:Setaria_italica_v2.0:IV:17629695:17633380:1 gene:SETIT_007562mg transcript:KQL10537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWIGNGRARWIVVLVMLLSGPPSFVCTPLSLFSFAGGRTGAPPPPSESRTLSFLSSQGSGWGTNARIEACAGKQHKCGEAFGHQRAVEDLMMGELSHLFIEG >KQL10538 pep chromosome:Setaria_italica_v2.0:IV:17629695:17633769:1 gene:SETIT_007562mg transcript:KQL10538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWIGNGRARWIVVLVMLLSGPPSFVCTPLSLFSFAGGRTGAPPPPSESRTLSFLSSQGSGWGTNARIEACAGKQHKCGEAFGHQRAVEDLMMGELRCIDMNAWFVNSNDKLC >KQL10456 pep chromosome:Setaria_italica_v2.0:IV:15503878:15506970:1 gene:SETIT_006131mg transcript:KQL10456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKTDVELRGLDIDVELDPEDLQPSVPLKKVPGGDLFEAARAGDCDRLALLLEAGANVNARDRWDSVALYYACLAGHAEAARMLLEAGAVCAERTFDGDRCHYAALNLRLRWLLKSFEARPPPLAPLPAALRATFLACPANRAAFLEMLQGSAGAEATALAAAAGFGPKDDPSSACLFPPDITFYLDGKPVEAHRVILCARSPFFEKKFKTDWKDRKEVRFSNQKLYYGALYSLIHFFYSDRLEVAVDDMENLARACKVCKCEELQKILDSEVVHQKYAEYKSARELDLDNSQKRFILQAQSLPEEDRLPSTLQRILHTCLANSREEGYYSEDSNEMRKNLEEDLADLYIKVGDKVFHCHMVILASRSEYFRARLSRTVDFLEGSSGFQAAQNLPLLEEHDLSAEAFEKMLEYMYTDKLEHLDPDQAEELFDVASRYLLFPLKRVVADMLLPYLEHVSPAELCRWLMLSDIYGVMKIREYILDIIACNFEMFAGTLEFRALLLTLPPPSGDDSLRTTRPSAPGTAGNTDQGNILDDLREKWLEAEGAELDERDESAALFDKRLETLMLVAEKEAVDEDA >KQL12215 pep chromosome:Setaria_italica_v2.0:IV:39462950:39464283:-1 gene:SETIT_007491mg transcript:KQL12215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHLLKKQHSSASLGGSSGGGSSSPPMPPKGCMAVRVVGPGGGGGEGGEEEERFVVPVGYLKHPLFVALLKAAEEEYGFEQKGAITIPCGVDHFRRVQGIIHHQKHHVSGGGHHGHHNNNHHHFHIAGCFRA >KQL11344 pep chromosome:Setaria_italica_v2.0:IV:32775539:32775883:1 gene:SETIT_008088mg transcript:KQL11344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHKQSLGSVLCGYYVCEFIRNNGRYRTNPEDVSLLCTVIKIEDKQIDNICTDMTKFILREIFHEDGAFFDKDGVLMADECTNLYRWT >KQL11108 pep chromosome:Setaria_italica_v2.0:IV:30824922:30826687:-1 gene:SETIT_007843mg transcript:KQL11108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVPRLPWRLAAPLLAAFVSVPFILPLALPLLRSSSTSPRALSQHRLSWLPSPLIQTAPMAPPPRVPSPPLPMQIPASPPPPPMQTTPFPPPPPSPSPPPPETPANDDRRVRRFNATDFLARLRGKRLMLVGDSMNRNQFESLLCILREALPDKTRMFETHGYRISKGRGYFVFKFADYDCTVEFVRSHFLVREGVRFNRQRNSNPILQIDRVDKTANRWKKADVLVFNTGHWWTHGKTARGKNYHKEGDTLYPHFDSAEAYRRALKTWARWIDKNMDPARSVVFYRGYSTAHFRGGDWDSGGSCNGETEPTFKGAIIDSYPLKMRIVEEAIGRMRFPVRLLNVTKLTNFRRDGHPSVHGKAGDKKVSKRKQDCSHWCLPGVPDAWNELIYASLVLEPNPVAWEKR >KQL09135 pep chromosome:Setaria_italica_v2.0:IV:1453098:1453381:1 gene:SETIT_008455mg transcript:KQL09135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPREQAKWQPLSAEAYVQALKIIQLAAQGSDQLPLSACLSNKCRSSQHHLGIGLRLITRAIHGRRCMQSSLPKPQHNRKEK >KQL10790 pep chromosome:Setaria_italica_v2.0:IV:25721069:25725769:-1 gene:SETIT_005949mg transcript:KQL10790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEPPQAAAAAAAVAAALLKCRGDGGGGEARFEACGKAVSRSPSREAQEPDGSPGTARRRVEQEEKEEEPLRRGLAAAQARARARRKAGHATPSPSWKLEPSPPRPEEEAASAEADAGAGRRGAPAASARQLGATLWEIQDVIRVAGAGRRIRHRGRRAPAADEASADADRPRSSGGFGAHVAASVMEHDKLHEERCPSRQPLSPASYTSSVGATTVNLVSPTRSLDCNARFRHTGNDLKTSTELLKVLNRIWSLEEQHAADVSAMKGLKRELHHAKACIQELMQERQRYHHEIDSLARQVTEDKMARRNKDQEKIKAAIRSLQEELEDERRLRKHSEALHRKLGKELSDMKSSFCKAAKALEKEKNTTCLLEDLCDEFAKGIRNYEEEVRMLKQKHVKEYEHKFDKSVVHISEAWLDERMQMQKTGTREDLSGKTSITERLSSEIESFLHHAKRFGNCKNDNLDIGNEKRDASFCQQSLESVHLNGATSAPRLAEDDDGSSIASDLHCFELNMHGGAIKSHDLAGTRRRVISSMHSPMRRLEYSNGVSVEGSPMSNAPTCSRKDKARSSIGRQQFIASTPEISSRNDAGLASTDEQNETVMTQVSRQLRDDLLKIKSEAPQHAYLGHRSNQPRTNQFHEYTTARDLCDVRSPARHLNNPAKSLECEISESPAHQLVGAKENTLKAKLLQARLEGQHARMSSSVFPLISTRRK >KQL12133 pep chromosome:Setaria_italica_v2.0:IV:38994998:38995793:-1 gene:SETIT_007262mg transcript:KQL12133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRSNGKEFVINKEADEEATQDLVVHNLLKLFQIIHPRFQGKTVNRLPVFFDSLHADILSAPDPKGDRQTWNRYAKMMRSALALKAPLVRSGLVTNVYRVLSLRLLLEPSNLFALTKKLRDDWRSSARTLEPFLQVYSYRNDVSDQFARDKWENSHWDVVKYSRHFIEHVLLYLKSKSLLHDIAIVEMMISRCLERHIARSIRAIVMEYGVEGM >KQL12139 pep chromosome:Setaria_italica_v2.0:IV:39085531:39087771:1 gene:SETIT_007990mg transcript:KQL12139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGAPIPPWADLEPSLVSTIADCCALKDYASCRAVCAAWRSALPPPLSRPLAVLPADDAASLPVSLAACPLYVRRWSRLLLHRPGGTVGAAARCRCVGASRDGWVALVAGDAAAPAGPMLFNPFTGEEIPLDESLYQPAHGQLAPKIVFAPNPTRRDFTAASLIRPDMVAVQRAADGCSYSEDTGPLLDGVILVDIAYGDNGKVYCLAWDGEVHVLHLTRRHRVFRQMPPMEVGPLLKLPIGADAFPPPYDVISEYTDGKNLVLCEGGLYQVWRRSSGSGSVTVDAPPGGAARWIHIFEGDVFVLRYDPGNWPGSCWVVADAKDLRGNAVFVGMNDAAVVRGEGVSANSVYHWDGPRGGDGDYEAVAVVYNVATRASVRWPAVSTGGVSCPVWYFLPAAGVSQRVGAETASVDAMSGEEAISLEHN >KQL10420 pep chromosome:Setaria_italica_v2.0:IV:14370808:14372829:-1 gene:SETIT_007888mg transcript:KQL10420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLILSTLPILIVVFILEFSSGNEHRQFVYTGFTGSNFTLDGAARITSTGLIELTNDTARVKGHAVYPSPLRFRLSPDGMVQSFSTSFVFGILSSFGDIRGHGFAFFIGPSNDFTEAFPIQFLGLFNSTNNGSLSNQIFAVELDTIQNTEFGDIDNNHVGIDINSLNSLKSRPAGFYNNGKNGTFTNLPLIGSGPIQTWVEYDGNTTQINVTLAPLGMEKPARPLLSSTYDLSTVLKEQSYIGFSSSTGLSTGHHCVLGWSFGMNSPAPIIDSTKLPKLPYLGPRSPSKLLEIILPIASAVLVLAIGTITVILVRRHLRYKEVHEDWEVEYGPHRFTYKDLYHATKGFSSKHLIGVGGFGRVYKGVLPTSKSEVAVKRVSYNSKQGIKQFVAEVVSMGHLQHNNVVKLFGYCRRKGELLLVYDYMKNGSLEKYLYGEEGRATLDWGQRFKIIKGIASGLLYLHEEWDKVVIHRDVKPNNVLLDKEMNGRLGDFGLARLYDHGTDPQTTHIVGTIGYLAPELVHRGKATTLTDVFAFGIFILEVTCGRKPITENTESHQVMLVDWVIQNWHKGSLLDTVDIKLQGIYDIDEVCLALKLGLLCSHPFPDARPNMRQVLQYLDGDVTLPELIPAHFSFHMLALMQNEGRFGSSIVSSYPSPTMMINGSISFSLDGR >KQL11477 pep chromosome:Setaria_italica_v2.0:IV:34027077:34035229:1 gene:SETIT_005754mg transcript:KQL11477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVGGSGGGSGIILSPGTEDDKFARRRSRRVSFADTTAVHVFYRDEDFETPPEEREPGSASPSPGKSSAERGDGDDTEEFPVIFLPDMESSSPGSAAGSIASADDDNFFGPVSTSFIQTGRPSDSGMSEDDNHDITMDSRTFSMHFRNIAPPDDCTANSAASLMTPNTESKGPLKELTVSDPGKTLSSGQTDMSLLNVNPRSYNYGKLSPTLSSMIQKVKVGQQTESPKAGIADVTPDSVLTLPSSEEENREENLCNDNVISSDELGTVNTIAEHISMSNPVSNSTYQIQEDSEMITDGHENSQNGNHDPMVIDPGVDNTVEPPAKLSPACKSFVNNVDMQSHLLDQSLLKDQPSGSNCTASASSTCNVDSEPNLLDQSPGTNNVTDASQLSSAALAILLMDAEQLHQQNEVMDRETILHTPRTVDQQLQVPQGSISSLRLKRQKLFSATPLSNGKVANQEAYSLVSEFAEHGNRISTLKNALKTRLQESPAASRLPLVEKNELSHQENDMFRNPEDHDSNLSVSSNSVPRRQLKKTSESFILGTPTQVLNEATKVQETSCRVLTLDSQPSHECNPLLDLDGAGRKKTVKENGHAVQECPEEIAEAARSPRKSRKELSCVSQSSPRIEGKQNDAHDKGQLVNVDWNKILRTISDATEQVLLASISKLNLQQLDALSDKLDEVHMARKYKRLSTAVRIKDCCSAKQKRLEEARSLHEKLFYAKAKLQINNMKLAKLRNKAQLYQDGIQECCILKSKILGAAQMKDACLPAATSINASDGQEELAILTEKRLELNNIQQKVENLRNSLECFRNIEGDVSCESVMRRAEEQLKMRNQCHFIHQQAGLCELTGIVKRDNKRDLILNYHNLLFQRIILNMSDMSSIFVNNSLNGTKIGQIFPNLDASMAFNFLFKAEENQRVHDLQSLQQMTMETSLLLGNLIDVLEEIKLAKMELLNLTSAAFVLESQTCQLGLRACFMSFKSGKKFAFTIDMTDLNRSVYPSEPSELPIKVCEGQTTLAQASIDETMTSIRNLQPGRTVILRLCRRVSRLIHSLPG >KQL10514 pep chromosome:Setaria_italica_v2.0:IV:17235170:17235830:1 gene:SETIT_007473mg transcript:KQL10514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKMFALFALLAFCASTTTATHVPWHLPPVTTLGAMNPCMQYCMMQQPFAMNPCMQYCTTQQAFAMGRFASPASMMLQQPWTLPFQQYWTPRMMPFQQCHCGAISQIMQQQQLPSMFNPMATAIPPMFFQQPFAGVPF >KQL10516 pep chromosome:Setaria_italica_v2.0:IV:17296322:17300832:-1 gene:SETIT_008832mg transcript:KQL10516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQQLIVAPLHYVQEKLSTESTETPNDNASDRESDEDEVFSTTPDEKAEAVTDDSMQNSTAVRRHFSFRAPIDPIHEEAFSILKKLQIIEKDVSPSDFCTRREFARWFVKLCSKFERKRMQRIVPDKLTSGSVQSAFDDVNIDDPDFLYIQSLGESGIVLSKLSNSLETSTSGSPTVQENSFFLPESYLSRFDLVNWKVLVEHPRALGADQKMLSQKVRILDLCACPDVSPSMLIELMAGENNIISRVFGNTRRLQPHKPVTKAQAAAALTSGRMEEAIRDELNRLEAENEAHLSAIAEIMEELISRGDIQQQWEDKMKKEQQRGFEVDKDLQHVLHELANERTDRERELEDLLKEKSALEIQNQDLINLRSEIDGMYDRLATENAEVMADQQNLENLLSDMTSKHQAVNEAKSYLEAEKEALTMLRFVFYTTCPFLVITCFSIFKTLTPAMWLCGLGWRRKQPESMNELRHSRKL >KQL11544 pep chromosome:Setaria_italica_v2.0:IV:34672792:34673366:-1 gene:SETIT_007360mg transcript:KQL11544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSANSAASPAVSGLDYDETALTLALPGSSSDPAAAADRKRAHADHDKPPSPKARAVGWPPVRAYRRNALRDEAKLVKVAVDGAPYLRKVDLAAHDGYEGLLRALHGMFASCLGANGAGRLVDAATGAEYVPTYEDKDGDWMLVGDVPFK >KQL11545 pep chromosome:Setaria_italica_v2.0:IV:34672109:34673473:-1 gene:SETIT_007360mg transcript:KQL11545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSANSAASPAVSGLDYDETALTLALPGSSSDPAAAADRKRAHADHDKPPSPKARAVGWPPVRAYRRNALRDEAKLVKVAVDGAPYLRKVDLAAHDGYEGLLRALHGMFASCLGANGAGRLVDAATGAEYVPTYEDKDGDWMLVGDVPFKMFVDSCKRIRLMKSSEAVNLSPRTSSQ >KQL09313 pep chromosome:Setaria_italica_v2.0:IV:2517666:2518502:-1 gene:SETIT_008836mg transcript:KQL09313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAVRRFAALARAIASAILPCFSSSSSWLSAPGIVVLALGAGALGGPDALRLLITLAGRNPVVDIGICVFVIGMFAAQLLGILLLARYVRKPSPPAGGAGAGRGAPGAAPAADPLACITLLLSLAAASLVTASLVVGPGGPGSVRPLVDLAAKYCYPIAIAAGAALLYATPLLRVFREPRNARGRAAPAERAATTRHAARCIGLPLVVAAVCVLLVAIRFGADGDLDALRICPGHTNTPVAVAVGATLLVLVRFYRRARNAAPAVGGDAAPAPSAR >KQL11380 pep chromosome:Setaria_italica_v2.0:IV:33029555:33029853:-1 gene:SETIT_009017mg transcript:KQL11380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNMRISRIENKLAIVASSISYVYYSYMIRRRI >KQL09743 pep chromosome:Setaria_italica_v2.0:IV:5623911:5624358:-1 gene:SETIT_009051mg transcript:KQL09743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLHSGGLDNSEMFNLPGRERKKNLGTQKDPSSS >KQL10676 pep chromosome:Setaria_italica_v2.0:IV:22964676:22965182:1 gene:SETIT_009041mg transcript:KQL10676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGLVASLRGGHGSFISSSGPSPWQAGAVGAATPAWGRRTSGRSKMRAEALPIPTTRRCACEVRSNRSSRPRDTHHS >KQL11207 pep chromosome:Setaria_italica_v2.0:IV:31679611:31680092:-1 gene:SETIT_008914mg transcript:KQL11207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVKLRSYIQFRCAVKYRNRAHKTLSHQSHGFMSS >KQL12303 pep chromosome:Setaria_italica_v2.0:IV:39921302:39924211:-1 gene:SETIT_006509mg transcript:KQL12303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLRSENYHQGVAMEGVKFASEMANTNRRALSDIKNIIGGPHQHLAVSKRGLSEKPTAAVHTKDQAGFVGHRPVTRKFAATLANQPTTAHLAPIGSERQKRNADTAFHTPADMESTKMADDIPLPMLSEMDEVMSSELKEIEMEDIEESAPDIDSCDAGNSLAVVEYVDEIYRFYRRTEGSSCVPTNYMSSQTDINEKMRGILIDWLIEVHYKLELLEETLFLTVNIIDRFLALENVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILICDRAYTRADILEMERRIVNTLKFNMSVPTPYCFMRRFLKAAQAEKKLELLSFFMIELSLVEYEMLKFCPSMLAAAAIYTAQCTINGFKSWNKCCELHTKYSEEQLMDCSRMMVELHQRAAHGKLTGVHRKYSTFRYGCAAKSEPATFLLDARA >KQL11606 pep chromosome:Setaria_italica_v2.0:IV:35252581:35257725:1 gene:SETIT_006243mg transcript:KQL11606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASAGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLGRYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFNPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPPNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERPPEAELPEQDEDKENPDERRDADSDVEMNDAKPLEDSGRRSNIQGVRMKKEPAETEATDLDVNSVAAEHSRGNGPVADGVGSSKQTLPNDASPMAVDEPGAQKVETESSNKLQDQPTMQQKP >KQL11605 pep chromosome:Setaria_italica_v2.0:IV:35252643:35256960:1 gene:SETIT_006243mg transcript:KQL11605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASAGGGGNSLPTTGADGSKRRVCYFYDAEVGNYYYGQGHPMKPHRIRMTHALLGRYGLLDQMQVLRPHPARDRDLCRFHADDYVAFLRSVTPETQQDQIRALKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHDIAINWAGGLHHAKKCEASGFCYVNDIVLAILELLKYHQRVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDVGHSKGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFNPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVRFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGHELTDKMPPNEYYEYFGPDYTLHVAPSNMENKNTRHQLDDIRSKLLDNLSKLRHAPSVQFQERPPEAELPEQDEDKENPDERRDADSDVEMNDAKPLEDSGRRSNIQGVRMKKEPAETEATDLDVNSVAAEHSRGNGPVADGVGSSKQTLASVLLSS >KQL09117 pep chromosome:Setaria_italica_v2.0:IV:1316333:1319570:1 gene:SETIT_008567mg transcript:KQL09117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVLACLAAMLPASAALGEGGILYIPSAASVAHCPSSCGDVNISYPFGIGAGCFRQGFEVTCDNTTQPPKLLLMRNSTITEITEIYDNGFVEVPMFFNSSFGPGRNNMPWEWEAPAKGITITEDNNFFVLGCDFDVNLFDYERNPVGSCMNRCHGEVVPTRGSCNGIGCCFISLRNAMSGFQATFSRADGMAGQSSGSMYPRIMAFISDSGDINNVTNLLLGWTNTSKIDGAYVDVAITDQPSCESARMNNASYACATNSICRNASSYGGYHCYCSVSFLSDHDGNNNPYLFEGCMQDYNPEPKEHCRRSCGNMNISFPFGLEEGCFGNKKFRLNCTTANDTLFSTGDTQYRVTGVSVEDGTLTVTNMLSNASTEKEVIIVSTHESGGMDVFNGPVEDEFDFSMENDIVLRWVVTNSTCQQALRNITKYACQSVNSDCLHVTHGKMFMGYRCNCSSGFEGNPYIQDGCTDIDECLRPSYCNGTCQNFPGTYKCTLCPHNKVFDSRMKRCVTPAKQRNLLLGIAIGIGIGCGIGSIIIALGVIVLANKWKRGIQKRIRRAHFKKNQGLLLEQLISDESTRRKTKIFSLEELEEATNNFDATRVLGRGGHGTVYKGILLDQRVVAIKKSKIVEQIEIDQFINEVVILSQIIHRNVVKLLGCCLEDEVPMLVYEFISNGTLYELLHSDTTVKCLLSWDDRIRIAMEAAGALAYLHSAATIPIFHRDVKSSNILLDDNFTTKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLIRKKPIFINDLGAKQNLSHYFIEGLHAGSLVEILNKQVVEEADQEEINEIASLTEACLRVKGGDRPTMKEVDMRLQFLRTKRLRKGLAKKDADIEPLLCPQDKNLYGHIDLVNAGSSGCYSLEQEFASLPR >KQL10761 pep chromosome:Setaria_italica_v2.0:IV:25194993:25196863:1 gene:SETIT_008843mg transcript:KQL10761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTTVTEQPDNADPSPSSSPPPKKVSYELAARNIYYAKPVATPSSLARLVTRPCGAAPAPPDYILRDVSLTARAGEVLAVVGPSGAGKSTLLDILAARTAPTHGRLLLNSAPLRASADVALTLLTVSETFAFAASLLHPGSAATAEAAVAALLADLGLAHVAHTRVSPSRLSGGERRRVSIGLALLRNPGVLLLDEPTSGLDSSSAHVVVGCLRAVAAARGTTVVLSIHQPSARLLSAVDSLLLLSRGTVLHHGSLASLDATLLSHGLVVPAQLNPLEFSLEVLDQLPHPSPSNPEPKSSTQELTSPSSESDHRKAAAAATSSLTASPSSRLHELVVLYKRAWKVVYRSKQLLLINFLEAVLVGTLLGTIYIHAGYGEAGAHKRLGLFAFTLTFLLTSTTETLPTFVSERPIVLAETAAGLYRLSSHAAAATLVFLPYLLAVALLYSICVYFLVGLCASPGAFAAFVLVVWAVVLTANSFVLFVSSFAPDYIAGMSLVSVSLAGFFLFSGYFLSRGSMPSYWVFMHYASPYKYALDALLANEYSCAANRCFGVMGGGECSETGRDVLAEKGLTPEERWTGVQVLFGFFLLYRVLYWVVLSRRASRAKR >KQL12122 pep chromosome:Setaria_italica_v2.0:IV:38837183:38840441:-1 gene:SETIT_007331mg transcript:KQL12122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLFKLNPNASPFIPGSLSSFAVADKAQENQDKALENQAESSSKGETSGDTFDPSKYEENDMDPVALANMVFSMFPNVSTDFIDELLKANDFDINLTVDMLDELSSQDMLHDDAEDINDLHDGQGLPGDDYHHAEVSESSSNPNQDLQNEKSATTSDVKSVLPKFLNINLLDNDLGLPNDDKSAGASATK >KQL11906 pep chromosome:Setaria_italica_v2.0:IV:37505670:37506811:1 gene:SETIT_008485mg transcript:KQL11906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLSGPKLLVVHPSSNKSPGGAGSPGAVLGARRRVCAAVFLACFACVSLATTLLSGGAGTRGRAGASGRASAAFAGARRVGAGGVPAARRRGRVRGCRGTCSTRCGARRANLLVFGLGGETPLWRALNHGGRTVFLDENQYYVSHLEGRHPGLEAYDVAYTTTVREFPDLLDAARTARAAECRPVQNLLFSDCRLAINDLPNQLYDVSWDVILVDGPRGYTASSPGRMSAIFTAGVLARTRAGEGATTDVLVHDYEREVERACSREFLCEENRVAETSTRSLAHFVVRGGSAVRRDAFCSGAAAAAAAH >KQL09974 pep chromosome:Setaria_italica_v2.0:IV:7854750:7855153:1 gene:SETIT_008976mg transcript:KQL09974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENTYHYSATPLGASRSQDTMPIARGSAASPGSVMQ >KQL11920 pep chromosome:Setaria_italica_v2.0:IV:37619023:37621231:-1 gene:SETIT_006232mg transcript:KQL11920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLGASCSKKRRAGGLAEEGQGEVAAAEEEEDRISALPEDLRLRILALLPLNSAIRTRALLASLERRGRRRLDRFALTLHFGDYGSPKPHRYLGNKDIHRCLDYAAACDVEDLHIDIADHFLSMGSMLSFPSGFSRLVRLSLLRVGTVSFGYSFGSDAFPALEIIHLHYAHSVELNRLLSASPRLRTLDLRYCEFIDDQGAIDVSPARGHLWSLTIAECNYITDIHAGRASGLPSFRLSSALLPTYDIPSTAPLEDLYICLRGHNYNPIKQWIKELPHLTNLTVLTICSIALRSLQLLMFAMASTNLAHIYMFLKTCQCPQLERLFVQLPTSSRDTSVGNSSKVARKDEPAGVFDEDEPDEELSEEDETDGGLSEEDETEKELLEEERVQEYMLKERLYYEDVYYEEDTLDENVPQEEQSEEDVPGYGLNNLMTAKMMKFKGHYFEMRLVSFLLRKAPVLKKLLLVAPKGHIKALGKGTFNISHFIEPKLLRSRKASPDAQVILSETDFAENQPVHSDVFASF >KQL11014 pep chromosome:Setaria_italica_v2.0:IV:29690203:29693808:1 gene:SETIT_005758mg transcript:KQL11014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPTTCCRFPQLLVLLLFLLLAGGGRSQPAAGDRETLLTVKKDWGSPSQLKSWDPAAAPDHCNWTGVACATGGGGVVTGLTLSHLNLTGSVPASVCLLKNITHLDLSYNNLTGAFPAAALYACAELRFLDLSNNQLSGPLARDIDGLSPAMEHLNLSTNSFAGEVPPAVTRLTELKSLLLDTNRFTGAYPAVGISELAGLEVLTLAYNAFAPAPVPAEFAKLTNLTYLWMDKMNLTGEIPEAFSNLTELTVFSLASNALTGSIPAWVLQHAKLQNLYLFDNSLSGELPRSVTAVNLIELDLSSNKFTGEIPEDFGKLKNLTLLFLYKNQLTGTIPASIGLLPLRDVRLFNNHLSGELPPELGMHSPLGNLEVGNNNLSGPLRETLCANGKLYDIVAFNNSFSGEFPAKLGDCVTINNLMLYNNRLSGDFPVKIWSFPKLTMVMIQNNSFTGTLPSEISFNISRIEMGNNMFSGSFPALAKGLKVFHAENNRLGGELPSDMSKLGNLTDLSVPGNRITGSIPASIKLLQKLNTLDLSGNRISGVIPPGSIGTLPSLTTLDLSDNLLTGSIPSDISNLINSLNLSSNQLTGEVPVLLQIAAYDRSFLGNPGLCARAGSGTNLPTCRGGGRGAHDELSKGLITLFGMLAGIVLVGSIGIAWLLFRRRKESHEVTDWKMMAFTHLNFSESDVLSNIREENVIGSGGSGKVYRIHLGAAGGRDEEAGGMGGAGRMVAVKKIWNSRKVDEKLDKEFESEVKVLGSIRHNNIVKLLCCISSQEAKLLVYEYMENGSLDRWLHHRDREGAPAPLDWPIRLAIAIDAAKGLSYMHHDCAQSIVHRDVKSSNILLDPDFQAKIADFGLARILAKSGEPESVSAIGGTFGYMAPEYGYRPKVNEKVDVYSFGVVLLELTTGKVANDSGADMCLAEWAWRRYQKGAPFDDIVDEAIREPAYMQDILSVFTMGVICTGENPLTRPSMKEVLHQLIRCEQIAAEACQVDYDGGGAPLLESKKKGSRRRNLSDSGRWNDDDEEDSGNFVVHVV >KQL11491 pep chromosome:Setaria_italica_v2.0:IV:34123384:34124854:-1 gene:SETIT_006963mg transcript:KQL11491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSASSPLLNCARAPASAAEHHAVVSPSLAPVPAPRHGAVLCRAMSEGDLAAQLLAVPAVRVKKDHEGCGHGRAPRLSLSASSSSSASISLEEEDGEGEEEEAEVAVAVAGAGVPLPLRRLLTSTGLDVSAAAAREAALALAEQQGAGGGGGRGNACGCGQGGDAAGGGDSGRAAADAHYRQMIEADPGNPLVLVNYARFLREVEGDASRAQEYCERAILASPGDAEALSLYAGLVWETTRDAARADDYYTRAVQAAPDDCYVLGSYAGFLWDAEEDDEENDNAGSLPPPFLGAAQHPFITAAS >KQL09873 pep chromosome:Setaria_italica_v2.0:IV:6923736:6924421:1 gene:SETIT_008988mg transcript:KQL09873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFCDIVWLVAIIPSNLFMISFPLPTILWLNNVTGVCWCSS >KQL09810 pep chromosome:Setaria_italica_v2.0:IV:6233331:6234998:1 gene:SETIT_008189mg transcript:KQL09810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDFAPADLCNPDPRLMLKKRLREEMEALRGILRKAELLAGKTIGDGRAAPRRGKDERFLAAEPRPAAMEADRPSSAKRRKTMPLAAVVRPRMSSDEISNLATKRRRMMPLAAVVKPRMSADEISNLATQVSLLSEDMPARILEFLKKECTGHEDRNSGEMEIDIGSMRHSALFELRKLLDEFAEEKHRHQKEASTNASRSTSCSSPREHEDGEIVEEGDYDVATGTCSYASPVAAGKVLCSPARTLEDDEIADEKVICGGAAPVATEKFAETGNSPSSSSSSSSSGSSSSSSSSSSSGSSSSSGCSSGGSCSDSSDSDSSDSDSDDESVTSRPAPAVLPKTDDLTKQPKPVAVDLCSSPCLTEQDTKNNPRSSSNCSSLLEDGEIEEEECGGTSLPAPEKFAETVNSPIGSIKSSGSASDGSVISISQQQQPAARDRKTPLSEAEYRDIIAKGRQMQRRQSNPERKRAYEELEEKERNATPISDWIHPMQLRQLGITQVEHAVTSERRVPGRGSPANGGEEDEKGAKQVTEDLEEPRKETW >KQL10203 pep chromosome:Setaria_italica_v2.0:IV:10852951:10853427:-1 gene:SETIT_008942mg transcript:KQL10203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDAYKEMVGQPLCQWLDSLPGADASRRINGKVVLYAQKYSTVSYMPSTRCVFPCTTNISWEDGDATTSLIVPCAVEHLTSSPNEYCFIRRFDSRKASIMYSIA >KQL09490 pep chromosome:Setaria_italica_v2.0:IV:3791446:3808483:1 gene:SETIT_008368mg transcript:KQL09490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPRGGGDDPPPSSAAAASAGHAAGNDPVTPTSALVSGLNRRGSRGAGMATFSMEVFDNEVVPSTLSSIAPILRVAAEIEPEHPRVAYLCRFYAFEKAHRLDQNSIGRGVRQFKTALLQRLEKDNSPSLAKRVKKSDAREIESFYQQYYENYVRALDKGEQADRAQLGKAYQTAGVLFEVLCAVNKNEKVEEVNPEIIRLHKDVQEKKDIYAPFNILPLDAASASQSIMQLEEIKAAVTALRNTRGLTWPSTFEPERQKGGDLDLLDWLRAMFGFQRDSVRNQREHLILFLANVHIRLEPKPEPLSKLDDRAVDVVMTKLFSNYRKWCKFLSRKHSLRFPQGAQPQEIQQRKILYLGLYLLIWGEAANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIRPSYGGDEEAFLKKVVTPIYRVIKKEAGKSQHGKTPHSAWSNYDDLNEYFWTPDCFSLGWPMRDDGDFFKSVHDSRPAGSSPQKISNKSTGKTNFVETRTFWHIFRSFDRMWTFYILALQAMLIFAWNDYSVTQILQKDLLYSLSSVFVTAAFLQFLQSILDFILNFPGSHKCKFIDALRNILKIIVSAAWAVILPFFYMSTAPKVNLPLKDLEKWLRYVKGVPTLYMLAVAVYLIPNILSAALFLFPMFRRWIENSDWHIVRLLLWWSQKRIYVGRGMHESQVSLFKYTFFWILLLCSKFSFSYFVQIQPLIKPTKDVMGVHNIRYEWHEFFPNASYNIGAILSLWAPVLLVYLMDTQIWYAIFSTIFGGMTGALGRLGEIRTLGMLRSRFHSLPGAFNTYLVPSDKSRNRRFSLAKRFAEVSPNKRTEAAKFAQLWNEVICSFREEDLISDREMDLLGVPYSSDPSLKLMQWPLFLLASKIPIALDMAAQFRPRDSDLWKRICADEYMKCAVLECYESFKLVLNVLVVGENEKRIIGIIIKEIEANIAKNTFLANFRMSALPIFCKKFVELISTLKERDASKFGNVVLLLQDMLEVITRDMMVNEIRELAEFGHGNKERRQLFAGSGTKPAIVFPPPISAQWEEQIKRLHLLLTVKESAMDVPTNLEARRRIAFFTNSLFMDMPRAPRVRKMLSFSVMTPYYSEETVYSKSDLDLENEDGVSIIFYLQKIYPDEWNNFMERINCKRESEVWGNEENVLQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMASESEILEGYKAVADPAEEEKKSQRSLSSQLEAVADMKFTYVATCQIYGNQKQSGDRRATDILNLMVNYPGLRVAYIDEVEERDGDKVQKVFYSVLVKALDNHDQEIYRIKLPGPAKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEALKMRNLLEEFNENHGVRQPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRIFHITRGGISKASCGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQVLSRDIYRLGHRFDFFRMLSCYFTTVGFYISSMMVVIIVYVFLYGRLYLALSGLEFAIMKQARMRGNRALQAAMGSQSIVQLGLLMALPMFMEIGLERGFRSALGDFIIMQLQLCSVFFTFSLGTKSHYFGRTILHGGAKYRATGRGFVVRHVRFAENYRMYSRSHFVKGLELMLLLVVYQLYGDVATDSTTYILLTSSMWFLVITWLFAPFLFNPSGFEWQKIVDDWDDWSKWISSRGGIGVPSNKAWESWWEEEQEHLQSTGLLGRFWEIVLSLRFFIFQYGIIYHLNISAGNKSISVYGLSWLVIIVVVLVLKVVSMGRKKFSADYQLMFRLLKLSLFIGSVGTLAVLFTVLHLTVGDIFASFLAFAPTGWAILQISQASKPVVKAFGLWGSVKALSRGYEYLMGIVIFVPVAVLAWFPFVSEFQTRLLFNQAFSRGLQISRILAGGKKQR >KQL11067 pep chromosome:Setaria_italica_v2.0:IV:30504985:30505912:-1 gene:SETIT_007377mg transcript:KQL11067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADSCDGISTSGGGWEKTVTLVSSDDARFEVREAAASLSQTVRRMIRAGGDGGIPLPKVDARTLSTVLEYCNKHAAVPAPAPESSSAEAAAVDLEWFDKELMHVDLATLCSLIRAADYLEVAGLLDLTCKTVADMIKSKTAEEIRQMFGIQNDFTPEEEEQLSRENAGVFE >KQL11607 pep chromosome:Setaria_italica_v2.0:IV:35262680:35266369:1 gene:SETIT_005727mg transcript:KQL11607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEYASAPGSPMLVAILLACLSCFLSIASSSAPTAPLHNTSDTDLQALLCLKLHLSDSTGAMASWKNDSVQYCSWPGVTCSKRHASRVVSLALDSAGLHGQIPPCIGNLTFLRSIHLQNNKLNGKIPPELGHLNHLTYLNLSNNSLTGMIPNTLSSCSRLHIINLANNFLDGEIPTSLSECSELQKIYLFNNKLSGIIPDGLGTLSNLSVLFLANNDLTGGVPLSLGSNSFIRTVILTNNTLTGGIPPLLANSSSLQLLDLTNNHLIGEIPSALFNSSSIVILALGVNNFVGSIPPLVHTSSPLEILILSENNLSGSIPTSLGNFSSLTWLLLSQNSIKGAIPSSLSIIPTIEQLDLSFNNLSGTVPASLYNITTLTYLNMGMNSLTGEIPNNIGHTLPNIQTLILQGNKLRGQIPASLALAANIQVINLRDNAFSGIVPSFRNLPYLTELNLGINQLEAGDWSFLSSLRNCDQLVYLCLDRNILKGTLPSSIGDLPRSLQVLLLTANKISGAIPQEIEQLKNLTLLYMEHNLLAGNLPDSIGNLPNLFVLSLYQNKLSGQVPLSIGNLSQLSELNLQENNFSGSIPKALGYCKHLEALNLSHNSFSGSIPKELFTLSSLAEGFDLSHNKLSGEIPLEIAGLVNLESLNISYNQLSGIIPSTLGHCMHLESIHMEGNLLHGTIPESFTNLRGVSEMDLSLNNLSGEIPEFFESFTSMKLLNLSFNNLEGPVPTGGIFQNTGVVFIQGNKLLCASIPLLQLPQCNTEASKKWRASAILKIVGFTALALVLLSWFAVVLLKKRKKVTQSSHPSCKELMQCSYADLVKATNGFSLANLVGSGKSGSVYKGRFEFEEHTVAIKVFKLDQLGIPKSFIAECETLRNTRHRNLLRVITACSTFDTIGNEFKALILEYMPNGSLEGWLYPNLDKYGLKRTLSLGSRITIVTDIASALDYLHNHCVPPVVHCDLKPSNILLDDVMGARLADFGLAKFILSFSHSCHHSSTSLLGPRGSIGYIAPEYGFGSKLSTEGDVYSYGIIILEILTGKRPTDEMFTNGLNLHKYVEKAFPQKIAEVLDPCIVPSSEDGDADNILDHGNNATDGVDICILHLVKLGLLCSMETPNDRPTMQDVYAEAITIKEAFAVLHG >KQL10935 pep chromosome:Setaria_italica_v2.0:IV:28523422:28525049:-1 gene:SETIT_006893mg transcript:KQL10935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFTRSSSTAAVLALAAVVLAVLGGAVEAQQLAPNFYSRTCPNLARIVRRGMASAVQKERRMGASILRMFFHDCFVNGCDGSILLDDTSTFTGEKGAGPNANSVRGFEVIDAIKAQVEASCTATVSCADILALAARDGVNLLGGPTWSVPLGRKDSRTASQSAANDNLPGPGSSLSTLISMFGNQGLSARDMTALSGAHTIGRSQCQFFRSRIYTEPNVNASFAALRQRTCPRSGGDANLAPFDVQTPDGFDNAYYQNLLQQKGLLHSDQELFNGGSQDALVRQYSNNPALFSSDFVTAMIKMGNLLPSAGTRTEVRLNCRKVN >KQL10497 pep chromosome:Setaria_italica_v2.0:IV:16664639:16666239:1 gene:SETIT_007193mg transcript:KQL10497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMDPGGGEAGAASHYLDLLRAQQQHLQHQQSPLSPNSHVKMERSAPSPENSPAASVDPGGDQPSSSALVPAEGGGGGSGGPTRKPRGRPPGSKNKPKPPIIITRDSPNALHSHVLEVAAGTDIVECVSEYARRRGRGVCVLSGGGSVSNVALRQPGVEPPGSLVATLRGQFEILSLTGTVLPPPALPGGQQPQRVPPPAQDSGWVGMSSVSSSPPGPWFSWPPRSPMLSTSVCL >KQL10238 pep chromosome:Setaria_italica_v2.0:IV:11600953:11601918:1 gene:SETIT_008595mg transcript:KQL10238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLSSTGLFALSVRSENQGDQLLHPSTFHNTPPPLPSSSGGAAPPHLSTASAPSPPAQLMECMTPLISMMPCMDYLTNLTVLAPPAQCCDSLKSVIHNAPICLCHGMTGNMNDLMPLPIDPVRMIVLPLAYGAMLSLQTLFSCNTKYACKEIDNMPLITPPMAAPAPANPPASP >KQL12177 pep chromosome:Setaria_italica_v2.0:IV:39288672:39288863:1 gene:SETIT_007934mg transcript:KQL12177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFQVTGPHVRFPPKFFWIKSFYKNFEGWENVPSFIPKDNIVKIPIGLESYNIPIRILCKGA >KQL09792 pep chromosome:Setaria_italica_v2.0:IV:6101949:6103437:1 gene:SETIT_006684mg transcript:KQL09792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQEVMPLLTPFKMGRFELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLITEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGALFFCQMWHVGRVSTNDFQPDGQAPISSTDKQISPDAEPGMVYSKPRRLQVDEIPGIVDDFRRAARNAIEAGFDGVEIHGANGYLLEQFMKDGANDRDDEYGGSLENRCRFAVEVVDAVVREVGAQRVGIRLSPFLDYMDCVDSDPAALADYMVRQLNKHEGFLYCHMVEPRMAVVDGRRQIPHRLLPFRKAFNGMFIAAGGYDREEGNKVVTDGYTDLVAYGRLFLANPDLPKRFELGAPLNEYDRSTFYTQDPVVGYTDYPFLDEDSSDLTANDA >KQL10384 pep chromosome:Setaria_italica_v2.0:IV:13871884:13878034:1 gene:SETIT_008685mg transcript:KQL10384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAFGFSRSRLLNRCINHPPNPVLCLYLAFSSAASSSPSEPSTAAAGPATIREGRAEIFRDESNSVFYNKAQVNNRDISIAVLRSFISKRREEFIVRSTRERNAEMLQNLIGEPEDTKLSELAKYEEPKVLEALAASGLRAIRYALEVDGIGEVTAVDNNEVAVEACKKNIQHNGSLASSKVVPCLADARFYMLTHPKEFDVVDLDPYGSPAAFLDSAVQCVADGGLLMCSATDMAVLAGGNAEVCFSKYGSYPLRGKHCHEMALRILLACIESHAIRHKRHIVPIISIHMDFYIRVFVRIFTSASTVKSSPLKLAHVYQCVGCSSFHLQNIGRINLEDKRHIALPNFAPTVPEECSECAHKFVMGGPIWSDPIHDKEWASSILSSIHVMMDSYPAYAKISAILTSVSEELPEAPLFVSLHNLCAILKCTSPTMVMLHSAIRNAGYQTSGSHVDPLALKTNAPMSVIWDIMRCWVHLHPVKHRPGNHPGNVILSQEPKLQ >KQL11782 pep chromosome:Setaria_italica_v2.0:IV:36746160:36748811:1 gene:SETIT_006615mg transcript:KQL11782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHATALVALLPPCLLALLLLRFATVLDPDPDAAVPRVKAAAPLPLRFRHDGAFKILQVADMHFGNGAATRCRDVSPDGGGARCSDLNTTWFLRRVIEAERPDLIAFTGDNIFGGSATDAAESLLRAISPAIEYKVPWAAILGNHDQESTMTREELMMFMSLMDYSVSQVNPPGFLVHGFGNYHIGIHGPFGSELVNTSLLNLYFLDSGDREVVNGVKTYGWIKESQLVWLRATSLELQKTVLAPALAFFHIPIPEVRGLWYSGFKGQYQEGVACSSVNSGVLNTLVSMGDVKAVLLGHDHLNDFCGNLDGIWFCYGGGFGYHAYGRPHWPRRARVIYSELKKGQRSWMEVESIQTWKLLDDEKLSKIDEQVLWRRSTDDSDHKILSRPGA >KQL11137 pep chromosome:Setaria_italica_v2.0:IV:31076516:31077419:-1 gene:SETIT_008569mg transcript:KQL11137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPENIGNKHRKQVKMENFGEDRDPLNKDVKFDDKPVSVDFQRLIELTDSEKGQSHLQCLVKHWEYKRGSSIQVLEEELDLLCQQRKEIEQRIVQKRQQILERRRIHDESCDTVKGRLPHKLYAECDIISFWKERVMQLEEKLQACLQRESSLVEKPEGGTRNPLSRTQMDELSGLLKRADFFLHLILQSALIVIAHQDSDLRYRFIFNHYPTLADEVI >KQL10591 pep chromosome:Setaria_italica_v2.0:IV:19505730:19506313:-1 gene:SETIT_008922mg transcript:KQL10591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAITGVANELMLDCLAGIFIGSDNFLFIFFQTPGW >KQL10993 pep chromosome:Setaria_italica_v2.0:IV:29258545:29259491:1 gene:SETIT_007144mg transcript:KQL10993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSDAASPASLPAGVEKKGGRGGKSGGGWRPAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDAAALVVKGPAAVLNFPEIAASLPRPASAAPRDVQAAAARAAAMDMPAAAVVTAATVPPAAPKEPSPSPHQQVPAEQALVVADPDEEELEEIVELPAIDEDALAAAAADDMFWTAAASFHDSASADPWYEPTAAWMHAAGIAAHVDDTLVVPGLAADQQLWAPQPDGIVSSEFGALLWNL >KQL10541 pep chromosome:Setaria_italica_v2.0:IV:17637589:17639441:1 gene:SETIT_008635mg transcript:KQL10541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPVRANGGRITVDASAPAPKRSLADKPPFTLADIKRAIPPHCFRRSVARSSAYLLRDLAAVAALFYFSLRVIPALPAGGLLRLAAWPLYWAAQGCALNSVWVLAHECGHHAFSEHAALDDAVGFALHTALLVPYFSWKHSHRRHHANSASLDRDEVFVPWRRSELPWYAGAIHSCAPVRFAVLALVLVVGFPLYLTCNITGRPYPRLANHYDPYSPIFSTARERAQVVVSDAGVLAFSLALHRLSAAAGFWTVARVYGAPLLVVNGWLVLVTFLHHTDPAVPRYDSGEWDWLRGALATVDRDYGAFLNGAFHNIADTHVVHHLFPSMPHYHAKEATRAIRPVLGEYYQFDSTPIVRAAWRAAKECLYVEPDRRRDGVYWYSNKYKSS >KQL10700 pep chromosome:Setaria_italica_v2.0:IV:23820083:23834758:-1 gene:SETIT_006062mg transcript:KQL10700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLVFALYITGSLNTVLSKEHRREICRYIYNIQNADGGWGKQVVGPSTMFGSCLNYVTLRLLGEESTHDALTKGREWILSHGSAAAIPQWGKIWLSMIGLYDWSGNNPIIPELWLVPHFLPIHPGRFWVFTRMVYLPMAYLFGKKFVGPITPTILALRDELYGVPYNEVDWNKARDNCAKVDLIYPRTMAQNLVWTCLNKVVEPMLNCWPVNKLRHITLKNIIKHIYYEDEISKYICVCPINKALDMICCWAENPNSDAFKQHLPRIYDFLWLAEDGMKAQVYDGCPAWETAFIVQAYCSTGLVNEFSPTLRKAHEFIKCSQIRENHPDYEAYYRHRSKGSWTLSTADNGWNVSDCTAEALKALLLLSKISPDLVGDPIKGESLYDAVDCILSYVNDDGTFSTYERKRTSPLLEVLNPSESFINIVVDYPSVECTSSVLQALIMFKELDPGYRKEEIGNCIKSTSEFIEKEQRKDGSWFGSWGVCFTYGIFFAVKGLTAAGRTYENSSSIRKACSFLLSKQLRTGGWGETYLSSETESYVEASGPHAVNTAWAMLALIYGGQVERDPTPLYHAAKELINMQLESGDFPQQEHVGCFNSSLYFNYGNYRNLYPIWALGEFRHRLLARKN >KQL09347 pep chromosome:Setaria_italica_v2.0:IV:2625586:2627835:1 gene:SETIT_007322mg transcript:KQL09347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSTDEKKTAAEIVAALDLQRHPDGGFYLETFRDPSISLPASALPPRYKVDRAVSSAIYFLLPAGEIARLHRIPCAETWHYYQGEPLTVFEVHDDGQIKITVVGPDLRHGQRPQYMVPPNVWFGAFLTCDIESFTEDGSVFVKTPGRDPAVHYSFVGVTCAPAFQFEDNELATRQDMKALAPKAEAFINYLVPS >KQL09596 pep chromosome:Setaria_italica_v2.0:IV:4494210:4494515:-1 gene:SETIT_007610mg transcript:KQL09596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSSPSYSPHSPSRPPVQCALHWPSGVVQSMTPAARRPPANSGRSRPRARQNPKPPAAPAALTRRKRRNPRAGATAAGAWQAGPCARGGSGGIGIPDRSG >KQL10732 pep chromosome:Setaria_italica_v2.0:IV:24376418:24377235:1 gene:SETIT_008416mg transcript:KQL10732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPRITADEEILRTILNRKESLQRNHLDIKDKLSAILHLLRQDTSVLLEDAEPIQKLFRQIRTHLTDELIELLTPAAFIELHYSQVQEAKKCIASRQANHQAAIQLDTTRLKTLETERDQLILELDLVNKAIAVAQDKMNSYTSAIQENKKELMAFVNQARNQHQQINKVSGSDEEDFQLIVNIDNIRLRAIHAIEKAL >KQL09423 pep chromosome:Setaria_italica_v2.0:IV:3267477:3269638:1 gene:SETIT_0083091mg transcript:KQL09423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVVWVIEWPKNPAYQGSTVTKFSTASYCAFSTLPFSHGQMIRSPLSKVVVVIWCFAVLVLVQSYTANLSSMLTAKRLRPSVTGLDQLVSNGDYIRYQDGAFVHFFLISKGPKADRLRAFKNPTEYAEALRKGSKNDGVSAIVDEIPYLSYFLSDKNNKEFEMGERLYKTPGLGFVFPRGSPRVYDLSSARIEQEWLGSPAQLKGDSSSIADSAPLTLRSFSGLFVITGSISASVTVISIARSVYAKCFRARGHVSQDGNGGSVRHGEFSALQNDRGNGFVPDERLHEIRGNNSQQCAPGSGRSTGDEEAGPMQDSMPNGSVPEVSIQIEMSDTGQVTD >KQL11646 pep chromosome:Setaria_italica_v2.0:IV:35575627:35577280:1 gene:SETIT_008588mg transcript:KQL11646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNYPKYLLYVLFFLGSWLVTCLLHFRQPFFHLSGGGVVVILPFSRNVSFFSTPAAVADDDWQLTPPSSSSSRSCDGRYVHMVDLPPQFDVCAEGSPAFTSEHSICQLMSNAGLGPVLLPAGNRTDDGDGDADIVPNTGWYNTNQYALEVIFHNRMRLYECLTDDPAAAAAVYVPYYAAMELQPHTCGLFNATVRDGATEQLLQWLSSRPAWAALGGRDHFMVASKTSWMFRRVAAAGGDDGTGCGNSFMLKPESRNMTVLTYETVIWEQPQRDFAVPYPSYFHPSSAGEVAEWQARVRAAPRPWLFSFAGARRPNGTLAIRDRIFDACDAAVPRRTCGMLDCGHSDLCRSPRRLMSLFAASRFCLQPLGDSFMRRSSVDAVMTGCIPVFFHEASTFEKQYYWHERVPDGDHGGRSGNGRSRYFVLIDQDDVLHGKVDIEEALSRYTDDEVAAMREEVIKMIPRFLYKDPRVRFAGDMRDAFDITIDEVIARIRKIKEGEDLGRKDNSGDGAVVVNGS >KQL11083 pep chromosome:Setaria_italica_v2.0:IV:30584365:30587859:1 gene:SETIT_0087712mg transcript:KQL11083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPGSPARPGFSALRGARWRADLGVLPDSPAVSIDELRRAAADSRRRYANLRRRLLIDPHLSKDEEAGPDLVVENPLSQNPESTWGQYFRNAELEKMLNQDLSRLYPELGDFFQTSTCQSMLGRILLVWSLRYPEFGYRQGMHELLAPLLYVLHADVQHFKQVRDLHEELLDDDFDGQTFPDRSKLNRSDRKNNVEGRTNKIRSLADLDPDTRDLFSINDAYGAEGELGIILSEKFMEHDAYSMFENLMNGAQGVVAITDFYSLSPSPESSSGLTPVREASSAIYHLLASVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLDNLLFIWDEIFSSPNHSYCTDIRSRADYQFKVLCSPRGALILSMAVSMMLHLRSSLLGSEHATSCLVRLLNFPEDIDIKSLIEKATLLQSFALEANLPSSPVRGKSLLTKPNYWEETWKILQSSIDQKGGGVFKMKGRGFLRRSWSNTESNVSRSKAANLQNKNLTSTRQSAIDELHNTDVVPAKLINSVPHMPIEEQKDHVGQSTLEATGSNSKNACETGQHDGYCSTSGEIGDPLGASGYLSRSSSTSLSCGTEYDHDTHHVEEPCVPRDDNVVNDPDPLPLHGGRTDEVATTMDRTSGIADTHPVQHQNPTIAEGGQKETLAIGSISNVSDKELTRTLRSLGESMVENIQ >KQL09438 pep chromosome:Setaria_italica_v2.0:IV:3388822:3395351:-1 gene:SETIT_005876mg transcript:KQL09438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSATPSRSKSKPRSSPAKPIAASAEPQMDPCTPSKPTPRRKSKSATSPAPMSPVTPSTVRRSRRLLDTPTKAAPEVPVKATPTPAARGKRAAPSPKTPAQREPKRQRRQRRKRAYYRKVVYDGGEFEVGDDVYVKRREESESDAEDPEEEECRVCFRAGGGVMVECDACLGGFHLRCVRPPLRRVPEGDWACPYCEAERAGKAVERPRPPEGKRIVRTAKEKLLSSDLWAARIESLWREPDGTFWAKVRWYIIPEETAAGRQPHNLRRELYRTNDLGDIEMETILRHCSVMCPKDFRDANDGGDDVFYCEYEYDIHWHNFKRLADIDDEPETKEDPNDEPYNAGNDYNSGTDEDSEYDEEEEPKSCFSARRNQSHELAANSRKGRIYGLQKIGIRKIPEHVRCHQKTELEKAKATLLLATLPKSLPCRDKEMEEISTFVKDAICKDQCLGRCLYIHGVPGTGKTMSVLAVMRKLRSEFDSGTLKPYCFIEINGLKLASPENIYKVIYEQLSGHRVGWKKALHYLTEHFSGVSKIGKQANQPIILLIDELDLLLTRNQSVLYNILDWPTKPNSNLVVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNFRQLQEIITSRLKGIDAFEEQAIEFASRKVAAMSGDARRALEICRRAAEFADYRVKQSQQSGKTSANKGDGVVCMGDIEAAIQEVFQAPHIQVMKNCPKFGKVILVAMVHELYKSGLGEVTFDKLATTVLSWCQVNRELLPGHDTLMKICCKLGESKVILCEEGSKHKLQKLQLNYPSDDITFALKESPDLPWLSKYL >KQL12339 pep chromosome:Setaria_italica_v2.0:IV:40075757:40077866:-1 gene:SETIT_007388mg transcript:KQL12339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPLATAEVCDANPHLIMNGELRALHPIFQIYGRRQVFAGPIVTLKVYEDNVLIREFLEEKGHGRVLVVDGGGSMRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILVSRTELTV >KQL12340 pep chromosome:Setaria_italica_v2.0:IV:40075962:40076468:-1 gene:SETIT_007388mg transcript:KQL12340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPLATAEVCDANPHLIMNGELRALHPIFQIYGRRQVFAGPIVTLKVYEDNVLIREFLEEKGHGRVLVVDGGGSMRCAILGGNPVQQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALNSHPMKANKKGIGEKHVPVTIAGTRICDGEWLYADTDGILVSRTELTV >KQL10268 pep chromosome:Setaria_italica_v2.0:IV:12210511:12216455:1 gene:SETIT_006183mg transcript:KQL10268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAASSLVSRCLLANRAAASAGAAPAVPSALRRPVGTRGLLPGVLQRFSTAAVAEEPISPPVQVNYTKLLINGNFVDSASGKTFPTLDPRTGEVIAHVAEGDAEDVNRAVAAARKAFDVGPWPKMTAYERSRILLRFADLIEKHNDELAALETWDNGKPYEQAAHIEVPMVARLMRYYAGWADKIHGLVVPADGPHHVQVLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTLVLKTAEQTPLSALYISKLLHEAGLPEGVVNVVSGFGPTAGAALASHMDVDKLAFTGSTDTGKIVLELAARSNLKPVTLELGGKSPFVIMDDADVDHAVELAHFALFFNQGQCCCAGSRTFVHERIYDEFVEKSKARALKRVVGDPFRKGVEQGPQIDDEQFNKILRYIRSGVDSGATLVTGGDRLGDKGFYIQPTIFSDVQDGMKIAQEEIFGPVQSILKFKDLNEVIERANASQYGLAAGVFTKSLDTANTLTRALRVGTVWVNCFDVFDAGIPFGGYKQSGIGREKGVDSLKNYLQVKAVVTPIKNAAWL >KQL12226 pep chromosome:Setaria_italica_v2.0:IV:39537910:39538775:1 gene:SETIT_007341mg transcript:KQL12226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLLLPSPLPFLLHRPSKPLLLHPRRRLGFISPAASGGSSGEPASSEPATDTVTQPSPPAAAAPSSAKPTGIKNRLKARNQARRFQLDAPPEEVTPKKKATVAAPRREKQRERKEWEEMSLPEKAVELYVGEKGLLFWLNKFAYASIFIMVGAWILFRFVGPSLGFYQLDAPPLPPTAVFGGSP >KQL10643 pep chromosome:Setaria_italica_v2.0:IV:21767867:21768259:1 gene:SETIT_009115mg transcript:KQL10643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHDHVVGYKDHAGKGKSLVFIGSCLVVLSVNRMEAFFK >KQL09775 pep chromosome:Setaria_italica_v2.0:IV:5943480:5943836:1 gene:SETIT_008758mg transcript:KQL09775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADHIPTIVLAMGAAAVGGPDTLRFLLAIAGKSLAVDVAICVFAIAAAVTPVLGTMLFARYFRGVGVARDDASAITALGVELFQKMVHMVAVAVAFVVAACLLAAPCGSSDLGPSHRA >KQL09601 pep chromosome:Setaria_italica_v2.0:IV:4529398:4535227:-1 gene:SETIT_008254mg transcript:KQL09601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKHSTHAADISSAESEDPDFEDVQCSQLPTFDSDSPNLVSDHEAQSNFKLIKKLKRELSSSSKHKSKRSKVTKNTDDGFTRFSATAFANLIDALTPHQRAVIEGYGFGSLLLFSKCSIPKKITTWIPRHVDSKSGDIILGGKVISLTKECINLVLGLPLSPKPFLADSNVGKSIVLSKFGKEHLPQVTFFAKKIIKQAEMFDEDVFVCFMVNALSSFLCSNTSLIPSPKYFGVFKDIDNAKNYDWCGLVLSWLLGHVKVFNRVNSSAGSSKSRQCLGGCIYYLDVMYLNHIDFCQRQVKPDIPWISVWKDSMIQFYSDLDKKSLGVYGHRPLLDYDSICYAQSGNTEVDHLMKKLVKMCNLDKSFDQIPLTQKDSVQKPVSDMLKFARLSHQQSKCISLSHSVSGDKNVIRIVNDYAPDSVSPPCSRRRSSIGLTLAENVHDMVQKADALYNANSNNFKSSIQTPSVRKIHVCPSNSSLDPESFQKFPDFKVRNSSTGGKVPIHGPRRLVVPSHFLADKIVTQSNKYHVSKSKIANYQATCSLASSSSSSENAVLFGGVRCTFWSLGEYLKRGGCVNNFVIVTFCYHFFCTPKGHPNDSKRHYFFSNISFLFIVDIKDSKFVFLDSYYTKNDEYHAYVKDQMYVCVSKHFEESELLYPRVPRQSESNEVDFGVFVMMFCENWELPRSPLANLFQEKDIPNIRIKIANDLVFSHKNNGNKDLVITFDHKAYDNQ >KQL09203 pep chromosome:Setaria_italica_v2.0:IV:1847033:1848405:1 gene:SETIT_007905mg transcript:KQL09203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASETEAEQHNQPPPQHLLPRPLIIELPSEAAAAARAAAAGEGQDEAPTDAVAATLELEVQVDLVPAAPAQVAFENAAAEQYQHLPRPLVFEPAVDDVPADVRCASWRLAAEANNLAPWKAVPAGCAAHVRDYIAGAAYRSDLDLIARESTTYARAAPLRGDGRDAWVFDIDETLLSNLPYYAEHGYGLELFDHQKFDRWVERGEALAIPSSLKLYNEVRELGFKTFLLTGRSEGHLDITAENLKKQGFHDWDKLILREACDRKKTATVYKSEKRKAMEEEGYRILGNSGDQWSDLLGWSMSARSFKLPNPMYYIP >KQL09606 pep chromosome:Setaria_italica_v2.0:IV:4558683:4559128:1 gene:SETIT_009006mg transcript:KQL09606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKIAVSCVEEERSKRPSMSQVLESLLPLVE >KQL10756 pep chromosome:Setaria_italica_v2.0:IV:25043754:25044629:-1 gene:SETIT_008169mg transcript:KQL10756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEAASVMHPAGAFNPAAPPFPTPNYHVVPMLQPPPPPPPIPVRRVWDINLVAELRFLRHFASSARYAAVTIHYPGVVHGGAGAQQNNHALMTAEERYGAMKANVDALKPIQLGLAVYNEFGHLAAWEFNIRGFHPAADPHAPNSVEYLERRGLSFRDHQAFGVDPARLAAELDGCGMFRRRPGVSWITYAGAFHVAYLMKVLSAGNGGGNLLPDSLGGFLDVVRQRLSDDIYDVARMAVDCGLPPGLERVASALWLVPASLSPCLAGASSVLALQAFMRLKYDVLGGKVD >KQL10224 pep chromosome:Setaria_italica_v2.0:IV:11198312:11200432:-1 gene:SETIT_006306mg transcript:KQL10224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAAHQLKELKPLYQHVVNNFVAVLAAPLAVAAAVSAARAGPEELLARVQALRVAYVFLAVLVPAAAAALYLMLRPRPVYLVDYACFRTRPNCRVPFATFLEHAKLVTFVEGASIDERSVRFMTRLLERSGLGEETCLPPAHHYIPPYRNMEASRAEVELVIFSAIDDLLAKTGISPSAIDILVVNCSLFAPIPSFTDMIINRYGMRPDIRNVHLSGMGCSAGLISVGLARNFLQVAPKGAHALVVSTETITPNYYVGKERAMLLPNCLFRMGGAAVLLSTSRARARFRLARVVRTLTGAQDSAYRCVFQEEDGEGHRGINLSKDLMTIAGDALKANITAIGPLVLPASEQLLFAVSFIARRVLNRRVKPYLPDFRTAFEHFCIHAGGRAVIDELQRSLGLSDEDVEASRMALHRFGNTSSSSVWYELAYTEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIEPARTAEGPWEESICRYPVDIPEVLKH >KQL10577 pep chromosome:Setaria_italica_v2.0:IV:19099846:19104061:1 gene:SETIT_006943mg transcript:KQL10577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISAGQERSRVLVIGGTGYIGRFIVASSCREGHPTSVLVRDSALADPAKAALLQGFRDTGVTLVKGDLYNHESLVVAIKSADIVISAVGYTQLPDQIHIISAIKEAGNVKRFLPSEYGNDVDHVHAVEPAKSIFAVKARIRRAIEAEGIPYTYVSSNFFAGRFLPCLGQVGVSVLPTDKVLILGDGNVKAIFAAEEDVGTYAIKAVDDLRTLNKVLYLRPSSNILSHDELVSLWEKKTGKTFERVYIPEDEVLKKIQESPVPLNIKMSISHSVWVKGDHTNFEIDPSFGVEATELYSDVKYTTVDEYLNKLL >KQL10578 pep chromosome:Setaria_italica_v2.0:IV:19102177:19104061:1 gene:SETIT_006943mg transcript:KQL10578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHQGDLYNHESLVVAIKSADIVISAVGYTQLPDQIHIISAIKEAGNVKRFLPSEYGNDVDHVHAVEPAKSIFAVKARIRRAIEAEGIPYTYVSSNFFAGRFLPCLGQVGVSVLPTDKVLILGDGNVKAIFAAEEDVGTYAIKAVDDLRTLNKVLYLRPSSNILSHDELVSLWEKKTGKTFERVYIPEDEVLKKIQESPVPLNIKMSISHSVWVKGDHTNFEIDPSFGVEATELYSDVKYTTVDEYLNKLL >KQL09229 pep chromosome:Setaria_italica_v2.0:IV:2002668:2005200:-1 gene:SETIT_007403mg transcript:KQL09229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRATSLLLRHHRSALLPRSPAVSAATVPGLRRTPAPLFFSTLDAARTRTRVEDVMPIATGLEREEIEAELQGKKRFDMDAPVGPFGTKEAPAVIQSYYNKRIVGCPGGEGEDEHDVVWFWLERGKPHECPVCTQYFTLNVIGEGGSPDGHDDDDDHHQ >KQL09678 pep chromosome:Setaria_italica_v2.0:IV:5131097:5137530:-1 gene:SETIT_006284mg transcript:KQL09678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAALGLGAAARALALLAVASVALLPLVSSDDGYRHCEGVVRGWAYSSTGRDKDGDKMSLKDLLFFLHIPRTGGRTYFHCFLKKLYTNAQECPRSYDKLRFDPSHPDCKLVVSHDDYSLTSKLPRERTSVVTILRNPVDRVFSTYEFSVEVAARFLVHPNLTSAKLMTTRVLTKSRAVSTLDIWPWKYLVPWMREDLFARRDARGIDKVHSSKKVNAYDVEDMVMPLHQYINDPVAHEIIHNGATFQITGLTNNSYFDGAHEVRHCVRQHPDLGRIVLEVAKNRLDQMLYVGLTEDHEESARLFAHMVGAQVLSQSGTLNFDLKEDLPSENDSHPSMVEPEDEETNKHLNSTHGWQTNEALNSTDDEQGKGNMTVGKLMEAYETCIAKLRKSQSNRRKISLKKVEEANFSKEARKLVPETIVKQIISLNSLDMELYDHAKKIFTQEHLMLKAQQSMGWTDIICSDGVCSPWMVVVLGLGITVIIALVSFAVTTRRRTSKLKV >KQL09830 pep chromosome:Setaria_italica_v2.0:IV:6468647:6470552:1 gene:SETIT_007978mg transcript:KQL09830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPAVDVKRWSWAISAVLAAVVLTAPPLVILLGGRNFGVPGVWIQTAVAGLRKGSSDLTLRHDDRLLGGLLVDGFDEESCHSRYQSAMYRRNPGRRPSPYLIAKLRRHEALQRRCGPGTAAYSDALEQLKSGKSVASPECRYIVSLPYQGLGNRILAVASAFLYALLTDRVLLIDPSDGMDELLCEPFLGATWLLPPGFPLAGYATFSNDTAETYGNMLRNKVIGADAAADISPAQTPAFAYVYLHSDASAHDKNFFWDEDQRLLRDIQWLVMRTDNYIVPGLFLANAFRGELDLMFPEPDAVFHHLGRYLFHPTNHVWGLVTRYHNAYLAGAAQHVGIQVRVFGAQPNSPELLEQITSCTQRHKLLSEVLAAGEPMTMPPPLASRAKSKAVLVTSLKPWYHEKLKSMYWEHAAANGEVVSVHQPSHEEFQRFGVRSHDAKAWAEIYLLSLADALVTTSQSTFGYVAQGLGGLRPWVMYKPDNDTTVPDPPCGRAVSMEPCFFAAPNYNLWKKQWLDASTIVPHVQRCADFAWGGLMLVGRNE >KQL10180 pep chromosome:Setaria_italica_v2.0:IV:10607193:10609088:1 gene:SETIT_008657mg transcript:KQL10180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFPTDLSSSSTYPKIHPLPPPPLLLPQPHPNYSLSPICATSTPSNRNKATTNGNNELQPPTREWEGEEGIDRISGLLDGVLGDIISLLPTKNGARTQILASRWRDLWPYAPLNLNHNSLPVNEEVQAGLISHIIANHNGPARRISLPVLHLHHRRATLNTWLQSPTLKNLQDLEFEATGLVHIPRLRPLLSEYVFKFSHTLRSATISECQVRDMIEVRHFPNLKQLGLESVMISDYAFHNLMVGCPILESLLLKRCYGFYSIRINSTSLKSIGLSTNTTKVTIVIEDAPLLERFLKLELFIGKCIHVTVISAPKVETLGSISDCDSDDQLVFDTTVIQKLDVVSFTRVVCSVKVLAISIHNLGPGIVINLMRCFPCMEKLYIQISNVQRSNNICPHMHRDLIRCLDTSLTTVVLKNYRGTKFEGLACKGNKKLIAKQRRLLHIKERASRGALFCFTSCRCHSYPPHIKHVDDLSKGDPFKCTC >KQL11983 pep chromosome:Setaria_italica_v2.0:IV:37979279:37979386:1 gene:SETIT_008028mg transcript:KQL11983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICIHCFDTDQSQDLSWPATLNGMVDLAQALGLPSSR >KQL12125 pep chromosome:Setaria_italica_v2.0:IV:38856078:38858746:-1 gene:SETIT_007102mg transcript:KQL12125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKPIMTALEYTVSHYAKHNISVPGFLNKERLLQIVPSLAKGLHLIPGCRRCQDFIACDELYLFYVLNIKVIHGWVCDPNDKEFFSVLGNCSRSTLLKSISRPGGLAKLVEGTSNPSSLSKKIQKFAESGLTKYGLRILHEEIGERQLAIICINDQFDVIYKCSGDLFVLETDGHVLTKFGEALWKRLTLTEEESFYVTMHFDPVKGQKNIHKATEWLERKLSDVDDCGDKGKEQASKKGRDRSSQVSNVGIWGDKAKGRGS >KQL09778 pep chromosome:Setaria_italica_v2.0:IV:5954780:5955811:-1 gene:SETIT_007426mg transcript:KQL09778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARSGAALVAAAAVVALLVLALAPEGSRAERFIVGDAARWTWGYNYTDWVIKKGPFFQNDTLVFMYDPPNATVHAHSVYMMRNAADYQSCNLKAAKLVAGVTQGAGSGFEFVLTKRKKHYFVCGERGGIHCTMGQMKFIVKPKSSACRDD >KQL11805 pep chromosome:Setaria_italica_v2.0:IV:36884214:36885719:-1 gene:SETIT_008743mg transcript:KQL11805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAMSWGSLGSFVATAMVVRAAARDVLPPEAHGALRALLARAAAAFAQPTDTIVVHAVDANGVPNELYEAAQLYLGARCLASAPALHLHKAHGAQEAVASLPDDHAARDTFRGVRVEWTSRTGEAAGGGTAYSCSPFGGGRRGGFGGPPGGGAGWQRRCLRLEFPRRHRDFVRGAYIDHVLAVAAALRLKMRERKLYTNNPSMYCGGSGMDDHQMLWSSHPFKHPSTFDTLAVDLALRDGIRADLLRFVRRGEHYARAGRAWKRGYLLHGPPGTGKTSLIAAIANLLEFDIYDLELTAVGSNSDLRRLLASTRPKSLIVVEDIDCSLGLFDRTRTSSPPSQDAESDDPGTPGPVSMSPFPPHGRREKISLSGVLNFVDGLWSSCVGERLIVFTTNHVGRLDPALLRPGRMDRKIELGYCKGHALRVLAKNYLGDDDREPADDCRYEELIGEAERLLGEVHLTPADVAEVFMGCEGDGAHAALQKLVADLNTKRIAQKCAVT >KQL11546 pep chromosome:Setaria_italica_v2.0:IV:34713183:34713540:1 gene:SETIT_009111mg transcript:KQL11546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRPGNPTRNRLTHHNKQQARNADEGWRR >KQL08889 pep chromosome:Setaria_italica_v2.0:IV:137974:140481:1 gene:SETIT_006159mg transcript:KQL08889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARLAAEIAALPEPRGPMRRLCGDLARRIRLLAPLLQQDQLQDALPLADALGAARDLLRAVHDGSKIYQVALVHSQFKRAATRMDPPDAQLSKDISSALADKTFDPLVFTRISEKLQLQTMADIKKESVALHEMVISSGGEPDGCVEEMSSLLKKLKDCVITEPPAPEALGASFASINHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERPCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLIAQWCEANGIELPKNKANSQDKKAAKSSDYDHAGLVSLMTRLRGGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVGSHAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGGAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKIRAVKAGIVIHLMNFLVDPTGGMTDEALTLLAILAGNPEARAVIAQSDPIPPLVEVIKTESPRNRENAAAILWSLCCADVEQTKAAKVAGAEDALKELSESGTDRAKRKSSSILELMRQAEEA >KQL08888 pep chromosome:Setaria_italica_v2.0:IV:137974:140539:1 gene:SETIT_006159mg transcript:KQL08888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARLAAEIAALPEPRGPMRRLCGDLARRIRLLAPLLQQDQLQDALPLADALGAARDLLRAVHDGSKIYQVALVHSQFKRAATRMDPPDAQLSKDISSALADKTFDPLVFTRISEKLQLQTMADIKKESVALHEMVISSGGEPDGCVEEMSSLLKKLKDCVITEPPAPEALGASFASINHRSPIIPDEFRCPISLELMQDPVIVSSGQTYERPCIQKWLDSGHKTCPKTQQPLSHTSLTPNFVLKSLIAQWCEANGIELPKNKANSQDKKAAKSSDYDHAGLVSLMTRLRGGNQDEQRAAAGEIRLLAKRNVNNRICIAEAGAIPLLVNLLSSSDPRTQEHAVTALLNLSIHENNKASIVGSHAIPKIVEVLKTGSMEARENAAATLFSLSVVDENKVTIGGAGAIPPLINLLCDGSPRGKKDAATAIFNLCIYQGNKIRAVKAGIVIHLMNFLVDPTGGMTDEALTLLAILAGNPEARAVIAQSDPIPPLVEVIKTESPRNRENAAAILWSLCCADVEQTKAAKVAGAEDALKELSESGTDRAKRKSSSILELMRQAEEA >KQL10278 pep chromosome:Setaria_italica_v2.0:IV:12396965:12399793:-1 gene:SETIT_008783mg transcript:KQL10278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVGFLYKREEFVQQINTPEAKALSEENTRKAMKAAKNPHHLGAGGYATKIAKWRREEEEQRIADLPNLFEGLDERSRNWVLARIPIFTPDGKVTFKHPTAVEIYKRLEQLAEMQKKGLFKPDRERDQLTVVIKTAEHSGRVREMSRTFPWGKAFQNNQGSYRKWDHYKKDLEEKMRYHRQPPGTTLCGYYVCEFLRNNGRYWTNSEGIPRIKPREAVLEDKGIINICRDMTRFIQHEIFHEEGEFVDPNGMLMADDCKGLRRRTK >KQL10260 pep chromosome:Setaria_italica_v2.0:IV:12092091:12096080:-1 gene:SETIT_006261mg transcript:KQL10260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKAAAAGLPALLAAALLLSAAFFPSASAASSYPARIAGRLVSTTASAVAKRLWSLKSAATKTAAGTASSARSMVRYEGGYAVDTVFDGSKLGIEPHAVEVTPAGDLLVLDSINSNIYRVQLPLSRYSRPKLLAGSPEGLSGHVDGRLREARMNHPKGFTVDDRGNIYVADAMNMAIRKISDTGVTTIAGGKSIRGGHIDGPSDDAKFSTDFEIQYISSSCSLMVIDRGNQAIREIPLHDDDCAYHYEAGFPLGIALLFAAGFFGYMLALLQRRVLGMASTTDEPQIPPRPSIASIPPYQKPMNPYVRPPLIPREHEAAKQETEEGFFTSVGKLIGGAKSSVAEILGSKKKRLNSQYHHQQRRANPWPVQDSYAIPHDETPPPLDTRAPTPQKNYAFMTKEPEKIHHHVRHGHPYFNGWDSHHHHPQQQQPEQQLYHQQQHLQQHRQYSTGPQTFYEQSCEATNEIVFGAVQEVDSNRRMVEIKAVNYGDTFYEQYGMRYRNNYIGYNSNNY >KQL12114 pep chromosome:Setaria_italica_v2.0:IV:38804642:38811172:-1 gene:SETIT_007911mg transcript:KQL12114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECALLTSQTTKQSLQPKPVIKAEVLDQTENVIFTKSQLPYQHQQQHYFEPNRPCSQFVKGSHLGSCCDEQLSNQGALPYNELMYSKATEDNDKSDQMYRQYVSHNNIQITSGSQQLLSSHAKNTELISSMFQRPMSQDATEQHVSSDWPNAGCAMTPIDHKPPKLPTRGSERATNKYDLQTLRLIKFIHAKISPCPLGGSCKSPICARLQEILKHSNDCQTIDCLYGYCKQSKEAIYHYNNCVNKHCPICSKAKSLSHYCDQTNKRNTFERSINGANGDRMGINMVTAETFDDQPPMSKRLRLQLLPPNVSHSADASVPQACTGIVSQQAHPKHLGQDKMIFPKQEQNIEIDIQSPRKVEIIRSCAVGKTGAIQTYVVPDVSNELDSYIEKKNCLSDKDTNEIVVDIKNNANGSTDAMMSKIEKTKRKGVSLMELFTPEQIHEHVRSLRQWVGQSKAKAEKNQVIGHSKNVNSCQLCKVEKLFFEPPPKYCSPCGARIKRNAPYYSDTVTESGPYYFCIPCYSESRSDSILVDNIQLLKSKLVKNRNDDELEEAWVACDKCKRWQHQICALFNAKRNDEEKDAEYICHSCYIQEIEHGLRMPLPLNTVPGAKDLPRTVLSDHIEERLLQRLKEERQNRANKYGKNFSEVPGAEGLVVRVVSSVDKKLKVKPHFLEIFREDNYPAEFPYKSKAILLFQRIEGVEVCLFGIYVQEFGAECAFPNQRRVYLSYLDSVKYFRPEIETVSGEALRTFVYHEILIGYLQYCKQRGFTSCYIWACPPFKGEDYIMYCHPEIQKTPKSDKLREWYLSMLRKATNEGIVVELTNLYEHFFNPKTECKAKVTAARLPYFDGDYWPGAAEDIINQIRLPEDDRNLQKKGKLKKTITKRALKFAGLTNLNGNASKDAMLMQKLGEAIYPMKEDLIMVHLQHSCHHCCILIVSGRRWVCSQCKSFYICDKCYNVEEQREAKERHPSNSTDFHILHPVEIDGVPKDTKDRDGILESEFFDTRQAFLSLCQGNHYQYDTLRGAKHSSMMVLYHLHNPTEPAFVTTCDVCKNNIKTGQGWRCKECDYDECVACYKHNEGANHVHKLTKQPTGADMDTHQKKSAETTQMLLRLLAHAGSCPGRGGCQYHNCRKLKSLFHHGTQCKTRSSGGCRLCKKLWGLITLHARGCKESQCNIPRCRDTKEYWRKLQLMQWQSESRRRAAVNQMMMQRQLETSSRAAGNGVNV >KQL09774 pep chromosome:Setaria_italica_v2.0:IV:5915990:5916553:1 gene:SETIT_007989mg transcript:KQL09774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LILTFFALCVSTVAVQLSSVLDPSATATATSAEASPPLHGAVLQILLPFIVMEAIFASAPFLYLHARRAAAADGAGAGNRHLSELGAFILCVAVGLLEHFLFVRPAGGAVDGGAQARRALGLVALRVLPASATATFFLGVGLVYAHVGGSSGNGPVPEPTVRILSKITLEAAAALMGITAVAVCTLL >KQL11692 pep chromosome:Setaria_italica_v2.0:IV:36152300:36158105:-1 gene:SETIT_005709mg transcript:KQL11692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGGRRRDRMRWSKLYTFNCFRGQHGDAGAEGPSSDGAGAVGGPGFSRVVHCNNPALQKPLKYPTNYITTTKYNIITFFPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMLKEGLEDWRRFIQDMKVNNRKVAVHKGDGEFVYRHWEELCVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQAVIRCEDPNPSLYTFTGNFEYERQVYALDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSRIERKMDLIIYILFTVLVLISLISSIGFAVRIKLDLPHWWYLQPQNSNKLDDPSRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEDTGNTAQARTSNLNEELGQVHTVLSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVEIAAAKQMASGDEDNDMPLQDIWEENNEDEIELVEGVTFSVGNNRKPSIKGFSFEDDRLMQGNWTKEPNSSTILLFFRILALCHTAIPETNEATGSIAYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKHTSSKGTTEREFKILNLLEFNSKRKRMTVILKDEDGQILLFCKGADSIIFERLAKNGRMYEVDTTRHLNDYGEAGLRTLALSYRVLEESEYSSWNAEFLKAKTSIGPDRELQLERVSDLIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICLSIPTGDQVAQDAKKAAKESLLSQIANGSQMVKLEKDPDAAFALVIDGKALVFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGQTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLAIFFLNLCIFYDQAIRAGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSITTWYLFILAYGMTLRSRDNYQILLEVLGPAPIYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQKTKIGFTARVDAKIKQIRGKLHKKGPSLTIHTVP >KQL11693 pep chromosome:Setaria_italica_v2.0:IV:36153804:36158105:-1 gene:SETIT_005709mg transcript:KQL11693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGGRRRDRMRWSKLYTFNCFRGQHGDAGAEGPSSDGAGAVGGPGFSRVVHCNNPALQKPLKYPTNYITTTKYNIITFFPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMLKEGLEDWRRFIQDMKVNNRKVAVHKGDGEFVYRHWEELCVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQAVIRCEDPNPSLYTFTGNFEYERQVYALDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSRIERKMDLIIYILFTVLVLISLISSIGFAVRIKLDLPHWWYLQPQNSNKLDDPSRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEDTGNTAQARTSNLNEELGQVHTVLSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVEIAAAKQMASGDEDNDMPLQDIWEENNEDEIELVEGVTFSVGNNRKPSIKGFSFEDDRLMQGNWTKEPNSSTILLFFRILALCHTAIPETNEATGSIAYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKHTSSKGTTEREFKILNLLEFNSKRKRMTVILKDEDGQILLFCKGADSIIFERLAKNGRMYEVDTTRHLNDYGEAGLRTLALSYRVLEESEYSSWNAEFLKAKTSIGPDRELQLERVSDLIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICLSIPTGDQVAQDAKKAAKESLLSQIANGSQMVKLEKDPDAAFALVIDGKALVFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGQTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMVLPKELLFLTFY >KQL11691 pep chromosome:Setaria_italica_v2.0:IV:36151883:36158105:-1 gene:SETIT_005709mg transcript:KQL11691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGGRRRDRMRWSKLYTFNCFRGQHGDAGAEGPSSDGAGAVGGPGFSRVVHCNNPALQKPLKYPTNYITTTKYNIITFFPKAIFEQFRRVANLYFLLTAILSLTPVCPFSAVSMIAPLAFVVGLSMLKEGLEDWRRFIQDMKVNNRKVAVHKGDGEFVYRHWEELCVGDVVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRSLEVTLPLEEDESFKDFQAVIRCEDPNPSLYTFTGNFEYERQVYALDPFQILLRDSKLRNTSFIYGVVIFTGHDSKVMQNSTESPSKRSRIERKMDLIIYILFTVLVLISLISSIGFAVRIKLDLPHWWYLQPQNSNKLDDPSRPALSGIFHLITALILYGYLIPISLYVSIELVKVLQAHFINQDIHMFDEDTGNTAQARTSNLNEELGQVHTVLSDKTGTLTCNQMDFLKCSIAGVSYGVGSSEVEIAAAKQMASGDEDNDMPLQDIWEENNEDEIELVEGVTFSVGNNRKPSIKGFSFEDDRLMQGNWTKEPNSSTILLFFRILALCHTAIPETNEATGSIAYEAESPDEGAFLVAAREFGFEFFKRTQSSVFVREKHTSSKGTTEREFKILNLLEFNSKRKRMTVILKDEDGQILLFCKGADSIIFERLAKNGRMYEVDTTRHLNDYGEAGLRTLALSYRVLEESEYSSWNAEFLKAKTSIGPDRELQLERVSDLIERELILVGATAVEDKLQKGVPQCIDRLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICLSIPTGDQAAKESLLSQIANGSQMVKLEKDPDAAFALVIDGKALVFALEDDMKHMFLNLAIECASVICCRVSPKQKALVTRLVKEGIGQTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTIFYFEAFAGFSGQSVYDDWFMLLFNVVLTSLPVISLGVFEQDVSSEICLQFPALYQQGPKNLFFDWYRILGWMGNGLYSSLAIFFLNLCIFYDQAIRAGGQTADMAAVGTTMFTCIIWAVNMQIALTMSHFTWIQHLFVWGSITTWYLFILAYGMTLRSRDNYQILLEVLGPAPIYWAATLLVTAACNIPYLIHISYQRSCNPLDHHVIQEIKYLKKDVEDQTMWKRERSKARQKTKIGFTARVDAKIKQIRGKLHKKGPSLTIHTVP >KQL12232 pep chromosome:Setaria_italica_v2.0:IV:39578916:39580121:1 gene:SETIT_006585mg transcript:KQL12232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLKRWRGDGDSFYAAKRLEERRLYLIFDDWPWGYSIREIDLRVSTSQKAASSQNGAAASGNGAAASSEGADRHLPQPIICLEAPRGYPLFFAAVGTRIVATHPRDPWDDGSVPGDFMPIVDVRSRGVTFGPGQMDHDIPIYLPVHNGLLALDNCTFSMLSFEPLWPPRLEIRRSSNDGWSWRRELPEPPFDRLDVTAYIVSPDETIMVSTNEGTFTSDIVKIDTEELVWMPSCNQWTLPFTGRGYFVSCLGTNVGLSKDPATLGHLVGAADGGKLKLCKEKLFSQDPAETHVGATLIHMQDGNGDGNEFCLVQCVSVEHGNDDQELKEGGEEVPIEHGDDDQELKEGGSRHLYRLTTFSVSYDNNGDLTTGGTCKVQCYKVPKQTTERFLDGDPVAFWL >KQL09855 pep chromosome:Setaria_italica_v2.0:IV:6713054:6714602:1 gene:SETIT_006971mg transcript:KQL09855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEPAAAGAATPGGYDRRRELQAFDDTKAGVKGLVDAGVTAVPTIFRHHPDSLDLEATSSSTDDVAAIPVVDLSGAPREEVVARVRHAAETVGFFQVVNHGVPGELMAAVLDGVRRFNEGPAEAKRRAYTRDTARKVRFSSNFDLFQSAAANWRDTLFCELAPVPPSPEELPEAVRDVMLEYGGAATELALRVLELLSESLGLPGDRLREMGCAQGLNVVSHYYPPCPEPHLTLGTTRHTDANFITVLLQDGMGGLQVLLDRAGAGGGRRWVDVPPLPGALIINIGDLLQARMHQLAAAFFAS >KQL08996 pep chromosome:Setaria_italica_v2.0:IV:622050:626269:1 gene:SETIT_005765mg transcript:KQL08996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPMAAAAANVSITCCCSSSYEDDDSATSSWSLSSQRRRPYRRLLHEEAQRLRRARRSQGPGADTPRWVRRTADQMARYVEDDRAGHVYGRHVVAAVRAVRATASRPSADMRLAMASFVTKLTFREMCVVLREQRGWRQARDFFAWMKLQLCYEPSVVAYTILLRLYGRVGKIKLAEETFLEMLEVGCEPDAVACGTLLCAYARWGQHKDMMLFYSAARRRDIVPPISVYNYMISSLQKQKLHGKVIQVWKQMLEAGVAPNQFTYTVIISSFVKEDLLEEAMDVFGEMKRRKFIPEEATYSLLISLSSKHGRGEQALQLFEEMRVQGIVPSNYTCASLLSLYYKNEDYSKALSLFSEMEDNKIVPDEVIYGILIRIYGKLGLYEDAHRTFAEIGKADLLSDEQTYVAMAQVHMNVGHYDRALEVLESMKVRNVKPSHFSYSALLRCYVAKEDMAAAEDSFRALSKYGLPDVFCCNDLLRLYIRLGHLEKARTLVLKMREDNFQLDDDLHMTVMELYCKIGMVDDAEKLFKEIQRNGKPMKIPTMVSLIEMYARNRTRAIQKYQSLSKALDKTSSSSAGMALKFLLDMPGGLSSVSQLISKLAREGSTDEAKFIYDQLIEMGIKPNDSAVATLIVQYGQGEQLEQAQELFESASASFPEGAHIYNAMVDAFCKCGKTEDAYHLFMEMADQGNNRDAVTVSILVNHLTKNGKFQEVENIIHGCFRDEVQLDTVLYNTFIKSMLESGKLHSAVGIYDRMISSGISRSMQTFNIMISVYGKGGKLDKAIDMFAAAQELGLPIDEKIYTNMLSLYGKAGRHQEASLMFKRMKEDGIRPGKISFNSMINAYATSGLHMEAKSIFQEMQGSGHAPNSLSYLALIRAYTEGKLYAEAEETIQMMLNSNITPSCPHFSHLIFAFLKEGKISGAQRIFNRMVEEIGVAPDLACCRTMMRVYLEQGLVDDAISLFEMTRESLKPDSFILSAAFHLYEHAGRESEAGHVLDAISVNGTAFLRNLKVGSKLRC >KQL09729 pep chromosome:Setaria_italica_v2.0:IV:5515497:5517986:-1 gene:SETIT_008414mg transcript:KQL09729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPNLALLPIQDMPELLKCVIGGGACFGLLDPVSNIILSAVSYLDRLENPPPEELARRHGMSIQQQQCEESQKWIIDHVDMDFVEKIGLKFAAGRSRKGLTVFLQNYFRCLTVKQASRYVELANFDLTLAIKLVYHDRFTADPLFVPDPTSSKTKAALKQAALEARLWAPHEDFVLLATSCYPRDLLQKATAKLLRKENLSSFCIRRMLKLMQWRSSTVPNSEDLNVAHLIALRHPGDLAAEMILCLESVPSLTIPWRLGKHNSSSCGYHRALKLQLLDSIHVFYLEALARLPTEFLHKHLRGILMGGHCFGPFDPVSNIILNAVWFEQAFQLDCGEDTEADVLDNRCSLRMERRSLEGLIQLVFSFIGGSHDSDGYEQKALEYLCSKKCDISHELYRATGTFDHETCTWKFISAASAAKHPQSTCLGRFYASLYTTVVGDSMRFLISGKNAISDQELHHLWDLIKVITHQEDPMDLSMGPVRTLSQNAWKVLAEKKKNFKVEQSFVRKMVDLILSKYNSAHAAAPIYKLHIICGVATSSSCSGLMCFHVNFLARTGCKFFAPLTLTKEHTTSFIHDQLRPFFCCPISMPKSFELGRCCHCEKIGSKILHPISESYVSSNGDDLSYSFPILNKMDEYVVDVDKALDTEFLYFDHDKDQHLCHLLEDA >KQL10764 pep chromosome:Setaria_italica_v2.0:IV:25271303:25273423:-1 gene:SETIT_008059mg transcript:KQL10764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNMFEFFEGPPSFTDLFDHAVRKYGCRVDEMSLRGCFDCGKARAHYHYKDVVHEANVACLEVIVEIVCMPGPNVVLREEVVVVNRNGTQESEILQHVLGETESTFDLAIANDDFPNNTFERDEANIDDDDVSMGSEDSEFEEDGNELWLLKERDAKLPSVPNDKDISMVHKAICESSMVNSEGIPFSESSVIKKGMKFKSLEELKFFLAEYANLRYNVMCKQGCHWCVWSRLISSPGQWRISNVVQPYTYRSSQSKQVHVQCIAKYLGQHILGIIRKYIETSVPSLMESIFTFSGYRFKYSKAWWVKQHAVALLWGDWKESYGMVPRVLTAMAYYNPGKTDCVIGKLKALCKVHTKTEFSTKLEDLVKDLNDDAKEWLKGEMEDKDKWAQSFDEGGMRWGIMTTNYSESLNAVFNGIRSRPISRIIEYSFEKCNAYFVD >KQL12102 pep chromosome:Setaria_italica_v2.0:IV:38771544:38774936:1 gene:SETIT_006225mg transcript:KQL12102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPLAKARRGFSPQPPARPSSSSSSSSCRLQLEQDVKELQRALREETALHAVLEGALERAAVTLADMAYLPTNAQELLSNICILETAVTKLEEEMVSLHFQLIQERNERRLVEYRLKQMPPSACSCYSGKLGPDDTTGEKCSQGEKVYARAVLHEQVMKLQRQISVKSLVNPNQLSEDIVRCMRNIFISLSDSCRDSSRNSSMENQWSIPSPSGNYSISAFWSLSEPSSISSWVQSPQVDLNYNNNLLASETVFDPYKAREKLSWADIGSYSAAAEVSWMSVGKKQLEYAAESLCKFRLFIEQLAEINPVHLNEDARLAFWINLYNALMMHAYLAYGVPRSDMKLFSLMQKAAYTIGGHSFSAAFIEYVILKMKPPSHRPQMALLLALQKMKVPEEQKKFCIEAPEPLLTFALSCGMYSSPAVKIYTANNVREELQDAQRDFIRASVGVSRKGKLLVPKMLHCFARGFVDDNSFPIWISHILPQQQATFVDHCVSQRRQSLLGNRTFGIIPFDSRFRYLFLPDTGLIN >KQL11065 pep chromosome:Setaria_italica_v2.0:IV:30441464:30441961:-1 gene:SETIT_008653mg transcript:KQL11065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTLISCDDERFEVTEAAANMSQTIRHMIEDGCADGGVPLPNVDAKTLAKVLEYCNRHAAAAASPEPAAAGPAATASASAGKDDDDDLASFDKAFVDVDKDTLYNLLLASNYLEVKGLLDLTCQKVADMIKGKMPDQIRQTFGIKNDFSPEEDEEIRKEYSWAFE >KQL10850 pep chromosome:Setaria_italica_v2.0:IV:26940251:26941330:-1 gene:SETIT_006765mg transcript:KQL10850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPKPLLVISLSISVLSPCTAATGGKPLVSAVTKDAATSLYTAPLKDGRPLVLDLSGLVISLTTYGTVTTLSANATNGANPLFPVSFSAVASCAPRQPNLPAGAVGVAGLAPSTQSFLAQVARTQSVANKLALCLPSDGKTTSGNSVGVIIYGGGPLIFPDRGDFTTMLAGTAPLHGYKGSPGYFVSATDIAVERNQVGKPGPLVVGLSSTTPYTALRPDVYAPFLKAFDQAASGPNFPWMTRVPSVAPFELCYDAKKLPPTRLGVAVPQIDLTLEGGSNFTVFGGNSMVLVNANTACLGFVKAAGQAPEVVLGGFQMENRLLVLDAENGQLGFTLFLNAVGLSCSNFNFTLAA >KQL09571 pep chromosome:Setaria_italica_v2.0:IV:4327299:4329829:1 gene:SETIT_005936mg transcript:KQL09571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLLFSLTVALQFQAKTMASPGSSCPKRCGDVDIVYPFGIGAGCAMEGFELNCSKTEDGHSILTFLGVIPVRNILLLEGQVRIMKHISTLSYNRMSKKIDHDIWGRDLSKTPFTYSASSNTFTVIGVNTLAYMTDNVHVIIGCVSRCWPYSNITAQQGICHGAGCCQVAFKADMSYDGVYFNELYNTTDYYTSRSTTDRAEYRGYAVLMESNAFRFNTTYLNTTAFFDEHAGRVPVILNWAVGNETCDVAREKNDSYACLSTDSNCVNSRSGSGYLCNCNVGYQGNPYLRDGCQDIDECTASKPCAGCINTRGSYICPPSRSLNVVALAVGSSIGVVIVVIAITCTYLFRERKKLANIKQKYFQQHGGMLLLQEIRLKQGIAFSIFTEAELIEATDTFDNKNILGRGGHGTVYKGTLKDGSLIAVKRCISMTSEQQKEEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFQFIHGGNGCHNISFSTRLRIALESAVALAYLHSWASPPILHGDVKSSNILLDENYAAKVSDFGASILAPSDESQFVTLVQGTCGYLDPEYMQTSQLTDKSDVYSFGVVLLELLTGKKAFNLGGPENERSLSQRFLCTVKEGRLMDIIDNRIMNENDMGLLEEVAELARQCLEMVGERRPAMRDVAEKLDRLSKVMQHPWVPAQHNPEEMESLLGESPVASLEMISSGNFSMEKRIVQGMMESGR >KQL10817 pep chromosome:Setaria_italica_v2.0:IV:26198642:26203354:1 gene:SETIT_007867mg transcript:KQL10817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPSPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESQVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGWRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYDMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQDYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITAARQEVLTLKAHVLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEPWHQDDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSEIVFSPTCYKDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWQPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQPERAPLHDYM >KQL11178 pep chromosome:Setaria_italica_v2.0:IV:31426057:31428761:1 gene:SETIT_009082mg transcript:KQL11178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALPPPHDAVSVDLTPMDGGEEVVRIEMRVVRRREALRSVRVSRTPGSVLGRATAAAPAPAPAVDRPTAAGDSVFLSLASTDDLFLMEK >KQL09781 pep chromosome:Setaria_italica_v2.0:IV:5968274:5972216:-1 gene:SETIT_007357mg transcript:KQL09781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAGSKGWQRGRTSMNDIIDKYSTHSKNLGKSNQQPPIDLNVEQSKYSGLNEQLAEASRGLRQMRGEELEGLSVDELHQMERKLEAGLHRVLSTKDQLFMEQISELQQKGAQLEGENMRLKKQVPQVPTAGTAVVAADTENVLTEDGQSSESVMTALHSGSSNDNDDGSDISLKLSLP >KQL10128 pep chromosome:Setaria_italica_v2.0:IV:9978358:9984935:-1 gene:SETIT_005719mg transcript:KQL10128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFSGGGGGRSGALLPTTSKPKAHHHLRSKSSLSAPASSRRRGGPHSASSPYSRRALWLAAAAFAALFVLAFLRLGFPSSRPAAARPPPARPRARLTRRPAFRHRESAAAEAAAAAVAARIGREAPVDITTRDLYDRIQFLDVDGGAWKQGWEVKYRGDEWDGEKLKVFVAPHSHNDPGWIRTVEEYYERQSRHILDTIVESLSKDSRRKFIWEEMSYLERWWRDAPRKKQEAFAKLVRDGQLEIVSGGWVMNDEANSHYFAIIEQMMEGNMWLNDTIGVVPKNSWSIDPFGYSSTMAYLLRRMGFHNMLIQRTHYELKKELAVKKNLEYLWRQNWDIEETTDIFVHMMPFYSYDIPHTCGPEPAICCQFDFARMRGFSYESCPWRFDPVETDTDNVQERATKLLDQYRKKSTLYRTNTLLIPLGDDFRYVSVEEAEVQFRNYEKLFDYINSNPHLNAEVKFGTLEDYFSTLRDEAEKINYSRPGELGSAELQGFPTLSGDFFTYADRNQDYWSGYYVSRPFFKAVDRVLEQTLRASEILGSFVLGYCQKFQCAKLPISFSHKLTAARRNLALFQHHDGVTGTAKDHVVVDYGTRMHTSLQDLQLFMSRAVEVLLGDFHDRSDPTLLSHFEPVQERSKYDVQPVHKVLDPHEGNAQSVVFFNPLEQTRDEIVMVVVSTPDISVLNSNGSCLQSQVSPEWEFVSDEKISTGRHRLYWRASVPALGLETYYVVTGQDCEKAVPAVVKTFTASQRFPCPEPYVCSKLEGKTVEMKNSYYTLSFDVSHGLLQTVTRLKDGEQTVIGEEIGMYRSHGSGAYLFKPIGQARSIVEEGGHFILTEGPLVQEAHSLPKTEWHKSPLSHSTRIYDCGDSIQDMLIEKEYHVELVGHVFNDKELIVRYKTDIDNQRIFYSDLNGFQMSRRQTYDKIPLQGNYYPMPSLAFLQDSLGNRFSVHSKQSLGAASLKTGWLEIMLDRRLVQDDGRGLGQGVMDNRPMNVIFHLLRESNVSALPKTHSLLTLQPSLLSHRVGAHLNYPMHAFKSKKPHEKSFKLPQQSFTPLTASLPCDVHIVNLKVPQPLRFPHSEAADPRFAILLQRRGWDASYCKRGGLQCTTVGEEPVNLFYMFKDLSAVSVKATSLNLLHDDPEMLGYLEQIGDVAQEGNVLISPMEIQAYKLDLQPPSPQE >KQL09872 pep chromosome:Setaria_italica_v2.0:IV:6915383:6922058:-1 gene:SETIT_006009mg transcript:KQL09872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEATGRRGRVVDLSGAEIRGDIEGRNPPIFLPRQPAASPLLALDIGGTLIKLVYTASCGGGGSGDGDGDGDGAELRFAKFERRRLQECFDFVRAKGLLGCNGTRSSKENMPLKATGGGAYKFADDFREKLGVCLDKLDEMDSVVSGANFLLQNIPGAAFTHMNGQRNPVDVSPNNLFPYLLVNIGSGVSILKVTGNRKFERVTGTHIGGGTMFGLAKLLTGCKSYDEFLQLSQKGDNFVLDLIVKDICGELVCQKQGLSMSTLASSFGKVITSKKKLTDYKPEDLASTLLSAFTYNIAQIAFLVASLLGLRRVFFGGSYIRGHKSTMENISFAIDFWSQSQMQAVFLRHEGYLGALGALMSYGDLSGENLTLEESKEKEPHHEATAPVDGISADEENDSNIFPYLLVNIGSGVSMIEVIGKGKFERIIGSHLGGGTILGLARLLTGCSSYEEFLELSQRGNNLSVDLTVGDIYGEEGYPKIGLPASTTAASFGKVNSNKLSDYKMEDLAAALLNSFTYNIGQIAYFVANLSGLKRIFFRGAYVCGHEKTMDKISRSLKYWSKGEVETTFLCHEGFLGTLGAFWSYENMGIDSLAAHEVIREVLLGAPYTGQFPSLPVTEQQENGENNTLEGEVESLRHENAALKAEVERLQRENVELRAKLGAATL >KQL10090 pep chromosome:Setaria_italica_v2.0:IV:9470043:9472041:1 gene:SETIT_007250mg transcript:KQL10090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARTLAGRVGPRLAPPLAGLLRHGYAPPTSSSAAAADDLVIDEDPPRAASPSAATATATGTMAATMPTVLQPRVLIYDGVCHLCHRGVKWVIRADKHAKIKFCCVQSKAAEPYLRLVGMDREDVLRRVLFIEGPEAYYEGSTAALKVASYLPLPYSVLSSLLIVPTPLRDAVYDYIAKNRYDWFGKDDECIATKDKDILDRFIDREEILGGGPNNSFF >KQL10759 pep chromosome:Setaria_italica_v2.0:IV:25047870:25049145:-1 gene:SETIT_007120mg transcript:KQL10759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFAAADVLLWKDKKTSAVVIGGATVIWVLFEVLGYHLLTLISHVLIGVLAILFLLSKATTFIKKSPPNIPLVEIPEDLVVNVSQALRNDINRALHLFREIAMGHDLKKFLGVIVGLWILSSVGSCCDFLTLIYIAVLMLHTVPILYDKYQDKVDHFAGRAHTEARKQYEVLDAKVLSKIPRGPAKPKKQN >KQL10760 pep chromosome:Setaria_italica_v2.0:IV:25047870:25051894:-1 gene:SETIT_007120mg transcript:KQL10760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPAEETVAAPPPTPAAPAESASDPPSADAASPEKVSPPAPAPAPETRSRGFRLLGEDTSVHKALGGGKTADVLLWKDKKTSAVVIGGATVIWVLFEVLGYHLLTLISHVLIGVLAILFLLSKATTFIKKSPPNIPLVEIPEDLVVNVSQALRNDINRALHLFREIAMGHDLKKFLGVIVGLWILSSVGSCCDFLTLIYIAVLMLHTVPILYDKYQDKVDHFAGRAHTEARKQYEVLDAKVLSKIPRGPAKPKKQN >KQL10498 pep chromosome:Setaria_italica_v2.0:IV:16717380:16717889:1 gene:SETIT_007749mg transcript:KQL10498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNTSTQTGIKWQYIIAKTEYQSTQWLLTMARRICLLNSFTNLKHNIDNISNTGASSPAEAQICKV >KQL09831 pep chromosome:Setaria_italica_v2.0:IV:6474304:6476228:1 gene:SETIT_008778mg transcript:KQL09831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNVLTAHGKRWNWSTGALLTAAFVMTVPTLVILLGGGTTGTPAVWVRTAMASLRQGPGDNLHGGLLVEGFDQESCHSRYQSAMYRRNPGRQPSEHLVSKLRRHEALQRRCGPGTAAYSDALEQLKSGKNAASPECKYLVSISYRGLGNRILAAASAFLYALLTDRVLLVDPSNDMGELFCEPFPNTSWLLPPGFPLWSFNQDTPERYGRMRENGVLRTDVSNGGSPANSKAADMPAFAYIHLDWNQTDHDQLFFCDEDQRLLSNFQWLVMRTDSYIVPGLFLVDTFRQKLATLFPEPDAVFHHLGRYLFHPTNHVWGLVTRYYSAHLGWAQRRVGIQVRVFPRSPESPELLERITKCTQKEGLLPRVVDTEEPAVTAAPPTGAGRGVKSNAVVITSLKAWYYEQMKGMYWENATAGGEVVVVSQPSHEEYQHYGVKSHEYKAWAEIYLLSLTDLLVTTGKSTFGYVAQGLAGMRPWVLLGQANGTAGNNRPPCSRDVSPEPCFHIAPLHDCKRRRDSGKIVPHVRHCEDVPTGLKLVDRKEW >KQL09870 pep chromosome:Setaria_italica_v2.0:IV:6903089:6906819:-1 gene:SETIT_005856mg transcript:KQL09870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLWKQSGGLVCGDAGPLSPLGGRSRRRARLTLYGFAVSFAAFTAYVAFATPSSAGAGAGAEGGASWFGSVYASTAPYRSQISGFFSSILPASAPAPSPQPPRATAGGSGGGVGEVSRDVSGGGVGSAAGSNSSAAAESSKQLGSGGGAPIGNVGGGSVPPASDLAGNGISGEGGGGAPTNNSASSGGAVDQNKGNGGGLSSSKAGGSSGSPASSAAGDRTVAKASEQSVDTSNKQPGSGSGDPSNATAGQGSTVKAEAKVGGGVPSNNSAGSSSSGKVDLSTGSSNTQAGSGIGIPTSGSASGNISSVKAEAEGAVGAGSSGSSGSGTEKKADLSKGSGSGSGDASHESAGSSSSVKSNAGDGGGEHNSSSVSAVPTSNQTGSLALAGEKEVGSPSKNNMVVASPAVKNQEQTSSGVASGGSSGAVNKQKGDATQGSAGSSKDHSAQAVTSKSGNDSKGNVSTTKQDGGSSGNKEVDWFKQVASCDMFHGHWVRDDSYPLYPEGSCPHIDEPFDCYLNGRRDLAYQKLRWQPSGCSIPRLNPTDMLERLRGKRLVFIGDSLNRNMWESLVCILRNSVKDKRKVFEASGRREFKTEGSYSFLFTDYNCSVEFFRSPFLVREWEMKVSDGKKKETLRLDLVEQSSPKYKDADFLIFNTGHWWTHEKTALGKDYYQEGNHVYSELNVVDAFHKALLTWSKWIDANVNPKKTTVLFRGYSASHFSGGQWNSGGSCDKESEPITNEQYLSTYPPKMSILEDVIHKMKTPVVYLNITRMTDYRKDAHPSIYRKQNLTDEERRSPERYQDCSHWCLPGVPDSWNELLYAQLLIKQHQMHQQ >KQL09940 pep chromosome:Setaria_italica_v2.0:IV:7601420:7601617:1 gene:SETIT_008127mg transcript:KQL09940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPFPAGGGDDKVSAAWAAAPVFLAAERAGRPVDPVIWGDEKRMKRELVAWAKAVASMAAAGNNS >KQL11530 pep chromosome:Setaria_italica_v2.0:IV:34507219:34509458:-1 gene:SETIT_006192mg transcript:KQL11530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGVVAVEEAGSSWWAWRAAAAAACLLVLLHVAARVADALWWRPRRLEAHFARQGVRGPPYRFLLGCVTEMVALMADAAAKPMSPPDSHDALPRVLAFYHYWRKIYGPMFLIWFGPTPRLTVADPELVREILLTNADAFDRYEAHPVVRQLEGDGLVSLHDGKWALHRRVLTPAFFPDNLNRLAPHVGRSVAALAERWRAMASAGGGEVEVDVAEWFQAVAEEAITRATFGRSYDSGRVVFRMQARLMAFASEAFRKVLVPGYRFLPTKKNRLSWSLDREIRRGLVRLIGHRSDEAEEGGGAELNDKGSNGFRDLLGLMINAGGKKAPAIPVADMLEECKTFFFAGKQTTTNLLTWATVLLAMHPDWQERARREVLAVCGADELPSKDHLPKLKTLGMILNETLRLYPPAVATIRRARRDVTLGDGGVSVPRDTELLIPIMAMHHDVALWGHDATEFNPARFAGGASRAAAHPLAFIPFGLGPRMCIGQNLALLEAKITLAILLQRFELARSPNYVHAPTVLMLLYPQYGAPVIFRSVSSFPPSD >KQL09282 pep chromosome:Setaria_italica_v2.0:IV:2302006:2306137:1 gene:SETIT_005978mg transcript:KQL09282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEDVTFQVLKDVTNGFSEKQKLGEGAYGVVYRGLTKNGEEVAVKKLRDVNPDLDDKQFQNEFYNLMKLKHNNIVQILGYCYEIEQISIEHNGRIVLAEKTYRALCFEYLHNGSLQKHISDEHCGLDWHTRYKIIKGICEGLKYIHEELEKPLYHLDLKPDNILLDKDMVPKIADFGLSKIFGKELTRTSQSPLGTCGYQPPEYIERGEISKKFDIFSLGVLMIKIVSGPEGYSKSQNMSYDEFIDQVQNNWRNMLQETWSDSLLEGYCHQVQRCTQIALKCLEKDSQKRPDIMMIIDMLNKIETDTVKLPHKGCHGTVPSMTMLDTVTKMRTEQPDITNQYQHFNSMMTSSRCNDQEFVDTRKKTLDAGEGQIVGRTEEKEKIIAALCTKEKIIVLPIYGIGGIGKTTFAKLIYEDTMFKYFSRAWVYVSPRFDLNKIGNSVISQLSVKESKTNEKHEMDNILTKILSNKKILIVLDDLWEDNPFQMKDLKAMLSLGDKNNIIVLVTTRSEHIAKNICVTLKPYKIRPLTNDMCWDIIKQRSHFETRDDREELMGIGREIALKCGGVALAAQSLGYMLQSMKSDEWEKVKENDIWNEYISKDGSSPNHVLASLKLSYSSMGPCLTSCFTYCAVFPKGHKIVKDDLIHQWISLGFIKPKKILSPTQLCEKYIVQLLGLSFLQHSVLPTVSCF >KQL12035 pep chromosome:Setaria_italica_v2.0:IV:38339464:38341771:-1 gene:SETIT_006235mg transcript:KQL12035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEAVSGNRGEAVAPAKEVSAKEAVAVSKNASFREESNFLDDLKESERKALAELRDKVEAAIVEGKLFDDGKPEVKEKEDAKKKAEKAPEEKKEEEEVKNEPEAEEKEEEEGGKKEAEAEGKQEEEESKEEAEKEAEEEEGKKEEAEKKEAGEGEKVAAAAAEEEKPAAAVVVDKDIALWGVPLLPSKGDEATDVVLLKFLRARDFKAGAAFEMLRRTLRWRRDWPGFSAGADADLPEELAGACYLDGADREGHPVCYNAMGVFTDDAVYKKALGSEEGKARFLRWRVRAMESHVAELDFKPGGAASLLQVTDLKNSPGPAKKDFRVAVKQVLDLFQDNYPELVARNILINVPFWYYAFSTLFYPFLTQRTKSKFVIARPSKVTETLLKYIPIEAIPVKYGGLKRDSDTEFSADDSEVTELTVKGSSTETIEIEATEADATLTWDLTVLGWEVNYKEEFVPADEGSYTIIVRKGKKMGAGEEAVRNSFRAGEPGKVVLTVENTSHKKKKVLFRYKAKSPCAKKC >KQL12213 pep chromosome:Setaria_italica_v2.0:IV:39455597:39455870:-1 gene:SETIT_008855mg transcript:KQL12213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMDRSRWFPFYETMTTDAIQFVLQKFRL >KQL10693 pep chromosome:Setaria_italica_v2.0:IV:23584876:23590457:1 gene:SETIT_006015mg transcript:KQL10693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRSKRGYHYDQDSPPPRSKQRFDRRSGGGGGGGQNPNSGYHRRGPPGGGSDRRGFLPPDAAPPPPPPPPPPPSAAAGPPGAASNTTSFRILCPECKAYGFPSGFIAKVRDDSGALVTVHPPFAGDYVRIIETVDGVRREADGRPPMYSPAQEALLMVHRRILETEAEDGDEDGEYGPRGKDPRDRGKTTTRLIVPKLHVGCLLGKGGKIIEQMRMETKTHIRILPRDQNTPRCVSLSEEVVQVVGDGNCVRKAVAIITDRLKESLHRDRGPFRGRMNSPEPRISQEDEYLGGVQQMPVYEETYGRPDQIRNNISLEPPGYEFDSNGGKVIEHPDILYDDIVFRILCPNDKASSLVSTRDGIIEMLQADVGVDVRLTDIIAGSDERVVIITSREGPDHELFPAQEALLHIQTHVVDLGPDKDNIITTRLLVPSSEIACFDGREGSLSDIQRQTSANVQILPREDLPLCALESDELIQIVGEIRAARNALMQVTTKLRSYLYREMSGPIQVGNINVHGAISPATGSPRGPYQGNDIPMGAYHQAPQLAASWHSKVDLMYKCSLMSVEEEIFIHYWTVV >KQL10694 pep chromosome:Setaria_italica_v2.0:IV:23584876:23591509:1 gene:SETIT_006015mg transcript:KQL10694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSRSKRGYHYDQDSPPPRSKQRFDRRSGGGGGGGQNPNSGYHRRGPPGGGSDRRGFLPPDAAPPPPPPPPPPPSAAAGPPGAASNTTSFRILCPECKAYGFPSGFIAKVRDDSGALVTVHPPFAGDYVRIIETVDGVRREADGRPPMYSPAQEALLMVHRRILETEAEDGDEDGEYGPRGKDPRDRGKTTTRLIVPKLHVGCLLGKGGKIIEQMRMETKTHIRILPRDQNTPRCVSLSEEVVQVVGDGNCVRKAVAIITDRLKESLHRDRGPFRGRMNSPEPRISQEDEYLGGVQQMPVYEETYGRPDQIRNNISLEPPGYEFDSNGGKVIEHPDILYDDIVFRILCPNDKASSLVSTRDGIIEMLQADVGVDVRLTDIIAGSDERVVIITSREGPDHELFPAQEALLHIQTHVVDLGPDKDNIITTRLLVPSSEIACFDGREGSLSDIQRQTSANVQILPREDLPLCALESDELIQIVGEIRAARNALMQVTTKLRSYLYREMSGPIQVGNINVHGAISPATGSPRGPYQGNDIPMGAYHQAPQLAASWHSKDSGGSASGSYEQGSNINDDIRQNATKRFAVPLVTRSTLEVVIPNSAVASLTMRAGSKLAQISEMSGATVKLADDRPDVLEKVVQISGTPEQTEKAKSLLQGFILSIQDDS >KQL09753 pep chromosome:Setaria_italica_v2.0:IV:5741893:5744577:-1 gene:SETIT_006949mg transcript:KQL09753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYQPLCSVKDRCALRMIEDAEERGLISPGVTTLIEPTSGNMGLGLVLIAIHKGYRFIAVVPAKYSLDKQILLRYMGAELYLTDPALGFQGMWDKVEQLQKELPNVHVLDQTTNKANSEAHFRLTGPEIWKDTAGKVDIFVAASGSGGTVSGVGKYLKMQNPGVQIVCVEPVESPVISGGAPGKHKIQGIGPGFLPEVLDMSAIDETVTVTTEEAMANARRLAKEEGLLVGISSGANLAACLKIASREENKGKMIVTMFPSGGERYMNSDLFADVREECIAMAF >KQL09754 pep chromosome:Setaria_italica_v2.0:IV:5742056:5744332:-1 gene:SETIT_006949mg transcript:KQL09754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADRAEANRQQGWSRRADRRQDGGVPAALLRQGSMRFEVMIEDAEERGLISPGVTTLIEPTSGNMGLGLVLIAIHKGYRFIAVVPAKYSLDKQILLRYMGAELYLTDPALGFQGMWDKVEQLQKELPNVHVLDQTTNKANSEAHFRLTGPEIWKDTAGKVDIFVAASGSGGTVSGVGKYLKMQNPGVQIVCVEPVESPVISGGAPGKHKIQGIGPGFLPEVLDMSAIDETVTVTTEEAMANARRLAKEEGLLVGISSGANLAACLKIASREENKGKMIVTMFPSGGERYMNSDLFADVREECIAMAF >KQL10205 pep chromosome:Setaria_italica_v2.0:IV:10865724:10866743:-1 gene:SETIT_008666mg transcript:KQL10205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAPTLVPACDAEEPLLAESSDRFSMFPIRFPQIWEFYKKAVASFWTAEEVDLSADARHWDEALSPDERHFISHVLAFFAASDGIVLENLASRFMSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDRLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRSKLDEPRVREIVADAVDIEREFVCDALPVALVGMNGALMSQYIEFVADRLLMALGCKKMYNAANPFDWMELISLQGKTNFFEKRVGDYQKASVMSSLNGGGAANHVFSIDEDF >KQL11703 pep chromosome:Setaria_italica_v2.0:IV:36190029:36192030:-1 gene:SETIT_006875mg transcript:KQL11703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPAAATVKQQDELLVVLDTDGDAGGRAGAAPEPWKLAAVPPFVAKTFELVENPATDGVVSWGAARNSFVVWDPHAFAAVLLPRLFKHANFSTFLRQLNTYGFRKVSPDRWEFAHADFLAGQRHLLANIRRRRGAAGVGCKAAKTASIATGSGGREKELEKLRREREALARELARLRREQQEARAQLRDVERRVRGTERRQEQCAAAFLARAVGDPAAADETGRKRRRLDADATSTTPGVADVLAFVELALAAGAEAESAPMPAVASAHSTGAATNLDMVWNELLGEEPVAIDAKADQELAAAAVEPWEEMSGEEALELVEIDCLASP >KQL11514 pep chromosome:Setaria_italica_v2.0:IV:34379519:34381066:1 gene:SETIT_008259mg transcript:KQL11514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRNRYVAIRRHIEGAPAEVDFEVREETARWSPDSGEVLVRNLYLSIDPYQLNRMKRSSASHLAVDGILPGQRIAAYAAGEVVASASPEYAAGDVVAGVLGWEDYTLFTPSPAVLMSKVDASASASAAAGGFPLSHHISALGTSGMTAYGGLFEVCRPAKGDKVFVSAASGSVGSLVGQFAKLAGCYVVGCAGTKAKVDLLKDKLGFDDAFNYKEEPDLNAALKRYFPDGIDIYFENVGGEMLEAALANMNTHGRVAVSGVISEYTGAGRRAAPDLMEVIYKRITIRGFLAWDFLPRFAEFNAIIGEWIREGKVQVVEDVSDGLESVPSAFAALFQGQNVGKKLVKLA >KQL11916 pep chromosome:Setaria_italica_v2.0:IV:37601720:37603335:1 gene:SETIT_006367mg transcript:KQL11916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDPLIPLVHVWNNAAFDHASASSAWHAAHTAAADSGVAEGDKENHRPEPEAAADVQAEIGHIEAEILRLSSRLHHLRTSSNQTPEPKRGAGEAAPAAKAVVVRPRTRGLSLGPLDVIAAAKPNPSLHEKQQQPPPRAAQPKPIKQAPAPRGRGFSLGPLDIVASNPRVPAAAPQRKVQGEGGPARPILKPIKEPPVQRRRGVSLGPLEIHHGAGSKLGAAAAAARVKPFSSKLSSVREDGQRSKQNAVPARPWPSSNARQGTAASRAKARSGSMSPRSRRQSASKATETAGGNTKATETRGRNAAIAVNKGDDELKPKGVVNHTGNAAVAKRPAGSSKVRIVPSRYSLTPGSSLAAGTQEKRCKQSLPGLAGGSSQRVEIRAKLTEPSTDELSPETIAKVAELLPRIRTMPPSDESPRDSGCAKRVADLVGKRSFFTAAMDDGNAVTPYQARVLEVESPEAGEAAA >KQL10415 pep chromosome:Setaria_italica_v2.0:IV:14281567:14283091:-1 gene:SETIT_008794mg transcript:KQL10415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAMPLALLLLCLLVTQTNGSRRVLLRSTDDDSGASWSLKACTSISSGHSNGNKLPVVHRLSPCSPLIGGVRKHGKAGLNEILHRDGLRMRYLNEVQAAAAADTAPAPSGTPASGLSVPATQNVISGLPGVFSYTVLAGYGTPAQQLPLYFDVTGMSNLRCKPCFSGVEPCDQAFDPSRSSSFRTVPCGSPDCTQASCSSGSSCTFTFYNSTFVFGNGTVVTDTLTLSPSATFEDFTVGCMQLDNLFSDGAAAGNIDLSRSRHSLATRVLLSSPPGSAAFSYCLPANKDTQGFLNIAPALSDYSGHGGVKHVPLVTNPRGPNFYFIDLVAIAINGKDLPFPPATFRGNGTMIDTQAEFTYLNPLIYTALRDEFRTAMAKYQPAPAFSDLDTCYNFTGINYIELPDMTFRFGNGETMELDDRQFMYFFREHLDDAFPFGCMAFAASPDPNFAWNLLGSQVQRTKEIVYDVRGGKVAFVPSRCGLR >KQL11142 pep chromosome:Setaria_italica_v2.0:IV:31109787:31113000:1 gene:SETIT_006502mg transcript:KQL11142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQSAYGPRRLPSAPALSPSGRIGESGFSRRHTREAATPPPSSPHRTTPSSGQRQRCAWFSCTGQQQPRASWNLILSINGKMFSAPGNNSLALAAPRPGMELANVQQHPNQALGPGGKQRTSSLEAPIMLLTGHQSAIYCMKFNPAGTVIASGSHDKDIFLWYVHGECKNFMVLRGHKNAILDLQWTTDGTQIISASPDKTVRVWDVETGKQVKKMAEHSSFVNSCCPARKWPPLVVSGSDDGTAKLWDLRQRGAIQTLPDKYQITAVSFSEAADKVFTGGLDNDVKWWDLRKNEVTEYLKGHQDMITGMQLSPDGSYLLTNAMDNELKIWDLRPYAPENRNIKTLTGHQHNFEKNLLKCSWSPDNRKVTAGSADRMVYIWDTTSRRILYKLPGHNGSVNETAFHPTEPIIGSCGSDKQIYLGEL >KQL11632 pep chromosome:Setaria_italica_v2.0:IV:35456062:35459609:-1 gene:SETIT_006467mg transcript:KQL11632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGSPHRAPRRPAPATLSQAEAGSGTATASEREEERRQAEVKENLDVGAATAVFGFAVLLGCLCLPQEAKHPGNVRLTVSLLLSFATFFSGIALMLLSLNMHGLRVDLVSGSQWAASKCLLAACAVLSVLTLLGLLALLPGRVVYRYIGLAVVVVLLLPAAGAYWYLRLRADGGSEAAAREEHREELDAAWKITSCVANSAFGGLIGVLSSASKIPGAVTTAAYVSILLMFATAIPGMLVMMLSKKVPEITSLQLRRLLVAAIKLANVVLLCLLASAAFAAAFVVLRYRVFAAFAPLAVTAILCFLLRHCVVVRPGRRRGRSKLREKESQEARIKAMEDLASKVTTATLGAVMSVFGGALGERGDHGEAVRKEVVMVVLTSAFVSSFGFMLLAAAPDSARACLAPAARVLIWSSIALFAATAVAAYGAVGWRS >KQL12374 pep chromosome:Setaria_italica_v2.0:IV:40218106:40218850:-1 gene:SETIT_007343mg transcript:KQL12374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSSPAACCSPTTHRPSSSTCCRGLGPPAAIRVLGHGHHAEKRRRGHPPPCALPDPQAAAALLAVAGTVGVAATILLRSSPRREQQQQQQEEEEEEVVVAEQSECPDCGGTGLCGLCKGEGFVFKQLPEEAATKARKAAKNMATRYTAGLPTKWTYCNRCSSTRSCTTCRGSGTIKPITSTT >KQL11089 pep chromosome:Setaria_italica_v2.0:IV:30628928:30630084:-1 gene:SETIT_008262mg transcript:KQL11089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKSSRCSDEIYKEPLLCRKKEFRSSVIKIVDSSLNSNLLPADSICSIPASEDDFYLNKRRKMDEEYDPLPTNGNMRESITRNFTATGCISSPVHRVDGKSRMVSPNVEIPAGPHSNGNNGHQQGTFASPIAKPITELTSARDLCISILKSEIFPTKGSELSRTSSTIDHDDNQSSPLFECVRCGSMEDPSKMLICDCCEGAFHLSCCDPRVKKIPEEEWYCLVCKKKKPKRQRGKLTSPKRVLPKDIQRPRRGLGPIRDMLVDPESYVTDVRIGSKFQADVPEWSGPISRYAHLLFMMFILISVLCESAVLFVLFFLFLR >KQL12173 pep chromosome:Setaria_italica_v2.0:IV:39264519:39265101:1 gene:SETIT_009008mg transcript:KQL12173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAGDVDFPAIRSHMCTHISRTTFLSPCSSTRAALLIFSGTGGRGRDIPDLLSYALLIEMGSETS >KQL09843 pep chromosome:Setaria_italica_v2.0:IV:6626894:6631208:-1 gene:SETIT_006352mg transcript:KQL09843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRDDFFSDFMVLRPDKGGFRSLLHLLCSCKVADNDAVDCPIGTEVAERWRRWAIFVSLVAQMLLLWIKRPMAACGRVIEYWMNLITDNGGGVLGLVRNAMRGKVQTPDRKSPKYRSFIGLIDTRIELDKKIKPGDSNYHAALGIMAAKLAYENELVIKSVVENHWQMTFLEFFNCWNEFSGDYTTQAFMLSDKPADAELAVVAFRGTQPFDAEQWCTDVDFSWYEIPGVGKVHGGFMKALGLQKNTGWPAEPTEPASGAEKRRYAYYAVREKLRAFLAANPRARFVVTGHSLGGALAVLFPTVLALHGEEVLLGRLAGVYTYGQPRVGDADLGRFMAPRLDRPRRRYYRFVYCNDVVPRVPYDDAALLFRHFGLCLYFDSLYRPAAMAEEPNRNFFSPLYVVPKYANAAWELVRGFLIGHVAGGEYAEGWVMRAARAVGLVIPGLPPHSPQDYVNSTRLRAASLQLLLGDQ >KQL10083 pep chromosome:Setaria_italica_v2.0:IV:9375819:9376343:-1 gene:SETIT_009086mg transcript:KQL10083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRMAANLGQTAEGGRTTAENREAGGRRRCARMARRRALEGRWPGADAGGQGGGEQLETNISSTAAPLRSSAVVLRGFGLRTHILD >KQL10068 pep chromosome:Setaria_italica_v2.0:IV:9101355:9102423:1 gene:SETIT_008120mg transcript:KQL10068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGTDPLVIGRVVGDVLDPFVRTTNLRVSYGARTVANGCELKPSMVAHQPRVEVGGPDMRTFYTLVMVDPDAPSPSAPNLMEYLHWLVTDIPGTTGAAFGQEVTCYEPPRPTIGIHRFVLVLFQQLGRQTVDFAQLYNLGPPVAAVYFNCQREAGSGGRRMYP >KQL10589 pep chromosome:Setaria_italica_v2.0:IV:19495557:19495712:1 gene:SETIT_008328mg transcript:KQL10589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRPWGQAQEEGGRQGLWRKDGGGGGIAWRKEGRGRPERWYDHDRGTLR >KQL09367 pep chromosome:Setaria_italica_v2.0:IV:2855740:2856546:-1 gene:SETIT_008279mg transcript:KQL09367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALLVHMRRVLGEEAANATVARNVLAAVTSKLPSGGGEPDLHRRCVLFDCAPSRVRVDEVCAALAPSGGGGAVIEAVALCGYFVAAVVVFRTAAGAESALRGPARRSCHAVPPLDLGVEIPFIGPTDVKIIMPDSPILESCTPPPPEPEPKPWIEFLDCPSAEAACGTGTKQFDPSCESLVHGPTRGADGSLWMYGDITSADGRDVQMGASIRVRQTDPPPKDKRRPGIPDTAIWFRPF >KQL09332 pep chromosome:Setaria_italica_v2.0:IV:2562236:2563433:1 gene:SETIT_007699mg transcript:KQL09332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSSTQTRMTPNATYLQAAACTLGAARGGPLQEFEERLEEHSHADGDAEGEPLDRSGWAVAMLAGTEQAAELRCWQA >KQL11084 pep chromosome:Setaria_italica_v2.0:IV:30588303:30588422:1 gene:SETIT_0087711mg transcript:KQL11084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIEFLVEILEKSMPRSTEQAKAVAALKELRKISDLLRQI >KQL11560 pep chromosome:Setaria_italica_v2.0:IV:34826846:34830409:1 gene:SETIT_005761mg transcript:KQL11560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNREEALKAREIAIKKLESKDFAGAKRIALKAQRIFPEIENIPQLLTVCEVQCAAEANINGMLDFYGILQVEVTADEMTIKKQYRKLVLSLHPDKNSYAGAESAFKFVAEAYTTLSDRAKRYAYDIKWRVASKAAPKQATQRTQAAEPTRATRPNQSTQTKQAAKPKQAVKPKQAAKQKQATQPNLAEEPKQATQPMQATETKQINKPKQATEPMKTTEPINKNDANRSSAAGYGPSGSPPTDEWTFWTVCTHCKTKYKYYADILNRQIRCQNCRQKFFAFNISKEDVPPVFSSKAANGAGQQGCVPTQQGCSTNFPSRENKQATPWTNGAQYGEQMKSGSEPSGEGMVNHKETSGKGGVEFSARNPSEASAPNGNGMADGRIASDTTVPDFGDGQSLGSGVDTSAEPGAAGIPSPRRSSRRKSCADANNILNSPKKKSRTLKDWFSNAASSSNKVFDDKATHADGQASEPPVSSKTNNQEKGCTVNEGNQMNKEAHDTNAEKPCNAGSFTYPDPEFCNFDKFRDANLFAVDQIWALYDDHDAMPRYYARIRHLDTNNFRVRFTWLEHDAANDEEDKWTDNKLPVACGNFTLGNTEVSEDPLMFSHIVSSWSKGRKRGSYVIHPSKGEVWALYKGWSMQWTSDADNHRSYEYEVVEVLSNFTMEAGATVIPLVKVEGFVSLFAKAKDKSSFVIPSSELLRFSHSIPFFRTKGNEKVGVPCGFLELDAVSLPSDLDAAFPPVTLDSCVPIDSTMNSGFVDLTGDATPDPGNEQSAQKGNQRNGGKRKNHSLETPVQEGCPSPTMYTYPETVFYNFEEGRSYNKFERGQIWALYSDFDKLPKYYGWVTKVDLDPFRVHLTWLEASPQSEQENLWLEHELPVSCGTFKIRNWRIKYDTNDAFSHVVETQVGSKRHFEIHPQVGEIWAIYYNWSPGWVPSSKDACEYAIVEITERSEASTKVLFLTQVNGYMTVFKPDNERSILDVPAKDDLKFSHQIPSFRLTKEKGGKLCGFYELDPASIPDPFLSRGTH >KQL10015 pep chromosome:Setaria_italica_v2.0:IV:8425467:8425744:-1 gene:SETIT_008137mg transcript:KQL10015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFIVIEIHILPRENFGLAILLPNRQRLTSVERLIHSDRYEDPTPLHCQNPCSIFEFITKPNMIIQECS >KQL11216 pep chromosome:Setaria_italica_v2.0:IV:31783409:31786926:-1 gene:SETIT_006377mg transcript:KQL11216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAPPPLPFRCSSVLALLLLLLLASSPSSANGRAAPSSPGASPVAEHVRAAAAGANGTASVAPAVPAPPPIVIIVERRHHFHRELVIASALASVAIVAIILSTFYAWVLWRRSRRLAGGKAYRSSDTARGIMLVPILSKFSSLKTSRKGLVAMIEYPVLEAATGKFSESNVLGVGGFGCVYKAVFDGGITAAVKRLEGGGPECEQEFENELDLLGRIRHPNIVSLLGFCVHEGNHYIVYELMEKGSLDTQLHGPSHGSALSWHIRMKIALDMARGLEYLHEHCSPPVIHRDLKSSNILLDSDFNAKISDFGLAVTSGNIDKGTMNLSGTLGYVAPEYLLDGKLTEKSDVYAFGVVLLELLMGRKPVEKMSQTQCQSIVTWAMPQLTDRSKLPNIVDPVIRDTMDPKHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPVELGGTLRVAEPPSPNLKHSPC >KQL10399 pep chromosome:Setaria_italica_v2.0:IV:14116296:14118091:-1 gene:SETIT_006838mg transcript:KQL10399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLLFFVPLLVAMVSAALAMGAEGMTVPYYASASELNAYWQSVFPNRTIPSAILDHISTPSGNERNVKEQEHYWSVQSDQLNKEDAKIFHNWAHLIASEKLLYPETTFTPGSKINLYIDRAAALHSAWLRPDSADSIPISRKNFNDIVTMSAPVSNSMAHGMWSTLSSCEHPREVAGEQKACVASVESMHGFAASALRTNDLRALSTSLDVPEEGISSPSHMYRVAAVRVVTAGGAKPAAVDTVTCHSMSFPFALFYCHAVNPTRIYEVTLQKEETAAVPAPRRPAVVRALAVCHVNTSGFDPTLKYWVKLGVKPGEAPVCHFLTRGDVLWAPASAA >KQL11590 pep chromosome:Setaria_italica_v2.0:IV:35095872:35104149:1 gene:SETIT_005795mg transcript:KQL11590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHSAAAAGPRPPSFASQTNALLRKNLIFQKRNRKETIRLIIVPIYLCLIISILQRVINNLLDKPKFRCGCQCVDVNGTGPCQNVCGIQYSTPQQARSCPLPNPPKWPALVQVPLPEYRAVQDSSSLFTGLPDASCRKIQSCPASIPFTGANRTLSNSIMQNLFTGSPLSNLSDYTSISSLLLGTDLPGFTTGFVEPAFVSDRPIYVLEQQCKSSDSVTVPITVGSVNAQREIKCVQGLPLWRNSSRTINDETFLGYRKGKTVQGINEIAMAYDFQDSHEKQFNVLALYNSTYQNVSFIPTPFGLLRIPRSLNAVSNAYLQLVQGSGVKMLLDFTKEMPKQATRVTFDFSAVVGPLFFEWVVVLLFPVMLTYLVYEKQHKLRTMMKMHGLGDGPYWIIYYTYFLIFSTVYMIIFVIFGSVIGVNFFKINSYSIQFVFFFSFINLQIVLAFLASSFFSKVNTAQAIAYLYIFGSGLIAGNLIRNFIEGGKIPRHWITVLEIIPAFSLYRGLYELGQYAITASETGSHGMQWSDLNDHTNGMRDVLIIIILEWLVLLPIAYYFDHAASVGHTSSPLSIIKRLLKKGHASRRITVNEIADKEVQVEMEKLDIITERETVDQVLQQQTSGYAVVCDDLKKVYHGKDGNPDKFAVRGVSLALPYGECLGILGPNGAGKSSFISMLIGFVKPTSGNAFVRGFSIQSDMENIYNSMGVCPQNDMLWETLTGREHLQFYGRLKGLSGSSLDLAVDESLRSVNLFHGGAPDKQVKKYSGGMRRRLSVAISLIGDAKVVYMDEPSTGLDPASRKSLWSAVKQAKQDRAIILTTHSMEEAETLCDRLCIMVDGSLQCIGTPKELIARYGGYYVLTMTTPPEFEQEVENLVRKLSPSARKVYNLSGTQKYELLKQEARIADVFMAVESFKKRVDVQAWGLADTTMEDVFVKVAKGAQRSEELS >KQL10502 pep chromosome:Setaria_italica_v2.0:IV:16744747:16745363:1 gene:SETIT_008893mg transcript:KQL10502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPSSSIFGRCNTLNEMFRVFASFVFSYPFFSCWGICLYHECCNGLI >KQL09126 pep chromosome:Setaria_italica_v2.0:IV:1370587:1372149:-1 gene:SETIT_007379mg transcript:KQL09126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFRTRRLVAVEFQPPNQPRRKAKGGGRKSPRRGEMARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KQL10653 pep chromosome:Setaria_italica_v2.0:IV:22305548:22306104:1 gene:SETIT_007735mg transcript:KQL10653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMDDGEWPSTPIQELFVSRCVLAQLGFTHGDETEVAVPYVEKYCYDPFISATISPSQEARLVAFQDCQR >KQL09514 pep chromosome:Setaria_italica_v2.0:IV:3971335:3976004:-1 gene:SETIT_005924mg transcript:KQL09514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDPQHTSVMPRDFTFHLLEQITNKFSKDRIIGYGGYGVVYKGVLDNGEEVAVKKLYNKHPGLDDDKQFTNECTNLMRLQHQNIVRLVGYCYEIAHKVVEYDGQYVYAGVEERALCFEYLQGGTLEKLLSDESCGLGWHKRYKIIRGVCEGLHYLHNGSKDPIYHLDLKPANIMLDKNMVPKIGDFGLSRLFDSTQTCTTKVIIGTPGYMPPEYINRYQITQKFDVFSLGVIIIQVMAGRGGYLKCGDMSHQEFIDLVQGNWGKRLQVTMSSHTSHEIKTCIEIALRCVESDRVKRPTIAEIVDELNKIDDVKRSLADEDNLHSTQVKLYTNSGAADAEGALTVDHRDDGKEQEHMTLEDKILEDDPSDTEYPGPQTGGTLERLPTLKAKSGGRKAQDDLLWCHDLARCQDGDFSVAAMQANHFMEDQCLVESGLPFGTFAGIFDGHGGPEAAYFIRDHLLPNLQADAIREAFLATEQGFITLVSRQWETKPSLATVGSCCLVGVVHQRTLFIANLGDSRAVLGKVWHVTEEVLAVPLSAEHNVNYDKARKELIAEHPDDPDIVVFKHNVWRVKGIFQLSRSIGDAFLKDPRYNMEPLTTKFRLRTPFSKPLLSASPSILSHSLQPCDRFVIFASDGLWEHLTNQEAVDIVQKHQRAEGSARRLIKAALLEASRKREMTYSHLKTIGKGVRRHFHDDITVIVLFFSHAVQPLSIRCPLDNGSNGPLSSSSMDAQFLAPGNADEMLS >KQL10886 pep chromosome:Setaria_italica_v2.0:IV:27709343:27709804:1 gene:SETIT_008065mg transcript:KQL10886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPEHHPPSKATVIFSFVTVSFTGIAVLAVVILFCQYRVRGRAPVSAAVAGGNNPEGRRAGVDITKLPEFAYAESARRDGGGDGEQCSVCLGTVQAGEMVRRLPLCKHLYHVECIDMWLASHTTCPLCRADVEPPGEDDQAAPAEPQQELPV >KQL11901 pep chromosome:Setaria_italica_v2.0:IV:37472575:37473953:-1 gene:SETIT_007938mg transcript:KQL11901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILLVAVALFVLLLAHGADGSRSHPTGEEVKQKSHAPINGTSSIPGLIDHVSPHQDSSSDANIVCFAYHGAAMAPNGYYGFIGTMDVYGFPLAQGQGSAAAVWISDEGDGAPSSLKNIMIGWDLTSLMSPQNDGFQSTGCLNMKCNGFQPEKGAAITPGDVIDHVSSPNGVKRNLNLKIIKNGTSGDWLVHCGLDREPQLIGRFPRSLFTGGFADKAVGVTFGGVVSAPITKPTPMGSGYLPTDVKSAASIGNIQLVDQNG >KQL11741 pep chromosome:Setaria_italica_v2.0:IV:36461074:36462011:1 gene:SETIT_007553mg transcript:KQL11741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKVGNQGAMNHSTSKHGSSDAQKGPGGNKRHFPIPSRNNNSTKQGPNSKTKTALFHYISSQPLAKLPTFASARRRCRHGGGLWPDGARRLLLLLDHLLDSDHPPATEALVSPAS >KQL11480 pep chromosome:Setaria_italica_v2.0:IV:34039575:34044776:-1 gene:SETIT_008736mg transcript:KQL11480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPARSASTLSEAAAAESARRVTPMKLLVRVVEARGLPAVHLNGSSDPFVKLKLGKRRAKTAVVKRSLAPAWDEEFSFLVGDVAEELVVSVLNEDKYFSNDMLGLVRVPLSQVMETDDLSLGTQWYQLQPKSKKSKKKNRGEVCLHISLSTRTHVSDESQCVPHPASDDLASSSESPSEHKAATLSTTSSYIDLSAVSSIDRASHSSFERLPDSIPELPARSSTEQAAPEPGPAADNDATANPSSVVEVLSRYFFGKPVDAPVHSTTSETDSVDQFQEPKVSSSEDHENPEKGTASESSLDELLKIMESKDEGSEMPANLPGGVLVDESYVTAPTNLNSLLFSPNSDFWPAVAELQGTSGFHIEPWKLESNESCVQRTLSYTKAASKLVKACKATEEQKYLKAARNSFAVFSVVSTPDVPCGNCFKIEILYCITPGPQLSSEEQTSHLTVSWRVNFVQSTMIKGMIESGAKQGMTEGYAQFSEVLSQKLKVVELDDPNSNKEKILASLHAQKETGWRLIVRFLGNFTFIFSVVIALYVIAHLHLSKPDVMHGLEYFGLDLPDSIGEVVVCAVLILQGQNIVRVIRRFLSAWKQRGSDHGVKAHGDGWLLTVALIEGTGITAAGSSDLFDLYVVFTCNTKRKTSSIKFQTSDPKWNEVFEFDAMDDPPSRMDIAIYDSSGLCIIGHTEVNFLKNNLSDLTDIWLPLDGKCDQASSPKLHLRIFLNNSRGTEVVMNYLAKMGKEVGKKINLRSAQTNAAFRKLFALPPEEFLIDDFTCHLKRKMPLQGRLFFSPRIIGFYSNIFGHKTKFFFLWEDVDDIQVIPATLSIGSPSLMMILRKDRGLEAKHGAKGTDHHGRLKFHFQSFVSFNDAYRIITAIWKMRALGPEQKGEVIEKDEPKELQPEEGGSLFTNADVKMSEILSSVLSVDVESLMEMFSGGPLEHKVMQKAGCIDYSATEWELVGCNIRQRQTSYKFDKNLSRYGGEATTTQQKYTLVNRVGWAVEEVMTLQGVLLGDYFNLQLKYLMTDVPSKPNTCSVQVLLGIAWLKSTKQQKKVTKNIISNSSNRLKELFAEVEKELTSRNGSLLNAATSPSTA >KQL12083 pep chromosome:Setaria_italica_v2.0:IV:38640012:38641055:1 gene:SETIT_008928mg transcript:KQL12083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPVIHANVMTCEFWMLILGKFVHNSSSGNFCGWGVMLCGL >KQL11453 pep chromosome:Setaria_italica_v2.0:IV:33849246:33852017:-1 gene:SETIT_006292mg transcript:KQL11453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCRPSHGSFCLVLLLLAAAAVLGAAGSSSHVQLSAESLLLAADSSSCPTPQGQQHGGASTGTRMPIVHQHGPCSPLADKRGKAPSHSEILAADQRRVEYIHRRVSETTGGARPKRGAAPVQLRPGAPSATTPASSASSYATSANLPASSGQALGAGNYVVTIGLGTPSERFTVVFDTGSDTTWVQCRPCVAYCYRQQEPLFSPAKSSSYANISCSSSYCDDLDASGCDGGHCLYGVQYGDGSYTIGFFAQDTLTLAHDVVKEFRFGCGEKNRGLFGRSAGLMGLGRGKTSLTVQAYGKYGGVFAYCLPATPSGTTGFLDFGPGAPAANARLTPMLTGSVPTFYYVGMTGIKVGGHLLPIPGSVFSAGGALVDSGTVITRLPPSAYEPMRSAFAKGMDALGYKKVPAFSILDTCYDLTGYKGSIPLPAVSLVFKGGACLDVDASGILYDVDVSQACLAFAANDDDTDVAIIGNTQQKTYSVLYDLGKKVVGFAPGAC >KQL09160 pep chromosome:Setaria_italica_v2.0:IV:1600461:1604308:-1 gene:SETIT_006990mg transcript:KQL09160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGQNGRDPFAGFGGFGPQRSLISGFFGGRDPFDDPFFTQPFGGRTMGGPGMFGPSPFGPMGGPFGDMRNDGFIEQAPPRSNVRRPVITELDEEGENAEHGNEQSNQDSYVQEPDDTSDGMEGGQVQLRRELNRANSGGQPQARTFTYQSSSVTYGGINGAYYTASKTRRSGSDGITVEESKEADTTTKQATHRISRGIHDKGHSLTRKLNSDGKVDTTQTLHNLNEDELAGFEESWKGNAGHPLPGWNQNAGAPNSDNSGNRSSSGRDRRSAWGWALPGTEQGRDPRRNGKPKSRVIPIS >KQL11170 pep chromosome:Setaria_italica_v2.0:IV:31349975:31351987:1 gene:SETIT_006774mg transcript:KQL11170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKQSNSGVRVCVTGGAGFIGSWLVKKLLEKGYTVHATLRNTGDEEKAGLLRRLVPGAAERLRLFDADLLDAATFAPAIAGCQYVFLLATPFGLEAAGSKYKSTAEAVVDAVRAILRQCEESGTVKRVIHTASVSAASPLKEKGSGAGYKDFISESCWTPLDVDYPLRSAHFDKYILSKLQSEQELLSYNAGESPAFEVVTLPLGLVAGDTVLGRVPETMESAVSPVSRNEAYFGLPRILQQLLGSVPLVHVDDVCDALVFCMQRRPSIAGRFLCAAAYPTIHDVARHYANKFPHLDILRETEAVARVQPEGDKLGELGFRYKYGMEEILDSSVACAARLGSLDAAKLNLQKG >KQL11827 pep chromosome:Setaria_italica_v2.0:IV:37058107:37061408:1 gene:SETIT_005769mg transcript:KQL11827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRARVRRPAAGASPLRPSRPSPAPFTTTTTDASTSSSPDPDGVAAEVATLLSRCSGDWKLAIAASDLPSRLSPASVSSLLLRGASSPRLHPKLLLDFFYWSRPRLGPSAPAPDAFAHLAVSLCAAGLFPQANGLLDHMIRAYPTPPLVLSSVHRAVSGSGHDRRPVVLDVLVDTYKKTGRVRDGAEVVLLMKDLGLAPSLRCCNALLKDLLRADALDLLWKVRGFMEDAGVSPDVYTYSTLIEAYCKVRDLDAAKKVLEEMRETGCSVNTVTYNILIGGLCRAGAVEEAFGFKKEMEDYGLVPDGFTYGAIINGLCKRGRPSQAKCLLDEMSCAGLKPNVVVYATLVDGFMREGNSDEAFKIIKDMSAAGVQPNKITYDNLIRGLCKLGQLGRATEVLKEMVKIGHIADTITFNHLIEGHLRQHNKEEAFWLLNEMRKDGISPNVYTYSIIINGLCQIGELETAGGLLEQMVAEGIKPNAFVYAPLISGYCREGKFSLACEAFKKMTSSNVVPDLYCYNSLIIGLSKVGKMEEAIEYYDQMLEKGVHPNEFTYDGLIHGYSMTGNVEKAEQLLHQMLNRLKPKDFIYAHLLEVYFKSDNLEKVSSILQSMLDRGVMPDNRLYGIVIHNLSRSGHMEAAFRVLSVMEKNGLVPDLHIYSSLISGLCKTADVEKAVGLLDEMGKKGVEPGIVCYNALIDGLCKSDNISHARNVFSSILIKGLVPNCVTYTCLIDGYCKAGDIHDAIGLYNEMLARGVTPDAFVYSVLTSGCSNSGDLQQALFITEEMVLRGYASISSFNTLVHGFCKRGKLQETVKFLHMMMDKDIVPNMLTVENIVKGLDEAGKLSEAHTIFVELQQKKASQHDTDHLSSLFTGMINQGLAPLDVTHNMIQSHCKGGDLDKALMLHDALVAKGAPMSCTSYLALLDGLCRKSKLTEAFNLLKEMEEMGICPSEDQCMILLNDLHSSGFIQEYNKVFDTMLCYKWLQKESKCNSVGNSQEAANAE >KQL09966 pep chromosome:Setaria_italica_v2.0:IV:7799413:7800936:1 gene:SETIT_008305mg transcript:KQL09966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLLVGFVFAPEDEVAVDYYLLPRILGWLLRIDDLILEDDPLSALPWELLKRNGCKEEAFFLAEGQARCGKGARQKRTCAGGGWWEGQKTCAKGDKLRIPGGGGREAAWRKKALNFHGGGGGGKGSTGWVMHEYVVTAPKDLARSPLRLYHIRRNSYGRRQSGAMEVPRALGLPPGFLFAPEDGDVPLPLDGPILDDNPLSAPPWELLEHNGCKDDAFFFTPGQAKSSKGSWQKRTCAGGRFWNGERTCVDGEKLRVSGHSDSSAKEGGEKGSTGWVRHEYAITASDHLAGSQLRLYCIWFSDHVKKRKRGEAADSAVDEAAPAAARRRVAADASLNMATSQPNCSSSMVMVDMGHANDNWIADADQHAAPVTIMVNQDLTADITTDTSWDLGLLDNFDINEFIRSLGHSPSTHPCVLPGLMDPGAYLDSDATGSSLLCQTAPPYNGVNLVTPSAPAMQCGCMEPAYSFFFTMPNQSYAAC >KQL10055 pep chromosome:Setaria_italica_v2.0:IV:8941490:8946293:-1 gene:SETIT_005934mg transcript:KQL10055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAGACPPPGLGFGGEYYSVVDGVCSRDGSFFGGKPILSEAVGYAVVLGFGAFFALFTSFLVWLEKRYVGSQHTSEWFNTAGRSVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVRARWGGAAHAVFLVFCLTTNVIVTAMLLLGGSAVANALTGINVYAASFLIPLGVVVYTLAGGLKATFLASYIHSVVVHVVLVIFVFLVYTSSNSLGSPKVVHDHLTAVASAARDCSAPLSHSDQACGPVHGNFKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWMSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITAGEAAKGLVPPATATALMGKSGSVLLLTMLFMAVTSAGSAELVAVSSLCTYDIYRTYINPDATGKQILRVSRAVILAFGCLMGVLAVILNLVGVSLGWMYLAMGIIIGSAVIPIALLLLWSKANAIGAILGSISGCVLGVIVWLTVAKVQYGRVDLDSTGRNAPMLAGNLVSILLGGAVHAACSLAWPQNYDWESSRQITTVESVAADGELAEELKEERLVHAKRWIVKWGVALTAVIVVLWPVLSLPAGKYSASYFTLWAAIAIAWGTVGSAVIIFLPLVESWVTICKVCEGMFTNDAVYERLDDMNLRLKAIMGAMPEAEERYQEMQKEKGIGAMEMVHHPASGTHPSTVANDDGDDLSHA >KQL10343 pep chromosome:Setaria_italica_v2.0:IV:13240590:13242244:1 gene:SETIT_006342mg transcript:KQL10343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSGDHSGARPHVVLLPSAGMGHLVPFGRLAVALSAGHGCDVSVAAVLPTVSSAEARHLEALFAAADPAVRRLDFRLAPFDASEFPGADPFFLRFEAMRRSAPLLGPLLATAGASAVVTDIVLASVVLPVARECGVPCYVLFTASAAMLAFCAHFPGYLDANSAAGRAGVVGDVDIPGVYRIPKSSVPQALHDPKHLFTQQFVANGRGLVDADGILVNTFDALEPEAVTALRKGKVVSSFPLVFAVGPLLPVMFPAKDPAGYMQWLDAQPARSVVYVSFGSRTAISPDQLLELAAGLEASGHRFLWVVKSTVVDRDDAGELGDLLGHGFLERVRGRALVTKGWVEQEEILQHGSVGLFVSHCGWNSVTEAAAFGVPVLAWPRFGDQRINAAVVTRGGLGAWEERWSWDGEEGLVSGEEVGEKIKAVMADETVAKKAAAVGDAAAAAATSKGGTSYRSLAEFVGRCRDAGSRQDR >KQL09797 pep chromosome:Setaria_italica_v2.0:IV:6155683:6157043:-1 gene:SETIT_008362mg transcript:KQL09797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSGGRVGGGGAAGKLEASWGPAGGLDAEEPAGAGMAYLTGVRRGGAGGELGELGVKKRRILLSAWMKARAGASGSGGVEGGRQRWAPPPMDREAADPAGGRVAGKGGRQRWAPPEKGGGGGGLGEEGKRTVTSGRVVPPDQARKIYKALKEKGLPVALVEYEGEQHGLRKVAENIKFTLEQQMVFFARLVGKFEVADDITPIKIENFD >KQL09005 pep chromosome:Setaria_italica_v2.0:IV:669738:670937:1 gene:SETIT_008806mg transcript:KQL09005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVAIPDRPSKKTCHASSSTSSSMSGPDVWAGLLDSLLLQIIALLSSFHDLLALIGTCHSWRAALSSLPPAFSFNFPPLHLQPDDPHPHRNYVKHSLLSNIKWQLVDPAKQTSSLRSSAPQNLRVRMRYLGCSYGYLIFYNFEQCLLVDVYSGATKKPPKLKSTGNHDIYYGFLVAPINSSNLHLLFFSKSSMFQWQVGSNSWSEHPLDVERILQIVFFKGEMFAMDLFGRLHRIRLAPQFSMQEIAVMWGEDMDAGLSCQQWLVACGDMLLLVDFSVSFEPFSDLPGTFKVFCLDFSVEPAKWVKMDNLGDNALFVSTDRRSPTFSCMSPERWGGKRNSIYVTNPSADCNEPWIAVELGQVVPSTTYSPEPILARGPGVRYHQPQSLWVLPSLVYGVGR >KQL09166 pep chromosome:Setaria_italica_v2.0:IV:1637034:1638332:-1 gene:SETIT_007907mg transcript:KQL09166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVAPELPEMGPRYPDWILLATRAYISDRKNATVAHSHTSDGHPIQVSLFAATPPAVSHLCVHSPGREEQFSYNPAVISREDLILFDVCFGNGDVSDYFIYKAGSETPSLVRIPDPEPYISGFRNTGIVCCGADHFAVAALVRENMDMFKLAVFDSKTGVWETRLLPLEPLEPLGSRCSHLDSARLLPLELLEPLGSRCSPLEVPFFSSKVIPLKGSLLGWVDLWWGILVCDVLSDNPKLHYIPMPKPMPSNEGFQDEGEPSYFRDVTGCEDMITFVEMEYDYHYIPVTDPSCYSPDGWTAVIGSRRLDSREWIRNHVVNIENITVSEDCHGRTDLLPQFCENGTPSLKKMPIGTPTLGDCNNVLYLMSKVKFGDTKGWVVAVDINSKRLEAVSTFSAASLPGFSTAYYPSSFSKYFNKNSTGIYCFQLEW >KQL11816 pep chromosome:Setaria_italica_v2.0:IV:36956932:36957274:-1 gene:SETIT_009014mg transcript:KQL11816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYIRSRQTYLTCIQAIHLPRSMAALNYGFTLSKLLVGEFF >KQL11753 pep chromosome:Setaria_italica_v2.0:IV:36589899:36591776:1 gene:SETIT_008100mg transcript:KQL11753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLFHHEFIHSKMRSFIRLGRYVLDSFVFVYRFVASHVHPVFIQLGYFLTIAMLGSVLLISLKPSNPEFSPQYLDMLFLSTSALTVSGLSTVTMEDLSSSQIVVLTLLMFAGGEVFVSFLGLMLRPPNHQANPTDPTGNKVVAIELDMVEPASVVANIGEELQLEEATHGAPTLSSRSSSSSDLKNNSSLYITHVPSARAILTKKGINVALFSASITVSSFANGGLIPTNENMAIFSKNAGLLLLLTGQILAGNLLFPLFLRLFVWFLGRVTKLERLKLMIRDSKELQYSYLLPKLPTAFLSSRSYQKIVSALFTAVNARHSGENSIDCSLISPAVLVLLIAMMYLPPWTTFAPPNGDDKTKDEKMLGCNVIFVIVACITERRRLRNDPLNFSTLNMIFEVISAYGNVGMSTGYSCSRLQQLHPESICQDKPYSFSGWWSDEGKLLIVLIMLYGRLKAFSTGTGKAWKLD >KQL10766 pep chromosome:Setaria_italica_v2.0:IV:25320360:25320488:-1 gene:SETIT_008861mg transcript:KQL10766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGYGIGFLILAKNRERILKETKIKGLENSN >KQL09065 pep chromosome:Setaria_italica_v2.0:IV:1021434:1023986:-1 gene:SETIT_008040mg transcript:KQL09065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGALPLALLAALAAVAAVSGQGRPVTDSGAQTPPTPSSFTPKDSFLIDCGGTAPLTAGGKSYKTDAQANHLLSAKDAIRVADDKADVPSPVYSTARVFKEEAVYSFPLAVPGWHFIRIYLFPLKGGDVDLASATFSVVTDDNVLLHSFTPENKPVLKEYLVNATENRLAVKFQPLTGSAAFVNAIEVVNAPDEIITDTALAIAPLGETSGLSHDAYQVLYRLNVGGPAIGPANDTLGRQWDPDTPYVQSKEAVKDVSVPTSTIKFPDGTSRLVAPTAVYASAAKMADADVGNPNFNLTWKVDVDASFDYLVRLFFADIVSKSTNDLYFDVYINGRKAVSGLDLSTVTGGELAAPYYKDFVVNQSVATDKLTVQVGPMGQDTGRIDALLNGIEVLKVSNSVGALDGEFGVDGRKADDGSGSRKAVAAVGFAMMFGAFAGLGAMVVKWYKRPQDWERRNSFSSWLLPIHTGQSFTTSKGGYGSHKSGNTFSSTMGLGRFFTFAEIQAATQNFDEKAIIGVGGFGNVYVGEIDDGTKVAVKRGSAESEQGINEFNTEIQMLSKLRHRHLVSLIGYCDENSEMILVYEYMHNGVFRDHIYGGKEGVPALPWKQRLEICIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDNFVAKVSDFGLSKDGPGMNQLHVSTAVKGSFGYLDPEYFRCQQLTDKSDVYSFGVVLLEALCARPPIDPQLPREQVSLAEWGMQWKRKGLIEKIMDPKLAGTVNQESLNKFAETAEKCLAEFGSDRISMGDVLWNLEYALQLQDANPPEGAQQDGDMQGDGEGSVGSAVGGGGGGGGVTSVPDTSTTAAGELFQQLADMKGR >KQL10208 pep chromosome:Setaria_italica_v2.0:IV:10906997:10907592:1 gene:SETIT_008443mg transcript:KQL10208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLWRKVDHAPSYDDMLMELQYPKTPNLKYYWLLLGKEFADGLRFIHGDADTNAMCSVVGRIKNLVVYFDHDDSVISAP >KQL09917 pep chromosome:Setaria_italica_v2.0:IV:7470852:7472242:1 gene:SETIT_007458mg transcript:KQL09917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSRVSSDAFVDLEKGATGAKASSDASVDLEKGATGVKAAATATEEEEQLAVVDRPAMDPRLAMCIKVTLDVWAVLYVFYYIGMTTFMVRHDKWDSWPAVLIQSTVLIWAIWMAPKMKYDTKPTRGSDGSDLSTGLIAYKK >KQL10957 pep chromosome:Setaria_italica_v2.0:IV:28859300:28860160:-1 gene:SETIT_007966mg transcript:KQL10957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APRHTTRARSSPSLSLSLPAPQMERPQQAAAAAAAAERTMALYDACWFRRLVLLPSPVAPAAPTPPGPPAPERERAASSSSPTAAGGLRHRRTRSDEAAAAAFQGLEPLRLPDSGNRARLETILSGKDGLAAPAPQLERRRPEAWRPAGPGRRRRRRGRSMSELEFEEVKGLQDLGFTFSDAEVDAELASIVPGLRRKRSEEENSRATASAPAAPRAAASSSALREEEATAAAPAPRRPYLSEAWDDEEEEVRRALRNWRIPPPGNGNDLKEHLRMWAHTVASAVR >KQL10201 pep chromosome:Setaria_italica_v2.0:IV:10848716:10849215:-1 gene:SETIT_008880mg transcript:KQL10201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMYMNCFTDSWLLKGAVLLSSNLKGAYSIGGRCVLRSFYAFSSRIMQVLCSNVISASVAPLSWSLPLLYLHECTAIKMSPCAIRSCS >KQL11957 pep chromosome:Setaria_italica_v2.0:IV:37818153:37819897:1 gene:SETIT_0060441mg transcript:KQL11957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQRRTNIRDLDNEAVAFKSVLGSTLARIYNNPQSKDDSQTRVEKILQFWDSKEVYAQETFANFEREMKGGLAYPWAPRHVSQDPSPFADVFAGSAVVPSKWSSEPPEKEKTIHPISGPPQSAPSAQFSAGVFPPVGQTTFTGSLPVQPSLIPSMIPQSTAAPTSDSNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPESEILERVSKFFSEIGEVNPSEGPMRQIERDDYDDYERELPARKGGACIPPPPNLLVNPETGMRADGSVESKPGSSSRLGLGASADPNEVSQYDDVYSSYRKQRSTTYHSSITARSTSR >KQL11956 pep chromosome:Setaria_italica_v2.0:IV:37818153:37819897:1 gene:SETIT_0060441mg transcript:KQL11956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQRRTNIRDLDNEAVAFKSVLGSTLARIYNNPQSKDDSQTRVEKILQFWDSKEVYAQETFANFEREMKGGLAYPWAPRHVSQDPSPFAGSAVVPSKWSSEPPEKEKTIHPISGPPQSAPSAQFSAGVFPPVGQTTFTGSLPVQPSLIPSMIPQSTAAPTSDSNPPPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTVIPPSTVPESEILERVSKFFSEIGEVNPSEGPMRQIERDDYDDYERELPARKGGACIPPPPNLLVNPETGMRADGSVESKPGSSSRLGLGASADPNEVSQYDDVYSSYRKQRSTTYHSSITARSTSR >KQL10332 pep chromosome:Setaria_italica_v2.0:IV:13042771:13043253:1 gene:SETIT_009046mg transcript:KQL10332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFIYDQEHICFLPVIGMICTKTATNSICVVVGQHYRHQPKQVG >KQL10883 pep chromosome:Setaria_italica_v2.0:IV:27672220:27672701:1 gene:SETIT_007475mg transcript:KQL10883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFPTSGISLAACMFFISFAILLVTAFLFCCRCRRHRRDSMLPGGRCGGDPPFPVETLPAFCYAPEDSEQGGSSRECAVCLGAVKQGEMVRQLPACMHLYHVVCIDRWLAAHRTCPVCRSQLGSSTGPGAGQSTST >KQL11647 pep chromosome:Setaria_italica_v2.0:IV:35581093:35582304:-1 gene:SETIT_007868mg transcript:KQL11647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSGEPAPEPAASSEAAAPAAVVAPEPALVSEEAAEPAALVSEAVEAEVPKVQEAEASTAPVDGDGSKSKSPRPASPSTVKERQIPVDPASLRRLGMVADEDSPLSAPSVLTEVVARSSPLLPPLRRPTFVGASLPCSAASSPVHGAGPGIGAKWEEQDQPAATHSPTSALRSLARQHSAALARLVAAPPSALSRSVSRAEGRTMAPHDDEEPDGDPKLLGAEDGFTCGALCMFIPGFSRKKPAAFAAAGTAVSSMQRQASGLRPRRSSASRVASLERFECGSWSPPPPPPPAPMAAPHDAADCLAMEVAKTSCAADDAEAPVKMAFMFDGEPPASATRGILKNSSSLRLDSARPSTSSQRHVRFSTAVAADASASCPTSPCITPRLARARAEFNAFLEAQSA >KQL09530 pep chromosome:Setaria_italica_v2.0:IV:4062561:4063942:-1 gene:SETIT_006728mg transcript:KQL09530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQAQGQMVQEVAAGSLPAPPSRYVLREADRPTGGVAAPELAFPTVDVQRLADPGDVEEAAKLRSALESWGLFAVTGHGMPESLLDGLRDAGLEFFHLPPEEKLKHANRTEAGEFQPEGYGIDRVDTDEQVLDWCDRLYLTVQPEEERRMQFWPTRPPSLSKLLHEYTLGSEKVARRVLAAMARALGFDEGFFLDHVGENVATYARFTYYPPCPRPDLVYGLKPHTDNSVVTVLLLDRDVGGLQVLRDGRWVDVPVLRRGELLVVVGEEMEIMSNAVFRAPTHRVVTSERERMTLVLFYQPEPNRDLAPAEELVGEDRPAMYRKLRAKAFADGFWDAFALGERTIDFLKIKVEQEQLELKAAS >KQL11929 pep chromosome:Setaria_italica_v2.0:IV:37707404:37712476:1 gene:SETIT_006187mg transcript:KQL11929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADEQPSPTRKRDREEEELADGSAAEKRARAEGDSLLGLASYEDDDEEEVARGQANGRGADGDAEEGEDDDDEEDDVRRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFINLQTERAYCLPDGYEINDPSLEDIRHVLNPRFTREQVLNLDKNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASNKRFQIGVQSDPVEFMSWLLNTLHAKLKSSKKKNRSIIHDCFQGELEVVKEVHRKHIMEKTEDGDELNGDASSVVGTDGIVTETSKVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVTRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKENHKIRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >KQL11930 pep chromosome:Setaria_italica_v2.0:IV:37707404:37712172:1 gene:SETIT_006187mg transcript:KQL11930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADEQPSPTRKRDREEEELADGSAAEKRARAEGDSLLGLASYEDDDEEEVARGQANGRGADGDAEEGEDDDDEEDDVRRAPERRPRQVELRRDCPYLDTVNRQVLDFDFEKFCSISLSNLNVYACLVCGKYFQGRGLKSHAYTHSLEAGHHVFINLQTERAYCLPDGYEINDPSLEDIRHVLNPRFTREQVLNLDKNKQWSRALDGSNYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHSKSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASNKRFQIGVQSDPVEFMSWLLNTLHAKLKSSKKKNRSIIHDCFQGELEVVKEVHRKHIMEKTEDGDELNGDASSVVGTDGIVTETSKVPFLMLGLDLPPPPLFKDAMEKNIIPQVPLFNILKKFDGETVTEVVRPSIARMRYRVTRLPKYLILHMRRFTKNNFFVEKNPTLVNFPVKNLELKDYIPLPKPKENHKIRSKYDLIANIVHDGKPGEGCYRVFVQRKSEEAWYEMQDLHVTETLPQMVALSEAYMQIYEQHE >KQL10559 pep chromosome:Setaria_italica_v2.0:IV:18272496:18274151:1 gene:SETIT_008470mg transcript:KQL10559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAAVSREEVPCGEAGVSSNAEKEERERADAELRHLPDRELQEKKQRLQGMVTSGIRLPDGGRKLEATIDAIDREQDRRQARGGMARATGGDGCERIVRSRCAESSDTMKASHRHRMDQVGRKHGS >KQL10542 pep chromosome:Setaria_italica_v2.0:IV:17669872:17672061:-1 gene:SETIT_008055mg transcript:KQL10542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLGMASALDTLCGQAFGARQYYLLGIYMQRAMFLLTLVSVPVAVIWFHTGEILLLFGQDPDIAAEAGNYARWMIPAIFGYGLMQCQVRFLQTQNIVMPVMASAGAAAACHLVVCWVLVYGLGLGSKGAALSNAIAFWVNVVLLAVYIRVSSACKETWTGFSMEAFHDALSFFRLGIPSALMVCLEMWSFELIVLLSGLLPNPKLETSVLSISLNTAAFVWMIPFGLGSAVSTRVSNELGAGRPQAARLAVRVVVFLAVSEGLVIGLILVCIRYIWGHAYSNVEEVVRYVAKMMLVITVSNFFDGIQCVLSGVARGCGWQKIGACINLGAYYIAGIPSAYLIAFVLHVGGMGLWLGIICGLLVQVLLLMIVTLCTNWDDENLNSIL >KQL09262 pep chromosome:Setaria_italica_v2.0:IV:2188173:2188823:1 gene:SETIT_007826mg transcript:KQL09262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVDLRLDVAATTAEASSPARHSQRQAAQKPVKDSPGSYTSCTTHPPSCFPASHSLALTLSLAPFPHTSCDVASCRMVLSDRRLAKRRASSRWHRCISALAASVFPPLHGLGFLTAPSRVLCLAVGAGHAVDALRSTRVVDVIGTDLVDFPPLVHCVDPHRLPFSNAAFDLVFSDDPSAISSAEGEGPCGVEQRREEGRRGGRRVVGSRGKIIFS >KQL10187 pep chromosome:Setaria_italica_v2.0:IV:10635174:10636457:1 gene:SETIT_006497mg transcript:KQL10187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLHLYLIDPEVSILLKEIAELIILAGHATNLCHVYSETRHNTLMQCLCLLGVQSEHAAATAEDGCSMQSDSHKVKLWIQGLKIFFGTVLPEERRACAHIFGCNSMVEKDCFTRATTGCTKQLFVVGSAIAQAKDQDYEKVPLILEMYEELAEHQPSLQDQLFDDANDMISEEASMLLGKLGEAALGLLLDFLSLQLKHDLDEKTALDGNILSLTQFVMGFAKVLAEYNGSLNLILALREKEAEDGTKRTAMSPWEWYVLRLLSHLQLRVVEKSKFYKDERLRYIFLMNNAMYVLEHSHSSVLRMSLRENQTHEKFVLLVEEYATAYLRATWFPALFHLKVTELKEAKELKENFKKFNSALEEISKVQTTWKVPNPQLRQHLRIIILNQAVPAYRSYIGRFHTFIDKYVKYTPDDIENIVLDLFEG >KQL11603 pep chromosome:Setaria_italica_v2.0:IV:35236433:35238472:-1 gene:SETIT_008105mg transcript:KQL11603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPPPPPPQTLPLLLLLSLLASLAPAATAAAFSGLDAFLASAAARDPSAGNDTFAALPAGLRRALAAPTPLLPSRLLSLTAAVPVHVRLAGASFPASSGRSLPSLVNAAVSSASFLSSRPPHRLAVSHTLHLDVTGPVAASKLANSAGNAVRAHLDKSAAPFHSNALSGVPYSLVDELVAEDYRALAGSGPAEAVYIYLLDLGSQPRPYGYTAAASGTDASSPGYSRCLGPMWAGKDRYIWIDLGAGPVNYGPALSGDGVLPRGEFHPLATLHGRPKAEKALLADLASLVLSAYKSLLVPSLRIPVYYENSLLIRFVHIYGDRKEPEGLDFHVIEQSIRDGDLPYSGQSLKFDLHTVRYSECPICSFAIARSTNSFTSRFLFVNYTLIVNEYLDSKRLRQVLSDSSDEIHRLAGVHDNDEHDKVVPVFVFDLDYDKLLPLDRYHQAVAFGDMVVAVRTRSSQTVSDYTCNGRHVLTMTRNLERPIIGSVLQSMWGVSPTHQSWSPDHNATVVDYTWSTGHTPFGPFSETKSLSFVQKDAARRNVLLTTLNFTITSTINVLESMAAHGGENILLRKKRHVEFIQRWNLLTYKLEKVVSAMSRLDYEKAMYLLRSSDHDLYEIHSLVYQASQELEATLVCFKDPPFPWVSVSMSGVFVFGFFYVYSKRDRLFRSKRKQF >KQL10648 pep chromosome:Setaria_italica_v2.0:IV:21858400:21859173:1 gene:SETIT_008007mg transcript:KQL10648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSQADLESQKSAPPAAAVASAPTATAPASGGSVERTLGSVVVGISDAHRAAPETQPLLQVQSNGQGGGGARNDEATRLERAMAQAFQSTAELAKNLPTGAVLVFEVLSPVFTNGGKCQDVNRVMTAWLVGLCAAACFFLCFTDSFLDARGTVRYAVATYSGLWVIDGTPPPPPEEAAGKRLKFIDFFHAFLSFIVFMSVAMFDRNVGACFNPVMSYDKQQVLTAVPLAGGLVGTLLFAAFPSTRHGLGFPIPAA >KQL10069 pep chromosome:Setaria_italica_v2.0:IV:9119554:9119894:-1 gene:SETIT_008198mg transcript:KQL10069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WAKCYNKGKFCAGMTSTQRSESANNMLKNVVPRNSSMNRFVDNLNKLLYALYADEQTVERETKQNVRVKQRVWPVERHAMQVYTSKV >KQL09900 pep chromosome:Setaria_italica_v2.0:IV:7275659:7277333:1 gene:SETIT_008116mg transcript:KQL09900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRFDFAASMLLCSEDSTTIFDLEEEEESKEISWVLGSPSRHVDASSGSLLIDFPLQSESFIEELLGREEKHLPMEGYAQRLLQQPGGSDLVAVRNAAIDWIWKVHDHYKLGPLTAVLSVNYLDRFLSLCDFAVVDAEYVFDPPTVHRMEHAVLNTLSWRMQAVTACSFVDYYLHKFSDGDAVSEITLARSIELILSASKAAELMVFRPSEIAASVALVALGKHDSSVLESVATSWRQLIKERVLGCCEVIQEKIVMGNIILKSIGSSVFTEQHRPIGVLDVAACESQQSEGISSGVPIINNEGPSASKRRRICT >KQL09545 pep chromosome:Setaria_italica_v2.0:IV:4152212:4155454:1 gene:SETIT_007066mg transcript:KQL09545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVGATVFNTVGKAQPVPPPRALPFSSSSPLLSPPGESTRQRRPPDPGEEGAPEMAILYALVARGTVVLAEHSAAATNAGAVARQVLERLPDGGADSHVSYTQDRYVFHAKRTDGITALCMADDAAGRRIPFAFLEDIHGRFVKAYGRAALTALAYAMNDEFSRVLSQQMDYYSNDPNADRINRMRGEINQVRSVMIDNIDKVLERGDRLELLVDKTANMQGNTVRFKRQARRFRNTVWWRNVKLTAALILLLLVIIYVVLVFVCHGFTLPTCIR >KQL10322 pep chromosome:Setaria_italica_v2.0:IV:12914682:12915778:1 gene:SETIT_007865mg transcript:KQL10322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQPKNHQDGATEAPEEHKIPRATVARIMRKATPPNSKIGADAKEAVDQCLVKFAAFVTQVAAEECRRDKRTTVTGDDLILAFKNLGFDNYVGPLTLYLRRYREIEGNMPRARHSTMRSQGAPPAPVALTVEAAAAPSSGLTLQLGPPSVPDVTELGLHADVYAVWRGAGPAPAAGTSQAPSCADEEE >KQL10485 pep chromosome:Setaria_italica_v2.0:IV:16311817:16319194:1 gene:SETIT_006721mg transcript:KQL10485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSPSVSFPLLQAPVESTYRACTIPYRFPSDNPRKATPVEIQWIDLFLNSVPSFKQRAENDPTVPDAPAKAEKFAQRYTAMLEEMKKNPESHGGPPDCILLCRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVQRNDEIEDDGKRVENLVRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFKSKWTKKSWEKAVIFVDNSGADVILGILPFARELLRRGTKVILAANDMPSINDVTYPELMEIINKLKDADGKLAGVDASDLLVANSGNDLPVIDLSSVSPELAFMANDADLVVLEGMGRALETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >KQL10484 pep chromosome:Setaria_italica_v2.0:IV:16311817:16319317:1 gene:SETIT_006721mg transcript:KQL10484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSPSVSFPLLQAPVESTYRACTIPYRFPSDNPRKATPVEIQWIDLFLNSVPSFKQRAENDPTVPDAPAKAEKFAQRYTAMLEEMKKNPESHGGPPDCILLCRLRELVLRELGFRDIFKKVKDEENAKAMSLFEGVVQRNDEIEDDGKRVENLVRGILAGNIFDLGSAQLAEVFAKDGMSFLASCQNLVSRPWVIDDLDAFKSKWTKKSWEKAVIFVDNSGADVILGILPFARELLRRGTKVILAANDMPSINDVTYPELMEIINKLKDADGKLAGVDASDLLVANSGNDLPVIDLSSVSPELAFMANDADLVVLEGMGRALETNLYAQMKCDSIKIGMVKHPEVAQFLGGRLYDCVFKFNEA >KQL08917 pep chromosome:Setaria_italica_v2.0:IV:286470:288355:1 gene:SETIT_006258mg transcript:KQL08917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLHDGRAGDGQDEAPAVFPSPATKRLRMSPPPEPTSVLYNRSPSPPTSSSLASSSAPEPPPISAEDWEAVLSGDMAAPPAAARSSQDSCFLRWIMDADAQVDASDPFFAPPPCQEPCFLQPQPQPQPPPPLAAADDLEPRAVVDELLEAARRADSGDSTGAREILARLNHRLPTPPPPLGQSPLLRAAALLRDALLRRLLVTPPALPPGSVSSPLDVALKLAAHKALAGASPTVQFASFTSTQALLDALGGARRVHVVDLDVGFGARWPPLMQELALQWRRSSAAQLPPPGMKVTALVSPGSAHPLELRLTHESLTRFAADLGIRFEFSAVGFDPFDPSSRPVGVSAAPGEAVAVHLPLGSGTSTPAPATLRVVKQLRPAVVVCIDHGCHRGDLPLSHHALNVVRSSAAFLESLDAAGAPADAVAKVEQYILRPRVERLLLLGDCRMPPWQAMLASAGFSPVQLSSAAEAQAECLLRRTATPGFHVEKRQTALALRWQQSELVTVSAWQCSR >KQL09345 pep chromosome:Setaria_italica_v2.0:IV:2619146:2621236:-1 gene:SETIT_008015mg transcript:KQL09345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPHRLLLVVVAAAAALPAAIVAEGNMPVTEALMQLKKSFTNSSSLSSWLITDKDGDKSPCAPDSHEWHGVVCSGGRVTGLRLSGLELGGTIDVNALASFPRLRSVSFARNNFSGPLPAFHQLKALKSMYLSNNQFSGSIPEGFFGNLSHLKKLWLNGNQLNGSIPASITQATSLLELHLDRNAFTGELPPAPPPALKSLNVSGNDLEGVVPEAFRKFDASRFAGNEYLCYVPTNTKQCKREQTVVSSSVRVAVVFATLLVSAVVMVIILRACSSSQPSSRARSLDMEGLEEKPPVYMVKQASTTSQKRSASWLGRRIGSSGLGHRRSASAKVDDLSSRSGGDLVMVNNSKGAFGLADLMKAAAEVIGSGGLGSAYKAVMANGVAVVVKRARDMNRATKDAFEAEMRRLGAVRHANLLPPLAYHYRSDEKLLVYEYIPKGSLLYVLHGDRGMDYAALDWPMRLKVAVGVARGAAFLHAELADHEVPHGNLKSANILLAPDFEPLLADFGFSGLINHAPSTQSSMFARCAPECVAGHPVSAKSDVYCLGVVLLELLTGKFPTQYLHNAKGGTDLVMWATSAMADGFERDLLDPAIMAKWKFAQQDMTRLIQVAVDCVEADPEKRPDMKEVAARVEEVVAAALATVRERQEERGGEDPASRSSHAEYVRTGSIQRVTSIGERSSRRGSDDYSYGIS >KQL09271 pep chromosome:Setaria_italica_v2.0:IV:2234103:2236253:-1 gene:SETIT_007921mg transcript:KQL09271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEWVGWGRGGWLQESGPDLFLPLPAASVSLPASLARLQPSAMALASGTSSTLGRPAGTARPHLAVSSSAASSIRFPRRGGRAVSLRISSPPAAAAAASGSIAPAISLTEKALKHLNKMRAERNEDLCLRIGVRQGGCSGMSYTMEFENRANASPDDSIVEYDGFAIVCDPKSLLFMFGMELDYSDSLIGGGFSFQNPNATKTCGCGKSFATSKETESAATACNN >KQL09708 pep chromosome:Setaria_italica_v2.0:IV:5390902:5391290:-1 gene:SETIT_009090mg transcript:KQL09708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWFVRSDWVVRCTNRYLIRQFQLSNEIRKFQAFRPIGIVIGTHQ >KQL11803 pep chromosome:Setaria_italica_v2.0:IV:36877413:36880217:-1 gene:SETIT_007044mg transcript:KQL11803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAGERSPVSGGGFSENGKFSYGYASSPGKRSSMEDFYETRVDGVDGETVGLFGVFDGHGGARAAEFVKQNLFTNLIKHPKFFSDTKSAIAETYTHTDSELLKADTSHHRDAGSTASTAILVGDRLVVANVGDSRAVICRGGDAIAVSRDHKPDQTDERQRIEEAGGFVMWAGTWRVGGVLAVSRAFGDKLLKQYVVADPEIKEEVVDSSLEFLILASDGLWDVVTNEEAVAMVKPILDSEQAAKRLLAEASQRGSADNITCVVVRFLEQNNGMGRVTNNQAS >KQL10808 pep chromosome:Setaria_italica_v2.0:IV:26089079:26094337:1 gene:SETIT_005885mg transcript:KQL10808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEAAARIRLVRCPRCDKFLPELPAYSVYICGGCGAALQAKKKSSAQSLHDTDNGNVKYLEVLECVPETSATKPGASTADRPETRKMADVHTKPVYGHHDSIATGPSSSNLNTSVRDDGKEAKYRHIRDWENREMGQSLRVRDISPRPSINGISPNGYHGEGLVDYHLKQRYRYSTRERPNERNLDGPSRVRGLEKDRAEILRMLDELRDQVQQSCEVTDGPSGSAPISRAADASSSYGTSDRLSQLRHDAPQLHRNGSHHSPSLNVRSPSVPRVYAALPAQQDRVGYAEPIPHARASSYPASLYPWRNFENYFFAQHDPDPLLSCRHDGFYHQAACSCMHCCHQEFMPVQGNHLGFNDQRAPYLLNSYGVYPVDSPLFGQQRHCTRGTNILSQNHPRANVSKKPAQSCEPVAGGAPFTICYNCYEVLQIPKNQSLSGKEYKLRCGSCSHAILVKLDGNRLNVSEFAVSTHLSDGQENNMRTNVQTPDERSVPVYHFSDGSPVSQEKDLHSNLSESENNNTPLGTNSEGTSHSRDLPPEDNVVSQVPSLPHRDHCESSPSEHSGVGSRSTHSEPEPEKVILLTESCKQNSVKNVCVSNKMQSPDNEFDEPEYIEDALNVRHGTGCSRTKPSDSFLTNLIKRSFKINNGMRNGRARVYVNGFPISDRAVRKAEKLAGAICPGDYWYDYHAGFWGVMGRPCLGMIPPYVPEFNYPMPKNCAGGNTSVFVNGRELHQRDLDLLVTRGLSDSPGRSYVVDNSGKVSDEVSGEELYGLGKLAPTVEKMGRGFGMRVPRFIQ >KQL10163 pep chromosome:Setaria_italica_v2.0:IV:10393821:10394310:1 gene:SETIT_008906mg transcript:KQL10163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLRQSHDELSLIQKWLEQAMLKLFSHTLSHHACFD >KQL11833 pep chromosome:Setaria_italica_v2.0:IV:37079528:37083174:-1 gene:SETIT_006510mg transcript:KQL11833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQATAAAAAAAAKLPRDALLRIAAPLREPLVAAPYEPPAGSSASVKSLLASLLPSTSPSQPQSLAGKEAADLLLFCAAVLAASPEYPALHWVPAGLSGAAATAVGEMAAVGGWGSVGEMVVAVMPEVVPPLKAVVKDSCVDADNDEIGAVKPPKEQAVVAAHQFRWLVSQVCYPKLGDLCWLVIPCALTTLDHWSPEVKEQGMVSFIHIAKNVKVTELSLYEDAILDACCHNIPADDELWYRVVEVSVLLLTITQRSNPRSSWYDRMLSEMLGHLERQPLNKERRVAWLTLVGPVFDAMGLFLLAHFRRLFLLFFQWMHTDDDKTVLLVLEQMHAIIKLTWIRKSPHTSRLVDELALLYKESATRSSREVIRSHILEMLVLLQKCKGQQFEEAWKKHERDPDLTMLLSSFNQLCIKNSSPGC >KQL11117 pep chromosome:Setaria_italica_v2.0:IV:30895797:30898433:-1 gene:SETIT_005890mg transcript:KQL11117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATGRGLFPNKPTLPVGPRKRGPLLPAAPPPPSPSSLPLDSLLLHLTAAPAPAPVPAPRRSHPTPTPAHTFLSPAAQALVLAISSHPLPTLPSFLASRRDELLRADIPSLLKALELSGHWEWALSLLRWAGTEGAADAAALEMVVRALGREGQHDAVCDLLDEMPLPPGSRLDVRAYTTVLHALSRAGRYERAVELFAELRRQGVAPTLVTYNVVLDVYGRMGRSWPQIVALVEEMRAAGVEPDDFTASTVIAACCRDGLVDEAVAFFEDLKARGHNPCVVTYNALLQVFGKAGNYTEALRVLKEMEQNGCQPDAVTYNELAGTYARAGFYEEAAKCLDTMTGKGLLPNTFTYNTVMTAYGNVGKVDEALALFNRMKKSGCVPNVNTYNFILGMLGKKSRFIVMLEMLGEMSMSGCTPNRVTWNTMLAVCGKRGMEDYVTRVLEGMKSCGVELCRDTYNTLIAAYGRCGSRTNAFKMYDEMTSAGFAPCLTTYNALLNVLSRQGDWSTAQSIVSKLRTKGFKPNEQSYSLLLQCYAKGGNIAGITAIEKEVYGGTVFPSWVILRTLVIANFKCRRLEGIERAFREVKSRGYKPDLVIFNSMLSMYAKNGLYSKATEIFDLIKQSGLTPDLITYNSLMDMYAKCSESWEAEKILNQLKSSEVKPDVVSYNTVINGFCKQGLIREAQRILSEMIADGMPPCVVTYHTLVGGYASLEMFSEAREVISYMIQHNLRPMELTYRRVVDSYCKAKRYEEARDFLSGVSETDPNFDKKVLRTLVDRIEDAQFGR >KQL11592 pep chromosome:Setaria_italica_v2.0:IV:35130561:35134205:1 gene:SETIT_006622mg transcript:KQL11592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDSLREGFDRVAEKRASSSAKALEAVDYIVNEVEQAIVKLQMMNTDSTGNVDHTAILAELKAKLNEMAPINQLEGSQKELNVALSKYLKLLEKSFNPDISKAYRNVDFEVHTVNNIIANHFYRQGLFDLGDMFVRECGESGGASLKLPFQEMYSILEAMKARNLEPALSWAANNHDQLLQNGSMLEFKLYQLQFVEILSKGSRDGSKDEAIQYARTHLVPFASVHKEEFQKLMACLLWVGRLDQSPYSELMSSAHWDKLAEELTHQFCSLLGQSRESPLSVAVSAGFQGLPTLLKLTQVMAAKKQEWQVMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMRMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASHCKQLHF >KQL11593 pep chromosome:Setaria_italica_v2.0:IV:35130561:35134205:1 gene:SETIT_006622mg transcript:KQL11593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDSLREGFDRVAEKRASSSAKALEAVDYIVNEVEQAIVKLQMMNTDSTGNVDHTAILAELKAKLNEMAPINQLEGSQKELNVALSKYLKLLEKSFNPDISKAYRNVDFEVHTVNNIIANHFYRQGLFDLGDMFVRECGESGGASLKLPFQEMYSILEAMKARNLEPALSWAANNHDQLLQNGSMLEFKLYQLQFVEILSKGSRDGSKDEAIQYARTHLVPFASVHKEEFQKLMACLLWVGRLDQSPYSELMSSAHWDKLAEELTHQFCSLLGQSRESPLSVAVSAGFQGLPTLLKLTQVMAAKKQEWQVMKQLPVPIDIGPEFQYHSVFVCPVLREQSSDENPPMRMPCGHVVSKQSIMKLSKSSSRPFKCPYCPSEAVASHCKQLHF >KQL10263 pep chromosome:Setaria_italica_v2.0:IV:12125154:12126835:1 gene:SETIT_007821mg transcript:KQL10263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AQPHAYTLHTTRLGGHCHLPATHPRSPPPPPHHSAARHEAALPTPSSPTSRPPPLDAWQAQARIKSLPRERESINSLHVGNVPVAIHESSACRRSPCTGVYLTNHTSKPPAMSTERSPSDGTPAVDPPPPWQSLPSDLLLEIAARSDAATVLRCAATSKPLRSAILDPVFRRFLALRSAANGGFDPALLLGVSYRLDGAVAVTSPHLRLDAGIFLDSEWLPESSPDGLLLLRRDVHEERVTRLRYGVYLTSRLNLCVCDTFAGHVVASLPQPALKYYSGHNIKTKDYAALLTTAGDVGFETFSSKSGEWGAARAVHPAPAHPWIFGRRLMPCAAAAVGRTVHWLCFRRPEAYTLGDRIVLALHADTARATKVELPQACLSRIRDDPCDARYYERDRRLLLHAAGDGRRLGMVAAELDAITVWTLSGEGSRWSRRAVVGREAIARQLPAAGWDVVHGTITLEGIGERSGTVLFSTKKFGGLAQLNLVTKKALKFRRCRDCKPRTWSQCVHDIDLGSLLQRMKHF >KQL10363 pep chromosome:Setaria_italica_v2.0:IV:13568212:13571007:1 gene:SETIT_008493mg transcript:KQL10363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVELQPGNGHVAESTMSRKEPQQQGHQIPSSADEAELVWKLRKYLMLLAILSATITYQAGLAPPGGLWLDNQHGHLASDIVLQSTYPKRYKVFFYCNSTAFMASLIVLILLLVRDLNRNSIWLRSLHFAMLFNLLGLMGAYAAGSCRKIRTSLYTWVLLVGIFTYIALHVVFFQHLASKWLRQTLMDVQRSWKDHLARIFGKNQSTTEEPDASVKEKKDELEQKRSLLLVLATLSTTVTYAAGLNPPGGFWPDSNASHLAGDPTLRDHYPRRFKAFMACNDTAFAGSLVIIVMLLSNTAVDHVVESNALRLEVHTSIYVFTLVGAVLLYLVIQWIAPIVPRPEFVSKCITWIKGENNKVILKLKSFLTDSISTNSDQEMPLALDQQQSSSHDSTGTVSDVKDDIRKLRTYLLLLGILAATITYQAGLNPPGGFWLDNEDGHLAGDPILEAISPKRYNAFFYCNATAFVSSLVIITLLQSNLITVGALKRYVLQTAMVFDLFGMMGAYATGSSRTFSTSLYVIILVILVFSYVIIHILLLVCTRNSDESAQQIDDDPELKDLEKRRKFLVLLAVLAASSTYQAGINPPGGFWTDNNDGHRAGYPMFHDEFPHRYMVFFYLNSTAFMSSLAVIMLLVSKRLCQRGINSYLLRGCMLLDLVCLMGAFAAGSCRKVSTSVYAILVVSIVFAYVMAQVLVLTFAKDKMSYFFEWVLHATPFKSSHPSENCKRSVTVSRKPEHKWRKDLILIGTLAVSVTYQAGLLPPGGLWPDDRDGHFIGDPILHDSDPPRYKAFFYCNATAFMASVVIVILLLNSTISKYRRSLLPMKTAMVLDLLALLGAYAAGSCRNLKTSIYVFALFIAVFVYIVIHILQSFDKMARLLKKTGEQWIPCLKKMWALIETEPPNHHPSSEEP >KQL09324 pep chromosome:Setaria_italica_v2.0:IV:2535027:2535659:-1 gene:SETIT_008986mg transcript:KQL09324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMKFFFHMITLLFITNFLLMCCLVKRGFL >KQL10344 pep chromosome:Setaria_italica_v2.0:IV:13242609:13244801:1 gene:SETIT_006299mg transcript:KQL10344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPPNSTSDDCQGTAATPHIVLFPSAGMGHLVPFTRLAVALSAGHCCDVSLVTALPTVSSAESCHIAALFAAFPAVRRLDLRLAPLDASSEFPGADPFYVRYEALRRSTPLLLGPLLAGASASALVADIALASVAIPVARELRVPCYVFFTASATMLSFKAYFPTYLDANGGAGHSVGDVDVPGVCRVPRSSIPQALHDPDDIFTRQFVANGRALVAADGLLVNAFEAMEPEAVAALLGGSVVASLPPVFAVGPLMPLNLREAAEEQGNYRAWLDAQPPRSVVYVSFGSRKALARDQIRELAAWLEACGHRFLWVVKGAVVDRDDACELSDLLGEGFQRRVEGRGLVTKSWVEQDEVLRHPAVGLFVSHCGWNSVTEAAANGVPVLAWPRFADQRVNARVVARAGFGVWVERWSWEGEEAVVGAEEIAEQVVAAMGDQAVAEKAASVRDEAARAVADGGTSQQSLAEFVRRCRGGLAGPGTDLGRTWTARG >KQL09145 pep chromosome:Setaria_italica_v2.0:IV:1496321:1496902:1 gene:SETIT_007636mg transcript:KQL09145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKILAFSILSSSPADISSTGFSTRLSWRSSSSAVTQKQQQQQQAEKPAPRQQEKKAAEQQAGSRPAAAAERKQARFAPEFDGINCFESIVSF >KQL11242 pep chromosome:Setaria_italica_v2.0:IV:31975171:31978889:-1 gene:SETIT_008669mg transcript:KQL11242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLVHELARSVAIEEVAICDGKQGSFGPKKDNYRYTLLLNFKGQHPKCKDMPFKARAAHFSGCTGCQPSKGAFSETKWLRVLDFPRMQTVELPSSMENLHHLQFLNLSENTSLKKLHSSFSKKVKLHSSTSICDFQKLHYLDLHGCSNLSELPGPIHRLQVLEHLDLSGCTSLQKLPSQFGELQKLSFLNLSCCSKLEMLPDSFSLLKNLEHLNLSSCCQLKQLRTLSFKRMKGLLYLNLSGCTCLEALPEFCVGNDGCLNLEILDLSDCGRLIDLSESCARLNKLRFLNLSGCPCIPKIICFLSKFVNLEYLNLSALSGFDVRKDSEAPSSSTQHSSDYSGEELSLKMLHDTLKNMHCLEYLSVGGMSLFSKEGISSDLLTLPDFVVSERGSGDCSNIILLQNILDSTNSELNIKCLEVVTSAEEAKGVQLGRRHRLASLSLEWSSLEWSEEPQVTTRDVLENLKPHPALKHLTIKGYNYSMFPSWMREICSTLPNLVKLVLSDLVECDQLPTLGNLSNLQELEIRNMPKLMEACLAPCRNLKRLSLVELAFGCTLWFCQDGSALRTNRSSEEIHDMELDPSEEFKRLEVFRTQSGDPSRGKGKAKFTAMTRNMMETLLGVTKKRTQMTHVPVSSSAPCPAKEAFPPLCYLKIEHCNYLKLKPRIPNSQEFFINESSIPTLTRINSDDLEFFVNELSIPTLTRINSGDLVIEQTIQKLKISNCKSFDLQKLPGIQSVHELEIDCLEKFHRFYELRCMDRLVKLTLSSPENFLHTDKAEILKAIPQIQCIKINGVISLEGYRDATFCGWMSDPNLYLPNLVKIELMGMPRCARLPSLGQLANLEELHISDMPNIREVDTSFYGGRDPFRKLRELCINKMENLEVLSTNLELSAGEMSWDDDEQKVQGDEIFPRLAHLVVTGCPRLTLGSAFQGYIGRIVASCSEVELSPGILVGSSHLFRLEVESNIFGFSDASEFLQYSTDLRNLTIKSDSDLITLPEIIRSCHSLRSLQILDSCNFAALPDWLGDLASLEELEVHSAKLQRLPHSIKNVTSLRTLTLKKCNYKLRECCSRLGEDYDKIKHIKHVDAHE >KQL09888 pep chromosome:Setaria_italica_v2.0:IV:7103908:7111440:1 gene:SETIT_005775mg transcript:KQL09888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRSSVAMRTTAAATSRALVALLLVAVAVADDGSTLLEIKKSFRNVGNVLYDWAGDDYCSWRGVVCDNVTFAVAALNLSGLNLGGEISPAVGSLKSLSSIDLKSNGLSGQIPDEIGDCSSLRTLDLSFNNLDGDIPFSISKLKQLENLILKNNQLVGAIPSTLSQLPNLKILDLAQNKLTGEIPRLIYWNEVLQYLGLRGNHLEGSLSPDMCQLTGLWYFDVKNNSLTGVIPDTIGNCTSFQVLDLSYNQFTGPIPFNIGFLQVATLSLQGNKFTGPIPSVIGLMQALAVLDLSYNQLSGPIPSILGNLTYTEKLYMQGNRLTGSIPPELGNMSTLHYLELNDNQLTGSIPPELGKLTGLFDLNLANNNLEGPIPDNLSSCVNLNSFNAYGNKLNGTIPLSLRKLESMTYLNLSSNFLSGPIPIELSRINNLDTLDLSCNMMTGPIPPAIGSLEHLLRLNLSKNGFLGFIPAEFGNLRSVMEIDLSYNHLGGLIPQELGMLQNLMLLKLENNNITGDVSSLMNCFSLNILNVSYNNLAGVVPTDNNFSRFSPDSFLGNPGLCGYWLGSSCRSTNHQEKPPISRAAILGIAVGGLVILLMILVAVCRPHRPPVFKDVTVSKPVSNGPPKLVILHMNMALHAYEDIMRMTENLSEKYIIGYGASSTVYKCVLKNCKPVAIKKLYAHYPQSLKEFETELETVGSIKHRNLVSLQGYSLSPVGNLLFYDYMESGSLWDVLHESSSKKKKLDWESRLRIALGAAQGLAYLHHDCSPRIIHRDVKSKNILLDKDYEAHLTDFGIAKSLCVSKTHTSTYVMGTIGYIDPEYARTSRLNEKSDVYSYGIVLLELLTGKKPVDNECNLHHLILSKTASNEVMETVDPDIGDTCKDLGEVKKVFQLALLCTKRQPSDRPTMHEVVRVLDCLVNPDPPPKPPAHQPSGQSPLPPPSSVPSYINEYVSLRGTGALSCANSTSTSDAELFLKFGEAISQNTE >KQL12024 pep chromosome:Setaria_italica_v2.0:IV:38254206:38254555:1 gene:SETIT_007773mg transcript:KQL12024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMISAHKSNVGAQQIGPFKHSNVYNISKVLNKDWSQHRLSKSIQIHHLTCTSCQLHASKVK >KQL11895 pep chromosome:Setaria_italica_v2.0:IV:37423346:37424039:-1 gene:SETIT_008980mg transcript:KQL11895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAVGHQSLGDAMFQARRRRRQPYPMNFWVGFPGVFTCLSLLPTENIFFPNNAWDKSFDLDYDQKINIIRRMLISSKRSPTPHAPAPTLARYTLTHTKKNELDICWVLF >KQL09910 pep chromosome:Setaria_italica_v2.0:IV:7401422:7402919:-1 gene:SETIT_008432mg transcript:KQL09910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALFSTSLSPHFLTLSSPKPAVIVASAFLPFRLPLRVVSAPGRRVFEPVAVAVSSEYETEGVEQQEEEGTEELSEDLKLFIGNLPFNVDSAQLTGLFEQAGSVEMVEVVYDRMTGRGRGFGFVKMSSAEEAGAAVEQFNGYRARAGACAKVIYDRESGRSRGFGFVTYGSAEEVNNAISNLDGIIRVTVAESKPRREF >KQL10958 pep chromosome:Setaria_italica_v2.0:IV:28881896:28883879:1 gene:SETIT_008239mg transcript:KQL10958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHIAWMYGIRRHSHTFMSEVSKFVEATKKHARICKTNRIRCPCFDCSNNIIWEDTDVIKMYLIKQGFVGGYTIWSHHGDTGDVRVESQVDEKCDVDIEDMLRHIEPEVLLGSFKRLENFETLKKAANDSMYVGCGKEWTVLHFILHLLILKAKFGWSDNSFNDLLTLLGNLLPNPNFIINPLKTRVQRIHVCRNHCILYRGEYTALEKCPNCNASHYKSNTYFSKDRAGSPIRNKRKKVAKKSAGAQVEDESYIGTDMTTQRRVPALVMWYLPLEHRLKRLFSNLKTTEMMTWHVDCPVKDDGKLRQPSDAHQWRTFDANHPKFLEEKKNVKGRTTCLDGTSYVYLKGSMKTVFMRHRRFLLKTHKDRRMKDFFDGTNENDFAPKLATGKIVFEMCEKVKFKLSKKSLGGADNLKRGRKQAKTIDVVDVPFKKMSIFFKYLPYWRELAVRHAIDGMHLQKNVFDSAIRFLGLSGKAKNELKSLYDLVDLQIKPELHP >KQL09377 pep chromosome:Setaria_italica_v2.0:IV:2926644:2936159:1 gene:SETIT_005923mg transcript:KQL09377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSELNVDEDSTVHSQSGYLDLLKILDEEHRSTGGVKFVTNCFGIIGFVKFLGPYYMLIITEQRKIGDIFGHPVYQVTKTAMIELSNSKTRPKLNNSKDENRYKKLLQTIDLRKDFFFSHSYHIMRSLQKNFSDPQEGWELYDTMFVWNEFLTRGIRNILKTTLWTVALVYGFFKQDKLAICGKDIMLTLIARRSRHYAGTRYLKRGVNDEGRVANDVETEQIVYEDMLGPRQISSVVQNRGSIPLFWSQETSKLNLKPDIILHEKDKNYEATRLHFENLRKRYGNPIIILNLIKTREKRPREIILRREFDRAIKIINNGLPGEDHLRFLHWDLHKNSQSKSTNALQVLLKVAFEALNLTEFFYHQVSPARRTECFLNLSATLKNDFGPHVCDDNSNCGNADYVSDLDDISQDDTCGSSDPGNGIAEDNSEVNGSTQTKPPKFQKGVLRTNCIDCLDRTNVAQYAYGLAALGHQLHAIGSLESPDIHIDSGLSRHLMHFYERMGDTLALQYGGSAAHNKIFSAKRGHLKFAIQSQEFFRTLQRYYSNAYMDAYKQAAINLFLGYFEPQVGKPALWEPESGDEHVLDDETSKLMKRARSDGSILNKSKPSLSSKGPNGMLKSAFTGSKKEGQYPNWSSGSMHGMSSTSDNSMSKLSYTPTVSHVKHISCELDYCNGSGDSNFLDLDWLSASDNERSKAISTPDVNISTDNAVGDVSSGTTDDQTIEIEAQGLSKDFMRWVNQGEAFWY >KQL10359 pep chromosome:Setaria_italica_v2.0:IV:13501714:13502484:1 gene:SETIT_008298mg transcript:KQL10359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSEESSKQASSSNEGDNNPTVTKKNIGDGVVLPNDDRANKGNMAAEKVLSVSANLAKLLPSGAVLVYQTLSASFTNQGSCNTANKWLSALLVGFLSAACIFLTFTDSIVHDNKIYYGVALAGRLKIFALSRTEEKRLLRALKKDLVERRLKTLDWVHAFFTAIVFLSIAMGDVGLQKCFFPDLDSDHMKNVKELLRNAPLGLALLSSFVFMIFPTTRHGVGFDNGDRGTTGEQEKKDGAEAAAKSKSVNDLEAP >KQL09479 pep chromosome:Setaria_italica_v2.0:IV:3704718:3707230:1 gene:SETIT_007003mg transcript:KQL09479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEAVGNRGRQLPWRRTVAVQAALCLALYAAFSIGEPQLFPRGGEGGGVDALGQGARGGGGVAFLSVAGGARATADQARLLRQMEAVAKVYEVKFVLDIAQSRENDPLWQNGSMYFQALNIPWYSTTSSHGRILGNFLKKVSMSHDQVLDVIALDTGVLQEPLHDGKISTSYREQTKWLERSLALTSGNWKVVVGYDPLVVCNEAEAPEIMKFYEPFQRIFAKYEVDAYISTGGFCGYFHRDNSMLHIGHPRPGGDHTTVDGFFLHRVTPLEMESVLINVEGKVVQRSVAHQHGTGAM >KQL10417 pep chromosome:Setaria_italica_v2.0:IV:14324158:14325733:1 gene:SETIT_008106mg transcript:KQL10417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVPSTLLFCLVLLVSPYLGCSYHTSYTHGGMHYVLRSNRDHRQPKQTPTCSSVHSGRSSSDGVPVLHRLSPCSPLGAARSQEQTSVADVFHRDALRLCSLFGEDNHGDPAPGPSAPGGGVSIPNKGDPIETLPGVFEYHVVAGFGTPVQEFTVGFDTLTPGATLLKCTPCAAGGEPCDPAFEPSASSSLAQVPCGSTDCPFHGCSGPSCTLSVTINNTLLGNATFVTDTLTLTSTTTVENFRFACLEAGFRPSDNSTGILDLSRNSHSLASRAPSSPGTVAFSYCLPSSPGTVGFLSIGAPKPELSGRKAIYTQLRSNPDNGNLYVVELVGLGLGGPDLSIPPPALAGDTILDLHTTFTYLRPEVYAALRDNFRKWMTQYPAAPSLGRLDTCYNFTGLNIFMVPVVTLKLDGGADVDLSMDEMMFFPDPDNHFSIACLAFAAAPANAREAAVIGNRAQSSMEVVYDVSGGRVGFVPYRC >KQL11913 pep chromosome:Setaria_italica_v2.0:IV:37570638:37573426:-1 gene:SETIT_007689mg transcript:KQL11913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVQRAVPRTKWRRAGVGGWVLDWGCGEVLEFLTIRNFCLKSQLFRKGKHANMLVDLRKKIEKVELRRSTILLTSISLI >KQL09012 pep chromosome:Setaria_italica_v2.0:IV:706392:708380:1 gene:SETIT_006246mg transcript:KQL09012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQPLHLPHSSKHATPTYHRRHGNPRADAVSPVSVSLRQGAPTDARGLRALIKALSASPAAAAANEAAAVHAHAAKLGLDRERTVRNGLIALYLARGDRTAAGALFHGFPDGRDVVSWTAMVTGHARLGFTDEAVALFLDMVGGDDCGVAVDAVAAAAGFAACAEAKDIALAREAHRRVAAAEVALDVVAWNALVDMYAKCGDVAAARRWFRAMPAGKTVVSWNTMISALARAGEHGEALALFREMQRAGVRPDDATLVAILGACAQLGALDTGRWVHAYMGRQLGRREAADGVVGNALLDMYAKCGAVDQAMAVFDAMERRDVYTYASMIMGLATHGRAEEALALFAAMRRAGVRPNGVALLGILSACCHAGRVDDGLRYLSDMARCYGVMPGIEHYGCAVDMLGRAGRLDEAEALVAAMPVPPDALVRGSLLAACRARGDVERAERVMRRMNDGGDSGDYVLMSNMYASRGRHGRAVRMRKQMRKSNVNKDPGCSVIEIDGVVHEFRAVPANSIS >KQL09579 pep chromosome:Setaria_italica_v2.0:IV:4383151:4384846:1 gene:SETIT_007154mg transcript:KQL09579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSALGILAADGVVLVGEKKVTSKLLQTSRSAEKMYKIDSHLACAVAGIMSDANILINTARLHAQRYALSYQEPIPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKHHGFQLYMSDPSGNYGGWKAAAVGANSQAAQSMLKQDYKDGLTREEAVALALKVLSKTMDSTSLTAEKLELAEVFLQPGTGEVQYQVCSPEALGKLLAKSGLTQPAPEA >KQL12265 pep chromosome:Setaria_italica_v2.0:IV:39723073:39725179:-1 gene:SETIT_007285mg transcript:KQL12265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAAAAIATTSRSLPLPFSSTPLHRRCRPVFLPVAASKRHNDDDKEVANGPGREPTSLAPYGGLSISPLSKDAAMGLVVSAATGSGWTTGSGMEGPPAASRAGGADRPEVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNIFHKLVDNLNLFHEMKCYVSPDFRYEGDAPFNYLDNNEDGDTIFPL >KQL10737 pep chromosome:Setaria_italica_v2.0:IV:24568705:24569086:1 gene:SETIT_008982mg transcript:KQL10737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVLVLVLSFDCKIYNTPHLLFSCSCVEHSGNFD >KQL10184 pep chromosome:Setaria_italica_v2.0:IV:10622214:10622714:1 gene:SETIT_008474mg transcript:KQL10184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KFHEVGDDEEEREQPHEPRPIIRDDEEPKEDDNEHRVVREEECEGREERRREPPPYPRHDGEHPLEGERVVLGGVDGGDGEDGSGGGKEGEEEEGEEVGGLEVEEGEPVGCEVGEEAALGVGDQGGGVAVSLVLMWVKRVWEMAMWRRKRAESGRVKAAATQAKRG >KQL09794 pep chromosome:Setaria_italica_v2.0:IV:6138849:6140146:1 gene:SETIT_006652mg transcript:KQL09794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQQAAKEAIPLMTPYKMGQFELSHRVVLAPMARFRSYGNVPQPHAAVYYSQRATRGGLLIAEATGVSTTAQGYPHTPGIWTREQVEAWKPIVDAVHRKGAFFFCQILHVGRVSSTDFQPNGHAPISSTDKQISPNIETGKVYSKPRRLRKEEIPGIVDDFRRAARNAIEAGFDGVEIHGAHGYLIEQFMKDSTNDRTDEYGGSLENRCRFAVEVVDAVVREVGANRVGMRLSPFIDFMECVDSDPVALGSHMVQQLNKHDGFLYCHMVEPRLSYNGMFVVDGRRQIPHGLLPFRKVFNGTFIAAGGYDLEEGNNVVANGYTDLVAYGRLFLANPDLPKRFELGAPLNKYDRSTFYTQDPVIGYTDYPFLDEDHDDSVAHA >KQL11791 pep chromosome:Setaria_italica_v2.0:IV:36801512:36804292:1 gene:SETIT_008124mg transcript:KQL11791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADALFVVLRKVALSLGEGVLERIGTELAEVAPILTDFEHSMKQIEGELSILKAFIDQVSTHKDGDKAFDAWLDQVRDVALEVEDIIDEYAYLTAQAPDTSSFFKRKFHQIKNFAAWQKFPSQVSQVEARIQRLTEMRNRYGISLGELDKSNKLQQYNQFSTSDFAYLTDNSEIVGNTDEIARLTHWLLEEKQDRTLIAIFGMGGLGKTTITSSVYKNQKIRRNFDCRAWVTLSQTYQAEELLREIINQLIDQRSSMASGLMTMNRMRLIEVIQSYLQDKKYMIVLDDVWDKDAWLFLNYAFVRNNCGSKVLITTRQKDVSSLATGSYVIEMKTLKYAESWELFCKKAFCASKDNICPDNLISWANKIVTKCQGLPLAIVTIGSILSYRELEEQVWKFFYDQLSWHIANNPELNWISSVLNLSLNNLPSYLRSCFLYCSLFPEDYKIKRKLISKLWIAEGLVEERGDGTTMEEVAECYLMELTQRSLLQVTEKNACGRARTFLMHDLVREVTLILAKKEKFGIAYGNGGTAQVAHEARRLSIQRGAKSLNSLASSRLRSFILFDTEVPSSWIYDVSSSFRLLRVLCLRFANIEQVPCVVTELYNLRYVDLSHTKVKKIPASFSKLVNLQVLDLRFSYVDELPLEITMLTNLRHLHVFVVHDVQQRSLNCFGSTKFLGNICHLKNLQALYTISANKHLVLQLENLTQMRGLGIMKVQQSYIAELWNSLTMMPNLSRLLLFASDMDEILNLKMLRALPNLKLLWLAGKLDGGMVPSLFSKFEKITQLKMDWSGLNEDPISSLSHMLNLVNLCLVRAYDGQQLTFCAGWFPKLITLQLIDMEHLDLIEIEDGTLMSLHTLELTGLRNLKAVPEGIKYLRTLDQMFLTDMPNEFIERLLGSDKHIVQHIPDIHNFGSSDSQAGTIHFLSM >KQL12023 pep chromosome:Setaria_italica_v2.0:IV:38243276:38248006:1 gene:SETIT_007622mg transcript:KQL12023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRELAPRVNSSPAGQVAAGALPCMVAVPPPYVTGRPAHTVAPARSAHPYGGIVDREQAGFKETSTARMQPDHSLTNWPVHYQNAYRICHMDFSNQ >KQL12157 pep chromosome:Setaria_italica_v2.0:IV:39156927:39158688:-1 gene:SETIT_006753mg transcript:KQL12157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSTSPLRLHQPTHPLRRHPPAPAAAAGGATHLAPSRRWRGHPRAAPLRSRPQRIRALDAAQPFDYESRAAGLLEERQRLKIAIVGFGNFGQFLARTFARQGHTLLAHSRTDHSALAATLGASFFTDPHDLCECHPDVVLLATSILSAEAVLRSLPVHRLRRNTLFVDVLSVKEFPKNLLLSSLPPDFDVICTHPMFGPESARDGWDGLPFVFDKVRVGDCPARRARAEAFLNIFEREGCRMVEMSCAEHDAHAAETQFLTHSVGRMLAMLELRSTPINTKGYETLLRLVDNTCSDSFDLYNGLFMYNKNSTELLNRLEWAMDSVKKKLFDGLHDVLRKQLFEGTAPAPNTTNVRK >KQL10328 pep chromosome:Setaria_italica_v2.0:IV:13013949:13015236:-1 gene:SETIT_007023mg transcript:KQL10328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSVQIPMDHPVSPPPPGAACDAVEDEVPQPPASGRPVPVLISPIVAVPAAGASSAGPAAATAALPPAYTGVLYMHTHSHKWPGVADDHGKKREKWLKEMRGWLMVLAVLAASVTYQAGLNPPGGFWQQDDAQGNVAGTPVLQSKFPKRYTVFFYFNSTAFVTSVVIIVLLMNESFYHSEAKVEALEVIVVLDMAGLMGAYIAGCTREVSSSIYIIVLTVVVFLYVLYTAQFLPKLWGLLVHVPFLHKAAQGGALPVPHDILHTARPRVDIGRTKSAPPGSVGLVTRPEDE >KQL09115 pep chromosome:Setaria_italica_v2.0:IV:1293952:1300613:1 gene:SETIT_005782mg transcript:KQL09115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRPWWSAAAAVLVLAAAAAGEGDGDGERRALMAVKAGFGNAANALVDWDGGRDHCAWRGVACDSASFAVVGLNLSNLNLGGEISPAIGELKSLQFVDLKLNKLTGQIPDEIGDCVSLKYLDLSGNLLYGDIPFSISKLKQLEDLILKNNQLTGPIPSTLSQIPNLKTLDLAQNKLTGDIPRLIYWNEVLQYLGLRGNSLTGTLSPDMCQLTGLWYFDVRGNNLTGTIPEGIGNCTSFEILDISYNQISGEIPYNIGYLQVATLSLQGNRLTGRIPEVIGLMQALAVLDLSENDLVGPIPPILGNLSYTGKLYLHGNKLTGHIPPELGNMSKLSYLQLNDNELTGTIPAELGKLTELFELNLANNNLEGHIPANISSCSALNKFNVYGNRLNGSIPGGFQKLESLTYLNLSSNNLKGQIPSELGHIVNLDTLDLSYNDFSGPVPPTIGDLEHLLELNLSKNHLIGSVPAEFGNLRSVQVIDISSNNLSGYLPEELGQLQNLDSLILNNNNLVGEIPAQLANCFSLITLNLSYNNFSGHVPSAKNFSKFPMDSFEGNPMLYVYCQDSSCGHSHGTKVNISRTAVACIILGFIILLCIMLLAIYKTNKPLPPEKGSDKPVQGPPKLVVLQMDMASHTYEEIMRLTENFSEKYIIGYGASSTVYKCDLKSGKAIAVKRLYSQYNHSLREFETELETIGSIRHRNLVSLHGFSLSPHGNLLFYDYMENGSLWDLLHGPSKKVKLDWDTRLKIAVGAAQGLAYLHHDCNPRIVHRDVKSSNILLDENFEAHLSDFGIAKCVPAAKSHASTYVLGTIGYIDPEYARTSRLNEKSDVYSFGIVLLELLTGKKAVDNESNLHQLILAKADDNTVMEAVDSEVSVTCTDMNLVRKAFQLALLCTKRHPADRPTMHEVARVLLSLLPAPAVKPPTTKGAAGDYTRFLATTTADMKHDVSVDIGDNSSSDEQWFVRFGEVISKHTMS >KQL10828 pep chromosome:Setaria_italica_v2.0:IV:26564738:26567699:-1 gene:SETIT_006835mg transcript:KQL10828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAALQGLVGIAAAGGRRVRACGQRWDAGWRGFRAGASGGRGAATRGSSPSRSSAARQAGPLRGAAASAGRKGWVTGGFESEDGKLTCGYSSFIGRRSTMEDCYDIKLTKLDGHSVNLFGVFDGHGGNLAAEYLKDNLFKNLMKQPEFLTDTKLAISRGFLETDGDILETISSSFRDDGSTALAAVLIGKHLYVANVGDSRAVASKASKAVPLSKDHKPNRKDERKRIEDAGGVVIWDDTWRVGGILAMSRAFGNRMLKEYVIAKPDIQEEEVNSDLEYLILATDGLWDVVRNEVTP >KQL10827 pep chromosome:Setaria_italica_v2.0:IV:26564248:26567699:-1 gene:SETIT_006835mg transcript:KQL10827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAALQGLVGIAAAGGRRVRACGQRWDAGWRGFRAGASGGRGAATRGSSPSRSSAARQAGPLRGAAASAGRKGWVTGGFESEDGKLTCGYSSFIGRRSTMEDCYDIKLTKLDGHSVNLFGVFDGHGGNLAAEYLKDNLFKNLMKQPEFLTDTKLAISRGFLETDGDILETISSSFRDDGSTALAAVLIGKHLYVANVGDSRAVASKASKAVPLSKDHKPNRKDERKRIEDAGGVVIWDDTWRVGGILAMSRAFGNRMLKEYVIAKPDIQEEEVNSDLEYLILATDGLWDVVRNEDAVALLKAEDGPQAAAVKLTEIAYSRHSADNITCIVVQFHHDK >KQL10364 pep chromosome:Setaria_italica_v2.0:IV:13571755:13575384:-1 gene:SETIT_007054mg transcript:KQL10364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVWDSILPGGGRRFIKRKDSDAGEAGRALEELRGSLYNDFHTSDGAKRQQQRFCGPIVALTFNFVVAVGIIMANKMVMGTVGFNFPVALSLIHYLFALVLMAVLKALYLLPIAPPSKSTPFSSLFALGAVMSFSTGLANISLKHNSVGFYQMAKIAVTPTIVVAEFILFQKKVSVRKWSGALALGATSALAHVVLGQFKTIVIMLSGYLVFNSDPGFTSLCGAVIALAGMSVYTYLGMKESATNGRRNSLNSRQNSHLLKSKVIIDGEKQETRTVDSV >KQL12200 pep chromosome:Setaria_italica_v2.0:IV:39392393:39393888:-1 gene:SETIT_006993mg transcript:KQL12200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHCDVCGAEPAAVLCCADEAALCSACDRSVHRANKLAHKHRRIPLLQPSGDSSPAAAAAPLCDVCKERRGLVFCVEDRAILCADCDDPIHSANDLTAKHSRFLLVGAKLSAALVDQSLPSPDDCGRGNGTGEPDGVAAAICAQDSCTAKSSALDCSNSYGAGAVGGSSSSSISDYLTNICPGWRVDDLLFDDAAFSAASNAGCDEHEQVPSLDADLFDVVAGRPGKRGAWYGGGAWYGGGALGLEKVSAASIAVPTAAKQQGRVRERHWSCDSDSDVFAVPEISPQPLAKKARPPATSF >KQL11363 pep chromosome:Setaria_italica_v2.0:IV:32913370:32914328:-1 gene:SETIT_007838mg transcript:KQL11363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLYPDWAGLPQEMVATVMRALDIPDLFRAGTVCSSWYAAYSDVRRVRIPITDAAPCLLYSARDNGDASDATLYSPSSGGKAFKVRLPDPPLGSRALVGSSHGWLAAADEASNLHLVNPLTGAQVALPPVMALYHVESSVDEQGSLEYSVQEGEDPDDPVRYPAQKLRLFLYYKVAMSCSPSKVRDRIVLLLHRPDGQISFAHIGDDKWTQITDQTLKWDSGYRDALYNKNDGLFCVLSFDGSMLTSDPSDPSSPVAKDIVLAADRWFFSFHSAKRV >KQL11092 pep chromosome:Setaria_italica_v2.0:IV:30650620:30658587:-1 gene:SETIT_005770mg transcript:KQL11092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRAVQGPSETGHIKLVWRTTRGDASRKTPGKNSYAHEGEGGAAAASRQPQAATATSVPARAHMRDPAVHAAHCCRRGPAQPATGHSQPRAGQPARRPRRLLPHGAPHPPRQRNEIPIIPSHAWPSPIPPPPPTSVSSVPSLRCPRLRLHASVHSSSSPLSLALAHTPLLFARWIWATGVGLRTPPGRLRSDRGEHGRAANGVIGRSGIGSVAMRRAPGGHRGGGGRLPLLLLLLALQALAAAPRLAGAVTDAADVSAINGLYVALGSPTLPGWTGNGGDPCGELWQGVVCTGSTITGITMNAANLGGQLGSLGNFTSIITIDLSNNNIGGTIPEDLPVTLQSLFLSANQLTGSIPSSLSKIKNLTAMSVNGNHLNGDLPDAFDSLSRLVNLDISSNNMTGVLPSSMKSLASLTTLHMQDNQLSGTLNVLQDLPLKDLNIENNMFSGPVPASLLNIPNFKKDGNPFNTSIAPSASPPSPSTGMAPTPTPAGPKPAPTPASAPTSSNSTPVPAPPSPASRAPPPSKTTSNTSEGSTTRDSTSPSRKHSTSTLKIVGFVLLGVVLFIIMVLLVLFCLSKYEERQTRYDHNRSQLARVHHRVEPQIKPPPVQQRDDVKKGQSEGLDRRGRELSSSAAAVPKKSPENQKEHVINFDRTESDLFPVLPPPPPPPLPSIERVAANPIVPPEKRYSPPPRTSTPTSATPFSVASLQQYTNSFSEENVIRESRLGKVYLAELPDGKLLEVMKIDNANGRISVDDFLEQVECISEIKHPNILELVGYCAEYGQRLLVYNHFSRTTLDDALHDAEETGSALSWNARLQVALGSGKALEYLHESFQPPIVHQNFEPANVLLDKKFSVCVAECGLAEMMPSGSVTQLSGRMRALMNYEAPEFQDSGVISERGDVYSFGVVMLELLTGRKPYDSSRPRHEQHLVRWASSQLHDIESLSKMVDPSIRGQCSEKALSRFADIISRCVQRQPEFRPPMSEIVQDLARLVNATGEESE >KQL09382 pep chromosome:Setaria_italica_v2.0:IV:2994403:2995819:1 gene:SETIT_008769mg transcript:KQL09382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETEEPVADSGRFMALRRWNTHEHSMGPVGYAGKQAQWEEEDNQLTALGIHNPWDDFLKGRSRNWLRGRSRLEVKEGVAEIKWNKDSALKLAEDIKEKNAHVESIDLLTEPTPCALLIKSGGQQIEAAWDQVYPKQTKLHIVPILDDCAVVKVEYALGVYKDHVLELPPNDEITKLREAVLQRIQWKRAYIVVKTTPKEKASSQTVAQLPSTPPYLRPKDSQTSASGAAKSSPSVPSREPAAAAKSAPSCQ >KQL09928 pep chromosome:Setaria_italica_v2.0:IV:7502945:7503025:-1 gene:SETIT_008030mg transcript:KQL09928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHSALLLLLMVLAVTMGAAPSAAFL >KQL09952 pep chromosome:Setaria_italica_v2.0:IV:7687838:7689130:-1 gene:SETIT_006598mg transcript:KQL09952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLRRHVLPLLRAVSPLPSPIYHRACLLSTSTSASAAPFSLEDYLVAACGLAAAQARKTAQKAFDGASKDSRKAFEEISNCRLNSASNPDAVLALLSGVGLSRADIADIVVADPLLLRSSPKKVGPRLLALRDRLGLSAPQIVRFLLVGSRAVRGCDVVPKLEFFISFYGSFERLLVILKNHNCILWSDLERVIKPNIALLHQCGLSVRDIARLFSLRARVLTFRPESIKEIVLRAEELGVPCSSRMFWQAVAVVSNISKEKVAARLELLKSTLGCHESEIATAVSKMPSILTISEESLHRKIRFLINEVGLEPQYILQRPALFGYSLEKRLVPRYCVMKVLLAKGLLDSNRSFYSFAQYGEETFKLRCIDSHQDSVPGLADDYATARAGVVPYQL >KQL09925 pep chromosome:Setaria_italica_v2.0:IV:7491079:7494718:-1 gene:SETIT_006632mg transcript:KQL09925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPGSSPTSASAHHDHEHTPLCRSCGAPTTAPTPPPWSGTSDSPPPAYRPIRLSAINAPTNTASIVLSPVPQPLPVPPAAPPHAFQTPTKRIASPDDIARFHASLHGRHFLGFVAALSASVHGRKLSDPLPSPPSPAVSALLGLISALAALVASTPPLPHNSRYGNPAFRLWHEKLTDSANDLIARITSTAASPADLAGAEVELVPYLLDSFGNATRIDYGTGHETNFAAFLYCLARLGLITEPDYPAVVLRVFAEYLNLMRTLQDTYQLEPAGSHGVWGLDDFHFLPFIFGAAQLIDHKYMKPKSIHNPDILENFSKEYMYLACVAYVKKIKKGPFAEHSPMLDDISGVPNWKKVNSGLLKMYKAEVLEKVPIMQHFLFGSLIKWED >KQL10176 pep chromosome:Setaria_italica_v2.0:IV:10555287:10555595:1 gene:SETIT_009045mg transcript:KQL10176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKVVDAICIAYTLQRNINFSSAVDMIWYSYFKNINAQIICILSD >KQL10148 pep chromosome:Setaria_italica_v2.0:IV:10261101:10261565:-1 gene:SETIT_007667mg transcript:KQL10148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVDHIILIIVAHQPPITNRPSIFSELIHIYMQPSSQCVRWVRLGVASGNKFFICHPKPITLSAQKENTSRIDVSRTCISRCT >KQL11319 pep chromosome:Setaria_italica_v2.0:IV:32578045:32580116:-1 gene:SETIT_006392mg transcript:KQL11319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGDGSSNGNQQSARKEIRDETTPLLPVKVEEDDGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEVFGQWGRIALQASIIINNVGVLIVYMIIIGDVLSGTTSAGVHHRGILEGWFGAHMWNSRPIVLLATALLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLNSIWNLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLVLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISHDNKRFAIITITLLTVIYLAAILIPSIWDAFQFTGATAAVLIGFIFPAMVILRDSYGIATKRDKILAVTMIVLAVLSNSVALYSDAMNIFRKKEVA >KQL11321 pep chromosome:Setaria_italica_v2.0:IV:32577642:32581098:-1 gene:SETIT_006392mg transcript:KQL11321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGDGSSNGNQQSARKEIRDETTPLLPVKVEEDDGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEVFGQWGRIALQASIIINNVGVLIVYMIIIGDVLSGTTSAGVHHRGILEGWFGAHMWNSRPIVLLATALLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLNSIWNLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLVLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISHDNKRFAIITITLLTVIYLAAILIPSIWDAFQFTGATAAVLIGFIFPAMVILRDSYGIATKRDKILAVTMIVLAVLSNSVALYSDAMNIFRKKEVA >KQL11320 pep chromosome:Setaria_italica_v2.0:IV:32578045:32580116:-1 gene:SETIT_006392mg transcript:KQL11320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGDGSSNGNQQSARKEIRDETTPLLPVKVEEDDGFHEFNGASFSGAVFNLSTTIVGAGIMALPASIKMLGIIPGILMIIIVALLTEASIDMLVRCSHQGKITSYGWLMGEVFGQWGRIALQASIIINNVGVLIVYMIIIGDVLSGTTSAGVHHRGILEGWFGAHMWNSRPIVLLATALLVFAPLVSFKRLDSLRYTSALSVALAVVFVVITAGIAIIKLFNGTVAMPKLFPELDGLNSIWNLFTAVPVLVTAYICHYNVHSIDNELEDRTQIKPIVRTSLVLCSSVYIATSFFAYLLFGEGTLDDVLANFDANLGIPFSSVFDDIVRVSYAAHVMLVFPIVFFALRLNLDGLLFPTSRHISHDNKRFAIITITLLTVIYLAAILIPSIWDAFQFTGATAAVLIGFIFPAMVILRDSYGIATKRDKILAVTMIVLAVLSNSVALYSDAMNIFRKKEVA >KQL10393 pep chromosome:Setaria_italica_v2.0:IV:14023558:14028136:-1 gene:SETIT_006280mg transcript:KQL10393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLQTYAAFSLLATASAVYYAFSSRDQFYPAMVYLSTSKICFVLLLNTGLVAMCVAWQLVKRIFLGSLREAEVERLNEQSWREVVEILFAVTIFRQDFSVSFLAMVAALLLVKALHWLAGKRVEYIETTPSVPTLSHIRIVSFMAFLLIVDCLFLSNSLRSLIQKREASVAIFFSFEYMILATSTVSTFVKYVFYVSDMLMEGQWEKKAVYTFYLELISDLVHLSLYMLFFIAIFLNYGVPLHLIRELYETFRNFKIRIADYVRYRKITSNMNERFPDATTEELNASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRAPIIPPDNGRAAAARQHGAQPGVQPAAGTGTPASEGASGENMSRRQAKLEAAAAAASLYGRSFAYPPANNLNRSGPPQSTSSTPQAEASSSNQSQKDQELQFQNTSNGLAPLPFNAHGAIGSGASTRDLENSLQKAQENFIKSQIEMLQIQLQMVQRGAAALANNNENAEHTKND >KQL11587 pep chromosome:Setaria_italica_v2.0:IV:35064628:35068097:1 gene:SETIT_008793mg transcript:KQL11587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPATIAAEAVPAAEGAPAATPASIASLYVGDLADSVEEPVLIEVFSQDAPVATVRVCRDSVSGASLGYGYVNFHSRHDVVRALEALNFAPLNGKNIRLMFSNRDPSMRKSGRANVFVKNLEPSIDSKSLHDMFSSFGTILSCKVATDPSTGQSKGYGFVQYESEESTQDAINKLNGMLVDGRKIFVGLHMRRQNREVKFTNLYIKNLPAEFTDDDLRARKSEYVTEALQKLNDKSIDDKVLYVGRAQKKAERQAELRAKFQQERDSEVEKPQGTNLYLKNLDDSINDEKLKKLFEEFGEITSCKVMVDSRGRSKGSGFVSFTTAEAGHNAIISNINGMNSKIVGKKPLYIGLHQPKDQRKAMLTDSLYCLLAMAAVSYATLQPVYFGHPAPGQIPLQATVFGFPQHLVPRMGPITQVIMPQNTQSSMRHGQRMGARHGATSPHMYLQQQRMIHPNASQGIRYMPNARNAAYPAMLPQGFPSAMPSPQQADGRGLPLTSGLASASPEVQQQMLGNKLYPVVEQIEAVQTGKVTGMLLEMDKLEILHLLESPEALRAKVREAVVVLQRSEARGSADPAGAVAAPTMDA >KQL12230 pep chromosome:Setaria_italica_v2.0:IV:39548920:39553506:1 gene:SETIT_007121mg transcript:KQL12230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVWLPALLLAFLLAASPFTQVARAQSEEDAATAEVVEGADLGIVGDDTQVSSDEPLSPAPGVETVCVFPKNAGKIVPAGEETELLVGLQNEGESALNVVAVHSTLHLPYDHKMYGQNLTVQNFFNASVPVSVQATFPYTFVVSKFLQPGAYDLVGYIVYEIDQHPYQNVFYNGTIEVVEAGGLLSVESVFLITLGIALLGLFGLWAYGQVQQLSKKTKKAPKVELGTGTTDANMDEWLEGTSFAQRSKSKKKQT >KQL09819 pep chromosome:Setaria_italica_v2.0:IV:6293029:6293574:1 gene:SETIT_007620mg transcript:KQL09819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMRYRALPQGEPTVEEFRAWLAQFDADSDGRISREELQHALRSLNVWFAWWKARGGVRAADANHDGGVAGDDEVARLFAFAQRHLNVKITQLGYY >KQL10734 pep chromosome:Setaria_italica_v2.0:IV:24484356:24487349:1 gene:SETIT_006339mg transcript:KQL10734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGGGSWSIHGRADVTSRYEVLGRAGSGAYADVYRGRRRSDGATVALKEVHDAVSARREAEALLAVSPSPHVVALLDHFPGGDCDDDVLVLEWVPLDLAAVVRDARRRAAGGGGGIPTAQLKRWMLQVLEGVAACHRAGVVHRDLKPANLLISEDGVLKVADFGQARILQQTAPTYQDMHPHEQTSRMGPWVSQPPAVLQGAEEESPCYESDIPAGQEPETLTAADYLHELDQLRAKSSDVDKMSLQDGDASCLATCSTGDIEDDPFRSSYSYDVEGIGEDSGAFTSCVGTRWFRAPELLYGSTNYGLEIDLWSLGCILAELLNLEPIFPGISDIDQISRIINVLGDISEETFPGCSNLPDYNKIFFNKVKKPMGLEACLPNKSPSEVSIIKQLICYDPAKRASAVDLLNDPYFTEEPLPVPIEGLQIPVSKDEDDDSSMEEWGNYKDGGSDSDFDEFGSMDVTKTDKGFSIRFS >KQL11510 pep chromosome:Setaria_italica_v2.0:IV:34348031:34349338:1 gene:SETIT_007257mg transcript:KQL11510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASFDADVPRHGGAAGTGPLGVHAASRKIGKPGAAGHGQQERKPVIIYMVSPKVIHVEAHEFMPLVQRLTGPDAGRGDKKSRPSTSGGGAGSASPRRQEPEGERSRSRAAPPVRVKARALNRPAGPAVSVSVTATRQQAVAAAHSAAASSAGLMFHDLSPLRGAALKGEHHPLVSPGWLHHVGDHFLSPGAAAALGSPSAGFLDIFGPLSSSQQQ >KQL09642 pep chromosome:Setaria_italica_v2.0:IV:4875802:4877404:-1 gene:SETIT_007993mg transcript:KQL09642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPDRAHVRLLSRVHGTYLHANEDGWSVSLSPHRASLNTAWAVHRLEHVGVSYVLLHSAAYGRYLAVLPHPSLEDQQLGVFQRVYDTPIQGDIMWEIFPAGDGNGGVELRHTVHPYFGLPHWTVEAIPPRPLPPNLPEEIPNGVEHPVVLRRIIRYVRANNFGIFNLPWRTFRLNGRSVVDLVGALGVILGANFNNITLCVRAGFHGRLTPLVIDLPISEEPMDIVVFVTGAPGSLRCSETFCSFVYV >KQL10316 pep chromosome:Setaria_italica_v2.0:IV:12826635:12830714:1 gene:SETIT_006462mg transcript:KQL10316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRDKVLIGVAAGVAAAALAAACVLLAIWLYRRRASVAARTRSLESPSATLRADGSRCASLDSSVSVSVVSESVADWGHPPTAKRAAFWAWRGGAGHNGREPPQLTVSGIPKYHYKDLQKATSNFTTILGQGSFGPVYKAVMATGEVVAVKVLASDSRQGEREFQTEVALLSRLHHRNLVNLVGYCVEKGQRILIYEYMSNGSLARLLYGDNKRSLSWQERLQIAHDISHGIEYLHEGAVPPVIHRDLKSDNILLDHSMRAKVADFGLSKEEVYDGRKSGLKGTYGYMDPDYMSTNKLTKKSDVYSFGIILFELITAINPQQGLMDYINLAAIGGEGRVDWDEILDKDLLVGNIPEEVRMLADVAYRCVNKSPRKRPWISEVTQAISRLRQRQLTKHDALTLPRSETRTVLRRIEYQHVELSDITSMKELTPIRA >KQL11057 pep chromosome:Setaria_italica_v2.0:IV:30400775:30402875:1 gene:SETIT_006593mg transcript:KQL11057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSSSLSSFLVLRHHPPRSLPPTSNRPPLHLRLLLPPFARRRAFVCQPLAAAATDDMAAAAAAASPPTLLEHMGQAGAAADLTVLVAHIQSACKRIASLVASPGNAELSRAKAAGGGGVAADRDAPKPLDELSNDIILSSLKSSGKVAVLASEENDLPIWISDDGPYVVVTDPLDGSRNIEVSIPTGTIFGIYNRLVELDHLPLEERAQLNSLQSGTRLVAAGYVLYSSATILCISFGAGTHAFTLDWSTGEFILTHPSLQIPPRGQIYSVNDARYFDWPEGLRKYIDTIRQGKGQHPKKYSARYVCSLVADFHRTLIYGGVAMNPRDHLRLVYEANPLSFLAEQAGGRGSDGKNRILLIQPIKLHQRLPLFLGSMEDMLELESYGDVQQKVNPGYEV >KQL10781 pep chromosome:Setaria_italica_v2.0:IV:25603751:25606154:-1 gene:SETIT_007428mg transcript:KQL10781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHWQATIMGPTDSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNININGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRVKYESTARSWTQKYAMG >KQL10782 pep chromosome:Setaria_italica_v2.0:IV:25603751:25606642:-1 gene:SETIT_007428mg transcript:KQL10782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPTDSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNININGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRVKYESTARSWTQKYAMG >KQL10783 pep chromosome:Setaria_italica_v2.0:IV:25604346:25606393:-1 gene:SETIT_007428mg transcript:KQL10783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRILKELKDLQKDPPTSCSAGNFGPVAEDMFHWQATIMGPTDSPYAGGVFLVTIHFPPDYPFKPPKVAFKTKVFHPNININGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRVKYESTARSWTQKYAMG >KQL10490 pep chromosome:Setaria_italica_v2.0:IV:16478329:16479275:-1 gene:SETIT_007998mg transcript:KQL10490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPNLRLMGLALQMRWLWLTHTDGDKTWSGFTYKEKTTAKAFFNASMTVQVGDGTSALSWMDRWINGYSIKTLALDLWAAVPTRVRNTRTVRNAIQVLSKFIWKGSPTGLFSSASACRALFLGWSPLMGVRQLWKVQAHAIGQAPFTEWWIQARKRVAKTQRKGFDSLDWMVAWSLWKEQNRWVYEWRALQLVALAPVILEEVRTWVELVS >KQL09699 pep chromosome:Setaria_italica_v2.0:IV:5337882:5338442:1 gene:SETIT_008707mg transcript:KQL09699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSADAFRGNPPGPAGGGDDDTEIRVLVPLFLASAFLFFVTYQLFGLAAAGGVVALFAVFALAAHRVRFSCAFPFLHLSWPGVTTGGGGSGAVLFRTGATGGMDAAAISALPAAFGYKRDHPATGWAQCAICLGLVRAGEAVRRLPACGHLFHAGCIDKWLRAHATCPLCRAAVGAAAAAPELPI >KQL12006 pep chromosome:Setaria_italica_v2.0:IV:38111029:38113809:1 gene:SETIT_006308mg transcript:KQL12006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICAWSPSPRIVRANNRARTMQFPHFRRGRTLTSASPSHLLSSQSIAFSGSTTSPRQTTRAFSTTQARRRSPRCAQGEMATAATLALPDHHQTLQLPSPAAFGGSDRLSQEIFSILESNFLFGAASPLEGGPCSAGRVRVLSIDGGADGGALAAAALARLERRLQELSGNPAARVADFFDLAAGSGAGGFLAAALFACRMPADAARDVVAKNRKVLSGRGGRGGLLFRRPEAVFRKVFGDLTVRDAAKPLLIPCYDMATAAPFVFSRADAVEAEAFDFPLWQVCAAACGVGPAEVASLDGRTRLRAAAGAGGGGGASAAVANPTAVAVTHVLHNKREFPFAAGAGDLVVLSLGGSAAASLLRPSSSSLLRIAGACQADMVDQAVSMAFGESRASNYVRIQGNGIAAGETAEAALTERGVESVLFRGRKLMAQTNGERLDGVAEQLVREHHRRLESKTPVVLVKPSATPRTSSSSASTLITVSTNSSSESP >KQL10869 pep chromosome:Setaria_italica_v2.0:IV:27339239:27341116:-1 gene:SETIT_008314mg transcript:KQL10869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPATILVVLLLVAAGASSAAAVRQHKSHDYERVFDRQEADRVEALPGQPSEVGFRQFAGYVTANESHGRALFYWFFEATHDVQKKPLVLWLNGGPGCSSVGYGALEELGPFLVQKGKPEISLNPYSWNKDANLLFVESPAGVGFSYTNTTKDLSQFGDDLTATDAHAFLANWFKRFPQFRRHEFYIAGESYAGHYVPQLATKIIEGNKKAHHKKDRINLKGIMIGNAAVDASSDDRGLAEYAWDHAVISDEVYGAIKKECKFPDDGEESAPCNQAWNDFFGAIQNIDIYSLYTPACTDTLANATRSNSSSASSSWKLAAGTPLAKIHRGRPYNTYDPCADYLVVDYLNRGDVQAALHANVTGIPYAWTPCSDALTNWTESAASTLPQIKKLAESGLRVWVFSGDTDDRVPITSTRYALQKLGLATVKEWREWFTSDQVGGYTVVYDGLTLVTIRGAGHMVPMIKPVQASQVFAHFVSGEELPAKPVVATAA >KQL10838 pep chromosome:Setaria_italica_v2.0:IV:26634289:26641051:1 gene:SETIT_006534mg transcript:KQL10838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTARDGVDAFADEVEPTLTIGEYIEGIEAEELEVDLVLGGDDGKECTYAGGYLKRQAVFSCLTCVPDGVAGVCTACSLACHDGHEIVELWTKRRFRCDCGNSKFGGHLCKLCPEKDSENPENCYNHNFKGSYCTCGRPYPDPEAKEQVEMIQCCICEDWFHEDHIGLNSIEEIPRDEEGEPLYEDFICHKCSPVCHFLKLYPQTIWASGKQKLASQTDTSNSTVMEGGPSDQANIEKHENGDLVDHMCGEKTSMENGTAKDIAVPEKANLGSSSGDICKLGMDVNTMPAVTERNMPFFMSKGWRDTLCRCETCTNFYAQQGIAYLVDKEDSIEEYEKIAKQKREKKLEQQEGAETNFLNSLNHVQKIEILSGINDMKNEFQSFLESFDSSKPVTSEDIRSVFENLAKKKKQRLS >KQL12178 pep chromosome:Setaria_italica_v2.0:IV:39293986:39295620:-1 gene:SETIT_008326mg transcript:KQL12178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYRVDGSPAPGTLPPHVSAAVNGVAFVGTLSGQLFFGWLGDKLGRKKVYGMTLMLMVLCSVASGLSFGHTPASVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIIAGGLVAIVVSAAFKARFPAPAYAADPAASTPPQADYVWRIILMLGAMPAVLTYYWRTKMPETARYTALVARNAKQAAADMSKVLQVEIMSASGAASADEDQQTAGNANDDDHRQKQKEKQFGLFSGEFVRRHGLHLLGTSATWFLLDIAFYSQNLFQKDIFAAVGWIPRAATMSALEELFRIARAQSLIALCGTVPGYWFTVALIDVIQMMGFFFMTVFMLGMAFPYQHWTSNHVAGFVVMYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGVSAASGKLGAIVGSFGFLYLAQSRDPAKTEHGYPAGIGVRNALFLLAGCNALGLLFTLLVPESKGKSLEEMSGDNDHDAGDNRTVPV >KQL08995 pep chromosome:Setaria_italica_v2.0:IV:625377:628526:-1 gene:SETIT_006199mg transcript:KQL08995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDHPCMAATAAASAGYGGGSVEAALRPLVGADAWDYCIYWRLSLDERFLEMTGLCCSSEFEAQVSAHGELPSSIPLDSSSAGMHAEAIMSNQPIWQTSSCVPELPTSYSTELGSAGPRTRLLVPIAGGLVELFAARYMAEDEQMAKLVMAQCGVPARATEGEGDEGGAAVHTWPEAPGFAWDGADPQRMYGAVPPSLSLFDAAGDPFLAAPPPGVVDDAAAAGWQYAAAAGSEPSVVAAQQEQHGAARTGGADSGSEGSDLLGDPEGDGDAQGRGGGKGDGKRQHCKNLVAERKRRQKLNNALYKLRSLVPKITKMDRASILGDAIDYIVGLQNQVKALQDELEDPADGAPDVLLDHPPPASLVGLENDDSPRASLQQPPASKRARVPAAAPTEEDKGHDMEPQVEVRQVEANEFFLQVLCEHKPGRFVRLMDAVNALGLDVTNVNVTSYKTLVLNVLRVVRRDNEVAVQADRVRDSLLEVTRESYGVWSSAVGSSGSIDVKLNCVDVKLDGGVDVQAPAAAATAEDHYGGYNHLQYLA >KQL11915 pep chromosome:Setaria_italica_v2.0:IV:37593132:37593452:-1 gene:SETIT_008038mg transcript:KQL11915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSLSKKASSFVVAASMSAVEALKDQAGLCRWDYAIRSLCNRAAAAKATGRAVPTAGGGSSAAAPALAAAGRSRRSEEEKLHKAYHLVCWGPN >KQL10402 pep chromosome:Setaria_italica_v2.0:IV:14176010:14178214:1 gene:SETIT_008272mg transcript:KQL10402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYISDFIRRDNFTKCHYGTWTQDEYQNLFDLVNLDLRVKAHQKIATTHRQLRDNISWEAISEKLTTRNNKDCCLKWYQQLASPLVKEGIWADIDDYLLQKGDAVCFEDVDWERLLDHRSGELCRQRWNQMVRMIGSHREKPFIEHVEVLARRYCPEMLDYRKPEASDLSPDELAGESDS >KQL10801 pep chromosome:Setaria_italica_v2.0:IV:26023372:26025059:-1 gene:SETIT_007165mg transcript:KQL10801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRRVLSEDLIGEIFLRLPPDHPACPFRASAVSQLHTAPPVLGFLQHTGRPDSPFAHIITTATPSPPLRRPDIECSLSLELDCRHGRVLFHTINPLGLIVWNPVTGGHHFLPYQLDHPQPAFSHFAGAVLCAADGCDHLDCGEGPFRVVFAATVDEDVDVVFTWARLYSSESGSWTAPATVYPGALMESPDVMGPSLLAGDALYFTLDLLNNRTILSRNAGRIRSSSFWCMVFNIKLEACRDEDR >KQL11987 pep chromosome:Setaria_italica_v2.0:IV:38007137:38007715:-1 gene:SETIT_0080221mg transcript:KQL11987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGLMRQLKIVPALAEFGMALVLPPPPLPDAHPFTCPTPASTPAPAATLKAVVPPCPGNPSSSASFFSPPSSSPPFLPRGTAGRSKGQRWRDDSPLLADSSDALSPLPRRSISFKEALLKGVDSTLQAPCTARRESSVAVSQGAPGGGPPRIVLRPEDSSGMVDLRAPDRDGWWSVEGRRRRRERWRQARP >KQL10052 pep chromosome:Setaria_italica_v2.0:IV:8906593:8909518:1 gene:SETIT_006110mg transcript:KQL10052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGTDFYHVMTAMVPLYVAMILAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPYTMNLRFIAADTLQKLIVLALLTAWSYLSRRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEYRGARILITEQFPDTAGAIASIVVDPDVVSLDGRNDAIETEAEVKEDGKIHVTVRRSNASRSDIYSRRSMGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVGRSSNFAAGDAFGVRTGATPRPSNYEEDAQGKANKYGQYPAPNPAMAAPAKGQPKKAANGQAKGEDGKDLHMFVWSSSASPVSDVFGNGNAEYNDAAAVKEVRMAVASPRKVAADGRKERGEDFAERDDFSFGNRGGAERDAEAGDEKAAVAGQGNAGVGAPAAMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVCFRWNFEMPAIILKSISILSDAGLGMAMFSLGLFMALQPRIIACGNKVATFAMAVRFLTGPAVMAAASFAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYGVHPDILSTAVIFGMLIALPITLVYYILLGL >KQL09070 pep chromosome:Setaria_italica_v2.0:IV:1048244:1048765:-1 gene:SETIT_007529mg transcript:KQL09070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQSKIFSARLHTDTGANLQEGQPVDAPDRATGSAKQRRAGIRWRPAAASSTSSAATGSLASPVASKVAGGGALAVPGTSPTVSCRQPEPHAAARRRSPRPKRSKRGEAADWGGWRGGV >KQL09243 pep chromosome:Setaria_italica_v2.0:IV:2087987:2090004:-1 gene:SETIT_008532mg transcript:KQL09243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGRVAPALGAITLLLVAAAGACALAAVNKTIESDDGDVIDCVDVYQQPAFKHVLPGSRPEPERSMRAMAAAASLSDHTQERQPTWRKHGSCPPGTVAIRRDSPHARPEVARRASPFRRPAGAGSSSMQPQLPELYMDNMKGKVEVAAAYACNQAYLGARATVPYWKVDVHPDELSMNYLLVGHTLDNRFRPFPGGQPPPVLNNQIAVGLVAWPALYGDSLSRLFVYYSNDGGVNNNCFNLDCGGFHLYPSSYALGSSVSNADSQVGGERYGVPVGIHRDPTGEIWVVTVSDHPIGYYPETVFDTTFPEAFYVEMGGRVLDTRPGGNHTSTPMGNGIPSCAGSRFAATIMDYHAVGYTGVLVNDKADRTVTTTPSCYGAKPLGPDPTRANGYNVAYGGPGGIYCDKPE >KQL11407 pep chromosome:Setaria_italica_v2.0:IV:33271335:33271909:-1 gene:SETIT_007613mg transcript:KQL11407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTDNTKRDSLELLLSSPYCVDGMNTTSLNIQRIMRVTCMGHAFTLGLSLNIKRQGQELDTLHSQYHRQYCLINYIFGTTTLLYLAAKIDSLRKQHRH >KQL11180 pep chromosome:Setaria_italica_v2.0:IV:31438790:31439280:-1 gene:SETIT_009071mg transcript:KQL11180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVVRGRWSGKLLVRSHSELQLGRRATATIPARGLPSSLESPKRG >KQL09253 pep chromosome:Setaria_italica_v2.0:IV:2152649:2154845:1 gene:SETIT_007025mg transcript:KQL09253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAQQKIRWGELEEDDGGDLDFLLPPRVVVGPDENGFKKVIEYRFDDDGNKVKVTTTTRVRKLAKARLSRSAIERRQWPKFGDALKEDAGSRLTMVSTEEILLERPRAPGSKADEPAASGDPLAVASKGGAVLMVCRTCGKKGDHWTSKCPYKDLAPPTESFSDRPPTSDGPPAAGGPAKGAYVPPTLRGGADRSGGDSMRRRNDENSVRVTNLSEDTREPDLLELFRAFGPVSRVYVAVDQKTGSSRGFGFVNFVHREDAEKAISKLNGYGYDNLILRVEWATPRPN >KQL11896 pep chromosome:Setaria_italica_v2.0:IV:37440987:37446027:1 gene:SETIT_006049mg transcript:KQL11896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASLRSKDERPRCKQTPYDHTRTDEPPRTLAPRRRRPPPDRAVEKPSPPRRLVVSAADLPPESPNLPATPKLPAMSAMLQPQVILLKEGTDTSQGKAQMVSNINACTAVVDTVRTTLGPRGMDKLIHDDKGGVTISNDGATIMRLLDIVHPAANILVDIARSQDSEVGDGTTTVVLLAGEFLKEAKPYIEDGVHPHSLIRSYRTAGHLATEKVKELAVSIEGKSLEEKKILLAKCAATTLSSKLIGGEKEFFASMVVDAVLAIGNDDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFLNPKILLLNIELELKSEKENAEIRLSDPLQYQSIVDAEWNIIYDKLDKCVKSGAKIVLSRLAIGDLATQYFADRDIFCAGRVTEEDLQRVAAATGGFVQTSVNNVIDEVLGSCEVFEERQVGNERFNIFSGCPSGQTATIVLRGGADQFIEEAERSLHDAIMIVRRALKNSTVVPGGGAIDMEVSKYLRQHARTIAGKSQFFVNSFAKALEVIPRQLCDNAGFDATDVLNKLRQKHASGEGANYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAAMGGRGRGGAAMRGRGGRGMRRR >KQL11353 pep chromosome:Setaria_italica_v2.0:IV:32878919:32881543:-1 gene:SETIT_007217mg transcript:KQL11353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAARRLLSSRASSSLSSLLRRAPAAGAASAEQSMLLRSAAVAAASRLGFPRGMARRPGGDGYSPMRSGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGANKQQMIDCYVQTLAKVLGSEEEAKRKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRTADRPRYNDRTRYARRRENQR >KQL11423 pep chromosome:Setaria_italica_v2.0:IV:33485337:33486764:-1 gene:SETIT_008835mg transcript:KQL11423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLAVKHEAEKERLLEMEGVAADVVGRLQKGINEKAAEVAREREAHQRLLQQVELKDKDLLLEQSKRRDLIDDYTQLKTNYKHLKSQYNFLLGRIDQNDGSKSHVDIPVDKRNSESPPSKRKLKDLEHTNKESIPVVPMTRDLKKHTNKESMPVVPMTRDLKNDSTPGAKAHASSVRNPFRNSRLALPSGSTNPLPNKAASNSKLEAISSIAGPSLHWRETRARKEPGVADPHDDFLDTPLEAVKNTIRNPATPEGAQALAASPPQDMEFNNSDDETQDMNNATQGLKNIPSMPAPKQQSTISVQPPKKDFKYRESVRKKADRENLKGVECKQCKKFYDAVLPDGRANGDGAGSTSLRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEM >KQL11779 pep chromosome:Setaria_italica_v2.0:IV:36732002:36733644:1 gene:SETIT_007184mg transcript:KQL11779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESSSNLFLPFCCRFLLVRSRILSPRLEPLIFRGAAAHRAESRRTGRGCRAYSHRASAPRLDLNCVPRNRRRRKIVAIRRCMAPPLVLHPLACFLLSTSAAQRRGGGGGAEPRSRSEAHRSSRMPTLILSTNVPVDAVVAADILKDCSKAVARIIGKPESYVMVSINGSVPMSFAASEEPTAYGELVSIGGIGPGVNGKLSAAVAEILETKLSVSKSRFYIKFDDVQRHNFGFNGSTF >KQL09939 pep chromosome:Setaria_italica_v2.0:IV:7597853:7598005:1 gene:SETIT_008299mg transcript:KQL09939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPFPARSGNDEVSDRAAATVFGLAAEADRPVDPVIWGDEKRMKRELVA >KQL10126 pep chromosome:Setaria_italica_v2.0:IV:9974069:9978128:1 gene:SETIT_006090mg transcript:KQL10126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAPREPMKQRVNRCLLRLSDRDTEAMAAAELDAIARDLEADELPVFVAAVSDARPTDRTPLRRHSLRLLALVAGEHPRDAVAPLVPRLVAAALRRVRDPDSSVRAALVDAARAAAGAAQSPPVALGPLTDALLHEQDQCAQLAAALAAAAAVEASEPTDDLAAYLGALLPRLLKLLRSAAFKAKPALISLIGTASAASGGGAASTAVPSLRDALTGDDWAARKAAAEALALLALEHGDDLISHKSSCITVFEAKRFDKVKIVRESMNRMIEAWREIPDVDEEVCSSDVPSLSRARSSLTETVSDGRYPTDSLGSSSAPSITRRNSWPANRQLPPDALHNASNRKASPPSNASKKILPPPRRNADQAKNYEDKVDITVAPDATPIKMVTEEKLLKEGNVRERLEARRVLFQKTGEKGYKKLVGPKSGSRVVPYNGDGDLEETALTEDAPDELQSAHKDEDLSKIRMQLVQIENQQASLLNLLQKFMGSSQNGIRSLETRVNGLEMVLDEISRDLAASSGRIPNSEPDTNACCILSPKFWRRHDGGRYTSRYSVSDAPNYSEESKTSYKWERQKFGAQGGFVTNPLAEPNTSSVRSTGITQEGRRRDSAQYRSRLG >KQL10127 pep chromosome:Setaria_italica_v2.0:IV:9974268:9977296:1 gene:SETIT_006090mg transcript:KQL10127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAPREPMKQRVNRCLLRLSDRDTEAMAAAELDAIARDLEADELPVFVAAVSDARPTDRTPLRRHSLRLLALVAGEHPRDAVAPLVPRLVAAALRRVRDPDSSVRAALVDAARAAAGAAQSPPVALGPLTDALLHEQDQCAQLAAALAAAAAVEASEPTDDLAAYLGALLPRLLKLLRSAAFKAKPALISLIGTASAASGGGAASTAVPSLRDALTGDDWAARKAAAEALALLALEHGDDLISHKSSCITVFEAKRFDKVKIVRESMNRMIEAWREIPDVDEEVCSSDVPSLSRARSSLTETVSDGRYPTDSLGSSSAPSITRRNSWPANRQLPPDALHNASNRKASPPSNASKKILPPPRRNADQAKNYEDKVDITVAPDATPIKMVTEEKLLKEGNVRERLEARRVLFQKTGEKGYKKLVGPKSGSRVVPYNGDGDLEETALTEDAPDELQSAHKDEDLSKIRMQLVQIENQQASLLNLLQKFMGSSQNGIRSLETRVNGLEMVLDEISRDLAASSGRIPNSEPDTNACCILSPKFWRRHDGGRYTSRYSVSDAPNYSEESKTSYKWERQKFGAQGGFVTNPLAEPNTSSVRSTGITQEGRRRDSAQYRSR >KQL09355 pep chromosome:Setaria_italica_v2.0:IV:2677558:2677988:1 gene:SETIT_007669mg transcript:KQL09355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIKIWRIPIYKPYSIGSYECYFHIQQMVWHFKVCRKNVCNLEPDWFAANILACQSFGKPIFWLNYCSKVLFHNSSIIHIPQL >KQL11649 pep chromosome:Setaria_italica_v2.0:IV:35592399:35593862:-1 gene:SETIT_008067mg transcript:KQL11649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWLPLFRHLLACPVPNAAAFSSSPSSGDCPGSPPPAAALLRLLLSPAPTLPASASDVPTGAILFQTLPPFLQSQALSFLASSADLLDTHLIRSLAARVLSAPPGRYGFWTCRGARHLLDGLPEEEGVPGVASEEFVNGFHEPPPWLKEVGARARPVLPWLPVDCRSVMGRGRRPRGGGDGLDGIELETLVLVQDEDVEMQEAGCIPLPQAPPLGDSIVQRALAVQKEIVMVESVLVAQRVVKDLQDLCVRSRNAAAVLSLVQPWEADDDTLRVLLSNLVLQEDGVRGGGPALVLCSVFLPQLLELQRPPSSVLLSAALDLCKRHPAAALEAVLFPLVLRKGGLNVPQCDVLTRIVKECMHPLHVSAFCHRLLSGEEQERKPVCMPQHHENVGTHLVWTESLFSLFYSILSQDICLTPSSVGELISVIDERASEFSRSLKFGNFLLCFVPKCWHQCKNQRVLLERAVERTNTFLTKAILAKLHTAS >KQL08890 pep chromosome:Setaria_italica_v2.0:IV:142818:143619:1 gene:SETIT_007290mg transcript:KQL08890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHIAEPAGAVVIGCKVLPIFNEHGIVEGAVKKMVHRIDGKKAVARVKELLKLAAQARPHGATFSGKKWKKVLSFHARDGAAAAKGGRHQEKKQQQEASDEMSCSSSKLSFKWDAGSCSSASSVAYSPLSLMSAPAKASEQTPSRKDYYASRLSSMSQQSMLCGGSPKSMKNMEGEEVEEDACSCRMGQWITTDSDCKFCLLSIILIQ >KQL09173 pep chromosome:Setaria_italica_v2.0:IV:1658052:1663169:-1 gene:SETIT_006427mg transcript:KQL09173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTITSVKARQIFDSRGNPTVEVDVGLSDGSFARGAVPSGASTGIYEALELRDGGSDYLGKGVLKAVNNVNSIIGPAIIGKDPTEQVDIDNFMVQQLDGTSNDWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGVEVYHHLKSIIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKAAIEKAGYTGKVVIGMDVAASEFFSEKDKTYDLNFKEDNNDGSNKISGDSLKDLYKSFVSEYPIVSIEDPFDQDDWSTYAKLTDEIGQQVQIVGDDLLVTNPTRVAKAISEKTCNALLLKVNQIGSVTESIEAVRMSKRAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGAAAIYAGENFRAPVEPY >KQL10369 pep chromosome:Setaria_italica_v2.0:IV:13602611:13607362:1 gene:SETIT_005983mg transcript:KQL10369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIVADIGGNWKAVMHEQSNQCYYWNTVTGETSWEIPNGLASGVASVSVPTQMDYSIEGQAHVLPHNTLEAYPSDMSVGNGAATYATFGMACGSAQVTQDAYTYTAPVASHDSMDIDPLYLAKYGEDLLQRLNLLQRLHGSNEGIELLRREIGIRISDCNALSSYGSSLLPLWLHAEVHLKQLDSSVSKLEMNYRAETEPIDSKTEVAEHKTPEADMIALSNGEALKSELSAGITIDENVKIDKPASTSAQSSQDKDAAAVTSKFESDNDEDMDVEMEVDEDGVEEQVHCSSEPNKEHPPPEQVSSPDLPPLEGPSPPEDNDVPPPPPEEEWIPPPPPDNEPAPPAPPAPPEEPVASYIHADTVAQPYVAQANVGYTLSGMEYYATVGTEGTTANYYMQVSEPHALQAQQHSYYAPVSGSGLSVPVDGTSIAPESYYTYPSVTMAASGVAAEHSGYYASSTSAISSSAADIKTSSASLVSANSNLDPKGPDKVISKDASIAPLSQAVVATSAAGTTSLVGSSTQSSTSSTQAKVIRSKKRAVAVTSSLRSNKKVSSLVDKWKAAKEELRDEEEEEPESALEALERKRQKEIEEWRKQQIASGEAQENANFVPVRGDWRDRVKRRRAEVKKESKESAAASLHSAEQHNGSPDLAELSKGLPSGWQAYLDESTKQVYYGNSLTSETSWERPTK >KQL10368 pep chromosome:Setaria_italica_v2.0:IV:13602494:13607577:1 gene:SETIT_005983mg transcript:KQL10368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIVADIGGNWKAVMHEQSNQCYYWNTVTGETSWEIPNGLASGVASVSVPTQMDYSIEGQAHVLPHNTLEAYPSDMSVGNGAATYATFGMACGSAQVTQDAYTYTAPVASHDSMDIDPLYLAKYGEDLLQRLNLLQRLHGSNEGIELLRREIGIRISDCNALSSYGSSLLPLWLHAEVHLKQLDSSVSKLEMNYRAETEPIDSKTEVAEHKTPEADMIALSNGEALKSELSAGITIDENVKIDKPASTSAQSSQDKDAAAVTSKFESDNDEDMDVEMEVDEDGVEEQVHCSSEPNKEHPPPEQVSSPDLPPLEGPSPPEDNDVPPPPPEEEWIPPPPPDNEPAPPAPPAPPEEPVASYIHADTVAQPYVAQANVGYTLSGMEYYATVGTEGTTANYYMQVSEPHALQAQQHSYYAPVSGSGLSVPVDGTSIAPESYYTYPSVTMAASGVAAEHSGYYASSTSAISSSAADIKTSSASLVSANSNLDPKGPDKVISKDASIAPLSQAVVATSAAGTTSLVGSSTQSSTSSTQAKVIRSKKRAVAVTSSLRSNKKVSSLVDKWKAAKEELRDEEEEEPESALEALERKRQKEIEEWRKQQIASGEAQENANFVPVRGDWRDRVKRRRAEVKKESKESAAASLHSAEQHNGSPDLAELSKGLPSGWQAYLDESTKQVYYGNSLTSETSWERPTK >KQL09430 pep chromosome:Setaria_italica_v2.0:IV:3314421:3316416:1 gene:SETIT_006185mg transcript:KQL09430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQQFVSAPQPAHKIHEVTCTVAESPRPPSMAAADAARPAAPAGDSGRDHVVVFPLMAKGHMIPLLDLASALAARRGDGGLLRVTVVTTPGNLAFARRRLPARVGLVALPFPAHPELPPGVESTDGLPSHSLFPAFLRATALLREPFAAYLASLPAPPLAVVSDFFLGFTQRVASDAGVRRVTFHGMSAFALALCFSLARTPPPPPGSGVEDGAAPFRIPGFPGNLTITKDEVPHAVAMAADPDDPVTRFLSDEVRDWDYRSWGVLVNSFDALDGDYAAILESFYLPGARAWLVGPLFLLAAGEEQEDDDGDGDTEGCLPWLDERAAERPGSVVYVSFGTQVHVSTAQLDELAHGLVGSGHAFLWAVRDLRRVVVAAGGRRAQGQDRQGVGPTAPRAGAPGGERVLSHCGWNSVLESLAAGRPMLAWPVMAEQAANAKHVAGVLGAGVPAGVKAGSNAPPELVGRAQVAGKVRELMDSGEAGLRMRARAEHVGRAARAAAGEGGTSRLALRRLVDELQRSYDGGRLERDDDGTDSARGGREAIEPNE >KQL10558 pep chromosome:Setaria_italica_v2.0:IV:18179134:18181652:-1 gene:SETIT_008480mg transcript:KQL10558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAALTAGRCTTSAYTGCLPTATGGITSASTGRIAAPAPATGAGAGDLAAASAHRRTAPAGQCRTPAFHHAGAAATLRSPAAAPTASPAAVTPPPPAHSTVDYSPPPPTRTSSTTATHSPAAVDSSATPPPSSSSGLSSGETAAVAVVVVIVVLGFAGVFVCLSKRRKRKQADGYYAGFAVPSYTPQHLSGEAPFLRPPSAPGSMNFSMGGAGGGAPGMSAPMSQGYGQPPWAAASANYSATTTGSQGPARSVAASSDLSVGNTKAFSFDELYDITGGFARENVLGEGGFGCVFKGTLGDGKVVAVKQLKGGGGQGEREFQAEVEIISRVHHRHLVSLVGYCIAEDHRLLVYDYVSNDTLHHHLHGRGRPVMDWPTRVKIAAGSARGLAYLHEDCHLRIIHRDIKSSNILLDDQFEAQVADFGLARLAENNVTHISTRVMGTFGYLAPEYASTGKLTEKSDVFSFGVVLLELITGRKPVDSSRPLGDESLVEWSRPLLNRAIENQEFDELVDPRLDGNFDDVEMFRVIEAAAACIRHSAARRPKMGQVVRVLDSLTDVDLSNGVQPGKSQMFNVANTADIRQFQRMAFGSQDFSSEYSQSRSSIGSRRDF >KQL09094 pep chromosome:Setaria_italica_v2.0:IV:1175991:1182754:1 gene:SETIT_005958mg transcript:KQL09094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANHGAGTLKSTSINGVKLYSLTGNRYVAPWVLAKKKRALRKDEEYQRRLDLIHDLRFETATTKIKLTPDDQYVIASGIYPPQVKVYELKELSMKFERHLISEIIDFQILGDDYSKLAFLCADRSVNLHAKYGSHYSLRIPRMGRGMAYDCWSCDLLCAASSPDLYRINLEQGRFLASLSSQSPAINAVTRSMVHGLVACGGEDGAVECFDMRKKSSVGRINIPAVSSEDYDQEVTSLQFDEDQGYLMAVGSSTGKISIYDLRMSSPLRVKDHMYGSPILNIKWHQTLNSTEPKLITADKHIVRVWDPNTGNNMTSIEPDGGAINDVCIFRNSGLVLLALDNSQIPAHFIPALGPAPKWCSHLDNLTEEMEEKSDSRVYDEYKFLTKEEMERLNLTQYIGTNAVRVHLHGFIVRYELYKKQQAAAAPVDYKALREQMTKKKIEEKQKSRITRVVRIPKVNRQIFDSIIEDEMNADTENADKSSKKKKDRRLKVNKDLLEDERFKEMFENKDFQIDEESREYLALHPQVATKEPRLIEEHFESVSEDEQQSDASASDASGMSDSDNDMHNSKRIRLYEVKDERHAEAFLNSASLSNEDSVPIEDRIAALEKQQNSKALEKVKYGPGGSREISFISRSGRRHKEESPSDDEGPNDFKRRGVQALGLKQGKAEFYMFGGNRGRGRGGARGRGGRGRGGRGGRGRGRG >KQL10426 pep chromosome:Setaria_italica_v2.0:IV:14684716:14688746:-1 gene:SETIT_006283mg transcript:KQL10426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGTGMAKRLLSAVLLAALACGGAHAKFSRNSFPKDFIFGTGSAAYQYEGAYNEGGKGLSIWDKFTHIPGKIKNNDNGDVANDMYHRYKEDVQLLKDMNLDSFRFSIAWSRILPNGSLSGGINKEGVAFYNNLINEVIAKGLRPFVTLFHWDTPLALEEKYGGFLSENIIKDYVDFAEVCFKEFGDRVKDWTTFNEPWTYAERGYSAGLFAPGRCSPHVSKSCLSGDSAREPYVVTHNILLAHAQAVALYRAKYQKVQGGQIGIAVVSHWFVPNTNSTADSFAVQRSLDFMYGWFLDPIVHGGYPATMIGYLGDRLPKFTAEQMTLVKGSYDFIGVNYYTSYFTSAKPAPNGLEQSYDADVRANTSGFRNGVPIGPTEFVPIFFNYPAGLRELLLYTARRYNNPVLYVTENGIAEGNNATLPLKEALKDGHRIEFHSKHLQFVNHAIKNGARVKGYFTWTFMDCFEWGDGYLDRFGLIFIDRLNGLKRYRKESSYWIENFLKS >KQL11179 pep chromosome:Setaria_italica_v2.0:IV:31426811:31427233:-1 gene:SETIT_007646mg transcript:KQL11179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLSSAASKVSDRKGHKFKDTTRFSHQNSGHDTTLHIYRDSLQVNGNGHTQALQGYGSIWIHGKSDTYTLFVCRMHFLRYSSYGNLQIH >KQL11087 pep chromosome:Setaria_italica_v2.0:IV:30591828:30592909:1 gene:SETIT_007361mg transcript:KQL11087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVLSCRAATLARRLGVQAQPLPQTGVVLSRRHSHTRRRAEEVLEADAAGPSTTPSDAAVGPSTTPADPAAVARRLEEAIDGAMARMAEPDWAPFRPGTSYFVPPRPAGAALGILALLGHGGGFVGSPAPRRGLSADEARAVAAASRGYPCSTYFIDGHFPDEGESSSLDANQAQDE >KQL10775 pep chromosome:Setaria_italica_v2.0:IV:25508254:25509616:-1 gene:SETIT_009056mg transcript:KQL10775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGELAARGRAGGARGDEAAARGDGVAARRTPTATLSAVFSPVMLRPSLVHVVSLSCLV >KQL11349 pep chromosome:Setaria_italica_v2.0:IV:32816979:32822889:-1 gene:SETIT_006089mg transcript:KQL11349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKLGKKARKFARKNLQSAAKRNRKIRNQFNHRRPRRGGSGAREDGDEDLLQRVDDASTMATNDAADALISGLEFPEDDVEIDADLSDSDGYLSEDPGCPYYSDGEDGDDVKDCIMQDGLDKQNDDMNLDIKKQKKKLKKLLDKDPEFANFLEKWQAELESYRSKEDSDEEDGMDAMDDDDDSNDGNYPNAKILTSKTISEWCQLVSKEPKSPALRNLLNAFRDACQYGVHSDSPSMQRFQNTRVFYQIITFVLSESDNLFRALLEIPDDANKGRIMNLKNSKKWQTVDPLLKSYLRSSLNLLSQLTDNKILAFVLTRLRASAVLFSAYPSTASTLLKNSCERAVTSVGQLNAILRQASKTKEKEDLRKIDNWQYINCVNLWVRFLCCNYKDYNLHPLFSQVLQVLRGVAHLFPGTRYLPLRLKLAQMLNELSTCSQMFFPIPSLLFDCLEFREISQKEQTQKTKVNFLSLLKVPKNMLKSRDFQEECVLSAIQVLSAHFAQWSYHISFPEVATIPLILLKRLHEQTTIESLHRPIKRLIDQVNENKEFIERKREVVSFSPNDKAPVDSFLQEEKSSGNASFTRFYASIAENRQPKGKIVKPMPKKKHHLRPLIS >KQL11238 pep chromosome:Setaria_italica_v2.0:IV:31929712:31937604:-1 gene:SETIT_005818mg transcript:KQL11238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFEPFRAIGYITAGGVPFSVQRLGSETFVTVSVGKAFHVYNCAKLNLVLAGPQLPKKIRTLASYKDYTFAAYGSDIAVFKRTDQVVTWSKHEEKVNMLYLFGEYVLSADAKGNIFIWAFKGAEPNSEPVGSISLGDKFTPTCIMHPDTYLNKVIVGSEEGPLQLWNISTKKKLYDFKGWESPVRCCVSSPALDVVAVGCSDGTVHVHNIRYDEELMSFNHQIRGAVTALSFRTDGQPLLASGGSSGVISIWNLEKRRLHSVIREAHDQSIVSLHFFANEPILMSSAADNSIKMWIFDNNDGGARLLRFRSGHSAPPRCIRFYGNGKCILSAGQDRAFRLFSVVQDQQSRELSQRHVTKRAKKLRVKEEEIKLKPVITFDCAEIRARDWCNVVTCHMDTPRAYVWRLQNFVIGEHILTPSSGTETPIKACVISACGNFTILGTEGGWIEKFNLQSGISRGSYIDTSLAMQCAHDGEVVGLACDATNGSLITAGYHGDIKVWDFKTCKLKSRLDVGKSVTKIAYHRANGILATVVDDMVLVLFDTVAMKMVRRFEGHTDRITDLCFSEDGKWLISSSMDGTLRIWDISLARQIDAMHVDVSITSLSMSPNMDVLATTHVDQNGVYLWVNQSLFSTSTNIENYASGKHVRNVCLPAVSSTERSEEEQAHNSGDSNQSNVKPFVIMDDQIPNMITLSLLPRSQWQSLTNLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFEPPASSKETNSSTTENTNQKKMAELSSQFSQLLQSCGETKNYSAFTDYMKGLSPSSLDMELRLLQIIDDEESEDLEQRPELQSILSLLDYFIHELSCRNNFEFVQAVLKLFLKIHGETIRRHSILQSKVKKLLEVQSLVWQKIDKMFQSARCMVTFLSNSQF >KQL10477 pep chromosome:Setaria_italica_v2.0:IV:15883029:15884696:1 gene:SETIT_006996mg transcript:KQL10477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGIWISPSRSVSSPAARARARALNSSRRFCSALKRHLSSLPPLVSPSPQDQQAAMVMASSSGLRSCSAVGVPSLLAPSSRSGRLPFCANATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILVIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPVKFEEYKLKEIKNGRLAMLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIIIPRTIYP >KQL10476 pep chromosome:Setaria_italica_v2.0:IV:15883029:15884696:1 gene:SETIT_006996mg transcript:KQL10476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGIWISPSRSVSSPAARARARALNSSRRFCSALKRHLSSLPPLVSPSPQDQQAAMVMASSSGLRSCSAVGVPSLLAPSSRSGRLPFCANATTSGRVTMSAEWMPGQPRPAHLDGSSPGDFGFDPLGLATVPENFERFKESEVYHCRWAMLAVPGILVPEALGLGNWVKAQEWAAVPGGQATYLGNPVPWGTLPTILVIEFVAIAFAEHQRTMEKDPEKKKYPGGAFDPLGFSKDPVKFEEYKLKEIKNAYYY >KQL10206 pep chromosome:Setaria_italica_v2.0:IV:10902006:10903691:1 gene:SETIT_006634mg transcript:KQL10206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALANVVAIAILALATGAGAVSKPAVAGRTTMTKSPPVIYIFGDSMSDVGNNNYLLLSLAKCNYPWYGIDYKTGYPTGRFTNGRTIGDIMAAKFGAPPPVPFLSLYMTDDEVLGGVNFASGGAGLLNETGIYFVQYLSFDNQISSFEQIKNAMIAKIGKKAAEETVNGAIFQIGLGSNDYVNNFLRPFMADGIVYTHDEFIGLLMETMDRQLTRLYDLGARHIWFSGLAPLGCIPSQRVLSDDGECLDDVNAYALQFNAAAKDLLQELNAKLPGARMSLSDCYSIVMELIDRPQKYGFKTSHTSCCDVDTTVGGLCLPTATLCDDRRDFVFWDAYHTSDAANQIIADRLFAEMVGSGAVVPGNATSPPRVVSAPTPTPTHVAPPRKP >KQL11675 pep chromosome:Setaria_italica_v2.0:IV:35880619:35882244:1 gene:SETIT_007876mg transcript:KQL11675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAAAASPALEAPLPSSSTVLQVLLLMVMLVYLVQTLMARRKSTCTAPLPPGPTPWPVVGNLPEMLLSDKPAFRWIHLIMIKMGTDIACVKLGGVHVIPITCPMIAREVLKKQDANFACRPLSLASKTFSRGYMDAVMSPYGDQWRKMRRVLASEVVCPSRHRWLHDKRAAEADNLTRFVYNLAGGAGSGGAAVDVRHVARHYCGNVVRRLVFNRRYFGEPRPDGGQGPLEVQHVDAVFTSLGLLYSFSVSDYLPWLLGLDLDGHEKMVREAQETVSRLHDTFINERWRQWKSGERQELEDLLDVLITLKDAEGKRSVLTVDEVRAQLQDITFAAMDNPSNAVEWAMAEMVNAPETMRKAVAELDAVVGRDRLVQESDIPRLNYLKACIREAFRLHPVAPFNVPHVALADATVAGYHVPKGSHVILSRIGLGRNPAVWDDPLRFDPDRHLDANPEADVTLAENDLRFISFSTGRRGCIAASLGTAMSVMLFGRLLQGFAWSKPAGMAAIDLSESRHDMFMAKPLVLNAEPRLPANLYSAIS >KQL11289 pep chromosome:Setaria_italica_v2.0:IV:32330369:32333399:1 gene:SETIT_007032mg transcript:KQL11289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLLSPASAPPRPPLSTLRLRLVSSPIASAPVPNSGFSAPSRAAAIVGTGTSSYAAGRGSLPRHLDAAAAAGAGAAPSGPSDPTPLSAEDEVERAKLAQVSKKLEKTARYFKNLGTLGFWSQLVCTTISAGILSFSAVATGDVTAPFTFIATSVGILAAFISVFRSFGYIRLSERFRRTANEPAKAPPRADVVKNLRNSIVFNVVGMGAAVLGLQATVGALVAKALTTSSVPYYQGIPPGQSPVLALDIFLVQASANTILSHFLGLSSSLELLRSVTQAALVPKPA >KQL09477 pep chromosome:Setaria_italica_v2.0:IV:3687245:3702902:1 gene:SETIT_005700mg transcript:KQL09477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVERLRVRSERRPLYTLDESDDDLPPRGGAGKGKDRQNEAPAERIEREDAKEEACQRCGKSDNLVPCSTCTYAFHRKCLVPCLNITSDKWSCPECVSPLTEMEKILDCEMRDASREDTSSSEPESKKIRHYLIKWKGLSHIHCSWVSEKEYLEAAKIHPRLKTRLNNFRKQMDSVEKSDDEFIAIRPEWTTVDRILSSRKSSTGEQEYYVKWKELTYEECTWESESDISAFQPQIERFNEIQSRRKKYGDKGKAVSRDPRHFKESPQFLSGGTLHPYQLEGLNFLRYSWHHNKRVILGDEMGLGKTIQSIAFLASLFEDKFGPHLVVAPLSTLRNWEREFATWAPQMNVVMYFGAAASREIIKKYEFYYPKEKAKKLKKKKSSPSNEEKKHLRIKFDVLLTSYEMINMDSAVLKNIEWECLVVDEGHRLKNKDSKLFGQLKEYNTVHRVLLTGTPVQNNLDELFMLMHFLEGESFGSITELQEEFKDINQDKQIEKLHGMLKPHLLRRFKKDVMKELPPKKELILRVELTSKQKEYYKAILTKNYEVLARRNGGQISLINVVMELRKLCCHGFMTDEPDSEPANPEEGLRRLLDSSGKMQLLDKMMVKLKEQGHRVLIYSQFQHMLDLLEDYLSYRKWSYERIDGKIGGAERQIRIDRFNAPTSTRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTSKVMIYRLVSRGTIEERMMQLTKKKMILEHLVVGRLTKANNVNQEELDDIIRYGSKELFDDENDESRQIHYDDAAIEKLLDRKQVDDEESVEDDEDDEFLKGFKVANFEYIDEAKAQAEKEEARRKAAAEAANSARANYWDELLKDKYDVQKVEEHTAMGKGKRSRKQMAAADEDDIHDLSSEDEDYSFEEDVSDNDTSLQGNVSGRRGQYSKRKSRNVDLIPLMEGEGRTLRVLGFNTAQRAMFLQTLNRYGFQNYDWKEYLPRLKGKSVEEIQRYAELVMAHLVEDINDSDYFSDGVPKEGIRVDDVLVRIANISLIEEKVAAMGQGKITNLFPNYLLCEFQGLSGGRIWKAEHDLLLLKGILKHGYARWQYISDDRDNGLFEAARRELNLPSINEIMGPQLNVENGNLEGAQEAQVNSAGAHFKEIQRKIVEFLRKRYHILERCLDLEYAVIKSNTPVPDDIAEQGVPAGHAPAVRDINELLVELQELQNLEPIPTNEVAPDGTGGPSEVPHLYNKMCGVLEDSGASALNSFFGAKSASSSLASSLHQFETLCEGVVQALQPQQNGTASAIKEEAVDANSKEAAAPPQDSGPAAANGEPPAAKTEAMEIDG >KQL10701 pep chromosome:Setaria_italica_v2.0:IV:23838890:23839389:-1 gene:SETIT_008969mg transcript:KQL10701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVRNELMWICWEYLIFPLPSFCLDRWIFCEGTYV >KQL09749 pep chromosome:Setaria_italica_v2.0:IV:5665008:5665670:1 gene:SETIT_008597mg transcript:KQL09749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRWPAPPPPPDGAQAFGHDAIALSFFVVCVAATVALASSMCSACGRKPKAATPPDAAASDQPAWTGSVSGGSQQEAGGAEEEGAEVVRLSPELATHGAIDPVVLPSSTSKRRLSMSMSKNLSMNIPDKLRLSRRERKDHHHKVESEDTLWKKGIILGEKCRIPGEREAELGDGGVDPADELAAGSFRRSSYSRPVSRSSSFALHQQHPPQQHDTPGSDS >KQL10130 pep chromosome:Setaria_italica_v2.0:IV:9994139:9997163:1 gene:SETIT_006412mg transcript:KQL10130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASAAAGPSSSAAEAAAAGPSSSAAAARPSSSSGAEPPAAAAQPPPPAAAPQVLFQVPVQVGGASSGSGSSVARACRHHAYSRKQKSLGLLCSNFVALYDREDVETIGLDDAAKRLGVERRRIYDIVNVLESVGILVRRAKNRYTWLGFGGVPAALKELKERALREMSGSPVLLPMEESSTANLSDDEDDKLEDGDEDAESEKLSQSIDNTSDKPDAPGCRLRSDHRKEKSLGLLTQNFVKLFLTMEVETVSLDEAARLLLGEGHAESNMRTKVRRLYDIANVLSSLNLIEKTQQAETRKPAFRWLGQAKRMQENNVTVALAPVRPNKRAFGTDLTNIDNKRGRLDSAMENKAKLMQGAGNIVKTFERQLGQGNRSDFVYGPFHPAVAKKQETDDHTIKQKERRTIQDWENLAVSFRPQYQNQALNDLFGHYVEAWKSWYLDLTRETSS >KQL11040 pep chromosome:Setaria_italica_v2.0:IV:30231598:30232107:1 gene:SETIT_008260mg transcript:KQL11040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSTSTAQSQTSFSERTNTLFSMAKDLSQEFGAHVTVVAFSPTSEPKAYGAPTADSFLCTYLPEIHSSSSPACSKTAGEAAAMVDRMKQKVEETAFLVEVERARQAVAWSKILAAQMSAGKQNWWEVDVEALGADELPVFVRALEVLRTDVQRHLDAMESSRKEKMQP >KQL10256 pep chromosome:Setaria_italica_v2.0:IV:12025543:12031994:1 gene:SETIT_005704mg transcript:KQL10256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGTEGIAATVIEDPEPSIPPGFGPFVASASWATQDDIKPVDAHSSPVVALQSVDNDVEILEYLSSSVNRQSDTTCSTSGSDTCRKSLRNRPPIDYSRFDLVADDDSDAEVAEKGASSARHRQQFPKGVLRGCPDCANCQKVIARWNPSGARRPVLDEAPVFYPTEEEFQDTLKYIESIRPMAEPYGICRIVPPASWKPPCLLKEKNIWECSKFSTRVQKVDKLQNRKSSKKSRRGGMMKKRRRLSETEEINHNQIGMQQNQERFGFEPGPEFTLQMFQKYADAFSDQYFMKEKCRDSPPSVEDIEGEYWRIVERPTEEIEVIYGADLETGTFGSGFPKLSPEMKSDVDDKYAQSGWNLNNLPRLQGSVLSFEGGDISGVLVPWVYVGMCFSSFCWHVEDHHLYSLNYMHWGAPKMWYGVPGKDAVNLEAAMRKHLPELFEEQPDLLHNLVTQFSPSLLKSEGVPVYRCVQHEGEFVLTFPRAYHAGFNCGFNCAEAVNVAPIDWLPIGQNAVELYREQSRKITISHDKLLLGAAREAIRAQWDILFLKRNSAENLRWKSICGPDSTICKSLKARIEMELAQRKHICSPSQSRKMEAEFDSTDRECALCYYDLHLSASGCPCSPEKYACLVHAKQLCSCDWDKRFFLFRYDVNELNILADALGGKLSAIHRWGVSDLGLSLSSCVKREKVQDSKTVRRLTDGPRRSYMSQASTVSLVPSWEQKNNENKILDVASPGMNLVKICQETNSACPSVEQIKPGNVSPLKEPCVKNELSCPANSDASQQQYNGGTGGHKGSAPSLTAPSWQSFPSNAVTRPLVTSSEPMRSVHGLAVLKESRETYSQTGDGTASLGEHHNRSLSMIDNGANMKPSLGSSSNSHRLMASDFNAALCHSYKDRVLITSETNTSMMPDKDSSQARTVNQQFVNTASGTQSTANQQFANTVSGTQIASQEALCNVSASKPLIDPSVMKNSYGAFGSGSGSAHRGHPAFGNQQLNERWHQRQSDPLSGVEVRARGHSAMIMQPSLENHSRNGVAQKGPRIANVVHRFKCSVEPIEIGTVLSGRLWSSSQAIFPKGFKSRVKYFSIVDPVEMTYYISEILDAGQQGPLFMVTVENCPGEIFINVSPSKCWNMVRERLNMEIRRQLSMGRANLPTLQPPGSIDGFEMFGLLTPAIVQAIEVRDRDHICTEYWRSRPHVVIEDQDSQHMPPQDPLHIALRGLFQRANCDELRALRSLLMSNRTLDDNSRQQACQILDEEIAKQWR >KQL09148 pep chromosome:Setaria_italica_v2.0:IV:1524466:1525144:-1 gene:SETIT_008285mg transcript:KQL09148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLASCCQCAWGCLELWTPLSVTFIMYWLIYRPDHFHPRIDSAVLATLDLTNATIRYDLAVDLSFRNTRRVAIRYLDVAASIFHPTFHGVVPVDPAVAAELQREIEAGTVHIRVTVSLTLMYKVLFVRDVFFYKYDCWLWFPPPRNHTPALFPGNGGTKCWRV >KQL09786 pep chromosome:Setaria_italica_v2.0:IV:6033382:6033874:-1 gene:SETIT_007692mg transcript:KQL09786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLQRDQLVIARLEVELEDLHRADGYVMDMVQPKTDPTALMPLLDCLKSAPGRLKELLKDTAMECIKNVLVVLKTHFP >KQL09924 pep chromosome:Setaria_italica_v2.0:IV:7488528:7490617:-1 gene:SETIT_008652mg transcript:KQL09924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLANGPRSAAALYSSLLQSFIGSNAFREGKSVHCRIILESSASPPDLHLSTKLVIFYSHFGDVAAARRVFYGMPHRSVVSWTAMVSSYTKNGRPREALELFALMLRSGTRPNQFTFGSAASACSGARCARSGEQVHACAAKGRFARDMFVQSALMDMHLRCGSVADASRLFAETERKDVVSWNALIRGFVERRHYSDALGLFSLMLRDAMLPDHFTFGSALKACGAVSALSNVELIHNCIIKLGYWDEKVVTSLIDSYAKCRSLSSARMIYDSMCEPDLMSSTALINGYSMYRNHSEDAMKLFCKIYRDGLRIDGFLLSSLLAICANIASIKFGAQIHAYICKKQLMGDAVLDNALVDMYAKAGEFSDARRAFDEMPHRNVISWTSLITAYGKNGFGEDAVTLFDKMEEDGVKPNEVTFLALLSACSHSGLLNKGMEYFTSMMSKYGINPRAEHYSTAIDLLARGGQLEDAWKLAQKMNAEPNSSMYGAMLGACKIHGNVPLGETAAKNLFSMDPESSVNYAVLANMYAESCLWENARRTRKLLVETSRGKEVACSVI >KQL10624 pep chromosome:Setaria_italica_v2.0:IV:20685497:20686564:1 gene:SETIT_008036mg transcript:KQL10624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLGTVFSEAEHKFCVRHLYSNFQQHFKEHGQMRTLNQKAFAWLEKMPPQTWLEPCSHTIACLRNERIPPESVVHECYYYASYLLAYGSKIWPCNDKSTWHKVEGLEILPLVYEKKVGKPPKNRRKQPHEVEDKYGPKMSKHGTIINCSCCGGMGHNRGGCELRKAGIRPKLQHQRNTSVPTQDLFEGEYGNEEAPPPLPEPAFIKSNIPVPRPVPPTTATKEGRSKATKRKASADPANKSRNATKSTTQEAGKKSRAAQPKKKA >KQL09307 pep chromosome:Setaria_italica_v2.0:IV:2486917:2487498:-1 gene:SETIT_007328mg transcript:KQL09307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAASAPIAFSGLCVGISAAVLPPFLSKSPPGAFDAAQAAAQAQFDPAPHGMLAAVATFFTAITIIYVHLRTGSRGGASAGDWRIPEVMFFILCTSVALVELFLCIQPGPVQAPVLLRVAAVQLLPYAGAATFYLSLVLTYVHVRAATAAAGGAGNEEYPAVVELLNTMTLAAALVTGVLSSITAALVFNTK >KQL11373 pep chromosome:Setaria_italica_v2.0:IV:32958636:32961062:1 gene:SETIT_006689mg transcript:KQL11373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSTACVVAHKVLHFSIQLVVRDVNNSGKYLRQQDDRGRRRDTVPMEGAAGRTAAAAAVCVTGAGGFVASWLVERLLAAGRYTVHGTVRDPGDAKNAHLAALDGAAERLRLFRADVLDYGAVAAAVAGCDGVFHVASPVPYAITDPEVELLAPAVTGTMNVLKACSEAKVKRVVVVSSLSAVMVNPAWPQSEVMDEASWSDVEFCRSTQNWYCLSKTLAELEAFDYAKRTGLDVVSVCPSLVIGPLLQSTVNASSSVIVDCLEGDREVKLKLRNFVDVRDVADALLLVYEAPEASGRYICDAHARQVSDVVELLKGWYPTYKHATKFLQVSDEPLFSSKKLEALGWKFRPFEETLRDSVESFREAGVLD >KQL11372 pep chromosome:Setaria_italica_v2.0:IV:32958636:32960959:1 gene:SETIT_006689mg transcript:KQL11372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSTACVVAHKVLHFSIQLVVRDVNNSGKYLRQQDDRGRRRDTVPMEGAAGRTAAAAAVCVTGAGGFVASWLVERLLAAGRYTVHGTVRDPGDAKNAHLAALDGAAERLRLFRADVLDYGAVAAAVAGCDGVFHVASPVPYAITDPEVELLAPAVTGTMNVLKACSEAKVKRVVVVSSLSAVMVNPAWPQSEVMDEASWSDVEFCRSTQNWYCLSKTLAELEAFDYAKRTGLDVVSVCPSLVIGPLLQSTVNASSSVIVDCLEGDREVKLKLRNFVDVRDVADALLLVYEAPEASGRYICDAHARQVSDVVELLKGWYPTYKHATNGRFLQVSDEPLFSSKKLEALGWKFRPFEETLRDSVESFREAGVLD >KQL10246 pep chromosome:Setaria_italica_v2.0:IV:11743786:11745685:-1 gene:SETIT_006667mg transcript:KQL10246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPGMAAAADDGRFCLPWAAPAGAAQFENWGDSGIVVTSPLTEATSTDVSGDKQQAQMGGAMTQSVAVHVDSCAVSKDGSSRDQKVQRRLAQNREAARKSRMRKKAYIVELESSRVKLAQLEQELQRARQQAPPEAMICSALRFHPAINYRVYSASAFDLEYARWLDEHQRHMTDLRVALAAPQIGDDDLRVLVDGAMLHYEHMFRLKGAATRADVFHVLSGMWASPAERFFMWLGGFRSSELLKVLAGHVEPLTEQQLVGICSLRQSSQQAEDALSQGMEALQQALADTLAAAAAAGAGAGAADSVTNYMGQMAVAMGKLATVENFLRQADLLRQQTLQQMRRILTTRQAARALLVVSDYFSRLRALSSLWLTRPRD >KQL11549 pep chromosome:Setaria_italica_v2.0:IV:34724537:34726632:1 gene:SETIT_008024mg transcript:KQL11549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPVPSQVSASSKRSVQKEPILMAYPELSETVRSKFRETLAAALCVDSDQQSLQKSASNISPIGSSGEHKHEEDGTLQRPNTCISHGESKAHLENDGLGESTVVSDVLQGHGPFSAAGIVVGASESFSQLNSKRATTSDSDAGVTVSLNEPEFKRTKTSDGATGEEKDIIQKGQSLALGIEEELFKLFGGVNKKYKEKGRSLLFNLKDKSNPVLREWVLSGEITPKYLCSMTTDELTSKELSAWRLAKAEELAKMVVLPNREVNVRRLIRKTHKGEFHVEVEETDSISVGLELGSDVLSHVPSKSNEGQIKSDDSVSVHRGDTESDNAVQDGFSGICNSNLLSNLECLANGKTDVIQERVVHDLKYTENLPEIMSWGEFVDAPDSDIPLECNSTETAQVDPSFTDKAYLMLKPEKSLIGEDNADPSVLEFTCDAPSQEDNCQATIKSTENGSIHDLSPAKQPNVCLLIKSSPEKMDAEKLDTGSASIPGSTVQLNAISDATLTNDSLWEGTIQLTLSSLIKVAAIFKSGEKPSTNGWRRFVEIKGRVRLPDFQDFLEQLPKSRSRTITVICFCT >KQL08960 pep chromosome:Setaria_italica_v2.0:IV:443666:445602:-1 gene:SETIT_007162mg transcript:KQL08960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGNPTLGSLATTVPVQAVLFDIDGTLCDSDPLHHVAFQELLLEIGYNNGVPIDDEFFIKNIAGRSDVEAAQNLFPDWDLEKGLKFLEDKEAKYRSLAKERLEPVKGLGKVVQWVKDHGYKRAAVTNAPRINAELMISLLGLSDFFQAVIVGDECEQPKPAPYPYLKAIKELQVSAEHTFIFEDSPSGIRAGVAAGMPVVAVATRNPEKSLLEAGASLLIKDYEDPKLWAALEEIDREEAKLKKAGA >KQL09391 pep chromosome:Setaria_italica_v2.0:IV:3087281:3090936:-1 gene:SETIT_006114mg transcript:KQL09391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSLSPPLLLLAVLLLTTAGTTQCHGHKRHHHHTDRTRRPNKAAAADASPPADTAHHAICHKTPHPVSCLAAVASHPDAVAVASAKAVEASAVSVQLLPPNLLSVVLASLRGAASALSSLSPAISTLSAPAGGASLRRGAAQDCQELHAGSLSSLSRSASLLAAPGNEEGLPAVRAHLAAALANKATCLDGLAGASSGPGVAGLLASLDGAYEHVSNSLALVARGAGGGLSVAGFANAVAKVIHHRHLLQDDDDYDDNGDSGDDDSSSNGDGDGGNADRPAVTVITVAKDGTGNFRTVGEAVAAAPNNSRTRTVIRVKAGTYEENVEVPPYKTNIALVGDGRGATVITGSRSAGGGWTTFRTATFGVSGEGFLARDVTFRNTAGAAGGQAVALRVGADLAAFYRCGVEAHQDALYAHSFRQFYRECAVAGTVDAVFGDAAAVLQGCALLARAPLPGQFVVLTAQGRGDPNEDTGIALHNCTVSAAASMPAGTRTFLGRPWGAYARAVVMDSYLGQIVDREGWAEWPGAEPGRGDTVFFGEYGNGGPGADTEGRVGWAGVRQMDYDEAAQFAVENFIYGDEWLGATSFPYDDDV >KQL12065 pep chromosome:Setaria_italica_v2.0:IV:38547210:38549247:-1 gene:SETIT_007363mg transcript:KQL12065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAAAATLTAATSSLIRRSASLRPHGLRVPRRLPPQRFVRHIASSTNEEAAAKAAAATADTEGPTIFDKIIAKEIPSSIVYEDDKVLAFRDINPQAPVHVLVIPKVRDGLTGLSKAEPRHVEILGQLLCAVKVVAEKEGLANGYRVVINNGPEGCQSVYHLHLHVLGGRQMKWPAG >KQL09053 pep chromosome:Setaria_italica_v2.0:IV:912697:914671:1 gene:SETIT_008302mg transcript:KQL09053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGKAPRICRVPRRRHSANLLVFTVCLKADTRKRFIFLTSIPHQNGTWVPLHHPLGPCSPSPSGDAAKPPSLDDLLRQDQLRVDHIHRRLSGDVGDDKGSHKPPADAEVSQVNHQPSGDFGVGSPNTNSNPQSIDLAATGGGRPRLPGVIQTLVLDTASDVPWVQCVPCPIPPCHPQTNTFYDPTKSPTYAAFSCSSSPCRQLGPYANGCVSNQCQYKFQFGCSHVEQGTFNNRTAGIMALGGGPESLVSQAASIYGNAFSYCVPPTASHKGFFRLGVPRVAATRYVVTPMLRYKQVPTFYRVLLRDIAVAGQRLNVQPVVFAAGSVLDSRTIISRLPATAYQALRAAFRNAMRILDTCYDFTGVVGTVRLPKMALVFDQNAVVELDPSGILFNDCLAFIPNRDDNMPGILGNVQQQTIEVLYDVGGGAVGFRRNAC >KQL09453 pep chromosome:Setaria_italica_v2.0:IV:3494160:3496177:-1 gene:SETIT_006484mg transcript:KQL09453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVERLTTELVVPAEETPAGAVWLSNLDLAARRGYTPTVYFYRANGRPEFFEAGAVRDSLARALVAFYPLAGRLGADAATGRVQIDCTGEGAVFVSARSADTALDDLLSEFVPCDEMRALFVPPTPAPNPPCPLLFAQLTRLRCGGVVLGLALHHSVVDARSAAHFVETWASIARGGGDAAPLPPCFDHRLLSARPAPTVLYDHPEYKPEPAPAHAVTAPSSTYASAIITLTKAQVTALKARCAGASTFRAVVALVWQCACRARSLPDDAETRLYSMIDMRARLAPPLPPGYFGNAVVRTSVSATAGEVVSNPVGYAARRALAATSQGDDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWMGMSLHDSDFGWGAPVFMGPALMYYSGFVYVMQAPGKDGAVALALSLEPESMPEFRKVFADELARLAM >KQL10992 pep chromosome:Setaria_italica_v2.0:IV:29252232:29253288:-1 gene:SETIT_008238mg transcript:KQL10992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLINKDFTELAADGSNYLTWAMDVKLVLQAKGFIGTLNEPNPQAPILEAHKKLWDSLKERYNQQQSVILLEARREWSVLHLMDFKSVAEYNYAVHKICSKLRFCNQPLDDAEMIEKTLSTFLPANRILQQQYRRYNYTKYSDLIYDLFKAEKHDELLTKNHQLRPMGVAPPPEFVKGVVNHTTRKCHTAKHLVDLYQKYAGKQVHGTSLKRTSLLNLLTPVAPKIIDDMLVDSTDDMLVDFQSNNMFGDMN >KQL10861 pep chromosome:Setaria_italica_v2.0:IV:27181326:27182788:1 gene:SETIT_008165mg transcript:KQL10861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISKLPAVPDARKMKESLTMGDTHDKRCKESGHIATTCPKSKGFDLALYNISATVAPSNLDPTASTHLQIGWVQVLNVPGPARVVEAVTLIAELAGEVVVVDEVSLIKEGPVTVKLNARNIANLRGCVEIFIEKVGYEIQFVPEGIKEKLP >KQL10381 pep chromosome:Setaria_italica_v2.0:IV:13854698:13855607:1 gene:SETIT_008911mg transcript:KQL10381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQRCGGSSCYPDALRGARQPGHQHPARGEVAQDADAVRHGRRARESRGLRRGGRRSAGELQTSTPWELWPVGEDVPVVVEKVDSEKKRGWG >KQL11273 pep chromosome:Setaria_italica_v2.0:IV:32192391:32193773:-1 gene:SETIT_008740mg transcript:KQL11273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIMYYLYVGLALVSLLIVLTKRQRCAAPARRHGLRLPPAPWQLPLIGSLHHIAGQLPHRAMRDLARRHGPVMLLRIGEVPMVVVSSREGAREVMKAHDLAFASRPLSAALRVLVDGGRDIIFAPYGEHWRQLRKICAVELLSARRVLSFRAVREDEVAAALRSCAADAAAAADARSAVVDMHARLSALVADATVRAVVGDRFERRDVFLRALDRAVELAAGFNPADLWPSSRLVGRLSGVIRRAEECHDAVFGIIDGIVEEHQERMVVGGGVGKDEDVVDVLLRLQKDAGLQFPLDLDIIKAVIFNQQVIPCAQDMVSAGSETSATTLEWAMAEFVRNPKAMARATAEVRGAFAAHGAVPEHALGELRYLHLVIRETLRLHPPAPLLLPRECREPCRVLGYDVPRGTTVIVNVWALGHDERYWPDDPEEFRPERFEAEAGG >KQL10413 pep chromosome:Setaria_italica_v2.0:IV:14257185:14258754:1 gene:SETIT_008725mg transcript:KQL10413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVMMKLALLILSITSHQVLAAHHQHTINVQSLLSSEMCSSAPAAPAAGSTLQIVRRLCLQTGNNKTVSDHYTAILHRDHHRVRSIHRHLAGSQSTITIPARLGLPFHSLEYVVTIGIGTPPQNFTVLFDTGSDLTWVQCAPCGDSCHAQEEPLFDPKNSNTYANIQCDAPECHIRGGGEPNSCGSGFTCAYTVQYGDNSQTFGNLAKETITLSPLAPPATGVVFGCSDKTTGLLRDESVAGLLGLGRGDSSILSQTRRTNDGGVVFSYCLPPRGSSAGYLVIGDDAPQPSNLTFTPLKTDNPRLSSVYAVDLSSISVNGAAVPIPASAFSEGTVIDSGTVITHMPRAAYLPLRDEFRRHMGDYTMLPEGSVGALDTCYDVTGLDVVTAPRVAFEFGGGARMDVDASGILYVIGALGEAVACLAFLPMDSVGLVIIGNMQQRAHKVVFDVAGGRVGFGPGGCS >KQL12171 pep chromosome:Setaria_italica_v2.0:IV:39253409:39257076:1 gene:SETIT_006242mg transcript:KQL12171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTLAGTNARRHGEKSKKQGRLELGNGRTRGAWHPSIRLDRPAPFLFFLRRPLIARRYDLELGPLPLPSLPFHFPARPPQLSSRHHRGPPVPISPPPNPPPPIDLSFFRSVCGAASPPWSTRVSADSRELASQPRLSPRVVPAAAADGSEEERAVNPDCPNASNRFHTCAEYCPVPPPRAAAKSPPPPGPRHAVHNGTAHRDEEECEITAADDSDEAGKSVEESPEVGGARRSARPPAKDEETGGERQWQVVNPDCPNAVNPFHRCAEYCPVPPPRAAAKHPPPAQSHDGSTHSDPGGLHPRPRRRDKGGGSGGLPLYVFLREGSDGDGKKVDPRCPNAPNPFHVCTDHCLAKMAEAGRSSEGAKSPLSLFSRHSRRSSSSSEEGSVKSAGSRKVDPKCPNAGNPFHECGEHCGAKMQQVEQHKGTKMQSPRKKGGKDVTVIPNWKVDPRCPNASNPFHMCAQYCFDHLIETTQTSATKSDKKKGKAVSKEVEREINPDCANASNPYHKCGEHCKRKG >KQL12095 pep chromosome:Setaria_italica_v2.0:IV:38737961:38739515:-1 gene:SETIT_006644mg transcript:KQL12095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLLPLIRRMNRWWILPSCGDIRPSRPASSRRRDAETPRSPPKLRKTVSEGMLAVPKDVEEFRTMSAYGFLKLFTYDDLRLATGDFDPGRIVGEGGFGVVYRGFIHGAVGKEVAVKELNPEGLQGDREWLTEVSYLGQYSHPNLVELIGYCCEDDHRLLVYEFMAKGSLENHLFRRACTLSWTTRVAIALDVARGLAFLHGAERPIIYRDFKTSNILLDAEFNAKLSDFGLAKEGPMGGKTHVSTRVMGTYGYAAPEYMATGHLTVMSDVYGFGVVLLEMLVGRRAVEPSRAGAREGSLVDWARPILIRPKKLERILDRRMGGPAPRGLDRVARLAYDCLSQNPKVRPAMARVVITLEAVLAAGANDDDDDEEGTAAADAPPP >KQL10423 pep chromosome:Setaria_italica_v2.0:IV:14532745:14536555:-1 gene:SETIT_006851mg transcript:KQL10423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAAAAAGDEEQTVTITHRTLEVSTGVRLHVAEAGPAGAPTVLLLHGFPELWYTWRHQMRALAAAGYRAVAPDLRGYGGSDAPAVEEPGQYTAMHVVGDLVALLDALGERKVFVAAHDWGAVTAWSLCLFRPDRVRALVALSVAYTPRSAARRPVDGLRAIYGDEYYICRIQEPGAIEAEFKRLGTELVLRKFFSYRTPGPLIIPKTGWGSPDDQVLLPSWVTEEDLNYYTSEFERTGFTGGLNYYRALNRTWELTSSWTASEINVPVKFIIGDLDLTYHTPGIQDFIHKGGFKKFVPLLDDVVVMKDVGHFINEEKPKEVSEHIISFIKKFN >KQL09799 pep chromosome:Setaria_italica_v2.0:IV:6177739:6184350:-1 gene:SETIT_005954mg transcript:KQL09799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQEASGAAEKAIAPYGSWASPITAAAVSAAGRTVEGLAVAGDGRLVWVEKRPEQGGASVLVREAAEPRRQALDVTPQGFAVRTLAQEYGGGSGAFAVQGDTVVFSNYTDQRLYRQTMGDSSPLPLTPDYAGSEVRYADGVFDPHFHRYVTIMEDHRDSSSDPVTITTIAAVKISDRDVNEPTILVSGNDFYAFPRIDPSEKRMAWIEWSNPNMSWDKSQLWVGYFSEKGEVHNRICIAGGDPALVESPTEPKWSAKGELFFITDRQSGFWNIYKWDEQSNVVIQLYALDAEFSKPMWVFGASSYAFLGKDDSSLKIICCYRQNGRSYVGVLDPGSGSFSTLDIPFSSVTNIVSGDECFYIEGASANLPESIAKVTLDEKRTVTADFSLVWSSSEDVTKHKSYFSVPEFIKFPTVIPGQHAYAYFYAPYNHSFQGSSDEKPPLLVRTHGGPTEEARGVLDLNVQYWTSRGWALVDVNYGGSSGYGREYRERLLGQWGVVDVNDCCSCATFLVETGRVDGKRLCITGESAGGFTTLACLAFRQTFKAGCSLYGASFHCHFSPIWLQLNPTILIHVGLCCVYTQIADLTSLRASSHKFEACYTDNLVGNKQAYFERAPINFVDKFTCPLILFHGLEDTVVQPDQTTKIYRAIKDKGLPVALVEYEGEPHGFRKAENIKFTLEQEMMFFARLVGKFKVADDITPIKIENFD >KQL11589 pep chromosome:Setaria_italica_v2.0:IV:35086354:35089227:-1 gene:SETIT_007026mg transcript:KQL11589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLDLSVKDKSAKPPRRHSIQTKPGASPRPTPSGTVTPLSGIRSKRSDSQGRFDTPTSEVSMSTARRKFSTLSSISYWMTQIRLAEAASKHSVSLGFFKLALESECEPLDRMREELKSYVARHGLATELEDPVKDILQVYDIVEDFEKLKISAEPSQQPKKSDKAARTTTNVSPNGNLKPRSLNSEATESKEAGKKENIQKVKPDARVRGSYNRNPARNTTAKEVVAKTAGKKTKKQAKGQQEVSNGDSESLAVSPDQDTADVVMEITQEDKENMGDAEMPRDAGLPQEA >KQL10310 pep chromosome:Setaria_italica_v2.0:IV:12731810:12732627:1 gene:SETIT_008883mg transcript:KQL10310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPIICLLVLVSAGGWMRTAEIPCVLPAGVLGVPSEAGPWMILLLQSFSCDGDGEGKSFWSFVFSFVQAEGGLDSPFMGLFFGLLMSSAAARRLRWCCRFFPLPRS >KQL10309 pep chromosome:Setaria_italica_v2.0:IV:12731806:12732344:1 gene:SETIT_008883mg transcript:KQL10309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEPIICLLVLVSAGGWMRTAEIPCVLPAGVLGVPSEAGPWMILLLQSFSCDGDGEAARRLRWCCRFFPLPRS >KQL09712 pep chromosome:Setaria_italica_v2.0:IV:5418387:5421095:-1 gene:SETIT_005956mg transcript:KQL09712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDAAAAAGSPILDLPEPLLLHILGFLTDPRSRHRAALACHRLLSAERATRAALSLRGDPRSPTFLLLGPAFCFPALERLDLSLVSPWGHPFLSEAAPAADGPAPTSTEEEVAQQNAFIAEHLANCFPAVSSLAVYCRDPTTLDSITPQWRGRLRSVKLVRWHQRPPGLDAGADLEPLLRDCPALRALDLSEFYCWTEDIGPALAAHPAAAAALTELDLGLAGATDGFHAAELGAIAGSCPSLRKLVAPCVFNPRYVDFVGDEALLAIATRCSKLTVLRLREPFEPASTSQGEDVAITVAGLVSFFAALPALEDFTLDLRHNVLETAPAMEALARRCPRIKFLTLGGFQGLCKASWLHLDGVAVCGSLESLCIKRCLDLTDASLAAIGRGCGRLAKFAIHGCDLVTSAGIRRLAMALRPTIKEVSILHCRLLDTATCLTALSPIRDRIERLELNCVWREVEQPESVANGTTGCNHEDDDLGEVSYESASKKCRYMELDDLVSWEMLRSLSLWFPAGELLSPLISAGLDSCPVLEEISIKVEGDCRTCARPGPRVFFGLSDLAGFPVLAKMKLDLSEAVGYALTAPAGQMDLSLWERFYLQGIDSLITLYELDYWPPQDKEVNQRSLTLPAVGLLQRCIGLRKLFVHGTTHAHFLSFFLMMPNLRDMQLREDYYPAPENDMMITEMRAESWLRFEMQLNSRLVED >KQL09487 pep chromosome:Setaria_italica_v2.0:IV:3777408:3783200:-1 gene:SETIT_006257mg transcript:KQL09487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKFFRGSTHNISEGQYHSRPAEETSWNEPSSSPVVTDLLSEFNNEDIDRAIALSLLEEEQRKAKTIDKDTHLEDDELLARAIQESLNVESPPCRNGSASGGNTYHPPREPGAANGGSTYQPPRENGTANGGNAYQPLPFMFSSGFRACAGCHREIGHGRFLSCMGAVWHPECFRCHACSQPIYDYEFSMSGNHPYHKTCYKEQFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLRGYRTLSPDVEEGICQVLAHMWIESEIMAGSGSSAASSSSGSSTSASSKKGGRSQFERKLGDFFKHQIESDTSTAYGDGFRAGNRAVMQYGLKRTLEHIRLTGTFPF >KQL09486 pep chromosome:Setaria_italica_v2.0:IV:3777780:3783200:-1 gene:SETIT_006257mg transcript:KQL09486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLTKFFRGSTHNISEGQYHSRPAEETSWNEPSSSPVVTDLLSEFNNEDIDRAIALSLLEEEQRKAKTIDKDTHLEDDELLARAIQESLNVESPPCRNGSASGGNTYHPPREPGAANGGSTYQPPRENGTANGGNAYQPLPFMFSSGFRACAGCHREIGHGRFLSCMGAVWHPECFRCHACSQPIYDYEFSMSGNHPYHKTCYKEQFHPKCDVCKQFIPTNMNGLIEYRAHPFWLQKYCPSHEVDGTPRCCSCERMEPRESRYVLLDDGRKLCLECLDSAVMDTSECQPLYLEIQEFYEGLNMKVEQQVPLLLVERQALNEAMEGEKAGHHHLPETRGLCLSEEQTVSTILRRPRMAGNKIMEMITEPYRLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLRGYRTLSPDVEEGICQVLAHMWIESEIMAGSGSSAASSSSGSSTSASSKKGGRSQFERKLGDFFKHQIESDTSTAYGDGFRAGNRAVMQYGLKRTLEHIRLTGTFPF >KQL11452 pep chromosome:Setaria_italica_v2.0:IV:33851273:33851719:-1 gene:SETIT_009126mg transcript:KQL11452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQIFKNDRVRSDGHSRNKIGHPFCYVGEKFTSSNFRST >KQL09770 pep chromosome:Setaria_italica_v2.0:IV:5858248:5858679:-1 gene:SETIT_009074mg transcript:KQL09770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSDTVQPEARRAVEEDVVTGKWKLNETTCLA >KQL09036 pep chromosome:Setaria_italica_v2.0:IV:776674:777116:1 gene:SETIT_009072mg transcript:KQL09036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWMKVRAGERRRRAFKILNPVRPLLPAQHCLCHNFNI >KQL11119 pep chromosome:Setaria_italica_v2.0:IV:30906363:30908556:-1 gene:SETIT_006474mg transcript:KQL11119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMPLCCLSTLLLLGLASGQVLFQAFNWESWKQSGGWYNLLMGKVDDIAAAGVTHVWLPPPAHSVSAQGYMPGRLYDLDASKYGTAAELRSLIAAFHGKGVQAVADIVINHRCADYKDSRGIYCVFEGGTNDSRLDWGPHMICRDDTQYSDGTGNLDTGASFAAAPDIDHLNDRVQRELAEWLLWLRSDLGFDAWRLDFAKGYSAEVARAYIDATAPSFAVAEIWSDMAYGGDGKPEYDQDPHRQALVDWVDKVGGAASPATVFDFTTKGILNAAVEGELWRLIDPRGKAPGVIGWWPAKAVTFVDNHDTGSTQAVWPFPSDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIAALMAVRKRNGITATSELTILVYDGDAYVAEIDGKVIMKIGSRYDVSAFIPAGYQVVAHGNDYAVWEKGAKEEVAQA >KQL09694 pep chromosome:Setaria_italica_v2.0:IV:5312073:5313040:1 gene:SETIT_007174mg transcript:KQL09694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPSIVLFGDSITEEAFGEGGWGASMANHYSRSADVVLRGYSGYNTRWAARVAARAVATVAGAVAAVTVCFGANDAALPDRASAPQHVPVDEYRDNLRAICAMLQRRWPGVTVILITPPPIDEDGRRRYPYAHDYSGLPERTNAAAGVYARACVEVARQCGIRAIDIWSRMQKFTGWEKCFLRDGLHLTPRGNRVLFEEVVFALKDANLSLEALPADLPLFGDMDPDDPAKSFEDDDEWAEY >KQL09185 pep chromosome:Setaria_italica_v2.0:IV:1736803:1739081:1 gene:SETIT_007133mg transcript:KQL09185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPPPPPPPPPENNKKLKDVEISFPIVYGTISFWLGKKASEYNSHKWTVYVRSANNEDLSVIVKRVVFQLHPSFQNPTRVVEQPPFELSESGWGEFEIAITLYFHSDVCEKRLDLFHQLKLYPEEEAGPQSTKKPVIVETYDEIVFPEPTEAFFQRVQNHPAANVPRLPPGITLPPSGSMEIVPYEKKRGDTKDHALSQWFSNFSEADELLKLAAARQQVQAHIAKLRRQLTMMEGMPQQSKVLSGPGQQFGHI >KQL09989 pep chromosome:Setaria_italica_v2.0:IV:7994284:7995382:-1 gene:SETIT_008642mg transcript:KQL09989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLRNILSLLRAPSPFAAAPHPRFLGLHRPLSNNAAAPFAVDDYLVATCGLSRAQALKASKSLSHLSSPSKPDAVLAFLSARGLSRADIAADPRLLCASVEKNLSKRVAELGDLGLSRSQIARLILICRHAIRSAAIQRNIAFWLPILGSFDKLLQVVKMNSGILTVNPEKASKPNLALLQRCGINVSDLPTFMFRVLTRPHKIDNLAKKFRILERYGWSQEDVLRAVRKMPGIVTMSDDRLRRNVEFLTRDVELETSYITQRPVLIKYSLERRLLPRHCLLKVLKEKGLLDAGLSFYFAASLTEKKFHKRFIGPYKERVPGLAAIYASSCAKK >KQL09308 pep chromosome:Setaria_italica_v2.0:IV:2493656:2494254:-1 gene:SETIT_007391mg transcript:KQL09308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCERWSERGGVGGGRPQSTGGSSPFRFPPARSEQQPTEVEKRGARARAASPEPAAAGPEPTALDPGGKGATASRAEVVGDGATTPSVAVDGEVATSPTAAADAISGIGFPPVVFLFEVDRRVPCMAKLGGGDRTGDLRIHAERSSVAEIEEEVMGPCAVEFGN >KQL09309 pep chromosome:Setaria_italica_v2.0:IV:2490483:2494266:-1 gene:SETIT_007391mg transcript:KQL09309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVCERWSERGGVGGGRPQSTGGSSPFRFPPARSEQQPTEVEKRGARARAASPEPAAAGPEPTALDPGGKGATASRAEVVGDGATTPSVAVDGEVATSPTAAADAISGIGFPPVVFLFEVDRRVPCMAKLGGGDRTGDLRIHAERSSVAEIEEEVMGPCAVEFGN >KQL09245 pep chromosome:Setaria_italica_v2.0:IV:2094871:2096279:-1 gene:SETIT_0068982mg transcript:KQL09245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADVIAPAVTGTLNVLKACYEAKVKRVVLVSSVAAVFNNPKWPKGKAFDEDSWSDEDYCRKNEEWYLLSKILSEREASAYAAKTGLDMVTICPSLVIGPLMQSTLNTSVKIFLDYIKGDHETIENRLRNLVDVRDVADALLLAYENSKASGRYICSSTPIRVRDIMDILRTMHPTSRWPKR >KQL09244 pep chromosome:Setaria_italica_v2.0:IV:2094109:2096279:-1 gene:SETIT_0068982mg transcript:KQL09244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADVIAPAVTGTLNVLKACYEAKVKRVVLVSSVAAVFNNPKWPKGKAFDEDSWSDEDYCRKNEEWYLLSKILSEREASAYAAKTGLDMVTICPSLVIGPLMQSTLNTSVKIFLDYIKGDHETIENRLRNLVDVRDVADALLLAYENSKASGRYICSSTPIRVRDIMDILRTMHPTSRWPKSFVEVQDDFTYDTDKIQKLGCAFRPMEETIRDSIICYMDLGILK >KQL09073 pep chromosome:Setaria_italica_v2.0:IV:1056459:1057862:1 gene:SETIT_007887mg transcript:KQL09073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIGSFGDWWWNLPSLRRRRNNDDHRGRRRGGPPREPLSSSSSESIGQSSGWPVEFPFRQAVTAACLTFTGDTIAQVRDRIVDRRRGRSADSKELIPDMLLNHDWLRALRMASYGFLLYGPGSDAWYQFLDRCMPKQTFVNLSAKVILNQIVLVPCVIVMVFAWNYLWSGKLSELSSKYQNDAIPTLLYGVRFWTPVSVVNFGMIPLHARVTFMSTCSIFWNFYLSNTMNK >KQL09772 pep chromosome:Setaria_italica_v2.0:IV:5902144:5902836:-1 gene:SETIT_007653mg transcript:KQL09772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLGEPNRLNSRKHRSSMNEEINARKRPVLQCALIPPLKGKFNPASGTRTQGIYSPRSKHSVSRLLTKSEPSFDAKLRLLRRSAHHG >KQL10740 pep chromosome:Setaria_italica_v2.0:IV:24571493:24573760:1 gene:SETIT_006782mg transcript:KQL10740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFVTTSFDIWRVAFFEMLIVFFDTSFSGYGMHGGPSPPRPRRPEGSKFDDAGPRYTHGYQGGGRGGVRFREGSPPYGRGGRSYGRGYGAPPGKDFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKFRYSAREAYEPRRSPPRGYPSPPPRGPPRMVGPPGDRAPPREMARYRSPPHGWGAGESRGYAARSPPERPVRFTDASPKERMGFRGERDLRDRAKFEWSATDDYGRRERPHDGYIDRSRRRSGSPRANWGNDLRDRSRSPPRNRLMKSSFTGRGRPDNYAADPYASRGRPNSMEAGHGRGHGYRPGGGPYPGEGRGDRRPAPRGRNEDNY >KQL10738 pep chromosome:Setaria_italica_v2.0:IV:24571325:24573760:1 gene:SETIT_006782mg transcript:KQL10738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGPSPPRPRRPEGSKFDDAGPRYTHGYQGGGRGGVRFREGSPPYGRGGRSYGRGYGAPPGKDFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKFRYSAREAYEPRRSPPRGYPSPPPRGPPRMVGPPGDRAPPREMARYRSPPHGWGAGESRGYAARSPPERPVRFTDASPKERMGFRGERDLRDRAKFEWSATDDYGRRERPHDGYIDRSRRRSGSPRANWGNDLRDRSRSPPRNRLMKSSFTGRGRPDNYAADPYASRGRPNSMEAGHGRGHGYRPGGGPYPGEGRGDRRPAPRGRNEDNY >KQL10739 pep chromosome:Setaria_italica_v2.0:IV:24568286:24573760:1 gene:SETIT_006782mg transcript:KQL10739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGPSPPRPRRPEGSKFDDAGPRYTHGYQGGGRGGVRFREGSPPYGRGGRSYGRGYGAPPGKDFINIDGEYVHRNDPNLSPREGDWICQNPNCGNLNFARRTHCNNCNKFRYSAREAYEPRRSPPRGYPSPPPRGPPRMVGPPGDRAPPREMARYRSPPHGWGAGESRGYAARSPPERPVRFTDASPKERMGFRGERDLRDRAKFEWSATDDYGRRERPHDGYIDRSRRRSGSPRANWGNDLRDRSRSPPRNRLMKSSFTGRGRPDNYAADPYASRGRPNSMEAGHGRGHGYRPGGGPYPGEGRGDRRPAPRGRNEDNY >KQL10852 pep chromosome:Setaria_italica_v2.0:IV:27005692:27008341:1 gene:SETIT_007923mg transcript:KQL10852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVAENPHHLGAGGYAAKIELIYERLVQLAEVQKNGLFRPDREKDHLTTAIRTTEHSGRGKSFPNDQASYRKHDRCKKNLEEKMREIAKQEFLEFLANHAMSQTMADLTLSDGQREAKPTMLLAQIRFVAPSSAGSTANMRYPVDDIQVDTPLYLMEGNKISFQEVATGMAVIGHVFPKAPLPGCKLLRCWMSQITVHVPTKAFLGVLPYHIVIDFEDLHRLYCRQCLDVNLTFRMVLYLSDLFCRMQWRKEELTHGRFKVAYLNPARISELEHKLKMMEMIKAQIKAVEAQAEKDTIKKAHREEMHKMSVYIAKVMKKKTDNDYIIGPYGFEDHYWICITILPKLGEALVFESASYHKYRYKDFIGIIQNAYRLYIIKGGCHKQPPGSVVCGYYACEFIRNNGRYRTNPEDIEDKQIDNICTDMARFILREICHENGAFFDKNGVLMTDECTNLCRWA >KQL10667 pep chromosome:Setaria_italica_v2.0:IV:22830444:22831564:1 gene:SETIT_008142mg transcript:KQL10667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNSFLCTGSNNEGQNGLKGLSEMVADLVIPISVGCHFGVMILGLAFFKDSVFQRYNLREPVRQFQLTEEDVLHAVQAVLPVFPLLLFGAKFGHLLTIQRLLATGMIELETRVKFSNEFFCCFTLPKLYSCYSSQILNAWRSCPRKKLVMTVAVTTFWNLISLKTHILAGMMFIVCLAETTSSY >KQL11638 pep chromosome:Setaria_italica_v2.0:IV:35517501:35519954:-1 gene:SETIT_008266mg transcript:KQL11638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACSASILLLTLIHLLSSISARDFLSAGSSLSVEQSSDVLHSRDGTFACGFYNISPNASTFSIWFSRSSERTIIWSANPLHPVYSWGSKVKLDVDGSMVLKDYNGQIVWSNNVSASDAGHIQAQLLGNGNLIVKGKGSAILWQSFDSPTDTLLPTQSISAPTKLVSTNRLLVPGHYSFHFDDQYLLSLFDDEKDISFIYWPNPSRNIWEKLRVPFNSTTSGALDTWGHFLGSDNSTFTAADWGPGIMRRLTLDYDGNLRLYSLNKADGTWSVTWMAFPQLCKVRGLCGENGICVYTPAPACVCAPGFVVIDPSDRSKGCRPKTNISCDAQKVKFAKLPHTDFLGYDMTTHHFVSLDFCMNKCLHDCNCKGFAYWEGTGDCYPKSIMLGGVTLHNLGSTGTMYIKIPKGLEVLDASIPQSQPFAPKYGPDCSTPNKYFVADFLGMLKRDQSELKYLYFYGFLSAIFLAELMFVVFGWFILRRERRELRGVWPAEAGYEMITNHFRRYTYRELVSATRKFKDEIGRGSSGIVYKGVLKDNRAVAVKKLAEINQGEEEFQHELSVISRIYHMNLVRVWGFCSDGPHRILVTEYFENGSLDKVLFGTEGSEILLGWKQRFNIALGVARGLAYLHHECSEWVIHCDVKPENILLDENLVPKIADFGLAKLQSRGGSNINVSKIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGVRASDMENNEDEEVEMVLGRISRMAKEKLKLDGSGSEQSWIADFIDARLNGQFNNIQARTMMRLAVSCMEEDRDRRPTMENVAQMLALVDDVSSTNVMAGPA >KQL09483 pep chromosome:Setaria_italica_v2.0:IV:3739819:3741318:1 gene:SETIT_006290mg transcript:KQL09483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRVTIEDAQFGADDGGFDNSSSGGSSPASYRSGSSESDGSSSNNADEFCPDPYPSGSASPSSTSYAVRDGVDRTSLLSVSESSATVLDDIDRHQQRMLTLLPAFSTPAGAGARADALSRWLAGFDVGWVLDMDSGRGGESLPRREVGRRVRAWAQALSTMERVFRLRHRELTVKQVEALGELAAASAGAMLKLAAAVAALGSSPSKLLAALDVYVPVSEAFPVLGRMFSWGPSHPVLAAAEDTLAALVDAARNCGRDLRTFIRSHYPWRMPQGGEVHPCVGFWMGYFRCMLRNRISLCFVLGSSDDDDGDFEGAPPLAPGAGEGGFGLVTDLISCLETVLEEKSAALAFPGLRQVFMLNNTFAILRRAVRSDLKLFLPPGWIRAREERMEGYIKGYMATSWAPVVSRLDDAAGGAKPLRRRTTNRLSAFYTALENACYAQRCWKVPNPVLRGILRKTVSASVVPAYRRYLEDHPEVEMAVGRTAEELEQRLSDLFEG >KQL12246 pep chromosome:Setaria_italica_v2.0:IV:39635777:39638611:-1 gene:SETIT_007107mg transcript:KQL12246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDLDFSNPDTFLCPAIGNDPPSSCSMDSYFDDILKDTEHHACTHTHTCNPPVHDHSHTHTCVHVHTKIVAASPDAAETAESPSENNASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHAALEAEVARLRCLLVDIRGRIEGEIGAFPYQRPVKNIDLVSSVDQGSFLGGAQITNSCDFRCNDQMYCNPGMQGAMNAQVLGQGACDIANIQCMGSPKSGSTKLPVCGGMDAVPAGCLPNVEKK >KQL11410 pep chromosome:Setaria_italica_v2.0:IV:33391996:33393750:1 gene:SETIT_008658mg transcript:KQL11410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSNGALLAFRILLCCALAFTVTVLLPLALRTCAYSLSKTILAATGLDPQLISCAGDPATKAPLSGYGGDAGNKAGSGGRPIVTDLRWCGEPSLPPHALSPFHCCPPAPVSEPAVINFTFPDPAAPLRTRRPAHDAGAAGDMAKLARAVALMKALPASDPRSFYQQANIHCAYCAGAHRQAGRPELPLQIHASWLFFPFHRAYLHFFERIAARLLGDPGFAVPFWSWDVPEGMRVPQEFADEVSPLHDPSRNPRHAPPRVVDLDFSYAEKNCTDEQQIQLNLRIMYKQMVTNAPLPSLFHGQPYRAGDRGMPGAGTVELWLHNIVHRWTGDLSRPNHEDMGAYYSSARDPIFYPHHANSDRLWEVWRRDDAGAGGDRPRHADFTEPDWLDSSFLFYDEEARLVRVTVRDMLDIGKLRYTYAEVGTPWLGARPPVNPDLSRRSRQHLKPVRFPVSLDAAVSAAVTRTRPGNTRSRRHEVEVLVVEGIEAHGGDFVRFDVYVNAVEHEKVSPGAREMAGSFVSLKQPRMEAAVGEVASVQTSMRVALDELLEDLGADGDDSVTVTLVPVAGRVRIGGLRIVYMVE >KQL09977 pep chromosome:Setaria_italica_v2.0:IV:7860859:7862055:-1 gene:SETIT_008834mg transcript:KQL09977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLRDRILPLLRAASTIHPRPCLLLSTSTSTSPAPFSLELEDYLVAACGLTPAQARKASQQAFDEAAKRSRKPIEEFSYPRLNSASKNPDAILALLSGVGLSRADIAAVVAADPLLLHSSVKTVGPRLLALRDRLGLSPPQIVRFLLVGSDALCHDVIPKLQFLISFYGSFEQVLVVVKRSNSLLRVGLESVIKPNIALFRQIGVQDIVQLCSNTPRLLTFNLERLKDCLLRAEELGVPRTSRMFKYAVSLVAGNSKEKVAAKLEFFKRTLGCSEAEVSVAMSKVPTILGISDENLTRKIEFLVNEVGMEPQYILERPILLGYSLKKRLLPRHRVVKALQAKGLLNSNMNLFSLAVIGEEAFRLKFVDCHKDSVPGLAGYYATACDDDVPPEVQLLS >KQL11767 pep chromosome:Setaria_italica_v2.0:IV:36659657:36666643:1 gene:SETIT_0057381mg transcript:KQL11767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAPPPAGGKAQKSYFDVLGICCPSEVPLVERLLEPLPGVRKVTVIVPSRTVIVLHDADATSPAHIVKVLNQAKLDASVRAYGSGTEKITNKWPSPYVLLCGVCLLVSLFEHFWRPLKWFALGAVAAGILPILMRSFAAARRLTLDVNILMLIAVSGAIALKDYSEAGFIVFLFTTAEWLETRASHKATAGMSSLMSMAPQKAVLAETGQVVAAQDVKVNTIIAVKAGEIIPIDGIVVDGRSEVDESTLTGESFPVAKQPESQVWAGTLNIDGYIAVRTTAMADNSAVAKMARLVEEAQNSRSNTQRLIDTCAKYYTPAVVVMAAAVAVIPVVIRAHNLKHMFQLALVLLVSACPCALVLSTPIATFCALLTAARTGLLIKGGDVLESLAKIKIAAFDKTGTITRGEFCVEEFKVVGGRVSIQQLLYWVSSIESRSSHPMASVLVDYAQSKSVEPKSDTVTEFQIYPGEGIYGEIDGEGVYIGNKRILSRASCETVPDIEDMKGVTVGYVACKRELIGVFTLSDSCRTGSAEAIKELRSLGIKSVMLTGDSAAAAAYAQEQLGNILDEVRSELLPEDKVRIVDELKAKHGPTLMIGDGMNDAPALAKADVGVSMGVSGSAVAMETSHITLMSNDIRRIPKAVQLARRTHRTIIVNIIFSVITKLAIVGLAIGGHPLIWAAVLADVGTCLLVIMYSMLLLRSKSDRKAKKCCASSQHGSHAKKHCVSGHCSDGPCKSTGSCKESSSGKHGCHDHGHSHSHCIEPSNQQPTEKHACHDHDHSHSHCKEPSNQVVTEKHACHDHGYTHNHRKEPGNQVLTENHGCHDHGHTHDHCKELSSSHIINKHDCHDNEHSHCKEAHASQHSDSNSTCHEHEHSHCEEHNHSHSAGEHEHSHCEEHKHSHSTVEHACHDHDHEHEHHCHAEQPLVHIADTHHYHDHEHGHDHGEIEESETDCHAEVQHHHSHCCHEPHVQEKKIAAEPVQEVSISISSLPDENHEQHNQCSHRSDEHKVADCTNHLKAKDCVPPPADLVSRNCCSVTSSNKGCGSKGKDICSSWQAVCARETSRCCRSYVKCPRTSSCCSHPMLKLPEIVVE >KQL11155 pep chromosome:Setaria_italica_v2.0:IV:31221968:31224240:-1 gene:SETIT_008268mg transcript:KQL11155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACRRAAVSCNLVGPPVGFLRAAAALPSVLLAFASARPPPAKRLTENASPTFDLDFFFHHDSTPAARVASLLVDTWAAEPNTALHLACNLLGVRGMGKYDRTGFYATALLMHECHPAPLALNAPSIIEFGYLKVLLEILHRISHGGGVSTNTTRKKVEARAPAPRRGYSAWTRRSAPPPLRSSNGRSRGGGARRPPQERSNGTTVIQTTGSCTTARRTYSPSSSLGTCESSPTASSMRSPSPDTGKWCPSLNCCYDRYMLLSEAIACRLFPKGLAPDLPEDMADEHYVYEVRNCLRKVLASLRPPPPPLPNIFITAQVWGVVVYPRVVSKAMRKYKDLFFKHDTKRFKLYLADVEIGKAKIAAGALLLHEILQWERMVNDLRALSKLSNCIAIYDVLGNMSGVLLDVCIALSLLISKLSDEPWHHRLITFSAWPEIHQITGKTLWEKTIFIRQMHWLMNTDFQVVFDKLLSIAVADNLPPEQMSSSRPWETDNEAITRKFTKAGYGVVVLEIVLWNLRGTMSVPGPRVRRGSYAPSCPWGQSASFPLHPPAPGNIGGGERPTGAKRWCGRGGRRAQASGRRPAATARAHRRRGRGKGAGDSDTGLEGDGASVTAAATRAWRMATRGWRRRDTREEGHG >KQL11824 pep chromosome:Setaria_italica_v2.0:IV:37043524:37044794:1 gene:SETIT_008457mg transcript:KQL11824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQQLSPDHYADVCPNLESIVRAAVRMSVAHSPVAAPATLRLFFHDCAVRGCDASIMLINPDGDDEWRSLDGMTLKLEGFNTVMSAKAAVDSDPRCRNMVSCADILALAARDSVFLSGGPDYLVELGRYDGRVSTQGSVLIPHGNFNLDQLNAFFSGLGLSQADMIALSGAHTIGAASCGVFGYRLGTDAAMDPAFAEQLRGSCPGAGGFAFLDAATPLRFDNEYYRNLRGGRGLLASDQALYADPRSRGAVDRYAADQGAFFGDFAASMTRLGRVGVRTADDGEIRRDCRFPN >KQL11898 pep chromosome:Setaria_italica_v2.0:IV:37452478:37453162:-1 gene:SETIT_008959mg transcript:KQL11898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQGGKAKPLKAPKVDKKEYDEVCCCALSSSSIPLRAFGWLPD >KQL11863 pep chromosome:Setaria_italica_v2.0:IV:37244271:37244552:-1 gene:SETIT_008144mg transcript:KQL11863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VCRVALLVALLLLSSCPAAWCTELMMMGGADAGASRRPAARRLLVSRPSTSRQKAEQAQQQMRVDGRKTPFKQPAASFGRRIPRSGWNPIQNR >KQL11946 pep chromosome:Setaria_italica_v2.0:IV:37802477:37803247:1 gene:SETIT_007693mg transcript:KQL11946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHQRCKSGHYFITNLHRDLQELRFFHINQKSKKRKKKKGKYRLSKNLLSSGLQIVLPHCNFRRTKVFLHHHRRTVYPS >KQL11826 pep chromosome:Setaria_italica_v2.0:IV:37047672:37049054:1 gene:SETIT_007346mg transcript:KQL11826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPASLQCFLTGRPVCSATLPKLARRPSRISCKAAGDEKVPLGGDGLGVKLGKLAMVTLAAGVLALGPVDGAMAAKSGGRVGGQAFRSAPRSSGPRINNSRTNIYVNPPVAPPLGGYGYGGYGSPFFGGYGWSPFTFFAPGPSVAVGVGGGFDTLVLFLVLGAVVGAIRRFLNRNNDDYDDY >KQL09264 pep chromosome:Setaria_italica_v2.0:IV:2193668:2195227:1 gene:SETIT_007949mg transcript:KQL09264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRVRALKITHVHPARTGDPSPPCHGEHKLSFLDLVQITKTPIQRLFFYDGPDLPPFPTMVASLQASLATTLAAFLPLAGKLAFRPASGDVVIDCSRAAVSSGVKFVEAEFSGGADEMRSLARADEHDTEAFAQLVPEIEAAQLPAPLLAVQATRPAGEDGHGRAVVVGVSMLHAVADGHAVWQFMKAWSTAAREGSLAAAGLPPPTFDRAGVRHPKADELAATLRPRSSPSALDVTQQSRRTFVLSAGEIQSLKQHIHQGIRTNTTGVEPSKLPSTYVAISSLAWTSIVRAKPAVRDADDAYFMVSADCRRRLRPPLGDGFFGNAILAIFARASAGDLRGEGGAGLARAAVAIQDAVREHLEEPEEPLLGIERCMAVYLAIPPGALTAMGSSHRFMAYATDFGWGAPSRVELASVFGGELVTLLDSRAGGVQVSVALDRAVMEAFTANFVVPASGSGSDE >KQL08983 pep chromosome:Setaria_italica_v2.0:IV:545220:547360:1 gene:SETIT_006002mg transcript:KQL08983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGIWSAVHWWDEWQLRILVLGSLGVQWFLLFAAPMRKYTVPRWFRTFIWLAYIGSDALAIYALATLFNRHARGSSSNGGSRTLEVLWAPVLLIHLGGQEEITAYNIEDNELWTRHTVTVVSQVAVAVYAFCRSWRRSGDRRLLASAVLLFVIGVLSFSEKPWAQRRACINRLAAVSSRVHGRGRRRINKLEEFIKRCWRRIPMTRGEQDQVLSEGDKVHMMLSDMSLLAASSGLIKYQQQMTKRRRLGGERAADPPGDDDQVLRPLSPSAEMGLKPWLRRAFGLIYTRVNVATTPTYLAYHMLLVPSLHVAAITLFATSDKRRYPGTDVRITYTVLAVTAALDVLAETIRQLLYKLMSAAGVPALCETLPQYNVLGSARRRTTPVTGWLVRCAARLGLEECFVICRRDESLYGRVAGFVIADLVRARRAKGLDLSSYRAFTAANWALSVDLQQRCGHMIRRCLRESFDESVLLWHVATDLCFRRSPPPEAGSDAGKREECTRAVSDYMAHLLNSQPEMLMTGSRRHLLAEAVEDVETILKASSKNLDEATLLEIIQKKGKSEPPAYPLIHDACKLSDELMELQEDGTGWEVMYRVWLGMLCYSASMCRGYLHARSLGEGGEFLSFVWLVLSLTGAKTLADKLQMPEPDDQESAAGEEMTAKPLIGELESLVD >KQL11329 pep chromosome:Setaria_italica_v2.0:IV:32628537:32632475:1 gene:SETIT_005940mg transcript:KQL11329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEQIVAVLQIGGEFTTDADGHMSYSGGEAHAMFVQSDWTFSGFKQEISSTLNNLKLDQFAFKYFLPKNDKTLISISNDKDLRRMVEFHAESETTYIYVMKKADNRSKNAVADSGTPTDAFAIVPTTQDGSKRQKVCASWKNVITGVGQVFEGPKDFRDALHKYAIAHRFHYRFIKNDSSRVTAECTGEDCPWRIHASKSPAKKEFMIKKISESHTCESETVKSHRLASQRWVASVIKEKLRDSPNYRPRDIANDLQREYGLCLNYSQAWRGRSIAQKELYSSHEEACSQLPWFCERIVETNPGSVATVVALEDSKFRFFVAFHASLHGFQHGCRPLLFLDAITAKPNKHWKLLAATSVDGEGDVFPVAFGVVDDESRENWHWFLQQLKSSLSTSRAITFISNGEHGLWDEVSSVFPDSHHGYCVESLIEEFKKQLDDAWTEELKDAMVEHLKKAIYACTTDELNQYIELIKNASDKLADWLLEINPERWSDARFKGVRHGQYSCNIFGTVSEWIPTRYELSVVQLVDTIRCKLMEMMYTRRESSNAWTEVLTPSANQKLQEEVSKAHTLNVLPAENDENGSNIFKVCDDSVNVVNLAIWECTCQRWRISGLPCMHAIAVIERTGQYAYDYCAKYFTTGWYRLTYSLSINPIPDVIVPVTLIDPAQSPATHPCPVRTRRRVGRPKEKPADPRIAIKRAVRCSRCKGYGHNKATCKVPIST >KQL11727 pep chromosome:Setaria_italica_v2.0:IV:36368641:36376730:-1 gene:SETIT_006083mg transcript:KQL11727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRRSSRPGSSLPLPLLVLCALLLAAAVAFSPAAAAEAAAGGGGGAGHEGRAGKQEAEAEAEARGDRVAVAEAGGEVVAQGNATDNKEGSLADMIDRALEKEFPESEGEQGGGETDPGSFNNTVAEKQGVLETVARRVTKKNETKDNKAFQFKEVFLDRSEQEDVPTLIDRKDNVFIISNPKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACLGQPVITGYLLAGSIIGPGGFSFVNEMVQVETVAQFGVIFLLFALGLEFSTAKLRVVRAVAVLGGLLQIMLFMFLCGILATLCGGKTKEGVFVGVLLSMSSTAVVLKFLMERNSINALHGQVTVGTLILQDCAVGLLFALLPILSGTSGLLHGVASMTKSLVVLITFLAILSILSRTGVPWFLKLMISLSSQTNELYQLAAVAFCLLFAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLINVHFLWNHVDILLAAVILVITVKTFIVAIVVKGFGYSNKTSLLVGMSLAQIGEFAFVLLSRASSIHLIEGKLYLLLLGTTALSLVTTPLLFKMIPAVVHLGVLLRWFSVDSNQVELGLKNDVLRIDSGKRINLIVQGSHDS >KQL09488 pep chromosome:Setaria_italica_v2.0:IV:3786474:3786945:1 gene:SETIT_007728mg transcript:KQL09488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLSNKAEIHKCHELLGTKAEIHKCETVEEHNSNYAIWKMHLFNIYFNKFPSMPYCSHATYCNKHKNLLHK >KQL11394 pep chromosome:Setaria_italica_v2.0:IV:33169563:33172088:-1 gene:SETIT_008547mg transcript:KQL11394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLWSAPLARVPFLAALLLVLLQQARLPPLAAARTNLTAGATLAPPDYITSPSGAFAFGFRALDSDPAKFILATWFRFGDGNGDSSSQPQPQSVVWFAKQLPSGATPNATARSVLSVTADGELTLTDGGSNQALWAPSTERGSVLALRDSGNLQFLSDSGDQVLWESFSYPTDTLLPGQSLVYAQSGNEGKVFAKRADAEFTTGRFSMGVQSDGNVVLYVDLLKGNDPGNAYWQAYTNSPDGNTTVTFDGQGRLNYTLHNGTTQSLIQPASSFAAGDYFQFARMDLDGIVRTYIRPKNGGAGNTSWTVSGAFPDNGCHKWTSGLQGMCGPGSYCMSALTKSSRDRLSCVCPSGYNYTDEQHRDSGCTPAFEPQSCDGKDSSDDFTLVELLNTTWEASIYYKKFPSVTEDQCREYCLSDCFCAAALFIDGSDCAEVAALTNGWQANDGTILTTKALIKVRTRNPPQIASSRTRNALAYKVIAICLAFLLLITVGGLVAHHFVTRNRESQRLLSPSVRSFSWKELHQATNGFEKLLGKGSFGEVYKGTIRSPQPHPIAVKKLINSNDYSEQEFTNEVQSIGQIHHRNLVRMIGYCKEGKHRMLVFEFMPGGSLRGFLFNPEKRPPWRWRAEAALAIARGLEYLHDGCSAPIIHCDIKPDNILLDDLGVPRITDFGISKLLGNQQVHTTVTHVRGTRGYIAPEWLRGDARVDTKADVYSFGVVLLEMICCRKCHEPVTPEGAEDDDDETVTLFGWAAQLVGARRTEVMLRGDADVDTVEDMERVERFARVALSCIEPNPLLRPTMHQVVQMLETSKRQVEALPDPPVCYIEGEFGRAPREGLL >KQL11418 pep chromosome:Setaria_italica_v2.0:IV:33460664:33461478:-1 gene:SETIT_007628mg transcript:KQL11418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKGVSFITSAARSVTRGGAASMNALRESMDPQSIPSAARNAASKATASIDAVRERMDPEAMSSAIRSAASKATASMNDLRDRMRIDPLNVFLG >KQL11574 pep chromosome:Setaria_italica_v2.0:IV:34926549:34927266:1 gene:SETIT_007680mg transcript:KQL11574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDMLYKVLFGLHFTIMAYCITQVWRVFVKETTWQLPSLPKFQDMVNKMKTWCRWPSGPQENNHQGENSLSEPLMGHQMV >KQL11861 pep chromosome:Setaria_italica_v2.0:IV:37236707:37237330:1 gene:SETIT_007362mg transcript:KQL11861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQAPAPGDEETCGGEETGVVNCCGPRASDEPVGVAEELAAVTDAVSPSCRMDASVDALCHGEGGNEQVAGAVLELLEKKDLCSSNLVSVDGVDKQPLKESSIINQDITDSAKKRRLQMSSLLQKPTKFRSPPSGKKGQSSSVKRRSPLHSAKENTSPPGTDNNMQVATSVPQKGPH >KQL10540 pep chromosome:Setaria_italica_v2.0:IV:17634324:17634808:-1 gene:SETIT_007582mg transcript:KQL10540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANVEEALLDVDADQPGKHLGVREEVKKQLWLAGPLIAGALLQNVIQMISVMYVGHLGELPLAGASMANSFTSVTGLTVLVSTYSPGSRASTPNETPLLELLVAQIRF >KQL10362 pep chromosome:Setaria_italica_v2.0:IV:13562541:13565562:1 gene:SETIT_005806mg transcript:KQL10362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEEQPPHEQHALQQGQTDQKPGADEAELLWKLRKYLMLLAILAAAITFQAGLAPPGGFWQDSKNGHIAGDIVLRISYPRRYHVFFYCNTTAFGASLIVLILLLIRELSRNAVWLRALQFSMVLGLLGLIGAYAAGSCREVRTSVYIWILLVGIFAYITLHVIFFRHLAPKWLRDTFMNIRRYWKDFLNKIFKNSQSRTDEQEHSDKMEELERNRGFLLVLATLAATVTYIAGLSPPGGFWPDDKPNHLAGDPVLEDHYPSRFKAFLVCNATSFAGSIVIIIMLLSNTAVDHVVKSNALRLCVLVSLFGLMGAYAAGSCREVLTSIYVFSLVGGVLLYLILQWIEPIVTKPESVEKSIGWMRNKKTELLKKLSSFIMKGSGNPDDDKHNTLSGPNRQLPNKNFSSNFGDPKDDLQKLRTYLLLLGILAATVTYQAGLNPPGDPILETVNPRRYKAFFYCNATAFVASLVIIALLQSQLITVGAIKRHILQTAMTLDLFGLMGAYAAGSSRKFSTSIYVFVLVLLVFTYIVLHVLLSLALKTRLKTIIDHFRRDNAMDNEVEGKDLEKRRKFLMLIAILAASITYQAGISPPGGFWNDNNGHRAGDPVFRDEFPRRYTVFFYFNATAFMSSLVVIMLLVSKRLYSKGLEGYALHACVLIDLISLMGAFAAGSCRKVSTSVYVILVVVAVAVYVMIQVVVLTFAKDKVNNLLEKMYTFGLSERQYPSMNHERSIRSKKRTEHKWRKDLMLIGTLAVTVTYQAGLLPPGGFWPDDQVGQHFAGDPILHDTHPTRFKVFFYCNATAFMASMVMVILLLNNTISKYRRSLLAMKTAMVLDLLGLLGAYAAGSCRKLKTSAYIFALFIAVFIYIVIHVLLSFDKVALLVKNKGGKWMPCLVKMWALIEAEPSDLQPSAGQSEELLAAV >KQL11163 pep chromosome:Setaria_italica_v2.0:IV:31265530:31268658:1 gene:SETIT_008623mg transcript:KQL11163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRFRAGDRPAGRSPSPARFSRPCDRRYLPRAGPFHGELPPPSPFEWEAAAWRERIIREEVERRLICEEVERRLIEEASLIEEEVRRELAVARARFGGAFGRVPFVGSDGPFVPPGAFFGPHGPFLPPVPPPLMPASFGRVGFEQSILVKRRPLPPPKLKPKHRLKPTELQNGISATTEPAELQNGVSTSTEPAELQNGISATTEPAELQNAARHWNCALCQVSATSRSNLNKHLRGRRHLAKLIQSRGIEVICDNKRKKHLKRKLALYGASVAASVAGPSDAHKKIHILVDGEMHEVVQQGNYVWCERCSVRCINAATMADHLRGKKHSLLNRVWRSIKAVRMKNKSKEDTAATCEGKVNDNG >KQL09525 pep chromosome:Setaria_italica_v2.0:IV:4041541:4042064:1 gene:SETIT_009015mg transcript:KQL09525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYNSNVLNNQTIINLEISRAQKFGAISSIRINPRKLNMINRRIDSIERNKELRANLSVSG >KQL11762 pep chromosome:Setaria_italica_v2.0:IV:36646280:36646670:-1 gene:SETIT_009114mg transcript:KQL11762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIANTASNKLAQSLPQKICLWTKENYLS >KQL11937 pep chromosome:Setaria_italica_v2.0:IV:37739981:37740935:1 gene:SETIT_008288mg transcript:KQL11937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRASWDEGTMKTLLDLCIAQKNQFNWSNRCLTKLGWKNVYSSFRAQTGLYLGSKQLQNKLNNLRRTFLSWMALQKQSGLERNTQTGGVAADATYWEEDEKDTSGGDAPPRSKPSSQPTSVKPPPFLDELFELFGHEPQDRGTLLTARGIREATPSVGTEGNAADLDQHPLPASSARAMSKRPAREFSVDSPTKKRSDNLEQYIRELSDSVAKRSQQRADRARGEMVRCMQVLVEDSLQEGSPLYCQALYLCTKNPEYRTAFTEMTTKEGRMNWIQFNWDMLNKK >KQL10386 pep chromosome:Setaria_italica_v2.0:IV:13898470:13901117:-1 gene:SETIT_006811mg transcript:KQL10386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAPVWFISLACLGAVYVAALCARPLAYLALCLRRPKDLLRCYGSWAVVTGPTSGLGRSMAMELARRGLNLVLLDLDAANLQETSAAIKALHAVKVKTVVLDLSLVATPQGDEAIRRLREAMEGLDVGLLVNNAAVNTPGAVYLHEADIERFVRMIRINLWGLTEVTAAVLPRMLERGRGAIVNVGSGSTVAVPSFPLYTVYSSTKKYVAQFSRSLYVEYKSKGIDVQYQVPFYVHTRMLSSAVKAKLRPWFVATADEYTRTAARWIGNGPLCVPGAAQKLQWCLTGFVPDWAHDWYRIRLHLQHRAVTRAGRRAAVTPGDGSSPRGQVITVGNSGGPKVMAG >KQL10170 pep chromosome:Setaria_italica_v2.0:IV:10459029:10459508:1 gene:SETIT_009105mg transcript:KQL10170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLWCARSNKFSGPAVAHGPMQHGLFLQKFYVPIIDVKVHGSR >KQL10165 pep chromosome:Setaria_italica_v2.0:IV:10402756:10406496:-1 gene:SETIT_005790mg transcript:KQL10165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICYDMVRRSAPIWSCDSCFSIFHLPCIRKWVRSPASAADASPAADPASPSWRCPGCQSVYDTPARDLAYTCFCRRRREPPNDHFLTPHSCGEPCSKPLERAEPPGAKGEDADATRCPHVCVLQCHPGPCPPCKAFAPDRPCPCGKQIIVRRCADRSTPVTCGRPCERMLPCRRHRCEKVCHTGPCGDCAVVISARCFCGKKNEALLCGDMVVKGKLSEEDGVFSCSEPCGRMLACGNHVCKDMCHPGPCGECELMPGKVTTCHCGKTRLQESRASCLDPIPTCDKICDKNLPCGVHRCKVNCHEGECPPCLVRVEQKCRCGSSGRMVECYQVKKEEFRCNKPCGRKKNCGRHRCSECCCPLSRKFAQLEGGDWDPHLCQISCGKKLRCGQHACQLLCHSGHCPPCLETIFTDLTCACGRTSIPPPLPCGTPTPSCPHQCSVPQPCGHPASHSCHFGDCPPCVVPVMRECIGGHVMLRNIPCGSKDIRCNQPCGKNRQCGIHACNRACHPAPCDQPPANGDASSSSGGKASCGQVCGAARRECKHTCTAPCHPSSQCPDLRCEFPVTITCSCGRITATVPCGAGGASSSDNMFEVSIIQKLPMPLQPVESNGRRVPLGQRKLSCDDECAKMEKKRVLAEAFDITPPNLDALHFGENSSASDLVSDLFRRDPKWVVAIEERCKFLVLGKVRGSSSGNLKLHVFCPMLKDKRDAIRLIADRWKLSVQSAGWEPKRFITIHVTPKSKPPARILGSKAGAPVTAAHPYFDPLVDMDPRLVVAMLDLPRDADVNALVLRFGGECELVWLNDKNAIAVFNDPARAATALRRLDYGSAYQGAAMFMPSSAQASSSGNVWVGGQKDGGLAARSNPWKKPGAAEPDLSSGDWTGVAGHAPAPGWRGANTAAQVMGTQNRWNVLESDAATSSGPGEDRKTAPRTDVQNSGNAGPSVSKLQPDVEVDDWEEACE >KQL08884 pep chromosome:Setaria_italica_v2.0:IV:125256:128224:-1 gene:SETIT_006904mg transcript:KQL08884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSAAQRYYCHQCDRTVSIAPPASQDDDVLCPHCAGGFVEVLELPQGQDASPAAFFPQAQLPSFDLRHPSDLSAFFGPPSPEPLGQGLFDPSNFLHDHFGGLLSGGATIQIVLEGGGAAPLLAPGVSLADYFMGPSGLEQLIQQLAENDPNRYGTPPAAKSAVAALPDVAVSADMMQADGGAQCAVCMDDFHLGAAAKQLPCNHVFHKDCILPWLDLHSSCPVCRYELPTDDPDYHQQQQQRAASAPAPAPAPAPAPAPAAASSPRVAERRFRISLPWPLRAAFGAAQAESSNPTNDDGNNNDHEASGGGPQMQSGYDDLD >KQL09248 pep chromosome:Setaria_italica_v2.0:IV:2099440:2103145:-1 gene:SETIT_006812mg transcript:KQL09248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRSLPSWMGSSKDGEDDSSKKKHAGTSQKAQKGSDFSKLLDGVVFVLSGFVNPERGMLRSQALDMGAEYRPDWTSDCTLLVCAFANTPKFRQVQADNGTIISKDWICESHKQRKLVDIEPYLMHAGKPWRKNKEPVESDQDQKEMHKEHKKQVQQSHVKPSTSATSKAGHSDSGNKHFSPSKIKQWAMDDLTQTVSWLESQEEKPEPSELKAIAAEGVITCLQDAIESLEQGNDIKGVAEQWSFVPHVVNELLKLDGSGKDASLPKEQLSQLAIKCKKIYQAEFVRMDSDNKKGKKRQSSSPVTEHRRKTKSSDDHYDSDDTIEMTEEEIDLACRQLPGLCG >KQL10984 pep chromosome:Setaria_italica_v2.0:IV:29191917:29192361:-1 gene:SETIT_009013mg transcript:KQL10984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILNSCMKNSYSYMHRSRMLVVLLINIEYI >KQL10288 pep chromosome:Setaria_italica_v2.0:IV:12487093:12489829:-1 gene:SETIT_008318mg transcript:KQL10288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHCWAKAGALREAGREWISADQNRHAPAPPSDRYLLPCPDHLQPLPCFS >KQL10965 pep chromosome:Setaria_italica_v2.0:IV:28922587:28926204:-1 gene:SETIT_007266mg transcript:KQL10965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLQVDGKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVQTDEGKAFAERESLYFMETSALESTNVENAFAEVLTQIYRIVSKRAVEGGEDAVSGPGKGEKINIKDDVSAVKKGGCC >KQL11436 pep chromosome:Setaria_italica_v2.0:IV:33608295:33613569:-1 gene:SETIT_008726mg transcript:KQL11436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HKDGGKEEKLKEEKKKEKNKKCSTSVRCYFVRTESGWERDYHYDLSGHTIDQARRLFMHIHNAPTVAKYLSRFALILSKTIKLGVDLSNVHVKIIDDVPCRYESGQIAVQDGEHLIHTDGTGLISVDLARKCPTSVFKGSFLKACDLPLLIQFCLFHNGYAVKGTLLVDKRLPSETICIRPSMIKIYGDKNSSGEKPFNSLEIITTSNRTKRTLISRFLISLLHYGRVPAEYFVELLRRALEDVNKARHKPRDSLEVAFNHADLDDSMSARMILSGIQPEDEAYLQFQLALMTKEERKGLKQGRIPIDECYYLMGTTDPTGTLKKDQVCVILVELRGSMKPLLPGWVQILVPAFLRTKSEGCLSLESRVLFFWGVLMLLECFKQHKPWFRRISRRKTEQKKPQDYPGSKLERLLFREYLRARFTPSYVLGAAADCWLAFMDQLLTGDIPKSERKMIKRKMLDLVDIYYLALDAPKSGEKITVPEELMVKQYPHFMERGRYPDYHSASVLGKIYDEVTSQESEAGPSINSALQCFTEMAVSEDYKRRWAALYQEYLRESSKLHKLENKAERNTNFRELYQEYKWMLYKAEEFEYSPRERFDLFNEACAVYQVVYEHAVPRNEVSKCGFAWKVAGRALCQLYTLKHGGDTVLCSFSVLEGAFKKNRAP >KQL09563 pep chromosome:Setaria_italica_v2.0:IV:4281878:4288435:1 gene:SETIT_005807mg transcript:KQL09563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGRESLVRLIGRRRRSPLPASLAAVLSPSCPLPSASPAQADDGGGPGEAAGVEAGSSYGGSGGVGVRAEWVSCPVCGESIRGSDYCVNTHLDICLTRGTKRKLTQSTLLNFRFSKKVSAEPTSNNLTNEVETESVKQIDEDLSRNQEFISLDSDTESSKAGATISSSGCLNGSFRTSKTISTYAPSNTILPDVKDEHCSSSMLPTVATSCSDDACADLDSSTTITVDTVIVGRRFHENIELREDAGITFLRDPQNAKDSDAIKVLYAASECEMLGYLPRELAKVLAPLMDMHYVECEGCVVGLPEQQLGNVPIQITIQKCKIDNQINDDPEYWQSLWEKFISTVKSGNFQRPSSARYQRNFNLMIADVMANHAHVFSDIERSFLASFKSLSDDGQRLFVRIYTRKGPWFRKSTISYREISDLEHAVMELKLAGYINMLSCTVDPSEYDMKEILDVLSVPEIKEILKELPKENTSCIRRHELACTLLSLYHNGTCASLPKRILKWTGTCIRISKMADELLWRIQAIRVAQLMDESLDNNNMDLVTRCIDLSENRLCTMPKQENATSPEHSPSFFSHFSASWVYSKILTLGVSVYERDRRYEDAIRILKILLSKVACDRRRGYWTLRLSVDLDHMGRPNESLSVAEGGAIDPWVRAGSKFALQRRVLRLSKPPRRWKVPSYADYVKRNIKEMNIEGRPLNCETGAKNLFYGYDGELCGVEQLALQYYADEGGGWRGTHSEGGIWMTIFGLLMWDVMFSDIQDVFQSKFQTAPLDLETDYFYKSRKDLAESQLKKIQEGMAEEMLISSWELHQGTSCRGVNWDRHSLTDLRAVVACIGGHRLALLLRHLAVDYRSWSSGMPDLLLWRFLDERGGGEAKLVEVKGPRDQLSEQQRAWILVLMDFGFDVEVCKVSPVTKRR >KQL12041 pep chromosome:Setaria_italica_v2.0:IV:38395828:38398520:-1 gene:SETIT_008073mg transcript:KQL12041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEGGGLPAVQSTARRTSSSWGLQKATLLLAYQSFGVVYGDLCISPVYVYKNTFSGKLRLHEEDEEILGVLSLVFWSLTLIPLLKYIILVLGADDNGEGGTFALYSLMCRRSRMGLLNNIHQGPLSAYSQKEPREELKSSLAIKGFFEKHFSLRIVLLLFVLMGTSMVIGDGVFTPTMSVLSAVSGLRIKFPELHENYTVLFACFVLIGLFALQHCGTHRVGFLFAPILLAWLACIGGIGIYNIFKWNPSVIRALSPYYIYNFFRKAGRDGWSSLGGIVLCITGAEAMFADLGHFSKLSLRLGFTIVVYPCLVLAYMGEAAYLSKHREDLQSSFYKALPGEVVMFCQID >KQL09687 pep chromosome:Setaria_italica_v2.0:IV:5240029:5242563:1 gene:SETIT_008018mg transcript:KQL09687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNFSGGTASVLPPRAPGSLEPDYSTRSIYRDSIRRVSVSSGLCTSARFFLPTGGDTASSAGEGAYCWSSASSASWDASAGWSSVSQSFSSDGDLCFSDWGAADPDGDDELRAIARQMVHDGCMKGLIRGFSAGRSSSSSSAHYGCLGPDSSASREELLLLGSWFSELDVEWVLPTGQGDRTQLQLHLHLEDGCASIQDLTERWIKALKTMVQVLRITQLDLRAKKKPAAGNGIWHFMLLATGKTAEHEQEVAQFTRFAEVSLLRMLDFVDAVADAALNDDHSPETLPGMLQVYTCVVDDSPTVLSLFDEASSTTSTSTSTSMFEAMNDVFLRKRNKLSDAIRSMIEKVTVSFLRDRCIWTASLEEAGGVHKTTMLMMNYVMFLSRIEGALSFGLHDSSPVVNLIKDVISCLEKQLERTSNLISDPGLRYLYLMNNYSFISKKVSSLLLPPWTLMEDYKIEKPRKRDSVRRLPPMEDYVNQPDPNLRAKIETDSNLDGLVKTQSFIEAYLDASWEPVMSCLNHAIPHGFLRCGRALDKFESEFQRTYAMQKHWKVPNPELRKRLRKAIIEKVIPGYSKHLAEQTAKWKSIRQPKNTPLELEQLLEELFEG >KQL09880 pep chromosome:Setaria_italica_v2.0:IV:7000334:7008410:-1 gene:SETIT_005847mg transcript:KQL09880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGARGGSDRAAGAARSPTTTTAIQSTIQSIKEVVGGHSDADILDTLRESNMDPNETAQKLLNQDPFHEVKRKRDKKKESSGQKSFADSTAPVEQNPQWMKPHTQRIENNDQRRIPNQGQMSGPSREFRVVRDNRFQHGAVENRPELGHKGPPNVQMSDRSVVQSGRNRSPATTSDVQITHQNFKHNPHSETLQGKRDAQGATQKHVKPYLKNSQNEQHFPGSDPTHVPSNARNAGGTVGPARRQVGVINSTRQPAGRLGSQMHAPGGSYANTQRGSFSSVGTSGRHSAFMSRNIQQNQRPDAIFRGRPTGRSFVAQNVNRYHQGPTSNQKAFQPIKEWKPKSTKKSATTDADNSVADAVSPSASNTENANAPDVNGLSDKLSQANLHEVEHVIIPEHLRVPEYEQTKLRFGSFTSGFDSEQVLASTSPDSEVPEHVQDPVQQVSEDDSMGAGHDDVDEQTRSSQHLSTSTAEISLPPSEDSDRMSGQVENDDGLGLVQSDTPIGAADGESTQITTTLTAFSTYGHEDPNMHSNNEAQLYGLVEPNVHQQVLTSTSQGYTSENPEPDNAVQVFRMPESNVHSQVLPSTSEALNPQIVNNSPVAISSQQQHMSQQQAAAQMYPQMHVQHFPNFMPYRQVYSPVYPMPLPNYSPNVPYPSNGNNYLQMPGGGSHLAAGGMKYGVSQYKPVPAGNPSAYGNYTPAGFTMGSPGVIGAAVGVDDVNRMKYKDNNIYASTQQVETSDIWIQAGREIPTMQVPPYYNISGQATPGAFVPNPANASFNATAQSSHAQFPGLYHPQQPPSIVSPHPMVHQQVPSAIGPNVGVGVAAPGPQVGTYQQPQLGHMNWRPSF >KQL09649 pep chromosome:Setaria_italica_v2.0:IV:4893420:4895124:-1 gene:SETIT_0082801mg transcript:KQL09649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMMKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEDNVACLEVIVEIVHMPGPNVVMREEVTVVNHDGTQESEMLHHVLGETDCKLLSLIMGNNFPNNIFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQSKREHVQCTAKYLGWRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAIALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLEPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSN >KQL10939 pep chromosome:Setaria_italica_v2.0:IV:28569812:28570054:-1 gene:SETIT_008421mg transcript:KQL10939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISHTVLSHDHTGSRLTQGCAAGHVCMPRQLVGPLLASGAYLFDQPLTGHTDITLTCGTTRGCHVTNLKPNTCSNGFLKY >KQL08946 pep chromosome:Setaria_italica_v2.0:IV:392261:397830:-1 gene:SETIT_006000mg transcript:KQL08946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTACIWTSAQRSHTLTPNRRASYHGFARSISLVPQRRRRSTLYVTNAASTSAPVSSQNITQLPRTKSISSDKPSSALEQLDIERGVCIPFRKYTPEMVRKKVMDSRGSILSLASRGVEIIWKLGFYWSSLVYDFLVGRDEEIVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPVPNQVAFAIIEEELGQPLERLFSKISSGTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPRVYKQLSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVAPIIPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGAFQWKRLENLIVLAKENVSKMSSNPALKKNSSQAMRSRQLESKLDLSETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEELVDVYRLVEDQIDMPSLAREVLQDLPSVARDFMLSWSDSILSDRQY >KQL08945 pep chromosome:Setaria_italica_v2.0:IV:392962:396700:-1 gene:SETIT_006000mg transcript:KQL08945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTACIWTSAQRSHTLTPNRRASYHGFARSISLVPQRRRRSTLYVTNAASTSAPVSSQNITQLPRTKSISSDKPSSALEQLDIERGVCIPFRKYTPEMVRKKVMDSRGSILSLASRGVEIIWKLGFYWSSLVYDFLVGRDEEIVPYRARQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPVPNQVAFAIIEEELGQPLERLFSKISSGTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEATNIEDFLENFKDDPTVKIPRVYKQLSGSRVLVMEWIDGIRCTDPQAIKEAGIDVEGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVAPIIPALEAIWQNSAGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGAFQWKRLENLIVLAKENVSKMSSNPALKKNSSQAMRSRQLESKLDLSETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEEVLWIDCSIILQIVGVRIKYICIVFNG >KQL10948 pep chromosome:Setaria_italica_v2.0:IV:28683836:28685723:1 gene:SETIT_007982mg transcript:KQL10948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYVPPSSLHLCVHVDYILKKTLTVCFVVVACSYATVVLRALLLPSRLWFDVALLVPQASPVLVLLHIIINASHYKDRYLIVSGSTYGSITFWNLKRPSLENHSSKKRDGDTSPPDRSNPSTPYATENSFETSGVENTHNVMHEGSDGSNSEIPSSTQSCDIPELRPILLLSGVHQSGVNCLHISSSTNNKSYCIISGGNDQVVQCFSFTVGSLEDCSTTTARLNSHDNGTLKILYQHKIPSAHSAAVKGIWTNGTRAFSTSLDQRVRCWKMWSSSKFTEYSHAIISVPEPETLDVFHDR >KQL11736 pep chromosome:Setaria_italica_v2.0:IV:36435191:36435765:1 gene:SETIT_009103mg transcript:KQL11736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKQTKGKVIATKSKPSFWRPHLSLSPTSFSSTDASG >KQL09967 pep chromosome:Setaria_italica_v2.0:IV:7812526:7815495:1 gene:SETIT_008354mg transcript:KQL09967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARLLLALPPTKQFSRQKIGSSAGSASVLALPARISGSLFLFPWFPLPIPCSCRGGCSCSLLGDLSSSSRRGWWGLAAIRSGEMAGGKEPIEVKFRLFDGTDIGPSKYDPNTTVAALKEFVLARWPHDKVVPKTVNDVKLINAGRILENSKTLAESRVPVGEVPGSVITMHVVVRPPQSNKSEKQQSNSPKQNRCGCTIL >KQL10186 pep chromosome:Setaria_italica_v2.0:IV:10631977:10633030:-1 gene:SETIT_008247mg transcript:KQL10186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPPGQGPPPSVTGSTGPKMMGGRNHQRLEKWVARGSKWVEASLQQGAALPPSHLAVSGRENTPGASSLSVRDEVARVRCARGGSRRRRLVLHASTRRRLLLLPPPPGAYRLVLLPFVPAPGSALGRRRRFLTSFVRDDAGLLENAKPLAERDGLVLLRASPHAAGQQLFSLCVCSLLTGKLDVLPPLDMACFHDEGMLGYAILTSANQDDVPADGYSNLCQVLLIGVHHGNKQLQIHSFSSDAVALQNWSTAPAICFPSGLELFAGPYGRAAAVCCDTAHWLFEDYRLPYTWSKLVYR >KQL11819 pep chromosome:Setaria_italica_v2.0:IV:36970495:36972422:-1 gene:SETIT_006151mg transcript:KQL11819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKQPPMATRPSPRSSDGTRPRSKSGAGRPPSSPRSSDPSRPSTGRSAAASDKPLPSFLRPTVSSSLHSSSSSSSLVSPSSSSSSSKGAAATARRSADKAPAQPLGALRPITPKDKAKAPAAASASSSTTRWSAVSPRQLMQKASNALRATSKSHGKKSKEAAASGKGGAKGEAARARSQQPETPAEPSPAVTPVDSEEPILYEPEGGVQDEEHVATSSREAVSTDIATVEERDHEEQAVEVEKTILEEPEAVKEAPPEPQLQEEKPQSSAVAETEAESQKNAEDESPAVVVEEAAVKEAATPVGQDEPATSTVAEKVVEETKAEERQQEDALKPEEITENSETSVISDEQSNEERNVISEELSKEGSSMISEERPKEASSLISEELKEEPSVISEEQEEANPAPIQKHEEVAEEAEVAAGSSASAPTTPLKEAADDDGDEEAVAKQVSASEPVTPVAEAISKSKAVIETQQSASAPVTPVNAAKKSGPSKPQATIPEESAAMAFKGRKVKTAMEKRSDEEQPKKKEVARSNDVLEEAKSKLMEKRKSKVKALVGAFETVMDSPRAS >KQL10702 pep chromosome:Setaria_italica_v2.0:IV:23840440:23840712:-1 gene:SETIT_008817mg transcript:KQL10702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGDRASLKTSNNFLGRAVWEFDPDLGTPEERAEVERVRREFTERRFQKREASDLLLRMQVLHITNSKPCHIPVHVWNELYTCM >KQL11775 pep chromosome:Setaria_italica_v2.0:IV:36722001:36725289:-1 gene:SETIT_006393mg transcript:KQL11775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCIPAGLRLDLEMVKAAGAAAAAPRPAHSAAASSTLSEASNASSSSATSSVASLSLKRPRTPRKRPNQTYNEAAALLASMYPSVFPAAMGPEAAPPRLLGLASALADDPGCSDLLPPFPVLDQAAFLLRDLPPPQTPPMPMPMSPVPAKSCPSPAGVSSVFSEFRDPAPSPATPDAAAADEPGELDFDDDDSFDADSILCGVDESAAEGIDGIMGKLSMENNAAAASSTNSNMPSSKIHPYLRNLMLLGLSFRHDQRSINQALKRHNVNPEWWMCPAIPVKDIAPPPPPPPLVAKPTVLEKKKSKKKLLDSLYKDVATEHCKKEEEWTPDFANGGTGVLALPKTGLGLRLNTERVLKAWCGRGSVFADRNASDLPLSSAHVVVKHEGSDMFPKNGTSAVIREGNILKMQRKQKMCTPLPSNKNSRYYRPRVNGRFVSKAHFLQLQHQQQQASEKES >KQL11278 pep chromosome:Setaria_italica_v2.0:IV:32255600:32258636:1 gene:SETIT_006371mg transcript:KQL11278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKRSFHGVVVDGIARAVAEEELQVNRRPEEGANRMIFGFPVPGAPTRPAAAVTQQFFPATVAAAAPPAQATELCHVAAPSAADQWARSASRKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLVNTLRSPSPACRAYDQAAIKFRGVNADINFTLSDYKDEIKKMKNFSKEEFVQVLRRQGAGFVRGSSRFRGVTQHKCGKWEARIGQLMGKKYVYLGLYDTEMEAAQAYDKAAIKCYGKEAVTNFEPQTYDEELQVQPWDGELDLELSLGCAGSDPSAAAAAAAVEVFTTAPSRQRTMTLTLDLPEEDNETGAAADPGRCFRTRRPSPTPGTFRLLLADDDHVCHPGTGSRDDNDTLHMLQMHLTDAQGQVGSSGGGGGAAAAAGAHMRWPNGGNNWAPPYATARAGPDTDDADAAAAASSGFPLGRCSRCPRRPGGPATAEQPPVHQHKQQWWR >KQL09918 pep chromosome:Setaria_italica_v2.0:IV:7475742:7476736:-1 gene:SETIT_007053mg transcript:KQL09918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAVVKVVPQAGLVKELPAAPSVVVPQVGIVEELPPAPSVAVNGVPQVGIVEELPPAPSVAVNGVPQSVLVKELPAAPSVAVSGAPQAVLVKELPAVDASSVLGKSVVSARSPNTTEEPSGEDTAFDHRRALDLSVPKHFSPGARDLFGEPMKLTQLLSLMENGAAASGTGFSGRGWWVSKEDNDALQLKVAMPGLGKEHVKVLAEKNILVIKGEGNKDPEDGDNKGPAKYSRRFQLPAEAFKMDQIKAEMNNGVLKVTVPKIKDEERKDVFQIKVE >KQL09622 pep chromosome:Setaria_italica_v2.0:IV:4661719:4666468:1 gene:SETIT_005894mg transcript:KQL09622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADERAEAARRAKEAGNDAYRKSFLETAVEHYTRGALLDPGDISFLTNRAAAYLKLCKYKECVRDCDDAAERGRELGADNRLIAKALSRKASALLELADCAGDYAPAIRALQQSLAEHYSEETLEKLNEAESVRKEVEEQERLDQEAADQHREEGNESFKQKKYHEAAMHYTRAMKMNPKDPRAFSNRAQCHIYLGDFPHGLEDAEKCVELDPTFLKGYLRKAKAQFLMESYENALATYLEGLKCDPNNLEVLDGLRRCATGIKRANGGDVELQDLKEMLGNFQSENDLHKFQKAMEQAAIFKKEASDERLMRIEAERMARTMEEYLSGLQQEMEQLKKQHGEVMEKLQKANEHLQGQLSEYRGQYERLLSEHDHLLHERDHAVREVQELRQKRGQMLSVLVTSMHCEFSSSELECATENFSSSLKIGEGGFGCVYRGILRNMTVAIKVLKHDNLQGQSQFEQEVAILSRVRHPYLVTLLGACSESSTLVYEFLPNGSLEDFLVCAEKRRTLPWQTRIRIIAEICSALTFLHKNKPHPVVHGDLKPANILLDVNLVSKLSDFGISRHLLQSSTNNTTMYRTMHPMGTLQYMDPEFFATGELTCQSDVYSFGIVVLRLLTGKPPDGIKKIVENAMLKGDLNSVVDTSAGEWPDVYAQQLAHLALSCTEPSRKCRPDLSVVVWGVVEAMRDASTIPSASSSRSVSDENGVPSYFICPIFQDVMNDPHIAADGFTYEAEAIRSWLEGHDTSPMTNMRLEHEELIPNRALRSAIQEWLQQQNMTL >KQL10920 pep chromosome:Setaria_italica_v2.0:IV:28049746:28051133:1 gene:SETIT_006817mg transcript:KQL10920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMSRAALDDVIRRLLDARRWRPAGKQQQQLLAEGEIRQLCAGAKAVFLRQPNLLELDAPINVCGDVHGQFRDLVRILQELGGLPPRSKYLFLGDYVDRGDQSLETICLLLAYKVRYPEHVFLLRGNHECASINRIYGFYDECKRRYTVRLWRTFTDCFNCLPAAALVDDRILCVHGGISPHLRSLDQIRDLPRPCDVPDEGLLCDLLWSDPAAGVRGWAPNDDRGVSCTFGADVLKAFLRTHDLDLLCRAHQVVEDGYEFFADRQLVTVFSAPNYCGEFDNAGAIMSIDADLVCSFHIIKPAAGDTTTNRGIAAAWGRSSAASASSSSSSGRSTKKGLMRYW >KQL09241 pep chromosome:Setaria_italica_v2.0:IV:2062881:2067803:-1 gene:SETIT_005960mg transcript:KQL09241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQSPDHISVGSAPKKSSTSSRGRHRNFSSSTCKDFLRKFVDNELLTSSLEDWFSGHNEDCGFRKPAFDVPFDLTELQNFDYALEGVTFQQLVRMPNALYASTSDVFEATAYLALEDFLHAGIKGLWETFWGPDEAMPFSVACIHSTSSKFYPAEKAISSGKLDGVCATAVLLKNLKHSQGRWDHIVVLALLRPDIGMVSAQGDQEPSPAVLGEALFFALRVLLSRSLSRSSTVLRNSDCVYLLLVDSQFGGVVKVEGDLNKLDFDLNNVYDCAAEWIKKHARVSVSSIDRVWNKLGNANWGDIGTLQVLIAIFHSMIQFYGDPKYSLNELATEHSSRLQSRRSERHLVDRQANGNGLSRYQQRSHSPEIVEVQEETAVDVKPQEILKLEIGSVVLMDDAYSQKGFQINDILTDSDPPIYSSTPVEEPTKTYLLYVGSSPSHLEPAWEDMNSWYQVQRQTKVLTLMKQRGISSRYIPQMVSSGRAIHPGPCNKPNSSGSCGHPWCSTPILVTSPVGETISNLIRNGLFGVEEALRCCHDCLSALAASASAGIRHGDIRPENVIRVSNGSRHPYFVLIGWGHAILEDRDRPVMNLFFSSTFALQEGKLCAASDAESLIYLLYFSCGGVCPELDSVESALQWRETSWSRRVIQQKLGDVSALLKAFADYVDSLCGTPYPMDYEIWLKRLRRTINEDHGKEVDTSSS >KQL11669 pep chromosome:Setaria_italica_v2.0:IV:35771179:35774322:1 gene:SETIT_006218mg transcript:KQL11669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKNRGSIAPPVPSPPLFTLYFHSPAPCRFPMETVLASIAAGGMVAAAALAAGASRVAGQKDRLNAPPAVPGLPIIGNLHQLKEKKPHQTFARWAQVYGPIYTIRSGASSMAIVNSTEVAKEAMVAKFQSISTRKLPAAISVLSRDKKMVATSDHGDFHKIAKRYIMLSVLGASGQKQFRGIRDMVIGNMLSTFHALVANDPKAPVNFREVFKDELFRLSLIQALGEDVSSIYVEEFGKIISKEEIYQIAVVDPMMCALEVDWREFFPYLGWIPNQSFDTTVSTTEARRTAVVRALINQQKKRIARGEARVSYLDFLLAQNTLTDEQVTSLIWEAIIEAADTTLSTTEWAMYELSKNQEKQERLYEEIQEVCGNETVTEDDLPRLPYLNAVFHETLRRHPSVSVVPPRFVHEDTNLAGYDIPAGTEVIVNLYGCNMNKNDWDEPEEWKPERFLDGRFERADKFKTMAFGAGRRVCAGATQATNISCTAMARFVQDFAWRLKEGDEGKDGTIQFTTNRLYPLHVYLTPRGRK >KQL08875 pep chromosome:Setaria_italica_v2.0:IV:34479:36038:-1 gene:SETIT_008571mg transcript:KQL08875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNTSNAAAAPNTSTLPSSTQRSFDSNAAISPGVRQMRCCFLFLATHAQPAASDSLPSCIPHERDALLSFKHCITSDPAGLLNSWRRDGGHDEQDCCRWRGVRCSLASANQSLPHLNLTNLEELDASQNSFNHPMLTSWFWNITSLKYLYLDFTRMY >KQL11711 pep chromosome:Setaria_italica_v2.0:IV:36236882:36237658:-1 gene:SETIT_007769mg transcript:KQL11711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAYKRMQYNRRFVTAVVGFGKKRGPNSSEK >KQL10519 pep chromosome:Setaria_italica_v2.0:IV:17323087:17324135:1 gene:SETIT_008576mg transcript:KQL10519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSSRRQPTRETCPSPEAQGLAAAGPSGGTRETVVPYVGPRPQVGIHRYVLVLFQQRARMNAPPPMAMVGEAARANFTTRAFASRHDLELPVAAMYFNAQREPANRRRNY >KQL09063 pep chromosome:Setaria_italica_v2.0:IV:1009205:1011779:1 gene:SETIT_007056mg transcript:KQL09063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGQPGALRRITVHYANSPTRSTGEADLEDLDDDLLQFVIADLLPNQEGLHRSFLEEPYSNHNHMIGAPSDNSQSQHYHHHGESSTAAAEAATASGISGTEEQIASDFEYAKRLQEMEDQDDDISCVPSPSDSDDDDDHDHNDEEADRQDGNDDDPDNMTYEQRQALVESVGTEDRGLSDELISYLQKWKYKASGFFYRKTNHEDCTICLSTFRHRESMITLPCKHYYHAACVAKWLKVNKTCPVCKYEPFGPS >KQL09062 pep chromosome:Setaria_italica_v2.0:IV:1009205:1011779:1 gene:SETIT_007056mg transcript:KQL09062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVGQPGALRRITVHYANSPTRSTGEADLEDLDDDLLQFVIADLLPNQEGLHRSFLEEPYSNHNHMIGAPSDNSQSQHYHHHGESSTAAAEAATASGISGTEEQIASDFEYAKRLQEMEDQDDDISCVPSPSDSDDDDDHDHNDEEADRQDGNDDDPDNMTYEQRQALVESVGTEDRGLSDELISYLQKWKYKASGFFYRKTNHEDCTICLSTFRHRESMITLPCKHYYHAACVAKWLKVNKVNLQMKIRSIRPVLSANMNRLDLPSMRHRRLHHLPF >KQL11078 pep chromosome:Setaria_italica_v2.0:IV:30550263:30552178:-1 gene:SETIT_008659mg transcript:KQL11078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAGNRRRRSRAPAGGAAAFAGNDDGEEQHLNPFLDAAPSASSRVQFRNVASRARWVEEAGVAEVVESKGKLWLTTGVTRGAKLCYNVEEIGDFLFEYLSFLVKACLLSDETMQGCSWDAFQAYKHLKLLGYIVGRYGVPWTIKNSGSCDIIVPPTSVVHNDKSFNRFDDTCSDITKLLKEMHIDGISPSFQVYLPNSKFKKIIPRGNVPFIQPTTVSSSNSSSSIGLPVFLNKPPSRVELETVEYNFGGIPLKYCHVDNGRASFVSLDKVALPSLP >KQL09001 pep chromosome:Setaria_italica_v2.0:IV:650558:655402:-1 gene:SETIT_007058mg transcript:KQL09001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDSLSRFKQQQERCQSSLASIALTSKPKHRAQPINAPSVPARPSKPIKFSNDTERLQHINSIRKSPVGAQMKLVIELLYKTRQAFTAEQINEATYVDIHGNKAVFNSLRNNPKIQYDGRRFSYKSKHDLNGKDQLLVLIRKFAEGLAVVEIKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKNKIKVDDDLKQLFRDIELPRDMVDVEKELQKNGFKPMTNTAKRRAAAQIDGVKPKPKAKKKQREITKRTKLTNAHLPELFENLNT >KQL11631 pep chromosome:Setaria_italica_v2.0:IV:35459137:35459603:1 gene:SETIT_009150mg transcript:KQL11631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIASSCLAPRSVTAGQAALAAKRRRSKRVAQPRRAKGVSGPARP >KQL11339 pep chromosome:Setaria_italica_v2.0:IV:32748096:32749373:1 gene:SETIT_008699mg transcript:KQL11339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSADLARTLKSLCIAGDLSKAVRLLCQSPVCPGARTYALLLQECVNRRDARLGKRIHARMIATGFRCGEFITTKLLIFYAKIGDLGCARKLFDGMPQRSVVAWNAMISGCARGGAEAQERAVELFGAIRAEGLAPDQFTFASVLCACARLAALERGRRVHAVAVKLDVGGNVFANSALVDMYLKCSCPEDARRAFAAAPERNVTMWTALISGHGQQGRAAEALALFDRMAADGFRPNDITFLAVLSACAHAGLVDEGLRRFASMSSDYGLAPRGPHYAAVVDMLARVGRLRDAYELVKNLPDCQEHSVVWGALLGACRKHGGDVALVELAARRFFRLQPGNAGKYVVLANTYAARGMWDSVAGAHEAMRALGVKKDRAWSAVEVQGKVHTFLAGDSYHDECSAIYEVCNALTSAITEQSVGATA >KQL09681 pep chromosome:Setaria_italica_v2.0:IV:5149355:5157306:-1 gene:SETIT_006033mg transcript:KQL09681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLVEVEPATATAGPAYRNARAKDGLMQPPPGLHSCWDIFRTAVEKYPDNPMLGRRRVVDGKAGEYTWVTYKEVYDVVMKLAASISKSGIKQGACCGIYGANCPEWIISMEACNALGVCCVPLYDSLGAGAVEFIICHAEIQIVFVEEKKIAELLKTCHATSKYLKTIISFGGVTNDHKEEAKNHGLSIFSWEEFLITGGSHQVDLPEKKRSDICTIMYTSGTTGDPKGVMLSNESLLVNVVGPDSVIQYVGEVFDQDDVYLSYLPLAHVFDRMFEEVFIYHGSKIGFWRGDVKLLVDDIAALKPTVFCAVPRVLDRIYSGLTAKISSGGILKKTLFNIAYKMKLDSMRKGIKHEKAAPFFDKLVFSKVKERLGGKLRVIVSGGAPLAVPVEEFLRVVTCAYVIQGYGLTETCAGSIVSIPNEYPMLGTVGPPIQHIDVRLESVPEMGYDALSSIPRGEICIRGSVLFSGYYKREDLTQEVMIDGWFHTGDVGEWQPDGSLKVIDRKKNIFKLSQGEYVAVENLENVYGVLQEIDSIWVYGNSFESFLVAVVNPNQQVLEHWAEQNGITGSFAKLCKNPRAKDHILAELIKIGKEKKLRGFELIKAIHLDPLPFDIERDLITPTYKKKRPQMLKYYQGEIDALYKGSK >KQL09106 pep chromosome:Setaria_italica_v2.0:IV:1232292:1236429:1 gene:SETIT_006247mg transcript:KQL09106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVKDVARRSTKKYVEEALYRRLFRKGSTPQAVREEVDGFLDSRKRAFKWEVGVCVRRMRRNALYRPALKLSEVMARRGMNPTISDQAIRLDLVAKSRGIAAAEKYFLDLPETSKTHLTYGALLNCYCKELMAEKAEALMEKMKELNFAFTAMSFNSLMTLYTKVNQPEKVPSIIQDMKADDVLPDIFTYNVWMRALAALKDIPGVKRVIEEMKRDGRVTPDWTNTKAEASLKELEKRNTSNDIEAYQFLITLYGRTQNLVEVHRVWRSLKRNCPRKANMSYLNMIQVLASLNDLPAAEACFKEWEAQYIHPPKKNMEDSGASTTEADSSTTVPSNQSDVKGTKGVEELELKHPKYDIRVANAMIKAYIAEGMLDKAVALKKRAKMRGGRLNAKTWEIFMEHYLKEGDLKMAHWCADRAIKKGHSSGRIWVPPRDVTETLMGYFEKNKDVDGAEQYVEVLKKVQKDLGTLVFEPLVRTYAAAGKKFPGMRQRLKIENVEVGEEAAKLLDSICVDQ >KQL09573 pep chromosome:Setaria_italica_v2.0:IV:4339033:4339718:1 gene:SETIT_008864mg transcript:KQL09573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPELAGMRGGWDHAPQAAAASVSRICVVIITLVFLSVMCLYYHQMENFLGLLLSVWVNQSSMRVSCRSILSSFSRHAAASIGLVFQKLLGSFP >KQL10433 pep chromosome:Setaria_italica_v2.0:IV:14974691:14976282:-1 gene:SETIT_008745mg transcript:KQL10433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAWKALLQGEVDQIGVRRAHDRQHGYLPRQSGVLVGVVVPDLLEAHRVLLVPLSPSRSVSDPPRPHGHRRCFLVVAVAAALRDDLDIPQLAAAHRDTSAGVDVGSAVLRELVKEQHRVIDEVDEGVAVAAEEPPREVKPPERRLLGHHAGGNSLPVLRGQGAAQVVPGPHVAACAKAEEPGALGALDNLELGDRVVAVVGGDEADALNFVGDGDAPVAARWDAFAEGRSRVALLDAGRTEVVRQRHDEVGQHRADRGVHAGEGLDAEVEGEAVGPGGENVGVLVGLVGVGMGERTDGEDRDLRRRRDPEEKSASRASASLGMGATRGTRPRIIHGHAADMACFGSSRVKERRRMDLVLPIDLGEFGWQLKLGAMGFSLQKKIPSTHSITLLVGRDMVVWHTPLPAQNANA >KQL11674 pep chromosome:Setaria_italica_v2.0:IV:35835170:35839336:1 gene:SETIT_006043mg transcript:KQL11674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGRGLRPRHLLIFLAAAVLYAASSPAGAFYLPGVAPRDFQKDDELQVKVNKLSSIKTQLPYDYYFLDYCKPQAIKNSAENLGEVLRGDRIENSVYNFKMRRDESCKVVCRRKLSQEAAKNFKEKIDDEYRVNMILDNLPVVVPRQTREGSQTPSFEHGYRVGYKLKDDKYYINNHLSFKVLYHEDQTSPDARIVGFHVIPSSIKHEYGTWDDNNPTAQTCNANIKITPGSHTPQEVAPDAYVVFSYDVTFEASEIIWASRWDVYLLSSDSQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYKDIANYNQLDNQDEAQEETGWKLVHGDVFRPPVHSGLLCVYVGTGVQFFGMTLVTMMFALLGFLSPANRGGLMTAMVLLWVFMGVLAGYTSSRLYKMFKGTEWKKITLKTAFMFPGIIFALFFFLNALIWGEKSSGAVPFGTMFALFLLWFGISVPLVFVGSFLGFKQPAIEDPVKTNKIPRQIPEQAWYLQPAFAILAGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYHWWWRAYLTAGSSALYLFAYAIFYFFNKLEITKLVSGILYFGYMLIISYAFFVLTGTIGFYACFWFVRKIYASVKID >KQL11822 pep chromosome:Setaria_italica_v2.0:IV:37023951:37025635:-1 gene:SETIT_006924mg transcript:KQL11822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRVVVLFVAATVMFVAFVPPPAVAQLRTDYYASVCPNLENIVRNSVRQSMAQSQISAPAALRLFFHDCAVMGCDASIMITNSNGDDEWRNSDNQSLKREGFTTILSAKAAVDSDPQCRNKVSCADILALAARESVLQSGGPYYPVELGRYDGRASTRASVVLPRVNFNLDQLNAFFSGLGFNQTEMVALLGAHTLGAADCPFFQYRIGSDPTMDQGLASQLRGTCGSNPTNGFAFLDPSPVTFDNAFYRNLQGGRGLLGSDQVLYSDTRSRGAVDNYASNQGAFFADFVAAITKLGRVGVKTAANGEIRRDCRFPN >KQL09609 pep chromosome:Setaria_italica_v2.0:IV:4563146:4564933:-1 gene:SETIT_007413mg transcript:KQL09609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMRNGVYLNPPYLSGALEPAQASQMFAALGGGHITASSSGAVMPPVNQSSGAHQAFDPLNPPPQNQPLSFVLPSVPDKTIPEHQFQLESSQSHLRTFRMPESSEMMLRGEMIANHQLTSGQERVGLPGNDMNPIRQESSVVNTDHFDGCSRNKE >KQL09608 pep chromosome:Setaria_italica_v2.0:IV:4564228:4564928:-1 gene:SETIT_007413mg transcript:KQL09608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSMRNGVYLNPPYLSGALEPAQASQMFAALGGGHITASSSGAVMPPVNQSSGAHQAFDPLNPPPQNQPLSFVLPSVPDKTIPEHQFQLESSQSHLRTFRMPESSEMMLRGEMIANHQLTSGQERVGLPGNDMNPIRQESSVVNTDHFDGCSRNKE >KQL09162 pep chromosome:Setaria_italica_v2.0:IV:1607002:1614575:-1 gene:SETIT_006024mg transcript:KQL09162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDFGAPADDPKVFRNICRDRILKDLLKPDKDKETKSSWKVLIMDKFTVKIMGYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVTYIKNDSSVIPRIGALREMNLEFFTIDMQGFVTDHDTALNDLYGRSENNSKVFNDTISTMATRIATTFASLKEFPCVRYRAPKGDASTTTKFDMVPKWLATAVWDIVSKYKSSIPEFPQKETCELLIVDRPIDQIAPVIHEWTYDAMCHDLLEMDGTKYTYEVSKAGSEPEQKEAVLEDHDPLWLELRHTHIADASERLYEKMNNFVSKNKAAQLHSRDGGEISTRDLQKIVQALPQYSDQVEKLTLHIEIAGKINRFIREYGLRDIGQLEQDLVFGDAGAKEVINILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPHDDMDVIKCLRYLEGSDFKKSSRTGTFSLKFDAQKKKNAARTEKQDGEETWALSRFFPLIEELIEKLSKGELPLKEYPSMSEPSSAPQGTTQTASTAAPAQNPQPMSMRSRRTPTWAKSRNSGDSQSSDSSVLRHSSGDFKRLGNRIFVFMIGGATRSELRTVHKLTTKLKREIVLGSSSIDDPPQFISKLKSIGSANNN >KQL09323 pep chromosome:Setaria_italica_v2.0:IV:2535744:2536803:-1 gene:SETIT_009117mg transcript:KQL09323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAEERPGAERYCRLRAHRPRPYFFIPVLIPFCAYIDASSSRFACFCCAATATWRSRWLARWASCLTALLAGILNDAGNTDLATASA >KQL09322 pep chromosome:Setaria_italica_v2.0:IV:2534384:2537493:-1 gene:SETIT_009117mg transcript:KQL09322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAEERPGAERYCRLRAHRPRPYFFIPVLIPFCAYIDASSSRFACFCCAATATWRSRWLARWASCLTALLAGILNDAGNTDLATASA >KQL12116 pep chromosome:Setaria_italica_v2.0:IV:38820806:38822986:1 gene:SETIT_006792mg transcript:KQL12116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRMTKLLLQLQAAADRRHGHGSGLGFVPGAGRRHPPTPRHASAAGRFAPSCLVLCLLALAAAATTLALALALTLHRHVPDPAAAAPGASPRGFAVVINTWRRYALLRRSVAHYAACPGVDAVHVVWSEPRPPPEHLRRAVLNGTRRGIVRFDINGIDSLNNRFRPIRGLAADAVFSVDDDLIVPCSTLRFAFDVWRSAPSAMVGFVPRMHWLADPRGGGTKEYRYGSWWSVWWTGTYSMVLSKASFFHRQYLDLYTNQMLPSIRKYVNENRNCEDIAMSFLVANATGAPPIWVQGRIFEIGSSGISSLKGHGLQRSRCLNTFAAMYGHMPLVATTVKAVDSRKSWFW >KQL10030 pep chromosome:Setaria_italica_v2.0:IV:8688766:8689774:1 gene:SETIT_008023mg transcript:KQL10030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISFWRSVEDACIEIKSDENLLQWFDLNQESRVVHIDTQINVFEGPLQFSPTKRRCHPAVRNKVVQSPTPPPLLDLPTASNTNEKPTKKGKKRKRKGAHDDGKLVGVHEEGNYSGTESLAALSDSSYDTDLAASSDSDCSDPEYERDVEIFDEDDEDDSSVFSYDVDDPCFDIGVVFPDVKQCKSALTQHAILNGYAFRTVKKDKKRFQVKCLRAEEGCKWTFFASTSSKKYLGCKVR >KQL11237 pep chromosome:Setaria_italica_v2.0:IV:31933245:31933525:-1 gene:SETIT_009093mg transcript:KQL11237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSWGLSVSPIMLFIWYPSMAMGSHGIANAKKNFTCQF >KQL10185 pep chromosome:Setaria_italica_v2.0:IV:10629225:10629714:1 gene:SETIT_007587mg transcript:KQL10185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRSPGTGDLEGPVAEQEELVRRRLETAEEAVGKWGSRGGSDAGGGGVSDSPELAAAVRELISLSSGGGSSGQRAKMALQVINPCRLCMLCIPSFFLACRRSYSRQ >KQL08967 pep chromosome:Setaria_italica_v2.0:IV:459746:460181:1 gene:SETIT_008962mg transcript:KQL08967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNYTRPAPGHSSLARSSRSQDVRWRAARPRPPAAPCSSSASCCCLPSYSCFLRRWLHPTGTESAPASAAAWRSAATEAIPWISAPAAACPPASSSPASRARRSPSAGPTPSPGASSAPACAAAW >KQL10873 pep chromosome:Setaria_italica_v2.0:IV:27437409:27438323:1 gene:SETIT_007930mg transcript:KQL10873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTTTVSPPDPSRDGAGVPSTSSSNFTLLYIIIAVLAGVIIYVAIRYGRSVMAEWHQLQAGGHGTGSSAAALGLSVDDIAALPTFTYRARGAPASASPSPLRGGRRSRSGSKGRAAALGAVECVVCLQELEDGDVVRVLPPCRHFFHGRCIDAWLCAHSSCPVCRAHPEPERARLMEGFVSPPLPQLRRCGVSPERPTASRVLADILARSPLRSPSPTAPVYGRVCDRCSNSSPPGVPEIVVVPSRSPSPMRFGASRQLSARSIPTLESIEVITPASPSPVLIQEDGGGSLSKSKSPSPSPH >KQL11678 pep chromosome:Setaria_italica_v2.0:IV:35997240:35999897:1 gene:SETIT_006071mg transcript:KQL11678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPCGPLAVHLLICIALMLFAHQVAPAPVTRRFKWDVEYIMWAPDCQQSVMIGINGKFPGPTITANAGDVISVEVTNNLHTEGIVIHWHGIKQIGTPWADGTASISQCPIESGGNFTYEFLVDKPGTFFYHGHFGMQRAAGLYGSLIVNATDKQPEPFAADYDGEHSMLLSDWYHENVYAQAAGLDGKDKHWQWVGEPQTLLINGRGQFGCSLGITRDRRACDRRKKDAFCPEGDKSERCEMIRRSECGPFCEGTKCSPVAFDVEPGKTYRLRIASTTSLSALNVQVQGHKLTVVEADGNFVEPFEVTDIDIYSGESYSVLLKTDQRPSSYWISVGVRGRRPKTQPALAILNYTNSKPDSWPSGVTPETPAWDNVTRSKEFTYRIKARAGTARPPAAVDRRITMLNTQNRFKGHIKWAINHVTLSLPATPYLGAYFYGIEDVAFDATAESPDTYDRSYDIEKPPDAQAPEAMAPTTASDRVFRIASGAVVDVVLQNANALEAGVSEVHPWHLHGHDFWVLGYGDGVYEHERDSGKLNTANPPLRNTVVLFPHGWTVLRFVADNPGVWAFHCHIEPHLHLGMGVIFAEGMEKLRELNVPREAVTCGAAKSVAALPLAPAVAPSPP >KQL12377 pep chromosome:Setaria_italica_v2.0:IV:40229403:40229838:1 gene:SETIT_008997mg transcript:KQL12377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSICPSILLWISSRTAIYFSKVWGFTCRGDRCVKNTQT >KQL09231 pep chromosome:Setaria_italica_v2.0:IV:2014925:2019845:-1 gene:SETIT_005941mg transcript:KQL09231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAFLRSPLARNLVYDEFAVLHSTSYRFHPLRYLRCNPTDSRPCQTLSASPLRGVTQRVAALPDVDDFFWEKDPTPILDTIDAPIHLKNLSSKELKQLADEVRSEISFIMSRKCQPCGPGRSVVELTIAIHYVFNAPMDKILWDAGQHAYAHKILTGRRSLFHTIKQKNGLSGFTSRFESEYDPFGAGHGCNSLSAGLGMAVARDINGRKNRIVTVISNWTTMAGQVYEAMGHAGFLDSNMVVILNDSCHSLLPKADDRPKMSVNAFSSALSKIQSSKGFRRFREAAKGLAKWFGKGMHEFAAKVDEYARGMIGPHGATLFEELGLYYIGPIDGHNIDDLICVLKEVATLDSTGPVLVHVITGTENDTGGNISSEITPNEEGPSNSSHDLLKFLETGLSRTYNDCFVEALTAEAENDKCIVVVHGGMGMDRSLRLFQSSFPDRFFDLGIAEQHAVTFSAGLACGGLKPFCIIPSTFLQRAYDQIVEDVDMQKIPVRFAITNAGLVGSEGPTNSGPFDITFMSCLPNMIVMSPSNEDELVDMVATAAMVEDRPICIRYPRGAIVGTSGTLTYGNPLQIGKGEILVEGKEIAFLGYGEVVQRCLIARSLLSNFGIQATVANARFCKPLDIDLIRTLCQHHSFLITVEEGTVGGFGSHVSQFISLDGLLDGRIKWRPIVLPDRYIEHASLAEQLDLAGLTAHHIAATALTLLGRHRDALLLMK >KQL11416 pep chromosome:Setaria_italica_v2.0:IV:33441349:33443963:1 gene:SETIT_005869mg transcript:KQL11416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHGQQGGDLHHEDFQLKDTNPLLGEQWPKGAGGPARPAGGGAGGGLAGWLGVDKPSSTYDLVEQMFFLYVRVVKAKDLPPNPITGAAMDPYVEVRLGNYKGTTRHFDRRANPEWDHVFAFSKSRVQSNVLEVFLKDREMLGRDDYVGKVVFDLAEVPTRVPPDSPLAPQWYRLEGRRGEGGKVRGELMLAVWIGTQADEAFPEAWHSDAAAARGEGVASVRSKAYVSPKLWYLRVNVIEAQDVQPQRGGRAPEVVVKAQVGHQILKTSAVAAPTLNPRWNEDLVFVVAEPFEEQLVLSVEDRVAPGKDDLLGRVALPLGLFEKRLDHRPFVQSRWFDLEKFGVGAAVEGETRRELRFASRVHLRACLEGAYHVMDESTMYISDTRPTARQLWRPPVGVLEVGILGAAGLQPMKTRDGRGATDAYCVAKYGQKWVRTRTMIGNSNPTWNEQYTWEVFDPCTVITIGVFDNCHLGINGGGGNGGGGGAPARDARIGKIRIRLSTLETDRVYTHAYPLIALQKSGVKKMGELRLAVRFTCLSLVNMLHLYTQPLLPRMHYLHPFTVTQLDALRHQAMGIVAARLGRAEPPLRREVVEYMLDVESHMWSMRRSKANFFRAVSLFSGAAAAARWFGDVCRWRNVATTALVHVLLLILVWYPELILPTVFLYMFLIGLWNYRRRPRHPPHMDTKMSWAEAAHPDELDEEFDTFPTSRPQDVVYMRYDRLRSVAGRIQTVVGDMATQGERLQSLLSWRDPRATCLFVLFCLLAAVVLYVTPFRVVALVAGLYVLRHPRFRSRMPAVPSNFFRRLPSRADSML >KQL12378 pep chromosome:Setaria_italica_v2.0:IV:40230049:40232399:1 gene:SETIT_007936mg transcript:KQL12378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLGTCSLPGAAIYGTSTRRFGGSQFQQPKVNRISFEQKVSAKTTLRSMRCKATQTQSVQKKSSSATVQRDKKGKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFSCVLLLDYLKEFEKYLLTRKHRGGDDASNGLLQP >KQL10473 pep chromosome:Setaria_italica_v2.0:IV:15864236:15868139:1 gene:SETIT_006031mg transcript:KQL10473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSKWALKSASVASTFCTVISPRRLFGLSSRFGAARASWGGTAGRLGEGRRRPPNFISSVAYGSACPPSIISSFALVVVSPAPVICPPCRPAAAAMHDPSEIRNLPIDIAFARLQEWLVDRKRVPQDWRKRLAGIRARIAAAFPSLPRDLHPSLLGLEPEEIGYLEAKKIYSILLDSNTESRNIFGRLTGSAGEWESVVKAYEKDHVFLGEAAQIMVQNVNYDIPYQRKQMQKTQQQLAELDRKEADIKRLAALSATRYVEACQELGLQGVNVREELIESAKTLPSTFSKILEVLNSDPVSKAMEHYTAFVRDCHSEDKGSCDSVLRNLKSLQENPPPLHVSVYTEVKSSIGEALKSHRSIEQIDSNIPAEDIDWEISVDANEIDWDIGAVEQPVEEAGNGFGSYEIIDANIELAGSENYDVSASDNPSLNKEGLASSESGICWDITADNSEESVHDNANIQNAPMVAEDRSRLLEKEYRNDILDDLLEVKSFLTQRLGEMRNGETSSLQHQVQAVSPFVLQQYAPDSLENMLVEVSSAISLLTNQKTLDLIMILNSKRFLDRLVSTLEEKKHHEVKLREGLGDLSVKRMELQNALSSSWPKQEAAITKTRELKKLCEATLSSVFDGRPVHIIGEINTLLSSSVSQLAG >KQL12089 pep chromosome:Setaria_italica_v2.0:IV:38683905:38686462:1 gene:SETIT_008101mg transcript:KQL12089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVVAAAVIIFFMMKAQPAASAIVEHTFTVSQMDMTHLCKEVLTTVVNGQLPGPAIEVTEGDFVVANVVNKSPYNITIHWHGVKQRLNCWADGVPMLTQLPILPNNNFTYRFHVSGQEGTLWWHAHVPCLRATLHGALIIRPRLGAESYPFPKPHKEIPIIIGEWWEADLQDVDRQLRFLLPDSVPEFYFTASTINGKLGDLYNCSGVHEDGFVLEVELGKTYLLRIAGHTFTVVAADANYIKPYTTNIITVSPGETVDALVVADAPPGRYYMVAKPNQAPLPEFQNPEHVTRGMVLYSNNHSSGNNGAASVSSFRADGGGSTTSGDMPMTPEMPDEHDSITSFYFHGNLTNLHHAPHLQVPMRTVCRHGRQFCTRMASPEDKVLSTMNNISFQLPMVETSLLEKHYYNSSDMYTFQVLPDRPPMAFNYTDETLIPLGPKEAQLEPTSQATVVRRFRYGTTVEVVFQNTALMSSDSNPMHLHGHNMFVLAQGIGTYNATRDVERYNLVDPPVRNTVQVPRLGWAAIRFVTDNPGIWYIHCHYEFHLTMGMTAIFIVENGPTVDTSLPPPPPRSDFLDNPVLRESYAET >KQL09984 pep chromosome:Setaria_italica_v2.0:IV:7907034:7907614:-1 gene:SETIT_007707mg transcript:KQL09984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDKHISTAAAESEPSRLVGAQDLGLNSPRSLPLMPSRSQHQLSYTRMHLKKAGSHAHRKQAASLLYSIICSGIHY >KQL11790 pep chromosome:Setaria_italica_v2.0:IV:36795189:36797544:1 gene:SETIT_008387mg transcript:KQL11790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHDLPIHLRSCFLYCSLYPKDYKIRRKLISKLWIAEGFVEDRGDGTTMEVAEYYLTELTQGSLLQVTERNTCGRARTFVMHDLVGEATSIIAKLEKFGIAYSGCGITQVTHEARHLSIQRGAQSLHSLSSSRLRSFILFDTEVPSRWIYDVLSHFRLLRVLCLRFTNIEQVPGMVTELYNLRYVDFSNTNVKHIPASFRKLTNLQVLDLRFTYVQELPCEINLLTSFTGLLQCYNICHLKNLQALGIIQANKNLVSHLGNMTLMRSLAIMKVRQSYIAELWSSLTKMPNLSRLLISACDMDEILNLNMLEALPNLKLLWMAGKLEGGMLPPLFAEFENLTWLKLDWSDLKKDTISSFSHMLNLVDLRLFGAYGGQQVTFCIGWFRKLKTLQLADMEHLTQIEMEEQKMVSLHVLELSSLRNLKSVPEGIKYITTLNNMFLIDMSIEFIERLQGSDNHIVQHVRNIHKFGPSDSQAGNIHFLSMPTI >KQL10949 pep chromosome:Setaria_italica_v2.0:IV:28692593:28694041:-1 gene:SETIT_006831mg transcript:KQL10949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYGLAADNVLDAVLVDADGRLLNRTTMGEDLFWAIRGGGGESFGVVLSWKLRLVPVPPTVTVFTVRRSRNQSASDLITKWQVIAPALPRDLILRVVVQNQHARFEALFLGRCSRLLDHMGAHFPDLGVTRADCEEISWIRSAVYFAFYSSSKPLELLLDRSGETGRFVKAKSDYVQEPIPLHVWERTWSWLEKPEAGLLILDPYGGRMASISPSATPFPHRKGNLYNLQYYSYWVENGTAALGKRMGWVRGLYKEMEPYVSKNPRTGYVNYRDLDLGTNEVEGNVTSYAKGRIWGEKYFKGNFERLAAVKAVVDPDDFFRHEQSIPPLPAANGWSSI >KQL09564 pep chromosome:Setaria_italica_v2.0:IV:4288853:4291158:-1 gene:SETIT_007327mg transcript:KQL09564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVSGGGGNAWAKEMTIRRKMASIFNKTREHFPTLKDYNDYLEEVEDMTFNLIEGIDVDAIEAKIARYQKENSEQIVLSRAKRAEDLAAALKASRMNPVKTDADDTDVGSSQGISGGAGFQGQYAPAAVPGGMGQPRPTGMPPQPIGGRSDPLQGDDEETRRLRAERAARAGGWTIELSKRRAMEEAFSAIFI >KQL10664 pep chromosome:Setaria_italica_v2.0:IV:22667406:22668110:1 gene:SETIT_007453mg transcript:KQL10664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRRNNSSLFESSTIITANLIMASSSYLLSRITPRATASPTRTAAARAPTWSPAASTRCVEPMAGCRTVLMEPIDCQDGKVDQQVETFIRRFRERTHQSETARLEAAAAGSAAAVRPPPVLPPCGRGLCIDTHGKVQDVAR >KQL10360 pep chromosome:Setaria_italica_v2.0:IV:13540479:13543017:-1 gene:SETIT_008194mg transcript:KQL10360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNQDVASQDSPLEYHLQKYLLLLATLVAAMTYAAGFNPPGGVWEDTNQGYLAGEPIIRATDYHRYLFFYYCNATAFASSIVLIILILILAIIHEKQISSVNQDEKKQFWILVLPLRLVMMLDLLGLMGAYAAGTGRDTVTWVLVTVIFVSVLFHVLLASCITYMSGLSAPGGFWDSSQEGHRAGDPIMQEHHSHRLRVFFFCNTVAFTASVLIIMLLLDRKMLKIGLVRCHILYAYITIALVGLGGAYAVGSCREMDITIYVVGLAGAVIACICIQVIIYRLRKKSDRTNNSPVWQDNKDGHKAGDPILLSTNPKRYKVFFYCNSAAFVASLAVIILVQYRSKLKRHMNALKRAMILGLFSLMGAYAAGSCRDVSTSIYVIALAGAVLVYVVIHVAVFMPEDNWRQDDDDMAVHKRRKRLLLFAVLGTTLTYQAGLTPPSGFHLEDEFGHGAGDPILFHNYPRRYRAFYYCNSVSFMSSIALIILLANSNLYKPAIRSYALSVCTAAGLFGLMGAYAAGSTQHLKTSIYIFVLVALVLAVVIILLLIYWREFKANAGSELSRKTEGQKQKDAIDHAYRKYLMLLGVLAASVTYQAGLNPPGGVWQENSNGHAAGDPVMQDNRKHRYRAFFYSNSISFAASIVVIILLIPNLLVAYASGSTTEWEASGHIIALIVPALVLVAIYMLPSFNSNPPRSTESRVLRSDV >KQL09744 pep chromosome:Setaria_italica_v2.0:IV:5626873:5628539:-1 gene:SETIT_006394mg transcript:KQL09744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRFHLSIASRTLVRASRPQPGFPAVLAVSNLDLILGPFPIFLVSVYPAPAAGLDAVLAAVRGAFPAYLSSFFPFAGRIVRDPETKIPEVQCNNAGAELVVADAAVPLADVDFTEVDRSLGLIQIPFDASIPMSLQLVRFACGGFALTVGTTHLLADGRAFTILLSALAEMVRDGGLSREPLFDRSLFKPRSPPWYSASLDAEFARFTPETMINPVLTAAIRRRLYRIEAADLAALQAAASPPGGGRRASRFVALCAHVWKLVARAVGDADPSCRMAWIVDGRKQVEPSDGALDRYIGNVVTYTSREASVAELMRAPLHDVAAAVRAAIAGVMTAARFQELADWMEERKAAFRDGGKWTEEVNLGLGSPALVISGLLPFPIDGDLGFGKPRLVMPWLRHGRLGSANVTVVPSPSGDGSWFFAATRMWPRLVEVVESDPLLKPAANLGQATPAGPRL >KQL09640 pep chromosome:Setaria_italica_v2.0:IV:4790426:4791555:-1 gene:SETIT_008619mg transcript:KQL09640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVHDAYLYANEDGFGVSLSLHRASLNATWAVHRVVRGGVSYFLFHSTAYGRYLSMTRHGAPPGHGLIVQRDYSAWRRRTVMSEASDEGDGTGDVVMRNRSEMMSWVVEAIPPREGPPELPDVIAPPALPGGPMRGGRLRSVPAPPVFRRRIRYVRANDQEELNALVWSTLWFNGRSVSRLREVLANELGEEDSQNITLCVRAGSRGRLTPLIIDLPDDEQIMEIVVFTTGSKGESLSVLSVACQPV >KQL10855 pep chromosome:Setaria_italica_v2.0:IV:27109257:27109564:1 gene:SETIT_008019mg transcript:KQL10855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFQRACARWRIHGLNTLTMEALACKGMQDDTDQGTKRLILEIDCLVLTGLSGNLEGQHSEVSPVLKNMNELNRRYTDFNFLFTSLL >KQL10921 pep chromosome:Setaria_italica_v2.0:IV:28117057:28119313:-1 gene:SETIT_006312mg transcript:KQL10921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKEKVVMEILEDDEKRSSENSVPSAVLDLNEGFGEGSDGGEVGEDADDDDVEEEEDYEGGSTSEVAGAGSSNSSSNHNSGSNMDHDMNSGSKGEGSSERAPAVRQYNRSKLPRLRWTPDLHMAFVHAVERLGGQEIFSPMGFHMRRGDQRFHDMFFQRAAGSAISSRLLHAGGFFGSRNAVSPEASRLFGLLQRRQPTMQTFDFKNYSSLRNQEWTFSQHAAAAARAGAINDHGPARGLIHDMIFRKDGKPTSHLFDVRDAIASNRTSSAAAAGGATDHGGRIGSSDWIGSSSRPLSRTTSAAAASTGFALGSLHLLSKGMRGAAAGSNGYHPNGDANTTSSDPVVTREALGSRLETHLVEPKNPSKVIGEMRTGTPAKRTKASMEENGGGSGMPDLQLSLSPNVGGDADKAKKRKILSIALSEQEEVDSDKMLPLSLSLSLRGGDSGGEGSGGDAGRLEAETGSSSKKAVLGLSTLDLTMSIKALE >KQL11444 pep chromosome:Setaria_italica_v2.0:IV:33793508:33801701:-1 gene:SETIT_005811mg transcript:KQL11444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKPSPPPAAKPRRRGAATKRKERAASAALSTSPPPKRQAKERAPVDPPPLPPPPPPPAPRNRPPARKSRRKPARKKASRRSVNPPREQEEKEEEVPPPPPPPRPSLEQEIEAVLSRGAGVHVVPTFAGWFSWKGIHPIEKQMLATFFDGKSEMRTPKIYLGIRNLIMSKFHFNPEVHLEAKDLSELSIGKMDARLEILEFLSHWGLVNFHPFPPVTQKCELVESKTGADTAEEISLVEKLFQFKTVHSYLVPVPKKADVISPVQFTSLLAEPTLAEDAITTAESSVEYHCNSCSVDCSRKRYHCRTQVDFDLCSDCYNVGKFDEGMSNADFILMEYAEVPGSGGSNWTDQETLLLLEALEIFKGKEWDEIAEHVATKTKEQCMLYFLQMPIFDYFLDGKEFSETPQKITQDLAETGTFDVPEEMDVDDNAEGKESTDEKTFKKADANSSETGTKLADQSVSAKEDTMNPGDNVLVASSIVDESNKSSLMDPANKKNLADVDVSGEHASNFVIDVLRSTFEVIGHFLDKEDLGSFAEAGNPVMALAAFFASVVEREDAVTSCCNSLRAISEISPALQLATGHCFILPDPPSNLEDPTSNFSPCTGGECQGGVGGTQNVNATDKDSSEREESALALEKEKATFTSQKEHMELSNTKESFVEGPQAEVISNSTKDSDNRTAIMESSVASDKMRYGCNTIPCSATSTNTNEPSSIASQEASAASTKGTTNPERVEGDKPSSMELPDDGSPSHGKVDPEEIELAPVASSSMQQHESNQTGNGNTKEPNSNENIIAAAAADPVIRLQRAAGTAVSAAAVKAKFLAEQEEVHIRQLAALVIEKQFQKMEAKMSFLSEVDNLVLRSRELTEKMRKKLMLERSAIITSRMAAAASRTNQHGAPGTRLPLPVALVQQLRRP >KQL11614 pep chromosome:Setaria_italica_v2.0:IV:35333765:35341231:1 gene:SETIT_006120mg transcript:KQL11614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGARDAERWEGYVDWRNRPATRGRHGGMLAASFVLVVEVLENLAFLANASNLVTYLMRFMHYSPSQSATAVTNFMGTAFLLALLGGFLSDAFFTTYTIYIVSAFIEFTGLVILLVQARTPSLMPPQCAKAPCEPVSGAKKAMLFAGLYVTALGIGGIKGSLPSHGAEQFDEHAPRGRKGRSTFFNYFVFCLSVGALIAVTFAVWVEDNKGWQWGFGISTIAILLSIPVFAAGYGMYRNKVPTGSPLTTIAKVLLAAALARRGGAQSASNGAVIDRAPSPTGSTDMKEYCKTGEMGVISDAAAAAGDEPAEPSRELAFLNRAAQCQAPPNGLLACTVQEVEDVKIVLMVLPIFFSTIMLNCCLAQLSTFSVEQAATMDTRVGKLTVPPASLPVFPVTFIILLAPVYDHVIIPFARRVTGTEMGISHLQRIGTGLVLSIVAMAVAAVVEVKRKNVAADNGMLDSAKPLPISFFWIAFQYLFLGSADLFTLAGLLEFFFSEAPSRMRSMATSLSWASLALGYYLSSVLVSIVNSATAHGGHRPWLQGASLNHYHLERFYWVMCVLSTLNYLVFLFWAIRYKYRNAGVIKG >KQL10056 pep chromosome:Setaria_italica_v2.0:IV:8985518:8986207:1 gene:SETIT_008497mg transcript:KQL10056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGGGAVLAGGHDEADAAFFSRRGHRCCGCFWAPPWAASSSPSPSPRTRRAEQGGDQEWWHRVGEGGGGAASSGRRRWWRRGVDALMKVREWSELVAGPRWKTFIRRVPPQQPAPRGRGRRWRRYALNFDEGHAGGSPGGGDYAGYPPDFSARFVAPPPGSAKSSMDLGGRALAAPASCRRGEGLTSTRRAGVTVGCGCASLMGRRRR >KQL10789 pep chromosome:Setaria_italica_v2.0:IV:25723522:25723947:-1 gene:SETIT_009144mg transcript:KQL10789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPAYHLEAYFVHSFLMCHALLLHRRSKTLNLVYTYNAHYNIYTPQEEIHYCRSLILFEDSNAF >KQL10714 pep chromosome:Setaria_italica_v2.0:IV:23956245:23963810:1 gene:SETIT_006408mg transcript:KQL10714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQVVVLAGGTSETLSPLVSKDVPKALLPVANRPLLSYALDLLEASDLKDLIVVVEGQEAARLVGAWVSSAYLDRLRVEVVAVSEDLGSAGALRAISKRLTANDILVISGDLVTDVLPGAVAATHRRNGAAVTALLCSVPVSGPSDTASSSGKDKAKKPNRLNIVGLDKTKQFLLHIISGTDVEKDVRVHKRKIQAVGQMEIRSDLMDAHLYAFKRTILQDVLEQKESYRSIRLEVLPYLVRSQLRSAPSGGNGTTGDETGNSTVLSSGNLQCLSQHRVIAPSAFKQDVLSRSHGGHRCCAYIATKNKYCHRLNSMQSYCDINRDVIGEASHLSGYSFSAQNNIIHPSSVLGSKTTIGPHCILAEGSQLGDKCSVKRSVIGRHCRIGSNVKIVNSVVMNHVVIEDGCHIQGSVVCNNVQLQERAVLKDCQIGAGYIVTAGSEHKAESLARR >KQL09277 pep chromosome:Setaria_italica_v2.0:IV:2256605:2257357:-1 gene:SETIT_007543mg transcript:KQL09277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGHGRSLLTVLGLGVLTCNSAVAVYRSWGDPASVAFVATAYAALLLLLRFLRGFEGARPGERGKAKAAVWALSTLLTAMFASRVAPLMPPLVGVAVWVMAAATAGGGFWAFFLSP >KQL11996 pep chromosome:Setaria_italica_v2.0:IV:38065894:38072700:-1 gene:SETIT_006046mg transcript:KQL11996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDGGLSFDFEGGLDSAPAGGAGGPVPSSTDPGAGGGGGGDGPGMHGRGRGRGSYRQTVCRHWLRGLCMKGDACGFLHQFDKARMPVCRFFRDFGECREPDCAYKHSYDDVKECNMYKMGFCPNGPSCRYKHAKLPGPPPPVEEVLQKILQMRSFNRYGQNRNNNYNQQGERPQHPQGSGLPNQNSAENATATAPPTGGQQAQITNQQPPQQQQKPNTNDQVQGASNGHQTARIATPLPQGPSRYFIVKSCNRENLEISVQQGIWATQRSNEAKLNEAFESTENVILIFSINRTRHFQGCAKMTSRIGGYIGGGNWKSAHGTAHYGRNFSMQWLKLCELSFQKTHHLRNPYNDNLPVKISRDCQELEPFIGEQLASLLYLEPDSELTAMLIAAEAKREEEKAKGVSADEAADNQDIVLFDDNEEEEEEESEEEEESNGQESQGRGRGRGMMWPPQMPMMRGPMMGGRFPPNMIGDGFGFGGGFGMPDPFGMPRGFPPFGGPRFPGDFPRGPMPGMAFPGRPPQPFPLGLDMMMGPGRGPMMGGMGMGGPGRPNRPMGMAPFMPPPPPNNRAAKREQRRPGGDRFETVSDQGSRGHENSGADGARSQSGDRYSRSALRDDDSESEEEAAPRRSRKR >KQL12363 pep chromosome:Setaria_italica_v2.0:IV:40168463:40170939:1 gene:SETIT_006885mg transcript:KQL12363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHGQIKLRTPTRPPPPCRGSRSRGGQAMATAFDSPTSSPAAAAPFHGGDAFLHFDGSADVHASADGFPASPDPYAFRSDAPPSPFGMPQANGGAVHDDDPFAPDSNGGPILPPPTEMGRDEGFLLREWRRQNAILLEEKEKKEKELRSQIILDAEEFKKAFVEKRKLNLETSKGQNRDREKLFLANQEKFHAGAGKQYWKAISELIPHEIANIEKRGARKDKEKEKKPGIVVIQGPKPGKPTDMARMRQILLKLKHTPPPHMKPPPPPAAAAGKDGAPAAAGKDGAPAAAAKDGAKPAAPANGSVPEMEKAAGAAPAPATEPIAAA >KQL09884 pep chromosome:Setaria_italica_v2.0:IV:7037066:7039608:-1 gene:SETIT_008350mg transcript:KQL09884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGVRATKRVRAPQGSQVQPTRAELRTSKRLQLSARDGQSEHAPTDGQDEIPPSSFTDAEQGNGTGVEDIIATEEDSGAAPAQRAPRRPRPPTKGTQLDRMTKAMGRRMPVAVAEGKKRPHEPVQAAKFASEAGVIIRDNVPVLPHWKLYKKDDQHYKNFVGKLSVRRLAINTNDKPTHDACTDVMRSGVQQRRYRLKQKYFNGTNMEALRAQPVAEGETRVSSVQVVSQVLPKNSSNSFLKSVGIKPVGTSQASSSSNEIELREQLAAEAKAVVQDELEDLKKRSEEAEEKLARIERELEEMKKLTEINTKAMEENNALLKRILSLNNASST >KQL12219 pep chromosome:Setaria_italica_v2.0:IV:39499654:39500375:-1 gene:SETIT_007215mg transcript:KQL12219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDYVFKIVVIGDSAVGKTQLLGRFTRDEFFLDSKSTIGIEFQTRTVDIARRRVKAQIWDTAGQERYRAVTTAYYRGALGAVLVYDVTRRRTFDHAARWVDELRAHADKSIVVMLVGNKADLAAGRAVAADEAAAFAEEQGLFFSEASALSGENVERAFLRLLEEIHANVSRRPALEAAAAYEEAGVINGRGHGDAGVLMLKGARLSLAEERSIMETSALRRTSSCSCT >KQL09202 pep chromosome:Setaria_italica_v2.0:IV:1835852:1836150:-1 gene:SETIT_008961mg transcript:KQL09202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQESVYNIPPKKTSNLSFLQSTFIVYNKS >KQL09219 pep chromosome:Setaria_italica_v2.0:IV:1931212:1932193:-1 gene:SETIT_007548mg transcript:KQL09219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPVNRKNLEQQLAMAKKSSREAALTGAKAAVAATIVSAIPTLASVRMSPWATANINPAGQALIISTVAGMAYFIAADKKILLLARKHSYENAPEHLKDTSYQGTGRLHPSFFRP >KQL09898 pep chromosome:Setaria_italica_v2.0:IV:7196129:7198692:-1 gene:SETIT_006420mg transcript:KQL09898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRKRLLRCFGYGGEEGADQEQKEEAAARPAGGRRAGTKPTLRRLSTANLRSLSLQDLSRKLETTKLHAFTLDELKAATKNFSTANFLGEGGFGPVYKGFVDARLRPGLDPQHVAVKYLDLESGGVQGHREWLAEVVYLGMLSHPHLVKLVGFCNEDDQRMLVYEYMPRGSLENHLFKNLLASLPWSTRLKIAVGAAKGLAFLHEAETPVIYRDFKASNILLDSDYTAKLSDFGLAKEGPQGDATHVTTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLELLTGRRSVDKRRRGREQNLVDWARPYLRRADRLHRVMDPSLEMQYSARAAEKAAKVAHQCLQSVPKARPSMRDVVGALEPLLALDDDVPMGPFVYTVGGGGAEAAEAAPAPARADDEAAANDEEAEAGSRQGKRHVMSAVHAESPLRYASAVKRPESPPTLSRA >KQL08947 pep chromosome:Setaria_italica_v2.0:IV:396914:397437:1 gene:SETIT_008941mg transcript:KQL08947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCIPILICTSLLQTNFPLCRCRFLHSAVLLPCQTTISIARYMQLIGNHQHQVY >KQL10240 pep chromosome:Setaria_italica_v2.0:IV:11636342:11636699:-1 gene:SETIT_008021mg transcript:KQL10240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAGKVAVITGGASGIGKATAAEFVRIGAKVVIADVQDDLGHAVAAEIGPGAACYTRCDVTDEAQVAAAVDLAVTRHGQLDVMFNNAGIGGNPGLPPPLAAVDLAEFDRVMATNAWGVLA >KQL11617 pep chromosome:Setaria_italica_v2.0:IV:35347820:35349335:1 gene:SETIT_008224mg transcript:KQL11617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLHAMDTRECRDYNYLKTAQDSICQQVKEITSAFHTIQRLLIDIKCYNQPEEQINEFGLAQQNVAQGRLISSTAGEAPRPIDEKVKFSCFMDEFLQVMNINRGNDDDIIIKIKGIVLTRKEIHTLTIKYDYDSFNKCLDDKVFLPMRVQTHRFLVVVNAYLRTVQVLNSDKQFVAKIVQQVRNMIIQNDEEEDYHRWKDFNVKTWDIDMLGGLPQQDDRISSGLFMLKYMEHWNGYRLQKGFTQNLIHEFRSKLAAILVNSVFNEEQTMKGSPEI >KQL11919 pep chromosome:Setaria_italica_v2.0:IV:37615425:37617411:-1 gene:SETIT_008791mg transcript:KQL11919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLGASSSKKRRPGSAAADPGPAPLKPAIRTGALSTRWPALWARRWPAPSSLDLHLRPGDDPEELLRSLECRGRRRLDRFSLTIHPYRSPLLPRVTDPQRFLHYAVACGVEDLHIDAADHFVSSVSTFTFPPDCSRLARLFVRHAGGLSSGSCFSHCSDAFPTLEVVHLHLLGSTLYIRRCNCEGVINLKPHLRSLTVADCNLTTQLDASTASGTYISLRGQNCNPIKHRIQALPGLANLRVLTICSIALQRVYALDRFGSATCLTKLSYLPSLRELQLVMLEMDYTNLAHMYAFFRCCQCPQLERLFVQLPSSSHDISVDISLEVSEEDEPDEELYEDDDPDGEEDEPVEELSEGYEAEEELLLEQLSEEYMLKERLYYEDVYEEDLPEENVPEDEQFEEDVPEGEHSEEDVPEGEQSEDDVPLYGLNNLIFAKLMKFKGHYFEMRLVSFLLRRATGLQKLLLVPPVGVGNYMEALEEPLDTSRFLDTILDFEKASPDAQIVLSDSDPTAIQQVHSDVS >KQL11507 pep chromosome:Setaria_italica_v2.0:IV:34331493:34335790:1 gene:SETIT_006987mg transcript:KQL11507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKSLSGQIVETLPEWRDKFLSYKDLKKRLKLIGAGAGSGAERQPKRARREDGGKADASSGAPAAAAAAAAMTPEEADFMQLLEAELDKFNSFFVEKEEEYIIRQKELQDRVARAAGQDSKEELMRVRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQKVLQQPFFTTDLLYKLVKQCETMLEQLLPTSKPSVSREDGKVDSNDEEKPAKPTSLVNGSGIPDLDELEYMEGMYMKSTVAALRSLKEIRSKSSTVSMFSLPPLQGNNAPEEPERWNKIPVIEQAAK >KQL10726 pep chromosome:Setaria_italica_v2.0:IV:24207735:24208340:-1 gene:SETIT_007682mg transcript:KQL10726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPGATAGEAARHVRPRGRRPAGSAGSPRCGLHPTLAAARGMIISCFNLMCLNFLVLISPIFCFRNFVHNVSKFLYRTYA >KQL11594 pep chromosome:Setaria_italica_v2.0:IV:35134384:35138579:-1 gene:SETIT_008403mg transcript:KQL11594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLGVFALVWLLSISTTFCNLLATSNETENDRQALLCFKSQINSPAHVFVSWSIASLEFCNWHGVTCSALSPRRVIELNLPSEGITGLIPPCITNLTCLEKLQLSNNSFQGSIPSEFRLLSQLSYLNLSMNSLEGNIPADLSSCSRLRILGLWNNSLQGQIPPALSQCRHLQEINLSNNKLQGNIPYSFGNLHELQMLDLSRNNLRGNIPPALGSSLSLTYVDLGSNALTGGIPESIANSSSLQILKLMKNNLVGEVPKALFNTSSLIVISLQQNQLVGSIPPVTAFSSPVKHLHLEENHLSGSIPSSIGNLSSLVSIYLNQNKLVGSIPECLGNISTLEILDFNENNLSGPVPKSLFNMSSLTYIALAENYLTGRLPLDIGFMLPSIQGLILTGNKFEGPIPTSLLNASNLQVLELGNNSLSGSIPFFGSLPNLKILDLGNNMLDAGDWAFMSSLSNCSKLKTLLLDGNNLRGKLPSSFGNLSISIEMLWLRNNSISGPIPPETGNLRNLNQLLMDCNHFIGKIPQTIGNLRNLGTFNLAHNKLSGQIPDAIGNLAQLTELKLEGNNLSGRIPATIGHCTQLQKLNLAHNSLDGSIPSNIFKTTTVEFDLSHNYLSGPIPDEVGNLINLNKFSISNNLLSGNIPSNLDRCVVLEELEMQNNYFAGSIPKSLKNLVSIKDMDISVNNLSGDIPEFLTSLSSLQHLNLSFNNFGGAVPRGGIFDIAGAVSIEGNKHLCTSVLTGGMPLCSAFTDRRKKHKIMALVVGIVISVFAGVVMILSCLTAVHWRKRMQVKSNLPKLSKHVKRITYRDIVNATERFSSSNLIGTGSFGEVYKGNLNHLEDQVAIKIFKLDIYGAERSFIAECEALRILRHRNLVKIITSCSSVDYTGSAFKALVFQYMPNGNLDLWLHPMAHDRSQRDILTLSQRINISLDIASALDYLHNQCATRLIHCDLKPSNVLLDLNLTAYVSDFGLARFLYTKNAQQECTSTLACLKGSIGYIPPEYGMNKEISTKGDVYSFGVLLLEIITGSRPTDERFSDGTNLHGFVERAFPEKIHEIVDPVMLQHEVDATETMKTCITPLVRIGLCCSMISPRERPGMGQVCTEILKIKQALSNLHGVRARTWYSQNPSFSSSSLPAWTAPRTSNYARAPRPLLLAAIPCRGGMGRQQRRRRCSPRHWNRDPAKGAASSVHPIMIRRLFRARAAWGVRALNWPTGSSVVQSMRLIRAVDHGTIQMSKGS >KQL10251 pep chromosome:Setaria_italica_v2.0:IV:11883802:11884846:-1 gene:SETIT_007466mg transcript:KQL10251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGRGSASGSNGALVAAGAALLCAAAVLLAPAPGAEAAGATYLVGDAAGWTRNVDYGQWLAGKTFHAGDMLVFKYNSTFHDVAWVSKGGYRHCIVSPKDRAPVYRSGYDTVRLPAGTHYFICGVPDHCQAGMKLAVKVY >KQL09543 pep chromosome:Setaria_italica_v2.0:IV:4127035:4128755:-1 gene:SETIT_008383mg transcript:KQL09543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSTTAHSSSSSVQIIDNIPARAPDATAVDTSDSGDDGVGCRWSPPSWPAAERIDSTFRRQKALDAFLQEARRRHEGVRPAPLRRPARMIVATSGRPGSGMRVPLHPFFGEVLSHFGVAPSQLAPNCWRVMAAFVALSRSAGVRPPPVAVFRHFFSLRVAKVKGQYYFAGRDTAVALFTGLPDSIKGWKEGFFFLKSSSAPWPCPVLWGEPIEKSTADPVLTSEEKRMVEELLRVRGTAAIDVRTYVSEGNFAAGTTIPGAPKPPPPSSPRHATAGAKGMDPSTYAMMQNMRAEKAAAAAPKVAVKSEPGGSDAPDWAPSIGKKRKLAEDNAKEGLCSAGPPGFASAAPGFSTRRDRKPLQHAPDRHDGDTVDWEAARQLLQGIVTPARERAFLVANPFNVIASGYVATLQAANYVTASLGQALNLQEELEKAKAELAEVKKAAAAEVESAKAAAVQEFLRSEEHERRLVEEALMGYERGMEDMKRVALGLRPDIDPARLSVPPGGFR >KQL10639 pep chromosome:Setaria_italica_v2.0:IV:21293332:21294132:-1 gene:SETIT_007542mg transcript:KQL10639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTRCMCFGAPLAPGGTRAWSIIYFASSWITFSIAEACLIAGATKNAYHTKYRDMVYAGNWTCQSLRKGVFIAGAVFVVFTMILNVYFYMYYAKATSQAAKKISKTTPSVSMTGYA >KQL09464 pep chromosome:Setaria_italica_v2.0:IV:3574055:3575624:1 gene:SETIT_008256mg transcript:KQL09464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPVVQGTRYGLAGTVRRAVLTSSIGAVAVDPNRSPDAVGDESCWSDLEFCKNTKNWYCYGKAAARGVDLVVDPALQPAVNASLAHGYVHVRDAAGAHVLVFEAPGAAGRYTCSDAVLLRGTVYTVLALRRRCSDEVNLRKQPSCDARYPTRQALYETVICFQKGILPVH >KQL11081 pep chromosome:Setaria_italica_v2.0:IV:30562317:30567032:1 gene:SETIT_007906mg transcript:KQL11081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYNIESSNADCLDSRPLKKPKCEQLNDCDLSPSPRSSTSLASSCNNIESSHVYDLDARPLKEVKCEQMNDVDISLSPPSATTLPSSSPVNNDRRILLDDEKHQNHHEQFKVDQTYDYLPQDYEMTDLDYCALMTIESSLESDILVKIDDIFVTQSQLSCLLDPKKFLNDDVISAYICCIKYQAHLESRNDVKFYFENPFITVILKRDGKLGVGQDGNHITKIVRNYLKHEMVLIPINIKETHWYLAIINTQKCEIQVLDSLCWDSNRVDLADTLQGLQFHLDIIGRQQNLISHNWKDLQIISWTITEQVQEPMQKDGSSCGLFMLKFMEYWTGDSLSHPITQEDINCFRYKLAGILLCWKTNTAQTTHQNMSLLGSSNDQKETKATDSLLEETKYQSLMSILSKINENELVGGLCDYIKSINCPETLEKVWVRNSKPYSISLTVRKLQEILKEDLPMDRDCLNLVIRKFMFDEIQMMKKTKGTISKHYLDTRFWMITDFGRHPNFRKKLDVEQLAETVYTRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWSEDVFLWRQIILSDVPIENRELSGYFVSLFICIWKDEELRLPILKDGYELRKQFMAQLLTYKENECEDNMPAGVRDFLSCINATKS >KQL09015 pep chromosome:Setaria_italica_v2.0:IV:724722:726441:1 gene:SETIT_007733mg transcript:KQL09015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCHPTLPVIVLGTSIHGSCAISLWNSTNNRVEIVIDNDYSGAAIKEFGFIGSTSCYGLSVAVTRRARRQI >KQL09419 pep chromosome:Setaria_italica_v2.0:IV:3238487:3239256:-1 gene:SETIT_008998mg transcript:KQL09419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTAFPLASRGSSVMEGSGLQNGVPWMERSSGHREGRENWILLELLKKLHALEVSISKK >KQL09452 pep chromosome:Setaria_italica_v2.0:IV:3493657:3494140:-1 gene:SETIT_008867mg transcript:KQL09452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMALAHGFRPTTLPTLFMTFRFRPIHHRQLLGSDVQVLPQVSVRI >KQL11298 pep chromosome:Setaria_italica_v2.0:IV:32419045:32423537:1 gene:SETIT_006691mg transcript:KQL11298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAGHRRATVLRQAAALLLALLSCCCCFRGSGARSASSSPASPKVPAVIVFGDSTVDTGNNNGIGTILKSDFPPYGRDMAGGPRPTGRFCNGRLPPDFISEALGLPPLVPAYLDPAYGIQDFARGVCFASAGTGLDNKTAGVLSVIPLWKEVEYFKEYQRRLRRHAGRAAARRIVSDALYVVSIGTNDFLENYFLLVTGRFAEFTAAEFEDFLVAEAERFLAEIHRLGARRVTFAGLSPIGCLPLERTLNSLRGGCIEEYNQVARDYNDKLLAMFRRLTASRPSLKVAYINVYQNMLDLITNPSTLGLENVEEGCCATGKVEMSYLCNDKNPLTCEDAGKYFFWDSFHPTEKVNQFFAKKTLDLCYEQLL >KQL08911 pep chromosome:Setaria_italica_v2.0:IV:243238:245598:-1 gene:SETIT_006829mg transcript:KQL08911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSSTQAQTQQLVPPGFRFHPTDEELVDYYLRKKVASRRIDLNVIKDVDLYKIEPWDLQDKCRLGGPGEEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKPIYANKQRQLVGMRKTLVYYKGRAPNGHKSDWIMHEYRLETNENGPPQEEGWVVCRVFKKRLPTTRRESDHDAPCWYVDEDGPFMHDLNSPMSRMPPHHSMAQLQEQHLQMLNNTYKRELKLQFHMPSHHVLSTIPHELESHSFHSLLVSPDHQTNVHHAHQHVQLMEHAVDQVTDWRVLDKFVASQLSHDATKGVDYTGEGDIIQVNEKQEVATDYASTSTSSSQVDPWK >KQL09599 pep chromosome:Setaria_italica_v2.0:IV:4508131:4517218:1 gene:SETIT_005930mg transcript:KQL09599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDQSVLGRRRIYYDASCGEALICSDSEEEGIDDEEEKKEFKHSEDCIIRMTVQECGMSDAVLHTLAQHLDRATDDIKARYEILQGEKTQDSCKKVIEHNAKVEDLYGDKDLDAALDSFDNLFCRRCLVFDCKLHGCSQDLVFPTEKQPACSGIADGASCGKYCYKASEPDSVAGIDHMLIDGEEPSHSSGNAMNQPGTNRKKNGSSGRKTKSQQSETSSTAMAVSESSDSEVHPISNKSPQPSPSPSKVKIGPKGGIRKITNRRIAERILMSVKKGQREMASSDSNSVSGSPLARDMKLRSNTRNGNKESFVSSQQNSPSTRSTRKKSTPQIGNSSASAEAQNDSMEEDGYDSSRKEEFVDENICKQEDSFRSWKPIEQGLLVKGLEIFGRNSCLIARNLLGGMKTCRDVFQYMNYIENSSASGTLSVVDSLVKGYIKGNELRTRSRFFRRRGKVRRLKYTWKSAGYHSIRKRITERKDQPCRQYNPCGCQSACGKQCPCLTNATCCEKYCGCSKICKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVGCGDGTLGVPNQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVNKHEYLGEYTGELISHKEADKRGKIYDRENSSFLFNLNNEFVLDAYRMGDKLKFANHSPDPNCYAKVIMVAGDHRVGIFAKERILAGEELFYDYRYEPDRAPAWARKPEDSRGKDDGQPSNGRAKKLAQNTRG >KQL10459 pep chromosome:Setaria_italica_v2.0:IV:15524706:15528102:1 gene:SETIT_006276mg transcript:KQL10459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAWWEALVAAATVGGTAGSSTTVVSICVFTGVLCLCLVAGHLLEENKWVNESITALIIGCLIGAIIFLLTKGKHSHIMRFDEQLFFIYVLPPIIFNAGFQVKKKQFFHNFMTIMSFGVFGVFISVAIVSAGCYWLFPKVGFGQLDASDYLALGAIFSSTDTVCTLQVINQDETPRLYSLVFGEGVVNDATAVVLFNAIKNLNISQLKGRAVLKVISDFLYLFATSTVLGISIGLSTAYVLKALYLGRHSTDREVALMALMAYLSYMMAELLELSGILTVFFCGIVMSHYAWHNVTASSRITTKHIFATSSFIAETFIFLYVGMDALDIDKWKTSKASFKTSISLFGIIILLVLLGRAAFVFPISILSNNMSGSSERVPITFKHQFTFSGVTSDPVHATIITSTIIVVFFTTLVFGFLTRPLISAMLPHHSVAPSRGHSTGSNSPKDDFTLPFFSTDEDASGSGIVLEQAKRSISMLLERPVHTVHIYWRKFDDKFMRPIFGGPQSH >KQL11476 pep chromosome:Setaria_italica_v2.0:IV:34021297:34026783:1 gene:SETIT_005772mg transcript:KQL11476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSLYDEFGNYIGPELADSDADDDSDAGGASPSPSASRSPSPAARSPSGSPSRPAALMDVDDDEDGDPSQQAVVLAEDKKYYPTAEEVYGPGVEALVMDEDEQPLEQPIVAPPRVVKFEVGTRAAATSTYASTDFLLGLAGNPALVRNVALVGHLQHGKTVFMDMLVEQTHEVDTFDSEGERHVRFTDTRVDEQERQVSIKAVPMSLVLEGGNGKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQERLPIVVVINKVDRLITELKLPPNDAYFKLRHTLEAINDLISSCSTTVGGTQLVDPAAGNVCFASGAAGWSFTLQSFAHLYLKIHGIQFDHEKFASRLWGDVYFHPDSRTFKKKPPKEGANRSFVEFILEPLYKIYSLVVGEQKGNVESKLAELGVTLSNAAYKLNVRPLLRLACRSIFGTATGFTDMLVKHIPSVKDAAARKIDHIYTGPQDSSIVDAMKKCDPNGHLMVNVTKLYPKSDCSVFDAFGRVYSGTIQTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRVAISKAPAGSWVLIEGVDASIMKTATICPMNIDEDVYIFRPLRFNTLPVVKIAAEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVTFCETVVDTSSMKCFAETPNKRNKITMVAEPLEKGLTEDIENGLVNLDSRQKEITDFFRQRYQWDVLAARSIWAFGPDKQGPNILLDDTLSVEVDKNLLNAIKDSIVQGFQWGAREGPLCDEPIRNVKFKILHANIAPEPLHRGGGQIIPTARRVVYSAFLMANPRLMEPVYYVEIQTPIDCVSAIYTVLSRRRGHVTADVPKPGTPIYIVKAFLPVIESFGFETDLRYHTQGQAFCVSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSISKFFDEAMMHELAQQAADLHIQM >KQL09615 pep chromosome:Setaria_italica_v2.0:IV:4598596:4599096:-1 gene:SETIT_009131mg transcript:KQL09615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIHFRPSTFFLLPWPLPHRGPGKLGRDFPVI >KQL11535 pep chromosome:Setaria_italica_v2.0:IV:34586119:34586406:1 gene:SETIT_008377mg transcript:KQL11535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMDGRARAIGGHHQQLIDSARVLLLLGAITLTHQVSRSAPSSGNVEHLVIGLILWLLGAALAMLSLVARRFPRLAAAGACIATALRNYLLGGL >KQL10183 pep chromosome:Setaria_italica_v2.0:IV:10617258:10618884:-1 gene:SETIT_008278mg transcript:KQL10183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPERQDSEEKSSQSPRELQPPPATAADAPHSEPTPRAQEPQPVSTGEEGDDVDRISSVPDAVLGEIISLLPTRDAARTQSLASRWRHLWLSAPLNLDHTSLPADEQVQVDPRCSPRPRPPLLRASVPPPRPRSNDSIWWTWPKRFTFPSSGSLDFEFLKISDCTMNSIIAGCPVLECLLINACTGFSPIRINSPSPRSIAMSCMELIIEDAPSLQRLVHLKPCSALRVSVISAPKLETLGCLCDHGFESNLLFGTTNLHSDCFTTVASSVKILAICIFNLSLDMVIDLMRCFPCLEKLYIQSCLAKDKNLWRGKHRNLRRCLDIRLKTIVLKNYQGMMSQVNFATFFVLNAKMLEFMRFEVGADNDNEVFIAEQHRKLQLEKKASRYAQFYFTNSGGCHGLGLSHVKPVTDLSITDPFECRC >KQL09809 pep chromosome:Setaria_italica_v2.0:IV:6227353:6228466:-1 gene:SETIT_006888mg transcript:KQL09809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDAAADEVAVELLPFIRLYKSGRIERLIVDDTVPASLHDATTGVASKDVTIDPANNVSVRLYLPPAAAGAGGKLPVVVYFHGGGFMVESAASVSYHRYLNALAARAGAVAVSVDYRRVPEHRLPAAYDDSWAALAWAVAAVCGGSAPGGTTPEPWLAEHGDPTRVFLAGDSAGANIAHNVAMRAAATGGLPAAIRGVLLVHPYFWDASDAMGPALEERIRREWRFMTGNPGAAVDDPRLSPTSAGAPPLALLPTARVLVAVAGVDFLATKGRAYHAALLASGWSGEAELEDTPGVDHVFHLVRPGTEAAEKLMDRVVAFIARA >KQL09020 pep chromosome:Setaria_italica_v2.0:IV:732728:736203:1 gene:SETIT_006549mg transcript:KQL09020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPPPLAGAGDRGSFSSSNHHHHPPPPPPPKILLAKPPLPHASSSGADDEGGGAGARARQAPQPGSLSLVSDAWEVHTDKILPYLTENNDFMVIGVIGPPGVGKSTIMNELYGYDASSPGMLPPFPTQTEEVKLMGKHCTTGIDLRLSNERVILLDAQPVYSPSVLIDMMRPDGSSTIPVLNGDPLSADLAHELMGIQLGVFLASVCNILLVVSEGMNDLSMWDLMLTVDLLKHNIPDPSLLTSAASQDKENKNDDQSGSEDYISDLCFVHARLREQDFSPSKLTLLRKALEKHFNSSSFRIGSSSATGQVSDSSVSSSTKFVDLSSSRQDIFLLPLRGHDNSTKFEYGTHSCMLGMLRDQILSWPARSFSKNLSERDWLRSSAKIWDMVKKSPVIADYCKALQSSGLFRK >KQL09237 pep chromosome:Setaria_italica_v2.0:IV:2049902:2051456:1 gene:SETIT_008465mg transcript:KQL09237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVLVDRYVLFEDELSEVTAERGGTPPSLTVESVLQRIAAAGLSGDEAEAAVERALDAVMSRYRAEIEAPAEAILRVRKAFRPSAVEKVVAAAALGGVDAASYREALEGIEPRLCRVAEPPGLTSLALRMSWPGDSALRHFPAGAFVSGADHNILALYVGPYRPGFAEAGFYLVYDAWANSVAVVPPLPPRSATMFSHCSIGTGVAVLRHGPPSDYVLVELLPRQDDRGLISNTATLFMWRSSGPVAGRWIQKEAVLPLPTEPPDEEEDDEDDASPEQPSYRFCADTAFAVGSTCLCWVDLLQGMLVCNDVLADHPEFRFVGLPEGCTVEPEHGRGDQHRSTCCVERGEQKHTIKFVSMDGYGQGRPISEVALITWTLDEPQDPRSEWKKAAAASICPVLSMLQDDVVYLELVDNQRLEEDKASGMLGGRCYMSLDMRRRRVLSVFKFSPGSRCFPPPDIFASRFTMYLNKGILGYINKE >KQL08938 pep chromosome:Setaria_italica_v2.0:IV:365698:367872:1 gene:SETIT_007113mg transcript:KQL08938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEVVAHVYDVANAGTDNTVLHINRFFKDAIGLGGIFHTAIQVYGDEEWSFGYCERGTGVFSCPPCKNPMYTYRESIVLGKTNCCILKVNQILRELSWEWPGQSYELLSRNCNHFCNTFCEKLEVPKLPGWVNRFANAGDAALEVAETTAVKLKQAKKEIVTACKVASTFLTGTSSSGSSNVEDTGGSTSTRNPLFEGTWIRSIVGMSMKPPKSLVCVDSSDSECSDTESESDNADQQVKDAKQEQHMESDNNGPRDHS >KQL10574 pep chromosome:Setaria_italica_v2.0:IV:18730984:18733341:-1 gene:SETIT_006382mg transcript:KQL10574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRSIPNASSANATFLLALLLLVTISPSFLQHCLARRESHHRPPPGGLHHAPPPTPVTPPPPPASSATFSVLDYGAVGDGATDDTKASADKLQLAFAAAWSAACAGGASTVLVPASYVFLVGPIMFTGDSCEPNMAFQVDGTILAHAGSTAWRSGVVTQWLEFKNVRGLTIKGCGTIDGQGSQWWGGASFAGDVEMELDSDRIGTSYRPTAVRVFQGANVTVTGITIRNSARFHLTFDTCRAVEVHDVAVSSPGDSPNTDGIHLAGSVGVSIHHTTIACGDDCISIQDGCSDLFIRSVRCGPGHGISIGGLGKGGASAVVSGVTVQDVTLNQTMTGLRIKTWQGGSGSVKSVRFSDVQMSAVKTAIVIDQYYCDHTTCTNQTSAVAVAGVAYQGVAGTYTERPVYLACSDAAPCSGIHLADILLAPVKDSGRHLQGPFCWKAYGDEVRPVEPPVDCLSAGAP >KQL10550 pep chromosome:Setaria_italica_v2.0:IV:18015037:18015858:-1 gene:SETIT_008502mg transcript:KQL10550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERDHRRSAPQPQVDVTKSLAAPLTAGDHGAPAAHGRQYERDAGPPEPFGVDPADAMEIAEAMELFFLYDAIPDPPVSTAAPLIYAVAVRPPYDGIDRISHLPDEVLRNVISWLPTNDAARTAALASHCAASVQKN >KQL10811 pep chromosome:Setaria_italica_v2.0:IV:26122811:26123317:-1 gene:SETIT_008829mg transcript:KQL10811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELYSMGARKFAIVNIGLLGCVPAVRVLSPTGECWDSLNQLAGGFNEALRPWLAGLARRLPGLAYSLADLFAFTRDTLADPQASGYTEVAGACCGGGRLRGEAQCSPNSTLCANRDQHVFWDRAHFSQRTAFLIAQAFCNGPAKYTNPVNFMQLAGSVSEITEALESSR >KQL12005 pep chromosome:Setaria_italica_v2.0:IV:38101599:38102144:1 gene:SETIT_008667mg transcript:KQL12005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMGAETLQDRVGHHVDGGDGGVPDLIWLVRFSIDDGKVARFSVDLAGFEGLNATVGRAVSPGFNLTAEVENPRALVPWCSVGGQAVVSYGGVSLAWAPVPGFCAPRKGAAELAVAAKGSGVGLSDDLRRRFVAEWNAGTARVVPGMKLFYDGNGWSGTCAYQGVSLVRRELALLGQGAT >KQL10482 pep chromosome:Setaria_italica_v2.0:IV:16214776:16216339:1 gene:SETIT_008756mg transcript:KQL10482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSSRSCGSGSTHHSDDYTPSPMREEDMPQEEHYGSQAMQVEGQPLDLHGDREIQAYALIKDRVFVHTQAFNSELLESIGMDVNFANVWHTIGWNDFMPISEEVDFDKAVRDFNCQSFWTSISDQVVVGKFAPRCTDIHNPTLRLMHKWLALLLFPREDLYKCRELTLPLAPQKEARRSNVSGRVTRTRSRSKATTSQYQPPQPQHIMQASPRGSSRLTHTTQFNTINTTHDNLQAYFRSQGYNPHPGQQAKNKLGGGHLQET >KQL09311 pep chromosome:Setaria_italica_v2.0:IV:2511175:2516202:1 gene:SETIT_007513mg transcript:KQL09311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGARLRGLRGVWMAGTVLCSEPTSSALFSTLALSSTSSCSPPLACPYNLRYKRAKTTAKMANIHANWDEETTKTFLNLCIAEKNQLNWSNKCLTKLGWQHVYHTFKQQQLKSCSVEIADAAAAAAAK >KQL09310 pep chromosome:Setaria_italica_v2.0:IV:2511165:2516202:1 gene:SETIT_007513mg transcript:KQL09310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGARLRGLRGVWMAGTVLCSEPTSSALFSTLALSSTSSCSPPLACPYNLRYKRAKTTAKMANIHANWDEETTKTFLNLCIAEKNQLNWSNKCLTKLGWQHVYHTFKQQQLKSCSVEIADAAAAAAAK >KQL10645 pep chromosome:Setaria_italica_v2.0:IV:21774818:21776640:1 gene:SETIT_007375mg transcript:KQL10645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALPPAEIDLPGGGARAAEAGGLGVWHDTAIPFKEVLQEFEDWIGNHSLWKTEQGGSLNSAAFVTCGNWDLKTKIPEQCKVSKIKLPTYFMEWINLKDIYLNFYSRRATGMMTMMRELQMPIVGSHHLGIDDSKNIARVVQRMLADGAVMQITAKRQSATGDVKFLFKDRIR >KQL10889 pep chromosome:Setaria_italica_v2.0:IV:27776462:27776921:1 gene:SETIT_008275mg transcript:KQL10889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCQGYRNSRANAAADAAAAAAALAARPRAPPPALLPPVAWDDDNDEQRLRSRGGDRDNGGRTHRASPAAGLPSFTYNRSVRHNVTGGGGEEAATCSVCLGAFQTGEAVRLLPLCLHLYHVECIDPWLDAHSTCPICRSGTDPNMDGSLLPPV >KQL11667 pep chromosome:Setaria_italica_v2.0:IV:35757372:35758030:1 gene:SETIT_008910mg transcript:KQL11667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSNLGWREYMYVGYGIRIAPTPRPTKWSRCMGTEQSGVYFHKESAF >KQL11830 pep chromosome:Setaria_italica_v2.0:IV:37071477:37073594:1 gene:SETIT_007153mg transcript:KQL11830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSSWNMRTRAPGMAADLLGTHRILLALLEIKETITRDIESRDSFKKTAKLLLKASGQKLLHCLEEPMDEHSKHDRKESVRRTIMEHDKVFRQQVHELHRLYHVQKSLMTEVGCGKHFQSRTEESQQIVQRSRSNHNRSPSTSETNQSACLGNAQHSATPQVPEHLGLQECKPRTCLSLFSEENSATKEGNRTENPVGSHKAVEDGNCSASVESDLDLKLSIGPSSPATKGPHWLFSGSRERNPSGQHR >KQL10674 pep chromosome:Setaria_italica_v2.0:IV:22963528:22963767:1 gene:SETIT_008672mg transcript:KQL10674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRVELDLLQQEKKGTKRKRERVELRRIEDRTSRQVRFSKQRNGLFKKAYKLSVLCNAQVALVIFSPAGRLHEFTSADS >KQL11882 pep chromosome:Setaria_italica_v2.0:IV:37380561:37381911:-1 gene:SETIT_008316mg transcript:KQL11882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPRSPVAAAMLAVALAVALTASAAAAAPWERADEEVRHLYEAWKLEHGRPARGNDGDGDDDLLRLEVFRDNLRYIDAHNAEADVGLHGFRLGLTPFADLTLEEFRGRVLGFQQSRRRNDTADAEVDDDSTGYQLRELQVPSAVDWRKSGAVTPVKNQGACGGCWAFSAVAAMEGINKIATGKLVSLSEQELIDCDRKSHGCKGGRMDYAFQFVISNGGIDTEADYPYTGRDGTCNEAKKKKKVVSISSYSHVPANNEKALQMAVAKQPVSVAIEAAGRAFQLYTSGVFDGACGTKLDHGVTAVGYGSTDAGKDFWIVKNSWGPKWGEAGYIRMRRNVAAPTGKCGIAMDAYYPVKNHKAADMTLPVLEMVLA >KQL11935 pep chromosome:Setaria_italica_v2.0:IV:37730400:37731124:-1 gene:SETIT_007637mg transcript:KQL11935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCRSVPHDHSSAYYGCGGGYDYEDVGGGGGAGQGKSYSFNGPSAREDPEAKRRRRVAAYNVFATQGRIKTTVRSSVKWLKSKFSDIRYGGL >KQL09806 pep chromosome:Setaria_italica_v2.0:IV:6218412:6219580:-1 gene:SETIT_006900mg transcript:KQL09806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLHDEVQHDFPPFIRQYKSGRVVRLGAADDTVPAGADTARTGVSSKDVVIDPSTGLWARLYLPAELPAAGRRAKLPVVVYYHGGAFVIGSTANRPTHEYLNSLAADADVLVVSPEYRLAPEHPLPTAHDDSWEGLKWVASHATGDGPAEPWLVEHGDLSRVFLGGVSAGANIAHHMTARAGEHGLGVPIRGMLVIHPYFISEAALRTASPTGVMKEKSEAFWRFVCPGTPGLHDPLCNPFSEAAGGSAARVGAERVLVCVAEKDGLRDRGVWYYESLRASGYRGEVDLHESVGEGHVFHHSKPDCEQARLLHARVLSFLRHE >KQL09459 pep chromosome:Setaria_italica_v2.0:IV:3528797:3529288:-1 gene:SETIT_008954mg transcript:KQL09459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHAVSVKKAALDLLFFAFAGCNVLVHHALMSCSSFSGMETPRPITH >KQL10588 pep chromosome:Setaria_italica_v2.0:IV:19475293:19482357:-1 gene:SETIT_006809mg transcript:KQL10588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGIGFFWVIASHLVCYFYLLVVAAVFLSAGEKRSFGDLDDDDDDVFASKKAKTKVEESAPGAATGMILSLRESLQDCKHSLASCQVELEAAKSEIEKWHSAFQKIPAVPSGANPDPVSVVSYLSNLKSSEESLREQLEKAKKREAAFIVTFAKREQEIAELKSAVRDLKTQLRPPSMQTRRLLLDPAIHEEFTHLKRKKIKELQDNVAAVNFTPSSKLGKMLMAKCRTLQEENEEIGAMASEGKIHELGMKIAVLKSQNNELRNQFDVLYKHMDGVTNDVERSNEMVSILQEELGAKDLELARLKEVLSQKEASHDEAVEERDEAANDMNTASDPMHVKVES >KQL08957 pep chromosome:Setaria_italica_v2.0:IV:436091:436627:1 gene:SETIT_008361mg transcript:KQL08957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAAVVLLLATAAAPCSAQQAVMSIEEACRKAASAQAGVSYEHCVSSLASDGRSRDAADLHKLAVVAARMAADHAATTEARMEDLSEVEESPHARARLHHCLELYGAAADVLRDALDNLRARVYGRASQQLEAALGASESCEDVWKGEERVPIAAHDREYGRMAVVALGLTTGIAA >KQL10819 pep chromosome:Setaria_italica_v2.0:IV:26223173:26223841:1 gene:SETIT_009119mg transcript:KQL10819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPLILKTYNSRGAESRSLPSNKCIERNSIPRYPFWLEVSLFTINNLFELHTS >KQL10797 pep chromosome:Setaria_italica_v2.0:IV:25968488:25969603:-1 gene:SETIT_008158mg transcript:KQL10797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRSSSWLTPLILSVLFACTANGDHHLSVRYYDETCPSAQHIVHSVMASKVAADQAIAPAVLRLFFHDCFINGCDGSVLLDDGTPFFESEKAAEPNDSLRGFDVIDEIKSHLEHSCPATVSCADILALASRDAVALLGGPAWSVQLGRKDSRGADRDAAENDLPSPHANLTGLIAAFAEHGLDARDMVALSGAHTVGTARCVHYKARVYGREEGGRADIDPSFAELRRQTCQGDGDDAAAPFDEQTPMRFDNAYYKDLVARRGLLTSDQALYGCGGPLDHLVEMYSKDGEAFAYDFAKAMVKMGDIPPPPGMPVEVRLKCSMVNYRRTNRK >KQL09301 pep chromosome:Setaria_italica_v2.0:IV:2443324:2446915:-1 gene:SETIT_006786mg transcript:KQL09301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRKPMKLTLPPPESTMGKFLTHSGTFRDGDLLVNKDGLRIVPQSEGGEAPPIEPLDSQLSLDDLDVIKVIGKGSSGNVQLVRHKFTGQFFALKVIQLNIEDSIRRQIAKELKINLSTQYQYIVVFYQCFYFNGAISIVLEYMDGGSLSDFLKTVKTIPEDYLAAICKQILKGLIYLHHERHIIHRDLKPSNILINHRGEVKISDFGVSAIISSSSGQRDTFIGTRYYMAPERINAKKHGYMSDIWSLGLVILECATGNFPFPPCDSFYELLEAVVNQPPPSAPSDQFSPEFCSFISACTQKDAKDRSSAQALLNHPYLSMYDDLHVDLASYFTTAGSPLATFNSRQL >KQL09553 pep chromosome:Setaria_italica_v2.0:IV:4206364:4212111:-1 gene:SETIT_005736mg transcript:KQL09553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSVAREVAEIAAEPDRAAAYACLLHLQRGSADDPSAAADLAAELPSPLLPLLLRDAADPDEAVAASALKCLGFALYHPALVSTVSAQMSQAVLDTLVQLIMNTRMKSVCNLGIWCISVQQLEPLIIDDRADLIVAAIVYALDNPFGSLSTTFEAAQAIMKLACQSHKRMRDLSSLWVPPIYQRLLSADKPERDMAERCLIKVSHVILPPQPLLSKAVALDLERKLLSHMMNMLDDASKKVQVVKSWGWIISFLGPDAVNNRPLLNKLLKVPEQMFIDLDTQVQIATMVSWRNLVDAFFPSQATESESHETVIAPLEPREHASAQVKRTRLIMVPLCRVLSRSRNIVLSSSCLSTWNYLLHRLGNLINCLPILEAAFAPILKIIFSVGINDQNKPLWSFCMNLFHDFSKSKSRHREDLCTPVNWNLVAQSCMHLKALLDFQHIKWLPWDIGCFHFQLDILGTILNPELFQDMIPEKMLIVMDSATEIFKFLLGGVQIELKEKCSYEQVRLCITDVCKFVKTKLFLDHVGKHSGNKCAMLLEFGLQFVEVIVGELDHSLLNSEKIEICLDIEHIKEIQYAECTRKFSFPGIRPLSYMEMVSPAVYMTALSLTMVAQYTGVLSHGDAEKLALILSSSDILKSFHVVVAFMYMQIMCPIFNRQRLKWLMVWNKFAKQLNDITISYLKASPGSSSYDVLHQFFCYPFFSFLYPGGLSIPWNAENSSCAPVMQDLEVELAIEVYRSLCTSSCNSKAAPKVFFEGFYDYLVNIIDEHTALFQANLEHCPEKFENTAILSALGEVVIGLLENDQILAYANQELNEANEDFTGSRHLNLFLSCLNLANRFMKFSRFGFKANPAGQHQVTSRFFSSLSNFVRHVVLKKDILLLFEIIGDQLTEWLSLSATLYCEMQQGKIIYQLEKLWLKILKCLNMSQLISDGPLSQKQQLLQVALSHPHDAISVATASACRAEANIKISLHSGCLVSKLDGLLMDRRKDHNSCSSSADKALAREEIDISSRLALATSKKVTKHTDRDAGSLKISAGLGRKRLKIMKYSTKPKELNKNAVRIGDLSARVDGVFSPRCMESKECRKPELILEMLKRKR >KQL09943 pep chromosome:Setaria_italica_v2.0:IV:7616274:7620892:-1 gene:SETIT_006716mg transcript:KQL09943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLPALGGKAAHLVSDLATVILNPVSERERQRHHPSHLPEATEGKDTLFGDEDSDKNSETPDGPDTSSFRAFLMSFMSSSTSSKDSMETIPEHDLDVEYPTLTPVGKASGGRKGLLSRGKHSIGRIISKAGLSNFKQKPTHSIDGEFIGQIESVAPKFEMKGSKESALHDKLPAMSEPSVLLSEMMRAVLYSSLPVLVQGRNWMLVYSTWRHGISLSTLYRRSRLCAGYSLLIVGDRRGAVFGGLVEAPLQPIIKRKYQGTNDCFVFTNVEGRPVISRPTGANNYFTFCSPDYLAMGGGGHFALYLDGDLLNGSSSTSETFNNPCLSHTQEFKIKDVELWGFVNASKYEEMLTVCRTEKQGIWNL >KQL10064 pep chromosome:Setaria_italica_v2.0:IV:9033988:9036946:1 gene:SETIT_007040mg transcript:KQL10064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCENGQREFRVRTRDEREKEKACDPSLFLSLSSPLLQRATTTPAGHAPRLTSCPLHSSACFSLPPWALAMASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLAGTKLDCREDKHYLLDHPGAVPVTTAQVLFPILTNYKFTV >KQL10065 pep chromosome:Setaria_italica_v2.0:IV:9033988:9038100:1 gene:SETIT_007040mg transcript:KQL10065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCENGQREFRVRTRDEREKEKACDPSLFLSLSSPLLQRATTTPAGHAPRLTSCPLHSSACFSLPPWALAMASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVDGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVMKKWLPELQHYAPGVPIVLAGTKLDCREDKHYLLDHPGAVPVTTAQGEELRKHIGATCYIECSSKTQQNVKAVFDAAIKVVIRPPTKQRERKKKKARRGCSIFCSRIMHTRRLGCFK >KQL12249 pep chromosome:Setaria_italica_v2.0:IV:39651937:39653256:1 gene:SETIT_008830mg transcript:KQL12249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLLGSSPPRSPKPSSPPPAASNSGLPPRRRPLAPARPVPPSRALRTAVMEGQANAAQASLGPRVKANLVLGAESFAISSECGILSEQLATMKEKSMVILKEYIAKHNAPNDVPDESIEGESDDEGEALVKNPPKKSKKQK >KQL10340 pep chromosome:Setaria_italica_v2.0:IV:13142186:13145581:-1 gene:SETIT_006310mg transcript:KQL10340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASAASRTLGKPLLVLVFLATLLLVLVSAVECYDGRHAVTHPAMARRSRLGMGTRHVHHRRTATPHRYVLAEKSNATGSGAKNRSSPATSNATSPTQAPARPAVPSKHHRSHKHRVRNWIIGFVVGSLAGVISGLVLSVLFRLTLNCIRGRYRSRSGVTIFTPKLIRRAEHLAFLEKEDGLASLAVIGRGGCGEVYKAQLPVEREGVEPRFIAIKKIKKQNSDTPNNLSDEESRQLDKWSRQIQSEIRTVGHIRHRNLLPLAAHVPRPDCHYLVYEYMKNGSLHHALKADGSGSGVAGLSWPARLRVAVGVAAGLEYLHVSHVPQIIHRDLKPANILLDDDLEPRIADFGLAKAMPDAQTHVTSSHVAGTLGYIAPEYHQTFKFTAKCDVYSFGVILAVLATGKEPSDPFFTQTDEVVGLVKWLRRVMLAGKHAEAIDPAIAGAQNEESIVLVLRIAVFCTADEPKERPSAKEVRCMLSQIKIHQDWN >KQL12158 pep chromosome:Setaria_italica_v2.0:IV:39160538:39164289:-1 gene:SETIT_006140mg transcript:KQL12158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVTGSGGGIGRKMAAGEVELKEKAGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQVHANRARRQEEVQREFAQEQEFFRQTALFSKKDKEKMEVMKAVSFMYVRPPGYNAESAKAAEIEDEKKKSDPGDMGQGAAAASTSSMPDKGPEKTQPGADKKNRPKDVFGRPLPTEQEFEVLKNAPRLETGAPVRIKPFGVEVRNVRCLRCGNYGHQSGDRECPLKDVIMPNEESRLKRDDPLTTIMAQTDSSEPLKWELKQKPGMSPPRGGFDPDDPNQQIVAEDIFDEYGGFLGDCDIPALISNFSGSKSTKRSKKKSKHKQVASAIHEESSRRESSYHLSSDSEDEKRSKTSRSKRKKKHCSDSSHSDSVVDARKGEHKSKHKHKKKHRSESSSDSEVEVCDDTRRYLKREHRKEKREELPSSFYKGKGDTESKRHSRRSREKHLYSYSSSSESHRHSLQHKEKQYYSDSSSGRRHRHSRRSREKRYDSESSSADANRRPRRVMEKQHNTDLSPHHTDRHSKRSNGKRDYTEWSRYESNRHSRRSREKGRYSDSSVSDYSDSDRHNKHRHHHRRK >KQL10549 pep chromosome:Setaria_italica_v2.0:IV:17941735:17942386:-1 gene:SETIT_008111mg transcript:KQL10549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNQINLVLWGSRATDFDAEGVHSVGQESPVVAIFVGMLLKSYKGSACKWYINEEIPEIEKFFDQYSSFRHAGEQQFKALEQQKNLEEKTVLQLRDMDPWEFEVTLLDLYVYLFKVWLLYLISTYEVSKVACEDRQALTLNFFLPSM >KQL09821 pep chromosome:Setaria_italica_v2.0:IV:6316418:6319769:1 gene:SETIT_008407mg transcript:KQL09821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGATSTPTPEVSPVLLMPQSPEPEVNGARVTPLQHVSLETMATPDPERTYLHPGEERVREKMSMRCGCKAFVKIKWNQKKDYWFFERIRLEHNHPLHPSPTVTQFLRIQKDKDPIVMGIVDQMHRCDASHNTTVNVLAELYGGRQNFTFTEMDLRNRKAATAREERENDIPKLLEFFREMKAHNEYFYYELQDEQANTFEWLFGAFKNCMSGGRDPRCILTDQDSSMAAAIKKVFKQTQHRLCRWHMLKKYKAELKKLYKIHDGLKIKLITIINHPLTPTEFEFAWNELVDEYGIREDDTIQGLWESRKLWVAAYFKPLYCGRMTSTQRSESVNKMIKGSGFTGHMTCMSKFARRMLDFIQHTNHTAGGETHWSQAGNWRLTLQPFDGHLSRVYTRAVYKKYRETYIYSTAFRIDPHPTEVDVYLVTHTDQSWQYAWFQHSFRVEADVRSEYIMKRYTRGARTMVPWDRHDIVTSVPGCESDQYKTKKLVEIAMAAVRACRKTSLGFEKGCEQLSALVEWGESIAKGTGASHVGDHTEEQSDVIPHTIGEPAASLAEQDAAVDTAVQISECAPREARTKGRKRGGRHVVNEHASSSKAQGQRICGYCGSLGHYTTGCDLNPDNINKKRGAGGSLRGKMGRKRGRPPTKRQLEDEFNGVA >KQL11220 pep chromosome:Setaria_italica_v2.0:IV:31811633:31817207:-1 gene:SETIT_005733mg transcript:KQL11220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGGSVGGSGGGERMKLLCSLGGRILPRPGDGTLRYAGGDTRIVSVPRGVSLPDLLARLADAYGGGTGPHFAVKYQLPDEGLDALISVSSTEDLDNMVEEYDKLSGASPKLRVFIFPILDAAGGSGAAGEELEGGSFDAGLRYLEAVNGIVRKDSIASLSSTQYSDGGLPPPAPSGGGGPGSPAALSPTSTSSNDAARSNISGAGAAPPPLVDVFSNAAPPPVQAKPQEIAAEGRAPQANPHPHPEVATHPHPLPEVTRYRQPLSQLPPLPPVFMNDHRDAMQGLNQPPPGHGARFDDCHMCLKALPHAHSDPVMNEYGNEVHGGAVPDPGPVFMSLRPEDVARIMVPERSAQAPMGAYGYTHMHPVPQERVYVPKVEGVTNSVLIDPTGLHQHVYLQQQQVPSTYGFSHIPVIPSEKDRVVSPSSSHTDVSSSHYQFVQQPQQQLPSGHPMAQYPAKPPSPNNPLAGEGSLSGNARHREDGQLHRDNVSLVAPVAVPNYVANVDRMMDSLRVSPSEASGSTEQRKPAMSPDSGLPQNAIPEHSQGLPENSISTRPDTRAKEVHPSNTNTFFDVNEPKVLIQTESMPPPSVASSYLHNVQHVNMSHMPHMMSIGGPYPSYVVATVGPGGVPQSTYGIDLVYPNATVNTVSEQRDVPPEAYHQEAPHEVVAPPSTAQVPTPALANHAPNVDQSATNAHALPPRPKRVASRENISPRDPHTHNSLLNCKGPDLNIPAEDVSLQLQSDHKGDDISNPDLLGMEDGFATSKAQPSDHQPPLLNEGAGAVANKVDGEVHPNEVAKNRPADWISGFPATDGRLQIIKNNDLEELQELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQEKMRSDFWNEASNLADLHHPNVVAFYGVVLDGPGGSIATVTEYMVNGSLRTALLKNAKTLDRRKRLIIAMDTAFGMEYLHSKNIVHFDLKSDNLLVNLRDPQRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYADLHYGVIIGGIVSNTLRPQVPDSCDPEWRSLMEQCWSTEPSERPSFTEIANRLRSMATSQKVQH >KQL08978 pep chromosome:Setaria_italica_v2.0:IV:526930:527839:-1 gene:SETIT_007342mg transcript:KQL08978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPGFPSTSKQQPDPDGSTKFKIEAGGIKPKVEPCEEEEEHLPPLPPPVSDDDWEVTPLSGEHPFFTTVLSKSQVQKQFQLVIPARLHRHLPEARVPATLLCRGRSWAASYCGDLKCKKIDAAWRDFAVDNGLRVGDACVFELVTPAAGDGEEVVFRVQVLRGDLPEEITSKGATSDEPLVIVD >KQL10586 pep chromosome:Setaria_italica_v2.0:IV:19438167:19441254:1 gene:SETIT_008757mg transcript:KQL10586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYNIESSNADCLDSRPLKKPKCEQLNDCDLSPSPRSSTSLASSCNNIESSHVYDLDARPLKEVKCEQMNDLDISLSPPSATTLPSSSPVNNDRRILLDDKKHQNHHEQFKVDQTYDYLPQDYEMTDLDYCALMKIESSLESDILVKIDDIFVTQSQLSCLLDPKKFLNDDVISAYICCIKYQAHLESRNDVKFYFENPFISVMLKRDGKLGVGQDGNHITKIVRNYLKHEMVLIPINIKETHWYLAIINTQKCEIQVLDSLCWDSNRVDLADTLQGLQFHLNIIGRQQNLISHNWKDLQIISWIITEQVQEPMQKDGSSCGLFMLKFMEYWTGDSLSHPITQEDINCFRHKLAGILLCWKTNTAQTTPQNMSLLGSSDDQKETKATDSLLEETKYQSLMSILSKISENELVGGLCDYIKSINCPETLEKVWVRNSKPYSISLTVRKLQEILKEDLPMDRDCLNLVIRKFMFDEIQMMKKTKGTISKHYLDTRFWMITDFGRHPNFRKKLDVEQLAETVCSWPGVNYSVSRCKLILIPIIQFNKTFILFILNQDTRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWSEDVFLWRQIILSDVPIENR >KQL09755 pep chromosome:Setaria_italica_v2.0:IV:5745977:5748016:-1 gene:SETIT_006788mg transcript:KQL09755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEGRRGIPALLRSPSTPETEAASAHQEHIASDITQLVGWTPLIELKRIAEKDQVNARIVGKLEWYQPLCSVKDRSALRMIEDAEGKGLISPGTTTLIEPTSGNMGIGLAYIALIRGYRFVAVMPAEYSLDKQILLRYLGADVVLTDPMLGFQGQLDKVEQLKKDLPNVHVLDQFANAANPEAHFAWTGPEIWRDTAGKVDIFVAGSGTGGTVSGVGKYLKMKNPAVKVICVEPAESPVISGGKPSRHKIQGVGPGFVPKNLDTSLIDEIITVTAEDAMANARRLSREEGLLVGISSGANLAACLKVASREENQDKMIVTVFPSGGERYTTSDLFAAVREECIAMTF >KQL11283 pep chromosome:Setaria_italica_v2.0:IV:32318970:32322315:-1 gene:SETIT_006074mg transcript:KQL11283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNVLSRPSIFDGTMGMSLVDDVVGLHPPQLWINVQYGQFFQDHKLNIGEYILSKVKEFGFNYVSSPEVGFLKTLGGKLGKSNVKLVLQFLDEQVTEPSTKQTYGAILKDLKSIKTFASGILVPKTYIWPVNKDHYLQPATNLVKDAHALGLEVYAFKFANDDISSYNYSYDPSAEYLQFIDNSDFSVDGVLTDFPSTASAAVACLAHTKHNPLPPPGNDTRPLIITHNGASGIFPGGTDLAYQQAVEDGADIIDCSVQMSKDAVLFCLDSPDLTKGTTAATMFTTKVATVNEIQNGSGIFSFDLSWSEIQTLKPDLVGPFSQAGLKRNPAAKNSGKLMTLAEFLAFSKSSNVSGILVDIRNAPYLATRGIGIVDAISSALVNASYDKETRQQVLIASDDTAVLGSFNNFPAFKRVLQIGNVISDVSRASVEEVAKFADAVSITRGSVVQAQGSFLVRFTDVIDKMHAANLSVYVGLLKDEFMNLGFDFWANPMVEIVTYSSLMADGIVTEFPATAAEYFRSPCSDFSKNLTYTIMPAKPGTLINLTDHSALPPAQGPAPVLEPADVVDPPLPAVTVGGHGAASSSSNDSSTTSSAMASGASSGLCLLVAGLAVLLAVCSR >KQL10335 pep chromosome:Setaria_italica_v2.0:IV:13087446:13089812:1 gene:SETIT_008331mg transcript:KQL10335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSPSTASLFHLDSPSPLLSPLCPVPFLSVVVFVVSSHPQPQDFSQRIGTSAPRNPSMAEESGRPLPKFGEWDVNDPASADGFTVIFNKARDEKKGGNGQETESPSKDTRTERVESYAAKPNSKKWFCCVTSSPTQS >KQL12341 pep chromosome:Setaria_italica_v2.0:IV:40078023:40080724:-1 gene:SETIT_006956mg transcript:KQL12341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPTGCFKCGRPGHWSRDCPSAPASADPTANPNPSRFAASSSYPTAKPRPPASAAPPPEGDAPQDNNRNKKKKKERTTRPKLTPDLLLSDDGIGFVLRYFPKAFKPRARPGHEVEDLGNLIKLYTDWHSRLIPYYSFEQFVRKVEKVGAGNRVRKCISELRDRVARGGDPTVLHEAPIEEVTPAGEPDGTAAEDAFLGTEDNHAIDPAHGDLMDPPMERNDVDLMQEDLLNETYGKAADEPQIPGGEGSNKEAPEHPAPKETSLKQQEEAGSTKRAKIELTEEQRARMEANRLKALERAAARAR >KQL09128 pep chromosome:Setaria_italica_v2.0:IV:1381773:1382099:-1 gene:SETIT_008526mg transcript:KQL09128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREMTFDLKHTHSLRPVCGMRVRVLRTTGTKAGSTKPGGHEPCDGAGAGVGAGAKAWAQAASMRAMARNTSAGEAMDLEEAIASLVE >KQL10997 pep chromosome:Setaria_italica_v2.0:IV:29321805:29322068:-1 gene:SETIT_0087352mg transcript:KQL10997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATNTEAENSKGDSDSSGEGVNGSSDETANKSNGATETSNNGGQVDPKIETSTSTNDEHNESQGGDGGSGSSDSNGSGPEQTGKTESQ >KQL11874 pep chromosome:Setaria_italica_v2.0:IV:37339952:37346960:1 gene:SETIT_005860mg transcript:KQL11874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLTASASVSSPAPPSAHLLRLSRPPPFPHLRRRCSPPKPLALTRRPPLLLASRQSPLFAPRAHGGHGHSHHHHGHDHHHHHHGHDHHHHGHGHHGVDTHGGGGGAAVMRVARAIGWADVASALRENLQLCCISLGLLLIAAVCPHVALLSSVGRLQATLIAVAFPLVGVSAALDALVNIADGRINIHVLMALAAFASIFMGNALEGGLLLAMFNLAHIAEEYFTSKSMFDVRELKENHPEFALLLETSGEESVQFSNLSYTRVPVHDLEVGSHILVRAGEAVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGMMIVKVTKSWEDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEYYSRVVVALSLAVALLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLTCKAIEPIHGHLGVTNGHSDPSCCTPNCESEALAVAAAMEKGTTHPIGRAVLDHSVGKELPAVAVESFECLPGRGVAATLSGVKARNSENELSEASIGSVDYISSLYRSNGESEQIKQAVKGSAYGPEFVQAALSVDKKVTLFHFEDEPRSGVCEVIHTLREKAKLRIMMLTGDHESSAQRVAKAVCIDEVHFSLKPEDKLDKVKAVSRERGGGLIMVGDGINDAPALAAATVGMVLAQRASATAVAVADVLLLQDNICGVPFCIAKARQTTSLVKQSVALALTCIVFAALPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNTPTWSLVDDIRQLFDGLRNYFSSKFNNSSSNYVANTVPL >KQL11553 pep chromosome:Setaria_italica_v2.0:IV:34769679:34771593:-1 gene:SETIT_008017mg transcript:KQL11553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGERRSLRSIDRNIAYGQTQLIKALSAMGELIPGLPEEVARECLVRVGFDQLPVVRRISRQWKSEVESPDYHRLRRAEGLARPVLALAQAQPAAPADDAAPAAHKHSSTAASGGPANSYRMVLLDPVEGRWAPLPALPGPTESLPLFCQVAALDGGQGRKRLVVVGGWDPETWAPMDAVFVYDFLTGAWRRGAPHARAAAVLLRLRGRRRRREKNALRSALAYDPDADAWAWLPDMAAERDEPRGLCVAGRFLVVGGYPTQAQGRFVGSAEAFDPATSAWVPVQDGLIEDGACPRTCCAAPGASERMYMLRDGHLVARDGPAASWRPVAQVPEDALTAATVSAIPDGRVVVIGAGCHGGDQTVYVLREEAGKGASWARAPAPPEFSGHVQAACSLQI >KQL09071 pep chromosome:Setaria_italica_v2.0:IV:1047838:1050584:1 gene:SETIT_007206mg transcript:KQL09071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLETVRGDLALVILYLNKAEARDKICRAIQYGSKFLSNGQPGPAQNVDKSTSLARKVFRLFKFVNDLHALISPPAKGTPLPLILLGKSKNAMLSTFLFLDQIVWAGRTGIYKNKERAEFLSRIAFYCFLGSNTCTTIIELAELQRLSKSMKKLEKELKHQELYKNEQYRMKLQKSNERLLALIKSSLDIVVAVGLLQLAPKKVTPRVTGAFGFASSLIACYQLLPSPAKSK >KQL12030 pep chromosome:Setaria_italica_v2.0:IV:38279311:38279813:1 gene:SETIT_007564mg transcript:KQL12030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENRGAAREYQPEQPVEPAGVFPAAPDHRNRQLCLDAGRALALCGVCMAIPTAFDSADAAAANSTRALLVGFLLWIIGACLCLLALTPAAPRAVRAGAAVASTVLKCLSPPV >KQL08883 pep chromosome:Setaria_italica_v2.0:IV:118647:124203:-1 gene:SETIT_005908mg transcript:KQL08883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVEETKKRSLTVAPFECAWGEELRFREPGRGCVSFEASAHNDVTLVDGATVADVAAPGLCCSNSFQAFWITICDGLITIGQGRHPNTNLLFQWLDPDPNPDVQYVGLSSWDKHVGYRNISILPAAPQYSILWTQKLLCCGKPGTADDSDQRLLADFLESWDLSDAVFVVGTERKLVPAHRIVLCASGDFPFQIADHGATIQLPSVSYPLLHSLLEYIYTGSTQIAECLLSSLLELSSHFKVKPLIKCCEEIIDCSGVDKKLSESGKILKLSSSGFQQDHKFGSFPLKDPVDAQKIGQFLANGKHSDINIYVNGHGLVAKAHKLILSLWSVPFAKMFTNGMKESSASDVFFKDVPPEAFFLLLQFMYNGELKVDTQDITSVLVQLLLLSDQFAITVLQFECCKRIMECLSEDTVCSVLQAVSSIPSCKLLEEVCKRNFATHFDYCTTACTDFVLLDEATFKDILQHGDMTVTSEERVLDAILTWCMGTCETFYWTSVDKLLRTSTPEQLFGERLSAIDTLLPFVRFPLMQLSMLKRMEISNLANHIQVFRQLVAEAIEFSHAGQWTPTSYECERFQHRRSSYKELQYISDGDSNGVIYYAGTSFGKHQWMNPVLAKNITVVASSPNSRHTDPKALVSKNYQGTCFAGPCIEDGKKISWWMVDIGQDHQLMCNYYTVRQDGSTTFMRSWVLQGSMDGRNWTSLRVHEEDATICHPGQFASWPVVGPPALLPFRFFRVALTGPAAGGSVSNAWNLCICFLELYGYLR >KQL11401 pep chromosome:Setaria_italica_v2.0:IV:33232021:33236523:1 gene:SETIT_006818mg transcript:KQL11401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIDGEPVLSSSAGAASRAGAIGMELVAALTGHPGLRDAADRLKATPERRISAEQEGAPRHVYVFQREYATVDPARVELVGTDEATTCIGVVIRNNRTGMTSVSHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFSDASTKVVRSSGKKHIKQEGYSYPLCCKIVEVLHKSQQQFHLRSFCVLGNNTTTDSLGNALPVIGGFVVQTSSGVVMPASFDMNSRCPDEVVRRIRVSVCSYDPTWQGRLLETYDTQCDVFRIAPACWMPDWADIASSLNQLSDSEVLMQCSTSPAAEPPHFVENERRIWKYLINNPDWEETFPKHKSRVFHRASDGSWSRYS >KQL11403 pep chromosome:Setaria_italica_v2.0:IV:33232332:33235685:1 gene:SETIT_006818mg transcript:KQL11403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVAALTGHPGLRDAADRLKATPERRISAEQEGAPRHVYVFQREYATVDPARVELVGTDEATTCIGVVIRNNRTGMTSVSHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFSDASTKVVRSSGKKHIKQEGYSYPLCCKIVEVLHKSQQQFHLRSFCVLGNNTTTDSLGNALPVIGGFVVQTSSGVVMPASFDMNSRCPDEVVRRIRVSVCSYDPTWQGRLLETYDTQCDVFRIAPACWMPDWADIASSLNQLSDSEVLMQCSTSPAAEPPHFVENERRIWKYLINNPDWEETFPKHKSRVFHRASDGSWSRYS >KQL11402 pep chromosome:Setaria_italica_v2.0:IV:33232021:33236523:1 gene:SETIT_006818mg transcript:KQL11402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIDGEPVLSSSAGAASRAGAIGMELVAALTGHPGLRDAADRLKATPERRISAEQEGAPRHVYVFQREYATVDPARVELVGTDEATTCIGVVIRNNRTGMTSVSHMDFPKIVEGGLKQMLELLGDDNAPFDVHLIGGFSDASTKVVRSSGKKHIKQEGYSYPLCCKIVEVLHKSQQQFHLRSFCVLGNNTTTDSLGNALPVIGGFVVQTSSGVVMPASFDMNSRCPDEVVRRIRVSVCSYDPTWQGRMPDWADIASSLNQLSDSEVLMQCSTSPAAEPPHFVENERRIWKYLINNPDWEETFPKHKSRVFHRASDGSWSRYS >KQL09731 pep chromosome:Setaria_italica_v2.0:IV:5539322:5544519:-1 gene:SETIT_006857mg transcript:KQL09731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVALRRALLSSASTRHGGAQIPNPSHSPPQALLLQWRRHASVASSSAPPQPPPPPPPSPPRGPSRPGGGGPSVSSLNPAEVAKFAAIAETWWDSNGPFKPLHLMNPTRLSFIRSTLCRHFRRDSYSSKPLEGLKVIDVGCGGGILSEPLARMGATVTAIDAVDKNIKIASIHAASDPTTGSIEYCCTTAEELVKEKRLFDAVISLEVIEHVANPLEFCESLSALTVPNGATVVSTINRSMRAYATAIVAAEYILRWLPKGTHEWSKLVTPKELALMLQKASVSVEEMAGFVYNPLTGEWSLSDDISVNYIAFGVKKSETPLTDGTEARLS >KQL11159 pep chromosome:Setaria_italica_v2.0:IV:31241192:31241901:-1 gene:SETIT_007881mg transcript:KQL11159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAACRRAVSYTLLGPPAESLRVAAKAAAPATGDKFLDLLDANYNKAPKPQPAKTRTENASPTFVSSGDPCLDFFFHVVPGTPASSVTSLLANAWSAEPVTALRLTCNLRGVRGTGKSDREGFYAAALWMHGCHPATLALNAGPVAEFGYLKDLSEILHRIIHGSVSTRTPGKKARLAALGGFVIRARDGSRRFVHHRQERRNAPRCAETREARIAAANERDREDLGRRRRR >KQL12166 pep chromosome:Setaria_italica_v2.0:IV:39210836:39212496:-1 gene:SETIT_007014mg transcript:KQL12166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGGGREENSQPPRSTVPPPPSSQAVGDPASFSFELVPAHGLGVEADREGGGTMDREAGPHHHQAAAPSGAGAGEVEASHGHEQQHERKKRRVQQIREPERRESSRLVWALVILCTLLAIGVIVAGATVFAVYLLYKPKMPYLLVSDARLERLEYGQSGTILDLQLALTIQAENTNSKTDATFSGVNLAVGFHGADVALLRAGTFAVARRSAVPLRYQIISVGRQLSPEGMQYMDGALKAGIVPLDLFGKARTTWKVGIFASLQFWTRISCRFLFNYPGNGTAMPIDCRSKSP >KQL11012 pep chromosome:Setaria_italica_v2.0:IV:29669986:29674807:1 gene:SETIT_008346mg transcript:KQL11012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDSPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESLVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSQLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGLLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPLLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHKVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKHFTGEMDVMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILSLPLHGLPVTGIIQNENWRDMVEMHIGIRPPELEGEDSSKKTSGVSSAWLREHFEPWHRDDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSEIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWQNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQPERAPLHDYMGQQLARLSNEAG >KQL10457 pep chromosome:Setaria_italica_v2.0:IV:15507384:15511370:-1 gene:SETIT_007109mg transcript:KQL10457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVSSASSCSGKLKEEETPQGRQMERERRRAEECSSAAGEQEQSVGAVDRKLSEASLCASANDEEEDEDEEAAAAAKDAIELGPRVSIKEQLDKDKDDESLRRWKEQLLGSVDLSSVGETLEPDVKITGLSILSPGRRDMVLPLPPEPKSKEPWFTLKEGSAYRLKFTFAVAGNIVSGLRYTNTVWKTGIRVDSTKEMLGTFSPQAEPYTYVTPEETTPSGIFARGSYSARTKFVDDDRKCYLEMNYTFDIRRDWPSS >KQL11723 pep chromosome:Setaria_italica_v2.0:IV:36338403:36339023:-1 gene:SETIT_008300mg transcript:KQL11723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHVERALRATLTEAEARALEGTVHEHHTFPGRSRAGAGAAAAAATCTSLVAQRVSAPVRCVWPIVRSFGNPQRYKHFVRTCALAAGDGASVGSVREVTVVSGLPASSSTERLEVLDDDRHILSFRVVGGDHRLRNYRSVTSVTEFQRQHPAGGPPYCVVVESYVVDVPEGNTEEDTRMFTDTVVRLNLQRLAAVAEESAGGGRS >KQL09283 pep chromosome:Setaria_italica_v2.0:IV:2313038:2313409:1 gene:SETIT_008925mg transcript:KQL09283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTISYLSFTDCSFVNSVTNLSTLIDKSLDLLEHWLIFHLFCSNRKYVIQHIRTPIRLRLNELHCIPSLLQFPNIKNIV >KQL11294 pep chromosome:Setaria_italica_v2.0:IV:32370008:32371456:1 gene:SETIT_006938mg transcript:KQL11294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAECDRIRGPWSPEEDDALRRLVERHGARNWTAIGREIPGRSGKSCRLRWCNQLSPQVERRPFTAEEDAAIVRAHARLGNRWAAIARLLPGRTDNAVKNHWNCSLKRKLAAATAASGAVAGAGADEFEARPCKRVSLSPDSPSSGTGTVSDRSDLSHGAGAGSGSGSGQIYRPVPRSGGFEPADCAMSRPVEDDDPLTSLSLSLPGTDQRFHHDRAHSQFQELPPPPSPPSPSPPPPPAPVAAAATSPYPFNPDFMAVMQELIRTEVQRYMASVGVRAGCGPAGGAELCMPQLVEGVMRAAAERVGAVGRIQ >KQL10708 pep chromosome:Setaria_italica_v2.0:IV:23908245:23908964:1 gene:SETIT_007871mg transcript:KQL10708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPAIMAAKRHRPSVAALPIEVAIKIAGHLAAISERPMDDLRSLRVICSLMRRVWDEMSWNEPARYAALVPRLTLIGNPNAYFLTGIVEFFREHHGPHPSFYELSLATVGGHNVPAYLVALILYRNNGGAGDDDIAKRYVRRVEGEEDSAASSGVGPMRLSNEGCRLCRDEAGEGLSPGPVRCDFSCASGSCGVPFDWPQKTLFCNEDCRIRQEIILFQRILGIDN >KQL10879 pep chromosome:Setaria_italica_v2.0:IV:27586480:27589093:-1 gene:SETIT_008670mg transcript:KQL10879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQDNIEKRRQASRDYKKMVKERRASNLHPDSIPMASPHFTPELVFPPADKSPLRISDDMEIPLVNGRPVYIQSAVEQSPTVVTPPAATIGRNTKRPACEKINYTSQPTQTSCVAPKRTLATPIPSLHLMPAPNDATGIQTQPSVVDEPYSMPPHTSMEEDGKCCTLSHHSCANVASDCDENIIFEDDEEEDEGYLFGGQVDEDVDLETANEDPNEPDVLDPYDAVYANVPDVTHMLKPADNCEHCNAKKFESEPPGFCCHSGKIHLSTHETPPELVRLWSSSDADARHFRANIRYFNGHFSFTSMYCKLDRVTTDLRNCGIYTFRAHGQIYHNIRSFGKEDGHEPCHLELYFYDDDPSLEHRLRKCREKSAQEDREVIQRLKDILHGINPYSENLRSMGQVDNLEDYHNVPLTSEVAAVWIEGSEVRGQFDNSVVLQGKDRSIHGIRSYHGCYDALSYPLFFPRGELGWYNCIPKVGVTMAEVNKARAIRKARADGGGDDDAGSNQQSGIRSLFG >KQL10213 pep chromosome:Setaria_italica_v2.0:IV:10959877:10960949:-1 gene:SETIT_007954mg transcript:KQL10213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMHGSIPRIDFAGIDPATGGTSDDAKWAAVRGAVMDALCEHGCFEAVMDGLITPELSAAVLGAGGAVESLLSLPVSAKARNTSEKPYRGYVGSIPGLPYESLAIVDPLSVDAVRAFADLMWPDTGNTAFCESMHAYAERVAVLEAVVRRMVLEGVGATAEYIEEQAKATAFKLRLTEYAAPGAAEGRVVGLPAHRDTSFLAVLTQNDIDGVEVECGRGEGGWARPVLSPGSFLIFAGDTFKVLTNGRVFNPLHRVVMAGGDKTRYSSILFSSPKDDIVVRAIDEAVGADHPAAYRPFEYGEYVVFCYKPEMIQHRKKLEAFAAVRIDG >KQL09450 pep chromosome:Setaria_italica_v2.0:IV:3470791:3472848:-1 gene:SETIT_008540mg transcript:KQL09450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KATQPTRRFVSAAATRRRAHSADNLARAVSPGDLLRLLPSCGTLPSLRALHARLLTHTQGLLLGSLRASTKLLSCYAALGDLASARMVFDGTPRPDAYSYGVMLRCLVDAGRHADAVALHQDMRRRCPCPEAQDDFVLSLALKACVRSAEYGYGRRLHCDAVKAGGADGFVMNSLVDMYAKAGDLECARKMFERIPDWNVVSWTSMLSGCVQNGFAADGLFLFNEMRRESMQPSEYTMASALAACAALRSLHQGRWIHGSVIKHGLIYNSFISAALLDMYVKCGEVEDARRMFDELSYLDIVLWTTMIVGYTQNGNPLDALQLFLDKKFASIVPNSVTMATVLSASAQLRDLSLGRSIHGIAVRLGVVDYDVVVNALVDMYAKCQAVSEANRIFGRILNKDVVTWNSMIAGYAENNMGDDALMLFKQMRLQGASPDATSVVNALSASVCLGDLLMGKSFHSYAVKHAFLSNVYVNTALLNLYSKCADLPSARRVFDEMNDRNSVTWCAMIGGYGMQGDSAGSIDLFNEMLKDGVHPNDVAFTSILSTCSHTGMVTAGKKYFDSMAQHFKITPSMKHYACMVDVLARAGNLEQALEFIDKMPMQADVSVWGAFLHGCGLHSRLQFGEEAIKRMMVLHPERPDLYVLISNLYTSYGMWEKSLAIRRWMQEKGLVKLPGCSSVGPENG >KQL10071 pep chromosome:Setaria_italica_v2.0:IV:9170165:9170611:1 gene:SETIT_008874mg transcript:KQL10071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAMPNSVRLASRQLTLPTWWPLLGIAKT >KQL11597 pep chromosome:Setaria_italica_v2.0:IV:35168165:35170701:1 gene:SETIT_008860mg transcript:KQL11597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISVILLATQMLVPTRALLGNPCITSTAFLLQQPLLLGRLLVLYSGSGDMAAGFSSSSSSGTRTPLPKVAPFWGSSPRGLGSGRRGWRRLPASRVGSWSATTTWCAPSPSAPWVSSRT >KQL11554 pep chromosome:Setaria_italica_v2.0:IV:34771748:34772230:1 gene:SETIT_007798mg transcript:KQL11554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFHWNLALRNIGPRHMSGDARFSSDTVILPLGGIMSSRLIESSRKAHASQPA >KQL09933 pep chromosome:Setaria_italica_v2.0:IV:7544705:7548919:-1 gene:SETIT_006942mg transcript:KQL09933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPSSSAAASPGAGGGGPRPYRSRFGDTTLTKVFVGGLAWETPSEGLRQHFERYGDILEAVVITDRLTGRSKGYGFVTFREPEAARRAVQDPNPTIAGRRANCNIASLGPPRPAQPRGSRGPYPGPHLQVPAQGPHFIPRAPASPQMMPQHGGGPAAIYPSQFGYWYPPDFQYPQAMANPQVLQNYYPQMYGLTSPTASPYHQYVGYMAPPAPTPRPLLPPPPPAQQVAVQPLAQHPPAAQQVAMQPLLQHPTPQIQSSFFPAPSLPPNFRLQLPPPQALSVLPPNTTESQPADQAATSAARVTNASSAPGA >KQL09466 pep chromosome:Setaria_italica_v2.0:IV:3584281:3585405:1 gene:SETIT_007316mg transcript:KQL09466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKVSKPAAPLEVSMEKEPSSPLPVPSMDDKKQPPLLAMAVVLDVKLSPPTPASMDKKEKPPAVLFVCLWALANAISFAVNAVAMLIMNRNPCTKMLHRRGGSDGRRGAGAGGHGPGPAPEPLGRGPGLLGARGHRRRPLHGRQGGPHLPRRRTGGRVHHRRRRWELLSSGAGPARLPLPPHPARRRRRGVAPVRAGEA >KQL09559 pep chromosome:Setaria_italica_v2.0:IV:4243761:4244200:-1 gene:SETIT_0071711mg transcript:KQL09559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLLLFILGGLCALFSLTTSSRSTAAKKCVGDVKCGDAGKGSASEGEEEEAARRRKQARGPDPETDLGIVFSTFDHDGDGFITAVELEESLRRLGIAVSADEGAAMVARVDANSDGLIDIHEFRELYDSIPKKRKHQHPAAASR >KQL12168 pep chromosome:Setaria_italica_v2.0:IV:39239605:39241295:-1 gene:SETIT_006428mg transcript:KQL12168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLPAFTVRRGEPVLVSPAEPTPRETKPLSDIDDGEGMRFYSSGIHLYRANPAKAGQDPARVIRDALARALVPYYPLAGRLREEGGRKLVVDCAAQGVMFAEADADLTADDFGDVQSPPFPCFERFILESTSIAGVEPVVDRPLLYIQVTRLRCGGFIFGQRFCHCVVDAPGGMQFEKAVCELACGAAAPSVAPAWGRELFMARRPPRPSYPHLEYREPAGGPDRMLSTPPADMARVPFFFGTREIAGLRQRAPPGMRCSRFELVAACIWRSRAAALGYAPGDEVRLSFIVNARGRPEIPLPEGFYGNAFAYSVAATTAGELCGKDLGYALELVKKAKSAVTYDYLLSVADLMVLEGRPLFALSRTYIVSDVSHAGFKSVDFGWGEAVYGGPAKGGEGPLPGVTNYFSRAKNGKGEEGTVVPICLPKDAMEKFQLEVEGLTAEL >KQL09455 pep chromosome:Setaria_italica_v2.0:IV:3509838:3511448:1 gene:SETIT_006848mg transcript:KQL09455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYERATFEALIEQHFEPGQSPWLHGNNNINSAAVRAGDEVHAAAERDGRRANERRPAPAEVQFGERQTQPADDPQVRLRDDRPLRRRAARRLFQVVHQRPYELVAQPGGGVGDPGSGGGERARGDVAHLGHRQRADDGVAEVAIRERWADAGPGVGGHVHPGVVLRSVGGAARRRLASASPNVGDHRVERGYLLPAAGGCAGAGLERVNMVSGDGEDGGVERDLRVGPAGDVSPRDGRPKAHRAAAKERHLEHGQDAGVVAAAAVGRRDKRPPQLVRRGKVAHLIEPGIRAGNDEERPVAGAADFRAAGAVRREPASEGVEGDERLGQGGLQDVR >KQL11656 pep chromosome:Setaria_italica_v2.0:IV:35638487:35640353:1 gene:SETIT_008391mg transcript:KQL11656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKACVATFLMMTSFLSTINHFQMVSTVALPDDDIFTLDIVSKIHVDHDSTAKASSDFGRIVEAIPNGVFHPTSPADIAALIRLSISQSKPFTVAPRGQGHSARGQALAPGGIVIDMRSMGRGNHGYHANVSSDELWVDVGGEQLWIDVLHATLEHGLAPRIWTDYLHITVGGTLSNGGIGGQAFRHGPQISNVHELDVVTGMGEMITCSQDKNSDLFFAALGGLGQFGVITRARIALEPSPKRVLWVRIAYSDVESFTSDQELLISKRSNGSGFDYIEGQVQLNRTLTEGRRSSSFFSASELDQLAELVLRTGSNAIYYIEGAMYYNDDTASSVNQKLERQLEELSFAPGFVFVRNVSYLEFLDRIGREEQKLRSAGVWDVPHPWLNLFVPKSRILDFDASVFKGILRDAKPVGLILMYPMNKNMWDDRMTPATPDGDVFYAVGLLRSAVAAGDLERLEGENAAVLEFCDREGVGCKQYLPHHASPDGWRRHFGEKLGRVAAMKRRYDPRAILSPGQGIFPTGAAVADGDDEAGSDSL >KQL09782 pep chromosome:Setaria_italica_v2.0:IV:5975269:5975675:-1 gene:SETIT_007641mg transcript:KQL09782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIRRIENAAARQVTFSKRRRGLFKKAEELAVLCDADVALIVFSATGKLSQFASSRHAPPLLRPLRCSPPLSHLLPFSMRSLFSPWFSS >KQL11972 pep chromosome:Setaria_italica_v2.0:IV:37890208:37891458:1 gene:SETIT_008079mg transcript:KQL11972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQLPAGGQHAATLMVDRTATLLAGCASVRHASKLHAAAARAGVDHDKAVDFRLQRAYAASGRLDLSVALLWHGPDPTAVFYTSYGLHLPALALLSDMLSRDLLPTAHTLSASLPACCSSGLALHGYAVELALSSDPNVATALLSMYMRSGDPEPHVVSVTAILTCYAKMGTLDDHGRPNEVLWLFRRILRSDVEPDEVSVVLALSTVAQLDMTEYGRWLAFWLEGSGAGGGG >KQL10776 pep chromosome:Setaria_italica_v2.0:IV:25510746:25517153:1 gene:SETIT_007241mg transcript:KQL10776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILWIATFWFVGSWIVPFLAHAAGFSKETLTHRGQALYSLLTDITEGLAGIAILHQCLGRFRPLPPGWFEFNLKGRWILDVVLGCLLFPLVNLLSHINISLVPMSPGPVVGVSSVEQSIVARDPVAMALYAVVVIVCAPIWEEIVFRGFLLPSLTRYMPLPLSILASAAAFALAHFNAQRVMPLIFLGVVMGGVFARSRNLLASMVLHSLWNGFVFLDLMK >KQL09552 pep chromosome:Setaria_italica_v2.0:IV:4198833:4199777:-1 gene:SETIT_008615mg transcript:KQL09552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHQEDEEMKRRMEETEEERARRLLQEDVMRKQEEERRKICERKEEERRRRLEEEAAKPAVYADRVWDAALWEINARQAAKAHCYCKFKLTMNNSKQVFCKCVEQESLHETRRRVEDGEFVLPILAPAPLSASSKAFETVGFVEGYFDLETSGQGLERGRFMDRSGRELSSVLMVSRSSAKVLTVKVLVDKLHILLDDGLVLSGCYGFSVDFHCDDISSSEILTTNWRHHILCRNIGCEGITSFSSLLLQLKKKLNLKLSKEELGLYIMCAIDDDDENKEFTQQQQTKQQEHVCDKPKQELAEVELRDYSLLFQP >KQL09969 pep chromosome:Setaria_italica_v2.0:IV:7822806:7824661:1 gene:SETIT_008419mg transcript:KQL09969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPFELTFDFELLACDSSNYASSYAHVLDIFRTIGPQIKQIVVVSISPLSVDRSKLTQEEEKCLQLNAQASYILIHALSEDVFDAIMDEDDDYDTNHDAHRIWTTLKKMYGACEDLGQDHKASSSGSGSEVANNCSSSEDHMCHRPHEESTAPKNSSSQQYTHKCFMDKHEKEREVEDEEFKFEFDKTTKRDKKEMVRLMKKVDKQGGELERQEDYLIERIKELESLNEEMRKLNETNVSLLDKFSWYDQVLVESCDDLIAQENNDLKQEVEKIKMDLSRLKGKGFAQPSQDNRDAMVKNIEEGSTLQSSCNNYIKPITRCFNYHEKGHKIASCTRKKSHSGKTKGFLKAQEKYMGGMAVRSKVKKATSNIKRKVCYACRQKGDLGKDCPNGSTPKLFIHSDSEKLGKNLNDSCATK >KQL11261 pep chromosome:Setaria_italica_v2.0:IV:32060135:32061535:1 gene:SETIT_007588mg transcript:KQL11261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLHLGSMLIKVSVTTIAIPFLQLFYRLQQLCVLLRSHPSPNKKIAKKLFTGEETGDGSGGSGSGAATSDQNSPSKGCMSSEAHVDFVSYQLRPTFVCPLSPVRRV >KQL11570 pep chromosome:Setaria_italica_v2.0:IV:34880302:34880547:1 gene:SETIT_008511mg transcript:KQL11570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPGELRRRRCGTLRRHRRHHPHPGNSSRSSDPGAPEAMEGEEGPGADMEALVRCLRLHRAAPSPYERLRLPRLRAPRVL >KQL10683 pep chromosome:Setaria_italica_v2.0:IV:23037436:23039223:-1 gene:SETIT_007691mg transcript:KQL10683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTDAAAAGQCTGERRPQSMGRPDFFSPVFGAASTWLFCKCEKIKPACRILMEMEECVRRTAALLIQLLESQYRLKSLL >KQL11369 pep chromosome:Setaria_italica_v2.0:IV:32940103:32943941:1 gene:SETIT_006923mg transcript:KQL11369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGKSVCVTGAGGFIASWLVKLLLSRGHYAVRGTVRDPGASKNAHLKALEGAGERLKLLKADLLDYNSVASAVAGCEGVFHVASPVPSGRSSNPEVEVIGPAVTGTTNVLKACYEAKVRRVVVVSSVAAVLSNPNWPKGKPFDENCWSDEEYCRKNEDWYYLSKTRAEREAFAYAAKTGLDVVTICPSLVFGPLMQPTVNSSSKLLFNYFKGDRETVENRLRNIVDVRDVADALFMAYEKPEASGRYICNSPPIKVSDMINILKNLYPTYRYPKNFEEVEESSVYSFEKLQKLGWTFRPVEETLRDSVESYKAFGFLN >KQL11366 pep chromosome:Setaria_italica_v2.0:IV:32940148:32943043:1 gene:SETIT_006923mg transcript:KQL11366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGKSVCVTGAGGFIASWLVKLLLSRGHYAVRGTVRDPGASKNAHLKALEGAGERLKLLKADLLDYNSVASAVAGCEGVFHVASPVPSGRSSNPEVEVIGPAVTGTTNVLKACYEAKVRRVVVVSSVAAVLSNPNWPKGKPFDENCWSDEEYCRKNEDWYYLSKTRAEREAFAYAAKTGLDVVTICPSLVFGPLMQPTVNSSSKLLFNYFKGDRETVENRLRNIVDVRDVADALFMAYEKPEASGRYICNSPPIKVSDMINILKNLYPTYRYPKK >KQL11368 pep chromosome:Setaria_italica_v2.0:IV:32940103:32943940:1 gene:SETIT_006923mg transcript:KQL11368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGKSVCVTGAGGFIASWLVKLLLSRGHYAVRGTVRDPGASKNAHLKALEGAGERLKLLKADLLDYNSVASAVAGCEGVFHVASPVPSGRSSNPEVEVIGPAVTGTTNVLKACYEAKVRRVVVVSSVAAVLSNPNWPKGKPFDENCWSDEEYCRKNEDWYYLSKTRAEREAFAYAAKTGLDVVTICPSLVFGPLMQPTVNSSSKLLFNYFKGDRETVENRLRNIVDVRDVADALFMAYEKPEASGRYICNSPPIKVSDMINILKNLYPTYRYPKK >KQL11367 pep chromosome:Setaria_italica_v2.0:IV:32940103:32943941:1 gene:SETIT_006923mg transcript:KQL11367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGKSVCVTGAGGFIASWLVKLLLSRGHYAVRGTVRDPGASKNAHLKALEGAGERLKLLKADLLDYNSVASAVAGCEGVFHVASPVPSGRSSNPEVEVIGPAVTGTTNVLKACYEAKVRRVVVVSSVAAVLSNPNWPKGKPFDENCWSDEEYCRKNEDWYYLSKTRAEREAFAYAAKTGLDVVTICPSLVFGPLMQPTVNSSSKLLFNYFKGDRETVENRLRNIVDVRDVADALFMAYEKPEASGRYICNSPPIKVSDMINILKNLYPTYRYPKNFEEVEESSVYSFEKLQKLGWTFRPVEETLRDSVESYKAFGFLN >KQL12271 pep chromosome:Setaria_italica_v2.0:IV:39763949:39766608:-1 gene:SETIT_007598mg transcript:KQL12271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVVGVVVSNKMQKSVVVAVDHLFHHKMYNRYVKRTSKFMAHDEEDACNIGDRVRLDPSRPLSRHKHWVVAEILRRAKMYVPPSATASSENDTKARQAGVATK >KQL08994 pep chromosome:Setaria_italica_v2.0:IV:620531:621803:1 gene:SETIT_0058541mg transcript:KQL08994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIEVSSNEYEPSEKDVIYAEGVTQGNGLSFIDFTLDDRSPMSESFGDSHEAYSQPVNKYQLIRVSAKGMNEGCKWVEMFEDVRMVIFSVALSDYDQLASPGSSGCRSLVNKMIQSRDLFEATVRQPCFRDTPFVLVLNKYDLFEEKIGRSPLSAACEWFGDFCPLRTHHNNQSLAQQAFYYVAMKFKDLYAASTGGRKLFVWQARARDRPTVDEAFRYIREVLRWEDERDAAGYCPEESFYSTTELSSSRLIAADAA >KQL12204 pep chromosome:Setaria_italica_v2.0:IV:39418561:39420082:1 gene:SETIT_008671mg transcript:KQL12204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGSRHMCCLSTLLLLLGLSSGQVLFQGFNWESSKQTGGWYNNLMGKVDDIAAAGVTHVWLPPPSHSVSSEGYMPGRLYDLDASKYGTAAELKSLIAAFHDKGVQAVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWRAHMICRDDAKYSDGTGNLDTGADFPGAPDIDHLNDRVQRELTEWMLWLKSDLGFDAWRLDFARGYSAEVARVYINGTAPSFVVAEIWDKMVPAGDDGKPAYDQDPHRQALVDWVDKVGGAASPATVFDFTTKGILNAAVEGELWRLIDTEGKAPGVIGWWPAKAVTFVDNHDTGSTQAQWPFPHDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIAALVAVRKRNGITPTSELTILEHDGDAYVAEIDGKVIVKIGSRYDLGHLIPVGFEVATHGKDYAVWEKGGSEEVTRA >KQL10716 pep chromosome:Setaria_italica_v2.0:IV:23995403:23995948:1 gene:SETIT_008173mg transcript:KQL10716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDEEQRRDSFRKRHPTLFAMVHDLFEEFNAHAATVAFFPVGSEPQAFGGPTMESVLRTYLPADGPLRQPSSIAASHGAAGGGGGAEMAGEVAARVAGMRRELVETKALVATEWERVADAAGKIREAQATAQKENWWEVNVEALGEEELQVCVEALEILKADVQERVDAMASARMSLPRC >KQL10305 pep chromosome:Setaria_italica_v2.0:IV:12653392:12655962:1 gene:SETIT_008180mg transcript:KQL10305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRAAAGPCCSFRDKCLCSWVRRCSPRIPSVAPGRDYSCVPASGDDPGAWSVLVGFISASEGNAIDFRLHRFHVARSGRVLGRSDDTLEVLRGGYDRAKVGEMEPPQILSAMASPSPDGRSLFLFSRQIEDADGSRSHRLRPLQLHLGLNADDNRKITASPLPAVPLGPIMRTRPIAAASDLWAPCFSDHVGPPLSSTLTMKRLDKDAGRWVEVDAKNKPHVTSPPAGEFVGRVLHGYIVIGHVILLSMQPSHVLFTFDCSTCTWAEVVTIETEKNRYIPIHERGVYVEEDDTIYFLSGVVVYAYKLCQDDQGQYRMAPPTLVDCICPFDDEGYGFLTHLGNRLMCAVWIGVSLRCSCDTRHVLITVFRVTGSMSNQGHFFPKGIKILHSTCRRLDISPSTPITSNGEFCFLQEYEEFNLDASMPLEAMEAATSLNVAEPSVMLGCCREFRNETPLLTAVMLEGSPILARKALYIVCQVASHSTVYKVFIADGRLESHGQILRPRCIMNTFSSGDEYGMMKKPLPWHFVCCSKNIYAYGRSGDELYTCNLHSGALSCIPLKRPVQVSIALVLQVGSRIIAIGDTICDVYCFGSNQEWKHIRTHGTFNLKREVNLSGYAVLSDDIFIVSDADRSCLLLLDLLTREWSYIRIFSEFSRSPHSWVEVVSGWPSESGFLNGRSVFIEGFIYSCADGGIAAYEIIKQGDSYYLGDHVYMKLQWCKFWEAHRMCLDYVGKDTVSGAIVLCVVQ >KQL09482 pep chromosome:Setaria_italica_v2.0:IV:3718242:3718837:-1 gene:SETIT_009054mg transcript:KQL09482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPSATSLLLLGTALLAATVCALAQTPPETETTTENNPDIKRCISAASDFLSGIPLAPGTIQAAVRGLKPVEVAQCVCLVVAGSIGIVDDTPEGVAVVFEAFVRTFNLAVPKGFVCDPVNVLLYTQILLVVQMHAHTT >KQL11763 pep chromosome:Setaria_italica_v2.0:IV:36645472:36649065:1 gene:SETIT_006546mg transcript:KQL11763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMAPEGSQFDAKHYDSKMQELLNTGETEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >KQL11764 pep chromosome:Setaria_italica_v2.0:IV:36645472:36649065:1 gene:SETIT_006546mg transcript:KQL11764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMAPEGSQFDAKHYDSKMQELLNTGETEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >KQL11765 pep chromosome:Setaria_italica_v2.0:IV:36645472:36649002:1 gene:SETIT_006546mg transcript:KQL11765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMAPEGSQFDAKHYDSKMQELLNTGETEEFFTSYDEVFESFDDMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILASGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEDWKLDTLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLFDIQRFYNVVIEELPANVADLL >KQL09214 pep chromosome:Setaria_italica_v2.0:IV:1905474:1908639:1 gene:SETIT_006582mg transcript:KQL09214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHLRAHAFAANPLRGVSASTTAVSPSAAAEALRPLLDPSSPADAASPLPPHLSKILPFRRGRPLARSPDPPAPPPAAPAWRLAWLPPSRVPGVAPDAFVFLGAHAEGDGKEAAAYWAVDVSEGEGPRVDGGSGDGDGSAFVDLRTLMVATDWSDKDAMGDLAIAGHARALLEWHNTAKFCGACGAKAVPKEAGRRKQCSNESCKKRIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETGIEVGQVIYHSSQPWPVGPNTMPCQLMVGFFAYAKSLEIRVDKQELEDAQWHSREDIRKALTFAEYEKAQRTNALKVNQMCKGAEKGQSITDDFKVDSGEPVPMFVPGPFAIAHHLISAWAFEGAPKLPSSFSNL >KQL10226 pep chromosome:Setaria_italica_v2.0:IV:11289919:11291452:-1 gene:SETIT_006329mg transcript:KQL10226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPLLGKEGLKAAYCRVVVTVPLAAAALVAVARLGPEELAGRVRDARPVHLFLAAFLPAAAATVYLMLRPRAVYLVDYACFRTASNCRVPFSTFLEHAKQVPVLNERSIRFMTKLLERSGLGEETCLPPAHHYIPPYKYCTLDAARGEVDLVVFGALDDLFAKTGVSPGDIDILVVNCSLFCPTPSFVDMIINRYKLRSDIRSTHLSGMGCSAGLVSVGLARNLLQVAPRGAHALVVSTETITPNYYVGSERAMLLPNCLFRIGGAAALLSNSPAKARFRLRHVVRTLTGAQDSAYTCVFQEEDDRGNVGINLNKDLMTIAGNALKANITAIGPLVLPASEQLLFALSFIVRKVLSGKFKPYIPDFRTAFDHFCIHAGGRAVIDELQRSLNLSDQQVEASRMALHRFGNTSSSSLWYELAYIEAKGRMRKGDRVWMIGFGSGFKCNSAAWECIEPAANAEGPWATSIHRYPVDIPDVLKH >KQL10796 pep chromosome:Setaria_italica_v2.0:IV:25895433:25896555:1 gene:SETIT_008181mg transcript:KQL10796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTWMYQARRMDGYFRGELNKFIKVAKNNAMVNKTKMVACPCKTCFVEDYMICTYHGEKAPSQDPLEEIMEDVEFDRMFDAYDSFDEGGGDDGGGGSDGDDGVDEGDNGGGDEIDDYDSSGNDEIDDNDFLSQLLHQTKAELLVGSAKGLANFDTVKKSAEENMYERSKGCPKHWTVFRFILELLILKAKHGWSAANLILKLVSPFMMGVERIHACPSHCILYRGDTSKGLDKFTVCSASWYKNNLSYCDDHRQVPTYGNKSKRKGARNSVATVEQEDTTLGISKKHSRILALVTWYLLFADRLRCFFSNPKDVVVVHTSMDPLEGLGSPKYGARY >KQL10630 pep chromosome:Setaria_italica_v2.0:IV:20755841:20758104:1 gene:SETIT_006468mg transcript:KQL10630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASHLPYTRNGLKFFTKRGGLTSGDVEKICYRAAKKYVARKMGSGGGGMPPVVMRVDLMSAYAQHLRDIIKQRVAHPTHYDTPLKGFKVVVNAGNGCGGFFTWDVLEKLGADTTGSLHLEPDGTFPNHMPNPEDATAMSLTRDAVLAHGADLGVVFDTDVDRSGVVDDTGAAINGDRLIALMSAIVLDEHPSTTVVTDARASDGLTRFIASRGGQHCLYRVGYRNVIDKGVQLNTDGVETHLMMETTGHGALKENYFLDDGAYMVVKIVIEMVRMKLSGLEGGVGSLIMDLEEPAESVLLRMNILGEPKYAKQRGTMAVEAFKKYIEEDKLSGWVLDDCGDCSVAEGCLVDTNDHPIDVDAYMYRAKLYDENKKPVGMVHVRQSVHNPNIALNMQSYVPDGCKFMARDLHKRFLLASGVNEFVDISEVEKFVK >KQL10137 pep chromosome:Setaria_italica_v2.0:IV:10081300:10089826:1 gene:SETIT_005810mg transcript:KQL10137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIEELSELSESMRQAASLLADDDPSDEAAPRRPTTFLNAVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPIVVDLQREPGLSSKSIVLQIDSKSQQVTASALRHSLQDRLSKGASGGSGRGRGDEIYLKLRTSTAPPLKLIDLPGIDQRAVDDSVINEYAGHNDAILLVVIPAMQAADVASSRALRLAKDIDSDGTRTVGVISKVDQANGDAKTIACVQALLSNKGPKNLPDIEWVALIGQSVAIASAQSVGSENSLETAWRAEAETLKSILTGAPQNKLGRLALVDTIAKQIRKRMKVRLPNLLSGLQGKSQMVQDELARLGESMVQSPEGTRAVALELCREFEDKFLAHITSGEGSGWKIVASFEGKFPDRIKQLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKIVLEMAKEPSRLCVEEVHRVLLDIINASANATPGLGRYPPFKREVVAIASNALESFKNDAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREDELKNRSSKKTQEAEQSMSKRASSPQTDSEQGGGSLKSMKDKSGQQDKDTKEGSNLQVAGPAGEITAGYLLKKSAKTNGWSKRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNLEEVEEEEPSKSSKDSKKANGPEKTPSLVFKITNRVAYKTVLKAHSAVVLKAESMSDKVEWVNKIKAVIQSKGGSFKGPNTEGGSMRQSHSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAIVLCQVEKAKEDMLNQLYSSISGQSNAKIEELLQEDHNAKRRREKYQKQSSLLSKLTRQLSIHDNRASVSSYSNDTSEAESPRTPSRSGEDWRSAFDSASNGPPAASSNSESRSRSADGRSRRYENGDVSAGANSGSRRTPNRLPPAPPRY >KQL09558 pep chromosome:Setaria_italica_v2.0:IV:4242794:4243568:-1 gene:SETIT_0071712mg transcript:KQL09558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WVGNAFLTKSNIFIACDDEEERDLREAFDVFDGNKDGLISAEELGTVLGSLGMRRAGSGAGRPAVAECRDMIRLVDSDGDGMVSFEEFKRMMTVVKA >KQL10943 pep chromosome:Setaria_italica_v2.0:IV:28639391:28643854:-1 gene:SETIT_006490mg transcript:KQL10943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTRAAAAANGRHRRWGPAAAATTRAMASWFGHVEPAAKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGRPVVLECVREAERRIAGSMNMEYLPMGGSVKMIEESLKLAYGEDSEFIKDKRIAAVQALSGTGACRLFADFQKRFLPDTQIYIPTPTWANHHNIWRDAHVPQRTFTYYHPESRGLDFSGLMDDIKNAPDGSFFLLHACAHNPTGVDPSEEQWREISQQFKVKKHFPFFDMAYQGFASGDPERDAKAIRIFLEDGHQIGCAQSYAKNMGLYGQRAGCLSILCDDEMQAVAVKSQLQQLARPMYSNPPLHGALIVSTILSDPELKTLWLKEVKGMADRIIGMRKSLKENLERLGSPLSWEHVTNQIGMFCYSGMTPEQVDRLTSEFHIYMTRNGRISMAGVTTGNVAYLANAIHEVTKPN >KQL09506 pep chromosome:Setaria_italica_v2.0:IV:3936807:3941026:1 gene:SETIT_006767mg transcript:KQL09506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAEEPEDTEGLGFREEGIEEAAEFPLGQMERVCENTTSADFRQNKLSNFIPVVRSGDWSDIGGRQYMEDAHVCIPDLAKNFGFPSLNSEVVSFYGVFDGHGGKDAAHFVRDNLPRVIVEDSDFPLQLEKVVRRSFMQIDCQFAETCSHHRALSSGTTALTAMIFGRSLLVANAGDCRAVLSRCGTAIEMSMDHRPCSLTEKLRIESLGGYVDDGYLNGLLGVTRALGDWHLEGMKEVGEPGGPLIAEPELKMITLTKDDEFLIIGSDGIWDVFSNQNSVDFARKRLQEHNDVKLCCKEIVEEAIRRGAIDNLTAVLVSFHLEPPPQIKVDRPGRVARSISADGLNSLRILLGRQ >KQL11199 pep chromosome:Setaria_italica_v2.0:IV:31619515:31619889:1 gene:SETIT_0083931mg transcript:KQL11199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLGYCWVIDVQYLSSGRWIGFPSVASGPHLYGAASPPIRCFAPVAAGAGNCGVSPDAASGSGLAGRGLLIRYSGWMDGWMMDGCKGLDALFSVPIITTAAAAATAVISFACCCLCVLCCESVIC >KQL10951 pep chromosome:Setaria_italica_v2.0:IV:28714087:28715655:-1 gene:SETIT_007896mg transcript:KQL10951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRASALALLLCALSASCHVAISGPPSYAPPKDDFLSCLTRSVPPRLLFAKSSPAYGATWSSTIRNLKFLSDKTVKPLYIITPTDASHIQAAVICGRRYGMRLRVRSGGHDYEGLSYRSDKPEPFTVVDLSKMRQVRVDGKQATAWVDSGAQLGEIYYAVAKATPKLGFPAGVCATIGVGGHFSGGGFGMMLRKYGTAADNVIDAKVVDANGKLLDRKAMGEDYFWAIRGGGGSSFGIVVSWQVKLVPVPPTVTVFQIHRGVNDGAADLIVKWQQVAPSLPEDLMIRIMAMGQDAMFEALFLGTCNDLVPLMNARFPELGMNPTHCNEMSWIQSVPYIPLGKSGTVRDLLNRTSNIKAFGKYKSDYVRDPIPKAVWETIFTWLVKPGAGVMIMDPYGGRISSIAEDATPFPHRQGMLFNIQYVSYWFGEGSAALPTQWSRDMYAFMEPYVTKNPRQAYVNYRDLDLGVNKVVGDVSTYESGRVWGEKYYKGNFERLARIKARVDPQDYFRNEQSIPPLLK >KQL09029 pep chromosome:Setaria_italica_v2.0:IV:761522:763433:1 gene:SETIT_007511mg transcript:KQL09029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRILNDALRTMVNADRRGKATALLQPISGVMVSFLNIMKHRGYIKNFEVVDPHRVGKINVELHGRIKDCKALTYRQDLRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIRQNVGGQVLGYFH >KQL12375 pep chromosome:Setaria_italica_v2.0:IV:40219511:40222594:1 gene:SETIT_006366mg transcript:KQL12375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYTYSSSCCRWWWSAGGQGHPQAAQQQVRRHAVAARDRVAAAALSDQPVVQSQREHGAAADHEAEDPGGGPPQMLRQVDVDRSCASVLTTAVVVDRDRDADDAADGWCAIQEEHLVVEGGHAPLPAHSCCCCYCTSSRLLEQAQQDLAALRGGGQAGDVLRLQAHHHHRRRLVSPRLLRRHASMDSWKKKTKNPGKAKQPGREGDPNPDPPPPPRAEMMMMGRRSGEGGRSRQGLGWAACCLGFLLKLLAFLQAFAAVSAILYAAWILSRWARHHHLHLQDLLPGLWFPSLVMAAGLFYCILLLAGYLAAEINTGCCLCFYTIPAMAMMLLEAALAAHLTINEHWIQDLPDDRTGELHNLLSFIHNNLDLCKWVALAIFATQALSLFLAMILRAMLSARTMDYDSDEDFVVIRRPLLVAQAPPPYLPTTVDTRGFRPDLWSSRMRQKYGLNTSDYTYNTVDANAAPPQ >KQL12162 pep chromosome:Setaria_italica_v2.0:IV:39203664:39204040:-1 gene:SETIT_009047mg transcript:KQL12162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLSTIFFVQLSAPTHARKLQVRAPIISVRSSCTGRSVLQAPATQTDSTTPGHSPSIGHNSPPN >KQL11519 pep chromosome:Setaria_italica_v2.0:IV:34420008:34422382:1 gene:SETIT_007891mg transcript:KQL11519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPSTSLFLLALNHLLLHVSAHDFLLPGSSLSVEHSSDVLQSPDGTFTCGFYNFSSNATVFSIWFFESAEKTIVWSANHLHPVHTWGSRVQLDIHGSMVVKDYNGQITWTNNVSSSDADRAQLLDTGNLIIKLVSTQRLLVPGHYSLRFDDEHLLALFDDEKEISFIYWPNPHSNIWEKQRILFNSITIGALDSLGHFHESDNASFTAADWGPGIKRRLKLDYDGNLRLYSLNKDGTWYITWMAFSELCYVRGLCGVNGICVHTPVPICTCAPGYEAIDPSDGRKGCKPRFRTSCDGRQKMRFVQVPNTEFLGQDQDVGHFVSLHSCKNICMSTCSCMGFSYWQGMGACYTKLPGSAYIKLPDDVQLLESSILHSQPFGHKYSSERNKVSTNVTADFLDMTDRSHGGPKYWYMYGFLSAMFLVEVIFIFLGWWFILRRDGKRLRGVWPTEVGYEMITNRFLMYTYKELQRATRNFTDELGRGASGILSDIHHGEAEFQHELSVLGRIYHMNSNGPHRILVSEFVENGSLDKTLFGAESSLIFLERKQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDENMDWKITDFGLAKLLNRGGSNKSISRICGTRGYIAPDFGVVLLELLKGARVFECAANEDETVEVAIGRIVRMLEENAKMPDSEQAWIASFIDPRLNGHFNGLQARTMIKLDVLCVQEDRNTRPTMENVVQKLLSVEEA >KQL12308 pep chromosome:Setaria_italica_v2.0:IV:39946349:39949196:-1 gene:SETIT_007854mg transcript:KQL12308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAASSAAAAAYPRTPFSLRRRPGLLALLFVFLCFISFQVVIHVPSARSAVSQWLFSGHQGERERPKNCRGCANSQDVDSADKTIAYTDQHGRIKLFKVTAREFASSSIWENPWLGRDSQPVARTVFRRRRKVQRVQELLQMDKKVELEMRNAAINSSRNFDNKVRGSYNIWRQEFRLINTDSTLRLMKDQIIMAKLYATIALTEKEPDMYALLMKCTKQSQTAIGDALIDSELDSRYQRTSIHVLVDILQNEDRKLWKLGTLPPGLITFYNLTYPLNRTWHVLGLGYDPAVDISEIENAAVVHYNGNYKPWLDLAISKYKSYWSKYVDLDNSHVQHCYASKQ >KQL11153 pep chromosome:Setaria_italica_v2.0:IV:31210913:31212123:1 gene:SETIT_006843mg transcript:KQL11153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHGTPPHATANDGTASFLADKSAKVFLAGHRGMLGSAVHRRLTALGFTDIVGRTRAELDLTCEAAVRKFFDAERPRYVILAAGKVGGLHASAAAPVDFMTENLRITTNVLTAARLCGTVRKLLFLATSAVYAVDAPQPIPESALLAGPPAPGNEWYELHAGIVGIKMCQAYRAELGMDAIVAAPNNLYGPREPFPPENSHVIPALIRRFHHAKAAGAAEVVVWGSGLQLREFTHAHDAADAVVLLMDRYSGAEHVNVGSGREVTVRELAEMVREVVGYEGRVVWDTSRPDGVMRRLLDSSKMRAMGWEPKVELKDGLKKLYEGYLRDCATNLKE >KQL10027 pep chromosome:Setaria_italica_v2.0:IV:8633764:8636231:-1 gene:SETIT_005974mg transcript:KQL10027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTAMSLTMTSRLPICRAQDVSKQASPQQQKRAPPSAKATPPSTPDATGGLNRRRLLQSAGLGLGLGLAAGDPARARAETAAAAPPPALAPEDPTSNRMSYSRFLDYLDAGAVRKVDFFENGTVAVVELDDPALASRTHRVRVQLPGLPPELLRKLRDRGVDFAAHPVEPNPGLVLLDFLLNFGFPLLFVATLIWRSVTINNPGAGGGPNLPFGLGKSKAKFQMEPNTGITFDDVAGVDEAKQDFQEIVQFLKSPEKFAALGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKENAPCLVFIDEVDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFAGDSGVIVIAATNRPEILDAALLRPGRFDRQVSVGLPDVRGREEILRVHSSNKKLDPDVSLSVVAMRTPGFSGADLANLMNEAAILAGRRGKDRITVKEIDDSIDRIVAGLEGTSMTDGKSKMLVAYHEIGHAVCATLTPGHDEVQKVTLIPRGQARGLTWFLPGEDPTLVPKQQIFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQVTQVARQMVTTFGMSEIGPWALVEPAAQSGDVVMRMLARNSMSEKLAADIDAAVKRIVDQAYEVAKEHVRRNRAAIDQLVDVLMEKETLSGDEFRAILSEHVDIGKEQRETAARTELVTA >KQL08944 pep chromosome:Setaria_italica_v2.0:IV:389964:390461:1 gene:SETIT_007801mg transcript:KQL08944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKIKNNKHKRHKDLFTGVQLHTLKSTSPLRTHEGGLFTPKPLSSLQRPQRSS >KQL10031 pep chromosome:Setaria_italica_v2.0:IV:8697010:8698637:1 gene:SETIT_006769mg transcript:KQL10031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARERKPLAVALAVALLLVGLCRGDVVQFIFGDSLSDVGNNNYLTKSLARAATPWYGIDFGRGMPNGRFCNGRTVADIVGDKMGLPRPPAFLDPSLDADTIFKNGVNYASGGGGILNETSSLFIQRFSLYKQIELFQGTQAFMREKIGGAAADKFFGEGQYVVAMGANDFINNYLLPVYSDSWTYNGDTFVKHMVSTLEAQLRLLYALGARRLTFFGLGPMGCIPLQRYLTSSGGCQESTNKLAKNFNTQAGALVARLSASLPNATFRFGEAYDYFQDIIDRPYMYGFNNSRAPCCTLGRIRPTLTCTPLSTLCKDRSKYVFWDEYHPTDKANELIALETLRKLNITVVSNGTSS >KQL11817 pep chromosome:Setaria_italica_v2.0:IV:36966636:36967737:1 gene:SETIT_007427mg transcript:KQL11817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPATPGTVAYNVRYGPKLRGKELTEAEVQNLLNLADLDPAMSSKPATELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDTIVRLNKTRGLTAVIVSHSVKQIQRIADLVCLLVAGEIVEVLPPSELSSAKHPMARRFLELS >KQL09200 pep chromosome:Setaria_italica_v2.0:IV:1826241:1827398:-1 gene:SETIT_007968mg transcript:KQL09200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSLNVASSRRGGRRGLACLCSPALLNLLVLLSLLSTNLLALLAFLSPRAHPLPAAATANLSASAISMQVAAIAREIDATHLVPHRAAADGAALPPELLLFLSPHALPLGRDARTGLTHMPASVAHACFRSPSTLALLSAFASYEPRGVCPRNATLPHRLLSKGCEPLPRRRCLSRGPRAPLPSSNMGVDSRRWVKPRHDHEFLIDDVLRLGGAASTKIRIGFDVAGGAANFAARMRERGVTVVTTVLDNAGKPMNEFVAARGLFPLLLSPAHRFPFYDGVFDLVHVGTTALDEGGAPALGQAGTEEALEFFMFDVDRVLRVGGLLWVDSYMCHSEERMKVVVRLIGRFGYKKLKWVTGEKAGTGSTKTAMYLSAVLQKPARG >KQL10349 pep chromosome:Setaria_italica_v2.0:IV:13296055:13296817:1 gene:SETIT_007748mg transcript:KQL10349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPKIQASPKHIETYLNQERSKRQEMQQKFLEQCHKFQEAEERKHNSRTKKSAPLCCEVKIICDGKR >KQL10599 pep chromosome:Setaria_italica_v2.0:IV:19838434:19838904:-1 gene:SETIT_008131mg transcript:KQL10599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSGLHDVSGSAPLPLLLLGSLASALASLFSVVSSPSAGASANTTAAPPTASVRFSGLDALVALADYLAASYVSTADGATAAAAGDCTVCLSAIAVGERVRTLACRHSFHAACLDGWFDQSSLSCPLCRAGPAERDDHAGCRAGEDAVSWFARF >KQL12062 pep chromosome:Setaria_italica_v2.0:IV:38518457:38519311:-1 gene:SETIT_008057mg transcript:KQL12062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRVRLISKGSGGVAASFCCRLVDPSPPRDSPEENIRSSMFYENRSLDVLLIRWSDLASPRCRKLKDDCIFVQCALTVLEAKDAAAASDAVASVPSSDLHQQLGELLRSQKGADVTFIIAGESVAAHRSVLAARSPVFMAQLFGDMKEKASTCVEINDMEVEVFRTLLYFVYTDTVPELDQKGEQATLMAQHLLEAADRYGLERLKRICVEKVCTGISVGTVATTLALAEQHGCSELKSRCMKFIVATPENLHAVAATGGYKHLEASCPSVLTELLKLMAKGNK >KQL10000 pep chromosome:Setaria_italica_v2.0:IV:8213791:8214968:1 gene:SETIT_008649mg transcript:KQL10000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLTSTIARPAAGVPAPPLHEKAKACIFLRHHIHPDLKMEYLEVRDPLVLWLKLQERFGNFRFCGQVVTELEMIEKTLETFHPTNMVLHQQYRNNKYVKYCDLINMLLAAEAQNELLMKNFNMPMPPLQVVTPMWVMRRHTSSRHDVDDLTGLHFPEPSDPSKNLESTAMHVDPISNSDATAAGGDTHVGDEDYDLDDEDLLDVE >KQL10501 pep chromosome:Setaria_italica_v2.0:IV:16743276:16743779:-1 gene:SETIT_008904mg transcript:KQL10501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRRRRRRRRGKCSGGRRGTRARGQRGTPPTGARSRRRRGPRLWDARMGDGTPRTRRLPRRARRGRWRNGGTSGRTRSPPPQSRNRHGRTRRGKASGPL >KQL11970 pep chromosome:Setaria_italica_v2.0:IV:37879826:37882738:-1 gene:SETIT_006263mg transcript:KQL11970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNDVLLVVLAAALAAMWWRRCSKTGGVDGLPPGPPGWPVVGNLFQVILQRRPFMYVVRDLREKYGPIFTMRMGQRTLIVVTSADLIHEALVKQGPMFASRPEDSPTRLLFSVGKCTVNSAPYGPLWRALRRNFVAEIVSPHRVKAFSWIREWAVTAHLRRLRAEHAAAGAVRVMASCRLTICSILICICFGAKIPDDLIREIEEVLKDVMMMTMPKLPDFLPLLTPLFRKQLTEARNLRRRQLACLVPLVRARREFLRDGNKKAVDGGVEMMSGPGEAYVDSLFDLEPPGRGKRLGEDELVTLCSEVMSAGTDTSATALEWAMMHLVLDPAAQERLYDEVVGKVGKTARITEADVEAMPYLQAVVKETFRRHPPSHFVLSHAATRDTELGGYRVPADASVEFYTAWVTENPATWPDPEAWRPERFLEGGEGFDTDITGTRALRMMPFGAGRRICPAATLGVLHIQLMLANMVREFRWVPPAGEGPPDPTETFAFTVVMKNSLRAAIVERAA >KQL09882 pep chromosome:Setaria_italica_v2.0:IV:7018243:7021585:1 gene:SETIT_005928mg transcript:KQL09882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSAAKRAPAAPPSGNAADHVLLIPPDHPPQPAPPHAGNINQQPPSAAASEAPKPSQNPEKPPVVSSPSRPPLPPALLRRRSSISKPKSRFVEPLPPTPPHPDSAHPSPVHPAAATPTHRGAAGVSTPHTPAEADDEEDLFRNRDGSRSPASAARCRRRARLGLELSVLVLVLALLVVSVVVRPLRGRVLWGLEIWKWCVMVTAVFSGHLLSRWLVTLIVFAVERNFLLRTKVLYFVFGLKKSFQVCLWLALVLIAWSQLFDQGGAGRSQKTARILNYVSRFLASGLIGSVIWLVKTFLMKLVASTFHRKTFFDRIQESVFHQYVLQTLSGPPLMELAENVGREGSGLGRVSFSRAKEEKGKGVPEVIDVVKLRRMSQEKVSAWTMRGLITAIRSSRLSTISNTIESFNDVDGMEQKDREINSEWEAKAAAYAIFKNVARPGYKHIEEVDLLRFLTKEEVDLVIPLFEGASETGKIKKSALKNWVVKAYLDRKSLAHSLNDTKTAVMQLHNLISVIVVIIIIIITLLLMGIATTKILVVISSQLLVVVFIFGNACKTVFEALIFVFIMHPFDVGDRCVIDGTQMTVEEMNILTTVLLKNDNEKVYYPNSVLSTKPISNFYRSPNMYDTIDFAIHVSTSVESIGALRSKIKGYLESKPTHWHPVHTVNLKDILDVNKINMSLSVQHTMNFQNIREKNIRRSELVMELKKMFEEMSIRYHLLPQKVELTYVGPNPLPMAVAQAR >KQL12349 pep chromosome:Setaria_italica_v2.0:IV:40112625:40116020:1 gene:SETIT_006596mg transcript:KQL12349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKASAAPALADAEADERRRLRSLAFSNGLLQRAAPPAPRTPLAPSDAVARLQGRDIVRRGGQRKSRFLFSFPGLLAPAASAGRVGELADLGTKNPVLYLEFPQGRMKLFGTHVYPKNKYLTLQMTRSAKGVVCEDVFESLIVFSEAWWVGTKEDNPEELKLEFPKEFQNDGVAADCDFRGGAGAAIDEATASKAAKEVAEPRSPNFESDGDASDDSDQKDGDATQTTSGTPSVRQSARNAGKALKKYTDLSSGGDSSSSDNEVEVPEDLDEKEMKSPAVIVKDESQSEDIKPADSSARPLSSKKEPLVQATLSSMFKKAEEKKRSTRSPKGSPATKGPAAKKQRASPMVKQPTGIKKASGTRRKQTQKVEEDEIEELSTSSQDNAVDDDSDQDWAE >KQL11445 pep chromosome:Setaria_italica_v2.0:IV:33804668:33805148:1 gene:SETIT_007732mg transcript:KQL11445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIALNLPRLRAVYCTATTYSHPLHHGKTCSQSQTNWFVFFFIFTKARLAWIHQLIQAYSKSWLLLLQPNP >KQL09131 pep chromosome:Setaria_italica_v2.0:IV:1415225:1416742:1 gene:SETIT_007297mg transcript:KQL09131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTISTPPLPHGPDNLLPLSPPLALGTAAARGKTRRQRHSERSASREPRHGHQRSSGAGHERGWLHPLKTGGHARPTSISSAPSRFRPCAAEELAEVVAQGARSFATGLSSLPSAQVSSAGMRGSRWNGAEQGRMGVRGRAAAGMSRGGADRWSGGGAGPHGGRGQAAAVMSRGGAGSHGGGSGPRRYGGAGGAGSRPASLLGRLG >KQL10866 pep chromosome:Setaria_italica_v2.0:IV:27201355:27201788:1 gene:SETIT_007717mg transcript:KQL10866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYYTEASSSLSAGHTKSTKGEKGWTHNCCANHTEMIRMDEFVPISSVQIKLNQCITWKILILTQMSHQYDPML >KQL11637 pep chromosome:Setaria_italica_v2.0:IV:35512440:35514940:-1 gene:SETIT_005875mg transcript:KQL11637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVSRFVVLLTLIHLILQVSALNVLSSGFSLSADRSSDVLRSPDGTFSCGFYKISPNSSTFSIWFSKVSERTVVWSANPLRPVYTWGSKVKLNVDGSMVLRDYGGQIVWTNNVSSSNAEQAQLLDTGNLIVKGKGDTILWQSFTSPTDTLLPTQRINATIKLVSTNRLLVPGHYSLHFDDQILISLFENQKDVSFIYWPDPTVNIWQKLRIPFMINTSGVLDSLGQFWGSDNTSFMAADWGSHIIRRLTLDYDGNLRLYSLDDNGTWSVTWMAFPQLCKIHGVCGRNGICVYTPVPTCVCPPGFEVIDQSDWGEGCRPKINITCDKQTVKFVHLPNTNFNGQDLSAHRSVSLNFCKQICLIDCNCNGFAYIQGLGDCYPKAILLNGRSLDGIGSVYLKIAKDLEVSGSSIPRPQVFGPEYGPNCSAANEYVIANFSNMNKASQNISKYLYFYGFLSAIFVAELIFIVLGWFILRRERGQLRGAWPAEAGYEMITNHFRRYTYRELVKATRKFKDELGRGASGIVYKGVLKDNRVVAVKKLEDINQGGEEFQHELSVIGRIYHMNLVRVWGFCSDGPHRILISEYVKNGSLEKTLFAVEGSEILLEWKQRFNIALGVARGLAYLHHECLEWVIHCDVKPENILLDENLVPKIADFGLAKLLNRGGSNIDVSRIQGTRGYLAPEWVSSLPITAKVDVYSFGVVLLELLKGARISDMENNENEEVEMVLGRIVRMLKENLQLDGTEQFWIPNFIDSRLNGDFNYLQARTMIKLAVSCLEEDRGRRPTMENVVQTLVSVDAVSSATKMGGVAY >KQL09157 pep chromosome:Setaria_italica_v2.0:IV:1580386:1582346:1 gene:SETIT_007398mg transcript:KQL09157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAVWPFVKACVTGSLLGRTFADWCASVIAVDGRSMYPTLDAQQGERALVEKRCLYRYDLSRGDVVVFRSPRNHREMVVKRLIALPGDWIQVPEKQEIRQIPQGHCWVEGDNAGLSLDSRTYGPVPLGLMQGRVTHVVWPPNRIGRVDRKIPEGRIMPL >KQL10877 pep chromosome:Setaria_italica_v2.0:IV:27526356:27526845:-1 gene:SETIT_007744mg transcript:KQL10877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYISMHGLNQSIRKKYNCSYIHERQVASYKLHFKHLSILESNEHMREFQSRLFGDGCGMQPRCIIIH >KQL11225 pep chromosome:Setaria_italica_v2.0:IV:31860535:31866273:1 gene:SETIT_008008mg transcript:KQL11225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAATAAFANAIIGRIVNEIEKKYMIWRGLPRQSEELIRCLNTLALGMNDELVKCGGTPRTAVARAYGQEMRVLTQDIEDCLERFLHRVLSLIVSWALGRAMEDLFALMEQPNQVMLIAIVGFGGSGNTTLARAVYNSVADGAFSLCAWISIDLLEKSDHLGILTDIQLQLLPRVPFSLPALKDYLKLKEKRSIFKDRSIVLLTTTFHSIANKCTNHSPQEISPSRSEMPFGYVCNMKTLSNEDSQKVSLPWRCSPELVYGFATLLDKCDGHPLAFSCVASLLSCQDEPTGKFCMELCRNLGSFLTWDGTDEPNFSRLRGVLFDSFIGLPDHFVRTCMIYLGIFLVDNVLKRNVKIRRWCAEGYARSDPDEFSEQMVANRYFNFLVDRNIIQPVAPSSSSSVKMCRIHGLLHAFVLHKSVSKKFITMVDVERHGAVRHLVVRHSNTTNSGKTLRMDVSRARSLTFFGTGGCAISDFLKYKLIRVIDLEACSDLHDHHLEEICKLWNLRYLSLGPNISAIPKEIAKLKLLETLDISKTTVNVLPWVVIGLRRLVHLLGRFTLHVPGSKDREKLRKYLVRSTLETLAGFVAGRSPGLLQLMPHMKTLNKVKIWISYMEMAFIMLPEFVLLLNGLSVLCLSPARVTQGLISELSQMNCLLYLKVTADQADHFVIHTGAFQSLGKLHFVVCQSNFTLLEVQDGALPHLVSLQLLCSSLAGLPNFKIKHLESLKEVFLPSGDESKKHPNTPNILII >KQL11354 pep chromosome:Setaria_italica_v2.0:IV:32885341:32886105:-1 gene:SETIT_009125mg transcript:KQL11354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIGRLRRGVAHILVVQAANSVQSLVQEGSFRNTRIGCDNAELLHKSPHHGALLKVHQEP >KQL12291 pep chromosome:Setaria_italica_v2.0:IV:39861984:39864104:1 gene:SETIT_008091mg transcript:KQL12291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVGEPPFPAVDKCDASRLGTESTVAADLDGTLLRSRSAFPYYALVAYETGGAPRLALLLLLAPLAAALSRLASSPAAGVRVLVFAATAGARVADVESAARAVLPRFYAADVHPAAWRVFAACGGGRRLVLTATPRVMAEPFLRGCLGADAVAGTELATWRGRATGWVDARWGVLVGERKAQALREMVGHGEMPDVGLGDGKSDYAFMRICKVTWLIIMLMQEAYLVPQTPVEAVRADELPKRIVFHDGRLVQRPTPLVALLTLLWLPVGLLLSLVRFAASALLPMRWLHFALHALGVRVVVRGSPPPPPRHGGGATGGVLFACCHRTLLDAIFLSVALGRPVATVTYSISRLSEFLSPIRTVRLTRDRAADAATIRTVLSEGDLAVCPEGTTCREPFLLRFSALFAELTDDIVPVAVECRMSMFHGTTARGWKGMDPFYFSMNPRPVYTVTFLDKLPADLTCGGGKSSHEVANYVQKVIASTLSYQCTGFTRKDKYRELADNDGVVHAR >KQL09768 pep chromosome:Setaria_italica_v2.0:IV:5845529:5846573:-1 gene:SETIT_008650mg transcript:KQL09768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FNPVYCKLAVPSPLESSLIPLVAIMHESWGLCIFQPPLVTDTIQGREPLDPFLEVSFFGGQLYAIDCSRKLINIELVEGLGHKPKISSFKCIIDDSDDLLGMPEPMSSDERYIVFPYLVECPGKLLMADLSTKPCRWRSVNDLGGHALFVSSSSSKSFPAGECCRVQENCIYFMNEAMFNPLHDSGVYNMKNGVIIPLLSDTAAVPSHHVGPWRPTWLFPTQAM >KQL10425 pep chromosome:Setaria_italica_v2.0:IV:14650787:14652658:1 gene:SETIT_008807mg transcript:KQL10425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTSGNKLTIPASCAIPKCCGAGESTGRHVINHDKNRLSTLLQRSSVSSAPPSAPPSALAVPIPRTPLFPFPPAPSVRPFPGIPFPFPPAPSARPFPGIPFPFPPAPSVRPFPGIPFPFPPAPSARPFPGIPFPFPPAPSARPIPDTSSAQAPRSFFPGIPLAPAPSARPFPGIPFPFPPAPSARPFPGIPFPFPPAPSAGPIPDTPSAQAPRSHHFFPGIPLTPAPSARPFPGIPFQFPPAPSARPPFPGIPFPPISVSPVAPPAEPPAVTIPDSSGAYLDTLEFVVTVGFGTPARAYAVVFDTGSDVSWIQCQPCSGHCYKQHDPIFDPAKSSTYAAVPCGHPECKAAGGQCDSNGTCTYKVEYGDGSSTSGVLSHETLSLTSSSALHSFVFGCGENNLGPFGDVDGLIGLGRGQFSLSSQAASSLGATFSYCLPSHNGTQGYLTIGSTPVSDKAAYTAMVQKPDYPSFYFVELVSIDIGGYVLPVPPTVFTSAGTLLDSGTILTYLPEQAYALLRDRFKFTMKQYKPAPPTDILDTCYDFTGQRAIFIPAVSFKFSDGAVFDLDFFGVLLFPDEATAIGCLAFAARPPTMPFNIVGNTQQRSAEVIYDVAAEKIGFVPASC >KQL09942 pep chromosome:Setaria_italica_v2.0:IV:7614750:7615773:-1 gene:SETIT_007323mg transcript:KQL09942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQGGFGSGGGEHKKKTKHRKPRMPSFGSCFRRKKKERKQARATATAGVPRPALTPASSLLTHPPGSPSPEKTQAPTTPLMTQPPSPAPTENGSTINSPAPPGRQQATPRPGKQSPDDSARSSPLAPRMQQPKQVEGLEIVELATGERLSAHELSLIEMVGSSADGSAESSVKSSLETGADPESQLSQDWISVN >KQL09151 pep chromosome:Setaria_italica_v2.0:IV:1561592:1564230:-1 gene:SETIT_007510mg transcript:KQL09151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADVAYAPPMKSGKAGYEAGLLEAQHRIRITLSSRSVKNLEKVVGDLVKGAKGKELKLKGPVRMPTKVLHITTRKSPCGEGTNTWDRFEMRIHKRVIDLVSTPDVVKQITSITIEPGVEVEVTISEA >KQL09957 pep chromosome:Setaria_italica_v2.0:IV:7719135:7722234:-1 gene:SETIT_006858mg transcript:KQL09957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVSHHALASTQSYRRSAQNSRFEKRTGNVRLVYEGSRRSGSQKLGLACASGSQSSVVEPVQLPSDGNNGHTPRKSSESALILIRHGESLWNEKNLFTGCVDVPLTPKGVEEAIEAGKRICNIPIDVIYTSSLIRAQMTAMLAMMQHRRKKIPIIMHNESEQAHRWSQIYSEETKKQSIPVITAWQLNERMYGELQGLNKQETADLFGQEQVHEWRRSYDIPPPNGESLEMCAERAVAYFKEQIVPQLVAGKHVMVAAHGNSLRSIIMHLDKLTSQEVISLELSTGIPMLYIFKEGKFIRRGSPVGPSEASVYAYTRTLAKYRQKLDNMVQ >KQL11865 pep chromosome:Setaria_italica_v2.0:IV:37258293:37259035:1 gene:SETIT_007406mg transcript:KQL11865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPGRPVTVDFEALSYISSLVEAFQAFDSDNDGLVTAPELRGLLASLGLDKSEAEARDMLARADADRDGRLSVEELLDVMNAGELGLGALGELLQSALPALEAAGGALVGADELARAIGAVGGASAEDCAAIVECLDGDGDGAITIEEFRFMADLL >KQL12361 pep chromosome:Setaria_italica_v2.0:IV:40158687:40162300:-1 gene:SETIT_006685mg transcript:KQL12361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPPPPTPRRCGVVAAVVALVLLACLQIQYHHLKVDLGKAGFASATQENTNRIHLGITTKAATATTNSLPRGIVERHSDMYLRPLWDDSAAATHKNKNDDPSALLAMAVGISQIKNVDTMARKFLKENYAVMLFHYDGNVDGWRHLEWSDKAIHILAHNQTKWWFAKRFLHPDVMAIYDFIFLWDEDLGVDNFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKLSKVHRRIYDNRPSMNCSDESKGPPCTGWVEGMAPVFSRAAWKCVWHLIQNDLIHGWGLDMKLGYCAQGDRTEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVREDEGWRDPFES >KQL12360 pep chromosome:Setaria_italica_v2.0:IV:40158687:40162109:-1 gene:SETIT_006685mg transcript:KQL12360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRPLWDDSAAATHKNKNDDPSALLAMAVGISQIKNVDTMARKFLKENYAVMLFHYDGNVDGWRHLEWSDKAIHILAHNQTKWWFAKRFLHPDVMAIYDFIFLWDEDLGVDNFNPRRYLDIMVSEGLEITQPALDPDLSTDIHHRITIRNKLSKVHRRIYDNRPSMNCSDESKGPPCTGWVEGMAPVFSRAAWKCVWHLIQNDLIHGWGLDMKLGYCAQGDRTEKVGVIDSEYVVHQGIPSLGGPSLSSKTPRRSLDLRTHIRRQSSAELEKFKERWNRAVREDEGWRDPFES >KQL11776 pep chromosome:Setaria_italica_v2.0:IV:36725410:36725802:1 gene:SETIT_007983mg transcript:KQL11776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGRWGKSQIRGEPRARAERDAVASVLNPTGEPRKTPQAPTRKKPAEKGGKEGAETPKGAAAWNPRNFLFTTPPPAPAAPAAAATSARLLGSGARRRLGDGANGRRQIKMQKSREKAITERKRKRGGND >KQL12251 pep chromosome:Setaria_italica_v2.0:IV:39665233:39668063:1 gene:SETIT_007166mg transcript:KQL12251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSAAFLQHQPQRSSSNVSLSSLARTGSGGGSAARGRGATRGRRMMRRVCRGVITFIFAIAGLFLGAVTGGLIGLATESGLFRGTGIGAITGALVSIEVVDSSIRLWQARRSGIWSILYVLNVIYSLLTGRLVREKVDPAVQRVVRSQMNAVDSSPFREPPDLFEVEATNGMPRASIDKLPESWITEEYKRDGVGDLSGCSVCLQDFQVGEKVRSLPDCWHVFHVPCIDGWLIKHGSCPLCRRKL >KQL11313 pep chromosome:Setaria_italica_v2.0:IV:32536822:32542104:1 gene:SETIT_005947mg transcript:KQL11313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAASWPWAGLFFLLALLAVAGADTDAGDVAALGNLYSSWNSPAQLTGWSAAGGDPCGAAWAGVTCSGTAVTSIKLSGMELNGTLGYELSSLQALKTMDLSNNFLHDSIPYQLPSNLTYLNLAKNNLSGNLPYSISTMVSLEYLNLSHNSLFQEIGELFGSLNSLSELDISFNNLTGSLPISMGSLSKVSSLYMQNNQLSGTVDVLSNLSLATLNIANNNFSGTIPQELSSIPNLIVGGNSFANMPASPPPTLTPPPKNTRDQPNHPQGPISAPIVPETPIDQDDKKLQTGPLVGIAVGSIAVGSCVLFALVFCLHKTRKRNDDASSEPKDIVGSLAVNIERASNRETPIPNNSHENAVVATSDLQPSGKMTPERVYGTNGSTAKNAKVPVTATSYTVAALQVATNSFCQDSLLGEGSLGRVYKADFPNGKVLAVKKIDSASLSLYEEENFLEVISNISRLRHPNIVPLTGYCTEHGQRLLVYEYIGNGTLHDILHFSDGMSRKLTWNTRVRIALGTARALEYLHEVCMPPVVHRSFKSSNILLDEEYSPHLSDCGLAALSPNPEREVSAEVVGSFGYSAPEFAMSGTYTTKSDVYSFGVVMLELLTGRKPLDSSRERSEQSLVRWATPQLHDIDLLAKMVDPAMDGLYPAKSLSRFADIIAICVQSEPEFRPPMSEVVQQLVRLMQRASIIRRQSDDLGYSYRVPEGGTGDAI >KQL09739 pep chromosome:Setaria_italica_v2.0:IV:5593116:5594996:1 gene:SETIT_008330mg transcript:KQL09739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSHRLPPGAPAVDPYYVYAPRPDPQRHGVLTLFVAGLPDDVKPREIHNLFSHRPGFDHCLLEYTGRGNQAVAFVTFFTHEAALSAMTSLNGTIFDPETGDRLHIELAKSTSRRPRGGGEVYRVIDKRANKTEGNADHENVGDEGDEAAWGEDEDGGSDDN >KQL10556 pep chromosome:Setaria_italica_v2.0:IV:18169093:18169654:-1 gene:SETIT_008963mg transcript:KQL10556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPAFPRCCVCASSKKGTALRAREGLIGGGNLPPRRGGKAMRPRRTQPARKAPRDGPRPHSTRVGLGFALVCPPANQGTRRGEGRPRSGTGKIPSFGKGGRESGREGGEGRRPRRGQWNGR >KQL11340 pep chromosome:Setaria_italica_v2.0:IV:32749661:32749993:1 gene:SETIT_007845mg transcript:KQL11340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEGAEEAQIDNLGKKLPEQKTCSLRGRAPSSFAHLGCACQSLEISTLLPEAACTTRSHQLFRMSHRAVSWILGVAELWYCQGLEIQYFYAFFSHMHVLVYQ >KQL10919 pep chromosome:Setaria_italica_v2.0:IV:28034710:28043142:-1 gene:SETIT_005789mg transcript:KQL10919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPVEKHHSIDAQLRLLAPGKVSEDDKLVEYDALLIDRFLDIFQDLHGPNIREFVQECYEVAAEYERDRDAAKLSELGSRLTKLSPNDAIVVASSFSNMLNLTNLAEEVQLAHLRRNKLKRGDFADEGFAATESDIEETLKRLVTELGKSKEEVFDALKNQTVDLVFTAHPTQSVRRSLLQKHARIRNCLTQLYAKDITEDDKQELDEALQREIQACFRTDEIRRAQPTPQDEMRYGMSYFHETIWKGVPKFLRRVDTALKNIGIDERLPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMASNLYFSQIEELMFELSMWRCNDELRARAEELHASSQKISKHYIEFWRQLPANEPYRVVLGYVRDKLYSTRERSRHLLTSGFSDIPEDSAFKNVEEFLEPLELCYKSLCDCGDKTIADGSLLDFMRQVSTFGLSMVKLDIRQESERHTDVIDAITTHLGIGSYREWSEEKRQEWLLSELRGKRPLLSKDMPQTEEIADVLGCFHVLAELPRDSFGPYIISMATAPSDVLAVELLQRECHVKQPLPVVPLFEKLADLQSAPASIERLFSLDWYMNRIGGKQQVMVGYSDSGKDAGRLSAAWHLYKAQEAMAKVAKRYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIETSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEIAAVATDEYRSVVMREPRFVEYFRSATPETEYGRLNIGSRPAKRKPKGGIESLRAIPWIFSWTQTRFHLPVWLGFGAAFKHAMKKDIKNFQMLKEMYNEWPFFRVTLDLLEMVLAKGDPTIAGLYDQLLVADELKPFGEQLRNNYVETEKLILQVAGHKEILESDPGLKQQLRLRDPYITILNVWQAYTLKRIRDPNFKVTPQPPLSKEFADENQPRGIVKLNPASEYGPGLEDTLILTMKGIAAGMQNTG >KQL09570 pep chromosome:Setaria_italica_v2.0:IV:4322179:4324753:1 gene:SETIT_005939mg transcript:KQL09570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLLFSLTAMLRFQGKAVMLPDPSCLKKCGDVDIVFPFGIGADCAMEGFNLDCNKTVDGSSHITYYHNAPLMNISLLHGQVRMKNYIQYRCSNHSNGNIIDGSWYLQLSDTPFTFSEHLNMFTVFGVNTLAYMIDSINVLGCLAQSWPYNNLSARDGFCNGVGCCQVALTRNMSYYDVDFSERYKSWISMNGTNRSTTEDAEYCGYAVMIEAAAFEFRTTYLNTTVFWKENAGRVPLILNWVVGNETCEIAKEKAASYACVSNNSMCIDSTNGPGYLCNCTEGYHGNPYLPDGCQDIDECAVNVPRPCPGYCTNTPGNFSCPYQKPPSPSIGVVIAVIAITCTYLIRERKKLADIKQKYFQQHGGLLLLREISLKQGTAFSIFTEADLTEATDKFDDKNILGRGGHGTVYRGVLKDDSLIAIKRCVSMTNEQRKKEFGKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFQFIHGDNGCHNIPFTTRLRIALESAQALAYLHSWASPPILHGDVKSSNILLDENYAVKVSDFGASILAPTDKSQFMTVVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTGKMAFNLEGPEDERILSQRFLNAIKEDKLMDVVDDRIKIDTDTGLLEEIAELARQCLEIVGERRPAMKDVAEKLDRLSKIMQHPWVAAQPDPEEMESLLGESSVPSLEMIGTANFSMEKRIRGLCKG >KQL10518 pep chromosome:Setaria_italica_v2.0:IV:17305294:17305816:-1 gene:SETIT_009060mg transcript:KQL10518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWQCEKSILKGTSVPLIVLVLNSRSNSFHQVPNFRYEDR >KQL10173 pep chromosome:Setaria_italica_v2.0:IV:10527935:10532452:-1 gene:SETIT_007899mg transcript:KQL10173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHDDATKGQSSSSTADVYSGELTPLQRHVAFFDRDKDGVIYPSETYQGFRAIGCGVALSAASAVFINAGLGPSTKPENQKTPPFKFPIYVKNIHKGKHGSDSGVYDANGRTCMACLTILSGIEQRSLIVICSHRKTCTTAYLTDRIICTVKKNFIQRKAFPIPYTICHHPYDPSRIGHVPAAEKSSLVPEKFEEIFKKHAHTKPDALTGKELQEMLQANREPKDFKGWLGGLTEWKVLYSLCKDKDGFLHKDTVRAVYDGSLFERLEQERKAKKEFTKKK >KQL10561 pep chromosome:Setaria_italica_v2.0:IV:18289859:18294861:1 gene:SETIT_008842mg transcript:KQL10561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISQIGNDRYFVENSWQSEPKSEDKTTYFLKLRRWWKGIDIFEKAYVLLPVHAETHWSLVIICMPAKEDQTGPIILHLDSLKFHSSRLIFNVISRFLKEEWNYLNENVSSAECPLQETVWKNFPRKIEKKAIEVPQQENDYDCGLFVLYYMQRFIQEAPERLQKKDLSMFGKRWFRPEEPSQLRGEIRRLLQKCREAEPKTYATELCVEAEPNDVTQPMSSEHLQETVDAAAAKDHALIECSENAVYCV >KQL09540 pep chromosome:Setaria_italica_v2.0:IV:4114662:4120270:1 gene:SETIT_006154mg transcript:KQL09540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGTQPTLRKYLGALKDTTTVSLAKVNSDYKDLDIAIVKATNHVERPSKEKYIREIFLSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNMAYFKDDSSAEAWDYSAWVRIYALYLEERLECFRVLKYDVETDPPRTRDLDTVALLDHLPPLQQLLFRLLACQPQGASSYNIIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRNDAIRALDIYKRATNQAERLSEFYEVCKTIHIGRGEKFLKIEQPPASFLQTMEEYVRDAPTMTKEKAVLAIEYKKEPEEEEKPASPPPAPEPEAEQEPEPEPEPVIEEPPAPEPDLLGLNEPSPAATAIEEQNALALAIVPIDDVPKAAPTFENGVTGWELALVTAPSSNETAVASSKKLVGC >KQL09541 pep chromosome:Setaria_italica_v2.0:IV:4114662:4121103:1 gene:SETIT_006154mg transcript:KQL09541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGTQPTLRKYLGALKDTTTVSLAKVNSDYKDLDIAIVKATNHVERPSKEKYIREIFLSISAARPRADVAYCIHALARRLSKTRNWAVALKTLIVIHRALREVDPTFREELINYGRSRSHMLNMAYFKDDSSAEAWDYSAWVRIYALYLEERLECFRVLKYDVETDPPRTRDLDTVALLDHLPPLQQLLFRLLACQPQGASSYNIIIQHALSMVALESVKIYTAISDGTINLVDKFFEMQRNDAIRALDIYKRATNQAERLSEFYEVCKTIHIGRGEKFLKIEQPPASFLQTMEEYVRDAPTMTKEKAVLAIEYKKEPEEEEKPASPPPAPEPEAEQEPEPEPEPVIEEPPAPEPDLLGLNEPSPAATAIEEQNALALAIVPIDDVPKAAPTFENGVTGWELALVTAPSSNETAVASSKKLAGGLDLLTLDSLYDDANRRASQPAASYNPWDATPAAPAPMLQTMAPAMQDPFYASSGYAAPHAVQMAAMAQQQQAFLLQQQMMTMAVAPPPAAAAVHHHPMPMQQNPANPFGNPFAPAGAHHPYGAAGMPLHAGPGNAYTGLI >KQL11648 pep chromosome:Setaria_italica_v2.0:IV:35590768:35591846:1 gene:SETIT_008492mg transcript:KQL11648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPVIKIDQLYGEKRSETLSLLHNACAQWGFFWLENHGVNEDLMNKVKELVNKHYEQEMENFYSSEMAKVLGCEKVSSNVDWECSFMYRHQPKSNIHDIPELLRTTVFQYAEEVIKLAEQLAAAMSENLGLDKDYIEKAFSKPSVGIKVAKYPRCSHPELVMGLREHTDAGGIILLLQDELVPGLEFLKDGKWIPVPPTEGNRIFVNLGDQIEVMTNGIYKSICHRVRPNKNGSRLSIATFYNPGADAIICPAPKLTYPSQYRFQDYLNFYSTTKFTDKVSRFQTTKAMLK >KQL12098 pep chromosome:Setaria_italica_v2.0:IV:38747313:38752158:1 gene:SETIT_007163mg transcript:KQL12098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAREPSRGPDANSPEAARSNPNQTAERSKGDSPPPRAPRLAREMEETAAAAAAVEPAAEKATSYRYWVRESTGDAAPLPAPRKLDAADLAANPAPTTLGSVWNQAGTWEEKNLNSWANSRIKDLLGSLDPLEFSTGKASVYEVSRCSGDAFLVTVRNKKRVGYTYELSLKFKGEWLIKEENKKVKGHLEIPEFSFGELEDLEVNVRFGDEKDLSSDDKAQICKDMKSFLAPIQGKLREFEKELKER >KQL09822 pep chromosome:Setaria_italica_v2.0:IV:6321420:6325303:-1 gene:SETIT_007927mg transcript:KQL09822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCVSAVTGAADAQPVAGADAQPQPTAPPQQPGGQDANLANNIIKPPTRNGQQLHSETSSDRDLPRRDVNDDDDAFMEPPPRQPVAKKQCINATSKLAKNPVKYAHAPTVRCAPSTFNSFVDHLTLWQRRQIKDMGFGGLLCVAAERLESRELLKFLFDRLDPKTMVLNVAKDKGIHVTPFVVKQVLDLPEGGEDIVLSTHIQASKALSAFKTLLGLQESHDLNASHLQKTLKDDLELGSGMITDDMAIRFFFIIACNKLLFPSTDNNIRCKDVYLTRDLSCLPALNWCKAVVDDLREAALNWQSDKAKKSFSGCAILLILRNIIDTCYHTTENPSSTTPPSIEPLPTTRIHSMQAELRGIVHQISAEPRKTQAMQALASFDAKAKEASRYVTIAQQMLSDAHQSATHILQAILNDEIHGNNSEDHDNQAHASDAGFNTKNITAHCHHIDLLFHHFPYTILLYAAQANDVDMNGHITHNVGSENVHTDVMIDHIVPHVPAKGDPVAPSPNGRDEENIQAATNKDDALGPILLQPCTQDEFIHRPAIAPRPQRLTKRPARYVSPFKGDPQRAKAPQLTAHAVRKKFRTDMKCKSDIFIRTGLREFSGLDIEESFLDGEMLSTQFMSYLVACMSYDECHMPDGGGYRVFLSQELGFLLPVMEEEHYTIYCINFIHDRIDVIDSSPDDHTDYHQVLGDRIIRRLNLLFQLVTDFEMKQFTRFKRPIIVPCMHTDDNDCGFYAIKSMELWNGDSFHVPILTVRLTTLTKTQGF >KQL09043 pep chromosome:Setaria_italica_v2.0:IV:829733:829887:-1 gene:SETIT_009030mg transcript:KQL09043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSMTTLSHTHTSRNACACDLLKKRSAADSAPL >KQL12184 pep chromosome:Setaria_italica_v2.0:IV:39315912:39317291:1 gene:SETIT_006799mg transcript:KQL12184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPCLLLPLLLAAAAVLAWAPGGALAKSKLAKKSDDIVNGPLLTEKLKAKRTLIVGPDEEFKTVQSAIDAVPAGNTEWIIVHLRSGVHKGKVVIPANKPFVFVRGNGKGRTSITHESASSDNAESAAFAVNADNVIVFGISFRNSARVGLVNDQGIRSVSAMVAGDKVAFYHCAFYSPHHTLYDSAGRHYYESCYIQGNIDFIFGGGQSIFQCPEIFVKPDRRTEILGSITAQDRKADDDGSGFVFLKGKVYGVGEVYLGRVSAPNSRVIFADTYLSKTVNPEGWTSIGYTGSTEKVMLAEFNCTGPGSDAAKRVPWSRRFTMNEASKYLTVDFINGKEWLPAFYY >KQL10455 pep chromosome:Setaria_italica_v2.0:IV:15488429:15491074:-1 gene:SETIT_008683mg transcript:KQL10455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDAYDSLDEGGSDDDGGGSDGVDEGGDGGGDDNRSYDSSGNDELDDRDFLNQLLRHTKAELLVGSAKGLANFETVTKSAEENVYKHSKECPKHWTVLRFILELLILKAKDDWFNGSFSDLLLSINTYRAKKLVSPFMMGVERIHACPNHYILYRRDTFKGLDKYPVCSSSRCKNNSSYCDDHRQGPTDGNKRKRKGARNSVANVEPDDTTLGMSEKQSIIPALYLPYWSDLEVCHAIDGVYLNKNVFGNTIGLFLETPAKTKDTLKSRQDLVAMKIRKDLHPIDKGNGRYELPPASYNLTLDEKKVVCQLLWGIRVPSQFSSNIRNLVSMNDLLLSSYNFHDCHVMLTLFLPIAIRAIKRIYAKMVITRLCYFLNKISEKLDMCFALGFFDIMEHLMIHMVDQIWALGPLYLHEMWTYEHFMLVLEWYVLNRAYPEGSMIEGYNIVEIIESCLGYLKDKVKIGLPIPRFMGKLEGINTVGRKIIIDKDLKDDLNLPDGKTMEDQMVKRLAAGPSS >KQL11702 pep chromosome:Setaria_italica_v2.0:IV:36186182:36187115:1 gene:SETIT_008680mg transcript:KQL11702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPEAEAESVCGEAGGERKRKKKTLGRQKIEIKLIESRSARYVCFSKRHDGLFKNAVELAARCGAHVAIVVFSRAGKPYSIGYPSVNPVVDRYLDPASASAAAPCAAAVARLAPILREYESEKERLEKAMKAEVIKRKALDAAARAAGVRAADDDVCRAGIPELLAMLAALERVQAEAAERVREATVEEVMMQHGAGSSHPDPGAMDAQTTMLMGGDVDHAPMHFASMMLPTYYLPPPPLNYGSNHNQLAGYGYNYDLGDGSGHDAANELEGYYYYGTTTTCNFFG >KQL12086 pep chromosome:Setaria_italica_v2.0:IV:38667286:38670389:-1 gene:SETIT_006410mg transcript:KQL12086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRESKMWEFSVGLYMIRICPGSLLFTAIYGVVESASVAVFGPMVGILVDKLTYLQVLGLWLLVQSLSFIIAGVSVTALLIYEDLKDANFPVFMSLVIVTNVSGALAALSTLAGTILIEREWVVVISCGHPPSVLTGINSVIRRIDLSCKLLAPVFSGMVFSFVSAQASAVALALWNVASVGLEYWLFVSVYNGVPALNAENGRQRAADVLSSSEEIAAPAERAADWRTRLTEQLSIIPCWESWVVYVRQDVALPGVALSILYFTVLSFGTLMTATLDWKGIPAYVISLARGFSAIVGIGATLLYPMVHSWVSTLRTSLWSIWMQWCCLLVCVGSIWASSSVASAWMLMAGVAASRLGLWMFDLAVMQLMQDGVPEHERCVVGGVQNSLQSVFDLLTYIMGIIISDPRDFSQLIVLSFFLVTCAAAMYTLHVHRVRKHLFHLDKILAKISW >KQL11429 pep chromosome:Setaria_italica_v2.0:IV:33521592:33524720:1 gene:SETIT_006059mg transcript:KQL11429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENGVQLTLTSTLTSTGKARINGDGMNNGPHDKQERNGALQPSKGQNQQLCTTCAKGHSCQSVINRTRQMRALINSKKPYQAHSVFKHLVDEGHKPSLVTYTTLLTALTNQRMFESIPSLLAQVELAGLRPDSIFFNALINAFVEAKRMGEAINTFWKMKHSGCHPTTSTFNTLIKGYGIVGKPEESQRVFDMMGVEESVRPNLTTYNILVKAWCDQRNVEEAWSIVGKMRASGLEPDIITYNTIASAYANNDETWRAEELIVEIQTRVRTSERTWGIIIGGYCREGRLEEALRCVRQMKDAGVIPNVVIFNTLLKGFLDANDMAAVNNILRLMEQFGIKPDIVTYSHQLNTFSSLGHMAKCMKVFDKMIEAGIEPDPQVYSILAKGYVRAQQPEKAEELLLQMSQLGVRPNVVTFTTVISGWCSVADMDSAMRVYEKMCKSGVHPNLRTFETLIWGYSEQKQPWKAEEVLQMMQETGVKPKQTTYGLIADAWKAVGLIENAKQANGSSNGCHASDDSDHSDDSSNMQISESNKLQSFEESNGHAMNGRTRSSFLQMTNALGSGGIDAAKIPKAREFPSKRLKAVKSTFLLQRSYQFQLRHSVFCRKQLQKNGGLYSQSVSSFKMVFL >KQL11523 pep chromosome:Setaria_italica_v2.0:IV:34464519:34465329:-1 gene:SETIT_008935mg transcript:KQL11523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLISMFCDFCLRLGTCACIFLPFSSMSSFNNEIAMQFSFPLIFYSVYMRSVPFQFFLYDLKFPFSFFLCHVC >KQL09175 pep chromosome:Setaria_italica_v2.0:IV:1672872:1680845:1 gene:SETIT_008146mg transcript:KQL09175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLEQQEKLRRHVDEWRFRSRAALSELSSGSGTPSPTPSAPSGPVRLRVAPADPAGAGAVSLLLTAAAADDNVAVAKFVAVLSHSSVEISRLSDAASKGLYRQLVLFGHDAGVSGEALLEGEPQKLFAHSIPLLLELYEIINGLIMILGNLLRQLDVICSVRDKNVRPLNSFRNFDLRTVFGSLGEGLTVFLLLDEILRHNGHVKSYLSLFSRMMSKVKSEVDIFGMSVEDVDFLDQVVHNLQKIFDSGLFHRLLQVDSPLRSSIDLVRSNKKLLDAFHSCFAESSSEIILRIGSSKELPYDRKTILHLVALLLFFISATDETPDKKSMKLLTEMFQMVPVIYIEGGKRIVLSDLMKCYCPPALSSLPPIKEACEAFEIMKNNYLAHLNEVQSRDIQAINDTLSCWSVSFQSAVHPSSQMLTEEWVRHLQKQILQGVVLADRIHILVQSMLDLHMHLKVPLRREKAKSLCQMIVSLKSIGDLFNTRGSNIVRSLPHIINIIQSDIELLLVPLKTRLQSEIAKADQVSKTGFLSLLRRGSAEMETKLLDSLSLVLISLQLLEGSGSSPRQLTLSITVDILHSLGHLDVELCKVRKLLSKFRVLSNFQSLIEERTKCCFLYWIKEMLSTWLSMVYGDACKLSWLQNIVDAFSDGTSLLELGNMGPVALQSYEEDIENALREEVVAPLCRDIETDLRLHVHSTRLKGAVVVNPTKTGVRNLSWYLRMKPLRLPFKFVDVKLLVENHLNSAFYTYSVMPNYDNK >KQL10704 pep chromosome:Setaria_italica_v2.0:IV:23867857:23871237:1 gene:SETIT_008580mg transcript:KQL10704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGIFNPILYGKRLFQQFAVDTYVKIESSRLDYIRNNQDILRADLYQGLVDSWRTGVEDADKVGKRTVLSPTFIGGPRNMRRRYMDAMALVRKFGKPDIFLTMTCNPNWDEIKNELYSGQSPQDRPDLVSRVFRAKLEELKKMLMEKDILGKVRAFVYVMEFQKRGLPHAHFFLIMQRKYKITCPEKYDLLISAELPNKKKYPDLYRMVTKHMMHGPCGTLNPLRPCTRGRTSCKNRYPRPFCDSTSQGKDSYPIYRRRDDGRKEIIRGHILDNQWVVPYNPCLLHTFNCHINVEACSSIKSVKYLFKYIYKGHDRASVAVREAGKKDDKGNVDEITQYREARWVTPPEVMWRIYGFDLSKNHPPVQQLQLHLPDMHMVTYHKRDKIERVVKYPGADESMLTAYFDYNRLHEESRGILYHDFPKHYTWESNGKFWKPRKNAVYQVGRLVLAHPAEGERYFLRVLLNHVAGATSYRDLRTVDGVLLPSFREAAERRGLIEEDNTLDECLTENSLFHMPSSLRRLFVTILVFCEPNDVFGLWTKHLDAMSEDYRRNNPNPSLVEQMVLIDIRNMLQSMGKDIRSFPLPAIDDAYDDASGIPHEIFEEASIDQDLEDVGLSDSLNEEQRAAYEEIMSKVDTEQGGLFFVDGPGNTGKTFLYRALLGTLRNQNKLAIATTTSGVAASIMPSGRTAHSCFKIPLTLEDGGCCSFTKQSGTAKLLQQASLIIWDEASMAKRQAMEALDNNLRDIMAGRICRSVLPIVRKGSRAQIVDASLRRSYLWESMHHLKLVHNMRAQSDPWFAEYLLRIGGDTKEVNGDGDVCLPDDICVPYFGDSEKDLDMLIECIFPNLNANMTSKDYITSRAILSTRNDWVDNINIKMIGMFQGGEMVYHSFDSAIDDPHNYYPSEFLNTLTPNGLPPHLLKLKISCPVILLRNIDPANGLCNGTRLVVRGFQKNSIDAEIVLGQHAGKRMFPFQFKRKQFPIRLSFAMMVNKSQGQTIPNVGVYLPAPVFSHGQLYVAMSRATARTNIKILALPPNKKRVPTVDGTYTKNIVYKEVLTP >KQL11336 pep chromosome:Setaria_italica_v2.0:IV:32682891:32683919:1 gene:SETIT_007975mg transcript:KQL11336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRITSKLPLLAILLAILLLLPSAAMAAVAKAIDGSKSQRLQLPDDLIGPESVAFDAHGAGPYVSISDGRVLKYDGEGAGWKTFAYSPSYTKNNCDDFSELPAVAKESSCGRPLGLRFHNNSGNLYIADAYMGLMRVGPNGGEATVLATEAGGAPLRFTNGVDIDQVTGDVYFTDSSKTYTRAQHQMVTTSGDSTGRIMKYDPRTNKVTVLQSGVTYPNGIAISADRTHLVVALTGPCKLMRYWIRGPKANTSEPFADLPGYPDNVRPDGKGGYWVALHREKYELPFGMDRHLLAIRIGADGEKLQEMKGPKNVRPTEVVERDGGKLYLGSVELSYVGIVST >KQL10188 pep chromosome:Setaria_italica_v2.0:IV:10659474:10660675:-1 gene:SETIT_007913mg transcript:KQL10188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WLPLPASAFHFSATLHVVTISKCDIVDSMVETLYLPQVRKLGLQHVRISGHSVHNIIAGCPVLEGLLLKNINCGHDVSIRINSRSLISFGFGFLSRELIIEDAPSLKRLFQLREHFMRNVLVISAPNLEIVGSIYDNNYTKFTFGDTVIQQLCAVNLKTAVSSVKTLAIDNHNLNLDLVINFTQCFPRLEKLFYLCVFFVSLLSQTSNVSGGKNLWRRKYHSRIKYLDIGLKTIVFRNYRGIKSQASFAAFFILNAKMLQVMRFEGGPYKDDTEFIERQHRLLQLDKKASRGAQFQFTTSTCHSDLPHIKHVHDLSKDDPFKCTC >KQL11522 pep chromosome:Setaria_italica_v2.0:IV:34440054:34441051:1 gene:SETIT_007210mg transcript:KQL11522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLLIVRTFPCLLLTLLYWPLVFLPLPELGKRSTIPSCSSWATFFPWSQTPRISFTNQPPIVRHIVQLSVIVFQLLDKREATRWRRPAEGGEARCVAALAAPHPDCSSPTRGPRPARGPRPQRMGERPQPTSREEEPAAGTRRAGWAPRRPVLQLCAPPVFNARLYGVRGAAVARRRPQRGTAGETSASGGCCIPRRICALCLADPRVLSASGLTRRLVRVPTGSELARVR >KQL09800 pep chromosome:Setaria_italica_v2.0:IV:6186458:6189467:1 gene:SETIT_007167mg transcript:KQL09800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEYWVSQGNKWCDFCKIFIANNPFSIRTHELGKRHKDNVTKRLSTMQKESDAKDKEQQQAARALQQIEAKAKKSYQKDLENSQRNVDGDTSAAPGDGWVFDSTSGYYYDKSTGLYYDSNSGFYYSDGLGKWVTQEEAYKSVQTSKTDVGQSSTSQTKAPPAAETAVPAIKGGPAPGRVVTKPLNPMRPTKGTPAPSAVAVNKRKREDGKPKVISKEEEAALKAREAARKRVEDREKPLMGLYRTY >KQL11385 pep chromosome:Setaria_italica_v2.0:IV:33105829:33106787:-1 gene:SETIT_008484mg transcript:KQL11385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEQGKQNKRRMDERGERNLGGDDLHHRLARDQDRDPAKQQRGGFQRGEREGVVICFRCNQEGHHRSECSNPLLCYNSKASGHMSQSCPRVKVNRGLKLCGFGLLGQLFYSIHGTGSVTKVTTELRYLINSKWDWQVRKIANGRYEFVVPTKADLEFLTKFTEFQCKSSDLKVSVEKASISDGFFDLLTSVWVKMSGMLDWARKEKPIEEAAYLIGDPEEVDRRSLQRRGPVRVKVACKNPKEINETSNVYFNGLGFQITWTVEMETIAESQPPKPADKEEEDDENDEIKSDDYSPFMH >KQL10678 pep chromosome:Setaria_italica_v2.0:IV:23027723:23028106:-1 gene:SETIT_008863mg transcript:KQL10678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVPTYIGYLEEMFKGHLVDGAASVIPGSSTQEKGDEKVDLGDDEGEEQEEEEFLTSPLSSGNRKTTNSTASTATSPNKKSKSPMVKMF >KQL10816 pep chromosome:Setaria_italica_v2.0:IV:26197436:26198082:1 gene:SETIT_008456mg transcript:KQL10816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGLEIFNDMLDILGPPPSGLGCTTAWRGRNMLPHHMHWRGSPLPRWRGEPRRQAGADVGGLSPRQPAWRGRANT >KQL09981 pep chromosome:Setaria_italica_v2.0:IV:7899409:7900700:-1 gene:SETIT_007933mg transcript:KQL09981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APPLRRRRHAPLQNHLLGFLRVASPLPSPIHPRARLFLSTSTAPAPFSLEDYLVAACGLAPAQARKASKKAYCEASKHAGKPFEEFSYSRLNSASNPDAFLALLTGLGLSRADIAAVVVADPLILRSRVEKIRPRLIALRDRVGLSTPEIVRFLLVGSRILRYVNIAAHVEFLISVYGSFERLLVVLKRNTNLLKMSLERVIEPNIALLHQRGLSARDIAQLCSNAPRLLSYSPERVKDSRMFWQAVSVVAHDTKEKVAARLEFLKSTLGCYESEVATAVSKMPSILGISEECLHRKIQFLVNEVGLGPQYILQRPALFAFSLEKRLVPRHCVMKVLLAKGLLDSKRSFYTLAQYGEETFKFRFIDSHQDSVPGLADAYATAHAGSVPVDGRIATHSL >KQL09353 pep chromosome:Setaria_italica_v2.0:IV:2647125:2647730:-1 gene:SETIT_008104mg transcript:KQL09353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSAAAVASGERKYKGVRLRKWGRWVSEIRLPHSRERIWLGSYDTPEKAARAFDAAYLCLRGPAGVDGLNFPGSPPDVGRTSDPNEVYAAAVSQADPAAAAALWDNAAEPPSEMLAHDDGAPAPALSPPLQVSVPSFDWSELLADLPPLFSPAEVMSRAYLLPVSPAAADVTMEENDSWSPCPGLWSFDSSGDSPITDHVDK >KQL09249 pep chromosome:Setaria_italica_v2.0:IV:2104140:2112817:1 gene:SETIT_005813mg transcript:KQL09249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRLEIKRKFAQRSERVKSVDLHPTEPWILSSLYSGSVCIWDYQAQAMVKSFEVSELPVRSAKFVSRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWDKGWMCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTTKIWSLGSPDPNFTLDGHQKGVNCVDYFTGGDRPYLITGSDDSTAKVWDYQTKSCVQTLEGHTHNISAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRMVIGYDEGTIMIKMGREVPVASMDTSGKIIWAKHNEIQTVNIKTVGAGFEITDGERLPLAVKELGSCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAIRESTSRIKIFNKSFQEKKTIRPSFSAERIFGGVLLAMCSSDFICFYDWADCRLIRRIDVTVKNLYWADSGDLVAIASDTSFYILKYNRDVVASYLEGGKPVDEEGVEDAFELLHEVNERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLANQSRVYLIDKEFNVIGYTLLLSLIEYKTLVMRGDLERANEILPSIPKAQYNSVAHFLESRGMLEEALEIATDADYKFDLAVQLGKLDVAKAIATEAQSESKWKQLGELAMSTGKLEMAEECLLQAKDLSGLLLLYSSLGDAEGIEKLASLSKEHGKNNVAFLCLFMLGKLEDCIQLLIDSNRIPEAALMARSYLPSKVSEIVAIWRNDLCKVNPKAAESLADPSEYPNLFDDWQVALTVEKNVASQRGHYPPADEYLNHAEKSDTTLVEAFKRMQIIEDDESVDPAEENGEPDQEALEENEMENTDEAVPVDADEHEETSLVNGNEDEDQPSTNNEGAASA >KQL09100 pep chromosome:Setaria_italica_v2.0:IV:1211708:1213863:1 gene:SETIT_008141mg transcript:KQL09100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLHLHLPPASLSTPLSRVASPSPAARSSERARESSSRAEELCSSRPPTTMGFWVTTLIFLIAGVAASLFTLLCCNRGPSTNLFHLTLVTTAIICCWMMYAIVYLAQMKPLINPILSGE >KQL10252 pep chromosome:Setaria_italica_v2.0:IV:11918260:11919464:1 gene:SETIT_007873mg transcript:KQL10252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRFNGEWRASEIQTVKSLIARHNTNNNHANNMNKKHTDIVDELQAMFPLKEKHQVTNLYVELMVEIIQSGNQHVATSSNLMNGNFGMPMEDPAMGNMEEVLCSSLMEEMGAMRKGEEALQKQPTLRKQHAARFWTEEEHRQFLYGLRAYGRGNWKIISRHYVPSKTPVQISSHAQKYFQRLQNPTKKQRYSINDVGLYEAEPRVQNNASGQERLTFARGAYNPNHYGSGGQPTAMNNLPKVRLPLPHITSQASSSQAATLATGHQQQMEANGSFVAPSVEGDGSHMAWTSDQQGEFLDNQWFMDLRMD >KQL10249 pep chromosome:Setaria_italica_v2.0:IV:11881619:11882301:-1 gene:SETIT_008245mg transcript:KQL10249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEWSVGLGSCFGDVGTCCLTCWCPCVKFGRVAAVVDRGSTCCQWVYTCSKRSSMRAQYNLQESPCLDCCVHFWCDTCALCQEYRELEKRGFNMAKGIPPSEGKIGKILNQQGGGVCRRDEATTKAINVLLG >KQL11054 pep chromosome:Setaria_italica_v2.0:IV:30381780:30383957:-1 gene:SETIT_006865mg transcript:KQL11054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSSKKQPNTGAGSSNDRSMCVQGDSGGLVLTTDPKPRLRWTAELHDRFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQHKEFGDHTAMEMQRNVASSSGVMGRTMNDRSVNVNEALRIQMEVQRRLHGELEVQKHLQMRVEAQGKYMQSILEKAHQALGTSDYATWPAGYRSLGNQAVLDIGSSTGFSSLQDLHFYGGSSHMDHLLHQMERPMDSFLTLGENFIGSSSADKKGPNHCSSSGKSSMIWAGEEDQQVKSGTDQLQMGSSTTMEGGISVMDPITSLYEGALSGESMGSKGFEGSSSKLEMKSPPHNKHL >KQL09236 pep chromosome:Setaria_italica_v2.0:IV:2042073:2047584:1 gene:SETIT_006496mg transcript:KQL09236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSLAASAFFPCPGASPAAAAKTSKNMAGELPETLSVRGIVAKPGAPSGNMQVKAQAQALPKVNGTKVNLKNASADKEEVIPYSAPKTFYNQLPDWSMLLAAVTTIFLAAEKQWTLLDWKPKKPDMLVDTFGFGRIIQDGLVFRQNFLIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVSKIQLLVEQYPSWGDMVQVDTWVAAAGKNGMRRDWHVRDYNSGRTILRATSVWVMMNKNTRRLSKMPDEVRAEIGPYFNGRTAISEDQSEKLAKPGSASDGDAAKQFMRKGLTPRWGDLDVNQHVNNVKYIGWILESAPISILEKHELASMTLDYRKECGRDSILQSLTTVAGECVDGADSTIQCDHLLQLESGADIVKAHTEWRPKRVHGGEGNMGFFPAESA >KQL09411 pep chromosome:Setaria_italica_v2.0:IV:3197164:3197597:-1 gene:SETIT_009084mg transcript:KQL09411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIASFGRAVSFIIIDHRKKATPKTLFIDTSRASSTAFTFVRH >KQL09785 pep chromosome:Setaria_italica_v2.0:IV:6026361:6027490:-1 gene:SETIT_008431mg transcript:KQL09785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFDVYSFPDLNRKDQLSASKISVANDNNPEFNSVVAGWMADSHASTGCYNLACDGFVPVNNAPITPGDVLEPNNGQLKITIKIFKVNWWLHFGYTSDDLRPVGFWPKSLFSGLADHSNLILWGGYTQSHTGYTSPPMGNGQWPGKIQHLISHKQCYQVSPFLDGMFYYGGPGNCTV >KQL11690 pep chromosome:Setaria_italica_v2.0:IV:36144077:36149104:-1 gene:SETIT_006870mg transcript:KQL11690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPVARKGGMWILEAVTPRESFTKHFQKYGAITDSVIMKDKHTKMPRGFGFVTFSDPSVIDRVLEDEHVINGRTVEVKRTVPKEEMSTKDGPKTKKIFVGGIPPSLTEDKLKEHFSSYGKVVEHQIMLDHSTGRSRGFGFVTFESEDAVERVMSQGRMHDLGGKQVEIKKAEPKKPGGGDSSSNGRYSRGGGGHRDSYRGSGGGGGGGGGSGSSGGGGYGYGGGYRSAAAYYGSTAYGAYGRGYGYGNTAGYGSGYGSVYGGSMYGGPYGTYGAYGGAYGGGAYGAPGGYGGAGGYGGYSGAGGMGGGGGGGGGGTGGRGSSRYHPYGK >KQL09127 pep chromosome:Setaria_italica_v2.0:IV:1373429:1378623:1 gene:SETIT_006625mg transcript:KQL09127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLERPGRRPPPDPVAVLRGHRAAVNDACFHPSLPLLFSGAADGELRAWDTASHRTASSVWAHAGTAGVYSVAAGAGLGNKIISQGRDGTCKCWVIEEAGLSRRPILTVKTSTYHFCKMSLVKSPSFTHSGRSGSNCPTSDVEPQRVAIEENAESHVVNPTEGPQEHGEGITSSGRNMMAIAGQESSQVELWDITSSRKIINLPQSSNANTKDHPTKQKGLCMAVQAFIPYESAGYVNILSSYEDGSTLWWDVRKPGLPLSSVKYHSESALSIAIDGSCNGGISGGADDKVAIFTLDHSKGAFTLRKEIELERPGIASTAIRPDNKIAATAGWDHRIRVYNYSKGNALAVLKYHSDSCNAVTFSSDCKLMASCSTDTTVALWELYPPKS >KQL12342 pep chromosome:Setaria_italica_v2.0:IV:40082013:40085592:1 gene:SETIT_006158mg transcript:KQL12342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVHEPLVRRKRKKVLVDYLVQFRWILVIFVVLPISSLIYFNIYLGDMWSAMKSEKKRQKQHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEIVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMKLTYIPVKGNLKDIAQAYADSFAPRDGDPAKVPDFVEGMVYTESEGVMMTGVYASKEEAKKKGNKINCVGWWFKPWFYQHAQTALKRGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGDALEFVHREMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHQHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGAEAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >KQL12032 pep chromosome:Setaria_italica_v2.0:IV:38304211:38308294:-1 gene:SETIT_007324mg transcript:KQL12032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRCREKLQKVGTPKHTGVDCFDEACVEHSLVEEDNDSGEDYIAGVKKKFTKKPRAGVEEPQQQEVQKDKSQVSSGGWNTTLKDALVQKPEKKLTHRIHQKRMKEVKTLLETPREEIDPMKLSAAHLRLLQEAREHVNQLLPAKTRHQDAPDGFINEEDAHWSDEERGVQMPDVQEVYVSGNDDDNLGDIFDWY >KQL12298 pep chromosome:Setaria_italica_v2.0:IV:39901395:39902947:-1 gene:SETIT_006994mg transcript:KQL12298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSHSSSVGGAAELELPGFRFHPTEEELLEFYLKQVAYGKKLKFDIIPTVQLYRHDPWELPGLARIGEREWYFFVPRDRKQAVGGSGRPSRTTERGFWKATGSDRAVRCAADPKRLIGLKKTLVYYEGRAPRGTKTDWVMNEYRLPDVVVDNGAAAGNSSPKEDIVLCKIYRKAVSLKELEQRVAMEELARASASATPSASHNTGSPADSMSSSDQQGETTTMMMMGGVAIPSLATICMKKEVVTESTAAVLRPATLSLPQLEVAKQPAQQQEWMQDPFLTQLRSPWMESWSPYYASVLNF >KQL10488 pep chromosome:Setaria_italica_v2.0:IV:16448771:16449938:1 gene:SETIT_008012mg transcript:KQL10488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPESVPHTIPWPMGSPSYEIHRERSLLILDNDKKQHSTGQREEAQTRSRIWTEDSFLNWASKKFKVKARIWLLRDSHGSTRIPLDGRRPRISLPSFVVLYANEPPVAMLRYRADFMSIPEQKIYNDTLSRIDKSR >KQL09533 pep chromosome:Setaria_italica_v2.0:IV:4074415:4078160:-1 gene:SETIT_007976mg transcript:KQL09533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REREQSRRRVPSGGDLLLRPPARPPAMETLASAMRREHRRFKAPSSSSAASAGAGVASGRVPLVMAFLSCLAWLYVAGRLWQDAQTRAILSGLLEKNSGNLPKVLSVEDKLRNLGCQEIGRKIVEAEMDLTKAKSEGYLWGNRTATVDSDKKKQLLAVIGVYTGFGSRLRRNNFRGSWMPRGDALKKLEEKGVVIRFVIGRSANRGDSLDRNIDDENKRTNDFLILESHEEATEELPSKAKFFFSTAVEAWDAEFYVKVEDNINLDLAGLIEMLEGRRGNQGLYMGCMKSGVVVSEEGQQWYEPEWWKFGDSKTYFRHASGSLFILSKNLARYININSASLESYAHDDISVGSWMMGVNATYVDDDRLCCSSSRQGRSSSLN >KQL11194 pep chromosome:Setaria_italica_v2.0:IV:31552737:31554184:-1 gene:SETIT_008972mg transcript:KQL11194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQAASKKRHEEFVKVQLRVSDAKVEAARLKREAAMLKTYNSFMGMNTREMTDELKAEHAIGLKLLREKLFCNNS >KQL10687 pep chromosome:Setaria_italica_v2.0:IV:23528020:23537886:1 gene:SETIT_008222mg transcript:KQL10687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKNTPDESPATPHALEQAPRQFILLLTECFKSLHLQWSSLARDCSCPEYYCWVGTSFLGLTIQECCDKRFIKTYSDWFEKVLKKMKEPSCNKMVNMFTCTTMSDLFLRLATFPNLKDEAISFAQKVVKPLLQLLDENGPVAEKAVDLLGLLIKLFPSSVYRHFNNKLASTLALLPYVRFSQCSTSLMIQKLLVMVNNMLNDTFVGLEKEDTDYELMMLLAPPGSKLVPPLGGQTTCGDKHIHSTKKFHAYIVPTISALVHCCSMMLTSPYPAQVINIPVRALVTLIQKVLLIDGSLLLQSNTPLCQELICSEIPTLHSIFLDLLASTIKGMRSSLVPHAGTVVMLIAEYFKKAKLPALRRKLYTIVQLLLSSMGVGMAVQLFQVVVSNIFADLDDDAGSSSYSLRTYPIEATIWSSSKSSDNRRQTQQLQSSIAVSSEPTCNRQLMPPLCVKIAALETLELILNLGGLFNGSWRSEMDLLLIDVATKACYKAGMYEQSPPWTEDPSISDFQLAAFRALLASFLSTHHERPLYLEEGLELFNRGKLETGTELAKFCSHALLALDARIHPRQLHPQYISKIVARDDLGFVSQPSHSVRKHRATDDLGDDCMYRLVSVAIEPVDPLTKDNAVENCTPVEFSGELSMQNDAQQTHIITGEHPPEITAYCLTEEVQAVKMTDGLYGNPSDFGTLGNSIPPDSFSFTPPDFYNPGDETSTKKCILSGDASSRQNVPNHASGTSTAASVCHSEWDSLDPLLDIGDANLDPGFFPDMVDVDPGSD >KQL10487 pep chromosome:Setaria_italica_v2.0:IV:16376860:16382112:-1 gene:SETIT_006756mg transcript:KQL10487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAATGEAAYAAGVQKGPLQPHGSGALLYNHNGAAVYRKPAIPPFYQQPAASNAAAPAAPAHSPASAEPLKRKRGRPRKYGPADSAVPLAVVPPSPPPVPAPAGAGTNSGASPTLPPGFAPSPQGGAVVSPQASPAAAPPLPASDASSAKKRGRPLGSTNKKQQPQAAAPGPGWAGLKPHVFTVQAGEDIASRAMSFSGNGWAVCILTANGAVSNVTLRQGDSSGGTVTYEGRFEILSLAGSYLLSECAGMSSRTGGLSASLAGPDGRVLGGAVAGPLTAASPVQVVIGSFLAGGKLELDPGSAPEKPIFGGFPTASSPPSRGTESSGGHGSPPNAAGSFNTCSQPGFPNFPQWR >KQL10544 pep chromosome:Setaria_italica_v2.0:IV:17675899:17676373:-1 gene:SETIT_007601mg transcript:KQL10544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGSVEESLLAAGRPGEECLSVREEVKKQLWLAGPMIAGALLQNVIQMISVMYVGHLGELPLAGASMANSFATVTGLSLLVLAEILPELAVQSIHLSVAEIIS >KQL10955 pep chromosome:Setaria_italica_v2.0:IV:28822628:28823533:-1 gene:SETIT_007405mg transcript:KQL10955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQHTETVNARWEKEVAKTLVGLCGGGAPPCAPSVVLLLTASNNAAREISKVARASSGPCEGAWQPPLPRALRAALSLHDPDIQSAFVRPPESTKPSQDCKGGAARAAQDRLKPRRQPRSVASMLPLHAPASLAARGGHRPSTRPTTTPEMPPALPRRP >KQL11622 pep chromosome:Setaria_italica_v2.0:IV:35408274:35410478:-1 gene:SETIT_008921mg transcript:KQL11622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTTTNLGLPLIGFPGVHTVRVLSTRKRNLRPYPVSLLDRPPALELFVSGEVGGTGRWCRELMKQRVYVYMEYVKVTCVLLGVVFVSSIRESWRFRVEQYDAGSANEKDEARRKTEELKNRRITAIDEWKKERSNREGQVILVLFVK >KQL12099 pep chromosome:Setaria_italica_v2.0:IV:38758789:38762819:1 gene:SETIT_006354mg transcript:KQL12099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQSVATGEQIIAPNETVHACTSTQTSVLQLFDSKSDHRLLIDDTLSSTSQSSSIKTELIRSSSLSRSLSVNLQKRSPETDPESPLSHISHPKFSDPILSNSSTFCTSLFSSSSKNTDPCRQMGTLPFLPHPPKCEQQVSAGQSSSSSLLFAGDTGNALDEAEHSDDLKDFLNLSGDASDGSFHGETNALAFDEQMEFQFLSEQLGIAITDNEESPHLDDIYGTPPQLSSLPVSSCSNQSIQNLGSPVKVQLSSSRSSSVSATTNKSRLRWTLELHERFVEAVNKLEGPEKATPKGVLKLMKVEGLTIYHVKSHLQKYRLAKYLPETKEDEKASSEDKKAQSGSSSSDSSKTKNLQVAEALRMQMEVQKQLHEQLEVQRQLQLRIEEHARYLQKILEEQQKAGNLSLKAPTKAQAVSPESTASKERSETEAGTSSPRPSKNRNLDAHSECKSPAVSKRTEFQVDPESEVPCS >KQL11013 pep chromosome:Setaria_italica_v2.0:IV:29677693:29678199:-1 gene:SETIT_008933mg transcript:KQL11013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYANGLLIHCLPHLAISFSGSMDPTNMILGSAYSHIIAQSLNHTISLGVGFLLHQTHLG >KQL12154 pep chromosome:Setaria_italica_v2.0:IV:39145733:39146609:-1 gene:SETIT_007957mg transcript:KQL12154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLLSPSLPTSLFLRTIESYAVSGRHILLSAAAGCPVAGTVAFDASAEEWHFVDRERSLPFVGEAVPYGRLFLGRSRSKDSKDLTAYDIAVTKKGTDRTLAIVEVPLTFVMAGDSPVMSGQFFSCLGNGVVCAMGCLTEGTSRDVEIDDDELYIHLYSPVSAEEGEAEAQQGVTVLSSKGSRYHLRLHEPLCRLVAPTLVAAPCVAI >KQL09618 pep chromosome:Setaria_italica_v2.0:IV:4620591:4622976:-1 gene:SETIT_006912mg transcript:KQL09618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHAKSESDVTSLAASSPPRSPKRGGGGAGGGNNYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGAGAGGERKALNDKGWPECNVIEEEGPYEDLAGDSGLSRRCQIILGFLTFVLLFTVFCLIIWGAARPYEPEVIVKSLVMDDFYAGEGTDHSGVPTKLVTTNCSLHISVYNPATMFGIHVTSGPIHLIYSEISIAVGQLRRYYQPRKSHRMVTAVIHGEKVPLYGAGGSLMLSSTGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPVVVDAKKTKPIRFSKKACTVSKA >KQL09619 pep chromosome:Setaria_italica_v2.0:IV:4620282:4623288:-1 gene:SETIT_006912mg transcript:KQL09619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHAKSESDVTSLAASSPPRSPKRGGGGAGGGNNYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGAGAGGERKALNDKGWPECNVIEEEGPYEDLAGDSGLSRRCQIILGFLTFVLLFTVFCLIIWGAARPYEPEVIVKSLVMDDFYAGEGTDHSGVPTKLVTTNCSLHISVYNPATMFGIHVTSGPIHLIYSEISIAVGQLRRYYQPRKSHRMVTAVIHGEKVPLYGAGGSLMLSSTGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPVVVDAKKTKPIRFSKKACTVSKA >KQL09617 pep chromosome:Setaria_italica_v2.0:IV:4620591:4622976:-1 gene:SETIT_006912mg transcript:KQL09617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHAKSESDVTSLAASSPPRSPKRGGGGAGGGNNYYVQSPSRESHDGGYKSSSMQATPVYNSPNESPSHPSYGRHSRSSSVSRFSGNLRKGGAGAGGERKALNDKGWPECNVIEEEGPYEDLAGDSGLSRRCQIILGFLTFVLLFTVFCLIIWGAARPYEPEVIVKSLVMDDFYAGEGTDHSGVPTKLVTTNCSLHISVYNPATMFGIHVTSGPIHLIYSEISIAVGQLRRYYQPRKSHRMVTAVIHGEKVPLYGAGGSLMLSSTGGAVPLTLDFDLTSRGYVIGKLVRVTHKVHVTCPVVVDAKKTKPIRFSKKACTVSKA >KQL10517 pep chromosome:Setaria_italica_v2.0:IV:17302407:17302538:-1 gene:SETIT_008715mg transcript:KQL10517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWALDKHKVPTKYVGLIKDMYNNVVTRVRTSDGDIDDFPIRI >KQL10003 pep chromosome:Setaria_italica_v2.0:IV:8284522:8289068:-1 gene:SETIT_008773mg transcript:KQL10003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEFMKNGPFDRDVEQAITGLKKGTHLLKYGRRGKPKFCPFKLSNDETVLIWYTGRVEKQLRLNQVSRIIPGQRTAIFLRYPRPDKEFQSFSLIYGHRSLDLICKDKDEAEAWFVSLKALISRWNCERWVIETKDDKDFDTRAKHIQGDSPLAFPFYGSDAKNKDFQNIDAHEVIGFGNIFSDVICTGPDRSRISAGSIGTSSSLSSGGADTSSGGASGVDNSVRVSYSSAVSSSSYGSGDDFDSLGDVLIWGRGVGAYASHTPGNLHDSRSDLSSPKALESTILLDIRSIACGSNHLMLVTKQGEIYSWGEESGGRLGHGVDADVCHPKLISALSGITIESVACGEFHTCAVSFCGDLYTWGDGTHYSGVLGHGNDTAHWIPKKVCGPLEGLHISSVSCGLWHTVIVTSLGQLFTIGDGVFGALGHGDRLSTNIPREVNSLKGMRVLRAACGAWHTAAIVEVVDFLDPVAAAKLFTWGDGDKGQLGHVDREARFIPACVASLLEPNFGLVACGHDTTVALSTSGQLYTMGSNAFGQLGNPKSDGKLPTLVGGIISNSFIEEIACGSHHIAALTSKAEVYTWGWGANGRLGHGDSVDRNTPTIVEVLKDKQVKSVVCGADFTAAVCLHKCASSLDQSVCSGCHLQFGFRRKRHNSI >KQL10062 pep chromosome:Setaria_italica_v2.0:IV:9028546:9028917:1 gene:SETIT_008957mg transcript:KQL10062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFKYALALCMLEIWDNSTVLNFVYLYLPIQVNLPYHVIQLKSNEKN >KQL10289 pep chromosome:Setaria_italica_v2.0:IV:12491194:12491680:-1 gene:SETIT_008495mg transcript:KQL10289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVFRARLSSYLVRSSLSGFDLWNPVKKLNEGDFERRRLLRLAGEGDSRGEEGGTRGRGGTLRHHLLLVDDHPRPDIFVSAAREEGGALGRVADGAEGDWSTAASASFSSSATTTGRPIGVPGGGIAFSEKHPRPLLR >KQL12201 pep chromosome:Setaria_italica_v2.0:IV:39396586:39397527:-1 gene:SETIT_007539mg transcript:KQL12201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNWIATINRDPNFSKLLPGFALLSSHGHGHRSPSRLPPATWIFQRSGTIGTRAALVIFSAPGVRRRDNPDRLAQVLVKSGDEHGMAWSCCELVRTDIAGWIRIRPSPYPDRLIDGCV >KQL10089 pep chromosome:Setaria_italica_v2.0:IV:9465230:9467846:-1 gene:SETIT_006959mg transcript:KQL10089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWAASPATASPATTPPTAAVSLAPILRAAAPRLPPPLYRPLPLLLCAGLRAPPRAASSDGDVFWEEPDDGSGSDYENEAEEETERRRSSRFPSSSPFSRLEAARQQEQELRREIELLLTPEEKAILDQHETPDVSKISSPKWHPLHSYALALQIPLMDKLLNSGVDINSLDKDGFTPLHKAVVGKKEAVISHLLRKGANPHVRDRDGATPLHYAVQAGALQTVKLLIKYKVDVNVADNDGWTPLHLAIQSRNRDIAKVLLVNGADRTRRTKDGRTALDLSLCFGRDFKSYDLAKLVKLIPANRV >KQL11516 pep chromosome:Setaria_italica_v2.0:IV:34394422:34399502:1 gene:SETIT_006035mg transcript:KQL11516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPPSGTVLFLYLLLLFLLSTAAAASAAAPEEEFTEELLLRPLPDRKALAHFYFRSSAPPAATVGRHHHLFPKAISQLVKKYHISELELSFTQGRWNYEQWGGFDPMSTSNAKPPGVELWAVFDLPSSEIDATWKNLTHTLSGLFCASINFLESSTAFSAPRWGFKLNEGNLRYGALPREAVCTENLTPWLKLLPCRDKAGIASLLYRPSIYKGYYHSQKLKLRSSQSLGIILDQTLTVVLQPNTINDKQLHSNLGQLQPSWSMRHLFNRKLSGKCLVSKYSRIFIEFDKGIVDKVNKSGSDLSWNNEFFVLSNGPDRLIKGLNNLEVQSSFIYEYDVSNYSEEKPFDVGITWKLPLIWSCTPSPFHASRFLMGSGNERGSIALSFTSTNLQKIFGSPNDCSIKAVIFQMVPWYVKVYYHSLEIFIDGNRKTVSDVVDKIHVTPSEDKLLPGTLEMLLRFPCSMQSATLTLDFDKGFLHIDEYPPDANQGFDIPSALVSFPEFNSARNYPEIDPLLGSPLLENFQEDTVVKSYTEVLLVPLTTPDFSMPYNVITFTCTVLALYFGSLLNALRRRIGEEERELTKTATRHGLIRLLLAKLRGQKVNPTESGSLSESTGSKKLLFKVVLVAVAAVLFHYFSNNS >KQL09657 pep chromosome:Setaria_italica_v2.0:IV:5020593:5022032:-1 gene:SETIT_008513mg transcript:KQL09657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLTGDWAFGLEPWTSPALEDLLPELSREEQLRLQSHLREHERILKRWKKNSLPFVHSLISEDERDASIIRHACYALHHYNAKHPREGFDAVKPLMGASVRFKGQLWFHVNFWARCRKSKKIKRFFAEVHYKPPGSSSVCSGLPFPVPGAENPPSSSSVCSYLPFPVPEAEKPSSSSSVCLGLPFSVLIPIVEACTIIQEPLGKYRSCAFCRGHLDILHPMGRKFVCGNDKDRIEQRLLPCGSISPEMPFTCRMGPASPNSREEEED >KQL09951 pep chromosome:Setaria_italica_v2.0:IV:7687205:7687750:1 gene:SETIT_009128mg transcript:KQL09951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKQFLKIKNILLATTIWFTQLFHREFLLSSNFGHYLKVVVQPQ >KQL10585 pep chromosome:Setaria_italica_v2.0:IV:19335126:19335635:1 gene:SETIT_008412mg transcript:KQL10585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSTRTHAHQHQQPPYGLRYEPLRRMQGLDLKTALRASPSASTAATAALLVPLAAALLGASGLALAATLTGLALAAPLLVLFSPVIVPAALAAALAATGLLASGAFGVAGVSALAWAAGYVRRGGGGSGGGLTGMVAQPLDHGEKRRGAEGPAAFVGHRPRDIDVVD >KQL11264 pep chromosome:Setaria_italica_v2.0:IV:32077610:32078168:1 gene:SETIT_008600mg transcript:KQL11264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITTTTSRDQGPVPVFASAVVAGNGFCLEKPGPCDAQVSTLLQVAEGFGYPLHLARSWTGNEPCSGWVGVICDMSDVEILGLTSYNLSGTISPVIANLKGLRKLDLANYNLTGEIPDALAALPNLSLVDVRNNRLTGQLPKFRESVDVSADGNSPSLRNHHGSGQGSQSQWRLFIIFRLSRCLIKV >KQL08920 pep chromosome:Setaria_italica_v2.0:IV:306318:311289:-1 gene:SETIT_005938mg transcript:KQL08920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCLLLGVAPRPPPAALRLLLRRRMASSEPTQAFQLRLNPLTGDSEWLVVDEAEAEAPAPSHHKQLLAATSYLDMLNDAARNRAYRHAIEATVTDPTSRVLDIGAGTGLLSMMAARALAAVGGEGRGSVSACEAYLPMGKLTRRVLRANGMENKVKVFHKRSDELRVGVELDSRADILVSEILDSELLGEGLIPTLQHAHDELLVKNPKTVPYRAMTYGVLVESTFLWKMHDLHSSEANAEDGVWLTPSGTENILSVKPQQHAMQCDALADEIRLLSEPFKVFEFDFWKRPDSHRETKIEIKATADGHAHAIISWWVLQLDSAGSVFYSTAPRWVRQLSGVDLPQCVNGMKDWCDHWKQCVWFIQGTGAPAMKDQTLSLRASHDETSISYHLNMNDEVSSRSPKNDHLTLLPERIALYGDKAWRSALIGAVRNAMSGRSSPTCIVADDSVLLALIVSSLLPSSTVITMFPGLRDKGFNYLRAVADANNLSMDRIKVIGKKASSLTTNDLKHEKVNLVVGEPFYLGSEGMLPWQNLRFWNERTLLDPLLSEDAFIMPCKGILRLCAMSLPDLWRSRCSLKAVEGFDHLVVNDTFGACGDLPREQQGPCLPYYVWQSGYTKKLSKVYSLMDFNFSEPIHSCFGKTKIKFAHDGICHGFAVWIDWVLDEENSTVISTGPESRYWKQGVQLLSRPVQVNPVSSVMHVEAHFDPDTAELVFNSMLS >KQL09973 pep chromosome:Setaria_italica_v2.0:IV:7852740:7853903:-1 gene:SETIT_006638mg transcript:KQL09973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRHLLPLLRAASPLPARIYYRACFLSTSASAAPFSLVEYLVAACGLDSAQARKAARKAFDEASKGSRKAFQDISNCRLNSASNPDAILALLSGAGLSRADIAAVVAADPLLLRSSPKNIGPRLLALRDRLGLSAPQMVRFLLVGSRAVRSCDVVPRLEFFISFYGSFERLLVFIKNHNSILLSDLERVIKPNIALLRQCGISVRDIAKLFSLKARVLTFNPEHLKEVVLRAEELGVPRSSRMFFQAVFVVSNITKEKVAARLEFLKSTLGCHESEIATAVSKMPTILGISEQSLHRKIQFLVNEVGLEPQYILQRPALFTYSLEKRLVPRHCVMKVLQAKGFLISNTSFYSFAQYGEETFKLRYIDSHKDSVPGLADAYATAC >KQL10481 pep chromosome:Setaria_italica_v2.0:IV:16151661:16154321:1 gene:SETIT_008270mg transcript:KQL10481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPKTSSISMTPLQDEFFGSSPSNNETENTTPSLYTKDGTVDFRGTPAVNATTGGKRTSAILLVNYALMNLAFGGVAVDLVMFLRRVLRQENAAAANAISKWTGTVYIFSLFGAFLSDSYMGRYITCIVFQVIYIVGLVMLSLSSVFFLVVPSRCGDGVGLRPCQPPSHLGIVMFQLSAYTTAFGIGGYQPSVATFGADQFDDSDNSERGSKLAFFSYFYMALNIGSLFSNTFLAFYEDKGMWVRGFWASTAAAALGLLVFLLGTPYYRHYKPTGNPLTRMAQVFTAAFRKRHIQTPPGENLHDVEDGEDSGVPGIRKLLHRDRFRCLDKAAIATEEDYHAGNTKNPWRLCTVTQVEEVKCILGLIPIWICTIIYSLEYTQMGSTFVEQGTAMDTNLFGKFRVPAASMSVFDIISVILSVLAYRFVIAPMASRFTKNPDGITDLQRMGSGLIIALIGMLAAAVVEIYRRRHIVATDQPSPMSVLWQAPQYALIGASEVFMYIGQLDFFSGQMPDGMKCLGSSLCMASISLGNFVSMLTVSTVNAITGRRRNRGWITKNINHGHLERFFLLLVGLSVLDFIIFVVFAIIYKGTQFKEGRRHISH >KQL11497 pep chromosome:Setaria_italica_v2.0:IV:34222350:34227129:1 gene:SETIT_007070mg transcript:KQL11497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKVEQDPALELPPNTEAGAGAEFSQPLSSGKEEEENDDDEDDETDSVGYDGAGNGGGAPQLKKGPWTPEEDKRLKDYVEAHGEGNWNQVQRNAGLNRCGKSCRLRWANHLRPDLKKGPFDAEEVDKIIKFHMMWGNKWAKMASHLPGRTDNEIKNYWNTRLKRHQRANLPLYPEHLCSRVLDQDMNRHIPDESRGKKRSNELTQEKVVGMDDLVGDLMVFQHLDYGKDPVVPTNPLKRHASTGDLSYVQSLDKSPCLHKTLVCWVKLLTRVMH >KQL11939 pep chromosome:Setaria_italica_v2.0:IV:37757171:37758283:-1 gene:SETIT_008633mg transcript:KQL11939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRATLLVHATTMAALVVAIVLATTLIATRAVHFTEDDLASEASMWALYERWCAHYNVARDVVENARVIHQFNQGDDKPYKLSLNRFGDMTDDETRSAYACSRIMAPHRSTLGSGRRCLGGFAHGAAAVTGHDDLPAAVDWSEKGHGRRPVYVTDAKDQGQDCGCCWAFAATAAVESINAIRTKNLVSLSEQQVVDCDTSNNGCNGGLATKAFDYIIKNGGIASESAYPYKGKQSSCAKVTTSPVVTIDGYEQVPSYDVIALMKAVAAQPVVVTVQADEVPFKRYGGGVFWGPCGTNLGHAMTLVGYGTTDSGENYWIVKNSWGDSWGENGFIRMKRDVTAREGLCGILMDASYPVKHA >KQL10247 pep chromosome:Setaria_italica_v2.0:IV:11879219:11880387:1 gene:SETIT_008620mg transcript:KQL10247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWSSPKDPALEAALRRNRRWVVNNQIKRLLLRFPSRTAPVRCLQSRFKTLDLMVRAANWLGKYPSCFEFFSADAGGGELEPHFGFTKRMAALVDAEEAAVAASEPAMADRLARVLMLARGRRLQVSKLAALRGPLGLPDDYLLRLLPAHTDLFRLSNPYPHLRNAAELELIRWAPSLAVSAVEAAAAVSNSAPRFNCSLPASWAKSHTKMEDFNSTLYISPYSEEKMSILELEHFRREFGLPEDTARMLLRYPCLFYVLNRYKIHTVLREKDPVVAAKDRLGELMQEGLHEYNQRRRAANIEKKRRRGEIEVKKEEEKVEDEETARLDSAEKREERRRFYKVLFDDGNR >KQL12167 pep chromosome:Setaria_italica_v2.0:IV:39213374:39214829:1 gene:SETIT_008643mg transcript:KQL12167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLPAFTVRRAGEPVLVVPAEPTPRETKPLSDIDDAEGMRFYSSGIHLYRADQARAGQDPARVVREALARALVPYYPLAGRLREEEGRKLVVDCAAQGVMFAEADADLTADDFGDVKSPPFPCFERFILESTTIAGVEPVVDRPLLYVQVTRLKCGGFIFGRRICHCLVDAPGAMQFEKAVCEFARGADAPSLAPAWGRETFMARQAPRPSYPHVEYREPAGGPDRMMSTPPGDMARVPFFFGPREIAGLRQRAAPGIRGSCSRFELVAAGIWRSRTAALGYAPDEEVRLSFIVNARGRAGIPLPEGFYGNAFAYSVAATTAGELCGRDLGYALELVKKAKSAVTYDYLLSVADLMVLTGRPLFVLSRTYIVSDVSHAGFKSVDFGWGEAVYGGPAKGGEGPFPGVTNYFSRAKNGKGEECTVVPVCLPKDAMEKFQLEVQGLTAEL >KQL08950 pep chromosome:Setaria_italica_v2.0:IV:412897:413792:-1 gene:SETIT_008396mg transcript:KQL08950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPAIMVALIVAAAAQVASAYYQQPFKTSDWQDAHATFYGEDSGLGADFGGACGFGANDIVSLYSTYTAALSTPLFADGNGCGQCYEIRCVNSRWCNPGSPTVTLTATNLCPPNVYLPNDNGGWCNPPRQHFDMAPPSFYRLAQRVAGIIPVQFRRVPCQRRGGVRLCLQGNYYWLLVYVMNVAGGGDVSSLAVKRAGEPDCNYKHASHNWGITYQVFGTLGNVQGLVVRMTSYSSPQQTIVVSDAIPAGWITGLCYQGSNNFY >KQL11359 pep chromosome:Setaria_italica_v2.0:IV:32894306:32896549:-1 gene:SETIT_006264mg transcript:KQL11359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKDAAGGGIAPGAAAPALLCFDVKPFLAALTVLTLLAAAWQLRPYHSLLASPFPAACAQAAAGTLPRALAVHAKKSSSSSSTPNYTASSSSLPPPPPGPERREFRAVGSAAALFVQMGAYRGGPYTFAVVGLASKPTHVYGKPWFRCEWEPTIPSNTNASSPPEPMRAAGTYHMLPDWGYGRVYTVVVVNCTFPRVPNADNAGGRLVLYAHHGGPSRSPSSPHERIVALEEAPGAYDEAAFRPGAPHRYDYLYCGSSLYGDLSAARVREWMAYHARFFGDRSHFVFHDAGGVGPAVRAALEPWVRAGRATLQDVRAQAEYDGWYYNQFLVVNDCLHRYRHAAKWTFFFDVDEYIFLPDGRKLEDVLAELEPYTQFTIEQNPMSSRLCVDDPEADYSNQWGFEKLVFRNSITGVRRDRKYAIQAKNAYATGVHMSENVIGNTTHKTEHLIRYYHYHNTINVLDEVCREFVPIPPKGGLTWSEKTPWYYDDSMKRVANAVREFERETIGDVRL >KQL11562 pep chromosome:Setaria_italica_v2.0:IV:34852486:34854562:1 gene:SETIT_007834mg transcript:KQL11562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVRVLNISHVRAIPKKIQRLFFFDGPDLPPFPSVVSALRSSLAATLTVFLPLDGELTFRHDSGDVVIYFSPAAISSSPGVKFVEAEFAGGADGMRRLARDDAHDTEAFARLVPALDAESLPTPVLAVQVTSPADGGAAVAVVGEKDFACGRLTRVSIRLAAADGHAVWQFLRAWSTASREGPGSLAAADFVQPTFDRAGIRHPQSAEIACTLLSKAAPALALLRSTSSKPEIMQQSARTFLLRADEIWYLKQHILERSRRFNRGEPSRPPSTYVAISSLAWVSIARAKLTMLHTDDARPIVIADCRNRLRPPLGDGFFGNSVKPCVAWASAGDLRGEAGVARAAAAIQDAIRVHLEELEGDPLSDTESWVATYGSTPPERIVAVGSSNRFMAYETDFGWGGPSRVELVSLFVGQMVTLLGAGDGGVQVSVRSTRR >KQL12240 pep chromosome:Setaria_italica_v2.0:IV:39602601:39603089:1 gene:SETIT_007910mg transcript:KQL12240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAPCSLSIISSTTTKHFALQEESNQEQHTGGETMAASFASTASAVPSCGAAGARRGGRFVARASAVAMAPALGTTARTHYEVLGVGAAASRGEIKAAYRRLAREVHPDAGGRGDEGFIRLHAAYATLADPDERARYDRSVARPAPARAVGFRPRRWETDQCW >KQL10190 pep chromosome:Setaria_italica_v2.0:IV:10674457:10680202:1 gene:SETIT_0057351mg transcript:KQL10190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKVRELAALRASSGGGGGRFLPAFCVAITPLFDIARRFEGSDRAARFVAAFASASASAADGGGDGFLEGFLRFLIVASAAAHRPARLRACQIISEIIMRLPDDAEVSDEIWDEVIDGMKVRVQDKIPAIRSFAVRALSRFAGDGEDGGIVDLFLETLDNEQNAEVRKTIVLSLPPSNATLESVIESTLDVSESVRRAAYSVLSTKFPLQSLSIKQRTTLLHRGLSDRSASVNNGCLKMLKDEWLVKYCGGDAIALLRFLDVETYESVGESVMAVLLKEGALRVQDGQSIRQYFTANGENEEEQLSNIQLMDAEVALYWKIMCKHLQAEAQVKGSEAATTTGAEAAVYASEASDKNDLLDNILPSTITDYVDLVKAHLSAGPNYQFASRQLLLLGEMLEFSDTMNRKIASSFLHELLVRPLEHEVDDDGNQIAIGDGVSLGGDKEWAKAVAELAKKVHSSVGEFEMVVSTVVEELARPCRERTADFMQWMHCLAVTGLLLENTSTLRNLQVTAIDPSELLHSLLIPAAKQNHVDVQRATLRCLCLLGLLESRPNAELVKQLRLSFINGPDLVSAMACKALIDLVTWHGPQEIDRAIGIELPDPSYEKSQFAQVDLSDMDGDDLNISVLDILFSGFHKDDWEFSLEGDNHDNVPTILGEGFAKILLLSENFPSIPSDLHTVILSQLIRLYFSEETKELERLKQCLSVFFQHYPALSDKHKSSVSSAFVPAMKAMWPGLYGNSGGSSHVISKKRKLAVQASRFMVQMVQTQLLSTESTDQASKSPESASRSADVSSNFDVGEEGLAIRIAAEVASCPDKKTAAGKAYALALCKVAVLLRFRQSEQKAIKCMRGLVNSLAASVASDKELMKELAQMAARLRSLDASPDEELPKDEAEAIFKKLGLDGSFKLDTNQAVPPTPAARSVRPPAPSRRRARRAPSSSDESDTDGEVNLPAASVSRVPTTPSMTATRSQRASKTAALSKMSAKPAPVAASSDESDDQSDVTSDEDSCEESS >KQL10160 pep chromosome:Setaria_italica_v2.0:IV:10376196:10380284:1 gene:SETIT_006067mg transcript:KQL10160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIREVMGGGEMSGGDGAVAAAVEKAVRCLGRGIDMAGDLRLKHCKDAGGCLVLRSGEKKAAAAAAAKVVVPGFGVVADVPADVKCGKGDRIRFKSDVLEFNKMSEVFNHRNSLTGKIPSGLFNSCFGLECSSWAEDASATKCLAFDGYFISLLDLRLDCRPLALADHVVRDVPAAWDPSAIASFIEKYGTHIVVGLSLGGQDVVYVKQDNSSPLSPSEIKEHLDRLGDQLFTGTCTLPPSNRKSRDHKFKVPEAFNVFDAQVTRQKLEGMIAPVSCKEGVTVIHSKRGGNAAASDHSEWLLTVMAMPEAINFKLVPITSLLKGVTGVGFLSHAINLYLRYKPPKEELRYFLDFQHHRLWAPVNSDLPLGPCSNRQGASPALHFRLVGSKLYVSSSEVIVPRLPVTGMRLHLEGKKNNRLGIHLQHLSNTPTFIKERSAKQPIWRGSEMISDERYYEPVQWRMFAHVCTVPVKYDPRWGSTAGSPSAYIVSGAQLHVKAHDSTNILHLRLLYTELLGYKVVQSKWAHNTVRLSGKGSFLSMSLVASSGAVEEERQPARVHIDSGVFAGGPPVPVGTQRLLKFVETSQVTMGPQDSPGYWLVTGAKLDVEKGKISLHVKFSLLAPVP >KQL10902 pep chromosome:Setaria_italica_v2.0:IV:27917767:27918096:1 gene:SETIT_007947mg transcript:KQL10902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQGGILCLGKSEEKRIWNPPFLLLFSLKKITQSKSNYLLYKNKMLVMPNILSLTCICFNYVLCPTSFFFAKLPETYAIFNPIVDVMPVIPILFFLLAFVWQAAISFQ >KQL09554 pep chromosome:Setaria_italica_v2.0:IV:4212922:4215817:-1 gene:SETIT_005909mg transcript:KQL09554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITSSVKLAGGTLSVCGRTVLSGVPAGVVASSAAAGEAVDGVFIGAYFPEPASLHVISLGALRGPRFMACFRSKLWWMSQRMGDKGGDVPHETQFLLVESRAGEDAAAAAAYVVFLPLVEGAFRASLQGGAGDDALELCVESGDAGTRAASFDRALFVGAAESDPFAAITGAVAAAKSALKTFRLRAEKKLPGIVDYFGWCTWDAFYQDVTQEGVEAGLRSLVAGGAPPKFVIIDDGWQSVETDHTSPDEPAGVAKQKHPHLPRLTGIRENSKFQNADDPAAGIRTVVRAAKEEHGLKYVFVWHAITGYWGGVRPGAAGMERYRSSMQFPEISPGVAENDPGMVTDWITVQGVGLMHPRAVYRFYDEQHAYLAAAGVDGVKVDEQCILETLGAGHGGRALLTRQYHQALDASIAKNFPENGIIACMSHNTDALYCSKQTAVVRASDDFFPRDPASHTVHIAAVAYNSVFLGEFMLPDWDMFHSLHPAGEYHGSARAISGGPVYVSDAPGKHDFDLLKKIVLPDGSVLRALLPGRPTKDCLFTDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSVEKKTVSHHTGTEALTCGVKGSDVHLISEAATDPEWWNGDCAVYRHAGGDLVVLPDGAALPVSLKVLEQDILTVSPIKDMAPGFRFAPIGLVDMFNGGGAVEGLTYHLLDGAKLVGGDGSASSSEAVGLVCMEVRGCGRFGAYSSVRPRKCMLGSVEMEFSYDSSSGLVTLQLQEMPREIAHKIVVEL >KQL12081 pep chromosome:Setaria_italica_v2.0:IV:38637945:38638613:1 gene:SETIT_008481mg transcript:KQL12081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLQDDLLPKGVFQRNDKVVLALINDNAIKDHLVLVDGIALGEEMTQLYVRQIDDGEADNGLLHEADTDSGTLDGEEDENDEKISLNWAWAQYPGAQNHYPMRSVNFFKDVSFAGVTQGSTLIVDAVTRGLDDEMATVKAPRYEGEIIVPWMKNGQHIFVCEERAYMCVLFRSIQDVDLVEALCFSIRANENGDYVLEQVADIGPYSVYLGSNQSIVLPTLE >KQL10045 pep chromosome:Setaria_italica_v2.0:IV:8807730:8810576:-1 gene:SETIT_006274mg transcript:KQL10045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPVPAAGGSAARAAAVRLLCTATSPPDTASLPHLLALPPVAPSPAADELARLLLAHHNPFHPAESPLQLISGGGVALSGDLLVQLLLRLRGASKLALSLLHAARLHPSMAPARAPADAYDAVVDALGRARQFDAAWRVVVDASADGAASPRTFAVLARRYVAAGMTRQAIRTFDDMEAFVGREPDAGEFATLLDTLCKYKYPKVATEIFNKRKYKYGPNEKMYTILIYGWCKVNRSDMAQKFVKDMIDHGIEPNIVTYNILLNIHAAENLLKEMRDKRIEPDVTSYSIILHVYSRAHKPELCLCMFRLMKERGICPTVATYTSVIKCLASCGRLEDAETLLDEMVAEGVCPSPATYNCFFKEYRGRKDVSGALQLYDKMKAPSSPTAPDIHTYNILLGMFIKLDRHGTVMEIWSNMCESTVGPDLDSYTLLIHGFCDSQKWREACQFFMEMIEKGFLPQKITFETLYRGLIQADMLRTWRRLKRRVDEEAAKFGDEFKLYHMKPYKR >KQL10044 pep chromosome:Setaria_italica_v2.0:IV:8808327:8810576:-1 gene:SETIT_006274mg transcript:KQL10044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPVPAAGGSAARAAAVRLLCTATSPPDTASLPHLLALPPVAPSPAADELARLLLAHHNPFHPAESPLQLISGGGVALSGDLLVQLLLRLRGASKLALSLLHAARLHPSMAPARAPADAYDAVVDALGRARQFDAAWRVVVDASADGAASPRTFAVLARRYVAAGMTRQAIRTFDDMEAFVGREPDAGEFATLLDTLCKYKYPKVATEIFNKRKYKYGPNEKMYTILIYGWCKVNRSDMAQKFVKDMIDHGIEPNIVTYNILLNIHAAENLLKEMRDKRIEPDVTSYSIILHVYSRAHKPELCLCMFRLMKERGICPTVATYTSVIKCLASCGRLEDAETLLDEMVAEGVCPSPATYNCFFKEYRGRKDVSGALQLYDKMKAPSSPTAPDIHTYNILLGMFIKLDRHGTVMEIWSNMCESTVGPDLDSYTLLIHGFCDSQKWREACQFFMEMIEKGFLPQKITFETLYRGLIQADMLRTWRRLKRRVDEEAAKFGDEFKLYHMKPYKR >KQL11484 pep chromosome:Setaria_italica_v2.0:IV:34082549:34084351:1 gene:SETIT_007339mg transcript:KQL11484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKKYGRHITTCIKVLDMTGLKLSALNQMKIVTAISTVDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKVHVLRGCGKDELLKIMDYSSLPHFCRREGSGASKRSSSDPDDCFSLDHPFHQELYNFIQEQALNMELTKQGSLHVNIPDQDPEDAKIVEVIEAEFHKLGVQNGSAIGV >KQL12181 pep chromosome:Setaria_italica_v2.0:IV:39309395:39310446:-1 gene:SETIT_007279mg transcript:KQL12181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPACAPLLLLAAAVLAVAAASPANSNAASASDFIRKSCRETQYPSVCVQSLASYGGSPQPRSPRELARAALSVSADRAHAASAYVGRLCGGGASRGAGSRKKSGGAAGPVRDCLENLADSVGHLRDAAQEMGGAGMGRAGSPSFKWHLSNVQTWCSAALTDENTCLDGLSGGRGVDAGTRAAIRGKVVEVAQVTSNALALVNKVGPGY >KQL11831 pep chromosome:Setaria_italica_v2.0:IV:37074201:37076165:-1 gene:SETIT_008760mg transcript:KQL11831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLAPRQLSARLQAPPQPPPRPPTDLPLPLLPPPSLTSLLLAAVASSPSLRHLRHVHSLIVRLPVPPPTVPLLLSRLLRRLAALPLPPARAPLRYAAAVFSSLSPPDPFLATALLRFAHLTQPPLETFRLLSWLRRERGGELPFLPFAFSPLAKSAAAARSLPAAAASHAVSILLGGFDKHRFVENSLIGAYVACGDVGAARKVFDDMMVKDAISWTNIVVAYSKSGDMGSAEEVFARCPVKDMVAWTAMVTGYAQNAMPVKALEAFEQMAATGIAIDEVSLTGAISACAQLGAVRRAAWVQEIAERNGFGRNVVVGSGLVDMYAKCGLIDKACEVFEGMQEKNVYTYSSMIVGLASHGRANDAIALFNNMVSRAYVEPNHVTFIGVLTACSHAGMVKEGRYYFAQMKDRYGVLPSADHYACMVDLLGRSGLVIEALDLVKSMTVEPHSAVWGALLGACRIHGNTAVAKVAAEHLFKLEPEGMGNYVLLSNTLASAGEWDEVSKVRKLMRNRRLKKDPAVSWFEGQDGLVHQFFAGDNTHPRTNEIKKALLELVEKLKLAGYVPNLSSIVYNVSEKEKERLLMGHSEKLALSFGLLTLGSRSTIRIVKNLRICEDCHLFMRLVSKVEPINIIVRDNMRFHHFKDGECSCSGFW >KQL11400 pep chromosome:Setaria_italica_v2.0:IV:33225411:33228791:-1 gene:SETIT_007424mg transcript:KQL11400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRWTKESPAVVLRSPRNRSHGITSLRPTLPSSRPDASSVLPDVSPISAPLAPFYSRRRRRRRKAARWQVGDWRAMAPPGSRRWAYVRLMAGTILGGVLGFYVMHRIETSYKERMEERLRRYEAHMLAKAQEAQQLQDEAQREDKAQLLPDS >KQL11721 pep chromosome:Setaria_italica_v2.0:IV:36319953:36323164:1 gene:SETIT_006358mg transcript:KQL11721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDASYQQLGVDATMMSGCFGSGGGGGAPVFHDGSLFGFGEPADAAASFLVDGGSMLAGQLQLIRAAAPQSVSPVETARGAYGGYEPSPSDVTVAHAPKVAKHLAGEMEGSWIHEPYCCPTWFFSGDSFRDPFAAAASELSLRLRRAESSPTGAVHVSLPDQSPEVSCSGLTHASSAGTGGGVFQPPCGGGGEMAPLHFSQVLPRWSAYAHLTQKTLDEFVGCLLQDVAGFAGSVAGCEASCLLPMSSCSKTTSSNPSVFLGSEEHAHQKLRNDLQKLLQLLDQRCNQCMDEIQGAASKYGGMVRPGGGGGGATLLLAPFAHRAVSAMHRRLRARITGEIAAASRRGEPPPPTSSSLTLADRERSWESAFIQKHWALRQLRRGDQQSWRPQRGLPEKSVAVLKAWMFENFLRPYPKDNEKEMLAARSGLSRSQVSNWFINARVRLWKPMIEEMYEDLKKASGSGGDEGVAA >KQL11481 pep chromosome:Setaria_italica_v2.0:IV:34045349:34049826:-1 gene:SETIT_006597mg transcript:KQL11481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGGEEARRRAAVAEYRKKLLSCRELEARAKTGRENQKNSKKNLEKTEEDLKALQSVGQIIGEVLRPLDKERFIVKASSGPRYVVACRSKVDKEKLIAGTRVVLDMTTLTIMRTLPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYAQEHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDELGKVKVIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQGRMEVLKIHAAGIAKHGEIDYEAVVKLAEGFNGADLRNVCTEAGMAAIRAERDYVIHEDFMKAVRKLNDAKKLESSAHYSADFGKE >KQL09122 pep chromosome:Setaria_italica_v2.0:IV:1349965:1352223:-1 gene:SETIT_005961mg transcript:KQL09122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKNSTTTFTQSWGSTQGQLVRVEVLVLFSALICILVELFGSRRRWYSQEFFRFFVWAVYTLFTVLAPYTIGLLQDSPFRDQTFVLWATILLLIQVDVDSISVYSIHDIEHRKRMFVQHLLQIILVLWLIVNCKGHNISYTANIWIFWIQSVILTYRNYQSLSNASKKGGLLKLSKVVADYMMIEHEQIPQGLNPNPGTMEGYKYIFHGEEEVASLLPTAPEYTEATRRKCTTIDSVCQWIRRESALNQEAKETLKDVALSFSLFKLLKRRLCGYQIGEAGLAKTLDFVLHGLISEEGNYIRAFGVIEMELSFMYDFLYTRFNTEHTVAKGFTAWFIVIIVTISNSISGAFSRHYHRSSLEQRVHGIDVTRWVTIVLFIIVLAWYLPLRGYPDWRWYMVHELHVHQRQRPTRMLILTKTSFVKDDAKRSWQRALGQHSLLLNFDYRPSNVLSLLSLGLVDATREGQKAGEKIKLTDELIERVLSGFKESKGQLQDGQSALAKNQLESQFSWACTLSTHIDKILVWHIGTTIAMDGHPVPPTGDHRVAKTLSDYCAYLVAFVPDMLPGHGYDTQCIFDAVVAEAWESITGCDSISSRCEKLVMAVLPSNTSCTTLELGARLGRELRGVVPEERRWKVLADFWAEFILFLAPSSNVEIHTEMLATGGEFMTHLWALLTHAGILERPSTTDGAQGNNGAPAHDLPV >KQL11943 pep chromosome:Setaria_italica_v2.0:IV:37776699:37777017:1 gene:SETIT_007782mg transcript:KQL11943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMYVAFPGLDWHQQHHQSGYNHTSGELHVAFKPLYREERNHPPELVMDASYKRNKYKPY >KQL09565 pep chromosome:Setaria_italica_v2.0:IV:4291325:4294840:1 gene:SETIT_008066mg transcript:KQL09565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAIRLLGRRRLLPPPLAAAVAHLSAATQSPRHQHLHLPIPTLPLPPRELSPFALHSRSFSWYSRSRPDPGPGTSAADTPGEEAYAEKESVYLDNLHIVDGEEGVASAAGAAADAVGGAAGATADGVGGVSELAGSTMSDLMDGFHSLTGLPWWITISLSTVAMRLLILPALMVQLQKTAKIGQIIQKVSTSLPPPQPGSNLREQYTLFWRKRKELGCPSFLWNFAYFSVQFPCFILWMMSIRSMCLNNHPGFDNGGILWFHDLTEFPHGTLGPIFPILVAGLHYLNVQISFQGSQIKHHPGIFGLLAK >KQL09190 pep chromosome:Setaria_italica_v2.0:IV:1781395:1785688:1 gene:SETIT_006741mg transcript:KQL09190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANGAAAPEAVAEAGLAPEVESEADAFQRQVDDLVSKTDVQFSDWGLLRCVLQLERRVNEVVDFYDGKKHGSGGRKGGRHGPHPRGMPDLMRQFGVVLREITSDKKAWPFREPVDVVGMNLHDYYKIITKPMDFSTIQNKMEGKDVTTYKNVREIYADVRLIFANAMKYNDEENVVHLMAKSLLEKFEEKWLQFLPKVESEEKRQKDEESKGVVSTSTSREAAIAKLAKDTDDELNQINKQLEELRKMVVNRCRKMTTDEKRKLGAGLCHLSPDDLNKALEIVAQDNPSFQTKAEEVDLDMDAQSETTLWRLKFFVREALERQANVASGKMDENAKRKREICNALAKTASKRIKKQP >KQL09192 pep chromosome:Setaria_italica_v2.0:IV:1781395:1785688:1 gene:SETIT_006741mg transcript:KQL09192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANGAAAPEAVAEAGLAPEVESEADAFQRQVDDLVSKTDVLERRVNEVVDFYDGKKHGSGGRKGGRHGPHPRGMPDLMRQFGVVLREITSDKKAWPFREPVDVVGMNLHDYYKIITKPMDFSTIQNKMEGKDVTTYKNVREIYADVRLIFANAMKYNDEENVVHLMAKSLLEKFEEKWLQFLPKVESEEKRQKDEESKGVVSTSTSREAAIAKLAKDTDDELNQINKQLEELRKMVVNRCRKMTTDEKRKLGAGLCHLSPDDLNKALEIVAQDNPSFQTKAEEVDLDMDAQSETTLWRLKFFVREALERQANVASGKMDENAKRKREICNALAKTASKRIKKQP >KQL09191 pep chromosome:Setaria_italica_v2.0:IV:1781395:1785688:1 gene:SETIT_006741mg transcript:KQL09191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPANGAAAPEAVAEAGLAPEVESEADAFQRQVDDLVSKTDVFSDWGLLRCVLQLERRVNEVVDFYDGKKHGSGGRKGGRHGPHPRGMPDLMRQFGVVLREITSDKKAWPFREPVDVVGMNLHDYYKIITKPMDFSTIQNKMEGKDVTTYKNVREIYADVRLIFANAMKYNDEENVVHLMAKSLLEKFEEKWLQFLPKVESEEKRQKDEESKGVVSTSTSREAAIAKLAKDTDDELNQINKQLEELRKMVVNRCRKMTTDEKRKLGAGLCHLSPDDLNKALEIVAQDNPSFQTKAEEVDLDMDAQSETTLWRLKFFVREALERQANVASGKMDENAKRKREICNALAKTASKRIKKQP >KQL11295 pep chromosome:Setaria_italica_v2.0:IV:32376714:32378513:1 gene:SETIT_008433mg transcript:KQL11295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDASERTAVGWAARDAGGHLSPYSYTLRKTGPEDVAIKVLYCGICHTDIHQAKNHLGMSRYPMVPGHEVVGEVEEVGAEVTKFHAGDVVGVGLIVGCCRQCHPCKSSNEQYCSKKIWSYNDVYPDGKPTRGGFSSAMVVDQKFVVKIPAGMAPEQAAPLLCAGVTVYSPLKRFGLATPGLRGGILGLGGVGHMGVMVAKAMGHHVTVISSSDRKRGEAVDHLGADAYLVSTDAAAMAAAAGTLDYVIDTVPAHHPLEPYLALLGLDGKLVVMGVINQPLSFVSPMLMLGRKSIAGSFVGSVEETEETLAFCQAKGVTSQIEVVKMGYVNEALERLERNDVRYRFVVDVAGSNLDDA >KQL09537 pep chromosome:Setaria_italica_v2.0:IV:4099835:4101593:-1 gene:SETIT_007253mg transcript:KQL09537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGRGWASLDTAQTHGTAQQAHYLVIALASGLPVVDRGGAAVSPSRLSRSLSRQQPATSGGTPSSSEKAAQGTPLPAVPLLHLGDQGMTMSTTQKINPVESSAKVFKQASQFKRLGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKVSCIHMFKMQFCFDSHLPELAFINDLSVL >KQL10564 pep chromosome:Setaria_italica_v2.0:IV:18368138:18372093:-1 gene:SETIT_006676mg transcript:KQL10564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMWRAAARQLVDRAIGSRAAHTSAGSKKIVGVFYKAGEYADKNPNFVGCVEGALGIRNWLESQGHHYIVTDDKEGPNCELEKHIEDMHVLITTPFHPAYVTAERIKKAKNLELLLTAGIGSDHIDLPAAAAAGLTVAEVTGSNTVSVAEDELLRILILLRNFLPGYQQVVQGEWNVAGIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKIDPELEKEIGAKFEEDLDAMLPKCDVIVINTPLTEKTRGMFNKERIAKVKKGVIIVNNARGAIMDTQAVADACSSGHIAGYGGDVWFPQPAPKDHPWRYMPNHAMTPHISGTTIDAQLRYAEGVKDMLDRYFKGEDFPVQNYIVKEGQLASQYQ >KQL10699 pep chromosome:Setaria_italica_v2.0:IV:23696812:23697308:-1 gene:SETIT_008777mg transcript:KQL10699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKPGGAGWLATVRKVFKASKDQRHAKKRRGGEAEDAAAGGGEVAEIVSVDHFPTAETSPEVTNDGSGGGAVAWRERGEHEEVAAARRRACRGMAAVTAASRVARTAAARGRAGSREERAAVRIQAFYRGYL >KQL10220 pep chromosome:Setaria_italica_v2.0:IV:11100841:11101352:1 gene:SETIT_007523mg transcript:KQL10220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATKCRRQPLGAGGRTPPPAQALACGRICRRSPQVRRTADATAARCRSVGRRMPPPRATRVREVERGASASACPASESSASATVSACRGYRRRRCRSAWARECEAASRRGFSRYGGKAEA >KQL11900 pep chromosome:Setaria_italica_v2.0:IV:37456727:37460355:-1 gene:SETIT_008265mg transcript:KQL11900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSLLNSKFYNKCKYAFKCIRTRMAPIRRKKHAMIRFLKKDVADLLANGLDTHAFGRMDGLIVELNHASCYDMIEEFCDSIGKQLGSLQKQRECPPETREAVSTLIFAAARFPDLPELCDLRHIFTERYGNFVDPFVSLEDMLEKGSRNPAELAMPLSNKQKCGEDAPYGKQDKDMLENGSIKPAELPMPLSNKQKGNEDAPCERQNKNMLEKGSRKQAEQTIPSSNKQKCNEDVPYGRQDEDMLENGSIKPAELATPLSNKQKGKGDAPCERKHGAKPACRTEKVEIQLNRKDIHAVADGISLIDENSRKQQSDKSGEKEHLLKSVSPIDTNRRNTQKDVKKLNRRDGRPSEKELMEAVELDLNGLPKKGFGAVKFPETESNITVHLNARSKEAVKENCVEKEHEEAVRHHHLSRPAGPDLSRKHADPVLRAQRPENQGCPVSPLNGNRNKGPPYAKTNGANLKNPSEKQANNGLLYDKPQHFTDLGNVVPKGQGVTGRATTMRPPYVKPKSNMQPVNCDPEKQTPSDCSKHISGQIDHMDNKDVLRPVSVRRRTAKPPAPVNAYGEAPNQTPSSHRSHSSRQTGAEYDHNPNGNGTADERTTSSRPKHTGRKNGALNHNCDYDRFMQRRQPEADDSAIDFGNLLPRNANGQRRHKSRGHGDLDEEERMMDKLLIHYSKKGLDPTNKSDNDKEAQIDSQQKISLHPPGRAISLPPEPIGPGEEVKIPARSTSLQPDGPRGVRVHPKMPDFDELAARVNALRKA >KQL10191 pep chromosome:Setaria_italica_v2.0:IV:10696801:10697515:-1 gene:SETIT_007540mg transcript:KQL10191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNDSMVSLAMTRTSLTLVGRVRNQSVPASSSLLLMLLSISAAYSWSCTLSATTSSLRCSNSLSCCTIRCGSGTQQTCATAGGAGLRVVVLRPAFLDLDPSSASAAKAQLEPCNAIT >KQL09456 pep chromosome:Setaria_italica_v2.0:IV:3517087:3517914:-1 gene:SETIT_008052mg transcript:KQL09456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAGAGAAACAACKYQRRRCTPDCPLAEYFPHDRPRVFRNAHRLFGVNNILKTLARAGPEKRREAMHCIIYESHAWDINPATGCVPIIDDLQRRICQAGLDLRRVYAAIRAYRAAAAAAQGRPVPDSDGGDPSASASSPAPPPPFQFQPAMGNNYDEATAEAYGGGGLPFLMYGGDQQQMMMNAAAPDNENIALQMPPWMMQPPQYDMASATAVADMAAGKVVPQLQQQDHRFLVDATMAHQSRNQQKPIVPIHLPAELDDKMSYFVNGMDGD >KQL08985 pep chromosome:Setaria_italica_v2.0:IV:581931:587016:1 gene:SETIT_005721mg transcript:KQL08985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGGGGGVLRHSNSSRLSRMSYSGEDGRGAQAPAGDRPMVTFARRTHSGRYVSYSRDDLDSEVGGIGDFSPEHQEFLSYHVHIPATPDNQPMDPAISARVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAINGCDAKVMSDERGEDILPCECDFKICAECFGDAVKNGGGVCPGCKEPYKNTELEDVVGGAAGARATLSLPPPPGAGGAAASRMERRLSIMRSQKALTRSQTGDWDHNRWLFETKGTYGYGNAIWPKENEVESGGGGGGGLGGADGQPAEFTTKPWRPLTRKLSIPAGILSPYRLLILIRMAVLGLFLTWRIRHKNEDAIWLWGMSVVCELWFGFSWLLDQLPKLCPVNRATDLAVLKDKFETPTPSNPTGRSDLPGLDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANMWVPFCRKHNIEPRNPESYFNLKRDPYKNKVRQDFVKDRRRVKREYDEFKVRINGLPESIRRRSDAYHAREEIKAMKRQRETALDDAVEPVKIPKATWMADGTHWPGTWIQPSAEHTRGDHAGIIQVMLKPPSDDPLYGSTGEEGRPLDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRSSAVMSNGPFILNLDCDHYVYNSQAFREGMCFMMDRGGDRIGYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRVALYGFDPPRDKEHGGCCSCCFPQRRKVKTSAAAPEETRALRMADFDEDEMNMSSFPKKFGNSNFLINSIPIAEFQGRPLADHPGVKNGRPPGALTVPRDLLDASTVAEAISVISCWYEDKTEWGHRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRKMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVKTLNVAFLTYLLVITLTLCLLAVLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDENDEFADLYIVKWTSLMIPPIVIMMVNLIAIAVGFSRTIYSEIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWAGLLSITISLLWVAINPPSQNSQIGGSFTFP >KQL11141 pep chromosome:Setaria_italica_v2.0:IV:31088816:31089337:-1 gene:SETIT_007786mg transcript:KQL11141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTGLIINTAVPSAISNRTCLWFDDIRALLSYLTYLPIIFSQEFQSFSRMSAPRIDR >KQL12216 pep chromosome:Setaria_italica_v2.0:IV:39472295:39472789:-1 gene:SETIT_007765mg transcript:KQL12216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLHEHDMVTCLSDLEVFCLHNIIFFKGCFLLLLPFQSEIMCGLIRCSLQYYILDIGIYYVI >KQL12145 pep chromosome:Setaria_italica_v2.0:IV:39117860:39120877:1 gene:SETIT_007332mg transcript:KQL12145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTPVGASGTVERWRAEASRAFQHHLDRAAPHMARRWAGTLVAAAVYALRVYFVQGFYVVTYGLGIYLLNLFIGFLSPMVDPELEALDAGPGLPTRGSDEFRPFVRRLPEFKFWYAITKAFCIAFVMTFFSVFDVPVFWPILLCYWIVLFFLTMKRQIMHMIKYKYVPFTFGKQRYGEKKEPAASASKD >KQL09000 pep chromosome:Setaria_italica_v2.0:IV:644235:645467:-1 gene:SETIT_008009mg transcript:KQL09000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKLAHQNLKSFFCARDCIFNLLDFFHSLFLVFSPLQATDTSLLAGQSRGSTNGAHTGIVIDFIVLSPTGVPKNQFNWSNRCLTKLGWKNVYSSFRAQTGLHLGSKQLQNKLNNLRRTFLSWMALQKQSGLGRDTQTGGVSVDATYWEEDEEDTTGGDAPPRSQPSSQPTSVKPPPFLDELFELFGHEPQDRGTLLTAGGIRETTPSVGTEGSAADLDQDPPPASSARAMSKRSAREFSMDSPTKKEVTTWSSTSGSYLRVWQRGACNVHAVPMNKWFVVCSSLKKMVYRRGLRFTARLYIYVLRARSIGRC >KQL11789 pep chromosome:Setaria_italica_v2.0:IV:36774911:36775626:1 gene:SETIT_007545mg transcript:KQL11789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHALFVVLRKIAQSLAEGALAKISTEVAEAVPVLQDFEHSMKQIEGELSVLHAFVGQVSGKKVGDKTFDAWLEQVRDVAHDVEDIIDEYAYLSVQVMDTNSFVKRKFHEIKNFAA >KQL10216 pep chromosome:Setaria_italica_v2.0:IV:10985796:10987452:-1 gene:SETIT_008841mg transcript:KQL10216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DALLKDASLAAVQRCNHVTSLASSLGTTSPRLAQAPQLEAPPPRVVLALSLEEPSTRIVLRPTDRPCVRRGPDAEGWWTAESRCTRRARLRAAHPPRRPVQGRCFNCFSSEHRAAGYRSAPRCFHCRVIGHRSYVCRRQPSAPHPESSSGHWMVWRGSSGTMTTAPKPGAACGCWCCQASSSVPNTSPDEVVAGDAPLSASSDEEQAPMVRPQWIIDRSVTLVQREKELSRALVVSVFGNYLNESPESIKATIAQRFGLDKEDLAVPSLIEAPQLVAIFPGLSTFRSSAPVARESSSGEDGPLVNSRVVSAGGLDDGPDETAQLLVDDPVTENFLMDHPFRPSTTVEQLNRTAAPPTSTFGGSGIGALRVRKPTEMLLPQPVIHKRRIKAVAPGSLPRRSRRVAGADPCSPGPITTESQRRVMRCLGFECKAKIDPKTQDAYFKIMGSRFADNHVAAMAAIFGWSLEEDSQVRAGDIL >KQL11168 pep chromosome:Setaria_italica_v2.0:IV:31335736:31337219:1 gene:SETIT_008449mg transcript:KQL11168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERMSRGNGVRVCVTGGAGFIGSWLVKKLLNIGYTVHATLRNIGDEEKAGLLRRLVPGAAESGRLALFEADLFDAATFAPAIAGCEFVFLVATLLRHDATSTKYKSTAVAAQDAARVILRHSMAACSPLREESAGFKDAVDEFCWTPLDVDYPLRSAQYDEYILSKLVSEKELLAYNAGESPAFEVVTGGTTVSLEGVALPATRDERQFIGLRMLQQLLGSMPLAHVDDVCDALVYCMEWRPSMASRFLCAAATEALPSVRAHSDKLGELGFRYKYGMEEILDGSI >KQL11409 pep chromosome:Setaria_italica_v2.0:IV:33369353:33372681:-1 gene:SETIT_005855mg transcript:KQL11409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKATVTLRLNEEWSTTEKVADMVGQPWLFLEFFSSELNPQTDKEMKTQQHVAWYSRMDGNGSFVYEVSVPSSFADVGAVVVENRFSSEVFVSDIELRHGREPSAVTFNCSSWVTCHAGFNERIFFPLKSYLPSQTPKGVARLRETDLKAKRGDGRGERMLWQRIYDYDVYNDLGDPDKSPALRRPVLGGEEHPYPRRCRTGRPRCKADPSSETRASGEIYVPRDEEFTARKAGAFLTKKVTSTLSAFTTAQAVAGDRRRSFPSLAAIDALYEDGYKNKPGQQPDNLERYFTDLLQRQVELLLKGEKEEVKEDIRKVFKFQTPEIHQKDKLAWFRDEEFARETLAGMNPLSIRLVTELPIVSKLDEQTYGPGDSLITKELIEKQINGVMTADEAVQKKKLFILDYYDMLLPYVHAVRELEDTTLYASRTLFFLEEEGTLRPVAIELTRPKSPNLPQWRQVFTPGSSVTDSWLWQLAKAHVLAQDTGYQQLVSHWLRTHCCVEPYVIAANRQLSQMHPVYRLLHPHFRFTMEINAQARASLISADGIIESTFSPGKYCMLLSSAVYDTFWRFDKEALPADLIRRGMAFRGADGELELTIKDYPYANDGLLVWDAIKEWVSAYVNHYYATASDIAGDVELQAFWTEVRTKGHADKRDEPWWPVLDGHESLVQVLSTIIWVASGHHAAVNFPQYPYAGYFPNRPTTARRNVPTEAGGEDMRAFLEDPVKVLLDTYPSQYQTTLALPVLNLLSSHSAGEEYLGVQSEPAWMADAEMRAAFVKFNKRMMEIAETIDSRNKDPELRNRKGPGVVPYVQLKPNYGDFKDMTSVMEMGIPTSISI >KQL11866 pep chromosome:Setaria_italica_v2.0:IV:37261142:37262269:1 gene:SETIT_008686mg transcript:KQL11866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMPKRRRCGERDGGSTAEPRRPHLYLVCDDWDSGYSIRKFPLPADSGEGAEQGLPKLFRRVTAPREFPQHFTSAFGTKIMGMHHNDSGAVQIIDVRTRSVLFGPEPNYPAYPIYFPVGGDRLFALDSGCFDLCRFPPEQPDSESDGDGSSLDESDAGSDFDSNGKWSWHQLPVPPFARMDVTSYAMHPDGDGHTILVSTKSGEEEATATFSFETGVFVWKNLGEWTLPFTGRGHYESELRALVGLSKDPETFGYLYACDVPSTGNRHCPAPAWKRSKEKVFSKNPADRRVSASLIYLGYRRKFCLVECVLVEEGNSCQVKVKEDKADQVLLEKPEGAGVPQRSATYRLMTFSLKYDKMDDIRVRQRRIRYYKLL >KQL11106 pep chromosome:Setaria_italica_v2.0:IV:30751656:30751866:1 gene:SETIT_008890mg transcript:KQL11106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLASKFQLGAVENDSFFPTSLNFFNKRPE >KQL09996 pep chromosome:Setaria_italica_v2.0:IV:8118311:8118827:-1 gene:SETIT_009037mg transcript:KQL09996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGAGSCSIFQVLWVVLQHGGRRIWLDFSLMGSPA >KQL09956 pep chromosome:Setaria_italica_v2.0:IV:7717864:7718715:1 gene:SETIT_008113mg transcript:KQL09956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDVFHHDLESGLPPRPPPPPQAVVAHCVFQINTKVSALRRLAEELAAAGRWGHGDSRDVRERIRRARAEATRLARNTARRLADPAAAATVGPRLAADFQAALGELQWVQGRIIEADRQETAAARRAFRTPSPPSYGSLSKPQLNIGAAGTGTRGADQQSGIQMQQQLVESRRRQELALLDNEITFNEALVEEREREICKIQQEISEINEIFRDLAKLVHGQQGAIDIVESNIETAAMETSKGEEQLTRAALTQESNSSMKCLLLTVFGLVMMIFALVFVT >KQL11528 pep chromosome:Setaria_italica_v2.0:IV:34478789:34479255:1 gene:SETIT_007742mg transcript:KQL11528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREANLPHASTRDHEEFLSPGPTHAIVLRCKSRCLRLNLASLARWATASKKRSVVRPMAAIRCPPTQP >KQL10507 pep chromosome:Setaria_italica_v2.0:IV:16933191:16934531:1 gene:SETIT_008379mg transcript:KQL10507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPQIEEAVMELCCNTIHLPNCMAISDLGCSSGPSALTLVSTAIDAIQRECLQLQQLLPELSLLLNDLPSNDFNTAFLVAFHQKRNAKKGEHGSSPLVVASIVPRSFYGRLFTTEALEDLVNNGIPMYDAHEQLWQKTRPTVLDAYARQFRKDFFLFLDSSATEMVPGGRMILSLTATQSPDPGSESTEQTWELIARILDDMASRGLVDKQKLKTFYIPLYAPYEKEVKEIIEEQGSFSISKLHVHDSMIGVNKDLINPKTIAYSLRAGFEPIIGDHLHYGRIYTDGGE >KQL10809 pep chromosome:Setaria_italica_v2.0:IV:26110695:26111101:-1 gene:SETIT_008932mg transcript:KQL10809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGDGAAAPERPRSRSSRWRFRSPSRDSAASTLVHDDEHWTAGRGAVAGADRAELAVRGCFFS >KQL10810 pep chromosome:Setaria_italica_v2.0:IV:26110697:26111395:-1 gene:SETIT_008932mg transcript:KQL10810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGDGAAAPERPRSRSSRWRFRSPSRDSAASTLVHDDEHWTAGRGAVAGADRAELAVRGCFFS >KQL10143 pep chromosome:Setaria_italica_v2.0:IV:10232257:10235124:1 gene:SETIT_007303mg transcript:KQL10143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKNFKGSKTYILSKIKSFKAHERGSVLLEVHPSRPYVLSSLAHANLPHGNARVVCSIKLWDWERGWDCVQTFNTEDFPRQLKFNPNDQDTFVTFFETKGAEIWDYDTGTLVETLKEKNISTACSHPELPVLITGSMNGRVSLWSSSTFNLVGVLNCDLGTVYNVFGVKGSERIIIGHAHGIAVVEIGHMLESERPHESEGSEI >KQL10470 pep chromosome:Setaria_italica_v2.0:IV:15694140:15694796:-1 gene:SETIT_009073mg transcript:KQL10470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFASVLLLAAAVLVASASAQDFTTAPSSAPAPSPIAGAASAPAASAVAVVSSALVSLLVATIMQ >KQL09305 pep chromosome:Setaria_italica_v2.0:IV:2458564:2460730:1 gene:SETIT_006758mg transcript:KQL09305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATTLQARWLLAVALLLAVGIHGGRAQPLVPAVMTFGDSSVDVGNNDYLHTIIKANFPPYGRDFANHVATGRFCNGKLATDITADTLGFTTYPAAYLSPQASGQNLLIGANFASAGSGYYDHTALMYHAIPLSQQLQYFREYQSKLAAVAGGAQAHSIISGALYIISAGASDFVQNYYINPFLFKTQTADQFSDRLVAIFSNTVSQLYGMGARRIGVTSLPPLGCLPASITLFGHGSNGCVSRLNRDSQSFNGKMNATVEALSRRYPDLKIAVFDIYTPLHDLATDPQAQGFTEARRGCCGTGTVETTVLLCNPKSVGTCPNATSYVFWDAVHPSEAANQVIADSLITEGLNLVT >KQL11487 pep chromosome:Setaria_italica_v2.0:IV:34102005:34102446:-1 gene:SETIT_008894mg transcript:KQL11487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYEVNDGLIYPGIVHSICTGYAMLMNCQKSLG >KQL11479 pep chromosome:Setaria_italica_v2.0:IV:34036837:34037196:-1 gene:SETIT_007897mg transcript:KQL11479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHHLQLHRPASIEKIPVPDKKKSLLHVGAPSPGGHQQHGGGGASWHEAPAVSVITTPRTAAAAKQQQNTLPGTGSPRACLCSPTVHAGSFRCRLHRGIGGGGGSVGSGLHEMSKKPGG >KQL09717 pep chromosome:Setaria_italica_v2.0:IV:5432413:5436057:1 gene:SETIT_008371mg transcript:KQL09717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQANAAPPRAQTCDAAPPRAQTCDAARPRGRGPPRPLRPPSDRERRLAEEVLHLHSLWRRGPPPAPAPAPTQSRLADRKTKTTGHKRRKLDGAAPAAAEWVPAPPPPNSSPKTWTEAAPSSSTPAKPPPRPTSPGTLAHQKALRAAAEFFSNRGASSSSSSDDDSDSDSSDDDEERSESEGEQDAVGFLTGLFERDAALRGHYERGWEDVQFACMVCAAGTRKARRFRGCVALVQHARDATPRYGRPRAHRALAAVLCRVLGWDVARLPSIVMDPRGTLGQALAAGATAGVQLAKEDVETGMEDSSTDGDEEENELESSEESAEKVNASKGDSLNQGNNGLVHKQEVAKESSEQDNTNDAYLPGSKDACKNKEHCEEAAVRKEKNVCENKEENGESTS >KQL10500 pep chromosome:Setaria_italica_v2.0:IV:16736522:16736989:-1 gene:SETIT_007418mg transcript:KQL10500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQQTKSSSYTRLGLRRWPRPARGFRLSPTRRLRARLSALLGVLGRYVRNLRLLTRGRVAAGSSSPPAAGTGSRRFLVGGQKASAAAGKGAHQATGNGNDNGSKAARRPPCMRSNSFYARAVAECLEFIKGSNVPPASPSPLPPHGTPRRGRRC >KQL12280 pep chromosome:Setaria_italica_v2.0:IV:39793503:39795039:-1 gene:SETIT_006703mg transcript:KQL12280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLVVCLALSVQVLVAEAAGLRRGPPAMYVLGDSILDVGNNNYLPGADVFRANRPYYGIDFPGRIPTGRFSNGFNTADYIAKSMGLVRSPPPYLSLAPNNLSLVLAALTTGVSYASAGAGILDSTNSGKCIPLSRQLEYFNATRAKMLAAAGSPAVTALLSKSIFLVTFGSNDLFVFAAAEQASNRSEAEQQSDAATLFADLFSKYSAAITELYAMGARKFAIINLGVLGCVPAVRVLDAAESCSAGLNQLAAGFDDALRSLLAGLAPRLPGMVYSLADSFGLTQDTFADPEASGYTDIAGACCGSGRLLAEADCMPNSTLCAADRDQHVFWDRYHPSQRASLLTAQAFYDGPPKYTEPINFMQLAQST >KQL10587 pep chromosome:Setaria_italica_v2.0:IV:19449537:19453975:1 gene:SETIT_007841mg transcript:KQL10587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQINENESTVPEHSNINDPYDLVYSNIPDNTHKLKPVENCKYCDAKKFHHEPEGLCCRKGQIKLANLETPHQLMRLWTSNDSDAIHFRKNIRFFNGHFSFTSLYCRLDRDTTTMRNSGIYTFRAHGQIYHNIRSFGKDGSDPKHLELYFYDDDPTLEHRYRYCRKERYEQDKHVLLIITNTLRNNPYSEQFRTLGQEENLEEYRVMLNLDQRLDQRTYNAPITSEVAAIWVKGNEWRNTFDRNVILHGNNNEIQGIRSYAGCYDPLSYPLFFPRGELGWHADIPKVGITTEDVKKARANQNNKNNDPDSSGRLWVTMREYYCYKFHVRPNIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDAVGKRTVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEEITNELEFGQTPQDRPDLVVRVFRAKLEEMKKELLEEHILGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHGPCGTLNKNCACTKNRKSCKNYYPRPFNATTIQGKDSYPLYRRRDDGHNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNETSEKEDIDEIRQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVDKSMLMAYFEANKIHEKARGILYRDFPEHYTWQTQGKFWQQRKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGATCYEEIRTVDGKILPSFREAAERRGLIEADNTLDDCMTEAELFRMPSSLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNARTVEQMVLINIREMLQSMGKDIRSFPLLEIDEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKAAYNEILTAIDRDEGGLFFVDGPGGTGKTFLYRALLATVRGQGKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTAKLLQAASLIIWDEASMTKRQAIEALDKSMRDIMDVPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSGLDKLIDSVYQMNNACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDSVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCSSDDEMFPFRFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYAALSRATTAKNIKILTAENDDEDEDNKQDNKNEPPEKNKKRKKRKTKSDMSDKKEVNQKDTTDRYTKNIVYSEVLTK >KQL09845 pep chromosome:Setaria_italica_v2.0:IV:6656042:6656974:1 gene:SETIT_008630mg transcript:KQL09845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGASAGVRRRRRTWRLYWCYVCGRAVRAVSYPTSDVFCPRCFGRFLHEIDLPAPRPVLPSPDQFFQPPFLPHDGPRRWVIYTGDPTADADAPLPRRRRRRVPSPPPAPATRRPDDDAPPPPMVDWDELIGPNLDALIERLTQDDRPGPAPAPESAIESLPTVRVSPAHLSDGSQCPVCKEEFELGEAARELPCKHAYHTDCIVPWLRLHNSCPVCRQELPAEQADGSREGGGEEGSGETTGAAPPGPVVMAGWGPLAWLASARGPDGDAWERSEADDGDAAGGGTCAAAVMQSFVVVAACFFALSFFV >KQL10553 pep chromosome:Setaria_italica_v2.0:IV:18043643:18045568:1 gene:SETIT_008317mg transcript:KQL10553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQVLMSVSMKQASAIPCPMIDALTQLHGGDYAKAAGLGAGMMLGHSYEAIPDPPVYPAAPLASAPAADCANHLSRLPDAILKDDAARTAALASRWRGLWLCAPLALVDAQILPAGVPAERVTQSGDDYTSRAVVAAASRVLKAHPGPFRCVQLFRCVQLTRGHMASHQAEMERWLKLLAAKGVKELTFINRPWPMDFPLPAKVFGCAASLTSLHLGAWRFPSTAGLPRATRFPHLQELILSTITVIMSQTGARVRLVSRSLRCVQVTVSAIADITVVDAPRLERLFAWLITGRPQRSNMRSRIKIGHAPKLSMLGYWQPGFELEIGNTIIKVDTKVGPITMVPSVKIFALEVQFEVRSEVKMVPCFLKCFPNVETLHIISQNDDAPSGTPDPKFGPEVDYIDCIQNHLKKFVFQEFQGKRCELAFLKFIAERAQVLEKMVVIVGLCIFLFGRWCVKAKLKPLASAKWASEDCKLIVFNNPLEGRSPAWHLQIAPAFSWSDPFDLLTAGAEINGDAFVLHHSRRTLNWK >KQL10034 pep chromosome:Setaria_italica_v2.0:IV:8722106:8732431:1 gene:SETIT_008585mg transcript:KQL10034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAGLRPLRVSASERVRTGGGLHGAPQRSRPGPAMAAPRTPPHLHPLLPLHTAFYTSRSPSPLPRFCTAPHPLPPRRRRRRRRRWGKRLICVRLPPRSQHCHRTDHPPHREPAKCAEAIKINGDITETPKFPLQGTKVNIIVGSHVWAEDPGICWVDGEVVKIKGEEAEIQATNGKKIVANLSKLYPKDMEAAAGGVDDMTKLSYLHEPGVLQNLAIRYELNEIYTYTGNILIAVNPFQRLPHLYDPHMMQQYKGAPFGELSPHVFAVADVAYRAMINEHKSNAILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCSAPQEEVEKYKLGNPKTFHYLNQSNCYELVGVSDAHEYLATRRAMDIVGISTQEQDAIFRVVAAILHIGNIEFSKGKEVDSSVLKDEKSKFHLETTAELLMCNPGALEDALCKRVMVTPEEVIKRSLDPYNATISRDGLAKTIYSRLFDWLVDKINSSIGQDASSKCLIGVLDIYGFESFKANSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGVIALLDEACMFPKSTHETFAQKLYQTFQKHKRFVKPKLSRTDFTICHYAGEVLYQSDQFLDKNKDYVVAEHQELLSASKCSFISGLFPPLPEETSKSSKFSSIGARFKQQLQALMDTLNSTEPHYIRCVKPNNVLKPAIFENVNVMQQLRCGGVLEAIRISCAGYPTRRTFYEFLHRFGILAPEALEGNSDEKVACKKILEKKGLAGFQIGKTKVFLRAGQMAELDARRTEVLSAAAKTIQGKMRTHIMRKKFLSLRKASVCVQAIWRGRLACKLYDNMRREAAAIKVQKHQRRHQARRSYKLQYASVLVVQTALRALAARNEFRFKKQSKAAVTIQTRYRCHRAHSYHRKLKCAAIVAQCRWRGRIARKELKKLKMEARETGALKEAKDKLEKKVEELTWRVQLEKRLRTDLEEAKAQELSKMQGSMEALQAKLEEANTMLAKEREAAKTIVEAPPVVQETQVIVQDTEKIDSLTTEVQELKISLQSEKQRADDLEKKRSEEEQANEEKQKKMEETEIKMRQFQDYLRRLEEKLANVESENKVLRQQAVSMAPSKILSGRSKSNLQRSSENVQVSSNDPKITLESNNTSSPKKEYDIDDKPQKSLNEKQQENQDLLIRCIAQHLGYAGNRPVAACIIYKCLLHWRSFEVERTSVFDRIIQTIGHAIETQDNNEVLAYWLSNASTLLLLLQRTLKASGSTGMAPQRRRSSSATLFGRMTQSFRGTPQGVNLSLINGSMVSGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLMKGSSRSNTNTAAQQALIAHWQGIVKSLGNFLNILKVNNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYRATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSPEVISNMRVLMTEDSNNPVSNSFLLDDDSRLLSICSYQ >KQL12176 pep chromosome:Setaria_italica_v2.0:IV:39285781:39287064:1 gene:SETIT_008828mg transcript:KQL12176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAAKRPCWPPAAAAEPNKRHRTAAAAPMDDAAAAAEADRSRAHAQQRQPWERHPQQQPLLPGLPDHLAHLCLAPLPPRLLHTVCRPWRRLLYSPSFPPFLSLYAVLDGGADASGAGVSFAAYDAVAGRWDELPAPPMPSPPPRLWHPSFLSRRLPLQSVAAAGRLVLVAGSTRSLSPALPRPVVFDPSAPSPRWRLGPQFPFAPRRWCAAGSARGRVFVAGGVGAGYDANDARSGAVWDPASPAAAWEPLPPMRDCRFSRDAAEAVCSGGKVCMVSLRGRGAKEGAVFDLAAGRWEDMPPGMLAGWKGSAAAVAPAGGAETIFVVDEERGALSAYDWGGDRWRTVAESERLKGAAEMAAGGGRVCVAAEGGEKVIVVDVTPPKPPRWAGSGPSTAPPRMWEVAAPPGKRVVALHVLPRMPRAE >KQL10673 pep chromosome:Setaria_italica_v2.0:IV:22919982:22921127:1 gene:SETIT_007723mg transcript:KQL10673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYTEVHWVELVVYVCCIASNTTWAAMEVGELATSIIATGQGLTCLINLVCPDMTPTNHNFLLEFHSNLEC >KQL11843 pep chromosome:Setaria_italica_v2.0:IV:37112748:37115789:-1 gene:SETIT_006572mg transcript:KQL11843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVTCNACNAGFDDEEQQRLHYRSEWHRYNLKRKVAGVPGVTEALFLARQAALAEGSKPASTPMLYSCALCGKEYRSSKAHEQHLNSRSHLMRASQEPNASIAGITIVKPLPERVPRRAPSAVEDEDEDEDEEEEWVEVDPNEMELADDSTSSMQIDERSSKSYDDMDDLEELDISLCFMCDLKHDTIEDCMVHMHKKHGFFIPDSEYLKDPSGLLTYVGLKVKRDFICLYCNDRCQPFISLEAVRKHMDAKGHCKLRYGDGGDDEDADLEDFYDYSSSYVDVEGKQLIAADDANSNFELGSGGSELVITNKSEKGTRVTTLGSREFIRYYRQKPRPSVATDRALALSLASSYKSMGLVTVQSKEQMVRLKVLRAMNKSGVETMRTKIGMKSNVIRNLPKNCPY >KQL10874 pep chromosome:Setaria_italica_v2.0:IV:27464438:27466161:1 gene:SETIT_007240mg transcript:KQL10874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLHPRAVAGVAAEAAAPEDGHDEGRACYCVVAACVSLLLFVVLAAATANVAKACAVSGAAVLLLGLAGCLAPSWDGVPAAARQQAPTAPVRLVVHHRCAACGLPDAAIGALPMFAYEPPPPPPVAKGGGGDDKPRRSSSVLLCAVCLEDVRAGEVVRQLPACRHLFHVDCVDAWLRAHRTCPLCRCDLSPPNVTSKAVTPAPAAAAAVTVESSPDALPPV >KQL12175 pep chromosome:Setaria_italica_v2.0:IV:39268070:39269056:1 gene:SETIT_007781mg transcript:KQL12175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGSLYFTFVKPKLNELRDRVEALSRKVARLKKEEDVRQANNMQTSGGHEKDPGGHQA >KQL12174 pep chromosome:Setaria_italica_v2.0:IV:39268070:39268984:1 gene:SETIT_007781mg transcript:KQL12174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGSLYFTFVKPKLNELRDRVEALSRKVARLKKEEDVRQANNMQTSAGGHEKDPGGHQA >KQL12345 pep chromosome:Setaria_italica_v2.0:IV:40101132:40101941:1 gene:SETIT_008233mg transcript:KQL12345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRHLAAAFAAALFLLCAADPDDERCLSHLHQSLSDPAGGLRNWTKASFSAPCDGFFSHLQGVTCNNGRVYKLALPGLSLGGTIPPELSNCTNLQSLDLSANALSGAIPPELSALLNLAVLNLSANALSGAIPRELASCAYLNIIDLHGNQLSGPIPDELGLLVRLSTLDVSYNRLSGPIPALLANRTGSVGFNASSFVGNKNLYGYPLPPMRTRGLSVLAIVGIGLGSGLLSLVLSFSAVCLWLRATDRTATTPGEEGKISQLMPDY >KQL10508 pep chromosome:Setaria_italica_v2.0:IV:16946621:16954121:1 gene:SETIT_008641mg transcript:KQL10508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLFQNKKCFLPLLMERVKRSYSGEGERVHFHLCCRGGKVQLPLLRDPPPFLDGLLKPDGDILSKYFLKSIRSYNAMFDFTSLGAKIDMSINKGPGAFVFKINGQVHHRIGSLLPDEGKPPAYAQLYIVDTENKKRIGPLIIIQDRGGGLRRISNLHSNYMALQYPILCSYGEEGFKLGIKYSRLGILWVGAKNEVTMLEYYAFRLQQRRSEAITLIFGDRLFQQYIVDVFASVEENRLRFIIKNNKSLRSEIYKGIHDALHKGDFEGNNVGREVILSGSFTGSKRYMVQNYQDAMVICRFYGPPDLFITFTYNMKWQEIADALAFIPGQKPNARPDIVSRVFKLNVEELISVHTCKYVLPKLPPFCSMMHAARKQSHAFFTIPSLVYMLFALPYISICIILYTVEFQKRGLPHVYILVWLEGNTKDPHPSFIDSIISAEIPDIDSDPLSYSLVDEFMVHGPFGELNKKCPCMKDNKCSKFFPKAYQQNTAIGEDGFVQYRHSKFGHSVEQYGVKLDSRWVVPYNLALLKRFRAHINVEWCNKTYLIKYLFKYIMLELSDSHPDPNDAQKADEVDEVREYIDCRYLSSHEVVWRMFEFDIHYRTPEVERLAIHLPLMNNLVYLTNRPLVDIVDDPRSTQTTLTEWFCANRIAWHPRKGSKKIGRAIYINPSCVELYYLRMLLNVVKGATSYEDLSTIGGVLHPTFKDACQALGLLGDDNEWREALREASWMVQVPSYRVPEQHLKNHVLVELDNLLSKNGVSMTDYGLPKPDLNLFNKLKNRLLAEELAYNSAEVLLVHDNLVNQLNSEHKHIYDLVIQSVYEKASQCFFVYGYGGTGKTFLWNAIISHLRSEKHIILAVASSGVAALLLPGGRTAHSRFKIPIAIDESSDEGPMTLCHCFESLDRSMRDILSVVDCSSFHKVFGGKTMLFGGDFRQVLLVEGGSRLDTIDASITNSYIWTHVNVLRLTINMRLLAMAASGLPTEQVKEFNDWVLSNGDGTTKGATHSDDGDSEFIEITHYISIPRLDSAIDDIIRSTYPSLETSYSDPTYLRERAIMAPKNGTIDETNSRVLSLIPGHEKVYLSSDTLVESSKEHGNLDLLYPVEFLDSLQFKGIPPHKLVVKIGSPVMLLRNLNQSAGLCNGTRLIITQLGDQILEAQIITGSHIGDKILLPRIALHFPICLCYAMTINKNQGQTLRNVSLYLPRPVFSHGQLYVAISRVTSRNGLKVSIDDDTDQGCCATLNIVYKDILQLLCLLSPINLARHNWHVKVRVARMWQVSRTSKGRGFASVELVLVDEEVHHITHLFCFLDAAGLDIFTPIIIMQGQGIMASIADKALKKFSKSIVEGHCYCVRIFQVSKQERKFKAIPSTYTIFFTPWTIIEEIHAKVSASLPRYVFSFVDFDDLDHCRARHGQGLVGAPNCRSNAATKWYINIDIPNVNAFRASRGRGSEFLLLPGDADAAAGDVDEENANRKTISELLSLNPHDSNDVRFTCHATIKEIDVTNGWWYKGCSICKKGLKSTLQGFECTKCNETEPDATSHGKIFMFGGVAEQVVRRTAAELVEESSSNQILLPRALRALVGRSYVFQAVISEQTFRTGQLCFQAWRVFMPPKIQKGGANVALQDNPKKDTAATASAGHSSYFL >KQL10300 pep chromosome:Setaria_italica_v2.0:IV:12607672:12608172:-1 gene:SETIT_007892mg transcript:KQL10300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPGEVASVVLPYQPPATTVALGFGTHYHPPADDLFPWSSNDLLITLPYSATAAHCQQHPAGQQPFLDHHVLGGPDEAGGGERQQLAEERRRRRTASNRESARRSRVRKQRQLSQLWAQAAHLRGDNRDLLDRLNRAIRDCDRVLHDNARLSDQRDGLQRRLQELGG >KQL10905 pep chromosome:Setaria_italica_v2.0:IV:27920324:27920788:1 gene:SETIT_008469mg transcript:KQL10905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARVLGVALLCAIHGATVENTLFEDGDGANTFRAFNPTQDEETYSMVIANRFWSQIFGVAFSNKHCLWMSAIGVVGLALNLHAYDFISQEIRAAEDPEFETFYTKNILLNQGICAWMAAQDQPHENLIFPEEVLPCGNAL >KQL12042 pep chromosome:Setaria_italica_v2.0:IV:38407895:38413553:-1 gene:SETIT_006214mg transcript:KQL12042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLIVTSVGDIEVDLHTDKCPLTTKNFLKLCKMKYYNGCLFHKVEKDFLAQTGDPTGTGTGGDSVYKYLYGDQARFFDDEIRPELRHSKTGTVAMASAGENCNASQFYITLRDEVDYLDDKHTVFGMVAEGFDTLAKINEAYVDDKGRPFKDIRIKHTYVLDDPFDDPPQLAELIPENSPTGKPRDEIAEERLEDSWVPLDETVAPEELEEMIRSKEAHTNAVILESLADIPDAEIKPPDNVLFVCKLNPVTQDEDLYTIFSRFGTVTSAEIIRDFKTGDSLCYAFIEFETKEACERAYFKMDNCLIDDRRIHVDFSQSVSKLWGQFRQSKRNAKKDGCFKCGAPDHIAKDCDQDGDQKNKGQNYVLKDENAQRGGNHRRSYDLVFDEDDADYSDKKDHENGHRRKIQRNDDRRSELPPRGDRDRNNRERTYSDEKGIRRGKDDDRNRGGRKNDDYRSGDRSSSRYDDRDYSKRHSRNRSGDDEDDYRLRDRSDGERDHRKRSPESRRREDGGHRERNQHSDDRSYKEKRHRDGR >KQL10431 pep chromosome:Setaria_italica_v2.0:IV:14902598:14903803:1 gene:SETIT_006881mg transcript:KQL10431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKPCQVLLLPLALLLLAGSSPAAAQLEVGYYSKTCPNAEAIVRDEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTEGNLAERDAKPNKSLRGFGSVDRVKAKLEAACPNTVSCADVLAIMARDAVVLAKGPSWPVPLGRRDGRVSSATEAADNLPPAFGDIPLLTKIFAANGLDLKDLVVLSGAHTLGTAHCPSYAARLYNFSAAGADPTLDSEYADRLRTRCKSVDDTAMLSETDPGSYKTFDTSYYRNLAKRRGLFQSDAALLTDATTREYVQRIATGKFDDMYFEDFGESMVKMGNAGVLTGAQGEIRKKCYIVN >KQL10075 pep chromosome:Setaria_italica_v2.0:IV:9289260:9290652:-1 gene:SETIT_007895mg transcript:KQL10075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYKPLDHRNQKPSSKNPGCFLSKPVCFWLVCGFLSLALLHLLCCDPAATQQAVFSPLRQYINNTYSFVSSVPGEGIKSCNYSEGTWVWAPGHARRYNATECNVKERQDCLRNGRPDTGYLDWRWQPTGCPLPAFDARTFLSAVRGKHVAFIGDSMARNQAQSLICLLTASFRYRLLYRDVGQQKHNFWSYAFPSYNVKVSYYWAPFLVRGKGKPEDDSIKENHVHLDAPGDRWAADADTIDVAVLAAGHWLLNGAIYYNNSEVIGAHNAPPDFTYTKIGYAWPLHMAYRTAVERLSSGRPRTVVLATFSPSHFEGRPIDSPTACTKMEPYKEGEKELEWIFKEVRDVVYDEAELARARAGGDGGAVRIEVLDVTKLAAMRPDGHPSVYMNPNPFEHGVPEKMLSDCLHFCLPGPVDTFNEILVQVLKRRR >KQL11149 pep chromosome:Setaria_italica_v2.0:IV:31150762:31154481:-1 gene:SETIT_007086mg transcript:KQL11149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRCSNGLLGLLNAGVLVLAVVALGGGAWLSHRASTDCERFLERPVIALGVLLLALSLAGLAGALCRASCLLWLYLLALFLLIVLLFAFTIFAFVVTNRGAGWVVSGRGYKEYRLGDYSTWLQRRVENAGNWAKVRSCLQDGKVCQKLADRKETVTQFVNSNLSPIQSGCCKPPTGCNFTYQSETVWIKPTGFNTTTDDPDCTTWSNDQTALCYDCMACKAGVLANLKNDWKKIATINIVFLIFLIVIYSVGCCAFRNNRQDNSYPAWK >KQL11654 pep chromosome:Setaria_italica_v2.0:IV:35614501:35614990:1 gene:SETIT_007687mg transcript:KQL11654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGNWPRTRTQRQTTPAQQLTTVGSLGLAARAKANSSNSSLITCMHISKQFGTAVRSNPCVQFCSCFSNVTFHPGRFMRP >KQL10424 pep chromosome:Setaria_italica_v2.0:IV:14588871:14590408:1 gene:SETIT_007979mg transcript:KQL10424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAIASVVFSLLLLPHLCSSYRTSHNPGSRRFILQSSNDESQAWTPTETCSSIPWGRANGNKLPLMHRDSPCSPLNGAGKISRQALPTDVFERDVQRLRTIFAAAQSGVADTTAAAPAPAPASGVTLPITGSDNGIVLGSQDYSVTVGYGTPAQQLPMDFDTLRLGGGISTLRCKPCRAGAAPCDPAFDPGRSSTFARLPCGPECPSVCDGSACSLNITFPRNHSVAANGTFVKDTLTLSPSATVASFILACVDVDNFHITGSSGLLDLSRSRFSLVSRLTSSPAGNTTAAFSYCLPASPTSSRGFLSIGGALPELSSDHAASTPLVDTPNHKNLYLVKLGGINVSDTEIPAAQSNLAALEVGTSFTFFPPAIYGALRDEFRKQMSQYPMAPPYRMLETCYNFTGLPGFFMPAITLAFDGGATLQPDVAQMLYFVEPGYVCLAFAALPEVFPYSVIGNRVQQTVEVVYDVRGGKIGFIQGSC >KQL10854 pep chromosome:Setaria_italica_v2.0:IV:27098755:27102738:1 gene:SETIT_006581mg transcript:KQL10854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTLLSRSPRLVICALLCIFLFSEVGVPRRASALGINYGQVGNNLPSPPHVVQLLTSLRIGKVRIYDVNPQVLSAFAGTGIELIVTVPDDLVPGMAASASQALQWVTAGVRPYFPATRVTGIAVGNEVFTGDDEQLKASLVPAMRNLHAALAQLGMDTYVRVSTANSLAVLATSYPPSQGVFTQDAAPYMAQLLRFLADTSTPFWINAYPYFAYKDDPTKVSLDYALSNPYHVGAVDPYTHLQYTSMLYAQVDAVTFAAARLGYGNVPVHVSETGWPSKGDANEAGATVENARQYNRNLLMRQVSGEGTPLRPKLRLEVYLFALFNEDMKPGPTSERNYGLYQPDMSMVYNVGLNQLSTTSAASLSLATSPATRTDVRKDFGSLCLVTSLAILLITQALLL >KQL09580 pep chromosome:Setaria_italica_v2.0:IV:4386872:4398978:-1 gene:SETIT_006097mg transcript:KQL09580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPAPALVAVSLLVLALLNLDAARGQPLPPTNSSDAAALHAVFRQWRLEGDTAGVVDPCLMPVLSESSMMNASIDCDCSRGDECRITHLNVTGYRNITEIPPALFNLTELVSLDLSNNNLSGSIPPGVGNLSKLETWHFNNNHLNGSFPRELSLLRNLQSLWMFDNYIEGSIPEFIQNLTNLTDLRIYGMKLQGPIPNFFSNLINLEHLMLGDLEGKHSSFDFIANWANLSTLSLRKCGLTTQLPNTLPTLPKLKYLDLRSNNLSGAIRLLLPYKNSEYIYVGENNFSGPLPAEFVRPSVPLDVSYNPSVNGRLPNKPAGQNWLINYIGTSIDTSGTIDSADLTTLNCLHMKECNRTNLTNPATSFAINCGGKQMDYSDPMPTMFSEDSADLGGAGFHINTTSHWVVSHVGSDPFNKTSGIVNTSNILETNMPELYKTARTSTSSLRYYVVGLANGKYTVQLFFAEIVITDGPGRRLFDIDMQDQNIRKDFDITKEAGGPRKATNITQEVTVDNSMLVIHLYWSGRGTCCIPYKGAYGPLVSAIKVTRSHDRKISPPQAPSSDSARQDEKRRGVIAGIAALCIAAAVISSSVVYLWWKWVSLVKRSMA >KQL11239 pep chromosome:Setaria_italica_v2.0:IV:31938222:31945058:-1 gene:SETIT_006037mg transcript:KQL11239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEIEVLEDTTTSSSSTSLVAAASTAPSAADGAGAEAGAGAAAAEDESLKNDVYTAAAYGDLEKLQRLVEGEGRPVGEPDGGGYHALQWAALNNRVAAAQYILEHGADINAVDHTGQTALHWSAVRGHIQVAELLLKEGAKVDAADLYGYQATHVAAQYGQTAFIYHIVAKWNADPDVPDNDGRSPLHWAAYKGFADSIRLLLFLDAYRGRQDKEGCTPLHWAAIRGNLEACTVLVQVGKKDDLMVKDKTGLTPAQLAADKNHRQVKFFLDNAGRVYDRGCGANTKFGKLSKLGLAPLLWCIIIGMLITYIHSVISVQYATNMTAPFGMFAWSGVFLATAGLVMFYKCSRKDPGFININTRGSQNQRDDEPLLKMELENPALLSGNWSQLCITCKIVRPVRSKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFMFLTLEVLAMIITGSAAIMRIVRDPDSPSSFGAWIHYSAFQHPGVVSFLAMDFFLFFGVAVLTVVQASQIARNITTNEMANSMRYAYLRGPGGRFRNPYDHGIRKNCSDFLLNGYNEDIERLEQTSRTDEEMGMIQMTGAVSQNGDHGNGTDHSCTDSQTNSKSHSKAGSSQCCDHSKRTDRAPLGLGLGLGRNSASRQYVRSLIPL >KQL12040 pep chromosome:Setaria_italica_v2.0:IV:38390243:38391675:-1 gene:SETIT_006705mg transcript:KQL12040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCLAVTVGFRDTEMIGNAYGLSVILVMFATTCLMFLVITTVWNRNVLLAGLFTLGFGSIELTYLSACLAKVPHGGWLPLLLSLVTLLTMSTWHYGTKKKEEYELQNKVCLDRFLSLSSGMGLVRVPGVGFIYSSAVNGVPPMFAHFVTNFPAFHRVLIFVSIQTLTVPKVSPDERFLVGRVGPPANRLFRCVVRYGYKEGRWDHFNFENQLLMKVVEFLQLQPQEDAAEPPSDSGELSVIPASPRTHHQLADAGTASSASYSGGSSCEIDAGVMSRRVRFEEPWSGGEEGDAAAERNGEVKTLMEERESGVSYMIGHTCVEAHESSPAVKKFAINVVYGFLRRNSRRPAAELGVPHTSLIEVGMTYRV >KQL11742 pep chromosome:Setaria_italica_v2.0:IV:36464382:36464906:-1 gene:SETIT_008899mg transcript:KQL11742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGTGRSFAQVAICVSGKNENATSNQKPRQEKRVPRASSAPPDLTSPSWSWTPTPSGNAQGNEGPFSPSGAVVGGVASTPWHSRLAVPP >KQL09406 pep chromosome:Setaria_italica_v2.0:IV:3158869:3160560:-1 gene:SETIT_006338mg transcript:KQL09406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAASSPAPHVAVVAFPFSSHAAVLLAFARALAAAAAPAGATISFLSTASSIAQLRRAAGGAGGMPGNLRFVEVPDGAPPPAAERAAVPVPRQMELFMAAAEAGGVEAGLEAARAAAGGVRVSCVVGDAFVWPAAEAAAAAGAPWVPVWTAASCALLAHLRTDALRADVGDQAVSRADELLVSHPGLASYRVRDLPDGVVSGDFNYVISRLVHRMGQVLPRAAAAAVALNTFPGLDPPDVTAALAELLPNCLPLGPYHLLLPKDTTAAAAAAPAADDPHGCLAWLDRHPARSVVYVSFGTVASPRPDELRELAAGLESSGAPFLWSLREDSWPLLPPGFLDRASAAGSGGLVVPWAPQVAVLRHAAVGAFVTHAGWGSVMEGVASGVPMACRPFFGDQRMNARSVAHVWGFGAAFEGATMTRAGVATAVGEMLRGEEGVRMRARARDLQAAVAAAFAPGGACRRNFDKFVEIVCRA >KQL11771 pep chromosome:Setaria_italica_v2.0:IV:36687894:36689641:-1 gene:SETIT_006390mg transcript:KQL11771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATALRTGAAILRALSAASATRLHAHALKLSVVPSCLHCCSALLKSYAASGRIAAARQLFDEIPRPDIPLWNALVSAYARSGHPRHALAAASAMARDAGARPDGISVTSLLSACAQLRSSVHGRELHGYAVRNVVDLDLPVLSALVNMYGRCRRLKDARTVFTGMGVGMRTAVSWTSMINACSENGRPTEALEVFEEMRNSGVKVDEVTLLAVISACTKLDCMSSLGDWVEQCALENGFLQNTRIANALIHMHGKMGRVRRSCEIFDLMGLRTVVSWTAMIQALAMNGHGVAALVRFAQMLREGFQPDEVIFLSVLNACGHSSLVNEGCQLFKSMVEEYSITPWMEHYGSMVDMLCKAGALGEAFAFVLAMPVKPDHMIWRVLAGACRDHGNASLARKVMDHVIGMEPHHEGNYVLASNLYAADEDWRRVVDIRLDMGARKGTSRGAIAASYVEVNGD >KQL11825 pep chromosome:Setaria_italica_v2.0:IV:37046004:37047183:1 gene:SETIT_008176mg transcript:KQL11825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLKLLNLQVLPAVYGDSRTYFYTKWTNDGFQSTGCLNMQCPGFQPEKGAAIAPGGVIDLVSDPKGAKPNLNLKIIKDGTSGDWLVHAGLNRDPELIGRFPRSLFTGSFADKANGVLFGGVVAGPITNPPPMGSGYLPTDDKNAASISNIQLVDRNGHGWPLTGDLSKFETNRNAYAVSPITNGKFFYGGHEQPKP >KQL11715 pep chromosome:Setaria_italica_v2.0:IV:36281461:36291965:1 gene:SETIT_005696mg transcript:KQL11715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSKGPNHYGQQPPYGGQQPTGFTAPAAAGGADGGRFGARPGQGTAAQYSGPYASVYGAQQVGGLGGKGPASSSLPSLTTRPTSLSESSKFSSAPVGSSLARPNDDYMAVRGYAQKLDQYGTDYTLERRMYGEHSTNLGRRDGLSDLDRRYPDHISAGHQVHDHMEQGSSMRHQQLLKGQLQPGSDTRQADYFAGRSAPIHQSSQEIGAYGRVEAESRNVSILGTAPYGRQQAGSLLEGAPRTNIDSLYGQGSSSTGYGAGLPPGRDYSSGKGLLHPSSDPDYRDSILPRVHPGISMVDERRVDRIGYRRELDIRDEERRRDLMLEREKELEWERERELRDLRDRERERERERERDRERLLRERERERERERERERERERLRERREKERERDRKHAADPRREHTPPRVPGDRRRSSSVRSEKPVRRISPRREAVHRHRSPVKEIKREYICKVLPFRFVDDERDYLSLTKRYPRLAITPEFSKIVLNWAKENLNLSLHTPVSLEHDIHDADDSADEGAISSEKSSSSNTPATIWNAKVLLMSGMSKGAYADITSLRSNEERVVNLNNILKFAVFKKDRSLFAIGGPWNAAIDGGDPSVDCSCLIRTAIRCVKELVQVDLSNCTHWNRFVEVHYNRIGKDGLLSHKEITVLFVPNLSECVPSVDIWKNNWIAYRKSKAEREQLTMKKEKSPVELKEQKQGEVNKGKSIDADLLKEGDVGSSDMKNEKVDADTDRQDKDGEGKVDKVEEPVEKMGGDVEGKTTGGSSVDHAAGDKKPIKKKVIKKVMKVVRKKPAAGASTSADKSSIEDKNVVAESASKTAEVGPNEQKSEDAGKEQEGTGINQQPEAKKTGKKKIIRRVVKRKVSASGSQLTASATPAETSKQEAEIQPEKKIDSSTDAGNSQTKLQEGSKTSMEDISNLKKEEKPEEKETDLRSPNGDKVNHKEAIEQKDTKKDGKKEKTKDDKEKNRDLKMDPKQKPLNEMKEKKKSDDPPKYPGFILQAKRSNNESKLRSTSLSLDGLLDYTAKDIEESVFELSLFAESFSEMLQHRMGCVILSFLEKLYKRHIVKRNQRKRQREEDLKKEEKKSSEKRPKTTQETVTESADNPAGDVKMTKEGDEKMSPDHSASVHDEQLKEGQVKVGADHPMANHDEPAKKGEEKMSTSEAAPNEPEADTKMDEEDPEYEEDPEEIEIYEDDEDMDDAHAEAPIAEQNEDNTKDKEAKPEVAAEDSGNNKTTKEPESENITNIHEKTASVEEKQTTAEKGDSVEGGEKVVSKEVKPAKDEVVDKDLLQAFRYFDQNRAGYIKVDDLKCILHNLGKFLSSRDVKDLVQIALIESNSSRDNRIIYPKLVKIVDL >KQL11714 pep chromosome:Setaria_italica_v2.0:IV:36281461:36291079:1 gene:SETIT_005696mg transcript:KQL11714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSKGPNHYGQQPPYGGQQPTGFTAPAAAGGADGGRFGARPGQGTAAQYSGPYASVYGAQQVGGLGGKGPASSSLPSLTTRPTSLSESSKFSSAPVGSSLARPNDDYMAVRGYAQKLDQYGTDYTLERRMYGEHSTNLGRRDGLSDLDRRYPDHISAGHQVHDHMEQGSSMRHQQLLKGQLQPGSDTRQADYFAGRSAPIHQSSQEIGAYGRVEAESRNVSILGTAPYGRQQAGSLLEGAPRTNIDSLYGQGSSSTGYGAGLPPGRDYSSGKGLLHPSSDPDYRDSILPRVHPGISMVDERRVDRIGYRRELDIRDEERRRDLMLEREKELEWERERELRDLRDRERERERERERDRERLLRERERERERERERERERERLRERREKERERDRKHAADPRREHTPPRVPGDRRRSSSVRSEKPVRRISPRREAVHRHRSPVKEIKREYICKVLPFRFVDDERDYLSLTKRYPRLAITPEFSKIVLNWAKENLNLSLHTPVSLEHDIHDADDSADEGAISSEKSSSSNTPATIWNAKVLLMSGMSKGAYADITSLRSNEERVVNLNNILKFAVFKKDRSLFAIGGPWNAAIDGGDPSVDCSCLIRTAIRCVKELVQVDLSNCTHWNRFVEVHYNRIGKDGLLSHKEITVLFVPNLSECVPSVDIWKNNWIAYRKSKAEREQLTMKKEKSPVELKEQKQGEVNKGKSIDADLLKEGDVGSSDMKNEKVDADTDRQDKDGEGKVDKVEEPVEKMGGDVEGKTTGGSSVDHAAGDKKPIKKKVIKKVMKVVRKKPAAGASTSADKSSIEDKNVVAESASKTAEVGPNEQKSEDAGKEQEGTGINQQPEAKKTGKKKIIRRVVKRKVSASGSQLTASATPAETSKQEAEIQPEKKIDSSTDAGNSQTKLQEGSKTSMEDISNLKKEEKPEEKETDLRSPNGDKVNHKEAIEQKDTKKDGKKEKTKDDKEKNRDLKMDPKQKPLNEMKEKKKSDDPPKYPGFILQAKRSNNESKLRSTSLSLDGLLDYTAKDIEESVFELSLFAESFSEMLQHRMGCVILSFLEKLYKRHIVKRNQRKRQREEDLKKEEKKSSEKRPKTTQETVTESADNPAGDVKMTKEGDEKMSPDHSASVHDEQLKEGQVKVGADHPMANHDEPAKKGEEKMSTSEAAPNEPEADTKMDEEDPEYEEDPEEIEIYEDDEDMDDAHAEAPIAEQNEDNTKDKEAKPEVAAEDSGNNKTTKEPESENITNIHEKTASVEEKQTTAEKGDSVEGGEKVVSKEVKPAKDEVVDKDLLQVIFLY >KQL09968 pep chromosome:Setaria_italica_v2.0:IV:7813476:7813915:-1 gene:SETIT_007775mg transcript:KQL09968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLVIQNSERTTKQLMIICRHSMIRRQAVSLSHTISGNGPAITCLQRASAWPLKIQRSNF >KQL12362 pep chromosome:Setaria_italica_v2.0:IV:40163742:40167969:-1 gene:SETIT_006124mg transcript:KQL12362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEVNPTPNPAPTSDPTDGNKAKSRETDRRRRRRKAKKNKAAAKDADADAKDTDEGASAKENDDPNSNPQVEVEVEYVPEKAELDDPLLDDFKAIFEKFTFKDAAAAAAEDDKGDEGAADAANKSSLDDDDDDDDDDQEAQKKKEGGLSNKKKKLERRMKIAELKQICNRPDVVEVWDATAADPKLLVYLKAYRNTVPVPRHWSQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSHGDLYYEGKEFEVKLREMKPGMLSRELKDALGMPDGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPLGASFGYRPGEWGKPPVDENGRPLYGDVFGVLQQDEPNYDDEPVDRSKHWGDLEEEEEEEEEEEEEEEEPMEDEEMEDGTESVDTISSTPTGVETPDVIDLRKLQRKEPEKQAERPLYQVLEQKEERIAPGTLYGSSHTYVLGTQDKSSAPKRVDLLKNQKSDKVDVTIQPEELEVMDDVLAAKYEEAREEEKLRNQKEDFSDMVAENAHKRKRKQEKEGKSKKKEFKF >KQL10745 pep chromosome:Setaria_italica_v2.0:IV:24668141:24668564:1 gene:SETIT_009020mg transcript:KQL10745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRNIFLCGLGGSPSPTCKKFYFSLNFLCEKL >KQL10830 pep chromosome:Setaria_italica_v2.0:IV:26584096:26586303:1 gene:SETIT_008592mg transcript:KQL10830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHHHHHAAKTTSAGSFIAFAPAPTPPAYRAPRRRGKEAPAPPSRNPRMRKPYTTCWWAVFSFSHQVWALIFQQLGLLQLMPEPSATRFPGWWRKSIAAVPKDVRNGLNSLIILVAWEVWKHCNACVFDNVRPNIQEVLRSVNTEGGLWCLAGASKLQELVLRSLAPGA >KQL10849 pep chromosome:Setaria_italica_v2.0:IV:26904107:26904951:1 gene:SETIT_007480mg transcript:KQL10849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEVQGSRRHQDAGVKVKFIETRFISSDAASFKAVVQRLTGKSSSLAASPPPAPQPRRPHPCRPAFAGAGQQQAAAGWPEQHQALMTVPAPKQEPLAAAAPRLDEMHELCDFADLFYTTATAGARHDDAGSAFPY >KQL11684 pep chromosome:Setaria_italica_v2.0:IV:36088117:36088610:1 gene:SETIT_007720mg transcript:KQL11684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSGTDWILLSVKSSCHNFVCSLLIYRFHRTADILAVTRSFFTRTVPQGIRKIQNDVGNLKSAAVEETVVVG >KQL10428 pep chromosome:Setaria_italica_v2.0:IV:14841124:14842366:-1 gene:SETIT_006873mg transcript:KQL10428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKSCVLLLLPVALLLLAAGSSPAVAQLEIGYYSKTCPNVEAIVRDEMEKIISAAPSLAGPLLRLHFHDCFVRGCDASVLLNSTEGNLAERDAKPNKSLRGFGSVDRVKAKLEAACPNTVSCADVLTLMARDAVVLAKGPSWPVALGRRDGKVSSATEAADELPPANGDIPLLTKIFVSKNLDVKDLVVLSGAHTLGTAHCPSYAGRLYNFSSAYNADPTLDSEYADRLRTRCKSVDDKAMLSEMDPGSYKTFDTSYYRHVAKRRGLFQSDAALLTDAATRDYVQHIATGKFDDVFFKDFSESMIKMGNVGVLTGAEGEIRKKCYIVN >KQL11636 pep chromosome:Setaria_italica_v2.0:IV:35509874:35511040:-1 gene:SETIT_007942mg transcript:KQL11636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSTFVFLVILIHRLCHNSAIDVLSPGSSLSAEQSINVLRSRNGRFICGFYNISPNASTFSIWFSNVSERPVVWSANPLHPVYSWGSNVKLNFDGSMVLKDYTGQTVWTNNVSSSIAGQAQLLDTGNLIVKGKGDIILWQSFNSPTDTLLPTQRINVTTKLVSTNRLLFMMNASGVLDSLGQFQGSDSTSFMAADWGRHIIRRLTLDYDGNLRLYSLNDNGTWSVTWMAFPQLCKVYGVNGRNGIFIDQSDWGEGCRPKINITCDEEKVKFVHLPNTNFYGLDLSAQRSVSLNFCKQICLSDYKCNGFAYFQGFCVYYRMLFETNRCS >KQL10106 pep chromosome:Setaria_italica_v2.0:IV:9578238:9579376:-1 gene:SETIT_008154mg transcript:KQL10106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPARLALAAAFLLVLRPPAPASAARPIVDDKPAPSEATATARWLAAQNTWGVLSTISSDLNGAPFGDGLPGEGHGIPYFYLTTLDPTARDALADERTSFTLSEFPLGTCGKIDPENPTCAKLTLNGKVLTNYSHDKMIVRLQLQLNFL >KQL10326 pep chromosome:Setaria_italica_v2.0:IV:12937718:12938446:-1 gene:SETIT_008336mg transcript:KQL10326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPETTERASSTEELRPKGILERMHKYLLLLAILAATVTYNAGLAPPVASGPTMPTATSPARYAARYNVFFYCNATAFVASLVIIVLLLSSSFSFHGYRVRALQAAMVLDLLGLMGAFTAGSCRKVSTSAYVVALVGAVVTYLAAHLVMHFWVRSNRCPSGRREVVELLNLHRLCRCCFCGGCGRREDAGAGAQVAFRGTGV >KQL10093 pep chromosome:Setaria_italica_v2.0:IV:9516426:9517961:-1 gene:SETIT_006999mg transcript:KQL10093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKNCSPWRLFLLLVVVLLVAAAGSAAAEAAFDKNYEVQWGADGYHLVNRGTEANITMDQSSGAGFRSKSMYGSGFFHMRMKLPSGYTAGVVTTFYLISQPEDGSRDEVDFEFLGDKAGVPITLQTNVFVNGRGDREQRMHLWFDPAADFHDYKILWNPYQLVMFVDDTPIRVLRNLTATVPGYPFPAKQTMLIRASMWDGSGWATDGGRTKVDWSKGPFTAGYRGFDVSGCANGSATPCSSPDLWWNGGEYRNITAEQRAAYERVKKEYMYYDYCADNGRFNNSVPIECNYSLHLRS >KQL10338 pep chromosome:Setaria_italica_v2.0:IV:13097609:13098265:-1 gene:SETIT_007515mg transcript:KQL10338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVKIRRKKGEKRKKQQDYPSAVSQYHRDLAVLIGMEAASCSWLPSSTAQHKQPRQKTSTAARGEKNLTGATSGIVLPPRHSSAASSSACRSSAACGRHAARGSAWAFAASALPGAWPVAAAPGA >KQL12255 pep chromosome:Setaria_italica_v2.0:IV:39687581:39690008:-1 gene:SETIT_007952mg transcript:KQL12255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVAERPAVLLRFLQLGVFLVLGVAALSPAAHGSRVRRYHFLVKKVVVTRLCRQKSILTVNGQFPGPTIRARSGDVVVVNVRNHGDKNITIHWHGVDQPRNPWSDGPEYITQCPIQPGAAFAYRVILFQEEGTLWWHAHTGFDRATVHGAIVILPKHGAAFPFDHPRRVEEMPPIILNEWWRDDDANHLLEEAVRTGRDVKPSDAATINGEPGDMFPCSEAGTFRARVERGGTYLLRVINAGLTNDVFFAVAGHRLTVVATDASYTKPFAADHLMIASGQTVDALLHADDAAGGRYYMAARTFASNTNVVEFNNSTATAILEYADAARGRAAAPVFPATLPAVDDMAAATTYTKRLRSLASEAHPVDVPARADERLLVTMAVNLIPCAPDAACTGPRGDRLAASLNNVSFQNPGAVDILSAYYYRDGSSAGGVYDAGFPDGPPSRFNFTDPGLPEAGLVGPFTERGTRVKVLEHGAAVEVVFQDTAVLGTESHPMHLHGYSFYVVGRGIGNFDDGRDPAGYNLVDPPRQNTVAVPKGGWAAIRFRATNPGVWFMHCHFDRHVVWGMGTVFIVKNGKKPEAKMLRPPPNMPKC >KQL11804 pep chromosome:Setaria_italica_v2.0:IV:36881083:36881762:1 gene:SETIT_008284mg transcript:KQL11804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASCRCSSVVFVGNIPYHASEEELRAACEEIGPVVPRGFAFVEYLDDETALSACRNLHGRALRGRDLRVGLARQQGATTRDDKDDDQPVGAEDATHAASLLAPGARPSGAVTAYLAGLSWRQLRELLGALGREDPGLVERAKRERGCCSTYGAAAAKGRRKRGAGGRERPGASPEPADQQPLKLRRLEDDRPVPGVVRR >KQL10510 pep chromosome:Setaria_italica_v2.0:IV:17104373:17104584:1 gene:SETIT_0085482mg transcript:KQL10510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEIQFNRRVQAQLERRGRGGGELREGARVVWRGGGGQTAADREAYSLGRSSERGRRKRSGNTGEAAEAD >KQL10175 pep chromosome:Setaria_italica_v2.0:IV:10544099:10547332:-1 gene:SETIT_007300mg transcript:KQL10175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPADTGSSKGSSMADVYSGELTPLQRHVAFFDRDKDGVIYPSETYQGFRAIGAGVPLSAFSALFINGLLGPKTIPENDKAPAFKLPIYVKNIQKGKHGSDSGVYDANGRFVPEKFEEIFKKHAHTRPDALTGKELQELLKANREPKDFKGWLGGFTEWKVLYSLCKDEKGFLHKDTVRAVYDGSLFERLEKERKSKESTKKK >KQL09006 pep chromosome:Setaria_italica_v2.0:IV:672162:673986:1 gene:SETIT_008617mg transcript:KQL09006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLVREDAAGERTSKKPCHTSSSSSAAMCDPDVWADLLDSLLHHIIAVFSSFHDLLAFIGTCHSWRAALSSLPPAFSFNFPPLHLRPDMGDPHLHGSSVKHSLLSNCKWQLVDPAKRTSSLHRLAPRYLRGRMCYLGCSYGYFILSNLEQCLLVDVYSGATVRPPRLKFSGNHEIYYGILVAPINSPNSHLLLFSRSSMFQWQVGTSSWLEHTLDCERILQIVFFKGDMFAMDFLDRLHRIRLAHQLTVQEVPAVWEEDTVAGLIKINKPWLVVCGDMLLLVELSACRNAFFGYSATFKVFRLNFSVEPAKWVKVDNLGNNALFISFDRRNPTFSCMNPERWGGKSNCIYCAGASADSDEAWSVVELHQELPCTCTAVGCSYRSKPIPAPSVHSNELHSLWVLPSLVYGDGQ >KQL09270 pep chromosome:Setaria_italica_v2.0:IV:2226258:2229888:1 gene:SETIT_006217mg transcript:KQL09270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVREENNRLKTMLSRIVEDYRSLQLHFHDVLQQRQGKKLADPTTAMPTNIKEPEFVSLSLGTSTSMRKKEGKNTSVYEGEGREDFMDTKEGGLSLGLSDCKVGATNNAKIQPDELTLSPQGSSEDAKDDALEAADQQWPPSKTLKNLRSVGAEAEDDIGPMQQAKKARVSVRARCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAAGCPVRKQVQRCADDMSILITTYEGTHNHPLSASATAMASTTSAAASMLTSGSSTSLRFPAASSAAGLSFGFPSAPHDASKHFFLPNGGAASITSTPSYPTITLDLTSPAAATSQAFSLSNRFSSSFGHGATRYHPTSFSFSNSGPSALSGAAWPAAGGAGYLSYGSPAASLFNGRQNGGELPAVLHQQQQKASASGSSPPAGVLTDTIAKAITSDPGFHTALAAAITSYVGTQGGKSPAGGDGGSQTQGLLKWGQHLGLGPSPSSAGAACSSAAMLARSSPTTAAAAEQKGSNGHRSFLQPSLGLSGSHSASTSPVENREH >KQL09054 pep chromosome:Setaria_italica_v2.0:IV:919904:921868:1 gene:SETIT_006301mg transcript:KQL09054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQSKQASRAMVWVLAPLLLLLVSAGSSPGAHAADHDGDDYMMVAMNSLRPEATCLGHREIPPQNGTWVPLHHPLGPCSPSPSGKPPSLDDLLRQDQLRVDHIHRRLSGDVGDDKTGSNKESADADVSQEYHQPSTQFNVGSTSKNSNPQSIDLAATGGGRPRLPGVIQTLVLDTASDVPWVQCVPCPIPPCHPQQDTFYDPTKSPTYAAFRCSSSPCRQLGPYANGCVGNQCQYKVTYPDGSSSSGTYVSDLLTINPTNSIAKFQFGCSHVEQGTFNNRTAGIMALGGGPESLVSQAASIYGNAFSYCVPPTASHKGFFRLGVPRVAATRYVVTPMLRYKQVPTFYRVLLRDIAVAGGRLNVQPVVFAAGSVLDSRTIISRLPATAYQALRAAFRNAMRMYRMAPPKGSLDTCYDFTGVVGTVRLPKITLVFDKNAVVELDPSGILFNDCLAFIPNRDDSMPGILGNVQQQTIEVLYDVGGGAVGFRRNAC >KQL09814 pep chromosome:Setaria_italica_v2.0:IV:6248117:6248881:-1 gene:SETIT_007569mg transcript:KQL09814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVSGDGFGGRRPLGLLANARKRKEGFVQLFLMAGVFMMSLRSLGQKHRLRDLAEDAADLRRERDVLSHRMRDLQDALRREADADASGALASHLRRIFTAHPAPATAAEDQ >KQL10826 pep chromosome:Setaria_italica_v2.0:IV:26555467:26563596:-1 gene:SETIT_005822mg transcript:KQL10826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHHHLLALLRRAANSTSAASQRADPLLHLNAAPSRNGAAGPFSPRLFSSRARAAAGAAKSLVEDEADLSDWISDLKTDSFHLGVSSGDEGDAPSTRRPAAGASSGGRGGRDSRGSFSRSRFGGGGEFGGDRRGGFERKGRVMSSDFDDDEDDSGFGSSRGRRGRGGRSSGFAMRGGRGNGFDDEAGFRSPRGQRGRGGRGSGMVWRGGRYSDLDSGGDDAGFGSSRGRWGRGGRMSGFSQIRGRESDDSEDDDDDAQRGGRRGGRAESVGPHRGRGRRDLGVLRRGGRYSDLDNDDDGDIGFGSSRGRRGRGGKMSGLSQGRGRESDLDDDEDDDVVGFGDSSGRGLKKFDFGLSEGEDDDDDDDAVGEVGEDDEPSGFEDDPFDDEGAKDNVREIAGNKSGSFELDKEEPAKQKRDTRSTGGGDSYLSQKRFDECPLSPLTLKGVKAANYERMTAVQEATLPIILQGKDVLAKARTGTGKTVAFLLPAIEVVSKLPPVDRDQKRPPISVVVVCPTRELADQAAAEASKLLKFHPSIGVQLVIGGTRMALEQKRMHTNPCQILVATPGRLRDHMENTPGFATRLMGVKVLILDEADRLLDMGFRSDIEKIVAALPKQRQTLLFSATVPDEVRQVCHIAMKRDLEFVNTVEEGSEETHSQVKQMHLVAPLDKQFSILYGLLTDHISENVDYKVIVFCTTAKVTSLVAELLSELKLNVREIHSRKPQSYRTRISKEFKESKGLILVSSDVSARGVDYPNVTLVVQLGVPTDREQYIHRLGRTGRKGNEGAGVLLLAPWEEYFLRSIKDLPLTEATQPLIDQDSKKKVDKALAHVEVKDKESAYQAWLGYYNSNKFIGRDKYQLVSLANEFSRSMGLNNPPAVPKLVLRKMGLSNIPGLRAK >KQL10609 pep chromosome:Setaria_italica_v2.0:IV:20100418:20102316:-1 gene:SETIT_008674mg transcript:KQL10609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTSEVPFEPLDCDDSNYTSWSAHILNTLRTMGPSFEQILVASILSPRFNIDHIGWFKTTQKELECSQLNACIFRIFTFDIEEVRNDAHLIWKLLIATYATPECNNKDQVKEKALEECSTSCEISMHSQVSLLVEKEGQKDQDTQRKKKVKKGKGQKIEASSSLSKELELLKSDHASLVSKHDSLAKDYACITKSLSCVASLETANEELKAQLGKLTSEHMALQVTHKELECFHGRILESYAILDITHEVVITSVKSIQPLTHTYSCSQVEINSFSTNPCCSQEIQSSIEHVFVESCDDLVAQSNDELMKESKIQEEKKFEHIKCFNCSKIRHFASRCPNKLTKKETHSKRERSHPKQRICYKCKEKGHIGVACTIAINGDETDPDRFPKPVRPIKVKEALSHSSKKKEFTPLANVSGSKYRQEKQNNTVSRKDKTHICYTCQQKDQMGKDCSNGNILNSNLVHYNFSNLRNDKVGTCAIRVIDSPRTSIRAIWIPKHLLTNLHGPKKIGTDYT >KQL10479 pep chromosome:Setaria_italica_v2.0:IV:16019628:16025546:-1 gene:SETIT_006064mg transcript:KQL10479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQFPTARLLTRQLPLPHRLPPPLPFAPLPPAPRALRRLPPPLLPRGACRARRPLLRGMASAAPGSPPTAAEAPPPTGTAPRRVALEELPWDHSFVRELPGDPRSDAIPREVLRSCYSKVSPSAKVDNPKLVAWSDSVADLLDLDHKEFERPEFPQFFSGATPLVGSSPYAQCYGGHQFGVWAGQLGDGRAITLGEVVNSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYDGNAKEEPGAIVCRVAPSFLRFGSYQIHATRGKEDLEIVRRLADYTIRHHYPHLENMKKSEGLSFEAAIGDSPAIDLTSNKYAAWAVEVAERTAYLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGKRYCFANQPDVGLWNIAQFTGPLSSAELISQDEANYVMERYGTKFMDEYQSIMTKKLGLTKYNKQLISKLLNNLAVDKVDYTNFFRLLSNVKADPGIPESELLVPLKAALLDIGKERKDAWISWVQTYIEELVESGIPDEERKAAMNSVNPKYILRNYLCQSAIDVAEQGDYEEVRRLLKVMQHPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >KQL10478 pep chromosome:Setaria_italica_v2.0:IV:16019628:16025546:-1 gene:SETIT_006064mg transcript:KQL10478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQFPTARLLTRQLPLPHRLPPPLPFAPLPPAPRALRRLPPPLLPRGACRARRPLLRGMASAAPGSPPTAAEAPPPTGTAPRRVALEELPWDHSFVRELPGDPRSDAIPREVLRSCYSKVSPSAKVDNPKLVAWSDSVADLLDLDHKEFERPEFPQFFSGATPLVGSSPYAQCYGGHQFGVWAGQLGDGRAITLGEVVNSRGERWELQLKGCGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVETGKSVVRDMFYDGNAKEEPGAIVCRVAPSFLRFGSYQIHATRGKEDLEIVRRLADYTIRHHYPHLENMKKSEGLSFEAAIGDSPAIDLTSNKYAAWAVEVAERTAYLIARWQGVGFTHGVLNTDNMSVLGLTIDYGPFGFLDAFDPSYTPNTTDLPGKRYCFANQPDVGLWNIAQFTGPLSSAELISQDEANYVMERCGCSSHLKLIICSTHQLLICVNILILCLAGMGQSSWMSISLS >KQL11855 pep chromosome:Setaria_italica_v2.0:IV:37180435:37183079:-1 gene:SETIT_006960mg transcript:KQL11855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVKRMDEYATVFDFSSEFLLARLQHSNLVRLLGWCIHEKERILVYDFMPKGNLHRFIFDKRNGSLLDWSKRLNIIKGLAAGLVYLHKQSMLWIVHRDLKPQNILLDHDMNPKITDFGSARTLSSDTAEERTSRVVGTSGYKAPEYTSRGIYSLKTDVFSFGVMALVIISGRKNTILEQQGDSVGTLVRDAWQLWNDGRLHELVDPILGDGFEHAEVMRYAQVALLCAQEDPKDRPTMSDVVALLNFESISLLPDPKQPSELVKGGAAGDKLSTCVSQSSRTIDITITSSAPVSTRVRIIVEPET >KQL10375 pep chromosome:Setaria_italica_v2.0:IV:13683382:13685189:-1 gene:SETIT_006830mg transcript:KQL10375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESVQAWFFLLLAFVGGVSAAAFSFRLLGYLAVSLRRPRDLRRRYGAWAVVTGPTSGIGRSVALKLARKGLNLVLLDLSAANLQETSDMIRSRHGVKTRTVVFDLSLVGTPQGDDSMRRLRAAIDGLDVGVLVNNAGVARPSVAYLHEADVEEWVRMLKVNLWALTEVTAAVLPGMVERGRGAVLNMGSASSEAIPSFPLNTIYASTKRYVAKFSRSLYVEYRNKGIDVQCQAPFFVATRLVSSAVRDNWLSAFVPTADAYARAAVRWIGHGPLCTPNVGHQLLWCLAGVLPDAAHDWLRLRGNLRLRALSRKARAAAANCGVKTDSDPAKKLSSEIG >KQL09414 pep chromosome:Setaria_italica_v2.0:IV:3213677:3216166:1 gene:SETIT_008207mg transcript:KQL09414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILTRVFIVHMLPARACRFFARASAAGMMNEGYVWIVTDNVGFSLDVLPQHNIQTMQGVVGFWPYVAKSARNIDFMGRFGARFRAKYHQLPDIRMARPTVYQYWAYDVAWAVATLSEKVKGIRFSNRSFQTPEGVRKSLIDTLLPSPVGPELLSAILETDFDGLAGRFALADRHMQVPVYEVVNVIGDQAKGIGFWSPASGSSRLLNPSTSHGQTKFRIDAGEIHWPGDSKAVPKGWDLPVNGKILKIGVLVDTIPGTNTVSVSGYSIDVFEAAVKKVPYALRYNYIPFDCANSYDKLVTKVYLKEFDAAVGDVAIITNRARDVDFTMPYTESGVSMLVLSKNSDKLSMWIFFQPLTNDLWIATAPNRLFIFVTGLVVWMSEYPTNDEFQGSRLRQFSTVFYFIFSTLTFSHDQIIKRLPSKVLVVIWCFVVLTLVQSYTASLSSLLTAKRLQPSVTDPGQLLRNGDYVGYQNGSFVLAKLTQLKFDEGKIKVFSTPEEYAKALRAGSNLGGVSAIFDEIPYLNTFLVFPRGSPVVPDLSRAILDLREGHEGFAIQQKWFGDATPSLDYGGRGTDSA >KQL11250 pep chromosome:Setaria_italica_v2.0:IV:31998570:32004890:1 gene:SETIT_006341mg transcript:KQL11250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCWGLLVLSLHALSCLVSGVTSSRTSSYVRTAFPSTDIPLESEWFAVPKGYNAPQQVHITQGDYDGKAVIVSWVTQEEPGPSEVFYGKEKQYDQKAEGTTTNYTFYDYKSGYIHHCLVSGLEYNTKYYYKIGNGDSAREFWFETPPAIDPDASYTFGIIGDLGQTFNSLSTLQHYEKTGGQTVLFVGDLSYADRYEHDDGIRWDSWGRLVERSTAYQPWIWNSGNHEIEYRPDLGETSTFKPYLHRYMTPYLASKSSSPMWYAVRRASAHIIVLSSYSPFVKYTPQWWWLKNELKRVDREKTPWLIVLMHSPMYNSNEAHYMEGESMRAAFEKWFVKYKVDLVFAGHVHAYERSYRISNVNYNITSGNRYPVPNKSAPVYITVGDGGNQEGLASRFLDPQPDYSAFREASYGHSILQLKNRTHAVYCWNRNDDGNHVPADTVVFHNQYWTSSTRRRRLKKNHFHLENLEGLISLF >KQL12366 pep chromosome:Setaria_italica_v2.0:IV:40180580:40194527:1 gene:SETIT_005667mg transcript:KQL12366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSTSVPEAPVFHPTEEEFADPLAYVARIRPLAEPYGICRIVPPSSWSPPHALDFASLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLRASSAHRRGRRKGLPKSPALSDGRPVDLCRLFHAVKRFGGYDGACEGKRWGDVVRLVDDKAPMHVSECAKHVLAQLYYEHLYDYEKFTNRSLSQDGNKGKQPGVESDEQPSVSGSQDEVSDTGEMAEEVSGVRSWKRRNAFSKKSDRNSAASAGARKRKRRKPDAAAVDQVCEQCSSGLHGDVMLLCDRCDKGWHLYCLSPPLERVPPGNWYCSDCLNSDRDCFGFVHRRKSCLLETFRRFEERVRKRWFGQRSPTRVQVEKQFWEIVEGKAGELEVMYGSDLDTSIYGSGFPRLSDPVPSSVDQETWRKYCSSPWNLNNFPNLPGSVLRTVRDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANTFEQVMRQALPDLFDAQPDLLFHLVTMLNPSILRANGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGADLYRLYRKAPVLSHEELLYVVAKNGVDAESLPHLKGEIERLFINERRRREELWINGIIKSSPMLPRSNPNFIGSEEDPTCIICRQYLYLSAVSCNCRLSSYVCLEHWKHLCECSPEKHCLLYRHTLAELGDLVCDVSLASLPGDDVKQNPHLLNDVCVPSKKVKDRYISYAQLAEDWVSKSEHILQMPFLDKSYATALEEAEQFLWGDHDMDSVRNMTLRLTEAKNWALGVRKCLSKIEDFLKDSCSEKVNYVEIDELVAMRCIPCCEPSLTTLQAYAEKGKMLIDEVNSALSSRLTVDKLETLYSRVSEFPVKLTQSLTLFREISSAKSWLKKANDCLEQNKLGTIDIDVLNKLKLEIIQLRVLLPEIDIISKLWKDAESWQMRCQLYLQDFPGLEELEGFLLSADGASFSIPELNRLKQHYLDGCSWVNHAKNILGKLHTRSDYHNVAEELTGILKDAEFLGVKVDELPIVEKELKRSLCRKQASEALATVMSMDVVEDVLKEASILTIEEEQPFVDLSRMLKEATAWEEKARLILEQSASLSEYEDHMRRSDDIRIILPSEPRMKAEIDIAKLWIDKCQAYLRPKCNKLALGGFLQVEDIKDLINQAGNLKVILDTSALNSVLNIVEKWEGNSLSLLSNLRTLLHLNHIGSTVDPLKRNLEELQDKLNTEIESGSSLGFEFRVLDELKDSLLVLRWILDSLSLCCMIPLLQDVDRLIEAVVHLPASLSDCSLVTLLMRGLSCLRKALILLPDRETSVKSQLKDVENILAEFKEIDVPYLIITSKLEDAVNKHTSWTEQCNTYFMLPDGQSWAGLLNLRDNGQSVAFDCPEMDKVIVEVKKVEEWLNQCHRTLFLDGNNSSLLSALVKIRQSLDGVCSLLAEDCVKKGLCAICLCDMGDSLASRCVTCQEWYHDSCVENLSVSTQMTSECICPFCSLLQSEDLLENQIHVKMSKGNCPALTALNELLSSATGFCTGIEEINLLEEIVQKARNLNAYLMQILDDSGSYHGEDLTVICKSLLVALKATSASGLYDHHVSCKIESVLSRYLWKKQIHKLLCSGKKALIEEVLHLDKEGSHLEIFGQDFFKLEISKIKETSLQWLAKAEKVACDSGELALDLVYGLIVEGENLSVHFEKELKLLRDRSVLYCICRKPYDNRAMIACDECDEWYHFDCINLIGPPPETFFCPACRPNNGEESISLPRSDHDEDRSSTGGGAPPHTPPASCDAPGRAVDANKCEKRGKSQIRVDLIKILRCHGETDGSWREGKRVPHRTARRRSSFVGLL >KQL12365 pep chromosome:Setaria_italica_v2.0:IV:40180580:40194273:1 gene:SETIT_005667mg transcript:KQL12365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSTSVPEAPVFHPTEEEFADPLAYVARIRPLAEPYGICRIVPPSSWSPPHALDFASLSFPTKRQPIHRLLARPAPADPDTFLLDYRRFLRASSAHRRGRRKGLPKSPALSDGRPVDLCRLFHAVKRFGGYDGACEGKRWGDVVRLVDDKAPMHVSECAKHVLAQLYYEHLYDYEKFTNRSLSQDGNKGKQPGVESDEQPSVSGSQDEVSDTGEMAEEVSGVRSWKRRNAFSKKSDRNSAASAGARKRKRRKPDAAAVDQVCEQCSSGLHGDVMLLCDRCDKGWHLYCLSPPLERVPPGNWYCSDCLNSDRDCFGFVHRRKSCLLETFRRFEERVRKRWFGQRSPTRVQVEKQFWEIVEGKAGELEVMYGSDLDTSIYGSGFPRLSDPVPSSVDQETWRKYCSSPWNLNNFPNLPGSVLRTVRDKIAGVMVPWLYIGMLFSSFCWHVEDHCFYSINYLHWGEPKCWYGVPGAEANTFEQVMRQALPDLFDAQPDLLFHLVTMLNPSILRANGVPVYSVMQEPGNFVITFPRSFHGGFNLGLNCAEAVNFAPADWLPHGGIGADLYRLYRKAPVLSHEELLYVVAKNGVDAESLPHLKGEIERLFINERRRREELWINGIIKSSPMLPRSNPNFIGSEEDPTCIICRQYLYLSAVSCNCRLSSYVCLEHWKHLCECSPEKHCLLYRHTLAELGDLVCDVSLASLPGDDVKQNPHLLNDVCVPSKKVKDRYISYAQLAEDWVSKSEHILQMPFLDKSYATALEEAEQFLWGDHDMDSVRNMTLRLTEAKNWALGVRKCLSKIEDFLKDSCSEKVNYVEIDELVAMRCIPCCEPSLTTLQAYAEKGKMLIDEVNSALSSRLTVDKLETLYSRVSEFPVKLTQSLTLFREISSAKSWLKKANDCLEQNKLGTIDIDVLNKLKLEIIQLRVLLPEIDIISKLWKDAESWQMRCQLYLQDFPGLEELEGFLLSADGASFSIPELNRLKQHYLDGCSWVNHAKNILGKLHTRSDYHNVAEELTGILKDAEFLGVKVDELPIVEKELKRSLCRKQASEALATVMSMDVVEDVLKEASILTIEEEQPFVDLSRMLKEATAWEEKARLILEQSASLSEYEDHMRRSDDIRIILPSEPRMKAEIDIAKLWIDKCQAYLRPKCNKLALGGFLQVEDIKDLINQAGNLKVILDTSALNSVLNIVEKWEGNSLSLLSNLRTLLHLNHIGSTVDPLKRNLEELQDKLNTEIESGSSLGFEFRVLDELKDSLLVLRWILDSLSLCCMIPLLQLILIFQDVDRLIEAVVHLPASLSDCSLVTLLMRGLSCLRKALILLPDRETSVKSQLKDVENILAEFKEIDVPYLIITSKLEDAVNKHTSWTEQCNTYFMLPDGQSWAGLLNLRDNGQSVAFDCPEMDKVIVEVKKVEEWLNQCHRTLFLDGNNSSLLSALVKIRQSLDGVCSLLAEDCVKKGLCAICLCDMGDSLASRCVTCQEWYHDSCVENLSVSTQMTSECICPFCSLLQSEDLLENQIHVKMSKGNCPALTALNELLSSATGFCTGIEEINLLEEIVQKARNLNAYLMQILDDSGSYHGEDLTVICKSLLVALKATSASGLYDHHVSCKIESVLSRYLWKKQIHKLLCSGKKALIEEVLHLDKEGSHLEIFGQDFFKLEISKIKETSLQWLAKAEKVACDSGELALDLVYGLIVEGENLSVHFEKELKLLRDRSVLYCICRKPYDNRAMIACDECDEWYHFDCINLIGPPPETFFCPACRPNNGEESISLPRSDHDEDRSSTGGGAPPHTPPASCDAPGRAVDANKCEKRGKSQIRVDLIKILRCHGETDGSWREGKRVPHRTARRRSSFVGLL >KQL11338 pep chromosome:Setaria_italica_v2.0:IV:32743403:32747962:1 gene:SETIT_005871mg transcript:KQL11338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPPAMDPEAPPPPPGTPPDEEEEKRGGRKGVPWRMTLSLAYQSLGVVYGDLSTSPLYVYKAAFAEDIQHTESNEEILGVLSFVFWTLTLVPLLKYVCIVLRADDNGEGGTFALYSLLCRHARAALLPPGRAAAGDDDQLLDAAAAGAAKKAAAENGNAVTLGGRGGGAAASVRRLLERHKVLQRVLLVLALVGTCMVIGDGVLTPAISVFSAVSGLELSMEKEHHKYVELPIACIILVCLFALQHYGTHRVGFIFAPIVITWLLCISMIGVYNIIHWEPTVYRALSPYYMYKFLRKTQRGGWMSLGGILLCVTGSEAMFADLGHFNQLSIQIAFTCMVYPALILAYMGQAAYLCRHHIMESDYRIGFYVSVPEKIRWPVLAIAILAAVVGSQAVITGTFSMIKQCTSLGCFPRVKIVHTSAKVHGQIYIPEINWILMILCLAVTIGFRDTKHLGNASGLAVITVMLVTTCLMSLVIVLCWHKSIFLAIGFIVFFGTIEALYFSAALIKFREGAWVPIVLAFIFMLIMCIWHYGTIKKYEFDVQSKVSINWLLGLSPNLGIVRVRGIGLIHTELETGIPAIFSHFVTNLPAFHQVLIFMCIKNVPIPHVRPEERFLVGRIGPKEYRIYRCIVRYGYHDFHKDDMEFEKELVCSIAEFIRSGSSKFNGMSDDFDKDEEQRMSVVRSGSIRMLEEDGPVENTVGPSHAREIQSPSLTPAPAPVVGVKKRVRFVLPAASPKPNVGVQEELQELSDAREAGMAFILGNSHVKAKSGSSFLRRFVINFCYDFLRRNSRGPNYAVTIPHASTLEVGMMYYV >KQL11412 pep chromosome:Setaria_italica_v2.0:IV:33413128:33417317:1 gene:SETIT_005897mg transcript:KQL11412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRPPFRPEEYSLKETKPHLGGHAAGDKLTSTYDLVEQMQYLYVRVVKAKELPNMDITGSCDPYVEVKLGNYKGTTRFFEKTSNPEWNQVFAFSKERIQSSVVEIVVKDKDLVKDDFIGRVIFDLNEVPKRVPPDSPLAPQWYRLEDRNGHKVKGELMLAVWMGTQADEAFPDAWHSDAASVPGDGLSSIRSKVYLTPKLWYLRVNVIEAQDLIPNDKTRFPDVYVKAILGNQVLRTRVSPARTLNPMWNEDLLFVAAEPFEEHLILSVEDRVAPGKDEVIGRTVISLQHVPRRLDHRLLTSQWYNLEKHVMIDGEQKKETKFSSRIHLRICLEGGYHVLDESTHYSSDLRPTAKPLWKPSIGVLELGILTAQGLLPMKTKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVYDPCTVITIGVFDNCHLNGGDKANGARDTRIGKVRIRLSTLETDRVYTHSYPLIVLTPAGVKKMGEVQLAVRFTCSSLLNMMHLYSQPLLPKMHYVHPLSVMQVDNLRRQATNIVSTRLGRAEPPLRKEIVEYMLDVDSHMWSMRKSKANFFRIMGVLGPLIAVAKWFDQICHWRNPLTTILIHVLFMILVLYPELILPTIFLYLFLIGVWYYRWRPRQPPHMDTRLSHAETAHPDELDEEFDTFPTSRPPDVVRMRYDRLRSVAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVVFCFIAAIVLYVTPFRVVVFLAGLYVLRHPRFRHKMPSVPLNFFRRLPARTDSML >KQL11197 pep chromosome:Setaria_italica_v2.0:IV:31612303:31615450:1 gene:SETIT_008208mg transcript:KQL11197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALHAFIFLFLICFCYSDDRLTSLTPLYPGDKLISNDGTFALGFFSLTNDSTPRLYLGIWYNNIPERTYVWIANRDDPIVSPSAELAVTNTSDLVLSDSRRRTVWATENLIAGGVGAAGVLQSSGSFVLQLRNGTHVWQSLDYPTDTILPNFKLWTNYKAHVAVRVVAWKGPQDPSAGDFALSGDPSSWGLQIVIWRGRSRSWRSGVWNGAAASGITRFIYSNIVDDGEEIYATYNAAGGPTTHWKLDYTGSVRFRVWNNQSSSWSVLFERPGNGCLHYGACGPFGYCDITGRVQECKCLDGFEPTDGFAGNFSRGCRRKEALACGGGSHFLTLPGMKVPDMFLYIRNRSFEECAAECDRNCSCTAYAYANLSTILTMSASGTSRCLVWMGELLDAEKAGDIGENLYLRLAGSPVNNNKKKKIAMAIKIVLPTMACLLMFTSSVCLITICKSRSKRQINSTRNIFEDITAATNCFHETNMLGQGGFGKVYKGTLEDGKEVAVKRLSKGSEQGIEQLRNEVILIASLQHKNLVRLLGCCIHEDEKLLIYEYLPNKSLDKFLFDPAMKSMLDWSKRFEIIKGVARGILYLHQDSRMMIIHRDLKASNILLDAEMNPKISDFGIARIFGSNQQQASTRRVVGTYGYMSPEYAMEGIFSVKSDTYSFGILLLEIVSGLKISSPRHLLMDYPSLTAYAWNLWKDGTARDFVDTWVLESCSLDEALQCIHIGLLCVQDSPTDRPLMSSVVSMLNNVAMPRPVPRQPLFFAQRYYEALEARGDLEDSVNNASLSILEGR >KQL11615 pep chromosome:Setaria_italica_v2.0:IV:35342128:35344395:1 gene:SETIT_007992mg transcript:KQL11615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVMETGGYVSLEETKEYKCIVDQTFTREEDFYEFYNDYAYHKGFSIRKGRVRYKTGTQEVIWRRLMCSCEGYRSVKYFERMDQKRQPRALTRCGCTARLDVEWSESIGIWYVKDFVDVHTHALAKPEHVFILQSHRGLNDPQKAEAVELGLGGLRPFQIMDVMEASHGGPGETGFLSQDLYNFFSRYKKGKVEGSDVEFVLNHMLVFGCGILSDETILSYVWLLEALLEAMHQKHPKSLITDGDASMMTAIEIVMPDADHRLWSWHIEQNMLKRFRGSKLKDFRKFIYHAMEEGEFDRLWREFRGTHNIKEDNLWVNRIGRHFLGMQNNQRSESLNSRLHNHLDRKMSLVDLMEHYEFCLSRIRRNEIELDAKALCSIPFTKISADVLEKSAAQIFTPTIFQKVSFQIRKSSNWSVTEVTLQNGCLRYEVSLQGNNKRWFHVTCTFGLEREGIPCAHTFCVMKYSRIESIPSCCVFVRWTMNTKSAFPTEMRTNTHVWTKQMDRYHSLRSKGNRALFKVSRSQGETERVMKLLDDILKEDTQEQGMEEETTFGPLPAHFSAVNQPGGTKVLDPVKIVSKGALRSNKRWKASHEFWGPV >KQL11350 pep chromosome:Setaria_italica_v2.0:IV:32828766:32829971:-1 gene:SETIT_008178mg transcript:KQL11350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTEGPRKRQQVASASASASPYIPDDLVRRILLRLPSRSVLRCRAVCKGLAPDCLRPEFAPEHHRLQPALLLVSFLRGAASSSKAVADVDAGTDPMDRCIEAFDLRADEFRPTVRFADTGGRFHIHGSCDGLLLLSFEDRFYVCNPATQQWTRLPAPLRSSLFAGFYRHEPTGEYRALFYQGNWTSWRPWSTDYYILVPDSRKGRGIGLPSEKVGYEFRGAPLGPPVLAHGSLHWMPRQKQGCAIMVFDTVTEVITFVDPPVIREHMSLLEVDGELAMFSCGGRVTMVELWVLRDYANDVWVCEHRVRLPEVEVSTFVFDESWRVFFMSEEGVVVVTPEQKLLHYDMNGTLRESFPCNGRNLKITPYTLKESLVRHAFFERQDNGGDCDDEPPPPFFSGL >KQL12039 pep chromosome:Setaria_italica_v2.0:IV:38386337:38387314:1 gene:SETIT_007494mg transcript:KQL12039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLLRRLSRVAAADACAAAASAGAYQPLSKAAASVSGARLLGGGARVPEGHVPVCVGEEGGPVERYAVRAELLGRPAFAALLRRAAQEYGYGHPGALRIPCAVADFRDLLIQLSSSTAADYADDEAAVYYY >KQL10070 pep chromosome:Setaria_italica_v2.0:IV:9120888:9122771:1 gene:SETIT_008397mg transcript:KQL10070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFRHQPQGSQRKKKRGPTKLKRPPNGQQIPIKPVGEEQFEFVNNSSDDEMEIGGQIIAILKLEYPSIVKDGPKKTFYAKTWDHYSITRDEHGMTATDCFREEFWSIYSMSEEDRLHAEEVFERFATKQCKNMMYELRVSAVKAYYDNFLDQRINDKVARKKLLRETQYLKVWPEWISDEAWRKICAYWCSPGFLKERLLAQGSRMQPDFAQNRGGSRPHSQTRRYLAKKYGPEAATDINTYCCMKSGVKIFDSNGKSGPIQQKRPKGDDYFATLEALHPNDFEQHKNDGKLDADALIPVPEEMVAILQEANTCAPS >KQL09055 pep chromosome:Setaria_italica_v2.0:IV:942509:944404:1 gene:SETIT_006395mg transcript:KQL09055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVAMNSLKPEATCLGHREIPPQNGTWVPLHHPLGPCSPSPSGKPPSLDDLLRQDQLRVDHIHRRLSGDVGDDKTGSNKTEEPVVIVSQEYHQLASNFSVGSNVSRAPPPAPTQDYSIDLAAIGGGRQRLPGVIQTMVLDTASDVPWVQCVPCPIPPCHPQTNTFYDPTKSPTYAAFRCSSSTCRQLGPYANGCVGNQCQYKVTYPDGSSSSGTYVSDLLTINPTNSIAKFQFGCSHVEQGTFNNRTAGIMALGGGPESLVSQAASIYGNAFSYCAPPTASHKGFFRLGVPRVAATRYVVTPMLRYKQVPTFYRVLLRDIAVAGGRLNVQPVVFAAGSVLDSRTIISRLPATAYQALRAAFRNAMRMYRMAPPKGSLDTCYDFTGVVGTVRLPKITLVFDKNAVVELDPSGILFNDCLAFIPNRDDSMPGILGNVQQQTIEVLYDVGGGAVGFRRNAC >KQL11126 pep chromosome:Setaria_italica_v2.0:IV:30967931:30968389:1 gene:SETIT_009003mg transcript:KQL11126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHLKNWVLNVPFSDQLQSMARMTGVCWSRAQCHDCRVL >KQL09664 pep chromosome:Setaria_italica_v2.0:IV:5056061:5057404:1 gene:SETIT_008678mg transcript:KQL09664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PFSLAIQLNQMKELRDRAHQLGRQQMVWQKRSKHSPCQLPPLDIFGHALYTIDIGQNDFTSNLGSLGVETVKQSLPSVVNQISWTIQDLYNIGARNFMVFNMAPIGCYPAFLTELPHSSNDLDEFGCMTTYNSGVVYYNELLNNSMAEVRKKLHDASIVYVDKHTVTLELFRHPDAHGLEYGTKACCGYGGGAYNFNQNVYCGNSKTVNGQTVTATACGDPQNYVSWDGIHATEAANKIIASAVISGSYSYPPSKLCSP >KQL11229 pep chromosome:Setaria_italica_v2.0:IV:31909419:31909873:1 gene:SETIT_008869mg transcript:KQL11229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISPYYSHLTLLSFDIFCHLYIFVSRQVYMKT >KQL09624 pep chromosome:Setaria_italica_v2.0:IV:4702347:4702593:-1 gene:SETIT_008786mg transcript:KQL09624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVLYNPTIGANIISSECTLHLLGDEPLVPTDKTFWTSSGEILERVGVL >KQL12186 pep chromosome:Setaria_italica_v2.0:IV:39318988:39319782:1 gene:SETIT_008555mg transcript:KQL12186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSPPPAAATEEAQATQPDPLHPRDPAAMRPPSPPRAPLAFPTLDSLAAFLRPRLPPQALSSWGAVPGTKTLLNLFLELSHGDCTLIAPTTPPPSPQVVRAVHVATVRIRNRRGARLVEARQLLSDGTVRSRGPRPLSEKMRPGESPEAAAARAVREELGERARVRIGVAREEEAAPRVEERESVSYPGLPARYVLHAVDAEVVGGVPEDGAFETEETGEDEGDAGAGAITVKRHYWEWVDDDGDGDGDDEHKEVAAAGARAH >KQL11164 pep chromosome:Setaria_italica_v2.0:IV:31269883:31270595:-1 gene:SETIT_007659mg transcript:KQL11164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDAGGRKQEAAPAPVASKAQREAAAAGVSVTEWLQHVKASFLGLVRKVTARSEQEAAEADMRAAKAQVEATDEAEARKKQLADH >KQL09858 pep chromosome:Setaria_italica_v2.0:IV:6731779:6742427:1 gene:SETIT_005699mg transcript:KQL09858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASRRGARDPTRGKTAADQVYIPPREELLRPRASSHGQVGPTRQRLRSPASGARSGSGGWEAPFSRCEHDSQAQPTTGKRQPYFREFTAEDFEELVTKHQFCSSKIDPCFLIPLVGGGEELVENSDPSHASVADESSDLHSNLGKSNEELESNKVQDMHECSDYVGANVELITTDESNKENCDQDMQEVIVQQEEQPVEIVLDQGRTDSIALPRCRENSEPSLSWLLGARARFVLTSERPNKKRKLLGADAGLEQLVLVPSSEGETDSICDVCCLGESDLVSNRMLHCKSCEISVHQKCYGVHVVPDRFWFCLWCSRNMEMPRRLTRSDTCRTVLTPCVLCPKEKGALKPVKRDPGPSADGGNQEFVHLFCSLWRPEFHVEDMESMEPVVNIVDTQENQSKLVCSLCKVMHGACVRCSHGACRTSFHPICARESKLQIEIWGKFGHDNVEMRAFCAKHSAIRGISSISELDSTQVELHDGKLVSRREQQGRFTRGNKDKFVNDTITSSTCSLNKTQKAEMATSPSMVGSAENQEARSADMVVDQPTADGNLMSNSGDISRGLTKLVDQGKDSVGDLESEPGLHSESLEAALKPETTAFSPGLNLKMIKWLPNSVHAPSVQVKSTKEGSLAPQGTLLGSESKNSTVSELQSRQEDGTLSIDPCPEKDNANKGDLVQNGFCSCPDPHVNKVSGRHLRNMDGYRCYIHPFIEKRVQDLWGNNLEQTIQENDYHEELCCTPIDEDLAKLGQLDDTAAVDQVCKAKLSYILEHSPDDEIEGEIVYLQSRLLNGVVPLKQRYEDLILKVVQNISYELDSVSKRKWDHIIVNQFLRDLREAKKRGNSERRHKEALAILAATAPSVPPTSRNATVRKDAGSKVMSARQENMPRASAGSSRISQSSSSPQAKDLSFSTNSKVSEDTNFGIFDLAKFSKKSALPCDICMRCDTVLNRIFVCSSCKAAVHLDCYQTMKYPTGPWKCELCQEMPSDSVISGNQSDCNGVKACLVQCGLCHGTSGAFRRTLKGQWVHAFCAEWLLETTFRRGQHNAVDGMERLHKDKDTCSICHRYVGACLKCSTADCQITFHPSCARDAGLYMNTKRIGNTLQHKAYCGRHSIEQRKAYRQQYGPEEVKSMKQMRVELELLRFLCERIVKREKVKKDLVVCAHDILAARRITSVSSMWTPYYASGPGASSESATTSVNNKSYRSDDVTVRSDDVTVDSTVTKKHTVRFSLHNRDTDRNTADSSTSTISYKRKLDDGESLAFKSLPETPVTALESEDVEKKPTDKKRRETYQKELVLSSGQALLKNKSPPERYVYTRRSSLSKRKQCGQHVEGPGG >KQL09857 pep chromosome:Setaria_italica_v2.0:IV:6731779:6740526:1 gene:SETIT_005699mg transcript:KQL09857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHASRRGARDPTRGKTAADQVYIPPREELLRPRASSHGQVGPTRQRLRSPASGARSGSGGWEAPFSRCEHDSQAQPTTGKRQPYFREFTAEDFEELVTKHQFCSSKIDPCFLIPLVGGGEELVENSDPSHASVADESSDLHSNLGKSNEELESNKVQDMHECSDYVGANVELITTDESNKENCDQDMQEVIVQQEEQPVEIVLDQGRTDSIALPRCRENSEPSLSWLLGARARFVLTSERPNKKRKLLGADAGLEQLVLVPSSEGETDSICDVCCLGESDLVSNRMLHCKSCEISVHQKCYGVHVVPDRFWFCLWCSRNMEMPRRLTRSDTCRTVLTPCVLCPKEKGALKPVKRDPGPSADGGNQEFVHLFCSLWRPEFHVEDMESMEPVVNIVDTQENQSKLVCSLCKVMHGACVRCSHGACRTSFHPICARESKLQIEIWGKFGHDNVEMRAFCAKHSAIRGISSISELDSTQVELHDGKLVSRREQQGRFTRGNKDKFVNDTITSSTCSLNKTQKAEMATSPSMVGSAENQEARSADMVVDQPTADGNLMSNSGDISRGLTKLVDQGKDSVGDLESEPGLHSESLEAALKPETTAFSPGLNLKMIKWLPNSVHAPSVQVKSTKEGSLAPQGTLLGSESKNSTVSELQSRQEDGTLSIDPCPEKDNANKGDLVQNGFCSCPDPHVNKVSGRHLRNMDGYRCYIHPFIEKRVQDLWGNNLEQTIQENDYHEELCCTPIDEDLAKLGQLDDTAAVDQVCKAKLSYILEHSPDDEIEGEIVYLQSRLLNGVVPLKQRYEDLILKVVQNISYELDSVSKRKWDHIIVNQFLRDLREAKKRGNSERRHKEALAILAATAPSVPPTSRNATVRKDAGSKVMSARQENMPRASAGSSRISQSSSSPQAKDLSFSTNSKVSEDTNFGIFDLAKFSKKSALPCDICMRCDTVLNRIFVCSSCKAAVHLDCYQTMKYPTGPWKCELCQEMPSDSVISGNQSDCNGVKACLVQCGLCHGTSGAFRRTLKGQWVHAFCAEWLLETTFRRGQHNAVDGMERLHKDKDTCSICHRYVGACLKCSTADCQITFHPSCARDAGLYMNTKRIGNTLQHKAYCGRHSIEQRKAYRQQYGPEEVKSMKQMRVELELLRFLCERIVKREKVKVQ >KQL11018 pep chromosome:Setaria_italica_v2.0:IV:29708359:29708997:-1 gene:SETIT_007656mg transcript:KQL11018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVVKYSWTFLNPGRRFACCPKDEKKQYGYMTWVDPEWDDRAFGVLVKLMKKNVQAEEDAKNWEEELAKANRELREIRNEIKTVW >KQL11061 pep chromosome:Setaria_italica_v2.0:IV:30422845:30426217:1 gene:SETIT_007087mg transcript:KQL11061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEQGYQEEGDDYDLVLQKQEWIKTQDMLKSKIILEDDFVWSLPSVGSSSGEDARGKLKYIGGTDISFLKEDPSTACAAVVILDAVTLEVVHEEFVVVQLQVPYIPGFLAFREAPILLGLLEKVKNNAHDFYPQVLMVDGNGLLHPRGFGLACHLGVLADLPTIGVGKNLHHVDGLNQSEVRRQLEGKENCDKEFISLTGRSGTTWGVAMRSCPGSLKPVYISVGHRISLDSAAAIVKLCCKYRVPEPTRQADIRSKVFLQKLQRPEQ >KQL10281 pep chromosome:Setaria_italica_v2.0:IV:12472512:12475968:-1 gene:SETIT_008798mg transcript:KQL10281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGVIGRLLGVAGGDAQAALFPWKQVALCLCVVAAWSVVRALEWAWWRPRRLGRALRSQGLRGSIGRPSKTSFTWFGPVPRVTIAEPELVREVLSNKFGHFGRLQRMLHHGVSSHEGEKWAKHRRIINPAFHVEKLKRMLPAFAACCSDLVKRWEGLVADGKLPCEVDVWPEMQNLTGDVIARAAFGSSYLEGRRIFQLQAEQIHLVIATEVHGILKGVVAKEEDALRTGRATSDDLLGLLLESNMEHCRGGDGKAGITTDDVIGECKLFYFAGMETTSWQDRAREEVLRVFGSGGTPDYDGLSRLRIVTMVLYEVLRLYTTLPALQQQTNKPVELGGVRYPVGVMLVLPLLCIHHDRDVWGPDASEFRPERFAEGIAKASGDVDVPAFFPFGWGPRTCAKMGLAMILQRFKFELSPAYTHAPFPHGLLQPEHTAQVMLRRLP >KQL11555 pep chromosome:Setaria_italica_v2.0:IV:34775332:34775657:1 gene:SETIT_007745mg transcript:KQL11555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGPQVSNEINAASHLQQKKICLYHHLTAKQTYTSAKTRDKASSWKTSTRSMFRIHHQAKQIKPAAI >KQL11222 pep chromosome:Setaria_italica_v2.0:IV:31839247:31841234:1 gene:SETIT_006473mg transcript:KQL11222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSVHKPSQNICADTALPAIQSPWWPTTPHLLTRSQAPRPDICCRLPPLLIPCHRSTIPSTPFHPSPVLHNPRRQETPAAMATTASTLLKSSFAGARLPSAPRAPSSVVVAAPRAGPICASISSTPSNPPYDLTSFRFSPIKESIVSREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKDPSISIAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLDELGIAYDEAEDYVVIKHAALFTSTVMSRLLARPNVKLFNAVAVEDLIVKQGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKIVVSSCGHDGPFGATGVKRLQDIGMISAVPGMKALDMNTAEDEIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGRPNAIDGTAQTVPQVWREEFVIASKDDEVVDA >KQL10227 pep chromosome:Setaria_italica_v2.0:IV:11357967:11359530:-1 gene:SETIT_008809mg transcript:KQL10227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVTNNFVVTVVVVLGTILLLKAAQLGPAQILAWLLSVRHAHLFLVTFLPIGLGTLYLMHRPRSVYLLDYACFRHTFDCRTPMATFVEHAHQMASFDDKSIHFMKRMLENSGLGDQTYLPPNTHYIPPTYTLSDARDEAEQVIFSSIDDLFAKTGISPMAIDILVTNCTVFNPVPSLVDIIVNKYKLRGDIHNIHISGMGCSAGLISVEVAKNLLQVAPQGAHALVVSTEMVSYQFYTGRNRAMLLPNVLFRMGGAAVLLSTSRSKSRFKLMHTVRTITAARDKSYQCAFLQEDDTGEIGVNLSKDLVAIAGETLQANITAIGSLVLPFSEQLLFVLSLIARKLLNRKTKPYVPDFRMAFEHFCIHAGGRAVIDAVQRSLCLSDEDVEPSRMTLHRFGNTSSSSVWYELGYIDAKSQMRKGDRVWMIGFGSGFKCNSVVWQCIQPASTLDGPWADCIHGYPVTVGITKVASSLP >KQL11813 pep chromosome:Setaria_italica_v2.0:IV:36952599:36953080:-1 gene:SETIT_008953mg transcript:KQL11813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWPGSCGERTSGRAGEVLAACVGRVGGWGTTRKLGVRACVRVLVY >KQL12087 pep chromosome:Setaria_italica_v2.0:IV:38677411:38678299:1 gene:SETIT_007676mg transcript:KQL12087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEKNGRRPLQTDGFFTERSNSRVTTSGIREPEIFTMTASAKCSMLAAMEVNPLPQILGGKVPLKGMMEGFRRWKALCRK >KQL10608 pep chromosome:Setaria_italica_v2.0:IV:20093861:20096241:1 gene:SETIT_006326mg transcript:KQL10608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTRSSARGEPRRLGNVALLALMLCSVVALSLIRGRFAPIVTSAGDAIKSEDTSAAVSKEAVNIDAGDGADEAAAEAAAAEEKDKEAQPKPAGASGGAVKPVCYETSRRSDTCEAAGDVRLMGSSQTVYVDTLDREWKTKPYCRKHDNFALSHVKEWTLKPLPSGAAPQCTVNSSATAFVLSTGGFTGNPFHDYTDVLIPAFITAHPFRGEVQFLVSSYKSWWVNRYIQIFQQMSRYDVVDIDADDEVRCYRNVVVGPTFHRELGVDASKTPSGYSTANFRKMLRDAFGLERATATPSGDQWDIRRRPRLLIISRRPARGRAFMNERAMADMAASLGFDVRIGEPDTSTDTSKFARLVNSCDVMVGVHGAGLTNMVFLPAGAVVVQVVPYGRLEWLARNTFAEPSAGMEVHYLEYAVQLDETTLSEQYPSDHPVLRDPMAIHKQGWNALKTTYLDKQNVRPHLGRLKKTFLQALKMLPHGRDD >KQL09335 pep chromosome:Setaria_italica_v2.0:IV:2596869:2597243:1 gene:SETIT_008698mg transcript:KQL09335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKAKCECCGFTEECTPAYIAAVRAEYLGRWVCGLCAEAVGDEIRREAGTLTTAEALDRHVAFARAPRARPRKASASDDLVAAVARLLRRCLDSPPASPAAPAPPHGRKVAAGPGCPDGADA >KQL10709 pep chromosome:Setaria_italica_v2.0:IV:23921792:23922605:-1 gene:SETIT_008070mg transcript:KQL10709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTRSPHLHLLLVGVLAAISTTARAQVVVLPNCNFQEVDLVPCMAAGSAAAAAGAGGGNISDACCSSLNKALDAGHRCVCSLLLSNGVFASLVTNLLTLPLVLPLPGCFLYAPSLAACQATLQQQTSAPPAAASSAAKTGGGAAGAALPSSTQAAAAAPPVNKRAGREQADDGRARGSLGGDGSSEGPSPAGSVSRSDACRRPSSDEGRACILTLAVAVAVFWFNRMTDS >KQL10906 pep chromosome:Setaria_italica_v2.0:IV:27959575:27961798:-1 gene:SETIT_007866mg transcript:KQL10906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVELGINSTTPPAPASASSSPSTSAPLVPHQPPSPPLQPNPNPNPKQPKLGEGDRTNEQNAKRQHGKGNFEQEGSLHSANKEVFDTEIAENSGRREYQLKKEEERKVAEVKINLGICGKCGKTGHKAEDCFKPVIYPRCKKEGHLPRVCPEIMPWECIAPFCGFAALGQGFHIIQDDDYGDTAKDTANFALITITQGEATTRQVEGEFKAKAGSDNKFQMKLPSASKVEDLSFFTGMQMRIVPRVSFRVEYWNPYASAKAELSTAWFRIFRIPTERRTEKRVCYVGSLVGIPLEVDKTNLKSWEYVRVKIGCKNVIKVLAMSAVGTSNQQQGKQHAGGRESNEQIDIESDGKTTEPDTRKNKLDMPADPKTNCCHEDKERESSEEEIIEAKFAKSAGKETEGSGSLNKGESSQKTYL >KQL11091 pep chromosome:Setaria_italica_v2.0:IV:30649814:30650323:1 gene:SETIT_008561mg transcript:KQL11091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSIIEMTGPKRGIIMLSDVLIEFDINMIDGLMHLDPRISTRPFTIRFNSNCGTVDMCLALVEDRVEAIIEVVVSEVQSVFSSFITIREVRKEFQLFNGMVDELGVKRFAVAVPIDTMMHLKFKIGEKGSDGDVLHYCSFSANLHGSPSRQIKLEVASTSVKVTWSPPLY >KQL10095 pep chromosome:Setaria_italica_v2.0:IV:9528117:9529145:-1 gene:SETIT_007872mg transcript:KQL10095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHRLHTSALFLLLLVIDAAMVTEAKLVPNYYRKTCPRAERIVTDVVSQKQLSHPTTAAGVLRVFFHDCFVSGCDASVLVSPTAFARSERDAAQSQSLPGDAFEAVARAKTALELACPGVVSCADVLAIAARDLVTMTGGPFYPLRLGRKDALASSSAAPDAELPLANSTVPRLVAMFAAKGFTVQELVALSGAHTLGFAHCAEFADRIFRRGNKGGGAAPAPHDPAMNPAYAKGLHDACRNYQRDPTIAVFNDVMTPGKFDNMYFVNLQRGLGLLSTDQELWTDPRTRPFVQRYAANQTAFFADFARAIVKLGVMGVKTGRDGEVRRRCDMFNGNPVPGG >KQL09333 pep chromosome:Setaria_italica_v2.0:IV:2579901:2583251:-1 gene:SETIT_008525mg transcript:KQL09333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGEFDYSGTQACKALAEEGYEVVLVNSNPATIMADPGLANRTYIGPMTPPLVERIIAAERPDALLPTMGGQTALNLAESGAFDRLGVRLIGASLSAIRAAEDRQLFKQSMDRIGLKTPPSGIRTTLEECLAIAEDIGEFPLIVRPAFTLGGTGGGIAYNRAEFEDICRAGLAASHARQVLIEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSIPRFAFEKFPGSEPILTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCGPIKELDWDWEKIKYSLRVPNPDRIHAIYAAFKKGMGVEGIHEISFIDKSFLTELKDLVDVEQFLMSRSLDQLSKDDLYQVKRRGFSDKQIAFATSSSESDVILRRMALGVTPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNRKVLILGGGPNRIGQGIEFDYCCCHASFALREAGFETIMMNSNPETVSTDYDTSDRLYFEPLTIEDVSNVLDLERPDGIIVQFGGQTPLKLAVPIQHYIEENKMVSASGIGNVKIWGTSPDSIDAAEDRKRFNAILEELGIEQPKGGIARSEPDALAIASEIGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAVEIDVDALADSAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTVSAQCLEVIRLWTIS >KQL11188 pep chromosome:Setaria_italica_v2.0:IV:31450677:31451201:1 gene:SETIT_009026mg transcript:KQL11188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAESTPALCLIRWRWSLQWERDPLTLRSLSLSHRHVGSTAFGPACQ >KQL11504 pep chromosome:Setaria_italica_v2.0:IV:34261333:34265533:-1 gene:SETIT_008583mg transcript:KQL11504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQTHTSTHIPFPSSPFSFPSPLRSIPFPETNPNHPTPPPPPPPRRRRHDRRRGPRGGPPRRRLPTPSPRRSDRGGGGGGEGGGGRSGRARAGAEGGARDHGARAARAPRDGGRAGVADPGHVRLLRRHGARAARLRADRGGPRRAAGARRVQVRPRAAPRLRPRPRRPRRLRRLQALHGRQGARALPHLPGHRRRAQRLHPPRGALGRAHQGCLLFAGIEINDEELARFVEHVDKDNNGIITFEEWRDFLLLYPNEVTIENIYHHWERVCLVDIGEQAAIPEGISKHVNASKYLIAGGIAGAASRTATAPLDRLKVIMQVQTTRTTVMHAIKDIWAKGGMLGFFRGNGLNVVKVAPESAIRFYAYEMLKEYIMKSKGENKSEVGASERLIAGGLAGAVAQTAIYPIDLVKTRLQTYSCEGGKVPRIGALSRDILMHEGPRAFYRGLVPSLLGIVPYAGIDLAVYETLKDMSKTYILKDSDPGPLVQLGCGTVSGALGATCVYPLQVIRTRLQAQQANSEAAYRGMSDVFWRTLQHEGVSGFYKGIIPNLLKVVPAASITYLVYEAMKKNLSLD >KQL10290 pep chromosome:Setaria_italica_v2.0:IV:12501670:12503561:-1 gene:SETIT_006344mg transcript:KQL10290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAPHVLLICYPGQGHINPMLRLAKRIAAKGLLVTCSTFSFVRNKLSAASGVSAGGDGVPVGRGRIRFDFLDDHFDGTELDLDDFMRHLETAGRLALADLLRRQAEAGRPVACVVGNPFLPWATDVAADAGITTAVLWVQSCAVFSIYYHFVHGLAEFPREDDLDARFALPGLPGLSLADVPSFLLPSHPYRILGDTIQDQFRNMGRASWVFVNSFAELERDVVAALPSVTPRPPELIPVGPLVELGGPDDDDAVAVRGDLIKAADDCVAWLDAQAPRSVVYASLGSIVMLSAEAVAEMAHGLASTGRPFLWVVRPDTQPLLPEGFLDAAAGGRGMVVPWSPQDRVLEHPAVACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDAKFLVDELRMGVHLRAPLRREGVREAVDAVTTGPEADAMLANAKSWSAAARDAVAPGGSSDRHVQAFVNEVTGRACGGQAKASAVY >KQL11601 pep chromosome:Setaria_italica_v2.0:IV:35210235:35210994:1 gene:SETIT_007498mg transcript:KQL11601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLAGNRSGPKAVETQLAWCKMALVLLRKFNNGGALRTFKMALVNSCSTTPTVPMATSARDPPAVTTGKPPAAQDTDAPAAHGCDAPAAPAVKPPVAKTQGQGRSHAPKVSSVDHERKPLHDPRLPLRDV >KQL10261 pep chromosome:Setaria_italica_v2.0:IV:12100305:12101766:1 gene:SETIT_008748mg transcript:KQL10261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPLSLPPRWLWIESARVWDPNATTHLARASEVFEGQAKLLRKKTVWVKEIGIPKVGRKEPHVMELAYLVGDPKEVNTESLTWIEIWVKVSCKDPKHINGTSEVYINKQGYRITWVVADKGPTKPTKAPDDKKEDDGDIINEEEPDSQDTL >KQL09997 pep chromosome:Setaria_italica_v2.0:IV:8120301:8130342:-1 gene:SETIT_005784mg transcript:KQL09997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRCLLRPPLWREPAANPAAASSGGGAALARRLGAPPRSFGENQRLCRFYSSKEGVGSAEAEAVGTGGGGGGGGGGSGSSSSSREQEHARLGERDQQEWLSGERFLTGCKRRESPFLTKRDRFRKEFLRRAVPWDKTGVSWNSFPYYVDQHAKQLLSECVASHLRHKDLALEYGSGLQSSSGRILLQSLPGTELYRERFVRALANELRVPILVLDSSVLAPYDCGEDCSESEEEDNHAESEDEGSESEVDGEGDEESGESDDDDSIKSVADLKKLVPCTLEEFAKRVVGIQESSSAAESSGTAESSEEEKRSLQKGDRVKYIGASVVVEADTRIILGQVPTQDGSKNAYTFISGRTLSNGQRGEVYEINGDQVAVIFDPPEEKVADGNKDEANKEQNAKPAVYWVDTQDIEHDHDTQAEDWHIAIEALCEVLPSLEPAIVYFPDSSQWLSRAVPRSNRREFVEKVEEMLDQLTGPLVLICGQNIAEASTEASKDKEPKTLLFHNMARLSHLTSSLKRLVGGLKGRKPSRSSDITKLFKNRLFIPLPKDDEQMRVLNNQIEEDKKIIISRHNLVELHKVLEEHGLSCEDLLHIKSEGIALTKQRAEMVVGWARSHYLSSAVNPSIKGDRLTIPRESLDLAIGRLKEQEASNKKPSESMKMLAKDEYERNFISAVVPPNEIGVKFDDIGALEDVKKTLDELVTLPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASRLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKENQRILILGATNRPFDLDDAVIRRLPRRILVDLPDAKNRMKILKILLAKENLESDFRFDKLANVTEGYSGSDLKNLCIAAAYRPVHELLEQENKGDMGSTKTSLRALKLDDLVQAKAKVSPSVAFDATSMNELRKWNEQYGEGGSRSKSPFGFGS >KQL12286 pep chromosome:Setaria_italica_v2.0:IV:39810907:39813834:1 gene:SETIT_007679mg transcript:KQL12286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGAHGGTTYKGYTIPHNKRWHTVAGKGLCAVMWFWVFYRAKQDGAVLLGLRHPWDGHDDHSHGHGHEHEASSSSSSSH >KQL09602 pep chromosome:Setaria_italica_v2.0:IV:4537745:4542255:1 gene:SETIT_006967mg transcript:KQL09602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGCPHDRLIPTRPRRSRVPRRARVHSRRVALHASASRAGGDVWRYKKPPRPAGLSDQLLSPSVEREREMEQQQQLRDGDGGAAEGDIERLPADLLAHVLSLLPSFRDLSMAGGVSRRWRRAVERSLASRRRLSFAGQRTGDDTAARLVRAAVNLRDLDISRSCWGCQISDEGLIKISTADCVGKLTSISLWGLAGITDKGVVQLVSRAYSLQHLNIGGTFITDESLNAVANSCTDLKSIILWSCRHVTEAGLVALVNNCRRLECINVGGMRVPPESFVGLLSISPALRIRSIPQILNAGVQVS >KQL09603 pep chromosome:Setaria_italica_v2.0:IV:4537745:4542255:1 gene:SETIT_006967mg transcript:KQL09603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGCPHDRLIPTRPRRSRVPRRARVHSRRVALHASASRAGGDVWRYKKPPRPAGLSDQLLSPSVEREREMEQQQQLRDGDGGAAEGDIERLPADLLAHVLSLLPSFRDLSMAGGVSRRWRRAVERSLASRRRLSFAGQRTGDDTAARLVRAAVNLRDLDICWGCQISDEGLIKISTADCVGKLTSISLWGLAGITDKGVVQLVSRAYSLQHLNIGGTFITDESLNAVANSCTDLKSIILWSCRHVTEAGLVALVNNCRRLECINVGGMRVPPESFVGLLSISPALRIRSIPQILNAGVQVS >KQL10750 pep chromosome:Setaria_italica_v2.0:IV:24720856:24721317:1 gene:SETIT_008418mg transcript:KQL10750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYPKWSYDMKMHLYELHPSIWEVVVVGMTPPKNGIPTVEQAQDYFRSAQAVRVITGSFCAQEFNKVRSVEVAKVIWDTLKEAHEGIDQVREGKMDLIHGELEHFIMLKEETMRQMFDKLMLLVSNIRSLGSTDWDDHKVTKKMIRAFTPSRQ >KQL11541 pep chromosome:Setaria_italica_v2.0:IV:34623514:34625097:1 gene:SETIT_008069mg transcript:KQL11541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGCHHAMPLRDCNVLIRTLARRGSFQRVMAVYYDLRARGLVADSFTYPFVLRAIGVMKLSVEGRKAHAAAVKTGFRWDAYTASSLMDMYAMLGRADLARKVFDEMPRRFLVLWNMMIRCYVRCGRFTAAVALAEEMERSGATPDRVTLVTAVTACSRAGDLSLGRRIHSYMDAVFGFNLPVANALLDMYTKNGCLEEAVKMFEQMPERNIISWTILVSGYALAGQLDKARALFYQCTEKDLILWTAMINACVQDGSFEEALSLFRDMQLQRVEPDRFTVVTLLTCCANIGTLDQGEWIHQYAEGRKMKIDAVLGTALIEMYSKCGHVDKALDVFERMQGRDAAAWTAIICSLATHGQASRALELFEEMQISKVKPDGITFIGVLSACCHGGLVDEGRRHFQAMKEVYRIEPRIEHYSCFVNLLGRAGALDEAERLINGVPVNKDTMPLFGALLTACKAHGNVEMSDRLTKRIAEQDSPNPGVNVLMSNVYATACRWEEAVRVRSKMAHPSVKKTAGCSSIEVKGY >KQL10041 pep chromosome:Setaria_italica_v2.0:IV:8793602:8798166:1 gene:SETIT_006505mg transcript:KQL10041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSTEQLIRRRPRGHPTKQKGGEGEDEGGRTGEAPMESRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPLLRYEESLQEQELTDIVVGAACADLRHQLDVSYPVSNGIVQNWDDMGHIWDNAFYSELKVDPSECKILLTDPPLNPVKNREKMIETMFEKYNFAGVFIQIQAVLSLYAQGLLTGLVIDSGDGVTHVVPVVDGYSYPHLTKRMNVAGRHITSYLVDLLSRRGYAMNKSADFETVREIKEKLCYLSYDYKREYQLGLETTILVKSYTLPDGRVIKVGTERFQAPEALFTPELIDVEGDGMADMAFRCIQEMDIDNRMTLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWITRQEYQEEGVACLRKCGQA >KQL11144 pep chromosome:Setaria_italica_v2.0:IV:31116531:31117424:-1 gene:SETIT_008149mg transcript:KQL11144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETTKRSAVTVALLVLACCRLALDFSRLSPPPLSLAREDDHDDPAARRVEPVGYLASVASSVLAAYVAASASASASATRRGWRRRLSAGALLAEARQTWARPAATALYVELLTAAMASLLHTLGALLAAIGGGGGGSAAVGLVAASGSVALVGWLGPVLFAHSDIACRMSLVVAAVEDGYAGAAAVRRAEALVAGRRARGVVVGLVAGAIEQAPAWLCGDGAPALVLAPAVLAAKIAACCACAAFYYDCRRRHDMVSSRLKLEGVTKSCQMDGCDMADESEVEEFGSAFECFRLT >KQL11182 pep chromosome:Setaria_italica_v2.0:IV:31442717:31443176:-1 gene:SETIT_008993mg transcript:KQL11182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLILSRSLLYLLLALIMHLAVYITFPAWQKAALSV >KQL12332 pep chromosome:Setaria_italica_v2.0:IV:40054620:40057650:1 gene:SETIT_0057372mg transcript:KQL12332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSWRPRMPGFGEGSQAAEPGGGGRGPGRGFRGRGASYHQQFPQGGRGAGYYQHGQGAASQPRGAMTPQQWRPAGPAAGYLGHGQAYREVQPPQNYGGDRGGRGSAPSAIAPELRQAMETSHEPDNISSPEAGSPDLSPRASTVEVTDQLKDLSVQGESSVGQEIVQAFPVSHNSYKFPHRPGNGSIGTRCLVKANYFFAELPDKDLHQYDVSITPDVTSRIRSRSVMEELVKLHKMSYLGGRLPAYDGRKSLYTAGPLPFTSKEFHITLLEEDDGSGVERRQKTYKVVIKFAARADLRRLEQFIAGRQAEAPQEALQVLDIVLRELPTARYAPFGRSFFSPDLGRRRSLGEGIESWRGFYQSIRPTQMGLSLNIDMSATAFFEPLPVIDFVAQLLNTDIHSRPLSDAERVKIKKALRGVKVEVTHRGNMRRKYRIAGLTSQATRELTFPVDQGGTVKSVVQYFQETYGFAIQHTYLPCLQVGNLQRPNYLPMEVCKIVEGQRYSKRLNQSQIRALLEETCQRPHDRG >KQL10831 pep chromosome:Setaria_italica_v2.0:IV:26584985:26592725:1 gene:SETIT_008042mg transcript:KQL10831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGKEDRYVRFQDWRSEQSVSSENIVVPCRKTKSATGTLHPQGPFLQKWNRIFVISCILAVSVDPLFLYIPIINDEKPCWYLDRKLEKAASVLRFFTDIFYILHIIFQFRTGFIASSPTTFGRGVLIKDRYAIMKRYISTYFFIDVFAILPIPQVIILVVLPKLPDAEVMKAKNVLMIIIICQYVPRLIRIRPLYQQITRSAGVITETARAGAAFNLLLYMLASHVLGAIWYLLSIQRQESCWRQECRNNATCDAKYIYCGAVNNNEKNAFLKTVCSLSPPNSLPDPFFGIYAPAIENITQSRSFFVKLFFCVWWGLQNLSSLGQNLKTSTYAWENIFAVFVSISGLVLFALLIGNMQTYLQSASLRIEEMRVKSRDTDQWMSYRHLPENLKERIRRYEQYRWQETSGVDEEQLLVNLPKDLRRDIKRHLCLSLLMRVPMFENMDDQLLDAMCDCLKPILYTEGSCIIREGDPVTEMLFIMRGNLMSMTTNGGRTGFFNSDVLEGGDFCGEELLTWALDPTSTSSLPSSTRTVKTMSEVEAFALRAEDLRFVAIQFRRLHSKQLQHTFRFYSQQWRTWAACFIQAAWHRYCRKKIEDSLREKEKRLKFAIATEGSTSLSFMAALYASRFAGNMVRILRRNATRKARLQERVPARMLQKPAEPNFSAEEQ >KQL10792 pep chromosome:Setaria_italica_v2.0:IV:25833248:25838348:-1 gene:SETIT_008006mg transcript:KQL10792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKCMHERERYANMQPNQKTAKLEQVSANRARRRDTLSKKSIAMENPTNRNENFTARTMEKTPALPDEDCSTNTKVIDDLESLKQPTNMKEGNTPNCIYDSHNQIKHASIFTITSLISFILQITTEWEDMEVEIKENESTVPEDSNINDPYDIVYSNIPDNTYMLKPIENCKYCDAKKFHHEPEGLCCRKGQIKLANLETPHQLMRLWTSNNSDAIHFRKNIRFFNGHFSVTSLYCRLDRDTTTMRNSGIYTFRAHGQIYHNIRSFAKDGSDPKHLELYFYDDDPTLEHHYRYCRKEMYEQDKHRTYNAPITSEVAAVWIEGNERRNTFDRNVILHGNNNEIQGIRSYTGCYDPLSYPLFFPRGELGWHADIPKVGITTEDVKKARANQNNKNNDPDSSGRMWVTMREYYCYKFHVRPNIFNPILYGGRLFQQFAVDTYIKIESSRLDFISNHQKEIRADLYQGLLDSIHAGQDRGDAVDKRTVLSSSFIGGPRDKIRRYLDAMALVRKYGKPDIFLTMTCNPNWEEITNELEFGQTPQDRPDLVVHVFRAKLEEMKKQLLEEHILGKVKAYTYVVEFQKRGLPHAHFLLIMTRKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHRPCGTLNKNCPCTKNRKSCKNYYPRQFNATTIQGKDSYPLYRRRDDDHNEIVRGNKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVEKSMLTAYFEANRTHEKARGILYRDFPEHYTWQTQGKFWQQRKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGTTCYEELRTIDGQILPSFREAAERRGLIEADNTLDDCLTEVELFRMPSLLRRLFAMILVFCEPHDIHALWNNHIEAMSEDYRRNCKNARTVEQMVLINIRDMLQSMGKDIRSFPLPEIDEQNDTKDNTPREITEESNIEVDPEDMELPKHLNDEQKAAYNEILTAVDRKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTAKLLQATSLIIWDEASMTKRQAIEALDKSMRDIMDIPNLPFGGKTMVFGGDFRQVLPVVRKGTRSQIVDASLCRSEFWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSGLDRLIDSVYQMNSACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDTVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKVNCPIILLRNIDLANGLCSGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKWKQFLVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTAKNIKILTTENDDEDENQKQDNKIKPSEKNKKKKKRKSKSDMSDKKEVNQKDTTDRYTKNIVYSEVLTK >KQL10545 pep chromosome:Setaria_italica_v2.0:IV:17755946:17759406:-1 gene:SETIT_007585mg transcript:KQL10545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKWESVTFEESLSFVKKVKARDYMLYLSLLDVLNRTDQIPLEAYSELSLLFRHHEDLLAELSKFRPLPCPNSIYTHGSIWMIIFLMPFLLLSLVLAFEKPLKCFLLQ >KQL09788 pep chromosome:Setaria_italica_v2.0:IV:6038825:6040444:1 gene:SETIT_006485mg transcript:KQL09788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSGAVATPSRSRSWTRSQRERAMCKPRAGSSDALASQPAAAAPSSRRSSVSSSAKRRLAAAGVSSASAPSTSSYSGAGPSGTGTGTASEQRRGATSSSSTSSASSSRASLAAARASLPDPPVLYPFQELAAATNSFLAKRAGGSASAAAYWRCSLRGRDAALFQLQCRPGPGAAAAVDAAALARIGRYHHTSLARLLGACPAGAHLYLAYELPPGGATLAACLRSPRNPSFTALRTWVSRVQVAADVAQGLEYVHHHAGSVHGRVSPAAVIVSDPGLRARLTHFGAVELADPAAAREAGESPYAAPDSGEPSREADVYAFGVLLLELLSGEEPARGTKEFQRVSVLETAAAAAAGGSVRNWVDRRLGDSFPIAAAERLVEVALRCAAAEDRPDMTWVAGKISKVYLESRAWEQKVQVPTEFSVSVAPR >KQL09158 pep chromosome:Setaria_italica_v2.0:IV:1587902:1592152:1 gene:SETIT_005999mg transcript:KQL09158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLFRFTIPLLLLSVLAASARNEEDARALAALRRALDPAGRVLGSWNPSGDPCGGSFVGVTCDTAGRVTAVSLQGRGLSGSLPPAVAGLRRLQGLYLHYNGIKGPIPREIGKLSELTDLYLDVNHLTGPVPVEIASMANLQVLQLGYNQLTGSIPPQLGNLNKLTVLAMQSNQLTGAIPATLGELTQLRRLDLSFNSLFGSIPSKIAEVPLLEVFDIRNNTLSGSVPVGLRRMNGGFQYMNNKGLCGVGFSLLELCPSSEDGLKPSKPEPFGPDGTVKTREVPQSVNPENCSGSRCSKSANGSEGVLIVAVVAVVIGAAFCGLFAFSWYRRQKQKIGSSLEVSDSRLSTDHFQQKEACRRSASPLISVEYSNSWDPLSGGGVGSSGEVGDSFRFNLEEVECATQYFSDVNLLGKSGFAATYKGILRDGSVVAVKSLNKTSCKQEESDFLRGLKMLSLLRHDNLVSLRGFCCSRGRGECFLVYDFMVNGCLSQYLDVKDGSSASVLDWPTRVSIVRGIAKGIEYLHSKKSSKPPVVHQNISAEKILLDHHFAPRLSVPGLHKLLADDVVFSTLKASAAMGYLAPEYATTGRFTDKSDVFAFGIVVLQVITGKRDVSQLKVGAAAVSDLDGLVDGNLNGVFSRTEAARLAAVAAYCTSEVPNQRPTMEAVVQQLSH >KQL10043 pep chromosome:Setaria_italica_v2.0:IV:8805798:8806924:1 gene:SETIT_007024mg transcript:KQL10043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRSASSIRRTVHSSASTRSASAPISAYCCAFRSHSAATISITFPVSTTPAPASAALVTSCAAGSLRGASRHAAAAGAAAGCSVTISHSASEPSGLPDAGSDSSAASVSPSALPRSTSVSAAAFTSISSLCSASSAISTTVGSVAASSVSSANSLRDGARLPATATAGGALEATGSARCCASAFCFGFASGPSIRIGIDLVGLRSATAGGALEATGSARCCASTFRLGFASGPSTRIGIDRVGLRSLRLRATGIGITSGSASHNETRKKPSPALGTEKRSSNTWVYGGVP >KQL09061 pep chromosome:Setaria_italica_v2.0:IV:1003875:1005712:1 gene:SETIT_006249mg transcript:KQL09061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKRLHARLLRRGERRLQPLLLRVLAAGDLRYAALLIESFPSSSSSAPPSAPLHNRLLHALASARHPLLLPFFSRAHRLHLLAPLSFTLLFSASASSSAASSSSTRFAVCAHALLIKSGHFASTADPFLASALVSFYAKNRLLADARRVFDELPRKDAAVYNALLSAYTRSRLIDAAEKLFEEMPEKNVVSWTAMVSGYAQNGRHERAVDTFLEMWEKEGVRPNELTVSSVLPACAAVGAMELGRKVERYARGKGLLKNLYVANALVEMYAKCGSIRRAWKVFQGIGQRRDLCSWNSMIMAFAVHGLWREALVLFHKLRMAGVEPDGITLLGVILACTHGGLVDEGKLLFNSMREEFGLKPRIEHYGCMVDLLGRAGLFKEAESLIMSMPVEPDAIIWGALLGACSFHGNVELAEIAVDKLMCLEPQNTANLVILSNIYAACGKWDGVARVWKLLREKDHKKSAGYSYIEFDGRMHKFLVEDKSHPRYEEVYETLDSVTLTMKLVGLENLEEEEC >KQL09116 pep chromosome:Setaria_italica_v2.0:IV:1303937:1307197:1 gene:SETIT_008780mg transcript:KQL09116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVLACLAAMPPASAALGDGGILYIPSAASLAHCPSSCGDVNISYPFGIGAGCFRQGFEVTCDNTTQPPKPLLMSNSTTEITKIHYDGFVEVPMFFNSSFGPGRNNMSWEWEAPAKGITIIRYNNFIVLGCDFDVNLFDYERNPVGSCMSRCHGEVLPTRGSCSGIGCCFIGLSNAMSGFQATFSRADGMAGQSSGSMYPRIMAFISYLGGTSNVTDLLLGWTNTSKIYGAYVDVAITDQPSCESARMNSASYACATNSICSNAYASSYGGYHCYCSGYYDNPYLSEGCTQDYNPEPKEHCRRSCGTMNISFPFGLEEGCFGNEKFRLNCTVANYTLFSIGDTQYLVTGVSLEDGTLTVTNMLSNASTRKEAIIISIDESGSVDLNGPVEDRFDVSMEYDIAIRWAVTNSTCQHASQNITEYACQSVNSDCLHVTHGKIFMGYRCKCSPGFEGNPYIQDGCTGLAIGISCGIGSIIIALGVIVLANKWKRGIQKRIRRAHFKKNQGLLLEQLISDESTTSKTKIFSLEELEEATNNFDATRVLGRGGHGTVYKGILSDQRVVAIKKSKIVEQIEIDQFINEVVILSQIIHRHVVKLFGCCLEDEVPLLVYEFISNGTLYELLHSDTTVKCLLSWDDRIRIAMEAAGALAYLHSAATIPIFHRDVKSSNILLDDNFTTKVSDFGASRSLSLDETHVVTIVQGTFGYLDPEYYHTGQLTEKSDVYSFGVILVELLIRKKPIFINDLGAKQSLSHYFIEGLHAGSLVEILDKQVMEEADQEEINEIASLTEACLRVKGGDRPTMKEVDMRLQFLRTKRLRKGLAKKDADIEPLLCPQDKNLYGHIDLVNAGSSGCYSLEQDFASLPR >KQL10876 pep chromosome:Setaria_italica_v2.0:IV:27500558:27502148:1 gene:SETIT_007141mg transcript:KQL10876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPWLDLPFTFLTLLLATRLAYDYYGDVAAAFAGGFSIQVFLFYCFARWYRHAIAGRAGAGAAGARDDPSPSSRQQQQGDADADDAPPVLTPLLGAPDGVRASTLANRCFAVVFMVFVPLVIVVFERSQADVVAYALCLANIIVMVVWLSPDSGAAASSAAKSFLRLSDDEDEGSTGGSAGADDKCCVCLAGMREDQALRALPRCGHRFHDKCIGKWLKAHPTCPVCRATAVPPLQDGGGGDPLDDDIISPV >KQL09368 pep chromosome:Setaria_italica_v2.0:IV:2874264:2876566:1 gene:SETIT_006927mg transcript:KQL09368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAQASPSGGGRGEERVRAGFSEALIARAVMGWAFVVPFRYFRYWAVRPTCCGGGGGSWPHGCASLPFSRLLHGTAAHGLTRLVVLPSSFPPSWRRAPRGWRPPLPDGSAPQLRPEFASGSEGAGPAVAMFSRISRLGARLLREARAETRAGNLLSSRGSLYQGHVSQHSTPLVTPTVKSMSNVLFSTATSGDQDESNQEKISVTFVNKDGSEKTIRVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVNHYNKLEDPTDEENDMLDLAFGLTET >KQL09369 pep chromosome:Setaria_italica_v2.0:IV:2874264:2877383:1 gene:SETIT_006927mg transcript:KQL09369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAQASPSGGGRGEERVRAGFSEALIARAVMGWAFVVPFRYFRYWAVRPTCCGGGGGSWPHGCASLPFSRLLHGTAAHGLTRLVVLPSSFPPSWRRAPRGWRPPLPDGSAPQLRPEFASGSEGAGPAVAMFSRISRLGARLLREARAETRAGNLLSSRGSLYQGHVSQHSTPLVTPTVKSMSNVLFSTATSGDQDESNQEKISVTFVNKDGSEKTIRVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDVNHYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIARPELDGMRLALPAATRNFAVDGYVPKPH >KQL08908 pep chromosome:Setaria_italica_v2.0:IV:229189:232217:-1 gene:SETIT_0059422mg transcript:KQL08908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALKRSTGNQGFQIWIDKLRLWLKEQTYADNSLLLIESSSWEKLSSDQLPDDDVPIWIAAQRAVSRYEGILSPVGPRGRLLRRLLTWTGLIPSLPEATIKSDDETKHLEGHVRPNFLPRITLANIWEPASRESCKNNIWEIVKTSFRILFGRSTLQEPAFQELILLYTDENDQSEKKDKSEMLPLQLKIYEKIPIPDLPVVFPHKKLSFRILDTVRLDIATVIGLLAYVVNYKFESLASSPSAFLLDIVAISALLILVFRVGLGYKQTRDRYQLLVNKTLYEKTLASGFGSVYFLLDASEQQQYKEALLAYAMLLCRNKYQVSSRASIRDTCEQFMYEKFKAKIEMPIDKAMETLVRLGLVIELPTNGGSSVIGLPCSEAYDILRSRWDSLLEHRTEQGDMV >KQL10237 pep chromosome:Setaria_italica_v2.0:IV:11593532:11594452:-1 gene:SETIT_008940mg transcript:KQL10237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAGSPSDPPPQQQPSSPAPKAVRVVVKGRVQGVFFRDWTVETARSLGLAGWVRNRRDGTVEALLSGDPDKVDEMVSRRIPVGPPAAAVTAVVPSPVDPVDPAEGFHRKPTT >KQL11785 pep chromosome:Setaria_italica_v2.0:IV:36754799:36760923:1 gene:SETIT_005946mg transcript:KQL11785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLDPASDAPRARRPPPPPPDSPEDRSPQLPPPPPGGPPAPSRKRSRSPPPPPSSLPPPPPPPLGSSRPQRYRDHRGGGRGGSSPSPPPYRGSRRHSPPRRSPSPPFKRSRRDDGYDRRGGRGSPPRYGYDDRRRGYDYERGGGRGGYDDDRNHGRYPNRAPDWHDSGYGAANDGPGITQREGLMTYKQFMQVLEDDISPSEAERRYQEYRTEYITTQKRAYFELHKNEDWLKDKYHPTNLVSVIERRNERCKVMSKDFFLDLQNGTLDLGPGITAGAASKPGSGGDGNSDDDMDGDKRRKQGKDSSKGTDSLSGAPKAHPVSSESRRIQADIEQTLALVRKLDAEKGIEGNVLLSGDHDKSDGDKSHIGSMGPIIIIRGLTTVKGLEGVELLDTILTYLWRIHGVDYYGTSESHEAKGLRHVRVDNKTSSTSDVNAADWEKKLDTFWQERLNGQDPLVILTAKDKIDAAAVEVLEPYVRKIRDEKYGWKYGCGAKGCTKLFHAPEFVHKHLRLKHPELVLESTSKVREDLYFQNYMNDPNAPGGTPVMQQSAPDRGRRKPGMDSRLRFDRGNNKDNDKAEGGRYGRGDRSPSRDGPDDQMFDAFRGRGSNAPFVAEFPPPPILMPVPGAGPLGPFVPAPPEIAMHMMREQGPPPFEPNGAPHGNTGMLGPMMGGPAPIITMPPNFRHDPRRLRSYNDLDAPDEEVTVLDYRSL >KQL09517 pep chromosome:Setaria_italica_v2.0:IV:3987267:3988140:1 gene:SETIT_007205mg transcript:KQL09517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSTQMPPGERSRRSWWTPWLPASTSSARRGSPPSARRGPGRSSPRNPPCPRQAVPAGFVRVVRHRRRGSQRLHLLHARPAHRGRRQRRAAGAARRAGSLPRPVLGSRAATRSSSASTPATGSTCRSCPPSPTSSPSPTTGRSRSVTQRGSGTTRTPSARSRCATRHRRRRRRYRLPSGRDRHQPDHIAVARGGDRSWTALKNHPGLLVGYDDALVHRSRVFAVDATGDVFT >KQL09688 pep chromosome:Setaria_italica_v2.0:IV:5255472:5257301:-1 gene:SETIT_008838mg transcript:KQL09688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQYGGGTTSMNSPELGSIQKLRTYRESIRRASVPGGLCRSAARFFPASCDAAAGEGAYYCWSRSSSTSSSTSSSPASDASARYSTVPLSFSSSDDLCFSGCLDGVEELRAIALQMVRDGYVKDLIRSFGAAGGSSSAHCGGGLVLGPGPEELLLGRWFSELNVEWVLLTREGDKVRPYLDMEDGCPLLLDLMERWIKALKTMVQVLCITQMELRANRPAAIGVRKAIRYFMLLATGKMAEREQEVAQFARFAEASILRMLDFVDAVVDAALDDDQAAAEALPGMLQVYTCVVDDSPAVLTLFKESSVTTSMFDAMNGIFLRKRSKLSDAIWGMMEVVRASFLTNDCWRVSPASAGGLHKTTRLVMNYVMLLWRNEGALNLVLQDQQHRFRMFLSEHHGHCSSSVADLIKKMISSSEKQLEKASNFISDPGLRYIFLMNNFSFISEKFSSLLLPPFEGYKIERSRGSRERLHPMEDCVNQPDPSIRAKIETDSNLDGFIKIQSFMEAYLDASWEPVMSCLYHDIPRGFLKCGRALDKFILEFQRTCATQRMWKVPNPELRKRLRRAIIEKVISGYNPYLTQRLARGKSNRPAMSTPLELEELIEELFEG >KQL11894 pep chromosome:Setaria_italica_v2.0:IV:37422650:37423033:-1 gene:SETIT_007878mg transcript:KQL11894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSIEVLREKKLPKLDEHLHFPSATTQVMDKAEMMKETEAVKDNSVTATDGICTASILKNSSHRDGAIYKGNWEECYLIDIADRHLQTMSSIDMYGFVYGRWIRPLAS >KQL10933 pep chromosome:Setaria_italica_v2.0:IV:28507321:28508511:-1 gene:SETIT_008422mg transcript:KQL10933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSFARSFALLALLCLLLPCHGKGKLSTKFYAKSCPGVATIVRSVMAQALAKEPRMGASIIRLFFHDCFVNGCDASILLDDTATFTGEKNAGANANSVRGYEVIDAIKTQVEAACNGTVSCADIVALASRDAVNLLGGPTWNVQLGRKDSRTASPSAANANLPGLSARDMTALSGAHTVGRARCLFFRGRIYGEPNINATFAAARSRRARRAAATATSRRSTTRRRTLRQRLLHEPGGAARLLHSDQELFNGGPADALVRKYSGNAGMFANDFAKAMVKMGGLMPAAGTPTEVRLNCRKVN >KQL09544 pep chromosome:Setaria_italica_v2.0:IV:4143252:4144934:1 gene:SETIT_008313mg transcript:KQL09544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPSSSSSSSSLYIIGASLPLRVDPIRARAPDAALDGGDTDDDASGGGALSRPVAERFASSFRTQESLDALCRKYGVPGEFSAILPAGHHRACSPPPPGAVCVYAHALEAGMRVPLHGFFCEALAHFGVAPSQVAPNGWRVMAAFVVLSHFAGVPPSLAVFRHFFSLCAHKLRGWYWFRGKDSAGTLFKGLPLSLKGWKEEFFFLRSPTPWPCPVKWGEPSKNSTAEPVLTREEKTVADKLLRAHGAAVDLKTYLSESNLAAAMITGSRPPPPAAAAPSPRTASASSAKGMDPSVYDMMMSLRAAKAAQASGEKVTVKSEPGSDTPLSGKKRKLAEDATKQGPSRHEPGTPLDHAHGGSLSGTPSPAPPGFSIQKPSSKRSVREHDPEPRHVPDLPDDGDTAGWEAARQMLQSIVAPSREQAFSAARPSDVIQSSYVTMLQAANCVSFSLGYALELEEKLAAREREAEALRRELAQAKAKAEHAEAEKASAEEARSAGAAEH >KQL09374 pep chromosome:Setaria_italica_v2.0:IV:2897297:2899329:-1 gene:SETIT_007065mg transcript:KQL09374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRGGNLQEEASAAPGADLYAVLGLNRECTDAELRGAYRRLAMIWHPDRCSASGSSARVEEAKERFQEIQGAYSVLSDSNKRFLYDVGVYDSEDDEADLSGMGDFLGEMADMMSQATPTETFEELQQLFVDMFQDDLDPGFFSGLPPGRRGQSQSPPSTSSPPLRPPPGRNSAQATPACNGVDKRGSSPAAMHSAAKRPRPGRPGLELDLGLSGFCFMVSYCFVPVHDYNLLLVRCPLDIYSIPQLCKFYKF >KQL09373 pep chromosome:Setaria_italica_v2.0:IV:2896780:2899329:-1 gene:SETIT_007065mg transcript:KQL09373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRGGNLQEEASAAPGADLYAVLGLNRECTDAELRGAYRRLAMIWHPDRCSASGSSARVEEAKERFQEIQGAYSVLSDSNKRFLYDVGVYDSEDDEADLSGMGDFLGEMADMMSQATPTETFEELQQLFVDMFQDDLDPGFFSGLPPGRRGQSQSPPSTSSPPLRPPPGRNSAQATPACNGVDKRGSSPAAMHSAAKRPRPGRPGLELDLGLSGFCFMVSETKQRPAPWTCEVSGGGDRRSGRKQRLSTSRDVSGDGVPRSFPQSQSGSRAWWQ >KQL10255 pep chromosome:Setaria_italica_v2.0:IV:11985357:11995799:1 gene:SETIT_005665mg transcript:KQL10255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFDGFRLDTLLKRVCKSLLKKRLGDLILGDLDLDQFDIQLGRGTVQLNDLALNADLINRKLSGSPIMLKEGSIKSLLVRFAASCEIVVEELELVLSPSVASEVVDVHNECSVSGCTSDTQTSVKTQRYESDSNQCSTSVSRDVDEGVKRIANAVKWFLTRFNIKLKNVYVVFDPQTSLDSRLPETNRSLVFRIKELEFGTHLGQFKLDNFLTFHEAVIELLKMDDVDALLQNDPVRGTTDISACHSTTAVLTGPIGGFSGKLNLSIPWNKGCLNFEKIDADVSVDSLELRLQISSIRWIMNVWDSLQRKPVDEQNSAHNAADISISSFRSTLCSPASSSLKSGSDSVIGTSECLAHSTFSQSRQEKIQDSFLTRAYVITDWMEPVASEDQGDPDSDCDESIDQFFECFEELRNSQSSLGNSGIWDWTCSVFNAISFASTLASGSDQVPKEPVIEKTLRASVAEVSVLLLFSDDMDIDASSVPVSALDDMRNSEMFSSCLSSQHFEKSIVSPATASSLNMHHLEAKCQKIHLDLQTYPENVRFKASIAQVKLDEYYHAGNNNSDDSHLGNHFLNNNLRQGVQASLPQCLFAAGDPSVETYELCGNNSCELIKVELLKTFGECTFHYDVSTKDQDGNLVSSTSMSICLAPLVLWVHFHTLYMLLSFISKVESDLSHGEHKIHMHGDDNGSRLTTSTGVSSNGSLKVQISMSPARIILCFPSEFQWDLSHPSVLDKFLVIDHTSCLNVAEAASHPQNEFLNEVHLGKPCTSIRLATGNFDIYLVKPANDVLDGRVCSSSRQTFSTMKIFSVTGASCNDSGITLIRRKYPVTCPEMVSKAWSLAKLHDQLITKKQNSKWAGISPSTAQDLEETGSSMRQELIKSSELLLHVKLSCVSVQVCKKDCGLLNKLLDHVLDGISNEETSTSENCKDKSVPNDICIQTSVIFECSILEICTELDETVEVGPLLQTELEGSWSSLKLKVSNFSLFSYSNVGRLNNASFLWVNHGEAELWGSVSVKDDKVPGESKDFLIVVCKDSACRRGDGEGTNVLSIGTAGCSVTHIRNPKLKENYTSVGVRSGTIVAPGGRMDWINAMCLLFSSGSDGTGKSDNSNTVNSSRSGEPYASSFFLELTDVAVSYEPHFKYFTLTAEATDPKFFSCILAASSFKLHNKSSSASAATDFDIQLRDLGVLLSESSGSKNVTCGYGVDYLRQAGYVKVAQNTFIEASLRIDSSFWKLEILDSQFDIATCRDTTYGLIRLGSQLQQLYGPDMRDALDHLQSRWNSVQQANKQNIAADASDKSESSLENMTDSGDCQSDGLLDDIIENAFYTEDYMANDFWESNCCHSVSSSETDDGFELNTATSHVLLRSSLVTSETNVTQIPLRQDSCPDQIIDSYYMPELHQSSSAPCIEEHKCTSGGESEDGGWYNNVPLTIVENHVSKKKSKKGEQILQQEVKASVCHLNTDDESCNLKGKILIHDIDVKWRLYAGNDWLLPQKDPTSFACTDGRDRSSSLEFSLTGLSIQLDMYPDGDVSISKLSIAAQDLSLCDQSIHAPWKLVLGCYNSKDYPRESCSSVFRFELESVRPEPHAPLEDYRLHLEILPLQLHLDQGQLNFLIKFFQNDLCNNDPHLQSEKEIFNVKSTSYGRNTVVDEALLPFFQKFDVKPLVLHINYIPRQFDPIALGKGNYAELLNILPWKGIDLKLKHVSAMGVYGWNSICDTVAAEWLEDISKNQVHKLLKGLPPIRSLVAVSSGTKKLVSLPIKSYKKDRKLLKGMQRGAVAFIRSVSIEAVGLGVHLAAGAHDMLVKTERALTAVPPPLASCEAKRTKHNIRANQPESAQQGIKQAYESLTDGFGKTASALISNPIKAYNRAGAGSALATAICGAPAAAVAPVSASARAFHCALVGLRNSLDPEHKKESMCKYHGPSQL >KQL09389 pep chromosome:Setaria_italica_v2.0:IV:3038108:3039044:1 gene:SETIT_007550mg transcript:KQL09389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRSSWYHHPSSTMAMISPRFGTRSPALRLSTSAHGSSSSSHFSFAAATDNKKVFEDQLRGIVCYKDEKGEMICEGYDEGPRLGMQLLEKACFPWPVGVQVTDFIQLTTLPVFQE >KQL11595 pep chromosome:Setaria_italica_v2.0:IV:35143430:35144026:1 gene:SETIT_007625mg transcript:KQL11595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDNSSGGDRLAKPAANPQPRDDQQVTPEEVADMTTSTSDGNCFHWLWNLIKTRITGASQHYSPLHDPRFRPINDPLDPVQGLAASERGSRACCF >KQL08900 pep chromosome:Setaria_italica_v2.0:IV:206044:206541:1 gene:SETIT_007665mg transcript:KQL08900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERDGTSYHSKTSYFKKQQRNHHPYRLQHLTTTKATTIPDSSNSHLSLGNDNELQISLNESQTCIYTLFSSTFLKSCDQKPHVI >KQL10607 pep chromosome:Setaria_italica_v2.0:IV:20047233:20050807:1 gene:SETIT_007207mg transcript:KQL10607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDTFLFTSESVNEGHPDKSAVASAAEAATTDVELLKRAWRNEKAVPEILRFDSPLVSRVREQIQLLEETLDDFADSGVDDLVVSLYQMDLDRTLFLLRSYLRLRLQKIEKYTMHISRSDDLLSRLSLQECRFAKSCSEIMEKHLEQSVLSKLPYGYDSVTRQSLSSTEDDMVPEPQLDTFVFCKTKSDVGAFQLDDIGEEVVDLVANDLYVLRYKSIKGLVEGGQIDLI >KQL10871 pep chromosome:Setaria_italica_v2.0:IV:27429776:27430296:-1 gene:SETIT_007525mg transcript:KQL10871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAAADKENAAPSTSAAAVPRRHGYGVRSCGVKKRPCRARWAPRVPLRDITNLIAATSAPAGHEAQLGRDVSPATAAELPKPHAVEPAAAVLRVAAAQDGLSGGAAAKKAARYSLRKEFR >KQL10859 pep chromosome:Setaria_italica_v2.0:IV:27150452:27151140:-1 gene:SETIT_009080mg transcript:KQL10859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGMRWRPRTAAAAASTAAATGAWSFGGPAPPRRRPTCSTPAARAPRWSRQRGSSPLPATSSPGRRGLGWSSPAPSCYTLSRPRRRPRRCDRYANP >KQL11176 pep chromosome:Setaria_italica_v2.0:IV:31401176:31404042:-1 gene:SETIT_006055mg transcript:KQL11176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPALLFLVLACAGAARGFYLPGVAPADFRKNDLLAVKVSQLSSIKTQVPYSYYSLPFCRPDTIVSSAENLGEVLRGDRIENSPYVFEMMEPKLCQIACKIVLSQEGAKDFKEKIDDSYSVNMILDNLPMVIPVKRLDKEAPTLYLQGMHVGVKGWFVGRKDWSYFIHNHLSFLVKYNKDEHTGLARIVGFEVKPYSVKHKPDGDWKGNMTHLKTCNPHTRILVMSSDRPQEIEANKEIIFTYDVNFEESDIKWASRWDTYLSTPDDHWFYIVNTLMTVLFLSVMVAMIMLRTLYRDISMYNQLENQEEAQEESGWKLLHGDVFRPPVNADTLCVYVGTGVQFFGMLLVTLLLAILGLLSPSNRGGFMTAMLLLWVLMGLFAGYSTGRLYMMFRGSEWKKVAIKTALMFPGAVFLIFFTLNMLLWVEKSSGAVPVTTMFALVFLWLGISLPLIFIGSFLGFKKPAIDDPVRTNKIPRPIPEQPWYTNPAVSISIGGILPFGAVFIELFYILTTIWTHQFYYMFGIVFLVFVILIVTCAEITIVLCYFQLCNEDYRWWWRSYLTSGSSAVYLFLYAAFYFFTRLQITKAVSGMLFFGYMFIVSYAFFVLTGTIGFYACLWFTRLIYSSVKID >KQL09883 pep chromosome:Setaria_italica_v2.0:IV:7030829:7031749:-1 gene:SETIT_008089mg transcript:KQL09883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRACCAKEGLKRGAWTSKEDDILAAYVKAHGEGKWREVPQKAGLRRCGKSCRLRWLNYLRPNIKRGNISDEEEDLIIRLHKLLGNSGFPFLFDKFSSEPDVSVSGVAGRWSLIAARLPGRTDNEIKNYWNSTLGRRAGAGGGGSRVVVFGTPDTGSHSHATPAASGSCENGAAAHRTDPDSAGSAAGTASAAAAVWAPKAVRCTGRLFFHRDLLEAPPASETPTAGGV >KQL10081 pep chromosome:Setaria_italica_v2.0:IV:9339909:9341331:-1 gene:SETIT_008211mg transcript:KQL10081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACQALHPHSQKPSSPSTNSSNPGYFLSKPVCAWLACGFLSLALLHLLCCSPAGTQQEVFSALLQHFNTSSASVSSVPEGGGQSCDYSEGQWVWAPGHARRYNATECNVKDSENCVRNGRPDTGYLDWRWQPAAAGCELPAFDAAAFLAAVRGKHVAFVGDSMARNQAESLVCLLGASAFPSRLVYRDADPGVFQFRRWAFPSHGVTVSVYWAPFLARATGRVDDYHLPYSSVYLDTLAERWSAEADTMDVAVVSAGHWFLKWAMFYNGSEVLGVHMLPESNHTEIGFVSPFREVIRKSVDRLLIGSGGGGDARGDRTVVLATISPSHFEKAWDDPTTCARKGPYKDEEKEVDGEAAELRRVVKEEASAAAARSDGGATTIKVLDVTKLATMRPDGHPGAYMHRDPFAPGKPEKMLNDCLHSCLPGPVDTFNEILLQLLTKR >KQL11531 pep chromosome:Setaria_italica_v2.0:IV:34534008:34536633:-1 gene:SETIT_007242mg transcript:KQL11531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNTQPVDYPSFKLVLVGDGGTGKTTFVKRHISGEFEKRYEPTIGVEVRPLDFTTSHGKLRFYCWDTAGQEKFGGLRDGYYINGQCAIIMFDVTSRLTYKNVPTWHRDISRVCENIPVVLCGNKVDVKNRQVKAKMVTYHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGNMDLRFEAEIALVPADVTIDLAAQKKIEEEITAAASMPLPDEDEDIMD >KQL11550 pep chromosome:Setaria_italica_v2.0:IV:34731200:34732971:-1 gene:SETIT_006464mg transcript:KQL11550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAAAAAAAATVTRLVQRVVAPSAPTPSGELPLSWLDRYPTQRALIESLHVFKGRAGADAEAPARAIERALAAALVSYYPIAGRLAVSDDGDLVVDCTGEGVWFVEATASCTLEDVDYLEYPLMVPKDELLPHPTYPASDPLPEDSLILLVQVTRFACGGFVVGFRFSHAVADGPGAAQFMTAVGDIARGHAAPLVSPSWGREAIPSPGPGAAVGPLPVPTELRLQYLAMDISTEYIEHFKARFLEQTGHRCSAFEVLIAKAWQSRTRAAGFAPGSPVHVCFAMNARPAVAAMRGRPLPDGFYGNCYYIMRVSAAAEAVADASVYDVVRLIREGKKRLPSEFARWMGGGGGGDPYRITSDYRTLLVSDWSRLGFEEVDYGWGCPVHVVPLTNLDYIATCILVRPSAHKPGARLITQCVAADGVDDFHKGMMRLD >KQL09551 pep chromosome:Setaria_italica_v2.0:IV:4192519:4197290:-1 gene:SETIT_006488mg transcript:KQL09551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGTPAPAAAMAVDDAEDDQLASMSTEDIVRASRLLDNEIRVLKDELQRTNLELESVKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKDRFQKLGIRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKAPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDERIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKSSLNYYA >KQL12357 pep chromosome:Setaria_italica_v2.0:IV:40147020:40149024:1 gene:SETIT_008958mg transcript:KQL12357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEEDAAARRERLRALRAAKELLSTPAPDGEQQNGTHGATEEHVEQPALPGPQDAPDEASKENISSIEEVKEVQDDGELPAMKFRNYLPHDEQLRGGKLAPVSLPKFEDPISAEAAEPKQVENPFGNIAPKNPNWDLKRDVQKRIDKLEKRTQKALAEIALEQQREKEALEEAQD >KQL10264 pep chromosome:Setaria_italica_v2.0:IV:12129440:12134631:-1 gene:SETIT_005895mg transcript:KQL10264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRALEGGPMDAESGRGAAGARKKSWRSELVLAYQSLGVVYGEVVTSPLYVYRSAFAGGDIEHSEGNEEIYGVLSLVFWTLTLVTLLKYVLVVLRADDDGEGGTFALYSLICRQVGAGLLPGGDGDDDLKEQRNGAAPPPASSVRKALQQRRGLQWLLLLFALLGTSMVIGDGVLTPAVSVFSAVSGIKLSMVNEQHQYVLLPVTCVILVGLFALQHFGTHRVGFLFAPIVCLWLLCISIIGVYNIVFWNPHVYKALSPYYSYRFLQKAQVGGWMSLGGILLCVTGSEAMYADLGHFSQSSIKLAFTAVVYPSLVLAYMGQAAYISQHHSFEKNHHIGFYVSVPEKIRWPVLVIAILAAVVGSQAVITGTFSVIKQCCSFNCFPRVKIVHTSSTVHGQIYIPEINWILMVLCLAVTIGFRDTKHMANAQGLAVITVMIVTTCLMSLVIVLCWNKNVVLALAFLLFFGAIEAIYFSASLVKFHEGAWVPIILSFIFLMIMCVWHYGTAKKYEFDVDNKVSISWLLNLGPSLGIVRVRGIGLIHTELMSGIPAIFSHFVTNLPAFHQVLVFLCIKSVSVPHVQPEERFLVGRIGLKQYRLYRVVVRYGYRDVQQDSLEFEKALVSSIAEFIRSGDSDQNGYLDGSDSPYERLSVISKGLPFQEEDGEPDGSPESSTRKETNLKLVLSKSRRVRFVLPENVQINSEVHSELQELTEARETGMSFIMGRSYMKAKSGSSLIKRIAINFIYEFLTRNSRGPAYAANVPHVSTLEVGMVCQV >KQL11982 pep chromosome:Setaria_italica_v2.0:IV:37970503:37972095:1 gene:SETIT_006555mg transcript:KQL11982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGGGGVEGCGGGVLKKGPWTQAEDKLLVDHVRRHGEGNWNAVRRETGLQRCGKSCRLRWANHLRPNLRKGPFSPEEERQILRLHGLIGNKWARISSHLPGRTDNEIKNYWNTRLKRRQRAGLPLYPPDIEREIALLRAQNINPFADADGNANSGLPPPLLYDANNPFALPPAVPSPSGSATASHSPLINQSYPLLNQMQGMPVFHLASSQQSPQPVFHHHQDNGAALGHGGFVSSGLPPLPTRAHELPSNQFDTSSSGGGAGLLESLLLGDDHLPRHIPTMVKVNSMPALTYREPGSCRLPVHGAGAGSDSDETSHCLPGEDMHHGAKWNFTYEDFKPAKRRTPSEAGISDMFGVAPGFIPGDWFSACGGSTAPSPGPSSAVTDDEFGLEMQQFMSLLPLSIDEHSWNA >KQL09747 pep chromosome:Setaria_italica_v2.0:IV:5658591:5659335:1 gene:SETIT_007859mg transcript:KQL09747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLEQTTDKHPFLRYKLLFIATDGTAEVERICFGQIAQRIIGKSVDLVIRTIRRDEDFPPDIAGIASQKYTFAITMTNQSYYTRNKSYMVNSIIASYGRQRAIPQVGASSSNRHPAIFRTTDKDAPSPHLTDTPENNSARKRLYLTILLKMDR >KQL09692 pep chromosome:Setaria_italica_v2.0:IV:5300744:5302550:-1 gene:SETIT_006730mg transcript:KQL09692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLVVAFLALSSACFLAVSGQKYNAIFSFGDSMSDTGNLCVNGAPAGLTLTQPPYGETFFGRATCRCSDGRLVVDFLAEKYGLPLLKPSKQGGADFKKGANMAIIGATTMDSGFFQSLGIADKIWNNGPLNTQIQWFQQLMPSICGSTQACKSYLSKSLFVLGEFGGNDYNAQIFGGYSPEQASGQSATIVDAIGKGVDQLISLGATSIVVPGVLPVGCFPIYLTLYQTSNAGDYDQYGCLKRFNALSSRHNQLLQAKVSSLQSKYPGARIMYADFYSHVYDMVRSPGSYGFSTNLRACCGAGGGKYNYQNSARCGMSGASACSNPESSLSWDGIHLTEAAYKKIADGWVSGAYCHPAIGA >KQL09188 pep chromosome:Setaria_italica_v2.0:IV:1766854:1770552:-1 gene:SETIT_005929mg transcript:KQL09188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQAQQLPSFSVTSPTLRTLRLICPIKSPPPSSRWFVHSSSQFDTPRRRRYQAAYPPDLFLEEQGNEGDDDREESVGSWGGPTSPFRAHLRYGSHNDTDGEGGRYASEDEEELQDSDEDETEGREEVGEWDPPVSPFRAQQREEQHYQEEEEDEVDNGGGCEWLDPTSFLPSQEGVSGVCTTTTAAMEEILSFARSLAAAGHSAFTEFLAGYNHGDLNEEVCVELLRRMSEEGLDCAHLFQWLREKQPVPVSPQVWLAGIVALGRCRMADEVLEIVARLPSEREFREAVVYNAAISAVAYCERYDGAWEIFELMEKNNVQPDHRTSSMMLNIMKKTRASAKDAWEFLQRMNRKGVNWSLDVGVALINIFCCEGLKKEALIIQSEMEKRGIASNTRIYDTLMKAYCKSNQIEEAEGLFVEMKEKGLQPTKATYNILMDAYSRRLQPEVVESLLLEMQDLSIRPSPESYNCLISAYGRQKKRSKEAEDAFLRMKTDGIKPLSSSYTALLCAYAVNGLHAKAHSIYVDMKREGLKPTLETYTALLDTLRGAGDTEKLMETWKTMIDEKIGATRVIFHMVLDCLAKHGLYLQARDAIYEFGKIGLKPTVMTYNILMNAYGRGGQHYKLPLLLKEMAALELKPDSITYCTMIYAYARVRDFSRAFYYHKQMVRSGQVPDPRSYRKLLNTLDVKAARKNIKDKSAIQGIIKGKSGLKPRKEKKDEFWKNRRKRSMLNPTYGHQRKRFL >KQL11094 pep chromosome:Setaria_italica_v2.0:IV:30664678:30668756:-1 gene:SETIT_007150mg transcript:KQL11094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNENLPPNVIRQLAKELKNLDDSPPEGIKVSVNDDDFTTIFADIEGPAGTPYENGVFRMKLLLSRDFPQSPPKGFFLTKIFHPNIATSGEICVNTLKKDWNPGLGLRHVLLVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARLYTGIHALKPKNKSKSGAISESTTALNVGQSNTVLGEIPLASTPISTSAAAKALGKNSQDQNAATSDPVVGASTAPKKDAAHAAKVAVDKKKLDARKKSLKRL >KQL11517 pep chromosome:Setaria_italica_v2.0:IV:34400727:34403759:1 gene:SETIT_006520mg transcript:KQL11517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATMVAMTAKSKNSVLAVEKKQGWSIQLPELRFPWDSHEDKGFSLSLQGSGPAHGGLFASVGLKVSAGAPAVAPSPGEKDIKIPFADHCIKYVSEAVGYQVISTEAEPVEEEVVDGKAKKKAKKHGLKLKIKIGNPHLRRLVSGAVAGAVSRTCVAPLETIRTHLMVGSNGDSMTEVFQSIMNTEGWTGLFRGNLVNVIRVAPSKAIELFAFDTVKKFLTPKADEPPKTFLPPSLVAGALAGVSSTLCMYPLELIKTRLTIEKDVYNNFLHAFVKILREEGPSELYRGLTPSLIGVVPYAATNYYAYDTLKKLYRKTFKQEEISNIATLLIGSAAGAISSTATFPLEVARKQMQVGAVGGRQIYKNVFHALYCIMEKEGIGGLYKGLGPSCIKLMPAAGISFMCYEACKKILVEDDAESE >KQL12257 pep chromosome:Setaria_italica_v2.0:IV:39701393:39701932:1 gene:SETIT_008796mg transcript:KQL12257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLARAFAALLLLGPWQRAGAAEFVVGDVAFGWDSGVNYAAWARGRAFAVGDVLVFEYVSSQHNVYEVSESTYHSCDTGGGGSGVRVRYTSGYDRVVLADARSYWFICDFPGHCLGGMKLAVNVSGA >KQL12101 pep chromosome:Setaria_italica_v2.0:IV:38767983:38769834:1 gene:SETIT_006169mg transcript:KQL12101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRTNVNGVYLAESSSRQELCVVNRQAELSSSSSGQKTNACSRSEDKPVSQRQEPEPSMGASDNIDSLVANSIGRLIFEAGLEPGFVHLPSFNGVIDLLTRGVRIAMPSYEYILQVQIKEVQQRDRALRQHWEKSGCSVILDSWKSRCGKRFVSVFVHCREGMLFLRSMDTSTIFDDVDELATMVCHVIEDIGVRNIVQVIINDVSPHMQAAEHAVLKRYEQSFIFTVCADHCIDLLLENIAALDNVKDVLTKAKEITRFLYGHALPMELKRLYIGDAEIISNSNLKCVAMFDTLEKLVSWRENLVEMFNSADWVSSDLASTNLSMGICEVVQMENAFWSAAAHVLKVTGPLIRVLYKLEDDKCPVSVLYDAMDNAKEEIKQNLGDEHDSYWQMIDHIWDDYLHSPVHAAGYFLNPAIFYTVRFRNDAEISSGITTCILRAAKSHYDALLVAEQMDVYLRKSGQFDSDPAIEEAVGTPQGQTCYGVSRYNLDRSLSERLHTEKMAYTERERFRSMEYVYYNLRLASSVPRVAGPPAAQHGKLTTQLGDWVSA >KQL09022 pep chromosome:Setaria_italica_v2.0:IV:738379:741776:-1 gene:SETIT_007298mg transcript:KQL09022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKVVAVCAVVGFLGVLSAALGFAAEATRVKVSDVQTTTPGECIYPRSPALALGLISAVCLMLAQSTINTVAGCICCKRHPVPSDTNWSVALISFIISWCTFIIAFLLLLTGAALNDQRGAENMYFGSFCYVVKPGVFSGGAVLSLASVALAIVYYVALTSSKSPPPTLATPQNQGIAMGQPVIPQQSSEPVFVHEDTYNRQQFP >KQL10383 pep chromosome:Setaria_italica_v2.0:IV:13867976:13868224:-1 gene:SETIT_008203mg transcript:KQL10383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPQQPNPSLYLPHPKIHLLSPLPNHEHRRKKKFNLQSSLPHSRMTLLKTLEIPRIILGMRSLQHHCVLTRLSMKFSTTQP >KQL11851 pep chromosome:Setaria_italica_v2.0:IV:37166502:37167765:-1 gene:SETIT_008399mg transcript:KQL11851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CMRKGSNLCNGDHMPQEAWPTTSTINRMLASEKVRWSLHTVRTRLAKKQQYCQFFTRFGECKKSGGKCPYIHDRAKVAICTKFLKGLCSNTSCKLTHKVLPERMPDCSYFLRGLCTNTACPYRHVKVNSKAPVCEDFLKGYCADGDECHKKHSYVCPVFEATGECPQESRCNLHHPKKKNKSKRSRADTLQNNS >KQL09121 pep chromosome:Setaria_italica_v2.0:IV:1345945:1349523:1 gene:SETIT_006654mg transcript:KQL09121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHAAAAMLDGIAGAGEEARDLTIRGLATYQPYDGILAFKMALAGFMRQIMHESVSFDPSQMVVTSGATPAMEILSFCIADPGNAFLVPSPYYPGWDRDIKWRTGVELIPVPCRSTDNFNISITALEIAYKQAKKRGVRVRGVLISNPSNPTGGIVPRETLHDLLEFAAEKNIHFISDEIFAGSTYGSDKFVSVAEVVNELEDFDKGRVHIIYGLSKDLSLAGFRVGVIYSYNESIVTAAAKIARFSSVSTPTQRLLVSMLSDQRFISEYLKVNRERLQKMYHLFVSALKQVGVECFKSSGGFYCWADMSKYIRSYSEKGERKLWDRLLEEAKVNVTPGSSCHCIEPGWFRCCFTALSEEDIPVLVERLRRVTDSHKSNS >KQL10483 pep chromosome:Setaria_italica_v2.0:IV:16273118:16276446:1 gene:SETIT_006153mg transcript:KQL10483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAIRVLTALDQARTQYYHFKAIVIAGMGLFTDSYDLFCIAPVMKLIGRVYYPADPTAASPGVTPPAVVSATVGVALLGAVAGNLLFGALGDRAGRRRVYGASLLLMVVSSVGSGFSVCRTRRCALASLCLFRFLLGVAIGGDYPLSATIMSEFANRRTRGAFIAAVFSMQGFGILASSAVTMAVAAAFDRYTGRRAPLDTPEAADLAWRVILMIGAVPAAVTFYWRMAMPETARFTALVERDVVKATNDIGRVLGDLNLATFTEEEAAAFRCAAPPPQFGPTAASYGLFSRRFLRRHGRELFACAAAWFLLDIPYYSSTLFQSQIYHPWFPPAGRVNAFQEAFNVAKFQAIIAAASTIPGYFASVLLIDRVGRRRLQMAGFLLMAAFLLALAGPYDRYWRRGHATDAWYIVLYALTFFSANLGPNTTTFILPAELFPARFRSTCHGISGAAGKLGALVGAVGFLWASQDRDRRKVLAGYEPGIGMMYALIILAGICLLGLAVTYVFTPETMRRSLEENESEHGQGHAGEGDVGQGLQELAELPKSPASMVSSHVSSSPIHPHRFSV >KQL09376 pep chromosome:Setaria_italica_v2.0:IV:2924899:2925131:-1 gene:SETIT_008934mg transcript:KQL09376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRIPRRAGRLNLASAGAGRSQPRRSSSHPPEP >KQL09360 pep chromosome:Setaria_italica_v2.0:IV:2676533:2676940:1 gene:SETIT_009000mg transcript:KQL09360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCICQICLISFKLDNRPKLTLFRNINFHVAIMNRIGYKVLFLYQHK >KQL11103 pep chromosome:Setaria_italica_v2.0:IV:30748800:30749614:1 gene:SETIT_008575mg transcript:KQL11103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRRARRPGHRSGDGAGRHHRRRRPLRRFLYFSYRRFGRALSGAYKVVRCSRFSSWRRHDHRLLEITTVGAGGEPAWRQLRRNQRPLPARAMAARPPSHVACFDLESEEWKTTIEAPATEWPKEEERWEITIGELKGTLSMVETVRSLMDGTAYTNIWLLVDSEKSVWVKEYTIHMPRTVSLVEALEVLGDGTILLLSTFEMEGNSHLFERKKMRVLQLYGPSTRVCKDLMKMAEHRFCNKIALYTGSLLS >KQL09725 pep chromosome:Setaria_italica_v2.0:IV:5498741:5499137:1 gene:SETIT_008977mg transcript:KQL09725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGCWGPSRAPGSATSYLLSHTPAHTDYYRRRHDFQ >KQL10082 pep chromosome:Setaria_italica_v2.0:IV:9344929:9346859:-1 gene:SETIT_006379mg transcript:KQL10082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNFLQKYQLQNHQLILPKKQFITYALYALVALAFLHYLLFYPALASGKSVVVAQVQEEVAAVVSARVDAPEQLLPLPPPPSPNQGDKALGNRQGRALGFPEEVPAPPPCDYSDGEWAPEARPPLYNGTSCGTIKDGQNCMAHGRPDTGYLYWRWRPRGCDLPAFSPEAFLRWLRNKHLAFVGDSLARNQAESLLCLLASRSPPELAYRDGEENRFRRFVFREFNATVSVFWSPFLVKVAEKAEHAGVRHNNVFLDAFDERWMSQLGALDVAVLSVGHWFLIPGVYHDGGRVVACHDCADLNHTETDFFGVFRDAIHRTLAEVTRRHSDGAGKKKVVALTTFSPAHFEGDWDKTGACPKKRPYRAGEKGLGYTESEMRKTVVEAVRSGADAAGAGSGLRFAALDVTALANLRPDGHPGPYMNKDPFGGGGAGDRRVQNDCVHWCMPGPVDTFNEILLQTVLR >KQL09823 pep chromosome:Setaria_italica_v2.0:IV:6338602:6348488:-1 gene:SETIT_005708mg transcript:KQL09823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANYLAQFQTIKSSCDRIVIAVEDVSDLWLNVKESFEQRVPVKKACLNNKARNPVFVDNLPAEFIQTTDSRLRSRFPQEQYLFWFREPYATVVLVTCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAHPSNDQATKMAKKVYARLEADFNTKKRERCCKFDLHGPDAEFWDDFDSKMVDCIRNTLDRRVQFYEEEIRRLSEQRFTPIWNFCNFFILKESLAFMFEMSNLHEDSLREYDELELCYSESVTSPGKHREFGGLDTGDDQAALLNPGFKALTQIVQDDVFREFEFRQYIFACQAKLLFKLSRPIEVAARGHAFVVGFSKTLALHENSLPFCFREVWVITACLGLIKSTTSHYDGGAVSVDSEKEFYRLQGDLYSLCRIKFMRLAYLIGYGVEIEKSPVNSASLSMLPWPKPATWPSIPPDSSAEVMEKEKMVLQAKSREKLFNIHRKPLPLEPSLLLREANRRRAFLSVGNLSELYDSADGSGLDANSKLSPNRSASNFMARTMSGPATSETSLPVDRPMRLSEIHVAAEHALKQTISDPDFMTSLSSVEEFEKRYMELTKGAADNYHRSWWKRHGVVLDGEIAALFFKHGNYDLAVKSYEKVCAIYSAEGWEELLADVLPDLAECQKILNDEAGYLASCVKLLSVDSTLFSSKERQAFQSEVVRLAHSEMKHLVPLDVSSLITFAGNAGPPLELCDGDPGTLSVAVWSGFPDDITLESLSLRLSASSSADEGIKAIKSSDSHVLKPGRNTISFDIPPQKPGYYVLGALTGHIGKLSFRSHGFSQDGPVETDEFMSFEKPTRPVLKVRKPRALVDITPAVSSALLMNELQWIGLIVKPIDYSLKGGILHIDAGAELKIEESQMIEIENYRGDMEHASSANSSAEAGRVEKIPIENGKIKLPDWASDVTTLVWFPVRAIDDTIARGESPASPQKQSIVDGMRMIALKLEFGVFHNQVFERTIAVHFTNPFHVSTRVIDKCNDGTLLLQVILRSEVKATLHVKDVQLDLQSGFEHLGKGDGRPASSLFPLVIAPSSRAGILFIIRLSGTKVLDEGENVDSMLNIKYGISGDRTTGAHSPVPVQPGDSEELLFKIALRLKRPVLDPCLAVGFLPFSTDCLRVGQLVNMRWRVERLKNPEDASISGDEILYQVDANPQNWMVAGRKCGHVSLSNEQGSRMEITVTCIPLVSGYVHPPQLGLPEVGEVNISCNPAGPHLVCVLPPALSTSYCIPAA >KQL09591 pep chromosome:Setaria_italica_v2.0:IV:4453030:4453335:-1 gene:SETIT_008126mg transcript:KQL09591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVPAAMGKRKSRSTKSMAAPRKPPKLDTLFTCPFCGYSEAVECRIDLKDRIARASCRICGESYFTSAHALTAAVDVYSDWIDACELANEGVRRCRPRLVEA >KQL10296 pep chromosome:Setaria_italica_v2.0:IV:12536456:12538144:1 gene:SETIT_008084mg transcript:KQL10296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPPSSPDAATLTPRSAATLLARCASRAAAAALHARLLRCSRAFFRSPYLANCLAAAYSRLGAAPSAVALLRAAPRPNVFTHNILLSALLGSGHLGDARSLFDGMAQRDAVTYNAMLSGYAAAALREEAFRLFCSMRERGVRPTGFTFSIVSSAVASARHGQQLHAAAVRHGLAHLDAVVSNALIDMYRRIGLLQHAARAFSCMEEPDVTSWNSIMSVYKDQVLGSTVFQCFRSMRSKGFSVDGFSVSTVLSVCSDVKDFAKGDQMLALCVKMSFLTNSIVCSAVIDFLCLSDRLPDAVQLFRGMPTWASEPCNALISGYARSGLMEEALSLFAASMQNGVVPTEFTFASVLRWSSCFGLMEQGTQIHCLICKLGFQDDVIVSTALTDMYCKLGLTRHARKIFRTVAAKDLVLWNTMLLGLLQNGRGKEALGVFRRMLKCGIQPDRITLFGALSACSLEGLVTEGMDIITLFEDRYHIMPSMEHYTCVVDMLCRAGMLREAVNFVENKLPKFSAATFSNILEACIIQGNIGMAELVAEKMVMQKSRSSLPYIVLAQTYGAR >KQL12217 pep chromosome:Setaria_italica_v2.0:IV:39494389:39499468:1 gene:SETIT_006266mg transcript:KQL12217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCCWRWDAQDCIEGARMTESRTLGSAGVVLCLRGGGGRKGKKKALACGAHHHLTCGVQATNRVAPPPSLIHPSSQPASASPPTLSPPRIDPPTLSASRILPSRRKPIGFLGRRPASSGAMKSSESSSRFVQELVLYAASAAFSCLVLFAGLRHLDPNRAASQKAAQQKKEIARRLGRPLVSTTPYEDVIACDVINPDSIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILQVVLKGENVEPNIDYDRIARLCEGFTGSDILELCKQAAFYPIRELLDNEKNGRTLDKPRPLRQSDLERALSTSRKGKKAASSGLQSPLWVRPSDSEDDQIS >KQL12218 pep chromosome:Setaria_italica_v2.0:IV:39494389:39499468:1 gene:SETIT_006266mg transcript:KQL12218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCCWRWDAQDCIEGARMTESRTLGSAGVVLCLRGGGGRKGKKKALACGAHHHLTCGVQATNRVAPPPSLIHPSSQPASASPPTLSPPRIDPPTLSASRILPSRRKPIGFLGRRPASSGAMKSSESSSRFVQELVLYAASAAFSCLVLFAGLRHLDPNRAASQKAAQQKKEIARRLGRPLVSTTPYEDVIACDVINPDSIDVEFDSIGGLDHVKQALYELVILPLRRPELFTFGKLLSPQKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRRTTDHEAMTNMKTEFMSLWDGFTTDQNARVMVLAATNRPSELDEAILRRFTQIFEIGIPVQSERSKILQVVLKGENVEPNIDYDRIARLCEGFTGSDILELCKQAAFYPIRELLDNEKNGRTLDKPRPLRQSDLERALSTSRKGKKAASSGLQSPLWVRPSDSEDDQVQSAIFEISKLMSRIVQNSQSEPQEPSSP >KQL10214 pep chromosome:Setaria_italica_v2.0:IV:10961775:10963563:1 gene:SETIT_008072mg transcript:KQL10214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFPWPWCFFMLLLLVILPALALLELQSHLTRRTSAPGTRLPPGPWRFPIIGSLLHLLTTDDPRLVHRALAALARRYDAPVMYLRLGELHAVVVSSADAAREVVREHDANFATRAMTAAVRATVGDKVGIVLSPHSVMWRRLRRICTAELLSARRVRSFRSVREDEAARLASAIATGERQLINVSELVSRFVSDTVLRAIMGERFRWRDEFMATLAKAMTRGAEFGAADLFPSSRLLRAVNGAVRESRALNARLFELVDRAIDQRRGRKAGADAEDVGADDARDCLLDVLLRLQEHDDDLDCPLTMATVKAVILDMFGTGTSTTSTTIQWAMLELMKNPKMMRKAQLEIRHALRCKSRVTEDDLINLKYLKLVIKETLRLHPPTSVLFPKASQECCKILGYDVAEGMLMIMNVWAINRDPKYWVDAEVFKPERFEGTSVDFRGIDFQFLPFGGGRRMCPGMTLAHANIELALATLLHHFDWQLPHGVTPNEVDMAEKFGVDVHPKRDVYLCPVLVVVYMIQ >KQL10846 pep chromosome:Setaria_italica_v2.0:IV:26840712:26844906:1 gene:SETIT_008688mg transcript:KQL10846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPFSSSSSFAPRRPPPPAFSPSASTSRTSASHVPVCGPRRRAIAATASLHLGPGEVAELARNKVLIAATMASAIGQLSKPFTSGKNGGTGSGLDLKTVFRSGGMPSTHSASVVAVATSLGLERGFADSVFGMSVVFAAIVMYDAQGVRREVGNHAKVLNKFWILREKVPQDSELDMASEFVSVTEEVISSNRSNASPSPRRSSRAESPRLNRLRSSEPDVTDLTEVNSSYIEEGYLLSESVGHTELQVTVGALLGFIVSLAVYATL >KQL10713 pep chromosome:Setaria_italica_v2.0:IV:23951834:23954031:-1 gene:SETIT_006934mg transcript:KQL10713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTEAKNRTSKDDITSLRLITAVKTPYLPDGRFDLEAYDYLINMQIEGGAEGVIVGGTAGEGHLMSWDEHIMLIGHTVNCFSTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSTEGMKAHFKAVLPMGPTIIYNVPSRTGQDILPPVIEDLWGHPNMAGVKECVGHERVKCYTNKGISVWSGNDDECHDSRWKYGATGVMSVTSNLVPGLMRSLMYEGENATLNEKLLPLIKWLFCEPNPIPLDTALAQLGVARPVLRLPYKALALEKRVEFVRIIEAIGREHFIGNREARVLDDDDFIPICR >KQL09893 pep chromosome:Setaria_italica_v2.0:IV:7162824:7165403:-1 gene:SETIT_007948mg transcript:KQL09893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAAAAPSTSPSSSVLTLTLSSPTPSLASAPPSLCSAPDHSLRSSPRSAPFPSAQPPRQPSGSAGGHRPAMTSAVATTVGCGGLPFRPSSAAPRGRPRGRWMVRAQAAGADASDDKSLEIMRKFSEQYARRSNTFFCADKSVTAVVIKGLADHRDTLGAPLCPCRHYDDKAAEVAQGFWNCPCVPMRERKECHCMLFLTPDNDFAGQDQAISLEEIKEATSKF >KQL10218 pep chromosome:Setaria_italica_v2.0:IV:11056044:11058940:-1 gene:SETIT_009089mg transcript:KQL10218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFAVVVAPSFCASIAAAPQMKCGWRWLRSKLVQAPPHPPPAGTAARRFPVGPSSPTTSGSRRGL >KQL10033 pep chromosome:Setaria_italica_v2.0:IV:8718140:8721061:1 gene:SETIT_006161mg transcript:KQL10033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPAGASLAAVAAGWLDLDGSTSTTATTLLLRRWWWPPLPPSGAAEALRAAWDVARAAAVAPALAAASWACLALSAMLLADAVFLAAASLLAPRGRYRAAGPAAAAEEDGDEEASGRAVGGGGYPMVLIQIPMYNEREVYKLSIGAACRLAWPSDRVIVQVLDDSTDPTIKDLVELECKFWANKGKNVKYEVRNNRKGYKAGALKQGMLYDYVQQCDFVAVFDADFQPEPDFLVRTVPYLVHNPRIALVQARWEFVNPNEFLMTRIQKMTLDYHFKVEQEAGSSTFAFFGFNGTAGVWRISSIKEAGGWEDRTTVEDMDLAVRAGLKGWKFIYVGDVKVKSELPSNLKAYRRQQHRWTCGAANLFRKTGAEIILTKEVSLWRKLYLIYSFFFIRKVVAHVVPFMLYCVVIPLSVLIPEVTVPVWGVVYIPTTITLLYAIRNPSSLHFIPFWILFENVMSFHRTKAAVIGLLELGSVNEWVVTEKLGNSSCTKPVPQILEKPPCRSWDRCTMSEILVAIFLFFCATYNLVYGGDFYFVYIYLQAITFLIVGTGFCGTSNS >KQL11281 pep chromosome:Setaria_italica_v2.0:IV:32286783:32291382:1 gene:SETIT_006645mg transcript:KQL11281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLYGTERNHPRADLDPDIANTERKCKRPVAHADMRDAPWLAASLLPRVLHFIPPSKLANGQADRPCAAFLRFRGLASSPHRRHRSGVFVIALASYCSGHSVHHRQFGTAWSPPLLPRLHLAPLRMSIHGLVLEVRVTGCRKLRDTEFFTRQDPYVVLEYATTKHRTRTCTDGGRNPTFDEKFHIPLIEGLRELNVVVWNSNTLTHDDFIGSGRVYLHKVLTNGYDDSSWSLQTRHMRSAGEVKLIMHVDVSAMKNKMGRNIAASSTHSVPPPSMPAPALASAVPYTGVPPSYPPASAYPAPSAYPAYPTPSQSPYTTTEYPPPPQQAYPPSPAGYPPPSYPPQPYGEPYLPQPYGQPYPPPPAAQSPYPPAPYPGTYPPRPY >KQL11280 pep chromosome:Setaria_italica_v2.0:IV:32286783:32291382:1 gene:SETIT_006645mg transcript:KQL11280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLYGTERNHPRADLDPDIANTERKCKRPVAHADMRDAPWLAASLLPRVLHFIPPSKLANGQADRPCAAFLRFRGLASSPHRRHRSGVFVIALASYCSGHSVHHRQFGTAWSPPLLPRLHLAPLRMSIHGLVLEVRVTGCRKLRDTEFFTRQDPYVVLEYATTKHRTRTCTDGGRNPTFDEKFHIPLIEGLRELNVVVWNSNTLTHDDFIGSGRVYLHKVLTNGYDDSSWSLQTRHMRSAGEVKLIMHVDVSAMNKMGRNIAASSTHSVPPPSMPAPALASAVPYTGVPPSYPPASAYPAPSAYPAYPTPSQSPYTTTEYPPPPQQAYPPSPAGYPPPSYPPQPYGEPYLPQPYGQPYPPPPAAQSPYPPAPYPGTYPPRPY >KQL11227 pep chromosome:Setaria_italica_v2.0:IV:31888878:31892176:1 gene:SETIT_006794mg transcript:KQL11227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRGRCLVGLLLVAASLCAHVSAAASDTAVLAAERTRRKDPLDGLRYYTGGWNISNRHYLASAGFSAAPVFVIAALWFVAVAAAALVSCCCRCCRGGGNSNYSYSRRVFALSLVLLIVFTASAIIGCAVLYHGQGKLHGSTTATLDYVVSQADGAAATMRDFTGLLETAKSAGGSVASLPPDVARAIDDVARRVDAASGELAVRTASNSRRIRTVLDTIRKVLIGVAAVMLVLVILGFGVAAVRVPHDGGVGFGGVRDGRAPHAGDAVPDAHRGQRQRRAAATGARPEGPGELRDSAARVPDDQRARLPAAAAGQQPGVPGAARGVGGRDARRGGLFTISADPNSE >KQL11019 pep chromosome:Setaria_italica_v2.0:IV:29709064:29711203:1 gene:SETIT_008451mg transcript:KQL11019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTVGARNLVKICISTNLLTGQIPEDFGKLKNLTILSLYNNQLSGSIPNSITLLPMLQDVQLSDNALSGRFPPGIWLLPKLTIVKVHDNAFTGSLPSGLGPSVATIDISNNRFSGRLPATGTNLSYLSTTNNLISGEIPTNLIDHAPLQVLILSQNMLSGLLPSRIWHKVSFRELDLSKNYLSGEIPDTVGETTCIYKIDLSENNLYGPIPSELAQLNPGFLNLSSNQLSGQIPLPCQKEGEGFIYSFLSNPALCSSNHVGNFPECVRENKHSRRSVTIFVVLGTTILICTGLYRFNKIRTFLTKKKDSDQSPQWKLTTFHSINFNAQDILYGVTHGNLVGSGGSGKVYRISLVNSNGKIAVNKICNGLRKDDMLEKQFQAEIETLGSIRHANIVKLLRCISSSESKLLIYEYMEHGSLYDCLHKKNLTSTTELLNWPERISIAIDAARGLSYMHHDCSPPIAHQDVKSSNILLDLEFKAKIADFGLARALVKSGEPESISTMVGSFGYMAPEFGSIRKMNEKVDVYSFGVVPLELTTGRRATGEGGGHENLAQWAWWKFQEEGFQLINIIDEKIREAPYFHEVQLVFKLGLICTGTKPSLRLTMKEVLRVLQR >KQL11679 pep chromosome:Setaria_italica_v2.0:IV:36011085:36015764:-1 gene:SETIT_006138mg transcript:KQL11679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPLLAAACCALLACAARPQCAEAAKARHFKWEISNMFWSPDCEEKVVIGINGQFPGPTIRARAGDTIHVELKNALHTEGVVIHWHGIRQIGTPWMDGTAAISQCAINPEETFTYRFVVDKPGTYFYHGHYGMQRAAGLYGSLIVDVAEGEEEPFKYDGELNLLLSDWYHESIHTQMVALSSKPFRWIGEPQSLLINGRGQFNCSMAAAHTPGATQCAAVNRQCAPVILPVQPNKTYRLRVASTTSLASLNLAVGNHKLTVVEADGNYVDPFAVDDIDLYSGDSYSVLLTADQDPSSNYWVSVGVRGRLPKTAPALAVLNYRPNAASKLPALAPPATPAWNDYGHSKAFTYRIRARAGTPPPPATADRRIELLNTQNQMDGHVKWSINNVSMVLPATPYLGSLKLGLKNTLTAAPPPETFSRGYDVTLPPANPNTTVGGNVYVLAHNATVDVVLQNANALARNVSEVHPWHLHGHDFWVLGYGEGPYRGDASDEARLNLRDPPLRNTAVIFPYGWTAIRFVADNPGVWAFHCHIEPHLHMGMGVVFAEAVDRVGKVPKEAVSCGATASALMNGDHL >KQL09756 pep chromosome:Setaria_italica_v2.0:IV:5748965:5750772:-1 gene:SETIT_007958mg transcript:KQL09756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHARTPAPNPHRPLARASPASGAGRPRPRPRAPPRRPLPHCHTGSGRHSGEGREMEAALRGIRGKLTEHREKVVSALLFGSFVALGWRSAEQQREIEGLEAEKNSLRAANAAMSTVMWGWREELFSLAATPSSPISASRLRHIYGEEEPAPPRPRQPGSDAGEESISIA >KQL11810 pep chromosome:Setaria_italica_v2.0:IV:36921754:36922709:-1 gene:SETIT_008187mg transcript:KQL11810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPKLMRTVALGVLCSAVLLLAGGASAAGNFYQDVDITWGDGRGKILDGGQLLTLSMDRSSGSGFQSKNQYLYGRFDMQLKLVPGDSAGTVTTFYLSSQGSQHDEIDFEFLGNASGEPYTVHTNVYSQGKGGREQQFRMWFDPTKDFHTYSVVWNPSHIVFYVDGTPIRDFRNLAASAAGVPFPTSQPMRVYASVWDAEEWATQGGRVRTDWSKAPFVASYRGLAAAGCAGSDAAACARAGGAWMFQELDAAGQEELRRARASYMIYDYCTDKYRFRQGPPPECAAAK >KQL09879 pep chromosome:Setaria_italica_v2.0:IV:6989082:6997552:-1 gene:SETIT_005697mg transcript:KQL09879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIEDYHVIDLVGEGSFGKVYKGRRKYTRQTVAMKFILKHGKTDKDIHNLRQEIEILRKLKHENIIEMIDAFETPQEFCVVTEFAQGELFEVLEDDKCLPEEQVQAIAKQLVKALYYLHSNRIIHRDMKPQNILIGKGSIVKLCDFGFARAMSANTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDNMSSNFKSFLKGLLNKVPQSRLTWPALLEHPFVKDDSMESSIDTRTAPFEVKGSEDTRKKEEIQTPTNQSSQADPESRITVTNRENASDKPKGNRKLDVPMQATEDHYGSSTGADPENCSPSPSGNMFLFWMYAISKYFIAECTTLDKLEKASQTVKGANSILEDSEALSTIVSPIKIWLTNPPSSPRELNIDGANQSLRIIKNLIDASSCQSYAAIDICMLLEFTNLIIRTKLSDAYGLVMKCLAIARKLLDTNDELILSSYDRYWSSLYELYSQILVSTVDPSGRIPRESTACLALMLSRVISGLKASMSSEGPKPVDKTLLKIIDQSRRSQLLELLCECLIASGSDIISGSTNMVPAACEACKAIWYLAHAVEIMSISAQNFSFPFSNSWRHIHSIQEQGSMADSNSTNLINIFVKSFLGSRPMQVAVYHCLHNGLESAIHACLQLISRACLQNMSFCAIICRPWNLPSDVDAVEYGGDGTIVSDMFSLLSLCGSILNKESKQNNNQKCKLSNPHALVVHCCLALATIAACLKSGGESSASVILTSSQKKQRSRLSVLAHLSSVDDTVKSCLQPHCASAMLALSALVSLENGGQTRSSLCETALALFPRMATLHTLLKLWLSDGSEALCRYNAGLLNLFGLRDGSIGLLETRLKWGGPLAIEQACSVGIPQLLIRLLTDGFSKETSDGKDGSASRSGLSPLGVVWTLSTLSQCLPGGIFREVLYRREQLKLLTDLLSDVHLKALAAWTGLGGGKRGVRELINSVVDILAFPFVAVQSSPNMPSASASINSGFLLNVASPGVRIGTENKEMLKTIEQNMPQYIQVLLEVGIPGCMLRCLDYVDMEDLARPLAIVAKMAGYRPLALQLLKEGLLDPRRVAGLLEGPIAKETLLDFLMIVSDLARMSKDFYVPIDKAGLVGFLKNFLSNEDPDIRAKACSAIGNMCRHSSYFYSPLAANKVIQLVVDRCSDPDKRTRKFACFAVGNAAYHNDMLYEELRRSIPQLTTLLLGPEEDKTKGNAAGALSNLVRNSDILCEDIVSQGAIQALLKMVGSYSTVALSPSRRDALTESPLRIVLFALRKMCDHAICRNFLRSSELLPVIVHLRQSPDPTISEYASAIASRACQA >KQL11384 pep chromosome:Setaria_italica_v2.0:IV:33100108:33104130:1 gene:SETIT_005714mg transcript:KQL11384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSTAAVVGWVVSPILRRMLSLVQSYISSQYSWNSNLVSDLKNLQATLTEILLVVDAAERQHVKDSNQVELLRQMKEAICEAEDMLDKFDYKLIREKVEHQGVARSIISSSLSLGKRLIGLDKLRSELHMVIKSMARVKACAEMFARVMAAENTNTIEPADCVRTRATGSFSHGAIVGRKEEVNELLCWMLNQKNITSSNNKGSFNTEVYSIVGDGGIGKTTIAQLIYNDKRIVDNFDIRMWVFVSHKFDKINLIKEIIAYTAGGKNIELTNFNFSMLQEELQRRISDKKFLLVLDDVWYDENFGEHTNRGRWRELIAPMENALTGSKILVTTRMELVAKMLDSRRSFFLHGLGQDASWSLFRDCQDFSAISSTVESIGMQIVQKLNGSPLAIKVVGRHLNRKKKVAEWNEVLHSNNILNPNDMLKILRLSYEGLPVHLKHCFAYCGLFPKGYHIDPEKLIHMWIAQGFVDTEGSTNKSLQDSGRSYFDDLLARSFFEMLRRGSQTFYVMHDIMNDLALHVSQGECLRAECESMEAMPLYTRHLSISSENLENLVNYDLGMLRSLIVLRKSWFCSKVSLNHAILGKLKSVRVLDISGCCLERLPDAVNRLIHLRYLGIQRTYHPLPRKMFMYHLQALFVQYHSCYSPTDYHNSSMQNAKTVGGCFHLPESITKLINLVHVDVEKAYVLMLSSTHKLPYVECAGEFCVDEEGSLLGLKDLNKLRGQLTIMSLEKVRNKVEASKAHLHLKEHITKLELQWESSRAKCANSTKQGFEVLDVLKPQPDLEELTISGYPGARSPSWLESGWLSRVQFVCLRDCNRWEVLPPLGDLLLLKTLEIRRMQGLKTLGQEFFGCAGFPSLERFLLERLPNLEWCLVDNYQLFRNLQHLSVAGCPKLREYPTYPQFVEDMEIYVNHEVCMSRTVFDNLKSLKKLKIYGIHRANTCSVIATLWDQNGLTVFPSSLRSLQLLHCYLQPSFLSKQLNNLSSLDTLCLMDCDTVEMPCPPVSLHHLRMLKQLNIYKCDWITSFEGSEALVSLEEMKVEQCYDLGYVPVVNNMPSIQKLHLIRCPQVMYLSNAGYHTALKELVIESCDGLSSLKGLRGLVSLTKLKVTSCSDLVLLPDMDAYYSLGLLIIKRCPLLRSLPKTGLPVSLKAFFLIKCHPALEEQFQQKEGADWNKVAALPGCMRYTGKSSVQWPREWEIWKEF >KQL10615 pep chromosome:Setaria_italica_v2.0:IV:20264829:20273954:1 gene:SETIT_008087mg transcript:KQL10615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCPLFLMTPRPPPLLVHADRYPPIGSAYLPTSRRPCPGRRHGYLFRCDSSSSSSAPRDRTPRPRQQRQRSQRPGGRRVDAVDPVGFLAKLRVSDRAFAQFLRDRHKALKDRRWELCSRFIDLKEVSSGFELLGMHRHRQHRMDFMEWAPGARYCSLVGDFNEWSPTENCAREGHLGHDDFGYWFIILEDKLREGQEPDEYFFQEYNYVDDYDKGDNGVDAEEIMHRMKEEYWEPGEIRSHKSQLEMVVKLYEQMFGPNGPQTEEELGEIPDAQTRYNEWKALQKTDLSSMSPSYDIIDSGQPFDIFNVVTDGASFEKFQAKKPPLAYWVEMRKGRIAWLEKYVPTISHKDKYRVYFNTPDGALERVPAWATYVLPDAEGKQSYAVHWEPPPEEVYQWRFGRPKVKGSLRIYESHVGISGSEEKVSSFQEFTSKVLPHIKNAGYNAVQLIGVVEHKDYSSVGYKVTNYFAVSSRFGTPDDFKKLVDEAHGLGLVVLLDIVHSYASADELVGLSLFDGSNDCYFHSGKRGHHKYWGTRMFKYDDVDVLHFLLSNLNWWVTEYRIDGFQFHSLSSMLYTHNGFSTFTGTMEEYCNQYVDKDAIIYLILANEMLHDLHPDIITIAEDATFYPGLCEPTTQGGLGFDYCVNLSVPEMWLWHLENVPEREWSMNKIMKVLVSSDQKMLSYVENHNQ >KQL11539 pep chromosome:Setaria_italica_v2.0:IV:34616795:34618810:-1 gene:SETIT_007937mg transcript:KQL11539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSSSEDEELVEEFIDVEDDTGTANIDQRTGVMASQIHGIDPSDGSMAPTGNELLMAADVVAKNDEPRMGMEFESDAAARAFYNAYALRFGFGIRVARSRSERRKGVEVLVMKRFVCLKEGHHKKKPVDPSNKKKRKRLSIRDGCPAMMEVVRRGPDKHVLGVFVMAGVIMLPEPCITKRWTKKAKMGPELIGLNVGNESGSADSVASRYNDLVRDAMKCAEKGAVSAGTFRVAKEVLCKAFMEIKALREKLNKDALHSAASR >KQL10999 pep chromosome:Setaria_italica_v2.0:IV:29330328:29330709:1 gene:SETIT_009138mg transcript:KQL10999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILVNLNPREGTLISISISYLMLTFAILSQSLLLV >KQL10292 pep chromosome:Setaria_italica_v2.0:IV:12511852:12512644:1 gene:SETIT_007986mg transcript:KQL10292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLGGGDEAAEPGLDALLGDVLVEGVPSAPTEVVDDARELLLGADLERLERGLGRGDGGGERTRRQGEFFRRRYEWMRRPIVGNQLRHATPGLGIAIVAFGIYLIGEAAYNRVYRPSGDHHH >KQL11639 pep chromosome:Setaria_italica_v2.0:IV:35529464:35529938:1 gene:SETIT_008902mg transcript:KQL11639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSFGPSCKPKLLSTITKSKRHLHHGVQENNAATREKHKFCAIN >KQL09847 pep chromosome:Setaria_italica_v2.0:IV:6663081:6663175:1 gene:SETIT_009023mg transcript:KQL09847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIKLPLLITSNCKEVNSMGTTLKHVAP >KQL09067 pep chromosome:Setaria_italica_v2.0:IV:1029186:1030360:-1 gene:SETIT_006854mg transcript:KQL09067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMADPSCPPFPLLAEEGHHEQHEHQEVPHGGELEVPTVDLQAPGEALAAACRRLGVFRLANHGVPGDLSARLFALARDLLGRAPFLDKQAQPGYFWGTPALSSLRVRDVNWVEGFHVALAGQQQHRPVTAAAAPPPSDDLAAALRDLAREYGAHMARVARALFDALAAALGLGSEQSSAYLAERDGFLRVYRYPPCPEPGHLGMEAHTDSSVLSVINQDLVGGLQVLHDGAWRDVAPAGRGDAGTLLVNLGDMARAISGDAWRSVRHRVAASRAAERLSLCYFAFPRDDAVITCAGGSRYRPFTYAEFREQVQADIKATGSKVGLERFLRH >KQL12131 pep chromosome:Setaria_italica_v2.0:IV:38991831:38993113:1 gene:SETIT_008762mg transcript:KQL12131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMSKLAAAGDGPGWADLPRDLLESILARLPVPDRLRFPAVCTSWQSAAAFGSNAPLSPWLMLPFNPAARGGAAVAARFLSLAEGRAYAIRQPAPAASGRVCVGTSPDGWLVTTDAFSELHLLNPVTGAQVQLPSVATLPFVDATRGADGRVESYNFRCFFPADDCDGEVLIPPATLAPDRLRYEVYDKAIVVSAPRRLASPSGETWGGYAVLLICQPLSRVAVARAGDAGWTLLDTPARCWVDAVRAAGADGAVYAMDTAGRVEAWDMDATTTNATPTPSRAIAPPCRCSARACAMLAACRRYLVELESGHLLHVHRLRGAAHAGYTWEPRPEYVEYTTTGVDSFEWKAGDDEACDLPQWSEVDGEAASRVLGARAVFLGKSASLCVPVDCSGSGGELRGNRVYFTDGSYRARRGTCSG >KQL12202 pep chromosome:Setaria_italica_v2.0:IV:39398755:39402998:-1 gene:SETIT_007231mg transcript:KQL12202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVACRRALLLHGQHQWPQRWAGAAVPTSAARSISQLVKTNGRRAFLVDTLALVRKLESQGVPTKQAEAITSAITEVLNDSLESISESFVSKAEMQKSEMLQESNISKFKSQVQSSQENHFSLLQRETEKLRGDIDKMRSELKYEIDKVTAGQRLDLNLERGRIRDELAKQNEETTELTTKLDKEIHSLKAQLEAAKYDVIKYCIGTIVSISAVGLAVLRIVM >KQL09890 pep chromosome:Setaria_italica_v2.0:IV:7134447:7137138:1 gene:SETIT_008408mg transcript:KQL09890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLGVVLFSLSLLGRLSGRAALNPTVRVFLYASFALFLPLMSYMFSQAKDEATNKQLQQGAAADRPPLRTVHQQQTLWDAIDQVVRIGWIGYRIYLVATVEVAKRSFAVGKNTHLVVGYTAQMLEDEDLRDEREEGAVLLRKCWYAVMGEDRLKRKVGPDGYRVEVELPESDEEIKKDLVTVGDIWELAEGSDGKPADRLLTDHPKLKDLCLAFALFKLLRARFENLHVDKDVVVKNRDLIFRGLRGGDGDHDREAGSSSSDRDEDRRREDHTERAFHVVELELNFVMDYYHSVVPVVLCSPWFLVGNYLFVFLIVVNQAIMVLFITGNGRLLQIIGCLARDVVTLSRRAIDLLRCLGHKLLRTVIIAFSSFNILVSLMLFLTFILMEAWEFVVYVLSDWFLVSMLCEYARRPTWQSSRCVSKSFRALLWAKQVGRPRAGMCFNQVCVLDLRRHTPWVVISKVLRHRFLGAPSVRVPAEVKRAVFASLAAKATGGEPLSNGVAELLWACESRSVTHVILVWHIATSIFEMKNAAGAAGTTRSAEETVATTLSKYCAYLVACASELLPENQEGSERVYKCVKRALRSALSRKRRRSKSETRFDQVMRIEGPDPDVAANMGAELGKQLLEDCGFDGGNVGHGWALLAELWTELVIYIAPSEKIDGHAEALAQGGEFITLLWALATHAGITR >KQL09103 pep chromosome:Setaria_italica_v2.0:IV:1225632:1228128:-1 gene:SETIT_006489mg transcript:KQL09103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVKLVEGYKFDDHTTSDVRVCFKLIDEQPEWFSCHSSVLSQNSKYFADWFGQNDIRSNNCIEIECPRVEYDHYVKMLKSMYLPRESVIDSFDSVKSAVGVLRASNSLGCELVTKSCIEYIEAASWDEKEEEEILEVARTLGSKAVSLLARLQAPSADAVKNVFISAIRFATCMETPFPPFLDDLKTSAQEQIDFMIHEDDDTALVTMDEDVKYVVQEGLRKLLSTLRTGLDLLCTEFDQSPDQAEQRILCSLADIDWIANLLAKIEMMHDFVSGWSEISDHVLSVVQDKKYSSDLWAVKSKLIEVTGKALDAVGYGSVVLPSSFRVHFLKTWLPYIQMTKRLLDENSKDETSLQMDSDSCQNIESAIVSMVLALPSDDQADILSEWMKKAEQFRYPDLTEAFEVWCYRSKTAKRRLVDNGASNPTVSL >KQL09757 pep chromosome:Setaria_italica_v2.0:IV:5755472:5757380:1 gene:SETIT_008356mg transcript:KQL09757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVGRRGIPSLLKLPSSPQPEAASLKQEHIASNITQVQHSLQSLHPAGWHLFGFLQLVGWTPLIELKRIAEKDGINARIVGKMEFYQPLCSIKDRGALRMIEDAEEKGLISPGSTTLVEPTSGNMGIGLAYISLLRGYRFVAVMPAGDSLDKHILLRYLGADVVLTDPTLGIQGQLDKLEQLKKEVPNVHFLDQTANAANPEAHFTWTGPEIWKDTAGKVDIFVAGAGTGGTVSGVGKYLKMKNPAVKVICVEPAESPVISGGKPSRHKIQGLGPGFVPKNLDRSVTDEIITVTAEDAMANARRLAKEEGLLVGISSGANLAACLKVASREENKGKMIVTVFPSGGERYMNSDLFAA >KQL12282 pep chromosome:Setaria_italica_v2.0:IV:39801079:39802513:-1 gene:SETIT_008507mg transcript:KQL12282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFGDSYLDVGNNNYLSGPNVPRANRPYYGIDFPGFPTGRFSNGYNTADYIAKKIGLAAGSPPPYLSVAWSSSLVVSTALTIGMSYASGGAGILDSTNAGDNIPLSKQVQYFNATRSKMVAAVGSGAVDTLLSRSVVLIGAGGNDLSVFANAQQQSDVAAFYGSLMSNYSAAITDLYTLGARKFAITNVALAGCLPAARVLDAAGSCSDYRNYLADRFDDALRSLLADLAARLPGFLYSLADSFALMVDTFYDPQASSGFTDVASACCGGGRLGAEAECSLNSTICANRDQHYFWDNVHITQQAAKQRAQAFYDGPAKYTTPINFKQLVQKTAA >KQL11362 pep chromosome:Setaria_italica_v2.0:IV:32908553:32912077:-1 gene:SETIT_005907mg transcript:KQL11362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPGRAVFFLVLFLVLLGDLHCCSGSQVYVVYMGKGLQGDSDLQHDTRRLHYQMLAAVHDGSLEKAQASHVYTYSSGFQGFAAKLNKEQAMKLAEMPGVFSVFPNTKRRLHTTHSWDFMGLSTNAEGEVPGLSTKNQENVIVGFIDTGIWPESPSFSDHGMPPIPKRWRGQCQRGEANSPSNFTCNRKIIGGRYYLNGYQTEEGDSSKNAIKFISPRDSSGHGSHTASIAAGRFVRNMNYGGLGTGGGRGGAPMSRIAAYKACWDSGCYDVDILAAFDDAIRDGVDIISVSLGPDYPQDDYFRDAISIGSFHATSNGILVVSSAGNAGRQGSATNLAPWMLTVAAGTTDRSFVSYIRLENGTFIMGESLSTYHMKTSVRTIPASEANAGYFTPYQSSFCLDSSLNRTKARGKILICRRTGGSSESRLSTSMIVKEAGAAGMILIDEMGDHVATHFAVPGTAVGKEMGDKILSYIKSTRHASTKILPAKTIIRLRYGPRVAAFSSRGPSSLTPEILKPDVAAPGLNILAAWSPAKKNMHFNILSGTSMACPHVTGIAALVKSVYPSWNPSAIKSAIMTTATVLDKKRRTIATDPDGKAATPFDFGSGFMDPIKALNPGVIFDAQPEDYRSFLCAISHDDHSLHLITGDNGTCTHRTSSSATALNYPSITIPHLKRSYSVTRTMTNVGNPRSIYRAVVSAPRGINVTVTPEVLNFENYGAKKTFTVSFHVNVPPRGYVFGSLSWHGNGRGAHLTMPLVVKVQTSDKA >KQL11616 pep chromosome:Setaria_italica_v2.0:IV:35344857:35346672:1 gene:SETIT_007159mg transcript:KQL11616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIRQAYPDIQMISNCDGSSRPLDHPADLYDFHVYTDSKTLFNMRNTFDRTSRSGLKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEMNSDIVHMASYTPLFVNDNDRTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMIHPITVSSSYSGSLAASAITWQDSENSFLRVKVVNFGSDAVSLTISTSGLQASVNALGSTSTVLTSGNVMDEN >KQL10197 pep chromosome:Setaria_italica_v2.0:IV:10711949:10714844:-1 gene:SETIT_005903mg transcript:KQL10197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGALPVAVFQNLTNMRELNLSDNKFNGSLPKTLLELPHLKILDLSENSLVGGIPISSSSDEEPASLEVLNLSNNHMSGALPTEQAFRYLRNIRELHLSSNQFSGNLSAFLFSLPHIERLDLSGNLFEGPIPISPSSNLSLSLKSLRFSKNNLSGKLSLFWLRNLTKLEEIDLSGNTKLAVHVNIPGWVPPFQLKQLAISGCDVDRDMIEEPHFLRTQLHLEELDLSNNNLSGSMPNWLFTKEATLVNINLGNNSLTGSLLPTGHPQTALQAMTISNNRITGQLPVGFGSMFPSLSTLDFYHNNFYGQIPMSLCHINRMRLLDLSNNNFSGELPPLSQTNQLGGLVFGGMNNLSVGFALHLGNNRFEGALPRLLSGSLVIMSLYDNRLSGELDPSFWNLSRLGVLNLSGNRITGNIHPKICNLTSIEILDLSDNNFNGPIPRCSSTSLSSLNLSGNSLSGDISHGIFSTPNLMKLDMRYNKLTGNLSWLDHLDNINVLSLGWNEFEGQVTPNLCKLCPRIIDLSHNKLSGSLSPCIGTIFCDHKTVEVTGLFFLIKLIVESYILVKDTRGFTFGTKGNQYTYDGFYFSDLMSGIDLSGNMLSGEIPRELGNLSHIKSLNLSNNFFSGQIPVSFANMSEIESLDLSHNELTGSIPLQLTKLWTLEVFSVAYNNLSGCIPNSGQFGSFTTDSYQGNSNLHDMSQGDGCSSSHGSGAGGMPPEGNDVIADDPVLYAVSAASFVLAFWATVASMVCHPSGRHVILKSAKMVSCCCR >KQL11230 pep chromosome:Setaria_italica_v2.0:IV:31904576:31916198:-1 gene:SETIT_005726mg transcript:KQL11230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVCEGKDFSFPAQEEGVLKLWAGLDAFHEQLRRTEGGEEFVFYDGPPFATGLPHYGHILAGTIKDVVTRHQSMRGRHALGITNRQQVFDLGIGKYNETCRSIVTKYVSEWEAVVTRTGRWIDFKNDYKTMDLSFMESVWWVFAQLWEKDLVYRGFKVMPYSTGCKTALSNFEAALDYRTVPDPAVMVSFPIVGDADNAALVAWTTTPWTLPSNLALCVNANLTYVKVKDKSNGAVYVIAESRLGQLPVKAKASGKKQAPSKGSNAEAVQDGLDKESYELLAKIPGSSLVGLKYTPLFDFFLELRETAFRVIADNYVTDDSGTGVVHCAPAFGEDDHRVCLAAGIFETAGLVVAVDDDGCFIEKISEFKGRYVKEADKDIISAVKDKGRLVSKGSIEHSYPFCWRSGTPLIYRAVPSWFVKVEKIKDQLLECNKETYWVPDYVKEKRFHNWLEGARDWAVSRSRFWGTPLPLWMSQDGEEIVVMDSIEKLEKLSGVKVTDLHRHYVDDITIPSKRGPEFGVLKRVDDVFDCWFESGSMPYAYIHYPFENKELFEKNFPGNFVAEGLDQTRGWFYTLMVLSTALFGKPAFKNLICNGLVLAEDGKKMSKSKKNYPSPTDLIDEYGADALRLYLINSPVVRAESLRFKRSGVYGVVKDVFLPWYNAYRFFVQNAKRLEVEGLAVFSPIDQASLLKSSNVLDHWINSATESLVSFVRQEMDAYRLYTVVPYLVKYIDNLTNIYVRLNRKRLKGRTGEGDCRISLSTLYHALVTTCVAMAPFTPFFTEVLYQNLRKVSDKSEESIHFCKFPSTTGERDERVEQSVSRMMTIIDLARNIRERHNKALKTPLKEMVVVHPDNEFLEDITGKLKEYVMEEINVKTVTPCNDPLMYASLRAEPNFSVLGKRLGKDMGKVSNEVKKMTQEQILAFEESGEVTFFGHCLKLDDIKVVRQFKRPENVSEKEIDAAGDGDVLVILDLRADQSLFEAGVAREVVNRIQKLRKTAQLEPSDPVDVYYKSSGNDKNTLEQILKSQDQYIRDALGSPLVPKEMAPTDVVVLGEESHDVHDMSFVICIARSCPVLSPDLAHASVNNDHVEALRVYLSSRSLSRLKNQFQAGKGMITVDCIEGYPPITLQLGKHVFLSAGDFYLASRS >KQL10771 pep chromosome:Setaria_italica_v2.0:IV:25418016:25419161:-1 gene:SETIT_008820mg transcript:KQL10771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDLGWFCGSGSNNWDLHAVVRFACSGSGRVTPPSASNDTFSWLNTPSASEESSSGLPPLQPQTDQIMDAAASQPLLADLAVDDLCLQAFFASPKPETPQPSSPTNEAPPAQRSPADGPPGKPRASGRAGGGGPTRSKRKSKKSQVSKEVTRIPVGGPPADLWAWRKYGQKPIKGSPYPRGYYRCSTDKDCKARKQVERCRADPGTLIVTYTGDHSHPVPLHRNSLAGTTRNKAQPPPSSPSPAEEAPKPEAALSTASAATDTTKSQGSPCASTGLSPSTPLCSPSLGVEYKDDEDDDAIPVGMLLEGADMERDDDVLLYLMPEETAPGPGNGSGCETSCYS >KQL09354 pep chromosome:Setaria_italica_v2.0:IV:2662835:2663434:-1 gene:SETIT_008587mg transcript:KQL09354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPPPPEQPHAGSSSGEETKYKGVRRRKWGRWASEIRLPNSRERIWLGSYDTPEKAARAFDGAAVCLRGPGGADGLNFPGSPPAVAGLTTDPEEVRAAAMSHANKVPTSFTGAPSSEVTEWAGTAAVPAPAPLQVSTETFDWSELMANPPPLFSPTVVGSHAHLPAESLTPATDVYMDETESDSCPGLWSFDSGDAP >KQL08993 pep chromosome:Setaria_italica_v2.0:IV:616981:620096:1 gene:SETIT_0058542mg transcript:KQL08993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGDWEDLVRRMFPPGTTIPEPPANLDYSIALEYDGPPVSYELPRIEPVNIPAIPTADPVSGPLGLANGAVPVAPVVGPARRANPPPPPRVAQAQRAHHSSAAQARGSSASANSAAARDEEYSDDDDEDSRSPRSLQGHKAAARPAAPDGRRAQVVTFGVAAAAEDSKYESKEFDEVSEQYVAVTRVEKKGRTCHRCGKSKWESKESCIVCDARYCSHCLLRAMGSMPEGRKCITCIGQPIDEEAKRSKLGKGSRILLRLLSPLEVKQILKVEKECQANQLRPEQLIVNGFPLHDEEMADLLSCQRPPGNLKPGRYWYDKESGLWGKEGEKPDRVISTNLNFNGKLQPDASNGTAQVYINGREITKLELRILKIAKVQCPRDTHFWVYHDGGYEEEGQNNIKGKIWESPLTRLACALVSLPVPPVNSDEPKDENHYSSRSVPNYFDHKKVQKLLILGSPGAGTSTIFKQAKLLYGSRFTHEELESIKLMIQSNMFKYLGILLEGRERFEEEALAVSNHTNSEDEDPHQDDNKPTSSNSCIYSINAKLKKFSDWLLDIIAMGDLYAFFPAATREYAPV >KQL09297 pep chromosome:Setaria_italica_v2.0:IV:2410113:2411004:-1 gene:SETIT_007451mg transcript:KQL09297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNPPKPSSDPQRDPAAPAATPRRNRRLPWVTVAILLGLAVNLALCVRRVGGDDRRAVAFVGFSHLNLLLLFGAIRHFEVSPHGSPARGRARLAVWLLTTTLTAAFTWKIGAMVPLGFAVAAWIMAAATVLGGFYMMFLHGEK >KQL11112 pep chromosome:Setaria_italica_v2.0:IV:30856172:30856372:1 gene:SETIT_008565mg transcript:KQL11112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGILNLHQDVQTCGYQDVQVMWNMLSSEKEPAGAGAAGTMPKPRKRPFWRLPLWPVRPPRTAAQRD >KQL12198 pep chromosome:Setaria_italica_v2.0:IV:39377781:39380438:1 gene:SETIT_006507mg transcript:KQL12198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRRLAPHLPSPRRFLRSLNPSPAMSPAADAAHLATAADPDEDLCSSAAESAAAAAEEVAPARPLPRPPVSAEERIERAWSHWRRLGAPRLMVAPMVDNSELPFRMLCRRYGADAAYTPMLHSRIFSENEKYRSMEFTTCKEDRPLFVQFCANDPDILLQAAKMVEPYCDYVDINFGCPQRIARRGNYGAFLMDNLPLVKSLVQNLSANLHVPVSVKIRIFPRLEDTLAYAKMLEEAGASLVAVHGRTRDEKDGKKFRADWDAIKAVKDALRVPVLANGNIRHMEDVNNCLEHTGADGVLSAETLLENPALFAGFRTKEWKDDGDENGDSGLDQADLVIEYLKLCEQYPVPWRMVRSHVHKMLGDWFRVHPEVREELNKQNKLTFEWLHDMVLRLKELGGRVPLYRKESALQTTANGVAASNA >KQL10715 pep chromosome:Setaria_italica_v2.0:IV:23966141:23967267:1 gene:SETIT_008215mg transcript:KQL10715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFGYHENSSYNGNDWDLDAVVRFACGDPLPQLPPTNKDRVARSPRRSCTTRCLTADAVDLPPPPDPTAVVGGGPAQGVSAAPEPQPEDLAGSADWDLDPLLWQEDLYDSLFDPLFPDMNMPEPSSGGGGAGSTTSRSNRRRNYRMVTRVLDGAPPPDTWSWRKYGQKSIKGSPNPRRYYKCSTDKQCKARKYVQRCGDDPRYLVVSYIRDHSHTTPLVRNSATGTTTGVKPPLPPSPFVNITAVAQQAPAPPAFASASLSLPTTPPLRSPSGGFNDAIALRKTRLKDVDMTPEEDSAPFAMLDDEPLDGLLFYTADELAP >KQL10098 pep chromosome:Setaria_italica_v2.0:IV:9536947:9537412:-1 gene:SETIT_008994mg transcript:KQL10098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHYFQLRSSNDKQKNGDYLLIKTYGQLKKFQQESEQWL >KQL09206 pep chromosome:Setaria_italica_v2.0:IV:1854411:1857299:-1 gene:SETIT_008603mg transcript:KQL09206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLRPLLLLLLVSTKTTAASRCIRKERNVLFDLKATLKDPQGLLSSWRGLNCCSWYGVTCNNKTGHIIKLDLHNPNFSREYSLTGDISSSLVHLTHLMYLDLSRNDFGGGSIPEFIGSLKNLRHLDLHGANFGRKIPPQLGNLSKLNYLDISVPNGSFTSRSSVDNLFWLSRLSSLAYLGMYWWNLSAATDWLESLNMLASLQELHLADTSLPPTNLNSLSQSNFTVLDKIDLSSNNFSSTFPYWVTSIQTVSEIKLAYCGLHGPIPKAVGNLTALTDLLLYKNSLEGAIPESIGRLCNLQIISLSDNNPVGDIDNLGKAMAGCMKKLITIDFGRNDLSGSLSGWLGSFSRLLSIDLSYNSLTGPVPSNISQLVRLNEVDISYNLLQGILSEEHLANLSKLSSLVLSSNSLRISVGANWVPPFQLYELKLHSCPLESQFPQWLRMQTRMETIDLHNTRTIGPLPDWLGTSLVSLSSLDLSNNLLTGKLPASVVHMKSLRFLRLDSNQLEGQIPDMPRSTDVLDLSNNSFSGPLPHILGNDLRFAFLSNNHLNGSIPSYLCDMAWLSVIYLSHNSLSGKLPNCWKQSSRLVRLDFSNNNLEGEIPPSFGSLTSLLSLHLNKNRLSGLLPTSLRSCNQLRLLDLGENLFNGSIPEWIGTSLKLLEMLRLRSNQFSGNIPVGLSQLQELQVLDLANNKLSGPLPRSIGNFIGMASKKPEPIIPLMVFTFGSYGVVYYNESLYIATKGEERIYSRILYLMKSIDLSDNELMGEIPLEIGALLQLKNLNLSRNRLSGHIPGTVSRMGSLESLDLSWNQLSGVIPQSMASLHLLSHLNVSYNNLSGKVPPGSQLQTLGDQDPYIYAGNNYLCSPLVPDNCSEQKGNPVDHEEHTDGHDVVLYAFSGVGFGIGLAAVWWLLIFSKAVSMRYFQFVDSVGEKICDWMILQKIKANVKLMGRNQNLEPR >KQL10779 pep chromosome:Setaria_italica_v2.0:IV:25577856:25579471:1 gene:SETIT_007703mg transcript:KQL10779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWCSMGLAAAALLLVGIAMPVSFAAAAAHAQPPAPPPTSDGTSIDQGIAYVLMLIALVLTYLIHPLDAASPYKLF >KQL11459 pep chromosome:Setaria_italica_v2.0:IV:33924346:33926628:-1 gene:SETIT_0061251mg transcript:KQL11459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVFRSGARRGDAFAALEDAGSATRGRQAASGGSGGGGGSSVRRSRSLSRFPPPSPSPEDEATPSSRFVNKVRGGAFPPEVSLDDLADEFFRARAESEDDDEEESVGRGRSRFPAPAEKGGGGGRRSSTARYARETESSLQRGRSVSRPPAERRGVAPSAANGGPAARRQRYASVDRRASMDRHRWCDSDNDMEVSHRNVSRGIHTKSSSGNSLQNSFNKPSKANQALKRSTSQKDFFYSRDSSSSHSSLTDDDSRSSHSFHIRNQKAVYGLDKDHQISDEAGNVLYDVMRKEVRQAVEEIRTQLEK >KQL09226 pep chromosome:Setaria_italica_v2.0:IV:1956624:1958042:1 gene:SETIT_008216mg transcript:KQL09226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYKCTNQQHQHQAIDIAERMIITLDELAKATNNFDPARELGGGGHGTVCKGILSDLHVVAIKKSNITVQKEIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHISRSLAWNNRLRIATETANAIAYLHSSVSIPIIHRDIKSSNVLLDDTLTSKVSDFGASRYIPLDRRGLTTVVQGIIGYLDPMYFYTGRLTDKSDVYSFGVLLVELLAIKKPSYLSSNGDGLIAHFVN >KQL11899 pep chromosome:Setaria_italica_v2.0:IV:37454958:37455903:1 gene:SETIT_007439mg transcript:KQL11899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAAGWLVRDDGLGRCLSVVRRGRPPGRLARRAHTVGAASHRQPFASPVTGARTNASDGSRTPARLTGTARPSALLPLFLRPVVRFAARVAAGGPAAAAATILHRAGALPRNRGLERLVRDDALDGRDGRGQDCIASFVVGVMRCLC >KQL12364 pep chromosome:Setaria_italica_v2.0:IV:40175705:40177764:-1 gene:SETIT_007501mg transcript:KQL12364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRANIPTNNSALIAIIADEDTVTGFLMAGVGNVDLRKKTNYLLVDNKTTVKQIEDAFKEFTAREDIAIVLISQYIANMIRFLVDSYNKPVPAILEIPSKDHPYDPAHDSVLSRVKYLFSTESVASERR >KQL08979 pep chromosome:Setaria_italica_v2.0:IV:528201:531363:-1 gene:SETIT_006977mg transcript:KQL08979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSPLWRLSLGTGSSPTCQRRPASPPRTIRLLGFELPAMAPPPPSEQPDAPAAGAGAISKVLIVMAMETEATPLVNKFRLVEAPAHESIFPKGATWTRFYGNYKDLHLDLVMPGKDVVFGVDSVGTVSASLVAYASIQALKPDLIINAGTAGGFKTKGASVGDVFLASDVAFHDRRIPIPVFDMYGVGARKTFAAPNILKELNFKLGKLSTGDSLDMSPQDEEMILKNDATVKDMEGAAVAYVADIFSTPAIFVKAVTDIVDGEKPTAEEFLQNLISVTAALDVAVTKMIDFISGKRITDL >KQL09224 pep chromosome:Setaria_italica_v2.0:IV:1947178:1948184:-1 gene:SETIT_007560mg transcript:KQL09224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSHASLDQKLALAKRCSREATLAGAKAAAVATIASAVPTLASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFEEAPEHLKNTSYQGTGRPHPAFFRP >KQL10995 pep chromosome:Setaria_italica_v2.0:IV:29265380:29266443:1 gene:SETIT_007081mg transcript:KQL10995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEASSHLSMEPVATSNDTTPFAAATAATFAAEEASTRRVANRIIRALQHQLRLLHRAGAEFFVLGATGNVYTVTLSTTPACTCPDPAVPCKHILFVLLRVLGLSLDEACVWRQTLRPCQVARLVGTPTYPDVLAGARARERFHQLWSARPPAAKAADGRQEASSGRPLDGAACPVCLEEMAPAPQGGGPATAQQAVLTCRTCRNAVHAECFARWKRSRARRAATCVVCRSRWRQPKREQEEEQYMNLAAYMNDADGDVTMQSADGGLCAG >KQL10730 pep chromosome:Setaria_italica_v2.0:IV:24274569:24274781:-1 gene:SETIT_008363mg transcript:KQL10730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLQQPNPSLCFPHPKIHLLSPLSNHEHRRKKKFSLRSSLSNSRMTLLKILETPQIISARRNHRSSLLL >KQL09816 pep chromosome:Setaria_italica_v2.0:IV:6278534:6279458:1 gene:SETIT_007188mg transcript:KQL09816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRTGRAPAGELAQVVVGVRTRSRSAAFASAAAAPAPKRPRKQAAARAEVEVGAVTGRGDGGCAAAAGCYLQLRSRRLFMAAAAAEVRRSVPAEEKAALPGTTQYGATGEPVVVVTGMSRCSSTASSVDVVVAAARERSDGAAEVQAREDPDVESSVSDSAGCGRERREATPSSRPPVDLSDEESSQTADDQKHHRRMSLNAATAAVACRARMPAEEEMEDFFASAEKAEAERFAAK >KQL10531 pep chromosome:Setaria_italica_v2.0:IV:17556802:17557392:1 gene:SETIT_008491mg transcript:KQL10531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YHPEAKGLYGVAFPYYDSLAAVYGSDIATGEGAEGLSEAVENIEKELAVEGGNHQEEEEDRMSRETPRRSTDSASSSLKRRKTDRKGKDHVSATSSDPILDMLHEVQGDLKGVSTNIGKMAAVMEREVAVQEKNSKEDHQQKLREKATAELRKLGFTGSEQVKAASVFVRIPDQMSMLLTLDETLRREFILNMLGM >KQL11008 pep chromosome:Setaria_italica_v2.0:IV:29480508:29482159:1 gene:SETIT_007931mg transcript:KQL11008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLGWVAGPVILLLFAAITYYTCCLLSDCYRVGDPATGKRNYTYTEAVESYLGGWYVFFCGVCQYANMFGTGIGYTITAAASAAAILKSNCFHWHGHDADCTQNTSAYIVTFGVVQVIFSQLPNFHELWWLSVIAAVMSFSYATIAVGLALGQTISGPTGKTTLYGTQVGVDVADTTQKIWLTFQALGNIAFAYSYTIILIEIQDTLRSPPAENKTMRQASILGVATTTAFYMMCGCLGYSAFGNGAPGNILSGFYEPYWLVDFANVCIVLHLVGGFQVFLQPLFAAVEAAVADRWPCSRREHGGVNVFRLVWRTLFVALITLGAVLLPFFNSILGILGSVAFWPLTVFFPVEMYIRQREIPRFSGVWLALETLSFFCFIITIAAGAASVQGVRDSLKTYVPFQTRS >KQL10897 pep chromosome:Setaria_italica_v2.0:IV:27892762:27893262:-1 gene:SETIT_007920mg transcript:KQL10897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RNRGSTTHPIGLYAVQCYECDQWRTVPTQEEFEKIRENFTKDKWVCTKKPNCSCKDPADIEYDSSRIWVIDRPGIPKAPPETERQVVLRSDLSRMDTYYIMPTGKRIKGASDLDKFLEANPHYKGRMSASDFNFATPKVVEETVSAWKAAMAKEQEKASGPSRGQK >KQL10629 pep chromosome:Setaria_italica_v2.0:IV:20742077:20743248:-1 gene:SETIT_008584mg transcript:KQL10629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHMLKSEDNCEHCNAKKFESEPPGFCCRSGKIHLSTRETPPKLVRLWSSSDANAWHFRANIRYFNGHFSFTSMYCKLDRVTTDVRNCGIYTFCAHGQIYHNIRSFGKEDGHEPGHLELYFYDDDPSLDHRLRKCREKSAQEDREVIQRLKDILHGINHYSENFRSMGQVDNLEDYHVELNLDQRLDQRTYNVPLTSEVAAVWIEGSKRRGQFDNSVVLQGKDRSIHGIRSYHGCYDDLSFLLFFPRGELGWHNCIPKVGVTMAEVNKARAIRKARVDGGGDDDAGSAGNKCVSVRDYYCYKFQMRLGIFNPILYGKRLFQQFAVDTYVKIKSSRLDYIRNNQDILRADLYQGLVDS >KQL10748 pep chromosome:Setaria_italica_v2.0:IV:24680890:24682540:-1 gene:SETIT_007688mg transcript:KQL10748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALMICSLPQIVAAPTATTLFAGGRRNELQCSQIQGPRVNTISVTGKVSARTTSCVWVLSPLAVVLNPSPLAVLLKGKA >KQL10672 pep chromosome:Setaria_italica_v2.0:IV:22909590:22910494:-1 gene:SETIT_0065301mg transcript:KQL10672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDLMRSLSFPPGSHWAGRDDPGSSIAVPCFLHRWLAVSDYYQLDELLTPEENDLRIKIRLFMENDVAPIIPQYWETAVFPFHLIPKLGSLGFLGGIIK >KQL12052 pep chromosome:Setaria_italica_v2.0:IV:38473041:38473824:-1 gene:SETIT_008107mg transcript:KQL12052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIVQSCAAGAQCGPYFLPPPLFLLSVEVPVPPPAACCAFLAAFSSSAFLSLPAKIPQTGSERRGSEPTDRDSAVSETDRVKQNVRGGWNQIDDTHLSRRCSGVSPSWDPLALGFRAAARSRSRSLWLPRARRVKEEATEQERNRNNNRSSNQT >KQL09327 pep chromosome:Setaria_italica_v2.0:IV:2548729:2550735:1 gene:SETIT_007478mg transcript:KQL09327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNMPLPPHIAREARMSSPWCPNPCYIYACARVSVPPPPSRTTNQPRNKLRKKKIAAAVAMAGQQSTRMVAMLLAALVVVAASLPAATAYGCYDDCYERCANGKVDPACTKMCNQACGPDGGATAAAGGAAAAPTA >KQL11627 pep chromosome:Setaria_italica_v2.0:IV:35427866:35428158:-1 gene:SETIT_0077772mg transcript:KQL11627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLSEVKMSVNDEDPI >KQL09108 pep chromosome:Setaria_italica_v2.0:IV:1244643:1245328:-1 gene:SETIT_007756mg transcript:KQL09108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINCNAECLVQNSLCKSQRPQEIGLHLKFLHAGQKELEILGYLQLHPNISDQHCSEKGHLVRRI >KQL10751 pep chromosome:Setaria_italica_v2.0:IV:24813393:24815486:-1 gene:SETIT_008077mg transcript:KQL10751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEDLLSQGLSLNDDLQRVLAKHDAIAAGIAVRVEKPKALPARADSSPTNPEVTKETDQRPSKDSSSVTPFEQLALPAPPSSSGSKSHVEPAVKPNIDLLSGDDFFKPEPVHSQAIVPVSNQPAASASSSHSTLDLLDMFSDSNAINSTSQNPSMLPMPNTNPNPSAAQAYLAPQQPVPPQQPVPPQHPVPPQHPSPYSNGLNSNTLAPYDQGSNLTSASSWNGQFAHGAIPPQQSPNYGQDEQTSDLPPPPWEAQPAESEQFHASHPGGLSVPPQFGVSQPQPVQIAQPGQQVLPSQPMPTGQPGGQFQPGLGVQQQYVTPNTPYGGMFPPVQGNQPGMYPQQMAGDMYQQQMYGGQMASYGYGQQPGGYYVPNAGYAYTSANELPQRMNGLSMQDSGLYGTGSSLQQRNRPSRPEDSLFSDLVSIAKTKPSKTASNKPGDL >KQL11496 pep chromosome:Setaria_italica_v2.0:IV:34206328:34206687:1 gene:SETIT_008754mg transcript:KQL11496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQLSTLIEGEPPKSATKVVADVLDKNTKKNQFLQNVSIQTAQRMFDLQNVEAKLEVEKRANAELRSIVNKQREQMADLSKQVQETEQARIKNQEENKKKQAELEAKLELLLGQNRAS >KQL09291 pep chromosome:Setaria_italica_v2.0:IV:2369029:2369782:-1 gene:SETIT_009113mg transcript:KQL09291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGCELSPNNAYTGCHGTCLFSLKKIVWYSGLLDPSYRLDHDHGVLSFTFGRLITMDIIM >KQL11872 pep chromosome:Setaria_italica_v2.0:IV:37332517:37333204:-1 gene:SETIT_007791mg transcript:KQL11872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRNCSKTNQLQSRENKTRVSLVCLDSMYKHFFSSMPFCWYLVAPYCGFYSRILY >KQL10099 pep chromosome:Setaria_italica_v2.0:IV:9538235:9541034:-1 gene:SETIT_008109mg transcript:KQL10099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKPKRKAPASPATRDTSPEPYPSHASPSPAQCLAVRDALLAFHGFPEEFAPFRRLRLGGRSPEDGSGDGDPPPPSPTVLDGLVTTLLSQNTTEVISRRAFASLKAAFPSWDQVVDEEGTRLEDAIRCGGLAATKAARIRAMLRGVREQRGKICLEYLRELSVDEVKRELSLFKGIGPKTVACVLMFYLQKDDFPVDTHVLRITKAMGWVPATCTREKAYIHLNNKIPDDLKFDLNCLFVTHGKLCQTCTKKMGGEKSKVPNAACPLASYYCVGEKLQQ >KQL11010 pep chromosome:Setaria_italica_v2.0:IV:29543181:29548247:1 gene:SETIT_006376mg transcript:KQL11010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLPCFTQLSPPSSSWGSEVPSCKDTSGRAFVRSTRTLGHCHFRCCASPRSANSFQKKDSFLDLHPEVSLLRGKKNVEVVDPLKGASDGSPLEGLGVPPDRSDYNEAKIKVVGVGGGGSNAVNRMIESSMNGVEFWIVNTDVQAIRMSPVLPHNRLQIGQELTRGLGAGGNPDIGMNAAKESSESIQEALYGADMVFVTAGMGGGTGTGGAPVIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNSVDTLIVIPNDKLLSAVSPNTPVTEAFNLADDILRQGIRGISDIITVPGLVNVDFADVRAIMQNAGSSLMGIGTATGKSRARDAALNAIQSPLLDIGIERATGIVWNITGGMDLTLFEVNAAAEIIYDLVDPNANLIFGAVIDPSLSGQVSITLIATGFKRQDEPEGRTSKGGQQIQGENGRRPSSGEGSMVEIPEFLRRRGPSRFPRV >KQL09351 pep chromosome:Setaria_italica_v2.0:IV:2642653:2643174:-1 gene:SETIT_008682mg transcript:KQL09351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQQQLVHELPTYNAQAQRRFSARDMQPNAAAAASPSGERKYKGVRRRKWGRWASEIRLPNCRDRIWLGSYDAPEKAARAFDAAYLCLRGPGGADGLNFPGSPPGVRRTSDPNEVYAAAVSHADPAAADVDPWDAIQPLPEPTLQAHGDVAPMEPAPAPAAPPAPLQVSVPTFD >KQL10421 pep chromosome:Setaria_italica_v2.0:IV:14486757:14488135:1 gene:SETIT_007212mg transcript:KQL10421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVAAPVLREDDRGIPRSLPLLAALVEAESRRFAAAASRPAETGLVRAFRGGAAPKVPIRIFMERIHLLTRSVPTSRGMTRIDGTSFVLAGIYLTRFIRSPAGREAGILVEPATAHRLVAVALFLGAKFGGHPPRKWIGVFQASSEGAIRAGEMVGLEGRFLRAIDFRLFVETWEFDSFCLVLERGPRAPRGGSGSVGCASKKRQADATVGEDERRRVRARLPPPAVLSN >KQL08966 pep chromosome:Setaria_italica_v2.0:IV:452996:453806:1 gene:SETIT_009050mg transcript:KQL08966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSYIANIGTNYKVVLASLATANKDPSSSFTTPSISSCMACTRTTRRPASPTSLNNSSRNSSSLNMRTRHLSVFLLSRREHSKWSLGASLLAASGFSYSSAMARHTGMELATAA >KQL12331 pep chromosome:Setaria_italica_v2.0:IV:40050898:40051299:-1 gene:SETIT_008995mg transcript:KQL12331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVTTSHLQYKDQVSVPPAVLEPKKLKDYLKPSTA >KQL09362 pep chromosome:Setaria_italica_v2.0:IV:2702347:2706426:1 gene:SETIT_006383mg transcript:KQL09362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLLPTAAAAPVAAPRGRRQPPGRVSVRASATAAAAAPRRETDPKKRVVITGMGLVSVFGNDVDAYYDRLLAGESGIGPIDRFDASKFPTRFAGQIRGFSSEGYIDGKNDRRLDDCLRYCIVSGKKALESAGIALGSKPMEKIDKARAGVLVGTGMGGLTVFSDGVQNLIEKGYRKITPFFIPYAITNMGSALLGMDIGFMGPNYSISTACATSNYCFYAAANHIRRGEADIMIAGGTEAAIIPIGVGGFVACRALSQRNDDPKTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCIKQSLEDAGVAPEEVNYINAHATSTLAGDLAEVNAIKQVFKDPSGIKINATKSMIGHCLGAAGGLEAIATIKAMTTGWVHPSINQFNPEEVVEFDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFKP >KQL09529 pep chromosome:Setaria_italica_v2.0:IV:4059989:4061638:-1 gene:SETIT_008155mg transcript:KQL09529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTGEEEPRPPPPLLPVQELAARQGAAGDDDVPARYVARANDAEATAAAAAAQVPVVDLGRLCQSAGGESAADEAAGLRSALQSWGLFLVANHGIEASLMDAVMEASREFFRQPLEEKQKHTNMVDGLHFQLEGYGNDRVASEDQVLDWCDRLYLKVEPQDERNLALWPARLRDVLHEFTAKCTTLKDRLLPEMAKLLELDDDYFGNQFGDKADTYARFSYYPPCPRPDLVFGLKPHSDASFISLLMVDSSVGGLQVLRDGVWYDVPTKPHTLLINLGDQMEIMSNGIFKSPVHRVVTNAKKERLSVVLFYSVDPEREIQPVGRLIDENHPALYKKVKVKEYVAGLYDISLKEKCTLETRAMEVFAKHEWRFSNRLC >KQL11509 pep chromosome:Setaria_italica_v2.0:IV:34339915:34340693:1 gene:SETIT_008205mg transcript:KQL11509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKRPAPPPEEPRPSSKPAPDAKPSEPTKSAPDSATPTISAAVLTKLPNMERQGMWMLDVRKQLTISPNVATKVVRTLVGHGLLKEVSDVRHRSRKIFMATDFQPSDEITGGTWYHDGRLDTDAVSAVRRRCQAQVEKLGAATVQMIHHGILRDDPKAGYTIDEVRDIVKTMVLDKVLEEVKSTGEGDFAAVRSGTICYRLAGAAQGGMMEGIPCGVCPRIDECSPDGVISPSTCVYYKKWLQMDF >KQL10928 pep chromosome:Setaria_italica_v2.0:IV:28300941:28302623:-1 gene:SETIT_006535mg transcript:KQL10928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNNLRVFFFLAMVVCAAHAGKPAPKGKEEKADSAAAPSGAAGGGDSSDISKLGAKGDGKTDSSKALNDAWATACGKEGPQTLMIPKGDYLTGPLNFTGPCKGSVTIQLDGNLLGTTDLGQYKRNWIEINHVDNLVITGKGTLDGQGKQVWDSNKCAQKYDCKILPNSLVLDYVNNGTVSGITLLNAKFFHMNVFQCKGMMIKDVTITAPGDSPNTDGIHIGDSSKVTITGTTIGTGDDCISIGPGSTEINITGVTCGPGHGISVGSLGRYKDEKDVTDINVKDCTLKKTSNGVRIKSYEDAASKLTASKLHYENIAMEDVQNPVIIDMKYCPNKICTKNGASKVTIKDVTFKNITGTSATPEAVSLLCSDKLPCTGVTMDNIKVEYKGTNNKTMAVCQNAKGSATGCLKELACF >KQL11981 pep chromosome:Setaria_italica_v2.0:IV:37962414:37966104:-1 gene:SETIT_006587mg transcript:KQL11981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRKGGGADGVARRWVLLLCVGSFCLGLLFTNRMWTLPEASEVAIPNERRGKESELMAGDCSIQKVQGKHDYRDMLHISDTHHDAQTLGKTIANLETELSAARTLQDSFLNGSPVSEEYKASESTGRRKYLMVIGINTAFSSRKRRDSIRNTWMPQGEMRKKLEEEKGIIIRFVIGHSAISGGIVDRAIQAEDKKHGDFMRLDHVEGYLELSGKTRTYFATAVALWDANFYVKVDDDVHVNIATLGNILSKHISKPRVYIGCMKSGPVLSDKDVRYYEPEHWKFGEVGNKYFRHATGQLYAISKDLATYISINKHVLHKYINEDVSLGAWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNTCAASFDWKCSGICNSVQNIWGVHTRCSEGEKALLTAAF >KQL10566 pep chromosome:Setaria_italica_v2.0:IV:18388141:18392745:1 gene:SETIT_008752mg transcript:KQL10566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIAPSPSSSSSSEENGSADDNNNNDNNNDSSKAPPPRGSISSSSSGSGNSKGWSSPPPSPSSGGGSRSTPPSDSQDSSSSSPPPPSHLSPPKSSEPSPSPPPSPSSWSGGNSKSSPPPTPPSESSRNSGGGNSNSSPPPGRSSSSSPPQRSEGSSASPPPPPSPSSNQAPPNPSGGSPSKESPTHSSPSPPQESNDDQASSQPSSASPSPPTNQSIVFVPVPVSSKNSPPGAPSPPGLVSIAPGAAVGTLTSNNLSSSQETNASTAGNSSHSSVGSSGVGTSHVAAAIAGASITGLMFAMLAVFFIARRRKKKTDGLVYHNDGNNNLPSGQFGGSNPSGAVYPGTLPGFSYGPPPPSGPDSGGGGYYESGSMEPLGSKSSFSYEELTSITSNFSRDNVIGEGGFGCVYKGWLGDGKCVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAAHHRMLIYEFVPNGTLEQHLHGRGMRVMDWPTRLKIAIGAAKGLAYLHEDCHPRIIHRDIKSANILLDYSFEAQVADFGLAKLSNDTHTHVSTRIMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDQTRPPGQESLVEWARPVLVDAVETGDLDAVVDPRLDGAYNMGEMIVMVEAAAACVRHSAPKRPRMVQVMRALDDEGSMSDLSNGVKVGQSRNYNDSGQQAAAIQQLRLTAFASEEYTGEFEQSGEYRGAYSETQPINRR >KQL10352 pep chromosome:Setaria_italica_v2.0:IV:13367376:13376418:-1 gene:SETIT_005740mg transcript:KQL10352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKSSGVSSVDTIQDDFNLLWGTAEVFGTAWNLSSFIVTYNSVLTPPGGFWTKDEHGHKAADPTLHVEFSQRHEVFFYFNATAFAASFVLIILLLSKSVTRHKLWLRSMQFTLIVDLFSLMGAYAAGSWRALKSSIYIWILVFAIFIYVRILSTRVVLEILRKKVKVVVTQILSKYGFHDGQEARKFSLLLVTFSATVTYAGLIPPGGFWAENDYASGSYKNRPATSVLRNHYLHRYNMFVSCNSTSFVASLVTIILLSPELSRHGIRSKAVFVCVVADIFCLIGAYAAGCSWDLATSLYVMFIIVIVLLCILVLARIFAYKPVADWLQKIKTDTAWCMDTVGRALFLRSNARSSNVNHQSSFASHQQDSVHIPESTNTKDFTVDTNTREDESAGECPPSDEEKVTSTEKALSNSWPPSESANTKDFMSNKEHQSTDCQSVENTMETLGVFGCGTEQTSTDDIITTDILVDEFSDHNGASNDLIVGEEPSSPMEVSGSVDCEEHNSVVHNNCNIEIFSASNDAEHIQNGHTQNSQGAPDQNAHGNQTQKHLKKTRTYLLLAILAVSLITEKNHSAGDRILEEAFFYLNAVAFVASFVTILVILNKSKSEKVTKCRELQIAMIVDLLSLTGAFAMGSCREAKQSIYVSVLVCLVLVYVGFHVLISIHVIPIQCKVLVSEKLKHFWSALPQLCQKQRENITTEKLEQRLSGSLQLCHKKTVNITSKNELEQKELERRRNLLLTLAMVAATVTYQAGIYPPGGVWSDDNGDIGTPGNPILQDNHHGRYDVFYYSNSISFVSSVVITFLLVNKGSFEHGIKSYTLRLCLVVGLFGLLIAYAAGSCRNKIQCIFLIIIAVTVLISLVIQVFLSSMLGKLRRPLDKLIGFLQRRVFLTETVMREITSNSPETLKYDEKIAKKRKKYLMLIAILAASITYQAGLNPPGGFWSDVHKGHVAGNPLLNDINNQRYMTFFCLDATSFMASIVVIMLLLSKSIRNKDVPLEVLLLVMIVDLLALMIAFAAGSCRKFRTSVYVFMLIAGVVISLVFIIFVASAIAKCLRKLKGRGFFCSKHPDQISRRDIVVQREEV >KQL11573 pep chromosome:Setaria_italica_v2.0:IV:34921126:34922328:-1 gene:SETIT_007828mg transcript:KQL11573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWLSKASPASSPGWSSLPPELADLVLRRLSSLADRMRFASVCRHWLHVAIRYSSPRLPRVLPWLSFRDGTFRSLPDGERHSFRFRKHDLCAGSFGCWMLFEQAGRRPSRRHFLENPVLGTTKRLPGHCREPVDLNPDGSGNTRSGSRSTRFFISKVIVCSSDLIVAMVNYKHRHPCVVVCCRPGMSSWSTGLCNGHWYHDMAFYKGKLFTVTQEGNLFVHEVTEDSDNGELRVSRVEQVIQAPPPLKYTLDGSYATLICVRTCYLVISRAEKLLMVRWIVPLDYYSSEDSTKQMTLKVFEADFEMSQWLEVKSLDDQVLFVSSNSSKAISASSHRHCDYLRGNKIYFTDEDGFKFSRVWPSNEPRTCGVYDMSSNTIHSISLGDLHISDQSKASWFFP >KQL09531 pep chromosome:Setaria_italica_v2.0:IV:4066633:4068529:-1 gene:SETIT_007890mg transcript:KQL09531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQAQGQLVQEVATGGLPAPPSRYVLREKDRPTGGGAAPELGFPTVDVQRLADPGDVEEAAKLQAALQSWGLFAVRDRHGIPEALLDGIHDAGLEFFHLPSEEKLNYANQTDGGEFQNEGYGIDRVDTDEQILDWCDRLYLHVQPEEERRLRFWPTHPPSLRKLLHEYTLWSEQVAKQVLRAMARTLGFDEEFFAGRRVGDKVASYARFTYYPPCPRPELVYGLKPHTDNSVVTTLLLDRDVGRLQVLKDGGRWVDVPVRLRRGELLVVVGDEMEIMSNAAFRAPTHRVVAGGRERMSLVIFYQPEPNRDLEPAEELVGEGRPAQYRRLNVKTFGDGFWDAFARGEPTIDFLNARVDKEAAAVSGA >KQL09965 pep chromosome:Setaria_italica_v2.0:IV:7770813:7781963:-1 gene:SETIT_005662mg transcript:KQL09965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLAWRFNGTNGGSHGGADLERHVDKVQESEPPTPMSVMKMGKNRVNVEDEETLSSVAHCIEQLRQSSSSTQEKESSLKQLLDLVQTRDTAFGAVGSHSQAVPILVSLLRSGPSGVKMLAATVLGSLCKEEELRVKVLLGGCIPPLLALLRSKSAESQTAAAKTIFAVSQGGIRDHVGSKIFSTENVVPVLWEQLKISLKNESLVDGLLTGALKNLSKNTEGFWSATVQCGGVDILIKLVSSASTNTLANACYLLGSLMMEDSSVCSKVLSGETTKQLLKLLGPGNETSIRAEAAGALKSLSAQSKEARRQIANSNGIPSLINATIAPSKEFMQGESAQALQENAMCALANISGGLSYVISSLGESLESCSSPAQIADTLGALASALMIYDTNAESISASDPLEIEKTLLKQFKPKVPFLVQERIIEALASLYSNPVLCKTLADSDAKRLLVGLITMAGTEVQDDLTKSLFALCKKDCDLWQALQGREGVQLLISLLGLSSEQQQECAVALLALLSKENDESKWAITAAGGIPPLVQILETGSPKAKEDSAIILGNLCNHSEDIRACVESADAVPALLWLLKNGSDNGKEIASKTLNHLIHKSDTGTISQLSALLTSEQPESKVYVLDALKSLLSVAPFNDILHEGSAANDAVETMIKILSSPKEETQAKSASALAGLFHCRKDLRETHIAVKTLWSVMKLLDMQSDKILMGASSCLAAIFLSIKQNKEVAAIGRDALTPLVSLTNSSVIEVAEQATRALANLFLDQEFSLQVSFEEILFRVTRVLKEGTIDGRTHAAAAIARLLQCRTINQPLSDTINRSGCVLALAGLLEAANGEAAATSEVLDALVLLSRSKASSGHTKAPWAVLAENPHTILPLVSCIADAAPSLQDKAIEVVSRLCSDQHDVVGGLVSETPGCIASITRRVIGSNMLKVKVGGCALLVCAAKEHCQKQIDILNDSSLYIQLIHSLIGMIHMANTPAESDSSDSIADIRISRHSKESNSDGETVCRTAVISGNMIPLWLLAVFSRHDSKTRAEILEAGAVEMLTEKISQNAFQYVGEEDSTSWVCSLLLALLFQEREINRSNSALHSIPVLSNLLRSDEPAYRYFAAQALSSLVCNGSRGTLLAVANSGAAIGLVSLLGCADVDIADLLELSEEFMLVPNPDQIALERMFRVDDIRVGATSRKSIPLLVDLLKPIPERPGAPFLALGLLTQLAVDCPPNMLLMAEAGILEALTKYLSLSPQDATEEATTELLGILFSSAEIRHHESAPGVVNQLVAVLRLGARNSRYSAAKALESLFCADHVRNSESARQAIQPLVEILSTGMEREQHAAISALVRLLCDNPSRALAVADVEMNAVDVLCRILSSDCSAELKGDAAELCCVLFTNTRIRSTMAAARCVEPLVGLLVSEANPAQLSVVRALDRLLDDEQLAELVAAHGAVVPLVSLLYGRNYMLHEAVARALVKLGKDRPACKLEMVKASVIESILEILHDAPDFLCIALAEMLRILTNNASIAKGPSAAKVVQPLFSLLSKADMGPEGQYSALQVLVNILEHPECRADYNLTPRQTIEPVITLLNSSPPAVQQLSAELLSHLLLEDHLQKDTTTEQAITPLIQVLSSGLPNLQQRAIKALANLAIAWPNTIAKEGGVFELSKVLLQSDPPLPHVVWESAASVLSSILQYSTEFFLEVPVAVLVQLLRSGTESTVVGALNALLVLESDDSTSAEAMAESGAVEALLDLLRSHQCEETAARLIEALLNNVRIREAKAAKNAIAPLSMYLLDPQTQSQQGRLLAALALGDLFQNEGLARSTDAVAACRALVNLLEDQPTEEMKVVAICALQNLVMYSRANKRAVAESGGVQVLLDLISSSNPDTSVQAAMFVKLLFNNHTIQEYATSETVRVITASIEKDIWASGSANEEYLKALNALLSNFPRLRVTEPATLCIPHLVTSLKTGSEATQEAALDSLYLLRQAWSACPAEVFKAQSVAASEAIPLLQYLIQSGAPRFQEKAELLLQCLPGTLTVTIKRGNNLRQSVGNPSAFCKLTLGNNTPRLTKIVSTGATPEWDEAFAWAFDSPPKGQKLHISCKNNSKFGKKSFGKVTIQIDRVVMLGSVAGEYTLLPESKSGPNRNLEIEFQWSNK >KQL10040 pep chromosome:Setaria_italica_v2.0:IV:8791953:8793076:-1 gene:SETIT_007926mg transcript:KQL10040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAPTPIPLITIAELRQHHSQLVRLGLASHPSHARRLLSFLARDPDSHFPYAARLLAHHPDPHPALFNPLLSALPSRHAARLLALMLSLPLAPDHFTFPRVLPGAAPLLLVAQLHALLVKLGFHSHTQSLNALLAAYLANARPDLASRVFRGGGGGGALDVVSWTTMVGGLCKLGLVDVAREVFDGMPERNLISWNAMMSGYVKAGRFLDALEVFDEMRARGVEGNGFVAATAAVACTGAGTLARGREVHRWVARSEIRMDDKLATAVVDMYCKCGCVEEARRVFEALPAKGLTTWNCMIGGLAVHGRGEDAVEVFARMEREGVAPDDVTLVNVLTACAHAGMVSEGRRYLDHIVRRYGVEPKMEHYGCLVD >KQL11009 pep chromosome:Setaria_italica_v2.0:IV:29523354:29525352:1 gene:SETIT_007877mg transcript:KQL11009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSPLIHGPQVILTKFLGSCAQVGWTVWTATAHIITAVIGSGVLSLAWAMAQLGWVTGPVTLVLFAVITFYTCGLLADCYRVGDPVTGKRNYTYTEAVKSNLGGWYVWFCGFCQYANMFGTGIGYTITASISAAAINKSNCFHWHGHDADCSQNTSAYIIGFGVVQVLFSQLHNFHKLWWLSIIAAIMSFCYSTIAVGLSIAQTISGPLGKTTMTGTQVGVDVDSAQKVWMTFQALGNVAFAYSYAIILIEIQDTLRSPPAENETMRRATSMGISVTTAFYMLCGCLGYSAFGNGASGNILTGFGFYEPFWLVDFANACIVVHLVGGFQVFCQPLFAAVEGAVAARWPGSAREHGAARLNVFRLVWRTAFVAVITLLAILMPFFNSILGILGSIAFWPLTVFFPVEMYIRQRQVPRFSTKWAALQSLSFVCFLVTVAACAASVQGVLDSLKTYVPFKTRS >KQL10617 pep chromosome:Setaria_italica_v2.0:IV:20423797:20425104:-1 gene:SETIT_008788mg transcript:KQL10617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFGCEYKRHRWYVRYVGESNGAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRALYLCKWTFDPMPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRHWVPPPPNPPRMTEEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHPLCDFNEYIYGPIAMWPTEEQVWEFESGKAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWMSRKQQEIKEKIRKDYDVPIPDDDLLWGKIYQDMVHETRVEPEGLYARETIIKYWRKNRSKEKIGGSCRRRGSWRNKG >KQL10124 pep chromosome:Setaria_italica_v2.0:IV:9933832:9934321:1 gene:SETIT_0060041mg transcript:KQL10124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DCKLLAAKAACAAHLFGPEFPYVKAAGSYIAKQESLDEVSLLVRKNSVRLLQTLEKLTS >KQL11090 pep chromosome:Setaria_italica_v2.0:IV:30640186:30640725:1 gene:SETIT_007476mg transcript:KQL11090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLVAGSSGARLGGVAVHRRAIPGHKTSSRSKSIARARVCQYSIRSFTCRGKVVAVDRRGLSAASGVFWNSGERTNGEIPSLSCQGNLCPLAGRLRVHPPLSLLRLETAIVFADDALDLGASTHTSSDHLSVNLQ >KQL10177 pep chromosome:Setaria_italica_v2.0:IV:10555685:10558026:-1 gene:SETIT_006715mg transcript:KQL10177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSAPAAQSDRAALLKAFDEACTGVRGLVESGVSSVPALFVHPDPYASAPLAPPGVSIPVVDLSLPAPAAAAAAAEAARSWGFFHLINHYQALGVPEDYPARALAAVRAFNELPAAERSAHYGRSMVGGVSYFSNVDLFRTSAASWRDTVQIAFGPQRADPARIPAVCREELLEWDAHATAVGRALLGLLSEGLGLGSTRLEEASCLEGRVMVCHYYPVCPEPERTMGIVPHTDPGGLTVLAQDCVGGLQVKQTDDDGASYWVDVKPVPGALVINVGDLLQIMSNDKYKSVDHRVIMNTREEARVSIGIFFNPGKRGDSDFYGPLPELVSSDNPSKYRNFTMPEFLGAFFRRDLASKALVDYFKL >KQL09950 pep chromosome:Setaria_italica_v2.0:IV:7681171:7684584:-1 gene:SETIT_006677mg transcript:KQL09950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWPNPALPLLLVAALLAFEDWLSTPSCSGGPPAASGPGDLRAMMVADLMLLGSDATYADRFFRDHVMYKFFDNSIQTLKPDMIVVLGDISAKGSELTERKWISVIEQFEGILGHYSGLPLLIALGDKDVGTCANLEGKFVSRRAKHLPGLDSGGCGAFEISNVSFVSINAVALLCGNNDLRFGVEKFMERESHHFQSLNEAECYPLGCEKREGSTDTSWRQNSMESGSGPVVLLHFPLHKFDAEVTGLTTSSEEIVSDHSSVFASSKQRGLYDRLHMLPANSTQYILQALKPRIIFNAHTGSFSDFLHADGTREVTVPAMTWKARGVPGFVIATFDIKGAVTLRCCWLAKEWHVIMGYLAFLCLTALAVRLSH >KQL11806 pep chromosome:Setaria_italica_v2.0:IV:36893745:36896466:1 gene:SETIT_007449mg transcript:KQL11806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEYLFDENFSVSRLDPDGKKFDKVTRVEAHNEQVYMQLDVATEIFPLRAGDKFNMVIAPTLNLDGTPDTGYYTQAGRKTLADKFDYVMHGKLYKISDDSSTSSSTKVEIYASFGGLLLLLRCDSSSAASFELDQRLFLLIRKL >KQL10605 pep chromosome:Setaria_italica_v2.0:IV:19929385:19929519:-1 gene:SETIT_008153mg transcript:KQL10605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQADSFTDLKILNMDPWALGRHENLVIMLERCTVTFVPGRVSP >KQL09167 pep chromosome:Setaria_italica_v2.0:IV:1642604:1646929:-1 gene:SETIT_006077mg transcript:KQL09167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQPAPSSASAQPAAGLSMKEYLKRYQSGAGADGDQKKAKKKTKKKPKPAATGGGGGVLIVDEDPVWQKPVQVEDDEPASSGDDRPLVDEDIEVKRMRRLEAIRAARPYNAIAEDGSGWVTVAAPEEQGGGGVARRRRNDTPSPERGGAGRKDLSPPRRRQRRDTPSPEPGDAAGRDMSPPRMRRRRQDTPSPKGNGAADQDDMSPPRKSRRQEDSSPPRRRARNDSEEPQDLELPRRRVRHDSEDPQDLSLPRRRRHDSEEPQDMLPPRRRTRHDSEEPQDMSPPRRRMRHDSEEPQDMSPPRRRMRHDSKEPKDTSPPRRPTWHDSVVPKDLSPPRRRKHQDATKVDDLSPPRRRNLGRSPEDGDIFPPRKGRKFASDDLSPPRKERDLSPPRKGKKEGAPKQARKAGLMTAEEVKEDIRKIKEDEMLMFAGQDPSLVGKGAKAVFRDKEGKRISEEEMLKAKDSAKPKEIHIEWGKGLVQKREAEARVKELEAEKSKPFARTRDDPELDAMLKDRIRWGDPMAHLVKHKDPEYLLEDLGDDEKMKESGFIVPQNIPIHSWLKRRVDPPPNRYGIKPGRHWDGVDRSNGFEKDMFKLKNEKQAMEQEAYLWSVSDM >KQL10557 pep chromosome:Setaria_italica_v2.0:IV:18170015:18176495:1 gene:SETIT_005715mg transcript:KQL10557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRIKRLEKLKLSVLLTFIRCHTDPSDDHSRIGMVGFSRVVYVNEPDRLEEGFSYPLNEVSTTKYNLATFLPKSLFEQFRRVANFYFLVSGILALTPLAPYTAVSALAPLCVVIVATMAKEGVEDWRRKQQDHELNNRIVKVHRGNGNFEETKWKNIKVGDVIKVEKDNFFPADMILLSSNYPDGICYVETMNLDGETNLKIKQALEVTSDLQEDIKFREVRQTIKCEDPNANLYSFVGSMEWKGQRHPLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVMQNATDPPSKRSKIEKKMDKIIYLLMSSLLMIALLGSVFFGIWTKEDLRDGEIKRWYLRPDATTIFYDPKRAALASFFHLLTALMLYSYFIPISLYISIEMVKLLQALFINQDIEMYHEESDKPTHARTSNLNEELGMVDTILSDKTGTLTCNMMEFIKCSIAGTAYGQGVTEVERAMAMRKGARLDDDIENGDHKDKKVDDSPHVKGFNFKDPRIMDGNWTNEPNRDMIRDFFRLLAICHTCIAEIDETGKVSYEAESPDEAAFVIAARELGFEFYKRSPTTIIVRERDPSQNVVEKRKYDLLNILEFSSSRKRMSVIVKEPEGRILLFSKGADSVMFKRLAPDGRKFEEDTRRHINEYSDSGLRTLVLAYRVLDEKEYKEFNEKLNDAKTSVSADRDEKIEQAADSIEQDLILLGATAVEDKLQKGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMTQIIVTLEQPDIIALEKNGDKQAIAKASKQRVMDQIEDGIEKIPPSTQTSTASFALIIDGKSLTYALEDDVKFKFLDLAIKCASVICCRSSPKQKALVTRLVKEVTHKVTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDVAVAQFRFLERLLLVHGHWCYRRISVMICYFFYKNVTFGVTIFLYEAFASFSGKPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARLCIQYPQLYQEGVQNILFSWRRILGWMLNGVMNAVLIFFFCITAFEDQAFRQDGQVAGLDALGVVMYTCVVWVVNCQMALSVNYFTIIQHIFIWGSIAVWYLFLLVYGAINPRFSTTAYMVFIEQLAPALSFWLVTLFVVMATLVPYFSYAAIQIRFFPMFHNKIQWKRYLGKAEDPEVARQLSSRHRTSSQQRMVGISARRDGKAMQITRETELEVQE >KQL11293 pep chromosome:Setaria_italica_v2.0:IV:32348771:32349897:1 gene:SETIT_008225mg transcript:KQL11293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGRQWRIRFYRTGIHDPWYPPAGPKGISVILVLMNSAQKVRVLFCAVVGDAIDYDGTFRFQDLKELEFRNGNLEHGFNYLVGHHDIERVWDHSSRVNISCTVTVLEDDYIEVLPPSVGRSICTTIVAQASVNVVFDIGGRVIRARWADVAALSRVMVALLYGSGMGSMSKTVSIKDTNPAGFSLLIKYACEGSLPEEVDLWDTPTNAWPLLLSLTDMYCVKRLKLHCASKMWDLACEKIVTTILRWAFDTNCTQLQEKCMSFIALISPDRSVFYHDNPWSAHQCHDATDYPRLPSGADRLRKVTNAWVRVPSGPTC >KQL09399 pep chromosome:Setaria_italica_v2.0:IV:3131542:3134647:-1 gene:SETIT_006501mg transcript:KQL09399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEPDDDVLNEKNPRPLDEDDIALLKTYGLGPYSTSIKKVEKEIKEMAKKINDLCGIKESDTGLAPPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTDDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLEGRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >KQL09795 pep chromosome:Setaria_italica_v2.0:IV:6142001:6143218:-1 gene:SETIT_008458mg transcript:KQL09795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREASKETIPLMRPYKMGQLELSHRVVLAPLTRCRSYGNLPQPHVAVYYSQRAIRGGLLISEATDVSPTAQGYQDSPGIWTQEQVEAWKPIVDAVHRKGAFFFCQIWHVGRVSTNEMQPDGQAPISSTDKQITSDVGTGMAYSKPRPLWTEEIPGIVDDFRCAARNAIEVGFDGVEIHGAHGYLLEQFMKDGTNDRDDEYGGSLENRCRFAVEVIDAVVREVGAHRVGVRLSPFADFVECADSDPVALADYMVRQLNMHEGLLYCHMVEPRMSNVDNRIPHRLLTFRKAFNGTFIAAGGYDREEGNKVVGDGYTDLVAYGRLFLANPDLPRRFEVDASLNKYDRSTFITRDPIVGYTDYPFLEDDHNDHSTIHA >KQL11204 pep chromosome:Setaria_italica_v2.0:IV:31671680:31672180:-1 gene:SETIT_007514mg transcript:KQL11204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFFSRTGSPSSSPVRQGEGGRALPQMRAARPLPAPFASELRRPVFFSSGIRRCLGARSASGKQQLVAAAPKLRPAAWVSWREEDVKKSSQFSISGYIHPNVNWICLSHEIPSSNFIQIQTMVLVL >KQL09312 pep chromosome:Setaria_italica_v2.0:IV:2516221:2517272:-1 gene:SETIT_007668mg transcript:KQL09312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISRSNSILYFSISGCYSPMHASIYIILWLLHIFPARTVHGSTKSLLQLARHNLLSYARSLYPTSSPLSYFCSQGEKSDFIVF >KQL12278 pep chromosome:Setaria_italica_v2.0:IV:39785723:39786573:-1 gene:SETIT_008482mg transcript:KQL12278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAGLWNVDAACCGGGRLGGPERMPAQLDAVRYLFWDSAGHLTQRAAKLIASAFYDGPPKFAAHRST >KQL11751 pep chromosome:Setaria_italica_v2.0:IV:36569831:36570397:1 gene:SETIT_008664mg transcript:KQL11751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WLAATNSKKSSREAASSSHGGHQPTTRCHGHFLLNPPPAARRPHRRSRNTHVRTHRRRRSTMMVMGYFRSSKAAATSPTAKKKAKRDDAGLRESLLEQPAAAAAEGGVPKGYFAVYAGEESRRFVVPTGYLQEPAFRDLMERAADEFGFAQAGGLRVPCAEEDFEDLLRRLQRKNGAAGGKGKKAAIR >KQL11853 pep chromosome:Setaria_italica_v2.0:IV:37172135:37175808:1 gene:SETIT_005853mg transcript:KQL11853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNLSTLAVSVLHKVASFGTDWAINEFRSAWNVNKEVGKLERSLRSICAVLRDAESKQSNSHALLEWLDNLKDAVYDIDDVLDDVATEALKQEVHKGLFTRAGHLLAYPFTLSHKIKQVREKLDEIGANREQFGLTEKPFDKQASRRTNRETHCFISEPNIIGRDGAKSEIVAKILTAADSAGPLSVLPIVGLGGIGKTALAKLIYNDVQITNKFEMKLWACVSDVFDLNKILDDIIQSSTGESHKQLNLDVLQRILCELLREKRYFLVLDDMWNDKASDWEELRSILSSSGRGSVIIVTTRRSDVASVVKTMEPYDVAKLPLDMCMQIFVRHAFKGEEHKDPQLLKVGNSIAEKCCGIPLAAKTLGSLLSSSRDVEEWQSIEEDRLWNVKQDNEDILPALKLSYDALPSHLQAYFASLSTFPKGYELFTDSLIMFWMALGLLHKTREIKETISTGTKYLHELLGRSLFQDQYVVYDGTIRACRMHDLIHDLAIFVSQKEHAIVSSEKVDVSERIRHLVWDCQDFTKDIKFPKKLKKACKARTFASRCNYGTLSKAFLEDLFTTFKHLRVLVFSSVGFEELPSSIVNLRHLRYLDLQWNKKLKYLPNNLCKLVNLQTVNLGRCNQLLEIPRDVHGLVNLTWFALTSKQKYLLKDGFCGWSSLTFLQLSYCPRLTSLTNGFGSLTALLELRISNCPELASLPSTTRHLSNLQKLSINNCAVLDLMEPGEALTGLRSIRWLQLAGLPKLMGFPESFKAAASSLQYLAIVNCKGMEKLPSFIQDFSSLRKIVLHSCPSLSSRCAVGTETVTKLVKVIRRPECEDSSHYDEAGLWAPKVGY >KQL11852 pep chromosome:Setaria_italica_v2.0:IV:37172135:37174600:1 gene:SETIT_005853mg transcript:KQL11852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNLSTLAVSVLHKVASFGTDWAINEFRSAWNVNKEVGKLERSLRSICAVLRDAESKQSNSHALLEWLDNLKDAVYDIDDVLDDVATEALKQEVHKGLFTRAGHLLAYPFTLSHKIKQVREKLDEIGANREQFGLTEKPFDKQASRRTNRETHCFISEPNIIGRDGAKSEIVAKILTAADSAGPLSVLPIVGLGGIGKTALAKLIYNDVQITNKFEMKLWACVSDVFDLNKILDDIIQSSTGESHKQLNLDVLQRILCELLREKRYFLVLDDMWNDKASDWEELRSILSSSGRGSVIIVTTRRSDVASVVKTMEPYDVAKLPLDMCMQIFVRHAFKGEEHKDPQLLKVGNSIAEKCCGIPLAAKTLGSLLSSSRDVEEWQSIEEDRLWNVKQDNEDILPALKLSYDALPSHLQAYFASLSTFPKGYELFTDSLIMFWMALGLLHKTREIKETISTGTKYLHELLGRSLFQDQYVVYDGTIRACRMHDLIHDLAIFVSQKEHAIVSSEKVDVSERIRHLVWDCQDFTKDIKFPKKLKKACKARTFASRCNYGTLSKAFLEDLFTTFKHLRVLVFSSVGFEELPSSIVNLRHLRYLDLQWNKKLKYLPNNLCKLVNLQTVNLGRCNQLLEIPRDVHGLVNLTWFALTSKQKYLLKDGFCGWSSLTFLQLSYCPRLTSLTNGFGSLTALLELRISNCPELASLPSTTRHLSNLQKLSINNCAVLDLMEPGEALTGLRSIRWLQLAGLPKLMGFPESFKAAASSLQYLAIVNCKGMEKLPSFIQDFSSLRKIVLHSCPSLSSRCAVGTGEDYHLIRHVPSILC >KQL10480 pep chromosome:Setaria_italica_v2.0:IV:16103540:16104179:-1 gene:SETIT_007698mg transcript:KQL10480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIKAMVEDAASSAKAGAEKAKATAGEKVEKVTTRDPMKKREAEERKEDRKLEIESDERVEKAGRGPEKTVTHTADE >KQL10733 pep chromosome:Setaria_italica_v2.0:IV:24385661:24389332:-1 gene:SETIT_007209mg transcript:KQL10733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQERDYIGLSPATAATELRLGLPGTEEAEGGEAAAGTPLTLELLPKGGAKRGFADAIVQRESAARGKAPAEDDEEDKKKAQAPAAKAQVVGWPPIRSYRKNTMAMNQGCLYVKVSMDGAPYLRKVDLKMYNNYKELSLALEKMFSSFTVGDNESNGKSGREGLSGCRLMDHKNGTELVLTYKDKDGDWMLVGDVPWRMFTGSCRRLRIMKGSDAVGLAPRATEKGKNDN >KQL10983 pep chromosome:Setaria_italica_v2.0:IV:29184156:29186546:1 gene:SETIT_006882mg transcript:KQL10983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARHSVPCVDAERERAAAAAGSGGRIHGHVAAERAAAAVEPGEVDGVGAERAHAVPVEQQPGPLLAALRGGRLAAAGRELAVRRVAVADEAVQPVAVADDLEEEGEHLLGPELGLLHAAPHGGHPVVDGALLLLEAHHLRRHHGHVFRGELGGAGERGGVLPLAAAAASRGAAAAADDVAQEVGLAAQERRVRELPPVRINLAEALRTRTEGVCTVQIHQLYPLPTDEEQKMLVCTRSNQFIAWSDFTDIGVELAHEAGEVVVLEVRGEQRLREDEGVGDDEAVVPPSPSDDPVRPGVLHHHVRLPHERRRRAAARRHPRFLRLLI >KQL11670 pep chromosome:Setaria_italica_v2.0:IV:35777309:35781708:1 gene:SETIT_006269mg transcript:KQL11670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMLASLVPASGTAAAAAAAGGLVAAAALVAGGGRLVGQKDRLNAPPAVPGLPIIGNLHQLKERKPHQTFARWAQVYGPIYTIRTGASSVAVLNSTEVAKEAMVAKFSSISTRKLSNALSVLTCDKKIVATSDYGDFHKMVKRYVMVSMLGASSQKQFRGIRDMVIDNMLSTFHTLVTDDPKAALNFREVFKNELFRLSLIQALGEDMSSVYVEEFGKSISKEEIYQTTVVDLMMCALAVDWRDFFPYLRWIPNRNFETKVSTTEARRTAVIRALINQQKKRIARGEARVSYLDFLVAQNTLTDEQVTTLVWEAVIEAADTTLVTTEWAMYELCKNQEKQERLFEEIQEVCGDETVTEDDLPRLPYLNAVFHETLRRHPPVSLVPPRFVHENTTLAGYDIPAGTELIINLYGCNMNKNDWDEPEEWKPERFLDGRFEQADMFKTMAFGAGRRACAGATQATNIACTAIARFVQDFAWRLKEGDEDKDDTIQLTTNRLYPLHVYLTPRGRK >KQL10308 pep chromosome:Setaria_italica_v2.0:IV:12721655:12722826:-1 gene:SETIT_007621mg transcript:KQL10308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVHIGDLVFYFLQGHLEQVKCWKYPWPNLWQIRDMIIHTGLEEVLIILFLPTVVVRWKILMVLLVVAVLHTTRQMTNCLSKGVNSRLYCLEIVYT >KQL11579 pep chromosome:Setaria_italica_v2.0:IV:34997529:35001746:1 gene:SETIT_008200mg transcript:KQL11579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCFKLIRMGRCLYARDPRGETYACVILVLALVAAFEENPVCAEKCSLRVELPGETVEPESCVSLAGDGEGADRAGLVLKRASEACGLVSKIHYYEQKLNKIPHEYNTSMLSDWPAIREKEVYFIRMLYKLKKDRDLYDKKIKSQLIKEQKAELKPVDLGSHKAKEVPQSNIVKDSTINKEVQKKFNESYPLESYEEEEENNVQSHQDFINSLDEEEINNLENAMDALEIKDEEISDSKEARTELMDQGDCEHDWIKGRGDYNIECTFCIYYPSQDNRFTCSVCLKQACASCLKNANQKWRQEIEIVAEDKILASRVRNLENRVNILEAKLEDLRSKIEFNNKIEGNVSGNTDKYIVRLPFKEIVGIRIPVKVKLTPTITYKILALVDTGCTKNIIHDKYFARCPEILAYNIEIQINNTKYIMDEITIRDLSMINDDMILGLRFLQYSLQTTIIHEQGITFIPYQDNIPYIIEVRKAISANEGKAKLETQEYDDNKFVNNIDEELVCKLNIINSDYIIKTSPIEATPKDIEEFKMHIEELLKLGAIGESRSPHRSAAFIVRNHVEIARGKSRMEREKIYLQDHIAKKILQFPDVMNDKKTLQQFLGIVNYARNYIENLAKLAGPLYAKLRKNGQKYFNSEDIKLDLKSSQDHIVLDEVQECILDNFWYQYNNKREDKGYMLAILNSLAEYFHTINGLIQPKQSPKNIEKKAIYVIYKGKNPGIYVSFEQVIAQKIEKDKDGGILWKKYSDIDQALTYARNILGVNYFLEPAAKEYIQIYKKNKGT >KQL10894 pep chromosome:Setaria_italica_v2.0:IV:27834485:27840423:1 gene:SETIT_006022mg transcript:KQL10894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEASGVRRSIIRPPGFLLGPSNLTHPPAIPFSSPLSHPPAGPSSPLSTFSSTRRWEIRAAAAAASGLGRSRGAAPSSPLHSPSRRRLPRRRRRETAMALHAPVLVLKDSLKRESGAKVHQANIQAAKAVADIIRTTLGPRSMLKMLLDAGGGIVVTNDGNAILREIDIAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAQAFIDKNYHPTVICRAYTKALDDAIAVLDKIAMPVDVNDRVSMLGLVKSSIGTKFTGQFGDLIADLAIDATTTAGVDLGQGMREVDIKKYIKVEKVPGGQLEDSRVLKGVMINKDVVAPGKMRRKIVNPRIILLDSPIEYKKGENQTNAELMKEEDWQVLLEMEEEYIKNLCAQILKFKPDLVITEKGLSDLAIHYLSKAGVSAIRRLRKTDNNRIAKACGAVIVNRPEELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDVLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSAALKQKSSSVEGVEKWPYEAAALAFEAIPRTLAQNCGLNIIRTMTQLQGKHANGENAWVGLDGRSGEIVDMKERKIWDSYSVKAQTFKTAIEAACMLLRIDDIVSGIKKKQAPGASAPKQPQIETEGDADNEQMIPE >KQL12313 pep chromosome:Setaria_italica_v2.0:IV:39976328:39978510:-1 gene:SETIT_007030mg transcript:KQL12313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPGPRWKKGKDGKDFAALAAANPMSRIVAELQASLRDSQAVAILSVNGGDAILAVGPHQAALLNRAAFGQAVGNAGEERQWFQLGPEEVFFLCHALRCIAVDTENKKQMGEGDLWDLLNSISEPFPEMYKAYEHLRFKNWVVRSGKQYGADFVAYRHHPALVHSEFAVTVVPEGKAFGTRCGPLQVWSNLLSKLRASGSVAKTLLVLTISTENKCGSSDCLEKMIVHERTITRWIPQKCREQQDKPCREEASREEQDDTREGVVFSYWGVILSFTILSSLLVYKLKF >KQL09825 pep chromosome:Setaria_italica_v2.0:IV:6373355:6375193:-1 gene:SETIT_008440mg transcript:KQL09825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEGVAGIGITKRPSQQCPDARRAEAQEDTVEQDTGPWIARKKVTALAICLVALPVLMTTVSRRDAPWTAASFWPLASTSTKEKLLGGLLVPGFNERSCLSRYHSAFYRKNMARSPSPHLIKRLREHEALQHRCGPGTEAYRAAAARLSSGHPNATDGDDAAPGACKYLVLVPYRGLGNNILAMASAFLYAVLTDRALLLDRTTSLGDIFCEPFPGASWLLPQHFPIRNLQNLTGEVPESYRHLVQSDDAAASVSRRRYVFVDLDHSCTYHDKLFFCDDERRFLHRAPWLLMRTDGYFVPALFLNPAYQEELDRLFPRKDAVFYLLAHYLFHPTNKVWGLITRFHDSYLKNSDERLGIQIRVFDGDTPFQHILDQILACTSQEHLLPDVVTQEPPHPSTTGAQSKAVLMTGLSSWYYENIRWKYWQSATATGEAVSVYQPSHEEHQLSGYTTHDMKALAEMYLLGMTDKIVTSGWSTFGYVGHGLGGLTPWIMFRPENHTTPNPPCQRAKSMEPCMHGPPFYDCRTKHGADTGKLVPHVQHCEDMSWGLKLVHPE >KQL11003 pep chromosome:Setaria_italica_v2.0:IV:29353045:29361856:-1 gene:SETIT_005698mg transcript:KQL11003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGGHRAADGGGAVRAADGGGQGALGLCGIRLGRQATLTILKDVSGVVRPSRMTLLLGPPSSGKTTLLLALAGKLDPTLRCAGEVTYNGFALDEFVPQKTAAYISQTDVHVGEMTVKETLDFSARCQGVGTKYDLMTELTRREKEAGIRPEPEVDLFMKATSMEGVQSSLQTDYTLRILGLDICADTIVGDQMQRGISGGQKKRVTTGEMIVGPTKVLFMDEISTGLDSSTTFQIVKCLQQIVHLGEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREYVLEFFESCGFRCPERKGTADFLQEVTSRKDQEQYWADKQRPYRYISVPEFAQRFKRFHVGLQLENHLSLPFDKSRCHQAALVFSKHSVSTIELLKASFDKEWLLIKRNSFVYIFKTIQLIIVALISSTVFLRTHMHQRNVDDGFVYIGALLFSLIVNMFNGFAELSLAITRLPVFYKHRDLLFYPAWVFTLPNVVLRIPFSIIESIVWVLVTYYTIGFAPEADRFFKHLLLVFLIQQMAGGLFRATAGLCRSMIIAHTGGALSLLIFFVLGGFLLPKDFIPKWWIWGYWVSPLMYGFNALAVNEFYAPRWMNKFVLDQSGVPKRLGVSMLEGANIFVDKNWYWIGAAALLGFTIFFNILFTLSLMYLNPLGKPQAVISEETAEEAEGNGHRTVRNGSTKSRDGGHSKEMKEMRLSARLSNSSSNGISRIMSVGSNEAAPRRGMVLPFNPLAMSFDNVNYYVDMPAEMKQQGVQDNRLQLLREVTGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIRIAGYPKNQATFARISGYCEQNDIHSPQVTVRESLIYSAFLRLPEMIGDQEITDDIKIQFVDEVMELVELDNLKDALVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGQVIYSGQLGRNSQKMVEYFEAIPGVPKIKDKYNPATWMLEVSSIAAEVRLKMDFAEYYKTSDLYKQNKVQVNRLSQPEPGTSDLYFATQYSQSIIGQFKACLWKQWLTYWRSPDYNLVRFFFTLFVALLLGSIFWRIGTKMGDANTLRIVMGGMYTAVMFVGINNCSTVQPIVSIERTVFYRERAAGMYSALPYAIAQVVMEIPYVFVQTTYYTLIIYAMMSLQWTAAKFFWFFFISYFSFLYFTFYGMMTVSISPNHEVAAIFAAAFYSLFNLFSGFFIPRPRIPRWWIWYYWICPLAWTVYGLIVTQYGDLEEEISVPGGEKQTISYYVTHHFGYHRNFMPVVAPVLVLFPVFFAFMYAVCIKKLNFQQR >KQL12017 pep chromosome:Setaria_italica_v2.0:IV:38221040:38221608:-1 gene:SETIT_009029mg transcript:KQL12017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGSVRPCHYAVLGVATCASAANIDAAYHKKVEEWRLEGDSSTTQESRASFRRIKEAFEGNDNLLFPSTCVSV >KQL12279 pep chromosome:Setaria_italica_v2.0:IV:39788404:39790328:-1 gene:SETIT_006783mg transcript:KQL12279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEVAMKALVLSLVLGAVAGIRPSKLVRRQVPAIYVFGDSTLDVGNNNYLPGKDVPRADKPYYGIDLPGSGKPTGRFSNGYNTADFVARKKLIPSAINRGVSYASAGAGILDSTNAGKNIPLSQQVRLFELTKAEMGPRVASNLLSRSFFLLGAGSNDFFAFATAQAKRNKTATQSDVAAFYGSLLSNYSATITELYKLGARKFGIINVGPVGCVPRVRVLNATGACADGMNQLAAGFDAALKSLLAGLAPKLPGFTYSLADSFGLTQDTFANPLALGFVSSDSACCGSGRLGAQGECTPTATLCPDRDRYIFWDSVHPSQRSAMLGAKAYYDGPARYTTPINFKQLARA >KQL11966 pep chromosome:Setaria_italica_v2.0:IV:37860812:37862022:1 gene:SETIT_007075mg transcript:KQL11966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLGLGFACFALVIAAASATQFRVGGQKGWSVPDAGFEPYNTWAGKLRFQIGDQLLFVYPKETDSVLLVEPAAYNSCNTSSYLQKFDDGNTVVKLDRSGPFFFISGNEASCRANEKLIVVVLADRTPPGSRTPPGAPPTMSPPSPSPMPSPSSPPPAAAPALSPSSPPPSGAAPLPAPAATPTSPPSPAASAPAPGPTATPGSPPAPMAPSPSTTPGTPGGASQPPSASANAPGAGGNSTPPPPSASNRGAAAAPAVAGFVAFIGYAILAA >KQL10736 pep chromosome:Setaria_italica_v2.0:IV:24555445:24556302:1 gene:SETIT_007039mg transcript:KQL10736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQEEVTRSTQTLEWKCVESRVDSQCLYYGCFILFLLRKGQVDTIDIALRRALLGEIEGTCITHAKFGNMPHEYSTIVGIEESIQEILLNLKEIVLRSNLYGVKDASICIKGPRYITAQRIILPPSVEIIDMTQPIANLREPIDFCIELQIKRDRGYHTKLRKNSQHRSYPIDVVSMPVRSMNYSIFSCGNGNEKHEIIFLDLLTNGSLTPKEVLYEASCTLIDLFLPFLHAEEEGTSSLIMLGSLLSDNYDICRFTTNTTPMGKDHLKIESHGHLSSTGGKLVN >KQL12064 pep chromosome:Setaria_italica_v2.0:IV:38525718:38528730:1 gene:SETIT_006583mg transcript:KQL12064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRSVGTLSEADLKGKKVFLRADLNVPLDDNQKITDDNRIRASVPTIKFLMEKGAKVILASHLGRPKGVTPKYSLKPLVPRLSELLGVNVVMANDCIGEEVHKLAASLPDGGVLLLENVRFYKEEEKNDPEFAKKLASVADLYVNDAFGTAHRAHASTEGVTKFLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSTKIGVIESLLGKVDILILGGGMIFTFYKAQGYAVGKSLVEEDKLELATSLIEKAKSKGVSLLLPTDVVVADKFDANAESKIVPASSIPDGWMGLDIGPDSIKTFSQTLDTTKTVIWNGPMGVFEFEKFAAGTDAIAMKLAELTAKGVTTIIGGGDSVAAVEKAGLANKMSHISTGGGASLELLEGKTLPGVLALDDA >KQL09118 pep chromosome:Setaria_italica_v2.0:IV:1326358:1332897:-1 gene:SETIT_006397mg transcript:KQL09118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDDEEMCDASSSSAPASPGGGAGEEEGEFEEGAEEEEEVGEGVMVMEVAWFQVDLDYEFDAPRWFDLAQEEPPMEAAAAQGWFASAPSYPPSPLIAKMFAEDLGLQTVRSIAVTDAVQCSTTSYGCSSGAGQKTHRVQGRKPCNGASENERRPGCRTTMKSTSLKGSTLMKPTASQLARQNRQVEPRNVMQSKKSVGVTSERSTISSNDCTYQSAKRQRLENGHLNKAAATTNQHEFIHKNHEKNVMNRNMDHPTGLPKLKITIPREPELATKLRAERSRVLRSVPTNPKQLNPRAAPSASTAQVASTRKVVQPLRATGHGHQHASRQRDHVGSNVPACTSNHARHVNNVDKKPEDCRGDLFKFKARPVDRKILASKGDVGVFRCAKKNTTVPKEFNLSSSRKGNPSPLSELFNKLSLTAGAHHHRGIERQVNDLPNYITTKDCKENMIGNIQC >KQL10006 pep chromosome:Setaria_italica_v2.0:IV:8347490:8349289:1 gene:SETIT_006631mg transcript:KQL10006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSGDAGGGRRPNFPLQLLEKKEEQPCSTSPAAGVGAGAGANGAAGPGELQVRKLAPPKRTSTKDRHTKVDGRGRRIRMPAICAARVFQLTRELGHKTDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSFSIPAHLRAPGLPGTRFGGARGDPWDRVVGLGFGGAEGPPSATSSASSPLLLSFHSGSVGLDVSPSSSSAAASSDLSRKRRWEQEMQQQQQQQQYQQQMAGYTQSQMPGTVWMVPSSNAQAAAAAAGGGGGGGESIWTFPQGASGGGAATLYRGVPSGLHLMNFPAPMALLPGGQQLGLGQAGGNNDNGGGGGEGHMGILAALNAYRVQAASDAAAAAQNGAEGGSSQHHQQHGGGERQESMSPSDDS >KQL12146 pep chromosome:Setaria_italica_v2.0:IV:39121393:39122745:1 gene:SETIT_008504mg transcript:KQL12146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SAAELTEVTNKVFFDMQIDGKPEGRIVIGLFGKTVPKTAENFRAISTGEKGLGSHGKPLFYKGSTFHRIIPGFMIQGGDFLNGDGTGCDSIYDGEIFPDENFKLGHAEAGTISMANYGKDTNGCQFFITTVPGNRLPKKLDGIHVVFGKVLSGMDVVHKIEAVGQPTGVPKAKVVIVDCGELPKSDEL >KQL09852 pep chromosome:Setaria_italica_v2.0:IV:6680338:6682169:-1 gene:SETIT_006633mg transcript:KQL09852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGKVLNEVKPYLYMVLLMVGFSGMYIVSVASLRRGMSHFVLVVYRNFVGTVLMTPFALLFERGVRPKMTPLVFVKVMGLALLEPVLDQNMYYLGAKLTSAGFASALVNMLPAVTFLMALVLRMEKLRLRSLHSQAKILGTVCTVAGAVLMVLYHGPVVPFPWSSGGAHHHAAVAAGTAASQSGGAWLYGVAMVIGSCVCWAGFFILQSNTLQSYPAEMSLTALICGVGTVMSGAVALFAERRDMSAWVIGFDTRLFTVVYSGIVCSGVAFYVQGLVTRVRGPVFVTAFQPLCMIFTTIMGSTILKEETTLGSVVGAAIIVVGLYSLIWGKSKDHLGDGKPGAGVVTELPLTSAPTPNGNGYGKQHALGGHVVTDVETPAATVTKCAY >KQL11704 pep chromosome:Setaria_italica_v2.0:IV:36193281:36193777:-1 gene:SETIT_008879mg transcript:KQL11704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGMPCAPQRAPGHIACRPRALPLAGRRHRRSRAPPLTSCTSSSVAAAGRHRTTPTC >KQL08990 pep chromosome:Setaria_italica_v2.0:IV:596721:606470:-1 gene:SETIT_005732mg transcript:KQL08990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEVGAAELCCISHAFDRAARRDPDRLAVIHAPASGGDGEERRFTCADLLAAVASLSRRIAAAHGGTPTDRLEHPGSRGGGAEPRVVGVHASPSVEYVVAVLAVLRCGEAFLPLDPAWPEERVSSAVSASNAALVVSSVGSQGAPVFESSPCPVLHLGGDIRQWSRDENGGEDLAWPCERDRPRKFCYVMFTSGSTGKPKGVCGTEKDDVLLFKTSISFVDHLQEFLSAALTCTTLVIPPPSEWRANPASLANLIKVYRISRMTLVPSLMEIILPALAKKLPDGCNPLKILIVSGELLSVLLWKRVYEVLPETTIINLYGTTEVSGDCTFFDCKDLPTILEREDLNSVPIGFPISNCEVSLVTEDGLGDEGEISVSGACLFSGYLADPMTSNGLGDNGISAYYKTGDFGRRLKTGELIFLGRKDRTVKIYGQRFSLEEVESTLREHPDVSDAAVTFQGNGSLDFKAYLVLKSNDEFPKCYSRLNSSQDIMAPLRSWLIMKLPLAMVPRLFIPMESLPLTLSGKIDYAKLSSLEWALGPCEIESENSPVDAHMQVIKKAFSDALLVDEVSEYDDFFALGGNSIAAAHVAHKLEIDMRLLYIYTTPSKLLHALFVESSCVVSPTHEFHNRKRLKVSASISGSFDPVSAHLDNNLHGKGNIDEEGKHDHFAGNHVNETVGQLNKNMNNDRYRVKDLCSDACLNDGIFSGSPSSPWILNFYLQKKWSFGRCNRFMHGYEGILKVEDFCTSIPYNKRGYLVKLWDILLDSCVDASPLLVVNNGMVNIFIGSHSHLFLCIDGCSGSVRWSVKLEGRIECSAAITGDFSEVVIGCYKGKIYFLDMSTGKLSWTFQTDGEVKMQPVVDRTRNLIWCGSYDHYLYALNYKDHCCTYKISCGGSIYGSPAVDMAQNIIYVASTSGLVAAVSFEEPSFKMIWQYEAGAPIFGSLALDHQSGKVICCLVNGLVIALNSQGTVIWKAAVGGPIFAGACLSPTLPYQVLIPSRDGSLYSFDIASGALLWVYNVGDPITASAFVDELLTSESFGPSERFACVCTSSGKVHVIRIRADAKQEQAGRGVKYEQLVQGLASIDLPGDIFSSPLMIGGRIFVGCRDDRLHCLTVTS >KQL12155 pep chromosome:Setaria_italica_v2.0:IV:39147425:39149633:-1 gene:SETIT_008533mg transcript:KQL12155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGAEAEDDAAGEGKPTLLQRWSSSVWAVSGSGRLMWARNEAWQAHAGMAFVQVSYGGYHVLTKSVLNVGMNQIVFCVYRDLVALALLAPIAFLRERNVRRPVTRQLLALFALLGFTGIFGNQLLFLLGLSFTNASYAAAFQPAIPVFTFLLAAIVGVEVINIFTKDGFVKVLGTAVCVSGAVLMAIYRGPSLIGMVSTIAADGNALAGTRSSNAYSAQWLTTAMLRYGVETWHLGVVCLIGNCFLMGAYLVIQAPVLIKYPASLSLTAYSYSFATLFMVLTGAFATNGLHEWALTTTEVIAVLYAGIIASCVNYAIMTWANKILGPSLVALYNPLQPACSTLLSTIFLGTPIYVGSVAGGLFIIAGLYLVTWARYNEAQRVLTASYLRPLLVEDPPTAKAEGGSFSGSIDP >KQL09934 pep chromosome:Setaria_italica_v2.0:IV:7556420:7557154:1 gene:SETIT_007638mg transcript:KQL09934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREAEAALRPFVQAAGGDGEVISGGPAATAFLATDRTDRPVDPVIWGDEKRMKRELVAWAKAVASMSAGKNTSCSSTPSSTPSPSMRRGRV >KQL10028 pep chromosome:Setaria_italica_v2.0:IV:8680896:8684992:1 gene:SETIT_006047mg transcript:KQL10028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKWHGGFVVVSLFIILMLRYVILDSPLAENSLRYVFQQNRTAELNWLDVPNPPAIQNPQNSSEVISTKLLASNLSITRNLSDRELQSLHSWNLLRDLVSHAHILPDGVEAIKEAGVAWRELNTALAYDDSIASVNGSTQQKDKGKQCPYSIRRMNATRLGDRFALKIPCGLIQGSSITIIGTPGGLLGNFKIELTGAAVPGEPDPPIALHYNVRLLGDKLTEDPVIVQNTWTVADDWGSEDRCPSSESDAKDSAKVDDLEKCSGMVGKDHKEILASKLRSNVSTMPPARKKSAEPRKYFPFKQGYLAVAILRVGAHGIHMTVDGKHITSFAFREDLEPGFVGEVRIAGDIKLLSVIASGLPTTEDFEHVMDLETLKAPPVPIDKSVDLFIGIFSTANNFKRRMAVRRTWMQYDAVRSAKVAVRFFVGLHKNEVVNEELWNEARTYGDIQLMPFVDYYSLILWKTIAICIYGTNVLSAKYVMKTDDDAFVRVDEILSSLDRVNISHGLLYGRVNSDSQPHRDPYSKWYITPEEWPEESYPPWAHGPGYIVSKDIAKEVYRKHKRGELKMFKLEDVAMGIWINEMKKDGLDVKYENDGRILVEGCEDGYVIAHYQEPRDMMCLWDKFQKTKRGTCCKE >KQL09905 pep chromosome:Setaria_italica_v2.0:IV:7339963:7344080:-1 gene:SETIT_006205mg transcript:KQL09905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLIGCGFVLLSWVLFFPCLCLADGLSKVRAVNLGGWLVVEGWIKPSLFDGIPNGDMLVGGLILVIHYVFLDGTQVQLRSVVLNKYVSAANGGGSNVTVDRDVASTWETFRLWRVSENEFQLRCLGGEFLTSNSVDGLILATAREPLSTETFYIERNDERVHIKLLNGGYVQATNDHLLISTYQFQPGWDNNLATFELVIVANNLHGDYQLANGYGYEKAKKVLEEHRKSFITASDFDFLSRHGINTVRIPVGWWITQDPYPPSPFVGGSLAALDLAFSWAQSYGLKCIIDLHAAPGSQNGMEHSASRDGSVDWPSPEYASQTLEVIDFLATRYGGHPSLLGIELLNEPSAATVPLDVLVSYYTRGYQIVRNHSSTAYVILCQRIGNADPIELFQAGTGLSNVVVDLHYYNLFDPYFASLNSTQNIEFIYKMRAPQLQALKDANGPLVFIGEWVNEWDVQNASQYEYQKFGRAQLDVYANATFGWSYWTIKNDRMHWDFEWNIRNKYLLFSGSSLLKSPSFLLLLAFGWGTYLIIMPR >KQL09886 pep chromosome:Setaria_italica_v2.0:IV:7068036:7070303:-1 gene:SETIT_008714mg transcript:KQL09886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVQCIIFSKLELKEAVRSSVLSSKWANKGMIHSKLRFDGSKMYGKYMSGKYRTLKFIDNVNRVLKLHHGKVVETLEIKQIQLSFVSFKLPSQFSGFPKLKRLDLYLLHVNRKDLQDMLSGCSNLEWLSIARCDLEDELIVDRPLSHLLYLRVAHCKMTKIELHAAKLRTFIHNGTQLPVHTIQAQELKDAEIAVTNFTTFEHALNVLPKMLARVQNLTLQVPLRLKSSSLLEKSSKFPQLRHLKLLLRHSPEDLDNILSLASFLRAAPLIEELEIHFDITGRGNAEVGRLRNLPKCPYKHLRNICISGFIGTQGQAELLVHAVENAPALEVVTIDTANKSGNSLFQDVDRRGAYIARSCLEGKISPKTKLHINNCSCEVTIR >KQL11798 pep chromosome:Setaria_italica_v2.0:IV:36836049:36837629:1 gene:SETIT_006866mg transcript:KQL11798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTTPDRANKTAAAGSGDRSWREEAAAAGSLRQVDLDRGANGWASPPGDLFHLRSRGYFSGGGGKRGKAPSAAEWLLRPAGVDWLRSHARLDHVLARDDNRVAAAFRRARLRKDPTAHFLLAVNLQVPGRPDAYSAVFYFAAEAPIPPDSLLGRFVHGDDAYRNARFKIANRIVKGPWLVRATVGNYAACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMSAAKYVELPPDEAMPETAGRAGAGFRVSSAKVVNHSRQQEHAGGKVGRSMSCPGRDSGAHGHMLIF >KQL11799 pep chromosome:Setaria_italica_v2.0:IV:36836049:36839722:1 gene:SETIT_006866mg transcript:KQL11799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTTPDRANKTAAAGSGDRSWREEAAAAGSLRQVDLDRGANGWASPPGDLFHLRSRGYFSGGGGKRGKAPSAAEWLLRPAGVDWLRSHARLDHVLARDDNRVAAAFRRARLRKDPTAHFLLAVNLQVPGRPDAYSAVFYFAAEAPIPPDSLLGRFVHGDDAYRNARFKIANRIVKGPWLVRATVGNYAACLLGRALTCRYHKGDDYLEIDVDIGSSAIASAILHLALGAVTSVTIDMGFLVESQSEEELPERLFGAVRIAQMEMSAAKYVELPPDEAMPETAGRAGAGFRVSSAKVVNHSRQQEHAGGKVGRSMSCPGRDSGGK >KQL08919 pep chromosome:Setaria_italica_v2.0:IV:298027:298298:-1 gene:SETIT_008410mg transcript:KQL08919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAKPSVAAASAPVTGVPMGGPPVAAGNATSQWSSGLFDCFDDCGLCCLTCWCPCITFGRVAEIVDRGATSCGMSGAMYTLLALVAYLT >KQL11128 pep chromosome:Setaria_italica_v2.0:IV:31024341:31024764:-1 gene:SETIT_007787mg transcript:KQL11128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHFIFNQKAQSKKDDNADEPCYHLQASSSCHWRATSIESTYTDFQWKSRQYRLEPNF >KQL09583 pep chromosome:Setaria_italica_v2.0:IV:4416325:4417761:1 gene:SETIT_006785mg transcript:KQL09583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLGLATGLAVAGEVEKQDGGGASSSGGHGSWISPAVLFVLVIVAVVLLVSGLLHLLVRCLRRRGRAQGAGEGGADGVDGGGEESALQRQLQQLFHLHDAGLDQDVIDALPVFLYREVVGAGAKEPFDCAVCLCEFAGEDRLRLLPLCGHAFHIDCIDTWLLSNSTCPLCRCALGDDDAAAALLDALHGEGGWKHEDAVLPVRLGKFTSQSRAAPGPVHDGAGIVTREAGETSSSSLDARRCYSMGSYQYVLAEASLQVSVHRRHGDGHGRAGARLRGVGANPAGAEAAAAAGTEGKRIGAGSKGDSFSVSKIWQWPRNGKGKLPVLASDDSPAMNGRLPWQRRSPGDS >KQL12239 pep chromosome:Setaria_italica_v2.0:IV:39595169:39601019:1 gene:SETIT_006091mg transcript:KQL12239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCSRRFPEEPAPPRTLAGAYTARRGRYGPGDFDSGELAIPPPKPPPSHKVSETGTFLGRASIAGLEKAVEVLDTLGSSMTSLNHGSGFLSGGTNRGNKVCILAFEVANTIAKASGLWRSCSDESIKELKGEILHSDGVRILVSSNTSELLHIAAIDKREELAVFSREVIRFGDLCKDPIWHNLGRYFNKYAPEKLTTDNTHQDHSKESMEATVQYLINLAQNTSELYHELHALDRFEQDFRRKFHEEESVPAARRESIMILHSELKRQRKIVKNLKKKSLWSKTLEEIVEKLVDIVIFLHKQIRDSFNEAVPAGTDFDSKNTQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSSRDNLYHGLPITVKSALRSRLQSYNTEEERTVAQIKAEMQKTLRWLLPIAENTLRAHQGFGWVGEWANLGSDMGKKSGSQHSITRIQTLHHADKATTEHYILELVVLLHHLVVQVKNRGYGNSKSTKYERSRSKGGPTDLRPPETRHNTSPVSAASVASSPLSDCERAALARLSFRRASYGRSQSCEPPPDRARGSSKAHRSWDSCRSQGSSPARERGRDMAVDRDAARDLDVIDGLDLDRLTSSYSHPSSPTFC >KQL12237 pep chromosome:Setaria_italica_v2.0:IV:39595169:39601019:1 gene:SETIT_006091mg transcript:KQL12237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCSRRFPEEPAPPRTLAGAYTARRGRYGPGDFDSGELAIPPPKPPPSHKVSETGTFLGRASIAGLEKAVEVLDTLGSSMTSLNHGSGFLSGGTNRGNKVCILAFEVANTIAKASGLWRSCSDESIKELKGEILHSDGVRILVSSNTSELLHIAAIDKREELAVFSREVIRFGDLCKDPIWHNLGRYFNKLTTDNTHQDHSKESMEATVQYLINLAQNTSELYHELHALDRFEQDFRRKFHEEESVPAARRESIMILHSELKRQRKIVKNLKKKSLWSKTLEEIVEKLVDIVIFLHKQIRDSFNEAVPAGTDFDSKNTQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSSRDNLYHGLPITVKSALRSRLQSYNTEEERTVAQIKAEMQKTLRWLLPIAENTLRAHQGFGWVGEWANLGSDMGKKSGSQHSITRIQTLHHADKATTEHYILELVVLLHHLVVQVKNRGYGNSKSTKYERSRSKGGPTDLRPPETRHNTSPVSAASVASSPLSDCERAALARLSFRRASYGRSQSCEPPPDRARGSSKAHRSWDSCRSQGSSPARERGRDMAVDRDAARDLDVIDGLDLDRLTSSYSHPSSPTFC >KQL12238 pep chromosome:Setaria_italica_v2.0:IV:39595169:39601019:1 gene:SETIT_006091mg transcript:KQL12238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCSRRFPEEPAPPRTLAGAYTARRGRYGPGDFDSGELAIPPPKPPPSHKVSETGTFLGRASIAGLEKAVEVLDTLGSSMTSLNHGSGFLSGGTNRGNKVCILAFEVANTIAKASGLWRSCSDESIKELKGEILHSDGVRILVSSNTSELLHIAAIDKREELAVFSREVIRFGDLCKDPIWHNLGRYFNKYAPEKLTTDNTHQDHSKESMEATVQYLINLAQNTSELYHELHALDRFEQDFRRKFHEEESVPAARRESIMILHSELKRQRKIVKNLKKKSLWSKTLEEIVEKLVDIVIFLHKQIRDSFNEAGTDFDSKNTQNKRLGSCGLALHYANIINQIENIVSRPLSLPPSSRDNLYHGLPITVKSALRSRLQSYNTEEERTVAQIKAEMQKTLRWLLPIAENTLRAHQGFGWVGEWANLGSDMGKKSGSQHSITRIQTLHHADKATTEHYILELVVLLHHLVVQVKNRGYGNSKSTKYERSRSKGGPTDLRPPETRHNTSPVSAASVASSPLSDCERAALARLSFRRASYGRSQSCEPPPDRARGSSKAHRSWDSCRSQGSSPARERGRDMAVDRDAARDLDVIDGLDLDRLTSSYSHPSSPTFC >KQL11849 pep chromosome:Setaria_italica_v2.0:IV:37154691:37157908:1 gene:SETIT_008853mg transcript:KQL11849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMALLGMLASLAVWEGVRYCRDHWTLSPGIAQALLHCAQIATAILLYVCNLQFALVYAIGLSYVVMMLHASLRKLTPSKLPDPGNKNRRAQPRRS >KQL09198 pep chromosome:Setaria_italica_v2.0:IV:1823761:1824690:1 gene:SETIT_008453mg transcript:KQL09198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRDGGPAVMRPHHPPPRSFVHVVPEYHHPTPTAMLPYAQNPAHLLFPSAAAKAEVRDVWAGNLEEELSAIATVLPYYPCVTVDTEFPGAVHDDPATPRYLRGPRESYALVKRNVDDLKLLQVGIALSGAAGRFPVAWQFNIRGFNPALDPHAPASVAMLRAQGMDLAALREFGIRPADFADGFYRCGLVGSGHLTWAAFAGAYDFAYLAKALIGGRPLPETLDGFHALVQQLFGPKVLDAKHLAKCCGVRGGLKQVAAALGVERAAGRAHCAGSDSLLTADVLLAMLDRFFRNSSVLSHAGTIVDLA >KQL11343 pep chromosome:Setaria_italica_v2.0:IV:32769315:32770342:1 gene:SETIT_008121mg transcript:KQL11343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLQMWTYKQFMLTLNGYMRNKAFSEGSMIKGYHTKESVDCCIDYIKDKRAISLPESRHEARLSGRGTIGMKRFIDKDNQQLEKSHSSVLQQLAIVDPFIEKHLNEVRGENLANVGYKDDPWVFAERVAQVFYIIDPMNAKKHIIVSGKQRILGVEGVVDIEDYNQYKELNLFKDRERRNKHVEASIDKSMKPWLRSDCEGRIVKG >KQL11709 pep chromosome:Setaria_italica_v2.0:IV:36211393:36212197:-1 gene:SETIT_008985mg transcript:KQL11709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLSPSRRKLWMAASPEPHTSRSQEADSMAGPAPWRRARTVADASRRWMGQPQSSTMQRFFKTCAAARFRVLALMSSIAARKLLRPVK >KQL09421 pep chromosome:Setaria_italica_v2.0:IV:3259881:3261466:1 gene:SETIT_0057811mg transcript:KQL09421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTLEALFGAAFMSELHSKDAPVSIRGSVTGGPNEFAETGKTLLSSSHEGYYPVEQTLSFNNAKDAAVPKEPGLEYSALTGGLNQGNTSFDKKGVEIHLPEEDNLFMMNDSLPGQNSDILPSVRSNRVEGLLPEKAVDDLSYRLQSLVPGDAEHIQVLGPDALGSHPRDQRLQVESQNLYHLLQGRPPMMTPRPMMDHIVNRNQQTPFDMPQSIRHDPHRSFPSNANPMQHNLHGPGVPHLDLAAHHLMLQHMSMPGSFPPEGLPRGVLPSQPVHHMAGYRPEMGNVNNFHMHPRQPNYGEFGLMMPGPSGPEVRGNHPEAFERLIQMEMSARSKQQQVHNQAMAAGPVPGGMYGHELDTKLRYR >KQL11832 pep chromosome:Setaria_italica_v2.0:IV:37077939:37079495:1 gene:SETIT_006672mg transcript:KQL11832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAFLLPLSLLALFLRCCLGQGEGNGVTAIYSLGDSITDTGNLVKEAPPGMFETIKHLPYGVTLGTPTGRCSDGLLMIDFLAQDMGLPFLNPYLGKNKSFDHGVNFAVAGATAVDPADQFNLTVPMPFASNSLKVQLRWFKDFMKSSFGTDEEIRKKLQSSLVLVGEIGGNDYNYEFFANKPMTEVEKLIPGVIKTIIDAAKEVLDMGAGKVIIPGNFPIGCVPGYLAMNAGTSEPADYDAYGCLRELNRFAAKHNSRLQRAVAELQASRPGASVAYADYFNSFLALLHNASSLGFDAASTRKACCGAGGGEYNFDWRRMCGFAGATACAEPAAYLSWDGIHMTQAAYRAMSRLIYHGKYLEPQILSFPEKYGQT >KQL10509 pep chromosome:Setaria_italica_v2.0:IV:17094266:17095816:1 gene:SETIT_007063mg transcript:KQL10509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYTGAGMYPQMVYHADVRAREMELAAERQMGCSCSPLGRMISRVITKCNGRQGRVRYDEKMDYAMAYAPAQTCYVRPTARNVTLAPSNHHPAHAHAIQPEPPRADATTLPGTPFPSTGAPPQGGARKPKKKKKKKQVRFTPSGPVPMDAPPPHAQHHTATAAGGAGGAAGTAAGVVYHHGAAEPPPSHSPAPPMHGGQGGHHGYAYAHGYGRYAPSPLPRWEMLGTPRRPEYFSGEYRWYYPTPVREGIYSIATDANGRLSTIFSEENPNACTIV >KQL11066 pep chromosome:Setaria_italica_v2.0:IV:30502039:30502570:-1 gene:SETIT_008746mg transcript:KQL11066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEESGAAMITLISFDNTRFETMRRLIEEGGGRAGVHNGIPLPQVDATTLAKVIEYCNKHAFVAVPNAAAVVVDVDKQRLPPCKGFAGAHRQGDCRFDQGQAAGGGEVMGLMELACRAINDLVRDRPVEEVRWVLGIIDNGFTVEEEEEIRRENAWAFE >KQL09677 pep chromosome:Setaria_italica_v2.0:IV:5115945:5119554:1 gene:SETIT_008287mg transcript:KQL09677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAIFSLTEGAVRSLLCKLGCLLSHESWLLQGIRGEMQYIKDELESMNAFLRTLAMSEGPDDQIQELKACARDVGERRSRYDVVLPRTVHRGGIPQLTKHASLHLDPQLHALFTEKAQMVGIDEPRDALVSWLMENDPQLRVLAIVVFGGLGKTTLARMVCESPMVKGADFHCCPLFIVPQTFNIRTLFQHMIRELIQRQHKAMAIAGGKHGHFTDENLEITDKQEVAVLAEKLRRYLQDKRYIIALNDIWTISAWESIKCALPYNKMGSRVIITTRNEDMLHHGNDELEEVSNCILKKCGGLPLAILSIGSLLASKTNTTKQEWQKVCDNLGSELETNPTLEGAKQVLTLSYDDLPYHLRACLLYLSIFPENYVIKRGPLVRRWIAEGFVSQRHGLSMEQIAESYFEEFVARSLVQLVRIDWNGKVRTCRVHDIMLEVIVSKSLEENFASFLCEGSTLVSHDKIRQLSIHSTHKLMQKTRASVSHVRSFTMSAFVEEVPFFFPQLRLLRVLDMQGCSYLDMNVLECICNVFQLKYLSLRKTNISKLPPKLGNLKHLETLDIRATLVKKLPASANKLSCIKHMLVGHKMHLTRTASVKYLKPCSGLEIAPLVIKNMAVLQSLSHIVVKEQSLVLRDISMLQKLRKLNVLFRNVEVNWKAFVNSLGKLASSLRSLSIHILDEKEHSSPLNILASLKNLPPWISSLQSVSRLTLRNTGLHAEAIKVLADLPNLLCLKFYHKSYTDDCIIFPHGKYAKLSILVINDLENINKRLTLSFLREPKDGIFGLNNLKKLMEIEFFGKIIPSVVNKVVACVKTHPNPPRVIGDEWNRVTEYA >KQL09871 pep chromosome:Setaria_italica_v2.0:IV:6912799:6913480:-1 gene:SETIT_007600mg transcript:KQL09871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVEATSFMVSPELGDALAKVAVFALVQALVYLILRKSSDVFSPGKAAARSLSFRPMRSMSVRRVLATFSDVPVGVPEDGAGAGAPSPSPLDPGAECATSWSK >KQL09773 pep chromosome:Setaria_italica_v2.0:IV:5899919:5902914:1 gene:SETIT_007050mg transcript:KQL09773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPARGLSREREGQRTSSGSQQEPSVQTASSICISYDLRPPEFVLNPPKPPPRAVPRSTKRRARTSLLSSRSSSSPPGPAPTTMGNSISAAGPALCAAIGAIELVHFLDPQRTGARTAAAAQAPALGSVVRAFLPLSAAWGFFITSVALMYRHHLQRAGAAAAGNRRQSERVRFMLCASLGFLEFFLFVVQAPGGVGADHDVARELGRAALRALPAAATATFFLSMLLIIVGHIRAGGEGGGGAVAGDGPIGAPAGLLAKMSIGSAAALVCLMAMAALYGA >KQL10982 pep chromosome:Setaria_italica_v2.0:IV:29183641:29183977:-1 gene:SETIT_007811mg transcript:KQL10982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMGIDQPSYRAGQKRLDEDHMDTVHPTVIRQGNNVQLCLLSYFWLSEK >KQL11375 pep chromosome:Setaria_italica_v2.0:IV:32999766:33003831:1 gene:SETIT_007009mg transcript:KQL11375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQRSPAGAGGGGGGAGGGGGGGGGGGGGGGGTSALHYLSGPYGDTTFTKVFVGGLAWETRSEGLRAHFEVYGDIMEAVVITDRATGRSKGYGFVTFRDPESARMACMDPYPVIDGRRANCNLAILGRPGPAVPFVAPVRPVIPYNGGVAVPGGMYVQSPTYQQPPYNYSQAFVYPSYGPSTYGPEYLYQQNAYGPYVGQQYVPVYGGPRTVGPAVYPYGQFGQPVPSDHAYSPGYAPSHGLPLSNQNVNAANVVRMPPVQQQFPPGGPRPQQQILVPARAPPFPQNNISEQASG >KQL09415 pep chromosome:Setaria_italica_v2.0:IV:3217388:3219864:1 gene:SETIT_007291mg transcript:KQL09415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLKLQKRLASSVLKCGKGKVWLDPNEVSEISMANSRQNIRKLVKDGFIIRKPQKIHSRSRARRAHEAKQKGRHSGYGKRRGTREARLPTKILWMRRMRVLRRLLRKYREAKKIDKHMYHDMYMKVKGNSFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKSKASRQRKIARREERLAQGPREPAAPAAAAPVPAAAAPKKAKK >KQL09443 pep chromosome:Setaria_italica_v2.0:IV:3425886:3432113:1 gene:SETIT_006348mg transcript:KQL09443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARSAGAIGLLLVLLSAVAVAAAAGEGNWREEQARDRVPRVPGQAFDTSYAHYAGYVTVSEPRGAALFYWFFEAEKDPGSKPLVLWLNGGPGCSSIAYGLGEEVGPFHVNADGKGVHVNPYSWNKVANLLFVDSPVGVGYSYSNTSDDILRNGDARTAKDSLAFLLKWLERFPQYKGREFYLTGESYAGHYVPQLAQAIKRYHEATGNKSINLKGYMVGNALTDDFHDHYGIFQFMWTTGLISDQTYKLLNIFCDFESFVHTSKQCDKILDIASKEAGNIDSYSIFTPTCHATFASSKNKVMKRLRSVGKMGEQYDPCTEKHSTVYFNLAEVQKALHVNPVIGKSKWETCSGVVNNHWGDCERSVLHIYHELIQYGLRIWVFSGDTDAVIPVTSTRYSIDALKLPTVTPWHAWYDDNGEVGGWSQGYEGLTFVTVRGAGHEVPLHRPKQALTLIKSFLAGTPMPVQSSAHSDM >KQL10752 pep chromosome:Setaria_italica_v2.0:IV:24821150:24825585:-1 gene:SETIT_007177mg transcript:KQL10752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSVLVERATSESLIGPDWSLNLEICDILNHDPSQAKDVVKTIKKRIGHKNSKVQLLALTLLETLIKNCGDFVHMQVAEKDILHEMVKIAKKKPDYHVKEKILILIDTWQEAFGGARARYPQYYAAYQEMLRAGAVFPQRPESSVPIYTPPQTQPLRNYPPPALRNTDYRQDAPESSSAPEVPTLSLTEIQNARGVMDVLSEMLNAIDPNNREGLRQEVIVDLVDQCRSYKRRVVQLVNSTS >KQL10113 pep chromosome:Setaria_italica_v2.0:IV:9663092:9668176:1 gene:SETIT_006119mg transcript:KQL10113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAAPPPLPLLAAASSPAAAPLQRARRRQQQQRRGWRRPRGLLAWGALVAFFFVMNWWMFSRLQDPAARPHFRLRRHPPRADATASNNSSLSTLEEVAGVAKGKGPHRVMLTRLLALAAHALAEAETRPEPNDLWEEPINATMWRPCSDQRDWEASEGTNGYIMISANGGINQQRVAICNAVTISRLLNATLVIPKFLYSNVWLDKSQFGDIYQEDYFINYLKSDIRIVKDLPVELQSLDLEAIGSLVNDTDVMKEAKPSLYVKKILPILLRNRVVHFIGFGNRLSFDPIPSDLQRLRCRCNFHALRFVPKIQEMGALLVERLHGHRSHLSPLKDTLLGPFAVKSAPSANKSDASKYLAVHLRFEIDMVAYSLCYFGGGKDEEDELEAYRQIHFPVLTELKKTTKLPSAAFLRSEGKCPLAPEEAVLMLAAIGFKRSTNIYIAGAEIYGGRHRMAAISRLYPALVTKETLLSPSELEPFRNFSSQLAALDFIACAAADAFAMTDPGSQFSSLVQGYRMYYGGGNLPTIRPNKRRLASILVKNATIEWQEFETRVRKLIQQTKQVHERPVARSIFRHPRCLECMCRTEH >KQL10998 pep chromosome:Setaria_italica_v2.0:IV:29322247:29323036:-1 gene:SETIT_0087351mg transcript:KQL10998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHSNSRNQRNRGSRIKTLLQATLLVGVIFWLLYQVKHSYYKKNEYLDDAEDQLAHNDRSMFQGRKEKAGSYSDSNVEVVGKPEEGSVDHNSDTSDHNGEKSGETVFDKDSSDLHEDDKRNTESSEAEEGQVNSADGNAEAHSNNSEDETTGHAEENKHDTESNSDTEGKSEVHSTGDDMSQNNQAQEESTGETSGTSHDEVVQGDESTEGEKKEALGTQTGSESLPDDAKTETSNDHGMGSLPDETGNIPS >KQL09046 pep chromosome:Setaria_italica_v2.0:IV:872785:874513:1 gene:SETIT_006415mg transcript:KQL09046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVWPLLLLFSISSPIADATRSSLMKSNAVCSGQRVSIPSSSGAWLPLNHRHGPCSPSPSSERIPSTADVLLSGRLRADSIRRRLNGSAAAAERSDVVTVPTTLGTSLGNYEYVVTVGLGTPAVTQTVIMDTGSDVSWVQCRPCPVPAPCHVQKDPVFDPAGSATYSAFSCSSTACLGLGRRRAASNGCSGSSPCQYIVKYGAGSNSTGTYSSDKLTLTPAYAVDGFRFGCSHADPLFSDLTDGLIALGGGSLSLVSQMAEKAFSYCLPPTASHSGFLTLGVPRVSSSRFVVTPMHSIGNIKTYYGVLLQGITVAGRRLGIPPSVFAAGSVVDSGTVVTELPLTAYRALQAAFTKEMRMYPQVAPKNGFDTCFNLTTGGEVKLPSVALVFDRGATVELDPSGIIFDGCLAFASTGDDTSFGIIGNVQQRTFEVLYDIAGQAVGFRRGAC >KQL09410 pep chromosome:Setaria_italica_v2.0:IV:3194809:3196335:-1 gene:SETIT_006813mg transcript:KQL09410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGGLPTQQPQPGTPGRARRRPDLTLPMPQREVATSLAVPLPLPPPSSSGGPTPPSAGPAQQQQQPPPLAELERVRRVGSGAGGTVWLVRHRGTGRPYALKVLYGNHDDAVRRQIAREIAILRAADHPSVVRCHGMYERGGELQILLEYMDGGSLDGRRIAAEPFLADVARQVLSGIAYLHRRHIVHRDIKPSNLLIDAARRVKIADFGVGRILNQTMDPCNSSVGTIAYMSPERINTDLNDGNYDGYAGDIWSFGLSILEFYLGKFPFGENLGKQGDWAALMCAICYSDPPEPPPTASQEFRGFISCCLQKNPARRLTAAQLLQHPFVAGLQPQPLAAPPSS >KQL10829 pep chromosome:Setaria_italica_v2.0:IV:26581069:26583686:-1 gene:SETIT_005922mg transcript:KQL10829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDRDAVTFNSLIAALCLFRRWLPALGALRDMVLEGHPLTSFTLVSVLAACSHLAEDPRLGREAHAFALKNGFLDGDERFAFNALLSMYARLGLVDDAQRLFGSVGAADAPGGGLVTWNTMVSLLVQSGRFDEAVEVLYDMVARGVRPDGVTFASALPACSQLEMLSLGREMHAYVLKDADLAANSFVASALVDMYASHERVDAARRVFDMVPGVDRQLGLWNAMICGYAQDGMDEDALELFARMEADAGVVPSETTIAGVLPACARSEAFAGKEAVHGYAVKRGIADNRFVQNALMDMYARLGDMDAARRIFAAIEPRDVVSWNTLITGCVVQGHISDAFQLVREMQQQGGCTDAATEDGIARADEEPVMPNNITLMTLLPGCAMLAVPARGKEIHGYAVRHALDSDVAVGSALVDMYAKCGCLALSRAVFERLPRRNVITWNVLIMAYGMHGLGDEAIALFDQMVASDEAKPNEVTFIAALAACSHSGMVDRGLELFHSMKRDHGVEPTPDLHACAVDILGRAGRLDEAYSIISSMEPGEQQVSAWSSFLGACRLHRNVQLGEIAAERLFELEPDEASHYVLLCNIYSAAGLWEKSSEVRSRMRQRGVSKEPGCSWIELDGAIHRFMAGESAHPESAVVHAHMDALWERMRGQGYAPDTSCVLHDIEEGEKAAILRYHSEKLAIAFGLLRTPPGATIRVAKNLRVCNDCHEAAKFISKMVGREIVLRDVRRFHHFVDGACSCGDYW >KQL10351 pep chromosome:Setaria_italica_v2.0:IV:13324054:13328447:-1 gene:SETIT_005720mg transcript:KQL10351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVQTGRAIAPTGTTATPGASNDEIEDSNAHGDNNSEISMVTSIGGGGSSGQDSMDNQSRSFSSVDSTNDDFEFLWKLRKYLVLIGILAVGVTYNSGLTPPGGFWNTNKDGHQAGDPVLRAEFYQRYEVFFYCNATAFAASLVLIILLLSKSVTRQKLWLRSMQFTMLVDLFSLMGAYASGSCRALKSSIYTWVLVIIVFAYVLIHILVSTRFVPEIFKEKVKTMVNRTLSKLGICDVETNSRQEERNLEEARKFILMLVTFAASVTYQAGLNPPGGFWAENDHISEHRPATPVLRSHYLRRYNIFVSCNSTSFVASLVTIILLLSPELSRHGIRSKAVVVCVVADLLCLIGAYAAGCCRDVATSFYVMFITVIVFICIGFLAGIFVYKPVADWIEKIKAHTMRCMGVLGRALSLKSRSSGSMNAKPESSHGSHQKDSIHSSAATAEDGKCDPGLQTAGNQQVSNITEAESSGEYPPEGNQKVENTESVSNSQHPSDNSPESTNTEDLVSNLESESTDCKLDANTPESLSSTEQPSSSCQQRDGMPADSQQVSGMNKQSSAVNIRTTDMPEEGSSEQNMLADDSIEDGKTSLPLEASGNVESAEEHTSTGCTNRDIENGGVNNKQEVSTEEHLETTRTYLLLLAILAVSLTYQSGLNPPGGFWSESENNHSAGDRILEDSEHPRFIAFFYLNGVAFVASIVIILMLLNKSMSKKVTKHRVLLIVMIVDLLSLTGAFVMGSCRDAKKSISTSVLLCLVLVYVLLHVLIAIHVIPPECKRQVTDKLKNFSCGNVWSALPQLCHKQTGEKSSPKELERKRNLLLTLSILAATVTYQAGINPPGGVWSDDKDVSGKPGNPILQDNHRRRYDVFYYSNSVSFVSSVAIIILLVNKESCEHGIKSYALRVCLVVGLIGLLIAYAAGSCRNRKQSIFVTVIAVAVLISLVIQVLLSSMYETLQRPLAKLMDRLQKLVEYLQSWVFCNGKGRREISPSESQETKDSDEQKKRKRHKYLMLIATLAASITYQAGLNPPGGFWSDDDSHFAGNPLLLDINHLRYMTFFFFNAISFMASIVVIMLLLSKSIRKKAVPLEVLLLIMILDLLSLMAAFAAGSCRKLSTSVYVFVLVAGVAIYLVVLIVLSRAIKKYPRKWKTKGLFCSRHTSRVSSTNTRVQREQV >KQL10884 pep chromosome:Setaria_italica_v2.0:IV:27701106:27702350:1 gene:SETIT_008631mg transcript:KQL10884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRAIPSSGDAPPTSPPPRALFISSVVFLSIFSVAFAVFVDLPLCCCRRTARTSSEHAARAALSGERGDRPFLANSLPVEHGGTVAVRECAVCLGAGQEGEMVRRLPACRHVHHVECIDRWLAAHRTCALCRSELDPCKVNSGASPPPTEADPPDHQLPV >KQL09866 pep chromosome:Setaria_italica_v2.0:IV:6810137:6810385:-1 gene:SETIT_0085362mg transcript:KQL09866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDGAVKESFLETLRKDAAEAERPPREDAEAEGVVSPDSRPSSSKRHRAGTASPSSRSPYRNILQVFQQCRQDVVGETPTKNY >KQL09463 pep chromosome:Setaria_italica_v2.0:IV:3568583:3571384:1 gene:SETIT_006847mg transcript:KQL09463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPVDGGDAAAAEEEVVPAAPPGCGQTVCVTGAGGYIGSWIVKLLLERGYAVRGTVRNPDDAKNAHLRALPGAAERLELCRADLLDYDAIRAAVAGCHGVFHTASPVTDDPEQMVEPAVRGTRHVIDAAAEAGGTVRRVVLTSSIGADLDFCKATRNWYCYGKAAAEKAAWEAAAARGVDLVVVNPVLVQGPALQPAVNASLMHVLKYLDGSVSTYANAVQAYVHVRDAADAHVRVFEAPGAAGRYLCADAVLHREDVVRTLRKFFPEYPVPERCSDEVNPRKKPYKISNQRLRDLGLEFTPTAQALYETVICFQEKGILPVPAAAPAPSSSPQP >KQL11160 pep chromosome:Setaria_italica_v2.0:IV:31242761:31252229:-1 gene:SETIT_005787mg transcript:KQL11160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLYPFSACVPPPRALLRRLSPPPRALLRRLSPPPPMAAVAPPPPAVRIVPSVGALDLPPLPPPATDDFHWLDLFAFLNSPADTYHHQIPARGEEAEELAAGLELELELELERHAEVERQRERARRAQHRRLRQRQVKAETEAWARAAEEYREIEREMLDRRLAPALPYVKSLFVGWFEPLRDAIARDQDVQRRKRVKHVYAKYLLLLPADKIAVIVMHKMMGLLMSSKDGTGSVRVVQAAHCIGEAVEREFKVQSFFQKSRKKKDQGENDQALEMEQAKCRKRVKTLVRRRKMTEAQKLVQQELELEEWGTEAQVKLGSRLIELLLDSAFVQPPADQTPDSSPDIRPAFRHVLRQPIIENGRLKKKHWVIECDHLVHEGFESTARHVDIPYLPMLVPPKKWKGYDKGGHLFLPSYIMRTHGVKDQKDAIKSVPRKQLQKVFEALDILGSTKWRVNRRVHDVVETIWSRGGGIAGLVDKANIPLPERPESEDPDEMQKWKWSLKKAKKTNRELHAERCDTELKLSVARKMREEDGFYYPHNLDFRGRAYPMHPHLSHLGSDLCRGVLEYAEGRPLGKSGLCWLKIHLANKYGGGVEKLSHEGKLAFVENQLLDIFDSAANPVDGNRWWTNAEDPFQCLAACMDLSDALKSSSPYRAVSHLPIHQDGSCNGLQHYAALGRDYMGAVVVNLVPGEKPADIYSEIAARVLDVVREDSMKDPATNPNASLARVLVDQVDRKLVKQTVMTSVYGVTYIGARQQITKRLQEKGLITDDKLLYDVSCYATRVTLDALGQMFQSARGIMAWLGDCAKMIASKNQPVKWTSPVGLPVVQPYKKYKNYMIRTSLQCLALRREGDAIAVQRQKAAFPPNFVHSLDSSHMMMTAIACKEAGLDFAGVHDSFWVHACDVDQMNQILREQFVELYSMPILENLLEEFQTSFPTLEFPPCPPQGNFDVREVLNSTYFFN >KQL09790 pep chromosome:Setaria_italica_v2.0:IV:6050883:6052271:1 gene:SETIT_006698mg transcript:KQL09790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQEVIPLMTPYKMGQFELSHRVVLASMTRCRAYGYVPQPHTAVYYSQRATRGGLLITEGTGVSATAQGFPGSPGIWTPEQVAAWKPVVDAVHLKGALFFCQIAHVGRVSTNDFQPDGQAPISSTDKQLSPDAESGTVYSKPRRLRADEISGIVDDFRRAARNAIEAGFDGVEIHGAHGFLFEQFMKDSANDRTDEYGGSLENRCRFTVEVVDAIVQELGAHRVGIRLSPFADYMDCVDSDPVALGHYMIQQLNKHKDFLYCHIVEPRMAIVDGHKQIPHRLLPFRKAFNGTFIAAGGYDREEGNKVMAEGYTDLIAYGRLFLANPDLPRRFELDAPLNKYDRSTFYTQDPVIGYTDYPFLEDDDNNESN >KQL09217 pep chromosome:Setaria_italica_v2.0:IV:1919258:1921368:-1 gene:SETIT_007445mg transcript:KQL09217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIQRKPGDWNCKNCQHLNFSRRDYCQRCRDPRPDLQFSDGYSTGGVLTSLDIRPGDWYCSCGYHNFASRSSCFKCGTIVRDFPGGQGAAGAEGDFARGRDSAAVRAGWKAGDWICTRPGCNVHNFASRTECYRCNAPRDAVGTGN >KQL09728 pep chromosome:Setaria_italica_v2.0:IV:5510822:5511139:-1 gene:SETIT_007762mg transcript:KQL09728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKYRYNRQTEAKRTSRSSDIDTDRVGGCTYALCKTKASEPYSDFSNCFPNRTWGLGPHASC >KQL11236 pep chromosome:Setaria_italica_v2.0:IV:31927288:31928757:1 gene:SETIT_008296mg transcript:KQL11236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNYSALPLTSPSIELQSNGAKSGAAANGAVLNGHAKISKQDSFLGELEDGGGGGGGEHDELPLIGDGPAGPPEGSSVTAAVFNLATSIIGAGIMALPATMKVLGVAVGLVSILVMGVLSEITIELLVRFSAYCRALSYGEVVHRALGRPASIVAQMCVIINNAGVLVVYLIIIGDVMSGSLKHIGVMDQLIGHGEWDNRKLLILVVLVIFLAPLCALEKIDSLSLSSAASVALAVVFVVVSCIIALIKIAEGKISMPRMGPDFSSREAMLDLLVVIPIMTNAYICHFNVQPIYNELKEKTPQNMYKVGRISTVLCVVVYALTALSGYLLFGDDTESDVLTNFDKDLGIRFSSVLNYIVRIGYVVHLVLVFPVVHFSLRQTVDSLIFGELATPSRKKTLTLTVVLLALIYLGSTMIPNIWMAFKFTGLALGFMFPALVALRLDKEGSRLGHGERLLSLGLLGLSIVVSVIGVVGNVYTLKSKSD >KQL08925 pep chromosome:Setaria_italica_v2.0:IV:330322:330490:1 gene:SETIT_009009mg transcript:KQL08925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFQASSLTMNHGYNLSIYRKKNGYCQPFYILVAILTYVSP >KQL10677 pep chromosome:Setaria_italica_v2.0:IV:22970551:22975375:1 gene:SETIT_006517mg transcript:KQL10677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDRSSRRWLFVSPNPAVAAAGERSVQPYLLDIHGCLDERGPRPVIPLSIGDPSSAPSYRTAPEAVEAVATALRSGQFDGYPSRDTKFSACRAVAEYLSCGLPYKLSPDDVLLTSGCTQAIETVMSVFGQPGVNILLPRPGYPKHEAHAVIHNMEVRHYDLVPERGWEVDLEAVEALADENTVAILIINPNNPCGSVYNYEHLSKIADTANKLGMLVISDEVYGNLVYGSTPFVPMGVFGETVPILTLGAISKRWAVPGWRFGWIAICDPKCILKETKVSNSLRSFRMLTGDPATFVLGAIPHIMKKTNDEFFSKIIKLLKEAAEICYNEIKEIKCITCPRKPEGSFFMMVKLEISRLSDISDDLDFCRKLAKEESVIVLPGTALGMENWLRITFASEPPKLKQGLGRFKSFCQRHESQVN >KQL11305 pep chromosome:Setaria_italica_v2.0:IV:32515239:32516840:-1 gene:SETIT_007114mg transcript:KQL11305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSCFLLQSTARLGAAAASARPQVPRAQLVCKAQRQDAAAESGDAAAVTRRAALTLLAGVAAVGAKVSPAAAAYGEAANVFGKPKANTDFIAYSGDGFKLLIPSKWNPSKEREFPGQVLRYEDNFDANSNVSVMVQPTTKKTITEFGSPEQFLAQVDYLLGKQAYSGKTDSEGGFETDAVATANILESSAPVVDGKQYYSVSVLTRTADGDEGGKHQLITATVSDGKLYICKAQAGDKRWFKGARKGVEKAASSFSVA >KQL10447 pep chromosome:Setaria_italica_v2.0:IV:15288452:15292978:1 gene:SETIT_006454mg transcript:KQL10447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGTRLYSLLGVLLLLGLAYLWLPGSGPSSGGGGPGGFKLPVPWLQPRMSFTGRAGTHFADAETGAPLYVNGWNSYWLLSSRSPALAAEMLRRGRRMGLTVCRTWAFSDGGPGALQISPGRFSEPVFQMLDYVIYEARRNHIRLILCLVNNLDNFGGKAQYVQWAQAAGANVTNSTDSFFSHPTIRGYYKEYVKVILTRRNSYSGIKYCDEPAIFAWELMNEPRCVSNSSGPHIQAWIEEMAAYVKSLDTKHLVTVGIEGFYGPGRSERLGVNPGDWAASLCSDFIQNSAVKDIDFASVHAYPDSWLPKASMEEKVKYLSNWVDSHLNDSEYILRKPVLFSEVGYLQHLGVNNMVNGDTILKLVYDKIYDSAKKLQAGGGALIWQLMVEGSHMYQDGFSMVAGERPSTYKLIKEQSCRLQRLYGKEGDPGWECSLPP >KQL11449 pep chromosome:Setaria_italica_v2.0:IV:33834567:33836838:1 gene:SETIT_006573mg transcript:KQL11449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAGGIVRRVFSKSPCSSAGSGRAHSEKGAADHRRRWSSLRLYLCGDEMNAAPEDDDDETLSVKSFETCVMPQEAHVPVAQPSDVHNADDNTGEPEDQRVPGEHSHIVAPTEPAEKEGAATLIQSAFRGFMARRDLQELRKRKEMDGGADEPRSPTSVSVATSVVVQVGESVSNLRLSGEDSASVQQRGSQKSRPPPLPAFRVKEEWDDSTVSSNVSRMRIQSRIEATTRRERALAYAFSQQLRSCGGTKKRSARPEQAEFNVGWSWLERWMATRQAEPAADDCMSRNADTGSAVAGRRVVIVRRRSDVAVEEKESCGSNDVSVVSFDGSSLGGRSGLSCHKPGRSRLKGARSLPRRKVASSDHRLQARSHKVSKKGHKEQAPPHKDQAEADGYDAACQPPTDY >KQL12152 pep chromosome:Setaria_italica_v2.0:IV:39140947:39142586:1 gene:SETIT_007579mg transcript:KQL12152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGKAKPKKHTAKEIAAKIDAATTNRGGGKAGQADRLGQDKGGHAKLACPLCRTSAPDIKSMQIHHEARHPKLPFEPEKLLNLHSSTPVASEATSSNSKPKPGIRGSLKK >KQL11451 pep chromosome:Setaria_italica_v2.0:IV:33847193:33848975:-1 gene:SETIT_007158mg transcript:KQL11451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFASLRGAILQGPLLAPRLAVRRAPTARRRAVPAKISCIGWDPEGILGAPTGGHISRLEFRRRLERDSEAREAFERQVREEKERRRSEREARVIPDTDAGLVEFFLDTEAREIEVEIGRLRPRLNEGFFNHVSREIAQIKFAVTRTAEMEDRLIELEAMQKVLLEGVEAYDKLQNDLVTAKERLMKILQSSDRKATLLEMVERNELNISILTLLDENIASAKTSNQDEAVAFMENVRSSIVKYITV >KQL10451 pep chromosome:Setaria_italica_v2.0:IV:15335883:15337376:-1 gene:SETIT_007929mg transcript:KQL10451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QWPEQSLHMNRGERESSYARNSITQAVTRLWKYTKTLRKVVITDLGCASGPNTLTLVETAVEVIFRHCMDKEELPEISVFLNDLPDNDSNNLLLLFYKRLFTSKSVNLVLSSNSLNLLSEVPDDLKKNRIPMYESDEGLRRARRPFVDQACGRQFRKDFTTFLKTRAQELVSMGQMVLCMVGRPSSDNDYLYIQPWDAPFIPLNDMASRGVISTEMLDSFYVLMNTPRIRALAARAAFEPTIKQHFGHSEEVMDEVVRTIERQLSETSPHVSAAPADSLLFLCVSVTKKD >KQL10234 pep chromosome:Setaria_italica_v2.0:IV:11583993:11584776:1 gene:SETIT_008827mg transcript:KQL10234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNSACRLAIHIPSYEKHGMQDYHATCDKNWVCDKDTISWMDFYADFRLSFWDKVACEYREIDFDSSLVAAINMYWGIRRLPLVVSVTNKPRHVTIVPTDVAIIDIKSSQMLICSTEIKSNLVARVLTDVITSAPTNDPTSHVDDPWGENDEIAYVGIDDEPVEPASNTSCDYIPDTDEEDNDDCVVDDQKGCELVGVTFEDRDTFMRAIRQYAILNEIEIAAPYNEAKRFTGYYKSSKCKWRIHASQ >KQL09218 pep chromosome:Setaria_italica_v2.0:IV:1928468:1929550:-1 gene:SETIT_007570mg transcript:KQL09218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASLDQKLALAKRCSREATLAGAKAAAVATIASAIPTMASVRMLPWAKANINPTGQALIISTVAGMAYFIAADKKILSLARRHSFENAPEHLKNTSYQGAGRPHPAFFRP >KQL11396 pep chromosome:Setaria_italica_v2.0:IV:33205822:33206381:-1 gene:SETIT_009145mg transcript:KQL11396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRGWAKRQLAAAVRARLGGADARGGWAEQQHGWRSDGRRWRTRLSQGRRWLILGGPSFLHGRRRRLI >KQL11756 pep chromosome:Setaria_italica_v2.0:IV:36598377:36600181:-1 gene:SETIT_008182mg transcript:KQL11756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRILTVAVALPLLLVASVTDASRSSAWSQVFYQRLAAPANETNLIRALIGHVSPNGDSGADPTYFAHHGAETSPDGYYGFIATLDVYGFTLKPRQGTAGAVWVVGSGDGTQSSAKTIIIGWNDDGFIETGCFNTKCPGFQPEKGAPIAPGDAIEHVSSPKGDKQNLNLRIVKDGASGDWLVHLGLNRDPELIGRFPRSLFTGSFAEKAAAIRFGGMVTAPAADPAPMGSGYLPAAGDAAASVSNIQLVGRDGRASPVTRDLPKLESKPDAYAVSPIENGKFFYGGPQRA >KQL10636 pep chromosome:Setaria_italica_v2.0:IV:21112076:21113190:1 gene:SETIT_008768mg transcript:KQL10636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDALASIGDHPVPPSRLLSKHRPHRRAASSRSPLPPPASPGPALGLPDLTLCHCCGVRFPMPQPGAKPKRRPVRPLSSLWRIVLLCAECLSLVRSAAVCSYCLSLDNPPPEDSTVSCRRCKHSVHQSCIPAEHRTSLIQPVEVEDFLCVDCYPTVRPKIGGFNLGLNLEGYPRDPTSVAGGDILKSPSKGGKEGVSTGQFVGRGSGDPVLLDEDLALQLHLAMNGSQRISRSGNSCSGASTGPGKGKNGVVAGRDGNGNQEICITNVMAQLGDEEPGSNRVLKLVLALECVKGKHGEESMKAKRKGPSVTLQQDDLVNCYKKKYSKRSSIKQEKVEYISSRTMCDGKDMDGDHGVAPMK >KQL12229 pep chromosome:Setaria_italica_v2.0:IV:39545249:39548497:1 gene:SETIT_006821mg transcript:KQL12229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERAGTDRCHAPAGECEWREELRQQQSQVDALRERLVEVKVGMRCSEGDSRRELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRLQEGVGYIDRHGVPLADWPKGTGPGSCRGGSDDRMAVEGSAAAPEHGDAAGGDVDVDDILKSIRVVTDVMESLVKRVIVAESEAANEKEKVRVGLEEIRRKTLQVETMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKQDFESLRTYVSTLVSVRETLLSSEKQFETMEKLFDRLVAKTNQLETEKAQKEAEVQKVMEENVRLRAMLDKKEAQLQAMSEQCKFMALNHHN >KQL12228 pep chromosome:Setaria_italica_v2.0:IV:39545249:39548497:1 gene:SETIT_006821mg transcript:KQL12228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERAGTDRCHAPAGECEWREELRQQQSQVDALRERLVEVKVGMRCSEGDSRRELDHLCRRVKTIATLLAYLKSKARIMAIPHLAHTSCGIRLQEGVGYIDRHGVPLADWPKGTGPGSCRGGSDDRMAVEGSAAAPEHGDAAGGDVDVDDILKSIRVVTDVMESLVKRVIVAESEAANEKEKVRVGLEEIRRKTLQVETMSAKVEEMEKFAVGTNGMLNEMRQRVEDMVLETTRQRQRAAENEQELSRVKQDFESLRTYVSTLVSVRETLLSSEKQFETMEKLFDRLVAKTNQLETEKAQKEAEVQKVMEENVRLRAMLDKKEAQLQAMSEQCKFMALNHHN >KQL10881 pep chromosome:Setaria_italica_v2.0:IV:27645070:27646534:1 gene:SETIT_007951mg transcript:KQL10881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATCAVVRQETPASFSTTHVMHNGGTTIMASFSGMVMACIVLVPLGLFAGSMLYFIGFRWGLSILVVVSVLFYLHWPLTILVDHIGDDNVASGMSLLVQQPAAGTSSAHATVAVPAYLYEKKAGGDECAICLGELQRGEVVKQLPACTHLFHEGCIDVWLRSNVTCPACRSPVDAAPPVAAQILLRTE >KQL10265 pep chromosome:Setaria_italica_v2.0:IV:12185972:12187779:-1 gene:SETIT_006948mg transcript:KQL10265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNLRQYQLPLQRYMAMMDLQERNERLFYRLLIDNVEELLPFVYTPTVDEACQKYGSIFRQPQGLYVSLRDKGRVLEVLRNWPQRDIQVICVTDGGRILGLGDLGAQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEELLNDEFYIGLRQKRATGKEYHELIEEFMSAVVQIYGEKVLIQFEDFANHNAFDLLEKYSKSHLVFNDDIQGTASVVLAGLLASLKVVGGTLAEHTYLFLGAGEAGTGIAELIALQISKQVTGRAFNQVLGCVSVCLGLCMTLSSPINIMICSFPACLKKKECLDQL >KQL10029 pep chromosome:Setaria_italica_v2.0:IV:8685690:8686359:-1 gene:SETIT_008622mg transcript:KQL10029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSNATASAVSVVIRGGSPRGRSAPRAPAASPPPPPGAGRRRRAVARGVQSTLARTSLLANFVPTGTLLAFEVVLPAASGRDAGSCSAASAAMLRALLALCAASCFLLHFTDSFGAPDGKVYYGVVTPRGLSLLRTGLGVEVPRDDRYRLAFVDVVHAFMSVLVFAAVALADNRRFPLVVGAVCSGLFLVFPNTRYGIGCLAA >KQL09779 pep chromosome:Setaria_italica_v2.0:IV:5958980:5964478:-1 gene:SETIT_006620mg transcript:KQL09779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGAEPAPVAAAAAEVKNPRCFMDITIGGEMEGRIVIELYASVVPRTAENFRALCTGEKGVGAASGKPLHFKGSCFHRVIKGFMVQGGDITAGDGTGGESIYGSKFEDENFILKHERKGMLSMANSGPDTNGSQFFITTTRTPHLDGKHVVFGRVIKGMGVVRSIEHVPVGEADCPTLDVKIVDCGELPEGADDGVVNFFKDGDKYPDWPNDLEEKPAEVSWWMDAVESAKAYGNENFKKQDYKAALRKYRKALRYLDVCWEKEEIDEEKSTALRKTKSIILTNSSACKLKLGDLKGALLDADFALREREGNAKAFFRQGQAHIALNDIDAAVESFKHALELEPNDGGIKRELAAAKKKIADRRNQERKAFARMFQPSGKSDKSSEENN >KQL11060 pep chromosome:Setaria_italica_v2.0:IV:30420961:30422300:1 gene:SETIT_007278mg transcript:KQL11060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTSTTSPLSRLLLSLPKPGAARHPRPAPCPDAACSAKSPGAGLVLRRREAAAAVLSAAVLSRFLLLPAAAEAADSGGECPLEVAPSGLAFCDRVVGTGAAAQEGQLIRAHYTGKLEDGTVFDSSYKRGKPLTFRVGVGEVIKGWDQGIVGGEGIPPMLAGGKRTLKLPPALAYGEKGAGCRGWEPTSCVIPPNSTLLFDVEYVGTAFG >KQL09844 pep chromosome:Setaria_italica_v2.0:IV:6636042:6639671:-1 gene:SETIT_006720mg transcript:KQL09844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSDRSRESLLPSFLYAPSAARSFAGASRFPSSPAAAPGSGGAPSFPIQAPKEKIEMYSPAFYAACTAGGIASCGLTHMAVTPLDLVKCNMQINPAKYKSITSGFGVLAQEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAQKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFIRSEGALGLYKGIVPLWGRQIPYTMMKFASFETIVELIYKHAVPVPKSECSKSFQLGISFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVTPAPAPTTEEAALKASA >KQL09684 pep chromosome:Setaria_italica_v2.0:IV:5228299:5230889:1 gene:SETIT_006718mg transcript:KQL09684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGHRMAGAAALVAVVCASWAAAAAAQKYNAIFSFGDSITDTGNLCTNGKPSQITFTQPPYGETYFGTPTCRCCDGRVIVDFLSSKFGLPFLPPSKSTTADFKKGANMAITGATAMDAPFFRSLGLSDKIWNNGPISFQLQWFQQITSSVCGQNCKSYLGNSLFVFGEFGGNDYNAMLFGNYNTDQASTYTPQIVSTIANGIEKLIAMGATDIVVPGVLPIGCFPIYLTIYGTSNAGDYDGLGCLKKFNDLSTNHNNQLKTQIASLQSKYPNARIMYADFYSGVYDMVKNPGSYGFSTAFQTCCGSGGGKYNYQNSARCGMSGASACSNPAAHLSWDGIHLTEAAYKQITDGWLSGAHCSPAILH >KQL09008 pep chromosome:Setaria_italica_v2.0:IV:685025:689597:-1 gene:SETIT_006113mg transcript:KQL09008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQQPLPQPRSSMREALEKEDKEKAAAAAAKDKAAVPKNGGGGGGGNGGGKNGGGNGGNNGGGPPQSGEETAREIQVVREAYRRETAAPAYVIPEEPPAMVESVGWYLYGFCSYFITHLLLPVLFPAIITQVAFPSSDFTPDPKYTVKGAICSVHEMSMYQRLTRHSIAIDGSRLSPLGWSGLSWAIGILIVAPILTQTAHHLDRGQYQSLILIAATSFGSFFCLLTGFFKTVWVFLFYILFIAGSIIVAEAVHTRNLGLMIRGLAAHDSGKHLVLRRRAAASQLSLYCTAIGGIGAALMAAFMYHMLRRTDQLTGLWVVSIFSGLIWFIGICHGLFTNRPSSSSPATAFEPNFFTKLGYSMTLLRYPQAIGSLVAVFLSSFATMCIFTSGTLYAIGGVCIKPVLVLVLWILYFLFPLISLPLLHPIQIIIRADAVRMQLLGFIICLFVSGAGFYFKSHRWRAAHIIVIALVQSTANGILYSFGRILLLDASPPGKEGAFAVWYAFVRCTGAMIGYAAASAGPGRAGGSFAAAFLGSFLGIIVLIFGNVSNIGALKAAGHLKGMDDEKRMGGLGMEKGEGMGSAVADSGEGRGRV >KQL11958 pep chromosome:Setaria_italica_v2.0:IV:37823878:37827236:-1 gene:SETIT_006401mg transcript:KQL11958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMDSSQHDSTSAPGSLEGVDGSRSSINKWTKMTSTDSWRWCLGLIYIVAVASIWIAASYVVQSVVDGGVSPFLITYICNSLFVVYIPIIEVARYFEGSVSNFWTKLKCKDAESLQQSADLESVNLLQSGGHEINAALDQSPTRSPEGTLTPDARFPAQTELNVADCSKGLDAKGRWTRARVAKVSMIVCPFWFLAQLTFNLSLRYTTVTSNTILSSTSSLFTFLVALVFLGETFTWLKLVSVLLCMGGTIIVSLADSSSSVNAIATNPLLGDFLSIVSAGCYAIYITLIRKKLPDEKEGEGQVSMAQFLGFLGLFNLLFFLPVALVLNFAKLEPFHRLTWEQVGLIVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLTIQVPIAAVVDTLTGHAPHLLNYVGAAAVLVGFAGINIPVGESPQAAQQEQETPIASMVDDPLHLPTSRNATDAIS >KQL11265 pep chromosome:Setaria_italica_v2.0:IV:32080411:32081064:-1 gene:SETIT_008866mg transcript:KQL11265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSEVSWLHWRWAIKMKFREQFLHSLDFRNMRNQERDRQKTRCALPEKNVCTFVNVSCIS >KQL10219 pep chromosome:Setaria_italica_v2.0:IV:11059664:11063829:-1 gene:SETIT_007074mg transcript:KQL10219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIGGRRKGAKVMQLDGTAFRVKPPAFAGTVLRDHPGFQLLESEEVKLLGVRARPLAHDAQLRPGRLYFLVALPRPAVPPRRAWSGALHVGARERLESLMLTRRSTSDLSLPASAATAPASPLSTASEGGPVRLRMRLPKAQVEKLMAESRDGAEAAARIMQLCAANAGSGAATPERGILRTPERSPRFVPTPDWGVGAGAFPQTPERSPRFAATPDWGTGFMMPAGAGTAPRTPERWPALPRMPEYASPDVKASRKEKRTRFVALPDEIIA >KQL11337 pep chromosome:Setaria_italica_v2.0:IV:32695695:32697115:-1 gene:SETIT_008701mg transcript:KQL11337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLLSGIVLPLILLLLTVSKNLKPHYNGHSHPPSPWPRLPLVRNFFCHPPTMASLAEVLRRLHAAHGPVVSLWVGGKPAIFINHHDIARRALVHMGTTFARPPHGVNSATYGSRWGLLRRNLSSHLAGEHVVGVLRGARRYGVVVTPSETFRHTVFGFFTALCFGEGVEEDTLRRLRGLHVEIISLIVELDAFHLMPVFLQVVCYFPRWRKLLEAQRRHHDLVTSITSARQRRREEGVGSDAAEPRCYVDTLLELGLGEEEKTTTTALEWIMARLVLHQEIQQKLRSDIIARRASGNHIGKQGRPFVEAVVLEALRLHRPAQYLLAHTTDKDVTLDKYVIPKGSIVNFGVASIGRDASLWTDLNLFRPERFVEGGEASGVRSTTGGGGGPETMKMIPFGAGRRACLGAEFAMTVLQKFVDDLVRRFRVDSGC >KQL09440 pep chromosome:Setaria_italica_v2.0:IV:3406219:3406619:-1 gene:SETIT_008996mg transcript:KQL09440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLVHRVFNILAMSQQSAIIHSHDIFCVSALLLSRKRRAT >KQL09189 pep chromosome:Setaria_italica_v2.0:IV:1776435:1777806:1 gene:SETIT_006699mg transcript:KQL09189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSNGEATAAGDGEVAPVPRPLAPPRKVALITGITGQDGSYLTELLLSKGYEVHGLIRRSSNFNTQRLDHIYHDPHAVPSSPRPAMRLHYADLSDSSSLRRALDAISPDEVYNLAAQSHVAVSFEIPDYTADVTATGALRLLEAVRLARKPIRYYQAGSSEMFGSTPPPQSEDTPFHPRSPYAAAKVAAHWYTVNYREAYGLFACNGVLFNHESPRRGENFVTRKITRAVGRIKVGLQTKVFLGNLTAARDWGFAGDYVEAMWLMLQQDQPGDYVVATEESHTVEEFLQAAFGYAGLNWKDHVVIDKKYFRPAEVDSLKGDSTKARRELKWKPKVGFQQLVEMMVDHDIELANKEKVLVDAGYRDPKQQP >KQL09263 pep chromosome:Setaria_italica_v2.0:IV:2189452:2191503:1 gene:SETIT_008529mg transcript:KQL09263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRVRVLSVTHVRPAETPNPPPHDADHSIKLSLFDTLFIALTPIRRLFFYEGDDLPPFPALVRTLRSSLAATLAVFTPLAGRVAVSPSGEDVAIDCSPGTVSRGVRFVEAEYAGTADDVRRMAGAAEHDAEAYAQLAPALEVSALPAPALAVQVTRPAADVSGGDGGGVGALVVGVSVNHVVADGRAVWEFIRAWAAAARGGSTAGTGFVPPTFDRAAINGCHPKAEEVARKFLRTLAPALPTQDPDQRQARRTYLLSASQIRSLKHRISLHNKGAAAATAPASAANPPTTSTYAAVASLVWTSAVRAKNALNHAGDDAYLMFAADCRARLHPPLPGAFFGNCAKACYARATVGGLRDGGGEALARAAAAVREAVREQLADPVADAGQWLERHRALPPDRTVQVGASDRFAAYETDFGWGRPARVELASVFVKEFVAVVGAPDGAVQ >KQL08931 pep chromosome:Setaria_italica_v2.0:IV:344411:345391:1 gene:SETIT_007485mg transcript:KQL08931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAALVPFGGGRPPASLCVMANAKAGERGTAVETPARGTATCGARWGRRAQEQRGSTWWAGPPGESLTRTAVESCNLNYALQRQFVRLYTYSRVHIYIQQKLHTIDCIPSIFHSLYRKKVSPRAYHNKPAGSYI >KQL10634 pep chromosome:Setaria_italica_v2.0:IV:20864127:20864550:1 gene:SETIT_009040mg transcript:KQL10634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSTSAGIYRCSISLGEYSGYRYLYFSKGWHWCKRVYQIHI >KQL11505 pep chromosome:Setaria_italica_v2.0:IV:34267905:34271937:-1 gene:SETIT_005868mg transcript:KQL11505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLLLHGTLDATILEADHLTNPTRATGGAPGIFRKFVEGFEDSLGLGQGATRLYATVDIGRARVGRTRVIAGDPVNPRWYEAFHIYCAHFASDVVFSVKAAQPIGATLIGRAYLPVRDLLGGHEIDRWLDVLDAARKRLPHGPKIRVRLRFQDVAADPRGWGRGVGGARCPGVPYTFFSQRPGCRVTLYQDAHVPDAFAPRIPLAGGRLYQQGRCWEDVFDAISNARHLIYITGWSVYTEITLLRDGARPHRPGGDATLGELLKRKASEGVRVLMLVWDDRTSVESLGMTWGFMSTHDAETAEYFRGTDVRCVLCPRNPDVGSSAVKGAQIAYMITHHQKTVVVDHEMPVRRSDRRRIVSFVGGLDLCDGRYDTQFHSLFRTLDTAHHKDFHQPNLAGASIDNGGPREPWHDIHSKIEGPAAWDVLYNFEQRWRKQGGGNDLLVDLNAMADLIIPPSPVMYPDDQETWNVQLFRSIDGGACFGFPSTPEAAAQSGLVSGKNNVLDRSIQDAYIHAIRRAKHFIYIENQYFLGSSFGWKADGIRPEEIEALHLIPKELSLKIVSKIEAGEHFAVYVVLPMWPEGPPAGGSVQAILDWQRRTMEMMYYDISIALEAKRIDANPRDYLTFFCLGNREVKMSGEYEPAGRPLDGSDYARAQNARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGGRDSEIAMGAFQPCHLNTKGQVARGQVHGFRMSLWYEHLGMLHDDFLNPGNLECVQRVNKMADKYWDLYASDYLDADLPGHLLRYPINVTKEGTVTELPGAKYFPDTQATVLGMKSNKLPPILTT >KQL11181 pep chromosome:Setaria_italica_v2.0:IV:31442363:31442679:-1 gene:SETIT_008898mg transcript:KQL11181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPCQCNLQIPAGVVQPLKGNPERSSIGS >KQL09672 pep chromosome:Setaria_italica_v2.0:IV:5103623:5104765:-1 gene:SETIT_006926mg transcript:KQL09672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSPLVHAVVLAAFFLRTRAAAQSRAANMSAVEAAVRDRALELLHGGGASQLVDVTLPSSLAGVGVEASALRVRSNALWADGVNATTGAGPSGVGFTIPPRVLPAPFARRVVIVFVRFIGGSNVTSAFAAPPGYALAAPVAGLLAFDASAGPDGARVSLRALGAPVRVEFKNLSSSSAAGKGFNATAARCVTFAAGGEVAATHAMASGTACAVTGTGHFGIAVRVAETPPQASASIVRARWWAWTVGVGAGGVVGASGLALSVAGAVSWSRRRRREEMERRAMAGEELGRMTVCGSRMPSAKVMRTQPEVEESPSWR >KQL10382 pep chromosome:Setaria_italica_v2.0:IV:13858012:13858483:-1 gene:SETIT_007594mg transcript:KQL10382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFFPLGRHVGASTLLHGVSLGENLVRFWTSDSGVIGVVPSLEMLSLETQLGLRHCWSCGHGWQQPVVAILPCGKLDGCCRARVEAIAVMAAARGCCMVGFGCL >KQL10245 pep chromosome:Setaria_italica_v2.0:IV:11690182:11695008:-1 gene:SETIT_008415mg transcript:KQL10245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRHRGPDWSGLHCHQDCYLAHQRLAIVDPTSGDQLLYNEDKSVVVTVNGEIYNHEELKAKLTTHKFQTVSDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFITFPPGHLRWYLHIKKGSGLRRWFNLPWFLESIPSTPYNPLLLQGMFEKAFPFLFDLTFMLICCINASGWAMLNCLSVYQLILYSVTKRLMTDVPFGVLLSGGLDSSLVASVASWHLEETKFARQWGNKLHTFCIGAEHHFLPSSQFYFVFLLLTILTRGSPDLKAAREVADYLGIVHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKATSAWGVEARVPFLHKSFINVAMDIDPVWKMESNFAVINFFVGYPNAFDDEKRPYLPKHILYRQKEQFSDGVGYSWIDGLKDHASEHVSDSMMMNASFVYPENTPTTKEGYYYRMIFKKYFPKPAARSTVPGGPSVACSTAKASGRAALGVHDAAYEDTPGAAPAAVTDNGLRPAIGESLVKPVAPASAV >KQL09069 pep chromosome:Setaria_italica_v2.0:IV:1042737:1045007:1 gene:SETIT_006849mg transcript:KQL09069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGATPKARKGEPAKLGSAKEAPPAAAPTAAGNGRVTAEEVWEVRPGGMLVQKRSGGVSDDEPSRNVKPVPTIRVKVKHAGVTHEIYISSEASFGELKKLVAAKTGLHPDDQKVLYKDKERDSKAFLDMAGVKDRSKLVVVEDPEAKARRLIEQRRNGHLEKAAKAVAAVTAEVDKLAPKVAALDASVRKGEKVAENDVVQVTELLMNELLRLDAVVADGDVKAQRRMQVKRVQKYVETLDAVAAKNAAIIRKSGEKAAAKQQPPPPQQQQQQPRQQYNHHQQQQPAAAAGQTRWEMFDLLSSLPSTSSASSTTTVSSTASSGAPPTNRLDWMLF >KQL10150 pep chromosome:Setaria_italica_v2.0:IV:10273171:10273643:1 gene:SETIT_008347mg transcript:KQL10150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTTFIFVYGEAFCIFSFGEKQNELTSVCAQTAAIFTSCTLRPLSLAFVINAPGSTIRRP >KQL09093 pep chromosome:Setaria_italica_v2.0:IV:1168932:1174023:-1 gene:SETIT_006272mg transcript:KQL09093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNLALVIVAVVVSVLVLLVSVYLLVNYQHPDDANQAYFPKLVVVFGLTVAVLSILMLPADVANRQACRKAVYNGACNLTLPMRTLWLVVYIVDAVLVFLVIPFAMFYYEGDQDKSVGKRLKTALIWVVASAVVCGLVLGILYGLVGKVDFTVRHLSSSVETFPNSFSGFSSGQPCISSLPRQCAASTASANSLTTWTMRATFPEYVVALTTIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKARELKKAAEALHQEERSGNKGRKWRKNVKAVEKELLLLEDDMKALEEMYPQGEQAEATWAFTVLGYIGKLIFGVVGLIISIAWVAHIIIYLLIDPPLSSFLNEVFIKLDGVWGLLGTAAFAFFCFYLLIAVIAGEMMLGLKLVFITIHPMKWGGTLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAILTLFYYAIFGWRKRKPTGRFQLSN >KQL12127 pep chromosome:Setaria_italica_v2.0:IV:38904549:38905956:1 gene:SETIT_006442mg transcript:KQL12127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSRGTSIEASLSCPERPLLPTILFVECSSVDDFTTEPPRIVRAVEDIIVFSVLIGPRLRPDVSPCDYDYFIYRVGEVPSLQLLPPPHPTFQDEDAGLLLCGEDDFIVAALIATNKSGVYDLHRFDSRSWTWSQEVVPLVAPQAAFPFRITLNSIRLGYHLTSTVITIGGEGGTMGWVDLWRGILICDVLHRKPELRGVPLPVPMELLTCNNGRGADIGGCGKSLRGIAVINQSLRFVHLEAIVSTTSKTLPAADSDSDDEEPDSLMSDWVITTWSNSKMSTSWDDWIKDCEAKASHTTIHSKPKSKMLNSGLLSPEGANQERALQNLWVSHPAPGIDDGVVYLLARVRFQDPKAFVIALDARKNVLLGSAEFATEKKRGDGVMYFPSNISKYIAPEARVLPITTGTALIKYCSCKLNPAPRYGSGSTKMSSKLMRIPDH >KQL08951 pep chromosome:Setaria_italica_v2.0:IV:419965:422213:-1 gene:SETIT_006878mg transcript:KQL08951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAASKCQPALPAAAAPQWRARRLRCRCCEDTLGVPLRREKLVFPANSINPPPPRPRRIVLVRHGESEGNVDESAYTRVPDPRIGLTAKGRRDAEDCGRRLRDLFSSDADDDWKVYFYVSPYRRTLETLRGIGHAFERHRIAGVREEPRLREQDFGNFQDREQMRVEKELRLRYGRFFYRFPNGESAADVYDRITGFRETLRADIDIGRFQPPGRRTPNMNIVLVSHGLTLRVFLMRWYKWTVRQFEGLNNLGNGGTIVMQTGRGGRYSLLVHHSADELREFGLTDEMLQDQMWQETAKPGDLNYRFMTNGQYFFDRFDSSPSHFTP >KQL10956 pep chromosome:Setaria_italica_v2.0:IV:28825503:28825931:1 gene:SETIT_007840mg transcript:KQL10956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRQQMEATRCTTVETTKRGGQKKKSSISMIASPPHKNLAQSRLKNFLKAAPTISKQHQLLFCGPSTAAAPPSPLSTRPTAAAANPPPQPQPPS >KQL09040 pep chromosome:Setaria_italica_v2.0:IV:800336:803309:1 gene:SETIT_007230mg transcript:KQL09040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAARRLLSRRASSSPLSALLRRGPSAAATEQSLLRPAVVAAASRLGFPRGMARRPGGDGYSPMRSGGGGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGANKQQMIDCYIQTLAKVLGSEEEAKRKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPVPQRTADRPRYNDRTRYARRRENQR >KQL10590 pep chromosome:Setaria_italica_v2.0:IV:19502562:19504028:1 gene:SETIT_008083mg transcript:KQL10590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGFCFARCRFTRLMAAMQLALGVFVIFISMASLHRFYATNNLLPGLDDPTHCAKFHTAAGGAGGYAGFDIRALADRVDDVLVQLAELQDKLEATALKIGKKTKKSKARHKQPENMTMPEFRRFLEDEVIHPLYSAHIALRLIRIPRPDPDGGDGDAAAPAVDPLVNFFTAEETRKYVTAKANRDGLPSVYGTNRTYSTVGHACVLMRQELDEYMSYDVGAHCPDDWDLGQRLMLGGCDPLPRRRCLALASKFFSRPIPINESLWTLPDDGNVRWSRYHCRGYKCLSARNQRRGYDRCVGCFDMDREKRRWVAAARNGTAASSLADFRIDDVLAAKPGEVRIGLDVSVGTGSFAARMRERGVTIVSAALNLGAPFAETIALRGLVPLYATMSQRLPLFDNTMDLIHTAGFFEGWVDLQLLDFVLFDWDRVLRPGGLLWVDKFACARKDLDDYMYMFLQFRYKKHRWVVSFKSKDEVYLSALLEKPPRS >KQL09881 pep chromosome:Setaria_italica_v2.0:IV:7009406:7011692:-1 gene:SETIT_006899mg transcript:KQL09881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSVSPPPLRPPKANTQKYNIALCQLLVSPDKEENIARARARVEAAADAGAMLIVMPEIWSCPYSMETLPSYAEDIDGGGSPSISMLSEVAAARKITIVGGSIPEKASGKVFNTCCVVGPDGQILAKHRKLHLFEINIPGDIRLKESDTFTGGQEPTVVDTDVGRIGIGICHDIRFPELAMLYRSRGAHLICYPSAFNMSTGELLWDLMQKSRAVDNQLFVATCSPARDPNSKSDFMIWGHSSLIGPFGEVLAAAGHEEATAIGEIDLSMIQSTRENLPLEMQSRGDLYRLVDVQRQREPATASNGAGRLEGEDALVNDTVL >KQL12121 pep chromosome:Setaria_italica_v2.0:IV:38839021:38839405:-1 gene:SETIT_008857mg transcript:KQL12121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILKAKCMPGTQVGVLFLKHIAAFGTLLFYNVFWITLVQQD >KQL10330 pep chromosome:Setaria_italica_v2.0:IV:13037011:13039152:1 gene:SETIT_007104mg transcript:KQL10330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLRLFAAGPTPGADGNSDPNPGISGKAAAGEREGGAKRPEPPRREVTDLGGGSEVVHLQRFVDREKAWEWFDYLDKTIPWNRPELRVFGRTAQPRDVCYVADEGLPDLKYSGHQPHAHSWDEFPVLKDILKAVHEALPGSCFNSLLLNRYKTGADYVSWHADDEPLYGPTPEIASVSFGCERDFVLRKKPTKSQAASGSGEAARKRLKLAAPQQQHSFFLKHGSLLVMRGYTQRDWQHSVPKRAKASSPRINLTFRHVLT >KQL09737 pep chromosome:Setaria_italica_v2.0:IV:5579318:5588217:-1 gene:SETIT_006028mg transcript:KQL09737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSPSSLRSLLRHSGRLLRPPNPPRLPRPLTPRLPSYPLSARPRFLSSSSSTSPAPGGAGWATYDPLTDTLSASAALPPPSASDSEPPPESEWGLFDPVAGRIVMQGSPPASSSTTAVPAAAAAEGEEEGEGAGESEGEEKGKVWASARVAKAQTKWSSVAAARKSPGKGGKERVSYACSNCGEAYSQWWGTCRYCNATGTVDKYVPGPDGDASAGGSQSQHVGRSWIPQKSKEMVPQSLQEVNKGVNQASWRIPLSGSFGMEISRVLGGGIVPGSLILVGGDPGVGKSSLMLQLASNILEGFKSDDSSPVVYVSGEESIEQIGNRADRMSIMSSKLYLYSGTDIEDILDKIQPLSPRALIIDSIQTVYVRSFAGSAGNLSQVKECTSALLRFAKLTNIPVFLIGHVTKSGDIAGPRLLEHIVDVVLYMEGERCSSHRLLRSVKNRFGSTDELGVFEMAEFGLQAVLNPSQMFLTEHDSDSEILAGLAVAVILDGSRTFAIEVQALCVSGSLHSGQVVGIPSRRADVIISVLMKQAGLKLQDNAIFLNVVSGFELAETAGDLAIAASICSSFLEFPIPNDVAFIGEIGLGGELRSVPRMDKRVMAIAKLGYKKCVVPKTSEKLLKPLKLDIEILPCSNLKQFINAIFRPQD >KQL10314 pep chromosome:Setaria_italica_v2.0:IV:12780693:12789751:-1 gene:SETIT_008398mg transcript:KQL10314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLRRLLPHGYAQRHRAVTHALLPPPTPAMLQFGVFQRYSSAAWPFSPFRPCEMCRVAFRGNSSGQLFSRNSSGVSLRPKSSAFLTTGLLSKHGSICFRATDNCGIALKANNIGNSRPFSTACNKKLRFLIKNKSSFGNLNMRREGGSVAHSLFHRSEKRQSTLAACSTIADEASTSTSTSSKSVTDAKTDTAKRKSSRGSKKEVHGDMKEKKVPTKKKRILARTRKAATKMTESISVNQEDKKADNSKSKKGADSSKEKKVNNRSKSKSKVSAAFTVSSEAESYMKTSNDGSRSEAKPLVPLYPPTAKSVVVVESATKAKVIQNYLGDMYEVVPSYGHVRDLAGRSKSVRPDDDFSMVWEVPAAAWTHLKSIKVALKGAENLILASDPDREGEAIAWHIKEMLEQQGALGCNVTVARVAFHEITEDAIKKALMAPRYIDMDLVNAYLARRSLDYLIGFGISPLLWRKLPGCQSAGRVQSAALALVCDREAEIEQFKPQEYWTVQTDFTTQFANPSSGTFIPSRIKLLNSKKLDQLSICSQEEARAIEKRIHSSQFEVLGVKRSRIHKNPPMPYITSSLQQDAANKLHFTAGYTMKVAQKLYEGINLSSDEATGLITYMRTDGFHISNGAAEDIRSLVKERYGQEYASENIRKYLKKVKNAQEAHESIRPTSIRRLPSSLVGVLDDDSLKLYTLIWKRTMACQMEASRTDLIQVDIGTPGGDLSFHSSASRLDFKGYQAVYGDIEASPSSDSSEGDAVLEANFEVLSKLKVKDFVSPVNVHLGQHFTKPPPRYSEGALIKKLEELGIGRPSTYASILKVLQVI >KQL10036 pep chromosome:Setaria_italica_v2.0:IV:8781278:8782108:1 gene:SETIT_007348mg transcript:KQL10036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSSEHGETSKAPLSRGVSKGLSVLDLILRFIAIIGTLASAIAMGTTNETLPFFTQFIRFKAQYSDLPTLTFFVIANSIVCAYLILSLPLSIVHIIRSRAKFSRLLLIFLDAAMLALVTAGASAAAAIVYLAHKGNVRANWLAICQQFDSFCERISGSLIGSFGAMVLLILLILLSAIALARR >KQL11529 pep chromosome:Setaria_italica_v2.0:IV:34480350:34482641:1 gene:SETIT_006702mg transcript:KQL11529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFKGSKVEVLQEAEVPFGSWRPGEIVSGNGHTYLVRYDESPVDFGVAVERVPRRLMRPCPPADDPVCWAVGSILEAFDSYSWKVAEVVRVLGKKHYLVRLLGSSLESRAHASDLRLRKLWLDDKWIVTQKYSAKCLDGSFRGRSKDGNLGCNLVMDSHIQLENQNAFEGATSRGIKRKSSAITTHPQCSEITKKLRTPHRDGRHSKLVDRGSLRLAEKVDAVDSPCFMLGEKYTHASYKGHTITAEDFSDTESISSSVASCSPNSSPHKSQHYNLVYQTGDICSRTDDDEASTSERETSEHDNHGSREETHLLELHAYRATMLALYACGSISWEQEALLTNLRLTLNISTDEHLAELRSLVTHAVTSR >KQL09983 pep chromosome:Setaria_italica_v2.0:IV:7906305:7906964:1 gene:SETIT_008915mg transcript:KQL09983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDCLREAAKRVEELGIKRNSPMFRHALCLVAFMSKQDVAKKIGVLKTLGFSQHHVSKIVRKAPLVLGASEDRIRRVVDFWMRDVGLELQYIAQRPALIMYSLERRLLPRHRLLNVLRAKRLRNLELNYYTAAMGEKTFVEKFVTPYKDIVPSLAEDYGSGHSSMSGLLVKEC >KQL12077 pep chromosome:Setaria_italica_v2.0:IV:38620163:38621395:1 gene:SETIT_008236mg transcript:KQL12077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLRIATAGGSGWSTLPGDLLEQISSRLSTDADRLHVRQVCAHWRACTSPLAALRPWIVARAGPLPTSGHPAYSAWLPRRLRQQRMVGVRAAPAGLPHCRGASRGWLALVDDIRSPTRLVLWDPVSGSEVPLPCLSRVTQVFLSGDPLGSPDWIAVASQRVSTLGTKLFFWRPGDAAWSSLFEQPTAGVPSVVFHGGRMFYMDLRQLIAAYDLNLGAPNRRPASAGMSYFGPKVDKLCRCERLVHLVREVLMVSCDGELLLVVLRGATGSRGGRQPPSSPSQVSSACSSFAEVYKLDWTPKGTPKIGERVTDLGEYSLFLGLSESFALSAKEFPAVRRNHIYCVAHHWIYYIYYQNPYHKLSDWAFVFDLGSDTLKGIPYPEELRDDGAKWWPYYWLCLRSPLTKKQQN >KQL11322 pep chromosome:Setaria_italica_v2.0:IV:32581534:32582807:1 gene:SETIT_006962mg transcript:KQL11322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFGDELFLDVGDDGFGDLSYVTLSQSIEEDLACPRNLLSPGGFDLGTLTPTPGSPFSFDSDPDLSGLSPSQPPSPPFWDCLEAELADHGFEWEDIADAAPGVGGRGGAAASGGGGGGRGLGVDIDLDADVFGFVDEREMLGVMEGIDSGDDDSIFSDGPPFDFGEGDAELDGIFRGGVGWELLPVPLDEDEFEVLPGHLADAAVGGAPPAARAAVERLQVVAVRGEEAAQGCAVCKDGIAQGELATRLPCAHFYHGACIGPWLAIRNSCPVCRYELPTDDPEYERRRARRRSISTAQLGGLMQM >KQL11887 pep chromosome:Setaria_italica_v2.0:IV:37406248:37409766:-1 gene:SETIT_006224mg transcript:KQL11887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSLLPQSQLRRSSAAAARSAGGGGAAGGGDGAAGADGGGAGSRAPASSTFWFLLHTFCCLLSLYLGFRFSRLLFFLLFSTTALYHATTSSSSAAVLRATTTTTTTTTTTTTTTNTFTLSFAAANPPPSNPANRTALEAAAAAEGNPQSHVVVGRHGIRIRPWPHPDPVEVMRAHRIMERVQEEQRRWYGVKEPRQVLVVTPTYSRAFQALHLTGLLHSLRNVPYPLTWIVVEAGGTTNATASLLARSGLTFVHIPFPDRMPHDWADRHATENRMRLHALRVIRERKMDGVVVFADDSNVHSMELFDEVQKVQWMGAVSVGILAHTGAAEQPRLSEEDKQNMPLPVQGPACNSSGHLAGWHTFNSLPFSGKTATVVGEAAPVLPRGLEWAGFVLNSRMLWKEAEGKPDWVKDLDAVGENGEEIENPLTLLNDPSSVEPLGNCGKKVLLWWLRVEARADSKFPQGWVIEPPLDIVVPAKRTPWPETTNELPSELLNDKKDQEDRRLSRANKSSRPRSTTKRKGDLQGQEN >KQL11107 pep chromosome:Setaria_italica_v2.0:IV:30787307:30790815:-1 gene:SETIT_007484mg transcript:KQL11107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFLGRRIGVAAAAAAFIALAALGSASSTPKSFVKSTVSAHDVVIFSKSYCPYCKRAKAIFKELELKKEPYVVELDEREDGSEIQDALSEIVGRRTVPQVFVHGKHLGGSDDTLDAYESGKLAKLLNIGVKDDL >KQL10244 pep chromosome:Setaria_italica_v2.0:IV:11679521:11681208:1 gene:SETIT_008032mg transcript:KQL10244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELYGSIVHCPYIEGDAKNLRVEYRAENKGKDMKATLDYFEELKKEDPDFYYNYTLDDEDRQELSDDFKDCLDNSFLPSEFEGKWQVFLDKHGLNDDEIQASRYVNPKNSIYNSIQQYRKIQWRIFGKQDLQEANTVTKVPHYLTGHPMERQIKKVYTRKLFNLFLYELQLLYYGVLCCHVMKVFDTLAVREVPEQYILPRWSTETFHGQQRGLR >KQL12067 pep chromosome:Setaria_italica_v2.0:IV:38570345:38570753:-1 gene:SETIT_009036mg transcript:KQL12067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGIDFCIGEHKVISHLFGLVQTIEDLIFLLCDP >KQL11575 pep chromosome:Setaria_italica_v2.0:IV:34930702:34931418:1 gene:SETIT_008846mg transcript:KQL11575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRSTSRRYRASCYPYTTPRCSAALTSAAPCPVASPPSCSQGIFEKHEMG >KQL11800 pep chromosome:Setaria_italica_v2.0:IV:36843378:36845122:1 gene:SETIT_008123mg transcript:KQL11800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPAALSWSSLGSLVATAVVVRAALQEVLPPEAHGALRALLARAAAALAHPTDTIVILEADANGVPNELYEAAQLYLGARCLATAPALHLHKSHGAPGPVASLPDDHAARDTFRGVRVAWRSQRVDQGPGGGAHWPFGGGSRGGFGGLGGGMGSGRQQRCLRLEFPRRHRDVVRGAYVDHVLAEAAELRLRMRERRLYTNSPGMFCGGGGGVDDHQMMWSSHPFKHPSTFDTLAVDPALRDGIRDDLLRFVRRREHYARAGRAWKRGYLLHGPPGTGKTSLIAAIANLLEFDIYDLELTAVGSNSDLRRLLASTRPKSLIVVEDIDCSLGLFDRTSQDAESDDPGTPRPVRVSPFPPHGHREKISLSGVLNFVDGLWSSCVGERLIVFTSNHVDRLDPALLRPGRMDRKIELGYCKGHALRVLAKNYLGDDDREPADDDRYEELMGEAERLLEEVHLTPADVAEVFMGCDGDGAHAALQKLVDDLNTKSIVVK >KQL11488 pep chromosome:Setaria_italica_v2.0:IV:34103248:34106769:-1 gene:SETIT_006626mg transcript:KQL11488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTTLLLLCCCLLAFPLPGSLAVEDFVGGYGINYGRIANNLPSPDKVVELLRKSKIRNVKIYDSDHSVLDAFKGSGLNLVIAIPNGLVKDMAANESKSMDWLNQNVKPYLPETRIIGITVGNEVLGGQDQSLYQPLVDAVKNVYNGLKRLHLEKQIEIFTPHSEAVFATSYPPSACVFKEELMPYMKPLLDLFATTHSSFYVNAYPFLAYTYDPEHIDINYALFKPNNGIVDPNNSLHYDNMFDAQIDAAYAALHAAGYDDMEVRVAETGWASSGDQSEAGASSENARTYNFNLRKRLFLRKGTPLKPNRPVKAYIFALFNENGKPGAGSEKHYGLFLPDGRISYDIGVSGLLPSSASSSMLSKKKIRAGGWILHYLATVLLSIFIFWP >KQL11364 pep chromosome:Setaria_italica_v2.0:IV:32922178:32922477:1 gene:SETIT_008566mg transcript:KQL11364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRWGMRVRTGGGGVRLGLLLRLRVRLSGVVGLILRSVEELRRRPGGRCSSAPRSPVPARCRHGHRRPERDQSSFYAEAIADCLEFIKSRSSYCPVNG >KQL09023 pep chromosome:Setaria_italica_v2.0:IV:744701:746852:-1 gene:SETIT_006045mg transcript:KQL09023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRVAALLLLLPLAAASEEAAAAPVAGASAEAEAALLERHAAQLARLEELAESLDRSVRALESALARSADPDPPPPGAASAAVGDRRAPQGVAVTKRRPVWSERFHFAAAARLGEGAYAAAAAALPYEDADGLTKYFAVGDSRGRVFVFSAAGDALLELEAAASGESRVTALLAYLSPRRTDCLLFTGHADGSIAAHRLIESSPHGDDWLTLAAASSRLLVRGIDAAPVAHLEAHHAGRARYVLSCDAGGRIRVFTENGTLYGTAISSSTPLAFVKQRLLFLTEDGAASLDLRSMSVRETPCEGLAEALNGTSVKAYSFDPSERFKAYGFTEAGDLVHVLLLGDVSSLKCRVRAVKKAEIDSPVAIQTVKGYLLVASHDKILVYNTSSQYYGRVGAPRPLFATTIKDIKSVFAGSGGVLPSAPAGKPVIAVDREKLVILGLGDGHIAIYRSNFPVYKPESNAVVWSGPALLFLLFLIGIWQVYVKKKDSLGWTPEETFNTSVTAPTGSLLNHPTSDRAFADSTARTSDRGYVDGTARASDRSYVDSNTRTTDRGYADATRAVDLRGGALRSAPRRYVSPTRYAGTSGIQYRPASAEPGLRGTSELKYRGPGMEPPGFPKKRDTLFSNNQAVVDDHVD >KQL09091 pep chromosome:Setaria_italica_v2.0:IV:1146496:1151425:1 gene:SETIT_005823mg transcript:KQL09091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIPLILPLPLSLCPSPRPVLLPPATIVEGHRRRPHAGRQGEAASQASSRGAPPKQYTRGESNPPQPRTGLSPQPQPRLLRPRAMEVEEPFAQSKNPRRARRRDLNALDPSMEESDGEDIGVPEVGMVFNNHAEVNRFYRKYARRVGFGVSVRRSSFSQEGTCLYLELMCCKGGRPRYEPKFRKRASSTTNCPAKIRVKLWGDKLLHVELANLDHNHPVSPAMARFLNSYKQLSGPAKRRMRMGGPGAMPVEEPSKMPMDKLGELEELLFGESKHHSFVERGRLKLQPGDSEALRLFFTRMQAKNANFFNVIDLDDEGCIRNVFWADARSRAMYEYYSDVITLDTSYVVSKHDMPLATFIGVNHHGQSVLMGCALLSDETAETYSWLLKAWIACMSGNLPKALVTDYCRGIQSAVTEVIPGVRHRMCLFQIMRKAAERLSGLSEYRAINKAMHKAVYDSLTIDEFEGEWNALVTCNGLQGNDWLRSLYECRASWVPVFIKDTFWAGMSVTQRNETVTPFFDGYVDLKTTLKQFLGKYEMALQSKYEKEAQADFETFHKQRPPVSKFYMEEQLSKVYTHNMFKKFQDEIEAIMYCHVSLIGVDGPISTFNVKECIFLEDGKRTMSTIFAVTYNADEKDITCICGGFQFSGILCRHSLSTLKFQLVREIPPQYIVDRWKKDFRQLHVMGRPPSDLVPNNRVDRYDYLSMRCLQLVDSAVLSDKYRLALRLVREMEKFLLNSNTHDDTQPRIKSRVPKVNKPNTVTGQNVVDAATDKGNAGPKGPEPPAVMQASQIQKGGAERGIVPAGYIGVPANVQQFVANQAAIRPSIVYMVPSGVDPHAFGNGVLMPVMYQQMFQVPQKPNGTVQDTSANGKKKRPRGQKLTETSQLSNGTPGPSSG >KQL09620 pep chromosome:Setaria_italica_v2.0:IV:4627398:4628308:-1 gene:SETIT_0082182mg transcript:KQL09620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IWSAEKFMFIAEPGIGHRMTVNMVKEASDWFDRFLW >KQL09763 pep chromosome:Setaria_italica_v2.0:IV:5808965:5809417:1 gene:SETIT_008728mg transcript:KQL09763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNIVWENTDVIKRHLIKQGFVVGYTIWSHHGEAGGTFNNTNINTGSNEVGGDDANKTNHVMMDDDYDHARVELQVDKKRDVDMEDMLRHIEPEVLLRSAKGLENFETIKKTTNDRMYEGCGKEWTVLRFVLHLLILKAKFG >KQL09431 pep chromosome:Setaria_italica_v2.0:IV:3332064:3333487:1 gene:SETIT_007997mg transcript:KQL09431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTIVLYRGLAVSHFVLMMQRADALLEEGYAVAVALIDATMEFDASFAASSAASLQNLPTIAPSDAQFLLGYFELVRRYNEHLSELLRSLPSLQAEIEILAYTFFAWSASALAVFLQLPSIRMEGRRQPSFKELKESPLNVLGVPPMPASHLNHEMLEDPKAQVLGALKNPKFLLPGSELTVPSVYSIGPLVERAAGHETREEHECLAWLDEQPEHSVVFLCFESIGYHTEAQLKEIAVGLERSGHRFLWVVRAPPGHADPDLDTLLPEGFLERTSGCGLAVGAFVTHCGWNSVLEGIMGGCPMLCWPLYAEQKMNKVFLVEEFGVGVEVVGWQQGVVEAGEVEAKVTLVLESEEGERLRAKETSLREATAVVWNEGGSSRVEFGQFLLELDLEGLGKG >KQL09626 pep chromosome:Setaria_italica_v2.0:IV:4708857:4709340:-1 gene:SETIT_009155mg transcript:KQL09626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKFGSLLHRTTFHSYLIEIPLICSSRLHGLTVGYIEI >KQL10695 pep chromosome:Setaria_italica_v2.0:IV:23595322:23596482:-1 gene:SETIT_007447mg transcript:KQL10695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKNKGKGGKNRKRGKNEADDDKRELVFKEDGQEYAQVTRMLGNGRCEAICVDGTRRLCHIRGKMHKKVWIAAGDIVLVGLRDYQDDKADVILKYMNDEARLLKAYGELPETLRLNEGVDVDGPEDGEEGSDYIQFEDEDIDKI >KQL10513 pep chromosome:Setaria_italica_v2.0:IV:17156286:17158932:1 gene:SETIT_007218mg transcript:KQL10513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVRTKRKARALERTRRLINPERSGFRKPPGALCLNTLPRTDEATSSSSFLHSRAKKKHEGSGNPTKPRKMGATLLQAVAALVGRLQRAARRMAAGAGGAGKGSPPRAVVAPWKKTFSLAPSGKAAREAEAGVWRKEILMGMDRGHGALTSREVSQWLWSEGSTTEVRRHGPFVSRCSIGFTSSVRRSEVLFYKDKRRGVEDFAKRVHFRLICKVMSCKGCNKHGVMLS >KQL11660 pep chromosome:Setaria_italica_v2.0:IV:35668505:35671930:1 gene:SETIT_006545mg transcript:KQL11660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLHSFPGNKNAYKALIAAEYSGVKVELNKNFEMGVSNKTPEFLKMNPLGKVPVLETPDGAVFESNAIARYVARLKDDNPLFGSSRIEQAHVEQWIDFAATEVDPGIARYLFPRLGFMPYVQTAEETAIASLKRALGSLNTHLASKTFLVGHSVTLADIVLTCNLYTGFMYILTKSFTSEFPHVERYFWTMVNQPNFKKVIGDVKQAESVPPVQKKAAPQPKAKDVKKEAPKEAPKPKVEAPAEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTMNKVGGFLQRMDLCRKYAFGKMLVVGSEPPFKLKGLWLFRGQEVPKFVMDEVYDMELYEWTKVDISDEAQRERVSAMIEDQEPFEGEALLDAKCFK >KQL09286 pep chromosome:Setaria_italica_v2.0:IV:2356346:2356615:-1 gene:SETIT_008164mg transcript:KQL09286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENRNLTPTWVTTAGFGFLTLNSGLAIYSARGDPASVLFVVGSYLALLLLFRCLRAYERAPPGSPKKERARRAVWPLTTLLTAAFSSP >KQL09520 pep chromosome:Setaria_italica_v2.0:IV:4003288:4008202:-1 gene:SETIT_005913mg transcript:KQL09520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILSPLATEVFIPIAGIIGIAFAVVQWVIVSRVKLSPAAAASGGSKNGYGDYLIEEEEGLNDHNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMSIFAVVIFLFLGSVEGFSTKSQPCTYSKGKYCKPALFTALFSTASFLLGAITSLVSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLASSGLVVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHDFTGMCYPLLVSSVGIIVCLLTTLFATDFFEIKAVKEIEPALKKQLIISTALMTVGIAIISWLALPAKFTIFNFGAQKEVSNWGLFFCVSIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIYVSFSIAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVKVVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTGKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGTLFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDCHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLIFKYL >KQL10243 pep chromosome:Setaria_italica_v2.0:IV:11674645:11677950:-1 gene:SETIT_006396mg transcript:KQL10243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAPRARLSPPAPAVRPPRKRGRSPPSTRSTSSWRASAEHVAPRDQISRWRAPERAPDRVWRQFRPPQSALPTSRRWVSSEGASTSSSGDACTIMSYNILADYHARNHPDLYRDVPWDAMRWDSRRRLIIHEIRHWDPDLVCLQEVDRFQDIAAGMKSRGYEGIYQRRTGDTRDGCAMFWKSKRLRLLEEDSIDFSEFNLRNNVAQICVFELNGTHKLVLGNIHVLFNPKRGDVKLGQIRLLLEKANALAEKWDEIPIVLAGDFNSTPDVCFYFLLTHMILNISLHDRRKLSGLDSSEFDLYELCSSLKYQWSDEEVRNATGYSNVMVAEHPLKLSSSYANLKGNSSNRGLHAEPLATSFHKKFLGTVDYLWYTHGLECSRVLDTFPIGVLRRTRGLPTREIGSDHLPIIAEFAFTESVEDDFEEEDDSEQDNGSEQEVSTTQHAYLSTDDESR >KQL09577 pep chromosome:Setaria_italica_v2.0:IV:4364720:4367824:-1 gene:SETIT_006844mg transcript:KQL09577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSAAAAAAEWKVAERKVLVARKPCFGLPTACPTSLPVLLYLRMAQVPFDIHVDTSFPDADHIPYVEFGDCVAFNNEKGGVIEYLKEEKILDLNSKHPSVSPADVLSTKAMVSTWLADALQYELWVVTDGSIAHDIYFSDLPWPIGKILHWKKTRDVKHLLDITKLNAAEKEEEIYQKASAAYDALSLRLGDQVFLFDNSPTDVDALFLGHALFVLNALPDTSVLRGTLQKHENLVNFVEHHKIQLLEESSSSGLGSSPSPSSSSTPRKRASAGQSYKPKPRAKKERTEEEKKFRQRSKYFLAAQLVGVLVFLSLMGGADSSELDDDDGLDYEE >KQL12179 pep chromosome:Setaria_italica_v2.0:IV:39300849:39304310:-1 gene:SETIT_006314mg transcript:KQL12179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKENPMLIACQAPNGRITRAQAAANRGTFGPFPSVPLPAKTERKQAAQGKTKRGSSYESASASVAISGPQPKRRTVLRDVTNVSRANSNRNNTAATKLQTRPSQRIGRTTSKNKQCAKKVPKIPPPAVKSSVANESNIAEETQEGTLLPQREEPAPLLENRGSLSLQDVERNRDSACHEAFFEERNARDKSEPSVSKTGDSPALDIVDIDKDNGNPQMCASYVVEIYSNLMASELMRRPSPNYMEGLQRDITKGMRGILIDWLVEVSEEYKLVPDTLYLTVYLIDRFLSRNYIERQRLQLLGITSMLVASKYEEICAPRVEEFCFITDNTYTKAEVLKMECQVLNDLGFHLSVPTTKTFLRRFLRAAQASRKTPSVTLGFLANYLAELTLVEYGFLKFLPSVVAASAVFLARWTLDQSDLPWNQTLEHYTSYKSSDIQLCVCALRELQHNTSNCPLNAVREKYRNQKFECVANLTSPELHQSLFS >KQL10646 pep chromosome:Setaria_italica_v2.0:IV:21782769:21785564:1 gene:SETIT_005861mg transcript:KQL10646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKHLDRGGGGGGGTELFICFTSRPSAASAASVAAGGAPSSLRPSNSSKLLSPGRTSAGAGAEAVPAPPLHPSLSRRLRNSGSLKGGQSPMFPSGSTGGGRRGRGGFEPAEPSSPKVTCIGQVRVKGGKRKAKYASASALHSRSRRGGSAEASFRRAGDDRDGPQGKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRPGGAGERGALSADAHGSKKRRQRAPAGGSWLCGAAMARCLLAIQEEDDDIGAAVVPTEEMRASEVGLVMEEWDVEEEKAVMVGEVEVEKKDDILVVGREEEGRVSVCVPPRNALLLMRCRSDPVLMAALATRFWGSPAAATVEHLDNEVAGGVDGDGDEEEDEAEPEECKDEAHVNFGECGGVEDDGGGAGETNQAQAEAEESFRFFLDNVESFKCGDLVEEDKDGSRTVVVEEAQIVRKDAASEVSLGEDTVAENQGPGMVELVISKEEDAPAQEKVEEEVKGRRSISNYSPSVALKEDRNKLRRLSSRRRVSTSSRASSASDRGGRRHSFSAEMEARRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMASRKGKKTSSEQELEKDCAAAVAPNSAEEGQESYDDGKEEETTKNGEEGEIQDAETNQKVEKVETRAEDGEAGLVIERRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGKNNRNRYPQMASASGNDAATATGEPEDKENAEGSTIANNTDPSTVNLAVAPMPPPIAQNAQPPKPAATEQKMKLELPVVTNAAAYTPFVLKRCKSEPMRSSARLAPDACFWKDRHRPLNATGVGF >KQL12033 pep chromosome:Setaria_italica_v2.0:IV:38315888:38317735:1 gene:SETIT_007084mg transcript:KQL12033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGAAAGDAPRPSRYESQKRRDWHTFGQYLRNHRPPLELSRCSGAHVLEFLRYLDQFGKTKVHAQGCPFFGHPSPPAPCPCPLRQAWGSLDALVGRLRAAFEEHGGRPEANPFGARAVRLYLREVRDSQAKARGIAYEKKRRKRPSSSQQAQQQQQQAATPPPHQAAAPASSPAMSDAAADARAPHVPEAAGHPHHHFFIPHPQFLHGFSLVPGNHHHHPEAVAAGNGSSSSSSAGGAGAGSGDELALAMAAAAEAHAAGCLLPLSVFN >KQL12038 pep chromosome:Setaria_italica_v2.0:IV:38352751:38353916:-1 gene:SETIT_007757mg transcript:KQL12038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLHLPELELDLFALRLLRRQQRPVAALFLLRPLLLLLIFLHQTPRSRFASTSLPLELEDRSSS >KQL10135 pep chromosome:Setaria_italica_v2.0:IV:10064600:10066595:1 gene:SETIT_006389mg transcript:KQL10135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTVLKPQILKSRGGPNILSTAILPHDTRINEDQGTTPTVQMPPLTDHSPPHYCETAQHRLPPAAGRREKNPSRRRRVPSSPASMGGAAGEAMRAGVAVAAAVFLAFAFSGAAAALPRFAEAPEYRNGEGCPAAAAGVCDPGLVHIAMTLDAHYLRGSMAAVYSLLKHASCPESIFFHFLAAEAGAAGGEGPEPEPELLRRAVAASFPSLRFEIYPFRAEAVAGLISASVRAALEAPLNYARNHLADLLPRCVPRAIYLDSDVLAADDVRRLWETRLPAAAVVAAPEYCHANFSRYFTPAFWSDPDLGARVFAGRRRPPCYFNTGVMVIDLRRWRAGNYRQRIERWMEIQKEKRIYELGSLPPFLLVFAGEVEAVDHRWNQHGLGGDNVHGSCRPLHDGPVSLMHWSGKGKPWDRLDAGRPCPLDHTWRLYDLYIPSDGSGAASPASGPALSASLFSW >KQL09169 pep chromosome:Setaria_italica_v2.0:IV:1647686:1649722:-1 gene:SETIT_007482mg transcript:KQL09169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLRPSSRCFTRRPPRAAGGAAKNPRCNRERARPPPPGLKDPGTRRPIQEFLGALLASPLLTAAAVHGTAQLAVRMASSQALLNSNTAATSLAQQPPLLSLSGHSELTANLLCSQVCYFIGRSPASIDTRKKT >KQL09168 pep chromosome:Setaria_italica_v2.0:IV:1647447:1649722:-1 gene:SETIT_007482mg transcript:KQL09168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVLRPSSRCFTRRPPRAAGGAAKNPRCNRERARPPPPGLKDPGTRRPIQEFLGALLASPLLTAAAVHGTAQLAVRMASSQALLNSNTAATSLAQQPPLLSLSGHSELTGRSPASIDTRKKT >KQL11596 pep chromosome:Setaria_italica_v2.0:IV:35153639:35156690:-1 gene:SETIT_005803mg transcript:KQL11596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYLNLSSNSLKGDVPSSLGSILSIVYVDLSSNGLTGQLPESLARSSSLQVLKLGKNNFDGEIPPVLFNSSSLVRIDLRQNNFTGPIPPVPKIMLTVQYLNFMSNGLSGSIPPSLGNISSLLYLYLEGNNLIGGIPESLGHIPGLRALTLTTNRLSGQVPLSLYNISTLIYLDVRHNLLVGRLPDNIGHLLPNIQTLILEDNKFEGKIPASLANCTRLEVLDLANNSYSGAVPPLGSLQNVMYLDFSLNHLEDPDWSFLSSVSNCTQLTNLHLMSNNISGNLPSSIGSLPSKLDTLWLSLNRISGTIPQEIGNLKSLTVLMMHDNQFVGSIPSAIGTIGNLSVLSLSGNRLSGPIPDSLGNLEQLTELYMRENELNGSIPKSLGNCKNLQLLNFSRNSLDGSIPAELFKIPSLSQGLDLSHNKLSGVIPQEIGGLINLGVLNISNNRLSGKIPSTVGQCIVLESLRMEGNLLEGSIPQSFMNLKGIREMDLSQNNLSGEIPQILTSLSVLQYLNLSFNDFSGAVPSTGVFANASKVSVQGNKRLCGGAPMLRLPLCYGNSKKTSKSLLLKMLIPLCAVSAILLSCFIVILLKRRRSKSAPQNFREKEKVSYEDIVKATNWFSATNLVGSGSFGTVYKGTMAFDTNPIAIKVFNLNFHGASRSFSAECEALRSIRHRNLVKIITSCSTINPSGAEFKALIFQYMPNGSLDMWLHPKVHGYSNIKVLTLAQRINIAQDVAFALDYLHNQCMCPLVHCDLKPQNVLLDYDMTAHVSDFGLARFLCIDPSCATNSSTSLSGLKGSIGYIAPEYGMGGEISTEGDIYSFGVLLLEMFTGKQPIDEAFNNGTNLHSFVNSSFPDRIGEILDPNIMHDIAENKNQGILIMHNCIIPLMKLGLLCSMEFPKDRPGMRHVTDEIHVIRTTFSNINV >KQL11190 pep chromosome:Setaria_italica_v2.0:IV:31499460:31500037:-1 gene:SETIT_008872mg transcript:KQL11190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAACWLRAGGAPRWRKGDCAAARPQRHGRSAAERRSHGALAGASEAGRRRSC >KQL09273 pep chromosome:Setaria_italica_v2.0:IV:2237575:2238103:-1 gene:SETIT_007500mg transcript:KQL09273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREQRGGADGTAVLVRTGLIALTLTSAVSAYRAAAAGDLASTAFVAVSYASLLLLFRSLRAYERLPPPWEAADQRARLRREVWALCTLLTVLFSWKVAAAMPSWPVAAAVWAMAALTTAGGYAALFHGP >KQL11485 pep chromosome:Setaria_italica_v2.0:IV:34084860:34087103:-1 gene:SETIT_006983mg transcript:KQL11485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSLTAARDPAAAAATTTTTTKRRRRSSSKEGAAAKVHLAPASGEGAASEKGAMGAAAAGGGEGTWGRCPEWCSAAGVAGVLRRHPLPALFACGLLLFMAVEYTIPMVRPGAPPLDLGFVATQGMHDAVAARPWLNSLLAALNTVFVAMQAGYILWAILVEQRPRAAVAALMMFTSRGVLGCATQLPLPAEFLGSGMDFPVGNVSFFLFFSGHVAGAVIAAADMRREGRVALARLYDALNVLQGVRLLACRGHYTIDLAVGVGAGILFDTIAGWYFDVMNGDGKNAHCRSCQCHKALLSH >KQL09992 pep chromosome:Setaria_italica_v2.0:IV:8043451:8043902:-1 gene:SETIT_007729mg transcript:KQL09992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMMKIQDRDETLKVQEELFRFEREKTIALENSLENEKKGFKMQEDLLKTKNDTTLSLEKSLAKEKIKWKN >KQL09267 pep chromosome:Setaria_italica_v2.0:IV:2213108:2216278:1 gene:SETIT_006951mg transcript:KQL09267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRWRHHAHSLGWAMINPFAGWHPTRPRRRHLVRNPFGDMPPPAPLFLSLPSPPPPLLPVHHHPKAPQALSLAPPLASSRKAALPACPVASSRHSDYFDPRAPPPPPPPRGDGGYGRPPNGAHEGRVFTSYSIYKGKAALSFDPRPPQFVPLDSGAYKVAKEGFVLLQFAPAVATRQYDWTRKQVFSLSVWEIGTLLTLGPTDSCEFFHDPFKGRSEEGKVRKVLKVEPTPDGNGRFFNLSVQNRLLNVDESIYIPITKGEFAVIVSTFNYIIPHLMGWSTFTSSIKPEDSRSYNRPQSTPEYEWRR >KQL11434 pep chromosome:Setaria_italica_v2.0:IV:33585454:33590459:-1 gene:SETIT_008246mg transcript:KQL11434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVVAGGGDSIASSAAAATYVAATPSVPPMITPITGAVVPRGSNDPLHHTTMLTPPTSVPPGALITPDPNERFHLIEKTSKRVGYPTYAKVKEDKKGKQWYFDHVEEAHNHKLHPSLSMVRYMHAHKQREMAMDDLFAIMSRNGVVHQAAMNVMSELFGGRQNWPFTDKDVKNITKTKSEGEAGSLINYPLGPTQFEVEWKKLVDECGIADNPAIIALWEKRKSWIATYFKGMMVMLTIVQPCTCLQRGCLTRFSTQTTWMLAEVVRACKSRFDEQLIRVYTRAVYQEYKKQYGNSTTFVIEPNPDPEVRNGYLVTHEKGTGSFCWTQHAFRVSIPEKYILRRYTCDARSMVPWDRHDVVQVGPRGDMEQSWLSKLLPKLMRLGRAGSKTDCAYAKIAIGAIKNVGAAASTLECSVAVVEFNEPESKSNLDPTSINYLAGNLARKVNLLKRELWEQSVQTCNVTGHYSTTCPLNPNRSRATENRVKKRGAKTQGGTLRKRGRPKIQRGLNEEQEGRQSGEDEASGCVNYQENSDGNDYESE >KQL09805 pep chromosome:Setaria_italica_v2.0:IV:6214031:6214993:-1 gene:SETIT_008230mg transcript:KQL09805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDNEVQHDFHPFVRQYKSGRVVRFVAANPVPAGTDTGVSSRNVVMNPSSSLWARLYLPPLPAGSRDRLPVIVYYDGGAFVTGSTADQPTHEYLNSLAAGANALIVSTEYRRAPEHPLPAAYEYSWEGLEWVASHATGDGGGAEAEPWLAEHGDMSRVFLAGSSAGGNIAHHMAARAGEHGLGVPIRGLLVIHPYFIGEAPVGPEAADPALKAWADAFWRFVCPGAAGPDHPLGNPFSEAAGRGAARVAAELVLVCVAEEDVLRARGLWYYESLGASAYPGEVELHKSAGEGHVFHYSNPGGEQARALQARVLRFLRAER >KQL11644 pep chromosome:Setaria_italica_v2.0:IV:35558467:35563577:1 gene:SETIT_005898mg transcript:KQL11644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSSPAEAGGVAQVAVAVRGDGRGSRRAARWAAATMVPAGGRVALVHVIPPVSFVPSPSGERVPVEKMEREVVEIYAQDRRARAQEVFLPFRRLCARRTVETVVLEGDSVAEALVSYAAESGVRSLVVGSASLSWLRRMLRIQDVPFMVLKTMPSSCNVFVVSRRRLTMKFANLARTSKSNNSKIQSISHKAFNQIQRDWLQDKQSLNNLADDEIPKYSGNSSSDSCSQVCSSLSTSSNAVKSSESHRRGLLGSLGRKTPRREWNKDNDAIGQCKEIRYVALTSVEESQPVDEVATLRKELKDTLMMYDRACENLANAKEKIQILSGECHEDVNKVQYALQREEQLKQAVADEKTKHLHAIGAVEMAKESFAREAYSKHKAEFVANMVSTEKAKVVDALLSTGKSCRQYSKHEIELATDYFSDAKKIGEGGYGTVYKCTLDHTEVAVKVIQQDSSDKIDEFLREVEILSKLHHPNLVLLLGFCPEIGCLVYEYMENGSLEDQLINNKGQPLHWFLRFQIIFEVSCGLAFLHGTKPEPIVHRDLKPGNILLDKNYVSKIGDVGFAKLISDLVPEGLTEYRETVIAGTLFYMDPEYQLTGTVRPKSDLFALGIIILQLLTGKRPHGLICSVEEAIEKGILSDILDRSQTDWPIAEAEMLAKLGLRCTALKCRDRPNLESEVLPELENILSRVTASLKLENIIAPSHFICPILQEVMENPYVAADGHTYEHRAIKAWLKKHKVSPVNNQRLPHLSIIPNHSLQAAIQQWKLHTSF >KQL09689 pep chromosome:Setaria_italica_v2.0:IV:5260771:5261788:-1 gene:SETIT_008702mg transcript:KQL09689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASERPRARTASTCSLETARGTHAFKISDYISQRGLVVRGGGGRFIQSAAFAVGAATTGAHMDYAAVYLELVSKNAEIMATFDLRLVDQQATGQSLVLCHVAKPRLFTTKAYGWGSTLGTSRFKKKSHVIECDVTVVTNKKPWVELDFDEVPVPPSDLSDNLGKLLVGKKGADVTFKVGGEAFPAHRIVLAMRSPVFEAELYGPMEEKTSQHITVQDMQPDVFRALLHFIYADSMPGMEDRYDVRRLKQICEGILCKSPDVENVATILALADQHHCNNLRNACVEFIAYHRAK >KQL09735 pep chromosome:Setaria_italica_v2.0:IV:5568935:5571578:-1 gene:SETIT_007116mg transcript:KQL09735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAAGRRGAAARRKAKEAAVGVAARVLFYPTLLYNVVRSKAQAEFRWWDEVDQFILLGAVPFRRDVSRLQKLGVRGVITLNEPFETLVPSSMYQSRGIDHLVLPTRDYMFAPSLVDINQAVDFIHRNASSGKITYIHCKAGRGRSTTIVLCYLVKYKNMTPAEAFEYVRSKRARVLLTRSQWKVVQEFSKNNAEFPAVTSSSATATAAGDAIPVTEANLDGNDAPESLTEDASLSCLKSTPSRPMIKMLSCLFPSRI >KQL11120 pep chromosome:Setaria_italica_v2.0:IV:30914111:30916859:-1 gene:SETIT_008325mg transcript:KQL11120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMSSAYPHHWLSFSLSNNYHHGLLEAFSNSSSAPPPLGEEGAVEESPKIEDFLGGGGGMGAPPATAAEDQLVCGELGSIAAGFLRQYPTPGAVENPGAVTVAMSTEVAESDQARRPVETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKSMTRQEFIASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVESILNSDLPIGGGAAGRASKFPLDSLPPASAAAMITGAVSQAMPPSEKDYWSLLALHYQQQQQQFPASAYEAYGSSGVNVDFTMGTSSHSGSNTSSGVMWGATVGAMGQQDSSSNKQGNTYGSNIPYAAAAMVSGSAGYEGSTGNNGTWVTSNTSAAPQYYNYLFGME >KQL11657 pep chromosome:Setaria_italica_v2.0:IV:35645021:35645693:1 gene:SETIT_009075mg transcript:KQL11657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLSCQAIVFRRSSIRYFSPDSCALARLFTRLAGLFCVLSSRSRPPANGSSKLSRRQGACP >KQL11109 pep chromosome:Setaria_italica_v2.0:IV:30840635:30841826:1 gene:SETIT_008234mg transcript:KQL11109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADAGEFWGLFGITT >KQL11241 pep chromosome:Setaria_italica_v2.0:IV:31972879:31974066:-1 gene:SETIT_008476mg transcript:KQL11241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILQKTTSHQLIELNIEHLEYLSSSEANIIELAQKEELQFLSLEWSELSLEWFNPVFNKVALEELQPHQNLKRLCIKNYVGGDFPNWLRLLPNLVRLELFNVQSGHLHLDYLQSLEALYISSVSVFEVMKARADVLDLYAKSSICILSTQPVKNLRRVTIVRVGKLLWETSTSHCIEQKDDKNIFQREQEGRHSDTGRESSYQRTLFPRLQYLEIDCCLNVRFEPSIPWSARYIISGIKQYPFLFNWPSFYQVMGLSTSALSSKMEIKYIENISSDSDSLQLLDIEELTVDSCIDPVPLPQCILGWKSLRKLEILNCRGIDGLPDWLGDMASLRELKVETYWMKTLPPCIERLTSLHTLTLSQCTKRLKQRCSESGDDWSKIKHIENVQVELRP >KQL10641 pep chromosome:Setaria_italica_v2.0:IV:21612004:21622300:-1 gene:SETIT_005814mg transcript:KQL10641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGTDLASELKPLVVGRSKTPAMGDGPFLLVNAMGNGPHRRTGTTRSRAGQRCRPRGTRPRPGTARPRRRGPGTTSPRRATSPPPAATLYRRWFGTCAGTGTAAAATPLAPPPIHSHRPHTRLLAARPRSLPAAARPLRRPAPPLRPSLLSAAPPCLLPPPPAPAGSGSGVGRGSEGGGMCRPATIISLTPLFPHFSTELPSLQYLDCGSSHHDPNSPTAMDPALSPAGRRAATIARHLAGALPSPPPLAATVALLGPSPCLSYALPESTEPAPAFPPAELRALLDGHHLWERDWVFRVMEESQLFCPRQRCSGSRVFVAPDYNDGKEAQREATMRRVAHLARRGVFRGWLTEPGAEAELRKLALLESLGVYDHSLAIKIGVHFFLWGSAIKFLGTKRHHDKWLFATENYDIKGCFAMTELGHGSNVRGIETIATYDSKAREFIINTPCESAQKYWIGGAANHATHTIVFSQLHINGKNEGVHAFVAQIRDGDGNVLPNIHIADCGHKIGLNGVDNGRIWFQNIRVPRENLLNWVADVLPDGQYVSMIDDQDQRFAAFLSPLTLGRVNIAVNSVYISKVGLAIAVRYGLSRRAFSLTPDGPEMLLLDYPSHQRRLLPLLAKVCLMSSAGNFMKKMYVKRTPEMSKAIHIYSSALKATLTWQNMITLQECREACGGQGLKTENRVGIFKAEFDVQSTFEGDNNVLMQQVSKALYAEFLAAQKKKKPFKGLGLEHLNGPSPVIPDKLTGSILRSSKFQMDLFCLRERDLLKQFAEEVSLHLARGESREKALMLSFQLAEDLARAFTERTILQIFLEDEMNVPSGSLKELLGLLRSLYVMVSIDESASFLRYGYLSRGNVAGVRKEVLKLCSELRPHALAVVSSFGIPDAFLSPLAFDWIEANALSTGSH >KQL10647 pep chromosome:Setaria_italica_v2.0:IV:21785575:21786079:-1 gene:SETIT_007789mg transcript:KQL10647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIMVQLQKKSIRLTILITDKRNAKFLWTRTEYDPYKQAEDNVSIILCGNYISTDAK >KQL11873 pep chromosome:Setaria_italica_v2.0:IV:37333796:37336811:-1 gene:SETIT_005778mg transcript:KQL11873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIPPVPRPSAAAAASTSTPSIVAELGHIISTRRWNKGAAYKRLSPSVTAAHVADLLRAPPEPLHPATALAYFEWVGRRPGFHHTTASHAALLRLLARRRAPANYDKLVVSMIKCSDTAEDMREAVDAIQAIRRTGGARLALSPKCYNFALRSLSRFDMTECMGKVYSQLVQDGLLPDTVTYNTMIMAYCKEGSLAIAHRYFQLLRESGMELDTFTCNALVLGYCRTGDLRKACWLLLMMPLLGCKRNEYSYTIVIQGLCEARRVWEALVLLFMMQQDGCSPNLHTYTLLMKGLCKESRIVDARALLDEMPQRGVVPSVWTYNTMIDGYCKLGRTKDALGIKSLMEGNGCDPDDWTYNSLIHGLCDGKTNEAEELLDSAIARGFKPTVVTFTNMINGYCKAERIDDALRVKNIMMSSKCKLDLQAYGVLINVLIKKDRVKEAKETLNEILSYGLAPNVVIYTSIIDGYCKIGKVGAALEVFKLMEHEGCRPNVWTYSSLIYGLIQDQKLHKAMALITKMQEDGITPSVITYTTLIQGQCNRHDFDNAFRLFEMMEQNGLTPDEQAYNVLTDALCKSRRAEEAYSFLERKGVVLTKVTYTSLIDGFSKAGNTDFAATLIEKMVNEGCKPDSYTYSVLLHALCKQKKLHEALPILDQMTLRGIKCNIVSYTILIDEMIREGKHDHAKRLFSEMTSSGHKPSATTYTVFINSYCKIGQIEEAEHLIGEMERGGVSPDVVTYNVFIDGCGHMGYMDRAFYTLKRMIDASCEPNYWTYCLLLKHFLKIRLGNSHYVDTSGLWNWVELDTVWQLIERMVKYGVNPTVVTYSSIIAGFCKATRLGEACALLDHMCEKGISPNEEIYTMLIKCCCDTKLFHKASSFVSSMIDCGFQPHLESYQYLITGICDEGDYDKAKSLFCDLLGMDYNHNEVVWKILNDGLLKAGHVDVCSQLLSAMENRHCHINSQTYAMVTNSLHEASGSVVNELRGEAA >KQL11551 pep chromosome:Setaria_italica_v2.0:IV:34759542:34761658:1 gene:SETIT_006405mg transcript:KQL11551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMFTVTKLSEGPVRPSAATPSETLPMAWVDRYPTHRGLVESAHIYRNVADTLLPAPAPASEGEADRYAVADAALLQAATNNNRTNKKSPAAVVRGALADALVHYYPFAGRIVEDVPGRPAVLCSGEGVYFVEAAANCALADVNFLERPLLLAKDQLVPCPTPELWPVEPHNTLAMLQVTTFTCGGFVVGLRTNHAVADGTGAAQFLNAVGDLARGLPEPRVKPVWARDRFPDPDIKPGPLPELPVLALEYIAFDFPAAYIGKLKSQYAASTGGKICSAFDIVIAKLWQCRTRAIDAGADVRLCFFASVRHVLKLEPGYYGNAIFPVKVSAPAEKVAGSSVIELVGMVRDAKRRMAEECLSWAEDRTGGRDPFQMTFNYESVYVSDWSKLGFNDVDYGYGAPMSAGPLVNCDLIASVIVMRAPAPLAGTRLLASCVTKEHADDFARRMREDLV >KQL12071 pep chromosome:Setaria_italica_v2.0:IV:38595477:38599506:1 gene:SETIT_007940mg transcript:KQL12071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSVSCARSKIRCSFPSIGASSSHARSIEPVRATDTQTPTATPQSSSGEKTKVGINGFGGIGRLVLRIATSRDDIEVVAVNDPFIDAKYMAYMFKYDSTHGPFKGSIKVVDASTLEISGKQISVTSKRDPSDIPWGSYGAEYVVESSGVFTTIEKASAHLKGGAKKVVISAPSADAPMFVVGVNEKNYNPSLNVVSNASCTTNCLAPLAKAVHEEFGIVEGLMTTVHATTVVVYNHHEKTVDGPSMKDWRGGRGAGQSIIPSSTGAAKVLPALNGKLTGVAFRVPTPNVSVVDLTCRIEKSASYEDVKAAIKAASEGALKGILGYTDEDVVSNDFVGDARSSIFDAEAGVGLSSSFMKLVSWYDNEWGYSNRVLDLIGHMALINSKH >KQL09472 pep chromosome:Setaria_italica_v2.0:IV:3642316:3643822:1 gene:SETIT_008578mg transcript:KQL09472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCVRGRAGGRVGEAGGSLPLPPPPRMAAANHSAAAATASIRAHLARTASGVDAQPSPRSLLSRILLRGGGGGDAGGSGGGFGCRVRLPRRHGGGLREERKEGSEQGETPRVKVVEPPPPPPEMPLETPRSSLGRKKPEEELVSMNLGLGASLVLLLSKSAVELNKMVELRAQMEALVSEIRQAARWKEDTSGPAVSQESNGSSSATTTAVKDPIAFPAAAEADAASNCSRTTAGDNATAVVMDQLEAELQAELGRMQRVAGGGTDRAAHGGDKRIAPMHGLELPMLKVKTKASNAIGGSSRIRVEDGSAAKDDGEVVDGNADDEEEDEEADEYEEADEEEDEEEEDEGYDEDGTSPPHGGVSARALERRLHELLQKRQQERIVELESALDAAQRRLHEKEREVVWWRDAAKLVSHRRDDSRRFVR >KQL10596 pep chromosome:Setaria_italica_v2.0:IV:19596995:19609684:-1 gene:SETIT_005690mg transcript:KQL10596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFSAAASAAAAERCALAIRARPAASSPATRQQASIRRSAGPRRPATLAASCRGPVAPRAVATPADRASPDLVGKFTLDSNSELQVAVNPASQGSVTEIDLEVTNTSGSLILHWGALCPDRRDWLLPSRRPDGTAMYKNRALRTPFVKSGDNSTLRIEVDDPAVQAIEFLIFDETQNKWFKNNGQNFQIRLQLSRHDGNGASGASSSATSTLVPEDLVQIQAYLRWERKGKQSYTPEQEKEEYEAARAELIEELNRGVSLEKLRAKLTKAPDAPESDESDSPASQITVDKIPEDLVQVQAYIRWEKAGKPNYPPEKQLVEFEEARKELQAEVDKGISIDQLRKKILKGNIESKVSKQLKNKKYFSVERIQRKKRDIMQILSKYKHTVIEEQAEVAPKQPTVLDLFTKSLQENGGCEVLSRKLFKFSGKEILAISTKVLNKTKVHLATNHTEPLILHWSLAKKPGEWKAPPSNILPSGSKLLEMACETEFTKSELDGLHYQVVEIELDDGGYKGMPFVLRSGETWIKNNGSDFYLDFSTRDTRNIKDTGDAGKGTAKALLERIADLEDDAQRSLMHRFNIAADLVDEARDAGLLGIVGLFVWIRFMATRQLTWNKNYNVKPREISKAQDRFTDDLENMYKTYPQYREILRMIMAAVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYINSDFDISVYWDTLNKNGITKERLLSYDRAIHSEPNFRSEQKEGLLRDLGNYMRSLKAVHSGADLESAIATCMGYKSEGEGFMVGVQINPVKGLPSGFPELLEFVLDHVEDKSPEPLLEGLLEARVELHPLLLDSPERMKDLIFLDIALDSTFRTAIERSYERLNDAAPEKIMYFISLVLENLALSIDDNEDILYCLKGWNQALEMAKQNDDQWALYAKAFLDRIRLALASKGEQYHNIMQPSAEYLGSLLSVDQWAVNIFTEEIIRGGSAATLSALLNRFDPVLRNVAQLGSWQVISPIEVSGYVVVVDELLAVQNKSYDKPTILVAKSVKGEEEIPDGVVGVITPDMPDVLSHVSVRARNSKVLFATCFDHSTLSELEGYDQKLLSFKPTSADITYRETAESELQQSSSPNVEGGHAPSVSLVKKKFLGKYAISAEEFSDEMVGAKSRNIAYLKGKVPSWVGVPTSVAIPFGTFDKVLSDGLNKEVAQNIEKLKSRLAQEDFSALGEIRKAVLNLAAPMQLVKELKEKMLGSGMPWPGDEGDQRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHEYLSMAVLVQEIVNADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRAMSFVCKKDELDSPKLLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMEEEDEVVLDYTTDPLIVDHGFRNSILSSIARAGHAIEELYGSPQDVEGVVKDGKVYVVQTRPQM >KQL11115 pep chromosome:Setaria_italica_v2.0:IV:30886616:30891810:-1 gene:SETIT_006528mg transcript:KQL11115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRLRPSLLSARPGAARPRDHFLPPFCSIQRNGEARVCFSSQRTQGPSLYQSQKFFDWKSSYCRISRQSISTSINASGQQLQSEPEAHDSASIWKAISSSLDAFYRFSRPHTVIGTALSIVSVSLLAVQSLSDISPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYTPATGVAIVSVFAAMSFGVGWAVGSQPLFWALFISFVLGTAYSINLPYFRWKRFAVVAALCILAVRAVIVQLAFFLHIQTFVFRRPAVFTRPLLFATGFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYSVAILMGATSTSLWSKTATIAGHSILAAILWSCARSVDLTSKAAITSFYMFIWKVSLSPSLSSPPSSLELKLPAVRCRLSCPCLITS >KQL11114 pep chromosome:Setaria_italica_v2.0:IV:30886249:30891810:-1 gene:SETIT_006528mg transcript:KQL11114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRLRPSLLSARPGAARPRDHFLPPFCSIQRNGEARVCFSSQRTQGPSLYQSQKFFDWKSSYCRISRQSISTSINASGQQLQSEPEAHDSASIWKAISSSLDAFYRFSRPHTVIGTALSIVSVSLLAVQSLSDISPLFLTGLLEAVVAALFMNIYIVGLNQLFDIEIDKVNKPTLPLASGEYTPATGVAIVSVFAAMSFGVGWAVGSQPLFWALFISFVLGTAYSINLPYFRWKRFAVVAALCILAVRAVIVQLAFFLHIQTFVFRRPAVFTRPLLFATGFMTFFSVVIALFKDIPDIEGDRIFGIRSFSVRLGQKKVFWICVGLLEMAYSVAILMGATSTSLWSKTATIAGHSILAAILWSCARSVDLTSKAAITSFYMFIWKLFYAEYLLIPLVR >KQL09711 pep chromosome:Setaria_italica_v2.0:IV:5416616:5418195:1 gene:SETIT_007305mg transcript:KQL09711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCHAPLRLRPRQDTVFSIKIRGVTDRDRARIRQSIGLRIMGSRRAISTRSIGRSISEVGREIACIRTQLELLTTRLLRTELYSLFYTRDKLCTCSPSGPYLARDHCNLASSSSETLQLRLNRKALAAASPLPAPAHRLGQEAGGATATRIARICPRRDPAAIALDKPTPIGRLCCGSGEIFGRARMPILFGFGASFSPYPCGK >KQL11745 pep chromosome:Setaria_italica_v2.0:IV:36525740:36526115:1 gene:SETIT_008426mg transcript:KQL11745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFAVERSSKKLSSRKIRGLVPPRGQSFSGPEQCGGGGGGGSTAEEASVAAGSLGQGTPPKAITNVYAYDLDDGSVEMVMPASIVTEAPHWVQPSVFATPAT >KQL09955 pep chromosome:Setaria_italica_v2.0:IV:7705160:7707442:-1 gene:SETIT_008774mg transcript:KQL09955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RATSNGFAMKGMDLNGPDPQSLSAARARLKSASLNYVDWPNRQNDDTCQYQMFVATWNVGGKTPNNRLNLQDFLQVEESPDIYVLGFQEIVPLTAGNVLVLEDNEPASRWLALIHQALNEPQEQPEDDDDEPPPPEPPADARRRHHRRRDSSSLFFQTPSLKVLSNSYRVDSALVKTCNCSAEPSSMRRRAAEIRASVYRAEAEADAPSTSAAAEASTSGCSDAEADNSDGTPTAQCEPGCGGGMSYCLIASKQMVGLFLSVWVKREMVEHIGHLRVDCVGRGIMGWLGNKGCIAISMTLHRTSLCFVCSHLASGEKEGDELRRNADVAEILRSAHFPRPCKAPGSHRVPERILEHDRMIWLGDLNYRVSLSYEETRTLLEENDWDTLLEKDQLLIEREAGRVFRGWKEGKICFAPTYKYTQNSDAYAGETAKSKKKRRTPAWCDRILWHGDGVEQLQYLRGESRFSDHRPVCGVFAVEVDADDGSKIMRSYYSVNARMGHDRPA >KQL10434 pep chromosome:Setaria_italica_v2.0:IV:15049423:15049935:1 gene:SETIT_008553mg transcript:KQL10434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRFGWDLSDEDGWSCLDLRLIGTCYGSRIPRKGARKQSTSRSNNNSSTGSAKNGSMFNVGADLDGARVKRDERRERMRMRREDQVRTAKMGILGVNVGVQDAGVPVSLRRKAEIWTAKKEILELRRGSCAGEVLDEKRAARKGGQGANPSPDQQAFLDKVRKLKGEDS >KQL12227 pep chromosome:Setaria_italica_v2.0:IV:39539115:39543892:-1 gene:SETIT_006241mg transcript:KQL12227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCCGCSVRCCCWLLVLTLVALAVTATVVFVRYRNGGQVFPLPGVPDPKYAEALAVALQFFQVQKSGKLVRNEIPWRGDSALDDGEEAKLDLSKGMYDAGDHMKFGFTLAFTGTMLSWSVLEYGDAMRAAGQRDAAMDALGWIMDFLVNAHPSDDVLYIQVGDPKADHKCWERPETMSEKRPLTKITTKSPGSDVAAETAAAMAAASLVYKPINGTYSSSLLDHAQRLFAFADKYRGSYTRTFPELSAYYNSTTYQDELLWAASWLYHATGNHSYLSYATGKNGKEYADLGNPRYFSWDDKRAGTEVLLSRVSFFASDGSDVGQDEGLGSYKDTADAVMCILLPESDTAAFRTEGGLLYVAEWNSLQHPVASAFLAAVYSDYMSTSGKTELTCSGKSFTAADLRKFAKSQADYVLGDNPMKLSYLVGFGDSYPQRVHHRGASIPADVDTGCDGQEWLKSPKPNPNVATGALVGGPFKNDSFIDDRENVRQNEATTYNSALIVGLLSGLVSSSSVAQSLS >KQL11046 pep chromosome:Setaria_italica_v2.0:IV:30349891:30351089:1 gene:SETIT_008713mg transcript:KQL11046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNCFIFILALIIFVQYGDASRTKEYSFPNLVNSPSLPPYKDVIGGGGPSTPAADADAVPPPPPYCVYPPPAKPAMPAPLPPVASPPGAPPSPSAVSPSIAPGISPPPMPGASPPAGSPSIVAPGSSAPPQPLFMPPVAFPAPPPGQAVRRGMWCVANPKVASAVVQTAMDYACGSGADCGMAAPGGPCYLPDTLTSHASYAFNSYWQRTKATGGTCDFAGTAMLVTRDPSYEGCKYVSM >KQL10613 pep chromosome:Setaria_italica_v2.0:IV:20230694:20231865:-1 gene:SETIT_008125mg transcript:KQL10613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGTDDFNNVGHAKPICDTSFGKYDICELAGDVRARGGAATTVTLLSPRAPLREWTIKPYSRKYLLDGLKAIKVRSVSILEHAPQCTTWLNVPAMVIELGRITGNYWHDFTEVLVPLFIGARRFSGKVQLLIVNLLPFWLDKYKRDDDTVRCYPHVIVGYGNRKEFTIDPSLDATGGGYTMVDFTKFLRQAYSLPRGRPIKLSDDGPPSWGPRMMVLERTNSRKFINLHEIIAAAEAVGFEVTVANRPRASYDEFAREVNSFDVMGGVHGAGLTNCVYLPTGAVLLQIVPYGRLEDIARTDFGDPARDMGLRYIEYNVAADESSLMDVFGKDNPLIKDPVAVHMSGWGNLADWYLGKQDVRINVERFRPALK >KQL10215 pep chromosome:Setaria_italica_v2.0:IV:10964814:10975456:1 gene:SETIT_005728mg transcript:KQL10215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAAAAMAFVGCDELSVELAASFLRSGACVRCFVPDPEAADQSASAALAELSGLLRCASPAEAARDSELVIVLTDADGVDELFFGVEGIAEGLSQGAVVLIRSTLLPSQLEKLDQKLADEKKDVLLLDGYIFSGLSDELKQHIVVVASGRQDVAERARQFFNGLDKTIYFAEGEFCTSSKIRLVNDLLESIHFIASVEAMYLGVRAGIHPSIIYDIISNAAGSSRIFVELVPKLLTEDPLLIDFLNSSKKSASYVMDMAKAVTFPLPLLGVAYQQLIHGSSAVIGDGSASPLKVYKPTMARFEDLGGSTKGSPEEVAKDVEILIIMVANEFQADSVLYGNAGAVPVLSAGTSVILSSTVSPGFVIRLNKRLEAECRDIKLVDAPVSGGVKRAADGTLTIMASGTDEALHGTGAVLSALSEKLYIIKGGCGAASSVKMVNQLLAGVHIASAAEAMAFAARLNLRTRRVFEIMQHSRGYSWMFGNRVPHMLDNDYTPYSAVDIFVKDLGIVSCESSNSRIPVHVSNIAHQLFISGSASGWGRYDDAAVVKVYETLTGVKVEGKPPMLSKEDVLRSLPAEWPEDPMDDIVSITSCSSKKILVVLDDDPTGTQTVHDIEVLTEWPVEALVEQFLKLPTCFFILTNSRSMTADKVMLLVQTICRNLEAAAKNVPGVSYTVVLRGDSTLRGHFPEEVDAAVSVLGEMDAWIICPFFLQGGRYTINDIHYVADSDRLIPAGETEFAKDATFGYKSSNLRQWVEEKTRGRVSEKQLSTISIDLLRKQGPNAVCQQLCSLEKGSVCIVNAASEKDMAVFASGMIQAELKGKKFLCRTAASFVSARIGIKPKPPICPIDLGLKRALTGGLIVVGSYVPKTTKQVDELRSQCGSSLRVIEVSVEMVSMKSTEDRDQEISRVVELGNAYIQSRKDTLVVTSRQLITGKTPEESLEINCKVSSALVEIVKRIDSKPHYIIAKGGITSSDIATKALEARRAKVMGQALAGVPLWQLGPESRFPGVPYIVFPGNVGDNSALAKVVKNWASPSRSSTKELLLNAEKGGYAIGAFNVYNLEGVEAVVAAAEAENSPAILQIHPSALKQGGVPLVASCIAAAEQSSVPITVHYDHGTSKSDLLQALEMVCEENPPQI >KQL11862 pep chromosome:Setaria_italica_v2.0:IV:37239307:37242911:1 gene:SETIT_005745mg transcript:KQL11862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSRQIIVSGVIVLFLLLCVYDRAGACTAEEREALLSFLAELSPPPGDGIAASWRGSVDCCTWDGVSCGGDGAVARLWLPRRGLGGTISPSVANLTALTHLNLSGNSLDGVFPSALLSLPSAAVVDVSYNRLSGSLPDLSPSPPAGAAGRSLPLQVLDVSSNILAGQFPSVFWDNTPSLVSLNASNNSLEGSIPSLCVSCPLLAVLDLSVNAFGGGIPPGFANCSQLRVLNVGRNNLTGELPDDIFDVKPLQRLLLPSNKIQGTLDPERIAKLSNLVALDLGYNRFTGQLPESISQLPKLEELRLGKNNLTGTLPPALSNWTSLRCLDLRSNSFVGDLDDVDFSGLGNLTIFDMASNNFTGTMPPSIYSCKSLKALRVGNNQMGGQVAPEIGGLRQLQFLSLTINSFTNISGMFWNLRGCDNLTALLVSYNFYGEALPDAGWVGDHVRNLRLLVMKNCELTGQIPTWLSKLQDLNILNLAENRLTGPIPSWIGGFKKLYYVDLSGNQLSGEVPPSLTELPLLTSEQAMADFKPGHMPLTFTLTPNNGAASRQGRGYYQMSGVATTLNFSNNLLTGTIPREIGRLVTLQVLDLSRNNLSGGIPPELSNLARLQVLILRRNRLTGPIPPALNQLNFLAVFSVSYNDLEGAIPTGGQFDAFPPGSFRNNPKLCGPAIGVPCAGLIAAGKSSSSKLVSKRILVAIVLAVCFGVVALVFFIGCVVIAVRRARSKGSVGDGGKFAEASLFDSMTELDGDSKDTILFMSEDAGGGDTARRVTFLDILKATNNFSQASIIGTGGYGLVYLAELVDGTRLAVKRLNGDLCLVEREFRAEVEALSSAAAHHENLVPLRGFCIRGALRLLLYPYMANGSLHDWLHDRPGGGEQLRWCDRLRIARGASRGVLHIHEHCTPRIVHRDIKSSNILLDADHEARVADFGLARLILPGATHVTTELVGTPGYIPPEYGQEWVATRRGDVYSFGVVLLELLTGRRPVELLPARRQRWELVGWVAQMRAMGRREEVLDHRLRGNGDEAQMLYVLDLACLCVDAAPFSRPAIQEVVSWLENVDTIVKTSEDVKD >KQL11701 pep chromosome:Setaria_italica_v2.0:IV:36185701:36186156:-1 gene:SETIT_007760mg transcript:KQL11701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFECFLGDLSIFLSTHNSVHVDNILGPTAAASCRRSEFTLEGVAASSLIISDVLHVTVRSSLM >KQL11152 pep chromosome:Setaria_italica_v2.0:IV:31200596:31201829:-1 gene:SETIT_007894mg transcript:KQL11152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STASDVQRAKPQFLARPAHESSSLITTAPQNPKSPPAGERESHPTIHPSIHPATWAPSPPPIRTPPSSPTRTPRSSSPGHRGLVGSAILRRLLSLGFTSVVARTHAELDLTRQADVEAFFAAERPRYVVLAAAKVGGIHANSTFPADFIAANLQIQTNVVDAALRCGSVRKLLFLGSSCIYPKFAPQPITEGALLSGPLEPTNEWYAVAKIAGIKMCQAYRIQHGLDAVSAMPTNLYGPHDNFHPENSHVLPALIRRFHEAKACDAPEVVVWGSGSPLREFLHVDDLADAVIFLMDHYSGLEHVNVGSGSEVTIKELAELVKEVVGFQGKLVWDSSKPDGTPRKLMDSSKIQGMGWKPKIELKEGLVETYKWYVENVVSDKK >KQL12078 pep chromosome:Setaria_italica_v2.0:IV:38622796:38624118:1 gene:SETIT_008660mg transcript:KQL12078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAKCKEGNSATEPYIVAHHLILSHAAAVQRYRHKYQEVVCILCRQISQATLLDICVPFEIIF >KQL10711 pep chromosome:Setaria_italica_v2.0:IV:23928754:23933450:1 gene:SETIT_007971mg transcript:KQL10711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAVEGEAAGRASNGGGCGDGEGLPLLVEETVGRPLPGYEAPTGLSFSPDDRRIAFLFSPDGTLHRSVFIFDIADGRRELLFTPPDGGGLQEGNLSAEELLRRERAREHGLGVTYYEWSFGSDSSPDGIVVPLPSGVYFQDFRGSEPELKLQSAPTSPIIDPHLSPCGSMIAFVRDDELYTLDFFDGVIRQLTFGARESGKTHGHAEYIAQEEMERKMGFWWSPDSENLAFTEVDSSELPLYRIMHQGKDYVDPTAQEDHPYPFAGKANAKVRLGVVPSHGGEITWMDVLCGEQNKSNGSEEEYLARVNWMHNKALAVQVLNRTHTKLKLLKFNIATGKRELLLEEKHNVWVTVHDCFTPLYKGGNDKYPDCFIWASEKTGFKHFYLCGNDGTCLGPLTQGDWMVEQVVAVNEGTELVYFTGTLDGPLESHLYVTNLYPDQSLPLQTPQRLTHGTGWHSVILDHQLLRFIDVHESSKSPPVISLCSLFDGSVMIPIYQPVTDPKLMKLQQLSPEMVQIGTKDGTTLYANMYLPDEKQFGPPPYRTLISVYGGPSVQLVYDSWSNTVDMRAQYLRNKGILVWKLDNRGTARRGLQFEGQLKYNIGRVDAEDQRTGAEWLIKQGLSIRGRIGLYGWSYGGYLSAMCLARFPDIFCCAVAGAPVTAWDGYDTFYTEKYMGLPSENKDVYEFASIMHHVNNLKGKLLLVHGMVDENVHFRHTARLINSLIAARKPYDILIFPDARHLLRGKCNRLYMEENIREFLDRNL >KQL08984 pep chromosome:Setaria_italica_v2.0:IV:564575:564994:-1 gene:SETIT_008605mg transcript:KQL08984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPFEVTFDFEPLACDGSNYNSWSAHVLDIFRAMGSQIEQVVDVRICSPNLQYSKLTIEERKCSQLNSHATYILTRALSEEVYNVLDALMDKDDDRHISLDAHRVWIALKEMYIPGSLQEPIRQDELTSQTNYQESNQ >KQL10755 pep chromosome:Setaria_italica_v2.0:IV:24974128:24976493:-1 gene:SETIT_005996mg transcript:KQL10755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAHQQTAADGGAEWRVAVPAALHAEHENTKGSRRACCAPALSSLLFSWAAAPMHRTVGFAAAAWRWLLSLAAAARDRIFGVARTAWKIGADDPRKVAHGFKMALALTLCSVFYYVQPLYVFTGHNAMWAVLTVVVVFEYTVGGCLYKGLNRAMATVTGGALALGVQWIASKSGKEFEPFILSGSLFVFASSATYSRFIPTMKARFDYGVTIFILTYTLVAVGGYRVDEVAFMAQHRLTTIAIGAAICFAVCALIFPVWAGQELHDQVARNMDKLAAAVEGCVEDYFSEADASNGEIPVRRAPSERSQGYRAVLNAKASEDSLANLARWEPAHGDFRFRHPYPLYQKIGAAMRCCAYCIDALAAAAAGSEAQEPAHVKKHLAGACVALGRHCAAVLREASGSIASMTRSGRLALVVGDMNRAAEELRDELRCLAALLEEDDSSNADAEHEQSTDAPEPAPPLIEVLPLFTTASLLLEICTRAEGVVSAVDNLATTARFKKAGHDEGNTLDVEAAVPAAMSTTLTAEVPQETHAKVAVDNEKAETAIDLSSDQTPRDKVGELIKALTRRRSTKKWARGDTKVSPKPPLDFAVHAPSPRSSRSMELTGHAQVVPSPRHHHHRSAELAGHPLVAPSPRNRSVDFVNNGPVLPSPRNRSMDFANHGPVLPSPRHRSILGMA >KQL09760 pep chromosome:Setaria_italica_v2.0:IV:5764867:5768646:1 gene:SETIT_006003mg transcript:KQL09760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLEDITRVLAELAGRLSRPPAGGGAASAGDALSASISSLAATLNPNSGRGGASSGTRVLDAALSLMCFDPLEARRPSSSARVDCLVRTLLSALSASFWCRVVRPDGGAGEEMLCAGSSVSPGDCRELLQSCAALVHKLGDCDAGRHSYDLLYVAAKTAVLSPHYQCLFPLPYYKEVGESVYDMGAIAAELIDHTSNHVPPSDNSIPLRLLLWHLDPSIIKHDLSAMLQEIVRRPLLCLRKELHNRMEWRIIIICLVCSPTMFMEMRSLLHFWFLATGLGSVLELHSALVSSALDILLKPMSWGISIELGQKFPFSHAYFPIQHSDLLAILTGPLSCKALLTSFLTRCSSPKNSQLQPLKGLVKYNSAWYMIVNFPVWFSFATALLFHRAGSQDYLSETISKETVADSISDVSLAQRAAFYLSWMLANNILEISHSWARNNKKRPSYPSSTVNHRRKLQLPTAVDSETNSVSSLIKEFDDCCFKFCSTIASQVQAEKLSDFLPSCHNLLHLWIPLGVLLVSYSCVNEQNCDMLLRYASTGQALKSNEVQMKTNDHVSNDGFLSSCSGTADRWALGGSYLIFGWLDVVEDMSSLILDPEDRCQHFLSQLRTKTGPYLLKCVKFLFEMLDEADQDRDFVIDLHNRLLNWDKHGQGCEIFGDVILKMNKKFKLP >KQL12103 pep chromosome:Setaria_italica_v2.0:IV:38776801:38777009:-1 gene:SETIT_009053mg transcript:KQL12103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFTKILGATFNTTFIYFDYNMVNSIKLET >KQL10169 pep chromosome:Setaria_italica_v2.0:IV:10433685:10434638:-1 gene:SETIT_007440mg transcript:KQL10169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFFFGSPFRRRFFHARPFPAVEWSSSGAAAMDWVETPAAHVLRINVPGLGKDDVKVQVEEGNVLTVRGDPPAGKDKGDGEHGDGAVWHVAERGKTEFARAVALPEDVRVDRIRAGVENGVLTVVVPKEPATARPKPRPIAVHSKL >KQL08976 pep chromosome:Setaria_italica_v2.0:IV:522309:524531:-1 gene:SETIT_0070882mg transcript:KQL08976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRTVKVTNVSLSATVQDIKEFFSFSGDIEHVEMQSGDEWSQVAYVTFKDAQGAETALLLSGATIVDLSVIIAPAPEYQPPPTASAPPMSGTRVPVGADNNVVHKAEDVVSTMLAKGFVLGKDAVGKAKAFDEKHGFTSTAGAKVASIDKKIGLSEKITTGTSMVSEKVKEMDQKFQVSDKTKSAFAAAEQKVNSAGSAIMKNRYVFTGASWVTNAFNKVAKAATDVGTMTKEKMAAEEQHKGSGASSGGHSYTPIQ >KQL10978 pep chromosome:Setaria_italica_v2.0:IV:29100440:29103496:-1 gene:SETIT_008479mg transcript:KQL10978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELDTKGEPILLEGISSRFWNICGAIVRDKLQTWIMTSNWKNVPTTTKDVLWATLNERSTLNKEYIQKGKISRDDFGRIPPEMWEEFKQQKNTPEAKALSEENTTKTMKATRNPHHLGVVKFKHLTTDQIYEMLEQLTEVQKKGLFKSDREKDQLTSVIRIVEHSESVRGITLPWGKAFPNDEASYRKCDRYKKNLEEKMREITKQKFVEFLANQHIATVDVDPSSASSIANVRYPIDDIQVDTPCSNGSCVPKGTPDRIRLGAIVTVLDESCEIDIPTDGGIEPMLSHVQGATNEDEQPMLSPIREALNEDDGTSALQGDERVDDLEVIDPTSPSPASPPPQRPVVPRMVSTYDPKAPSTEVNKFLNVLKKKASSSGEKSITCSTSQQKEKDQNLNFFALDEVSIDYEHGKPFLYQWDLLKGPWELNKLHGWIMNAMKQGIRAITAHVPTKVFLCVLDYQIVIDFEDLHRLYHQEHLDVNLIFVWCLDEMHKVSVYIARVMRKKADNDYIMASYNFEDHWISIIILPKLGEAVVLNSANFSRDRYKDIIGMIQNTYKLYILKSGDHNPKINKAMKIIYHRFCHKQLPGSALYGYYVCEFIRNNGRYRTNPEDINKQIDNICIDMARFILREICHKD >KQL10584 pep chromosome:Setaria_italica_v2.0:IV:19300085:19301325:-1 gene:SETIT_008411mg transcript:KQL10584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLFLFALLASAAQRSAVDALIVDGLQVGFYGQSCPEAEATISDIVNNEIAMDRGISPGLIRLFFHDCFITGCDASILLDESPAGDVPEKESSANGFTLVGLRTIDIAKSTLESMCPRTVSCADILAFAARDAAVAAGLPSYDVAAGRRDGMRSNMDDLPGNFPVPGHHVPRLTELFSQRGLSQEDMVVLSGAHSIGGAHCFMFSNRIYGFSEEADVDPSLDPEFAARLRQVCPPRNPDDDPQQAPKVKFDSQTGEKLDSAYYSELLARRGLLTSDNALIEDPQTRAMVEAFAGDDAMWQQKFAEAMQRVGMLDVLIGEGKGQVRKQCRLVNGQEQQQQPQLPWFRHQQRLPWSRQRRPRRPFPHHPVADLINGFFRGFH >KQL09489 pep chromosome:Setaria_italica_v2.0:IV:3784435:3788637:-1 gene:SETIT_006842mg transcript:KQL09489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAGAGRGRVRIAVVGDVHNDWALEEDSKALQFLQPDLVLFTGDYGNENVELVKSISDLQLPKAAILGNHDCWHTYQFSEKKADRVRLQLGSLGEQHVGYKCLDFPTIKLSIVGGRPFSCGGDRLFRPKLLLKWLVLLLGSKITTGMTLVRTGVNDMAGSAKKIYDAATSAPEGHSVVLLAHNGPTGLGSRMDDICGRDWVPGGGDHGDPDLERAISDLQRETGVSIPLVVFGHMHKSLAYGRGLRKMIAFGANGTIYLNGAVVPRVRYAQASPSDEQNHPEGSASVAPTSRAFTIADLSEGRVEKISEVWVLVSGDRTELEEEIVLYKHPREHM >KQL10520 pep chromosome:Setaria_italica_v2.0:IV:17325261:17325757:-1 gene:SETIT_007759mg transcript:KQL10520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKSASWVSYTPAPASPSVHRQRPPSTWDANRCLHSFMRFGHRSFPPLFNLLMLHSRTPSTFP >KQL11193 pep chromosome:Setaria_italica_v2.0:IV:31511773:31513564:-1 gene:SETIT_008463mg transcript:KQL11193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEKVVVFRVREFDMERDLAAVEELERRCQVGLCGDAADGNDGGKRKTKKKKRGMSLSVEQVGDPLARVRHAPEHVMLVAEYGEEQEMVGIIKACVKTVARGGGGGKKPSSASSSPEKRQPPAYVKVACLLGLRVSPSHRRLGIATALVERAEEWCRARGAAHATMATTASNAASLALFTGRFGYAPFRRPEFLGRPVHAHRLAIPSGHRVFQLPPELAAAAYARLLPPEAAEFLPADMPALLAHKLTLGTFVAIESNPDPSLPPSFAVLSVWDSTRSMRLRVRGAPALLRASLAALRALDRGAPWMRVPSIPDIFRPFGAYLLYGLHMSGPAGPALLRSLCHHAHNVARKNPVCAVVAADVAPDDPAAAAVPRWRRFSCDEDVWCIKNLDNNADDDWAVPAPPGTVLFVDPREF >KQL09030 pep chromosome:Setaria_italica_v2.0:IV:763517:763904:-1 gene:SETIT_007783mg transcript:KQL09030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQPSLQATGRNDLQKAYMTTPQERATIPSRIIESQAGTTDVQDISVQMKITVFLFNN >KQL10491 pep chromosome:Setaria_italica_v2.0:IV:16500623:16504197:1 gene:SETIT_007111mg transcript:KQL10491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAFLAARLLRRAATASSPLSTLARRALHLGRAPVPLPRRLPAAASSPAAAARRFLASQSPASSSKADADENLRRVIESEIECVVQSEESLADKCIDLPDDFPFEVIDNPGDQSITLKREFAGETIKSAVYTNFDTEEDLDEDDGSDNDKESFKPAIQMVVTVEKSEGPILEFDCNFNDDELAIENMRVLNRDDLDVEHAYEGPPFSDLDESLQKALHRYLETRGIKHSLHDWLYEYMMKKDEKEYVVWLKNMKEFIGN >KQL11309 pep chromosome:Setaria_italica_v2.0:IV:32524946:32525182:1 gene:SETIT_008562mg transcript:KQL11309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAQKCVKSGSRAAGPAAACWCPSRPFISAVTEPCRCLGRLCVVDSRMCLVSWTEATSTVLQIVVYWAHVPRGYGIV >KQL09807 pep chromosome:Setaria_italica_v2.0:IV:6220927:6222399:-1 gene:SETIT_008542mg transcript:KQL09807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRTRSCARCLASLVLPVLFFSSLLCLYTRPPFIDIAVREEAAAARKRLVVGRAADAAEPNASVSVSVATDAGAHASDDVAAVAAEGGDGGVLADAPPASSDVNVTTDKVQVTGGIAAVATEGGDAKVVTNAPPASGDATAGAEVRTEEVSASDGAAAAGGGTSGEADTVVFDFRPYVFVYKSGRVHRFHGTDTVPPGFDALTGVASRDADVASAGGVRARLYLPPRSRRGEKKKKKKLPVLLYFHGGAFVIESAFSPLYHAFLNILVSKAGVVAVSVNYRLAPEHPLPAAYDDAWAALQWTVSNCLSGPETWLADHGDATRIFLAGDSAGGNIAHNLAVRAGAERPLPGGAAIAGVALLNPYFWGKDPVGSEPAERWARDGLEQTWALVCGGRFGIDDPHVNPLAAPPAAWRAMAGERVLVTIAGRDNFRDRAAAYAEGLGRSGWRGEVETYVTEGEAHAHFVGNPRSNKAQRETDKVAQFIAGGGRG >KQL10945 pep chromosome:Setaria_italica_v2.0:IV:28681690:28682194:-1 gene:SETIT_008920mg transcript:KQL10945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRGAVEAIVRRTEEIKAHVAAEKARMDAIGEKVRQAMVKTGGKFWWEADVDALGEAELPEFARALRRLRDNVQRHVDLLLASA >KQL09372 pep chromosome:Setaria_italica_v2.0:IV:2891154:2892627:-1 gene:SETIT_007252mg transcript:KQL09372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTPATACCNPSLLLAPRRASSSRGSPARAQALLCTPSTSAFRGLRGATSAAPAPRWRRAASSTAIVCGKVTKGSVPPNFTLKDQNGKPVSLNKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKYKKAGAEVIGISGDDPASHKAFAQKYRLPFTLLADEGNRVRKEWGVPGDLFGTLPGRQTYVLDKNGVVQYIYNNQFQPEKHIGETLKILQSL >KQL11111 pep chromosome:Setaria_italica_v2.0:IV:30848435:30851052:1 gene:SETIT_008258mg transcript:KQL11111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRLAHARARHRLIRPTSRAISTAPAPSPSPTTPPAAPPLSAADLELLLRRGHYSVSTHRFHSLLPLLSHPSPPGRTPHSPTPPQTPNQPQSPPPRDALSSPSSHIRLLLPSRLKGQPLPFPTLPLRLAMRCAASALDAVFAPRAATFAYRGRHAAIRYLRSIPSASWFFRVAIPRQRFGPRHMRRLLDAISGKVDDPGFLEYLNELVVSDAVAFELGGCELGRGLPQESELTATLVNIFFDPVDRELMAVREEVHKKNPRMKDDSILHTPVRVYAVRYLDEILVVTSGSKMLTIEIRDRIIAVLERDLEVKVDRLGSSIHSAVSEKIEFLGIEFQAVPPSVLHPPMSEKAKRARKKYLKMKAEKAQELKNARETRRKKLGLKILNHLFKRVRRGEEFEFDFRIENEARRVFKDWAEGAVAEYFKSQEHCQYWHRLLASGDFLSLTRVRDQLPPALVDSYDKFQETLDRFFMPMRDRDMAEEEERLAEEEEEEEYEKRTVEDLTELKMRANAPIELVRKAVKLAGFTNSMGRPRPIKLLLCLDDSDIIKWYAGVGRRWLDFFCCCRNFKMVKTVVSYHLRFSCFLTLSEKHECTKRQAISHFTKDLKVANDDGVAEVHFPTEREIKMMGDKNLSDPKPVDGALTMILVRLAIDDTSYPCLAHFCVKTDTVLYRIRLLQNRLNVDPLNENKWVHGLSAIHESLNKKCIPLCSMHASDLLLGKITLQDIDLSRSKSKLHIDILRSGTSSIRLQLCSKL >KQL10603 pep chromosome:Setaria_italica_v2.0:IV:19875781:19876200:1 gene:SETIT_008924mg transcript:KQL10603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRFDIDELICQQINLFFFLINNRFILFFSNKSYFAYSYIAIFYVLCSLFVYLATTHH >KQL11200 pep chromosome:Setaria_italica_v2.0:IV:31622106:31622336:1 gene:SETIT_008473mg transcript:KQL11200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYDGAKAKLSPLKCFTATHSILCDRYLANCVHWQVVTFLNFCR >KQL09867 pep chromosome:Setaria_italica_v2.0:IV:6811213:6811428:-1 gene:SETIT_0085361mg transcript:KQL09867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVCFRAQDKVEAAADEDGGGGGGGGVDGARVDGGGKGEEEAEMAPVEPLPEPPDDGGPVAWPMPDFCPLT >KQL11938 pep chromosome:Setaria_italica_v2.0:IV:37753878:37755806:1 gene:SETIT_006732mg transcript:KQL11938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVGGGSPEEQQAAGAGGDGPPAVCVTGSTGYVGSWLVRTLLRRGYRVHATARDTGKAWRVFAAVEGGDRLRVFRADMGEDGSFDAAVTGCVALFHVAASMELHVSPGHDNVDKCVRSNVLEPATRGTINVLQSCVHAGTVRRVVFTSSISTLTAVDTEGRRKAAVDESCLRDLGDVWRTKPVGWIYILSKRLTEEAAFRFARENGVHLVSVILPTVAGPFLTPSVPTSIQLLLSPITGDPKLYSLLASVHSRFGCVPLAHVQDACDAHVFLMESPLAEGRYLCAAGGHRMAEVARLLASRYPPFKPSERLSRDFDASSSSVVSSKRLTDLGFRFEHGVADIVKDCVAQCLDHGFLEHPDT >KQL10370 pep chromosome:Setaria_italica_v2.0:IV:13609837:13612154:-1 gene:SETIT_007314mg transcript:KQL10370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRALHLLTASRGISSTPHLASLGWFDKIKSTFTGKKPDAASEADSFTLIKFADSIETARKLGKLKNFVSGRASEATAVSAFEKHSSVLRYLGAIDPIGQKLQNSDKINASKHCNCTIADVEHILAKYTWAKEAQKKLVKLKEEGKPLPKTFNEIQNLVGSTPMDIGQSNLAKSGQISRNALCPCGSKKRYKRCCGAS >KQL11390 pep chromosome:Setaria_italica_v2.0:IV:33137862:33144071:1 gene:SETIT_005742mg transcript:KQL11390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKGMVAGSHNRNEFVTIHHDVDAPAATKPAKSVNGQVCQICGDTVGVSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRQKGSPRVHGDEEEEDVDDLDNEFNYKQGNGKGPEWQLHGQGDDADLSSSARHEPHHRIPRLTSGQQISGEIPDASPDRHSIRSPTSSYVDPSVPVPVRIVDPSKDLNSYGLNSVDWKERVESWRVKQDKNMMQVTNKYPEARGGDMEGTGSNGEDMQMVDDARLPLSRIVPISSNQLNLYRIVIILRLIILCFFFQYRVTHPVRDAYGLWLVSVICEVWFALSWLLDQFPKWHPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFESLSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKVPEEGWTMADGTAWPGNNTRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIVVKSCCGRRKNKNKSYMDSQSRIMKRTESSAPIFNMEDIEEGIEGYEDERSVLMSQRKLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWQSIYCMPPRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVLVINLVGMVAGISYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGRQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKAVALGQCGVNC >KQL10286 pep chromosome:Setaria_italica_v2.0:IV:12484936:12486348:1 gene:SETIT_008274mg transcript:KQL10286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKSSQQAAMAEEATPHILVVCYPGQGNIDPMLRLAKRIAAKGLLVTCCSSSIVRDKLAAASGVSAGGDGVPAGRGRVRFDFLDDHLDGIKLDLDDYLRQLETGGRHAEAGRPVACLIGNPFLPWVTDVAAEAGIPSAVLWVQSCAVFSIYYHFVHGLAEFPREDDYDARFALPGLPDLSVADVPSFLLPSHPYKIFGDIIQDQFRNMARASWVFVNSFADLERDVFAALPSVRPCPPQLISVGPLVEIGGQDDDAAVRGDLIEAADDCVAWLDAQAPRSVVYASLGSVVMLSAEAVAEMAHGFASTSRPFLWVVRPDTRPLLSEGFLDAAGSRGMIVPWSPQDRVLAHAAVACFLTHCGWNSTLETVAAGVPVVAFPQWGDQCTDAKFLVDELRMGVHLRAPLRREGVREAVDAVTTGPEADAVLANAKSWSRAAQAAVAPGGSSDLHVQAFVDEVWRRACGGQAEASAI >KQL11256 pep chromosome:Setaria_italica_v2.0:IV:32038268:32041480:-1 gene:SETIT_007306mg transcript:KQL11256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPEKLMNQIFDLKFTSKSLQRQARKCEKEEKEQKLKVKKAIEKGNMDGARIYAENAIRKRTEHMNYLRLASRLDAVVARLDTQAKMQVIGKSMQSIVKSLDSALATGNLQKMSETMDNFERQFVNMEVQAEFMEGAMAGSTSLSTPETEVNSLMQQVADDYGLEVSVGLPQAAAHAIPAAKDKEKVDEDDLSRRLAELKARG >KQL09515 pep chromosome:Setaria_italica_v2.0:IV:3976508:3976677:-1 gene:SETIT_007815mg transcript:KQL09515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMSLWSSAFSSTSAWCASASTSDGAPQVTAATILDS >KQL11415 pep chromosome:Setaria_italica_v2.0:IV:33426704:33431685:-1 gene:SETIT_007844mg transcript:KQL11415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGKFPAGMRVLAVDDDRVSLKILEKQLKHCNYNVTAVTHAKEALDMLRERKVGDQFELVISDVVMPDMDGFKLLELIGLEMDIPVIMLSANDETETVMKGINHGACDYLVKPVRLEQLRGVWTHVLRNSKTDPRNSTSGGNDDADQKLQSGDGDKGERDGANQNRRNSKKKKNDVDGADEGKENTSPQKRPRVQWPGDLHRKFVEAVNQIGMDRAVPKKILEVMNVDGLTRENVASHLQKYRIYLKKLSEGKLKNSSPFADGPKAWRCDSSASYFVGSPSSSNVFARMNSPSAFGAYTFLPTQSVQLMSSQRNFGIPRQDAEPVSHGVNVNLSDASQCFPNISNGAVFNTSKPSGPSGSSFTNISNGAEFNTRKPLSLGISGSSIGNTSSDIPTLSSSMHFPSHRSCSSYASILRGKILGASRGIPFEDIADGEMLAPSGHLPLQCPEFNNQPLVQIQSPSAGLFNQVAWEADAGQFAGLINCSNSWKAAALSRFPDLTHNVGMSNGPSQGNIIKMNQLSRLAASSGQIPTFGNEYHNQIAGIIGKTAPALSFSEQVAPLNFGSNTHYTAMPIGNSDLGSSSSTRPALPNLQIDNSVMPTEMLNGEDTSGNLPEGGTINQQPVGDQVINSNEFLMGTCEVQNGASGDLDDFLTDWVNEDFVNNGDAFSGGDWDFAP >KQL09791 pep chromosome:Setaria_italica_v2.0:IV:6063019:6064415:1 gene:SETIT_006683mg transcript:KQL09791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQEVMPLLTPFKMGRFELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLITEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGALFFCQMWHVGRVSTNDFQPDGQAPISSTDKQISPDAEPGMVYSKPRRLQVDEIPGIVDDFRRAARNAIEAGFDGVEIHGANGYLLEQFMKDGANDRDDEYGGSLENRCRFAVEVVDAVVREVGAQRVGIRLSPFLDYMDCVDSDPAALADYMVRQLNKHEGFLYCHMVEPRMAVVDGRRQIPHRLLPFRKAFNGMFIAAGGYDREEGNKVVTDGYTDLVAYGRLFLANPDLPKRFELGAPLNEYDRSTFYTQDPIVGYTDYPFLDEDSSDLTANDA >KQL09161 pep chromosome:Setaria_italica_v2.0:IV:1605811:1606801:1 gene:SETIT_008665mg transcript:KQL09161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSAPTTTLPCIVFDYGGGEQQRAATLFSVSDGAHRACEIDELRDKRSWPTPHGWVLSWDPETTATFLWNPPRAPAPAPADRIALPPPASSLRALSGRPTDAAAGGCTVLLVEPGESTVLWYCHAGGGAAAWTRHEYDLDSYSMPMPDCDAAWFYYHHSSTHCGVIDFPPAAGPPEFSTAAMEMIWPRVPEGDFMVAADMYIVEIDGELYTVSVFYHGIDFSTVADVGVFRMDFARQEHVRVESIGDRAILAGSGSHFGGWCPATEFGLLPNSVYSVDKRLHVFDIQLGTEEMLEPCKHIAAPSRKPFWIIPSHR >KQL10722 pep chromosome:Setaria_italica_v2.0:IV:24068308:24071649:-1 gene:SETIT_008500mg transcript:KQL10722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSAWKPPVSSTRTPSGGRRPSSISNDVEIDDAPDLTSTMSGGGSDYANYTVLMPPTPDNQPYSGGGGGGAPSSTSAGGTKPDDHPLPPYGPSASSKLVNRRGGADGDDGAGAASGKMDRRLSTARVPAPSKSLLVRSQTGDFDHNRWLFETKGTYGIGNAYWPQDSSGAYADDVGGGGPVKMEDLVDKPWKPLSRKVPIPPGILSPYRLLVLVRFISLFLFLIWRATNPNLDAMWLWGISIVCEFWFAFSWLLDQMPKLNPINRAVDLAALREKFESVTPSNPTGRSDLPGLDVFISTADPYKEPPLTTANSLLSILGTEYPVEKLFVYISDDGGALLTFEAMAEACEFAKVWVPFCRKHAIEPRNPDSYFNQKGDPTKGKKRPDFVKDRRWIKREYDEFKVRINGLADLIRRRANAMNARERKLARDKAASSDSGAAADVPTVKATWMADGTHWPGTWLDSAPDHAKGDHASIVQVMIKNPHYDVVHGDASSHPYLDFTGVDVRVPMFVYLSREKRPGYDHNKKAGAMNAMVRASAILSNGPFMLNFDCDHYIYNCTAIREAMCYMLDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCLFRRYAVYGFNPPRTAEYRGIYGQVKVPIDPHHHPPGPGGPAAEELRPLSEHPDHESPQRFGKSKMFIETIAVAEYQGRPLQDHPSVQNGRPPGALLMPRPPLDAATVAESVAVISCWYEDGTEWGLRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITRRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAFLASRRLKFLQRLSYLNVGIYPFTSLFLIMYCLLPALSLFSGQFIVATLDPTFLCYLLLITITLMLLCLLEVKWSGIGLEEWWRNEQFWVIGGTSAHLAAVLQGLLKVIAGIEISFTLTAKAAADDDDDPFAELYLVKWTSLFIPPLAVIGINIIALVVGVSRAVYAEIPQYSKLLGGGFFSFWVLAHYYPFAKGLMGRRGRTPTLVYVWAGLISITVSLLWITISPPDDRITQGGVDV >KQL10087 pep chromosome:Setaria_italica_v2.0:IV:9406123:9408624:-1 gene:SETIT_006874mg transcript:KQL10087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREQTTAMPCRDDDDDALLLCGEDAGELLERGGDSGRPCWAGDTTGFREQQLVPEECCYPEVSAVTWGAACSPVAGCPGRPRSDDDRPAGWAESVSWILKARSYHGFQPATAYLAVSYMDRFLSSSRLPNYGWAFQLLSVACLSLAAKMEEISVPPLLELQIESTRCIFEPRTVQRMELFVLVKLDWRLRSLTPFAFIDLFACKADSSGRCTRNLVLRACKITLDAIHEAEFLNHCPASMAAAAVLSAVTEIPGMPCISISPETAASWCTGLTEEEIRSCYQLLQQLVPMATTTRRKIPASELLRSTPSSVSSASPSKRRKINGRFGEE >KQL11837 pep chromosome:Setaria_italica_v2.0:IV:37091744:37096513:1 gene:SETIT_006057mg transcript:KQL11837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSESDGAGSVGAGEEEEQEKEEEEAEVGVSGGGGDGGAGGMFTFAIEGMLRASGPCGLVVTDALEPDCPIIYVNRGFEDATGYRAEEVLGRNCRFLQCRGPFAQRRHPLVDAAMVSNIRRCIDNGTEFRGDLLNFRKDGSPLMNRLHLTPIYGDDATITHYMGIQFFTNANVDLGPLPGSITKEPVRSTRFAPDNSFRPISTGPGESNFCREYSSIFQLTDEVLCQSILSRLSPRDIASVSSVCRRMYHLTRNEDLWRMVCQNAWGSETTQALETVPAARRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHINVSAAPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFMLDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDRPVWREVPASWKPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVYTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASNTV >KQL11836 pep chromosome:Setaria_italica_v2.0:IV:37091744:37096513:1 gene:SETIT_006057mg transcript:KQL11836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWDSESDGAGSVGAGEEEEQEKEEEEAEVGVSGGGGDGGAGGMFTFAIEGMLRASGPCGLVVTDALEPDCPIIYVNRGFEDATGYRAEEVLGRNWGPFAQRRHPLVDAAMVSNIRRCIDNGTEFRGDLLNFRKDGSPLMNRLHLTPIYGDDATITHYMGIQFFTNANVDLGPLPGSITKEPVRSTRFAPDNSFRPISTGPGESNFCREYSSIFQLTDEVLCQSILSRLSPRDIASVSSVCRRMYHLTRNEDLWRMVCQNAWGSETTQALETVPAARRLGWGRLARELTTLEAVAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNASNPEWRHINVSAAPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFMLDLDAKQPTWREIPGVAPPVPRSWHSSCTLDGTKLVVSGGCADSGVLLSDTYLLDVTMDRPVWREVPASWKPPSRLGHSMSVYGGRKILMFGGLAKSGPLRLRSSDVYTMDLSEEEPCWRCLTGSGMPGAGNPAGAGPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTEEKPTWRILNVPGRPPRFAWGHSTCVVGGTKAIVLGGQTGEEWMLTEIHELSLASNTV >KQL10762 pep chromosome:Setaria_italica_v2.0:IV:25210104:25211269:1 gene:SETIT_007581mg transcript:KQL10762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGVLVLGVGERRKQGSGGLLTLLVLDGALEKSRRTDRLQRYAASLLVLDLNGCVSSWFCSDRAIISRAKQTQASAEGPPEQSISFHMLQYVLGLKSPVYCMHFHLVI >KQL11456 pep chromosome:Setaria_italica_v2.0:IV:33907841:33909126:1 gene:SETIT_008204mg transcript:KQL11456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSAMYAAAALGRRNRTVTAAVAVLVVSLSLPLLFPFGAAAQELDEEGDFSYRREDGNGPTRWGAVRREWAACSVGRLQSPIGLSDTVAALVDSPGRLGRSYRPAAASLVNRGHDIMVRFNSNPGGVVIDGVAYRLRQMHWHSPSEHAVNGRRYALELHMLHQSEAGNRYAVVAQLYKVSRTRRDRTIRRLERYIRRIARRENHEELIDEVVDPRRPVSRSTVYYRYTGSFTTPPCTEGVTWVVAHQVRRVTRRQIRLLRNAVNDGARRNARPLQEANGRSVAFYYASPAHGRRATGD >KQL09197 pep chromosome:Setaria_italica_v2.0:IV:1819188:1823466:1 gene:SETIT_006086mg transcript:KQL09197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASSSSGGGGGDGDGDGADPMALVQGYTPEELAIAGEFLTTWLPFLSASLCPSCVSSLRGRVDSLLPRDEESPPTPTLRIDQIEPSGWDSDPAPQQHPPVEPTGWDSDPPPPPPAQQQPAPAPAEKPRMSWADMAQEDELAAAAEEDAAATAADDGEEGGEVGRPKVQLTREQREQRRFKNVVRKKDFICLERVNGRLVNILAGLELHSGVFSSAEQRRIVECVYDLQERGRRGELGDRTYTEPQKWMRGKGRVTIQFGCCYNYATDKNGNPPGIIRTIVSDPMPDLFKTMIKRLVRWQVLPTNCVPDSCIVNIYEPADCIPPHIDSHDFVRPFCTVSFLSECNILFGSNLRVSAPGEFTGSVAIPLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRKMDPAKRPFKFKDDPELLNLTPLGTVVQEAGRSSDEGKGKLPDVQITNLSKVSRGKRSKGRTSAGKIGSGILGEQPPGHEQAPAVEVLSLQSLHGQRPVSASSSERESYSGGRSREPRYQTNVLGMQPRVDNIREWPRRLAQDRRHGNGVSSSEDGAESGERRPRVEHRQISLINRTINDDIDSLSISSRESGDQPRASVRTLYNKPRRTRVSLDD >KQL11909 pep chromosome:Setaria_italica_v2.0:IV:37553640:37554493:1 gene:SETIT_009077mg transcript:KQL11909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQRQMHQQQQAASAPAVGFEDYLPVMAERLGEDGLMRELASGFRLLMDPSRGLITFDSLRRNAPLLGLGPMSDDDLRGMLAEGDFDGDGALSEMEFCVLMVRLSPELMDEPRRWLDDAVAQASQFLFTS >KQL12076 pep chromosome:Setaria_italica_v2.0:IV:38614674:38615206:1 gene:SETIT_007674mg transcript:KQL12076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLADGGLAAAVVHRAAVVPCCSCELLRAQASQGNVPWIPTMCSESVCSVKGSGESLDGGTRGCCFFLGSVDVPPHYRYRSSG >KQL09152 pep chromosome:Setaria_italica_v2.0:IV:1565250:1568185:-1 gene:SETIT_008821mg transcript:KQL09152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHSIAGAYTTIGSHFSSVPCGRAPAEHIGLRHLSALSSCSLHSPLFSHCSPVSSPSTKCAVHAIAIETLERNAASGQLLEKCVNTIRFLAIDAVENAKSGHAGMPMGCAALGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCVLQYALLYLAGYDSISEHELKQLGQWGSRTPGHPESFLTAGVEVTTGPLGQGIANAVGLALAEKHLAARFNKPGSEIVDHYTYVILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISVDGDTGIAFTEDVSARFEALGWHTIWVKNGNTGYDDIREAIKQAKAVTDRPTLIKVTTTIGFGSPNKANSYSAHGGPLGTKEVEATRHNLGWPYEPFFVPEDVKSHWSHHVPQGDALEADWNAKFAEYEKKYPEDAATLKIIISGELPADWVDVLPKYTQASPADATRNLSQQCLNALAKVLPGLLGGSADLAPSNMTLLKMFGDFQRDTPEERNVRFGVREHGMGAICNGIALHSPGLVPYCATYFAFSDYMRAAMRVSALSEAGVIHIMTHDSIGVGKNGPTHQPIEHLMSFRTMPNILVLRPADGNETAGAYKVAVLNRKRPSVLALSMQKLPHLPGTSVEGVEKGGYIISDNSTGNKPDLIMLSTGSELQIAVKAADELRKEGKAVRVVSLVCWELFEEQSDEYKESVLPESVTARISVEAGCTLGWQKYVGTKGKIIGIDRFGASAPGEKIFEEYGITVGSVITAARSL >KQL10162 pep chromosome:Setaria_italica_v2.0:IV:10385453:10391434:-1 gene:SETIT_006102mg transcript:KQL10162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGEDGNAAVPRASSRRRGPVRASLDADEFIALMHGSDPVRVELTRLENELRDKERELGEAQAEIRALRLSERAREKAVEELTDELEKMDEKLKLTESLLDSKNLEVKRINDEKKAAMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLSRQEISKLQDDNRALDRLTKQKEAALLEAERTVQIAMAKAAMVDDLQNKNQELIKQIEICHEENKILDKLHRQKIAEVEKLSQTVGDLEEALLQGGRNANVVRDYERRFQEMSEEKRTLDRELARAKVTANRVATVVANEWKDSNDKVMPVKQWLEERRFLQGEMQQLRDKLAVAERTARSEAQLKEKYQLRLKVLEDGLRGLPSGSNRPPTEGKSFSNGPSRRLSLGGADNMSKLSPNGLLSRRSPSFHSRSSLLSSSSLVLKHAKGTSKSFDGGTRSLDRGKVRVNGAHLLNRSTDAVRDKESTDNWKGNVDERTSESADSTADEKRNETTNNNLAETVSGFLYDMLQKEVISLRKSCYEKDQILKDKDENIEILEKKIDTLNKAMEVEAKKMRRQVAAMEKDVAAMRASKEQETRAKRLGTKSPGSSQLLPGRNAPRSGLMRNFQ >KQL10576 pep chromosome:Setaria_italica_v2.0:IV:18984474:18987700:1 gene:SETIT_005963mg transcript:KQL10576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNELSLMLDKVSSILPSIEAAQPGCKAGVEELCNLYNIVDKGKLVIQNCIECSSLYLVADIHNDLRDVKFIVDPVEGDAGKAILEMLRQSEVTRELELQTFQVAASKLNITSPKAILIERRAIKKLLAKINGTDPKKEGILKYLLYLVRKYGKNTKGETGEKNHTVNASTEIMSSDLVVNGISTQRCISTMESGNVRFDDQNNLLGAATPPPELCCPMSMKLMRDPVIIASGQTYERENIERWFNEGYDTCPRTQMKLRNFTVTPNACMKAVIYNWCKDHELDHTYLPEQFHSYYSVSSLHNVSAPLITEKNRDYMVDYSSSSFGLSAASCTSSPMREAEQSKASFDQFYSNANYQLYLSFCNFDKAMFLGFFHELSELPWELQSKAVKDLKTILNGENQIWQSMVCNGFLEAFHEFLKDDSGTCTLQARRAGIHFFLAFLSSGRDRIPSVCEDVVLLIALLLDSEFKREALLIVHELLQEQRCQKSSLMASIVAPLVFGALDSGDTKCLDLALKIICKISSDNDIKPDLVSSGIISKLSPLLSEGRMTESSLKILRNLSEVKEATEFIIRTDNCLSSISDHLDTGSHREQEHAVVILLAVCSDSAEVCSLAMKEGIIPALVDLSVNGTELARDCSIQLLQLLRDFRRCDQFNSSCSREVAADHVAENPPSDSICKQPISKSARYISRKLNVFTKPRSLTLA >KQL12069 pep chromosome:Setaria_italica_v2.0:IV:38578926:38582161:1 gene:SETIT_008645mg transcript:KQL12069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLLQLGALAVAAAAGTDRDALLAFKAAVTDPSGKLRSWNDTAHFCRWPGVTCAAGRVTSLDVSGHGLTGTLSPAVGDLERLEVLNLTDNGVSGRIPASLGRLQHLSYLSLCDNKFEGEIPDALRNCSALAVAFLNNNHLTGGVPGWLDSLRNLTVLWLGHNALSGRIPPSLGNITWIRALQFDQNLLEGGIPEALSRLPDLRVFTVYQNRLTGEIPPGFFNMSSLQEFSIANNDFHGQLPADAGARWPDLRYLFLGGNNLSGPIPASLAMASSLQALSLASNSFTGHVPPGIGRLTAMESLELSNNKLTASDAGGWEFLEGLTNSSGLVEIYLDGNNLGGAMPGSVARLSPELRTLSLGGNRISGVIPSGIGNLVGLQTLDLSSNLLTGIIPEGIGRLKNLQELRLQENKLTGPMPSSIGYLSQLLSLDLSSNSLNGSIPSSIGNLQRLTLINLSGNKLTGRVPRQLFLLPSLSWAMDLSDNRLDGRLPHEVGQLVQLAIMALSGNRFSGEVPAELGSCQSLDFLGLDRNLFTGSIPSSLSRLKGLRKLNLTSNELTGSIPPELSQMTGLQELYLSRNGLSGGIPAGLENVSSLIELDVSHNHLEGRVPTLGVFANTTGFKMTGNGALCGGAAPLRLPPCRRTKSTRVDHLILKIALPIVGFALCFAMLFALLRCRRMRRRSRIASDTTTRSMLNGNNYPRVSYAELAKATEDFSNGNLIGAGKYGSVYQGILPLKTKGSFELQDVVVAVKVFHLQQIGASKTFLSECEALRRVKHRNLISIVTCCSSIDAEGNDFRALVFDFMPNYSLDRWLHPSLLDVTEGRVLSIIQRFNIAVDIADALKYLHSCCEPPIIHCDLKPGNVLLGEDMTACIGDFGLAKLLLDPESHGFENTESTIGIRGTIGYVAPEYGTSGKVSTYGDVYSFGIMLLEIFVGKAPTSDAFRDGLTLPEFVGEAFPDKIERILDPALLLEEELFSGVVSSSSEESELCATVYDCLVSAIRVGLSCCRKTPCQRMAMSDAAAELCLIRDACARAYGQ >KQL09838 pep chromosome:Setaria_italica_v2.0:IV:6559272:6560783:-1 gene:SETIT_008078mg transcript:KQL09838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQGQAATSGPGDADDDIGRRTHAAAHFVFVPLMAQGHLIPAVDTALLLATHGAVCTIAGTRATAARVRPTVDSARQSGLTVWLVEFPLDYAEAGLPEGVDNGDNVPVEHMRSYLDAVALLRSPIESYLRAHAPYPTCVVSDFCHPWTTVLASNLGVPRLSFFSMCAFCLLCQHNVERFNAYEGVADDNEPVVVPGLEKTFLVTRAQAPGCFFRGSPAWEEFADYTERAQAEADGVIMNTFEEMEPEYVAGYAAAKKMKIWTVGPVSLYHQLGDGATLAARGNATAIDADECLRWLDGKEPDSVVYVSFGSIARADAKQAMELGLGLEASGHPFVWVLRNAHEYDEAVRSFLDELEARVAGRGLLIRGWAPQVLILSHVAVGGFVTHCGWNSTLEAVAAGLPVVTWPHFSDQFLNQKMAVEVLDIGVSVGVTEPLMYRKEVKEIGVGRGVVEEGVRSVMGGGVEAEERRRRARALAAKARAAVQEGGSSHGNLLDLASRFRAA >KQL10888 pep chromosome:Setaria_italica_v2.0:IV:27766233:27766820:1 gene:SETIT_008741mg transcript:KQL10888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPFPWVPTPGIIFPPPPPHPPPPPWSPPPSPTPKSAGGAIAGISIAVGIMLFLVSCICSLTRGQRQNGADAVADAATESAALGSRLRTAAPDQPRGAALPDGEPRRAGPAVDLPSFTYNRSVKHNVTGSGDEAATCSVCLAAFRVGETVRLLPVCLHLYHVECIDPWLDAHSSCPICRTGTDPAMDGGLLPPV >KQL09451 pep chromosome:Setaria_italica_v2.0:IV:3479429:3480853:1 gene:SETIT_006486mg transcript:KQL09451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVEVLTSEVAVPAEETPAGAIWLSNLDLAARRGYTPTVYFFRSNGEPGFFAAEVVKESLARALVAFYPLAGRLGVDATTGRVQIDCNGEGAVFVTARSGRYALDDLMSEFAPCREMRDLFVPPTPPPNPPCPLLFVQVTRLRCGSVVLGQAMHHSACDARGAAHFFETWASIARGDAAAAPVPPCFDHGLLAARPERAVTYDHPEYMPEPEPVDAAAASEYASAIITMTKAQVAALRARCPGASTFRAVVALVWRCACRARSLPHDAETRLYSMIDMRARLDPPLPPGYFGNAVVRTSVSATAAEVVSSPVGHVARRALAATSQGGDYARSLVDYLEGVDAMNLPRSGISRAHLRAISWVGMSLYKADFGWGAPAFMGPALMYYSGFVYVMNAAGKDGDLALVLSLEPESMPEFRKVFAEELARLDVV >KQL10720 pep chromosome:Setaria_italica_v2.0:IV:24055711:24056613:1 gene:SETIT_007477mg transcript:KQL10720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFLKPGKAVILLQGRFAGRKAVIVRVFEEGTRDRPYGHCLVAGLAKYPKKVIRKDSAKKTAKKSRVKCFIKLVNFTHIMPTRYTLDVDFKDVASGGPDALSTRDKKVAACKAAKARLEERFKTGKNRWFFTKLRF >KQL11773 pep chromosome:Setaria_italica_v2.0:IV:36699135:36702347:-1 gene:SETIT_006146mg transcript:KQL11773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTASVHKSWRKACGAIKDSATVGLAKVNGGNGRERKDLDVAVVKATTHVERPPKERHLAAIFAATSASRPLADVSYCVHALARRLAKTHNWVVALKTLIVIHRTLRDGDAAFREELLSYRRKGHALRMSNFKDDSSPLAWDCSAWVRTYALYLEERLECFRVLRYDIESERLRPAEGNPKGQSRTRTLGKDDLLEQLPALQQLLLRLVGCQPEGAAFGNYLIQYALALVLKESFKIYCAVNDGIINLVDAFFDMTKLDAIKAQDIYRRTGNLAKSLSDFYELCRGLELARNFQFPILREPPSSFLGTMEEYIREAPRTAPVPNETIEYQQLDFVPYQEEEQNPEPMFEAFEEPVAEEVPPEPEEEPRFADDCDEEEPETPTTADLLVILVSGLHEVNPAVAALEESNALALAIVPPGGSGNASAISFGEITAGSSGWELALVTAQPSSSSSSQLTESKLAGGFDKLLLDSLYEDAARRQQNTYGYGNGQGQSSQQQDDPFAMSVGVAPPTGVQMSVMAAQQQEAMFGMPRQLQPPYPYGAAAAASQLNNPFGDAYSAAALASRGAPFQGSLI >KQL12231 pep chromosome:Setaria_italica_v2.0:IV:39560355:39572468:1 gene:SETIT_008697mg transcript:KQL12231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAADLLAALSSPSSHAGLHSRFAAYLRPFTAHLPTSNPSPGGAIALRPLAKRFLPFLGRALLLLPPLVRASSGDAGGSVRCADELFEIYALLLDCLEAISPCLAGKPYSVLLQRGRFVCCLESRGCLWRAEEEAVAALDALRSALSPPAASTKSRSRRGGAASAASIILLPDPAGVAGEAATDPDVATLAVELTVCLANCASKGKVKEAATYERVLSLVEQLQPWLPILPENVSRKYLTLLLNAMSRCAFFLAAEPSVFGADDDLVHRFCVATLEECVKVQTIDRLLVVARKICSSLDLSWEGSTRLLLDLLKCTTDSVVCLKADLPKAANDFLVSSPIASVLLLYATGLYFSAQQMESDVHLCISEHFLNDKKYLEALNEALCTLAQFFYIINGGSIPLDGFGKESSLAHQGHSKKKHNDSQSRDNISLMAYLDSLEFVCKVLLQHTNAVWKNFSEGKAICYSGNLTYVLTALHQFIDSSLMAHSCAKMSSGDKERLHEHRGTLLNALVSAIKISFATSKAVEKSLSSTSRAISSSCLMFEDIKILISILGNIGVTLYNIRQFDEAPKVLELCCQTVWVHVRRSYCRLSEMGEGNGISEVLPKDTLKDIIVDAFTRIAKMVDVLHRCGAKVTREIVVKSLLLLVDGDMSEYLNSSLILIKLWVKITCKDFMDDQDVAHARSRKKDMARAPLLFDLLLAYPSPLPMKLLGLIVEQEELAFGSTEPRGTIFCAQMQTRIIDILLDEMYSPEEYFLERSRVLVRKAGVLRSSGMQNISSCLESLSEAISLLLVVLGSARSALDLWSEVESFVRSSPGMISQQESRTIVPLLCSLIDLLAIKGCFELQLGFCKQTIVICKQESLPVENIFSFLMFPTDLVLSQSYKHSNGKKFSFNLSVDEVDKVASSLVSEVASSGQPIFIAGCLYYDLSEKLLSGGHLSQAFSYGKEALNLRKKLLKKEFKLNLGRIGSGASQCCGEQGFVCLEACGSTVTEIWPDSTRSTSMKDSFLTPWNILRCYLESILQVALMHELSGNDAEAEVLVRTGKEISHFHKLPVFHIAFTSLLGQLYRKRQLWDEAESELKYARDFLAENDSFISCKLCRLTLKISLDMKDGDKKHSTGNFSGALVRYKAAMDKLNSTEFLAGTYDGHKPGGVLCNKDYIGQTWCEACKHGKEPLVAKDDVLPTCISCKGKSNCYLNVAQFLVLPSAARAMGAHNGEYGAHEIYNIYWQCISLLYFRSLPQGCYRTYGPHLIALMVEGNIGDYLSLERAEILHSMSLFVLKGFLPEQSRDVCCTFSSVGMSCVVPWLLKAFVLSRESPSLFQKGPLADSGDETDECVSKFPRLAGIEHIEKHVSDFFHKLPDVPTVCISMLGDDYVDVFGENLLEDDYVDILGENVAPSFFPAWMLISRFHSTNKPTAMLLPVGAILEEMQSEGSSIKDLGNRMSVSDKKWQCPWGYAITDHVAPTFRSILEENFMSLSSATLTINDGQANCVRWWAHRMKLNNYLDKLLKDMEELWLGPWKCLLLGHQPSNEHIEAASSSIVTCLEEFKLEVNPELIKAILGGAVSVDEVHECLYQLILYKGYVGRGQCCEKDRLRSFSSWQIDTKALETLKCLIENTVDGLLESADRGPVILILDINVQMLPWENLPVLRNQEIYRMPSMGNIFLALTRCNNHYKDGNVVAPRFPAIDPFNTFYLLNPNGDLSSTQKEFEQFFRNYKWKGNAGHNPTSEELVMALANYDLFLYFGHGSGAKYINLKEIEKLNNCASAVLMGCSSGALHCKGSYAPHGAPLSYLSGGSPAVVANLWDVSDKDIDRFSKALLHSWLREDSADDSNCLQCSQLTQEFESINIGVEGNDRRNVDTKRCSCRQRRVASNLSKARSACRLPHLIGASPVCYGVPTIIRR >KQL09037 pep chromosome:Setaria_italica_v2.0:IV:777841:782145:-1 gene:SETIT_006890mg transcript:KQL09037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNRTPLYRKYRDALRHVRSPVGAPSSSGGGGGGGGGGPVIEMASLLRSDRPYAPLSTDDPSGSSRGAVTVGLPPAWVDVSEEISANMQRAKMKMGELAKAHAKALMPSFGDGRDDQRAIEVLTHEITDLLKRSEKRLQKLSMKDSSEDSNVRKNVQRSLATDLQNLSMEFRKKQSSYLKQLRQQKEGQDGVDLEMSINGTKSTFEDDEFEDVGFTEVQMSKLKKSEAFTREREREIEQVVESVNELAQIMKDLSVLVIDQGTIIDRIDYNIQNVAASVEEGYKQLQKAERTQKKGGMVMCATVLVILIFIMIVLLILKKIIF >KQL12037 pep chromosome:Setaria_italica_v2.0:IV:38347720:38348073:1 gene:SETIT_008486mg transcript:KQL12037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVAFVVGATCRPGSFDQFWVWADRSMPGGNRFHMVGLAGICWAIWRSRNSVCFEKKLVRSPIEIICLASSFIFFWAELQQEGDREALEAGAEALKSVALSFHPQEAPTADTGVVLLQ >KQL09437 pep chromosome:Setaria_italica_v2.0:IV:3386755:3388531:-1 gene:SETIT_007337mg transcript:KQL09437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEGWYSATQESVAALQAARAAPGDLVVDAFAGCGGNSIQFAARGCYVVAVEIDPRKVELAAHNARVYGVEDRIEFVVGDFFRLAPSLKADLVFLSPPWGGPSYIQAPVYTLDMLKPKDGYATFQAAQKIAPNVIMFLPRTVDMSQVEELSWLSCPPLDFEIEESYVHHRLKGITAYFGRTARPPSDH >KQL08968 pep chromosome:Setaria_italica_v2.0:IV:464134:464633:-1 gene:SETIT_007452mg transcript:KQL08968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTQRAVADADAKVGGLLRSGAGHHDDCTVRALRHCRLDYAAVASSIPVCRAMAEDYEKPGAANKLAPSDYFECSRRLWRDTGKCLVRIVGDGEVWEPLSREVGEARLRTDLVEAMLEEMLGVVNRPSTVINSNASYESYISL >KQL09941 pep chromosome:Setaria_italica_v2.0:IV:7610826:7611943:1 gene:SETIT_008086mg transcript:KQL09941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCVEKGLKKGPWTPEEDHKLMNYIQKNGHGSWRALPELAGLNRCGKSCRLRWTNYLRPDIKRGKFSKDEEQTILQLHSILGNKWSAIAKNLPGRTDNEIKNFWNTHLRKKLIQMGIDPMTHRPRTDFFAALPQLIALANLHQLIEQQQWDGQTTMPQTEAVQAANHQYMQAMLQSAASIAPKPTTVSSLTTDLEQISLLNPQHMLSPTLLESTGGEDIARQVPHNQPITFFDQPVSNINLSSDNNVSSSEQCLVEGGSSSRKSMLLSENSLPPLTDMSASNPCNAISTLKCGASSTPTLSPSWSEILLDEELMREFE >KQL10092 pep chromosome:Setaria_italica_v2.0:IV:9477220:9484257:-1 gene:SETIT_005693mg transcript:KQL10092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAWGGTTQKCASCGRTVYPVEELAADGRVYHRPCFRCNHCKSTLQFSNYSSVEGVLYCKPHYDQILKSTGSLDKSFEGASRSAKPEKSNGHKGQQRSRFSSMFVGTQDKCIVCNKTVYPLEKVALDGSSYHKSCFRCTHGGCTLSPSNHITHEGKLYCKTHHSQLFMVKGNFSQFEDSSGNAKVATEKPPETEEATKNPGQSELTEKPSEAELTAEKSPEKDAKQLQSSTDAIKPSETITTTQTPAGSERAIESESKSTIVDNKPSENSVEKPLQNSVIDVKPSGSGAAIRKPWQRSLQTDKPLLSSTTTEKRSLSGAAIEKPSPNNGVDVKQSENSTVVKRSWQRSVATENPPESILPSDKPSVTSANDAKPSETSKVVKKPWQHIVAAEKQIQNSAQTEKSQNSVPTEKSSPTTEMKPLDNTTEVKSPWGRRVFNHKPLKSNIDTEKPSANSVVGVKPAETSTVTPVPQQHTENVEKPSDTSANDAKNGDDTKRTDDVKPSENTAAVVRKSWQRNIGSEKQPQSSAVDAKSTESSGTIKRLWQRNVATEKPSQSGTSVVAPSQSSVAVSKPFQSNTAAKRPWQRSMAPEKQKETDLSSNKPLQSKPLQIKTVVESPLESTIAVKETSQSIAPAEELQQVILATENILQISKDTKNNGDTSEKPPTSETAEAPSLPTSILQRDVSSEKPLETDIPTMTPSQVPELPKSSESVVKTEKLSPSDTAAEKIPQSIITEKVESVLKSPQSDTAHQKILERELVTENILESAMAVKKPHPSTLIANKPLIEDIAEEPVQTSEPSEQPLQNKEAVEKPYQSDDVAADMTGSEVTHEKTTEPESDATLNKPNKDPSEHEGLSSGKSSLDSQSNSNTEQPLVPQVVAEKAAAQITEAENDADAENSSESLSIAPAESPKEQPSEHQKDVAMEQPLEPQHEKDSENPLELVGAATAKESSEPESHITAEKPAESQNDADQLAEQSSEPQSNESTEKPEVHQSSTSSDKPSGLQSNAATDKLSEQPSEPESNASFKKPSEFQTDAVAMAPPEPQFDAAPDKVTDQLLKPHDDASVEKPPGTESNADSDIPSESSSATDTLPVDHPNNITTAEPLPGGISDQTSHQSNAPIETPSGSETVVGDSTHNEETTSKPSEESIAIEKPSEEEEVSVKPPEDGVTSEKSSEEDKADAEPSEDNASLEKQLEADEVSAKSSEDIVTPQKPLEVGETSVEPSENNASPGKPSEENEESAKPSEDVVTPEKPLEEGGASTEPSEDNASLEEPKEEDKVTHKPSEDDSVALEKPPEEGEASSEPSEDTAARGETKEKDEVIAKSSEASVALEKPLEESEAIAEPSEDNAAIGKPLEEDKANDEPSDDSVALGKLQEEGVDSVKPSEEDVAPEKSAADKPSEEVVDEKPSHDDAIVEPSSQDDTATEKPSATTDTAETA >KQL11835 pep chromosome:Setaria_italica_v2.0:IV:37089884:37090139:-1 gene:SETIT_006722mg transcript:KQL11835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAVFAPSSAAAPLLHHHHRGRCRHNYSCGLAIARSSSSCSSIRRRPTILSTSCGYGRAPLVPASDHWGNWTFLLSTARWAS >KQL11393 pep chromosome:Setaria_italica_v2.0:IV:33156756:33158789:-1 gene:SETIT_008722mg transcript:KQL11393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALALLRLALLITVLVQQARLLPAAAARMNLTAGGTLKPPGYITSPSGSFAFGFRAHDSDPTKFHLATWFRFSGDDDSRPQRQSVVWFAKESTMGVTPNATVRSVLSIPVDGQLTLADGSRELWRAPTTSMQRGSVLVLRDSGNVQFLSDGGNDVLWESFGYPTDTLLRGQSLKGFLFSKRADAETTATSSSACIDLFTGDILENTYWATGTNTPNGNTTITFDDQGSLSYTLYNGAVNTLISPVFARMEPDGIVRTYVPPKNGGENTSWTVPGTFPRCKACVARPGSYCVEAKERLRCLCPSGYTYIDAQHSDSGGTPAFEPQSCDGISIYKKFPAVTEQQCRDYCLSDCFCAAALMIGGSDCAKVGALAYGRQGRDVTTTALVKVRKGNTSHTERASSRMMRKIPRPYMIVVSCLASLLMITVGSLVALYNLTRNKVSQQALSSSVRAFTWKELYMATDGFKELLLHLIAVKRLVASNEYSEQEFTNEVQSIGQIHHRNLVRMIDYCKEDKHRMLVFEFMPGGSLRSFLFNPDVRPPWRWRAEAALAIPRGLEYLHDGCSAPVIHCDIKPDNILLDDLGVPKINSCCLALFLVLFLLV >KQL09913 pep chromosome:Setaria_italica_v2.0:IV:7436950:7443333:-1 gene:SETIT_005948mg transcript:KQL09913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVFYMISLPLTVGMVAATLRYFAGPAVPARVLAVVGYAWLCSLSFVVLVPTDIWMAISGNQKSDVGFFWSWSYWSTFILAWSIVPTLQGYEDSGDFTFKERLKTSIQKNMTYYATVGSTGLFGLILIIVMRHDWVRGILGFAMACSNTFGLVTGAFLLGFGLSEIPRNIWRNADWTLRLQFLSHKVAKMAKELDHAQQEYCSAIFVVQATSNQMSKRDPLRPCMDIIDNMLARMLQDDAAFKPSGGKLGENDMDYDTDNKTMATLRRQLRRAHEEYYRRKSDYMNCVMEALELEDTIRNYEQRDASGWKYLSSFRENRSGTLGSFLDSTEFFWRCLLRKQLVRVLSVILGCTSASILLAEATLLPSNVDLSLFSNLINAVGKHEVLVQVAAFVPLMYMCICTYYSLFRIGMMLFYSLTPGQTSSVSLLMICSMVARYAPPISYNFLNLIHLGGNAKTTFEKRMGNIDDVVPFFGRTFNKIYPLIMVVYTLLVAGNFFEHLMEFFGSLKRFKCWTDQEDMDGLDPSGMFILQKERNSMEQGRKVSEHATPLARNFSSIGKDVESGNEPLGEAEATAEMKSERTTQTKRSGKVAHKYSSVREDQFSSPKSVEQLHKEHSSASISINLEDGSSEEPASASIAPDSPAGTASRWASIRTGFQNFRASLGSRKFLRLSPSSSLGTNASATESLDEIFRKLKRSSSNADADHLDDDGLP >KQL10546 pep chromosome:Setaria_italica_v2.0:IV:17829122:17833674:-1 gene:SETIT_005850mg transcript:KQL10546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGTPPTHHCRELHCYSLRYPAGKQGEEEAGALMVKFSKQFEAQLVPEWKEAFVDYWQLKKDVKKLQAMAGDDVVVPAAVASPWLRQTPPTTAHWVMRLPFLQPHGHHREPAAIQACTSFICVHRKLVIDGSVDGAIAGEVYETGVADGAGFADAEAAKAFFHRLDQQLNKVNRFYERKEGEFMERGESLRRQLQILVELKAAITQQQQARRHGGSSKGSADMDDLSISCSIQLGDQSPRVIAEQEEDDQEKLTKDVTAKSTDEELGESGRLGRTNEEAARKLRTLSGRVVTCQGRNVRINIPVTTPSRTVTAIRELLFEDMLSQSKKTGTHGSDANEKLSINKRKLRQAEKMIRGALIELYKGLGYLRTYRSLNMMAFVKILKKFDKVTDKEVRQIYLKVVESSYFNSSDKAVRLMDDVEELFVRHFTEGDKRKAKMYLKPNQREESHSTTFFIGLFTGGFLALFIGYCIMARVTGMYTQQSNKVYMSTSYPVLSMFSLFFLHLFLYGCNIFMWRKTRINYTFIFEFAPTKELKYRDVFLICTSSMTIVVGVMFAHLTIIVKGNSSSAVQAIPGSLLLVFLSILVCPFNIIYRSSRYQFLRVIRNIILTPFYKVVMVDFFMADQLCSQVPVLRTLEYLACYYITGSYMTQDYGYCTRVKHFRDLAYAVSFLPYYWRAMQCARRWFDEGDINHIVNLGKYVSAMLAAGTKVAYENNNGAGWLSLVIIVSSIATIYQLYWDFVKDWGLLQFNSKNTWLRNDLILKQKYIYFLSMGLNLVLRLAWLQTVIHPNIGSLDSRVTLFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKVVPLPFHEVEDN >KQL10735 pep chromosome:Setaria_italica_v2.0:IV:24520054:24525955:-1 gene:SETIT_008506mg transcript:KQL10735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIGIVLIWSSQDQEGARRRSRRKLTEAVSQEPRREEKQSEREMRSPVSERSLIIESSDDEDTHSGAAVATSGRRRVVHDEEEKGAGSDSDSSSSSSSCATPRRGPCSSSPYAQQWPQSYRQSIDILSNVQSPNLSFLGTPSLSRLSNSFLAVTDSFRSKTPEIISNFVKPLLSPTTSDEQQQHEDTRKSSQYITPSRKPSLQQIPEDQKPLVAGHEVSPYRNCSYTQGVMNGINVLCGVGILSTPYAIKQGGWIGLVILALFALLAWYTGVLLRHCLDSKEGLETYPDIGHAAFGRTGRLVISACCIEYLILESDNLSKLFPTAHLTLGSLTLNSHVLFAILTTIIVMPTTWLRDLSCLSYISAGGVIASILVVICLLWVGVVDDVGFENKGTVLNLPGIPIALGLYGYCYSGHGVFPNIYLSLKNRNQFPSILFTCIGLSTVLYSGAAVMGYKMFGEATESQFTLNLPDNSVVSKVAVWTTLLPTNQQKYSNIIMLRSALVVSTLLIALSVPFFGLVMALVGSLFAMLVTYILPCACFLAILKTKVGWHQIAACSFIIVVGVCCACVGTYSSLSRIIQNYT >KQL10054 pep chromosome:Setaria_italica_v2.0:IV:8932421:8937801:-1 gene:SETIT_008384mg transcript:KQL10054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RWPLLAARSPFVSRDRAASSPAPTASGELGMAILLRGTSAAAAATAGPSSTLLATTFRRARGCGRLLPAAPRLRRAFAARASAQPLEVCAKESITVPGRLGDCPFTQRVLLTIEEKHLPYDLKLVDLANKPDWLFEMNPEGKVPIVKLEDKWIADSDVITQALEEKYPEPPLATPLDKASVGSKIFSTFIGFLKSKDPSDGTEQALLDELTSFDSYLKDNGPFINGGTISAADLSLGPKLYHMEIALGHYKNWSVPDSLSHVKQYMKSIFSMDSFVKTRALPEDVIAGWRPKVMG >KQL09824 pep chromosome:Setaria_italica_v2.0:IV:6362868:6369559:1 gene:SETIT_007932mg transcript:KQL09824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPTPSPTSAAPPPNPLAAASSFFHHHLSRLASHLTAPRPALAAAAATRAPGPQGASLSLALAPDEVARALTGTPVFTVCNSNNEFVLVSDPATGLRSLGLLCFRSEDANALLSHVRTRQPVLGRGAKVVPITLDQVYMLKAEGIAFRFLPDPLQIKNALELKSGLTAFDGVPVFQSDLLVVKKQKKRYCPIYFQKEDIERELTKASKSSRGSALSKQIMVGSLEDVLRKMEINDRNSGWDDLIFIPPGKSLNQHINEVRASVSSPFVSRIAGSGNASSSRNAGGSAHDRLLGGLLSPDFDAATCLSRYEASKRWKPSPFPVSPYLVQKLRQYEANHRRCGPGTANYREAMAQLMSGRNADSAECKYVVWLPVQGLGNRMLSVVSAFLYALLSGRVLLVHEPPEMQGLFCEPFPGTSWVLPPDFPYTDSFSADTKESYVNMLENNIVRYNGGGDARALPPYVYFHLEQISLRLQNHTFCEEDHRVLDRFHWMVLRSDSYFAVALFLMPMYRGELDRMFPAKESVFHHLGRYLLHPGNRAWGIVERFYDGYLIGADERLGIQVRLQPFLPMTFEIMYEQITRCAREHELLPQVTDTSEPGSRPSNGAAKVKAVLVVSLKPEYYDKLHNVYYTNATATGEVVTVFQPSHDQDQHTEALAHNERALAEIFLLSYSDRLVTTGFSTFGYAAHSLAGLRPWLLMLPDQTTMRAKVACVRSASVEPCLHSPPSLVCRAEQDLDPVAHVPFLRHCEDVYFGLKLVD >KQL10551 pep chromosome:Setaria_italica_v2.0:IV:18018986:18020884:-1 gene:SETIT_008655mg transcript:KQL10551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQPLMGVSMRKMKAKLQSDLKRDGVDVPDALGMGTAMMLMFLYPAIPNPPVSPAAPLASAVAARAPGDGVDRISRLPDPILKNIVSRLPAKDAARTATLASRWRGLWCSVPLALVDAHIVPRGVRTDRMAPGGDDITSMVGVLVASRVLEAHPGPFRCAHLTRGHMASHQPKIERWLKLLAAKGVQELVFINRPWPLDFPLPASLFGCAASLTRLHLGAWRFPSTARLPRATRFQNLQELVLSLIIIEDRDLQFLIDKSPVLEILTVITSQTGNRVRLVSRSLRCVQMTMSALADITVVDAPRLERLFLWMITCSPRSDMRSRIKIGHAPKLRMLGHWQPELELEIGNTIIKVGTKVSPSTMVPSVKILALELQFEVHSEVKMVPSFLRCFPNVETLHVFSLNAPSGTPDLKFWLEVGCIDCVQKHVKKFVFQEFRGKRSELAFLKFIAERAQVLEKMVVMVSSACFSSADGVTAKLKPLISAKWVSKDCKLIVFKSQSTDGASPAWVFRIASDFSCSDPFDLLTAYAELSNDASVLHPSSTL >KQL11277 pep chromosome:Setaria_italica_v2.0:IV:32243481:32246519:-1 gene:SETIT_008775mg transcript:KQL11277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDGGAEEEEQVMSEVHLGCPPHFCGLHVSRFSFSSRALGASEDDGGDGSCGGELIAAASGSGGSSDAVAVDEDGDLVLDRRRRRNKHVRSDYHLLTIQHGVTSSLKSVGLQVWKAALLLADFVLHKSFTSSYFDGVTAIEVGAGTGLVGLALARVARRIFVTDRGADILDNCLANVRINSGTLKFDEAKVCVRELDWKLSWPPPVSTCDPSDPSLRYLWSAHEIDEAEKATVLFAADVIYSDDLTDLFFDTVKKLMSSGAKKVLYLALEKRYNFSLDELDVVANGYAHFRGFFVTQDEHGDAIHGDKPGFVGKQIGLAEIPQYIREYERGNDLEIWEIMYSPEQKLR >KQL10823 pep chromosome:Setaria_italica_v2.0:IV:26409114:26411191:-1 gene:SETIT_008074mg transcript:KQL10823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDSTRWQQQSLHTEKPESAKGYNQQNEILATNAAKDGSLINSDVGAPRISKLTCNFSHRHSDYCRMEGDLRIHGRSGRVYVVSSSTFRPENSTITVRPYTRKWEPETMSRIREVEIRSSAPPPHSFVIPPKCTVRHDVPAVIFSTGGCGKNFFHAMSDLIVPLYTTAHEYNGHVQLLITDYNTEWVAKFKPILAALSIYPVIDFDADTAVRCFPSAHVGLESHRILGIDPALSRNGYTMMGFRDFLRSIFSLQRPWMTPVSRSSGKKPRLVFVLRRHSRAVTNEADAMAAVADIGFEVVAAGPEDVSDMARFAPVVNSCDVMVGVHGAGLTNMVFLPHNGTIVQIIPWGNLKYPCRFDFGDPVPDMGLRYEEYEVTAEETTLKDKYPRDHPVFADPLSIHRMGKIWNVFLEEQNVTLDIDRFRGAMQQLYQSITTE >KQL09889 pep chromosome:Setaria_italica_v2.0:IV:7122536:7125555:-1 gene:SETIT_008375mg transcript:KQL09889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQAISAFIDLIPCRSKRSSKRFHRPECRMSKHIWDLVERDSLVQDLPCKILSELPLKEVVRASVLSSRWRCVQKLNPKLRFDGTKMCSSKGICGSKQYTQEFIQNVNALNSKLVVHLDSWVRFVVASQAKNLAIDLVPVKFPGRNDRYLLPNELLDIRTASRLQHIQLGFVSIRLPSQFSGFPNLRKLDLDMINVTAKDIEEMLSSCSNLEWLCIVRCHLDDELKVDLPLPCLLYLCVAHCRITGIKFNAMKLQTFECRGGRYPLDLTQSLELKDARLDFIDSVTLDYALTTLPTVLPSVENLILRARAPLKMSATLFLASFFRAAPLMEKLELHFSHTCVSVQTLTQSPTFAKHSKTYLMSLPGFPHNHLKNLYITGFIACTGQLEFLQHAVENAPVLEVLTLDPALKFDEGMDYKGRAGFFSRVREISRRYLSGRVSPTTKLCIL >KQL09268 pep chromosome:Setaria_italica_v2.0:IV:2215040:2215498:-1 gene:SETIT_007776mg transcript:KQL09268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVWHINFNRKGGRTNGVLDYMMQQSYAIEFMCYKEFRTIQPLLHAKNIVENRLTNHYNMI >KQL09170 pep chromosome:Setaria_italica_v2.0:IV:1651023:1652213:1 gene:SETIT_007414mg transcript:KQL09170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASGSTQALAHRGGGGDPAAAEHPHCLHHLLESVAPLRVAAEGAGATAPEADRRLTRLLVNVTVDRSLWPVHLVLSADATVADLVRAAVAAYVREGRRPPIHQHPGGAADGGDAADGFELHFSKYSLESLRPEEKVLDLGSRNFFLCARRSAASA >KQL10366 pep chromosome:Setaria_italica_v2.0:IV:13590668:13596205:1 gene:SETIT_005760mg transcript:KQL10366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVVRVIEARGLPATDADGPRDPYARAQLGKQRAKTKVLRKTLSPAWDEEFAFRVGDLRDQLVVSVLHEDRYFPDDVLGQVKVPLTAVLDAENLTLGTQWYQLQPKSKKTKLKDCGEIQLSISLAQNYSEETVALAHWASDDLASNSDKSAELVKGSSLPNIPIELSAAVSESDEIQVIKEDKSNGGPSFVNKLYQIFKPKDAEAPAPPASNLDSSSNILEETPSTSSQSPERQDQEVSATMTFDELLKAFGSQHEGKEMPENLSGGVLLDQVYAVAPSALNAHLFSPSSDFLQSLAEIQGTTGLEIQQWRLENDGEILKRVVSYTKAPTKLVKAVKATEDMTYLKADGEMFAVLADVSTPDVPFGNNFRVEVLTCIMPGPQLPDDEQSSRLVVSWRLNFLQSTMMKSMIENGARQGLKDNYVQFSELLVRTFRPVDTKDTTDNNEVLSSVQPEQQSDWKLAFRIFGNFALLSSVFAFVYVSAHIILASPSIIQGLEFPGLDLPDSAGEVVVCGVLVLQGQRVLNMIGRFIQAKRQRGDHGVKAQGDGWLLTVALIEGTNLAATKSSGYSDPYVVFTCNGKTKASSIKFHTLEPQWNEIFEFDAMEDPPSVMEINVYDFDGPFDEVACLGHAEVNFLKYNISELADIWIPLKGKLAQACQSKLHLRIFLNNTRGTQVVKDYLDKMEKEVGKKIAVRSPHANLAFQKIFSLPAEEFLINDFTCHLKRKMLTQGRLFLSPRIFGFYTNLFGHKTKFFFLWEDIEDILVVPATLASMGSPSLVIILRKGRGLDAKHGAKQLDSEGRLKFHFQSFVSFNVAHKTIMALWKARSLTPEQKVQLVEEESETEDFQNEEGGSFLGIEDAKMSAVFSSTKPFDVSTLMSIFEGGPLEHRVMERVGCVDYSVTEWEPVRADVYQRQVHHKFDKKSERHEGEAMSTQQKSPLPNKNGWLVEEVMTLEGIPIGECFNLHIRYQLENNASKQKSCTVQVSIGIVWLKSCKNRKKITQDVASSASSRLKKIFSQLEKESIAAK >KQL08997 pep chromosome:Setaria_italica_v2.0:IV:630353:630820:1 gene:SETIT_008939mg transcript:KQL08997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSLGQAGADPGAAEPPDSIPRRIFCRGIGFHGRAATAAAK >KQL11312 pep chromosome:Setaria_italica_v2.0:IV:32529649:32534276:1 gene:SETIT_006425mg transcript:KQL11312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGDAGRAPMPADAQSLVESFCGITSATPAEAAFFLEGHNWALESAVQSFYDSADGDAGAEAGAADPAPPLPAPPADAGGADSEDEDYVAGGGGDEDEDDEDYVGDDGDGDDEDAALASAAAAAEERRRPSKRLKRSHDARGASGSGSRAGGRANGAGNVRTLSDLGGGKRGAGSDEDSGEDDEWAPPPEYYTGGEKSGMVVRDRSKRKNNADEVFKQAKTKGAKQGPFESRRRSSSRNFTGTGRLLTGETVQRDAPQPPEEIVHNIYFWSNGFTVNDGPLRSFDDPANASFLESIKNSDCPTELEPADGKSKVNVNLIRKEEEFTEPVKPAAPFQGERRTLAAPSDNNTSSAAASSTTAAPRTITVDDSLPSTSLQIRFADGSRLVARFNTSHTISDVRAFIDATRPEASEYMLQAGFPPKPLEDVTKTIEEAGVANSVIIQSV >KQL11270 pep chromosome:Setaria_italica_v2.0:IV:32122362:32124017:1 gene:SETIT_008556mg transcript:KQL11270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVVHLLLLPLLAIVPLVFLSRAVSRRRGGAGGSARLPPSPWALPVIGHLHHLAGALPHRAMRDLAARHGPLMLLRLGEVRVVVASSADAAREVMRTRDLDFATRSMSRTVRLAFPRGTEGIIFAPYGHGWRQIRKLCTVELLSARRVQSFRSVREEEAARLLRAVAAAAAPPTPARAVNLSELITVYAADSAVRTIIGSSFKDRDAFLKLLERMVKMVAKMSLPDLYPSSRLAMLVSRMPGQMKRQRQELVSFIDNIVREHEENKAAGNDGDKEDLLDVLLRIQREGDLQVPITTDNIKSVVGDMLGTGSDTVATVLQWIMAELMRNPRVMQKVQDEVRCILAGRHQVTEDNLRNLHYMNLVIKETLRLHPPAPLLFPRDCRSSCQVLGFSVPKDAMVLVNAWAISRDPKYWDMPEEFVPERFEDSKIDFKGTDFEYTPFGAGRRMCPGIAFAFVNMELILASLLYHFDWELPCGMEAADLDMTEELGVTVRRLQDLQLVPVVRAPLPVE >KQL09801 pep chromosome:Setaria_italica_v2.0:IV:6193995:6195203:-1 gene:SETIT_008610mg transcript:KQL09801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRMAPASPPTPPPAMDFRLDSAATSPYATAPSSPRGRLVRDEGTAGGLFLTAPPSPNPFDLLPPATPRLTGANPFDLFQHFTSAPASPRRAAAIYAHFAEGNGGDGEEDDDGDEEFQPRGSYATGGSSVPFDWEERPGTPKRGLGGGAAANEAAWDTDFEFGTIADKAAPAETLTTADELFEEGKIRPLKPRLKTAEEPKPPPGLLDGGSVASSPRSPMARGGMRSPRRRSRVGSGVDFDPFAAALLEATKAPSPLGGKEANGGVAPGSPPKKLATRPASRSTGWRRWRLSDLLLFRSSSDGAGANNKQEPIFKPAQQFDAPVKKAFAQPAMTIKAGGKGDDMGKANKHGNRSAAAAAESVAGCARLSPLQRLAKGLGSYSWHYGRGMAAPATKG >KQL09210 pep chromosome:Setaria_italica_v2.0:IV:1875157:1876416:1 gene:SETIT_007082mg transcript:KQL09210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQSLDLGLSLGLGLAALQPSFCYSGNAAVAAAAEREASPAAAEERERRCSPAGSPVSSGSGSGKRAAAERSAGAGSGDEDDDGAARKKLRLSKDQAAVLEECFKMHHTLTPKQKVALASSLGLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRWCEQLAEENRRLAKEVAELRALNAAAAAPAPAPPLTTLTMCLSCRRVASSSSNPTNVPAAHPHAHIGTANAAVASPAAPATTLPGHRQFFCGFRDAGAAAYGTSSGLAAKAVKAAR >KQL12068 pep chromosome:Setaria_italica_v2.0:IV:38568316:38573144:-1 gene:SETIT_007127mg transcript:KQL12068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSQPQPAMGVAAGGSQEYPAPAYPPAATIAAPSAVPPAGLQPGQPFPANPAQMSAQHQIVYQQAQQFHQQLQQQQQRQLQQFWAERLADIEQTTDFKNHTLPLARIKKIMKADEDVRMISAEAPVVFAKACEIFILELTLRSWMHTEENKRRTLQKNDIAAAITRTDIYDFLVDIVPRDEMKEEGVGLPRAGLPPMGAPADAYPYYYMPQQQVPGAGMVYGAQQGHPVTYLWQEPQEQQEQPPEDQQPLHGSG >KQL09535 pep chromosome:Setaria_italica_v2.0:IV:4085784:4088214:-1 gene:SETIT_008056mg transcript:KQL09535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAVLVAIAAAIGNMLQGWDNATIAGAVLYIKREFHLETQPALEGLVVATSLIGATIITTFSGPVSDNVGRRPMLIASSLLYFAGGLIMMWSPNVVVLLLARLVDGFGVGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSFGMFFSYCMIFYMTLAPSPSWRFMLGVLSLPSLAYLALTVLYLPESPRWLVTKGRMKEARAILQMLRGREDVSGEMALLVEGLGSGGDTVIEEYVLGPAASAADTAENETTGGGEQQQQVTLYGPEQGLSWVAQQVQQGSVLGSAVELASRQGSMYEQLKDPVVTLLGSVHEKMPDAGAGPGSSARGSTLFPNLGSMLSVAERPGDWDEENVPPNDDLDEEEEEEYLSDEEAGGGSSGALQAPLLSRQSTDVETGRKEDGMQRYSSMTGGGETASTMGIGGGWQLAWKWTEKVGPDGVKRGAVKRMYLHEDGGGGESGPGEYVHAAALVSRSMLYTKDVMIGQSPTPAFENPPETVASKAAAAGPRWRELLAPGVRRALFCGVMIQILQQFSGINGVLYYTPQILDQAGVSVLLASLGLSADSTSILISGLTTLLMLPSIGLAMRLMDVSGRRSLLLSTIPVLIASLLVLIVANVLPMATTLHAALSTGSVIVYFCCFVMGFGPIPNILCAEIFPTRVRGLCIAICSLTFWLGDIAVTYSLPVMLSSVGLAGVFGFYAVVCCLALIFVYIKVPETKGFPLEVIIEFFNIGAKALPGADQDQQQQQH >KQL09963 pep chromosome:Setaria_italica_v2.0:IV:7760910:7762682:-1 gene:SETIT_007626mg transcript:KQL09963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQISAKFSSTILPTLIYISLLQVVLHLIDRCLKYLLPILMLTFFFCYQEIQLGCEAHGFILQSLEKVIPKLFEYLFFYSGILGACINVLEPKRTDL >KQL11571 pep chromosome:Setaria_italica_v2.0:IV:34890595:34892728:1 gene:SETIT_007381mg transcript:KQL11571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLQDKASEWSGVAAADAFAIDDGNIFESLGGTPQPFVDLSTNFYTRVYEDEEEWFREIFAGSKKEDAIQNQYEFLIQRMGGPPLFSQRRGHPALIGRHRPFLVTHRAAERWLHHMQQALDTTESIDADSKTKMMNFFRHTAYFLVAGNEMTRQHSQGVACKHAASKPAE >KQL09695 pep chromosome:Setaria_italica_v2.0:IV:5319570:5324241:-1 gene:SETIT_006021mg transcript:KQL09695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAPPLHLSLHPPYTLPPSRRRASRSPCVASRPIAPRPASLLRGVRRPLRAAQGEEAVSEGAAWPDGSEEELRRLLELLPGELRRRVETHPELPALVEVVMDLGRPPLARFPSGDFLLSHRPISFDDLQHATSQVGDFGADNRAGISRTLHRISAIRNRKGVIVGLTCRVGRAVPGSANLLQDLVKDGGSLLLIGPPGVGKTTVIREIARMLADDYKKRVMIVDTSNEIGGDGDIPHPGIGNARRLQVPNQDMQHKVLIEAVENHMPQAIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLIMNPSLEMLVGGIQSVTLGDEEANRRGVQKTVLERKGPSTFTCAAEIVSKTELRVHRSLEATVDALLAGKPPNVEIRKLGPKGLVQEVSVQKEQSHIGLYEDATQFDGNSLRNARRSLDSAFNLDSAEGHIEKSDEAESSLNLYAYGISESTALQAIKQLELEDVVALTYNISEADAVIALHSKLKKNSQIQAVVKSQDIPVFFVKTNSLSQITRALRALVDDHMDELIDYEDKEEARSSEETDALEEARLAIEQVVIPKGESVQLLPRPPSIIASQVDLVESFSLEWEVVGQDLNSHLRILPHFTAKEATGAEQGTAAGLADSGSTDDDTDDHTHQNGITRLPFLPD >KQL11839 pep chromosome:Setaria_italica_v2.0:IV:37103656:37104496:1 gene:SETIT_007606mg transcript:KQL11839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVTGTAVKSFEFYHVSVTMTSTITIHHGSTGCPRHDSPGSSSSPAPTWPSERRGNRKEWRLFLTGANVSPPIRLSSAEAGERRTGETKLIVRPILIPVSQ >KQL10209 pep chromosome:Setaria_italica_v2.0:IV:10909731:10910180:1 gene:SETIT_008172mg transcript:KQL10209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAGDAIFLLVEGVLIVSFFVSCICSACLRRQQRANEQGHPRAPVVAAPPPPLPRYQGDERLSAPVVLAHFPYAARARAASEPPPVCAICLDELRQGQLCSEVPACRHIFHEGCIRVWAKKKNSCPLCRARVVLPRAAYGVASADDMV >KQL10254 pep chromosome:Setaria_italica_v2.0:IV:11958590:11960246:1 gene:SETIT_008785mg transcript:KQL10254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSRVTYKVGPCIFLPEQALPLMHPLSVMPEFSMLACIPSYSKGVPKLPTFPHGRGPDEFRGRVLHSMEYSAMAHEDATELVRGKRVAVVGAGKSAMDTVAQCAEANGSRYPCTMVYRSAHWMVDPKVARRAKNFRFTSTRWSELMVHKPGEGFALSLLATILTPLGWATSKVTEAYYKWSIPMREHGMVPDCGFGEASLGWRLGILPEGFYDRVDEGSVELRRCGSVGFCADGLVLHDGAGERVVGADVVILCTGFDIDRPLRDVFASPWFSEIIADDDAAVLPLYRHILHPRIPQMAMVGYAESGSSIHPYEMMAKWVAHLLDGAVRLPGVRDMERGAAEWARWGRGSRWRCGGFFLKSCVASVVTWYHDQLCRDMGHCPRRKSGIIAEWLQPYGPTDYAGI >KQL11658 pep chromosome:Setaria_italica_v2.0:IV:35649257:35649586:1 gene:SETIT_007722mg transcript:KQL11658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTSFFMQHKYTMSCNITEMPSATSRKTTMEHQMLADETSQMTSCNITNYTPRHGKLPTATCIQQVRTGAV >KQL08897 pep chromosome:Setaria_italica_v2.0:IV:182074:184385:1 gene:SETIT_006463mg transcript:KQL08897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFQLLVLVALLIGELHCARTAPPTAADAPATAPQSPGPPPADQQQTPPQAPGPTPPPPRRRRSPHRRPPGQAPPKQDPAPPKQGAEPAPPRLVVPPQDSPAPPPPSMINRTTGCTTLLVLGDSTVDPGNNNHLPTTARANFLPYGLNFYGRRPTGRFTNGRLATDMLAEKLGIARTIPGFFDTNLRLAQLRRGVSFASGGSGYDDSTANRINVVPFSAQLHNLFRYKLLIRTLLGPRRAERLVNRATFVISAGTNDLLSVYLASNRSNAISMDMYENHLIARVANHTQAMIMLGGRRFVFVGLPPMGCMPIARTLVGTGSDRCDETLNQLATSFNSKLVQLLNFINYQRQIRTSYIDTYTTIHDATVDPKTFGLTEVSRGCCGSGVIEVGQTCRGRRTCGDPSRYLYWDAVHPTETTNQLIANVMMDSIRELYS >KQL09899 pep chromosome:Setaria_italica_v2.0:IV:7227711:7228198:1 gene:SETIT_007736mg transcript:KQL09899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPSSPLAPPSSPAWVRSLDAQRWCGCCRRLILHWRLKYLQIQPRRTRLPGASAGGCRSAGPQLKLGG >KQL10294 pep chromosome:Setaria_italica_v2.0:IV:12522875:12524339:-1 gene:SETIT_007366mg transcript:KQL10294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRDRDPLVVGRVVGDVLDPFTQTTKLKVSFGARTIANGCELKPSMVSHQPRVEVGGPDMRTFYTLVMIDPDAPSPNDPNLREYLHWLVTDIPGTTGATFGQEVMCYENPRPTMGMHRFVFVLFQQLGRQVVYAPGWRHNFNTRDFAELYNLGPPVAAVYFNCQREAGSDDSTPH >KQL11262 pep chromosome:Setaria_italica_v2.0:IV:32062437:32065419:1 gene:SETIT_007072mg transcript:KQL11262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVVCGKRSSSIFADELLPPSPPSPHSSHHHLHHPAAKRSRRSPPHRGRREALLLQLIPLFPDMDPQLLEKALEASGDDLDSAIKSLNELRLESAGFKSENGQPTVIQPSVEGIPNGAVDTATEHPPAVDNYQTSNNGSEWVELFVREMTNASDIDDARARASRALEALEKSIVERAGAEASQNLHKENMMLKEQLTVVLRENAVLKRAVAIQHERQKEFDERSHEVQSLKQLVLQYQEQVRTLEINNYALTMHLKQAQQNNTMPGRFNPDVF >KQL12359 pep chromosome:Setaria_italica_v2.0:IV:40153608:40158222:-1 gene:SETIT_005967mg transcript:KQL12359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRDLGILLLAAFAVFFSLHHEGDFSFRESWYHLADEDFPIKYEADRLPPPLIADLNGDGKPEVLLPTHDAKIQVLQPPHARHLNDDTSFHEARLMADISLLPDNVRVASGRRPIAMAVGNVDRSYRAGDVRKQVLVVVTSGWSVLCFDHNLKKLWEQNLQDDFPHGAHHREVAISITNYTLKHGDAGLVIVGGRMEMQHHSADLFDEFMMPEDHRRSTDEKQGSETGTADLRHFALYAFAGRTGERRWSRKNENIQSQPSDASVMIPQHNYKLDVHALNSRQPGQFECREFRESILGVMPHHWDRREDTTLQLAHFRRHKRKQVKKTQGKAAINSVNKPIEHNPPGKDASNRIARVLGKAADIASSNKVRKTQRTMYIPTITNHTQVWWVPNVIVAHEKEGIEAVHLASGRTICKLHLTEGGLHADINGDGVLDHVQVVGGNGIKEQTVVSGSMEVLKPCWAVATSGVPVREQLFNVSICHYNHFNLFHHGDFSRSFGRKFDTTGLEVATPILVQTDDGHKHRRGSHGDIVFLTSQGEVTSYSPGLLGHDAVWRWQVSTGATWSNLPSPSGMMENIVVPTLKAFSLRSYDRKEVIIAGGDQEAVVLSPSGGILAIIELPAPPTHALIMEDFSGDGLTDMVVVTSGGVYGFVQIRQPGALFFSTLVGCLIVVIGVIFVSLHLNSSSSGKPRASSAEYR >KQL09986 pep chromosome:Setaria_italica_v2.0:IV:7967340:7968779:-1 gene:SETIT_008498mg transcript:KQL09986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSVEQILVASILPPNFDIDHVDWSNITQEELDCMQLNACDIIFGIKEVRNDARVIWALLMEIYAKPECDDVEQAEEKSLEECSTEPVRPVGLTGQTSSRRGTGPMCNMGVCQDSCQASSLPESTPSNDEVDLCLMAKKKKKKKKAEKGKSQKIEVSNYACGTKSLPCVASLEKGNEVLAAQLEKLTSEHMALQATHKELESSQSSIEHVFIQSCDDLITQENDELMQEVERLKKELSELKGKSQVQPSQDNREIMVTKVEKGSTITYTAPQQQLKISKSKIQDKNKFEHVKCFNYSKIGNFASRCANKLKGKETLSKRQKSLAKKKVCYGCKKKGHIVATYPSATSEGGSDLERLDWF >KQL11063 pep chromosome:Setaria_italica_v2.0:IV:30430846:30435723:1 gene:SETIT_006368mg transcript:KQL11063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACFSQEEHRLQSRPAEAAGPDGLRKSKSDSKAIASVLAPPKDVVDLQVEGYGNVNIFTYNELRAATKNFRPDQILGEGGFGVVYKGVIDENVRVGFPSRQVAVKELNPEGFQETRNGLAEVNYLGQLSHPNLVELIGYCCEGSHRLLVYEYMACGSLEKHLFRRVCLNMPWSTRMKIALGAARGLEYLHGAERSIIYRDFKTSNILLDADYNAKLSDFGLARTGPSGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMIIGRRAVDKSRPTREHNLVDWARPLLVHNRKLFRIIDPRMEGQYSTKAAIEVASLAYRCLSQNPKGRPTMSQVVETFEAVQNMPECQDILLQNSITGAVTLYEVPKEPAESVEKEKAKQEPAVKTAAVPPVNGKPVPQSRRTRPGNGRSKSEPSLECKMYIPSPDSDGQQLGLETLASPSRNGSMQDPPDEDLYKI >KQL11685 pep chromosome:Setaria_italica_v2.0:IV:36088237:36091754:-1 gene:SETIT_007708mg transcript:KQL11685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAISSPVPVEWYPSLAALMVSVGLMLTASFFIYEATSSRRSRSLAKEITTAAMASVFLGFGSLFVLLASGVYV >KQL10512 pep chromosome:Setaria_italica_v2.0:IV:17115965:17118898:-1 gene:SETIT_007021mg transcript:KQL10512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVNFIAVHYMWATSEIPANVRATSETATNVRAKSTSKKKRATYERVEVHEEDGICGHQCKSVVTHHAILNDHAFEIVKKDKSRFRAICKRAEQGCNWKFYASTSKNYIGCKYFQIVDMHDRIRQMIIEKFVLRDKIARKMSGIIIPSITNALNAKSKTIKDHEVLICGVGTVVVTVNRFRHVVNLEQKTCSCRAWQVTGKPYSHTLAFIAKLSRHVQMDAFVHEYFSVERFRKAYASTFNPMTSKDSWPRVDLGYKIKKPKLRRKPGRPRKSRIKVYDEASTSKRKRPCS >KQL10474 pep chromosome:Setaria_italica_v2.0:IV:15868629:15872978:-1 gene:SETIT_008435mg transcript:KQL10474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STANPEKISRSQFPKGFLFGTASSAYQYEGAVKEGGRGPSIWDTYTHTHPEKIADGSNGDIAINSYHRYQEDVKIMKDIGFNAYRFSISWTRILPNGKLSGGVNMEGIKYYNNLINKLISEGVEPFVTLFHWDSPQALEQQYGGFLSQHIVEDFRDYANICFREFGDRVKNWITFNEPWSFSIAGYASGILAPGRCSSWENSGCSMGDSGREPYTVSHNQLLAHAAAVQVYRDKYQVKQKGKIGITLVSNWMVPYSNSKQDKDAAKRALEFMYGWFMDPLTKGDYPPSMRTLVGNRLPRFTKEQSKAINGSFDFIGLNYYTARYTQNTKYSNNGNKSYNTDSLTNQTVERHGTAIGPKAGSPWLYIYPKGIEELLLYTKKTYNNPTIYITENGVDEINNENLPLQEALVDNTRIEFYRQHLFHIQRALKEGVDVRGYFAWSLFDNFEWMDGYTVRFGINYIDYKDGLKRYPKHSSKWFQHFLHK >KQL09016 pep chromosome:Setaria_italica_v2.0:IV:727524:728365:1 gene:SETIT_007248mg transcript:KQL09016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSIRIENAHPCVNKSTENRGTGYRYSAFTERGDTVYSIIRKLICYRPTAIVRGESLHGRRRRRAWWGCSLGAAVGAGHVDGRPLDVLHVLAHEHRLVQHRRPSRLLVRAAVAVAGGLLGGDKDPRLLVGHPGVGGLLGAHAAQRVGRLVGEQRRGPVPLHHLHVLAVQRRLHLRRGDRRRRRRVVTVAGALVLPALLGAAVRQTPSSSAPAKDQRRHE >KQL09787 pep chromosome:Setaria_italica_v2.0:IV:6035531:6036889:1 gene:SETIT_008589mg transcript:KQL09787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHAHAALVRAVTACAGCREAQALHSLAWKLGLASDVVLATALLTRYARRGLLAPAQWLFDEMPRRDVVAFNAMLAALGAAGRVADARELFDRMLERTPASWNTMVTCYCRAGDLGSARLVFEASLRAGRSSVVSWNAMIDGYCKAGRMDAARDLFDRMGSSLPDVVTWNTVMAGYLHRGDPATAIAIFHRLMHVYQQQQRQEEHKLKPTTVTMATAVTACTQVGDFALGRRIHLQIRHQGTRTDAVLSNALMDMYFKCGSVDGALDVFRTMPCRPNLFCWNTVIAGLGMNGRGEDAVAAFHDMVEGRPNGDNVKPDGVTFVAVLSACSHSGLVPAGRKLFTDMLPVHGVAPRTEHYGCMADLLCRAGHADEAARLVRTMPGRPNAKVLGSLLLDARAPERQEDGVRLSEWAARRISELDVGDGAAYGLSNVYASLQRWERVEEHRREVSAA >KQL11303 pep chromosome:Setaria_italica_v2.0:IV:32491984:32493198:-1 gene:SETIT_007882mg transcript:KQL11303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGRVVELARQAAAAARADGRAAREAAVQPATRYRGVQMRGRRYAATIWNPFLKKAIRLGSYGTAVEAAYAYDAAARSVLGRWARPNFPELSAAPAAREVIAADLARARRAAEPARQQQQAPRRQAPLIRCWVPAPAGAAAQHQERVAPPVFAFRREAAPQYMRLHYRPGSAATGGGLYAVAFVPGVPDLNDPVPDTSSSELSSTESGSAPAAPNRRELPHLPALAVEPDQSMEYVTTPSGGTPTTPARLIAISIATTACYPTPWIFL >KQL09793 pep chromosome:Setaria_italica_v2.0:IV:6126517:6128044:1 gene:SETIT_006681mg transcript:KQL09793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQEVMPLLTPFKMGRFELSHRVVLAPLTRCRSYGNVPQPHAAVYYSQRATRGGLLITEATGVSATAQGYPETPGIWTQEQVEAWKPIVDAVHRKGALFFCQMWHVGRVSTNDFQPDGQAPISSTDKQISPDAEPGMVYSKPRRLQVDEIPGIVDDFRRAARNAIEAGFDGVEIHGANGYLLEQFMKDGANDRDDEYGGSLENRCRFAVEVVDAVVREVGAQRVGIRLSPFLDYMDCVDSDPAALADYMVRQLNKHEGFLYCHMVEPRMAVVDGRRQIPHRLLPFRKAFNGMFIAAGGYDREEGNKVVTDGYTDLVAYGRLFLANPDLPKRFELGAPLNEYDRSTFYTQDPIVGYTDYPFLDEDSSDLTANDA >KQL09885 pep chromosome:Setaria_italica_v2.0:IV:7065775:7067060:-1 gene:SETIT_006922mg transcript:KQL09885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSGHGGGGRRLFTASQWQELEHQALIYKYMASGAPVPHDLVLPLRLATGVDTAPSLAFPPQTSPTLGYWGCYGAGAPFGRKAEDPEPGRCRRTDGKKWRCSREAHGESKYCEKHIHRGKSRSRKPVEAVASSAATSPAAGAYRPSALSISPPRAPDAAPTTFGHQQQHHLHYGASSGRAPAGPLQLHLDAASLHAASPPPSYHRYSHAHAHAHYATPPSLFPGSYSHGQSKELQDAELRRRHYLALGADLSLDKPPAAAAATEEKPLRRFFDEWPREGGDARPWAMGAEDATQLSISIPAASPSDLAAAAAARYHNGE >KQL11640 pep chromosome:Setaria_italica_v2.0:IV:35526623:35531762:-1 gene:SETIT_006958mg transcript:KQL11640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHADLDRQISQLRECKFLAEAEVKALCEQAKAILMEEWNVQPVRCPVTVCGDIHGQFYDLIELFRIGGDAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIENQVFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAQQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDMTRKTPDYFL >KQL10753 pep chromosome:Setaria_italica_v2.0:IV:24834198:24835514:1 gene:SETIT_007117mg transcript:KQL10753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMVIIWSTGGLVLDRSSGNKSCGFRSKSVYGSGLFHMTMKIPSGYTAGVVTTFYLISQPENGGHDEIDLEFLGDKAGRPVTLQTNLIIDGQSYREQRLHLWFDPAADFHDYKILWNAYQLVMFLDDTPVRVLRNLTATVPGYQFPSKPAMLIRGSVWDGSDWATDDGRAKVDWSKAPFTAAFRGFDVDAACAVRGGGGVTPCNDSAALWWNGGEYEVLSGAQRAAYEGVKKNNMVYDYCTDKLRFNNHVPLECSYN >KQL11169 pep chromosome:Setaria_italica_v2.0:IV:31348018:31349040:1 gene:SETIT_008704mg transcript:KQL11169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHSVATVPLAVTAGMLYARAAAASTRPGPRRLIALVPVLALLVALPFSVPLYGARGLAAFFLVWLGEFKLLLLAFGRGPLDPALRPLPFAFTAALPVKLRQSRIQQADAAAAAARPVTETVALPLLSSGIKVAVMASVFRLLFRSKEAMHPYAASALYGVAMYCFLDSLLPCLAVTGRALGMEMEPQFDKPYLSSSLRDFWGRRWNLMVSAALRPAVYDPVRARLGAPAGVFAAFLVSGLMHKVLLYYVTFRAPTGQVTAFFTLHGTCVCAERWCARRWRRGCRAAPPRVVATPLVVAFVAGTAFWLFFPAIFGDGMDDLYLAENAALASSFLDASGRLL >KQL10901 pep chromosome:Setaria_italica_v2.0:IV:27916412:27916692:-1 gene:SETIT_008931mg transcript:KQL10901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDCGFFYPSFSIVMKMLLARHSLFYLSRT >KQL09614 pep chromosome:Setaria_italica_v2.0:IV:4590751:4598509:-1 gene:SETIT_005756mg transcript:KQL09614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRLAYAAVLPVLLLTLRILPLPSGSPSGSGGERETLAAPPASRYVVRFVEYRRAEEHREYLGAGLGGAAPAASWRWVERRNPAAAFPTDFAVLEIRDAHRDAVVAAVRALGRVRDVHADATYSRSVLSAADRPPPRRGKLFTAMSFEGEEEGGEIANSSSATWGRRLLLQRPQVTSLLGAERLWKRGFTGKKVKMAIFDTGIRSDHPHFRNIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECPGFAPDTEIYAFRVFTDAQISYTSWFLDAFNYAIATGMDVLNLSIGGPDYLDLPFVEKVWELTANDIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYNNHIASFSSRGMTTWELPHGYGRVKPDVVAYSRDIIGSKISTGCKTLSGTSVASPVVAGVVCLLVSVIPEDKRKSILNPAAMKQALVEGASKLSGPNMYEQGAGKLDLWQSYEILKNYQPRASIFPTMLDFTECPYFWPFCRQPMYAGAMPVIFNATILNGMGVIGYVKDQPLWQPSEDIGNLLSVHFTYSDVIWPWTGYLALHLQVKDEGSQFSGIISGNVTLTIYTPAAHGESSPRTSTCVLYLKIKVVPTPVRSKRILWDQYHNIKYPSGYVPRDSLNVHNDILDWHGDHLHTNFHILFNMLRDAGYYIETLGSPLTCFDASNYGTLLMVDLEDEYFDEEIQKLRDDVIHKGLGIAVFAEWYHVDTMIKMTFFDENTRSWWTPITGGANVPALNELLAPFGIALGDKILTGDFSINGEQTHYASGTDIVQFPAGGFLHSFQLQESSRTVQDHIGTLDTENTQGKSKLSSILGMMEAGEGRIAVYGDSNCLDSSHMVTNCYWLLRKILEFTGNKVKDPVLFSETTQLKFPVFENIHQPSRRLDVNFSTYSTVIGKELICHQDSRFEVWGTKGYGVQPTGTTRKLPEYQMDENSSSLNVTVQTPDRKQDKVETLKINLSTPDATKINLSTPDAAKFEDTREYFGFIGHEEVDLGMLMASQWMVPCLAATACLMLYLSYRVQQKRRRRRKGSVASRLTSMV >KQL08941 pep chromosome:Setaria_italica_v2.0:IV:377859:379709:1 gene:SETIT_006736mg transcript:KQL08941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMMGSCLGGGLPVAVMLCLNVVAAVMVSLVKVAMDGGMNPLVIVTLQQLTASVFLAPIAFFKERKSRPKLTLEIFAYIFVSAALGAALRQYMIFVALRYTTATFVTAFSNIAPVLTFLLAVATRSEALNLKCKTGMAKLAGTLVSLGGAMVLTFYKGVALTHAGSHLHSHHHRPPGGGAAAEAVSRGKWTLGTVAILGNCVCLSCWFLLHGRLARKYPHVYSCNALMSMFSFLQVAVVGLCTQRSITPWIITSKFQILTVLYAGIVGCGVSFVLVTWCIEKRGAVFVAAFIPVVQIIVSVIDFSILHEQLYLGSVLGSVLVIGGLYLLLWGKRQDALHCPPKVAEDPEKEQQQQQAVHT >KQL11905 pep chromosome:Setaria_italica_v2.0:IV:37488953:37493606:1 gene:SETIT_006954mg transcript:KQL11905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYFNHSSSYPPPPPPPGTSPYGAYRHAYPPAPVPPTAYGSYYDRAEQVLPQRDELRTLFIAGLPADAKPREVYNLFRDFPGYVSSHLRTGKSSQAYAFAVFADQPSALAALNATNGMVFDLEKNCSLHVDLAKSNSRSKRLRSDDASPYSPEKRTRKPMGFPDSGAGSNIYISGMGNSSHSLSGYPSAQSYTNLESSTSVRKDPSTFAPQNNPPCPTLFVANLGPACSEQELIDVFSSCAGFVKLKMQNKLGNPVAFVDFMDANSSTEALNRLQGVILYSSPGEGIRLEYAKSRMGLRKHDNKRP >KQL11980 pep chromosome:Setaria_italica_v2.0:IV:37961468:37961609:1 gene:SETIT_0088051mg transcript:KQL11980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRMGGGKRKRGRAGRGVTNRGFGGRLENGREAEAYAPSRQGRHGLEI >KQL10618 pep chromosome:Setaria_italica_v2.0:IV:20476355:20478881:-1 gene:SETIT_007016mg transcript:KQL10618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHVPREQREQHGKDAPPGFVPPMTAEDVAAVEAVLDYDFADKSLVELALTHGSFYYPYRPGDTYERLEYLGDGVLTCLMSREVFRTYRTLPPGPLTRLRAANVDTEKLARVAVVRGLHRFLRHKAPQLEGQIHVFIEEMCKYPVHSNGLLDPPKVLSDIVESLIGAIYFDSNFDQEEVWRVFRNLADPLISLETLGKHPVSELFEFCQKTRRGVKIVKDEWDKNLKVEVLIDGELVGSATYAQKKDIAQNRAAKAALDKLKETMGQTESESASADVSEPFEELDIAGTLKCQ >KQL11718 pep chromosome:Setaria_italica_v2.0:IV:36306035:36306427:-1 gene:SETIT_009133mg transcript:KQL11718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFLLPTSFFDWEVESVYMLVLAYYVLFYTCLYAGSSIICYQVLLLLSLLFEMLCLITS >KQL11945 pep chromosome:Setaria_italica_v2.0:IV:37790584:37791720:-1 gene:SETIT_008139mg transcript:KQL11945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQNKIGSTDVIYCEKYITWTDDTTEFMLQWYVDYQKDKPATFRWKQHHHRLCAEALNTRFGIGATRHQVYRHFRAFKEKWNWISHAMAKNGNGFDAASRKFNLPYSEKSPSKLGVRKYNYLTQPIKLFHHMEELFGESAQANGSLAVDQNTLDVEDDKSESGAEHIENDSDTIARSSPAVVETIAHSSPPVEDTIAHSSPEAVGFSSGMKRKNMKSPMKKHRKDKPKHAKALENDPIAGSIVMLAKSIAAPSDPYANLWKHTEDIPFPPRDKIDIASFLSKPEQVYLRNYLNAASDQSFGTWVTDYLGAKYSTSGV >KQL09574 pep chromosome:Setaria_italica_v2.0:IV:4343250:4347886:1 gene:SETIT_005873mg transcript:KQL09574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVVKRDGRQETVHFDKITARLKKLSYGLSQEHCDPVLVAQKVCAGVYKGVTTSQLDELAAETAAAMTASHPDYASLAARIAVSNLHKNTKKSFSETIKDMYMHFNERSGLMAPLVAEDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKLGGKVVERPQHMLMRVSVGIHKEDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMQRVQNNGEWSLFCPNEAPGLADCWGDEFENLYKKYEREGKAKKVVPAQTLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPLESHPSKLVGSTDSKNRYFDFEKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSPEAQQLNKDIFETIYYHSLKASAELAAKEGPYETYEGSPVSKGVLQPDMWNVVPSNRWDWPSLRETISKVGIRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGVWTPTLKNQIIYDDGSVQKMTEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQANFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKENGVPNGKPAEEDVEAKMAQMVCSLNNREECLACGS >KQL11489 pep chromosome:Setaria_italica_v2.0:IV:34110018:34116542:-1 gene:SETIT_006054mg transcript:KQL11489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSRRWQSPAAAAAAAEAAEEDTSGAGGPSRRPARRGMHRASPYAGAPRRWLPRLPVASRIFPTMPRDRAPSDNNQEVHRESLDVIPERQSTEPNTNAAAVGPTIQVRNKFNLLLEGDRNPSHGNGLADIENIINQRHFSRDETERLIEIMRSRTPDLSVEDQRAPGSTAKGFETTPFSTPARLIDPQTPWGTPSSNAHGIGSSPIEIAKAFMEAQTSASVHESQKRKFRALSHGVEADNSTSKVFPKVATDSSVCWPGSVVRGYPNYLTPQSNKGRALPQPLSRTPYSGSVFQRSIKSSRHSGTYNNSSGQPQLSTPFSVGSKALVEDKLASTSGSMVQPSSSSRGQIDVFGSNTSFFPRDGSAATKSIAFNLQGPDGKSTIESRATSGHTSAVDNISRGASVSVHPKSSETAFKILQHLERTIPSPTLKPLDLRQTLAKRNASSVATNSQIKGPDYSIGNGHRQSGTNESGSGNLETADAKKVPPSSPNAEESSQRIQSSRANTEVPETRTSQQPLKSGVTFTSAAEVSDKNTSKGFSFTFPVPKAPSSLFEPPPTPTLASPPRTLPVTTEDIPKFSFGSSNATNKLVFSFDFSGSSLGADGTEPTFKFGSGRKRELCYDIASKDAVCF >KQL09028 pep chromosome:Setaria_italica_v2.0:IV:760120:761122:-1 gene:SETIT_007219mg transcript:KQL09028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVALGPGRFYGSSLPRPRFFPGDRVDPPVSVTDPLLAWAQEAHWSMGGLGVKRLHLQGRIEGSIDKLRRRARRDARAKARAAGHKPASLAALGSDDDASDGDSDEEAAAVQERILKREVVDDDEDSDGSDQSEEEEEEEGDDEPLANIATAAKKKRARKLSDEFDRIAAQQQLEKKQKAVAAAPARTSPRRQASAPAAKAPARASPRRKAAASAPVAGARRTSPRNKH >KQL09875 pep chromosome:Setaria_italica_v2.0:IV:6931124:6941284:-1 gene:SETIT_005791mg transcript:KQL09875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRHWNASPAAEPTTSSSPRRAGEAPLGAPAAPLLDATEAKESVTVTVRFRPLSPREVRLGEEIAWYADGDTIVRSEQNQSIAYAYDRVFGPTTTTRHVYDAAALHVVSGAMDGINGTIFAYGVTSSGKTHTMHGDQSSPGIIPLAVKEAFSMIQETPNREFLLRVSYLEIYNEVVNDLLNPSGQNLRIREDLQGTFVEGLKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTIESSPCGESSEGEAVTFSQLNLIDLAGSESSRAETTGVRRKEGSYINKSLLTLGTVISKLTDGKATHVPFRDSKLTRLLQSSLSGQGRVSLICTVTPASSNSEETHNTLKFAHRAKHIEIQASQNKIMDEKSLIKQYQNEIRQLKEELDQLKRGILSGTPLKDATEDNFILWKQKLEDGNVKLQSRLEQEEEAKAALLARIQRLTKLILVSTKASQTPRLSQHHGPRRRHSFGEEELACLPHRRRDIVLNGESNDLFVPMEGFDETLEVSSKGEKKNHKGLLNWFKLRKNDGGSAALTSSDGDQSSLRKAFTAPSTPLGNGLSFQTEQGRSNPLLPENVSADLLTVDHEEFHSDGLHGEETPLVSRKTTDHVDLLREQSKILSGEVALHTSVLKRLMEEAGRSTMNGHVELEMKVNDEIKVKRQQIANLEREIKGNLDQLGHPLSRAELLEQLNEKVFELEVKTADNRIMQDQLEQKASECQKLQETVAHLQEQLSQALEANDLLSESIIFQQNTDISLQTGSQVHKENPASIDVSDELRQKAQQSEIDELKQRLCDLTEAKAQLEARNQKLLEESIYAKGLASAAGIELKALSGEVTKLMKHNERLASELASARNSTQRRVNNGQRVFRRDSFTKRHEPASRRNVHASYEREQALEVMLMEKDQREAELQKKIEESKQKEAFLEGELANMWVLVAKLKKGKGVDQDGMDANLNAS >KQL10644 pep chromosome:Setaria_italica_v2.0:IV:21771639:21772168:-1 gene:SETIT_009019mg transcript:KQL10644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIPTCGGYDFGWAWSPSKGVPRPVRGALGIGVRIGIKRYS >KQL12063 pep chromosome:Setaria_italica_v2.0:IV:38521651:38522562:-1 gene:SETIT_008117mg transcript:KQL12063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHPSHHYSRNDWIMFRLRLVSKGASGVAASFSCRLMDPSSPGSYCLDLEEITSSVFHENHSRDVFLIKWSDVQGSQRRYVKDDSILVQCAINVLPCKPKDPAPAGAAADATPSVPSSDLHRQFGELLRSQKGADITFFVAGKPVAAHRSLLAARSPVFMAELFGDMREKAPRCVEIKDMEVEVFRAMLRFVYTDTVPELDLLNGEQATAMAQHLLEAADRYGLNRLKRICVEKVCTTINVDTVATTLALAEQHGCSKLKARCMKFALDNICAVSATEGFKHLEASYPSVLTELLKLMVEGHK >KQL09143 pep chromosome:Setaria_italica_v2.0:IV:1487063:1489906:1 gene:SETIT_008129mg transcript:KQL09143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSLLSLFLILLLVTTKTTTASGCIGNERNVLFDLKATLKDHQGVLSSWRGLNCCSWYGVTCNKTGHIIKLNLGNNKSMEYALTGDISPSLVNLTHLEYLDLHGNDFGGGSIPEFIGLFKNLRHLDLSFAGFGGKIPPHLGNLSKLNYLDISNIPDSSFTSSSSVDNLLWLSGLSSLAYLGMSSWNFSAASDWLESLNMLAFLEELHLYSTHLPPTDLNSLSQSNFTFLNKVDLSGNYLSSTFPHWLTNITTMTHIELSYTGLHGSIPEAVGNLTALEYVFLSENSLEGAIPTSIGKLCNLQVLDLSSNNLVGDMDNLGKAMARCMKQLEFIHLESNNLSGSLTGWLGSFKTLLSINLHNNALSGPVPSDIGQLTTLYELDISYNFLQGVLYEEHLANLSSLTVLDLSSNLLRISVHTDWVPPFQLHQLQLRSCPLKSGVPQWLRTQTSISRIDLHNTGTVGTLPDWTWTSLTSLTDLDLSNNQLTGTLPASMVHMESLRILGLGSNHLEGQIPDMPRSIEVLDLSNNSFTGPLPYHLGGSGLLFVSLSNNHLNGSIPVYFCDMELLSGIDLSNNNLSGELPNCWKQNTRLLVLDFSNNNLEGEIPSSIGSLTSLCSLHLNKNMLSGVLPFSLSSCDSLILLDLGENHFEGTIPTWIGSNMHLLVILRLRSNQFSGNIPDGLSQLQRLQMLDLANNKLSGPLPRNIGNLTLMASRQSARIISLTFRGLPADIIVYYNASLYITTKGYERLYSRILYLMKSVDLSDNALTGEIPVEFGALAQLKNLNLSRNRLSGRIPERIGSMDSLESLDISWNHLSGAIPQSMASLHSLSHLNMSYNNLSGKIPQGSQLQTLGDEDPYIYAGNKYLCSPLVSESCYEHKLNPIYNDEDTHGHDVFLYVVSGLGFGLGFSAIWWLLIFSEAVRKLYFQSIDSVCEKFFVRMILLKIN >KQL09470 pep chromosome:Setaria_italica_v2.0:IV:3625572:3626912:-1 gene:SETIT_008721mg transcript:KQL09470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKLPPDFDFLDVDAANPSFCTQADLAGAGLGSCEQVQIADGSTLLTAEFADDNQVYGVADEVVEEVWCTPPVPYTGQTFASKAEAKSYYNTYAKRIGFSIHISSTCLSEGYGKKAKEEEATAESDGDKSSEHDSEPESNNDETKEKKKVDGGKKRKRGKMIHTGCKATMVVNLIDGVVKPSLKKFLRSHKGIPQQEKEFITLLHGCNLSTGRIMQLMNEMYGSAQMVPYEGKDVSNFRSKIRRAKKYKDMQEAIDRFREIQQEDPNFFCKVKLDDENRCVNNERQHSPFHLLIFQTVAPHLCFNDSKKKDKSR >KQL10153 pep chromosome:Setaria_italica_v2.0:IV:10321268:10321859:1 gene:SETIT_007471mg transcript:KQL10153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRITTHVLRMVNWSYVYTDTRTYILLYMSKFEKKKEASNQPDVGGGHPPLELFVWHDGAAPSCCRYSRRRSWRMCSPETTASPGPKPPSPPSGRAELDVTRNAMIVAVKTTATTNFDIVIDHVQVSNRFSSVPLACLC >KQL08975 pep chromosome:Setaria_italica_v2.0:IV:503894:505220:-1 gene:SETIT_006790mg transcript:KQL08975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDQDAGVKKGPWTPEEDKLLVDYIKENGHGSWRRLPKLAGLNRCGKSCRLRWTNYLRPDIKRGRFTDEEEKLIIHLHSILGNKWSSIATKLPGRTDNEIKNYWNTHLRKKLLSMGIDPVTHRPRTDLNLLAGIPNLLAAGIPNLAAAAQTTWDINALRLQADAAKYQLLQGLLRAFAAPPAAPTGVDLMALLAATGNGGVSQPAAGVDHGTTTRAHQYDGLLNLPALTTVPAATLPAMSSFSGLLSSFGGALAGDGLSSTTELGHSGASGSSMTAAMAPPLVAAEECNAGGGGMSTPCGEETPASSPFEGLGNLNLDDEFNSDSWRDLLEQMSWLNNPNEQQL >KQL10248 pep chromosome:Setaria_italica_v2.0:IV:11880586:11880924:1 gene:SETIT_007792mg transcript:KQL10248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQFNLVGSRQLLYGCNRISIVTDSKRLQNILLRECINGLTMVMIRLGFLWGSQVR >KQL09454 pep chromosome:Setaria_italica_v2.0:IV:3502851:3504017:1 gene:SETIT_008175mg transcript:KQL09454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAAAARRLPRHLRLLHPRRLTTTSPSPPHPDPETPTPEWSPVRAPPDEQFATWVTRLRPGFTASDLAAAISAEPDPDLALALFRWAGLRPGFRHAPESYFAAIKAASSGRRPAAAEALVHDVFAGACAPDLRLFNACLRFCCDRRSLFPLAFDMFNKMRAMPAAAGCRPDVETYTLLLSAVVRRVRRPPASMVYLHAVRSLSRQMKASGVVPDTFLLNLIIKAYARCVEVDDALKVFREMPLYGCEPNEFTYGYIVKAMFQKGWTDKGMVYFAEMREKEFVPSGGVYMIAVSALALEWRFEESRRVLLDMLDCKRKPDMITYRTLLEEMCRAGQTEQAFEVLEELKGRKRGPLDQRMYSELLDGLHWISQPHKDNRTVHDKGSDD >KQL10119 pep chromosome:Setaria_italica_v2.0:IV:9772972:9774600:-1 gene:SETIT_008705mg transcript:KQL10119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMERDFHMVEGDGETSYTTNSRLQQKALFETKSVLEEAVRQVCSALLPPNLVVCDLGCGPGDNTLIFLSEVIKASSSHNVPEIQFFLNDLPGNDFSHVFRSAERFKSSVTACHKGERRLPFHIAGLSRSYYTRLFPSQSVHLFHSSYSLHWRSQLPDGLDGNKRNIYIAKATPLSVVKLYQEQFQKDLILFLELRYDELVVGGQMVLTFLGRKEEDLYSGNMNYLCELLAQSLQSLVEKDLVEEDKLNSFNLPIFGASIDEVKAAIKQTGLFDINEIKLFESNWDPYDDSEDDNVQDNIQSGVNVAKCIRAVMETLFVSHFGESILDALFKEYASKVAEYLERDKAKYSVMVLSLQRR >KQL11096 pep chromosome:Setaria_italica_v2.0:IV:30681792:30682323:1 gene:SETIT_007697mg transcript:KQL11096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASGAAAMLCGKEEKVLGARKAPGSCPYCGGGVAATDVEATWVFCCLPLCRRAKRRFACTACARRLVSYPAILHDD >KQL09865 pep chromosome:Setaria_italica_v2.0:IV:6800767:6809618:1 gene:SETIT_005731mg transcript:KQL09865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEPHCKPKTRPPESPCIPKPAHSVRASRRFRQDRTSRGRLSPSLTHVSPRGVSPFLRVHLAPLARELENLAAKSMARRGRDDDDDEVEEEEDEEEAYDLDDEEEDEEEDYEEEARRGKASRGGGGGKAGGGGRKRSREDNFIDDSAIEDEDEDDEDDDGGARPRKKGGGGVRGFFDEEAQVDEDEEEEEEGEGEDDFINDAGADIPDEDVVRVARRHSMPMRDEDEDIDEIERQVRERYARSTHIEYGEEAAEVEQQALLPSVKDPKLWMVKCAIGHERETAICLMQKYIDRSDLQIKSVVALDHLKNYIYVEAEKEAHVKEACKGLRNIYASAKITLVPIKEMADVLSVESKSVDLSRDSWVRMKLGIYKGDLAKVVDVDNVRQRVDVKLIPRVDLQALASKLEGREAVKKKAFVPPPRFFNIDEAREMHIRVERRRDKESGEYFEWVDNLKFKDGFLYKSVSTKSIHTNNIQPTFDELEKFRKPGDDMNGDVASLSTLFANRKKGHFMKGDAVIVIKGDLKNLEGWVEKVEDETVHIRPKISDLPKTLAFNEKELCKYFKPGDHVKVISGVQEGATGMVVKVEGHVLIILSDTTKEHIRVFADHVVESSEITTGITRIGDYELHDLVLLDNLSFGVIIRVEAEAFQVLKGVPDRPEVVLVKLREIKSKIDRRASAKDRSNNIISAKDVVRVVEGACKGKQGPVEHIHKGILFIYDRHHLEHAGFICAKAQSCLLVGGSTGGRRGNGMDTADARLGALRSPASILQSPGRLPPRGPHMNFGGRFGGGRGGRGHDALVGKCIKIKSGPYKGYRGRVKEVTGALVRVELDSLMKIVTVKRDDIADTPTVATPFREPRYSLGGETPMHPSRTPHHAYQTPMRDPGATPIHDGMRTPMRSRAWAPMSPPRDNWEDGNPATWGSSPAYQPGTPPARPYEAPTPGSGWANTPGVSFNDAPTPRENYANAPSPYVPSTPVGQPMTPNSAAYLPGTPGGQPMTPGNVGMDIMSPIIGGEGEGTWLLPDVLVNVLRGGDDGPGVVREVLGDGSCRVALGSSGNGDMVTVLPNELEVIRPKKSDRIKILNGNFRGYTGKLIGIDGSDGIVKLDDTYEVKILDMVILAKLAT >KQL10686 pep chromosome:Setaria_italica_v2.0:IV:23416868:23417408:-1 gene:SETIT_007648mg transcript:KQL10686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWSHRRVPSVIPENIAILDFKEEEERENNPAGEKKSSKEDTQSVSNEIKFQSPKELSNDKKVNNKSGLGDGKDGLKDLLGQVKTDKDN >KQL12135 pep chromosome:Setaria_italica_v2.0:IV:39059726:39062061:1 gene:SETIT_006260mg transcript:KQL12135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMPAAAPARGARPCRAATDGHDGRVPGWVAASSRAPPRLQARSRSRGHGGGVAMMKQPRGRQEPRRMGNAAMVVTMLVSLCVLTYIKARYCSNPFPKAAEELEVVEVDEDYDSTRYKLSGPIGEEDFDPSRPTCYNTSKRSERCAAVGDIRVDGNHSKIYISPLDREWRTKPYARLHDPVAMDDVREYTLVPFGGANDTAVPPLCTRNHTAPAFLFSNGGFAGNLYHDYTDVLVPLFTSTNHFGGEVQFLLSGMKDWWNDKFTPLFRQLSKYEVIDVDNDREVHCFPRIVIGATFHRAMGIDPSRSPGGVTVADFKRLLRRAFRLERAVASRSGAPRRTRPRLLIISRKSSRRFLNERAMAHAAAAARFDVRIAEPDNHTDMPNFARLVNSADVMMGVHGAGLTNMVFLPSRAVLIQVVPFGGLEWLTRVTFKDPARDMDVNYMEYNVSLEESSLRDLYPEDHFYLKHPYDVHKKGWDAIKTVYLDKQNVRLNLTRFVKTLEQARELLPTP >KQL11231 pep chromosome:Setaria_italica_v2.0:IV:31920429:31920959:-1 gene:SETIT_008186mg transcript:KQL11231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGNAITKPSRQLQRLPAIRGGGARADGRNKNGTTATWSAERAAKAWMRQALAKRVATRMLKRSTAPPRPPSAASADEVSGGGNKALACCCARLPPGRRCALHQAAAPGRAWMCAQQRRGGDRECVAVAALAPPRAYGWVFSEYARWRRHVWMPSRFYLEHIDEHCWRSMRVDSALR >KQL10496 pep chromosome:Setaria_italica_v2.0:IV:16560111:16563715:-1 gene:SETIT_006320mg transcript:KQL10496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVYTQNLSISVLHSKQPGTTYLFVVSPRVSVHVACHCRDSRSGHKRGELITMVSEERTAGTKMTILQTPVGARSIVTCLVAFFILASSIVFLLDKGQEVQMAVEHRHQQVQVKVEARLQEPAMGGATEVDTSEEDCNWSRGRWVYDNVSRPLYSGFKCAFIFREVACDKYGRKDVMYQHWRWQPHGCKLPRFDAIKLLEKLRNKRLVFVGDSVNRNQWVSLVCLVEASIPDDRLKMRVFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVDYRIIRADRIEKHANVWRDADIIVFNSYLWWRKQRDDMTMKVMYGSFEDGDARLDEVEMTEGFEIALKKLTEWLGENIDKNKTRIFFVGSSPTHLRASKWGGEDSNKCLNETEPIYKDGYAAADYSLMAKAKSYFQTLEPKGIHVQILNITELSEYRKDGHPTVFRGQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLI >KQL12082 pep chromosome:Setaria_italica_v2.0:IV:38638811:38639282:1 gene:SETIT_007778mg transcript:KQL12082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGFLQLLVPIVSPCTTLACAPSLHVSSQPRHATQPKTCAWSSAPVAAGNVPSMTLRVLI >KQL11645 pep chromosome:Setaria_italica_v2.0:IV:35563754:35567632:-1 gene:SETIT_006759mg transcript:KQL11645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAVAERAYAAATRSALAALERNLIPDAVTRRLTRLLLAQRLRQGYLPSAPLQLQQLLQFVHSLQEMPIAIETDKAKAQHYELPTTFFKLVLGRNLKYSSCYFPDDSSTLEDAEVAMMELYCERAKIQDGQSILDVGCGWGSLSLHIAKKYRNCIVTGICNSTTQKAFIDEQCRENELSNVEIIVADISKFEMERSFDRIVSIEMFEHMKNYKSLLTKISKWMKEDSLLFVHFFCHKTLAYHFEDNNDDDWITRYFFTGGTMPSANLLLYFQEDVSVVNHWLVSGTHYARTSEEWLKRMDRSIVSIRPIFEKTYGRESTTKWIAYWRTFFISVAELFGYNNGDEWMVAHYLFQKK >KQL11748 pep chromosome:Setaria_italica_v2.0:IV:36550051:36551480:1 gene:SETIT_008013mg transcript:KQL11748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDKEADQKLADSRFAELALKRYNKNKNNKVKYALIEAIADAVMFEASGLYRHVNFYAKAKNGPKKNDGKVLVFAELHQIGYRPNAMALTCFRLLDENNQLYQDKGHCYACSDRIKHPDGSCYKAGHFASICYYHNN >KQL12302 pep chromosome:Setaria_italica_v2.0:IV:39912211:39916508:-1 gene:SETIT_006336mg transcript:KQL12302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPEQSFSLLGSYALPLWATIIAGVFVVISLSLSLYLLLNHLSAYKNPEEQKFLVGVILMVPCYAVESYISLVNPSISVDIEILRDGYEAFAMYCFGRYLVACLGGEDRTIEFLKKEGGSGSDAPLLGQASEQRYVHHPFPMNYLLKPWPLGEWFYLVIKFGLVQYMIIKSICAILAVILESFGVYCEGEFKLNCGYSYTAVALNFSQSWALYCLVQFYAAIKDELAHIKPLAKFLTFKSIVFLTWWQGIAIALLFNWGLLRGPIAQELQFKSSIQDFIICIEMGVASVVHLYVFPAKPYELMGDRFVGDVSVLGDYASVDCPLDPDEVKDSERPTKFRLPQPDDCVRCSTGIKESVRDVVLGGGEYIVNDLKFTVNHAVEPINEKLHMISQNIKKHEKGKKKTNDDSCINSPTSMNRVISGIDDPLLNGSLSDNSGPKKARRHRKKSGYMSAESGGESSDHGLGGYEIRGHRWITRE >KQL09980 pep chromosome:Setaria_italica_v2.0:IV:7898053:7898543:-1 gene:SETIT_008626mg transcript:KQL09980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRHHLLLRAASHLPSPIHHNACPLLSTSTSTSPSATPLSLEDYLVAACGLAPAQACKTAKKAFDGASKDNKKAIDGFNNCHLNYASNPDAILTLLSGVGLSHADITAVVTADVLLLRSKPNNIGPRLFGLRDHLDRLLPPGRLTRSPERASSP >KQL11420 pep chromosome:Setaria_italica_v2.0:IV:33479045:33479569:1 gene:SETIT_008877mg transcript:KQL11420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGHVQMVLLPLLSALRPQLCKCTATQNARPPRWRAACSPVFLPQSLQTLAGVASFNAVRSKTWQCRVIRPPCGRHVHMGALCCTTRVGDWLDFLADDKA >KQL09837 pep chromosome:Setaria_italica_v2.0:IV:6541318:6546186:-1 gene:SETIT_006889mg transcript:KQL09837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQGSIYSLTFDEFQSALGGASKDFGSMNMDELLRNIWTAEESNAMAAAAPATAAASAHHHHQQQPAAPIQRQGSFTLPRTLSQKTVDEVWREIVGLTGGEDEPPVPPPAPAAPPAPLPAQAQAQRQPTLGSMTLEEFLVRAGVVREDMGQQPLVLPPHAQALFSQGNAVAPQTLQLGNGMVTAVVGQGLGGAMTVAAPTTPVVLNGMGKVEAGDLSSLSPVPYPFDTALRVRKGPTVEKVVERRQRRMIKNRESAARSRARKQAYIMELEAEVAKLKEQNDELQKKQVEMLKKQKDEVLERINNQLGPKAKKLCLRRTLTGPW >KQL09949 pep chromosome:Setaria_italica_v2.0:IV:7679017:7680671:-1 gene:SETIT_007499mg transcript:KQL09949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEIESWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KQL11391 pep chromosome:Setaria_italica_v2.0:IV:33147449:33149974:-1 gene:SETIT_005852mg transcript:KQL11391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAALLCFALRRLVPFLAAVLALVQHAGLLPAAEAQANLTAGATLTPPGYITSPSGDFRFGFRALDPDPTKFLLATWFRFGDGSGDSPPQPQSVVWFAKQSSTGSTPVATPQSVLSITADGLVLSDGGTQVLWRVPTPNMFPGSVLTLLDSGNLLFLDDSGKVLWESFSYPTDTLLPSQSLAPSTPTDGKLFSKRADAEFTTGRFSLAVQNDGNIVLYLDLLTGNNADHAYWALQSNSPNVSNTTVTFDDQGRLNYTLHDGTVHSLISPVVRSTVGDGEHFQFARMDPDGIVRAYARPKNGGGNTSWIVSGAFPSDGCGKRTSKLQGMCGPGSYCVETTDRLNCVCPNKYSYTDAQHKDNGCTPEFEPQSCEGGENRGSSEEFTLVELLNTTWETSIYYNKFPSVTEEQCRDYCLSDCFCTAALFIDGSDCAEVAALTNGRQKKSVTTKALIKVRTRDPAAKRRKSAMTYKVITVCLAFLLVITISGLLAWRYYPARNRNRARQQLLSSSVRAFSWKELYQATNGFEKLLGKGSFGKVYLGTMRSPQPHLVAVKKLIDSNEYSEQEFTNEVQSIGQIHHRNLVRMIGYCNEGNHRVLVFEFMPGGSLRSFLFNPEKQPPWRWRAEAALAIARGLEYLHDGCSGPIIHCDIKPDNILLDGHGIPRITDFGISKLLGSQQVHATVTHVRGTRGYIAPEWLRGDARVDTKADVYSFGVVLLEMICCRRCQEQVVPDSDLPEGADADETVTLFGWAAQLVQTRRTELMLRSRHDDADAVDDMERVERFARVALWCIEPNPALRPTMRQVVQVLETSQVRVEVPPDPPGCYVESSQPLIPQLETK >KQL10022 pep chromosome:Setaria_italica_v2.0:IV:8556500:8557009:-1 gene:SETIT_008967mg transcript:KQL10022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSQIKKQKSRSTFHHSSCHKILNRYSDHREKDCRVS >KQL09978 pep chromosome:Setaria_italica_v2.0:IV:7881695:7883540:-1 gene:SETIT_008531mg transcript:KQL09978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSVEQVLVASIIPPNFDIDRIDWSNITQKELDCTQLNACKFVMMHISFGHFSWRHMESLNVMMKSKQRRYGKPECDDEKQAEEKLLEECSTTAISIDPQVSLHNEEEGQKDQATVSLQNPLICALWPRRRRRAKKGSQKIEVSSPLVKELELLKSNYASLVSSQSRIEHVFVESCDDHIAQENDELMQEVERIKKDLSKLKSKSQVQPSQDNCEIMVEKIEKGSTVTCSAPQHLKICKSKIQENNKFEHIKCFNCSKMENFASTYPAKLKGEETLSNRQRSLARKRVCYGCKEKGHVIATCPTATSKDGSDLDQSDQFLKSDCPNGNTLKSNLVHCNFSKLRNDKAGICAIRVIASPRTSIRSIQVPKHLVANLYGPNKVWVPKNAC >KQL11341 pep chromosome:Setaria_italica_v2.0:IV:32750506:32752603:-1 gene:SETIT_007004mg transcript:KQL11341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRMQEISLDYHFTVEQEVGSSTYAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRASLKGWKFVFLGDLMVKNELPSTLKAYRYQQHRWSCGPANLFRKMLMEIVRNKKVTLWKKIHVIYNFFLVRKIIAHIVTFVFYCIVIPATVLVPEVEIPRWGSVYIPTIITLLNAVGTPRSVHLIVFWILFENVMALHRMKATFIGLLEAGRVNEWVVTEKLGDALRMKMPGKGFKKPRMRIVDRLHILELCVGAYLFFCGCYDVTVGNNHYYIFLFLQSIAFFIVGLGYVGTFVPHS >KQL11043 pep chromosome:Setaria_italica_v2.0:IV:30277497:30279668:-1 gene:SETIT_006227mg transcript:KQL11043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACALNSINKKSTLLMLIAPVKTIGRIPASWGRHAWSIGREDPRRGVHALKAGTALTLVSLLYILEPFFKGIGKNAMWAVMTVVVVLEFTAGATICKGLNRGLGTVLAGSLALLIELAASGTGKVFRAFIVGASVFIIGFAATYVRFFPTIKKSYDYGVLIFLLTFNLITVSSYRQNDVLPLTRDRLSTIAIGCAICLFMSLLVLPNWSGEDLHNSTVNKFEGLATSIEACVNEYFRDKDKDDNVLDRQEARASIQIGYRAVLDSKSSDETLAHYASWEPRHSMHCYSYPWQKYVKLGSVLRHFAYTVAALHGCLESEIQTPPSVRLLFRDPCTRVAREVVKVLQELAVSIKRHRRCAPDVLSDHLHEALQDLNSAIRSQPRLFLGSKRACAANKHMLMELNSGNHTASRATLHSFKTDAMALSERRNTGTEQPPERHNERGMLRPTLSKIAITSLEFSQALPFAAFASLLVEMVVRLEMVIEEVKNLEQAANFREFTGHDHWIVDLSSKEKTRNNNAPALNPVSAAAE >KQL11472 pep chromosome:Setaria_italica_v2.0:IV:33991354:33995193:-1 gene:SETIT_007232mg transcript:KQL11472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWFSSGSGPSSAASSSSSSQPSLLADWNSYAAARSSEDAGDGFGIDIEAAVRSANDRVAGTFGVVSKGVKGLPGSFKSTTSSVPSGRSLMYFGLFLASGVFLVFIAFTIFLPVMVIMPQKFAICFTAGCAFIIGSFFALKGPKNQLYHMISKERLPFTVGFVGSMVATIYVSMVLHSYILSVFFSVLQVLALAYYAISYFPGGSAGMKFLSSALVSSVLRCFGR >KQL09080 pep chromosome:Setaria_italica_v2.0:IV:1082345:1090093:-1 gene:SETIT_006168mg transcript:KQL09080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADEARLESFLQWLQANGADLRGCTIRTCGGGKGFGVFSTAAPEPGATDGVVLVVPLDLAITPMRVLQDPLVGARCRALFEEGGVDDRLLVMLFLMAERRRPGSLWKPYLDMLPSTFGSSLWFSEEELAELEGTTLYRATVIQRNSMQSLFDEKVKGLVEELLHVDESASSTEVLFEDFLWANSIFWTRALNIPLPHSYVFPGSCSDQQTRTNDDVCDSSLPAHQETDITAKDSSSDENSKSSNTESIWVEGLIPGIDFCNHNVKALATWEVDSMGNATGVPASMYLMLADKSSVEAGAEIYINYGNKGNEELLYLYGFVVDNNPDDYLMVHYPAEALRQIQSADIKMRLLEIQKGELRCLLPRSLLDNGFFCICSSEDKDDKKNISPFCNYSWSGQRKVPSYLHKIVFPQEFLSTLRTIAMQEHEIEQVASLLGEVGSSEDREPSDAEIQSAIWEVCGDQGALGLLVDLLRVKMAELEEGTGTEASDSELLEQFNSHYSEDCTSGSDENNKNKSKINSHSCIVYRRGQKQLTRLFLREAEYLLELSAKEQT >KQL10102 pep chromosome:Setaria_italica_v2.0:IV:9561908:9563273:-1 gene:SETIT_006566mg transcript:KQL10102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIRQEETQAQDVSETEHTISFLSQSNRPSLQNSANPSLSSCLYQCIATLKGNSFYVSSLAIDGDSLYIASSNGHIRLWPLDMAMDVRQAEHGQSSSTVAVTNSSIKCAIATSNGLVSSHQDGKIRVWHHPARRNGSSDHHLALRAVLPTAADHLRTFLFPSNYVEVRRHRRRTWVRHADAVTALALSPDGAEMYSVSWDRSLKAWRLPGLRCAESIAAAHDDAINAVAVSADGSVYTGSADRTVKAWRRRPGRQGKLALVGTMERHKAAVNALALGVGGRVLYSGACDRSVVVWECAGGGAMCATATLRGHMKAVLCVAAAGDVVCSGSADRTVRVWRRGAAGAGYTCLAVLDGHAGAVKSLTLVKKSGGDHDGSCDGCCSCSAAHVCSGSLDSDVKIWRVNVSCL >KQL11326 pep chromosome:Setaria_italica_v2.0:IV:32594177:32594639:1 gene:SETIT_008895mg transcript:KQL11326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDAHGLHLHPRRQAPMNCYLVSARLQFLNVSFVGSLAWRLLAFSAVRVYD >KQL12347 pep chromosome:Setaria_italica_v2.0:IV:40108526:40110984:1 gene:SETIT_006860mg transcript:KQL12347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISHPLSDDYDALLSPERTPPSSPPPPPPGHHCLEHEVSRMDTLAGIAIKYGVEISDIKRANGLVSDSQMFAHKRLLIPLPGMPMPASVRLSSSGQRMKRTWAPNHQHDRDITDSLDSANSAQKGASPAMSTLQRYYGLSSQKGNTTDCSTEMSMYCKGSFQSSLGETLLSCSAAPGTDRSWEYEEAVNGFSSANGANGNKSHGVPKPKQDVSMRRRQKVEAESNATDTQDDFLADPIKAIKSLLPRPISSIRLSMGTGSPDSSQKSNISFLNGFKSVRKSPSAPNFADAENAVSMWSSSKWTFNHESFTRPLLDGLPKPVSARRMKTALD >KQL12061 pep chromosome:Setaria_italica_v2.0:IV:38515944:38517002:1 gene:SETIT_008599mg transcript:KQL12061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAACIDLTTAARSVQRFRINGFTATKESLGLPSRACSVGGHSWRIEFDPDGRNSGHVYFGDPWAHGAAASFSCCLVDPVSMAPDPSTGKLASSSFYKNSGLNIALMARSDLEASKYLKDDCVIVQCVITVLLAEPKDATTAAAAAYASPRVPSADLHQQLGDLLRSGTGADITFIVAGESVTAHRSVLAARSPVFMAELFGDMKEKASQCVEIEDMEAEVFRAMLRFIYTDTVPELGNKMKGEQATAMAQHLLEAADRYGLKRLKKICVEKICTTMNVDTVATTLALAEQHGCSKLKARCMKFTLANLSAVSATEGYKHLEASCPSVLTELLKLMLEGYK >KQL11854 pep chromosome:Setaria_italica_v2.0:IV:37176511:37180263:-1 gene:SETIT_006191mg transcript:KQL11854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLADSSSGLWTVLGQASNVAQLVGVDALGLASMVVQAALAARRHRDACRRLAQHVEIVGGLLRELELAELMRWEATRRPLEQLSGALRRCYALVTACQDCGYLRSLLAGARMAEELRAAEQEIDMFIRLVPLISLVEATHDRRVKSTEGVPSVVASCSNPQTRRLPNKSGIVELQEEKVMDTDELVKLCTRTEVSCPGFKKFDFFEIVDGTDNFSTKRIVGRGGFGTVYKVQLTSGVMVAIKRLDENATVFDFNSELQLASLQHTNLVRLLGWCVHGKERLLVYEFMHNGSLDRIIFDKTKGALLNWYKRLQIIKGLAEGLVYMHKHSLLWIIHGDLKPNNVLLDQDMNPKIADFGSARTLSSDIAEGRTIRIVGTSGYIAPEYASRGLYSVKIDVFGFGVLALVIISGRNNIILEEQGDTVGNLVRDAWQLWNDGRLHELVDTLLGDGYEIDEMVRFVQVALLCAQEDPVDRPTMSDVIAFLNFESTSSLPDPKPPSELINRGATGFNLSTCVGQLNRTIAITITSSAPVSSRVRIIVEPET >KQL11992 pep chromosome:Setaria_italica_v2.0:IV:38039965:38041095:-1 gene:SETIT_008151mg transcript:KQL11992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESWRCTMGSVLPRQRSSEQQHAGGGGHQSLAPDDFRDVYGGPPRTVLLRSFGGEAADYHSPTGHHQYMNYGGAEAFCRRPYADGRAAAVPTEQGFFDDIFGARRHTRSRSRSKSKSSSAVSSDEFPAGFCRPVATGSRADATFSSFTSRLRPVTIPSRRYDSSPPSSTSTIGEYQSSFTCSTAAYPAARYYYGDAKAAGRSSNHSRGGDGSAAAHRRRHQRGSSNFCCFTSNPETSSNAPSFRQTRGARSPAAETTITDYSGADYGYYYSPPSATSSSLFTNPLARTPRRLEEVVMEVRERAPLLMDDGDDIDSVGAAAVDEAIAWAKERFWSQAR >KQL09074 pep chromosome:Setaria_italica_v2.0:IV:1064855:1065354:1 gene:SETIT_009027mg transcript:KQL09074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCKINYGELSTCTWTTTAPRVVNDRPRSATATWG >KQL09730 pep chromosome:Setaria_italica_v2.0:IV:5536955:5539255:1 gene:SETIT_008839mg transcript:KQL09730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGGVLRFPRRGQSSCFHHWEYPPAVAPRSTVEDIILQAVDLLPVEEMPVLLRCVVGGGGCLGVLDPVSNVVLNAVSYLDRLERPPAEELARRALLSGYEQQREERLNQIQESTDMASFRKQWLQSACIEAMDGLTEFMENYFRYLSRAQAARYLRLAAMDLTLAVHLVHHDRFAAPTTQPCVFSDLSNNRMLAALKLAALEIKLWAPVDDLLLLATSRYPRDLLEEATAPLLRRQKLGEGPAPTSQQGDCEYIRCLRLCLVDTTHVLYLEALARLPTATARRHIRGLMLAGHCYGLLDPVSNIILNSIWYDITFPPPAAAAAGGEILDNRCLLRVERRSLDGLVALVGAAALLSEHEAIEYLCYASCDLSDNDVLQTAMLDALSSSSTQGNLLFATAAEAAKHPQPLALGKFLASIAPEQLDRLRSLLKPRTTTVLSGTSIEEIYELLRGWHPFSPASSLVPELSPEASRTLASKKEAFNELLRFIRGVLDELLHRYAASHPKEPRYDLGVVCGLAVADRCSLGAPCYHVNFLAASAIPDDDHQHGDRRQTLFFAEFGRLGTRLDYSIRDRRLDESKPAFCRPVALSAHHLGRCSLCEGRSSRILHPATGSYHTNAGKDVNGATIPFRYWNNTFGPGLEVSVGGEQLQSDFVYFDQRASAFNQYSDS >KQL12159 pep chromosome:Setaria_italica_v2.0:IV:39164616:39165848:-1 gene:SETIT_006637mg transcript:KQL12159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCQQDKIQHASWGPQEPLCKTGAIDQLFPSRSAVDGVRVTFVAVGVQIATHHQQLGGIRRRALEVEQLPPEHVVEAVEEPLLDGVHGPFEAVEQLGGVLVVHEEAIVEVEAVTAGVVDEPEQRLVALGVDGRGAELEHGEHPADGVGEELGLGGVRVVLGAGHFDHPASLALEDVEEGLGAGAAGGAIADADLVEDEGEAVPAVARGLRAEHGVEHVDEEGVAAEAVDGERAEDGLGGEDGGGEEHHVRVALAEIVRVGEEARAEGRGDGGVVGAGVGQDGVALRHQRARQELAVVAEPNHGDLEARLLLLLILPFLELNRAGRRLAAVKRLRGARGAEAEGRSRRAAGMPAPAASRREELMVGGGGQSEGGGWLVVEADGGRRRH >KQL09820 pep chromosome:Setaria_italica_v2.0:IV:6309248:6309541:1 gene:SETIT_008755mg transcript:KQL09820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVRYRALPRGELTVEEFRAWLAQFDADRDGRISREELQHALQSLNVWFAWWKARGGVRAADANRDGGVAGEEEVARLFAFAQRNLHAKLTQLGYY >KQL09038 pep chromosome:Setaria_italica_v2.0:IV:782713:784819:-1 gene:SETIT_006671mg transcript:KQL09038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLISSSPLLRRAPVAAADGVTKPRTHRPPFVAFPPCNGGGLGPSLIRPPLASLLSRHRPTPPPAPAASGGAGTAPTTAADGEGDAASNTRRRFFQKVTSAAAVTLLSAIILTLVQPSWAPPALAHYHPTAKAGTTLFKSELLGSAWTGFLAGCLHTLSGPDHLAALAPLSIGRSRVESAVVGALWGCGHDAGQVIFGLLFLGLKDRLHIEIIRTWSTRVVALTLLVIGALGIREASEVPTPCVALDGGECDVGGVVDHRHHHHHTVGETLPGGKKKINFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLGMFLVGTVVSMGSYTVFIGSCTEALKDRIPRITEKLTWAASLLAISMGLAILVSQSFGITLY >KQL11659 pep chromosome:Setaria_italica_v2.0:IV:35651028:35653095:-1 gene:SETIT_006733mg transcript:KQL11659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIYMSQLSTALPLMEGDHHQDHHHHQGHFQAFNLPKDPPILFPFVISNSSASDSSLSYGSGDHHHLFRQQNQAMLEPQHMIGVSSAASVFATPFPTVESIRDDMIEPSSYDPYDMGRLQVGGSLETAGSWTTPPAKMRITRKASTDPGAAKKPRRKVQGYEDMMGTSGQPNLGVNRVCSDCNTTKTPLWRSGPCGPKSLCNACGIRQRKARRAMMASAGGSGPAPADGAKAATATPRDAMAASVHHPKVKKEKRIDVDRSLPFKKRCKVVQDHAPVAAPPAAAHKAAAVPPTGEVVDASGLSSRDLVDDIGLISWSRSPAPPSSAASCSFRSSPGLPVQQDEVTDAAMLLMTLSCGLVRS >KQL10719 pep chromosome:Setaria_italica_v2.0:IV:24022364:24022740:-1 gene:SETIT_007918mg transcript:KQL10719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGAVVALVVLAFLVTTTASSNSMCCTEHRPWGFNQMGCSPDQNGACNSWCQKWCRGGECKFRGGYHQCHCYC >KQL10728 pep chromosome:Setaria_italica_v2.0:IV:24210311:24211500:-1 gene:SETIT_007010mg transcript:KQL10728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPAKASLVAALALVAVVLEVGLVGANFRDDCDITWEPQNAKMDEGGNHLTLSLVSNSSGCMLRTKKQFIFGSVSTRIKLVKGNSAGTVTTYYTSSIGDNHDEIDFEFLGNETGQPYTVHTNVFADGIGQKEMQFRPWFDPTADYHNYTIFWNECMIAWFIDSIPIRVFRNHSAKGVPFPTRRQMYAFSSIWAAEDWATQGGRVKTDWNKAPFVAEYRDISLRVCDCDYGGAAAGCPESCASPSNWYAAPDLCQLSEAQLRQMRAVQLGYTIYDYCADGKRYNGTVPPECSMPQY >KQL10505 pep chromosome:Setaria_italica_v2.0:IV:16869546:16870217:-1 gene:SETIT_007851mg transcript:KQL10505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHTLEAYYSLPAPRRPSVTKILFDLKTRELVSPIFVCLHCSMESQTQQHMASHCKQHVRAGMAKGTVDHIKYFPDHTYDFLCNKPKPKPHVPGSVQQTMPQPPNQIYQVPTNWFNHIFPYNGNIMMSELARSPQLKGPHQHLVNIPNMRQPTLATPIQHSPFLIEGSSSSPFGGVMIPIQDKVGSSTTPLSVPPVIDLTLRLGPTPSSISEDSMQGTTFPF >KQL12203 pep chromosome:Setaria_italica_v2.0:IV:39408789:39410634:-1 gene:SETIT_006461mg transcript:KQL12203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGSRHMCCLSTLLLLLGLSSGQVLFQGFNWESSKQTGGWYNNLMGKVDDIAAAGVTHVWLPPPSHSVSSEGYMPGRLYDLDASKYGTAAELKSLIAAFHDKGVQAVADIVINHRCADYKDSRGIYCIFEGGTPDSRLDWRAHMICRDDAKYSDGTGNLDTGADFPGAPDIDHLNDRVQRELTEWMLWLKSDLGFDAWRLDFARGYSAEVARVYINGTAPSFVVAEIWDKMVPAGDDGKPAYDQDPHRQALVDWVDKVGGAASPATVFDFTTKGILNAAVEGELWRLIDTEGKAPGVIGWWPAKAVTFVDNHDTGSTQAQWPFPHDKVMQGYAYILTHPGNPCIFYDHFFDWGFKDEIAALVAVRKRNGITPTSELTILEHDGDAYVAEIDGKVIVKIGSRYDLGHLIPVGFEVATHGKDYAVWEKGGSEEVTRA >KQL09578 pep chromosome:Setaria_italica_v2.0:IV:4368362:4369595:-1 gene:SETIT_008348mg transcript:KQL09578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTEAVAVAVADGYNRRRELQAFDDTKAGVKGLLDAGATSILAIFHHPPDSLPQPRDHHAATPVTTDGGAAVPVIDLLAAPREEVVRLVRSAAETAGFFQVVNHGVPGEAMAAMIAAARRFNEQPAEAKRPYYTRDTAARKVRFYSNLDLFQSLAACWRDTVFCDMAPELPLPEELPEPLRGVMFEYADAVRKLAVWVFELLSESLGLGGDHLSKMGCGESLKVAINYYPACPEPHLTLGNTRHTDPTFLTVLLQDGVGGLQVLLDHAGAGSGWVDVPPVPGALIINIGDLLLLVSNGRFRSVEHRVLANKNTTARVSVASFVDVGVGRDTRRYGPIQELVHGGNSPLYRSVTVEEFVGHFYRKGSERRARLDYFKLEQ >KQL09638 pep chromosome:Setaria_italica_v2.0:IV:4761972:4762826:1 gene:SETIT_008241mg transcript:KQL09638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTILSIRHRKRGFNFFYMLICDAHLQSDMCYRPPIGRPFLLHTPEECCRCIECNDVMYTKRLYDMLSLYCTINCWLEERSGGGAAEVQVAKALLAMEFDSSTHAVCLTCSMAFSSSEASDHAEHDMLSIVMESGRLPRLQIPSAHYLAHVWRNIKGWDPDGMGDILIKDNSSPRCQTCQMRLVDGGSKTCSFECCLPQPSLLPRPLQRQQLAG >KQL11842 pep chromosome:Setaria_italica_v2.0:IV:37113536:37113868:1 gene:SETIT_008865mg transcript:KQL11842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMCISLGNLMEYQGVTTQQHELSSNSIT >KQL12354 pep chromosome:Setaria_italica_v2.0:IV:40128600:40131369:-1 gene:SETIT_006961mg transcript:KQL12354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPPSVYDYDMDSGVSVLKKIKPVLGGFDASNYVTERKWAAAADGTQIPMSVLYRKDLVKLDGSDPMLLYGYGSYKICIDPTFRGSRLSLVDRGFIYVIAHIRGGGEMGRKWYEDGKLLKKKNTFTDFIDCAEHLIKNKYCSKEKLCINGRSAGGLLMGAVLNMRPDLFKAAVAGVPFVDVVTTMLDPTIPLTTAEWEEWGDPRKEEYYYYMKSYSPVDNVAAQEYPNILVTSGLNDPRVMYSEPAKYVAKLRDLKTDGNLLLFKCELGAGHFSKSGRFEKLQEDAFTYAFILKALGMTPKMASL >KQL10438 pep chromosome:Setaria_italica_v2.0:IV:15172633:15173853:-1 gene:SETIT_008301mg transcript:KQL10438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPAPLWVVLGRVALVQHDSVKDPGDLSVKLSLPPRASTLTVPMSVHPKPGYDDTDRHPYAIAAGDAGVLLHASRWPFVGFDLDRDPPGILLVARDFLAAAGPGKALATSVVRVPDRARTYQPGISSVRNVGLVSLPGSGGAEYVVAELRIAAGSDDDGRATLLTFRSGTDAWVQKDLSCPSMSGRRWMWSSHDVIAHDGKLWWVNLVWGLLGCDPFADEPVLHHVAFQETYPVVGHTAEDVVSRRMVRVSQGKVRFVEVARGRAHRQEETLVVVWTLVFGPSGFTFWKQQSATSLGRIWASDSYRATGLSATVPVLALLHPSNPDVVYFFLEKYLEMYLFGVSVSRSTVVHFVHNPFDLVKVVSGHRRPPPISWRHVLAWELPASLANGKANASNDQLKHG >KQL10323 pep chromosome:Setaria_italica_v2.0:IV:12919269:12920328:1 gene:SETIT_007336mg transcript:KQL10323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQPKNHQDGATEAPEERKIPRATVARIMRKATPPNSKIGADAKEAVDQCLVEFAAFITQVAAEECRRDKRTTVTGDDLILAFKNLGFDDYVGTLTLYLRRYREIEGNMPRARHSTMRSQGAPPAPAALTVEAAAAPSSGLTLQLGPPSVPDVTELGLHADVYAVWRGAAAPAAAGTSQAPSGADEEE >KQL11387 pep chromosome:Setaria_italica_v2.0:IV:33108604:33108805:-1 gene:SETIT_008891mg transcript:KQL11387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLHLESFILLCCWQPGTSRNTEAVLFSRACHFQ >KQL11100 pep chromosome:Setaria_italica_v2.0:IV:30738233:30739344:-1 gene:SETIT_007237mg transcript:KQL11100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVSSTLLCFQEAQEIVLRSRSQSQQMSNGAPPQPSSASRAHVRRVDRSALDEDSNLSGERRRRPPLHPTTNRRRIFLAPRGGGGDLSAAGPRGTNTVERSCGTGKKDSPGRSQQRFRLAAAAGALAGFRGAGGHTVAGRSRHGDDVGVLKTASTTSRATPTPKAPGRGNKEPMAVGVTQPVSAASGPSFPRRGVAAPPLLAAVWIGRSTANSERSSSTPIG >KQL11746 pep chromosome:Setaria_italica_v2.0:IV:36526397:36529172:-1 gene:SETIT_006907mg transcript:KQL11746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFQGQNPENRGDHTCKSLPSKKKLCKVPESVEGHIIDDDDDDSSKDYSVRDASKQVVLYNPEITHDKQSDTDYYTSPRQSSKKPRYGYGTVLPSIGAYTVQCATCYKWRIVPTKEKYEELRESISQELFVCTRASEWNRALSCDEPEDMSQDGSRVWALDKPNIAQPPPGWDREVRIRGASTKFADVYYTSPSGKKLRSLVEIGRYLKENPHYIREGVNLSQFSFATPKPLQEDYVRKHTLRDAHELLEFPEIAQVDPLCWAAPPTRRELLTGPSSSTSDPASINQPEMSDRVDLHQPEASEPPSRYRNMRALKQLSSRK >KQL09196 pep chromosome:Setaria_italica_v2.0:IV:1816964:1818858:-1 gene:SETIT_006080mg transcript:KQL09196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASAALRRLRSSPRLWLATCASYGGASASAAAVGLERVEDSSRCIAELAAEGRVWDARRLFEGTPDRDVVAWTAMVSAYARRGMLRDARALFDHPDARRNVVTWTALLSGYARARRVEEAEALFERMPERNVVSWNTMLEAYVAAGRVDDACTLFDRMPVRDAGSWNILLAALVRAGRVDEARKLFQRMPGRDVMAYTTMVTGIARYGNVDEARVLFDAMPNRNVVSWNAMISGYIGNHRIDEALDLFMKMNRRDTASWNIMINGFIQNKDLKRARELFDKTPERNVVTWTTMMKGYLQGMQSELALRLFNGMLTEGVTPNKVTFLGALDACSNLAALCEGQQVHQMICKTAFQFDTFVESALMNVYSKCGEIGLARKLFDVSREKDLISWNGIIAAYAHHGVALEAIRLYDKMQENGYKPNDVTYVVLLSACSHSGLVDDGLKIFESMVKDRSVAVRDEHYTSLIDLCSRAGRLDDAKRLIHCLKIKPASGSVWSALLGGCNAHGNVSIGNLAARSLLEAEPDNAGTYTLLSNIYASAGKWKEAAQIRSEMNNRGLKKQPGCSWIEVANKVHIFVSRDKSHSESELINGLVQDIHHMMRIVGTVPTDNMLFDEEVVAI >KQL11910 pep chromosome:Setaria_italica_v2.0:IV:37561461:37565126:-1 gene:SETIT_008380mg transcript:KQL11910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCKKIWNEFWSLPGGAGVGKALLLLMAVGVAIHGGGARCFASDTISANSGISGSRTVVSKGGNFELGFFSPAGDGSTTTSSPRSYYVGIWYKKAVSQRTPVWVANRAVPVSDPASSQLAVAADGNLVLINEAGKLVWSSNVSSAAGSSNGTVEAVILDTGNLVLRREKGEVLWQSIEHPTDTWLPGVHLGLNKITGDVRALVSWKSSGDPAPGMFTLGIDPNGSSQFFLSWNRTVSFWSSGEWNGDIFAGIPEMTSHDKYDFEFVSDANASYFTYSLQDPTVISRLVLDVSGQARQIMWVPSAGEWMVIWMEPHQLCDVYAVCGAFGVCSEKSEPFCTCPAGFRPASVGDWELGDHSHGCRRNHPLQCDRRNANSSVHGEDDGDAFLLAPGISLPRNPSPAQASSAQDCRLACLRSCDCTAYSYGSRCALWYGGLLNLQRRVDDTAGVDDLYLRLSAMDVPSEGRKRRIVFARVATVVTLVLGLSVIVFVAVRTFRRKQRSITFMQAASEGGNLVAFKYSDVRRATRNFSEKLGGGGFGSVYKGTLPGGGRAAIAVKKLEGRLCVGEKQFWNEVRTIGVIQHVNLVRLRGFCSHGGERLLVYDHMPNGSLDKALFGGAAPALSWPARFRIALGTARGLLYLHEGCRDRIIHCDVKPENILLDGVLVPKVADFGMAKLVGREFSRVLTTARGTVGYLAPEWISGVPVTAKADVYSYGMVLLEIVSGRRNAWGSEDTEQGPSFSGYFPLVAARMVSGGEVLAGLLDERLRGDADVDAGEVERVCRVACWCVQDDEAHRPSMELVVQALEGVVAVDVPPVPRSLQALVEGSGSVMSALTDLF >KQL10001 pep chromosome:Setaria_italica_v2.0:IV:8254083:8255341:1 gene:SETIT_007662mg transcript:KQL10001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRASVSEEEINELISRLQTLLPSARRRGGSQASTTKLLKETCSYIKSLHREVDDLSDRLSDLMATMDHNSPGAEIIRSLLR >KQK88518 pep chromosome:Setaria_italica_v2.0:IX:14767132:14775083:-1 gene:SETIT_034148mg transcript:KQK88518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRSRSFSDGGGGVSSSQDAFDFDAEDDVLGSSASQPLPPPPSQESSSMWEFDEDPPPSPPQPRPEARRRGGPRRGRGGGWELAEPPAAAPTATLMEAEEYGEMMESVDEVMFALDGIRPAAQKRTRRASLLALLGICASAERRRVLRAQGLLQQIIDAILILDIDDPPCAIGAGALLFVLASDVQDNNLLDSETCVHFLLKLLNPPVNVVDAKTSSIGSKLLGISKFQMLNGPNKDTDSSSEDILSKVEEILLSCKEIKPLDRDGRRTARPELCSKWLALLTMEKACLSAVALEETSDMVTRVGGSFKETLRQSGGLDNIFNIMVNCHSELERLVKDTSTTAVELKEGTPLQSAALLLKCFKILENATFLSDNNKSHLLRMSHKLSPKCSLPFIGVVINTIELLSALSLLQNSSSVSRKADSKSSKVSNGGCSADSRGAALLNRHGKCKNSTNKNLLLNQRRQNCLSSKLEVSHITISSSSDACHSQRTRDCSPSISSNGASSGSFVERRSNGIGLKLNVRKDRSKANPIRGSSGWISIKAQTSDVNSRELAKKRRLSENADTGLSNGGDDPFAFDDVDQEPSSWDLFGPKQKSPQKRAKQANGKVLDNCGTAVIESPESCQPEDIFESGATSDSKAEGESSLLEDCLLASVKVLMNLANDNPSGCEHIASCGGINTMASLIIKHFPSFDFSMNKNNQLKESVSSCQDVNVNKDLSSYQNSKAQQVKAKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLANARVSVNTSQNQDSGGAQRDVIPLLCSIFLASQGAGETAEAISPDDEESLLQGAREAEMMIVEAYAALVLAFLSTESMKVREAITSCLPDNSLKILVPVLEKFVTFHLQLNMMSRETHSSVTEVIDRCRLS >KQK88517 pep chromosome:Setaria_italica_v2.0:IX:14766756:14775140:-1 gene:SETIT_034148mg transcript:KQK88517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRTYGRRSRSFSDGGGGVSSSQDAFDFDAEDDVLGSSASQPLPPPPSQESSSMWEFDEDPPPSPPQPRPEARRRGGPRRGRGGGWELAEPPAAAPTATLMEAEEYGEMMESVDEVMFALDGIRPAAQKRTRRASLLALLGICASAERRRVLRAQGLLQQIIDAILILDIDDPPCAIGAGALLFVLASDVQDNNLLDSETCVHFLLKLLNPPVNVVDAKTSSIGSKLLGISKFQMLNGPNKDTDSSSEDILSKVEEILLSCKEIKPLDRDGRRTARPELCSKWLALLTMEKACLSAVALEETSDMVTRVGGSFKETLRQSGGLDNIFNIMVNCHSELERLVKDTSTTAVELKEGTPLQSAALLLKCFKILENATFLSDNNKSHLLRMSHKLSPKCSLPFIGVVINTIELLSALSLLQNSSSVSRKADSKSSKVSNGGCSDSRGAALLNRHGKCKNSTNKNLLLNQRRQNCLSSKLEVSHITISSSSDACHSQRTRDCSPSISSNGASSGSFVERRSNGIGLKLNVRKDRSKANPIRGSSGWISIKAQTSDVNSRELAKKRRLSENADTGLSNGGDDPFAFDDVDQEPSSWDLFGPKQKSPQKRAKQANGKVLDNCGTAVIESPESCQPEDIFESGATSDSKAEGESSLLEDCLLASVKVLMNLANDNPSGCEHIASCGGINTMASLIIKHFPSFDFSMNKNNQLKESVSSCQDVNVNKDLSSYQNSKAQQVKAKQLRDHELDFLVAILGLLVNLVEKDSLNRVRLANARVSVNTSQNQDSGGAQRDVIPLLCSIFLASQGAGETAEAISPDDEESLLQGAREAEMMIVEAYAALVLAFLSTESMKVREAITSCLPDNSLKILVPVLEKFVTFHLQLNMMSRETHSSVTEVIDRCRLS >KQK88906 pep chromosome:Setaria_italica_v2.0:IX:18416322:18418085:-1 gene:SETIT_035855mg transcript:KQK88906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCSIASGLSFGRTPKGVIATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILFGAIVALVVSAGFRNSYPAPSYQDNPTASLVVPEADYVWRIILMFGTIPAALTYYWRMKMPETARYTALIARNAKQAAADMSKVLNAEIVEDDADRAGREAAGAGSNKWGLFSAQFVRRHGLHLVATTSTWFLLDIAFYSQNLFQKDIFSKVGWIPPARTMNAVEEVFRIARAQALIALCGTIPGYWFTVAFIDIIGRFAIQLMGFFMMTVFMLGLAVPYHHWTTPGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQDPHKPEDGYSPGIGIRNALFVLAGTNFLGMIMTLLVPESKGLSLEEISKEAVDDEAAA >KQK90945 pep chromosome:Setaria_italica_v2.0:IX:46760055:46763665:-1 gene:SETIT_036433mg transcript:KQK90945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPRSGQGKRRGLGPRIPATKLRKQQAALANVDQITGAKIPKSFVFSRGKLPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFVNVAGPLGVTHFLILSNPKSLPHLRFANSPQGPTFTFQIEEYALAADIANSQKRPRCPPGIFKNSPLVVLSGFSGLGNPFESLVEYFQHMVPAVDPSTVKLAECQRILLLKYDKEKEVIDFRHYSIKLQPVGVSRRIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSESEVDDETATVSLPSDVDKLNKASRKSAVRLQEVGPRMTMRLVKVEGGLCSGDVLYPWPVAKEAVGKGEATEEEIEGQEETEDGLEDGSEDEMEE >KQK92099 pep chromosome:Setaria_italica_v2.0:IX:53654868:53657742:1 gene:SETIT_037681mg transcript:KQK92099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGFSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADRENMAIAKGELHDLLSKPSLTGIPLLVIGNKIDKPEAFPKQSFTEVMGLKTITDREVACFMISCKNSTNIDSVIDWLVKHSKKKN >KQK91871 pep chromosome:Setaria_italica_v2.0:IX:52438319:52438762:1 gene:SETIT_040293mg transcript:KQK91871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYDLDGCYSFCLYLSKVSPHFLIPSLFLKKFHAYEL >KQK88597 pep chromosome:Setaria_italica_v2.0:IX:15427935:15429293:-1 gene:SETIT_039553mg transcript:KQK88597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEAHEVLVVVPPRLGVQPIVSFHEFARSLRQALFGPSTSADGQDSLWADINEPCISCTGYFTAGYDEEAVGPPVEFAVVHLRRRYHSYMAGHRAGLISVAHEQPPAEGHRVARFGEEIDGRPRLNTLNRHQTNRACIRAAVHRLLLPPRPYEDYHPHPACIRCGDPADWATSCCSMILCAYCLPRELVMSHLRRFCTCKAREEGGLALRPLRRPRDTGTHEPESRWALTRQLLVQVEEEEDDRSFPRDIFLFRTFTSLEDLEGLTPPRRHTDLYHMRMDGTLRRACIIRGAELPFLW >KQK87335 pep chromosome:Setaria_italica_v2.0:IX:6729031:6731872:-1 gene:SETIT_035894mg transcript:KQK87335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQSSSKNHRSRGLKLKKALQITLLVLVSVWLIYQVKHSYEKKASYSEDEGNDLHKDDKDQGEVIRLGRKDLPPKIEADSSTLDEKVEDEENDEMEQEMKHDENDEDPIDEQDLEKDDDLPEPGEHSADKDGDDVGVFEDEERKERSQEDQEKSFHGDNVSSAVTHDSPSSEQEELSHHAQEKVLFVDDASMAVPHENQEAGSKEEEVRKAREKSFRGDDVASSVDHDAKVKKPLPEEQLNTMDRIFEGTTNLSNGVSFRGPGVNGSNATREHAATPTNTSSHQNADIPIMDSESKTNPTPANLTRDHEQNNSTLNGQPEQQVNSTVVLQGQVQPLTDQTPSVELHSPPNGTLALVTDGQKSASRAGDDDNNTGSSSTLVDNKVEGEDAHKEDVDVSTKIMNRAMSEEEVVPE >KQK92645 pep chromosome:Setaria_italica_v2.0:IX:56594412:56595965:-1 gene:SETIT_038639mg transcript:KQK92645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWVSFLEISLSFLCFVVFYYFHIRSKRKNPLIPLDWPLVGMLPALLGNLHHLHEWITSLLVASPLNFLFTGPPRSGMQFFVTADPANVRHVFTSNFANYPKGPDFEEIFDILGGGIFNADGESWRRQRAKAQLLMSGAPFRAFVSRYSRDKVETALLPLLSHFAGTGEAFNLQDVFLRLTFDTTTTLVFGVDPGCLSIGLPDVPFARAMDDAMHVLLLRHVVPMTWWKLARRLRIGHERKMETARRTIDRFVADTIAKRRAEKARGGVEASADLLSSYIDDDGEEGAGGTVDAFLRDTTINLMLAGRDTTGSALSWFFYLLTQNPRVVAKILEELETVKQGTSAVIAPDGTVTFDPDELGRLTYLHAALCESLRLYPPVPQELKEAAAADVLPCGHEVRAGDKILVWLYAMGRMEDVWGSDCREFRPERWISAEDGRVRYVPSYRFMSFNSGPRTCLGKDMAFVQLKAAAAAVVWNFEVEAVPGHVVEPKLSIILHMKNGFMATVKRRRQAAALA >KQK88979 pep chromosome:Setaria_italica_v2.0:IX:19127886:19130454:1 gene:SETIT_037375mg transcript:KQK88979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVDPVGTSGGGAVGVGMLGAGGGSAAAAMDGARPVDLARHPSGIVPALQNIVSTVNLDCQLDLKMIALQARNAEYNPKRFAAVIMRIREPKTTALVFASGKMVCTGAKSEEHSKLAARKYARIIQKLGYPAKFKDFKIQNMVGSCDVKFPIRLEGLAYSHGAFSNYEPELFPGLIYRMKHPKIVLLIFVSGKIVLTGAKVREEIYAAFENIYPVLTEYRKCQK >KQK91660 pep chromosome:Setaria_italica_v2.0:IX:51096264:51096730:1 gene:SETIT_038302mg transcript:KQK91660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWSDSENERFERALATYGIDAWERVAAAVGGGRTADDARRHFDHLQEDVGDIESRGRAHDARDARNGNRNNRGRANRPQT >KQK87987 pep chromosome:Setaria_italica_v2.0:IX:10621033:10621626:-1 gene:SETIT_038966mg transcript:KQK87987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAVAVATSRSPMAPAPAPAPAPSSPAATSFLPASCAATNALDACYNLLLPYVDTFHGNLARVARAAAAIAGARQRDFAGELGRLKLRGTGAGKVADMTLADCFNEVSTSNLFANETLGHIDNLVGRLGSREDFDSQRVLAQELLYSTESGLMQCVDWFHGAGEADVSSPVGKEVIDGCTTVSAYVDIALMLVNAIKF >KQK86415 pep chromosome:Setaria_italica_v2.0:IX:1721408:1724381:-1 gene:SETIT_0394982mg transcript:KQK86415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFVDIYDVPHFINSLKYDVRIVMSVPKITAQGKTKKLRAYKIDPPRDAPVTWYRTTALEKIRKYGAIYLTPFSHRLAEEIDDPELQRLRCRVNYHALRFKSNIMKTSSDIVNKLRSEGHFMSIHLRFELDMLAYAGCVDIFTPKEREILLKYRADHFPEKSLVSKERRLIGKCPLTPEEVGLILRAMGFDNTTRIYLASGKLFGGERFMKIFKDMFPRLENHSMVGSGKLEETRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYNGFRTTITPNRKAIAPIFMDREEGRASGFEERVRQVMFNTHFGAPHKRNHPESFYTNSWPECFCQTKARNHADQCPPDSLNDVLVSQFQDKEDTEVEATNQTDSTSQTEELADGTGLATV >KQK90285 pep chromosome:Setaria_italica_v2.0:IX:41253730:41254083:1 gene:SETIT_039899mg transcript:KQK90285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRLAALLLATVAIAAAPAAAARAGPRLAGGWGPIKDVSDPHIQELGGWAVAEHARRANDGLRFGGVSRGEEQVVSGMNYNLVLDATDANGATAAYGAFVYEQVWTNTRELMSFAALN >KQK90871 pep chromosome:Setaria_italica_v2.0:IX:46290944:46294855:-1 gene:SETIT_037512mg transcript:KQK90871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVVVLGSSEGVKGTIYFTQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDDNRHAGDLGNVTAGADGVANIHVTDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRIACGIIGLQG >KQK90872 pep chromosome:Setaria_italica_v2.0:IX:46291599:46293199:-1 gene:SETIT_037512mg transcript:KQK90872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDDNRHAGDLGNVTAGADGVANIHVTDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRIACGIIGLQG >KQK90870 pep chromosome:Setaria_italica_v2.0:IX:46290944:46294855:-1 gene:SETIT_037512mg transcript:KQK90870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVVVLGSSEGVKGTIYFTQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDDNRHAGDLGNVTAGADGVANIHVTDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRIACGIIGLQG >KQK90869 pep chromosome:Setaria_italica_v2.0:IX:46291567:46293272:-1 gene:SETIT_037512mg transcript:KQK90869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVVVLGSSEGVKGTIYFTQEGDGPTTVTGSVSGLKPGLHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDDNRHAGDLGNVTAGADGVANIHVTDSQIPLTGPNSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRIACGMFTVSPAGQPHSFLQTSIIFCIITNTKCSLLYYMDFSLHCIIISRDHWTPGLKLKVVAGLEC >KQK92670 pep chromosome:Setaria_italica_v2.0:IX:56706287:56708799:1 gene:SETIT_035777mg transcript:KQK92670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLCGSGGRRPPPFLSPSASFSSSLHSKRSRPFRRLPSLPKPPLAPPAPHFAGRRRNKAPARLWMRMDRWGGCEVFMCDKAFVVERSGVHTRELRVIGPLLSRYPSILAREKAMVINLEFIRAIVTADEVLLLEPLAQEVIPFIDKLRHHFPLKSMVVDVGATQVDNLDGKHAQTGAECELPFEFQVLELALEAVCSSFRSSLSDLNRHAVFVLDELTKNVSTRNLERVRSLKSNLTSLLAGVHKVRDEVEYLLDHNENMAQLPLSWKQAKNQQDQALLVSAAVSSNFPSKTSLARPNPITNQAMGIATSAPLDTDAGNLEMLLESYFMQLDGIRNRIVMVREYIVDTEDYINIQLDNQRNQLIQFHLALIIVSFGIAINTLIAASFAMNLPHNGDENTAVGPFWPFVGATSSFCLLVVIVLFTYAWRNRLLGS >KQK89755 pep chromosome:Setaria_italica_v2.0:IX:35638863:35641039:1 gene:SETIT_037557mg transcript:KQK89755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTAAPGLAVAATDPVRSFLSSTAASGDLDADLRELASALSSEPDVPYRSLRAIWCAASPDARPPLRSLLRGAEFVLPSPKPREKSDELKARLEKLREMQERKEYAELVRDVAPPSRDDSPEPFSSYKDQIGFGLHVVVIMFTGYLVGFAMFKALFNNSPVLNAAGGILGVVGGMLVETVLFIIRSSSKELASSVPKSKKVQ >KQK90704 pep chromosome:Setaria_italica_v2.0:IX:45198469:45199230:-1 gene:SETIT_037937mg transcript:KQK90704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLLEQGLDLELSLLPADSDPEPPGFFLCTYCDRKFYTSQALGGHQNAHKHERTLAKRRREIAAAMRAHGASAVGAWVDAAFRGGAGGAGRVSVAAGDERDRARPLQEAVLAPGKSSRLLRDKKSSSEYGVERADELDLSLRL >KQK92182 pep chromosome:Setaria_italica_v2.0:IX:54196033:54197357:1 gene:SETIT_036827mg transcript:KQK92182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTIDWHGRNAALYEMAADSKELVRALAAAPPMQQAPTISFAYPCSSGAERQGAAGSLLGGGGLTLAQVLQVQSQLQFLRRPAGGALAAVATHPMKRPGVVKAPAPVPRPVSKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDSAAFRLRGDSARLNFPELRRGGAHLGPPLHAAVDAKLHAICNGTDVAPPQNQSQSQRNATAATAATTPSTFSSASPDVKSEPGCSGSESSSSADGDVSSTGSSDVVPEMQLLDFSEAPWDESESFHLRKYPSLEIDWDSILS >KQK90843 pep chromosome:Setaria_italica_v2.0:IX:46134917:46135933:-1 gene:SETIT_038581mg transcript:KQK90843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRVLLSRDDRTTSLVEIASATATPGAAATVGFRILVVQCYRAGVLGDADEDDDDVDTMEDVACRVPVDELVKGGEAAAAAVDRAFEALVSRLDHPTLIPEVAPEARKAATQVQAMCAEREIGAALAGVEFRLRLVFLDPLEDESEPDSEDDEEEEVGSDLEFDDECWERGRLDDGDTGYEDAHVVPSDDDGALVQSQPDGGAWRYEHGHAALNDDDDDEDGGGQFSARPFDGALAREVEPSDGTLLLSGFEARADGPEPGEQHELTPRDVRRLVRLAFSGGDVEGDEAYQRALASGEPVSPATRAAMLDRALRSAGRRPLAPSPSGMPPRMRTGW >KQK90188 pep chromosome:Setaria_italica_v2.0:IX:40461958:40462385:1 gene:SETIT_040615mg transcript:KQK90188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSLFGAMLGRERCSSSQRAAAASIVRTYCFFHLKG >KQK90399 pep chromosome:Setaria_italica_v2.0:IX:42375550:42378502:-1 gene:SETIT_040330mg transcript:KQK90399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPNVAGLVENDAISVIMEGEVVCEICGSGSAPHLIANCARCNAHEHWYCMRVLTFLIPRIWFCYRCQHEANRAPRS >KQK91582 pep chromosome:Setaria_italica_v2.0:IX:50631992:50640624:-1 gene:SETIT_034248mg transcript:KQK91582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELDHLVDMSKVKMDVMKPWIAKRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWGLLLSAQQNASGVPQQFLDEKEAEIQQRKAEDDRIAQEIQKKREKEGIDLELEKQKMMDGDTSNSRSYGDPNGSALNKTNIDATEEMELDFKRSSRTKNRGSRRSRSISLSPHGRQRSISPRKRSRSPSRRSRSIDRHRRSSRRSTSPRRSASPRRHSPRSTPSISRRRSPYSRRGSPSLSRYPSPPSRRRSPLRRKSPSSGSRRSPSPRHRRSPARAPRRSPSPARRRSPVRHRFSPGRHRSPSPARRRPRSPSPGRRRPRSPSPGRRRPRSPSPGRRRPRSPSPGSRRPRSPSPGRRRPWSRSPVKRRSPSPKRRRRSPSSPKTRYANRRPSPQRRRSISPYSSKSPNRSRRSLSVEIEKGTNGTPSTKDRVVPERDRERRSGDDSDRDGARTGDHRSPDSERRLSNSLRPPNNEERNSTRDRSSGKQLPSQDSTDTSGDEDEGSRARESARKANSARRKTKDFSADRQLNKVGAGVLSPGEKSPFIGKGVQKKHPDQLSESSEDELVGRRMKRRTDSPDERSPPRIEKDDSHSKDERNSERAVRGSRDDSDNATGAKKYFSKVNEGSQSEDGSPVKKLKKRTDVKSHIDSGSSGSEEPDKHRSHSEKQRNKKAHKHKKQYDDSSESDSEPDGKEAKRRRKEEKKLRKEERRRRREERHRRRAERHASKQKSKHSDTVAPSDSEKDRDSDSDVDVRKRGSPVGREESDQKKLEIELREKALESLRAKKAINN >KQK91583 pep chromosome:Setaria_italica_v2.0:IX:50633999:50640624:-1 gene:SETIT_034248mg transcript:KQK91583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELDHLVDMSKVKMDVMKPWIAKRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWGLLLSAQQNASGVPQQFLDEKEAEIQQRKAEDDRIAQEIQKKREKEGIDLELEKQKMMDGDTSNSRSYGDPNGSALNKTNIDATEEMELDFKRSSRTKNRGSRRSRSISLSPHGRQRSISPRKRSRSPSRRSRSIDRHRRSSRRSTSPRRSASPRRHSPRSTPSISRRRSPYSRRGSPSLSRYPSPPSRRRSPLRRKSPSSGSRRSPSPRHRRSPARAPRRSPSPARRRSPVRHRFSPGRHRSPSPARRRPRSPSPGRRRPRSPSPGRRRPRSPSPGRRRPRSPSPGSRRPRSPSPGRRRPWSRSPVKRRSPSPKRRRRSPSSPKTRYANRRPSPQRRRSISPYSSKSPNRSRRSLSVEIEKGTNGTPSTKDRVVPERDRERRSGDDSDRDGARTGDHRSPDSERRLSNSLRPPNNEERNSTRDRSSGKQLPSQDSTDTSGDEDEGSRARESARKANSARRKTKDFSADRQLNKVGAGVLSPGEKSPFMLQSGKGVQKKHPDQLSESSEDELVGRRMKRRTDSPDERSPPRIEKDDSHSKDERNSERAVRGSRDDSDNATGAKKYFSKVNEGSQSEDGSPVKKLKKRTDVKSHIDSGSSGSEEPDKHRSHSEKQRNKKAHKHKKQYDDSSESDSEPDGKEAKRRRKEEKKLRKEERRRRREERHRRRAERHASKQKSKHSDTVAPSDSEKDRDSDSDVDVRKRGSPVGREESDQKKLEIELREKALESLRAKKAINN >KQK91580 pep chromosome:Setaria_italica_v2.0:IX:50634393:50640109:-1 gene:SETIT_034248mg transcript:KQK91580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVKMDVMKPWIAKRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWGLLLSAQQNASGVPQQFLDEKEAEIQQRKAEDDRIAQEIQKKREKEGIDLELEKQKMMDGDTSNSRSYGDPNGSALNKTNIDATEEMELDFKRSSRTKNRGSRRSRSISLSPHGRQRSISPRKRSRSPSRRSRSIDRHRRSSRRSTSPRRSASPRRHSPRSTPSISRRRSPYSRRGSPSLSRYPSPPSRRRSPLRRKSPSSGSRRSPSPRHRRSPARAPRRSPSPARRRSPVRHRFSPGRHRSPSPARRRPRSPSPGRRRPRSPSPGRRRPRSPSPGRRRPRSPSPGSRRPRSPSPGRRRPWSRSPVKRRSPSPKRRRRSPSSPKTRYANRRPSPQRRRSISPYSSKSPNRSRRSLSVEIEKGTNGTPSTKDRVVPERDRERRSGDDSDRDGARTGDHRSPDSERRLSNSLRPPNNEERNSTRDRSSGKQLPSQDSTDTSGDEDEGSRARESARKANSARRKTKDFSADRQLNKVGAGVLSPGEKSPFIGKGVQKKHPDQLSESSEDELVGRRMKRRTDSPDERSPPRIEKDDSHSKDERNSERAVRGSRDDSDNATGAKKYFSKVNEGSQSEDGSPVKKLKKRTDVKSHIDSGSSGSEEPDKHRSHSEKQRNKKAHKHKKQYDDSSESDSEPDGKEAKRRRKEEKKLRKEERRRRREERHRRRAERHASKQKSKHSDTVAPSDSEKDRDSDSDVDVRKRGSPVGREESDQKKLEIELREKALESLRAKKAINN >KQK91581 pep chromosome:Setaria_italica_v2.0:IX:50633258:50640483:-1 gene:SETIT_034248mg transcript:KQK91581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGFFRGTSADQDTRFSNKQAKLLKTQKFAPELDHLVDMSKVKMDVMKPWIAKRVTELLGFEDEVLINFIYGLLEEKEADGKKIQIQLTGFMEKNTVKFMKELWGLLLSAQQNASGVPQQFLDEKEAEIQQRKAEDDRIAQEIQKKREKEGIDLELEKQKMMDGDTSNSRSYGDPNGSALNKTNIDATEEMELDFKRSSRTKNRGSRRSRSISLSPHGRQRSISPRKRSRSPSRRSRSIDRHRRSSRRSTSPRRSASPRRHSPRSTPSISRRRSPYSRRGSPSLSRYPSPPSRRRSPLRRKSPSSGSRRSPSPRHRRSPARAPRRSPSPARRRSPVRHRFSPGRHRSPSPARRRPRSPSPGRRRPRSPSPGRRRPRSPSPGRRRPRSPSPGSRRPRSPSPGRRRPWSRSPVKRRSPSPKRRRRSPSSPKTRYANRRPSPQRRRSISPYSSKSPNRSRRSLSVEIEKGTNGTPSTKDRVVPERDRERRSGDDSDRDGARTGDHRSPDSERRLSNSLRPPNNEERNSTRDRSSGKQLPSQDSTDTSGDEDEGSRARESARKANSARRKTKDFSADRQLNKVGAGVLSPGEKSPFIGKGVQKKHPDQLSESSEDELVGRRMKRRTDSPDERSPPRIEKDDSHSKDERNSERAVRGSRDDSDNATGAKKYFSKVNEGSQSEDGSPVKKLKKRTDVKSHIDSGSSGSEEPDKHRSHSEKQRNKKAHKHKKQYDDSSESDSEPDGKEAKRRRKEEKKLRKEERRRRREERHRRRAERHASKQKSKHSDTVAPSDSEKDRDSDSDVDVRKRGSPVGREESDQKKLEIELREKALESLRAKKAINN >KQK86973 pep chromosome:Setaria_italica_v2.0:IX:4813579:4818044:-1 gene:SETIT_034753mg transcript:KQK86973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGAAAAAPRLNPSPSPHRRRASSALSPAKSNANANAGDARPKPKAKAVSSRYLLAPSSRSTSTSTSTSTSTTTTSSSSNSTSTSASTPSRRFASPLPRRSVSVDRPRPGPAGNAAVGEAGGPNAGTTTTTRSLSVAFQGRSFSFETSKVKPATSPSPSRRPVASAAGVITPERRRPAMGTVPERGKGFEGGGHTHHRWPMSARLSQGFEGNPLTKSLDCSLHKRDAAVLAAVRSLRQSMVFEEGGRRSSFDSGDYLMSSDTESVSSGSNSGSQDAGIGISHRGRQSSKGMSVPARFLQDAAASRSQRFADPGTPYLPYTSGLAASPRTAPVKKSLLNGFASSPLNRPIRQSSPSKLTGNPSRRMSSPSRARNSVGSGTSSWDHQGRSSSGYGINGEARRRWHGGSKVDCEHLLRILSNRQLQWRCVNAQADAALAAQKLTAEKFLSDAWITTLGMRKSVALKRFQLQLYRNNWKLMTVLKGQMAYLEEWSLLERDYTDSLSGIVEALTASILCLPVTDGAKADIQDVKNAVGSAVDIMQTIGSSICTLLAKLAGTSILVSDLSKVATQEGTLMEQSRELLSTLATMHVKYCSLQGQRVQTTDRRSMHT >KQK87521 pep chromosome:Setaria_italica_v2.0:IX:7770118:7772102:-1 gene:SETIT_038762mg transcript:KQK87521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STGGGFRRHGRRLVLLRRGGTGGERTRRRSSLIFHCTNEANVLTEDGTLDADATDDETDLETDTDDTIDADGDTEDELESSLPEDVEWIKHQPLPYPSDALEPYISKETVEQHWVVHQQMHVDRLNGMIGGSEWEGMSLGQMMLSSFNEGREQPHPPFFHAAQVWNHDFYWRSMKPGGGGKPPERLLKFINRDFGSYEHMLQQFMDAALTQFGSGWVWLSYKESKLPYVKSRSPIPSDNYGRLVISKTPNAINPLVWGHSHAYYLDYEDRRADYVAAVLEKLVSWEVVESRLTKAVQRAVERDGYLRKRVLKKRHLAQANGQDRARSRTPREAR >KQK86410 pep chromosome:Setaria_italica_v2.0:IX:1706504:1706773:-1 gene:SETIT_039900mg transcript:KQK86410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEYHGEETMMAAAAEEGWQTPRREDCRIPVVPPCPAAPPRKKAVALPELGGSGKRREPPKGGYFQPPDLEALFVLAPPRRQAASSCA >KQK86295 pep chromosome:Setaria_italica_v2.0:IX:1154974:1157856:-1 gene:SETIT_037803mg transcript:KQK86295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLEKCWFCSSTIYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNVTEQTLKAIPIITKARHERLKKHISDRQKPGKKKELERDNKELEQDINMLPKKLISNEASAEKTKVKVKVKVVQQQTEDRMEE >KQK87654 pep chromosome:Setaria_italica_v2.0:IX:8527018:8533254:1 gene:SETIT_034002mg transcript:KQK87654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLDEFASKLVGILAGMVKEEVEMLLGVPGEVTKLETTLRDLSDILGDAERKRIRDKATEGWVRELKDVMYDADDVLDLCQLMEGGEDPPAPTSAPKTTSRCWDIPKMFFCFRNPVVAHEIGTKIQAINQRLEDLATRSSRFGFITQAIHSSADSINKASNSLSTDETGSVFIRSDVVGEKVEDDTKKIVDLLIKKMDAPVGSRANNDVVVAVAITGTGGIGKTTLAQMVFGDSRVEEKFEERIWLSVNREFNEINVLQSLITSFGAKHEGCAGNKDLLQRALKDTIRQKKKFLLVMDDVWRENVWYKLLREPLNHGASGSRVLVTTRNDGVAHGMKAQHLHPVHKLTTEDAWILLKNQVVSNESDEADVDELKSIGMEIVERCDCLPLAVKVLGGLLRHKRRTRDAWTDVSSHDIWSTIGSDEDINKAVYLSYEDLPSHLKQCFVYCSLIPKDHLISSGVIVKLWIAAGHVHNKMSCKVPEKLGKEYYNELVSRNLLEPDKSYYSTVVCRMHDVVRSFAQYIIKDEGILISDGQDVNRTLSTAKLRHLSISNTTVGHDTLQKQALLRTLILFGSSTTVELKDLLNNLSCLRVLHLVKVDLVELPDSICHLKHLRYLCICNASISRIPQGIGDLKFLQSIDLDGCANIHQLPNSILKLRKLRSLNLSDTAITSIPRGLGKLEDLVTIRGFPTHYSDESTGGWCSIEELRPLSKLQSLEIICLEKAPSGSMAAKANLSSKHHLTWLDLVFTSRLGDNGVVEGNISEEEHRRTEEVLDNLCPPTCMEELDIKGYFARGLPQWMRTMSAFGSLRRLVLHDYACCPHLPNGLGQLPFLDYFWVKRAPSVRCVGHDFLFPSLGGQADGKVTRNNNRQPHHTSRGAGVAFPKLSEVGFVGMLGWTNWEWEQHVPAMPTLEELTIRNCKLQHLPAGLAYHACRLRELDLRNIQLLVCVENFPSLVKLWSYDNPRLERISNNPSLQWIDISNCRALEELDGLPSLLSLEWWNLSAEALPEYLREAKLKKLRVDCSRRLLKLIALQDESSEWGKIQHVQQLKVYGCKIEGEAEEADQSHEDEDAEWYIYCTKEPYSFDAYLGKSTG >KQK92293 pep chromosome:Setaria_italica_v2.0:IX:54773991:54777871:-1 gene:SETIT_035050mg transcript:KQK92293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQALLSTEIVNRGVEPSGPDAGSPTFSVRVRRRLPDFLQSVNLKYVRLGYHYLISHGVYLATIPVIVLVCGAEVGSLSRDELWNKVWGEATYDLATVLAFLAVLAFTISVYIMSRPRPVYLIDFACYKPADELKVSKAEFIDLARKSGKFDEDSLAFQSRLLAKSGIGDESYMPRCVFEPNVNCATMKEGRAEASTAMFAALDELFDKCRVRPKDVGVLVVNCSLFNPTPSLSAMIVNHYKMRGNILSYNLGGMGCSAGVIAIDLARDMLQASGAGLAVVVSTEAVSFTWYPGKRRSMLIPNAFFRAGCAAVLLSNRRRDFHRAKYQLEHVVRTHKGADDRAFRSVYQEEDEQRIKGLSISRDLLEVGGHALKTNITTLGPLVLPFSEQLLFFAGVLFRHLFPSKTSTPPPATTPGDASAAAPYIPDFKRAFEHFCMHAASRDVLEHLQSNLGLRDGDLEASRAALHRFGNTSSSSIWYELAYLEAKGRVRRGDRVWQLAFGSGFKCNSAVWRAVRRVRRPSRSPWLDCVDQYPARMDA >KQK88917 pep chromosome:Setaria_italica_v2.0:IX:18638840:18641733:1 gene:SETIT_035696mg transcript:KQK88917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCFRFPSWERERDGDERRGPATTTTKTTGRSLSARSTSSTTSTTDPDVRRSASECCSLNASELSSAGSLGRCRQLSLSSQRPPNALRVFTFQELRSATRGFSRAQMLGEGGFGCVYRGNVRSAAGEPRRSIDVAIKQLSRKGLQGHKEWMTEVNVLGVVEHANLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSARSPRPASWAMRLRVALDTARGLKYLHEESEFKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSTKNDIWSFGVVLYELLTGRRPLDRNRPRGEQNLVDWVKPYSSDAKKLETVIDPRLQGNYSIKSAAQLASVANKCLVRHARYRPKMSEVLDMVQKIVESSELGTPEHPLISNSKELVSDEKKRKVLDLKRRIADIKAGEGRWFAWQRWTPKLVRTQ >KQK88916 pep chromosome:Setaria_italica_v2.0:IX:18638642:18641733:1 gene:SETIT_035696mg transcript:KQK88916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCFRFPSWERERDGDERRGPATTTTKTTGRSLSARSTSSTTSTTDPDVRRSASECCSLNASELSSAGSLGRCRQLSLSSQRPPNALRVFTFQELRSATRGFSRAQMLGEGGFGCVYRGNVRSAAGEPRRSIDVAIKQLSRKGLQGHKEWMTEVNVLGVVEHANLVKLIGYCAEDDERGMQLLLVYEFMPNGSLADHLSARSPRPASWAMRLRVALDTARGLKYLHEESEFKIIFRDLKPSNILLDENWNAKLSDFGLARLGPQEGSHVSTAVVGTIGYAAPEYIHTGRLSTKNDIWSFGVVLYELLTGRRPLDRNRPRGEQNLVDWVKPYSSDAKKLETVIDPRLQGNYSIKSAAQLASVANKCLVRHARYRPKMSEVLDMVQKIVESSELGTPEHPLISNSKELVSDEKKRKVLDLKRRIADIKAGEGRWFAWQRWTPKLVRTQ >KQK90941 pep chromosome:Setaria_italica_v2.0:IX:46746256:46750654:-1 gene:SETIT_036913mg transcript:KQK90941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDAIAGRDGYNFDGHRLRVEPAHGGRGNASSHDRGSGGFGGGARRGVSRHSEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDTEFRNAFGRAYIRVKEYDGKRGRSDSRSRSPSRSYSRSRSPSKSPRARRSASRSRSRSVSSRSRSPSKGRSPSRSPARSKSPNASPANGEAAASPKKQSPSRSPSGSRSPDAKPE >KQK90940 pep chromosome:Setaria_italica_v2.0:IX:46747387:46750386:-1 gene:SETIT_036913mg transcript:KQK90940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRWSRTIYVGNLPGDIREREVEDLFYKYGRIVDIDLKVPPRPPGYAFVEFEDPRDAEDAIAGRDGYNFDGHRLRVEPAHGGRGNASSHDRGSGGFGGGARRGVSRHSEYRVLVTGLPSSASWQDLKDHMRKAGDVCFSEVYREGGGTVGIVDYTNYDDMKYAIKKLDDTEFRNAFGRAYIRVKEYDGKRGRSDSRSRSPSRSYSRSRSPSKSPRARRSASRSRSRSVSSRSRSPSKGRSPSRLNCLAVLSGIVWRSGDCALGY >KQK92449 pep chromosome:Setaria_italica_v2.0:IX:55567477:55567899:-1 gene:SETIT_040403mg transcript:KQK92449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPQNPPPFGMYTMKKIATGGISISRRRQ >KQK87036 pep chromosome:Setaria_italica_v2.0:IX:5190756:5192279:-1 gene:SETIT_040036mg transcript:KQK87036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRAHVTPFMELSHRLVDHGFEVTFVNTEVDHSLLLGALQPAGGAAALGGIHLASIPDGLADNGDRKDLSKVTDACSRHMPGYLERLITEMEAAGRPKVKWLVGDVVVGPCFEVAKKLGIRVASFWAASAACLAIMLDAPKLIEEGLINDKGCPERDETFQLAPGMPPLQTSQMPWMDGMSTPVGQPAMFELITGFNKFINLAEVVVCNSFDEAEVGTFKLYPDILSIGPLFADREFKKPVGHFLPEDERCLKWLDAQPDRSVVYVAFGSMAIFDPRQFEELAEGLELTGWPFLWVVRPDFTVGLEKAWLAEFQQRVAGTGIIVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRKGLPFLCWPYFCDQYLDRSYITNVWKTGVAVSPGADGIVTKEELRSKVEQVLGDGNVKERARLFMDASRWCIIGSGSSCENFSKFVTLLSE >KQK89763 pep chromosome:Setaria_italica_v2.0:IX:35730786:35734287:-1 gene:SETIT_038184mg transcript:KQK89763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVATAAHHGGGGQPCVHSSPWRRRRRLGLAPDVCRCCGGGAAAAALRSGSGRGVIQWLERDGAGEGRPQTTAVGAGDARHGSVGEGRPPAICGGNKVT >KQK89762 pep chromosome:Setaria_italica_v2.0:IX:35733982:35734287:-1 gene:SETIT_038184mg transcript:KQK89762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVATAAHHGGGGQPCVHSSPWRRRRRLGLAPDVCRCCGGGAAAAALRSGSGRGVIQWLERDGAGEGRPQTTAVGAGDARHGSVGEGRPPAICGGNKVT >KQK91924 pep chromosome:Setaria_italica_v2.0:IX:52740177:52741710:1 gene:SETIT_037598mg transcript:KQK91924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCRTKTIVGKREAERSRQPTKPNQCRHDGRRSMAGKAGGLKGVALIGGGANSTVAGALHFFQDPSTGYTEVRGKVTGLAPGRHGFHIHVFGDTTNGCNSTGPHFNPHNKPHGAPFDDERHVGDLGNIVANKDGVAEVFIRDLQVWLS >KQK91926 pep chromosome:Setaria_italica_v2.0:IX:52740177:52742699:1 gene:SETIT_037598mg transcript:KQK91926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCRTKTIVGKREAERSRQPTKPNQCRHDGRRSMAGKAGGLKGVALIGGGANSTVAGALHFFQDPSTGYTEVRGKVTGLAPGRHGFHIHVFGDTTNGCNSTGPHFNPHNKPHGAPFDDERHVGDLGNIVANKDGVAEVFIRDLQISLSGPHSILGRAVVVHADPDDLGRGIIGIQSSV >KQK91925 pep chromosome:Setaria_italica_v2.0:IX:52740177:52742699:1 gene:SETIT_037598mg transcript:KQK91925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCRTKTIVGKREAERSRQPTKPNQCRHDGRRSMAGKAGGLKGVALIGGGANSTVAGALHFFQDPSTGYTEVRGKVTGLAPGRHGFHIHVFGDTTNGCNSTGPHFNPHNKPHGAPFDDERHVGDLGNIVANKDGVAEVFIRDLQISLSGPHSILGRAVVVHADPDDLGRGGHELSKSTGNAGARIGCGIIGIQSSV >KQK90300 pep chromosome:Setaria_italica_v2.0:IX:41373616:41374522:-1 gene:SETIT_039718mg transcript:KQK90300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAARHFTNVTGRGVPLIRPSLSFLPGYADINILVYCNGRLLCRSWTAAGTVRYVVCNPVTEQWAVLPASQAGSICTARLGFDPAVSPHFHAFEFVETDENPVDSYVFINGLKIYSSLTGEWTHRDSGWSDEASLCHDLTTVFLNGILHMFASAHQDVLAVDTEGKAWRTIPVPHGNDDGFIWQSLGCLYYLNTVEEHDFKLTVFVLEDYSSDEWIFKHSCYSLIAVHPECHLVYFISDLDNTIRCYDMDRRKVHVIRNLGCQRDGWKRCLPYVPLFSESFAGWN >KQK91745 pep chromosome:Setaria_italica_v2.0:IX:51557148:51560338:-1 gene:SETIT_038000mg transcript:KQK91745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSLGIPVKLLHEAAGHVVTVELKTGEVYRGSMVECEDNWNCQLENITFTAKDGKVSQLEHVFIRGSRVRFMIIPDMLKNAPMFKRLEARIRGKGSAVGVGRGRAVAMRARAAAGRGGGPVGRGGAPPVRR >KQK87250 pep chromosome:Setaria_italica_v2.0:IX:6269889:6270668:-1 gene:SETIT_038250mg transcript:KQK87250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSMKLSLAFVLLLSGLVVFGEQVAAARKSRVDCSLVRCIQGGYITCDNYPYQKLEGCICDCAPRNGKNCKLHLQSGSTQDCGKEE >KQK91466 pep chromosome:Setaria_italica_v2.0:IX:50001712:50002332:1 gene:SETIT_040252mg transcript:KQK91466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SADKNGAQRESQHRTATRSTRGAEGSNLRGCELGDDLGALGDGVFGVWRVRWGTTRRRTEVRIKAEQGGCHAAAASAAADHHGGDAGTDGESRALSMGAEMVNPAMDLMYDLLGTFLPSPPVSATASLSADSSAPGGGSEDSLSALPDQIHRNVVSRLPAKDVARTAILSSRWRPLWRSSSFWSTPSSSNRPAPRTHPCAREAQAQ >KQK86994 pep chromosome:Setaria_italica_v2.0:IX:4965092:4967130:-1 gene:SETIT_035230mg transcript:KQK86994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAYIAVVSVAFLFLLHYLLGRGGGRRKGKGAQQLLPSPPAVPFLGHLHLVKTPFHAALIRLAARHGPVFSLRMGSRRAVVVSSPECARECLTENDVCFANRPRSPSTGLVSFDGAMLSMASYGPYWRNLRRVAAVQLLSAHRVACMTPVISTEVRAMVRRMDHAAVAAPGGAARVQLKRRLFELSLSVLMETIARTKTSRTEANADTDMSPEANEFKQIVDQIIPYLGTANRWDYLPVLRWFDVFGVRNKILDAVTRRDAFLKRLIDAVRQRLDDGSESENKSMIAVLLTSKKSEPEVYTDTTIMALCTNLFGAGTETTSSTTEWAMSLLLNHPEALKKAQAEIDAAVGTSRLVTADDVSRLTYLQCIISETLRLYPAAPLLLPHESSADCKVGGYDVPRGTMLLVNVYAIHRDPAVWEDPAEFRPERFEGGKAEGRLLMPFGMGRRKCPGETLALRTVGLVLGTLIQCFDWDRVDGVEVDMTEGGGLTMPRAVPLEAMCRPRADMRHVLQEL >KQK86777 pep chromosome:Setaria_italica_v2.0:IX:3679738:3687240:-1 gene:SETIT_034265mg transcript:KQK86777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPHAASKRPFSSTTASPSLTSPAPPLMKKAKHPAAAASSSAGTTEKNGIHLDAAVAAAGGGGSGRTNGEEDTEMVLADQEDLPAPSAQASAGVAANLFRKKATLPQPSTSARKPLRIKIGQPKLPKNFEEDTWAILKDAITAIFLKQKLSCDVEKLYQAAGDLCLHKLGANLYERIKKECEIHIAEKISALVGQSPDLVVFLSLVQRTWQDFCDQMLIIRGIALLLDVKYVKNVANICSVWDMGLQLFRKHLSLSPEIEHKTVTGLLRLIESERLGEAIDRTLLSHLLKMFTALGMYSESFEKPFLECTSEFYATEGVKYMQQSDIPDYLKHVESRLQEEHERCILYLEANTRKPLIATTEKQLLERHTSAIIEKGFTMLMDANRINDLSRMYNLFQRVNAVELLKLALSAYIRATGQGIIVDEEKDKELVPFLLDFKASLDKILEESFAKNEAFSNTIKDSFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMITKLKTECGSHFTNKLEGMFKDIELSKEINDSFRQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVKLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKADFPKGKKELAVSLFQSVVLMLFNDAQKLSFLDIKDSTGIEDKELRRTLQSLACGKVRVLQKIPKGRDVEDKDEFVFNEEFSAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLIAELYQQLKFPVKPADIKKRIESLIDREYLERDRSNPQIYNYLA >KQK90866 pep chromosome:Setaria_italica_v2.0:IX:46272269:46275900:-1 gene:SETIT_037549mg transcript:KQK90866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQTAEELAAQIEQQKLEEQKNEAEEVVVEDDDDDDEDDDDDDKDDDEGQEGDASGKSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISKPETSGLGQEDNEEEVDDTGVEPKDIELVMTQASVSRPKAVKALKAADGDIVTAIMELTN >KQK92197 pep chromosome:Setaria_italica_v2.0:IX:54277072:54281054:1 gene:SETIT_034958mg transcript:KQK92197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCGLWRGFPWVGSKLALHSLVAAVTTASLASSCIPPRTCRPREQAGGGRAPRTPRTARRRQTLTRTPAVSSHGLARRDYVGESRRRRCVRPFPGRELTGLSRRGGIQYPLEFPMIAQPMPIADHNGYTREHGRMGGNGVRVAPFEIGCQSGAGGPAARAPLPLPDAGARDALRGAPRLTSPGLTILPAPARPQLMPLAGIALAPFLLVTPLAPSPRRSCVATAAARRPSALRRARCSATAASGEAEEFGELSRATLLWRAAKLPIYSVALVPLTVGSASAYHHAGLFFARRYFTILAAAVLVITWLNLSNDVFDSDTGADKNKKESVVNIIGSRAVTQNAANVSLLLGFVGLFWAFAEAGDARFIFLVMCAIFCGYVYQGLGEPLCFAAFGPLATTAFYFSNSSVNISSGKVVLPLTKTVVASSVLVGLTTTLILFCSHFHQIDGDLAVGKMSPLVRVGTEAGSRIVAVAIAMLYILLAAFAISKALPSACTVLCGLTLPVGKLVVDYVLKNHEDNAKIFMAKYYCVRLHALFGMALASGLVLARNGVLA >KQK92198 pep chromosome:Setaria_italica_v2.0:IX:54277072:54281054:1 gene:SETIT_034958mg transcript:KQK92198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCGLWRGFPWVGSKLALHSLVAAVTTASLASSCIPPRTCRPREQAGGGRAPRTPRTARRRQTLTRTPAVSSHGLARRDYVGESRRRRCVRPFPGRELTGLSRRGGIQYPLEFPMIAQPMPIADHNGYTREHGRMGGNGVRVAPFEIGCQSGAGGPAARAPLPLPDAGARDALRGAPRLTSPGLTILPAPARPQLMPLAGIALAPFLLVTPLAPSPRRSCVATAAARRPSALRRARCSATAASGEAEEFGELSRATLLWRAAKLPIYSVALVPLTVGSASAYHHAGLFFARRYFTILAAAVLVITWLNLSNDVFDSDTGADKNKKESVVNIIGSRAVTQNAANVSLLLGFVGLFWAFAEAGDARFIFLVMCAIFCGYVYQCPPFRLSYQGLGEPLCFAAFGPLATTAFYFSNSSVNISSGKVVLPLTKTVVASSVLVGLTTTLILFCSHFHQIDGDLAVGKMSPLVRVGTEAGSRIVAVAIAMLYILLAAFAISKALPSACTVLCGLTLPVGKLVVDYVLKNHEDNAKIFMAKYYCVRLHALFGMALASGLVLARNGVLA >KQK88831 pep chromosome:Setaria_italica_v2.0:IX:17460265:17460360:-1 gene:SETIT_040158mg transcript:KQK88831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPSTSAPAPASSAFPLTTAARFPRSSACP >KQK87124 pep chromosome:Setaria_italica_v2.0:IX:5656937:5657971:-1 gene:SETIT_038853mg transcript:KQK87124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGSGEASSAFHHPYIFHVEGPRESPCHFVAAPDSKIVAIHPRDAQTLLPHYPVTVFDVRTRTIGFGRRPETNLTESYYPIYIPAGDGLFTLSHEAFRLLRPWPPAQGQCSAAPTLRALPCLPLPTAFVLSYSVHPDGRTIFVSVGGYCPNATLSFRMPEERDAEEGLAGWKPRGGWMLPSSGGGYQPAHFDGELNAWVGIRCDRDQDGYGHVCAVDVVPADPDAGSDGQPPARRLSKEKLLSEDTAEETFGVTLLYMGGKSRFCLLESVCIEDRENNVAKEMEDREVEVDAPFLFRVTTFSLKLDDNGDLTTGDSGRIRYYSVPEGTSDRFVGWNPVAFWM >KQK91120 pep chromosome:Setaria_italica_v2.0:IX:47934058:47934540:-1 gene:SETIT_039756mg transcript:KQK91120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLPPSAAIASSQSSATPPSAMMPCMDYLTNLTVLAPPAECCDGLKSVIRDAPICLCHGMTGDMNDLMPLPIDPVRMIILPLACGAMLPLQTLFSCNTQQVPPIMPPMAAPAPANSLASPIR >KQK90055 pep chromosome:Setaria_italica_v2.0:IX:39191397:39191669:1 gene:SETIT_039284mg transcript:KQK90055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYFIRGRFSIGNSRCNIHVHNRFLDGRIEICPLTHWSGKPTRISVNSHRTNNHFNTWGMTIHNRPHIFLPLTCTPNWDYLPLNVERGT >KQK88326 pep chromosome:Setaria_italica_v2.0:IX:13194949:13196221:-1 gene:SETIT_039994mg transcript:KQK88326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLPSSPLPADLLLEVLARCDPATVIRCAATCKPWYRCITDPSFPRLLRAHTGGGRSVTCLVGGFFWRSREDDRCTFTETRMSPSSSCSAATTSPVAKTIRAFLSRNAGHLKSFEPWTRRVVGHATGTSPVDMQVCNPVTGWRRSIPAPAVYDQAHVLLPGEDSHSFQLVAMDGGGAWDPEIELSPPEDFERVVTPSPVVLGRVAHWLFRACGAYNVLALDADAARAACIDVPPRSALDSDAIPRKQMLLSSTADGRLSLLAGEETRISAWALSTATTTTGGSSDSGWSLHATYEREWIARFVAPQGPRRKPGYPVIDLERGGGGGAFIGAQGFGSYVLLNMGTNEIVRTEVAKSSCFCPYEYEVDLALLTAPMKSF >KQK90082 pep chromosome:Setaria_italica_v2.0:IX:39449901:39450798:-1 gene:SETIT_037410mg transcript:KQK90082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARASRRLAAGDGPRATPAVGPAPGGRTQFNLSSGAATAVVFVSIVLCFILLCTYCRCARQRAIAGARRRVMRELVPGAALFLRPSAAAFPPVVPYAGAAKKGLPEDCPICLEPFGDGDGVRVVPACGHLYHAPCIDRWLDMRNSCPVCRCAVASLYDAGRARDAVAVAVARDGADDDDDDDDQEAVLERVVAMIEAIRDEQREEAAAAARRALPAETEGS >KQK86401 pep chromosome:Setaria_italica_v2.0:IX:1662105:1664635:1 gene:SETIT_034896mg transcript:KQK86401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSLRVGSMEDRREWVHDSSVDHRGRPPSRDATGSWKAAMFIIMIEFSERLSYFGIATSLMLYLTKVLQEEMKVAAKNVNYWTSVTTLMPLLGGFLADGYLGRFSTVVCSTVVYLLGLMVLATAQLSPRLTPNHSLRLHETLFFVGIYLVSVGTGGHKPALESFGADQFDESHAAERVQKMSFFNWWNCALCSGVLLGVTVIVYAQDRIGWGAASVVLAAVMAASLVVFLAGWRFYRYRVPEGSPLTPLLQVVVAAVRKRRLALPADAGELYEVKPQNIKKRLLCHTHQLRFLDKAAIVEHDGGEDAAGPWRLATVTQVEETKLVLAMVPIWVATLPFGMAAAQVSTFFIKQGSMMDRRMGPHFVLPPASIFALSAISMIATVAVYDKVLVPFLRRATGGERGISILRRVGIGMVLAVVALAVAAVVERRRLLSPSPASMSVFWLLPQFVLIGVGDGFALVGLQEYFYDQVPDNMRSLGIGLYLSVIGAGSFLSSLVITAADRASSRGGHASWFAKDLNRSRLDLFYWLLACIGAANLVFYALVSTKYSYKTVSGAGKVGVDKSGDIECAAAA >KQK86914 pep chromosome:Setaria_italica_v2.0:IX:4474966:4477684:1 gene:SETIT_034936mg transcript:KQK86914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPASYLLINPAKPSSFRRLPPPPPSPRLPTRRLHVSCDAPRGSGRSGGVGGRRETIPAGASKAKKQIVFFDAAPPVSQPQPQQGGSVVEKGESEKPTKEGSGNAALSLLRRATKKTLAALSNLPLAISEMFTIAALMALGTVIDQGEAPSYYFEKFPEDNPVFGFITWRWILTPGFDHMFSSPVFLGLLALLAASLMACTYTTQLPMVKVARRWSFTHSGERIRKQEFADSLPRASIQDLGVILMGAGYEVFTKGPSLYAFKGLAGRYAPIGVHLAMLFIMAGATLSATGSFKGSVDVPQGLNFVIGDVMKPRGVLSVAPDVFNTEVHVNRFYMEYYDSGEVSQFYSDLSLFNLDGKEVMRKTIKVNDPLRYGGITIYQTDWGFSALQVKKNGEGPFNLAMAQLKLNGDKKLFGTFLPLEDSNASNPSVKGISMLARDLQSIVLYDQDGKFVGVRRPSSKLPIEINGNEILIEDAIGSTGLDLKTDPGVPIVYAGFGALMLTTCISYLSHSQIWALQDGSTVVVGGKTNRAKLEFSEEMNRLLDKVPELIGANENVVDSKSTAT >KQK92499 pep chromosome:Setaria_italica_v2.0:IX:55846257:55851714:-1 gene:SETIT_034361mg transcript:KQK92499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPEGVKGREDAAPGREAGDPEEAGDGFQLVSHDKKKKRASGQEGGGSAGSGAFLGAGSVRALTQDKGGAPAPGTKAKVPFHDPTIPRPQEVYKIIVDNYKPFEHVWLERSEDGTRCVHPLEKLPVEQFVDRNVPESEPLKPADLEDTPFTLVEDHKGLMELAKKLKSVTEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYIGLYLQEPFKDPTKRKVMHGADRDIMWLQRDFHIYICNLFDTGQASRVLQMERNSLEYLLLRFCGVTAKKEYQNADWRSRPLPDEMIKYAREDTHYLLYIYDLMKQRLQRESTPENDLLLEVHKRSNEICLQFYEKELLTDTSYLHIYGLQEHELNSKQLAVVAALHGWRDRLARQEDESTGYVLPNKALIEIAKQMPTDTGHLKRIVKSKYPFVERSLDEIAYTVWNALEYSYAFEGIAEQLKKERSEQLALKSVQASDETTLLDVDSDKSNIGTADQSSVAPSSTANVSVASGSGAGFMNETAMIDSIHLDDNTRTTSTKNFKTLSGLTRPINKDVLSNNRYQQATQELKRPTLGALGNSEPGRQTEIFGGFSKEQFQGGSNVENFRSSVLPFQQFSDGVKHSAAIGPTESFYPNTGMHSDNVWIQSTQMNEAMQLSNATYYPQLPGYSTEVVGNHYEPEGMQMSSYLSGFEPTFQSISQSTGTGLLPGRNKEGNFQNPMRRQSYPPSSNRYDRPYQ >KQK92501 pep chromosome:Setaria_italica_v2.0:IX:55845901:55852198:-1 gene:SETIT_034361mg transcript:KQK92501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPEGVKGREDAAPGREAGDPEEAGDGFQLVSHDKKKKRASGQEGGGSAGSGAFLGAGSVRALTQDKGGAPAPGTKAKVPFHDPTIPRPQEVYKIIVDNYKPFEHVWLERSEDGTRCVHPLVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYIGLYLQEPFKDPTKRKVMHGADRDIMWLQRDFHIYICNLFDTGQASRVLQMERNSLEYLLLRFCGVTAKKEYQNADWRSRPLPDEMIKYAREDTHYLLYIYDLMKQRLQRESTPENDLLLEVHKRSNEICLQFYEKELLTDTSYLHIYGLQEHELNSKQLAVVAALHGWRDRLARQEDESTGYVLPNKALIEIAKQMPTDTGHLKRIVKSKYPFVERSLDEIAYTVWNALEYSYAFEGIAEQLKKERSEQLALKSVQASDETTLLDVDSDKSNIGTADQSSVAPSSTANVSVASGSGAGFMNETAMIDSIHLDDNTRTTSTKNFKTLSGLTRPINKDVLSNNRYQQATQELKRPTLGALGNSEPGRQTEIFGGFSKEQFQGGSNVENFRSSVLPFQQFSDGVKHSAAIGPTESFYPNTGMHSDNVWIQSTQMNEAMQLSNATYYPQLPGYSTEVVGNHYEPEGMQMSSYLSGFEPTFQSISQSTGTGLLPGRNKEGNFQNPMRRQSYPPSSNRYDRPYQ >KQK92500 pep chromosome:Setaria_italica_v2.0:IX:55846257:55851714:-1 gene:SETIT_034361mg transcript:KQK92500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPEGVKGREDAAPGREAGDPEEAGDGFQLVSHDKKKKRASGQEGGGSAGSGAFLGAGSVRALTQDKGGAPAPGTKAKVPFHDPTIPRPQEVYKIIVDNYKPFEHVWLERSEDGTRCVHPLEKLPVEQFVDRNVPESEPLKPADLEDTPFTLVEDHKGLMELAKKLKSVTEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIYIGLYLQEPFKDPTKRKVMHGADRDIMWLQRDFHIYICNLFDTGQASRVLQMERNSLEYLLLRFCGVTAKKEYQNADWRSRPLPDEMIKYAREDTHYLLYIYDLMKQRLQRESTPENDLLLEVHKRSNEICLQFYEKELLTDTSYLHIYGFECCFLGLSTRSKVHRSIFCVPIWLIEVYYYRLQEHELNSKQLAVVAALHGWRDRLARQEDESTGYVLPNKALIEIAKQMPTDTGHLKRIVKSKYPFVERSLDEIAYTVWNALEYSYAFEGIAEQLKKERSEQLALKSVQASDETTLLDVDSDKSNIGTADQSSVAPSSTANVSVASGSGAGFMNETAMIDSIHLDDNTRTTSTKNFKTLSGLTRPINKDVLSNNRYQQATQELKRPTLGALGNSEPGRQTEIFGGFSKEQFQGGSNVENFRSSVLPFQQFSDGVKHSAAIGPTESFYPNTGMHSDNVWIQSTQMNEAMQLSNATYYPQLPGYSTEVVGNHYEPEGMQMSSYLSGFEPTFQSISQSTGTGLLPGRNKEGNFQNPMRRQSYPPSSNRYDRPYQ >KQK86699 pep chromosome:Setaria_italica_v2.0:IX:3347645:3353870:1 gene:SETIT_035905mg transcript:KQK86699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAIKNVNGIDIAQLVKHSAENKGIKGFNGGDAIDPNSLLTEECDVLMPAALGGVINKDNANDIKAKYIIEAANHPTDPEADEILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNTELRTYMTRAFGDVKKMCQSHNCDLRMGAFTLGVNRVARATVLRGWEA >KQK86698 pep chromosome:Setaria_italica_v2.0:IX:3349796:3353870:1 gene:SETIT_035905mg transcript:KQK86698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAIKNVNGIDIAQLVKHSAENKGIKGFNGGDAIDPNSLLTEECDVLMPAALGGVINKDNANDIKAKYIIEAANHPTDPEADEILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNTELRTYMTRAFGDVKKMCQSHNCDLRMGAFTLGVNRVARATVLRGWEA >KQK86696 pep chromosome:Setaria_italica_v2.0:IX:3347645:3353870:1 gene:SETIT_035905mg transcript:KQK86696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAIKNVNGIDIAQLVKHSAENKGIKGFNGGDAIDPNSLLTEECDVLMPAALGGVINKDNANDIKAKYIIEAANHPTDPEADEILSKKGVLILPDILANSGGVTVSYFEWVQNIQGFMWDEEKVNTELRTYMTRAFGDVKKMCQSHNCDLRMGAFTLGVNRVARATVLRGWEA >KQK86697 pep chromosome:Setaria_italica_v2.0:IX:3350023:3352282:1 gene:SETIT_035905mg transcript:KQK86697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFKQAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASYVGFRVQHDNARGPMKGGIRYHHEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPGELSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNSQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGVLFATEALLAEHGKGIAGQRFVIQGFGNVGSWAAQLITEAGGKVIAISDVTGAIKNVNGIDIAQLVKHSAENKGIKGFNGGDAIDPNSLLTEECDVLMPAALGGVINK >KQK90641 pep chromosome:Setaria_italica_v2.0:IX:44144972:44147186:1 gene:SETIT_034439mg transcript:KQK90641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKEELVRRLFESIQSETAADEASEDVEANADDQLPDANASEETTVTITEVRQESVVHVTQQVEVPTTEVSQEFTISATGGTPSIDVEASLNEASAAKGEVPDSIVGGNLAFEEVQLHTESNNEPVLEKTSDVETNEAVIVNDVSTDVKSDLATSEVKSDATKASKIQEQDSAPAPVDAITSDAGPMDTDVVTAAPVSDDGEKLAPKDDLGDKVSMYDEEHKDSDTMNEDCEPIVSKPNNQVPEVSPDLGSQIKCESISSDLSTNKKNNIEDNLNANNFDLELEVKPKMVEPSSGITPLGGDLQPLDDDKELVKDQSSVEDIDSTANEDSYKKDSPEGSPEKLNLDRSSGDESMEEDVMEIKQVELNMKSDDLRGKTELNSEDVKSVTLPDSVVEASSVDTKEVIAEEKSAASTEKRKLEAEEVVANTEPIKRQRRWTADGAKVPERQTLDQTGSDAPKDVFQPALKRSFGRSDSTASVDSPKERIGESSAPCGT >KQK90639 pep chromosome:Setaria_italica_v2.0:IX:44143707:44148831:1 gene:SETIT_034439mg transcript:KQK90639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKEELVRRLFESIQSETAADEASEDVEANADDQLPDANASEETTVTITEVRQESVVHVTQQVEVPTTEVSQEFTISATGGTPSIDVEASLNEASAAKGEVPDSIVGGNLAFEEVQLHTESNNEPVLEKTSDVETNEAVIVNDVSTDVKSDLATSEVKSDATKASKIQEQDSAPAPVDAITSDAGPMDTDVVTAAPVSDDGEKLAPKDDLGDKVSMYDEEHKDSDTMNEDCEPIVSKPNNQVPEVSPDLGSQIKCESISSDLSTNKKNNIEDNLNANNFDLELEVKPKMVEPSSGITPLGGDLQPLDDDKELVKDQSSVEDIDSTANEDSYKKDSPEGSPEKLNLDRSSGDESMEEDVMEIKQVELNMKSDDLRGKTELNSEDVKSVTLPDSVVEASSVDTKEVIAEEKSAASTEKRKLEAEEVVANTEPIKRQRRWTADGAKVPERQTLDQTGSDAPKDVFQPALKRSFGRSDSTASVDSPKERIVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVQNFWMDHIKTHCYVTFSSVDEAVATRDAVYNLQWPPNNGNKLIAEFVDPQEVKLKIEPPPPPAAPVSPAAAARAPPVQQAQANQNVPRQAATPKEQLPPPPPLAKPPTADPVASARERLPPTPKKPEPPVVTLDDLFRKTQSSPRIYYLPLSEEEVAAKLAAQGKGKKE >KQK90640 pep chromosome:Setaria_italica_v2.0:IX:44143707:44148831:1 gene:SETIT_034439mg transcript:KQK90640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYPVLNNRPIDQWRVTDLKDELRKRRLPVKGLKEELVRRLFESIQSETAADEASEDVEANADDQLPDANASEETTVTITEVRQESVVHVTQQVEVPTTEVSQEFTISATGGTPSIDVEASLNEASAAKGEVPDSIVGGNLAFEEVQLHTESNNEPVLEKTSDVETNEAVIVNDVSTDVKSDLATSEVKSDATKASKIQEQDSAPAPVDAITSDAGPMDTDVVTAAPVSDDGEKLAPKDDLGDKVSMYDEEHKDSDTMNEDCEPIVSKPNNQVPEVSPDLGSQIKCESISSDLSTNKKNNIEDNLNANNFDLELEVKPKMVEPSSGITPLGGDLQPLDDDKELVKDQSSVEDIDSTANEDSYKKDSPEGSPEKLNLDRSSGDESMEEDVMEIKQVELNMKSDDLRGKTELNSEDVKSVTLPDSVVEASSVDTKEVIAEEKSAASTEKRKLEAEEVVANTEPIKRQRRWTADGAKVPERQTLDQTGSDAPKDVFQPALKRSFGRSDSTASVDSPKERIVPPSQKPATTSLRIDRFVRPFTLKAVQELLGKTGSVQNFWMDHIKTHCYVTFSSVDEAVATRDAVYNLQWPPNNGNKLIAEFVDPQEVKLKIEPPPPPAAPVSPAAAARAPPVQQAQANQNVPRQAATPKEQLPPPPPLAKPPTADPVASARERLPPTPKKPEPPVVTLDDLFRKTQSSPRIYYLPLSEEEVAAKLAAQGKGKKE >KQK92404 pep chromosome:Setaria_italica_v2.0:IX:55312710:55314821:1 gene:SETIT_036985mg transcript:KQK92404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLHLPSCHLAAHPVYRGAGSGASTTLRSVGDQRSSSRSRKGPFQNCPSPRSFTTCALKTPSYGGRSREKINPRDLFTFSYRFNTDIPMSETQGASIDEYLQNSPRIVGAVFPDQRKRRKLNDEEWSVQLLPIQFLFLSASPVIVMRFARKSGGKEYPPNVPVHATSLLLMEVTDYKLNGLDSNAMPSHLALTVRGLMYPQREGRKSLRGHVEMTVGFNLPPVLAMVPESIIRGVGETVLRQMAEQMKQDFDTGLAADFKKYRREKLTERRTSP >KQK92403 pep chromosome:Setaria_italica_v2.0:IX:55312756:55314100:1 gene:SETIT_036985mg transcript:KQK92403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMLHLPSCHLAAHPVYRGAGSGASTTLRSVGDQRSSSRSRKGPFQNCPSPRSFTTCALKTPSYGGRSREKINPRDLFTFSYRFNTDIPMSETQGASIDEYLQNSPRIVGAVFPDQRKRRKLNDEEWSVQLLPIQFLFLSASPVIVMRFARKSGGKEYPPNVPVHATSLLLMEVTDYKLNGLDSNAMPSHLALTVRGLMYPQREGRKSLRGHVEMTVGFNLPPVLAMVPESIIRGVGETVGV >KQK93074 pep chromosome:Setaria_italica_v2.0:IX:58647197:58650827:1 gene:SETIT_035591mg transcript:KQK93074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQLGQAPAPAFADPDPPPDRADAAASSAAQPQPLPSRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSRTEKTVPDSENSGISAARKTEHQSKNGLAENRMSPTTENENFVKSKECILEEGRISEGVSYAESHKTSTHDSVYSQEKNPKDESDGHKDLGQPKNNTAKKDKPAAEPIVVPIVLRMSDFDHKALLEEWIATRAFRDNCLPQVSTPLWKLWYTCN >KQK93076 pep chromosome:Setaria_italica_v2.0:IX:58647197:58652237:1 gene:SETIT_035591mg transcript:KQK93076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQLGQAPAPAFADPDPPPDRADAAASSAAQPQPLPSRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSRTEKTVPDSENSGISAARKTEHQSKNGLAENRMSPTTENENFVKSKECILEEGRISEGVSYAESHKTSTHDSVYSQEKNPKDESDGHKDLGQPKNNTAKKDKPAAEPIVVPIVLRMSDFDHKALLEEWIATRAFRDNCLPQDHRKLINNLKLIQDYLCSFESQGLTIVDISANSFPQTLDWLHSYLLQCIERGLLAACSESCNQG >KQK93075 pep chromosome:Setaria_italica_v2.0:IX:58647197:58652237:1 gene:SETIT_035591mg transcript:KQK93075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQLGQAPAPAFADPDPPPDRADAAASSAAQPQPLPSRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMEKRGYGEDYINRYKMMTRFHHQRVPLVILVCGTACTGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLTSVPVWARDFDSPEELITEFCRECRVVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEEKRDDNSRTEKTVPDSENSGISAARKTEHQSKNGLAENRMSPTTENENFVKSKECILEEGRISEGVSYAESHKTSTHDSVYSQEKNPKDESDGHKDLGQPKNNTAKKDKPAAEPIVVPIVLRMSDFDHKDHRKLINNLKLIQDYLCSFESQGLTIVDISANSFPQTLDWLHSYLLQCIERGLLAACSESCNQG >KQK88941 pep chromosome:Setaria_italica_v2.0:IX:18851565:18858163:-1 gene:SETIT_035051mg transcript:KQK88941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDEYEYLEKAVEPAAPPANGSGSGEKDRGSRRREGGEDRASKRSRSGEDRDSDRDRHRSGREHRDRDRDRDDGKDKEKSRDSRGKDRDREGRDREKERGDRHRPRDKEVERERRSRSRSERRRAEEEEMVRELERERERSERGHYRDRDVRRRKDDGAEPEVDPERDQRTVFAFQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDVMSVPMAIALSGQPLLGQAVMVKPSEAEKNLVQSNATSGGAASGGARKLYVGNLHSNITEDQLRQVFEPFGQVELVQLPVDPMTGLCKGYGFIQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDHVGVQVGGATTGDLDDDEGGGLALNASSRAALMLKLDRSGTATSLTGGIGTAGVAIPGPASSVLGAPAATSLLPPTIPAVGSVPGAPMLPVTSQSTIMSAPTEFLLLKNMFDPTVETDPDFDLDIRDDVQDECSKFGAVKHIFVDKNTAGFVYLHFDSVTAAAKAQQALHGRWFAGKMITATFMTAQEYSAKFPNL >KQK92296 pep chromosome:Setaria_italica_v2.0:IX:54790858:54791378:-1 gene:SETIT_040534mg transcript:KQK92296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMHEKDTLFHMSTTMGMLGFEPHQAMADLLSAHNHGEISSQALILP >KQK87687 pep chromosome:Setaria_italica_v2.0:IX:8690342:8693653:1 gene:SETIT_037958mg transcript:KQK87687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAAAAPAAPMMNGADSCGNHSKAFQDCLNHYGSDISKCQFYLDMLNECRRGGATA >KQK87685 pep chromosome:Setaria_italica_v2.0:IX:8692469:8693390:1 gene:SETIT_037958mg transcript:KQK87685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSYKCNPGPCIDLNVCDSIGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAAAAPAAPMMNGADSCGNHSKAFQDCLNHYGSDISKCQFYLDMLNECRRGGATA >KQK87686 pep chromosome:Setaria_italica_v2.0:IX:8690342:8693653:1 gene:SETIT_037958mg transcript:KQK87686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSSGRSASRPAPRAAPLRNPPQPARQAPPPAPAQSGGGSILGGIGSTIAQGMAFGTGSAMAHRAVDAVMGPRTIQHETVVSEAAAAAPAAPMMNGADSCGNHSKAFQDCLNHYGSDISKCQFYLDMLNECRRGGATA >KQK88738 pep chromosome:Setaria_italica_v2.0:IX:16706691:16709765:-1 gene:SETIT_036919mg transcript:KQK88738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLVEEEEEAFEHTLLVVREVSVYKIPPRTTSGGYKCGEWLQSDKIWSGRLRVVSCGDRCEIRLEDPATGELFAACFVLPGQRESAVETVLDSSRYFVLRIEDGRGKHAFVGLGFNERNEAFDFNVALSDHEKYVKREQEKETAGAGGEDSGSGGEIDIHPAVNRRLKEGETIRINVKNKPSTGSGMLTSAGLSGGAAAKPKTSMLLAPPPGAAGKLRSPLPPPPNDPAAARMNSGPNAGIRAPKESTKRNNDPFSDLSAIKQNLPSSAEPGQTKSTGAGWAAF >KQK87168 pep chromosome:Setaria_italica_v2.0:IX:5878832:5881634:1 gene:SETIT_035410mg transcript:KQK87168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPAKSEGRDLKTEKTSALQSTKVAKTAKKNESRDQKIEKASAQQSTKAAIAANKKQRSTDVEVSSKPYSEDKKNAKKADKVVHAARKAKAPRSTPKIHAATEEQIKKKGSELPIRKEETENEVNIPGTTVAKRSNELSHREGAENEVNIPGTTVENNGTSSEPAPSTDQATTEDNSNQGLSGSASTLHDPITTPVWFSLVSSPNQKGDLQLPELSKSYLRIKDGSLQISFVQRYVAKKLDIGDENEVEILCHGKPVCPSSTLHGLVDLWPRREPEEPFPASLGAPAKEYVMVLCYRRRHRPLTPASSPRHQTTSPGEAEASCNGDGTTAEPG >KQK87166 pep chromosome:Setaria_italica_v2.0:IX:5876144:5881634:1 gene:SETIT_035410mg transcript:KQK87166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAARGVARVRRDVLAARMTCPLCQGLLREATAITHCLHTFCRECIMEKINDDEVECCPVCNIDLGCDPEEKLRPDHNLQDIRNKLFPIKKKKVDSLKAPTTLPAKRKQRSLSSLVVDTPSVEKWTGLTGKRTKAKRRAAASRATSSSNNGTMKLPAKSEGRDLKTEKTSALQSTKVAKTAKKNESRDQKIEKASAQQSTKAAIAANKKQRSTDVEVSSKPYSEDKKNAKKADKVVHAARKAKAPRSTPKIHAATEEQIKKKGSELPIRKEETENEVNIPGTTVAKRSNELSHREGAENEVNIPGTTVENNGTSSEPAPSTDQATTEDNSNQGLSGSASTLHDPITTPVWFSLVSSPNQKGDLQLPELSKSYLRIKDGSLQISFVQRWRSYATASRSAPRARCTGWWTFGRGESRRSPSRRRWARRPRSTSWCCATAAATAL >KQK87167 pep chromosome:Setaria_italica_v2.0:IX:5876144:5881634:1 gene:SETIT_035410mg transcript:KQK87167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAARGVARVRRDVLAARMTCPLCQGLLREATAITHCLHTFCRECIMEKINDDEVECCPVCNIDLGCDPEEKLRPDHNLQDIRNKLFPIKKKKVDSLKAPTTLPAKRKQRSLSSLVVDTPSVEKWTGLTGKRTKAKRRAAASRATSSSNNGTMKLPAKSEGRDLKTEKTSALQSTKVAKTAKKNESRDQKIEKASAQQSTKAAIAANKKQRSTDVEVSSKPYSEDKKNAKKADKVVHAARKAKAPRSTPKIHAATEEQIKKKGSELPIRKEETENEVNIPGTTVAKRSNELSHREGAENEVNIPGTTVENNGTSSEPAPSTDQATTEDNSNQGLSGSASTLHDPITTPVWFSLVSSPNQKGDLQLPELSKSYLRIKDGSLQISFVQRYVAKKLDIGDENEVEILCHGKPVCPSSTLHGLVDLWPRREPEEPFPASLGAPAKEYVMVLCYRRRHRPLTPASSPRHQTTSPGEAEASCNGDGTTAEPG >KQK89156 pep chromosome:Setaria_italica_v2.0:IX:21391835:21392912:-1 gene:SETIT_040682mg transcript:KQK89156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVRSPLEWRVGCVHVVYTGYTFCKIAIKFIVMQMKIRNFDISSSKYKKLQSLKVKLTKDLIIGWVLLVVVHTFLSPKRCLKRSTHRVKLCNHRFVYTV >KQK86930 pep chromosome:Setaria_italica_v2.0:IX:4556043:4556710:-1 gene:SETIT_037852mg transcript:KQK86930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDRAGAGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLAMIDVYSVFVGCPLRVPGVMVIVVVGDWVLSVLSFAAACSSAAVIDLLLQFHGSQCSPRFCGRYQLSVMMAFLSWFLTAASAIFNFWFVASL >KQK86931 pep chromosome:Setaria_italica_v2.0:IX:4555832:4557028:-1 gene:SETIT_037852mg transcript:KQK86931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDRAGAGAGAVGSAGSLGLRVGQAVFSSASLLFMSVGVEFFSYTAFCFLVTIMGLVIPWSCTLAMIDVYSVFVGCPLRVPGVMVIVVVGDWVLSVLSFAAACSSAAVIDLLLQFHGSQCSPRFCGRYQLSVMMAFLSWFLTAASAIFNFWYL >KQK90473 pep chromosome:Setaria_italica_v2.0:IX:42942214:42944757:-1 gene:SETIT_038779mg transcript:KQK90473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNEAMAPDGGSSTFRGLYGNIHTPAVVTGAAFAVVALLISLWLILQHLRSYNNPAEQKWIIAVLFMVPVYASESIISLWNSKFSLACDILRNCYEAFALYAFGRYLVACLGGERQVFRLLENRKREELSERLLESQDKTQAHNQSRVWNFFCDPNALGESLYTIIKFGLVQYMILKTLCAFLALILELFGAYGDGEFKWNYGYPYIAVVINFSQTWALYCLVKFYNATHEKLQAIRPLAKFISFKAIVFATWWQGFGIAIICQTGLLPKEGKVQNAIQDFLICIEMAIAAIAHAYVFTVEPYQHIPVLDHGKVACEESKMEVKVDVNDGRSSTPTIIKQEDTHVEAPGTSIKESMQDVVLVGGHHVVKDVALTISQAIGPVEKGVEKGVGKIQEKFHHISLKPGDKKGPEVDVEEHVTENVVDGKPVRVDAEVEVEQKVQDDSEDGKTAVIETEMEIQRTGKDNEV >KQK90352 pep chromosome:Setaria_italica_v2.0:IX:41972049:41976012:1 gene:SETIT_038091mg transcript:KQK90352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRNVRYSPLPVEEGDDNNLTKEDVDLRFTYTPKSYRRIPWKSIALALFLLLLGSSLLFLSYFIFSGHMEGDSSQAYGLLFLGFLAFLPGFYETRVAYYSWRGAPGYTFASIPDY >KQK90351 pep chromosome:Setaria_italica_v2.0:IX:41972049:41975372:1 gene:SETIT_038091mg transcript:KQK90351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRNVRYSPLPVEEGDDNNLTKEDVDLRFTYTPKSYRRIPWKSIALALFLLLLGSSLLFLSYFIFSGHMEGDSSQAYGLLFLGFLAFLPGMLI >KQK87459 pep chromosome:Setaria_italica_v2.0:IX:7411861:7412959:1 gene:SETIT_040128mg transcript:KQK87459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEEAMISMQQPHLYLIFDDWECGYSFRKVDLPSRAPALIPEGIARGGATCLPPPFFRLQAQRGLPYYFTASGSKIWSLHPNEEGKSLDSGGSCFDVHAETFAFVPRHTDQPKPVYFPIGNDKLVTLGCLSIQCLDIGVKKHPTGVALSWSDPLDVPVDSMDIISHAVFPDGRTIFVSVGFVAAECTYSFHLSDDGGSLRMCKHQGEIGLSLYREKLGHICSCDLASAATSQRRPDVKYTEDHLFTMGPDETHVGATLVYMGHESKICLVECMINVFNDRYGGPYSFEKENTDQEKTDDYMLRLTTFSLEYSSDGDLTTGHRRVRYYKMPKNVSRRVCQYPVAFWM >KQK88011 pep chromosome:Setaria_italica_v2.0:IX:10870645:10871097:-1 gene:SETIT_038059mg transcript:KQK88011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSGKDQRKRIANQQNSVQGTSQNKVLALPKCRLVYSRVLFSGHSLNLILQQSCDVERSYLLASNSPLCWGRLDIHFNGSNNCLLVFHLRLLPWITKTSICICADLVDLQTTYPRTDSQVQ >KQK92583 pep chromosome:Setaria_italica_v2.0:IX:56228640:56229890:1 gene:SETIT_034987mg transcript:KQK92583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPDTNGEKDKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVCSSNFDQCLES >KQK92586 pep chromosome:Setaria_italica_v2.0:IX:56228025:56231283:1 gene:SETIT_034987mg transcript:KQK92586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPDTNGEKDKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVCSSNFDQCLES >KQK92582 pep chromosome:Setaria_italica_v2.0:IX:56227771:56231283:1 gene:SETIT_034987mg transcript:KQK92582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPDTNGEKDKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVCSSNFDQCLES >KQK92588 pep chromosome:Setaria_italica_v2.0:IX:56227771:56231283:1 gene:SETIT_034987mg transcript:KQK92588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPDTNGEKDKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVDGFNKTGIPNTLWELVEPYSRIDSAKGVALLAVVILILSNVASNVPTVLLLGTRVAASAAAISHGSERKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFFGYNLTFWSHLRFGVPSTIIVTAIGLLIVISY >KQK92584 pep chromosome:Setaria_italica_v2.0:IX:56228025:56231283:1 gene:SETIT_034987mg transcript:KQK92584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTSKVVLGCIAFGIFWVMAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPDTNGEKDKEAEVAEKSSCSSGT >KQK92585 pep chromosome:Setaria_italica_v2.0:IX:56228025:56231283:1 gene:SETIT_034987mg transcript:KQK92585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPDTNGEKDKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVCSSNFDQCLES >KQK92587 pep chromosome:Setaria_italica_v2.0:IX:56228025:56231283:1 gene:SETIT_034987mg transcript:KQK92587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFPTVPFMPVGRTAGSLLGAMLMVLFRVISPEDAYAAIDLPIIGLLFGTMVVSIFLERADMFKYLGNLLSWKSRGSKDLLFRVCIVSAFASALFTNDTCCVVLTEFILKVARQNNLPPQPFLLALATSSNIGSAATPIGNPQNLVIAVESGISFGQFLLGVFPAMIVGVLTNAAILLLYFWKYLSVEKDQEGGQPEGPEVVADDEVTSHRFTPARMSHVSSLNPDDVDCISEPIIRSNSVSTSVNENLRSRSINSEADIQLAIKSLRASSMSHEMVEVSTVPDRRDEGASSRKFTRTASQQRSVIIEDLPPSPDTNGEKDKEAEVAEKRWKILVWKTAVYLITLGMLIALLMGLNMSWTAITAALVLLALDFTDAQACLEKVCSSNFDQCLES >KQK88889 pep chromosome:Setaria_italica_v2.0:IX:18244748:18245969:1 gene:SETIT_037706mg transcript:KQK88889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLSISSSHGPASSPAISTCRLAACGRFPALVGTSAASQKRSLTVMTGSEMRGVTAVRSSSLETTSVGAEAVTGQVTEVTKDTFWPIVKAAGDKVVVLDMYTQWCGPCKMMAPKFQEMSEKNLDVVFLKLDCNQDNKPLAKELGIKVVPTFKILKDGKVVKEVTGAKIDELAHAIETVK >KQK90817 pep chromosome:Setaria_italica_v2.0:IX:45927515:45928907:1 gene:SETIT_039830mg transcript:KQK90817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARTTGSLPVANVQELAAAFNDGGVERQVPERYLSKDPSAEEVVVAGGGGDDSACAIPVIDLRRLIDPRSEEEECAKLASACHHWGFFQLINHGVPDEVIGNLMSDVAGFFKQPLEAKKECSQKADSLEGYGQAFVVSDDQKLDWADMLYLQVQPTESRDMRFWPTRPASFRQSVDAYSSEAAKLAYRLLEFMAKGVGAEPASLRGVFEGQAQGMRVNYYPPCRQAADRVLGLTAHTDPNGLTLLLQMNDDVQGLQVKKDGKWFAVQALAGAFIVNVGDALEIMSNGVFRSVEHRAVIHPTKERISVALFHYPYQDRMLGPLPELVKKGDRLQYGPTDYQDLLKRYFTAKLDGRKHLERFMLEQ >KQK86600 pep chromosome:Setaria_italica_v2.0:IX:2845832:2852170:1 gene:SETIT_034673mg transcript:KQK86600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSPQDQQQPTAEGAAACEDPTALTPRRARFPRACHYRPNAAPPPAPAPAPPPPRPKRSGNAGDVTPEYRVVTPLVVEPESPAELPRWRLRGMWELASVLNFLHVFRPLLNVAVEFTAEELEDAIITSNGTLDDVHMPLLKSIPPVSRMAMGRGTWVTVLCKKLKHWWHWVAEGDLPIVASHGAEIELYRTLDPATRLVILKAICDIRCEVWYEDDPILGHRLYREVRRVEYVKEPTKRSKGKGVSSVPVISYQWEAVASNFDEFNTAAEKLFSSRNRTEVSLGKKLKFNHLPEIEKIHKKKEKLLKKQQREALLLDSYLTVNGFTSGRSRRERKRVTYTFDDYERSINEAIKPTKKNEIGPSPLCKGFYGESPAKSHRHQASNHEGKVATLHRSYRQRKRSQRYTKDFVEAVSDIDPNVDSDDDIMGEAVYDEEYLRSKKQQKASLSENDEEFHLEQVASDGDNEVDHSLSASEDTDELQWYKRFPIHNPQGSKLRSVDEIHIGIRRSKRSTRPRINYQQYDISGRDIEFGKQEKCSASDPDAGSDAQNGMEVSTTSQDREEEDDEVNKAQQQRIEKALVPSRDSKSVSRKFLDLNEIAPLGGFDDAPVLVKDEHMNNGQEKCPAAH >KQK92685 pep chromosome:Setaria_italica_v2.0:IX:56766522:56767229:1 gene:SETIT_038753mg transcript:KQK92685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTMSTSLAAAAANCRCSRVVFVGNIPFHASEKELRDACELIGPLRSLRLAADPATGKRKGYAFVEYPDDETARSACRNLDGHPLRGRELRVGLAGRHRRRRPRGDSEPVGLEDAIHAASLVAGTPPLDSVTRFLAARSARELREMVAALEGDGPDTLKLLEEHVPGLATVMEQVRHLLDMAAADEAAEEAKNKKRAAAAESTDDHRAKFAKVEVEDGGFKHKIAVSAVGVTCF >KQK90543 pep chromosome:Setaria_italica_v2.0:IX:43542855:43545166:1 gene:SETIT_037916mg transcript:KQK90543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFVSLILAVAVAAERQATSNVRGRGAAATAQPTAPQAHRDRASTLYFGGCSSIHVSIHPPVHPPIRYSRQDKKSPGAPAIPLPSFVPVASRATQQRLAACVRRRPPPQGVADPGGRAGGSGMIDGGEAAHRSAALWVHKSEMFLLH >KQK88224 pep chromosome:Setaria_italica_v2.0:IX:12391824:12392177:-1 gene:SETIT_040828mg transcript:KQK88224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISAAFDFLYGSVILFTRSASDCVFTLHQ >KQK88344 pep chromosome:Setaria_italica_v2.0:IX:13412045:13418067:-1 gene:SETIT_035387mg transcript:KQK88344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPTEARPAGAEGLANGAREPEEPAPEVEEEEAEEEPPRSATAKQEEAKAALGAEGSRPFTMRELLGELKEDGEAAAVGSGGGSARSAFGDGNGIGSADAEGSSYSQDSTQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVNAIERNPDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGKPDVSPNELYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPANNAIAPHKDWERSQFILNHEGLQQADASDQPPSQSPGHMDRGRKPVRINVSDIVSVSACADLTLPSGAGLCIETIHGPTFLVADSWEALDGWLDAIRLVYTIFARGKSDVLAGIITG >KQK88345 pep chromosome:Setaria_italica_v2.0:IX:13412776:13417956:-1 gene:SETIT_035387mg transcript:KQK88345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPTEARPAGAEGLANGAREPEEPAPEVEEEEAEEEPPRSATAKQEEAKAALGAEGSRPFTMRELLGELKEDGEAAAVGSGGGSARSAFGDGNGIGSADAEGSSYSQDSTQQFSSHHDVAMDLINSVTGVDEEGRSRQRILSFAAKRYVNAIERNPDDPDAYYNWALVLQESADNVDPNSSSSKDALLEEACKKYAEATRLCPTLYDAYYNWAIAIADRAKMRGRTKEAEELWKQAILNYEKAVQLNWNSPQALNNWGLGLQELSAIVPARDKQTIIKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTMRSGKPDVSPNELYSQSAIYVAAAHALKPNYSVYRSALRLVRSMLPLPYLKVGYLTAPPANNAIAPHKDWERSQFILNHEGLQQADASDQPPSQSPGHMDRGRKPVRINVSDIVSVSACADLTLPSGAGLCIETIHGPTFLVCACQLIITVHFLYVIFFC >KQK91058 pep chromosome:Setaria_italica_v2.0:IX:47458732:47459169:-1 gene:SETIT_038698mg transcript:KQK91058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSAATAVLCEAISWLLIYRTATYNSLRTSIKRHSRKLDAMKSGAGSSTSSSGSGGGGSSSAQPASRAKKMDRVETSLKDAARELSLAKLKSGTVIAVVLFVVFSLLNSLFEDRAVAKLPFAPVPLVQSSASRSTTLSSGSIF >KQK89283 pep chromosome:Setaria_italica_v2.0:IX:23065414:23066805:-1 gene:SETIT_040265mg transcript:KQK89283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTAALKFTVRRRPAELVAPAAPTPRELKLLSDIDDQESVRLHVPAILLYRRNEAMVGRDPVQVIRDAVARALVHYYPLAGRLREVDGGKLAVDCTGEGVLFIEADADVRLEHLGEPLLPPFPCLQELLFDVPGSFAIVDAPLMLFQVTRLACGGFVLAVRVNHTMADGLGMVQFGAAVAELARGALAPTVRPVWDRELLMARDPPLPSFAHREYDEAQGTDDTVTSLDDLVHRCLFFTPRDVAALRDLVDPPQLRASATTFDVLAGCLWKCRTVALAPDANAEMRMMCAVNVRGIRTARGGGGIPRGYYGNAAVGPVAVSTAGALCANPLGYAIELVKKAKEEVDMEYIRSVADLVVLRGRPPVSFVRTYVVSDVRKAPAARLDFGWGRPVYGGPAEVGGDLAWVASYFVSVTDARGEEGIAVPVCLPRPAMERFAEEMGKLLQRPLVDVAVRQQPRSAL >KQK87926 pep chromosome:Setaria_italica_v2.0:IX:10218153:10222257:1 gene:SETIT_036465mg transcript:KQK87926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFKGHEGFGQVAAGGQASHGAALPWWAGPQLLYGEPAPLSPEETRREGQFQVVPGAQGTPDPAPPAAAKRGSPEVLKFSVFQGNSESDGKGEKVPEHSTTVSLQSPFPEYNGRFEIGLGQSMAPSNYSSADQCYGMLTTYGMRSMSSGRLLLPLNAPADAPIYVNPKQYEGILRRRRARAKAERENRLAKGRKPYLHESRHLHAMRRARGSGGRFLNTKKEGAANANGSGRTAAAAPPARFATSPSSEPPRAPPGLGNVSNQRCHSRSSVSSLSGSEVSSIYDHHEDHASHHRQYGGGMVRAPPFFTPLPAIMDGDHGGAAAIPSFKWAASDGCCELLKA >KQK86194 pep chromosome:Setaria_italica_v2.0:IX:686574:690505:-1 gene:SETIT_0363692mg transcript:KQK86194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHELFFIFEHMECNLYDVIRERQVAFSEGDIRNFMVQILQGLAYMHNNGYFHRDLKPENLLVTNGIVKIADFGLAREVSSNPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGESETDQLYKICTVLGTPDCTVWAEGLNLPRQIPPRNLWELIPNASLEAIDLIQRLCSWDPQRRPTAEQALQHPFFNVCNWVPRPVHDASHTKANEPKAHPRLELNLWDFSTEPDDCFLDLTLSLKPSFPGTDLANHVPQRTEEEILLYSGFENTPVKPGFWPLVPSDRPIGDVPAMPSWQQAYMVDRASLPGFSGTPFGLSLQPSLMENHHHSLAPIRQVNFF >KQK86192 pep chromosome:Setaria_italica_v2.0:IX:686867:689974:-1 gene:SETIT_0363692mg transcript:KQK86192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQILQGLAYMHNNGYFHRDLKPENLLVTNGIVKIADFGLAREVSSNPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGESETDQLYKICTVLGTPDCTVWAEGLNLPRQIPPRNLWELIPNASLEAIDLIQRLCSWDPQRRPTAEQALQHPFFNVCNWVPRPVHDASHTKANEPKAHPRLELNLWDFSTEPDDCFLDLTLSLKPSFPGTDLANHVPQRTEEEILLYSGFENTPVKPAGFWPLVPSDRPIGDVPAMPSWQQAYMVDRASLPGFSGTPFGLSLQPSLMENHHHSLAPIRQVNFF >KQK86193 pep chromosome:Setaria_italica_v2.0:IX:686574:690327:-1 gene:SETIT_0363692mg transcript:KQK86193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQILQGLAYMHNNGYFHRDLKPENLLVTNGIVKIADFGLAREVSSNPPYTDYVSTRWYRAPEVLLQSSAYTPAIDMWAVGAILAELFTLSPLFPGESETDQLYKICTVLGTPDCTVWAEGLNLPRQIPPRNLWELIPNASLEAIDLIQRLCSWDPQRRPTAEQALQHPFFNVCNWVPRPVHDASHTKANEPKAHPRLELNLWDFSTEPDDCFLDLTLSLKPSFPGTDLANHVPQRTEEEILLYSGFENTPVKPGFWPLVPSDRPIGDVPAMPSWQQAYMVDRASLPGFSGTPFGLSLQPSLMENHHHSLAPIRQVNFF >KQK88591 pep chromosome:Setaria_italica_v2.0:IX:15355143:15355658:-1 gene:SETIT_039702mg transcript:KQK88591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKLAFLAAVVLLLLSPPRAVARHHHHEPEDPCRDGDGASGLLRHKDPRCSSPAVSSPKRGGTRAVMTVNGFEKGEDGGSPAACDGHFHSNRDMIVALSTGWFAGGRRCHRPIRITSVRTGRSVVARVVDECDSRHGCRNNVVDTSRAVWDALGLDTDVGVVPVTWSDA >KQK92425 pep chromosome:Setaria_italica_v2.0:IX:55404471:55407965:1 gene:SETIT_036823mg transcript:KQK92425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDAIISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKNSPGYQAPLHSEQVKPQRYQQPQQYQQHQPRQNQDSYGRGRGRGRGRGRGWGGRGGYGGGYGGYEYDNQGGYGGYGHPGGYGHQGGYGNQGGYGHNQGGYGGYGYNQGGYGGYENGGGWNYNRSRGGGGGRGRGNWGYGGPGYDRGGRGAGGPGGRGYVRGRGRMGGGRGRGNQNY >KQK92424 pep chromosome:Setaria_italica_v2.0:IX:55404471:55407965:1 gene:SETIT_036823mg transcript:KQK92424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPRPEAAAISENEIRITTQGLIRNYVTYATSLLQEKRVKEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDAIISSVSITDVWEPIEEGLVPLEMTRHVSMISISLSPKELNKNSPGYQAPLHSEQVKPQRYQQPQQYQQHQPRQNQGQTDSYGRGRGRGRGRGRGWGGRGGYGGGYGGYEYDNQGGYGGYGHPGGYGHQGGYGNQGGYGHNQGGYGGYGYNQGGYGGYENGGGWNYNRSRGGGGGRGRGNWGYGGPGYDRGGRGAGGPGGRGYVRGRGRMGGGRGRGNQNY >KQK89861 pep chromosome:Setaria_italica_v2.0:IX:36544575:36545534:1 gene:SETIT_0338253mg transcript:KQK89861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVELTNMQLKPEALNSLKLPVRVKAGFLGSVKLKVPWSRLGQEPVLVYLDRIFILAEPATQVEGCSEDAVQEAKRSRVREMEIKLLERQQQLKSELNSSWLGSFISTVIGNIKLSIGNIHIRYEDVESNPGHPFAAGLVLSKLSAVTVDDLGKETFATGGDLDRVKK >KQK88021 pep chromosome:Setaria_italica_v2.0:IX:10947873:10949523:-1 gene:SETIT_035671mg transcript:KQK88021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPPQRPLRVVLFPWLAFGHLLPHLELAERLASRGHRATLVSTPGNVARLPPALGVDFVALPLPRVDGLPDGAESTNSIPHDKHSVLFQAFDGLAAPFAEFLAAACADERQRPDWIIVDIFHHWAAAAALEHKVPLAVYLPAAAALVRNPAAVVPRFESEQGNKQYNSDHGGMSMSQRFFSTLERCTLAALRSCVEWEPEFFPQVAPRLRKPVVPLGLLPPSPRANGAEDAVTVRWLDVQPPSSVVYVALGSEAPLPVEQVHELALGLELAGTRFLWALRKPSGVPDDGDLLPPGFQERTQGQGLVTTGWVPQVNILGHGAVGAFLTHCGQSSFVEGLLFGRPLVLLPIFGDQGSNARLLEGKKVGLQVARDEDDGSFDRHAVASAVRAVMVEEETRGVYVANALKAQEIVANKELHERYIDEFVQQLRSHTADANSTAAVPPQAEA >KQK86238 pep chromosome:Setaria_italica_v2.0:IX:891743:894156:-1 gene:SETIT_037233mg transcript:KQK86238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEPPPPDAAGEDESTCRGIFVEFMTKVARFEELAESGNRLLVRFHQELEYFRRPQIPTESDVMKQILKSNCTHRMKSYLEAGCRLHSQNISNINQLRSCEDGLKDHINKVKALLEELECLVEDVYGITLTASLSALEVSDCHSIDNKLTTESCIIEMEGVSTPQEEDKNTDQLDSDVSFVTVMVIVRNMLKLDYTMQEKIVNALSLKTPSSELQGYCLMWDLRPFIEDNVMHLAWKMCP >KQK86240 pep chromosome:Setaria_italica_v2.0:IX:891743:894156:-1 gene:SETIT_037233mg transcript:KQK86240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEPPPPDAAGEDESTCRGIFVEFMTKVARFEELAESGNRLLVRFHQELEYFRRPQIPTESDVMKQILKSNCTHRMKSYLEAGCRLHSQNISNINQLRSCEDGLKDHINKVKALLEELECLVEDVYGITLTASLSALEVSDCHSIDNKLTTESCIIEMEQGVSTPQEEDKNTDQLDSDVSFVTVMVIVRNMLKLDYTMQEKIVNALSLKTPSSELQGYCLMWDLRPFIEDNVMHLAWKMCP >KQK86239 pep chromosome:Setaria_italica_v2.0:IX:892150:894156:-1 gene:SETIT_037233mg transcript:KQK86239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEPPPPDAAGEDESTCRGIFVEFMTKVARFEELAESGNRLLVRFHQELEYFRRPQIPTESDVMKQILKSNCTHRMKSYLEAGCRLHSQNISNINQLRSCEDGLKDHINKVKALLEELECLVEDVYGITLTASLSALEVSDCHSIDNKLTTESCIIEMEGVSTPQEEDKNTDQLDSDVSFVTVMVIVRNMLKLDYTMQEKIVNALSLKTPSSELQGYCLMWDLRPFIEDNVMHLAWKMCP >KQK90478 pep chromosome:Setaria_italica_v2.0:IX:42970342:42974660:-1 gene:SETIT_034860mg transcript:KQK90478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAPTTNGGGGGIGTILAAGDRDFLVRNSGEQQVKISSIEASPVALYFSASWCPPCRRFTPKLIEAYKELASQGKSFEVVFVSGDQDEEAFNEYFAKMPWLAVPFSDSEGREALDGRFKVSGIPHLVILDAKTGEVYTEDGVGLVSEYGVEAYPFTPERINELKEQEKAAKENQTIKSVLGTSTRDYLITSKGDKVPISELEGKYVGLCFVVPGYGPVDEFISVLAKIYEKLKEVGEKFEVVAVSLDSDESSFNESLAKMPWLAIPQGDKMCEKLVRYFELRTLPTLVLIGTDGKTLNTNVADIIEEHGFEAWEGFPFSAEKLETLAEKAKAKAASQTLESLLISGDLDFVIGKGGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPMLVKEYNKIKEKHSDFEIVFISSDSDQSSFDEFFTEMPWLALPLEDERKAFLEKTFRIRGIPSLVAIGPNGQTVSRDAKAQLMIHGAEAFPFTEERLEELQKELDEMAKGWPEKLKHELHEEHELVLERRGTFCCDGCEEMGNTWSYSCNKCDFDLHPKCALAEEEKKGEEDGKAAEETPAGYVCEGGVCRKA >KQK90477 pep chromosome:Setaria_italica_v2.0:IX:42970070:42974756:-1 gene:SETIT_034860mg transcript:KQK90477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAPTTNGGGGGIGTILAAGDRDFLVRNSGEQVKISSIEASPVALYFSASWCPPCRRFTPKLIEAYKELASQGKSFEVVFVSGDQDEEAFNEYFAKMPWLAVPFSDSEGREALDGRFKVSGIPHLVILDAKTGEVYTEDGVGLVSEYGVEAYPFTPERINELKEQEKAAKENQTIKSVLGTSTRDYLITSKGDKVPISELEGKYVGLCFVVPGYGPVDEFISVLAKIYEKLKEVGEKFEVVAVSLDSDESSFNESLAKMPWLAIPQGDKMCEKLVRYFELRTLPTLVLIGTDGKTLNTNVADIIEEHGFEAWEGFPFSAEKLETLAEKAKAKAASQTLESLLISGDLDFVIGKGGAKVPVSELVGKTVLLYFSAKWCGPCRAFLPMLVKEYNKIKEKHSDFEIVFISSDSDQSSFDEFFTEMPWLALPLEDERKAFLEKTFRIRGIPSLVAIGPNGQTVSRDAKAQLMIHGAEAFPFTEERLEELQKELDEMAKGWPEKLKHELHEEHELVLERRGTFCCDGCEEMGNTWSYSCNKCDFDLHPKCALAEEEKKGEEDGKAAEETPAGYVCEGGVCRKA >KQK89496 pep chromosome:Setaria_italica_v2.0:IX:31889616:31891264:1 gene:SETIT_039225mg transcript:KQK89496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTYDQKASSIAVEKFLNVLKKKASSSSEKFVACGTSWQKEKDENLNFFASDEFLENYEYGKPFLYLWDLLDSPWELNKLHGWITKAIKQGIRAFTVHVPKKVFLSVLDYQIVIDFEDLHRLYHRQHLDCHRQPPGSVLCGYYMCEFLRNNERYRTNPEDMPMINTRDAVVEDKGIDNICRDMARFMPCEICHEDGAFFDKDGVLMANACKGLRRWT >KQK87922 pep chromosome:Setaria_italica_v2.0:IX:10194083:10196233:1 gene:SETIT_036291mg transcript:KQK87922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPATTPYTSPFVLSLLLLLSIPVVFLLAPRLLPPKTLPAIPDADESDDLALFRRAILSSSSATPASPASAASYFFRRRPSPKIAFLFLTNSDLVFSPLWEKFFRGHKNLFNLYVHADPYSVLELPPTPSFRGRFVPAKATQRASPTLISAARRLLATALLDDPNNQFFALISQSCIPLHPFPTLYNALLSETAGPHNRHRSFIEIMDNMDNDTTLLHDRYYARGDDVMLPEVPYDQFRAGSQFFVLARRHAIMVVRDMRLWKKFKQPCLIQRRDSCYPEEHYFPTLLDMQDPEGCTKYTLTRVNWTDSVAGHPHMYGPGEVSASLIRELRKSNNTHSYMFARKFSPECLEPLMEIADSVILRD >KQK89688 pep chromosome:Setaria_italica_v2.0:IX:35041717:35042352:-1 gene:SETIT_040552mg transcript:KQK89688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTSLGNRCRRLTWRGAPPTTSRSAPEPWLSCHSHSTPPMTNASTPAGLSSPEKGKGSYMNVTQYLPFHLGMHMLLSMLL >KQK89074 pep chromosome:Setaria_italica_v2.0:IX:20226257:20231385:1 gene:SETIT_039394mg transcript:KQK89074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASNANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDETNIDVDNVSMGSEDCELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLTDYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMLPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALFESENNESWSWFMKLVRVHVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFREKLEDLVKDLNDDAKEWLKAFDEAGCGGVYDHELLEITHGVFKGIRSRPVAGIMNTHSKNATLLVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGQPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEPWHRDDALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQVEWKPYDRQQLSDIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENNWRVKHDRYIHLWQNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQPERAPLHDYMRVRKSCRRMAQRMNCMTSSDAHDGGNVQGTSSGSRRTALVTTPRAATPSTAAGPSKRSRGKEPASPQESEDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSQ >KQK88844 pep chromosome:Setaria_italica_v2.0:IX:17650652:17655113:-1 gene:SETIT_040230mg transcript:KQK88844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGSDYYVLRKGDVVAVYKTLRDCQAQICSSVSGPAASAYKGHAWSREKEEYISSRGLSNASYVINATELREDIFGPLVPCSFQEIVGARSNQPAPNHFGIRNGIAYQTGSQSVDLNHEARSSSSRHISPVNFNHSGAVDAQPVSKQYMVGILHFDGASKGNPGKAGAGAVLMTEDGRVISRLREGLGVVTNNVAEYRGLILGLKYAIRHGFKRIKVHGDSQLVCNQVNGVWQTKHQNMMELCNEVRRLKENFLSFEINHVRR >KQK91067 pep chromosome:Setaria_italica_v2.0:IX:47554311:47555184:1 gene:SETIT_037184mg transcript:KQK91067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKCRRVGSARKVFDHLGIRNLVCWNTMIIGHCVYGEPGDGIKLFHQMIGPGKVQPDGVTFIGVLCACARLGLLDDGRAYFELMSTTYKLKPTFAHYWCMANLYGSVGLLEEAEGLLQSVPEDLKARALGGLLGLCRFRGGWGMGERIALRLIELEPNNNAHYALLCSVYAAAGRWEEAHRVKATMKERHGSFSPGYRLVNLNEIIHDFRAREKQPENQEIYAILDDLVTRLKLGCKENEQSDFGTK >KQK91448 pep chromosome:Setaria_italica_v2.0:IX:49855842:49860204:1 gene:SETIT_036503mg transcript:KQK91448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARPHHALVAPRPPPPHGAFSLRVSPPIFYLAPAFPNPKKYPQFPTPTRNPNRISPPPPRRLLPSLANPSPAHREICPARAAMADTLDMTLDDIIKNNKKSNPSSGGGRRSRGGSASGGGSGSGGVGPTRRPFKRAGNRQAPYQPPKAPDAAWQHDMYPGVAAGGGSGGRVSAIETGTKLYISNLDFGVSNEDIKELFSELGDLKRSSINYDRSGRSKGTAEVVFARRADAVAAVKKYNNVQLDGKPMKIEIVGTNTPTAAAALPVVNGGQARNAVKSAPRGGPTGMPQRRPHQRGGGRRGGGSGGRRGKERSKPRSAEELDADLEKYHADAMQTN >KQK89901 pep chromosome:Setaria_italica_v2.0:IX:36947541:36956789:1 gene:SETIT_036735mg transcript:KQK89901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALGEHRQYNNGIGDECGNDGLASFTEMLGEWSGSKGYCIEIGRGAWDSWLMPLQEQANTVCKKVKKMKELSEGYNIVGLSQGNLIGRAVIEYCDCGPPVKNFISIGGPHAGTASVPLCGSGFLCILIDNLIKLEIYSDYVQAHLAPSGYLKIPTDMEDYLKGCRFLPKLNNEIRSERNATYKERFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGAFNPVLPPQETKLYTEDWIGLKTLDEAGRVKFVSVPGGHLRISRSDMKKYIVPYLKPDASPKQSIRRILSV >KQK89902 pep chromosome:Setaria_italica_v2.0:IX:36949988:36956789:1 gene:SETIT_036735mg transcript:KQK89902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAPRDVAVLLLLLFPVLAPVASAVPFIVLHGIGDECGNDGLASFTEMLGEWSGSKGYCIEIGRGAWDSWLMPLQEQANTVCKKVKKMKELSEGYNIVGLSQGNLIGRAVIEYCDCGPPVKNFISIGGPHAGTASVPLCGSGFLCILIDNLIKLEIYSDYVQAHLAPSGYLKIPTDMEDYLKGCRFLPKLNNEIRSERNATYKERFSSLENLVLIMFEDDAVLIPRETAWFGYYPDGAFNPVLPPQETKLYTEDWIGLKTLDEAGRVKFVSVPGGHLRISRSDMKKYIVPYLKPDASPKQSIRRILSV >KQK87706 pep chromosome:Setaria_italica_v2.0:IX:8776667:8784986:1 gene:SETIT_034011mg transcript:KQK87706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPNIDLTTQWISRKMLRPFLFFITQPCSWYKGQRSKTVESILTCFKIILNSINSKDASKNLCSFAVGMPEERSIWLYQAKKLISLCSFILARCDHSCFKDGSMVDMTAIAMRLAVSLTDCKTWKSLKSENTRAADESVESLIEFIGTCQSGTYNCVRQYIKCLGPHVTSGKKSSATATATATATATDDHFVITASAVTLALRPFHSKKAERGTDLNGASKEYITLILTIPYLCKRMPPLLLPALKHISVLQPCLNILLISKDKIFEDIIKLEQSEVSAVGATVIPCSGWALGNIVNLATNHDDLSNSGCFIEGLDFCLYVDVINCISQNLLESFEKSKGMSVGNTAFHAETSIAEEGDTNGSSMRTLFMDLLKPIYQQWHLRKLLTLAKEDVSCSRGTNYDPIRSLKLSDVVCFYYHLLRIFSSFNPSIGALPILNMLAFSPGFLVDLWGALEISIFGQAIQNLQETGHDKQLATSSSGEQVSSTRQRRNAKDTATKWANVLQKITGKSNDSEEGTMPDNILISQQSNDDALTLWDIEAMRHASEGIGKDLMCMMYLFCAIYGHLLLVLDDIEFYEKQVPFTLEQQRKIASALNTFVYNSFVQNGGSYSKPLLDVSVRCLNLLYERDSRHKFCPISLWLAPARKGRIPIAAAARAHEAAFGNFPGNNSSGIPTRSSVLTTLPHVYPFEERVQMFREFIESDKASRRVTGEISGPGPGSIAIVIRRGHIIEDGYRQLNCLRSKLKSCIHVSFVSECGLPEAGLDYGGLSKEFLTDLSKTAFSPEYGLFSQTSASDTSLIPSNSARLLDNGIDMIEFLGRVVGKALYEGILLDYTFSPVFVQKLLGRYNFLDELSTLDPELYRNLMQLKHYDGDVEDLCLDFTVTEELGGKRIIHELRPGGKSTSVTNDNKLHYVHAMADFKLNRQILPFANAFYRGLSDLISPSWLSLFNANEFNQLLSGGLQDFDVDDLRNNTKYTGGYTVSSRTVKLFWEVIKGLKPTERCLLLKFVTSCSRAPLLGFKYLQPSFTIHKVPCDVTLWASIGGQDVDRLPSASTCYNTLKLPTYKRSSTLRSKLLYAISSNTGFELS >KQK90097 pep chromosome:Setaria_italica_v2.0:IX:39565906:39568923:1 gene:SETIT_037089mg transcript:KQK90097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSPPLKDRRMRTERTSYRDAPYRRDSRRGSSRFHNDLCNNCKRPGHFARECPSVAVCHTCGLPGHIAAECSSKGTCWNCKEPGHMANSCPNEGICRNCGKSGHIARDCTAPPVPPGEVILCSNCYKPGHFREECTNEKACNNCRQSGHIARNCTNDPVCNLCNVAGHLARQCPKSDTLGERGGPPPFRGAGAPFRGGGAPFRGGFSDIICRACNQVGHMSRDCMAGAFMICHNCGGRGHMAYECPSVSLMDRFPPRRF >KQK90973 pep chromosome:Setaria_italica_v2.0:IX:46943970:46945635:1 gene:SETIT_037814mg transcript:KQK90973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRERKKKQAAAALQEKLKILRSITHSHALSNTSIIMDASAYIKELKQKVVRLNQEIACAQDALRHNSSYPTVTVETLVGGHGGFLINVFSDKSCPGLLVSVLEAFDELGLSVLQATASCADSFRLEAVGGENVAENVDEHVVKQAVLQAIRSCSESGSEHDEG >KQK87888 pep chromosome:Setaria_italica_v2.0:IX:9982648:9988668:1 gene:SETIT_036024mg transcript:KQK87888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNIITEAGIPTRLNQWWSSIPFVTSGVVLICGAIYLLCLLIGYDSYAEICFLPSAVASHFQVYRFYTSVLFHGSVLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAILHLTIAFLVAYNPLSPVPNLADECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVLFQFLASNVSLLGHLCGILSGFAYTYGLFNYLLPGPSFYSKIEGLSASICVRRPGFILCTGGTTYGQLPTYSNTSAAPSALINGNFLRNISSWMPNRQTSTVQEGEDPRFPGRAQTLGSAGAEPTAREANANLHARLLDNTTPSDPLTNSQHTVANTVRADATVTADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQV >KQK87889 pep chromosome:Setaria_italica_v2.0:IX:9982648:9988668:1 gene:SETIT_036024mg transcript:KQK87889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNIITEAGIPTRLNQWWSSIPFVTSGVVLICGAIYLLCLLIGYDSYAEICFLPSAVASHFQVYRFYTSVLFHGSVLHVLFNMLALVPLGTELERIMGSVRLLFLMFLLATTNAILHLTIAFLVAYNPLSPVPNLADECSIGFSGVIFSMIVIETSLSGVQSRSVFGLFNVPAKWYAWILLVLFQFLASNVSLLGHLCGILSGFAYTYGLFNYLLPGPSFYSKIEGLSASICVRRPGFILCTGGTTYGQLPTYSNTSAAPSALINGNFLRNISSWMPNRQTSTVQEGEDPRFPGRAQTLGSAGAEPTAREANANLHARHTVANTVRADATVTADQVDTFDEELKKLVGMGFEKTQAEVALAAADGDPNVAIEILMSQQV >KQK90233 pep chromosome:Setaria_italica_v2.0:IX:40865928:40869741:-1 gene:SETIT_036450mg transcript:KQK90233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRSPQLQLRHATTAANQQRFRSGSAAAQRSAMSGSVDLPVVDLASSDLRAAAASVRQACVEHGFFYVTNHGVDRALVEAVLAESKGFFDLPMEEKLKLHRTNHRGYTPPYAEKLDAASEFVERFPSWKETMKLYIATALDTGERILSLIALGLDLDAEFFHKIGALDCPSTFLRLLHYPGEVNESDSGNYGASAHSDYGAITLLVTDGTPGLQICREKDRDPQLWEDVHHIDGALIVNIGDLLERWTNCVFRSTLHRVVAVGKERYSVAFFLDPNSDTVVECLESCCSEADPPRFPPIKSGDYITGRINSTYK >KQK90232 pep chromosome:Setaria_italica_v2.0:IX:40866150:40869741:-1 gene:SETIT_036450mg transcript:KQK90232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRSPQLQLRHATTAANQQRFRSGSAAAQRSAMSGSVDLPVVDLASSDLRAAAASVRQACVEHGFFYVTNHGVDRALVEAVLAESKGFFDLPMEEKLKLHRTNHRGYTPPYAEKLDAASEFVGDLKESFYIGPIGDSDLQNDLNQWPSEERFPSWKETMKLYIATALDTGERILSLIALGLDLDAEFFHKIGALDCPSTFLRLLHYPGEVNESDSGNYGASAHSDYGAITLLVTDGTPGLQICREKDRDPQLWEDVHHIDGALIVNIGDLLERWTNCVFRSTLHRVVAVGKERYSVAFFLDPNSDTVVECLESCCSEADPPRFPPIKSGDYITGRINSTYK >KQK90231 pep chromosome:Setaria_italica_v2.0:IX:40865928:40869741:-1 gene:SETIT_036450mg transcript:KQK90231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRSPQLQLRHATTAANQQRFRSGSAAAQRSAMSGSVDLPVVDLASSDLRAAAASVRQACVEHGFFYVTNHGVDRALVEAVLAESKGFFDLPMEEKLKLHRTNHRGYTPPYAEKLDAASEFVGDLKESFYIGPIGDSDLQNDLNQWPSEERFPSWKETMKLYIATALDTGERILSLIALGLDLDAEFFHKIGALDCPSTFLRLLHYPGEVNESDSGNYGASAHSDYGAITLLVTDGTPGLQICREKDRDPQLWEDVHHIDGALIVNIGDLLERWTNCVFRSTLHRVVAVGKERYSWLSAWKAVAARQIHRGFHLSRAATI >KQK90234 pep chromosome:Setaria_italica_v2.0:IX:40866728:40869741:-1 gene:SETIT_036450mg transcript:KQK90234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQRSPQLQLRHATTAANQQRFRSGSAAAQRSAMSGSVDLPVVDLASSDLRAAAASVRQACVEHGFFYVTNHGVDRALVEAVLAESKGFFDLPMEEKLKLHRTNHRGYTPPYAEKLDAASEFVGDLKESFYIGPIGDSDLQNDLNQWPSEERFPSWKETMKLYIATALDTGERILSLIALGLDLDAEFFHKIGALDCPSTFLRLLHYPGEVNESDSGNYGASAHSDYGAITLLVTDGTPGLQICREKDRDPQLWEDVHHIDG >KQK88926 pep chromosome:Setaria_italica_v2.0:IX:18724685:18726925:-1 gene:SETIT_034482mg transcript:KQK88926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRFLLMVVVVVVVVVEGGAAVEFAYEGFRGAGLLLDGMATVTPAGLLLLTNDTNMASSQKNYTGMSKGHAFHPDRVRFGRPAAAGAGGGFAAGVSSFSTTFVFAIVSEFLDLSTSGFAFLVAPSTDLSTAMPQQYLGMFNGTDNGDSRNHVFAVEFDTVRNPEFADINNNHVGVDVNSLNSSAAEPAGYYDDGDGAFRNLSLISREPMQVWVDYDAAAAVVTVAMAPARRPRPKRPLLSAKVNLSTVITDTAYVGFSSASSIVLVKHYVIGWSFSLGGDAPALDYAKLPKLPRIGPKPRSKALTIALPIATTAAVLAAVAVGFLLLRRRRRYAELREDWEVEFGPHRFAYKDLYDATGGFKDKRLLGAGGFGRVYSGVLPGSRTQVAVKKVSHESRQGMKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKHLHYHGDDDRPVLDWAQRLQIIRGVAAGLLYMHEVWEKVVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHGDDPHTTHVVGTMGYLAPELVRTGKATTLSDVFAFGAFVLEVACGRRPIEEEEEGVDGAAAGDRFVLVDWVLGHWREGSIAGAVDARLGSDYDAAEAELVLRLGLACLHPSPAARPSMRQVTQYLDGSAPLPELPTTYVTINTFDDVEKHRPLFDSWFVWRPTSTTTTSVATMSDIGLSGGR >KQK90147 pep chromosome:Setaria_italica_v2.0:IX:40170367:40174304:-1 gene:SETIT_036838mg transcript:KQK90147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLLAVEAAGAAATSASASVLNGAVDWWRDVNDSPLWQDRIFHLLAVLYGIVSAIALVQLIRIECRVPEYGWTTQKVFHFLNFLVNGVRSAVFVLRRNVQLVQPEIIQHVLLDMPGLAFFTTYALLVLFWAEIYYQARAMSTDGLRPTFYWINGVVYAIQIILWLVLWWKPVRVMVILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLIRCVMMCLNTFDKAADLDVLNHPILNFFYYLLVEIVPSALVLFILRKLPPKRGITQYHPIH >KQK86901 pep chromosome:Setaria_italica_v2.0:IX:4366933:4369103:-1 gene:SETIT_0354732mg transcript:KQK86901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVWVDYDGETTQINVFLAPLKIAKPSKPLVSATQNLSDVLVDPAFVGFSSATGTVKSLHYVLGWSFAMDGPAPAINIAGLPKLPRFGPKPRSKVLDIMLPIATAATVIGIVAVVVVLVRRRLKYAELREDWEVEFGPHRFTYKDLFRATEGFKSKKLLGIGGFGRVYKGVLPKSKLEVAVKRVSHESRQGIKEFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYGKEEKATLDWAQRFRIIKGVASGLLYIHEDWEQVVIHRDIKASNVLLDSEMNGRLGDFGLARLYDHGADPQTTHVVGTMGYLAPELARSGKASPLTDVFAFGAFILEVVCGRRPVEQSMADNRLMLVDWVLDHWQNESLAEVVDAKLEGSYDAGEATLALKLGLLCSHPLPGARPSMRQVMQYLDGDMPFPELTPAHLSFSMLALMRSEGFDSFVMSASHASSTAMSIGTMTGLSGGR >KQK90407 pep chromosome:Setaria_italica_v2.0:IX:42433092:42437438:1 gene:SETIT_036978mg transcript:KQK90407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREIMTSYETKKNCEIRVFESSDEMATDLAEFISQVSEISVKERGYFAIALCGGPLIRHMRKLCEAPYNKTLDWSKWYIFWAEERAVAKNHAESNYKLAKEGFLSKVPILNGHVYSINDNATVEQAATDYEFVIRQLVKVRTVGVSESNDCPKFDLILLNIGPDGHVASLFPNHPALELKDDWVTYITDSPEPPPERITFTLPVINSALNIAIVATGEDKEKAVSFAISDCNESLGATSVPATMVQPTDGKLVWFLDEAAASSLEAGNDAYEHQY >KQK90408 pep chromosome:Setaria_italica_v2.0:IX:42432976:42437438:1 gene:SETIT_036978mg transcript:KQK90408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREIMTSYETKKNCEIRVFESSDEMATDLAEFISQVSEISVKERGYFAIALCGGPLIRHMRKLCEAPYNKTLDWSKWYIFWAEERAVAKNHAESNYKLAKEGFLSKVPILNGHVYSINDNATVEQAATDYEFVIRQLVKVRTVGVSESNDCPKFDLILLNIGPDGHVASLFPNHPALELKDDWVTYITDSPEPPPERITFTLPVINSALNIAIVATGEDKEKAVSFAISDCNESLGATSVPATMVQPTDGKLVWFLDEAAASSLEAGNDAYEHQY >KQK90409 pep chromosome:Setaria_italica_v2.0:IX:42433214:42437438:1 gene:SETIT_036978mg transcript:KQK90409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREIMTSYETKKNCEIRVFESSDEMATDLAEFISQVSEISVKERGYFAIALCGGPLIRHMRKLCEAPYNKTLDWSKWYIFWAEERAVAKNHAESNYKLAKEGFLSKVPILNGHVYSINDNATVEQAATDYEFVIRQLVKVRTVGVSESNDCPKFDLILLNIGPDGHVASLFPNHPALELKDDWVTYITDSPEPPPERITFTLPVINSALNIAIVATGEDKEKAVSFAISDCNESLGATSVPATMVQPTDGKLVWFLDEAAASSLEAGNDAYEHQY >KQK90404 pep chromosome:Setaria_italica_v2.0:IX:42419487:42419904:1 gene:SETIT_040723mg transcript:KQK90404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGSQSCSTCIIFKNSVGAQVAIESLGVEL >KQK92907 pep chromosome:Setaria_italica_v2.0:IX:57787877:57800485:-1 gene:SETIT_0338602mg transcript:KQK92907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAELDKLNASRFAPFWNEIVRNLREEDYINNAELELLLMPKNDGVLPIVQWPLFLLASKVFLAKDIAADYNESQEELWLRVSKDDYMKYAVVECFHSVYHILTSILEKEGCLWVERIYGGIRESISKKNIKSDLHFEKLHIVIAKLVAVLGILRGTTESNDLKKGAVNAIQDLYEVVHHEVFSVDISGYLDEWTQINRARAEGRLFNNLKWPNDPGLKDLIKRLYSLLTIKESAASVPKNLEARRRLQFFMNSLFMKMPVARPASETLSFSVFTPYYSEIVLYSMAELQKKNEDGITTLFYLQKIYPDEWRNFLTRINRDENAADSELFGNPNDILELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERIQSEDLESTFPSAGSADTHFELSPEARAQADLKFTYVVTCQIYGKQKGEGKPEAADIALLMQRNEALRVAYIDEVESVKNGKPSTEYYSKLVKADIHGKDKEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSLKRGKHYPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLSNPLKVRMHYGHPDVFDRIFHITRGGISKASRSINISEDIYAGFNSTLRQGSITHHEYIQVGKGRDVGLNQIAVFEGKVSGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKTYLIGVFTAVPMILGFILESGVLTAFVQFITMQFQLCSVFFTFSLGTRTHYFGRAILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGMEVALLLVIFLAYGFNNGGAVGYILLSISSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWEEELQHIYTIRGRILETILSLRFFIFQYGVVYHMSASGESTALSVYWISWAVLGGLFVLLLVFGLNPKAMVHFQLFLRLVKSIALLMVLAGLIVATVFTALSVKDVFASILAFVPTGWGILSIAVAWKPIVKKLGLWKTVRSLARLYDAGMGMIIFVPIAICSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGM >KQK92906 pep chromosome:Setaria_italica_v2.0:IX:57788233:57800485:-1 gene:SETIT_0338602mg transcript:KQK92906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAELDKLNASRFAPFWNEIVRNLREEDYINNAELELLLMPKNDGVLPIVQWPLFLLASKVFLAKDIAADYNESQEELWLRVSKDDYMKYAVVECFHSVYHILTSILEKEGCLWVERIYGGIRESISKKNIKSDLHFEKLHIVIAKLVAVLGILRGTTESNDLKKGAVNAIQDLYEVVHHEVFSVDISGYLDEWTQINRARAEGRLFNNLKWPNDPGLKDLIKRLYSLLTIKESAASVPKNLEARRRLQFFMNSLFMKMPVARPASETLSFSVFTPYYSEIVLYSMAELQKKNEDGITTLFYLQKIYPDEWRNFLTRINRDENAADSELFGNPNDILELRLWASYRGQTLARTVRGMMYYRKALMLQSYLERIQSEDLESTFPSAGSADTHFELSPEARAQADLKFTYVVTCQIYGKQKGEGKPEAADIALLMQRNEALRVAYIDEVESVKNGKPSTEYYSKLVKADIHGKDKEIYSIKLPGNPKLGEGKPENQNHAIIFTRGNAVQTIDMNQDNYFEEALKMRNLLEEFSLKRGKHYPSILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLSNPLKVRMHYGHPDVFDRIFHITRGGISKASRSINISEDIYAGFNSTLRQGSITHHEYIQVGKGRDVGLNQIAVFEGKVSGGNGEQVLSRDIYRLGQLFDFFRMLSFYVTTVGFYFCTMLTVLTVYIFLYGKTYLALSGVGESIQNRADILQNTALNTALNTQFLFQIGVFTAVPMILGFILESGVLTAFVQFITMQFQLCSVFFTFSLGTRTHYFGRAILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKGMEVALLLVIFLAYGFNNGGAVGYILLSISSWFMALSWLFAPYLFNPSGFEWQKIVEDFRDWTNWLFYRGGIGVKGEESWEAWWEEELQHIYTIRGRILETILSLRFFIFQYGVVYHMSASGESTALSVYWISWAVLGGLFVLLLVFGLNPKAMVHFQLFLRLVKSIALLMVLAGLIVATVFTALSVKDVFASILAFVPTGWGILSIAVAWKPIVKKLGLWKTVRSLARLYDAGMGMIIFVPIAICSWFPFISTFQTRLLFNQAFSRGLEISLILAGNNPNAGM >KQK92028 pep chromosome:Setaria_italica_v2.0:IX:53302002:53305092:1 gene:SETIT_036576mg transcript:KQK92028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSSRTQLLLLLAISSVLAFNHAAAAGAVIEVRRKLPLHCGGGGCHYAEHLEHDARRQGRRLSPGTNFPLGGSPATETGLYFAELTIGKPPKAYGMEVDTGSGSALVNCVTRDSCPREDISGQLGKQASDFALCLDTSYGAGVVATGNIVCPAILKTSLVSNRLHYVVNMKSVSVAGTTLDLPRNTYYGEGKSVAIDIGTTLTYLPEKVYNAMMIEIFDKHQGTLFYDIQNLLYIKHYGRVDDVFPEITLHFEGGLALNIYPHDYLLNNGSDWYFVGLRNGRSQPVNLRDMVILGGSALFVTISFLVCSISIFLNLMTLNLLFRYGLFK >KQK89811 pep chromosome:Setaria_italica_v2.0:IX:36112412:36120701:1 gene:SETIT_033877mg transcript:KQK89811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALQQRTMRDLYDHTRHKDLAAPEEPAEGRGGGRAEGSGYRELVPKEDKAAVRKRLVPSNSTRLKAESGTCNVCYAPCSSCLHRSLAVEDSNAECASSQTCSARSEVRNNSLVRSEKGLHNKGENDDEFSATSGHVSYSITGGNNKVFARPSIADDSSEVDMPAKRRRLLNQNKKQSRAEHHDDSNSCVTGTSAEGKLHADKKKLSTSASSRDLTANDYKDNSMVCRSRLKNQCVDESKKGSDAHDMHPSSSGRLFPADSPISTKKLLRTQSSVSASSRLSPKKKAHECGTVQDNSSHQSCEKVSLSKNNVEQPRGGKLDPCVAGSGNHGTLAGYSNINANKAGFSSKDLENGSSCRKDEIQEHAGIQSNDGVTRNEGDKQEWDQDCSMDISSDGKLNIQHDMMTDCGTSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHIYCMRVKLDKVPDGEWLCEECQLREDQNNTRSNYGVTAVNMSEGKKNQSSESRNKPKALQIVVPELDAPESTRSTPKADQCDGKNKKLHLASADTQTRQAKVTPLASERLDVKSKKLLSIANRNKQVLPSDLDARPHTHGTPTAGGSNKKCQSSEFLLNRKKLRVSTDMESPSSSEGLRSPTMSCKRQAENNSSPKPRLLKTDSFRKHDVISRENSFKKSDKGGLTSVDNAPVRTTQAVKNSQALSRSYSLGNMVAKTPVPSPKGLLSKQLSFNSTNNGPKVKQLAEGVASKLRPANHSPRDPRDKGAVKKLVQSGSFKREGSVCLDAGSSKQKQTFHLSQDEKPGILKPVKEKNLMERRASFSFKKPSIPSSPRPDSCMKLGERKNDQDISRSGSSILKSSKRPGNVEKKQSSDLSKSDNDKQDVTIHPKSMGVVSGKVAHVPDPPVSSKCVKKESSNGVSKSDNDKQDVTVHPKSMGVVSGKDAHVSDPPVPSKCVKKESSNDVEDEDLFISVKNGSRMPNESAEVVLTSSTTMTSESGLRDVAIASTSEDSAPKVVCFQQKPLESTGDDSCKIVEAAQATGSILNEIPPGVQMAHNLYPPDDKLDKPDLKQEAFMDQSSALENPLRDLVIPEQSYIWQGSFEVSRHGNSPEIFDGFQAYLSICASSKAREVGEQLPDKIQLAEVPRLSSWPLQFKEVNPTEDNIALFFFAKDVESYERAYGKLLENMLLGDLSLTANISGSELLIFPSDKLPERIQRWNGLLFFWGIFHARKASSPPELPLTGTNNCSLQQITGSDVGSPKALQSLGIDLNECPNDDISDPAISLGSESEKSGAFVDHNTFLVSKHEDRKLNASEIHHEETADAKQIIPGHPTAASYGIHPPTLSTGEGHDMIRNYSTAAKGAQEQQVETKWRKIRMKLSSVLHSSQVPLGQSQMR >KQK89810 pep chromosome:Setaria_italica_v2.0:IX:36112217:36120701:1 gene:SETIT_033877mg transcript:KQK89810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALQQRTMRDLYDHTRHKDLAAPEEPAEGRGGGRAEGSGYRELVPKEDKAAVRKRLVPSNSTRLKAESGTCNVCYAPCSSCLHRSLAVEDSNAECASSQTCSARSEVRNNSLVRSEKGLHNKGENDDEFSATSGHVSYSITGGNNKVFARPSIADDSSEVDMPAKRRRLLNQNKKQSRAEHHDDSNSCVTGTSAEGKLHADKKKLSTSASSRDLTANDYKDNSMVCRSRLKNQCVDESKKGSDAHDMHPSSSGRLFPADSPISTKKLLRTQSSVSASSRLSPKKKAHECGTVQDNSSHQSCEKVSLSKNNVEQPRGGKLDPCVAGSGNHGTLAGYSNINANKAGFSSKDLENGSSCRKDEIQEHAGIQSNDGVTRNEGDKQEWDQDCSMDISSDGKLNIQHDMMTDCGTSEGLIDVNVCDICGDVGREYLLATCTRCLEGAEHIYCMRVKLDKVPDGEWLCEECQLREDQNNTRSNYGVTAVNMSEGKKNQSSESRNKPKALQIVVPELDAPESTRSTPKADQCDGKNKKLHLASADTQTRQAKVTPLASERLDVKSKKLLSIANRNKQVLPSDLDARPHTHGTPTAGGSNKKCQSSEFLLNRKKLRVSTDMESPSSSEGLRSPTMSCKRQAENNSSPKPRLLKTDSFRKHDVISRENSFKKSDKGGLTSVDNAPVRTTQAVKNSQALSRSYSLGNMVAKTPVPSPKGLLSKQLSFNSTNNGPKVKQLAEGVASKLRPANHSPRDPRDKGAVKKLVQSGSFKREGSVCLDAGSSKQKQTFHLSQDEKPGILKPVKEKNLMERRASFSFKKPSIPSSPRPDSCMKLGERKNDQDISRSGSSILKSSKRPGNVEKKQSSDLSKSDNDKQDVTIHPKSMGVVSGKVAHVPDPPVSSKCVKKESSNGVSKSDNDKQDVTVHPKSMGVVSGKDAHVSDPPVPSKCVKKESSNDVEDEDLFISVKNGSRMPNESAEVVLTSSTTMTSESGLRDVAIASTSEDSAPKVVCFQQKPLESTGDDSCKIVEAAQATGSILNEIPPGVQMAHNLYPPDDKLDKPDLKQEAFMDQSSALENPLRDLVIPEQSYIWQGSFEVSRHGNSPEIFDGFQAYLSICASSKAREVGEQLPDKIQLAEVPRLSSWPLQFKEVNPTEDNIALFFFAKDVESYERAYGKLLENMLLGDLSLTANISGSELLIFPSDKLPERIQRWNGLLFFWGIFHARKASSPPELPLTGTNNCSLQQITGSDVGSPKALQSLGIDLNECPNDDISDPAISLGSESEKSGAFVDHNTFLVSKHEDRKLNASEIHHEETADAKQIIPGHPTAASYGIHPPTLSTGEGHDMIRNYSTAAKGSTGTAGRNKMEEDQNEALFCAAQQPGAIGSISDEIKPKKHDLLPSIEVSQRHFIGSKICDGPSKLIPNSDMGSFDPDLTYKRQKTSYGKYSACSFGDEMQPSKCLSKIHPLPVGQHNPFDDLQYNYRVPSDPGSLKQPVPDHIIHVLSSDDEDSPEPSTSLNKASLKADESSSPLLSLSLSMVATKHNLSGSDIVDDEPLSLSLGLPSVVEGGRALEMKQFLPEKPGINT >KQK92444 pep chromosome:Setaria_italica_v2.0:IX:55511369:55511605:1 gene:SETIT_038750mg transcript:KQK92444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAATMARAVVVAVLLMQCCNVILAARPLLDAAAGDGRGWQLGHGGEALIMQALKGPGGGNCDFKSPEHPPCPPSL >KQK87701 pep chromosome:Setaria_italica_v2.0:IX:8758159:8758918:1 gene:SETIT_039419mg transcript:KQK87701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIQGHRFLSPKDADQGSPPSRTIKLHLAGCSDEDRHLFPNSTTLPFNDPYNDLIGGHRNLPAVPLGRASALEAVRALSRPGATTTIPEPDAKAAALARLMTMTTEALRWKPIREAFGGGSFITMEQAELVLHPRWVDLSYLVWRWEVTGAWGEEGVEAEGLKKIGVHNGAQALAIVDLLKRPKELCVGEGRAGGDLGEEDDEEAGGGGAAAALHQDI >KQK89809 pep chromosome:Setaria_italica_v2.0:IX:36091152:36094638:1 gene:SETIT_036563mg transcript:KQK89809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSPAGDSGSLSVASNDNKVSREDIELVQNLIERCLQMYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYTRLKLKRQIILFNHLLQHQYNLMKYSSPPNVPLAPIQNGTHHVPVSNLPMGYPVLQQPIMPAPGQPHMDPMACGLSSDHVVNGIPAPGGYHPIRMDSGNDMVVDNGVPETPHAGATGSAMSSEMAVSPSSVASSNHAPFTPSEIPGMSMDVSTLDSTFGSDVGNPGLLQLGPDGSSRDSIRSFGQLWNFSLSDLTTDLTSLGDLEALENYAGTPFLPSDSDILLDSPDQDDIVEYFTDAINGSQSDEEKP >KQK89808 pep chromosome:Setaria_italica_v2.0:IX:36091190:36094415:1 gene:SETIT_036563mg transcript:KQK89808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSPAGDSGSLSVASNDNKVSREDIELVQNLIERCLQMYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYTRLKLKRQIILFNHLLQHQYNLMKYSSPPNVPLAPIQNGTHHVPVSNLPMGYPVLQQPIMPAPGQPHMDPMACGLSSDHVVNGIPAPGGYHPIRMDSGNDMVVDNGVPETPHAGATGSAMSSEMAVSPSSVASSNHAPFTPSEIPGMSMDVSTLDSTFGSDVGNPGLLQLGPDGSSRDSIRSFGQLWNFSLSDLTTDLTSLGDLEALENYAGTPFLPSDSDILLDSPDQDDIGNCSSFDVSCSSAKT >KQK90132 pep chromosome:Setaria_italica_v2.0:IX:40038620:40041737:1 gene:SETIT_038228mg transcript:KQK90132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPAVVDVLVVLAAADAGDALAAASVADALAAQLRSCLRGRCARSAALQAAPDPPPMRSPQTRSPMCSPRGLVAASDADVLAMRPHSCFRRR >KQK86060 pep chromosome:Setaria_italica_v2.0:IX:113810:119155:-1 gene:SETIT_033973mg transcript:KQK86060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLAGRWARCGRGRRRGGRRRAAIRATRRRGRPGSGGGGAEPRGAGTAGPSIGGVASALLRPLLLAEEEEEMNNSFIQNCPLFIFCSDEDSIQNSNWLSELGMHARSPSPRRPAASSICMHVANASSSPRSDELDCVLIRCSVLDGRREGGGTREMPASGRAAKPGAMHQQPSCLINLSSPPHPPYLSISSPPPAPATARQLSRGKEPKQQQQQHLAAMPSLDPSTGSSKMPAVAAYPAKMACCLQLRLLRRPAPAPPPPRILLLLPPPRLRPVRASPGSPSPNSFAGWSSDGADGDGGDQSPLGFGKAGGLLGPGLAAFFFLAGLTFAAVSIRSSTNSAAGKMQNLSSDSATTESYSDYDSRDDNSIREGAQASLPTDCKDDNEGLDKGNGTDELLTPLESNEITGEPAECEMELPLQNTEHATNGSHIVSEEAHQFDNLVASDGTQSPTSPPPLPISAEYAQDAYVSSTKLDDAGLSEGIPNLEATSDPKITLPENQHLDETLTSDVMVLDSDDAVPIQEISSGAAVVASQPDNKGIEQNPEIHNKDEAYPSILPDYMEHVSADGMLPPGSDDLRMGSSEPGDGEEILAKDLYRRESELENQNKQFKATPAEQSFSSAGVPAPSLVSTASKGPAGQIVVPASVDPTQENAVAALQILKVIEPSIGAGDLCTRREYARWLVVASNCLSRATFSKVYPAMYIDNVTELAFDDVTPEDPDFPFIQGLAEAGLISSKLSRSDMNTLEDLQDNHNLFCPESPLSRQDLVSWKMALDKRQLPEVDRNSLFKASGYIDIDKIHTAAWPALVADLGAGDQSITALAFGFTRLFQPGKPVTKGQAALAISTGDSAEVVLEEVARIEAEKIAEAAVNAHAALVAQVEKDLNASFEMDLKKEREKVETLERLAEEARIELDRLRAEREEEKNILFRGRAAIESEMEVLSKLRSEVEEQLQSVLSKKVEISFEKSRIEKLQKEIENENLAVVQLQYELEVERKALSMARAWAEEEAKKAREHARALEEARNQWERQGIKVVVEEGLEEDASAGVTWANAGKEHPVDEAINRAESLLEKLKSMSAEMKVRSHGALERVMQHVRSFIASLKQQAADARHWCTEFGTAAASKANKVSAEVQDSVYTFGSTMGDKSKRVMEDCKEGLEKFSHRFKTD >KQK86058 pep chromosome:Setaria_italica_v2.0:IX:113295:119155:-1 gene:SETIT_033973mg transcript:KQK86058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLAGRWARCGRGRRRGGRRRAAIRATRRRGRPGSGGGGAEPRGAGTAGPSIGGVASALLRPLLLAEEEEEMNNSFIQNCPLFIFCSDEDSIQNSNWLSELGMHARSPSPRRPAASSICMHVANASSSPRSDELDCVLIRCSVLDGRREGGGTREMPASGRAAKPGAMHQQPSCLINLSSPPHPPYLSISSPPPAPATARQLSRGKEPKQQQQQHLAAMPSLDPSTGSSKMPAVAAYPAKMACCLQLRLLRRPAPAPPPPRILLLLPPPRLRPVRASPGSPSPNSFAGWSSDGADGDGGDQSPLGFGKAGGLLGPGLAAFFFLAGLTFAAVSIRSSTNSAAGKMQNLSSDSATTESYSDYDSRDDNSIREGAQASLPTDCKDDNEGLDKGNGTDELLTPLESNEITGEPAECEMELPLQNTEHATNGSHIVSEEAHQFDNLVASDGLSEGIPNLEATSDPKITLPENQHLDETLTSDVMVLDSDDAVPIQEISSGAAVVASQPDNKGIEQNPEIHNKDEAYPSILPDYMEHVSADGMLPPGSDDLRMGSSEPGDGEEILAKDLYRRESELENQNKQFKATPAEQSFSSAGVPAPSLVSTASKGPAGQIVVPASVDPTQENAVAALQILKVIEPSIGAGDLCTRREYARWLVVASNCLSRATFSKVYPAMYIDNVTELAFDDVTPEDPDFPFIQGLAEAGLISSKLSRSDMNTLEDLQDNHNLFCPESPLSRQDLVSWKMALDKRQLPEVDRNSLFKASGYIDIDKIHTAAWPALVADLGAGDQSITALAFGFTRLFQPGKPVTKGQAALAISTGDSAEVVLEEVARIEAEKIAEAAVNAHAALVAQVEKDLNASFEMDLKKEREKVETLERLAEEARIELDRLRAEREEEKNILFRGRAAIESEMEVLSKLRSEVEEQLQSVLSKKVEISFEKSRIEKLQKEIENENLAVVQLQYELEVERKALSMARAWAEEEAKKAREHARALEEARNQWERQGIKVVVEEGLEEDASAGVTWANAGKEHPVDEAINRAESLLEKLKSMSAEMKVRSHGALERVMQHVRSFIASLKQQAADARHWCTEFGTAAASKANKVSAEVQDSVYTFGSTMGDKSKRVMEDCKEGLEKFSHRFKTD >KQK86059 pep chromosome:Setaria_italica_v2.0:IX:113810:119155:-1 gene:SETIT_033973mg transcript:KQK86059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLAGRWARCGRGRRRGGRRRAAIRATRRRGRPGSGGGGAEPRGAGTAGPSIGGVASALLRPLLLAEEEEEMNNSFIQNCPLFIFCSDEDSIQNSNWLSELGMHARSPSPRRPAASSICMHVANASSSPRSDELDCVLIRCSVLDGRREGGGTREMPASGRAAKPGAMHQQPSCLINLSSPPHPPYLSISSPPPAPATARQLSRGKEPKQQQQQHLAAMPSLDPSTGSSKMPAVAAYPAKMACCLQLRLLRRPAPAPPPPRILLLLPPPRLRPVRASPGSPSPNSFAGWSSDGADGDGGDQSPLGFGKAGGLLGPGLAAFFFLAGLTFAAVSIRSSTNSAAGKMQNLSSDSATTESYSDYDSRDDNSIREGAQASLPTDCKDDNEGLDKGNGTDELLTPLESNEITGEPAECEMELPLQNTEHATNGSHIVSEEAHQFDNLVASDGTQSLSEGIPNLEATSDPKITLPENQHLDETLTSDVMVLDSDDAVPIQEISSGAAVVASQPDNKGIEQNPEIHNKDEAYPSILPDYMEHVSADGMLPPGSDDLRMGSSEPGDGEEILAKDLYRRESELENQNKQFKATPAEQSFSSAGVPAPSLVSTASKGPAGQIVVPASVDPTQENAVAALQILKVIEPSIGAGDLCTRREYARWLVVASNCLSRATFSKVYPAMYIDNVTELAFDDVTPEDPDFPFIQGLAEAGLISSKLSRSDMNTLEDLQDNHNLFCPESPLSRQDLVSWKMALDKRQLPEVDRNSLFKASGYIDIDKIHTAAWPALVADLGAGDQSITALAFGFTRLFQPGKPVTKGQAALAISTGDSAEVVLEEVARIEAEKIAEAAVNAHAALVAQVEKDLNASFEMDLKKEREKVETLERLAEEARIELDRLRAEREEEKNILFRGRAAIESEMEVLSKLRSEVEEQLQSVLSKKVEISFEKSRIEKLQKEIENENLAVVQLQYELEVERKALSMARAWAEEEAKKAREHARALEEARNQWERQGIKVVVEEGLEEDASAGVTWANAGKEHPVDEAINRAESLLEKLKSMSAEMKVRSHGALERVMQHVRSFIASLKQQAADARHWCTEFGTAAASKANKVSAEVQDSVYTFGSTMGDKSKRVMEDCKEGLEKFSHRFKTD >KQK92782 pep chromosome:Setaria_italica_v2.0:IX:57203717:57207043:1 gene:SETIT_036175mg transcript:KQK92782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSAQLLLPLPDAHHHRALLPPPACAAPLPSSSSCSRPSSLLRSRRSSNSSARTALRVVSPETSNAVATDAATAGAQPAGLADNSKWAEFAARVSGEWDGFGAEFTAAGDPVELPENVVPEAYREWGVQVFDWQTQCPTLADPAAPCALHYRLVRLLPTVGCEADAATVHTSHQRHASSAFAFAYAGDGSYVAAWPKGPAPVLEVEHCVARPGAAEVRVRVVQTVALGKEARLRGIKVFSEQWYGPFRNGEQLGGCAVRETAFAAGEKLDVSEVLGQWETTDAAAARFSGELDPETGKFAELSPDEPSKLLRDADGIVALPKQLWSAFKELGDGEFLCEVGWALGGGAAVTSRCVLSKDGDVKEMAAAYESRVSEGT >KQK92783 pep chromosome:Setaria_italica_v2.0:IX:57203717:57207043:1 gene:SETIT_036175mg transcript:KQK92783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSAQLLLPLPDAHHHRALLPPPACAAPLPSSSSCSRPSSLLRSRRSSNSSARTALRVVSPETSNAVATDAATAGAQPGLADNSKWAEFAARVSGEWDGFGAEFTAAGDPVELPENVVPEAYREWGVQVFDWQTQCPTLADPAAPCALHYRLVRLLPTVGCEADAATVHTSHQRHASSAFAFAYAGDGSYVAAWPKGPAPVLEVEHCVARPGAAEVRVRVVQTVALGKEARLRGIKVFSEQWYGPFRNGEQLGGCAVRETAFAAGEKLDVSEVLGQWETTDAAAARFSGELDPETGKFAELSPDEPSKLLRDADGIVALPKQLWSAFKELGDGEFLCEVGWALGGGAAVTSRCVLSKDGDVKEMAAAYESRVSEGT >KQK92784 pep chromosome:Setaria_italica_v2.0:IX:57203717:57205511:1 gene:SETIT_036175mg transcript:KQK92784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLSAQLLLPLPDAHHHRALLPPPACAAPLPSSSSCSRPSSLLRSRRSSNSSARTALRVVSPETSNAVATDAATAGAQPAGLADNSKWAEFAARVSGEWDGFGAEFTAAGDPVELPENVVPEAYREWGVQVFDWQTQCPTLADPAAPCALHYRLVRLLPTVGCEADAATVHTSHQRHASSAFAFAYAGDGSYVAAWPKGPAPVLEVEHCVARPGAAEVRVRVVQTVALGKEARLRGIKVFSEQWYGPFRNGEQLGGCAVRETAFAAGEKLDVSEVLGQWETTDAAAARFSGELDPETGKFAELSPDEPSKLLRDADGIVALPKQLWSAFKELGDGEFLCEVGWALGGGAAVTSRCVLSKDGDVKEMAAAYESRVSEGT >KQK86284 pep chromosome:Setaria_italica_v2.0:IX:1103428:1107586:1 gene:SETIT_035955mg transcript:KQK86284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARARAPMWRRRGGGGGGGLKVAPNLASGWDREATRVLNLSVLRRLDPAVTDILITAAHVVPYSFDEDIQEWNRKPVEGSLFVVKRNTQPRFQLVVMNQLNTENLVEDLLTDFDVEVQVPYVMYRNAADEILGILFNNPKECEEVGHLFKRIKNAYSRASPKAKLPSKSVFEEQEAASVSSALPAAEDTLERSTSLTMIADDVEEFLLTPSKVAACVDMIGGTGAVQPNQSFRTISSSSHELHNASAPQACSLHSMLPSRTSSVTLRPFDAHRPQSSATTQSASLLNVKPQLLAPMASTQPTLPNAASSLSTVPPPHPPFANHQPQVVPLLHPFSLPTVPPNPPYGMPLLQPFPPPNPLPLLTPPASYSQVITREQVGAALRRLVQNDNFIDMVYREMVKRPHP >KQK90315 pep chromosome:Setaria_italica_v2.0:IX:41564313:41566610:1 gene:SETIT_034522mg transcript:KQK90315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDVPSCIQLLRSCGAAAGRQLHQLLLKSGHVPSSLPPTNSVLLMYARGSPLHSRDAHLLFDEMPTRNCFSYNSLITALFKSGDLRGALHVFRSMPDRNTFSWNAVITGFTAAGNLDTAHDLLDEMPVKDAVACNAVLHRYVRCGRVDEAFSLLKRIGRQCNAEVISSPWNDPFVLTTVVGACADRMKYDFGRQAHARIVVAKIEIDSVLGCSLIDMYCKCGDLDSARCVHDGLKHVDQFSLSALVHGYTSCGQLHEALCLFDKVENPSIAIWNSLISGCVPAYHGDGAFVLFVRMLRSGMLPNSSTYASVLNMCGFLGLLKPGQQIHGGALKSGAVNDLIAASALIDFYSKCSLWADACQAFSELRHHDTIVLNSMITVYSNCGRTDVARRIFDMIPSKSAISWNSMIVGFSQNGHALDAMELFCEMHQLGLWLDKVAIASVLSASSSICSISFGEQIFGLAIALGLQSDHIVASSLIDLYCKCGNLANGCRIFDGIDNPDEVLWNSMLIGYASNGYGYEALELLKLMQSRGVKPSERTFVGVLSACCHSGLVEEGLRWFDRMKEDFGVSPSAEHYACVTDLLVRAGRLDEAVEFIENMPFKADAISWTSIIGGCKAQGNEALLHKVAKKLMETEVSPHSSLYVQLSSTLAAQGDWAKSAEIRNMMRDRRISKNAGYSWIDSA >KQK91440 pep chromosome:Setaria_italica_v2.0:IX:49819837:49820727:1 gene:SETIT_037485mg transcript:KQK91440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALMAAATTSCSPRRAAPLLKPMASSSSSPSSARARRPLAQQLPRLLATAAAAVAAAPLPALAEQMEKAALFDFNLTLPAIATEFLLLMVALDKLYFTPLGKFMDERDAKIRGELGDVKDASEEVKQLEEQAAAIMKAARAEIAAALNKMKKETTAELEAKLDEGRRRVEAELVEALANLEAQKEEAVKALDAQIASLSDEIVKKVLPSA >KQK88057 pep chromosome:Setaria_italica_v2.0:IX:11188881:11190695:-1 gene:SETIT_037964mg transcript:KQK88057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAHHNRYLLSQDHHQGWRRGAQQDVLGQDNVARRLHTGLADTAVRRSNWSAGVASRWSSTAVPSPSMTGGRSISGSSEQGCWMTAMNKQQQQQAPSRIAAVPNLEISLGRQGWQHNLQDQQQRSGESAKELTLLKCL >KQK88287 pep chromosome:Setaria_italica_v2.0:IX:12921925:12927417:1 gene:SETIT_036830mg transcript:KQK88287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLLSSPLAALSLPEMAAGGVALALRGWWEEVNGSSAWQDGAFFSLSAAYALVSAVALIQLVRIQLRVPEIGWTTQKVFHLMNFIVNGVRAIVFGFHAHVFLLQPKVYTLVLLYLPGLLFFSTYTLLVLFWAEIYHQAKHLPTDKLRTIYIAVNSIIYVIQVCIWVYLGINDNPLMELVSKIFISAVSFIALLGFLIYGGRLFAMLRHFPIESKGRRKKLYEVGTVTGICFTCFLIRCIVVSFSSFNPELSLEVLDHPVLDFFYYMLTEILPSALVLFILRKLPPKRVSAQQYHTIR >KQK86917 pep chromosome:Setaria_italica_v2.0:IX:4489207:4490335:1 gene:SETIT_037960mg transcript:KQK86917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETPPPEEKKKKAPLPKVVTLNKALKLAQTWVDKMSASEPDEPNDKDFEGRPSRLGLGAKVAPGVKRAPPTDPIERRLLGKVNAQKRKALEEDNRTAKEANEASDDDCDEPESRTNAFNKKRTLPSVTSTPLVKKAK >KQK88441 pep chromosome:Setaria_italica_v2.0:IX:14247699:14248184:1 gene:SETIT_040340mg transcript:KQK88441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKWGEPGDPPGRAYTIYACRSVGLIVYLRLR >KQK88870 pep chromosome:Setaria_italica_v2.0:IX:17927881:17929587:-1 gene:SETIT_039816mg transcript:KQK88870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVMAGADAGNTSPLPLAGRVALVTGGSRGIGREVSSRLAALGARVVINYASNSSKADELVAELASRGHGAVAVRADVSDPDAVRALFDRTEEAFGSPPHIVVACAGLLDTKYPALADTAVEDFDAMFAVNVRGTFLVCREAAKRIPASSGGRIVTFSSSIVGTLLPGYAAYTATNAAVEAMTRILAKEVAAKGVTANVVAPGPVRTELFLAGKDEAFLRRVEQESMGRIAETTDVAPVVAFLASDAAAWVNGQVIRVNGGFV >KQK88141 pep chromosome:Setaria_italica_v2.0:IX:11853074:11856893:-1 gene:SETIT_035549mg transcript:KQK88141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLLVAVLAAAVLASSLLLRWNEVRYSRRRGLPPGTMGWPLFGETTEFLKQGPSFMKARRLRYGSLFRTHILGCPTVVCMDPELNRRTLASEGAGFVPGYPQSMLDILGPNNIAAVHGPLHRAMRGAMLALTRPTMIRAALLPKIDAFMRAHLHGWAGRRVDIQEMTKEMALLSALRQIAGISAGPLSDALKAELYTLVLGTFSLPINIPGTNYSKGLQARKKLVAMLRQMIADRRSSGCAQDDMLDALLSGNEGTRAKLNDDQIIDLLITLIYSGYETVSTTSMMAVKYLSDNPKALEQIRKEHLDIRKGKSPEDALDWNDYKSMTFTRAVIYETLRLATVVNGLLRKTTQDVEMNGYVIPKGWRIYVYTREINYDPFLYPEPMVFNPWRWLEKGLESHPHFMLFGGGARMCPGKEVGTVEIATFLHYFVTRYRWEEEGNNTISKFPRVAAPNGLHIRVQDY >KQK88856 pep chromosome:Setaria_italica_v2.0:IX:17820742:17852347:1 gene:SETIT_033824mg transcript:KQK88856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDGSFSTAEALARLLARCPALRSDPRLDALASSTDAAPPARDDVTAAFAEPLLHPNYSVPVLGCFLPLAPALVDRAVALLRAAGPLALRADDASRLEEEAGEGDVRVVEFYLSRGRGLRLHELACLALARALDIAPYLLGSVLSYFKFSPPPFQRLRASFPSQIGSKELHLFLDATRVSYRFLQLEPSVFCEQWDWSCFLDLVYSTADYSLVDNSLNSVGLNLRWCTIQILMIVLKASDMGIESFGLRADEAFRCFLRWKKYCMDTSLEKASLYLQNEDGNSKSSVDGLTTLADCLSNWPEIATGRDRSVGIYECPFVLTATLRKSYEVALMAVSQKWPVLLYGPVGAGKTALINKLAQMGGNRGIYIHAIVKGLWIVFEDIDKAPNDVQSILLPLLEGSSAFSVGHAEAVEVAESFRLFATITTSQSDVSHALEDTFEKVNSLGSYQFGGPNIAGGLSDGVLHRFSLRDLLKWCKRILGVDLNFEGLGFAFSCCKLIYQEALIQKGPFADIRRALEVLERVACSIKFNEPVLLVGETGTGKTTIVQNLAAWLKQPLTVLNLSQQSDISDLLGGFKPTDARSICFPLYIEFKDLFCQSFPAKDNEAILRHCDVYVMEKNWKKLLHTLVKCAEKAQKLIEGISKSSSGSKRKRSLPAQVVSDWDTFSSRLNAACQQIGSATGMSFQFVEGAFVSALRNGHWILLDEVNLAPPETLQRIGAVLDGEKGTLCLAERGDVDYVERHPCFRMFACMNPATDAGKRDLPYTFRSRFTEYFVDDLMDDDDLRLFVTKYLDGLNVANGVIDGIVRFYKVAKKESEERLQDGANQKPQFSLRSLSRALGYIKSAEKRFGFRKALYDGFCMFFLTMLDAPSAKIIKNLIVSLLLDGKVPPSICFADYFIEKPMQLDGCESDEFLQSYVLTNSVTEHIVNLARAVYIKRFPVLLQGPTSSGKTSLVRYLAAKTGHEFVRINNHEHTDLQEYLGTYVTDSQGKLQFQEGALVKAVREGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELQETIPAHPNFMLFATQNPPMLYGGRKMLSRAFRNRFIEVHVDEIPEDELVTILEQRSRIAPSYAKKMVEVMKDLQRHRQNSRVFAGKHGFITPRDLFRWANRYRTFEGKSYEDLAKDGYLLLAERLRDDNEKAVVQEALERQLRVKLNIADLYNSEVTREDHLSLDAIRQRVEECFGNITWTKSMWRLYFLIERCYRSREPVLLVGETGGGKTTVCQVLSAVLGSRLHILNCHQYTETSDFIGGFCPIRDRSTIALEFKHLVAKIKQMKIFVYVAGDMPLETDISGADSVMSHLNEILDRYRKGKDLFPEVSAQDLDTMEQIKLDLMHLKKRWQAIFLWQDGPLVQAMKNGDLFLIDEVSLADDSVLERLNSVLEPERKLSLAEKGGSVLEKISAHPNFFILATMNPGGDYGKKELSPALRNRFTELWVPAVTDVDELKSITLGRFTKAELSCFGDCIVNFWKWFNQLHIGRTLTIRDLLSWISFINVTEQNLGPKQALIHGLFLILLDGLSLGVNVSKSEATEMRSTCLSFLLEELQKVEGKAVDSYLSDLNYYGWGDNMRKADTDHDHLEGHFGISPFYIPKGHFACKQQGFEIMAPTTSKNVLRVLRGMQLSKPLLLEGSPGVGKTSLIVALAGLSGHDVVRINLSEQTDMMDLLGSDLPAEGENGMEFSWSDGILLQALKNGSWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELGRTYKCPPSFRIFACQNPSSQGGGRKGLPKSFLNRFTKVYVDELSEEDYLFICKSRYPLISESFLRNLICFNNRLYMDTMVHRKYGQQGSPWEFNLRDIIRSCEMVAGSPDTSKDDCFLNTVYLQRMRTVVDRHKVIELFEEVFERKPSIHQSKMLCVNPHCLVVGNASIRRNNFQSYKVRNNQLNIFPGTLNSLEAAMHCIHQELLGCFEQYNFFRHYKAVISQVEHYVDVYFSLSMDLNWKNLVVERKGLFTKWFEFVAAKKYSSVRTSTLVEMSGDASLPSLSLIAEIIEQMKCDLEMFDLPISLTKDDLLKTLKSIYKLQQNGTAHQPVKFEWVAGDLIRAIECGEWIVLDNANFCNPTVLDRINSLVEQERSIVVNECGLVDGNPVIIKAHPKFRMFLTVNANYGEVSRAMRNRGVEIFLMDQRWDLKGSNVPENSERKDVIRFLISSGIPRMELISSMSKAHMYAKAEGLSVGINITLLEITRWVQLFQQLIIKGNRFLWSLHLSWEHTYLPSLGEVYGSKIVEAGKNMFLIDFDGYSTSLNSGFSLTLPGGWPSEQTLRDFIWYSKETCVNRNCMYLQSLSAQYAAYQIYNLKESSPLLVPVGNMHPYIIPTTSLRELQFPTVSGQSVDTLVTGEFDSDLADQMLFFAANWVMEQSTEHDLELYTVWFEWYNDLFQPYCNFFENYLHIRKQESEHPIWHCILECYREIVAYHKTNIVSQPIPPLSKKLLDMAGCGALKAFQDRLCNAFNGLRLLRLTLQQWQFETKFPDLALPKSTLLPALKSLRRLEGEVLKRIVKSQELLRIYSRILDYHRSIWKMMISSQFEALPVVWNLLRKEILKLQPKFPEEIGLFLMESVNLNNLHDFNFQYGEPTLWIYGGHPLVPSSSMVFDGIQAIVAFSADVWPKKNLLNMHLDDKQQLADTMLSANHDLRRLAVEGISMAIVATKTEEDISTIVGRLDDVHQRLRGTVDFERSKLLSKTSVPEVNFCCSVSSDILCNIYGFNGWLASLSLLNLKSINLDTLLLKRLSKCAQKDPSEAHEIIVNSGYLLTYAKNYSLGSSSRSPLEYTQHQIIWWICDAWATVDNVHVELANSILEMWYNYHSSLWTYCSGSPKSLSVTHDETCDLAHLTKMDAINTLIQQDLRVVDYQKNCFMLKISSGNLWEGVSFMGNLVASLHSVADSLFKQIIFAHKKHFKEENFNRLEAVLFQQLKHDIEKEDLDTICALLSSSSHGVLASLAGSDELVESLLMDLYSSYSHDSLLHTGAAWMHIGELRFRILLSSYIPDPAFESSYIHSHILEKISLVKLEGKVRHDCEELAGSSSPEDSHDYKLLQDLETEERDIRAKVVFRPQKSKHKSLVAACFEFENRLSDCKDLLANLKCKGAGQLEVDRVCNWQITSMNFIKRLTEEYGEYIDLIQPIQVAVYEMKLGLAIALSGSLQREYLKKIKEDDIERVLGTVCAFMQFPSVSDLTEYAVDDKLRSQYNGSGNVDVLKKLAAVSSQLNVGKVGDKVKSHSEMLVSIHHMLLVRTTYRISCSLIMDTPSYLLLKNTFDHFTNLWIGMKSHLKAKENDDSQYYKFKSRMISIEEIFNEDVPLLSDMDVEGDFVPDNEEKLEQAFFKILVAYTPYMPVLISGCLFHFAYLCRNLILFQQRIDNGVVEDSWDAIPDSVLKCIVMIHNQLFGSPDLFEQPSKCQISDAQKIQSFIESYELGTRILKDLPELTCSMFDEKLMPEHLFRVCLEYQRTSATSLNCSGYNAYKDPNPFVMFKMVEPLTTLKKEVTNYLNEWPDHPGLLKILDTIASLLAMPLSTSISKALLGLQLLAVKVQTLQENDSKFFLKGHLPPIFMLVYSWQRLELDCWPLLLEELQEKYDMDAAKLWFPLRALLTQTSGISTDEDLSIIKSVEEFVQTSNLGEFKRRLHLLLAFHGEISEGASMGDYSSTAMKKIRNILYNVFGYYMQFLSLVDGHIGSGKGDIEKELKDLVKLYSWEQAPYSTASIESFKRTRQKIFKLLQQFNKILRKPVIDLLNEVTARKVPCWLDPHLPESQFPVDTENFNKRFLWYSKWASETSLSLQALQNTNGTTVGIPTVKEYSDTVQNVNHRLDEIELNDRLKFFWDALERICGSANFANTLKHGKKNQKKTALSNLFKTLEECGLSKHRSMGHEWRDELSAPSSLFLEESYDAAHLLQQVSSQKKLEDVSIVHSMLLTTDNWKYANCQYFKCLAMMQQLRQVSLKFNKDLGLEEKLFDSVLNMTTDTNLLLTSFKDCHHSSCGNSKEAAAMSTLLEKFLSRFSESKDLLDKYLLGSNNIFTGAHKNVPLATREMEELLPLATREMEEHFYENCQLVDSLREDMQVMRQQEISMRSVKEVLISRLEELLDKGKMAIENPREVEDDHKICSDILPELEGSYEGILKETFMLAVGVLGKLTDFEICNNGAEDSPVGTITSWKDVLQSYTVNLKLNHICDASEKLCITVKRLVDCKPEIRSNIEVHLMHLHAWLGVILSSAEGILSELLEAHRTTAEITHALGDLFIYLFVEGFGSTEDTTEAADDEQQQDAVETGTGLGDGDGGKSVSSKIEDPSEIEDKATVSVSKYILLTVTVSVCFIAANIPDVEQDATHKPDQAPKNDNEAVEMKEDFDADLSDVSEDPEGKESGTEDEDEDMNLDSQMGDTGDSSEVVGKKSWDKDEDDDPKTSTEKYDSSSSVRAEKNDRELRAKDDGSVEEEDHMEMDNDDEQGKNDDLEDEPITCEETDLNTEEVMDKTDAYDDRTGPELPEPDNDSHDIDMETQELDEEDADNEDIGSEEVEQADQRSDASDDMELGDMAQHGDSAVDHDGDHVEDANTENIDKQQLDKIDSLKHPSQGQPENMQVDNNRESEANLANSSDMNGAVAPSVNFSGNELPNMEISMPNSGDDSRLSNTKPEMQNDAPQSHIKQANPFRSIGDAMEEWKERARVSADTQDNPPENGHHSDDENATQFRYVPEGEQSTSQALGAATADQISDDTQIKQSFIEDESRVKQLEQSEERTPDNPEVPHIQASQALTSKSENANVLEGPEIQTDTSVQDLVEVGKDNSFQNLVSFKRPPADDKIALDDLAVDTELSTQMDLDIPDAETKRAFVDWKNLELATMKLSQELAEQLRLVMEPTLASKLQGDYRTGKRINMKKVIPYIASHFRRDKIWLRRTKPNKRNYQVVIAIDDSRSMSEGKCGKVAIEALVTVCRAMSQLEVGQFAVASFGKKGNVRVLHDFHQIFNSEAGVKMISSLSFEQDNKIEDQPVSDLLTHLNTMLDTAVARARTPSGQNPLQQLILIISDGKFHEKENLRRHVRDVLNRKRMVAYVLLDSPEDSIMNLQEACFKAGENLQLKKYMDSFPFPYYVMLQNIEALPRTLADLLRQWFELMQSANE >KQK90193 pep chromosome:Setaria_italica_v2.0:IX:40531235:40531981:-1 gene:SETIT_039467mg transcript:KQK90193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDVDLYRIEPWDLQEHCKIGYEEQSDWYFFSYKDRKYPTGTRTNRATLTGFWKATGRDKAVRDSKQGGGLIGMRKTLVFYTGRAPNGRKTDWIMHEYRLETDENAAPQLVFFVLLLWLSNPSGGRRPPPLLAVDGSRGKLT >KQK90202 pep chromosome:Setaria_italica_v2.0:IX:40589044:40590395:-1 gene:SETIT_037344mg transcript:KQK90202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEDLKLLGLLVSPFVVRVRMVLSMKGVSYEYVEEDLKNKSELLLKSNPVHNKVPVLIHNGKPLCESLVIVQYVDELFPGPSILPTDPYARATARFWAAYIDDKLFPAWYGGIVKAKTEDERAEKVTETLAAIAQIEEAFAKCNEGKAFFGGDSIGYLDIVLGSFLFWFEAVRRMYGVEIISASKTPLLAAWAERFRESAEAKEVVPGADEALQFVNKFAAAAAAAK >KQK90579 pep chromosome:Setaria_italica_v2.0:IX:43809710:43812676:-1 gene:SETIT_036539mg transcript:KQK90579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTPLTVEDVLRVNGSRRFAAAMAAASPFTSLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSPSVSKWSKEEQSAAISTATDSTSQELAEWNAKYREKFGFVFMICASGRTAPEVLAELKRRYVNRPIVELEAAAQEELKITELRLAKLFSSEPTVPSTTTKAPNIQSDKAAGSSNRSRPPITTHVLDVARGSPASGIEVHLEMWKDVSAPPSFSNKDFSGWATLGTSVTNNDGRSGQLMDIVDNAAPGFYRISFNTGKYAPAGFFPYVSIIFEIKENQTAEHFHVPLLHSPFSFTTYRGS >KQK90580 pep chromosome:Setaria_italica_v2.0:IX:43809853:43812558:-1 gene:SETIT_036539mg transcript:KQK90580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTPLTVEDVLRVNGSRRFAAAMAAASPFTSLADALLAARRIWLNEVDVNGWLEAFAAHPAIGTTSPSVSKWSKEEQSAAISTATDSTSQELAEWNAKYREKFGFVFMICASGRTAPEVLAELKRRYVNRPIVELEAAAQEELKITELRLAKLFSSEPTVPSTTTKAPNIQSDKAADRIRIIGAHLGALPQPCANKAPDITGSSNRSRPPITTHVLDVARGSPASGIEVHLEMWKDVSAPPSFSNKDFSGWATLGTSVTNNDGRSGQLMDIVDNAAPGFYRISFNTGKYAPAGFFPYVSIIFEIKENQTAEHFHVPLLHSPFSFTTYRGS >KQK90578 pep chromosome:Setaria_italica_v2.0:IX:43809710:43812000:-1 gene:SETIT_036539mg transcript:KQK90578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICASGRTAPEVLAELKRRYVNRPIVELEAAAQEELKITELRLAKLFSSEPTVPSTTTKAPNIQSDKAADRIRIIGAHLGALPQPCANKAPDITGSSNRSRPPITTHVLDVARGSPASGIEVHLEMWKDVSAPPSFSNKDFSGWATLGTSVTNNDGRSGQLMDIVDNAAPGFYRISFNTGKYAPAGFFPYVSIIFEIKENQTAEHFHVPLLHSPFSFTTYRGS >KQK93039 pep chromosome:Setaria_italica_v2.0:IX:58450641:58456110:1 gene:SETIT_035550mg transcript:KQK93039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRPAWTQSIDRRSSAPAEGVERGGAAATRQGLIDRVHAAQILFPTSSPRPSPSSLPSPSTAACEWGASSPDIFTRVASASSPFRAHERWEAMNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVKTMQPPGASTSGAESSAVEVGTEKSEVYSTNMTQAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTIFCLQQDSDLEYFGVNIRAIQDYCLQFKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNCNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRASFPKLEAIKLATADILTGLSKNTITLKWEDDTSSSVEISGLDIGWGQRIPLTYDKEKGAWFLEKELPEGRYEYKYIVDGNWLCNEHENITKPNADGHVNNFVQVSRDGTSDEEKELRERLTGPNPDLTDEERLMIREYLEQYVEAEQ >KQK93038 pep chromosome:Setaria_italica_v2.0:IX:58450641:58456110:1 gene:SETIT_035550mg transcript:KQK93038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRPAWTQSIDRRSSAPAEGVERGGAAATRQGLIDRVHAAQILFPTSSPRPSPSSLPSPSTAACEWGASSPDIFTRVASASSPFRAHERWEAMNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVKTMQPPGASTSGAESSAVEVGTEKSEVYSTNMTQAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTIFCLQQDSDLEYFGVNIRAIQDYCLQFKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNCNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRASFPKLEAIKLATADILTGLSKNTITLKWEDDTSSSVEISGLDIGWGQRIPLTYDKEKGAWFLEKELPDGMNTNT >KQK93035 pep chromosome:Setaria_italica_v2.0:IX:58450641:58456110:1 gene:SETIT_035550mg transcript:KQK93035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRPAWTQSIDRRSSAPAEGVERGGAAATRQGLIDRVHAAQILFPTSSPRPSPSSLPSPSTAACEWGASSPDIFTRVASASSPFRAHERWEAMNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVKTMQPPGASTSGAESSAVEVGTEKSEVYSTNMTQAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTIFCLQQDSDLEYFGVNIRAIQDYCLQFKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNCNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRASFPKLEAIKLATADILTGLSKNTITLKWEDDTSSSVEISGLDIGWGQRIPLTYDKEKGAWFLEKELPEGRYEYKYIVDGNWLCNEHENITKPNADGHVNNFVQVSRDGTSDEEKELRERLTGPNPDLTDEERLMIREYLEQYVEAEQ >KQK93033 pep chromosome:Setaria_italica_v2.0:IX:58450641:58453896:1 gene:SETIT_035550mg transcript:KQK93033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRPAWTQSIDRRSSAPAEGVERGGAAATRQGLIDRVHAAQILFPTSSPRPSPSSLPSPSTAACEWGASSPDIFTRVASASSPFRAHERWEAMNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVKTMQPPGASTSGAESSAVEVGTEKSEVYSTNMTQAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTIFCLQQDSDLEYFGVNIRAIQDYCLQFKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNCNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQVIHN >KQK93037 pep chromosome:Setaria_italica_v2.0:IX:58450641:58456110:1 gene:SETIT_035550mg transcript:KQK93037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRPAWTQSIDRRSSAPAEGVERGGAAATRQGLIDRVHAAQILFPTSSPRPSPSSLPSPSTAACEWGASSPDIFTRVASASSPFRAHERWEAMNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVKTMQPPGASTSGAESSAVEVGTEKSEVYSTNMTQAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTIFCLQQDSDLEYFGVNIRAIQDYCLQFKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNCNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRASFPKLEAIKLATADILTGLSKNTITLKWEDDTSSSVEISGLDIGWGQRIPLTYDKEKGAWFLEKELPEGRYEYKYIVDGNWLCNEHENITKPNADGHVNNFVQVSRDGTSDEEKELRERLTGPNPDLTDEERLMIREYLEQYVEAEQ >KQK93034 pep chromosome:Setaria_italica_v2.0:IX:58450641:58456110:1 gene:SETIT_035550mg transcript:KQK93034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRPAWTQSIDRRSSAPAEGVERGGAAATRQGLIDRVHAAQILFPTSSPRPSPSSLPSPSTAACEWGASSPDIFTRVASASSPFRAHERWEAMNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVKTPPGASTSGAESSAVEVGTEKSEVYSTNMTQAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTIFCLQQDSDLEYFGVNIRAIQDYCLQFKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNCNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRASFPKLEAIKLATADILTGLSKNTITLKWEDDTSSSVEISGLDIGWGQRIPLTYDKEKGAWFLEKELPEGRYEYKYIVDGNWLCNEHENITKPNADGHVNNFVQVSRDGTSDEEKELRERLTGPNPDLTDEERLMIREYLEQYVEAEQ >KQK93036 pep chromosome:Setaria_italica_v2.0:IX:58450641:58456110:1 gene:SETIT_035550mg transcript:KQK93036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRPAWTQSIDRRSSAPAEGVERGGAAATRQGLIDRVHAAQILFPTSSPRPSPSSLPSPSTAACEWGASSPDIFTRVASASSPFRAHERWEAMNCLQNLLKEPPIVGSRSMRRPSPLNLAMVRGGSRRSNTVKTMQPPGASTSGAESSAVEVGTEKSEVYSTNMTQAMGAALTYRHELGMNYNFIRPDLIVGSCLQSPLDVDKLRKIGVKTIFCLQQDSDLEYFGVNIRAIQDYCLQFKDIEHCRAEIRDFDAFDLRLRLPAVVSKLHKLVNCNGGVTYIHCTAGLGRAPAVALAYMFWILGYSLNEGHQLLQSKRASFPKLEAIKLATADILTGLSKNTITLKWEDDTSSSVEISGLDIGWGQRIPLTYDKEKGAWFLEKELPDGMNTNT >KQK92920 pep chromosome:Setaria_italica_v2.0:IX:57873070:57873779:1 gene:SETIT_038300mg transcript:KQK92920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQTTALGLPQKARLLFGCLKSCCVPLLSLWVRVDFLAASVGPQLCGVATHGWEPNTPYITQSKRASVTIFEYSTKSLMP >KQK89975 pep chromosome:Setaria_italica_v2.0:IX:38085264:38086602:1 gene:SETIT_040643mg transcript:KQK89975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIDAVANSIHIPLVIIWRGIRYCDHGHNDSNRGPK >KQK92712 pep chromosome:Setaria_italica_v2.0:IX:56887569:56892334:-1 gene:SETIT_035781mg transcript:KQK92712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACSRGLAWPPFDLTTARGAAPWPRRPAPRRRAAIRCCCAGADPEPRRRLSRAAAAAPERAEEWRVDGNKPSAAAPGRRRASLTAMPPLPFPAPRSRRQFKQQDFYPRCTQRGPAPQSRDTPPKRDTGIASEKEWGINLLDEAVKESGTNEDGSTWYRESGEDLGENGFRCRWARMGGQSHDGSTEWKETWWEKSDWTGYKELGAEKSGKNALGDSWWEKWKEVLYQDEWSNLARIERSAEKQAKSGAENAGWYEKWWEKYDAKGWTEKGAHKYGRLNEQSWWERWGEHYDGRGFVLKWTDKWAETDLGTKWGDKWEEKFFAGIGSRQGETWHVSPGGGRWSRTWGEEHFGNGKVHKYGKSTTGESWDLVVDEETYYEAEPHYGWADVVGDSTQLLSIQPLERPPGVFPNIDFSSAPPLNDDPPGMTPSSPLE >KQK90909 pep chromosome:Setaria_italica_v2.0:IX:46528788:46530251:-1 gene:SETIT_039541mg transcript:KQK90909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNLLVWEWFFLIGGSIGRSSTRLWLRRGWIQPNPIPTNPRVYPASPPHTGNETTRSRRALLRQRRRRRRRRRGGHLSLAALTACPTAAGKCASSCSSPSISSSASSPSRSPALLLIPFFLVRVRQAAALTAATPAPALIRTAAAPPGAYPPTPPSPLGSFSCHGFYF >KQK88781 pep chromosome:Setaria_italica_v2.0:IX:17159663:17162957:1 gene:SETIT_038807mg transcript:KQK88781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRRALLLFLLASPFFLCDADSAQGEAEALARWKDSLAPAAAAALASWSLLNGSAVAAAPPAPCSWRGVSLASLNLSSNALTGPVFPSNISAPLLSFRSVDLANNNLSGPIPATLPAYMPNLEHLNLSSNQFAGGIPATLVKLTKLKSLVLGSNNLVGGIPAVLGNVTGLRELELSNNPLGGTIPAALGKLLSLEHLNVSLTQLESTIPTELSLCTNLTVVGLAANKLSGGLPPSLAKLTKVREFNVSKNMLTGEVLPDYFTSWTDLRVFQANGNRFTGGIPPEVATASKMEFLSLATNNLSGTIPPVIGKLTSLEVLDLSENEFSGAIPRAIGNLTSLRVLRLYDNKLTGRFPDEFGNMTALQRLSINTNMLEGELPAGLTRLPNLLGIVAFDNLLSGAIPPDFGRNLSIFSMSNNKFSGRLPPGLCSTPRLQYLSLDDNDISGVVPACYRNFTRLVRFRMARNRLSGDVSEILGSHPDLYYVDMSGNSFDGELPEHWSQFKSLSYLHLDGNRITGTIPDSYSSMAALEDLSLASNRLAGMIPPELGGLPLLKLDLSHNMLSGQIPLALGNATRMLRLDLSGNRLDGGVPVELTKLAHMWHLNLSSNNLTGRVPALLGKMASLQELDLSGNPGLCGDIAGLNPCRLEPIRGSSKRHIARRRFIIAATAVSAAALMTSVMAVAVCALARRRRRRRAGKDSTDTAASGGTAAPTASVWGKDAEFSFGDILAATEHFNESYCIGRGSFGSVYRADLPGGHSLAVKRLDASETGDACWGTSEKSFENEVRALTRVRHRNIVRLHGFCAVGGHMYLAYELVERGSLGKVLHGAGRSCERFGWAARARAIGGLAHALAYLHHDCSPPVVHRDVTVNNVLLDTDFEPRVSDFGTARFLAPGRSDCTSVAGSYGYMAPELAYLRVTTKCDVYSFGVVALEILMGKYPGGLISSLHSRLPEEQQAGGSLLLKDAVDQRLDPPGGQVAGRVVFAFVVALSCVREDPDARPTMRNVAQELSARRLPVLDRPFAAIRVADLTGSRG >KQK89152 pep chromosome:Setaria_italica_v2.0:IX:21365619:21366496:-1 gene:SETIT_0381291mg transcript:KQK89152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAWLRRVPAAAPAMPRIPCALPALPTPPPPAPVLEAPALALPARGAFMDLMAVPKKKVSKYKKGLRNGPKALKPVPVIVRC >KQK88254 pep chromosome:Setaria_italica_v2.0:IX:12637680:12642215:1 gene:SETIT_034309mg transcript:KQK88254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHADAGGGLEIVPHSGDLELDLPPPGNSLRQDSLYRDATRPAHHAGHHGQESWVRTLRLAFQCVGIMYADLGTSPLYVYSNTFKKGVGHPDDVLGVLSIIIYSFILFTMIKIVFIALHANDEGDGGTFALYSLISRYARICLIPNQQAEDELVLRYKHHPKPSATLRRAQWMKNLLETSKFAKITLFFLTILATALAISDCMLNPPISVLAAVNGLKLRAPHLTKDAEVWITVGILVVFFSVQRFGTDKIGYTFAPVVTLWLLLISGIGLYNVIKYDIGTLRAFNPKYIINYFRRTKKKGWVSLGEILLCVTGTEALFADLGYFSIRSIQLSSTFGLLPSVLLTYIGQAAYLRKHMDMDISNAFFNSVPSSLFWPTFILALITAVIGSQAMVSCAFATMSHLQALNCFPRVKILRTSRHYSGQMYIPEVNIFLCISACMVTLIFRTTGFIARAHEICVVLVMIITTLLMTIVMLLIWKVNIWWIIIFFIVFMSTESIYTAAVLYKFIHGPYLALAISAVLMTIMIVWHYVHVKRYKYELEHTVSRDKVKYILERQDLKRVPGFGLIYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKHLPVPHVDVSERFLFRQVEPKELMVFRCVARYGYRDTLEMANGFVTILVEYLQYYIRDANLYGLGDPLRINDNSAHIDSFYHEKPSEHAIYAEEMLTPIQSFSELTMHPVGMSNMLTQTGKMNIEEMFKIEEDQKIIQTEVNNGVVYILGETEVVAKPHSNLLKKIIVNYVYSFLRKNSRNGEKMLSIPRGQLLKVGITYEI >KQK92714 pep chromosome:Setaria_italica_v2.0:IX:56901675:56903462:1 gene:SETIT_036757mg transcript:KQK92714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEVEQDLPGFRFHPTEEELLDFYLAHMVHGKKLHFDIIGTLNIYRHDPWDLPAMAKIGEREWYFFVPRDRKAGSGGRPNRTTERGFWKATGSDRAIRSSENAKRVIGLKKTLVFYQGRAPRGTKTDWVMNEYRLPDYGTGRGAPPPPQEDMVLCKIYRKATPLKELEQRASAMEEMQRRSDAAHYTARASLTQASASAGDDYLSSDDSFLFPSASSSPPSGDSYSAHKEAKQEAAEATVTVASTSLMQAANMAVMQPPAVRHGDLPTLQVPTNHGALDWMQDLSQLRSPWQDQFFLSPLANLLS >KQK87204 pep chromosome:Setaria_italica_v2.0:IX:6085511:6088244:1 gene:SETIT_036167mg transcript:KQK87204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAAEPDDAREEMENHQQLPTTAKGAPALEGLVVGSYCHDVLIRGGRVVGETLGGAAAFVSNVLDAASPREEEEQGEAPFVVVSKVGHDFAYASAPGPARRPPLLCASPTTSFHAQFSDAASSAHAPDRELRRVRACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGDGAVGHVALEDTPYARLLPRVAFLKASSEEAPYVGLETARRRCCVIVTDGRDGCRLYWDGGEARVVPFPAVQVDPTGAGDSFLAGFAAGLLWGLSATDAALLGNFFGAAAVSQAVKEILEEKTTKRSSPCINGTTFTLERSNVHDELHAALQEAARLMSEQQQADPANGNGGDICST >KQK87205 pep chromosome:Setaria_italica_v2.0:IX:6085511:6088244:1 gene:SETIT_036167mg transcript:KQK87205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAAEPDDAREEMENHQQLPTTAKGAPALEGLVVGSYCHDVLIRGGRVVGETLGGAAAFVSNVLDAASPREEEEQGEAPFVVVSKVGHDFAYASAPGPARRPPLLCASPTTSFHAQFSDAASSAHAPDRELRRVRACDPIYPADLPDRRFAYGLAVGVAGEVLPETLERMIRLCRAVLVDAQALIRAFDGDGAVGHVALEDTPYARLLPRVAFLKASSEEAPYVGLETARRRCCVIVTDGRDGCRLYWDGGEARVVPFPAVQVDPTGAGDSFLAGFAAGLLWGLSATDAALLGNFFGAAAVSQVGVPTFHPKMLQAVKEILEEKTTKRSSPCINGTTFTLERSNVHDELHAALQEAARLMSEQQQADPANGNGGDICST >KQK88872 pep chromosome:Setaria_italica_v2.0:IX:17948240:17948529:1 gene:SETIT_040056mg transcript:KQK88872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSQNSQGGGSGGESSNAGGTGGGGGGGHGGGNWGSSAHGEGSGTGSGSSNANRYWYGPSDANAYANGNGRGQGNGVNGGSAGGQGGGSGYGNANP >KQK88454 pep chromosome:Setaria_italica_v2.0:IX:14387712:14389207:-1 gene:SETIT_039409mg transcript:KQK88454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLKFTVRRKPAELVSPASPTPRELKRLSDIDDQDGLRFHIPVIQFHRRSALMGARDPAPVIRGAIARALVHYYPFAGRLRELEGRKLAVDCTGEGVLFIEADADVRLDHFGDALQPPFPCLDELIFDVPGSSEVLGSPLLLFQVTRLACGGFILGVRLHHTMADAQGLVQFLGAVAELARGAAAPSVRPVWGRELLEARDPPRPAFAHREYDVVPDTKGTIIPLDDMVHRSFFFGRREVAAVRAHLPPHLRSRASTFDLLTGLLWRCRTVALAPDADEEMRMICIVNARGGKSGGGAAIPEGYYGNAFAFPVAVATAGDLAARPLGHAVELVKRAKGEVGAEYMRSVADLMVLRGRPHFTVVRAYLASDVTKAGFGDLDFGWGKPVYGGPAKGGVGAIPGVASFLIPFKNAKGEDGIVVPMCLPGPAMETFVRELGKLLSPPAEQPQEDASSFPVIRSAL >KQK89305 pep chromosome:Setaria_italica_v2.0:IX:23580279:23581381:1 gene:SETIT_039230mg transcript:KQK89305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREGCGSDDIISLASDGGDTVVVPPRWRPGSGGAGLRVPARTERRLRAAEVALRFAACGFALAVAVLLKVNKETRDFFGLFVKVARYTDMPSLVLLVNTNLIAASYNFLQGGSCLVSTVRGRPLVSKPMACAIFFCDQVIAYVALSALAGALVAAMISKLGQAQFGWMKTVHLYKRFSMHAAVAINCALVAVVAMVVVSALSTFNLFRLYGAGEGRKI >KQK91665 pep chromosome:Setaria_italica_v2.0:IX:51116950:51118945:-1 gene:SETIT_035088mg transcript:KQK91665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGSLQGEREFHNELSLASHLLGCAVPGAPPSILLPFAYSLSAQPRRRRMMLVYDLMPNGSLQDALLGKRCPDLVAEWPRRLAVARDIASALHYLHSVVQPPVIHGDVKPSNVLLDADLRARLSDFGLARIRSEEEDELESGAIGADADGNGNPGGGCDEDVSVAGESVTAIVVNGEDNAAKSPEDDEAFTTASPAEAASTSGCDKTSVGSGLNARSCNGGGAAGSGTGSDWWWRQDNGGGGGGGGGVKDYVMEWIRSEIKKERPKNDWIAGSSAITPVVSTERKKPKRRAREWWREEYAEELTKKQKRRALAKSKSDAGAMSGMQWWERDCDLEEKGHSRWRMMKSWSRRSSNGNASISSWVDGVRRSSRDWASGEFVPKSGGAVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSFGVLLLVLISGRRPLQMATSPMSEFEKASLISWARHLAQVGRLLDLVDPALQDVDRDQALLCITVALLCIQRSPARRPSSTEVLDMLAGEGEPPPLPIEFSPSPPGGFPFKSRRKGR >KQK92856 pep chromosome:Setaria_italica_v2.0:IX:57535483:57539609:-1 gene:SETIT_035170mg transcript:KQK92856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHHHHHLTPPPSPMENTATGASSKPPTPASTPNSRLASGAPSSRPSATPSHASTAASAPTPASRTVYSDRFIPSRTGSNLALFDLAPSPSSSHDAAASGPTASSGSAPPTSPYCALLRAALFGPDTPDRVASSATACSSSSSAGASAVGTPATGNIFRFKAEVPRNAKRALFSGEDEEDALFPGIFTRRGAGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGLDDNVCSVGWAQRGTHLAVGTNQGKVQIWDATRCKRIRTMESHRMRVGALAWSSSLLSSGSRDKSILHHDIRAQEDYVSKLTGHKSEVCGLKWSYDNRQLASGGNDNRLFVWNPHSVQPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSCIGGTSFVRSYIR >KQK92857 pep chromosome:Setaria_italica_v2.0:IX:57536053:57539572:-1 gene:SETIT_035170mg transcript:KQK92857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHHHHHLTPPPSPMENTATGASSKPPTPASTPNSRLASGAPSSRPSATPSHASTAASAPTPASRTVYSDRFIPSRTGSNLALFDLAPSPSSSHDAAASGPTASSGSAPPTSPYCALLRAALFGPDTPDRVASSATACSSSSSAGASAVGTPATGNIFRFKAEVPRNAKRALFSGEDEEDALFPGIFTRRGAGPRKIPRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGLDDNVCSVGWAQRGTHLAVGTNQGKVQIWDATRCKRIRTMESHRMRVGALAWSSSLLSSGSRDKSILHHDIRAQEDYVSKLTGHKSEVCGLKWSYDNRQLASGGNDNRLFVWNPHSVQPVLKYTEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCVDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQSSDSLSCIGGTSFVRSYIR >KQK89815 pep chromosome:Setaria_italica_v2.0:IX:36143717:36144298:-1 gene:SETIT_0399681mg transcript:KQK89815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEVEEESLFESGGHEYENVGVENEEDGLQFDTAT >KQK90501 pep chromosome:Setaria_italica_v2.0:IX:43207949:43208475:1 gene:SETIT_038152mg transcript:KQK90501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGMTRIQKFTNSIHLKDLASIFILLPNFQLSKSLTAYHGSGHSTTVLSPHRQSGHGLTIPRKAGDPSVSQRLCQLLLPTTGDTDRRTVLKSQKTVGSPQLFFILN >KQK91399 pep chromosome:Setaria_italica_v2.0:IX:49596884:49600747:1 gene:SETIT_036571mg transcript:KQK91399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAARRRKREESGMASGGVRLVGARTGPSSRTTAKYAIRASPSPRRKREKNQSRLFRSGRSTRKRSRAPDRRPARGDAAPGEVLPTPELRIPIPPSSSCARRGRSMRWPPPISHRRRPFLLLVLLVALYSIPGAFSLRHVTLNTVEIFTTHEWFGKPTVYFRCNGENKTNLPDVKEAHVLYAFKGEESWQPLAELPEKKCKRCGLYEEDAFKPADVFDEWEMCSSDFKDGKYTRFKENQFNATFLCPNCTASAGDHGNGEHSSEVETNKASVPVIIVVSVLASVLVIIALFGGYKYWQKKKRERDQARFLKLFEEGDDLEDELGLSNEF >KQK91400 pep chromosome:Setaria_italica_v2.0:IX:49596884:49600412:1 gene:SETIT_036571mg transcript:KQK91400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAARRRKREESGMASGGVRLVGARTGPSSRTTAKYAIRASPSPRRKREKNQSRLFRSGRSTRKRSRAPDRRPARGDAAPGEVLPTPELRIPIPPSSSCARRGRSMRWPPPISHRRRPFLLLVLLVALYSIPGAFSLRHVTLNTVEIFTTHEWFGKPTVYFRCNGENKTNLPDVKEAHVLYAFKGEESWQPLAELPEKKCKRCGLYEEDAFKPADVFDEWEMCSSDFKDGKYTRFKENQFNATFLCPNCTASAGDHGNGEHSSEVETNKASVPVIIVVSVLASVLVIIALFGGYKYWQKKKRERDQARFLKLFEEGDDLEDELGLSNEF >KQK86861 pep chromosome:Setaria_italica_v2.0:IX:4175497:4179053:1 gene:SETIT_034782mg transcript:KQK86861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSSTSPPAAPSPAKSRPSPSTAPPPSADSSSQPTASSSLAPSGHSDASPPPPPAPARSHGAPFSPAKTHPPPEHSSRGSGGGGSSPPASVHHGGPTMVEIVFAAAGAVALLVILIAACVCCSRRTAPRRRKRPHNPMHYYADSSSVYKAAGNSTYYPSGPQPQWQSDTGAAATMSTFGAPGGGWHAPAPPADMTSGLYSSPPLPPPSPHEALGLGKGTFTYEQLAAATGNFSPANLLGQGGFGYVHKGVLPGGRAVAVKQLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGARRVLVYEFVPNKTLEFHLHGKGQPVMEWPTRLRIALGSAKGLAYLHEDCHPRIIHRDIKSANILLDNNFEAKVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELLTGRRPVDTGGTATSFLEDSLVDWARPALSRALADGDYDGVADPRLHGNYGPVEMARLVASAAAAVRHSAKKRPKMSQIVRALEGDMSLEDLNEGVRPGQSMTFGPAAPVAAAASYNANRAPGQYTSDMERIRQAPMAIPEYSGTVTGFGRPSPIESEGSFSDDDLSPVKGQSRR >KQK90629 pep chromosome:Setaria_italica_v2.0:IX:44078413:44078801:1 gene:SETIT_040606mg transcript:KQK90629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSASASCRCTPAHGAPRHPSRAPDPPVPRVRGQRG >KQK86490 pep chromosome:Setaria_italica_v2.0:IX:2230911:2232668:-1 gene:SETIT_035623mg transcript:KQK86490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSGHRKLPRVSYLYNPSPLRRPHPSPLLLLASRSPSNRRCTIFDCIGRIRSTSNNPGKLSLCSPAGSREQEGGEQGTRMPKRRCGERDGGSTAKPRKPRLYLVCDDWDSGYSIRKLSLPADSDEGAVQGLPTLFWRVVAPREDPHHFTSAFGTKIMGGDAIIDVRTRCVLPGPESNAPAFPIYFPVGDDRIYVLDSGSMEICHFPPEQSDAESGGGESSLYEYGFDSKDVWFWHDLQMPPFAIMDVTSYAMHPHSHGHTILVSIKSEDLDAATFSFETGVSAWNFVGDWTLPFTGRGHYESELGALVGLSKEKESFGYIYACDVPNTSNRPPAWKLSKKKVFSKNPAEKHVSASLIYLGCQRKFCLVECVLVKEDKACQEVKEHKDDQVLLEKPEGTCVPQHSRYMYRLMTFSLKYDKMGDLRIRHRRVRYYKVPSKVSTECISQDPVVFWL >KQK88598 pep chromosome:Setaria_italica_v2.0:IX:15430946:15437018:1 gene:SETIT_035967mg transcript:KQK88598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGGADGNGHLPRPRRPRRGGVGGGGGMRPLQGQLASGVQSHPAAPPCTDYDVAYFKAYSHIGVHEEMLKDHVRTITYRNAIMHHQDLISGKVVLDVGCGTGVLSIFCAKAGASRVYAVDASDIAIQAMEIVRENELSDKVVVLHGRIEDVIIEEKVDVIISEWMGYMLLYESMLGSVIFARDKWLKPGGLILPSHASLYMAPITNSQRYQDGVYFWRDVYGIKMSSMMPLAKQCAFMEPSVETISGENVLTWPTVVSQVDCYTIQAQELETITAAFKFTSMLQAPLHGFAFWFDVEFNGPVRQKSRKQANQSLGGNTQNASPSSKKKKPDVSVVLSTAPEDAPTHWQQTLLYLFEPIELNKDQNIEGSVTISQSQQHARFLNICLKYFTGDQWYVKESVMR >KQK88680 pep chromosome:Setaria_italica_v2.0:IX:16157177:16157626:-1 gene:SETIT_039349mg transcript:KQK88680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIALLLLPLGQAEERPTAAHPHGLPFESPLALSPAAYDFFHPSVRARRAHGVAPAPALAPRGQRQQQQLRESAVRGATASVARADQEEGSVAPVRTVRHRTVAGVFVGAAAAALVAVGVAYAVVRRRAAAARGGNGADAGAPKLNA >KQK89266 pep chromosome:Setaria_italica_v2.0:IX:22779059:22780408:1 gene:SETIT_036667mg transcript:KQK89266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSEVILDYPIFRIYKDHHVDRLVGTETVPAGADAATGVVSKDVVIDDDSGIYVRLYLPDMAGTQANEDGPKLRVLVFLHGGGFITESAASPMYHNYVNSLAAAARVLVVSVNYRLAPEHRFPTGYEDSLRALKWALSGGDPWLSRHGDLGRVFLAGDSAGGNIIHNVAMMAAAAGDGGGVAARIEGAVLLHAAFAGKEPVAGESVETAEMMDTLWGVICREAAEDGLDDPRINPLAAAAPSLRGLPFERLLVVEADGDFFRGRGRAYYEAVVASGWGGEVEWFETMGKEHVFFLLDPGCPEAVALMARLVAFFAGN >KQK91532 pep chromosome:Setaria_italica_v2.0:IX:50427745:50428478:1 gene:SETIT_038006mg transcript:KQK91532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSGESAGARRQFGSMDEFWGFYLSQHSKPGTRRWHFLGTLASLACAALAAATGRAAPLLAAPVLGYGMAWYSHFFVEGNRPATFGHPVWSFLCDYRMFGLILTDRIDAELVRLRIQPPPDDPATPSHQD >KQK90483 pep chromosome:Setaria_italica_v2.0:IX:43053387:43058362:1 gene:SETIT_036207mg transcript:KQK90483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQRDGDGSVDRPAKARWCAVTGGRGFMARHLVAALVRSGEWNVRVTDLAPTIVLGPGESEGLLGDALRDGRAVYASVDVCNLDQLIEAFEGVDVVFHTAAADPGKNNLQLHYKVNVEGTKSVIDACKICKVKRLIHTSSSAVVFDGVHGLFNVDESLPYPDKFPDAYAQTKAEAEKFVMKANGIDDLLTCCIRPGGIFGPGDMILPTLDQYGQTLFIIGDGKNYDDLVYVENVVHGHICADRTLSTMKGAQRSGGKAYFITNMEPMNLWDFLYMVQEELGYKRLFKIRIPLLIIKPISYLVEWGYKVFYHYGMCQPHILTPARIKYTTLNRTFSCNKAIEELGYKPTVTIMDGLKATVESYIQLKNKDISRERK >KQK87192 pep chromosome:Setaria_italica_v2.0:IX:6030369:6034236:-1 gene:SETIT_035240mg transcript:KQK87192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATALRKLSANALRRQPLSRITPLYYMASLPATEERSGVTWTKQLNAPLEEVDPEVADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGFIDYDQLEKSAVLFRPKLIIAGASAYARLYDYDRMRKICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNSAKFAQSLVAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLECVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLAVKIKAATTGGTKLKDFVTTLQSDSIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >KQK87189 pep chromosome:Setaria_italica_v2.0:IX:6030369:6034236:-1 gene:SETIT_035240mg transcript:KQK87189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATALRKLSANALRRQPLSRITPLYYMASLPATEERSGVTWTKQLNAPLEEVDPEVADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGFIDYDQLEKSAVLFRPKLIIAGASAYARLYDYDRMRKICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNSAKFAQSLVAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLECVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLAVKIKAATTGGTKLKDFVTTLQSDSIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >KQK87190 pep chromosome:Setaria_italica_v2.0:IX:6030369:6034236:-1 gene:SETIT_035240mg transcript:KQK87190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATALRKLSANALRRQPLSRITPLYYMASLPATEERSGVTWTKQLNAPLEEVDPEVADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGFIDYDQLEKSAVLFRPKLIIAGASAYARLYDYDRMRKICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNSAKFAQSLVAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLECVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLAVKIKAATTGGTKLKDFVTTLQSDSIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >KQK87187 pep chromosome:Setaria_italica_v2.0:IX:6029708:6034342:-1 gene:SETIT_035240mg transcript:KQK87187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATALRKLSANALRRQPLSRITPLYYMASLPATEERSGVTWTKQLNAPLEEVDPEVADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGFIDYDQLEKSAVLFRPKLIIAGASAYARLYDYDRMRKICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNSAKFAQSLVAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLECVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLAVKIKAATTGGTKLKDFVTTLQSDSIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >KQK87188 pep chromosome:Setaria_italica_v2.0:IX:6030369:6034236:-1 gene:SETIT_035240mg transcript:KQK87188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATALRKLSANALRRQPLSRITPLYYMASLPATEERSGVTWTKQLNAPLEEVDPEVADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGFIDYDQLEKSAVLFRPKLIIAGASAYARLYDYDRMRKICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNSAKFAQSLVAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLECVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLAVKIKAATTGGTKLKDFVTTLQSDSIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >KQK87186 pep chromosome:Setaria_italica_v2.0:IX:6030369:6034236:-1 gene:SETIT_035240mg transcript:KQK87186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATALRKLSANALRRQPLSRITPLYYMASLPATEERSGVTWTKQLNAPLEEVDPEVADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGFIDYDQLEKSAVLFRPKLIIAGASAYARLYDYDRMRKICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNSAKFAQSLVAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLECVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLAVKIKAATTGGTKLKDFVTTLQSDSIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >KQK87191 pep chromosome:Setaria_italica_v2.0:IX:6029708:6034342:-1 gene:SETIT_035240mg transcript:KQK87191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATALRKLSANALRRQPLSRITPLYYMASLPATEERSGVTWTKQLNAPLEEVDPEVADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISATSIFFETMPYRLDESTGFIDYDQLEKSAVLFRPKLIIAGASAYARLYDYDRMRKICNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEINKQGKEVMYDFEDKINAAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVISNSAKFAQSLVAKGYELVSGGTDNHLVLVNLKNKGIDGSRVEKVLECVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVNLAVKIKAATTGGTKLKDFVTTLQSDSIQAEIAKLRHDVEEYAKQFPTIGFEKETMKYKN >KQK86098 pep chromosome:Setaria_italica_v2.0:IX:281187:282642:-1 gene:SETIT_036813mg transcript:KQK86098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAMAPAPPAAAISRHNYHRVSLLAPRRSGFLGRALAAQPRPPVAQVGRRACAVRMAWDGPLSSVRLIMQGRNVKLGENVKEHIEDKVGRAVSKHCHLVREVDVRLSARGGDLGRGPKTSRCEITLFTKRHGVLRAEEDADSTYASIDLAASIVKRKLRKIKEKETEVSHVKDWVDAGGLLLEDTEQDDQEQAAAEQDLTAAVGAEDEDTVLTKVVRTKVFEMPPLGVDEALEQLQNVDHDFYAFRNEDTGEVNILYKRKEGGYGLIIPKEDGHVEKETVIAEPSYAAVRRAGDNN >KQK88467 pep chromosome:Setaria_italica_v2.0:IX:14461619:14464783:1 gene:SETIT_036792mg transcript:KQK88467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEQRNNQNSPQNRPGWVGQSSARPHSPSSILRPRRVGGLGTPRESRELRSDSASSPPSLLPHPPHEATSIGMGSSCLASPAGAALCRPRRPRCRVACSAADAGGKSPEPAWFAAGGKNAGRLACGVLAAWAVASASNPVIAASQRLPPLSTEPNRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLAAALMSDAKFDGADMSEVVMSKAYAVGASFKGTDFTNAVIDRVNFEKADLTGAIFKNTVLSGSTFNDAKMEDVVFEDTIIGYIDLQKLCTNTSISADGRLELGCR >KQK90146 pep chromosome:Setaria_italica_v2.0:IX:40161114:40168785:1 gene:SETIT_033892mg transcript:KQK90146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPRDASDGDEDHGDPSGAAFDGGGEEEEEERRQAPPPPPGNDRPGDALWRWRSQSLSELVLSWSVDQILDRDLLRDKVSKIPETFSSMEQYMTSFFGPLLEEVRDDMCSSMEDISNAPYADLLSVNSMRKGKGSFEISLGRWRGTSHGCGIDNYKPKAADVLLISETRPANQYDILRQSKSCVIVWVSKVKGNKMTVKASQLMETGAQGGERRQMGINKYDKSYSEGLDESWDMLDQEATCSKSRNSYAHNNVWKERAKAEKWSGRHRQNETETHDSSRRWSFYAMFLTNMITYDRVWVVLRRGLTMDSKIIHSMLGRNNYAPGHCKYCSNSLDEIKADLCKFKLNDSQLDAVASCILSSECSHRSSAGLVWGPPGTGKTTTVAVMLQMLLMKEQRTLACAPTNMAVLQVACRLLELIGDFSPRQRYPLGDIILFGNKDRLQIGELLSEIYLDDRVQKLLTCFDRKHGWKHCVDSVITLLVNCISRYRMSVDIRQGRGEACNLTFKMYFTSRFSALAKELAGCIDTFYDHLPRGSLGKNFDRMMFAKSLVDKLQQLLSADDVSDEHLFTIFKPADELPDSSSSHDDLLDDTADDLQECDISTYSLLDIKALCIKTLTSLSKMRLPCEDNELSIRDLCLKHAKLIFCTASSSFELFRLQSVRPISILVIDEAAQLKECESLVPLLLQGIEHVLLIGDENQLSALVKSKIAKDADFGRSLYQRLCAMGYSKHLLEVQYRMHPCISKFPNASFYDHRILDGPVVKQETYAKSYLPGPIYGAYSFIHIENDMEMLDSLGQSSKNMAEVAVAANIVERLAKECSEKRQRTSVGVISPYTAQVIALQDRLGRKFEKHDFLSVTVKSIDGFQGGEEDIILISTVRSNKDGKVGFLSDAGRINVALTRAKYCLWILGNGTTLLASNSIWADLVRDSKRRGCFFDAFRDKDLAEAVMHVTKPEQWKRRGQRNDRSNLANEAPSWSSTRDMVTVRNNPQRRWNELPVRTSSEQHSSLEGYRGWTKQHLGPQPHGRLYHEPLRSSSQIGNGRHTPRSAHMEESHGQISVLGAWQHPGSYCNREFQNRTVYPESQNMVAYPYRDRPFQQRLNSYGAADSEFGRTNGDRQFSSLQRRAPHGQIGSRGRGRPSCHERGGRGGWSERYVYCRTEEPHCWVQNGASETALRKRLALGQQGTKRDWCAAESSDSPQQDNTKMRPESADRLPGQEYNGGSEEVAHKLHALKQGGAKTDGCEAEAPRLPSKSTPESADQPNGTMWDGSSGAFSHELPVSEQGGVEIDLSEADTSDIPCQALDGSSEASHELPIPEQRGMETDLCEVGQSDAAYQFQNGSSGAAASYGPIVPEGGVEINLHAAEASDTPSQALGGSSEGSLELPVPEQLGMETDLCEVGPSDAPYQVQDGSSGAASQLPIPEQRGTETDLREAETSPEHQDNREINLKP >KQK86562 pep chromosome:Setaria_italica_v2.0:IX:2681224:2686057:1 gene:SETIT_036652mg transcript:KQK86562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISKPFGRILTCFCGFSLSTATAYKRKALLSSPAAKAQGRKAKGEKGRSKADFAMQILRRKVLEASRRLPLFYAASAASRGSAHAVATLAAAARWAPPAASGSLAAAPWAATQRRGAKMLGSDVKLGNVIQRRGRIYQVIKAQHSHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEEKLFTYLYQEGDTVTLMEPETFEQIEVSKDLFGKAAAYLKDEMKVNLQYFDGRPMSATVPPRVTCTVVEAQPNTKGLTAQPQYKRVVLDNGLTVLAPPFIEAGEQIVISTADDSYMTRA >KQK86563 pep chromosome:Setaria_italica_v2.0:IX:2681224:2686862:1 gene:SETIT_036652mg transcript:KQK86563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISKPFGRILTCFCGFSLSTATAYKRKALLSSPAAKAQGRKAKGEKGRSKADFAMQILRRKVLEASRRLPLFYAASAASRGSAHAVATLAAAARWAPPAASGSLAAAPWAATQRRGAKMLGSDVKLGNVIQRRGRIYQVIKAQHSHQGRGGATIQVELRDVDTGNKITERFRTDEALERVFVEEKLFTYLYQEGDTVTLMEPETFEQIEVSKDLFGKAAAYLKDEMKVNLQYFDGRPMSATVPPRVTCTVVEAQPNTKGLTAQPQYKRVVLDNGLTVLAPPFIEAGEQIVISTADDSYMTRCFYLIFNSNNYATDSFDGKP >KQK92503 pep chromosome:Setaria_italica_v2.0:IX:55861548:55862402:1 gene:SETIT_037886mg transcript:KQK92503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLSATAAPPAPAEEPAAPGANTGAAAAAAGRDHEPAGHAHASASQAGTAAAEAEEEKGHKEEDDTRAAAMDRPGGQQDEQHPSSDDAAAATAPPASQPAAYGFAKDGRKMLLAIFAVMLALVAAGLFARYTMDPSAETPSSGNHIVSLSDS >KQK92716 pep chromosome:Setaria_italica_v2.0:IX:56905152:56906630:-1 gene:SETIT_037098mg transcript:KQK92716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKAIAVLICFAGAALLAAAGGASGQQQGVWSIITRPMFQRMLSHRGDSGCQGAFYTYDAFIEAASKFPGFGTTGDDQTRRRELAAFFGQTSHETTGGWATAPDGQFAWGYCRVKEQNPTDPPYYGRGPIQLTHDYNYRQAGKALGLDLVSNPDLVSSDPVVAFKTAIWFWMTPQSPKPSCHAVMTDVWAPSAADRAAGRLPGYGLTTNIINGGQECGRGQGTDGAKDRVGYYKRYCDMLRVGYGDNMACKNQKPYGGG >KQK89350 pep chromosome:Setaria_italica_v2.0:IX:24777816:24779380:-1 gene:SETIT_039235mg transcript:KQK89350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMFSQMNNRASWDEPTTKFLLDLCIEQKNQLNWSDRCLTKLGWRNVHSRFVAETRLQLGTKQLQNKLSNLRRQFFGWQALQTSSGLGRDTQTGGVSADATYWEHDQQDTQARSQSSSVKPPPFLNELFELYGHEPQDRGTLLSAGGIREDTPSMGTEGNFVDLEEDPAPASSARVSARAKSKRPVREISVDSPTKKRSDNLEQYIRSLSESVAKRSLLRAPSLQEKISRCLEILKEDGIEQGSELHNQAMFSFGQSAECRTTFMGLDTKDARLSWINFYWNMMHKK >KQK89341 pep chromosome:Setaria_italica_v2.0:IX:24565229:24568783:1 gene:SETIT_034259mg transcript:KQK89341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTYVAKKKFCAASNDKESPEDEKMSASVERQLVRTAKLSTITIKLYRLVIIVRMGIFVLFFKWRISTARAIMSSPATDATGTVRAMWTVSIAGELWFALMWVLDQVPKMQPVRRDVAITALDGSLLPSMDVFVTTADPEKEPPLVTANTILSILAADYPADKITCYVSDDGGSLLTREAVAEAARFAGLWVPFCRKHGVEPRNPEAYFSPGGAASVHGGVKARAVARGDYKGRAWAELARDRRRVRREYEELRLRIDALQAGDMRHQRWSLADGSCWRHGTAEDHAGVVEVLVSPPSRTPQLGASAIDAATNLLDFSSVDVRVPAVVYMCREKRHGRAHHRKAGAMNALLRASAVLSNAPFVLNLDCDHYVNNSQALRAGVCHMLDRGGSSVAFVQFPQRFDGVDPADRYANHNRVFFDCTELGLDGLQGPIYVGTGCMFRRSALYGVDPPRWRPHSDAGKDVVATEADTFGVSTPFLRSVRAVLNLNRSSDQRNATPPPCSFDGAAIGEATALVSCGYEDGTAWGRDIGWMYGTVTEDVATGFCLHRRGWRSAYCATAPDAFRGTAPINLADRLHQVLRWAAGSLEIFLSRNNALRAGRLHPLQRVAYLNTTLYPLTSMFLILYCVFPAIPLLSSGNATAGALLSLGTPPSATYVAFLTALMLTLAMVAVLEVRWSGITLGEWWRNEQFWMVSATSAYLAAVLQVALKVVAGKEISFKLTSKKTATTSSGVSVKERFAELYAVRWTVLMVPPAVVLAVNAASMAAAIERGRWRNGPAAVLAVAFNAWVVVHLYPFALGLMGRWSMTLSPLLLLVALFTIRLLCFVLQSHML >KQK86557 pep chromosome:Setaria_italica_v2.0:IX:2660922:2662552:-1 gene:SETIT_036957mg transcript:KQK86557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDFPSCFGESGVQIADASSSSSSAGKGAAQNLVTCLYQTQFSGRPCVISVTWSKSLMGQGLSIGVDDLSGHCLCKADIKPWLFSKKKGSKCLDVEDGKIEIFWDLSSAKFGAGPEPVEGFYVAVVFDLELVLLLGDMKKDAYRKTGANRSMLNAAFVARREHIYGKKIYSAKAQFCDNGQFHDIVIECDTIGLKDPCLEIRVDKKPVMQVKHLAWKFRGNQTILIDGLPVEVFWDVHSWLFGSTASNAVFMFQTCQAPEKSLPWSYSQIFRESQLQGL >KQK87900 pep chromosome:Setaria_italica_v2.0:IX:10046252:10047447:1 gene:SETIT_039526mg transcript:KQK87900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRPAAAGFVSPDPDVHQLPRPSPATETAGSATSSPRCATPAAERRSHVEAFGSTEEYEETCSLGEGGFGAVAKVRHRVTGETVAIKRLTDPEDEFCREELLREARFHEACGAHPFIVGFHGVVRDPATAELRLVMECVGGPSIHGFLRDQGSRGRLPLPEATVRAVMWQLLTGAKAMHEKHIFHRDIKPENLLISDDGRTVKICDFGMAMSLSEAPPYEPDAGTLWYMAPELLLEKEDYNALVDTWALGCVMAELINGKMLFDEGRDEDGQLREIFEVLGYPDDRTWPWFSSTPFAIELLPELDVHHRNHLREMFPEAVLSQQGFEVLSGLLTCNPDERLTAAAALKHPWFAKVDALELPRKEEVSSALPKKEMLVVPCA >KQK86662 pep chromosome:Setaria_italica_v2.0:IX:3202347:3202487:1 gene:SETIT_0389101mg transcript:KQK86662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WWGADGVIIGSAMVRQLGEATSPKEGLKRLEKYARSMKNALPSYAH >KQK91090 pep chromosome:Setaria_italica_v2.0:IX:47725252:47729044:-1 gene:SETIT_036700mg transcript:KQK91090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISNLRRRLHHGDVDGRKNEHVDISSADALNEPLLGSSHDNGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLANIIVGSGSIFGRLFPFSLDNQSNPVYLSPLQEERLNTLRRRLQIPFDGSRIEHQDALRQLWRLAYPTREIPPLKSELWKEMGWQGTDPSTDFRGGGLISLENLIFFARNYAKSFQMLLNKVQGQRSDWEYPFAVAAVPSSKSGIRFLELLERDENAFDHLYCVAFRLLDAQWLVKRASYMEFNEVMKTTRSQLERELVLEDVQAVKDLPSYTMLDK >KQK91089 pep chromosome:Setaria_italica_v2.0:IX:47725445:47728201:-1 gene:SETIT_036700mg transcript:KQK91089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISNLRRRLHHGDVDGRKNEHVDISSADALNEPLLGSSHDNGGSEVYDPRRQDLWDDDRKKEQLHWSFLFSNLIAQWAQWLANIIVGSGSIFGRLFPFSLDNQSNPVYLSPLQEERLNTLRRRLQIPFDGSRIEHQDALRQLWRLAYPTREIPPLKSELWKEMGWQGTDPSTDFRGGGLISLENLIFFARNYAKSFQMLLNKVQGQRSDWEYPFAVAGINTSFMLIQMLDLQSTVPSSKSGIRFLELLERDENAFDHLYCVAFRLLDAQWLVKRASYMEFNEVMKTTRSQLERELVLEDVQAVKDLPSYTMLDK >KQK92261 pep chromosome:Setaria_italica_v2.0:IX:54632636:54635406:-1 gene:SETIT_039201mg transcript:KQK92261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTVSTTFVAPIQQEHPMSLVLAENKSNLQEISLEQKVPTGDSINLSPKVDNSELPSTNEVHDGIPSSSCETHDDSNTMKSNTASSMLEAPMQQECPMSLILTDEKGDLHEVSVEQKVPIGDYVALSPKADSCEFPSTNKVPDGFPTSSSDAYESKEVQDDSIKMEALEVNVCAASQSLLGLSEGIQDDSSCIDSGKVTCGTPPAILKKVKEDKPLIVNRSHKGQMSLGDTQQKVPAPVSRSSTSKYLRMDKTIVDTTTPIESVKVAASKFGGSINWKTRRSQTAQDTDHIILELDKLKNEISVCKHQAEAAEAAKLSVFNELERTKKIIGEMKRVLERQQAIEVDGKEDLELFQFILQEMEEGVASDDSITVEEKLNNTHERHKSLVAKVMLVKDDFRKVQVDYDSLLIETDISVRKAQAAFTASKDAEKQVEELTIEFQRLKEVFDLAQATCHDAEEHKKVMLIARDEDSLAWEKDLRQADKELNQISMYLSSVLELQSKLDTSSSLLLDLKNELATCLEAKLIEEAREQESGTHKSKQEEAIILSRNELEEHRKSISKVTDELCSLKATAASLKSELNKEKAALVAIQQREAMASITIQSLKVEIKLCQQELEAVHAKEKEHPDKTVKLPKVLQDAAKEAEDAKSVAAKAQEELRKAKEEVEEAKAALSTMEFRIEAVLREIEAAKESERLAVNALEGTKVAANIKQQGSSQIITLGLNEYASLIEKPHLAEELVHEKTAAAIAQVEAAKESESRTLSKLNETYKALEERKQALLAATEEADRATEGKLAMEQELRKWREENGRRRRAGDQTSKPEAKSSNTAEIIIGGETKCTSKEDTRAPSSVHPLSDASGRSSPNDLHLALQAKTKKAKKVSFFPRVIMFLGRRRLKAAK >KQK89788 pep chromosome:Setaria_italica_v2.0:IX:35938779:35944451:1 gene:SETIT_039025mg transcript:KQK89788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHDTPPRPRSTTPPPRSGSGIGMDVKASSPSPAPPPSPGATLSAVIAEDRRREARRRRPGGLGQGGLLPLLVPACGRPAGQVGGRTAHSQLMLRLHRWGASFLPKGGGAVSRELEARRRAPSPPPRPRPLPPAAAHVVVPPPPPPPPRHEAPAVMSASVPEGRPLREREEPAVRAPAPGSKATAEADTSVGGESAGSNEHAAPATPAGGTWLRVSPKTTVRSLSLQTDTSDESPRSLADSPALAVESADMFVWADKYRPNVLSEFICNRAVADELHQLVIAHHCGHFIFEGQPAVGKRSMVLALIRDAFGPHGLKIEEQTKRFELKGEIRKHIDVRVKISGHHVEVSLADLHGYEKYVITNLLSESIPSPNLVCDHTNCRVVVIHDADKLSSDLQHYIGWFLGRYAGCNKIIFCCSDASNLEAVKHLCKVVTLQPPSFDEIIKVLEYIAAQESIDLPRDLARRITVSASNNLRQAIRSFEATWKANYPFIDGQVILTGWEEDISNVARNIIEEPSSKQLFVIRGKIRKLIEHNVSPHFIFSHLVAELKRDKDEEFQHSIDELASDVNHQSKGCKSREADLKMRNMNVEDFTEKVRDHGESIQCFIKIEEFTVRFLSFYRSLKAKKSNSGGAQ >KQK88374 pep chromosome:Setaria_italica_v2.0:IX:13695314:13696870:-1 gene:SETIT_039449mg transcript:KQK88374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPLLLLVALLLFLLPLLLLAQHGVRKGKNGGRLPPGPLAVPLLGNGQRHSVQHLVARYGPVVSLRVGTRLVVLVSDRCIAHAALVETGAALADRPAPTRAFLGETGHTISRASYGPVWRLLRRNLVAGTLHPSRVRLFAPARAWARGALVNKLRTSSEAPPHVAEELRHAVSCLLVLMCFGERLSEPAVRAVAAAQRNWLLFTAYRAHVFAFWPAVTKHLFRHRLQMGLAARRMQKEVFMPLIEARRERKKQLSQGGGDGGAGAPKKATTFEHSYVDTLFDIKLPDEGGRGLTDDDLVSLCSEFLAAGTDTTSTALQWIMAELVKNPAIQEKLHSEIKATCGDEQEEVGEEDTRRMPYLKAVVLEGLRRHPPAHALLAHLAAEDIDVCGYLIPRGAFVHFTVAEMGWDEREWGNPMEFSPERFLPGGDGEGVDVTGTKGIRMMPFGAGRRICAGLGVAVLHLDYFVANLVREFEWHEVPGDEVDLTERHEITTVMKKPLRPRLVPRRIHRVAAATQ >KQK92183 pep chromosome:Setaria_italica_v2.0:IX:54201294:54202109:-1 gene:SETIT_039778mg transcript:KQK92183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERALPPAVPASPEPTSSAVSTAFGSPLEPAAAAAAATTPAHDMYVVRVQKDQIYRVPPPENAYLAERYRNARGGGEAKKKQDGPACSPCVARTLGAVLAAAVLLGAAVLISLVVLRPGVPSFSVDRLTVRRESRPPRADYDFFLTAVNPNKMTALWYRSGSARLLHHGTTLAAGNIGQPADGGEDATDFSMVLRGAPHDGDTPKAVDKALGGSKDHLALRLTVMVTVQVHVGALGFGQRRLAVGCDIGAAGLREDVHISSQSCKSSFIT >KQK90836 pep chromosome:Setaria_italica_v2.0:IX:46092044:46095007:1 gene:SETIT_036795mg transcript:KQK90836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATAGYIDDSCSEVIDPPKTEVLDVTELAGDHIQHPPKPNVVVSSSVRELLECPVCLSAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQTFGCSGIYPYYSKLKHESQCQYRPYSCPYAGSECTVAGDIPYLVNHLKDDHKVDMHNGCTFNHRYVKPNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDVEAKNYSYSLEVGGTGRKMIWQGVPRSIRDSHRKVRDSYDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >KQK91958 pep chromosome:Setaria_italica_v2.0:IX:52931462:52931829:-1 gene:SETIT_040399mg transcript:KQK91958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSSLNMFLSARRGIHISFCIRMFVITNFSISINWMIELVIFR >KQK86986 pep chromosome:Setaria_italica_v2.0:IX:4913538:4914390:-1 gene:SETIT_037717mg transcript:KQK86986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIIAVVASESRSSSSGAKGKQSTSRTQISPESRQTYMHPCSWCACMHACLAYNARVTPASRPVTAKEREESWALGPGAVAGAGGHVAVAGALVLVTGRPGRHAVAVGAADPAVLEAVVVPARLGAPPRAIAVAEFCRNASNKNKKSKLMLAAMKSLLAASEMGSTRRVRYLIQQPWRH >KQK88117 pep chromosome:Setaria_italica_v2.0:IX:11640100:11641804:-1 gene:SETIT_0360381mg transcript:KQK88117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWNLIQDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDAECPKTDITEDNHHNINLGKPVTIFRLSEEDMPQDPGKSDEESSYENMVEELGDLTPQAEEYIIQMQSRLDAMKK >KQK88118 pep chromosome:Setaria_italica_v2.0:IX:11640100:11643653:-1 gene:SETIT_0360381mg transcript:KQK88118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAYSLRAPPSAAQQRLRLLPPPPPPFAAAAPTGAGAARRRRAVGVAAASASPFDELHARGRPVHGPSKKSMLWNLIQDIEPLDLSVIQKDVAPETVDAMKRTISGMLGLLPSDQFRVVVEALWNPFFKLLVSSIMTGYTLRNAEYRLSFERNLELSEEDAECPKTDITEDNHHNINLGKPVTIFRLSEEDMPQDPGKSDEESSYENMVEELGDLTPQAEEYIIQMQSRLDAMKK >KQK91756 pep chromosome:Setaria_italica_v2.0:IX:51618320:51621473:1 gene:SETIT_036533mg transcript:KQK91756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGSFVAFLAVFAAAAAAAACLSAAAMGDGELLRPAGTSLSFREGYTQLFGDSNLALHGDGKRVHITLDERTGAGFASQGAYLHGLFSARIKLPADHTAGVVVAFYMSNGDVYERTHDELDFEFLGNVRGREWRVQTNVYGNGSTAAGREERYGLWFDPTEDFHRYAILWSHDRIIFYVDETPIREVVRTGSMGAQFPSKPMSLYATIWDGSSWATSGGRYKVDYKYAPYVAEFADLALRGCAVGRRACEEPGSAATAMSPAERSAMEAFRARYMTYGYCYDRLRYLAPLPECSVGPEAAAFLPSGDARAAAASRRRGKRHRARVGADSAV >KQK86494 pep chromosome:Setaria_italica_v2.0:IX:2252852:2254787:-1 gene:SETIT_036164mg transcript:KQK86494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGQGVRYWCHMCEEVIDPMPEMKCPSCEGGFVEEMDSEGFEPATNTRSDRSLSLLAPLLLGVLGGSSRRSRLRRAAMEDADADEDDDEDDSDRELEVPSRRRRRRGSSALARLLQTIHDDIRGLDDTDSDTERDMERERRERQRAERRVERQRAERRAERLREIERLSDRGRERTESLILINSNNEAIILQGTFGRDDNQEESSNTSSGVSLGDYFLGPGLDILLQRLAESDLSRSGTPPAKKEAVDSLPTVNIKELLGCSVCLEDFEMGAEAKQMPCQHKFHPHCILPWLELHSSCPVCRFQLPTEETKNPCESASGAGAMNGDGDNAAASSSSDTEGTNRNGDNRSDSPIFSALSALFSDPSSSDDDEGAPHSSES >KQK86492 pep chromosome:Setaria_italica_v2.0:IX:2252852:2255322:-1 gene:SETIT_036164mg transcript:KQK86492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGQGVRYWCHMCEEVIDPMPEMKCPSCEGGFVEEMDSEGFEPATNTRSDRSLSLLAPLLLGVLGGSSRRSRLRRAAMEDADADEDDDEDDSDRELEVPSRRRRRRGSSALARLLQTIHDDIRGLDDTDSDTERDMERERRERQRAERRVERQRAERRAERLREIERLSDRGRERTESLILINSNNEAIILQGTFGRDDNQEESSNTSSGVSLGDYFLGPGLDILLQRLAESDLSRSGTPPAKKEAVDSLPTVNIKELLGCSVCLEDFEMGAEAKQMPCQHKFHPHCILPWLELHSSCPVCRFQLPTEETKNPCESASGAGAMNGDGDNAAASSSSDTEGTNRNGDNRSDSPIFSALSALFSDPSSSDDDEGAPHSSES >KQK86493 pep chromosome:Setaria_italica_v2.0:IX:2252250:2254787:-1 gene:SETIT_036164mg transcript:KQK86493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGQGVRYWCHMCEEVIDPMPEMKCPSCEGGFVEEMDSEGFEPATNTRSDRSLSLLAPLLLGVLGGSSRRSRLRRAAMEDADADEDDDEDDSDRELEVPSRRRRRRGSSALARLLQTIHDDIRGLDDTDSDTERDMERERRERQRAERRVERQRAERRAERLREIERLSDRGRERTESLILINSNNEAIILQGTFGRDDNQEESSNTSSGVSLGDYFLGPGLDILLQRLAESDLSRSGTPPAKKEAVDSLPTVNIKELLGCSVCLEDFEMGAEAKQMPCQHKFHPHCILPWLELHSSCPVCRFQLPTEETKNPCESASGAGAMNGDGDNAAASSSSDTEGTNRNGDNRSDSPIFSALSALFSDPSSSDDDEGAPHSSES >KQK91432 pep chromosome:Setaria_italica_v2.0:IX:49772609:49773572:-1 gene:SETIT_038552mg transcript:KQK91432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNSREEQSLVDHVSSTSDTEECRLLEEELGWARLERQKVLALSAEADEAIWNLGALARRTMQERDEARNQARMLLADLQARNAKMTMLPGASCSRVARPDAFAAAGRSQVLAPAAAFRPLGNTAMLGQHARTGTGWGVASSSGFGHVNLSSSLDAYTVQPSLHGFASSSQDHFDPDIQGQSSGEYGQVAEQMQRPLQWKGKSAQAAVIRGTAGHGHAP >KQK88907 pep chromosome:Setaria_italica_v2.0:IX:18421933:18426960:-1 gene:SETIT_034886mg transcript:KQK88907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRSPPSAAAGAMVPGVGGVEPAVTLDRVPRWSDPDQRIFPSASDETSSAEGGGSEPPVASGFLSFSDPLTGDGAGGGGRGGASRFPVDQEINSRIYLWRGHPWNLEVDAVVNSTNESLDEAHSSPGLHAAAGSGLAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLESIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKGNIAGVVFCTTSSSDTEIYKRLLPLYFPRDKQEEEIAVSKLPADVGDENGETVIDERKIRIRPLPAGVVDRTVTAAPIDLPLSDSGSALKRASFKLDSYLDPSFMSIIKDPDLRRREQWEKSAQAQKGFNYARLLGYGDLGCPSLSAAEEYSLHSRYLAKANSLNLSEIAEMKIIYRGGVDIEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYTIVYLHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAVLAMQMFVDGEVWKKVVYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKHIYQRASSS >KQK89966 pep chromosome:Setaria_italica_v2.0:IX:37918495:37920215:1 gene:SETIT_037053mg transcript:KQK89966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKAAAFAALLFSLLVTYGSCTRPVNFNESDFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCTNHAACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRNDELRHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNAVYLAVLVEFEDGDGDVVQVDLMEANSGSWTPMRESWGSIWRLDSNHRLQAPFSVRITNESGRMLVANQVIPANWVPNTYYRSIIQY >KQK92493 pep chromosome:Setaria_italica_v2.0:IX:55811472:55816040:1 gene:SETIT_034493mg transcript:KQK92493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTPPPAPAPAAPAPAASAAAATAPAAVPVADQTTDLLQKLSLDSQPKAADATEPAGAKKGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMNEWDDYPRYLNQDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSTTQGDLQSSVNPEKPAAKTDPAKTTTNGVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGAHFAWYDGSAYANGQQRSTTTNHMPTSTFNANGSSARNQTKSSTAPQMQGMQNRRPTATTGSVTPTYPNRMYPSSRPYTQYGNSIKTGLPYGSNGYDSRLYGRWGLGMDNRYRPRGRANGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHTVSIAVKGQSLPSGESKNDSALPDRAQFNRDDFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSLKWHIVKDVPNNMLKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKEHVSKTSILDDFAFYESRQKLMQDKRAKQQQIQKQVWDSRAPVSVTTGELQEVANGKPKPSVPNGVNGEVKAPTENVTAPAVSYAAKVAQTATEKPALANGVAKTG >KQK92495 pep chromosome:Setaria_italica_v2.0:IX:55811472:55816040:1 gene:SETIT_034493mg transcript:KQK92495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTPPPAPAPAAPAPAASAAAATAPAAVPVADQTTDLLQKLSLDSQPKAADATEPAGAKKGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMNEWDDYPRYLNQDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSTTQGDLQSSVNPEKPAAKTDPAKTTTNGVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGAHFAWYDGSAYANGQQRSTTTNHMPTSTFNANGSSARNQTKSSTAPQMGMQNRRPTATTGSVTPTYPNRMYPSSRPYTQYGNSIKTGLPYGSNGYDSRLYGRWGLGMDNRYRPRGRANGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHTVSIAVKGQSLPSGESKNDSALPDRAQFNRDDFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSLKWHIVKDVPNNMLKHIILENNENKPVTNSRDTQEAFRCSRYSRSMSARPPSWMTLPSMKAGRS >KQK92494 pep chromosome:Setaria_italica_v2.0:IX:55811732:55815086:1 gene:SETIT_034493mg transcript:KQK92494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTPPPAPAPAAPAPAASAAAATAPAAVPVADQTTDLLQKLSLDSQPKAADATEPAGAKKQGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMNEWDDYPRYLNQDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSTTQGDLQSSVNPEKPAAKTDPAKTTTNGVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGAHFAWYDGSAYANGQQRSTTTNHMPTSTFNANGSSARNQTKSSTAPQMGMQNRRPTATTGSVTPTYPNRMYPSSRPYTQYGNSIKTGLPYGSNGYDSRLYGRWGLGMDNRYRPRGRANGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHTVSIAVKGQSLPSGESKNDSALPDRAQFNRDDFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSLKWHIVKDVPNNMLKHIILENNENKPVTNSRDTQEVSILQTLFHWLAKMVVSLSFLVNYSDTP >KQK92491 pep chromosome:Setaria_italica_v2.0:IX:55811472:55816040:1 gene:SETIT_034493mg transcript:KQK92491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTPPPAPAPAAPAPAASAAAATAPAAVPVADQTTDLLQKLSLDSQPKAADATEPAGAKKGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMNEWDDYPRYLNQDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSTTQGDLQSSVNPEKPAAKTDPAKTTTNGVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGAHFAWYDGSAYANGQQRSTTTNHMPTSTFNANGSSARNQTKSSTAPQMGMQNRRPTATTGSVTPTYPNRMYPSSRPYTQYGNSIKTGLPYGSNGYDSRLYGRWGLGMDNRYRPRGRANGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHTVSIAVKGQSLPSGESKNDSALPDRAQFNRDDFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSLKWHIVKDVPNNMLKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKEHVSKTSILDDFAFYESRQKLMQDKRAKQQQIQKQVWDSRAPVSVTTGELQEVANGKPKPSVPNGVNGEVKAPTENVTAPAVSYAAKVAQTATEKPALANGVAKTG >KQK92492 pep chromosome:Setaria_italica_v2.0:IX:55811472:55816040:1 gene:SETIT_034493mg transcript:KQK92492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTPPPAPAPAAPAPAASAAAATAPAAVPVADQTTDLLQKLSLDSQPKAADATEPAGAKKQGAAASQPLSVSIPPERSITPVLQDFMDPNLFYLPAYYYGGYDGSMNEWDDYPRYLNQDGVEIAPAVYGDIYGYGYAPYGAYSPASSPVPTVDGQMFGAQHYQYPTAYFQPPTPVPSTTQGDLQSSVNPEKPAAKTDPAKTTTNGVPNGTAHSNSGTVPLASSHQNSSLTPDGTYRAPLLGGVPSAGYLDTTYGYDSTGAHFAWYDGSAYANGQQRSTTTNHMPTSTFNANGSSARNQTKSSTAPQMGMQNRRPTATTGSVTPTYPNRMYPSSRPYTQYGNSIKTGLPYGSNGYDSRLYGRWGLGMDNRYRPRGRANGYYGYGNESQDGTIELNRGPRSGRFKNQKLYGHTVSIAVKGQSLPSGESKNDSALPDRAQFNRDDFPVQYDAAKFFVIKSYSEDDIHKSIKYNVWASTTNGNKKLDAAYQEAQSKGSACPIFLFFSVNTSGQFVGVAEMTGAVDFEKTLEYWQQDKWNGSFSLKWHIVKDVPNNMLKHIILENNENKPVTNSRDTQEIHLEQGLQMLKIFKEHVSKTSILDDFAFYESRQKLMQDKRAKQQQIQKQVWDSRAPVSVTTGELQEVANGKPKPSVPNGVNGEVKAPTENVTAPAVSYAAKVAQTATEKPALANGVAKTG >KQK86311 pep chromosome:Setaria_italica_v2.0:IX:1251321:1256966:1 gene:SETIT_034450mg transcript:KQK86311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGNQSKSGPNHASSNWQSTADGDVLSTPERGTMDGGNLSSHGQGRSKGSEGSSEKKGRSSKKNSRINSMSSLGKQQQMDTNYDISSSEENELPSRGTKNRRGNKKPSRRGFGKSFWIEQTPLPGLAENVLEKTRCMACMASSIFRASMMYLVEQSKRSIDRNRPTIDAYMAIVNKGRAYVLNKIEYIYPIVRTWILNAGRLMLLLLTVWLDCNVRGFDSLLRLGTNSLLAVLWCSMLSVLAMIGIKKMLIFMVIAASAAAFIGLGFAILLISVLAVVILWFYGSFWTTSSVIILGGASFFLKRERIALLIVCLYSMYCARSYVGWLGLLLSLNLSFFSSDVLVQFLKNKVDSKKSNGSSRSSEQSSGGSGNIFEEFQPSADNTSQAGYARASYRNPGDPSTSGAEKELTSEDEVARLLNCTDHYSALGFRRYENIDVSSLKREYKKKAMLVHPDKNMGNDKAADAFKKLQNAYEVLLDSLKRKTYDDELRREELLNYFRRFQSVSQKNGRNGTFQHGFSPSEGVDEDPYGLSRRIACKKCGDFHIWIYTGRAKSQARWCQDCKDFHQAKDGDGWVEQSFQPVLFGMLQKPDLPHAYVCAESYIFDVTEWFNCQGMRCPANTHKPSFHVNASMAKQNSGKGSTSAQRGGKVPNGANMDGGLNEDEFFEWFQNAVNSGMFESSFGEQGDPTSPGSGSNAKGSSSNSSGRKKKKGKKQW >KQK87708 pep chromosome:Setaria_italica_v2.0:IX:8797076:8798449:-1 gene:SETIT_040180mg transcript:KQK87708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVKITSSKIVKPAYDGGAAPSTGESVPLNVFDRVTYDVYIAVIYAFRPPNPPNAALEQGLARALAVYREWAGRLGDGPDGRPAVLLSDAGARFVEAAVDAPLARSMPFKPSPELLRLHPSIDGGPVEELVQVQLTRFTCGSLVVGFTAHHRVADGQATGNFLVAWGLATRRLPAGPLPVCDRATRFQPRDPPRVEFPHRGTEYHLPAAKRHGGEDVDEEEEIGGAAHDKIKVHKVLFTKEFVARLKARASSSPGPDLPPPPTAQQRRGYSTFESVVGHLWRTVTAARGLGAGEATKLRISVNGRSRMRPPVPREYFGNMVLWAFPVADAGDLVSRPVQHAADLIHRAVSRVDDAYFRSFVDFASSGAAEAEGLAPTADESQVVLCPDLEVDSWLGINFYDVDFGGGCPFYFMPSYLPMEGTMFLLPSFLGDGGIEAYVSLFEGHLEEFKRICYNIA >KQK87951 pep chromosome:Setaria_italica_v2.0:IX:10381908:10383007:1 gene:SETIT_037319mg transcript:KQK87951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDKSSGAGAGGLVLLNCFVSPFGNRVRIALKRKGLAYEEKHENLAPKSPLLLSSNPVHAKVPVLLVGGSPVCESLVILEFVDEAFAGNGEPLLPAAPCARAHARFWASYVDSKLPECAVRVWRSPKGAAAVEEGRKDLVAVLKTLEAELGAKPYFAGDALGYVDVALVPFAPWFLTYERLGGFSVAGECPALAAWAERCVRENECVAKSLPEAEDVFQFVCGMRKMLGLD >KQK91022 pep chromosome:Setaria_italica_v2.0:IX:47218591:47222704:1 gene:SETIT_040803mg transcript:KQK91022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLAAAAAVSSSSPLFSLSSSRPLVRRHAPPSYVSMRTRRCSQLAAASAAAETSGSPLLEVRGLTASVKETGQQILAGVDLTIREGEIHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGEDLVDMEPEDRSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKIDALNMDPKFLDRNVNEGFSGGERKRNEILQLSVIGADLALLDEIDSGLDVDALEDVANAVNGLLTPQNSVLMITHYQRLLDLIKPSYVHIMENGKIIKTGDSSIATQINEGGFKSIALV >KQK91023 pep chromosome:Setaria_italica_v2.0:IX:47218591:47222704:1 gene:SETIT_040803mg transcript:KQK91023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLAAAAAVSSSSPLFSLSSSRPLVRRHAPPSYVSMRTRRCSQLAAASAAAETSGSPLLEVRGLTASVKETGQQILAGVDLTIREGEIHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGEDLVDMEPEDRSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKIDALNMDPKFLDRNVNEGFSGGERKRNEILQLSVIGADLALLDEIDSGLDVDALEDVANAVNGLLTPQNSVLMITHYQRLLDLIKPSYVHIMENGKIIKTGDSSIATQINEGGFKSIALV >KQK91019 pep chromosome:Setaria_italica_v2.0:IX:47218591:47220322:1 gene:SETIT_040803mg transcript:KQK91019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLAAAAAVSSSSPLFSLSSSRPLVRRHAPPSYVSMRTRRCSQLAAASAAAETSGSPLLEVRGLTASVKETGQQILAGVDLTIREGEIHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGEDLVDMEPEDRSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKIDALNMDPKFLDRNVNEGFSGGERKRNEILQLSVRYCNYELAWNLGLYANEYYTSVPVN >KQK91024 pep chromosome:Setaria_italica_v2.0:IX:47218591:47222704:1 gene:SETIT_040803mg transcript:KQK91024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITHYQRLLDLIKPSYVHIMENGKIIKTGDSSIATQINEGGFKSIALV >KQK91021 pep chromosome:Setaria_italica_v2.0:IX:47218591:47223041:1 gene:SETIT_040803mg transcript:KQK91021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLAAAAAVSSSSPLFSLSSSRPLVRRHAPPSYVSMRTRRCSQLAAASAAAETSGSPLLEVRGLTASVKETGQQILAGVDLTIREGEIHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGEDLVDMEPEDRSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKIDALNMDPKFLDRNVNEGFSGGERKRNEILQLSVIGADLALLDEIDSGLDVDALEDVANAVNGLLTPQNSVLMITHYQRLLDLIKPSYVHIMENGKIIKTGDSSIATQINEGGFKSIALV >KQK91020 pep chromosome:Setaria_italica_v2.0:IX:47218666:47220863:1 gene:SETIT_040803mg transcript:KQK91020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPLAAAAAVSSSSPLFSLSSSRPLVRRHAPPSYVSMRTRRCSQLAAASAAAETSGSPLLEVRGLTASVKETGQQILAGVDLTIREGEIHAIMGKNGSGKSTLTKVLVGHPHYEVTGGTILFKGEDLVDMEPEDRSLAGLFMSFQAPIEIPGVSNFDFLLMAVNARREKSGLPALGPLEFYSVVSPKIDALNMDPKFLDRNVNEGFSGGERKRNEILQLSVRYCNYELAWNLGHRSRFSSS >KQK91175 pep chromosome:Setaria_italica_v2.0:IX:48306872:48313334:-1 gene:SETIT_033968mg transcript:KQK91175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSRATPTRSPSSARPAAPRHAHHHHHSQSSGGSTTSQTGGGGGGGGGGGGAATAATESVSKAVAQYNLDARLHAVFEQSGASGRSFDYSQSLRAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSAAPPPVSLGADARLLFSPSSAVLMEGAFAAREISLLNPLWIHSRVSAKPFYAILHRIDIGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAECRRDNLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHATPVRVIQDPGLSQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDEQTTRGGISSAMKLWGLVVCHHTSPRFIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTESQIKDIIEWLTVCHGDSTGLSTDSLADAGYHGAAALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDVAEGTSNSKAIINGQVQLGELELRGINELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKIAELTGLSVEEAMGKSLVNDLIFKESEEIVEKLLSRALRGEEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFVNIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRSEVVGKLLIGEVFGNICRLKGPDALTKFMVVLHNAIGGDDYEKFPFSFFDKNGKYVQALLTANTRSKTDSKSIGAFCFLQIASAELQQAFEIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLQMTDLNDDQRQFLETSSACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQAMILLRERDIQLIRDIPDEIKDASAYGDQYRIQQVLSEFLLSMVQFAPAENGWVEIQVRPNVKQNSDGTNTALFMFRFACPGEGLPPDIVQDMFSNSRWSTHEGIGLSTCRKILKLMGGEVQYIRESERSFFLIVLELPQPRPAARREIS >KQK91174 pep chromosome:Setaria_italica_v2.0:IX:48306402:48313334:-1 gene:SETIT_033968mg transcript:KQK91174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSRATPTRSPSSARPAAPRHAHHHHHSQSSGGSTTSQTGGGGGGGGGGGGAATAATESVSKAVAQYNLDARLHAVFEQSGASGRSFDYSQSLRAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSAAPPPVSLGADARLLFSPSSAVLMEGAFAAREISLLNPLWIHSRVSAKPFYAILHRIDIGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAECRRDNLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHATPVRVIQDPGLSQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDEQTTRGGISSAMKLWGLVVCHHTSPRFIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTESQIKDIIEWLTVCHGDSTGLSTDSLADAGYHGAAALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDVAEGTSNSKAIINGQVQLGELELRGINELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKIAELTGLSVEEAMGKSLVNDLIFKESEEIVEKLLSRALRGEEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFVNIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRSEVVGGDDYEKFPFSFFDKNGKYVQALLTANTRSKTDSKSIGAFCFLQIASAELQQAFEIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLQMTDLNDDQRQFLETSSACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQAMILLRERDIQLIRDIPDEIKDASAYGDQYRIQQVLSEFLLSMVQFAPAENGWVEIQVRPNVKQNSDGTNTALFMFRFACPGEGLPPDIVQDMFSNSRWSTHEGIGLSTCRKILKLMGGEVQYIRESERSFFLIVLELPQPRPAARREIS >KQK91177 pep chromosome:Setaria_italica_v2.0:IX:48307322:48313334:-1 gene:SETIT_033968mg transcript:KQK91177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSRATPTRSPSSARPAAPRHAHHHHHSQSSGGSTTSQTGGGGGGGGGGGGAATAATESVSKAVAQYNLDARLHAVFEQSGASGRSFDYSQSLRAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSAAPPPVSLGADARLLFSPSSAVLMEGAFAAREISLLNPLWIHSRVSAKPFYAILHRIDIGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAECRRDNLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHATPVRVIQDPGLSQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDEQTTRGGISSAMKLWGLVVCHHTSPRFIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTESQIKDIIEWLTVCHGDSTGLSTDSLADAGYHGAAALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDVAEGTSNSKAIINGQVQLGELELRGINELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKIAELTGLSVEEAMGKSLVNDLIFKESEEIVEKLLSRALRGEEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFVNIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRSEVVGKLLIGEVFGNICRLKGPDALTKFMVVLHNAIGGDDYEKFPFSFFDKNGKYVQALLTANTRSKTDSKSIGAFCFLQIASAELQQAFEIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLQMTDLNDDQRQFLETSSACEKQMSKIVKDASLQSIEDGSLVLEKGEFSLGSVMNAVVSQAMILLRERDIQLIRDIPDEIKDASAYGDQYRIQQVLSEFLLSMVQFAPAENGWVEIQVRPNVKQNSDGTNTALFMFRY >KQK91176 pep chromosome:Setaria_italica_v2.0:IX:48309206:48313334:-1 gene:SETIT_033968mg transcript:KQK91176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSRATPTRSPSSARPAAPRHAHHHHHSQSSGGSTTSQTGGGGGGGGGGGGAATAATESVSKAVAQYNLDARLHAVFEQSGASGRSFDYSQSLRAPPTPSSEQQIAAYLSRIQRGGHIQPFGCTLAVADDSSFRLLAFSENAADLLDLSPHHSVPSLDSAAPPPVSLGADARLLFSPSSAVLMEGAFAAREISLLNPLWIHSRVSAKPFYAILHRIDIGVVIDLEPARTEDPALSIAGAVQSQKLAVRAISRLQALPGGDVKLLCDTVVEHVRELTGYDRVMVYRFHEDEHGEVVAECRRDNLEPYLGLHYPATDIPQASRFLFRQNRVRMIADCHATPVRVIQDPGLSQPLCLVGSTLRAPHGCHAQYMANMGSIASLVMAVIISSGGDDEQTTRGGISSAMKLWGLVVCHHTSPRFIPFPLRYACEFLMQAFGLQLNMELQLAHQLSEKHILRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYHGKYYPLGVTPTESQIKDIIEWLTVCHGDSTGLSTDSLADAGYHGAAALGDAVCGMAVAYITPSDYLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDVAEGTSNSKAIINGQVQLGELELRGINELSSVAREMVRLIETATVPIFAVDTDGCINGWNAKIAELTGLSVEEAMGKSLVNDLIFKESEEIVEKLLSRALRGEEDKNVEIKLKTFGPEQSKGPIFVIVNACSSRDYTKNIVGVCFVGQDVTGQKVVMDKFVNIQGDYKAIVHNPNPLIPPIFASDENTCCSEWNTAMEKLTGWSRSEVVGKLLIGEVFGNICRLKGPDALTKFMVVLHNAIGGDDYEKFPFSFFDKNGKYVQALLTANTRSKTDSKSIGAFCFLQIASAELQQAFEIQRQQEKKCYARMKELAYICQEIKNPLSGIRFTNSLLQMTDLNDDQRQFLETSSACEKQMSKIVKDASLQSIEDGIWLLNWYNVRN >KQK92544 pep chromosome:Setaria_italica_v2.0:IX:56034543:56036221:1 gene:SETIT_035945mg transcript:KQK92544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGAALDTSLAAPQSASFAPQPSVSQQPRSTFRPLTSHARRRPRRVGIAVRRPPSCARARRSPSPPSLVAASAAMPPGTSSICRSLEPAVSPSPRYATTYSELSRFRILQACHRRRTPRVAPMHRMMERLRGSWPRRTRGRRMELSRGRQAKPSRILNPPLPFLSPPFLRKPHFLTKMAPPANSTTFSGDVWAELRLADARDVPHIYSLIHQMAEFELLTDLFAATEELLTSTLFPSPAPPPFTSFTALVLDLSPSPVVPDSSSTIGSLRLDLSASPLADPEAAAFASPRGGGRVTAGFVICFPNYSSFLSKPGLYVEDIFVRAPWRRRGLGRMMLSSVAGRAAELGMGRVEWCVLDWNKNAIDFYEGMGADVLPQWRICRLTGAALDKYKGNQEAAADGKAVE >KQK86921 pep chromosome:Setaria_italica_v2.0:IX:4505122:4508564:-1 gene:SETIT_040100mg transcript:KQK86921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSWRHHTLLQALLTRGPLSERDFHAVFAAVSGRNPATHQQLFNDTLLKINKDLTYLQFELRACMNQYDGIVYYGVVNNIADEESKLGTKYSVPQIAFYKGLLEAIVQEAGNDGSITSIDALNVRLDNQVIIVDGSQESQSRLPTSIKNFSLSQKEKTLDELIRDCWLSYTSTGKIGLGTRSFLDLRSWFRGNDIPSCMVCNEACIKASSCPNEECNVRIHEYCLRKKFSQRKASRACPGCGTEWPRQDGEADGDDVNEPEEDQAPSANHSSRKRRKRVKTELVEENDNAGPSMAVPRRTSRSMKAEAVEAAQEASSAGASQATRTSKRRKK >KQK86282 pep chromosome:Setaria_italica_v2.0:IX:1079115:1079585:-1 gene:SETIT_040520mg transcript:KQK86282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILVFLCLSSHYCHALGFHYAPVPPEAFVGHVQTISVGVG >KQK91034 pep chromosome:Setaria_italica_v2.0:IX:47266942:47267547:1 gene:SETIT_039896mg transcript:KQK91034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEEKGAPRVHATMENAAPPPAAAKPSLSKKPSPSFRLRNGSLNALRLRRVFDLFDRNGDGEITLDEMASALDALGLGADRSGLEAAVGGYIPAGAAGLRFDDFESLHRALGDALFGPIPEEVPEEDDEGDMKEAFRVFDENGDGYISAAELQAVLKKLGLPEARNLATVQEMICNVDADRDGRVDFSEFKNMMQGITVWGA >KQK91407 pep chromosome:Setaria_italica_v2.0:IX:49630769:49631944:-1 gene:SETIT_039459mg transcript:KQK91407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERDHRYGLDERARRAVQVSFDLADPPGVSRWFVHCPGLKKRHGFHGAPMILNATDSLVVMLMAFRRGSARVFDYFVYRAGLGKPSLDLIPGPSCPEIGLLLKQEVGVVPCGAGGEHYALAFLVLQLKPRVAYDVHVFSSETRAWSVKAAKVAADPETTCRYHDVVMHSPSKAVAAGGGSLAWIDLWRGILQCNVLDEDPVLRLIPWPVPSPRDEILGMCSAHSIRDATLSNGVVRFVDLKFDDDDGASVYPYSSGDATCTGWTATVWERSISSKDWNRRFKADIASILATDSSHSDSLREVWDDEAKKRGLSKVSSAPPTLSLGDEEVVYFMANLVSNEALVLAVNAREERLEAVGQTALGLACSTCNFPRFLDSSQHSPIERADSIAPVL >KQK86747 pep chromosome:Setaria_italica_v2.0:IX:3556645:3557125:1 gene:SETIT_038074mg transcript:KQK86747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAGNKRPAYKPLEQEHEEHEEHPDLPITRTSRARTGARKDERRAARPVELAALDPWIGAAAGQPASLAFAAVLASFCFGLSTLILNRVNQEHWVLIKRTACTCGSSSALRLYAWTTKI >KQK88851 pep chromosome:Setaria_italica_v2.0:IX:17798689:17799669:1 gene:SETIT_036912mg transcript:KQK88851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSSFTVSKTSGFSCPRSLSHKCSLCLPYTAEELPRSVSRQCSLPFTVAELAQKLCPAMVCSVAVSGSADIGISRQAEAEVPSTRSNRKQMRGSRPRGVGAGTTVHAPESGLTSAYRYGASSTHKIPSQDLVTIVELQRPGRAMRTVLQPPWPLAALSSMVRRGPRWRLARRRPKRGRRARMTPPRGGRGRGGDRAPRRRHATTCTRRGNGRRRGAAGGRRSGGARRPGDRRRGCPRWAPWVPYVYTNTIVRQDRLVRFTTRFICCQSGFHCSDIPGTSLIWF >KQK91666 pep chromosome:Setaria_italica_v2.0:IX:51122260:51125541:1 gene:SETIT_038660mg transcript:KQK91666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMIVGVALIAGWARTMARRAAKRSNKAIYGVKFAADINSLGSLNREDVKKICGENLPQWISFPEYEQVKWLNKQLSKLWPFIEEAATMVIRDSVEPLLDDYRPPGISSLKFSRLSLGTVPPKIEGIRIQSFKKGQITMDMDFRWGGDPNIILAVETLVASLPIQFKNLQVYTIIRVVFQLSDEIPCISAVVIALLADPKPRIDYILKAVGGSLTAMPGLSDMIDDTVASLITDMLQWPHRVVVPLGGVDVDVSDLELKPHGKLTVTVVRAESLKNKELIGKSDPYVVLFIRPMFREKTSVIDDNLNPQWNETFELIAEDKETQSLILEVFDEDKMKQDKRLGIAKLPLTDLGMETVQEVNLQLLSSLDTTKVKDKKDRGVLTIKERSDPLAIVVYHEFTKAEAMEALELEKHAVEERRKVRGETAAASGAADATGGVASTVTNVAGTGVAAAGTVAGSGISAAGSGVGMVGTGIGAVGSGIGAFGSGLSKAGKFVGRTVTGPFSSARRSASSVPNIDD >KQK88876 pep chromosome:Setaria_italica_v2.0:IX:18030625:18031015:1 gene:SETIT_040157mg transcript:KQK88876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSGEGDGVWQGHDQSASGYGAGGASGAGHGDSGNRNTGGASNANGAGGGGGSGGSYGNGSGSGGGRGVGEGEGDNYGAYGSSYANSGGSGSGSGGGHDGGFGSGSGGGSGNSGGATSGYNDGSRNGSTP >KQK90701 pep chromosome:Setaria_italica_v2.0:IX:45149109:45149788:-1 gene:SETIT_037914mg transcript:KQK90701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELDLELSLLHPCSPAAQAVPPGFFVCTYCDRKFYSSQALGGHQNAHKYERTLAKRRREIAAAMRAHGARVDGAAGSGRPGGAAGGGGVDDVAAGAEALMARLLTSHQAGPVPGQSSGGGHLRNKSISSLEHGVERADELDLSLKL >KQK87553 pep chromosome:Setaria_italica_v2.0:IX:7887765:7892548:1 gene:SETIT_034660mg transcript:KQK87553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCDCIEPLWPTDDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSSVPINLPVVNQVFSSNRAIIIPHTCPLARIRPLAGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSFAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIASIKRLSVSVMLAPDLPLSAIGDEKRLMQTILNISGNAVKFTKEGHITLVASVVKADSLREFRTPEFHPVASDDHFYLKVQVKDTGCGISPQDLPHVFTKFAHPQSGGNRGFNGSGLGLAICKRFVSLMGGHIWLDSEGTGRGCTATFIVKLGVCDNTNTYQQQLIPLVWPSSADSNLSGSKALTDGKGSASLKSRYQRSV >KQK87552 pep chromosome:Setaria_italica_v2.0:IX:7887787:7892548:1 gene:SETIT_034660mg transcript:KQK87552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCDCIEPLWPTDDLLVKYQYISDFFIALAYFSIPLELIYFVKKSSFFPYRWVLIQFGAFIVLCGATHLINLWTFTTHTKTVAMVMTIAKVSTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRSGSSLQLSHTLRHQITVGSSVPINLPVVNQVFSSNRAIIIPHTCPLARIRPLAGRYVPPEVAAVRVPLLHLSNFQINDWPELSAKSFAIMVLMLPSDSARKWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAIRARNDFLAVMNHEMRTPMNAIIALSSLLLETELTPEQRLMVETVLKSSNLLATLINDVLDLSKLEDGSLELEIKAFNLHAVFKEVMSFIKPIASIKRLSVSVMLAPDLPLSAIGDEKRLMQTILNISGNAVKFTKEGHITLVASVVKADSLREFRTPEFHPVASDDHFYLKVQVKDTGCGISPQDLPHVFTKFAHPQSGGNRGFNGSGLGLAICKRFVSLMGGHIWLDSEGTGRGCTATFIVKLGVCDNTNTYQQQLIPLVWPSSADSNLSGSKALTDGKGSASLKSRYQRSV >KQK90302 pep chromosome:Setaria_italica_v2.0:IX:41385660:41387022:1 gene:SETIT_035977mg transcript:KQK90302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGEGGGCRLPEELVVEILRRLPYRSLCRSRCVCRSWRDLSYHPDHRSRLPQDLAGLLYTNHAPSHLHGDFAVRFAPAGSSPFPGLGFLPCGARALPLDCCNGLLLCRGGGGGGCHYVCNPATGRFTTLPVPASGFQALALAAFEPHGASLRFHVLNFARAEPVQKVFFDADFEESEDDTLSDTDGDAYGGGELCDLCEESSKFCVKGLEVFSSETGKWVQSRVCRESRVRLVEGMGSVFISGYVNLLTHERKVLAVDPEGWACRLISLPVSSLFGLVGCLGHSQGFLQYAVQEDCTCTMMQIWTLKDFEKGEWILKHRFEIEVAPQTKILFDYAGNALCSEIFYVVVFHPERDLVFLPIEGYKMLSYNLINTEVKEICKLEPETRPRFLVYVPSYADFVN >KQK91248 pep chromosome:Setaria_italica_v2.0:IX:48758027:48758502:1 gene:SETIT_038364mg transcript:KQK91248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVRRFRCRTWSGIALLYSEPRMVWLRFMLIVDIWFATSVCTSGIWWIPQLRSGAAVFHSGFYHVTSTTWV >KQK88238 pep chromosome:Setaria_italica_v2.0:IX:12471389:12476195:1 gene:SETIT_036266mg transcript:KQK88238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATVTSSHRSMAVAVVAFSAAATTFLLAAATAAAASPVPAIYVLGDSIADVGNNNHLPTFLRADFPHNGIDYPGRKATGRFSNGNNSVDFIADNLGLASPPPYLALSRSNANYANGVNFASGGAGVSKATNKGLCISFDKQIDYFSSVYASLAQSLGQAQAAAHLSKSLFVITIGSNDIIHYAKSSSAAGNLPPQPFVDALIQTLTAQLQRLYDLGARKLVFLGTAPVGCCPRLRKLSAARDCSAVANDASVRYNAAAASLLGGMAARHPDMHYALFDSSAALLPFINTPAAYGFAEARVACCGLGDMNAKIGCTPLSSYCANRMTHVFWDLYHPTEATAQKLTSMAFDGSAPLIFPMNIRQLSAL >KQK91652 pep chromosome:Setaria_italica_v2.0:IX:51045746:51049216:1 gene:SETIT_037489mg transcript:KQK91652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSNTSGPLTTHSECRKAFPSFMRPSASYSTKASDQNPKQEGKDLSTTEHPFDDITYNIPEKPVTFTEGASYSLVILAGLGIAALAGYAVFKELIFEPKEYKIFGKALARIQSDSQVTARIGHPITGYGQETRNRAARQRIPNKIWTDEDGVEHVEVNFLIRGPHGAGKVYSEMFKDNSDRTWKFTYLVVEIVSPQHGKTQLMLESYLPA >KQK91651 pep chromosome:Setaria_italica_v2.0:IX:51045746:51050770:1 gene:SETIT_037489mg transcript:KQK91651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSNTSGPLTTHSECRKAFPSFMRPSASYSTKASDQNPKQEGKDLSTTEHPFDDITYNIPEKPVTFTEGASYSLVILAGLGIAALAGYAVFKELIFEPKEYKIFGKALARIQSDSQVTARIGHPITGYGQETRNRAARQRIPNKIWTDEDGVEHVEVNFLIRGPHGAGKVYSEMFKDNSDRTWKFTYLVVEIVSPQHGKTQLMLESYLPA >KQK89436 pep chromosome:Setaria_italica_v2.0:IX:29685677:29687215:1 gene:SETIT_036602mg transcript:KQK89436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAYSRLLVVFSVLSLCLGNQGVRCQLTSDFYDETCPHLYTIVQQHVFTAMRAEMRMGASLLRLHFHDCFVNGCDGSILLDRSDGEKFAQPNLNSVRGYEVIDAIKADLESLCPEVVSCADIVALAAGYGVLFSGGPYWDVLLGRKDGLVANQSGADNGLPAPFEPIDSIIQKFNAVGLNTTDVVVLSGAHTIGRSRCVLFSNRLSNFSATNSVDPTLDASLADSLQSLCAGGDGNQTTALDVSSGDVFDNYYYQNLLANKGLLSSDQGLFSSPQGTANTKDLVRTYSHDEDQFFCDFGWSMIKMGNIPLTGSEGEIRKNCRVVNS >KQK91496 pep chromosome:Setaria_italica_v2.0:IX:50233622:50238161:-1 gene:SETIT_034802mg transcript:KQK91496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPPAAQDPAPSPSGGSGSSRRRLRRLDRRNASKNIPYDANNFCPFPPSPQPASAPASGPASLAASAACSLDLVNSFRIGGSGDGGGDVLFLCQSLGLAGPEDFAIPIADWEAHKAVRSSPSSARHKPETPARDSPLRREGVEEEPIRPADAEPELPAKEQAARDVPIEALERPAWLDPLESTRPDVKKAAGEGGIKGLRPPPVLKPPPSMALPAVCGAGSTWDILRSFAPDEKEHAPASRSGRGFRHQDAVEVEDDEDTAVVLTLDDLRLGESSEGFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRKIRSWNRGVLLGSGSFGTVYEGISDEGVFFAVKEVSLFDQGSNAKQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHEKNIVHRDIKCANILVHVNGSVKLADFGLAKEITKFSAVKSCKGTVYWMAPEVINPKQTYGPAADIWSLGCTVLEMLTRQIPYPDLEWTQALYRIGKGEGPTVPSGLSKDARDFISQCVKPNPEDRPSASKLLEHPFVNRSIRSVRSMRTSSRSNSTTRGIN >KQK91497 pep chromosome:Setaria_italica_v2.0:IX:50235641:50238161:-1 gene:SETIT_034802mg transcript:KQK91497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPPAAQDPAPSPSGGSGSSRRRLRRLDRRNASKNIPYDANNFCPFPPSPQPASAPASGPASLAASAACSLDLVNSFRIGGSGDGGGDVLFLCQSLGLAGPEDFAIPIADWEAHKAVRSSPSSARHKPETPARDSPLRREGVEEEPIRPADAEPELPAKEQAARDVPIEALERPAWLDPLESTRPDVKKAAGEGGIKGLRPPPVLKPPPSMALPAVCGAGSTWDILRSFAPDEKEHAPASRSGRGFRHQDAVEVEDDEDTAVVLTLDDLRLGESSEGFTGTSSLSTTNDDETSSTTTESMFYISPNGRFRRKIRSWNRGVLLGSGSFGTVYEGISDEGVFFAVKEVSLFDQGSNAKQCIFQLEQEIALLSQFEHENIVQYYGTDKEDSKLYIFLELVTQGSLASLYQKYRLRDTHVSAYTRQILNGLTYLHEKNIVHR >KQK90775 pep chromosome:Setaria_italica_v2.0:IX:45708165:45708648:1 gene:SETIT_040222mg transcript:KQK90775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QCIFRVKRNKTSYLIQCDPTETTLNIKQQLHSLIEQPPSNQRLILLSTNDVLDDSKTLANQKVENDAIVALTLRKGFRLC >KQK88156 pep chromosome:Setaria_italica_v2.0:IX:12018514:12025333:1 gene:SETIT_034615mg transcript:KQK88156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKAVANGAAAADVTAPDSTDTANKVEAGKSKEPAVANKDAEEQNKGSENGTEGASDGDVKMAEAEDAKEGDGDAAAAKQVDSEDVTMDADAKEDTSARTEEGEDTKMTEAEAGNAEVKDKEEKEDNVDNTNVDKVDESKEQEKEGSAEQEEKEVKETEENKQQEDAKEEKDGANGKQQEEEGEEKDSADKKDEADKVEENKETPKNKKARSARDRNQGKDKKQDGSKSREAKSLLETPSPYGIDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPTVAHRIGRKKPGDLKFLHNILFGRKGKLPDFKVHILQFSGFVWHESDEKQRAKAKEKLDKCVKDMLLDLCWILAIPVPKSNIRKEDLVSKLLDFIAEPHSAADSGLSDDQGSNSRKRKRGGKSASKTPEGTPSSSRKKFGDDSTSDKRRKKALKYDTDEDEDGDESMKSDSEADEQEDDYDSGKEKARKFSEVKESSGKKKTDRASGHKTGPSKTISKSPVKKPSSKISEEKESPNDSAKVFSRKKKTTVKDEKDIKETKSSGKKVTKGKGESAGVDLPSKDELRKTITAILKKVDFNTATFSDILKKLDNHYKMDLTPKKEAIKVMIQDELTKMSEEAGEDEDASEDAEKKQQQPQVKEVEA >KQK88157 pep chromosome:Setaria_italica_v2.0:IX:12018924:12025333:1 gene:SETIT_034615mg transcript:KQK88157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKAVANGAAAADVTAPDSTDTANKVEAGKSKEPAVANKDAEEQNKGSENGTEGASDGDVKMAEAEDAKEGDGDAAAAKQVDSEDVTMDADAKEDTSARTEEGEDTKMTEAEAGNAEVKDKEEKEDNVDNTNVDKVDESKEQEKEGSAEQEEKEVKETEENKQQEDAKEEKDGANGKQQEEEGEEKDSADKKDEADKVEENKETPKNKKARSARDRNQGKDKKQDGSKSREAKSLLETPSPYGIDRPQRERKTVERLVEVIEKEPNRNFVVEKGRGTPLKDIPTVAHRIGRKKPGDLKFLHNILFGRKGKLPDFKVHILQFSGFVWHESDEKQRAKAKEKLDKCVKDMLLDLCWILAIPVPKSNIRKEDLVSKLLDFIAEPHSAADSGLSDDQGSNSRKRKRGGKSASKTPEGTPSSSRKKFGDDSTSDKRRKKALKYDTDEDEDGDESMKSDSEADEQEDDYDSGKEKARKFSEVKESSGKKKTDRASGHKTGPSKTISKSPVKKPSSKISEEKESPNDSAKVFSRKKKTTVKDEKDIKETKSSGKKVTKGKGESAGVDLPSKDELRKTITAILKKVDFNTATFSDILKKLDNHYKMDLTPKKEAIKVMIQDELTKMSEEAGEDEDASEDAEKKQQQPQVKEVEA >KQK88637 pep chromosome:Setaria_italica_v2.0:IX:15699812:15702984:-1 gene:SETIT_036321mg transcript:KQK88637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPHLPKDPAFPRANGSLDGGTRGLGGEIEEVGAAATIAVEQSPSQSSSPTASSPPAAMSSCGQYMLHRVRKLDTLAGVAIKYGVEVADIKRLNGLSTDLQMFAHKTLRIPLPGRHPPSSYQQNGSDESDDRECTPRRIHDDILDSILKTPKPKVSPAMSLLQGYYGLAPPPKRDQTGEGTEMAVYGKGKSAFLDVEPWLEPPNSEPFSLQNRKTKSLTIGSSLLDGDTDENGDSERLIRRRQKADGELLPREENGGDFLASAGKGLALRPKSSNRSDMNKSQQNLFAMAEPLFSNGVQTVRKSSSTPEFQEPESNTSSSIWSASKWSINTDAFALPLPIPRFDNIPKPIAAWRNKAARD >KQK89497 pep chromosome:Setaria_italica_v2.0:IX:31898204:31899609:1 gene:SETIT_038622mg transcript:KQK89497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAATCLFRFGYPSCKPNPPAGAWCYCNPRTTAGNGVLEINRSSWSSTAAARAETVAGAGRRQDKKDEDECASYLVPERLEVLREMEPWVEEHVLPLLKPVEESWQPSDMLPDPAALGSDGFHAACLDLRVGAAGVPDELLVCLVANMVTEEALPTYPSGLNRLEVVRDATGADATAWARWIRGWSAEENRHGDVLNRYMHLSGRFDMREVERTVQRLIHDGMSFPGPVTSPFHGFVYVTFQERATAIAHGNTARLVGARGAGDATLSRICGTIAADEKRHEVAYTRIMGKLFEADPDAAVRAMAYLMRHRIDMPTAFINDGRHSGRNFYDRFIAIAQQAGTYTISDYRGILEHLIRQWGVEELAAGLSGEGRHARDYLCALPSKIKRMEEVVLERAVKAKNKPTPIPINWIFDRTISVILP >KQK92823 pep chromosome:Setaria_italica_v2.0:IX:57397512:57400256:-1 gene:SETIT_037335mg transcript:KQK92823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQARTERAAAARLWVPGMSPGPMDAGSARAQEIARRREEMLGMLHDLPESEYELSLTDLVEKAGGDANEEAADTAAPAPVPPSEGKEQPDPAARSGSGRPAGKPERRASARRRDSGSVGGGSSFRSSSDGVLLNFYMPRSLTRSFTAPRPSRTPSISGGRTPSVASECNKRERDPDAETVKCWSLLWDRRWRKSSRRDPGAPPGESAIHVASAAILKAAKHSAASPGKV >KQK92824 pep chromosome:Setaria_italica_v2.0:IX:57398242:57400199:-1 gene:SETIT_037335mg transcript:KQK92824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQARTERAAAARLWVPGMSPGPMDAGSARAQEIARRREEMLGMLHDLPESEYELSLTDLVEKAGGDANEEAADTAAPAPVPPSEGKEQPDPAARSGSGRPAGKPERRASARRRDSGSVGGGSSFRSSSDGVLLNFYMPRSLTRSFTAPRPSRTPSISGGRTPSVASECNKRERDPDAETVKCWSLLWDRRWRKSSRRDPGAPPGESAIHVASAAILKAAKHSAASPGKV >KQK91618 pep chromosome:Setaria_italica_v2.0:IX:50873400:50877294:-1 gene:SETIT_036121mg transcript:KQK91618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSGPPPAPTPPLLLPESSGEDGGHDSSSRAAVVATGSAGSAPKRRAETWVREEILCLIALRREMDSHFNTSKSNKHLWEAISARMREQGFDRSPTMCTDKWRNLLKEYKKARSHARNNGGGAGGNGNAKMAYYKEIDDLLKRRGKASGSGGCVGSGSVSVNGAGKSPTSNSKIESYLQFTTDNGFEDANIPFGPVEANGRSILSIDDRLEDDRHPLPLTAADAVATNGVNQWNWRDTSTNGGDNQGTFGGRVIFVKWGDYTKRIGIDGTAEAIKEAIKSAFGLRTRRAFWLEDEDEVVRTLDRDMPIGTYTLHLDDGVTIKLCDANRMQTPEDKTFYTEDDFRGFLARRGWTLLREYGGYRNVESLDDLRSGVIYQGVRSLGD >KQK89543 pep chromosome:Setaria_italica_v2.0:IX:32725633:32727006:-1 gene:SETIT_038548mg transcript:KQK89543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANGLANFKTVKKSMEENVYERSKGCTKHWTMLYFILELLILKAKHDWSDGSFNDLLSILAWLLPKPNRVPTNTYRAKKLVSPFTMGVERIHACPNRCILYRGDTFKGLDKCHVCSASRYENNSSYCDDERQGPTDGNKRKRKGARNSIANVEPEDTTLGIFEKQSRIPTLVMWYLHIADRLRRFFSNPKDAELMRWWDLDKHKKDGHYVFNMVRTILVPYGKMTKDGKRNTDKPAIDGMPFKKLSIFYKYLLY >KQK92708 pep chromosome:Setaria_italica_v2.0:IX:56875195:56877750:1 gene:SETIT_035027mg transcript:KQK92708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGTVRCPANYAPLSPISFIERAAAVYGARAAVVYGERRHTWAEARDRCVRVAAALATRFGVARGDVVAVLSPNVPAMYELHFAVPMAGAVLCTFNTRHDAAMVSVLLKHSGAKVFLVESNLLDVGRAALKRLADAESSAALPVLLTISDDAESDDYEDLVTNAPARFDIRWPANELDPISLNYTSGTTSRPKGVVYNHRGAYLNTIATVLAYDITAMPTYLWTVPMFHCNGWNLPWGVAMQGGTNVCLRHFTAKVIFDSIARHGVTHMGGAPTVLNMIANLPAADRKPLPGPVRVMTGGAPPPPRVLFAVEELGFVVYHIYGLTETYGPATVCTWMPEWDALPAEERARLKARQGSHHIAMQDVDVKNPDTMESVPHDGRTLGEVMFRGNTVMSGYYKDLKATQESMAGGWLHSGDLAVRHPDGYIQLRDRAKDIIISGGENISSIEVESVIFSHPAVLEAAVVARPDDHWGETPCAFVKLKDGASATEAEIISFCRERLPHYMAPKTVVFEDLPKTSTGKTQKFVLRDKARAMGSLTKAANSKL >KQK90722 pep chromosome:Setaria_italica_v2.0:IX:45326385:45327584:-1 gene:SETIT_039944mg transcript:KQK90722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHGPSLPPDDVVAEILARLPPRSIGRSRAVCRARNAIASHPSVDRVLANRPAAVTAIMKSSGSRWLEVVDGHSPVDVIRFDRFRGRWHPEVHKTEPSPRALSLDDMTISAEVFRSWDGVLCTRVFPRKPPQLGAGADYMLWNPLTGAWPSPDHRGYAHPVTGRFHLLHSSDMAVPGDPDLVSPTTARILAVGDGTGWREVPLPMSKNISSMKSEGDRSVRLRGNLNWPVQLGSGKAALLVFDTAREKFRIMAAPERPGLDPTTARSRVVPGGKLCVLALTKQPPVALEVCGWRFRETVRVDGIRVSPRMFAAAAAVEVVEGVHEGEEIFVQLEDGIMAYSIRSKMWRKVSVNWCCAALLMYRESVMRPEISFGKALRGFRC >KQK89662 pep chromosome:Setaria_italica_v2.0:IX:34648848:34656071:1 gene:SETIT_033976mg transcript:KQK89662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEAAPSSSAAIWSRRRDEITFDRLHKFWSALSPRARHELLRLDKQTLIEHARKNLYCSRCNGLLLESFTQIVMYGKSLHQEGPCEPRIQEVEAEEVQDPSVHPWGGLSTTKDGILTLLDCFINAKSLHVIQNVFDNARAREREREMLYPDACGGGGRGWISPVIPNYGRGHGTRDTCALHTARLSCDTLVDFWSALGEETRSSLLRMKEEDFIERLMHRFDSKRFCRDCRRNVIREFKELKELKRMRKEPRCTSWFCVADTAFKCEVFEDAVLVDWHQSLLEQDGNYHHFEWAIGTDEGKSDILNFENVGMNGQVHRKGLDLDQFEDYFVTLRACRLDGRCTEFCVKAHALKGQSCVHRRLIVGDGFVTITKGESIRSFFEHAEEAEEEDEDDAMDRDSNDPDGDVAHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTARQNAHSVFVSLALKLLEARVHVACKEIITLEKQTKLLEEEEKEKREEEERRERRRTKEREKKNRRKERLKGKDRDKEKIPIQSKDSDETSPSSLCNQAAPTNESEDILDSRYSDSEEEDNVVVREHSSPDSSVEQSSTRDSDERSNEHECHTTADCDGSFSCEESISSRRNLRYRRDFPQEQDASYWYEDCQDDSGDILQHSRERMRNNTRGYNTAFSANNRTRDRYNPCSCGHQEEYRYFPNTARPSRDMKMARKTVEKPRLQYRRCYPLDSFVVPKGGRVGGTPTKIAGPKQVWEPMDARKKASLGNGNNAAGVADDADRSDQVECSKDISECEKLEKVCEPLAVCSERSEEACKSDTDQPCSEKRQSACNDEPHAVDKPDSCLTKDTGRTANLTSSDSSSCLSEGDRDSSMSSMTSLSAQNAESLSTSDSEESSDRNNSSPGDPPAKSASRSLLEMCAGNGFREYQPKGLHPPDSNQFGFRVGPFQDQMLHHQKVHAPYSAPFMGFHNQPLPVPTNGYLSYPQPGHFYPGPVAPVGYGVAGNQRVDFPMQYSNNVHPYPGPEFGFLPTAPVHKTPVSLHAVPVPPPPPLCRSGVPMVMNPERQQSHPLLPKLNQAVPVAENGCAEDNTTKQKQKDDDSTPFSLFQFNLPIAPPAPAASKEEHGGGALAPSRPQLPIAQAQPCSREETNIKEYNLFSGCNKGAMFQLN >KQK87158 pep chromosome:Setaria_italica_v2.0:IX:5832201:5837250:-1 gene:SETIT_039628mg transcript:KQK87158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAAKSAEKDKGKKAPVSRSSRAGLQQRTQANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGKLTLYSHPGTTSPSPASSGHHRGIKYSNSRLTLQLQFTCYSQHQIDQNTSKALRGTNRSIFPFSVSRLPRGVVWYVLSCRDSGRHPDLLSGSNGCMEGLTGEQRIAFQEAFSLFDKNGDGCITMEELAAVTRSLGLDPSDQELNDMMSEVDTDGNGIIDFQEFQSLIARKMKDGDGDEELKEAFEVLDKDQNGFISPNELRTVMTNLGERMTDEEVEQMIREADTDGDGQVNYDEFVLMMKNAERKISG >KQK90715 pep chromosome:Setaria_italica_v2.0:IX:45277410:45281448:1 gene:SETIT_036861mg transcript:KQK90715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVWYPATGFLWSLPALLCFSFGKLYGQPNNPASPGPNPTPSRLSLKNHTASPTPAPPSSLVPHGRVRTSEASRDRLRPSSPRKSMGRGYDYSPSPPRGYRRRARSPSPRGRYGGRARDLPTSLLVRNLRRDCRPDDLRRPFGKFGRVKDVYLPRDYYTGDPRGFGFIQYFDPDDAADAKYHMDGQMFLGREITVVFAEENRKKPTEMRARERVSGRGRSYDRRLRSRSPGYSGSPRGRSRSRSRSYSPAPKPKHHSRSPSRSLSRSPVDSRSRSASPAVRSPRRERSLSVSQ >KQK90717 pep chromosome:Setaria_italica_v2.0:IX:45278155:45281448:1 gene:SETIT_036861mg transcript:KQK90717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGYDYSPSPPRGYRRRARSPSPRGRYGGRARDLPTSLLVRNLRRDCRPDDLRRPFGKFGRVKDVYLPRDYYTGDPRGFGFIQYFDPDDAADAKYHMDGQMFLGREITVVFAEENRKKPTEMRARERVSGRGRSYDRRLRSRSPGYSGSPRGRSRSRSRSYSPAPKPKHHSRSPSRSLSRSPVDSRSRSASPAVRSPRRERSLSVSQ >KQK90716 pep chromosome:Setaria_italica_v2.0:IX:45278052:45281448:1 gene:SETIT_036861mg transcript:KQK90716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGYDYSPSPPRGYRRRARSPSPRGRYGGRARDLPTSLLVRNLRRDCRPDDLRRPFGKFGRVKDVYLPRDYYTGDPRGFGFIQYFDPDDAADAKYHMDGQMFLGREITVVFAEENRKKPTEMRARERVSGRGRSYDRRLRSRSPGYSGSPRGRSRSRSRSYSPAPKPKHHSRSPSRSLSRSPVDSRSRSASPAVRSPRRERSLSVSQ >KQK89517 pep chromosome:Setaria_italica_v2.0:IX:32192158:32192412:-1 gene:SETIT_039777mg transcript:KQK89517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LWLQGKTLPPQVVDIHNYGLMQLVNFIAEHYKWGSKQYISLWCDLDNDSIEIKSDEHLYEWFELNLENGVVHIVAQINDFEGPL >KQK91720 pep chromosome:Setaria_italica_v2.0:IX:51449838:51454018:-1 gene:SETIT_035082mg transcript:KQK91720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYELLLTAAVTLLAAFLLATLFAANDPPRRARGADRAAAAIADQVVDEERIIEVDEVRRSEGRAAEAEGWVEAEKAPAVAVEEEVEPECLPEGEGVPVTAAREVSVGAGVEEEGGDVVKRRDLTPAAAVTTAVAEVTASPQVSGADEAGAEEEGSVQDVEVKQHDLGAEVAPIEVLQAGPEKQRVEVVEVAEVFPLETEAVEVKHHHLAAEVAPAEDVLDAGLVGKSVQALEVSPDELGSETAPKEIIDVALEKKEAQVVEVKEHELPVEAAPQPFLDVPLAEKEELKDPQPVEEAVNVHEDVQSKEEPKCEAHPIDQQEELVPEEESVARKTNDVNVSHEGSSSDKVVTELPVESVTLPNLPEGDAESNMDFEEWEGIERSEVEKRFGAAAAFAASGAGSAALSKLDSDVQLQLQGLLKVAIDGPCYDSAQPLTLRPSSRAKWIAWQKLGNMHPEIAMEKYMTLLSETIPGWMGNETSDTKKHDAGSLSAETILTMTATSVQQSNQGLVTTPSNQNTCPL >KQK91719 pep chromosome:Setaria_italica_v2.0:IX:51449016:51454018:-1 gene:SETIT_035082mg transcript:KQK91719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYELLLTAAVTLLAAFLLATLFAANDPPRRARGADRAAAAIADQVVDEERIIEVDEVRRSEGRAAEAEGWVEAEKAPAVAVEEEVEPECLPEGEGVPVTAAREVSVGAGVEEEGGDVVKRRDLTPAAAVTTAVAEVTASPQVSGADEAGAEEEGSVQDVEVKQHDLGAEVAPIEVLQAGPEKQRVEVVEVAEVFPLETEAVEVKHHHLAAEVAPAEDVLDAGLVGKSVQALEVSPDELGSETAPKEIIDVALEKKEAQVVEVKEHELPVEAAPQPFLDVPLAEKEELKDPQPVEEAVNVHEDVQSKEEPKCEAHPIDQQEELVPEEESVARKTNDVNVSHEGSSSDKVVTELPVESVTLPNLPEGDAESNMDFEEWEGIERSEVEKRFGAAAAFAASGAGSAALSKLDSDVQLQLQGLLKVAIDGPCYDSAQPLTLRPSSRAKWIAWQKLGNMHPEIAMEKYMTLLSETIPGWMGNETSDTKKHDAGSLSAETILTMTATSVQQSNQGNEESTSIDEGTYRNPEGGQSSDVPAE >KQK91721 pep chromosome:Setaria_italica_v2.0:IX:51448667:51454115:-1 gene:SETIT_035082mg transcript:KQK91721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFYELLLTAAVTLLAAFLLATLFAANDPPRRARGADRAAAAIADQVVDEERIIEVDEVRRSEGRAAEAEGWVEAEKAPAVAVEEEVEPECLPEGEGVPVTAAREVSVGAGVEEEGGDVVKRRDLTPAAAVTTAVAEVTASPQVSGADEAGAEEEGSVQDVEVKQHDLGAEVAPIEVLQAGPEKQRVEVVEVAEVFPLETEAVEVKHHHLAAEVAPAEDVLDAGLVGKSVQALEVSPDELGSETAPKEIIDVALEKKEAQVVEVKEHELPVEAAPQPFLDVPLAEKEELKDPQPVEEAVNVHEDVQSKEEPKCEAHPIDQQEELVPEEESVARKTNDVNVSHEGSSSDKVVTELPVESVTLPNLPEGDAESNMDFEEWEGIERSEVEKRFGAAAAFAASGAGSAALSKLDSDVQLQLQGLLKVAIDGPCYDSAQPLTLRPSSRAKWIAWQKLGNMHPEIAMEKYMTLLSETIPGWMGNETSDTKKHDAGSLSAETILTMTATSVQQSNQGPEL >KQK89353 pep chromosome:Setaria_italica_v2.0:IX:24822003:24824922:-1 gene:SETIT_037730mg transcript:KQK89353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSSPRRENNGEGSSGGGGSSKERPRSFDEKTRLACWRKAAVVAGRHPERWRQDAVGNIVCRRFWSCHGCLCYEYDHIVPFSRGGESTVENCQILQTRVNRSKSDKAWVDEAEMRGFSCDIKFTDKELDIIEMAAYGDVIRPGKQCRCRTVAEMLGQVKSKNRVAACELPDKSTS >KQK92391 pep chromosome:Setaria_italica_v2.0:IX:55283144:55284987:1 gene:SETIT_035066mg transcript:KQK92391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVRDGGLDDETLQQLRSRATQLLLKEDWREYIAVCSRIVDGASDDRRVLCSALAHRADARARLGDAAGALADCDAALAADPAHPAALLSKGAILRGLGRYALAADCFRAAALAAGGGGADEARELVEQCRRLEAQARSGAVDLSEWVLAGFAGKCPDLAEYVGPVEVRRSAHGGRGIFAVKNVEAGSTLMMTKAVAIGRGVLHDAADGGEKMVVWKDFVDKVLDAAEKCPRTAALIHTLSTGEEQEDDLVVPGMALFRQEPGDLNLSDDGTNVVREKGTQEVLDVDRILKVLDVNCLTEDAPAADLLGKNGVVNCGVGLWILPSFINHSCHPNARRTHVGDNAIVHASRDIKAGEEITFPYFDVLVPVSKRREASMAWGFECKCDRCRFESEDFILKQEILKSENDLVNGGDMGALVVRLEEKMRKSMVKERRKAFLRASFWSAYSALYDSDKLVRKWGRRVPSEAIVAESIVDAVGGSESMLRAMLRGSRDANGCGNRLETEDKVVRIGRATYGKVVKRHAMRALFRLALDENNNINL >KQK92791 pep chromosome:Setaria_italica_v2.0:IX:57244041:57244959:1 gene:SETIT_037813mg transcript:KQK92791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRKQQPQPQGAVSVQHVAKASSDELLRKFADPDARHLATTPPRRSLALRRKRSSRRVASGLSARDSEASASAGAAELAAPKRRRSIGGSADWRAGLLLPTTTAASARKGQARRGGAARLDDAAGIGLILAALERTWRKTVAGASKMFVERHRTNHVLLISDMV >KQK86975 pep chromosome:Setaria_italica_v2.0:IX:4829906:4831189:-1 gene:SETIT_038221mg transcript:KQK86975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMDQAAVPVKRVWLGLATRLGLRRKTGLRKLRKEVRTCEYHDVHVMWEMLRTTDGPVPLAEKEAAAAAAVAAAASARKRKNACRRFIYYCCAF >KQK87155 pep chromosome:Setaria_italica_v2.0:IX:5809698:5817764:1 gene:SETIT_034074mg transcript:KQK87155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKELELSMVPPRPSSCSILAEVAGLRCLTKLTICHFSIRYLPPEIGNLRKLQELDLSFNKLKNLPNCIIELSALKFLKVTNNKLVDVPSGISSLRCLESLDLSNNRLTSLGSVKLVSMLTLQYLNLQFNRLSHSYVIPSWICCDMRGNGENAIKGGKLKYSGVGSMNSLAESRTSSRACDIALLCLQPEASPSLKHHAPQKMKKGWRRRDCLQQQARQERLESSRSKLNEKYIDEMAVNMAEDECPSSLHDEETSVQDSLKETSSISEDVSSIVDDDLDGHAKDSGMMLQDHYGEEKPGFNIRVHHDDNSCISAEPTCFSRGRIRSVENELDDTASSTHDVVEIAQGNPSVASKFASKSKRHPDVDNNPKPSKCPRAIDERSKLSYKYSVESFCSIDDHLPDGFYDAGRDMPFMSLEEYERSLGLYAREVILLDREQDEELDAIASSAQLLLSNLRRPSSFEMDEDPSHDLLRASVLALFVSDCFGGCDRSASLGRTRRAIVRLRKEQPFVCTCSAGNMCDNNEASKQTNTLSGSFDFTSLCNRSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKWNGWVRMIVDACYPTNIKEETDPEYFCRYVPLSRLQIALDDEGYTPRCSFPSVSSCKEIEVTASSTVYHCKIGAVDAAAKIRYLDTRNASNDEVKLFEYKLLGEVRMLGALRKHRSIVGIYGHQLSSKWVQVDGDKEYRILQSIILMEYVNGGSLKGYLTKLLKEGKKCVPIDLAFYIAREVSCALLEMHKKLIIHRDIKSENVLVDLDSKRNAGTPIVKLSDFDRSVPLHSLSHTCCISHLGTHPPNVCVGTPCWMAPEVLKAMHEKHHYGLEVDIWSFGCFLLEMLTLRIPYHGLPDSEIYDLIMRKKQRPRLSQELEAFWTMDEPVTRLKLGITSDAHADKLRHLIDLFYQCTRGNASKRPKAEQIYNSLCSLPTCYDMS >KQK87153 pep chromosome:Setaria_italica_v2.0:IX:5809698:5817391:1 gene:SETIT_034074mg transcript:KQK87153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKELELSMVPPRPSSCSILAEVAGLRCLTKLTICHFSIRYLPPEIGNLRKLQELDLSFNKLKNLPNCIIELSALKFLKVTNNKLVDVPSGISSLRCLESLDLSNNRLTSLGSVKLVSMLTLQYLNLQFNRLSHSYVIPSWICCDMRGNGENAIKGGKLKYSGVGSMNSLAESRTSSRACDIALLCLQPEASPSLKHHAPQKMKKGWRRRDCLQQQARQERLESSRSKLNEKYIDEMAVNMAEDECPSSLHDEETSVQDSLKETSSISEDVSSIVDDDLDGHAKDSGMMLQDHYGEEKPGFNIRVHHDDNSCISAEPTCFSRGRIRSVENELDDTASSTHDVVEIAQGNPSVASKFASKSKRHPDVDNNPKPSKCPRAIDERSKLSYKYSVESFCSIDDHLPDGFYDAGRDMPFMSLEEYERSLGLYAREVILLDREQDEELDAIASSAQLLLSNLRRPSSFEMDEDPSHDLLRASVLALFVSDCFGGCDRSASLGRTRRAIVRLRKEQPFVCTCSAGNMCDNNEASKQTNTLSGSFDFTSLCNRSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKWNGWVRMIVDACYPTNIKEETDPEYFCRYVPLSRLQIALDDEGYTPRCSFPSVSSCKEIEVTASSTVYHCKIGAVDAAAKIRYLDTRNASNDEVKLFEYKLLGEVRMLGALRKHRSIVGIYGHQLSSKWVQVDGDKEYRILQSIILMEYVNGGSLKGYLTKLLKEGKKCVPIDLAFYIAREVSCALLEMHKKLIIHRDIKSENVLVDLDSKRNAGTPIVKLSDFDRSVPLHSLSHTCCISHLGTHPPNVCVGTPCWMAPEVLKAMHEKHHYGLEVDIWSFGCFLLEMLTLRIPYHGLPDSEIYDLIMRKKQRPRLSQELEAFWTMDEPVTRLKLGITSDAHADKLRHLIDLFYQCTRGNASKRPKAEQIYNSLCSLPTCYDMR >KQK87154 pep chromosome:Setaria_italica_v2.0:IX:5809698:5817391:1 gene:SETIT_034074mg transcript:KQK87154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALKELELSMVPPRPSSCSILAEVAGLRCLTKLTICHFSIRYLPPEIGNLRKLQELDLSFNKLKNLPNCIIELSALKFLKVTNNKLVDVPSGISSLRCLESLDLSNNRLTSLGSVKLVSMLTLQYLNLQFNRLSHSYVIPSWICCDMRGNGENAIKGGKLKYSGVGSMNSLAESRTSSRACDIALLCLQPEASPSLKHHAPQKMKKGWRRRDCLQQQARQERLESSRSKLNEKYIDEMAVNMAEDECPSSLHDEETSVQDSLKETSSISEDVSSIVDDDLDGHAKDSGMMLQDHYGEEKPGFNIRVHHDDNSCISAEPTCFSRGRIRSVENELDDTASSTHDVVEIAQGNPSVASKFASKSKRHPDVDNNPKPSKCPRAIDERSKLSYKYSVESFCSIDDHLPDGFYDAGRDMPFMSLEEYERSLGLYAREVILLDREQDEELDAIASSAQLLLSNLRRPSSFEMDEDPSHDLLRASVLALFVSDCFGGCDRSASLGRTRRAIVRLRKEQPFVCTCSAGNMCDNNEASKQTNTLSGSFDFTSLCNRSIHIIKERRNSGIVPIGALQFGVCRHRAVLMKYLCDRADPPIPCELVRGHLDYTPHAWNVVPVRKWNGWVRMIVDACYPTNIKEETDPEYFCRYVPLSRLQIALDDEGYTPRCSFPSVSSCKEIEVTASSTVYHCKIGAVDAAAKIRYLDTRNASNDEVKLFEYKLLGEVRMLGALRKHRSIVGIYGHQLSSKWVQVDGDKEYRILQSIILMEYVNGGSLKGYLTKLLKEGKKCVPIDLAFYIAREVSCALLEMHKKLIIHRDIKSENVLVDLDSKRNAGTPIVKLSDFDRSVPLHSLSHTCCISHLGTHPPNVCVGTPCWMAPEVLKAMHEKHHYGLISGHLDVFYWRCLHFGFPTMDFLTRKYMIS >KQK88777 pep chromosome:Setaria_italica_v2.0:IX:17107885:17110869:1 gene:SETIT_039886mg transcript:KQK88777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPPPAPARLLHLAAPLLVVLALAAGVANAATPPSPADALLAWKSSLGDPPALSTWADAASLCTGWRGVACDAAGRVTSLRLRGLGLTGGLDALDAATLPGLTSLDLNGNNLAGPIPASLSRLRALAALDLGSNGLNGTIPPQLGDLSGLVDLRLYNNNLAGAIPHQLTNAFSGKIPASLVRLTRLQDLHIGGNNLTGGVPEFLGSMSQLRVLELGGNQLGGRLPPVLGRLKMLQRLDVKNAGLVSTLPPELGSLSNLDFVDLSGNHLSGSLPASFVGMRKMREFGVSFNNLTGEIPRALFTGWPELITFQVQSNSLTGKIPPALGKATKLRILYLFSNNLTGSIPTELGELANLNELDLSVNYLTGPIPSSFGNLKQLTRLALFFNGLTGEIPPEIGNMTALQILDVNTNLLEGELPSTISSLRNLLYLALFDNNLSGTIPQDLGKGLALTDVSFANNSFSGELPRSLCAGFALQNFTANQNKFSGTLPPCLRNCTVLYRVRLDQNNFTGDISEAFGVHPNLDYLDVSGNHLTGRLSSDWSKCNNLTSLFIKANNISGNIDASFCRLSSLRSLDLSNNQLSGELPGCWWNLQALEIMDVSSNIFSGEFPASANDDLPLQLLHVGKNNFFGVFPPIIQKCMMLRTLDLGDNNFFGDIPSWIGTKIAYLQGLRFLNLSRNNLSGSIPEGIGSLNLLESLDFSWNELSGVIPRSISKLSCLSMLNLSNNQLWGEIPTGSQLQTLVDPSIYGNNLGLCGPPLSVPCSDWNKFGMTEDRRE >KQK90163 pep chromosome:Setaria_italica_v2.0:IX:40339968:40341197:-1 gene:SETIT_037597mg transcript:KQK90163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSGRCGESQCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIAFMFFLQQLACICSLVACIVGNSELSEVANIISCMSNLVYWTVCSCMQTQHKVEMDKRDGTLDTMSVPPMQQMSRW >KQK90165 pep chromosome:Setaria_italica_v2.0:IX:40340457:40341660:-1 gene:SETIT_037597mg transcript:KQK90165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSDAMIEKMQLRQSYRNVWHTDLTNAVVADLPWCCLSLWCGPCVSYMLRRRALYNDMSRYVCCAGYMPCSGRCGESQCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIAFMFFLQQLACICSLVACIVGNSELSEVANIISCMSNLVYWTVCSCMQVIAHSLSCQLR >KQK90164 pep chromosome:Setaria_italica_v2.0:IX:40339968:40341762:-1 gene:SETIT_037597mg transcript:KQK90164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQSDAMIEKMQLRQSYRNVWHTDLTNAVVADLPWCCLSLWCGPCVSYMLRRRALYNDMSRYVCCAGYMPCSGRCGESQCPEVCLATEVFCCFGNSVASTRFLLQDEFNIQTTQCDNCIIAFMFFLQQLACICSLVACIVGNSELSEVANIISCMSNLVYWTVCSCMQTQHKVEMDKRDGTLDTMSVPPMQQMSRW >KQK86730 pep chromosome:Setaria_italica_v2.0:IX:3481575:3485447:1 gene:SETIT_035813mg transcript:KQK86730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDAGPGGGGEASPPHHAAASGDRARDMAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGRHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSRPHSIEVPESDIGYHFGTLLDNQEGVDVIFSVAGEKFHAHKLVLAARSSFFRSEFFDHESDEEKNEADTRNEIKEIVIDDMDPKVFKAVLHFIYRDNLVNDDELSASSSHGSVFDTLAGKLMAAADKYELPRLRLLCESYLCKHISVNSVSTTLALADRHHAMELKSVCLKFAAENLSAVIRSEGYDYLKDNCPALQSEILRTLAGCEEQCSSGGKSQSVWAQLSDGGDTSGRRVRPRV >KQK86729 pep chromosome:Setaria_italica_v2.0:IX:3481778:3485133:1 gene:SETIT_035813mg transcript:KQK86729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDAGPGGGGEASPPHHAAASGDRARDMAASPTSSRSVTQTVNGSHRFVIQGYSLAKGMGVGRHIASETFTVGGYQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLLDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRTALETSDFLKDDCLKINCTVGVVVSTMDYSRPHSIEVPESDIGYHFGTLLDNQEGVDVIFSVAGEKFHAHKLVLAARSSFFRSEFFDHESDEEKNEADTRNEIKEIVIDDMDPKVFKAVLHFIYRDNLVNDDELSASSSHGSVFDTLAGKLMAAADKYELPRLRLLCESYLCKHISVNSVSTTLALADRHHAMELKSVCLKFAAENLSDALMYLQL >KQK87114 pep chromosome:Setaria_italica_v2.0:IX:5611722:5621252:-1 gene:SETIT_039727mg transcript:KQK87114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVNIIVGSHVWLEDPGEAWVDGVVTEIKGRDATIATTNGKTVVASLGSIYPKDTEAPPSGVDDMTKLAYLHEPGVLHNLSCRYGLNEIYTYTGNILIAVNPFQRLPHLYDVHMMEQYKGATFGELSPHLFAIADACYRALINDHGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKYGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCNAPPEDVKRFKVGDPRSFHYLNQTNCYEVANVDDAREYIETRNAMDIVGIDQEEQDAIFRVVAAILHLGNIEFSKGKEIDSSKLRDDKSVHHLKTVAELLMCNEKALEDSLCQRVIVTPDGNITKPLDPDSAALSRDALAKTVYSRLFDWIVDKINNSIGQDPDATDIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYVEFVDNQDVLDLLEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLNSSRCHFVANLFPPLPEETSKQSKFSSIGTRFKQQLQSLMETLSTTEPHYIRCVKPNTVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFIDRFGMLAPELVDSSDEKAACAAICDRMGLKGYQIGKTKVFLRAGQMAELDARRAEVLANAARLIQRRIKTHLMRKEFVNLRKASIKSQKFWRARLARKLFEYMRRDAASIRIQKHARTHSARKAYLQVYESAIVIQTGLRAMAARNEHRFRRETKASIIIQTRWRQHKAYVAYKQQKRASLILQCLWRARIARKELRKLRMEARETGALKEAKDKLEKRVEELTWRLDVEKRLRTDLEEAKGQEIGKLQSALQEMQEKIEEAHAAILKEKEAAKLAIEQAPPKIVEVPVVDTAEVEQLTSKNKELEDEVSTFKQKAEDLEEKLLELQKRSDELSQETLERESKVNQLQEMIERLETSLSSMESENQVLRQQSLVVASADEDKSKQIERLESKIAILESEIQLLRSNSVLAVQAIVTPEMNQTSVMEEIDNRHQLEEVKIANEQVAVPPVKNLSKQKSLTDRQQENHDALIKSLVGDRRFDDKKPAAACIVYKSLLHWHSFEAEKTNIFDRIIQTIRSSVEGAESSGELAYWLSTTSTLLCLLQNTLKASSSLRKGSNHSRTATGSLFSRMVQSARISSSGLGVTSGYSGMVGRPDTASMVEAKYPAIRFKQQLTAYVEKIYGTIRDNLKKEISPFLTLCIQAPRANRMRPSRGSLKSIHSNALSRQASSVHWQSIVKCLDHTLEIMKNNHVPPMIIKKTFSQVYAYLNVQLFNSLLLRRECCSFSNGEFLKAGLQELEQWCSRTTEEYAGASWDELQHIRQAVGFLVLHQKSHKNLEEIMNELCPVLSITQIYRIATMFWDDKYGAQGLSQEVIGKMRTMTTDDSITTPNSSFLLDDDSSIPISLDDIARLMLDIDPSDVEPPPLLRQNSQFHFLLQQHTD >KQK88480 pep chromosome:Setaria_italica_v2.0:IX:14545656:14546093:-1 gene:SETIT_039774mg transcript:KQK88480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQVLLVALAVLAVLATLPLRKGSEEEEGGAAFAMDTNARAWPCCDKCGLCLLMYPPQCNCMDFSERGCHPACRKCVRYTADGSSISQEPPVYRYADLLTNFCQRRCTPATVVA >KQK89188 pep chromosome:Setaria_italica_v2.0:IX:21656173:21659566:1 gene:SETIT_035729mg transcript:KQK89188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSRGGGGAPEDQGAGGEAGVERGGRGTWRHAAFHVATTIATPAAYAPLPFAVASLGWPLGVCSLVIGTLVTWCSSLAVASLWQWNGEKHTSYRLLAKSIFGPWGYWYVSSFQQVASVGNNIAIQIAAGSSLKAVYKHYYAADDRAMTLQQFILLFGAFELLLSQLPDIHSLRWVNAICTASTVGFAATTIGVTVHDGYRIDRKEVSYSLQGTTATKIFRAFNALGTIAFSFGDAMLPEIQSTVREPVRANMYRGVSAAYTIIVVSYWTLAFSGYWAFGSQVQSYILSSLTAPRWAIVMANLFAVIQIAGCFQIYCRPTFAHFEEQVRAKNRSCRSCLWRLMYTSAYMAAITLVSAAMPFFGDFVSICGAVGFTPLDFVLPTLALMKTSKLPDNLGLRYAAKALSSAVAILFSIIGALACIGAVRSIAIDVKTYKFFHDM >KQK86328 pep chromosome:Setaria_italica_v2.0:IX:1316428:1321394:-1 gene:SETIT_036456mg transcript:KQK86328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAMIRHRTVEANGIAMHVAEAGDEGSPRVVVFLHGFPELWYSWRHQMEHLAARGYRCVAPDLRGYGATDAPPDVASYSAFHIVGDVVALLDALGLHKVFVVGHDWGAIIAWYLCLFRADRVTALVNTSVAFMRHVFIRAGAGAVKPIDYFNRTYGPTYYICRFQMAGCTRGAGARGCREGVLSRQRPVPHEADPVQPFHLRGVRRRQAGVDDRRRAAAPVADGGGPGLLRVGVRADGVHGRHQLLPQHGPELGAGGAVGGRQGAGAHQVRRRRRRPHVPLPGHPGLHPQGRVQGRRPAARGRRRHPRRRPLHPAGEGRRGQRAHPRLHLQVLMPRQCIIYT >KQK87741 pep chromosome:Setaria_italica_v2.0:IX:9024416:9025077:1 gene:SETIT_037981mg transcript:KQK87741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTSDSSASPRWGVPFFGVRSGAPRTRCPPPSSGTQGHGGCGWPLDRVAGWVGGGIAAVFFASLERCSCVNVRTHDDLLDDEQRDSEAPLMFDGGNGNSTAGIAGVERRGGGRRSDDKAKRSRGGMGCYGDF >KQK91786 pep chromosome:Setaria_italica_v2.0:IX:51790706:51792224:-1 gene:SETIT_039909mg transcript:KQK91786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHGRCALLPSLSPRFLALSSPKLAATVASAFLPFRLPLRVVSAPGRRVFEPVAVAVSSKYETEGAKQEEEGAEEFSEDLKLFVGNLPFSVNSTQLTGFFEQAGSFEMVEVVYDRMTGRSRGFGFVTMSSAEEAGAAVEQFNGYTKIRCISIKGRPLRLNSRPPSPRDDSAPRAPRGGGGGGNFVDSGNKIYVGNLVWGVDNSTLENLFSEQGQVLDAKVIYDRESGRSRGFGFVTYGSAEEVNNAISNLDGITNSDGRQIRVTVAESKPRRKF >KQK89732 pep chromosome:Setaria_italica_v2.0:IX:35440129:35443955:1 gene:SETIT_036115mg transcript:KQK89732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQYVEAQRQARPDLADWYASLADLYQRKLWHQLTLKLDQFLQLQAAQTGDTIIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAITFLEGVITKLRETRESRINEPILYVKMQIAAINLEKGNQKECKNLLDEGKTTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALTAQPALVQNERKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSVSDVEHLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >KQK89731 pep chromosome:Setaria_italica_v2.0:IX:35440129:35443955:1 gene:SETIT_036115mg transcript:KQK89731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQYVEAQRQARPDLADWYASLADLYQRKLWHQLTLKLDQFLQLQAAQTGDTIIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAITFLEGVITKLRETRESRINEPILYVKMQIAAINLEKGNQKECKNLLDEGKTTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALTAQPALVQNERKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSVSDVEHLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >KQK89733 pep chromosome:Setaria_italica_v2.0:IX:35440212:35443955:1 gene:SETIT_036115mg transcript:KQK89733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQYVEAQRQARPDLADWYASLADLYQRKLWHQLTLKLDQFLQLQAAQTGDTIIQLYNNFITDFETKINLLKLAHFAVIASRQYPDKDAAITFLEGVITKLRETRESRINEPILYVKMQIAAINLEKGNQKECKNLLDEGKTTLDSMTDVDPTVHASFYWISSQYHKARQEFAEFYKNALLYLAYTTVESLSESFKLDLAFDLSLAALLGDNIYNFGELLAHPIINSLIGTKVEWVYHMLQAFNTGNLALYQELCRVHNAALTAQPALVQNERKLLEKINILCLMEIIFSRPSEDRTIPLSVIAERTKLSVSDVEHLLMKSLSVHLIEGIIDEVDSTVHVSWVQPRVLGIPQVKALRERLDAWVGKVHTTLLSVEAETPDLVAA >KQK90493 pep chromosome:Setaria_italica_v2.0:IX:43154946:43156376:1 gene:SETIT_035962mg transcript:KQK90493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHKLAPTPSSSSKNNKVQEQPHLSGAYIRSLVKQLSSSSAARSKDHGTMGAKPHAQPQQEDQQQAQTAPPPQQQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKIHRASMRQAKEQQQQQQQQQQLMQLQLQQQQEVHLLQEQSQAATRASAPTSYGSYSDYLYNSPFSHFTSPSSYSSPLTYQTPVAPLVNSEHNLDHLVPLPAQPLGLNLSFQGFNSFVGDDTKNSACSFDPPLLQPSPTSSYSVYSSPSVTMASHDLSAVTMENTSLAADASLHRVLDDEEMAAIYSIGEQHDIEWSDTMNLVTSAWWSKLLESIEDKGNASAHAGGAANTAEDPLVDMPGWFGDNFGHEANEESSSDAPGMHLNDYYHHNVDVSLPGMDIGEIEGWDAEWFS >KQK89812 pep chromosome:Setaria_italica_v2.0:IX:36129307:36132345:-1 gene:SETIT_035079mg transcript:KQK89812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAPGMAPATAEAVEELTRLYRELPPRPAVEEVEAAAAVLASADAEEEARLAEIDAEEAAARARGRPAVPTELLDVLREARRNAVRLRALQQRKEAAHVVELERRFKVFDDLIQRASRVLSPGDGGGGGGGGAVVVDEVVEVEARRRPELAVAVAAAATEIDRGSKGGLGLEPKSVSTLRRAASAEKLGLIQVASLIESSAKKGTRELNLRGKLVDQVEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHANQLINLPDTFGELSNLINLDLRANQLKSLPTSFGNLTSLANLDLSSNMLRNLPDCLGKLTNLRRLIAETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTIGHLTRLRELDVSFNEVEMIPENICFAASLVKLNVSRNFADLRALPRSIGELEMLEELDISSNQIRVLPDSFGNLSNLRVFHADETPLEVPPKEVVKLGAQEVVNYMKNMVAARGASQKETDKRSFWAWLHSLFGCCKKDQGIESIPV >KQK89813 pep chromosome:Setaria_italica_v2.0:IX:36129615:36132300:-1 gene:SETIT_035079mg transcript:KQK89813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAPGMAPATAEAVEELTRLYRELPPRPAVEEVEAAAAVLASADAEEEARLAEIDAEEAAARARGRPAVPTELLDVLREARRNAVRLRALQQRKEAAHVVELERRFKVFDDLIQRASRVLSPGDGGGGGGGGAVVVDEVVEVEARRRPELAVAVAAAATEIDRGSKGGLGLEPKSVSTLRRAASAGNDTEKLGLIQVASLIESSAKKGTRELNLRGKLVDQVEWLPVSLGKLQDVTELDLSENRIMALPSTIGSLRYLTKLDLHANQLINLPDTFGELSNLINLDLRANQLKSLPTSFGNLTSLANLDLSSNMLRNLPDCLGKLTNLRRLIAETNELEELPYTIGSCTSLVELRLDFNQLKALPEAIGKLEKLEILTLHYNRIKGLPTTIGHLTRLRELDVSFNEVEMIPENICFAASLVKLNVSRNFADLRALPRSIGELEMLEELDISSNQIRVLPDSFGNLSNLRVFHADETPLEVPPKEVVKLGAQEVVNYMKNMVAARGASQKETDKRSFWAWLHSLFGCCKKDQGIESIPV >KQK87589 pep chromosome:Setaria_italica_v2.0:IX:8087854:8092482:1 gene:SETIT_037124mg transcript:KQK87589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSMVASFWGPVTSTTELCEENYAHSSYIAEFYNTISNVPCVLLALIGLVNAFRQGFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYMYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFFARFQVVFKVHYIGLCLLCIPRMYKYYIQTKDVAAKRLAKLWVLTLTLGTLCWLVDRIFCKKLSHWYVNPQGHAWWHVLMGLNSYYANTFLMFCRAQQRGWEPRITHLLGFLPYVKVQKPEKRE >KQK87590 pep chromosome:Setaria_italica_v2.0:IX:8087262:8092482:1 gene:SETIT_037124mg transcript:KQK87590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSMVASFWGPVTSTTELCEENYAHSSYIAEFYNTISNVPCVLLALIGLVNAFRQGFEKRFSVLHISNMILAIGSMIFHATLQHVLQQSDETPMVWEILLYMYVLYSPDWHYRSTMPTFLFLYGAAFAVVHFFARFQVVFKVHYIGLCLLCIPRMYKYYIQTKDVAAKRLAKLWVLTLTLGTLCWLVDRIFCKKLSHWYVNPQGHAWWHVLMGLNSYYANTFLMFCRAQQRGWEPRITHLLGFLPYVKVQKPEKRE >KQK89773 pep chromosome:Setaria_italica_v2.0:IX:35799700:35801649:1 gene:SETIT_038400mg transcript:KQK89773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIEEDYVFIEFSVYVKSCDVVISMLYIQIVGWSNHLCRNLILLCFYLLHMQLHMNFNFVSFCAWY >KQK89771 pep chromosome:Setaria_italica_v2.0:IX:35799700:35801649:1 gene:SETIT_038400mg transcript:KQK89771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIEEDYVFIEFSVYVKSCDVVISMLYIQIVGWSNHLCRNLILLCFYLLHMQLHMNFNFVSFCAWY >KQK89772 pep chromosome:Setaria_italica_v2.0:IX:35798223:35801649:1 gene:SETIT_038400mg transcript:KQK89772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIEEDYVFIEFSVYVKSCDVVISMLYIQIVGWSNHLCRNLILLCFYLLHMQLHMNFNFVSFCAWY >KQK90569 pep chromosome:Setaria_italica_v2.0:IX:43740684:43741249:1 gene:SETIT_040844mg transcript:KQK90569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFGWHCDCACGVTWSALLAGCDAPIGNASFVGRILQGRTK >KQK91039 pep chromosome:Setaria_italica_v2.0:IX:47281608:47285511:1 gene:SETIT_0340171mg transcript:KQK91039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLFGTGAIAQQENVGPNSSETTKNELLRALDLRLTALKEEILVLLNRAVGSNLSTREISDLSAFVQRFGTSEFSWLMRCMLLIADCQPSELPPQQDSTAEKIDMGDNAHKTRDISPQTNLQRPIANNVSPAKLAQIERESSTESDDSSESSGEGEAVVERSRPLVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESNGETDQPPRKSDNNVRRMSVQDAISLFESKQKDQNPDSQNKKAGLFTTKSVLRRWSAGMGDSLNDKSEERISDSTSESKPNNMAYDTEKEGAEMKTEPDEAPKNFITSEVEGLTFHADSPDTRVSGMESAVSSNICAEQTKSGLEESSDRAMASAEWNRQKEAELNQMLLKMMEVMPGKFAGANAIAAGLDSTNQQKGATHGQHKEKRDAKIRTEKGTRRPTKEVSSKPLKDTFGQKKSAMTPKTGTVTEKRISPVPQRARRNSSPPVLPKEVASKTPVRKSLPKPSPSPAPAPTTRSSWSGGSLTKATTTQRTKNSPGTGMASTSTPTSRRRTPATPPSSQLASKVERTIQPVKNKKETVTATKPAIKGQEEKRTKTATKTSRITKVSPSLEEKSSVTTKQSLHKVSKKSSVVPVESKPLKKATGISQSVGSGTVKNKVPQLDDSSKDSGSVNQAEDKEQSPMTTEPTTKRNRPRV >KQK91038 pep chromosome:Setaria_italica_v2.0:IX:47281608:47285245:1 gene:SETIT_0340171mg transcript:KQK91038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLFGTGAIAQQENVGPNSSETTKNELLRALDLRLTALKEEILVLLNRAVGSNLSTREISDLSAFVQRFGTSEFSWLMRCMLLIADCQPSELPPQQDSTAEKIDMGDNAHKTRDISPQTNLQRPIANNVSPAKLAQIERESSTESDDSSESSGEGEAVVERSRPLVRSASPRRSASPMRRVQIGRSGSRRSTAIAIKSLSYFPPSQRIPLDKDDESNGETDQPPRKSDNNVRRMSVQDAISLFESKQKDQNPDSQNKKAGLFTTKSVLRRWSAGMGDSLNDKSEERISDSTSESKPNNMAYDTEKEGAEMKTEPDEAPKNFITSEVEGLTFHADSPDTRVSGMESAVSSNICAEQTKSGLEESSDRAMASAEWNRQKEAELNQMLLKMMEVMPGKFAGANAIAAGLDSTNQQKGATHGQHKEKRDAKIRTEKGTRRPTKEVSSKPLKDTFGQKKSAMTPKTGTVTEKRISPVPQRARRNSSPPVLPKEVASKTPVRKSLPKPSPSPAPAPTTRSSWSGGSLTKATTTQRTKNSPGTGMASTSTPTSRRRTPATPPSSQLASKVERTIQPVKNKKETVTATKPAIKGQEEKRTKTATKTSRITKVSPSLEEKSSVTTKQSLHKVSKKSSVVPVESKPLKKATGISQSVGSGTVKNKVPQLDDSSKDSGSVNQAEDKEQSPMTTEPTTKVLEADLAQPAHDVDENLEISLDNDLNIEKTEKPASSLTATEMGSSDQVEPPTNEADEAIQPPDEDMGISSAAWVEVEHQEQEVTDPSENVVAEDVTSPGIAPLPSSSPRVRHSLSQMLQADSNEPEIIEWGNAENPPAIVFHKDSPKGFKRLLKFARKNKGDNSANGWASPSVVSEGEDELEESRGTSDGANSSRRTFDGSKTNSILSAQSTTGSFNSMNSDKQRERPGAATSTKASRSFFSLSNFRSSRSNESKLR >KQK92666 pep chromosome:Setaria_italica_v2.0:IX:56669160:56675588:1 gene:SETIT_034089mg transcript:KQK92666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKIHGEHDSQRITSEGTAARTRPLSIQDIMLRREKKAASEAKKTKEELQENDKGTSNHLEQGRGYKLRKDLKDMPVEGSKKKIRDTSREESKKENLRVIPREGSRKDDTRYTPKEVSKKDNSKDRPKGCYKMDGLKDTPKASEKEDLRDALKRGSMKERPSIGDEYRSVGKDKGIGSSQKRTTSMSSRADESKDRNLGEIRARNGDVTRSEYQKGPGKRGNDETVDNDRIKDKSEKLRNETKRKNRSFDNEKSSEVDRPMSKKQDSAWFQGSKHSDRNDGRNEYAKPYHGEPRLKRRRSRSRDRDRERHGRSISPPPREQRHNYHGHDLGNYRPYYSMEKSRRKYAEVDKQRSSGSGGYSGGSHQRYESRLGGYSPRKRKTAPQAEQATTKTPPPVIQSPEKKSATWDQPPVKASQFKFPTTLQSTVGQMTPSTPKDPSTKVETILAGNSLSADSVQLTQATRPLRRLHIENLPDSATEDRLIDCLNDFLLSTGVKYTQRSKPCLSCTINKEKRQAFAEFLTPEDATAALSFDGRSLNGSALRIRRPKEYVEMVNVAPKKPAEETGLISDVVADSPYKIFIAGIAGMISSEMLMEIVSAFGPLAAYRFLFNDELGGPCAFLEYADRSITSKACAGLNGMKLGGCVLTAVHVFPDPPVEAANEASPFYGIPDNAKSLLEEPTKVLQLKDVFDDEEYVLLSKSELEETLEDVRIECARFGAVKSVNVVEYAARSDNTAEDNIVELEDRPVKIECPGFGDIENTAKAGSECSMPNQSIDILNHSDATETKDRDLIPESQDQKDKHIPSNAAHCESEAPVADGHTDIDGTQTRAALPISQHSETDHTEAAADENKHTAVEATTTAKDDDAVEKRHQDPRTSEICSPAEPGDEMEKPGRDCEQDADDVTEDHAEKVPAVETSDTAFVFEPGSVLVEFMRKEAACMAAHSLHGRRFGSRTVYAGYAPYDLYLQKYPR >KQK92601 pep chromosome:Setaria_italica_v2.0:IX:56294228:56296278:-1 gene:SETIT_037051mg transcript:KQK92601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADTGPVQYGCVHYKRKCKIRAPCCGEIFDCRHCHNEAKDSLEVNNHERHVVPRHEIKLVICSLCNKEQDVHQDCSNCGACFGKYFCAKCNFFDDDVSKNQFHCDGCGICRTGGAENFYHCGKCGCCYTYQLKDSHRCVDGAMHHNCPVCFEYLFDSTKAVSVLHCGHTIHLQCLYEMRAHQQFSCPVCLRSACDMSDIWRKLDQEVAASPMPAICQKKMIWILCNDCGMTSNVQFHILAHKCPGCSSYNTRQTRGGPAACSRV >KQK92597 pep chromosome:Setaria_italica_v2.0:IX:56293963:56296779:-1 gene:SETIT_037051mg transcript:KQK92597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADTGPVQYGCVHYKRKCKIRAPCCGEIFDCRHCHNEAKDSLEVNNHERHVVPRHEIKLVICSLCNKEQDVHQDCSNCGACFGKYFCAKCNFFDDDVSKNQFHCDGCGICRTGGAENFYHCGKCGCCYTYQLKDSHRCVDGAMHHNCPVCFEYLFDSTKAVSVLHCGHTIHLQCLYEMRAHQQFSCPVCLRSACDMSDIWRKLDQEVAASPMPAICQKKMIWILCNDCGMTSNVQFHILAHKCPGCSSYNTRQTRGGPAACSRV >KQK92599 pep chromosome:Setaria_italica_v2.0:IX:56293963:56296779:-1 gene:SETIT_037051mg transcript:KQK92599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADTGPVQYGCVHYKRKCKIRAPCCGEIFDCRHCHNEAKDSLEVNNHERHVVPRHEIKLDVHQDCSNCGACFGKYFCAKCNFFDDDVSKNQFHCDGCGICRTGGAENFYHCGKCGCCYTYQLKDSHRCVDGAMHHNCPVCFEYLFDSTKAVSVLHCGHTIHLQCLYEMRAHQQFSCPVCLRSACDMSDIWRKLDQEVAASPMPAICQKKMIWILCNDCGMTSNVQFHILAHKCPGCSSYNTRQTRGGPAACSRV >KQK92600 pep chromosome:Setaria_italica_v2.0:IX:56293963:56296418:-1 gene:SETIT_037051mg transcript:KQK92600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADTGPVQYGCVHYKRKCKIRAPCCGEIFDCRHCHNEAKDSLEVNNHERHVVPRHEIKLVICSLCNKEQDVHQDCSNCGACFGKYFCAKCNFFDDDVSKNQFHCDGCGICRTGGAENFYHCGKCGCCYTYQLKDSHRCVDGAMHHNCPVCFEYLFDSTKAVSVLHCGHTIHLQCLYEMRAHQQFSCPVCLRSACDMSDIWRKLDQEVAASPMPAICQKKMIWILCNDCGMTSNVQFHILAHKCPGCSSYNTRQTRGGPAACSRV >KQK92598 pep chromosome:Setaria_italica_v2.0:IX:56294544:56296278:-1 gene:SETIT_037051mg transcript:KQK92598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADTGPVQYGCVHYKRKCKIRAPCCGEIFDCRHCHNEAKDSLEVNNHERHVVPRHEIKLVICSLCNKEQDVHQDCSNCGACFGKYFCAKCNFFDDDVSKNQFHCDGCGICRTGGAENFYHCGKCGCCYTYQLKDSHRCVDGAMHHNCPVCFEYLFDSTKAVSVLHCGHTIHLQCLYEMRAHQQFSCPVCLRSACDMSDIWRKLDQEVQLPALHDAAYPVM >KQK86568 pep chromosome:Setaria_italica_v2.0:IX:2712891:2716076:1 gene:SETIT_035879mg transcript:KQK86568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVAGAGGAGLTRWQAAALSAVAGWVWAASFYDLTRRARALVQPWVTRRVHAETPAILRFQRLQHKLLDNFFSVLSCVVSVPFYTGFLPLLFWSGHNKLARQMTLLMALCDYLGNSVKDMISAPRPCSPPVRRVTATEDEKENAMEYGLPSSHALNTVCLMGYLLHYVLTYGDAGNVMIAAGLSLAFLFVMLIGIARIYLGMHSLIDVIAGICFGIVILAFWLVVHDHVDAFVVSGQNVASFWAGLSLLMCFAYPKPEFPTPSFEYHTAFNGVAFGIVYGIQQTYFHFHTPDAPLIFSSRLPLLAFAGRVLVGIPTILVVKFCSKALSKWLLPVMCSTLGIPIVSSCYVPALKVDNSSKNKPDAKQGAGYLQRVFSLFPQKAYDVDTGIRFVQYASLAWSVVDLVPAIFTHLNL >KQK88260 pep chromosome:Setaria_italica_v2.0:IX:12680099:12685104:1 gene:SETIT_035216mg transcript:KQK88260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAAEAAGDSKPLQSPLLAACSAPPPATTSGGGGGHEVSGQLESILSDGSLPWPRRVLAASAVELRLLARLAAPAVVVYMINYLMSMSTQIFSGHLGTLELAAASLGNNGIQMFAYGLMLGMGSAVETLCGQAYGALKHDMLGIYLQRATILLMATGVPLAVIYAFSRPILILLGESPEIAGAAAVFVYGLIPQIFAYAAIFPIQKFLQAQSIVVPSAYISAATLVVHVVLSYLVIFQLGLGLLGASLMLSISNWVIAVGQFVYIVTSRRCRLTWTGFSWQAFSGLPEFFKLCFASAVMLCLETWYYQILVLIAGLLKDPDLALASLSVCMTISGWVLMISVGFNAAASVRVSNELGAGNPKSAAFSVLVVTVLSFILSVIISIVILICRDYISYIFTEGEDVSRAVSQLTPLLAFTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWCGMIGGTLMQTLILVWVTFRTNWNKEVEEALKRLNKWEDKSPIL >KQK86088 pep chromosome:Setaria_italica_v2.0:IX:236071:236517:1 gene:SETIT_038865mg transcript:KQK86088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSWLHSSDRRWKRSSWTARALSSCSLPPPGLLAFFAIVVFFLAVSGYVDYKAIERRAEIGARVFAAPLALAAAFLLFAAISWRRRYWATRRRGRRPLVVVDNPPAASTAAAPWGVALAVAILLLMMSFQPAVHSMWFRPLWDSDDY >KQK89504 pep chromosome:Setaria_italica_v2.0:IX:32045657:32046032:1 gene:SETIT_040407mg transcript:KQK89504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEPRSVLLGISRQLFCTAVAETKNTVPAECTTNKTQVTILELSKASCYYKLIESFYDPSIYCSIRYMLLPDLKIINRL >KQK92193 pep chromosome:Setaria_italica_v2.0:IX:54255837:54259709:1 gene:SETIT_036284mg transcript:KQK92193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAASSRLEKALGEQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFRDQLLEYYASNKSTGDVEENMLTCLADLFSQISNQKKKTGVIAPKRFIQRLKKQNEIFRSYMHQDAHEFLNFLLNELVDILEKEHNAARESLQNLSLQKNSNGPINGLPNGCHKESAATWVHKCFQGILTNETRCLRCETVTDRDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPNILVIHLKRFKYIEQLQRYKKLSYRVVFPLELKLLNTVDNSDLEYSLFAVVVHVGSGPNHGHYISLVKSHNHWLFFDDENVEMTDESMVQAFFGSPQEFSGNTDNGYILFYESLAEKS >KQK87896 pep chromosome:Setaria_italica_v2.0:IX:10016575:10021303:-1 gene:SETIT_035292mg transcript:KQK87896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDHDQDPDPPSPPAAAGGRCPCCSSSSPAVPWRRSVKRKLGAEKGEGGGGEGEGEEAEPTAARVGAEEECAALREAVAAAQSTASELRAEVEEERLASASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDQLRALLAQRARRLMRLRARLREYRLQFLHLGIPLPEGEDLVAQNAQEEEEDLLLLEGEDGYADGDGGYYPELRCHDGEYYYEDGQEEEDAVALDLERRICRLEHDQETHLLEPVLEEEEGTNLYTDEGLPELPGLERGGFYADEMLPEEAVEERSQLYNDDEELPESPSAGFGGGEEASETDGVGSASGSDRVYTIDKVHQGASAPIARVPDKYQDEVVEPDIKKLYMRLEALEADRESMRQALVAMRTEKTQLVLLCEIAQQLAKDGAPAGSGAGVGPGVHHTPGKCKVGIVERRFMEDKKAALVKTFSMVALFKVYFWLIK >KQK87897 pep chromosome:Setaria_italica_v2.0:IX:10016882:10021303:-1 gene:SETIT_035292mg transcript:KQK87897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDHDQDPDPPSPPAAAGGRCPCCSSSSPAVPWRRSVKRKLGAEKGEGGGGEGEGEEAEPTAARVGAEEECAALREAVAAAQSTASELRAEVEEERLASASAASEAMAMMLRLQREKAEVQMELRQFRRFADEKMALDAAEIDQLRALLAQRARRLMRLRARLREYRLQFLHLGIPLPEGEDLVAQNAQEEEEDLLLLEGEDGYADGDGGYYPELRCHDGEYYYEDGQEEEDAVALDLERRICRLEHDQETHLLEPVLEEEEGTNLYTDEGLPELPGLERGGFYADEMLPEEAVEERSQLYNDDEELPESPSAGFGGGEEASETDGVGSASGSDRVYTIDKVHQGASAPIARVPDKYQDEVVEPDIKKLYMRLEALEADRESMRQALVAMRTEKTQLVLLCEIAQQLAKDGAPAGSGAGVGPGVHHTPGKCKVGIVERRFMEDKKAALVKTFSMVALFKWVLTLFGKKKKLLQSRYTFGLSSNNVGLLLLLDKCPRIQKTLTRTG >KQK87268 pep chromosome:Setaria_italica_v2.0:IX:6333487:6334006:1 gene:SETIT_040410mg transcript:KQK87268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLADASFLGVVVDDLRQPASYRLRPAAHPPLPACIHALHLPGRPAGREHAPEGHFFQRDNLPPAVISV >KQK89977 pep chromosome:Setaria_italica_v2.0:IX:38090636:38091070:1 gene:SETIT_040417mg transcript:KQK89977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPPRHMTGWSNWLVACHDVHFRSVHDGLHAREGNVLVEILLEHCLD >KQK88092 pep chromosome:Setaria_italica_v2.0:IX:11464376:11466003:1 gene:SETIT_039106mg transcript:KQK88092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRLAGGAATVHSSAAAAFRRLLHIGGRGGCGAGQPESVSYRMSMLRRPSSVGKKGLTWNSCSLIGRLDAPVRPYRNSSDEYPRVYTFLSVSPSSPASSSSSSTVTLQLMGQLANVGLKHLKHNDLVYVSGFLKFYHKVSPSGERNIFYQCVVYQ >KQK88992 pep chromosome:Setaria_italica_v2.0:IX:19264197:19265151:1 gene:SETIT_038754mg transcript:KQK88992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPSGKSIKSQRFRVGGYRWLIEYFPNGFEYDNTDYISFYLSLDEDRRRAVEHHLALQCEFSFIDQVEKQEASRIRTSPSWNVSGGFCFGYSSFVTRSLFERSRHLKNDSFTVRCDIIVTTNSASTERSSSVVVPGADVTFEVGGETFMAHRRVLAARSPVFKAELFGAMKEGTTTSALRIDDMEPQVFGLLLSFIYRDSVPKISDDNYDDDVMILWQHLLVRGGRFLRSRELKLICEDNLCQHIEATTVASILALAELHNCQGLKRACLDFRNSLRKEEIILTRLRLLLIKRDASK >KQK90994 pep chromosome:Setaria_italica_v2.0:IX:47082964:47086204:-1 gene:SETIT_034090mg transcript:KQK90994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLTLLVLAHLAALLAVAGAKGGAAGAGLGDDVLGLIVFKADVSDPEGRLATWSEDDERACAWDGVTCEPRTGRVSALSLAGFGLSGKLGRGLLRLEALQSLNLAHNNLSGDVPAELARLPALQTLDLSANAFAGAIPEGLFGRCRALRDVSLAGNAFSGDIPRDVGACATLASLNLSSNLLAGALPSDIWSLNALRTLDISGNAVTGDLPIGISRMFNLRELNLRGNRLTGSLPDDIGDCPLLRSVDLGSNSLSGNLPESLRRLSTCTYLDLSSNEFTGSVPTWFGEMASLEVLDLSGNKLSGEIPGSIGGLMSLRELRLSGNGFTGALPESIGGCKSLMHVDVSWNSLTGGLPTWVFASGVQWVSVSQNTLSGEVAMPMNVSSVLQGVDLSNNAFSGVIPSEISKLQNLQSLNMSWNSMSGSIPASILEVKSLEVLDLTANRLNGSIPAAIGGESLKELRLGKNSLTGNIPAQIGNCSALASLDLSHNNLTGAIPETIANLTSLEIVDLSRNRLTGVLPKQLSNLPHLLQFNISHNQLSGDLPPGSFFDTIPLSSVLDNPGLCGAKLNSSCPGVLPKPIVLNPNTSSDPISPTEPVPDGGLHHKKTILSISALVAIGAAALIAVGVITITVLNFRVRAPGSHSAAVLELSDGYLSQSPTTDMNAGKLVMFGGGNPEFSASTHALLNKDCELGRGGFGTVYKTTLRDGQPVAIKKLTVSSLVKSQVEFEREVKMLGKLRHRNLVALKGYYWTPSLQLLIYEFVSGGNLHKQLHESSTTNCLSWKERFDIILGIARSLAHLHRHDIIHYNLKSSNILLDGSGEAKVGDYGLAKLLPMLDRYVLSSKVQSALGYMAPEFACRTVKITEKCDVYGFGVLILEILTGRTPVEYMEDDVIVLCDVVRAALDEGKVEECVDERLCGKFPLEEAVPIMKLGLVCTSQVPSNRPDMSEVVNILELIRCPQDSPETELG >KQK88407 pep chromosome:Setaria_italica_v2.0:IX:14018391:14020678:-1 gene:SETIT_037917mg transcript:KQK88407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFGKSVIAEPSNVIFLSAILNTEGSNPSHKCDKRCQNEHIFGNMYHCKLTGTTHICDKNCNQRILYDNHNSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSSEGCSFKRRRGAQLHPSPFERSYTAVSPIPSQDGTIRASFFVDL >KQK88406 pep chromosome:Setaria_italica_v2.0:IX:14020190:14020621:-1 gene:SETIT_037917mg transcript:KQK88406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFGKSVIAEPSNVIFLSAILNTEGSNPSHKCDKRCQNEHIFGNMYHCKLTGTTHICDKNCNQRILYDNHNSLCRVSGQLFPLSPLEQQAVRGIRRKHEVDSSEGCSFKRRRGAQLHPSPFERSYTAVSPIPSQVGDGMDLS >KQK86743 pep chromosome:Setaria_italica_v2.0:IX:3534620:3537469:1 gene:SETIT_038160mg transcript:KQK86743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIRGARMVGLSSQVLGMRCFSTEIFVSRLSFYTTEEELKDVFSPFGNVKEARLMRDSQTGRLKGFGFVNYSSQAEAEKAVKAMHGRILRGRLIFVEMAQGRKS >KQK87351 pep chromosome:Setaria_italica_v2.0:IX:6818750:6820520:-1 gene:SETIT_037322mg transcript:KQK87351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVATNPSLFKVILGSSSPARREILADMGYEFTVMSAGIDEKAIRKDKPEELVKALAEAKAEAIKLKLHGDCAPGRDQTALLITSDQVMVSKGMIRERPRSAEEAREFIKGYSGDRAFAVNFVLVSNLSTGATRGGWDIPEIKFQHIPDDFIDKVVNQGDMTCVAGGLKLTHPSVLPFIKELVSNCSYFVSHKKKEHTVLILQMQNNSEFGPLFCPLCNFLIDCPCRYGG >KQK87350 pep chromosome:Setaria_italica_v2.0:IX:6818730:6820520:-1 gene:SETIT_037322mg transcript:KQK87350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVATNPSLFKVILGSSSPARREILADMGYEFTVMSAGIDEKAIRKDKPEELVKALAEAKAEAIKLKLHGDCAPGRDQTALLITSDQVMVSKGMIRERPRSAEEAREFIKGYSGDRAFAVNFVLVSNLSTGATRGGWDIPEIKFQHIPDDFIDKVVNQGDMTCVAGGLKLTHPSVLPFIKELRSRSSKRAHREAYSRITGSRSIVDRFATRSCRGIRCERASCQRYPVVF >KQK87497 pep chromosome:Setaria_italica_v2.0:IX:7559325:7559527:1 gene:SETIT_040446mg transcript:KQK87497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFFIFNSSPNESFILSPEKSIIEIFGALHSTVCNL >KQK89804 pep chromosome:Setaria_italica_v2.0:IX:36073477:36077702:1 gene:SETIT_035851mg transcript:KQK89804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKDVAPLPATTAAGAAAPVPAPAAQPPPSSMPPPPPQQQQQHPPPPPFAQQQAAPAPSPAAPMPGGMRLSFDQMAPKPEHHHHAAPMLYAPPPQSTAGAGAGAAGSPGGNVLGMGELMRKKRGRPRKYAPDGSMALALAPISSASAGGAAAPGQQQHGGFSISSPPSDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMTFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPAEARKVEPMSAPPPQMPTFVPPPVATSPPSEGTSSASSDDSGSPINHSAMPFNHSGQHQHPHQHQHQHQHMPPAYASGGWSLSAHQQNRHDSDMKMMSN >KQK89805 pep chromosome:Setaria_italica_v2.0:IX:36073529:36077702:1 gene:SETIT_035851mg transcript:KQK89805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKDVAPLPATTAAGAAAPVPAPAAQPPPSSMPPPPPQQQQQHPPPPPFAQQQAAPAPSPAAPMPGGMRLSFDQMAPKPEHHHHAAPMLYAPPPQSTAGAGAGAAGSPGGNVLGMGELMRKKRGRPRKYAPDGSMALALAPISSASAGGAAAPGQQQHGGFSISSPPSDPNAKRRGRPPGSGKKKQFEALGSWGIAFTPHILTVKAGEDVASKIMTFSQQGPRTVCILSANGAISNVTLRQPATSGGLVTYEGRFEIISLSGSFLLAEDGDTRSRTGGLSVALAGSDGRVLGGCVAGMLMAATPVQVVVASFIAEGKKSKPAEARKVEPMSAPPPQMPTFVPPPVATSPPSEGTSSASSDDSGSPINHSAMPFNHSGQHQHPHQHQHQHQHMPPAYASGGWSLSAHQQNRHDSDMKMMSN >KQK91095 pep chromosome:Setaria_italica_v2.0:IX:47747383:47750006:-1 gene:SETIT_038024mg transcript:KQK91095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKEAALAAVPNDSPTIFDKIIKKEIPSTVVYEDEKVLAFRDINPQAPTHILIIPKVKDGLTGLSKAEERHVEILGYLLYVAKVIAKQEGLEDGYRVVINDGPKGCQSVYHIHVHLLGGRQMNWPAG >KQK91094 pep chromosome:Setaria_italica_v2.0:IX:47747766:47749901:-1 gene:SETIT_038024mg transcript:KQK91094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEKEAALAAVPNDSPTIFDKIIKKEIPSTVVYEDEKVLAFRDINPQAPTHILIIPKVKDGLTGLSKAEERHVEILGYLLYVAKVIAKQEGLEDGYRVVINDGPKGCMCN >KQK89390 pep chromosome:Setaria_italica_v2.0:IX:27315822:27316972:1 gene:SETIT_036862mg transcript:KQK89390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSCFLTSKPSPKSMTMPSPASVAKPKPHLLFSNKRASSSVTCCSFNARASEDGAVPVIDADWRSFRAQLYFNEQYAKSVNPAVAAMPPQAVKIGEKWAHPLVEPEKGCLLIATEKLDGSHIFERTVILLLSAGVLGPVGVILNRPSLMSIKEAESIFADDADIAGAFSGRPLFFGGPLEECFFILGPRAAAGSDVVARTGLFEEVMPGLHYGTRESVRCAAELAKRGVVGVRDFRFFDGFCGWEREQLRDEVRAGLWRVAACSPAVLGLASVVKGGLWDEVRGLVRERRVW >KQK88027 pep chromosome:Setaria_italica_v2.0:IX:10982446:10983365:-1 gene:SETIT_038835mg transcript:KQK88027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVPYHATTQLQESTRRVPYHTTTQLQESTRRVPYHAITDRWSQKRIRPNVDELPALLPKYDFFGSAPIGTLSTGGNAEAARGQHSHVWPHVGAVAHHRSPRGPAQIRKETGQPVMGDQIRLQRIADPPPAGLTVRGAGSPALPPCEMTRANPDEQVQLPLPPRWPEPHAEHCSEHSYPPTCRSMQARGRSGGQPGSCTTSPSTPASTMDGEETQVRMQREEEDATGAAWSRHWSPPPLPAGCSGGGPRGTAGGDLRAAGWGAPRVAPQRSAEGRACLDT >KQK87008 pep chromosome:Setaria_italica_v2.0:IX:5063195:5065597:-1 gene:SETIT_037843mg transcript:KQK87008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGGTKDDLRLPTDEALLSQIRAGFDEGKDLIVSVQSAMGEEQICGLKDIGGKN >KQK87009 pep chromosome:Setaria_italica_v2.0:IX:5063195:5065040:-1 gene:SETIT_037843mg transcript:KQK87009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGGTKDDLRLPTDEALLSQIRAGFDEGKDLIVSVQSAMGEEQICGLKDIGGKN >KQK91947 pep chromosome:Setaria_italica_v2.0:IX:52865701:52868782:-1 gene:SETIT_035656mg transcript:KQK91947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAAGAAAAAAAVSLIACYIIFRSSTPKVPWARTARPSRTSGRRTRRRGLVEAIGNTPLIRINSLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGDLSYGGTVTEGSAGSTAISLATVAPAYGCRCHVVIPDDAAIEKSQIIEALGATVERVRPVSITHRDHFVNIARRRALEANKLAAAQRESNETQTNGLAHVNSRDKLETMQRESKKTQNNDPAHGSTGMPHRGKYDPSSDSKGGFFADQFENMANYRAHYEWTGPEIWEQTKGSLHAFIAAAGTGGTIAGVSCYLKEKNRNIKCFLMDPPGSGLFNKVTRGVMYTKEEAEGKRLKNPFDTITEGIGINRVTRNFMMAELDGAYRGTDREAVEMSRFLLKNDGLFVGSSSAMNCVGAVRVARDLGPGHTIVTILCDSGMRHLSKFFNDQYLADHGLTPTATGLEFLDK >KQK90220 pep chromosome:Setaria_italica_v2.0:IX:40733176:40735920:1 gene:SETIT_038543mg transcript:KQK90220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMMAVELHQMSHGEATMASTHIAQQLATGRPAPVAPRRYQEMEKHRWCLALASLLLLHSLPLRAAGYLQERKNYIVHLRPRDGGGGSVEEWHRSFLPQAAAGPDSVADGEGGPRIIYSYNDVFPGFAARLTDEEADALRATDGCVRLYPEVFLPLATTRSPGFLGLHLGNEGFWSRSGFGRGVVIGILDTGILPSHPSFGDDGMQPPPKGWKGTCEFKAVAGGGCNNKIIGARAFGSAAVNSTAPPVDDAGHGTHTASTAAGNFVENANIRGNADGTASGMSPHAHLAIYKVCTRSRCSIMDIIAGLDAAVKDGVDVLSFSIGAYSGTQFNYDPIAIAAFKAMERGIFVSCAAGNAGPEPGTVGNGAPWMLTVAAGTMDRAIRTNVKLGNGEVFHGESLFQPGNNSAADPLPLVYPGADGFDASRDCSVLRGSEVTGKVVVCESRGLSGRVEAGQTVAAYGGVGMIVMNRAAEGYTTFADAHVLPASHVSYDAGTKIISYLNSTGNATASIDFRGTVIGSYPSPAVTFFSSRGPSKASPGILKPDITGPGMNILAAWAPSESHTEFSDGGADLSFFVESGTSMSTPHLSGIAALLKSLHPDWSPAAIKSAIMTTSDAVDRTGLPIKDEQYRHATFYAMGAGYVNPALAFDPGLVYDLHADDYIPYLCGLGLGDDGVTEIAHRPVACGSVKAITEAQLNYPSLVVNLLSQPITVNRTVTNVGKAKSVYTAVVDMPKDVSVIVQPPMLRFTELKEKQSFTVTVRWAGEPNVAGAEGNIKWVSDDYIVRSPLVVTGKGE >KQK89219 pep chromosome:Setaria_italica_v2.0:IX:22170290:22173236:1 gene:SETIT_037637mg transcript:KQK89219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVVFPEQRNHHHRHPGARRKSSGPHFSSPPPSRDFHGMNCRSFHSGGGYMGVLASPPPPPARTYSSPEPKTPKQQQPRHGGKRSRPISISPSTSPPSRSELWAGPAFSNSPPPSSLPIPKFSLRQKRSISLELPPVERSDDVEVRPHAKSAPSSPVGGAGYEFFNDNETASAIATENLRRILQLDIADR >KQK89220 pep chromosome:Setaria_italica_v2.0:IX:22170290:22173243:1 gene:SETIT_037637mg transcript:KQK89220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVVFPEQRNHHHRHPGARRKSSGPHFSSPPPSRDFHGMNCRSFHSGGGYMGVLASPPPPPARTYSSPEPKTPKQQQPRHGGKRSRPISISPSTSPPSRSELWAGPAFSNSPPPSSLPIPKFSLRQKRSISLELPPVERSDDVEVRPHAKSAPSSPVGGAGYEFFNDNETASAIATENLRRILQLDIADR >KQK91570 pep chromosome:Setaria_italica_v2.0:IX:50572545:50576080:-1 gene:SETIT_036322mg transcript:KQK91570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVDFGNKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLVDGSGDPFFVLNSDVISEYPFAELIQLHKAHGGEATIMVTKVDEPSKYGVVVMEEGTGKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADAGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPARLASGAHVLGNVLVHETAVIGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKQHACVSSSIIGWHSTVGKWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >KQK91571 pep chromosome:Setaria_italica_v2.0:IX:50572545:50575034:-1 gene:SETIT_036322mg transcript:KQK91571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSVPKPLVDFGNKPMILHQIEALKEVGVTEVVLAINYQPEVMLNFLKDFESKLGIKITCSQETEPLGTAGPLALARDKLVDGSGDPFFVLNSDVISEYPFAELIQLHKAHGGEATIMVTKVDEPSKYGVVVMEEGTGKVERFVEKPKVFVGNKINAGIYLLNPSVLDRIELKPTSIEKEVFPRIAADAGLFAMVLPGFWMDIGQPRDYITGLRLYLDSLRKKAPARLASGAHVLGNVLVHETAVIGEGCLIGPDVAVGPGCVVEAGVRLSRCTVMRGARVKQHACVSSSIIGWHSTVGKWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >KQK86050 pep chromosome:Setaria_italica_v2.0:IX:47276:49538:1 gene:SETIT_036634mg transcript:KQK86050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHAVKLIGWGMILEKITGYALLANQWNRGWGDRAGCLLRSSRSGQRNECLDRDLDHIPANAHVRDIPAGAVHAGGDNGEAGGAGGEETQRRQGDGAEGGGEGCELAAAANAEGGFDEQPRLAVDGEVLTVVLEEGVGAEALVEEVAGAVDDDVAGVGGGQGAGPSARLGEEQGRRDGVVEEVEAAAVQEAVEAGHDDSVVRPDQVATSLALAPCRRRRRGGGAEEEGIQEGARANLVAGSSGEMDDHLVPNIDPWWWRIGRRNTVRSLKQQQQRRFEGKEAGMITVVGVEELDAAIAMAPHNKVFHPDCSSLAHQQQAPPD >KQK86133 pep chromosome:Setaria_italica_v2.0:IX:419012:423081:1 gene:SETIT_037173mg transcript:KQK86133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYVSETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARAPNAGVGAGARFGGMGPDPQVQ >KQK86134 pep chromosome:Setaria_italica_v2.0:IX:419012:423081:1 gene:SETIT_037173mg transcript:KQK86134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVEEWYRQMPIITRSYLTAAVVTTVGCTLDIISPYHLYLNPKLVVQHYEIWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLIGGMIPYVSETFARILFLSNSLTFMMVYVWSKHNPFIHMSFLGLFTFTAAYLPWVLLGFSILVGSSTWVDLLGMIAGHVYYFLEDVYPRMTGRRPLKTPSFIKALFADDNVVVARAPNAGVGAGARFGGMGPDPQVQ >KQK87849 pep chromosome:Setaria_italica_v2.0:IX:9767027:9768526:1 gene:SETIT_038001mg transcript:KQK87849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSQHPAAIGSGGGDKNQAEDAAAVPAAAASEAGGQLVMPEDGHEWKKYGQKFIKNIQKIRSYFRCRHRLCGAKKKVEWHPSDPSGALRVVYEGAHQHGSPSPPSSAAGGASNRYELGAQIFGGARSQSQ >KQK90166 pep chromosome:Setaria_italica_v2.0:IX:40342631:40344729:1 gene:SETIT_038373mg transcript:KQK90166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEICRLAYIDYCILHQPFSLICITSLYPSGVIFAGKNRDTHQSLICYFEADRVSACFDNLNYDLFSSPS >KQK86686 pep chromosome:Setaria_italica_v2.0:IX:3293048:3295887:-1 gene:SETIT_034454mg transcript:KQK86686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAVSRVRPARWRLLSVKLMYRSCSDMASSADTGSSSEFDSAIRSLNSNLQPERLTRVIDSTSDSSLALRIFRWASHQRYNVRTVDTYSCMISKLTAAENRDDMDSLLGEMVRFRIPALEQALNELVQSLSSKNQFDEALLVIQHATSAKLKLSVSACNGVLRGLVKQGSGLRSFMLVYMEIVKSGLLPDVETLNWLIQALCESGRVDLALIQFDRMSKKRCSPNSHTFEILIMALCSHNRADEAVELFCKMLQLGCTPDSSFYAQVIPLFCKFSKVKEAIKLHQMMEDNGLQLDMHLYSALIRCLCENQLLDDAIMMLNKMIASGHAPMASAYADIVDCYCTSSKFRKALSFLEENDVTDSEPYNVLLRWLCIDGRLQDSVRYLEKLHNRGLVDCESWNIVITHFCNEGNIRRASELIGRMVVSSFTPDESTYSAIISCYCRLGLYIDALGMFRRVSVRNLSLNSESFSQLVEVLCHTERIQEAIEVFKYHSKRGCSLTNKSLHMLIQGSCLSGRIREAVQLRSLAVCTGTFCSFFTYDVIIQALLHLKKEKDVLVLFAQMVMEGYLLDGYAYTSLLRSFLTKETIFEAAILFNRMVNQALVPDQETFELLVNDMAFFSFLNMVAQSLLKVVNTSGTVSPRIYNIIIYGLIKEGFKNEACKFLDQMLEKGWVPDSRTHQVLVGNIGGEKAREVGQVYQTVDDDNVSNILLEGLD >KQK90810 pep chromosome:Setaria_italica_v2.0:IX:45891253:45900087:1 gene:SETIT_034903mg transcript:KQK90810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLVNFIIRPPRADYSPNDDLLEQEFMLKGRWFQRKDLEVKNDLGKKLQCSHYMPVVIPEGKALPCVIYCHGNSGCRADASEAAIILLPTNITVFTLDFSGSGLSEGEHVTLGWNEKEDLKAVVNYLRTDGNVSCIALWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKIVKRKANFDIMDLDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYIGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPEVPEDHYFMTPHGSLGQGHWDTEHDIEYRFAQSPTGTAHATTTEDAIAQLRSRRLMSRMEVPSGATTEDRGDRTEGLDSDVGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTMEDEDRMLMRAIMESLKDYEQSSTKNAQSVSSDAASKENNTVKDCNGVAGAALEPDASLVPTDAPGKHTAVCNSGAKAGEVQSVDTQAVNNTASANASGSSEPLASTQITNGKLVSAESQKTTQNVSGEDGTRATLVVQKSRTGGLIDGLTQKWGSFFKNND >KQK90811 pep chromosome:Setaria_italica_v2.0:IX:45891862:45900087:1 gene:SETIT_034903mg transcript:KQK90811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGRWFQRKDLEVKNDLGKKLQCSHYMPVVIPEGKALPCVIYCHGNSGCRADASEAAIILLPTNITVFTLDFSGSGLSEGEHVTLGWNEKEDLKAVVNYLRTDGNVSCIALWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSNLVDLMMELVDTYKYPLPKFTVKLAIQHMRKIVKRKANFDIMDLDTIQVAKRCFVPALFGHATEDDFILPHHSDKIYESYIGDKNIIKFDGDHNSPRPQFYFDSITIFFHNVLNPPEVPEDHYFMTPHGSLGQGHWDTEHDIEYRFAQSPTGTAHATTTEDAIAQLRSRRLMSRMEVPSGATTEDRGDRTEGLDSDVGPSSSSVSTATPPNGRNGRLLTPTSDDGEYVEYSFDSLSDMPYTMEDEDRMLMRAIMESLKDYEQSSTKNAQSVSSDAASKENNTVKDCNGVAGAALEPDASLVPTDAPGKHTAVCNSGAKAGEVQSVDTQAVNNTASANASGSSEPLASTQITNGKLVSAESQKTTQNVSGEDGTRATLVVQKSRTGGLIDGLTQKWGSFFKNND >KQK91307 pep chromosome:Setaria_italica_v2.0:IX:49131256:49134771:-1 gene:SETIT_034393mg transcript:KQK91307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPGSGKSTFAEAVVGGSNAGRWWVRVCQDTIGNGKAGTKIQCLKAAADALKDGKSVLIDRCNLEREQRADFVKLGGTLHADVHAVALDLPAKVCISRAVNRKGHDGNLQGGKAALVVNRMLQKKETPLLTEGFSRIMSCNDDGDIKKAVDLYSALGPSDSLPSGVFGQKSKGPVQVGIMKFLKKGDTSSVEKSSGSKLTLSESKPEQQNPLPKHEKVEADITCPMEVEKGSNDKKESGEHAKESDSDDVGSRTLAFPSISTADFQFDLDRASDIIVDTAADFLQKFDSIRLVLVDLSEKSRILSLVKEKASKKSIDCSRFFTFVGDITQLRTRGLQCSVIANAANWRLKPGGGGVNAAIFSAAGESLQHATRKCADALRPGTSVVVPLPSISPLHQREGVTHVIHVLGPNMNPMRPDYMKNDYTKGSKILHEAYNSLFENFASIVQSHMGKQNGRLGAEMSASGGTSPNDTKMKREDSHGSERMKKHKLLPPTMTTKKQHECTKANTPNCHDNSMTSSAAPNQSREGDNKKSGVVANKTWGSWAQALYELAMHPEKYKNNDSILETSDEFVVLKDLYPKAKRHVLVISRTDGLDSLADITKEHLPLLRRMHSAGVKWAQKFLEEDASLVFRLGYHSVPSMRQLHLHIISQDFNSTSLKNKKHWNSFTTQFFRDSVDVIEEIEQHGSPTTTSDEKVLAMELRCHRCRSAHPNIPKLKSHIESCKSSFPSHLQQKNRLISSTTMHVDCT >KQK87910 pep chromosome:Setaria_italica_v2.0:IX:10128094:10131982:-1 gene:SETIT_036385mg transcript:KQK87910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMMSPPLLSPSPCSLLRFLRLCHAPRRRNPTAAPPTPYTFRRRPLLLLPVAMSSSASITAPNSVVADPSALARKVAAIRAAGPSKLQVIADFDGTLTRYWYDGARGQSSHGLLRQGNEEYDAKREALYEHYHPIEICPDIPLPEKAKLMEEWWEKTHGLLIEGGLTYEAIKKSVSDAAIAFRDGVVELFEYLEERDIPVLVFSAGLADIIEEVFRQKLHRSFKNIKVVSNRMVFNEEGRLVAFKGKTIHVLNKNEHALDMAAPVHDSLGDPNGSIDDYSLVKKRTNVLLLGDHIGDLGMSDGLNYENRIAVGFLNANIEKSLKDYSKAFDIVYLNDAPMRGVVELVSELCP >KQK89397 pep chromosome:Setaria_italica_v2.0:IX:27635179:27639103:-1 gene:SETIT_035004mg transcript:KQK89397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYRFVYKDVEGTSTQWGDSQRRLGNLPPKPEPFKSPAFAPKVEADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSLVPITGSDFVREEEQGEPDPKLKIIERPVEDGDEEKTCGGGGGEEEKNGGRGDTVDEEDSEDDSEEDSEDDSEEDSEEDSEDDSEDDSEEDMRGWDEEDGNPYLPVKWPWEYPLHICPEGQKYTLEEAKEIVESTWERNGDLLSEWSDLFNNNTTPLPALPLRVLPRVTKNCVSGDDCYHVQYWIGDTDETALDHPYFIPCEMMQVFSLGLSSPLARPINIYGHFSVRDAWEPLRNYLFNRSRNDPAMISQLEHLVRICRWLMIGAIRWEHLPSDVRVLARLLFPATL >KQK89400 pep chromosome:Setaria_italica_v2.0:IX:27634292:27639524:-1 gene:SETIT_035004mg transcript:KQK89400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYRFVYKDVEGTSTQWGDSQRRLGNLPPKPEPFKSPAFAPKVEADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSLVPITGSDFVREEEQGEPDPKLKIIERPVEDGDEEKTCGGGGGEEEKNGGRGDTVDEEDSEDDSEEDSEDDSEEDSEEDSEDDSEDDSEEDMRGWDEEDGNPYLPVKWPWEYPLHICPEGQKYTLEEAKEIVESTWERNGDLLSEWSDLFNNNTTPLPALPLRVLPRVTKNCVSGDDCYHVQYWIGDTDETALDHPYFIPCEMMQVFSLGLSSPLARPINIYGHFSVRDAWEPLRNYLFNRSRNDPAMISQGCSFLPLCSPCRGIYVCPYFLMDVNLWIKEEEGSPDTPLFSGIETVIEVNAEAEQPSDVRISASTSGFDEEISLYDGKFCGSGSMFKHIVAVKKQEELHVVLKMNESTYKWTFKAGIGVVIAPEHPVSGFTQYFVMNVSFRTKGKAASAWQWSCICNDVRVSRMCL >KQK89399 pep chromosome:Setaria_italica_v2.0:IX:27634975:27639103:-1 gene:SETIT_035004mg transcript:KQK89399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYRFVYKDVEGTSTQWGDSQRRLGNLPPKPEPFKSPAFAPKVEADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSLVPITGSDFVREEEQGEPDPKLKIIERPVEDGDEEKTCGGGGGEEEKNGGRGDTVDEEDSEDDSEEDSEDDSEEDSEEDSEDDSEDDSEEDMRGWDEEDGNPYLPVKWPWEYPLHICPEGQKYTLEEAKEIVESTWERNGDLLSEWSDLFNNNTTPLPALPLRVLPRVTKNCVSGDDCYHVQYWIGDTDETALDHPYFIPCEMMQVFSLGLSSPLARPINIYGHFSVRDAWEPLRNYLFNRSRNDPAMISQGCSFLPLCSPCRGIYVCPYFLMDVNLWIKEEEGSPDTPLFSG >KQK89401 pep chromosome:Setaria_italica_v2.0:IX:27635179:27638210:-1 gene:SETIT_035004mg transcript:KQK89401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIWCVVQEEQGEPDPKLKIIERPVEDGDEEKTCGGGGGEEEKNGGRGDTVDEEDSEDDSEEDSEDDSEEDSEEDSEDDSEDDSEEDMRGWDEEDGNPYLPVKWPWEYPLHICPEGQKYTLEEAKEIVESTWERNGDLLSEWSDLFNNNTTPLPALPLRVLPRVTKNCVSGDDCYHVQYWIGDTDETALDHPYFIPCEMMQVFSLGLSSPLARPINIYGHFSVRDAWEPLRNYLFNRSRNDPAMISQLEHLVRICRWLMIGAIRWEHLPSDVRVLARLLFPATL >KQK89398 pep chromosome:Setaria_italica_v2.0:IX:27634292:27639524:-1 gene:SETIT_035004mg transcript:KQK89398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYRFVYKDVEGTSTQWGDSQRRLGNLPPKPEPFKSPAFAPKVEADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSLVPITGSDFEEQGEPDPKLKIIERPVEDGDEEKTCGGGGGEEEKNGGRGDTVDEEDSEDDSEEDSEDDSEEDSEEDSEDDSEDDSEEDMRGWDEEDGNPYLPVKWPWEYPLHICPEGQKYTLEEAKEIVESTWERNGDLLSEWSDLFNNNTTPLPALPLRVLPRVTKNCVSGDDCYHVQYWIGDTDETALDHPYFIPCEMMQVFSLGLSSPLARPINIYGHFSVRDAWEPLRNYLFNRSRNDPAMISQGCSFLPLCSPCRGIYVCPYFLMDVNLWIKEEEGSPDTPLFSG >KQK89402 pep chromosome:Setaria_italica_v2.0:IX:27634596:27639103:-1 gene:SETIT_035004mg transcript:KQK89402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYRFVYKDVEGTSTQWGDSQRRLGNLPPKPEPFKSPAFAPKVEADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSLVPITGSDFVREEEQGEPDPKLKIIERPVEDGDEEKTCGGGGGEEEKNGGRGDTVDEEDSEDDSEEDSEDDSEEDSEEDSEDDSEDDSEEDMRGWDEEDGNPYLPVKWPWEYPLHICPEGQKYTLEEAKEIVESTWERNGDLLSEWSDLFNNNTTPLPALPLRVLPRVTKNCVSGDDCYHVQYWIGDTDETALDHPYFIPCEMMQVFSLGLSSPLARPINIYGHFSVRDAWEPLRNYLFNRSRNDPAMISQGCSFLPLCSPCRGIYVCPYFLMDVNLWIKEEEGSPDTPLFSGYVEIDTSFAGFGSVLIGRFQGEVYGVNMIFALLGNSIETVIEVNAEAEQPSDVRISASTSGFDEEISLYDGKFCGSGSMFKHIVAVKKQEELHVVLKMNESTYKWTFKAGIGVVIAPEHPVSGFTQYFVMNVSFRTKGKAASAWQWSCICNDVRVSRMCL >KQK89396 pep chromosome:Setaria_italica_v2.0:IX:27637013:27639103:-1 gene:SETIT_035004mg transcript:KQK89396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYRFVYKDVEGTSTQWGDSQRRLGNLPPKPEPFKSPAFAPKVEADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSLVPITGSDFVREEEQGEPDPKLKIIERPVEDGDEEKTCGGGGGEEEKNGGRGDTVDEEDSEDDSEEDSEDDSEEDSEEDSEDDSEDDSEEDMRGWDEEDGNPYLPVKWPWEYPLHICPEGQKYTLEEAKEIVESTWERNGDLLSEWSDLFNNNTTPLPALPLRVLPRVTKNCVSGDDCYHVQYWIGDTDETALDHPYFIPCEMMQVFSLGLSSPLARPINIYGHFSVRDAWEPLRNYLFNRSRNDPAMISQVSDACSCPELKLCHEDHTSTASTRLLLYCCLEVLQL >KQK88390 pep chromosome:Setaria_italica_v2.0:IX:13887530:13891459:-1 gene:SETIT_035489mg transcript:KQK88390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSRARLVSLLLAFLLARAAEAGGGSGSRYLTKDERWMNQRLDHFSPTDHRRFKQRYFEFLDYHRAPGGPIFLRICGESACGGIPNDYLAVLAKKFGAAVVTPEHRYYGKSSPFKRLTTENLRFLSSKQALFDLAVFRQYYQESLNARYNRTGFDNPWFVIGVSYSGALSAWFRLKFPHLTCGSLASSGVVRAVYNYTAFDKQVGESAGPECKAVLQEITKLVDEQLRTDSRSVKALFSAQALKNDGDFLFFLADAAATTFQYGDPDSVCSPLINAKKSRKNLVEAYAQFVKDYYIKEMETPPSSYDQEYLKDTTPNDSSSRLWWFQVCSEVAFFQIAPKTDSVRSARIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTRIAASKIVLTNGSQDPWRHASKQKSSKGMPSYLMKCSNCGHGTDLRGCPQSPFRIEGDSSNCSSPAAVNAVREQIAKHMDLWLSQCQKPSASGV >KQK88897 pep chromosome:Setaria_italica_v2.0:IX:18302053:18304467:1 gene:SETIT_040159mg transcript:KQK88897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRRSTSRILGSVYFVPVAPSPEFRPPPPYLASCSTSLGSHGAGGISRSTTVSGELCELNRSPWDLLLSDPQVVDDLLDSYCVDLKYKTSWFLSTSMPAMLTTVKQKITKKIAKEVKESIEGEAKKAKLKNEEGKEGAPHDFMCKKNDGRGWYCKQQVSCPNTLYKCHFKKKRSYLNPYSKTRKKKHANNFNATEEFYYYAGFGLLRGKRHCRSTNTHGSAPLAPEQEEVELELPKDASSLQAWGLAPGTQTPIMESGDGANYGVGAHDDVPSCNDNNDIAGVDEGSSDEYYDGHEKNPCKRWGKPVNARPLKSLL >KQK91476 pep chromosome:Setaria_italica_v2.0:IX:50063405:50068166:-1 gene:SETIT_034524mg transcript:KQK91476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQKRSSSEMESCGGGQIAEMPRVPKSARGKRSARKKEAQSPDQMMCAFDLLATVAGKLLDEGEGSLGNMSAGAPALAASAKDVRVKQEQCDEEMKHFKHEVTDQDSCNESAILPHNVFPRSANHARNEDPKAKSEAQDKESSSISCAKAELGCNFRAIADRWSPESVESGAFTGDAAASLMQVAAAGFHKNAPDMYNLLDPMDVDTKPPPLVSSDSTGEMPFHGDKIRRSISLPRGPKGVAGYAVDRDDDDDKSSGCTHPSTTTNRGFRPNCTAEHSRVRKLLTSKYRKVAPARVHKSDLSYSDVERKPSFRNKKMHYTRQRTQRSTFKRRKLFDRHAVLASEFGRANGKGNTKVTGRDSHASSLEANKGTNSMPFQKSCASNDCHVKLRIKSFKVPELLVEIPESATVGSLKKTVLEAVTAILGGGLRVGVLHHGKKVRDDSKTLMQAGIGQDDMLDNLGFSLEPNCTHNLSQAQAPEDTSFLETIDTTEPLARIAPADSSSKHGEVDVSQDLALTPLAMNYHGSDHDSVQSPGGFSSPDKVSTNSRALVPVPPADPNAGAVVPVNKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSQQQAKLQPKTPPLAEARLLT >KQK91477 pep chromosome:Setaria_italica_v2.0:IX:50064940:50068166:-1 gene:SETIT_034524mg transcript:KQK91477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQKRSSSEMESCGGGQIAEMPRVPKSARGKRSARKKEAQSPDQMMCAFDLLATVAGKLLDEGEGSLGNMSAGAPALAASAKDVRVKQEQCDEEMKHFKHEVTDQDSCNESAILPHNVFPRSANHARNEDPKAKSEAQDKESSSISCAKAELGCNFRAIADRWSPESVESGAFTGDAAASLMQVAAAGFHKNAPDMYNLLDPMDVDTKPPPLVSSDSTGEMPFHGDKIRRSISLPRGPKGVAGYAVDRDDDDDKSSGCTHPSTTTNRGFRPNCTAEHSRVRKLLTSKYRKVAPARVHKSDLSYSDVERKPSFRNKKMHYTRQRTQRSTFKRRKLFDRHAVLASEFGRANGKGNTKVTGRDSHASSLEANKGTNSMPFQKSCASNDCHVKLRIKSFKVPELLVEIPESATVGSLKKTVLEAVTAILGGGLRVGVLHHGKKVRDDSKTLMQAGIGQDDMLDNLGFSLEPNCTHNLSQAQAPEDTSFLETIDTTEPLARIAPADSSSKHGEVDVSQDLALTPLAMNYHGSDHDSVQSPGGFSSPDKVSTNSRALVPVPPADPNAGAVVPVNKSKRSPEQGQRRIRRPFSVAEVEALVLAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKVITVKCLTLTSTED >KQK87136 pep chromosome:Setaria_italica_v2.0:IX:5713600:5715273:-1 gene:SETIT_040217mg transcript:KQK87136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLCLRVLGAPPRGFVLHTGKRAAPCFSTAGFDLPEWFKYPEDGGPCAALDDDGDDDFVLPAKPETLEERSGTGTATGTGSKPLSILTGCPTSHEDAEFEADLDEVSRILSSRFASPEAIVIAMECCPVRVSGRLVDKILQRFGNDWVAAFGFFMWAGTQDGYCHCADSYNSMVDILGKFKQFDLMCGLINQMHDVGGLVSLATMTKVMRRLCGANRWSDAIDAFHKMDRFGVAKDTKAMNVLLDTLCKERSVKRARGAFQQLMGTIPPDESIFNTLVHGWCKARMLNEARKTMKEMEEHGFSPSVVTYTSLIEAYCMEKDFQTVDNILDEMRRKGCPPNIITYTIVMHALGKAGRTQEALDTFKKVKQDACTPDASFYNSLIYILGRAGRFQEANFVITEMRRTGISPNLTTFNTLISAACDHSQAENALKQLVQMEEQSCKPDIKTYIPLLKLCCRRQWVKTLLFLICHMFRKDITPDFSTYTLLVSWLCRNGRLAQSCLFLEEMVLKGFAPKQETFDLVLDKLEKMNMHSAIRKIQLLRKQVADNRCKNIERRF >KQK89768 pep chromosome:Setaria_italica_v2.0:IX:35775978:35781738:1 gene:SETIT_034202mg transcript:KQK89768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAGASSSADALFIPNPGALAGFMSSSAAAMPFHHFSTTTASLILPKEEGGMMGALQAAKDEDMELEMDMELSGGSGSGHLDGLLSFADVDDDRPEQKPQHGGLDLQAADAGQPPQQQLATANGKKKRYHRHTAHQIQQMEALFKECPHPDDKQRLKLSQELGLKPRQVKFWFQNRRTQMKAQQDRADNVLLRAENESLKSDNYRLQAAIRNVVCPNCGHAAVLGEMSYEEQQLRIENARLKDELDRLACIATRYGGGGRQPSMSSALVCLPGPPPVLMPPLDLDMSVYSRHFTDQSPVMGCGDLIQSVLAPPPQQIAGGGAENHAASSSYMGSIMAPVPEQDRQLVLDLAATAADTLAKMCRAGEPLWVRCRSGAGSEVMVADEHARMFSWPVDSGKQGGGSPVAGARTEGSRDSAVVIMNSITLVDAFLDANKWMELFPSIVSKARTIQVINHGAASGHLGSGSLLLMQAEVQFPSPLVPAREVVFFRYCVHNGDEGTWSVVDFPAEGFQLEALQTSSVVKCQRRPSGCIIQDMPNGYSRVVWVEHMEMVGEEKPLHQVFKDYVANGTAFGATRWVSLLQRQCERLASELARNIADLGVIRTPEARTNMMKLSQRMITTFCANISASGSQSWTALSESTEDTIRVTTRKNTDPGQPSGVILTAVSTSWLSFSHQQVFELLADEQQRCQLEILSNGGSLHEVAHIANGSHPRNCISLLRINAASNSSQNVELLLQESSTHPDGGSLVVFATVDVDAIQVTMSGEDPSYIPLLPLGFAIFPATNPSPAATSTSSGNGESSPGNTDEPASGCLLTVGMQVLASAVPSAKLNLSSITAINSHVCNAIHQITTALKGTGASRAELAAVGGSD >KQK92541 pep chromosome:Setaria_italica_v2.0:IX:56018080:56021585:1 gene:SETIT_037578mg transcript:KQK92541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSVPARSTGGLNTVNNDSSSATDSKDLRAKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTIVKFEIWDTAGQERYAALAPLYYRGAAAAIVVYDITSPESFSKAQYWVKELQKHGSPGIVMVLVGNKADLHENRSVSSQDAQEYAEKNNMFFIETSAKTADNINQLFEEIAKRLPRPTAS >KQK86916 pep chromosome:Setaria_italica_v2.0:IX:4479953:4483313:-1 gene:SETIT_035150mg transcript:KQK86916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELKAATASLDARTTKIRNVPIAVTPEGFWCCPSQAVLQKTAKNQNQQAKTKGGASPPASKASSIQRAPTISSERRTHSTPTRSKINSEEQRCLSGENAATNPPKAVNERPQKQHKISVGFGQHEISDLKVVLYGKDGVAVKMSVHKNILAENSTFFADKLSRQTPVSSLEVTDCEDVEIYVETVGLMYCSDVKQRLIKQTVPRVLRILKVAELLGFQACVVSCLDYLEAVPWVGEEEENVISSVRNLHSENYGVSPVLKRVASDLTTPPNDTFSHIIELVLRSNEDRGRREMKSLVQKLLKENSATCTSGSSDLCAETLYKSSQNCLESLLTLFQQATGSDFAEQSLNIKEPVFRQIALEADNLLWLAEILADRNAADEFAVMWASQRDLAGLHSKLPVKSRHLVSCVTARLYVAIGKGEILPSKDTRRLLLDIWLQPLMDDYNWLQHGCRSFDRKVVEEGIGRTILTLPLEDQQTILLSWLGSFLKVGDSCPNLQKAFEVWWRRTFIRPYAEQQGNRSQSGRS >KQK87714 pep chromosome:Setaria_italica_v2.0:IX:8844544:8845051:1 gene:SETIT_040336mg transcript:KQK87714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYDCNSLCICLVRFIVITALGKFGFALFVFKTQVTPTLRTPCSNVLSCVIHFLLEPLLLDSINVSID >KQK90616 pep chromosome:Setaria_italica_v2.0:IX:43981739:43983548:-1 gene:SETIT_0348581mg transcript:KQK90616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDWRVGEFEGKFTDEFAQSNRSEHESGIEAPDVTSSKKLKHAAASEKIHQGVISGTNDSDSQKCNSEHIQCANGNINSNGDCKDGSNAFTSREENTIVETRCPTDNWNSCQFALSNGSSILNNHSAPQDSLAYGDNDLNYIDWPGIDNFEDVDTLFRRSDSTYGQQQLENTDGLSWIPSSSDAVYSSDVALQQGFGSSYSDYGILDDLSAFQCAEDKSLPSVDPSAALCDNQFDDTYMFSEQKNVNGYGDQIYQEDVMELLPTDQICNGNGNIDM >KQK90615 pep chromosome:Setaria_italica_v2.0:IX:43981739:43983548:-1 gene:SETIT_0348581mg transcript:KQK90615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDWRVGEFEGKFTDEFAQSNRSEHESGIEAPDVTSSKKLKHAAASEKIHQGVISGTNDSDSQKCNSEHIQCANGNINSNGDCKDGSNAFTSREENTIVETRCPTDNWNSCQFALSNGSSILNNHSAPQDSLAYGDNDLNYIDWPGIDNFEDVDTLFRRSDSTYGQQQLENTDGLSWIPSSSDAVYSSDVALQQGFGSSYSDYGILDDLSAFQCAEDKSLPSVDPSAALCDNQFDDTYMFSEQKNVNGYGDQIYQEDVMELLPTDQICNGNGNIDM >KQK90613 pep chromosome:Setaria_italica_v2.0:IX:43981739:43983548:-1 gene:SETIT_0348581mg transcript:KQK90613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDWRVGEFEGKFTDEFAQSNRSEHESGIEAPDVTSSKKLKHAAASEKIHQGVISGTNDSDSQKCNSEHIQCANGNINSNGDCKDGSNAFTSREENTIVETRCPTDNWNSCQFALSNGSSILNNHSAPQDSLAYGDNDLNYIDWPGIDNFEDVDTLFRRSDSTYGQQQLENTDGLSWIPSSSDAVYSSDVALQQGFGSSYSDYGILDDLSAFQCAEDKSLPSVDPSAALCDNQFDDTYMFSEQKNVNGYGDQIYQEDVMELLPTDQICNGNGNIDM >KQK90614 pep chromosome:Setaria_italica_v2.0:IX:43981739:43984510:-1 gene:SETIT_0348581mg transcript:KQK90614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDWRVGEFEGKFTDEFAQSNRSEHESGIEAPDVTSSKKLKHAAASEKIHQGVISGTNDSDSQKCNSEHIQCANGNINSNGDCKDGSNAFTSREENTIVETRCPTDNWNSCQFALSNGSSILNNHSAPQDSLAYGDNDLNYIDWPGIDNFEDVDTLFRRSDSTYGQQQLENTDGLSWIPSSSDAVYSSDVALQQGFGSSYSDYGILDDLSAFQCAEDKSLPSVDPSAALCDNQFDDTYMFSEQKNVNGYGDQIYQEDVMELLPTDQICNGNGNIDM >KQK90618 pep chromosome:Setaria_italica_v2.0:IX:43981739:43984548:-1 gene:SETIT_0348581mg transcript:KQK90618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDWRVGEFEGKFTDEFAQSNRSEHESGIEAPDVTSSKKLKHAAASEKIHQGVISGTNDSDSQKCNSEHIQCANGNINSNGDCKDGSNAFTSREENTIVETRCPTDNWNSCQFALSNGSSILNNHSAPQDSLAYGDNDLNYIDWPGIDNFEDVDTLFRRSDSTYGQQQLENTDGLSWIPSSSDAVYSSDVALQQGFGSSYSDYGILDDLSAFQCAEDKSLPSVDPSAALCDNQFDDTYMFSEQKNVNGYGDQIYQEDVMELLPTDQICNGNGNIDM >KQK90612 pep chromosome:Setaria_italica_v2.0:IX:43981739:43983548:-1 gene:SETIT_0348581mg transcript:KQK90612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDWRVGEFEGKFTDEFAQSNRSEHESGIEAPDVTSSKKLKHAAASEKIHQGVISGTNDSDSQKCNSEHIQCANGNINSNGDCKDGSNAFTSREENTIVETRCPTDNWNSCQFALSNGSSILNNHSAPQDSLAYGDNDLNYIDWPGIDNFEDVDTLFRRSDSTYGQQQLENTDGLSWIPSSSDAVYSSDVALQQGFGSSYSDYGILDDLSAFQCAEDKSLPSVDPSAALCDNQFDDTYMFSEQKNVNGYGDQIYQEDVMELLPTDQICNGNGNIDM >KQK90611 pep chromosome:Setaria_italica_v2.0:IX:43981739:43983548:-1 gene:SETIT_0348581mg transcript:KQK90611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDWRVGEFEGKFTDEFAQSNRSEHESGIEAPDVTSSKKLKHAAASEKIHQGVISGTNDSDSQKCNSEHIQCANGNINSNGDCKDGSNAFTSREENTIVETRCPTDNWNSCQFALSNGSSILNNHSAPQDSLAYGDNDLNYIDWPGIDNFEDVDTLFRRSDSTYGQQQLENTDGLSWIPSSSDAVYSSDVALQQGFGSSYSDYGILDDLSAFQCAEDKSLPSVDPSAALCDNQFDDTYMFSEQKNVNGYGDQIYQEDVMELLPTDQICNGNGNIDM >KQK90617 pep chromosome:Setaria_italica_v2.0:IX:43981739:43983548:-1 gene:SETIT_0348581mg transcript:KQK90617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDWRVGEFEGKFTDEFAQSNRSEHESGIEAPDVTSSKKLKHAAASEKIHQGVISGTNDSDSQKCNSEHIQCANGNINSNGDCKDGSNAFTSREENTIVETRCPTDNWNSCQFALSNGSSILNNHSAPQDSLAYGDNDLNYIDWPGIDNFEDVDTLFRRSDSTYGQQQLENTDGLSWIPSSSDAVYSSDVALQQGFGSSYSDYGILDDLSAFQCAEDKSLPSVDPSAALCDNQFDDTYMFSEQKNVNGYGDQIYQEDVMELLPTDQICNGNGNIDM >KQK90666 pep chromosome:Setaria_italica_v2.0:IX:44313795:44318436:1 gene:SETIT_035058mg transcript:KQK90666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRNSHGKRHSDYAENGGGKRRNPGDDSYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRAETQAKIRIGESVPGCEERVITIFSSSRETNTIDDAEDKVCPAQDALFRVHERLASDEGPGNEDSEEVLPQVTVRLLVPSDQIGCIIGKGGHIIQGIRSETGAQIRVLSNDHIPACAINGDELLQISGDAVVVRKALHQVSSRLHDNPSKSQHLLASSLTQPYAGSSHLGSSSSAPVVGITPVIPAYGGYKGEVAGDWPSVYQPRRDESSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGAFIKVDSSNSGAEDDCIITVSAKEFFEDPVSPTIDAAIRLQPRCSEKSDAESAEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRHSRANIRILSKENVPKVAAEDEEMVQISGGLDVARHALLQIAARLKANFFEREGALSAFPPVIPYHPLPAGVSDEPKYLSRDTKPVGHYLYSSGFRGSDDMIPSDSYGSYSSSQAPGGGYGAYSGYSGRSTNSGLSGHSSLPYGKRHGY >KQK90668 pep chromosome:Setaria_italica_v2.0:IX:44313795:44318436:1 gene:SETIT_035058mg transcript:KQK90668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRNSHGKRHSDYAENGGGKRRNPGDDSYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRAETQAKIRIGESVPGCEERVITIFSSSRETNTIDDAEDKVCPAQDALFRVHERLASDEGPGNEDSEEVLPQVTVRLLVPSDQIGCIIGKGGHIIQGIRSETGAQIRVLSNDHIPACAINGDELLQISGDAVVVRKALHQVSSRLHDNPSKSQHLLASSLTQPYAGSSHLGSSSSAPVVGITPVIPAYGGYKGEVAGDWPSVYQPRRDESSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGAFIKVDSSNSGAEDDCIITVSAKEFFEDPVSPTIDAAIRLQPRCSEKSDAESAEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRHSRANIRILSKENVPKVAAEDEEMVQISGGLDVARHALLQIAARLKANFFEREGALSAFPPVIPYHPLPAGVSDEPKYLSRDTKPVGHYLYSSGFRGSDDMIPSDSYGSYSSSQAPGGGYGAYSGYSGRSTNSGLSGHSSLPYGKRHGY >KQK90665 pep chromosome:Setaria_italica_v2.0:IX:44313795:44318436:1 gene:SETIT_035058mg transcript:KQK90665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRNSHGKRHSDYAENGGGKRRNPGDDSYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRAETQAKIRIGESVPGCEERVITIFSSSRETNTIDDAEDKVCPAQDALFRVHERLASDEGPGNEDSEEVLPQVTVRLLVPSDQIGCIIGKGGHIIQGIRSETGAQIRVLSNDHIPACAINGDELLQISGDAVVVRKALHQVSSRLHDNPSKSQHLLASSLTQPYAGSSHLGSSSSAPVVGITPVIPAYGGYKGEVAGDWPSVYQPRRDESSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGAFIKVDSSNSGAEDDCIITVSAKEFFEDPVSPTIDAAIRLQPRCSEKSDAESAEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRHSRANIRILSKENVPKVAAEDEEMVQISGGLDVARHALLQIAARLKANFFEREGALSAFPPVIPYHPLPAGVSDEPKYLSRDTKPVGHYLYSSGFRGSDDMIPSDSYGSYSSSQAPGGGYGAYSGYSGRSTNSGLSGHSSLPYGKRHGY >KQK90667 pep chromosome:Setaria_italica_v2.0:IX:44313795:44318436:1 gene:SETIT_035058mg transcript:KQK90667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHRNSHGKRHSDYAENGGGKRRNPGDDSYAPGPDDTVYRYLCASRKIGSIIGRGGEIAKQLRAETQAKIRIGESVPGCEERVITIFSSSRETNTIDDAEDKVCPAQDALFRVHERLASDEGPGNEDSEEVLPQVTVRLLVPSDQIGCIIGKGGHIIQGIRSETGAQIRVLSNDHIPACAINGDELLQISGDAVVVRKALHQVSSRLHDNPSKSQHLLASSLTQPYAGSSHLGSSSSAPVVGITPVIPAYGGYKGEVAGDWPSVYQPRRDESSAKEFSLRLLCAAANVGGVIGKGGGIIKQIRQESGAFIKVDSSNSGAEDDCIITVSAKEFFEDPVSPTIDAAIRLQPRCSEKSDAESAEPSYTTRLLVSTSRIGCLIGKGGSIITEIRRHSRANIRILSKENVPKVAAEDEEMVQISGGLDVARHALLQIAARLKANFFEREGALSAFPPVIPYHPLPAGVSDEPKYLSRDTKPVGHYLYSSGFRGSDDMIPSDSYGSYSSSQAPGGGYGAYSGYSGRSTNSGLSGHSSLPYGKRHGY >KQK91576 pep chromosome:Setaria_italica_v2.0:IX:50602333:50603523:-1 gene:SETIT_039796mg transcript:KQK91576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWARFWFSMPAERTPPPPPFTPPPPPPAPPQYGPLPEPSSVASLYALAGDFLDRAKTALVTGGPAGPDAISSTSGARRAVSELTAPASRAAPAATKNDKRTFSSRAVHWIIVGPVVAAVLLVLCVVACVVRRRRRRHRRRPVLPAQLPPPMVYHKDGLTWPVLQQATPSEYYFAQQQRPTPPQTSGTFSDAGTDRHHSVDVVTELPTGGSHSYDQLAAATDGFAPGNIIGQGGFGCVYRGMLNGAEVEIISRVHHRNLVPLVGYCIYSDERLLVYEFVPNKTLDSHLHGELLEVLGPQFCMYACSMNVLDWTLAKLGLCMGAFTWIWWVFDPPI >KQK88054 pep chromosome:Setaria_italica_v2.0:IX:11176201:11178235:-1 gene:SETIT_036535mg transcript:KQK88054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLPPGFRFHPTDEELITYYLTRKVSDFAFATRAIADVDLNKCEPWDLPSKASMGEKEWYFFSMRDRKYPTGIRTNRATDSGYWKTTGKDKEIFHCGMLVGMKKTLVFYRGRAPKGEKTSWVMHEYRLQNKFPYKPNKEEWVVCRVFKKSQIIKIRPPQGSPTMDDSLGHDANASLSELGELDVSSILGGFAPAPAAHTSSSSPGGALHHGAGGESFGHSRVDMSAYMSWMAAANQGAAAAAAAAMLPWATTTTPGLFGNVFAPNQHQLVQKPLPFAGQPRDLGGFVGNAGSEHAMFASSLAKVEMECDQQQPPPPPEQQLAMNESTWRAF >KQK91217 pep chromosome:Setaria_italica_v2.0:IX:48541844:48546044:1 gene:SETIT_035697mg transcript:KQK91217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWGSVSAAPERDIDDLPRNDANYTALTPLWFLERAALAQPGRASVVHGPMRYTWAETYRRCRRFASALARRSVGHGSTVAVIAPNVPAVYEAHFGVPMAGAVVNCVNIRLNAETIAFLLEHSVAEVVMVDQEFFTLAEESLKIITEKKKSAFRPPILIVIGDPTCDPKSLHYALGKGAIGYEEFLKTGDPEFNWKPPKDEWQSIALGYTSGTTSSPKGVVLHHRGAYLMALSVAMVWGMPEGAVYLWTLPMFHCNGWCYTWALAAFCGTSVCLRQVSTKAIYHSIAKLGVTHFCAAPVVLNNLINAPASETFLPLPRVVNVNVAGAAPTPSLLAALSIRGFRVTHTYGLSETYGPSTVCAWKPEWDELPLEERSRLHCRQGIRYIALEGLDVVDPKTMAPVPADGKTYGEIVMRGNAVMKGYLKTPRRTRRRSRAGGTTRATWE >KQK86200 pep chromosome:Setaria_italica_v2.0:IX:731146:732988:1 gene:SETIT_036157mg transcript:KQK86200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTMMRLRQHLASSPIYTSAIARIGNSRAPHRLLPVAPPQKHRPTSTTNKPLLLILLLLCFFFLFLIPTVLLPPARVMSSSSSASDAAAAAVPFEKPRAVVKKLLAESQPEGQGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIRAGDVQWMTAGRGIVHSEMPAGDGVQKGLQLWINLSSKDKMIEPRYQELQSKDISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDFTMRPGSQLHQPVPEGWNAFVYIIDGEGVFGREKSAPVSAHHCIVLGDGDGISVWNKSGAPLRFALVAGQPLGEPVVQHGPFVMNSRAEIQQAMEDYYYGKNGFERASQWSSSA >KQK86199 pep chromosome:Setaria_italica_v2.0:IX:731146:732988:1 gene:SETIT_036157mg transcript:KQK86199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTMMRLRQHLASSPIYTSAIARIGNSRAPHRLLPVAPPQKHRPTTRVMSSSSSASDAAAAAVPFEKPRAVVKKLLAESQPEGQGATVRRSIGRHELRNLDPFLMLDEFSVSKPAGFPDHPHRGFETVTYMLEGAFTHQDFAGHKGTIRAGDVQWMTAGRGIVHSEMPAGDGVQKGLQLWINLSSKDKMIEPRYQELQSKDISRAEKDGVEVRIIAGEAFGVRSPVYTRTPTMYMDFTMRPGSQLHQPVPEGWNAFVYIIDGEGVFGREKSAPVSAHHCIVLGDGDGISVWNKSGAPLRFALVAGQPLGEPVVQHGPFVMNSRAEIQQAMEDYYYGKNGFERASQWSSSA >KQK91325 pep chromosome:Setaria_italica_v2.0:IX:49285076:49288676:1 gene:SETIT_036188mg transcript:KQK91325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCFNGGAGWPEPVVRVQTVSDTCGDTIPDRYVKPQSDRPSPPASGGVAGGGPNIPVVDLSMPDSDATSRAVAAACREWGFFQAVNHGVRPELLRGARAAWRGFFRQPAEVRERYANSPATYEGYGSRLGTAKGGPLDWGDYYFLHLLPPSLKSHEKWPSLPASLRETTEEYGEEVVQLCRRVMRLLSSGLGLEAGRLQEAFGGAGGEGACMRVNFYPRCPQPELTLGVAAHSDPGGMTMLLVDDHVRGLQVRSPDGQWITVEPVPDAFIVNVGDQIQVLSNAAYKSVEHRVTVSAAEERLSMAFFYNPRSDLPIAPMPELVAPGRPALYPEMTFDEYRVFIRQRGLAGKAQLESLKASNKAAAAPVTADGSPSSSS >KQK90816 pep chromosome:Setaria_italica_v2.0:IX:45912217:45924663:1 gene:SETIT_033891mg transcript:KQK90816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKRKRAAAAAAAAAAAAARWKVGDLVLAKMKGFPAWPAMISEPEQWGQSSAKKKPLVYFYGTKQIAFCNYADLEAFTEEKKRSLLAKRHGKGADFLRAVDEIIEVYDSLKDKDNNKLDLAADEVKPGVEKLAENNSCMDTENLVNSSNVHSDKKIEDYSITTRSDDMVSSDGPSVTVKGDEPCVVNSAPDEPTENVSILDEMRDIPLCTNSFSNKPRDAQPKNCYTRSRVPSLRKSRSSVSVESRKAQGSGNLSDRHKHPEDDKANSGSVSTSDNVWLHSSAGTFNQSVALGTISSNGKLNPPAKADSTCNSEASENGASETELKSNGTSILPIDPAIIFKRKRKADRKPPHYKDCTASNKDEELQAEYSEILPDSPNSKNDVNKSDGDEHLPLVKRARVRMGRSQLEDSPVDEIDVSKKPEFAITANQCDMHGTPAIPVNDYPADQVSAVVNTVSNPSSKFDMPILSGDGHPSWKNKEYHPKILALDVEAALPPSKRLHRALEAMSANVAETNNSIPEVTGQNGMLLNGSLSRGNNHSNISADAEVTVSNKSGIVQSPGPSLDTQSVHSPSGKYTSGSILQNNADSDSASVPSKANDHDNHIMTKGDICEETHMDSKAANCSLVCNELDNDVRVKTSALCMELNEHALDVTQTTSVPDRLSSSLEKASENVVTIDVKETRPSGSAACNVDRTDEPVDHANNNVITKAICHGEMVVAESMNNVGDTASNSSLATKSSSIQSDADTRTSEVHTFSSLALKELNHTNLKDKNTSPDSMPMKELIAVAQARRFSRSTSFPDNFLNAKYIPETSVNTPPKEGSQGQLSPSNWIIRSTSANDNVHSRSPFDCIQQKKLAGHDEANAARRSFKDFLGTMTRTKESIARATRLAIECAKFGIAGEAIDIIVEHLEKESNLYKRVDLFFLVDSITQCSRNQKGGAGDVYPSLIQAVLPRILYAAAPPGNSAWENRKQCLKVLKLWLERKTLSEYIIRHHIREIETINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLICTKVLEEEEDGNSSEDRSFEAVTPEQDAPDNDNNEEPQMHLEKHRRVLEEVDGELEMEDVSPPSDIEVTTKCRPEQSGTNCTASDQRPSDVGPPLPVDRPPSPPPLPSSPPPVPPPPPAPPQSAQAQMQSKLQMTSDPNGAHPPRATYNVQSQQPHSISEHPGPPPIPDHFEAPPAPMHYGRPSDPPAGPCTGWSRPPRISNYSPSRHSMEPPVSHAAGGHGGWRPR >KQK90815 pep chromosome:Setaria_italica_v2.0:IX:45912462:45923602:1 gene:SETIT_033891mg transcript:KQK90815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKRKRAAAAAAAAAAAAARWKVGDLVLAKMKGFPAWPAMISEPEQWGQSSAKKKPLVYFYGTKQIAFCNYADLEAFTEEKKRSLLAKRHGKGADFLRAVDEIIEVYDSLKDKDNNKLDLAADEVKPGVEKLAENNSCMDTENLVNSSNVHSDKKIEDYSITTRSDDMVSSDGPSVTVKGDEPCVVNSAPDEPTENVSILDEMRDIPLCTNSFSNKPRDAQPKNCYTRSRVPSLRKSRSSVSVESRKAQGSGNLSDRHKHPEDDKANSGSVSTSDNVWLHSSAGTFNQSVALGTISSNGKLNPPAKADSTCNSEASENGASETELKSNGTSILPIDPAIIFKRKRKADRKPPHYKDCTASNKDEELQAEYSEILPDSPNSKNDVNKSDGDEHLPLVKRARVRMGRSQLEDSPVDEIDVSKKPEFAITANQCDMHGTPAIPVNDYPADQVSAVVNTVSNPSSKFDMPILSGDGHPSWKNKEYHPKILALDVEAALPPSKRLHRALEAMSANVAETNNSIPEVTGQNGMLLNGSLSRGNNHSNISADAEVTVSNKSGIVQSPGPSLDTQSVHSPSGKYTSGSILQNNADSDSASVPSKANDHDNHIMTKGDICEETHMDSKAANCSLVCNELDNDVRVKTSALCMELNEHALDVTQTTSVPDRLSSSLEKASENVVTIDVKETRPSGSAACNVDRTDEPVDHANNNVITKAICHGEMVVAESMNNVGDTASNSSLATKSSSIQSDADTRTSEVHTFSSLALKELNHTNLKDKNTSPDSMPMKELIAVAQARRFSRSTSFPDNFLNAKYIPETSVNTPPKEGSQGQLSPSNWIIRSTSANDNVHSRSPFDCIQQKKLAGHDEANAARRSFKDFLGTMTRTKESIARATRLAIECAKFGIAGEAIDIIVEHLEKESNLYKRVDLFFLVDSITQCSRNQKGGAGDVYPSLIQAVLPRILYAAAPPGNSAWENRKQCLKVLKLWLERKTLSEYIIRHHIREIETINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLICTKVLEEEEDGNSSEDRSFEAVTPEQDAPDNDNNEEPQMHLEKHRRVLEEVDGELEMEDVSPPSDIEVTTKCRPEQSGTNCTASDQRPSDVGPPLPVDRPPSPPPLPSSPPPVPPPPPAPPQSAQAQMQSKLQMTSDPNGAHPPRATYNVQSQQPHSISEHPGNMNPSVAPLPPPPFNNSGYGGQSNQIPPPPPMAPHPPGPHSNFPAPPAPYHGNNYHRPPTTSIPNEGYHLQPPPPPPPPNQFPPVPPEHQQRPHHWGHNCPPYPERYRYNGHDRGHHRHDRRHHGHDRHHFDDRGYHYDDRGYHYDDRGHYFDDRRHHLDDRGHHFDERAIRGPMHHEAADRGRYPFPPVICRTSSDSRPF >KQK90814 pep chromosome:Setaria_italica_v2.0:IX:45912217:45924663:1 gene:SETIT_033891mg transcript:KQK90814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKRKRAAAAAAAAAAAAARWKVGDLVLAKMKGFPAWPAMISEPEQWGQSSAKKKPLVYFYGTKQIAFCNYADLEAFTEEKKRSLLAKRHGKGADFLRAVDEIIEVYDSLKDKDNNKLDLAADEVKPGVEKLAENNSCMDTENLVNSSNVHSDKKIEDYSITTRSDDMVSSDGPSVTVKGDEPCVVNSAPDEPTENVSILDEMRDIPLCTNSFSNKPRDAQPKNCYTRSRVPSLRKSRSSVSVESRKAQGSGNLSDRHKHPEDDKANSGSVSTSDNVWLHSSAGTFNQSVALGTISSNGKLNPPAKADSTCNSEASENGASETELKSNGTSILPIDPAIIFKRKRKADRKPPHYKDCTASNKDEELQAEYSEILPDSPNSKNDVNKSDGDEHLPLVKRARVRMGRSQLEDSPVDEIDVSKKPEFAITANQCDMHGTPAIPVNDYPADQVSAVVNTVSNPSSKFDMPILSGDGHPSWKNKEYHPKILALDVEAALPPSKRLHRALEAMSANVAETNNSIPEVTGQNGMLLNGSLSRGNNHSNISADAEVTVSNKSGIVQSPGPSLDTQSVHSPSGKYTSGSILQNNADSDSASVPSKANDHDNHIMTKGDICEETHMDSKAANCSLVCNELDNDVRVKTSALCMELNEHALDVTQTTSVPDRLSSSLEKASENVVTIDVKETRPSGSAACNVDRTDEPVDHANNNVITKAICHGEMVVAESMNNVGDTASNSSLATKSSSIQSDADTRTSEVHTFSSLALKELNHTNLKDKNTSPDSMPMKELIAVAQARRFSRSTSFPDNFLNAKYIPETSVNTPPKEGSQGQLSPSNWIIRSTSANDNVHSRSPFDCIQQKKLAGHDEANAARRSFKDFLGTMTRTKESIARATRLAIECAKFGIAGEAIDIIVEHLEKESNLYKRVDLFFLVDSITQCSRNQKGGAGDVYPSLIQAVLPRILYAAAPPGNSAWENRKQCLKVLKLWLERKTLSEYIIRHHIREIETINEASFGSSRRPSRTERALNDPLRDNEGMLVDEYGSNAGFQLPNLICTKVLEEEEDGNSSEDRSFEAVTPEQDAPDNDNNEEPQMHLEKHRRVLEEVDGELEMEDVSPPSDIEVTTKCRPEQSGTNCTASDQRPSDVGPPLPVDRPPSPPPLPSSPPPVPPPPPAPPQSAQAQMQSKLQMTSDPNGAHPPRATYNVQSQQPHSISEHPGNMNPSVAPLPPPPFNNSGYGGQSNQIPPPPPMAPHPPGPHSNFPAPPAPYHGNNYHRPPTTSIPNEGYHLQPPPPPPPPNQFPPVPPEHQQRPHHWGHNCPPYPERYRYNGHDRGHHRHDRRHHGHDRHHFDDRGYHYDDRGYHYDDRGHYFDDRRHHLDDRGHHFDERAIRGPMHHEAADRGRYPFPPGPPPIPDHFEAPPAPMHYGRPSDPPAGPCTGWSRPPRISNYSPSRHSMEPPVSHAAGGHGGWRPR >KQK87755 pep chromosome:Setaria_italica_v2.0:IX:9117388:9119463:-1 gene:SETIT_038652mg transcript:KQK87755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGYVSLEETKEYKCIVDQTFMREEDFYEFYNDYAYHKGFSIRKGRVRYKTGTKEVIWRRLMCSCEGYRSVKYFERMDQKRQPRALTRCGCTARLDVEWSEIIGTWYVKDFVDVHTHALAKPEHVFVLRSHRGLNDPQKAEAVELGLGGLRPFQIMDVMEASHGGPWETGFLSQDLYNFFSRYKKGKVEGSDVEFVLNHMRQMQEKDPEFFFTFSVDAQGRLKNLFWSDAQSQIDYGVFGDVVVFDSTYRVNRYNLPFVPFIGVNHHRSTVVFGCGILSDETILSYVWLLEALLEAMHQKHPKSLITDGDAAMMRAIEIVMPDADHRLCSWHIEQNMLKRFRGSKLKDFRKFIYHAMEEGEFDRLWREFRGTHNIKEDNLWVNRMYELRRKWAATFTRGRHFLGMQSNQRSESLNSRLHNHLDRKMSLVDLMEHYEFCLSRIRRNEIELDARALCSIPFTKISADVLEKSAAQIFTPTIFQKVSFQIKKSSNWSVTEVTLQNGCLRYEVSLQGNNKRSFHVTCTFGSSLVDARCHCRKLEREGIPCAHTFCVMKYSCIESIPPCCVYVRWTMNAKSAFPTEMRTNTHVWTEQMDRYHSLRSKGNRALFKVSRSQDETDRVMKLLDDILKEDTQEQGMEEETTFGPLPAHFSAANQPGGTKVLDPVKIVSKGAPRSNKRWKASHEFWGTF >KQK90735 pep chromosome:Setaria_italica_v2.0:IX:45431852:45433813:-1 gene:SETIT_035214mg transcript:KQK90735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGVWAALQVAALLPLLLALWWHLVWRPRAVARSFARQGIRGAPYTFLVGSMLKTKRLVVAGRTGAAPMDAGNHDIVPVVLRPLHTWTAEYGRTFLFWFGPIPAICSTDLELIKEVLTDRTGMFQKDYLIPVLKFLIGNGVILTNGDEWKRHRKLVLPAFNHEKLKSMSEVTTRVTEQMIQAWRTQIQQSSGHRAAEIDMTNAFSQVTEEVIGRLAFGTSHRKSREVIVAAMREMQKIATVAFRDPPILWYLPTRGNLRVRRLDRVLRTEIMAMVQERVAAAKDGGAYGDDLVGILLEQRGSGETLTADEVIDECKTFFAAGQETTATLLVWAMFLLAVHPQWQDRVREEVLREFRGGDGEAPNADVLGQLKLLHMVLIETSRLYPPIVYIQRRAAMDVVLGGIKVPQGTVISIPIAMLHRDKEVWGPDADEFNPMRFEHGATKAAKDPKALLSFSLGPRACIGQSFGVMEAQIVMASLLSNFSFSLSPKYVHKPRYAISLAPKLGMPLIVKKLNE >KQK92066 pep chromosome:Setaria_italica_v2.0:IX:53502176:53505998:-1 gene:SETIT_034154mg transcript:KQK92066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATTVRLLLAFSALLLCCGFTTAAAASSVDVDGGVARRVLHQPLFPIEWTPPPSPPPPPAPDFTSDPATPDGPPGDFFPPAPPTAPAAGGGGTATTSTPTTVAANVPTTPAGDGGHHGGPAKASIVAAGAAAAAAVALLAFACAFLITSRARRRGDSQKLLGPDRGSARHHAAPSAAEFLYVGTVEPTTPGRHHGPTAADLIGSPYRKLRSERARRGLGRDEPTDHPSPELRPLPPLRRAATMGSSDEDAYYTPRQRSGGSGGGGGACGETWSEASASSPPTTTTASRRSLPSFTSDCFPPVAAIAAPTPPPARSRRTPPRTRFSAGSTPDIKQVISPSPRSVQSSKPTQPPPPPPPPPPPPPPPPPPPKPNTASKPPPPPPPPKPPSSTIPRPAEPPSGPTSRRRLLKPLPPEGPRIAMPMPITEATSVDNNGSTSMRKGDDAVDGLVGNGEPRPKLKPLHWDKVRATSDRAMVWDQLKSSSFQLDEDMIEALFMNNSTPAVPSRDAGRKATVPPLRQEDRVLDPKKAQNIAILLRALNVTREEVSDALLDGNAECLGTELLETLVKMAPTKEEELKLRDYNGDLSKLGSAERFLKAVLDIPFAFKRVDAMLYRANFETEINYLRKSFETLEAACEDLRGSRLFLKLLEAVLRTGNRMNVGTNRGEAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKESAIVIHSSKDEQLRRQGLKLVSGLSSELGNVKKAAMMDFDVLHGYVNKLETGLEKIKSVLQLEGQCTQGQKFFTTMQSFLKEAEKEIEQVRGEEKRALVRVKDITEYFHGDTAKEEAHPLRIFMVVRDFLSTLDHVCKEVGRMQQDRTVIGSARSFRISATTSLPVLSLYGQRRENNSDDDSSSS >KQK91106 pep chromosome:Setaria_italica_v2.0:IX:47823797:47824218:1 gene:SETIT_038515mg transcript:KQK91106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPRDWVHPMWLPDGQLPPEESPAPALPLRERMLARLLEQRNSNAASWC >KQK88895 pep chromosome:Setaria_italica_v2.0:IX:18272437:18275131:-1 gene:SETIT_034872mg transcript:KQK88895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIAISKKKLPKPLQLVPLLVADHFVLIPGRPDNDQHLGGCDGDALLSQLSPPLHHKPAFLLEDVAPLLRHLLLAPVGNDQHRLLLGRPPRQPAPVGQLDRDRLLRGRGDGAPSGHDGPARPRLVHLHDPEVDVRLGPPGRGLHGRDAVRLPHHRQQQHGGRLGDLAVLEPHHVDGGSRGGVVLALLHLLPGGLLPGALHLELKTRPGRLGGHDLQRAVELGDAVIHVDGLPRLAEAREGEAVPRGRAVEGLPSFVHRAAAREVLHGARQLPHALGLEAVVDGVLRGVGALARVEEAELVEQVGPAVGVEVGKQVGRERGRLAEERVADLGRDDEAVLLDAGDARGLLDGGRGEAVAGERGDEGRVLVPLAQALAKDLEALGEVEGAELGRKRGRRRVEGLVELARRSHRGRGEGELPVAAAARAAAAGEQDCARGDVAGAAAARRGGGRDGGAGRALVPRRRGGVRVRVGEGEHGGDKGGEAGEGGTETGRKRTTAAGRKPELIVIGLGLSCFPDVATVVGEPRQRGVGSVGPVSVRGCACGRLGWSVLCASTSTGDIKHGSGTERAQDPLGKNITQ >KQK90824 pep chromosome:Setaria_italica_v2.0:IX:46008587:46015938:1 gene:SETIT_0341911mg transcript:KQK90824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTEFSADEDAHIVGDCVKHVLRELPSSPVPASCCTALLEAFRLETKDAKINAMRSAISETFPEPNRRLLQRILKMMHTIASHTSENRMTASAVAACMAPLLLRPLLAGECEMDEVFDMDGDDSAQLLAAANAANSAQGIIATLLEEYEGIFHDEHLRCSLSPESQIEDSGTEASTDDGNLDAKGNGYHDAENDADQEMDDDNGAERILSGKLSESSGYAGSDLYDYKAVNADESDAERPVDVLEGNVDLSKVQNSRSVENGSANVNPLLSENNPSNPPSGHETPLSMGEILSSFDPGISVPSQTSEYSVERQSNKINGSHPHVKRSNFWGRNNARKSQHSESVDSSGEEELAIQRLEIAKNDLQNRIAKEARGNAILQASLERRKQALHERRLALEQDVSRLQEQLQAERDLRAALEVGLSMSSGQFSSARSMDVKTRAELEEIALAEADVARLKQKVAELHLQLNQQRQHQHSSTVDANDRHHRLPGHFSQQNFVQHGFDMNLAFCNQEKQRNEESLMESSQWRNIKQHVLPYGSSRPLTRKLSFDASSSESRGTEASTSMSTENTSVSINVPKLAEVGIEFGRQPMVASSTLVELTTRLDFFKERRSQLMEQLHSLDLGHGSASQGFPFKPPSPWNHPR >KQK91279 pep chromosome:Setaria_italica_v2.0:IX:48963997:48966547:-1 gene:SETIT_034761mg transcript:KQK91279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSGLVKLLCSSAFLLLCCFLLRGALAEERFYEFVVQETPVKRLCSSQKIITVNGQFPGPTIEVYNGDTLAIKAVNLARYNVTLHWHGLRQLRNGWADGPEFVTQCPIRPGGSYTYRFTIQDQEGTLWWHAHSSWLRATVHGALIIHPRRGLPYPFPKPHSEFPVILAEWWRRDPIAVLRQSMITGAPPNVSDALLINGQPGDLLPCSSQETSIIPVVAGETSLLRIINAAMNTELFVSLAGHKMTVVAADAMYTKPFETTVILLGPGQTTDVLVTAHAAPGRYYLAARAYASAQGVPFDNTTATAIFQYKNAPGCPTTTASAGAGAGAGAGMGGNTFNGPVGRSSRSSGHPGRTGPQPMLPFLPAFNDTNTATAFSNSLRSPHPVKVPGPVTQELFTTVGFGLFNCHPGPFCQGPNNTRFGASMNNVSFQLPNTVSLLQAHYHHIPGVFTDDFPSFPPVFFDFTSQNIPRPLWQPVKGTKLYRVRYGAVVQIVFQDTGIFAAEEHPMHIHGYHFYVLATGFGNYDPRRDAAKFNLVDPPSRNTIGVPVGGWAVVRFVADNPGVWLVHCHIDAHLTGGLAMALLVENGGSELEATMAPPLDLPICVL >KQK91333 pep chromosome:Setaria_italica_v2.0:IX:49310199:49312771:-1 gene:SETIT_035270mg transcript:KQK91333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSARGGGHSDALRNYNLGRTLGIGTFGKVKIAEHKLTGHRVAIKIINCRQMKNMEMEEKAKREIKILKLFIHPHIIRLYEVIYTPTDIYVVMEYCKYGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVEPMKRITIREIREHQWFQNRLPRYLAVPPPDTTQQAKMIDEDTLRDVVNMGFNKDHVCESLCSRLQNEATVAYYLLLDNRFKATSGYLGADYQESMEFKSAGIIRIN >KQK91334 pep chromosome:Setaria_italica_v2.0:IX:49308751:49312771:-1 gene:SETIT_035270mg transcript:KQK91334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSARGGGHSDALRNYNLGRTLGIGTFGKVKIAEHKLTGHRVAIKIINCRQMKNMEMEEKAKREIKILKLFIHPHIIRLYEVIYTPTDIYVVMEYCKYGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVEPMKRITIREIREHQWFQNRLPRYLAVPPPDTTQQAKMIDEDTLRDVVNMGFNKDHVCESLCSRLQNELHIIYYWTIGLKQPVAILGQIIKNQWNLNQLASSESTSSGVRNYVPGSTDPHSNGLRTHYPVERKWALGLQSRAHPREIMIEVLKALQELNVSWKKNGHYNMKCRWCPEFSEAHDMLDSSNSFLGDSTIMDNDDANGRLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >KQK91332 pep chromosome:Setaria_italica_v2.0:IX:49308751:49312011:-1 gene:SETIT_035270mg transcript:KQK91332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVEPMKRITIREIREHQWFQNRLPRYLAVPPPDTTQQAKMIDEDTLRDVVNMGFNKDHVCESLCSRLQNEATVAYYLLLDNRFKATSGYLGADYQESMDRNLNQLASSESTSSGVRNYVPGSTDPHSNGLRTHYPVERKWALGLQSRAHPREIMIEVLKALQELNVSWKKNGHYNMKCRWCPEFSEAHDMLDSSNSFLGDSTIMDNDDANGRLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >KQK91335 pep chromosome:Setaria_italica_v2.0:IX:49309194:49312771:-1 gene:SETIT_035270mg transcript:KQK91335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSARGGGHSDALRNYNLGRTLGIGTFGKVKIAEHKLTGHRVAIKIINCRQMKNMEMEEKAKREIKILKLFIHPHIIRLYEVIYTPTDIYVVMEYCKYGELFDYIVEKGRLQEDEARRIFQQIISGVEYCHRNMVVHRDLKPENLLLDSKYNVKLADFGLSNVMHDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSALARDLIPRMLVVEPMKRITIREIREHQWFQNRLPRYLAVPPPDTTQQAKMIDEDTLRDVVNMGFNKDHVCESLCSRLQNEATVAYYLLLDNRFKATSGYLGADYQESMDRNLNQLASSESTSSGVRNYVPGSTDPHSNGLRTHYPVERKWALGLQSRAHPREIMIEVLKALQELNVSWKKNGHYNMKCRWCPEFSEAHDMLDSSNSFLGDSTIMDNDDANGRLPAVIKFEIQLYKTRDEKYLLDMQRVTGPQLLFLDFCAAFLTKLRVL >KQK92502 pep chromosome:Setaria_italica_v2.0:IX:55853124:55856991:-1 gene:SETIT_040050mg transcript:KQK92502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRGTESGGRRTWPAGAGDACGGRRGEVAGCMCSCTAVAHQQIGARTDPDGRLLRRRIQCAHAAAMDMGVESREKSAAEAGGAAPAAGAGTGNKAGGGGGRKHLSSIANHVLRQCSLTLGRSVNDLVADFELGLKTAAVDNYSRKLVEFCSLQALQIITSHDIGEKISEGSLSRFTFDMMLAWETPTPSDQQITMESVAKEREDRKEPLGANEAVMGDETSLFYSDMMPLLVNEEPTVGEEAYVWFGSVFPLACDVVNARFTFEALTATTANRLHYPAYDKFLKEMDKSFKFLQNLPTPTGIEFAEDEFILHMEGTAGTQRVVRHIGTSSWPGRVTLTNKALYFEASGKFSYESAIKADLSDTGIQHQINTASTGPFGVPLFDKAIVFESLSEPLVLEFPEMTSSTRRDMWLTLIREVIFIHRFISMYNLESPIHKWEVHSRIILGVIRLHAAREMLRMSPPSPSSFLVFSLYDDLPKGDFVLEQLASNLKQTSTITRLSASYVFKGLSKSSYVIPLSAEIAKDHDTDSSGHEQPLASLENKIDQAKDEAREVTAANAAIEGMQEEGITDSLLVLVGLVGPIGKLRPLVQQIISWERPFVTGSVLAVTLLTIYNEWFNYMLAASLILAVGVMVWARQRKIGKICSEVIIDTSSDKTTMESIVEAQQSLRKVHEYIKTANVVILRLWSIALARSPKHTETMIWMLTGSAVVAAVIPFKFILIGLAAGGFVANTRVAKAVSNPQGGRRWREWWESIPAVPVRTVDKNEL >KQK87815 pep chromosome:Setaria_italica_v2.0:IX:9511298:9515288:1 gene:SETIT_037037mg transcript:KQK87815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMTVYKYQAQALMRDYLLADPLVPYTSVLIGIVLCKMAYDLTRILSSFYFKGYTSLTKIQRVEWNNRGMSSAHAIFITAVSLYLVVSTDLFSDRIKGPITFRNSVISTCALGVSVGYFITDLAMIFWLYPSLGGMEYVLHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSSAYLVNGILMFVAWLVARIFLFIYVFYHIYLHYSQIMQMHAFGYYLTFLVPSVLFVMNTMWFMKILKGVKKTLAKYP >KQK87814 pep chromosome:Setaria_italica_v2.0:IX:9512140:9514435:1 gene:SETIT_037037mg transcript:KQK87814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMTVYKYQAQALMRDYLLADPLVPYTSVLIGIVLCKMAYDLTRILSSFYFKGYTSLTKIQRVEWNNRGMSSAHAIFITAVSLYLVVSTDLFSDRIKGPITFRNSVISTCALGVSVGYFITDLAMIFWLYPSLGGMEYVLHHTLSLVAIAYTMLSGEGQFYTYMVLISETTTPEINLRWFLDTAGLKKSSAYLVNGILMFVAWLVCILLGLEQPLFPFSQFLIFCWKQNAKVRAKVPYGN >KQK93000 pep chromosome:Setaria_italica_v2.0:IX:58260338:58261852:1 gene:SETIT_038176mg transcript:KQK93000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVVLRVPAMTDDKTKQKAIEAVADIYGIDSIAADLKDNKMTVIGDMDAVAIAKKLKKMGKIDIVSVGPAKEEKKPEKKEEKKEEKADKKPAEGEKKDNK >KQK93001 pep chromosome:Setaria_italica_v2.0:IX:58260338:58261852:1 gene:SETIT_038176mg transcript:KQK93001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKVVLRVPAMTDDKTKQKAIEAVADIYGIDSIAADLKDNKMTVIGDMDAVAIAKKLKKMGKIDIVSVGPAKEEKKPEKKEEKKEEKADKKPAEGEKKDNK >KQK92999 pep chromosome:Setaria_italica_v2.0:IX:58260338:58261852:1 gene:SETIT_038176mg transcript:KQK92999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDKTKQKAIEAVADIYGIDSIAADLKDNKMTVIGDMDAVAIAKKLKKMGKIDIVSVGPAKEEKKPEKKEEKKEEKADKKPAEGEKKDNK >KQK89054 pep chromosome:Setaria_italica_v2.0:IX:20013610:20014240:-1 gene:SETIT_039312mg transcript:KQK89054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKSGCGRATNDPRHDQSKITGEANHQRKQGQSNGSSGRHAPTKKKTWKKYLTFLSMFNNKMKHKKSETKAATGFKQRRNQKRSFSPVLQECSNLVRVIRRTAADCFAAAAAVSSGAADEDDELPCYMQLDQVNYGVKREAFGPIYLVT >KQK88119 pep chromosome:Setaria_italica_v2.0:IX:11649200:11652600:1 gene:SETIT_039125mg transcript:KQK88119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADNDAGAGEHAESSSPPASGAEGRALLRPSSFASAISDDEEGFEERAYEPAEKVVVSVSGDPDDEERLFYASGGRAPPFSWRKLWLFTGPGYLMSIAFVDPGNIEGDLQAGATAGGSLLWLLLWSTAMGLLVQLLAARLGVATGRHLAELCRDEYPDWARRALWLMAEVALVSADIQEVIGSAIAIKILSHGWLPIWAGVVITALDCFIFLSLENYGVRKLEALFAVLITTMACSFAWMFVETKPRGKDLIVGILVPKLNSRTIKQAVGLVGSVITPHNVFLHSALVQSRKIDPEKEYEVREALRYYSIESTMALVVPFMINLFVMTVFAKGFYGTKEADSIGLENAGKYLHEKFAGDFFPVLYIWGVGLLAAGTSSTITGTYAGQFIMGGFLNWRLKKWIRALITRSFAIVPTIIVALYFNTSDTALDVLNEWLNVLQSIQIPFSLIPLVTLVSKEEVMGVFKIGPRTKIASWTAASVPIIINSYMLLDFFSSAAEGLLSTSALCVAVVAYAMFILYLIFRGTEFSNHFATGVHKNTLA >KQK87737 pep chromosome:Setaria_italica_v2.0:IX:9013531:9014140:1 gene:SETIT_038017mg transcript:KQK87737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHGERRSSAHGAAARPWRGTGGGGGALPPPPPKVYRVEPRDFRALVQRLTGAGGGSEAAAPGPAAQLAAQQRVVAPAMVAESRRMEAAAAAAAAAVAPEQQFDYASWYSAPLLSPAYGAAGFGGHQL >KQK86761 pep chromosome:Setaria_italica_v2.0:IX:3617987:3621580:1 gene:SETIT_035537mg transcript:KQK86761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPVRGALFFFLLLLAGAAAEDVAPQEPTLPAAGAGGGAVGSGGGAVGVNSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNVTIFAPRNEALERDLDPEFKRFLLEPRNLKSLQALLLYHVLPARLPSESWPAASHPTLSGEEVELAAGMRVGSAAVTRPDAVLRPDGVIHGIERLLVPRSVQEDFNRRRSLAAISAVLPTGAPEVDPRTHRLKKPAPPVPPGAPPVLPIWDAMAPGPAIAPAPAPGPSSGKHHFDGHSQVKDFIQTLLLYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMARLTTDQLSEPGSPENILYYHMVPEYQTEESMYNAVRRFGKVRYDTLRLPHKVTAREADGSVKFGQGEGSAYLFDPDIYTDGRISVQGIDAVLFPPGDKNATQTADPHRKPPVITTKKKIKLRRGKLLEASCQMAGLFGQRSRFASCED >KQK87890 pep chromosome:Setaria_italica_v2.0:IX:9990007:9990520:1 gene:SETIT_040297mg transcript:KQK87890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRLRAIVVPSRQIHGAPAACSGRAPIAPLDLGSHVSLPLDARA >KQK87780 pep chromosome:Setaria_italica_v2.0:IX:9311673:9312740:1 gene:SETIT_038296mg transcript:KQK87780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLPSIYDDAVDVHRALCRSSSAVLPNLGLCELRLYERMETPPRHMTGWSNWPVACHDVQTFNQFMMASMRVKVMCSWKFS >KQK92506 pep chromosome:Setaria_italica_v2.0:IX:55869247:55874875:1 gene:SETIT_034371mg transcript:KQK92506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESIMEKPVAAGGGGGGGGGIKHHGRAKSEQLAPSQSLSRTASAETVLSNADTTTGQSRKSSFGRKQRAASGGGGNSHIRKSRSAQLKLDVEDLVSNSAALSRASSASLGFSFTFTGFTPPPQYMHSADPATFSDDDTPMDIEAGTRRRKKLMTEPTMPIYLKFTEVKYRVAAKGSPREILGGISGSASPGEVLALMGPSGSGKTTLLSILGGRAGGGAVEGCISYNDEPYCKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKKERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVQLLHDIAEDGKTVITTIHQPSSRLFHNFDKLILLGKGSLLYFGKASEAMPYFQSIGCTPLFAMNPAEFLLDLANGNTNDVSVPSELDDKYLVDAYETRVAFKEKKKLLAPLPISDDLKATITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWRSDPRTLKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFLARTTSDLPLDLFLPVVFMVIVYFMAGLKATATHFFLSMLTVFLSIIAAQGLGLVIGASLLDIKKATTLASVTVMTFMLAGGFFVKTVPPFISWLRYLSFNYHTYRLLLKVQYDPVPEILTTTKHMDNGATEVAALVAMIIGYRVLAYLSLRRVNAPSS >KQK92507 pep chromosome:Setaria_italica_v2.0:IX:55869247:55874875:1 gene:SETIT_034371mg transcript:KQK92507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESIMEKPVAAGGGGGGGGGIKHHGRAKSEQLAPSQSLSRTASAETVLSNADTTTGQSRKSSFGRKQRAASGGGGNSHIRKSRSAQLKLDVEDLVSNSAALSRASSASLGFSFTFTGFTPPPQYMHSADPATFSDDDTPMDIEAGTRRRKKLMTEPTMPIYLKFTEVKYRVAAKGSPREILGGISGSASPGEVLALMGPSGSGKTTLLSILGGRAGGGAVEGCISYNDEPYCKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKKERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVQLLHDIAEDGKTVITTIHQPSSRLFHNFDKLILLGKGSLLYFGKASEAMPYFQSIGCTPLFAMNPAEFLLDLANGNTNDVSVPSELDDKVHMENHNLENNNSKNDCRPSAQDVHEYLVDAYETRVAFKEKKKLLAPLPISDDLKATITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWRSDPRTLKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFLARTTSDLPLDLFLPVVFMVIVYFMAGLKATATHFFLSMLTVFLSIIAAQGLGLVIGASLLDIKKATTLASVTVMTFMLAGGFFVKTVPPFISWLRYLSFNYHTYRLLLKVQYDPVPEILTTTKHMDNGATEVAALVAMIIGYRVLAYLSLRRVNAPSS >KQK92505 pep chromosome:Setaria_italica_v2.0:IX:55869255:55873783:1 gene:SETIT_034371mg transcript:KQK92505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESIMEKPVAAGGGGGGGGGIKHHGRAKSEQLAPSQSLSRTASAETVLSNADTTTGQSRKSSFGRKQRAASGGGGNSHIRKSRSAQLKLDVEDLVSNSAALSRASSASLGFSFTFTGFTPPPQYMHSADPATFSDDDTPMDIEAGTRRRKKLMTEPTMPIYLKFTEVKYRVAAKGSPREILGGISGSASPGEVLALMGPSGSGKTTLLSILGGRAGGGAVEGCISYNDEPYCKSLKRRIGFVTQDDVLFTHLTVKETLTYAALLRLPRTMTRQQKKERAMDIIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEILINPSLLFLDEPTSGLDSTTALRIVQLLHDIAEDGKTVITTIHQPSSRLFHNFDKLILLGKGSLLYFGKASEAMPYFQSIGCTPLFAMNPAEFLLDLANGNTNDVSVPSELDDKVHMENHNLENNNSKNDCRPSAQDVHEYLVDAYETRVAFKEKKKLLAPLPISDDLKATITSSKREWGTSWWQQYSILFCRGIKERRHDYLSWMRITQVIATSVILGLLWWRSDPRTLKGLEDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERAVDMYKLSAYFLARTTSDLPLDLFLPVVFMVIVYFMAGLKATATHFFLSMLTVFLSIIAAQVRNSEPVSLCITVSMSCFALYKRRKLDIGWLTSYKQCDCYK >KQK87825 pep chromosome:Setaria_italica_v2.0:IX:9575604:9578912:1 gene:SETIT_036780mg transcript:KQK87825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSRSRGAVGGGAKQGGAGGLMAEPPAATATAAQSPRHPSSSSSAAPVFPAPRPFMAMALPQADGSSEGPSSAMSPTSILETKQFCCSALPPFLSERSLRRAHHADTPAAAPEPAGVGLADVLRDHGDAKPGGGKVVFGSQLRIQVPSGRAVELVSSPIEFGAKNRDAQLAVLSPARRFLPEVVSSPSARVFAGAVAPGEMAMSEDYTCVISRGPNPRTMHIFDDCIVESCGDVLVEKMDKGAGAGDGGFAAVASGFMSSCQACNKQLGYGSDIFIYRGDKAFCSSECRYQEMLFDETVDNLR >KQK91498 pep chromosome:Setaria_italica_v2.0:IX:50240803:50241271:-1 gene:SETIT_040673mg transcript:KQK91498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPLRGETIKHLRLIDLNSKNGVDGEGITAVFLDG >KQK88440 pep chromosome:Setaria_italica_v2.0:IX:14244723:14245809:1 gene:SETIT_039305mg transcript:KQK88440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDKYEMGATGSSSSAEGERGIWKKVWSAAVPSKLRVFAWKVVRNGLPTRANKDMHVVLPTERDLRNVGPDWLLMILDRYDVEVCSNFLMLIWTCWNVRNNVLQAGEGISIAGSVLFLTRYIEALLQIRQQNEVGDARGKQKLMAGRLARASTRRLGSDSKWTPPIGQAIKFNVDGAFIKETGKAAVGVIVRYGEGHPLLTDWRWLRQCRDAEEAEALACLEGIRMASRWPDRAVILESDCSTVIGKLRVEGLERSLVAPIILDIRGDASHLQGVSFVKIRREQNRVTHELAHLAIRTSECRVSFADVPECTHQSLVYSKLP >KQK86391 pep chromosome:Setaria_italica_v2.0:IX:1614029:1615502:-1 gene:SETIT_035584mg transcript:KQK86391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESNGEAAVHGGRHLRRHVLLFPLPYQGHINPMFRLAGILHARGFDITVFHTHFNAPDPARHPHYRFVPVPDGMSGPAPVAIEDVVAHIVSIGGACEAAFRDRLAAVLEEYSRDAVTCLVADAHLLPIFQAANCLGVPTLALRTGSAVSFACFAAYPMLCEKGYLPVQDSQLDTPVVELPPYRVRDLMHIGKDGHEVLCEMMARAVAAVKASSGLILNTFDALERRELEGLQQDLAVPVFDIGPLHKFSPAGDSSLLCQDRSCLEWLDAWPPESVLYVSFGSLASMSLRDLEETAWGIAGSGVPFLWVVRPGLVGGCAENHLPERFEAATRGRGMVVGWAPQEEVLRHRAVGGFWTHNGWNSTTESICEGVPMLCRPYFGDQMGNARYVEHVWEVGFEVSGELERGSVEAAIRRLMTESDGAGMRTRAGELKKASAECTGKGGSSCLAIDKLVTHMMSL >KQK90013 pep chromosome:Setaria_italica_v2.0:IX:38529539:38530270:1 gene:SETIT_038379mg transcript:KQK90013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLLRPLLLAAIPDIGALYAAEELGGIEVRAPGEWVVDNHHGSIVGFGQGVAARIGKRSAVMAAAMSRG >KQK88098 pep chromosome:Setaria_italica_v2.0:IX:11480194:11482828:-1 gene:SETIT_036031mg transcript:KQK88098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGDMRLSIAHQTRFALRLTAALSTLSSSSNTVFSPLSLHVALSLLAAGAGGATRDQLLDALGGGDGPAAAESLHALAEQVAQLVLADGSEAGGPRVTFADAVFVDASFKLKPAFEKVAVGKYKAETQSVDFQKKAAQVAAQVNSWVEKVTSGLIKELLPPDSVDETTRLVLGNALYFKGAWTEKFDASETRDSEFHLLDGSSVQAPFMSSTDDQYIAAYDTFKVLKLPYQQGGDTRQFSMYIILPELQDGIWSLADKLSSEPEFLEKHIPMRTIPVGQFKVPKFKISFGFEASDLLRGLGLQLPLSEEADMSELVDSPLGQNLCVSSIFHKSFVEVNEEGTEAAAATTIGIMLCSYTMPVDFVADHPFLFLIREDTTGVVLFVGHVVNPLLAA >KQK88151 pep chromosome:Setaria_italica_v2.0:IX:11982400:11988842:1 gene:SETIT_034126mg transcript:KQK88151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKPMAAAAAAAGEKKKSKGKKKGKNGPAKVAMKARGAAAAAEERSNPFEAIWSRRKFDVLGKKRKGEEQRVSRSRSEAIRKRENTLLKEFEESAKSSVFHDRRIGERDDTLPEFDKAVLRQQRERLAKLKRESKYNLPDDDDDEINVHSMLSEKDDFDEEVPFDDESDEEGKMVLSKKRLSLQGGDRPSETDLPQETHGHKSKKEVMMEIISKSKFYKAQKAKEREEDEHLVDKLDSNFASLAQTQALLSLTESAKVKVNKSDSSAGLTGKEIFTKAKSDTYEKLVKEMVMDQRARPSDRTKTPEEIAKEEKERLEKLEEERQKRMLGTADSSDEDDDNEDDDHMKLDNSKPISGDDLGDSFTDDSIRKKKGWVDEIYEKEGRKLGDDAAASDDEENDDEHADDDESDDEEDDDDGEQDSSDNDFGNMSARDWEQSDNDEVDVGDNEMEDFHEKEQEISGKVVKKDAQNLKKESNVKTQVKDSGVPFVIDAPNNLKDLSSLLDGRSETEIIEIISRIRTCNSIRLAAENRRKMQVFYGVLLQYFAVLATQTPVKFKIINTLVKPLIEMSGETPYFAAICARERLIHTRTRLCEDIKVPGKNSWPNLKTLLLLRVWSLTFPCSDFRHVVATPMLLLMCEYLMRCPIQSGRDVAVGSFLCSMVLVATKESKKFCPEAIAFLQSLLVTSLKGKVGTHLHNQINDQFMELKTLKPWLSIREQVHEVNPVNILEIMGMDPDAPYFSSDDFKAGVLLSVAECLRGFVIIHEELSSFPEIFLPISSLLQEILDKSEVSGLLRDIFHEVIDLIKKRSDEHHASREPLQMRKKLPEPIKQLNPKFEENYIKGLDYDPDRDRAQRKKMNKRLKSEKKGAARELRKDNHFLYAVKEKERIKQEQEKAEQLGKNLAFLQEQESAFKSGQLGKGKGRKRRR >KQK89013 pep chromosome:Setaria_italica_v2.0:IX:19491076:19494366:-1 gene:SETIT_036304mg transcript:KQK89013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSAAAAGIVKEELLEQQPLQDGVAGGGRDAPRPMEGLHEVGPPPFLTKTFDLVEDQATDAVVSWSRAGNSFVVWDPHVFADTLLPRLFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRHLLKTIKRRKPSSAVPQSHQQQAPASCLEVGEFGFEEEIDRLKRDKNILITEVVKLRQEQQTTKDHVRAMEERLRVAEQKQVQMMGFLARAMRNPEFFQQLVQQQDKRKELEDAISKKRRRPIDNTPFYSPGETSQTEPLDSQFVFDSGVLDGLSEPGMPELENLAVNIQELGKGTTDSVKMDQANGQSELNDDFWAELLIEDFGDKAGQSELEARPEDVDDLAQQLGYLSSSSPK >KQK86367 pep chromosome:Setaria_italica_v2.0:IX:1482093:1488604:-1 gene:SETIT_034373mg transcript:KQK86367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLTLPALALSNPSTSTSGAVRLRAAAFRCWALRRRGWAAAAVASPNSVLSEHAFKRLQLGSGDEDDEEGAYGSDGDEGLEAGEGFQGDEEELAIARLGLPDELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKRLMGEDDGRSTRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVQYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTATSKRTILSDLITVYAKGGKTIVFTRTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKVGTAILMFTSSQKRTVKSLERDVGCKFEFISPPSMEEVLESSAEHVIATLRGVHPESTQFFLGAAERLTEELGSHALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDPGFGRGFFSPRSVTGFLSDVCSAAADEVGKIYLTADENVQGAVFDLPEEIAKDLLAMELPAGNTITKISKLPALQDDGPPADSYGRFSNDRGSKNRRSRGGGSARGRGGWDSEGEDRFRRGGRSFRSDNDSGRSFRSDNDSWSEDDWSGGGRKSNRSSSFGSRSSSYSSRGSPSFGGRSSSFGARDSNRNFSGACFNCGESGHRASDCPNK >KQK86368 pep chromosome:Setaria_italica_v2.0:IX:1482560:1488604:-1 gene:SETIT_034373mg transcript:KQK86368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLTLPALALSNPSTSTSGAVRLRAAAFRCWALRRRGWAAAAVASPNSVLSEHAFKRLQLGSGDEDDEEGAYGSDGDEGLEAGEGFQGDEEELAIARLGLPDELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKRLMGEDDGRSTRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVQYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTATSKRTILSDLITVYAKGGKTIVFTRTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKVGTAILMFTSSQKRTVKSLERDVGCKFEFISPPSMEEVLESSAEHVIATLRGVHPESTQFFLGAAERLTEELGSHALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDPGFGRGFFSPRSVTGFLSDVCSAAADEVGKIYLTADENVQGAVFDLPEEIAKDLLAMELPAGNTITKISKLPALQDDGPPADSYGRFSNDRGSKNRRSRGGGSARGRGGWDSEGEDRFRRGGRSFRSDNDSGRSFRSDNDSWSEDDWSGGGRKSNRSSSFGSRSSSYSSRGSPSFGGRSSSFGARDR >KQK86366 pep chromosome:Setaria_italica_v2.0:IX:1481605:1488611:-1 gene:SETIT_034373mg transcript:KQK86366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLTLPALALSNPSTSTSGAVRLRAAAFRCWALRRRGWAAAAVASPNSVLSEHAFKRLQLGSGDEDDEEGAYGSDGDEGLEAGEGFQGDEEELAIARLGLPDELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKRLMGEDDGRSTRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVQYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTATSKRTILSDLITVYAKGGKTIVFTRTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKVGTAILMFTSSQKRTVKSLERDVGCKFEFISPPSMEEVLESSAEHVIATLRGVHPESTQFFLGAAERLTEELGSHALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDPGFGRGFFSPRSVTGFLSDVCSAAADEVGKIYLTADENVQGAVFDLPEEIAKDLLAMELPAGNTITKISKLPALQDDGPPADSYGRFSNDRGSKNRRSRGGGSARGRGGWDSEGEDRFRRGGRSFRSDNDSGRSFRSDNDSWSEDDWSGGGRKSNRSSSFGSRSSSYSSRGSPSFGGRSSSFGARDRNFSGACFNCGESGHRASDCPNK >KQK86365 pep chromosome:Setaria_italica_v2.0:IX:1481605:1488604:-1 gene:SETIT_034373mg transcript:KQK86365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLTLPALALSNPSTSTSGAVRLRAAAFRCWALRRRGWAAAAVASPNSVLSEHAFKRLQLGSGDEDDEEGAYGSDGDEGLEAGEGFQGDEEELAIARLGLPDELVATLEKRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPMIKRLMGEDDGRSTRRGRIPRVLVLAPTRELAKQVEKEIKESAPKLSTVCVYGGVSYNVQQNALSRGVDVVVGTPGRIIDLINGGSLQLGEVQYLVLDEADQMLAVGFEEDVETILQQLPAERQSMLFSATMPSWVKKLSRRYLNNPLTIDLVGDQDEKLAEGIKLYAIPLTATSKRTILSDLITVYAKGGKTIVFTRTKRDADEVSLALTNSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVAARGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKVGTAILMFTSSQKRTVKSLERDVGCKFEFISPPSMEEVLESSAEHVIATLRGVHPESTQFFLGAAERLTEELGSHALASALAHLSGFSQPPSSRSLISHEQGWVTLQLTRDPGFGRGFFSPRSVTGFLSDVCSAAADEVGKIYLTADENVQGAVFDLPEEIAKDLLAMELPAGNTITKISKLPALQDDGPPADSYGRFSNDRGSKNRRSRGGGSARGRGGWDSEGEDRFRRGGRSFRSDNDSGRSFRSDNDSWSEDDWSGGGRKSNRSSSFGSRSSSYSSRGSPSFGGRSSSFGARDSNRNFSGACFNCGESGHRASDCPNK >KQK89184 pep chromosome:Setaria_italica_v2.0:IX:21608415:21611936:-1 gene:SETIT_036510mg transcript:KQK89184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLRLQALAPTLNVPRRRCFLSSFRLSSTATTPLARRLSTAASTNSPEPPASEADLESGLYLVATPIGNLEDITLRALRVLKCANVILSEDTRHSGKLLQHYNIKTPLLSFHKFNEREREPSILRRLHEGEVVALISDAGTPGISDPGMELARLCAAEKIPVVPIPGPSAAVAALSASGLPSNEFTFVGFLPKHARSRRDRLEISAREAATQIFYVPPHGIHQFLVDAASSFGNSRSCVIAREITKLHEEFWRGTLGEANEAFATRQPKGEITVLIEGQSISVDDTPSEDFLEHELRELTAKGHTLSAAVKLVTEATSAKKKDVYALALRLFGK >KQK89245 pep chromosome:Setaria_italica_v2.0:IX:22517671:22518805:-1 gene:SETIT_038653mg transcript:KQK89245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATGFGEWDPFLLATLVQNAEEWGEEVGSKASPEHDAAPSSAAGPSPATTPKRKRRRAKVIKNEEEIESQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAQRGDQASIVGGAINYVRELEQLLQSLEVQRSLKEHNSNSSNPFASFFSFPQYFATSATHCDAGNHTIEEDTSARRTPSSSVTADIEVSMVEGHASVKVQAPRRPRQLLRLAAGLQQLGLTILHLNVSTAGAMVMYSLSLKVEDECKLSSVEEIAAAVHEILGRVQEEAGFS >KQK90773 pep chromosome:Setaria_italica_v2.0:IX:45688685:45690644:-1 gene:SETIT_035304mg transcript:KQK90773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELMSWFGQASVLVSVLTVCWTMIWQNLQHIHLQQFFSRNFNRRARRLAAAVDPYLSVTFEEYEGGRIKSSDAFDEVKSYLTTATGTRDVRHLRAECGGGSRSDAAAADRDKLVLSMAKDEEVADVFKGATVWWSAAAVPPPQDTVSWRRAARAERRFFRLDFHESHRDLVLNEYLPHVRRRGRDVMAQNRQRRLYTNILREGFDDDGFYRDVWTHVPFDHPKTFDKLAMDPAKKKEIIDDLDMFKKGKEYHSRVGKPWKRGYLLHGPPGTGKSTMVAAMANHLKYDVYDFELTSVKTNTDLRKLLIETKSKSIMVFEDIDCSLDLTGKRKSKEEEDKDDGDGEDPTRRPDKKGTKSNVTLSGLLNFIDGLWSACGEERLIVFTTNHVDKLDAALVRTGRMDKKIEMSYCDFESFKFLARMHLHDGVVEEHQAQCDRVKALLEEVDMAPVDVGEHLTPKAPVEFEDAGPCLARLVTALEEAKENVRLGPQVDGQGVVVEDDGN >KQK91921 pep chromosome:Setaria_italica_v2.0:IX:52727141:52729064:1 gene:SETIT_034794mg transcript:KQK91921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPYLLLVLLLFHPAVAPAYRAPPPSQTPPPRPPQKVQVWPKPVSISWTDAVYAPLSPSFSIRASPSHPSLRHAIAYYSRLIRTERHAPIVPPANYTLARVPIRLLALSVSDPDVPLGPGVDESYTLSVPPNSGSADISSATSWGAIRGLETFSQMSWAGGGPAAGGQPIVPSDIEISDHPLFTHRGILLDTARNYYPVRDILRTIRAMAYNKLNVFHWHITDAQSFPIVLPSVPNLANFGSYSPAMRYTDQDVRRVVRFAEAFGIRVIPEIDMPGHAGSWAGAYPEIVTCANKFWAPTAKPALAAEPGTGQLNPLNPKTYRVAQDVLRDLAALFPDPYLHAGADEVNTACWEDDPTVRRFLADGGTHDRLLELFVNATRPFLVHELNRTAVYWEDVLLGPKVSVGQTVLPHDTTVLQTWNNGAENTKRIVAAGYRAIVSSASYYYLDCGHGGWLGNDSRYDVQEKEREGTPLFNDPGGTGGSWCAPFKTWQRIYDYDILHGLTEDEATRVLGGEVALWSEQSDAAVLDGRLWPRAAAAAETLWAGNKDSSGRKRYANATARLNEWRYRMVARGIRAEPIQPLWCPLHPRMCHLSQ >KQK88381 pep chromosome:Setaria_italica_v2.0:IX:13789012:13792948:1 gene:SETIT_036817mg transcript:KQK88381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGSTPPNGSAPATPGTPAPLFSGGPRVDSLSYERKSMPRCRCLPAVEGWGLATHTCVVEIPAPDVSLTRKLGAEFMGTFILIFFATAAPIVNQKYGGVISPFGNAACAGLAVTIIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVSVQVLGSICASFALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILVAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLLAPTLGAVAGAGVYTAVKLRDENGETPRTQRSFRR >KQK88382 pep chromosome:Setaria_italica_v2.0:IX:13791671:13792948:1 gene:SETIT_036817mg transcript:KQK88382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFILIFFATAAPIVNQKYGGVISPFGNAACAGLAVTIIILSTGHISGAHLNPSLTIAFAALRHFPWLQVPAYVSVQVLGSICASFALKGVFHPFLSGGVTVPDVTISTAQAFFTEFIITFNLLFVVTAVATDTRAVGELAGIAVGAAVTLNILVAGPTTGGSMNPVRTLGPAVAAGNYRQLWIYLLAPTLGAVAGAGVYTAVKLRDENGETPRTQRSFRR >KQK86307 pep chromosome:Setaria_italica_v2.0:IX:1234475:1240612:1 gene:SETIT_034095mg transcript:KQK86307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAARLGAQSSHLYGGGLGELDLNRRESRVFGWDLNDWSWDSEGFVATPVPTAAAHGSGLNSSPSSSEEAEAEVARNGLGGDSDKRKRVVVIDDDDREDQDAIVNGGGSLSLRIGGNAVGAGAMEGGDVNEDERNGKKIRVQGGSSSGPSCQVEGCGADLTAAKDYHRRHKVCEMHAKASTAVVGNTVQRFCQQCSRFHLLQEFDEGKRSCRRRLAGHNRRRRKTRPDITIGGAASIEDKVSNYLLLSLIGICANLNSDSVQHSNSQELLSTLLKNLGSVAKSLEPKELCKLLEAYQSLQNGSNAGTSGTANAAEEAAGPSNSQLPFVNGSHRGQASSSAVPVQSKATIVVTPEPTSCKLKDFDLNDTCHDTEGFEDGQEGSPPPPFKAADSPNCASWMQQDSNQSPPQTSGNSDSTSTQSLSSSNGDAQCRTDKIVFKLFNKVPSDLPPVLRSQILGWLSSSPTDIESYIRPGCIILTVYLRLVESAWRELSDNMSLHLDKLLNSSTGDFWASGLVFVMVRRQLAFMHNGQIMLDRPLASSSHHYCKILRVRPVAAPYSATINFRVEGFNLLSNSSRLICSFEGRSIFQEDTGTVADDVNYEDSDIECLSFCCSIPGPRGRGFMEVEDSGFSNGFFPFIIAEKDVCSEVSELESIFESSSNKNADANDIARDQALEFLNELGWLLHRANIMSKQDEMDTPLATFNMWRFRNLGIFAMEREWCAVVKMLLDFLFIGLIDVGSRSPEEVVLSENLLHAAVRRKSVQMIRFLLRYKPNKNLKGTAQTYLFRPDAPGPSTITPLHVAAATNDAEDVLDVLTDDPGLVGISAWSNARDETGFTPEDYARQRGNDAYLNLVQRKIDKHLGKGHVVLGVPSSMCSVITDGVKPGDVSLEICRPMSASVPGCLLCTQQARVYPNSRARTFLYRPAMLTVMGVAVVCVCVGILLHTFPRVYAAPTFRWELLERGPM >KQK86660 pep chromosome:Setaria_italica_v2.0:IX:3187516:3189297:1 gene:SETIT_036506mg transcript:KQK86660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFALQAASTTLSSASSPAVPSSPLPRRASAMLTTMPGRRRSVMATVRAAAVAPAKQPATTPSFKLAGAGGRCLPVSQTMARLKAQGKTAFIPYITAGDPDLATTGEALRLLDACGADVIELGVPFSDPYADGPVIQASTARALASGTTPDGVLAMLKEVTPELSCPVVLFSYFNPIVHRGLAEFAAAAKEAGAHGLIVPDLPYAATCALRSEAMKNELELVLLTTPSTPEDRMKEITEASEGFVYLVSVSGVTGPRANVNTRVESLIKEVKQVTDKPVAVGFGISKPEHVKQIAEWGADGVIIGSATVRQLGEAGSPKEGLKRLEGYARSMKNALP >KQK88645 pep chromosome:Setaria_italica_v2.0:IX:15718114:15727271:1 gene:SETIT_033961mg transcript:KQK88645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLATAWPCAILFLLASALQAPAAASPGAAAGRPEWQVLTRANFSSQIRLHPHVLLLATMPWYGESRALMADIEHLVGSDEELGRLKLMVVYRNSEKLLTDAIGATEGIKAVYYQGSRQFKYQGKLRARDILSSVRYIMSFKHEEAPFEVLHTKEDVETFIESTDKAVILYESCGWFTRLAHGGSNQSYEAASSNNHTENVDISGKTLSRESDGPLELVIEDEELTFGGEGQLTGSSWKGGFTLANESLSGQIGNTDDGNRKCTIQKFRQFESFYAKLTAIAREYFLPPEIARFGLITERSLLPSLDVSNEGNPETWFVIIHYLGCTACSVIVKDGDDLGSLVQSHHNLGIKEVGADESSAEAIFPSNRPSVILFIDRLSHSSKVRDESKFINKLLRQYVQTNYPFHVSTGVLSSGTSKTRSKTVTSLRNAGISGAHSETGRLSAWASKLMALGDKMSVMVVNDGDNILYRSSSHGSGGNPLYDVLTKLLHKTRPGHRSKKTRISLVTKDVGLNMLSDDSKIQAVESLSVEGSEYKRTDNSVATADNSNDDITEVSVDENTAEETEYIDDGQAPSILEKTPATYPNEHDNDLEPDALEVEDQSKSEASDMSPDLQEDISYNAYSSSKVGGTLHKRIVEKTVTVTLEPDERNMHADQEESVSSNEQDDGSSVLGKEFRKNEDAIYEENAFNLHQGSEESDTRCPHHATCRSSRSPVRDNTDITEQVTTGISEDRFAGSFYFSDGSYRLLKTLTGGSRIPSLVIIDPVQQKHYVFPEEIKYSYASLQNYLDSFMNGSLPSYYHVTSSAKSSKELPRPPFVNHDFHEANSIPQLTTNSFCPLVFGSADCNSKSELSFSNTENLSLGWNKDVMVLFSNSWCGFCQRAELVVRELHRSFKSFSSYSDSVFANVQDVHTEEKNKKYAMKGFPVIYMIDCTSNECHHLLKSAGMEELYPTLLLFPAENKSAIAYEGGMSVAHLIEFLESHVSNSHHLLDYKGFMWKKRMATKQDAPQAIPFHISDKGSGDVGSDLPNHSNVVTGSILTATEKLGTAVPFDNAKVLIVSSDSHGGFHGLIINKRLSWGVFKNLDSSMDSIKHAPLFYGGPVVVQGYHLVSLSRVAWEGYMQVIPGVYYGNIIATSRVVTRIKSGEQSVDDLWFFLGYSGWGYNQLFDELSEGAWLVSGKPIEHLDWPES >KQK86403 pep chromosome:Setaria_italica_v2.0:IX:1671198:1676021:1 gene:SETIT_034952mg transcript:KQK86403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHGLLPSSLFASTTAIRAIAAPTRTCHRVLSYGTRRRRPLALGRRAPAMDALRVTGAAEPLLRPSVGGHPRLRVRTHAQGEGDAGRGAAAEGDAAFSWAPVILPFLFPALGGLLFGYDIGATSGATISVQSADLSGTTWFNLSSVQLGLVASGSLYGALGGSILAYRIADFLGRRIELVTAAALYILGALVTGFAPNFVGLIIGRILYGIGIGLAMHGAPLYIAETSPPQIRGTLISLKELFIVLGILFGYLVGSLEIDNVGGWRYMFGFGAPLAAIMAIGMWTLPPSPKWLLLRAVQGKGSMEDNKKKAIQALRTLRGRSTSEKVLADDVEDTIVSIKAAYAGQEGEGNIWEVFEGASLKAFTIGGGLVLFQQITGQPSVLYYAASILQTAGFSAASDAARVAILIGLFKLLMTGVAVLKVDDLGRRPLLIGGVGGIALSLFLLAAYYKILNNSPFVAVGALLLYVGAYQVSFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNALVTFAFSPLQEFLGPENIFFLFGAIAVLSLVFVILNVPETKGLSLEEIESKILK >KQK89827 pep chromosome:Setaria_italica_v2.0:IX:36226509:36230620:-1 gene:SETIT_037749mg transcript:KQK89827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARGQKALGALPQLVKSLRSEPVSDGARLRRLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFKINNVKYEGSLLIVENKIMTWAPKTFSEITAESLSIFKVVHPIPEILILGCGKHIHPVSPELRNFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAAVLPFGVTC >KQK89828 pep chromosome:Setaria_italica_v2.0:IX:36227275:36230703:-1 gene:SETIT_037749mg transcript:KQK89828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARGQKALGALPQLVKSLRSEPVSDGARLRRLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFKINNVKYEGSLLIVENKIMTWAPKTFSEITAER >KQK89826 pep chromosome:Setaria_italica_v2.0:IX:36226290:36230703:-1 gene:SETIT_037749mg transcript:KQK89826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARGQKALGALPQLVKSLRSEPVSDGARLRRLPSLRRTFSLYDQINLIDSVPEDQLRFQSYDDTGFKINNVKYEGSLLIVENKIMTWAPKTFSEITAESLSIFKVVHPIPDLDSWLWKTHPPGQSRAAELHSVDWNET >KQK90987 pep chromosome:Setaria_italica_v2.0:IX:47040740:47042143:1 gene:SETIT_037357mg transcript:KQK90987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAAGAEAAANGGIEGSADPCSSGAAAGHRLSVHQIAGGGKAADIILWRRGRVTFGVIFGATMAWLLFEKSGLSFLTICCDIFLILIIVQFIRVKIAGLLNRQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGNDFRLFFQVSHHAVTYPC >KQK90988 pep chromosome:Setaria_italica_v2.0:IX:47040550:47042849:1 gene:SETIT_037357mg transcript:KQK90988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAAGAEAAANGGIEGSADPCSSGAAAGHRLSVHQIAGGGKAADIILWRRGRVTFGVIFGATMAWLLFEKSGLSFLTICCDIFLILIIVQFIRVKIAGLLNRQPRPLPELVLSEEMVSNAAASFRVKVNNMLMIAHDITLGNDFRLFFQVVLVLWMLSVIGNFCSSITLAYIGTIGLVTIPALYNKYQGHVDRYAGMVHRNISRHYKIVDENVISRLPRSFMREKED >KQK91218 pep chromosome:Setaria_italica_v2.0:IX:48542505:48543318:-1 gene:SETIT_038489mg transcript:KQK91218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSYHWTAVFYKQGRTPCFLFLESSRRFLSLRNGKQSLTTTPLGKSRRSNAT >KQK87666 pep chromosome:Setaria_italica_v2.0:IX:8598664:8599002:-1 gene:SETIT_038602mg transcript:KQK87666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DETSDHILVQCAFAKAVWWEAVSGMGCNCSFSSVNTSLQNWRVKLRRQQSRERRKGLDTLFMLTIWSIWEERNARLFNQSPSTLQELMQRIGSDTDLWVVAGARRLGCLKQE >KQK90552 pep chromosome:Setaria_italica_v2.0:IX:43586970:43590825:1 gene:SETIT_036357mg transcript:KQK90552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAKGEEKPGGADDWCYKSTFDLKAPKKSPLALRMFVFAMTMLCGISICSMCMKQLGSDGWSRIVKIEVVEQEQPCNKSTVPPSEVQFVHYPQPITYSREECKCNPVRFFAIISSQRSGSGWFETLLNSHTNVSSNGEIFSTKERRSNISSIIKTLDKVYDLDWNSSASKNECTAAVGFKWMLNQGLVANHVDVVDYFNRRGVSAIFLFRRNLLRQLVSQLANNHDRYLKQLNGTHKAHVHTKHEAIILAKYKPRLNTSSLIWQLKQADEYTHGALENLKNTRHITIYYEDLILNRTKIFDVLDFLKVPRMKLASRHVKIHTKPLSEQIENWDEVYSALNGTQYESFLNAADYIV >KQK88056 pep chromosome:Setaria_italica_v2.0:IX:11185773:11186615:1 gene:SETIT_038283mg transcript:KQK88056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCFCAWQGASTTVVPGGSAMWLLRGGVEFVVTGGGHLPSIVQSEAAGWRSSMTWWDEDDAWSAILPPGCGRTATAWWWWCAV >KQK90908 pep chromosome:Setaria_italica_v2.0:IX:46528540:46543864:-1 gene:SETIT_039482mg transcript:KQK90908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGWRTLLLRIGDRCPEYGGSADHKEHIETCYGVLSREYEHSRDAIFEFLLQCAEQLPHKIPFFGVLIGLLNLENEDFAKDIVDTTQAKLQDALHTENRDRIRILLRFLSGLMCSKVVVPNSIIETFETLLSSAATILDEETGNPSWQPRADFYVYCILASLPWGGPELFEQVPDEFERVLVGIQSYISIRRHFDDIAFSVFETDEGHSPNKKDFIEDLWERIQILSRSGWKVKSVPKPHLSFEAQLVAGKSHRLSPISCPPPNLSPTSSEILKGQEKHEADLKYPQRLRRLHIFPTNKAENMQPVDRFVVEECILDVLLFFNGCRKECAFYLVSLPVSFRYEYLMAETIFSQLLLLPNPPFRPIYYTLVIIDLCKALPGAFPSVVVGAVHALFDRISKMDMECRTRLILWFSHHLSNFQFIWPWQEWSYVKDLPKWAPQRVFVQEVLEREIRLSYFEKIKQSIEDAAELEELLPPKAGPNFKFLSDESNEKTDGHKLSKELVGMVRGKKNTRDIILWVEEQIIPTNGAEFALDVVIQTLLDIGSKSFTHLITVLERYGQIISKLCPNEEMQLLLMDEVSAYWKNSTQMTAIAIDRMMGYRMISNLAIVKWVFSPANVEQFHVSDRPWEILRNAVSKTYNRISDLRKEIQSLKKGLQVAKEASANAIRELEEAKSVLEIVEGQPAPAERPGRLRRLQAYADKAKQEEVSMEESLEAKGALLARALEESKELLKLLFKSFVDVLTERLPTVSADGEIPNLRAGDQNVNFAARDLETATMEIDNENGADKNSEPNGQNTKDGYNVGELEQWCLCTLGYLKSFSRQYATEIWSHIAMLDEEVFIGDIHPLIRKAAFSGQRVHQRSSSPCCPEALPADYSKNGFNSGGSS >KQK87907 pep chromosome:Setaria_italica_v2.0:IX:10102741:10103684:1 gene:SETIT_040409mg transcript:KQK87907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLSVRTNWPRPRSGRMCGGSREGHQGWRRADVRHQEFGTDSGCGAARDCNWSSVRMRPRVGD >KQK87905 pep chromosome:Setaria_italica_v2.0:IX:10102749:10103684:1 gene:SETIT_040409mg transcript:KQK87905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLSVRTNWPRPRSGRMCGGSREGHQGWRRADVRHQEFGTDSGCGAARDCNWSSVRMRPRVGD >KQK87906 pep chromosome:Setaria_italica_v2.0:IX:10102755:10103262:1 gene:SETIT_040409mg transcript:KQK87906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLSVRTNWPRPRSGRMCGGSREGHQGWRRADVRHQEFGTDSGCGAARDCNWSSVRMRPRVGD >KQK90856 pep chromosome:Setaria_italica_v2.0:IX:46211198:46211860:-1 gene:SETIT_040105mg transcript:KQK90856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELNEVHWWLPSEILLDIGITKADELDLTIIEELASRLVGVLNSTMERHQRHHLHHDRTSSTYRHQCPPQPQAYRLDRQVHFTNSNSNAQTMKTCQFLGNGRIMDATLNLPRFALAKQQLVDTASPSVKQSSRGTGVFLPHAEAYNHMTGQAKTQRSSSASLKPRYNRHQCQQKQQQQLHIHAHALVTAHERQGCKMKEAGAAFHDCRDELGLPHEWIY >KQK88940 pep chromosome:Setaria_italica_v2.0:IX:18849323:18850828:1 gene:SETIT_035773mg transcript:KQK88940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKLNLPPGPWTLPVIGSIHHLIANPLIYRTLRGLAQKHGPLMTLRLGEVPVLVVSSPEAAREVLKTHDTTFADRFTNATAAAISYNATDVALSPYGERWRQLRKICVLELLSAVRVQSFRRIREEEVARFMRSVAASAAAGDEMDMSAAIFGFIYDAFMRECVGSRCKYQGEYLDAFHMAVRQTSGMSVADLFPSSQIMQMLATAPRKALACRDRMQRVLERDMKEKKEAMDSGDETVHESFVGPHGKCRTAMAKVQAEVREAFKGKTTIIEDDIDRAELSYLKLVIKETLRMHGHLPFLIPRRCRKTCRVMGYDIPEGTTVLINVWAICRDPRYWDDPEEFKPERFESNMDYKGTNYEYLPFGSGRRMCPGATLGLANISLALVSLLYHFDWKLSDGVEPKDVDVREAVGIIANKRTNLVLRPVTRIAPANA >KQK86824 pep chromosome:Setaria_italica_v2.0:IX:3962505:3965213:-1 gene:SETIT_038790mg transcript:KQK86824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAHRRLKPPPRPCRSASTTFSTAAAVTNAPSADRLLALLRGCVSASHLPLGLQIHARAVASGALASHPALQTRLIGMYVLARRFRDAVAVFSALPRGAAASARPWNWLIRGFTADGQHRLAVLFYLKMWSHPAAPRPDEHTLPYVVKSCAALGAVVLGRLVHRTARGIGLGRDVYVGSALIKMYADAGLLRDAREVFDGTAERDCVLWNVMMDGCIKAGDVDGAVRLFRDMRASGCEPNFATLACFLSLCAAEADLLSGVQLHSLAVKCGLEPVVAVANTLLSMYAKCRCLDDAWRLFDLIPRDDLVTWNGMISGCVQNGLLDEALGLFCDMQRSGVRPDSVTLVSLLPALTDLNGFKQGKEVHGYIIRNYVHMDVFLVSALVDIYFKCRDVKMAQNVYDAAWAIDVVIGSTMISGYVLNGMIEEALQMFRYLLEQCIKPNAVTVTSVLPACASMAAMALGQEIHGYVLRNAYEGKCYVESALMDMYSKCGRLDLSHYIFSEMSVKDEVTWNSMISSCAQNGEPEEALDLFRQMSMEGIKYNSVTISSALSACASLPAIYYGKEIHGVIIKGPIRADIFAESALIDMYGKCGNLDLALRVFESMPDKNEVSWNSIIAAYGAHGLLKESVSLLYRMQEEGFKPDHVTFLTLISACAHAGQVEEGVRLFQCMTKEYQIAPRMEHFACMVDLYSRSGKLDQAIEFIADMPFKPDAGIWGALLHACRVHRNVELADIASQELFKLDPGNSGYYVLMSNINAVAGRWDGVSKVRRLMKDNKVQKIPGYSWVDVNNSSHLFVAADKSHPDSEDIYMSLKSLLQELREEGYVPRPELCHPMHPNNSTQCYCFASCEILFLPFCISTHKLQFNQTLE >KQK89126 pep chromosome:Setaria_italica_v2.0:IX:21090466:21092682:-1 gene:SETIT_035721mg transcript:KQK89126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCDKREDMALQDGDSCLAPRPLRGGCEMESPWAEGLLQGGSRKRSAPEESEDDVQEIDEVDCGGKRSKPPSPQPHTPDIREAHAPGRRRTVAAGGGDQSNGGGDLIGEIGRDLSINCLLRLSRSEYGSVASLNRDFRSLVRGGEIYRLRRQNNISEHWVYFSCNVLEWDAYDPYRERWISVPKMPPDECFMCSDKESLAVGTELLVFGMAHIVFRYSILTNSWTRGEVMNSPRCLFGSASVGEKAYVAGGTDSLGRILSSAELYNSETHTWTPLPSMNRARKNCSGVFMDGKFYVIGGVTNNNMILTCGEEYDVQSKSWRVIENMSVGLNGVSGAPPLISVVKNELYAADYSEKDVKKYDKQNNKWVTLGKLPERSVSMNGWGLAFRACGERLIVIGGPRTSVGGMIELNSWIPDDKPPVWNLIARRPSGNFVYNCAVMGC >KQK89125 pep chromosome:Setaria_italica_v2.0:IX:21089146:21092173:-1 gene:SETIT_035721mg transcript:KQK89125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLCDKREDMALQDGDSCLAPRPLRGGCEMESPWAEGLLQGGSRKRSAPEESEDDVQEIDEVDCGGKRSKPPSPQPHTPDIREAHAPGRRRTVAAGGGDQSNGGGDLIGEIGRDLSINCLLRLSRSEYGSVASLNRDFRSLVRGGEIYRLRRQNNISEHWVYFSCNVLEWDAYDPYRERWISVPKMPPDECFMCSDKESLAVGTELLVFGMAHIVFRYSILTNSWTRGEVMNSPRCLFGSASVGEKAYVAGGTDSLGRILSSAELYNSETHTWTPLPSMNRARKNCSGVFMDGKFYVIGGVTNNNMILTCGEEYDVQSKSWRVIENMSVGLNGVSGAPPLISVVKNELYAADYSEKDVKKYDKQNNKWVTLGKLPERSVSMNGWGLAFRACGERLIVIGGPRTSVGGMIELNSWIPDDKPPVWNLIARRPSGNFVYNCAVMGC >KQK92732 pep chromosome:Setaria_italica_v2.0:IX:56980289:56982167:1 gene:SETIT_037971mg transcript:KQK92732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTDASINCFIFLFLKRKSINSSPVLHVHIHSSHKARAQRRSTLAEATGHVIQLKITDPPWLESHGRWRGSCCFTFEAAAAVKALVTLFFFPASGTLRLILIRHISSSCTRKRSRVCRGIRTLVSLLSVCLLIGSL >KQK87285 pep chromosome:Setaria_italica_v2.0:IX:6405399:6407928:-1 gene:SETIT_036084mg transcript:KQK87285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARVASMEDMKEARQAAAAAGQGRVVPTGMLKVFLGFLLLGVGLSAAGMYMARHAVAAAAPALFRPCLGASAAEEEPEGLERWTRPPARVEHAMTDEELLWRASFAPRLRGYPFRRVPKVAFMFLTRGPLPLAPLWERFFRGHEGRYSIYVHALPSYHANFTSESVFYRRQIPSKVAEWGQMTMCDAERRLLANALLDISNEWFVLVSESCIPIFDFNTTYKYFQNSSQSFLMAFDDPGPYGRGRYNWNMTPEVELDQWRKGSQWFEVDRELAVAIVKDTVYYPKFKEFCRPHCYVDEHYFPTMLTIEAPHSLANRSVTWVDWSRGGAHPATFGRGDISEEFLRRVREGRTCLYNNQNSTMCFLFARKFSPSALEPLLELAPTVLGFG >KQK87340 pep chromosome:Setaria_italica_v2.0:IX:6752791:6758150:-1 gene:SETIT_036435mg transcript:KQK87340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGCVGPEGEVGPEVAAAVAERDEAVAPAPARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDHKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRETHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLTDRYGKVRVPKQLFVNTDPLSIPAAVTRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAASADDADLDPCVAELPPRPLLEILARELRRRLVPMIHAPSFLILRKLFPQ >KQK87338 pep chromosome:Setaria_italica_v2.0:IX:6752041:6758150:-1 gene:SETIT_036435mg transcript:KQK87338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGCVGPEGEVGPEVAAAVAERDEAVAPAPARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDHKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRETHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLTDRYGKVRVPKQLFVNTDPLSIPAAVTRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAASADDADLDPCVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLSQKEYKRRPSYSSGEW >KQK87341 pep chromosome:Setaria_italica_v2.0:IX:6751803:6758301:-1 gene:SETIT_036435mg transcript:KQK87341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGCVGPEGEVGPEVAAAVAERDEAVAPAPARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDHKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRETHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLTDRYDPLSIPAAVTRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAASADDADLDPCVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLSQKEYKRRPSYSSGEW >KQK87337 pep chromosome:Setaria_italica_v2.0:IX:6752621:6758150:-1 gene:SETIT_036435mg transcript:KQK87337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGCVGPEGEVGPEVAAAVAERDEAVAPAPARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDHKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRETHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLTDRYGKVRVPKQLFVNTDPLSIPAAVTRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGGVMFKVYIVGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAASADDADLDPCVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGMWSDIRTSLEHIVPILVYY >KQK87339 pep chromosome:Setaria_italica_v2.0:IX:6751803:6758301:-1 gene:SETIT_036435mg transcript:KQK87339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGGCVGPEGEVGPEVAAAVAERDEAVAPAPARELVVGYALTSKKAKSFLQPKLRGLARKKGILFVAIDHKRPLSDQGPFDIVLHKLTGKGWQQLLEEYRETHPEVTVLDPPGAIAHLLDRQSMLQEVSELDLTDRYGKVRVPKQLFVNTDPLSIPAAVTRAGLSLPLVAKPLVAKSHELSLAYDPTSLTKLEPPLVLQEFVNHGDAIRVVRRFSLPNVDEGDLSNNAGVFRFPRVSCAAASADDADLDPCVAELPPRPLLEILARELRRRLGLRLFNIDMIREHGTRDRFYVIDMNYFPGYGKMPGYEHVFTDFLLSLSQKEYKRRPSYSSGEW >KQK86881 pep chromosome:Setaria_italica_v2.0:IX:4260138:4260791:-1 gene:SETIT_040016mg transcript:KQK86881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAIHSLAAPPGPPPARPPPRRGTTAASASPLRESSRPQPQPPPPAPPSLASRRAALLALAMVAAPARPFAAAAFSLGIPGPKELLREQKKKSASFLLAPIAASRDTLLKAQALLASPNASAEDAEEVRGRIGAAGRDCVPRQRNSIVAFQSKTGVE >KQK91319 pep chromosome:Setaria_italica_v2.0:IX:49229407:49233004:-1 gene:SETIT_035740mg transcript:KQK91319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIPAMTKRGNGRAPLSVVVAIIGGLALAGIIFTDDLRSLTEIMEKKEDKQKEQKRTSLPAGTRMMLTRPVEKVTPPPPVEKVTPAAAAAKGAFDPSRCSVTEGYWAYNRSKKLHYTDQTCPFIDRQVSCQRNGRPDSDYLYWDWHLDDCNLPRFDPAALLEKLRGKRMMFVGDSLQMGQWLSFVCLVNSAVPYTARTMERSTTLSVFTATEYNATIEFYWPPYLVEANSDRNIRLGADGRVLHVDAVELHAKHWKGADILVFDSYVWWMSGSRIKTVWGAFGDDGYEELDAWVAFRLGLKTWANWVDANIDPNATRVFFMSISTTHMRSEDWGREGGIRCYNETWPITRKGYWGSGADRRMMEVVSDVLGRMRVPVALLNVTQLTEHRVDAHVSVYTETGGELLTDAQRADPQTYTDCIHWCLPGVPDTWNQILYAHL >KQK93104 pep chromosome:Setaria_italica_v2.0:IX:58797533:58798100:1 gene:SETIT_037924mg transcript:KQK93104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVGERYTRGLYGEDDYSGHGHGPGPGQGQRQQQQQQSAAAAVTKALAAGTAALSMLLLSGLALTGTVLALIVATPLLVIFSPVLVPAAITLALLTAGFVSSGGFGAAAVGVLAWMYRHLQTAPDQQQLSSKDWAHHRIEQARAH >KQK87140 pep chromosome:Setaria_italica_v2.0:IX:5745206:5748020:1 gene:SETIT_035554mg transcript:KQK87140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAAAVAAGMATGPGSRVTRFAKSTAASVTPVRPGKTHALSPLDNAMERHTVHVVLYCRAAPGVDREQLKESLSEVLSLYPAMTGRLTRGGEGGEGAAAAEAEPAPRGWVVKCNDAGVRMVDARAAATLDEWLATATGDEEMDLLYYEPMGAEPFIWSPFYIQLTEFADKSFALGLSCTHIHNDPTAAALFFQAWAAAHRRTTSTYPPFLHAPALEVSPASPPPPPPLLAEKSSAASPASADAAAMSSATFHFPAPAVRALLASLESGTTPFAALAALFWLRIAGAAGGERELTLALDFRKRMYAPLPWGYYGSVVHFTRARADLAAGLPAVAAALDRHVSGVPEDELWHAVEWLQARQQQEGGGAGGPFQMYGPELTCVALDHVPMYGAEFQAGAPPARVSCRVGGAAGEGLVVVLPAAEGGEARDVVVTLPAEATARVCRDGEVLRHGGKVVFGAKAGKEA >KQK86170 pep chromosome:Setaria_italica_v2.0:IX:594723:596928:-1 gene:SETIT_035208mg transcript:KQK86170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQWKLQLPAIFFTFLLPLLALALATISFFSTKGDRLHLPPGPLLRLPVLGNLHQIMGALPHRSLCELARRHGPVMQLRLGTVPTLVVSSAEAAREVLKTHDAACCTRPDTPGARRLSYGYKDVAFTPYSDCWREMRKLIVVELLNARRVQATWPAREAEVDKLIGRLTSGGRRPVYLEDHIFTLMDGIVGTVALGSIYGSEQFAHKKHFHDLFDEAMAVKSSFSAEDYFPNVLGRLVDHLTGLVSRREKVFWELDTFFDKIIDTHLQPSRPTPDNGPGFIDILIGLTKEHEGTFSWFTRDHIKGMLSDTFIGGVDTNSVTVVWAMAELIRNPEVLKKAQDEIRGAVGNKERVEPDDLSKLKYLKMVVKETLRLHPVAPLLAPRETMRHIKICGYDVPARTRIFVNVWAIGRDPAIWSNPKEFDPDRFEGDGVDFNGAHFELLPFGAGRRMCPGVAMGVAIVEFTLANLLHCFEWELPDGMTVEDVSMEEAGGLTVNKKVPSCLCRPGTSGRDHES >KQK89368 pep chromosome:Setaria_italica_v2.0:IX:25607739:25608375:1 gene:SETIT_040805mg transcript:KQK89368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLTSISRPDQEKQTSCTDEQRPALLATCWASLGKCLKSCSGLI >KQK90861 pep chromosome:Setaria_italica_v2.0:IX:46248125:46251829:-1 gene:SETIT_035065mg transcript:KQK90861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKEKAVNVSGKPRHSNDVNRPNDKKGAGGGAGGGARSAATVRRLQMYKTRPKRDRSGKVLRNEFQSKELPNTRIEPDRRWFGNTRVVGQPQLALFREELQNHLSDNFSVILKERKLPLSLLQDHQKQARAHLLDVEPFEHAFGPKGKRKRPKLTALDYESLLKKADDSQDAFEQKYASSKLPREEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENCKHKHLVFLLNKCDLIPAWATKGWLRTLSKNYPTLAFHASINKSFGKGSLLSVLRQFARLKNDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADAAEHIGEVLRRVKKEHLQRAYKIQDWADDNDFLVQLCRTTGKLLKGGEPDLTTVAKMVLHDWQRGKIPFFVPPPQQSDDGASEGAEPVEKTEEDGVSSDRTAAAMKAIAGIISSQQNMNVPCHKEHDGDNEDSESEDNEDSEVPEQSE >KQK90860 pep chromosome:Setaria_italica_v2.0:IX:46247821:46251940:-1 gene:SETIT_035065mg transcript:KQK90860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKEKAVNVSGKPRHSNDVNRPNDKKGAGGGAGGGARSAATVRRLQMYKTRPKRDRSGKVLRNEFQSKELPNTRIEPDRRWFGNTRVVGQPQLALFREELQNHLSDNFSVILKERKLPLSLLQDHQKQARAHLLDVEPFEHAFGPKGKRKRPKLTALDYESLLKKADDSQDAFEQKYASSKLPREEEEDGLRDLVRHTMFEKGQSKRIWGELYKVIDSSDVVVQVLDARDPMGTRCYHLEKHLKENCKHKHLVFLLNKCDLIPAWATKGWLRTLSKNYPTLAFHASINKSFGKGSLLSVLRQFARLKNDKQAISVGFVGYPNVGKSSVINTLRSKSVCKVAPIPGETKVWQYITLTKKIFLIDCPGVVYQNNDSETDIVLKGVVRVTNLADAAEHIGEVLRRVKKEHLQRAYKIQDCCAGLLENFSRVGSLT >KQK88191 pep chromosome:Setaria_italica_v2.0:IX:12252083:12259122:1 gene:SETIT_034346mg transcript:KQK88191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPRLSRLLALVSDSADISAACAPTHDTIIIHSRCQPTALKPYHHLRLRIPTASHLFSSSNRSAASPRNPRVRAGDMADGAGSASGLEEKLAGLSTGGGGGDKQNPPPAGEGGEEPQLSKNAKKREEKRKKLEEERRLKEEEKKNKAAAAAAACGTTQRASAADDDDMDPTQYYENRLKTLDSLKTAGVNPYPHKFPVGISVAEYIEKYKSLSDGEKLDVTECLAGRIMNKRTSSSKLFFYDLYGGGMKVQVMADARTSELDEAEFTKYHSGVKRGDIVGICGYPGKSKRGELSVFPQKFVVLSPCLHMMPRQKGEGSAAPASWTPGMGRNIENYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDDREFLEVETPMMNMIAGGAAARPFVTHHNELNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSGMVKELTGGYKIKYHANGVTNPPIEIDFTPPFRRIDMIKDLEAMANLDIPKDLSSDEANRYLIEACAKYDVKCPPPQTTSRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPGYEASRVVVHSRNPQKVVLCAVKFCRMLGATDRRIYESLKARREFIHLFASACEWFSIFIILINDTIVFFPGCQFVSQKL >KQK88192 pep chromosome:Setaria_italica_v2.0:IX:12252083:12259158:1 gene:SETIT_034346mg transcript:KQK88192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPRLSRLLALVSDSADISAACAPTHDTIIIHSRCQPTALKPYHHLRLRIPTASHLFSSSNRSAASPRNPRVRAGDMADGAGSASGLEEKLAGLSTGGGGGDKQNPPPAGEGGEEPQLSKNAKKREEKRKKLEEERRLKEEEKKNKAAAAAAACGTTQRASAADDDDMDPTQYYENRLKTLDSLKTAGVNPYPHKFPVGISVAEYIEKYKSLSDGEKLDVTECLAGRIMNKRTSSSKLFFYDLYGGGMKVQVMADARTSELDEAEFTKYHSGVKRGDIVGICGYPGKSKRGELSVFPQKFVVLSPCLHMMPRQKGEGSAAPASWTPGMGRNIENYVLRDQETRYRQRYLDLMVNHEVRHIFKTRSKVVSFIRKFLDDREFLEVETPMMNMIAGGAAARPFVTHHNELNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTETMLSGMVKELTGGYKIKYHANGVTNPPIEIDFTPPFRRIDMIKDLEAMANLDIPKDLSSDEANRYLIEACAKYDVKCPPPQTTSRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSRPGLTERFELFVNKHEVCNAYTELNDPVVQRQRFEEQLKDRQSGDDEAMALDETFCTALEYGLPPTGGWGLGIDRLTMLLTDSQNIKEVLLFPGYEASRVVVHSRNPQKVKFCRMLGATDRRIYESLKARREFIHLFASACEWFSIFIILINDTIVFFPGCQFVSQKL >KQK91413 pep chromosome:Setaria_italica_v2.0:IX:49665610:49668585:-1 gene:SETIT_034215mg transcript:KQK91413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLDMILGAVVVLSALLAAARGAFVPADSYFVLCGTAASATVGGRTFVGDATLPAKVLSAPQSAAANASSGSAANASSGEAALYHYARVFPAPSTYTFAIKRPGRHFVRLHFFPFRYQSGDLAADAKFTVSVQGVALIDRSYTPANGRATVREFSVNVAGGTLAIAFTPTGKVAFVNAIEVLSHPDDLFAGPAQTVSPLGQYTGLSTQALETIHRINMGSPKITPSNDTLWRTWLPDDTSLLNPSLAVHKDVSPKNLQRMAGLASPEAAPDMVYATATELNKKLMDSTISAQFNVTWRFQATPGWAYLLRLHFCDIVSKAANQFAFNVYVGGWSVLSNYEIANKDTFGALAVPLYKDFVLSDTDATGKITVSIGPSTEGNMDPDGLLNGLEILRMVGDTGSGGDPSRSRSKKIIAGIVAGSAVAGVTVVMAVALVVLRVRRRKKPEKKPSSTWAAFSASALGSGSRSRSFGKSNSGGARNNTVTLGQSAAGAGYRFPFAALQEATSGFDEGMVIGVGGFGKVYKGTLRDETRVAVKRGNRRSQQGLNEFRTEIELLSRLRHRHLVSLIGYCDERGEMILVYEYMARGTLRSHLYDSELPPLSWKQRLEASIGAARGLHYLHTGSNKAIIHRDVKSANILLDDSFMAKVADFGLSKTGPELDKTHVSTAVKGSFGYLDPEYFRRQMLTEKSDVYSFGVVLLEVLCARPVIDPTLPPETVNLAEWATKRLKNGELDSIVDQRIAGTIRPESLKKFADTAEKCLAEYGVERPAMGDVLWCLEYALQLQEASPDSSSTLKLPEASPGSSGTDNTQLVPGVASKYQRNQSTASDGTAATMSANLGDLDGMSMRRVFSKMIKSEEGR >KQK88932 pep chromosome:Setaria_italica_v2.0:IX:18744295:18744802:1 gene:SETIT_040317mg transcript:KQK88932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPMPTLWRSGFLPASACLFVQRLPAMPQCHPDS >KQK91197 pep chromosome:Setaria_italica_v2.0:IX:48413854:48416270:-1 gene:SETIT_036126mg transcript:KQK91197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDKTDAELSLDQLKILTSKESKTENTQYYLQTWLEKKQVKIPNFPHPYPQLASLYKEMIRYQRKDGVQLTANLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRCSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVARAEAAVEEVVKRGVAHPDKIAVGGHSYGAFMTANLLAHASHLFCCGIARSGAYNWTLNSIWFSGTEKWTLWEATSTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQENILMLLLFNTLFILLYWLINEYRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQNYCINSASKADSDSAADSENETLSASGGSAAREDLSPEGSSYLPRSLLW >KQK92038 pep chromosome:Setaria_italica_v2.0:IX:53348407:53351500:-1 gene:SETIT_034575mg transcript:KQK92038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNTRSKQSSNGKGKAKAMAKIVEEEEEEAEDFFSSSDCGCFLCAIKQPDARLRRASLADFFRELPYCDDDGSNGQSCAAAVGAVWRAAMAAPDDPELPSLGAIRCMSLLLARALADAAWCRRGGNVYVPYYAAHVIGSYTIRSSAHAELAVAAGAVRPLTALLGGAMTWVEQRAAARALGHLASYDATFPAVARQAVEAVPLAVRAASTCIGDVHASFVKLAPSRRPKYHRDLMACGLAGGGADAEDRKAEEWASQLQCWSLYFLSCLASRDLSSHAMICQDAVFLRELSRMWGGLANGDSPAGVGLLRLLCRSPVGRAAIAACRDALSSLCDLARSSDDWQYMAVDCLLLLLDDRDTWNAVADATAPCLVDLVDLRHLGPRRRLGDAIATALLLDDSREVGMEAKKAIARLREVKVDRKETEEAMPRDELLKREILAKEKKRQGNDSFLQGDVDKAIDLYTEALELCPLSRRRERLVLHSNLAQCRLARRDADAAVSDATRALALARPANAHARSLWRRAQAYDMKGMARESLLDCLAFAGAWLDRRRKHTRRAARGANPKLPYCVARMISKQMSVTGLFAGVAMSGNKVGGDDYMPRCSENDDGDDEDDGDDRRDDDDESEEEFAAGNAAGVKICTSGRELPIVMGDAWRRLARMT >KQK88732 pep chromosome:Setaria_italica_v2.0:IX:16658111:16658915:1 gene:SETIT_038234mg transcript:KQK88732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCACVFSRQFWLQLLRHFRLPDLAPQSISAGFFEWWQHPGAWTLWKMRNDIVFNGASPRLDRTLLLAQDEADHWMMAGANGLSGLVAARPGG >KQK92602 pep chromosome:Setaria_italica_v2.0:IX:56298410:56300914:1 gene:SETIT_037517mg transcript:KQK92602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPGGRNGLRDDDDDIAEHAEAFGGVSDDEEVPPHLRALANAAQTGDVAALLAALDNHDGSIDVPVEDGDTLLHLACLYGHLPCVQLLLERGASLECKDEEGAIPLHDACAGGFTEMVQYILNFAANKDGCVVRMLNTVDSEGDTPLHHAARGEHLDVVKLLLEAGASPKKENTYGQTPADMADQDTEVRGLLTAKQIEASTHMSDD >KQK92769 pep chromosome:Setaria_italica_v2.0:IX:57166965:57174311:1 gene:SETIT_034117mg transcript:KQK92769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSKSFVKKTKQGRIQKVVREHYLRDDIYCGFVPCSACDAAAERKLVADAAAILVVDTNVVLHQIDLLENPAIEDVVLLSVVLDEVKNKNLAVFNRIKALCTNKARRFYVFANEQHRDTYVKDMVGESSNDRNDRAIRVAAHWYQSHLGESVKVLLITNDRDNKRKAIEEGLNAETVESYVRSLAQPGLLDLVVVPTSGDVTMEDVEDHRPSKRKVIYSEHKPMSEITSGLRCGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIRGRSNMNRAFDGDIVAVELLPQDQWHESKSFIADDDEEEEEEEDVHLVPNSADDAPRNASSTQSSVGSSAPSVSSRPVGRVVGIIKRNWNSYCGSLQPMPMPAGSGGVAHALFVSKDRRIPKIRIQTRQLENLVNKRIVVAVDSWGVLSRYPSGHYVRTIGDIGDKETETEVVLIENDINTRPFSTQVLACLPPLPWTLSQEDLANPNRQDLRHVRVFSVDPPGCRDIDDALHCTLLPNGNFEVGVHIADVTNFVHPGTPLDEEAAQRGTSVYLVGQRIDMLPKPLTEDVCSLRADVERLAFSVIWEMTPDADIISTRYTKSVIKSCAAMSYVEAQARMDDSRLVDPLTVDLRNLNSLAKIMRQRRCDRGALTLASAEVKFEIDSETHDPLDIGIYQIREANQMIEEFMLAANISVAEKILKHFPLCSLLRRHPSPTKEMLEPLLRTASSIGLNLDVSSSKALAESLDNAKSNDPYFNKLIRILATRCMTQAVYFCSGDLTFSEYYHYGLAASLYTHFTSPIRRYADVVVHRLLAAALEIAKLPPIFQDGPQLTGIADNLNYRHRNAQMASRASVELHTLIYFRTRPTDTEARIVKVKANGFIVFVPKFGIEGPIHLTPKGDKGGDWVVDEVHQRVTKPGTNISYAVLQTVRIHMEVVEPQPHRPKLQLTLI >KQK90892 pep chromosome:Setaria_italica_v2.0:IX:46415173:46422393:1 gene:SETIT_034196mg transcript:KQK90892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGGSGVGGGGDTEMGGWTGLLHSSTKLLEQAAPTPHFPPLQRNLDQLEALSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATSVEEYLQQLHEVAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNISTSGLARPALMPPSTSSPHASSGLPSAGVMPIPNKTIIENKSSVYAGVVRDLNEARGRSLPFNPATAFRAAYESLSVDAVGTKSVTMQKMWHLIQALVGEGSTHRNVSRKMSLVIGARHHLEWGHEKYILETINSHPALAALGGSVGNLQKIRAFMRVRLRDQGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAHNFAPLLADWISTNGAVSQDTALAASEECDKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALREHNNASSSSVLNEGLVPYTLDDLQSYLNKFEPSYYTKSGKDPLIYPYVLFLSIQLLPAILYLSKEIGEDGYHVDAVHISIALADHGVLPDGVGSGQKIGVMDACAEAASIIRQYGSIYLRSGNIDLALEYYAQAAAAMGGGEVSWIGQGNADQQRQRSLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHRCQEAGLYDKAVEIHKRVGDFAMALQIINKCLSDAVCAMARNMLDGESRATALIHSGNEIMETARYSSETSVQDKDLISEQQTVLRQLEAILHIYRLARAGQTVDALRETIRLPFLHLDPQSPNVTVDIFRNLSPHVQACIPDLLKVALNCIDNVRDTDGTLRAVKSKIANLVANNMSRNWPQDLYQKVAQCI >KQK90891 pep chromosome:Setaria_italica_v2.0:IX:46415102:46422744:1 gene:SETIT_034196mg transcript:KQK90891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGGSGVGGGGDTEMGGWTGLLHSSTKLLEQAAPTPHFPPLQRNLDQLEALSTKLKAKTIRAEAPSQSLSATRLLAREGINAEQLARDLKSFELKTTFEDVFPSEATSVEEYLQQLHEVAIVSSIQEAQKDNLRSFNNYMMQVLEDDWQKEKRDFLQSLSRLSTLPKRNTNISTSGLARPALMPPSTSSPHASSGLPSAGVMPIPNKTIIENKSSVYAGVVRDLNEARGRSLPFNPATAFRAAYESLSVDAVGTKSVTMQKMWHLIQALVGEGSTHRNVSRKMSLVIGARHHLEWGHEKYILETINSHPALAALGGSVGNLQKIRAFMRVRLRDQGVLDFDATDLRRQPPVDTTWQQIYFCLRTGYYDEARQVAQSSRAAHNFAPLLADWISTNGAVSQDTALAASEECDKMLRMGDRPGRPGYDRKKLLLYAIICGCRRQIDRLLKDLPTLFNTIEDFLWFKLSALREHNNASSSSVLNEGLVPYTLDDLQSYLNKFEPSYYTKSGKDPLIYPYVLFLSIQLLPAILYLSKEIGEDGYHVDAVHISIALADHGVLPDGVGSGQKIGVMDACAEAASIIRQYGSIYLRSGNIDLALEYYAQAAAAMGGGEVSWIGQGNADQQRQRSLMLKQLLTEILLRDGGIQLLLGPSGMGEEGELKKYMMDWRSRQQFLLEAAHRCQEAGLYDKAVEIHKRVGDFAMALQIINKCLSDAVCAMARNMLDGESRATALIHSGNEIMETARYSSETSVQDKDLISEQQTVLRQLEAILHIYRLARAGQTVDALRETIRLPFLHLDPQSPNVTVDIFRNLSPHVQACIPDLLKVALNCIDNVRDTDGTLRAVKSKIANLVANNMSRNWPQDLYQKVAQCI >KQK88903 pep chromosome:Setaria_italica_v2.0:IX:18363446:18363838:1 gene:SETIT_039310mg transcript:KQK88903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGNKDAGGGGTKYRGVRKRPWGKFAAEIRDPERGGARVWLGTFDTAEEAARAYDRAAFAQRGATAVLNFPGEIAGESRGGGSSSSSAAAAGSSRGGRARGNGEKIELEYLDDKILDDLLDDGKYGRK >KQK87635 pep chromosome:Setaria_italica_v2.0:IX:8331282:8331551:-1 gene:SETIT_038603mg transcript:KQK87635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLAVLVCVALLSAAMANGIRTAGTVVGPGAPSPAVTTTASTAAEVVPTPPVATAAATVSADQQQAPLDDPYKDSRRKVPNGPDPIHN >KQK91951 pep chromosome:Setaria_italica_v2.0:IX:52894975:52897794:1 gene:SETIT_037696mg transcript:KQK91951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTLERYRSSNYGSQEIKTPLDGEINYQDYLKLKTRVEFLQTTQRNILGEDLGPLSMKELEQLENQIEISLKHIRTRKNQMLLDQLFDLKSKEQELQDLNKDLRKKCQLQETNAESVLHVSWEEGGHSGTSGNAIEPYQGFLQHPDNDPSLQIGYHQQAYMDQLNNEDMGDPNEHGGSGWI >KQK91950 pep chromosome:Setaria_italica_v2.0:IX:52894975:52897794:1 gene:SETIT_037696mg transcript:KQK91950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKTLERYRSSNYGSQEIKTPLDGEINYQDYLKLKTRVEFLQTTQRNILGEDLGPLSMKELEQLENQIEISLKHIRTRKNQMLLDQLFDLKSKEQELQDLNKDLRKKLQETNAESVLHVSWEEGGHSGTSGNAIEPYQGFLQHPDNDPSLQIGYHQQAYMDQLNNEDMGDPNEHGGSGWI >KQK91623 pep chromosome:Setaria_italica_v2.0:IX:50891271:50891854:-1 gene:SETIT_040670mg transcript:KQK91623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAPTRAEVLSLFRSLLRTAKQFSDYNIREYTRRRAADAFRDNRALADPPAAAAAFAEGKKQLEVARRQAVVYSLYAPKAKSVMELKVQ >KQK86072 pep chromosome:Setaria_italica_v2.0:IX:176754:178196:1 gene:SETIT_035536mg transcript:KQK86072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPDDDAAGGSSSRLRLRVVDTTLVTPAGPALPPLSLPLTFFDVKWLHLPPVERVFFYRLSSPEAANVDTILAAFKDSLSQALRVFYPLAGHIVLPVASRHELVYRPGDAVPFTTAEYDLDMDDLVSYDDPVSVRRLAPLVPQLPKGRAVLAVQATLLLRRRSLALGVTVHHSACDGASSTHFLHTWAAAARAGAPPPPPPVIVDRIPDSRGLYDIYLKSLPPIISDDAFEFVSKPPSSFEDRLLATFTLPRSLQESIKGVVASEAARRGITPPRCSSMLATYAFIWSCYCRSRSGSGSKTTSYFLFSVDHRARLMKSAVPATYFGNCLCPAIAAAPEEEVAAAGIAGLLAACAAVAAALEEEVREGAQDTWHACVDRVKQAVASGSLLSVAGSPRFRLYDVDFGLGRPAKVEMVSAAKSGAMPIAEARVGGGVEVGVSLPAADGAMERFQKSFADGIACLSLCD >KQK86560 pep chromosome:Setaria_italica_v2.0:IX:2677863:2680908:-1 gene:SETIT_036766mg transcript:KQK86560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRCAAAAAAVAVALVAAVAGSAAAAASPGAGQGAAGTCARRDGPPFLDAVGSRCPFVRIEPSPPLEVSGEAVDTELNLRRRGASYSILFYAAWCPFSSKFRPIFEALSTMYPQIHHFAVEESSATPRYGVRGFPAVLLVNETTMVRYRGSKDLSSLVNFYKETTGLDPIAHLDVVQQESTGSLRSIMPWDRSLREMAKHEPFLLLAALFIIMKVVSYFIPVVLSHLRAFLVVRVRNLNLGIRRGSNQLLDRALNVLDVRRLWSKLRLSNKATDLRKGASNARAWASSFTSVSLGEPSSSRQA >KQK86561 pep chromosome:Setaria_italica_v2.0:IX:2678506:2680813:-1 gene:SETIT_036766mg transcript:KQK86561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRCAAAAAAVAVALVAAVAGSAAAAASPGAGQGAAGTCARRDGPPFLDAVGSRCPFVRIEPSPPLEVSGEAVDTELNLRRRGASYSILFYAAWCPFSSKFRPIFEALSTMYPQIHHFAVEESSATPSLFSRYGVRGFPAVLLVNETTMVRYRGSKDLSSLVNFYKETTGLDPIAHLDVVQQESTGSLRSIMPWDRSLREMAKHEPFLLLAALFIIMKVVSYFIPVVLSHLRAFLVVRVRNLNLGIRRGSNQLLDRALNVLDVRRLWSKLRLSNKATDLRKGASNARAWASSFTSVSLGEPSSSRQA >KQK89216 pep chromosome:Setaria_italica_v2.0:IX:22103412:22105160:-1 gene:SETIT_036314mg transcript:KQK89216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSASSVGSTGGPPQPSSRSFITAAAATGKHQLKIDSYSLTTAVPRGAHIKSSNFQAAGFSWYINYFPNGRGRLLSRARGHVSLQLVLDGAAPAGAVKAQFTLSLLNQSGQPAEDTVRKSPVHKLAGGWWFYRFIKKEDLERSHRGLLRDDSFTLQCEVVVLDRFRAVKTAPFVEVPPPDLHRHLGDLLLTGEASDVTLQAGGVRFNAHRCVLAARSPVFKAELLGSMKEGTTNCAIHIEDMEPRVFKAVLHFVYTDTVPEVDKKEEAAMSQHLLEAADRFNLQRLRLLCEDKLCGCIDTSSVVTTLVLAEQHSCQGLKEKCFEFLKSTGLNAVMTTDGFNHLVSSCPTVLEELMSKLSLQ >KQK91423 pep chromosome:Setaria_italica_v2.0:IX:49722834:49728889:-1 gene:SETIT_034052mg transcript:KQK91423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIARGRSRRRESDGSSERNAARSTVTSPDHHGVVPSRKQATCPGILSDSMFAAVNRQSKSRKGSAVPMKMLIDEEFSNDVNARHISPGAVGRLMGLDSLPSSGTHNQHIYTRSHAPKTSPVGFHDRDGLHESIPHRRSADDIIDVFEVMEATKTKMHRSPRSKNGNTSSRSDKTDSADIDFIRQKFIDAKRLSTDESLHMSEEFNETLDALVSNRDVLLEFLQKFDPVVRRDLHKRDSPSSTANCITILKPSRRNQSTATESNFSEQKEVKHSLRKPYPNVSYQSLKEESGSLRQKLSRSGHHENAGNQGCPTRIVVLKPSLEKPHDVEGALPLHHEISHSDYRKHKEYQDVGRWSPYTEDYMCQVPLGDSETLGRMGKGSREIAREITKQMRAARGGSRKHVVNSETITFASDERSQFLSSVSKHKTPEAIHRSSEICDVWPSSSFNSSPTYSAETSVSKEAKRHLSNRWKKTHQCQDQVTDSDGFSTLGDVLALSDQEASKVATHKMACRKCPKGEVQSDRMQGSCIYPLGISSNDGWRDTAASKLTRSKSLPPSFIRGVQKSNNRKRAGSVRYNEFSMLKDVLKVGPHYSEYACRGRQRQSLGRDSTIHGDESDLMSPDNEETMVVEREIHVNYEEPVNITAVPDTSEQSLHPANLDHELDAVGVLATSSAIPGSNRTPLSSTAQNQQMLKQTAAAALDECLLDPNLDEIKFSIQDEPIEYHQADDYPTIYDPMIESDSLVGIDHRQGDGNQTLCIPPNGSESPTSSNKDDQQSPVSVLESSMDAEDVYSGDFEKISADLQELRMQLRLLKRETTDGGDDTELFILSDNETACQPLPEMEESHAFRDEEEGDFSYVLDMLTGLGIHAANQDELLENCYLLECPAGADLYDELENKYSSLILWPPAERKLLFDITSAVLRDIITSLMQSCSKGLLRMCLPGWDHEEFAEMVWQRVVQLRQEMVFNQESLLLSVEWASSEDGAYLVGSDIGCILQEDLLEEIIADFLGVAKSTKLCG >KQK92916 pep chromosome:Setaria_italica_v2.0:IX:57852558:57854710:-1 gene:SETIT_038995mg transcript:KQK92916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGHMSTAALLILATVLGSFCINATASREAQRYNFRFVRHARDAPLVSYYNYIIVGGGTAGCPLAATLSEHSRVLLLERGGLPYGNRNVSSEYHFADALADTSPLSPAQRFVSEDGVVNARARVLGGGSCLNAGFYTRASSGYVGAAGWDHRLVNASYRWVERALVFRPGVPRWQCALREGLIEAGITPDNGYTLEHVPGTKIGGTIFDRRGRRHTAADFLRKAHPRRLTVFLHATGVANPVAYGVVFTDPVGVQHHVYLRRGGAKNEVILAAGTLGSPQLLMLSGVGPRLHLEKHGIRTVHDQPGVGQGVADNPMNSVFVPSPIPVAHSLVQVVGVTRFGSFIEGVSGSQFGIPLHGRGAARRAARNFGMFSPMTGQLGTVPPRERTPEAMRRAAEVMRRLDRRAFRGGFILEKILGPLSTGHIELRSTDAHANPAVTFNYFRDPRDVERCVRGIEAIQRVVRSRAFSRFTYANHTAMEAVFRRAAGTAYFPVNLLPRHPRDTRPLHQYCRETVMTIWHYHGGCHVGGVVDRDYRVIGVRGLRVIDSSTFKYSPGTNPQATVMMLGRYMGLRILKERWIRKGAEDKH >KQK92649 pep chromosome:Setaria_italica_v2.0:IX:56617181:56617600:1 gene:SETIT_040440mg transcript:KQK92649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVSPTAIPANLALQISRFPGLRSCGSVTPLADDALCFPLACHNSLLPFATSH >KQK88328 pep chromosome:Setaria_italica_v2.0:IX:13204427:13205295:1 gene:SETIT_038198mg transcript:KQK88328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQLLALRTRHIPVLCDFSGVLYPTPKVGSDLATDSATDDASSKSCAAALLFLRLNSIICAGSKHSDTCLSCTRLVTMKNKRYDTISSNACVLEKINI >KQK91494 pep chromosome:Setaria_italica_v2.0:IX:50222324:50225811:1 gene:SETIT_035091mg transcript:KQK91494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRASAASLQGDCCIHGPGPGPGPGPGWRVGGGELHGEASCSYLPLRKRLSVDGKCPAPRICIWECDGEAGDITCDIVAAPLRRSCSARAMPPPPPPLLFRRMMTPPPSRPRPPPQREAEEAARRPGETISKGHRSYGLMLNLQLGISYSVGKSSALPFRKLSPSDFDPREKVWTRFPPEGSKLTPPHHSVDFRWKDYCPAVFRHLRKLFGVDPADYMLAICGGDTLRELASPGKSGSCFFVTQDDRFMIKTVKKAEVKVLIRMLRSYYEHVCRYKSTLLTRFYGTHCIKQAGCPKVRFIIMGNFCCSEYKIHRRFDLKGSSHGRTIDKAEQKIDETTTLKDLDLDYAFHLQRFWYEELMKQIQMDCTFLETQGIMDYSLLLGVHFRNVGVSQFTSFPKSTGKRKSFEGGGDVCELCFMESGCKDRDFIVDSRKPLVQLGKNMPAQAERRSRRVLDKFLLNERHLFITTPSGGSWDVYLFFGIIDILQDYDITKKLEHAYKSFQVNPGCISAVDPKLYSRRFQDFIRRVFIREQ >KQK90794 pep chromosome:Setaria_italica_v2.0:IX:45804974:45806229:1 gene:SETIT_037579mg transcript:KQK90794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDQPRRCARTPSRGFASTTSTSPACPCSTPTSRPTAAGASRPPSRRSAPASATPTASSSPRPSPLKNALDWASRGVNCWADKPAAIVCAGGNFGGGRSSYQLRQVGVFLDIHFINKPELFVFAFSEPDKFFDGDGNLIHAETRERLKQVLLSLQAFTLRLQRKD >KQK90795 pep chromosome:Setaria_italica_v2.0:IX:45804974:45806229:1 gene:SETIT_037579mg transcript:KQK90795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAAKPVVLRVAAISGSIRKASWHRGLIRAAAEVCADSIPGLRVDDVDVAGLPMLNTDLETDGGRGFPPAVEAFRASVRDADCFLFASPEYNYSITSPLKNALDWASRGVNCWADKPAAIVCAGGNFGGGRSSYQLRQVGVFLDIHFINKPELFVFAFSEPDKFFDGDGNLIHAETRERLKQVLLSLQAFTLRLQRKD >KQK89547 pep chromosome:Setaria_italica_v2.0:IX:32781807:32790562:-1 gene:SETIT_034352mg transcript:KQK89547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYYRRALMLQSYLEKRYLGGIEDGNSAAQYIDTQGYELSPDARAQADIKFTYVVSCQIYGQQKQMKKQEAADIALLLQRNEALRVAFIHEEDSVSNDGHATKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRNAHGNHGIRDPTILGVREHVFTGSVSSLASFMSKQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDYSISRQARFLGNTALDAALNAQFLVQIGVFTAVPMIMGFILELGLMKAVFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFAENYRLYSRSHFVKAHSYCRLEVALLLIIYIAYGYTKGGSSSFILITISSWFLVMSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGDNSWESWWEEEQAHIRTFRGRFLETILTLRFLMFQYGIVYKLKITAHNTSLAVYGFSWIVLLVMVLLFKLFTATPKKSTALPTFVRFLQGLLALGIVAGIALLIVFTRFTIADLFASALAFIATGWCVLCLAITWKRVVKTLGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRILFNQAFSRGLEISLILAGNKANQ >KQK89546 pep chromosome:Setaria_italica_v2.0:IX:32781405:32790880:-1 gene:SETIT_034352mg transcript:KQK89546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYYRRALMLQSYLEKRYLGGIEDGNSAAQYIDTQGYELSPDARAQADIKFTYVVSCQIYGQQKQMKKQEAADIALLLQRNEALRVAFIHEEDSVSNDGHATKEYYSKLVKADVHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGDAIQTIDMNQDNYLEEAMKMRNLLEEFRNAHGNHGIRDPTILGVREHVFTGSVSSLASFMSKQETSFVTLGQRVLAYLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLTFFFTTVGYYVCTMMTVLTVYIFLYGRVYLALSGLDYSISRQARFLGNTALDAALNAQFLVQIGVFTAVPMIMGFILELGLMKAVFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIIYIAYGYTKGGSSSFILITISSWFLVMSWLFAPYIFNPSGFEWQKTVEDFDDWTNWLLYKGGVGVKGDNSWESWWEEEQAHIRTFRGRFLETILTLRFLMFQYGIVYKLKITAHNTSLAVYGFSWIVLLVMVLLFKLFTATPKKSTALPTFVRFLQGLLALGIVAGIALLIVFTRFTIADLFASALAFIATGWCVLCLAITWKRVVKTLGLWDSVREIARMYDAGMGALIFVPIVFFSWFPFVSTFQSRILFNQAFSRGLEISLILAGNKANQ >KQK89595 pep chromosome:Setaria_italica_v2.0:IX:33616452:33617496:-1 gene:SETIT_037219mg transcript:KQK89595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPTTPSFLRPPPLPHRPHLRLLCRPPSASFRVSEILGGRGLCNGEVGVRKELASTPPPSTTVSSPESSTGSTPTEAAPPAVDLDAFEKELLGLTGGFPGGEVGLKDFVAKNPPPPPPSKKSGSQTQAGISAPPRPPELPLFLPGMVVLVKNPNNAYHMYCGIVQRVTDGKVAVLFEGGNWDRLITFNLDELEGREKGPPMVNPKSVVLEDLVAELVDDDDKKEDQAAKKEKEPEGTVAAA >KQK88194 pep chromosome:Setaria_italica_v2.0:IX:12274778:12278618:1 gene:SETIT_037339mg transcript:KQK88194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSASTRKSKLVGASKKSKVASTAATVTAQRTHPRRIPTSLLFALPAFLGLPSAVLQFWLLPHHPQPHGENPSELTTGAAGVWAAGAMADDDYNEMDMGYEDEPPEPEIEEGAEEELENNNEDTPDDVVGAEGEEKEQEKAKSERKTSKYMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRARKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >KQK90702 pep chromosome:Setaria_italica_v2.0:IX:45181019:45181444:1 gene:SETIT_039631mg transcript:KQK90702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLEQELDLELSLLHRSPSPEPEEPAAGFFVCTYCDRKFYSSQALGGHQNGHKYERTLAKRRREIAAAMRANKATAGEVNAESRPGGAAGVVGVVGAGPEAAARARPLIAPQSAAPVPWQPGDLQINVERNDELDLTLRL >KQK90247 pep chromosome:Setaria_italica_v2.0:IX:40979349:40984665:1 gene:SETIT_034630mg transcript:KQK90247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPKFFVFRPVPSCSSAAGPHFRRTTDPPSISKTCDLGKCKHATQTHLAYLSLRNFVTPARRRGDHPPHPTSPRNCRRLQERPSPAEAAPASGHGRARLPGGFRPPAGASSGCGGRASPAPAMGNSLGCSASGERLVSAARDGDAIEARMLLELSPALARYSTFGGLNTPLHFAAAKGHLDIVTMLLEKGADVNARNYCGQTALMHACRHGHWEVVQMLLLFRCNVTRADYLSGRTALHFAAHDGFVRCVRLLLADFVPSVALEDIASSVVDGGDCQTNSGSSPNSSLGQKFNEPARVRYINKPADGGVTALHMAALNGQLDCMHLLIDLGANVSAVTFPYGTTTNLIGAGSTPLHYAAGGGKQECCELLISKGASRLTLNCNGIFGRRSLEPLLSPNSHSSVPVFQPSSYLALPLMSILHIAREFGLQYTLPSVDDSDLCSVCLERSCSVAAEGCSHEFCIKCALYLCSTSNIRVEFTGPPGSIPCPLCRNGITSFNKLPSTPMEGLKSSSALTFCNPCILNTRSMNSPASVSKAEIRRNRVAAVSSELVCPITCSPFPSSALPTCRCSDDDPCGATEAQDGSEVQSPRPSHSASMELDKRGEDLDRTSCSGMFWSRRSCHREQQCDAEINA >KQK90248 pep chromosome:Setaria_italica_v2.0:IX:40979349:40984665:1 gene:SETIT_034630mg transcript:KQK90248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPKFFVFRPVPSCSSAAGPHFRRTTDPPSISKTCDLGKCKHATQTHLAYLSLRNFVTPARRRGDHPPHPTSPRNCRRLQERPSPAEAAPASGHGRARLPGGFRPPAGASSGCGGRASPAPAMGNSLGCSASGERLVSAARDGDAIEARMLLELSPALARYSTFGGLNTPLHFAAAKGHLDIVTMLLEKGADVNARNYCGQTALMHACRHGHWEVVQMLLLFRCNVTRADYLSGRTALHFAAHDGFVRCVRLLLADFVPSVALEDIASSVVDGGDCQTNSGSSPNSSLGQKFNEPARVRYINKPADGGVTALHMAALNGQLDCMHLLIDLGANVSAVTFPYGTTTNLIGAGSTPLHYAAGGGKQECCELLISKGASRLTLNCNGWLPIDVARIFGRRSLEPLLSPNSHSSVPVFQPSSYLALPLMSILHIAREFGLQYTLPSVDDSDLCSVCLERSCSVAAEGCSHEFCIKCALYLCSTSNIRVEFTGPPGSIPCPLCRNGITSFNKLPSTPMEGLKSSSALTFCNPCILNTRSMNSPASVSKAEIRRNRVAAVSSELVCPITCSPFPSSALPTCRCSDDDPCGATEAQDGSEVQSPRPSHSASMELDKRGEDLDRTSCSGMFWSRRSCHREQQCDAEINA >KQK86946 pep chromosome:Setaria_italica_v2.0:IX:4619100:4619453:-1 gene:SETIT_038837mg transcript:KQK86946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFAVATIPSLAAPAAKKRSSGGVTYVEGMNAYSGLKGLNKVTMLGMRKTADYSFAKIVASLSPAGKTRRGSAFGAQMNAAAEIFRIAAIMNGLVLVGVAVGFVLLRVEAAVEESE >KQK92366 pep chromosome:Setaria_italica_v2.0:IX:55182761:55183315:-1 gene:SETIT_038082mg transcript:KQK92366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWPPRARRPFLPLAGRSRREDLVEGWRRGERGDIDVVEVDLEWHGDTPDPPRCRLRPRRGLLVRGGQSRHRRADLGWHISWKGGDRLDWALHWDHGPRISGESMSPVGPRASGSRVE >KQK86619 pep chromosome:Setaria_italica_v2.0:IX:2945335:2946102:1 gene:SETIT_038979mg transcript:KQK86619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLASLVAEERWLFPAFLVMYAAIYCVGQLVVFRRWAPRQRLDGASCLISLFHGTPAALAAAGAILALPAGSRSFAAPNERLQEHVLDYSVAYFTMDLLHYLAFLPGDVLFIAHHLATLFVFLTCRYIVRHGAYALLVLLVLAEVTSLLQNVWTLAGIWRDQSPAAARVYGALSPPFYVLYTLVRGVAGPLFLLKMTVFYLSGQAVDVIPWWVRISWIVVVGTAIAVSNLWIWNLWKELFRERNQAISKAKKDT >KQK93067 pep chromosome:Setaria_italica_v2.0:IX:58598299:58602355:1 gene:SETIT_034718mg transcript:KQK93067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEELLIFTRGGLILWSSCRALGAAALKGSPIDALIRSCLLEERSADASFSQDNYALKWTFHNDLGLVFVAVYQKMLHLLYVDDLLAAVRREFSQIYDPKRTGYDDFTDVFRQLHLEAEARAEEMKKSKQAISSRPLPPAPHKIGPKCRGADSRGVAAGARKKGGGSGKDDSDGDSGKEQHALANGGTFNGHQNGARPALVKGKENGGPKDNGAFDVSKLQKLRKNDRKNVPADNAAKKLTKPETKKKGKQDRVWDDKPSNKKLDFTDPADERGDEVTDHVVVNQGESMMDKDDNLSSDSEEEEEEVEDGPKKKGWFSSMFQSIAGNNVLEKADLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALVRILTPRRSIDILRDVQSAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAREAIQEASRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTARLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >KQK93066 pep chromosome:Setaria_italica_v2.0:IX:58598655:58601942:1 gene:SETIT_034718mg transcript:KQK93066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEELLIFTRGGLILWSSCRALGAAALKGSPIDALIRSCLLEERSADASFSQDNYALKWTFHNDLGLVFVAVYQKMLHLLYVDDLLAAVRREFSQIYDPKRTGYDDFTDVFRQLHLEAEARAEEMKKSKQAISSRPLPPAPHKIGPKCRGADSRGVAAGARKKGGGSGKDDSDGDSGKEQHALANGGTFNGHQNGARPALVKGKENGGPKDNGAFDVSKLQKLRKNDRKNVPADNAAKKLTKPETKKKGKQDRVWDDKPSNKKLDFTDPADERGDEVTDHVVVNQGESMMDKDDNLSSDSEEEEEEVEDGPKKKGWFSSMFQSIAGNNVLEKADLQPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQTAMEEALVRILTPRRSIDILRDVQSAKERGRPYVIVFVGVNGVGKSTNLAKVAYWLLQHNLSVTLAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAREAIQEASRNKSDVVLVDTAGRMQDNEPLMRALSKLINLNSPDLVLFVGEALVGNDAVDQLTKFNQKLADLSAVPTARLIDGILLTKFDTIDDKV >KQK90219 pep chromosome:Setaria_italica_v2.0:IX:40721001:40724826:1 gene:SETIT_035159mg transcript:KQK90219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQDDHYYSTVPLAAAAAGLALLAFCSYYLLVAGRRGQQRGGGSKPTKRYPPVVGTVFHQLYHVRRLHDYHTDLFRKRKTFQLLAPAGRRQIYTCDPAVVEHILRTNFANYGKGAFNYENMTDLLGDGIFAVDGDKWKQQRKIASYDFSTRALRDFSGSVFKRNAAKLASIVAGSAASGQSMDFQGLALRATMDSIFTIAFGLDLDTLGGSGDGTRFAAAFDDASEFTLLRYVNAFWKALRFLNVGSEAALKDRVKVVDEFVYKRIRDRARELADSKEQDPVTDSRQEDMLSRFIQTATSESGTVDYKYLRDIILNIVIAGKDTTAGALAWFLYMACKHPEVQEKVCEEARKATNASETATVDEFAQSLTDEALNNMHYLHAALTETLRLYPSVPLDNKQCFGDDVLPDGSSVSKGDIVFYVPYAMGRMEYLWGEDAGVFRPERWLDDSGEFQQQSPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLRSFAFRLRDGDKATVNYRTMITLHIDQGLHLTATAR >KQK92889 pep chromosome:Setaria_italica_v2.0:IX:57722207:57722621:-1 gene:SETIT_038323mg transcript:KQK92889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYYQFVFIFAPNQCHHCCFSNTGNTHWCRDKFYFILNQDAAAGGSTMEMRMHIIQFFFGRTCEYWLQMLLCKRSQDS >KQK92888 pep chromosome:Setaria_italica_v2.0:IX:57722402:57723356:-1 gene:SETIT_038323mg transcript:KQK92888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRADPTRAGPAAPCYSLLIGWLFIGGSGEDAAAGGSTMEMRMHIIQFFFGRTCEYW >KQK92890 pep chromosome:Setaria_italica_v2.0:IX:57722192:57723373:-1 gene:SETIT_038323mg transcript:KQK92890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSRADPTRAGPAAPCYSLLIGWLFIGGSGEDAAAGGSTMEMRMHIIQFFFGRTCEYWLQMLLCKRSQDS >KQK87845 pep chromosome:Setaria_italica_v2.0:IX:9745057:9746759:1 gene:SETIT_038936mg transcript:KQK87845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLQLNHIARETSDVPRLAAFYEAVLGFERVPSPNYSGFQVAWLRLPKSPDVALHLIERDPAAAPVAVGPGAEGAPPSQLPRRHHLAFSVADYDGFVTGLKARGTELFEKTQPDGRTRQVFFFDPDGNGLEVTSSGAGSDK >KQK87461 pep chromosome:Setaria_italica_v2.0:IX:7416682:7421148:1 gene:SETIT_0338542mg transcript:KQK87461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNELCDLVAAHPDLLLADKLAWLSSRCAAAPAAAAAPQRASRAHLHSLLALARLLPAGATGDAAPPVPLLSFLASHAFLSPAFWPQSFAPAPFLSRLLPLLAAAPASPALSSALSAALLAALDVADPAAAPLARAFLSAAAAAAPLPLLPADAAPVAARLLLEFPGSDEAPARAKGKGEDAVGEENGGVRDVVRRFEEEEVEELERKEVAFRLIVHMLGAEGGLETEQVGKVRNAAARQVRSLTDFLKIRKRDWREQGAQLRARINTKLMCCQAAVVVLVRSVSTIDTNSKSSKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGIGQITVSRGGQLLPVLLIPLKPLVVSTCSQADMTGSSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKGKEAVPVIRLNVIRLLAELCVFLKKWEVVDMILPLFIEHLEEGDASSPSSLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKALGVSENNTVPSEATTERTETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLVAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQKNEAPTKPVSTSLNTMESSSAIALQAVAGPYMWNSQWCVAVQRIAQGTPPL >KQK87460 pep chromosome:Setaria_italica_v2.0:IX:7416682:7421148:1 gene:SETIT_0338542mg transcript:KQK87460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALNELCDLVAAHPDLLLADKLAWLSSRCAAAPAAAAAPQRASRAHLHSLLALARLLPAGATGDAAPPVPLLSFLASHAFLSPAFWPQSFAPAPFLSRLLPLLAAAPASPALSSALSAALLAALDVADPAAAPLARAFLSAAAAAAPLPLLPADAAPVAARLLLEFPGSDEAPARAKGKGEDAVGEENGGVRDVVRRFEEEEVEELERKEVAFRLIVHMLGAEGGLETEQVGKVRNAAARQVRSLTDFLKIRKRDWREQGAQLRARINTKLMCCQAAVVVLVRSVSTIDTNSKSSKDMLQQTLAWFIEATKSCILSSWRKLKICEELFCTLLNGIGQITVSRGGQLLPVLLIPLKPLVVSTCSQADMTGSSPGALFEAVVKLSCEIIEFGWTKDRALVDTFIMRLAAYVRERNDYEEEDGKGKEAVPVIRLNVIRLLAELCVFLKKWEVVDMILPLFIEHLEEGDASSPSSLRLRLLDAISRVACLGFEKSYRESIVLMTRSYLDKVKALGVSENNTVPSEATTERTETLPAGFLLVASNLTSTKLRSDYRHRLLSLCSDVGLVAESKSGRSGADLMGPLLPAVAEICSDFDPVSTVEPSLLKLFRNLWFYIVLFGLAPPIQKNEAPTKPVSTSLNTMESSSAIALQAVAGPYMWNSQWCVAVQRIAQGTPPL >KQK90476 pep chromosome:Setaria_italica_v2.0:IX:42965966:42968589:-1 gene:SETIT_038877mg transcript:KQK90476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKISAIEASTVALYFSASWCPPCRRFTPTFVEVYEELTSQDNSLEVVFVSRDRDEESFNAYLAKMPWLAVPLADSECLQRLMKGYKVNGIPNLGVKFISEYGIGASPFTLQRINELKEQEKAAKDNQTIHSVLGTPTRDYLISSEGDKVPISVLEGKYVALFFMVRPIDEFTAVLTKIYEKLRAVGEKFEVVAVYFGSNESMCDKLVRYFELTTLPTLVLIGPDGNTLNNNIADVIEEHGSEAWEGFPFNAEKLEIFADKSKTKAASQSLESLLVKDDLELCHGKRWSEGIISCITYLSYICNNFLNKTNGQTLRGKVNNDKYFDTEVPVSELVGKTVILYFSTKWCPPCRDFLPTLVKEYKKMKEKNRRGSYDEFFSDMPWLALPLGDERKELLMKKFRIREIPSLIVIGPSGLTLTKDAKSHLLAHGADAFPFTEETLQELEKKLDGKAKAWPEKVKHELVLKRSGTTVTYSCDGCEGLGSSWS >KQK91959 pep chromosome:Setaria_italica_v2.0:IX:52931880:52937176:1 gene:SETIT_034878mg transcript:KQK91959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRHERRQKKRTWSQSPQDRSRSPLGSNKDSPSQSSSPGKQSRPPFAKRIHPSVEGNSGDRIAQQKGLVLTEKFDSSSNDLIGKVGDKGAPDGALGYNCHGDNLISEPSQPVAEIPVGQSAGSAPFASVQTTKNSVACDHLQPHPQSLYPPEHMPSSNMVRLPGQSLFATSEFPQTQFQHNVIAPANEFMQNQMRSYPPPDPSHPRPLDFHHHTRPPAVPSHQQPSAISVENAPVPPPDRWSEYSGGVGLSYSSHHPPYGQHQPPGNLDSGTNLVYPSFQRFPSNLPGSSDLGPLSDVGLPKSSIKPHYNPFASTFEQTDPSLDIGPVVSPNAVDSISTKAEHMNALSPFGQSFPESRTHAHESSAEAVPNKQKPLRQDFASGAPYDPLLDSIEPSSSSINKVDPSKEKNRSADSRDVSKLMNIEVDSENMYGLGVVAESEVEGLGEVAADTEAGVVENASPEFLGAKDWNSDIPGDIDNDQTLHKNKKGKDSRSMKLFKVAIADFVKEVLKPSWRQGNMSKEAFKTIVRKTVDKVSNSVPSSHIPKTPAKIKHYVQSSQRKVTKLVMGYVDKYAKL >KQK91960 pep chromosome:Setaria_italica_v2.0:IX:52931880:52935230:1 gene:SETIT_034878mg transcript:KQK91960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRHERRQKKRTWSQSPQDRSRSPLGSNKDSPSQSSSPGKQSRPPFAKRIHPSVEGNSGDRIAQQKGLVLTEKFDSSSNDLIGKVGDKGAPDGALGYNCHGDNLISEPSQPVAEIPVGQSAGSAPFASVQTTKNSVACDHLQPHPQSLYPPEHMPSSNMVRLPGQSLFATSEFPQTQFQHNVIAPANEFMQNQMRSYPPPDPSHPRPLDFHHHTRPPAVPSHQQPSAISVENAPVPPPDRWSEYSGGVGLSYSSHHPPYGQHQPPGNLDSGTNLVYPSFQRFPSNLPGSSDLGPLSDVGLPKSSIKPHYNPFASTFEQTDPSLDIGPVVSPNAVDSISTKAEHMNALSPFGQSFPESRTHAHESSAEAVPNKQKPLRQDFASGAPYDPLLDSIEPSSSSINKVDPSKEKNRSADSRDVSKLMNIEVDSENMYGLGVVAESEVEGLGEVAADTEAGVVENASPEFLGAKDWNSDIPGDIDNDQTLHKNKKGKDSRSMKLFKVAIADFVKEVLKPSWRQGNMSKEAFKTIVRKTVDKVSNSVPSSHIPKTPAKIKHYVQSSQRKVTKLVMGYVDKYAKL >KQK91961 pep chromosome:Setaria_italica_v2.0:IX:52931880:52935584:1 gene:SETIT_034878mg transcript:KQK91961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRHERRQKKRTWSQSPQDRSRSPLGSNKDSPSQSSSPGKQSRPPFAKRIHPSVEGNSGDRIAQQKGLVLTEKFDSSSNDLIGKVGDKGAPDGALGYNCHGDNLISEPSQPVAEIPVGQSAGSAPFASVQTTKNSVACDHLQPHPQSLYPPEHMPSSNMVRLPGQSLFATSEFPQTQFQHNVIAPANEFMQNQMRSYPPPDPSHPRPLDFHHHTRPPAVPSHQQPSAISVENAPVPPPDRWSEYSGGVGLSYSSHHPPYGQHQPPGNLDSGTNLVYPSFQRFPSNLPGSSDLGPLSDVGLPKSSIKPHYNPFASTFEQTDPSLDIGPVVSPNAVDSISTKAEHMNALSPFGQSFPESRTHAHESSAEAVPNKQKPLRQDFASGAPYDPLLDSIEPSSSSINKVDPSKEKNRSADSRDVSKLMNIEVDSENMYGLGVVAESEVEGLGEVAADTEAGVVENASPEFLGAKDWNSDIPGDIDNDQTLHKNKKGKDSRSMKLFKVAIADFVKEVLKPSWRQGNMSKEAFKTIVRKTVDKVSNSVPSSHIPKTPAKIKHYVQSSQRKVTKLVMGYVDKYAKL >KQK90217 pep chromosome:Setaria_italica_v2.0:IX:40669582:40670437:1 gene:SETIT_037921mg transcript:KQK90217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKLPRVVALLPPPPPHDGPAATAPRHGLDAVAAEWRRLAGRRPRRARAEVEEGRKEKMMTCSPEASDGVFVGVGGEERRAGAGEDLIDVLQDDRRLADGLPVVDEHGNLVVDGVGLEEELALVLQVFLDVLVAQTLEAESKSRS >KQK92042 pep chromosome:Setaria_italica_v2.0:IX:53364375:53366444:-1 gene:SETIT_037011mg transcript:KQK92042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASELFTARRATRGARLADPGPDPDPHADALRDPHGLGGRRRRRGCRPRRQLDAAGDVRQHLHTGAPPPRRRGSYTDQILSYLDNNNIGDSAARRNRLDRLMFRTNERLPGAVLQAQARVLERLRGISLGSSASRPSISLDEFSASDVFRFIDFRNRETRHQANGPNSSSYHLSSESDEEIPTISSTNLHRSSGLSKAAFLRLQIEIFEASKDDNREPSPECSICLDGFYDGDELIRLRCGHRFHSTCLEPWVRKCADCPYCRTNIRSRS >KQK92324 pep chromosome:Setaria_italica_v2.0:IX:54914459:54917411:-1 gene:SETIT_036773mg transcript:KQK92324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAVTPPPLAAARRGIRGRGVLHRRLAASPMKDEPVISTNGGNEETVTDSLNVARGLSHPGLSSSLSNKASVVPTPLLPNEPSDLRFNRLRPSIDESDCKYKRLFGCYVAREALIDEEYWIAAWLRAEDHYEDQSGNRYVESFKRKFASQEFHALKKRCSKQHGEKYICFVAVKNDDLRRTVLNSVVGTLDVCVRHPLHGEKFPEEPGSGRSSLHCRIYQPDQPKFGYLTNVCVAKYARRQGIASNMLLLAIDAARLNGAENIYIHVHKDNLPAWRLYNQIGFKMVDQDGARHSSDLCLLSFSS >KQK92323 pep chromosome:Setaria_italica_v2.0:IX:54915247:54917411:-1 gene:SETIT_036773mg transcript:KQK92323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAVTPPPLAAARRGIRGRGVLHRRLAASPMKDEPVISTNGGNEETVTDSLNVARGLSHPGLSSSLSNKASVVPTPLLPNEPSDLRFNRLRPSIDESDCKYKRLFGCYVAREALIDEEYWIAAWLRAEDHYEDQSGNRYVESFKRKFASQEFHALKKRCSKQHGEKYICFVAVKNDDLRRTVLNSVVGTLDVCVRHPLHGEKFPEVGSLEVEGHLFTVESISQISQNLGI >KQK87068 pep chromosome:Setaria_italica_v2.0:IX:5411491:5412111:1 gene:SETIT_038380mg transcript:KQK87068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDELSASRRYCTVPLIVFTSFWLMYRPRPSLDISGLCRNISCIRASGTPGAGWTILHSFRKINSCYAGG >KQK90906 pep chromosome:Setaria_italica_v2.0:IX:46524436:46526804:1 gene:SETIT_038010mg transcript:KQK90906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPCPLPYRTLPPQPPPSSPSEISQPLSLPLLRFVLVQLRWVVVFDRRRAKMTAGYIVGSLVGSFAVAYLCDSFVSDKKAFGGTIPKTVSDKEWFKATDTKFQAWPRTAGPPVVMNPISRQNFIVKSTE >KQK92380 pep chromosome:Setaria_italica_v2.0:IX:55240992:55243952:1 gene:SETIT_037481mg transcript:KQK92380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPGESPVTGLWAQIGRQSSRHHSKSPAGRPPARLRGHACRLCGARASRRRQVQHCCCVTAEFAPQLHHQGRQGPRKTPRTGGRESERSSMENLKEQRGQDAAGGNGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRASLGGDDDLAQTQQQQQRNQAQAKAQPKLGRPADDHRRPLHDRDHSPTGGKKFLSYFQCCIKA >KQK92379 pep chromosome:Setaria_italica_v2.0:IX:55240992:55243952:1 gene:SETIT_037481mg transcript:KQK92379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPGESPVTGLWAQIGRQSSRHHSKSPAGRPPARLRGHACRLCGARASRRRQVQHCCCVTAEFAPQLHHQGRQGPRKTPRTGGRESERSSMENLKERGQDAAGGNGWMTVPAFGDWDMKNGALPDYSMDFSKIREMRKQNKKELSRASLGGDDDLAQTQQQQQRNQAQAKAQPKLGRPADDHRRPLHDRDHSPTGGKKFLSYFQCCIKA >KQK90581 pep chromosome:Setaria_italica_v2.0:IX:43813042:43815750:-1 gene:SETIT_037975mg transcript:KQK90581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KQK92392 pep chromosome:Setaria_italica_v2.0:IX:55290284:55290570:1 gene:SETIT_040838mg transcript:KQK92392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNASSVCSCANVEMLQFLFRGYTEPFEGIGLIIVSIFERKELALLASSFGNICSRRLFRQSVLF >KQK86812 pep chromosome:Setaria_italica_v2.0:IX:3907858:3910245:-1 gene:SETIT_035819mg transcript:KQK86812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNARPAANQRKPIVTDDDLVELLWHNGGVVAQPQAHQRPAPSERLDSSGLTDEETAAWFPDTLDDSLEKDLYTQLWYSTIADAAPHHGGTLPGPSSQPPPPPPELAHPARPPVGSSGVESSWAGDICSTFCGSNQVPMTPAGINRGKDAALQSEVPRGAGAHDGAGAGTSSSGGSGSNYGGSGLPSDSGRVQKKMGRCRDDSDSQSEDAECEATEETKSSRRCGTKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQMQVQIMWMTSGMAPMMFPGAHQFMPPMALGMTSACIPAAQGLNQMPRVVPYMNLPLPNHIPLNSSPAMNPMNSLSVSNQMQNVHLREASNHFIHPDGRQTVVPQVPGTHVYGPEIPQAEHNRVLEVPASTVVPTSSAGQPHTYGGV >KQK86813 pep chromosome:Setaria_italica_v2.0:IX:3907858:3910428:-1 gene:SETIT_035819mg transcript:KQK86813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNARPAANQRKPIVTDDDLVELLWHNGGVVAQPQAHQRPAPSERLDSSGLTDEETAAWFPDTLDDSLEKDLYTQLWYSTIADAAPHHGGTLPGPSSQPPPPPPELAHPARPPVGSSGVESSWAGDICSTFCGSNQVPMTPAGINRGKDAALQSEVPRGAGAHDGAGAGTSSSGGSGSNYGGSGLPSDSGRVQKKMGRCRDDSDSQSEDAECEATEETKSSRRCGTKRRTRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASILDETIEYLKSLQMQVQIMWMTSGMAPMMFPGAHQFMPPMALGMTSACIPAAQGLNQMPRVVPYMNLPLPNHIPLNSSPAMNPMNSLSVSNQMQNVHLREASNHFIHPDGRQTVVPQVPGTHVYGPEIPQAEHNRVLEVPASTVVPTSSAGQPHTYGGV >KQK87598 pep chromosome:Setaria_italica_v2.0:IX:8127628:8128944:-1 gene:SETIT_039794mg transcript:KQK87598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLVALLTVLIVPLLSFLLIAAWRPYFHLQRSDGRRLPPSPPGGQPLLGHLHLLGRLPHRALRSMAASCGAVMLLRLGQVPTIVASSAAAAEEAMKTRDLAFASCPRLRMSDRLYCTRDMVFAPSGERWRQLRGVCVSHLLSPRREVAALLDRVRGAADGAVAVNLSDLLIAYANAVISRATFGDAGYGLEGDDGGGTKLRKVFGAFEELLGTVPMAEVVPWLWPVDVVTGLERKARRTFEEIDRLVEKVIADHRRRRRGARREGDGEYDRRDFVDVLLDLSETEEEVGGVQLDTVTIKATILDMLATGTDTSYTLLEWAMAELINHPTRMRKLQGEIRAAVGAGGGITEDDMPKLPYLKAVIKETLRLHPPGHQDLSICPGKPSRTPSCKATTSRHG >KQK88066 pep chromosome:Setaria_italica_v2.0:IX:11254421:11258065:1 gene:SETIT_035046mg transcript:KQK88066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRSGSRTKKSCDCCKRYMDHLDGKDQKMNYFLRRMTTSSKHSMIVPNRFLKHFARKLSGTIKLESPDGSLYDVEVAERFNKVVLRHGWEAFVDANGIEENDFLLFRHTEQSCVEVLILDSDGCEKVFPCTGIVNIPSFKERSVDSVDISSSSHDETTESSESERFTRCQKGSSYHRRKTAKVTATSSSSKESGDIPSESESYESDDLQTSPGDDYVLCSRSYLSEAQEERVVALIREIQPKVTAFVAIMRKSHVKGPSAFLALPKEYASAHFPHETTNITLQRPGKSKKWHPKFYRSKDIRLHMLRGQWLDFVRDNHVQVGDICLLLPTKGVRKFAFTVHLLHTTGPHSRVRTSFQSVSSCHGISSPKMASVVHIKEETTDEEYVSSEGGMHGISNKYLEYKDPGGSFEPPYIISVRSCLSESQKKIVEEKVRAIQCEVPIYVAIMNKCSVSIRYELEISARYAAANLPDRRQSMILRYMGKSWRTQMVVRSGSRWFLCEGWFQFVCDNGLRVGDVCLFELEKNESKLTMTVHIIFSEQL >KQK92958 pep chromosome:Setaria_italica_v2.0:IX:58050017:58052995:-1 gene:SETIT_036626mg transcript:KQK92958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGDVEKGAVRKEAGKVPSSLYPQHEGEREWVPWIVPVFFVANITVFVVTMYANNCPVHTPAKDGKCIGRFLGRFAFEPLRQNPLLGPSSATLTKLGALVWQKVVHEHQGWRLLSSMWLHAGVVHLVANMLSLLFIGMRLEQQFGYVRIGVVYLLSGLGGSVLSSLFIRNSISVGASGALFGLLGAMLSELLTNWTIYTNKVAAVLTLLFVVAVNLVLGILPHVNNFAHIGGFLTGFLLGFVVLMRPHFAWMERYSMPAGSACTSKKYLLYQWILMAVALVLLVIGFAVGMAMLFRGANANDSCSWCRYLSCVPTSSWKCTN >KQK91502 pep chromosome:Setaria_italica_v2.0:IX:50282224:50287866:1 gene:SETIT_035389mg transcript:KQK91502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGDAFGSSTAPLAWHDFLERMRQPSAAEFVKSIKSFIVTFSNRAPDPEKDSASVQEFLENMEGAFRAHTPWAGSSEEELESAGEGLEKYVMTKLYNRVFASVPEDVKSDEELFEKMSLLQQFIRPENLDIKPEYQNETSWLLAQKELQKINMFKAPRDKLSCILNCCKVINNLLLNASIVSNDNPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRRQTRLVSEAQYFFTNILSAESFIWNIDGESLSMNELDFQRKMDWARERLLGLSADSEKQDNQANPDFQEQRSQNLKAYRSSDVSLSLKDPVQGSGQDMRRDSDVSVSGKPVERVQSISDLEKKGATELLNDDDLNRKFQEYPYLFARAGDLTIADVESLLNSYKQLVVRYVALAQGKGVSPETILAPSGQTASDLAVSEEPENVNSVVNNNENSEGISKTSDDVTSENHHSEVVDTDVSEQMTQKTAVDSSDDSKVSKDEASHQPENA >KQK86524 pep chromosome:Setaria_italica_v2.0:IX:2424580:2427889:1 gene:SETIT_035530mg transcript:KQK86524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKKMFGFSISLILINLASILERADENLLPAVYKEVSAAFDAGPTDLGYLTFMMNFLKSIASPLAGVLALHYDRPAVLALGTAFWALSTGAVGVSQYFGQVAFWRAVNGFGLAIVIPALQSFIADSYKDGTRGAGFGLLALIGSVGGIGGSVLATIVAGGDYYGLPGWRLAFILVAFVSFVIGLLVYLYAVDPRKTSPSHYGGDEDNERSHLVSNGILPPHSIWKDSWMAARSVMKVRTFQIIVLQGIVGSLPWAAVVFFTMWFELIGFNNSSSAALNSFFAIGCASGSFLGGVIADRLSRYYPDSARIMCAQFSAFMGIPFSWILLTVIPQSVDYWLAYAVTLFLMGITISWCATCANNPMFAEVVPPKHRTMIYAFDRAFEGSFGSLAAPAVGIVTERIYGYNAKTIDLAHGSVDGAYALSRGLLAMMIVPFALCLMFYTPLYTVFKRDRDNARLASIKEQELI >KQK88985 pep chromosome:Setaria_italica_v2.0:IX:19210855:19211308:1 gene:SETIT_040078mg transcript:KQK88985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFFGWLVIHGRCWTSNRLRRHGLRDRDDCALCARETWFRILSYIGMPRLTLQVEITFAKWWIQAWKTVTKIRRKGFDSLIWLVAWSIWKERRRVHERLALQPVALASVILEQARLWKPAGFVSLASVLRPKLR >KQK87060 pep chromosome:Setaria_italica_v2.0:IX:5370007:5373086:1 gene:SETIT_037627mg transcript:KQK87060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKILERYERYSYAEKVLISAESETQGNWCHEYRKLKAKVETIQKCQKHLMGEDLETLNLKELQQLEQQLESSLKHIRSRKSQLMMESISELQRKEKSLQEENKVLQKELVEKQKGQRQQPQWDQTPQQTSSSSSSFMMREAPPATNISSYPVPVAAGGGRVEGAAPQPQPQARIGLPPWMLSHLSS >KQK87059 pep chromosome:Setaria_italica_v2.0:IX:5370007:5373086:1 gene:SETIT_037627mg transcript:KQK87059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKILERYERYSYAEKVLISAESETQGNWCHEYRKLKAKVETIQKCQKHLMGEDLETLNLKELQQLEQQLESSLKHIRSRKSQLMMESISELQRKEKSLQEENKVLQKEHCSFLQLVEKQKGQRQQPQWDQTPQQTSSSSSSFMMREAPPATNISYPVPVAAGGGRVEGAAPQPQPQARIGLPPWMLSHLSS >KQK90656 pep chromosome:Setaria_italica_v2.0:IX:44271964:44272926:-1 gene:SETIT_038845mg transcript:KQK90656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKEGVKKGPWTPEEDLVLVSYVQEHGPGNWRAVPASTGLMRCSKSCRLRWTNYLRPGIRRGGFSGEEDRLIAHLQALLGNRWAAIASYLPDRTDNDVKNYWNTHLKKKLLLLQQQQKMQQRASAALSTPPPPPHKGQWELKLQTDIDLARRALRDALSCSPPAPAPGTTTTSGHGGTGPAPPPLIAEPAAALAQAYALTARDVPGVLDGWSPRAGKNGRSGPATPPPPVAAESASGSTSELTECSASVSSASDKRAAPLFAREEEKAAADGEVPPLSEIESWLLEDGGGEQKPVLDGLLLDAALRNFGF >KQK86287 pep chromosome:Setaria_italica_v2.0:IX:1115739:1118388:1 gene:SETIT_038147mg transcript:KQK86287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRPIVLIFLLLVLIITSQFEWKQQIGEAEANPTTNRRRQQALEREDAVKEKIILAQEKNIQQLNELIQSLQLQLLHCRGSNSTAHITSSQSTGDNEAEGQEMISD >KQK90440 pep chromosome:Setaria_italica_v2.0:IX:42712686:42714768:-1 gene:SETIT_037769mg transcript:KQK90440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVPADAPVPATKIAMPGSAAAGAEAALLGKGRKVWVLAAIALLALWSMSAASVSLRWSSSGDLAAFSGDLDVPLLDDLDSLEMEEREKLVGRMWDMYTRTSDEVRLPRFWQEAFEAAYEELAGDDTQVRDAAISEIARMSAHKLELEQTVNENEEENAASNRGGRSMGK >KQK90439 pep chromosome:Setaria_italica_v2.0:IX:42712376:42714768:-1 gene:SETIT_037769mg transcript:KQK90439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPVPADAPVPATKIAMPGSAAAGAEAALLGKGRKVWVLAAIALLALWSMSAASVSLRWSSSGDLAAFSGDLDVPLLDDLDSLMEEREKLVGRMWDMYTRTSDEVRLPRFWQEAFEAAYEELAGDDTQVRDAAISEIARMSAHKLELEQTVNENEEENAASNRGGRSMGK >KQK91537 pep chromosome:Setaria_italica_v2.0:IX:50435861:50436255:1 gene:SETIT_040315mg transcript:KQK91537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWGCWIQPGTHSGRLPRKRLPAGCASSSVASSRTSTAPRRTPS >KQK91291 pep chromosome:Setaria_italica_v2.0:IX:49032540:49036414:-1 gene:SETIT_034879mg transcript:KQK91291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKLHHNIKRLVHAVPQTLNQNGFLQNFANGETSYETKTPPTTLKKRNKAVASGLKCSCSPMTPLTTLRTAQLFQMSCPLISSTVGIRIGSTAAAWLADSPPAPAPAADGSGEAISRYLLAAARRAAPQRARVWFRKFERRVGWTGSQDPASVVCAGGGLAFLPSPPRRGSAPRRESEGKQRRGIRTSLPFPSTTPSILLSPHPSRRRLSKTQANPRSAAPRFPTEAPARPTPPPAKMPNLECRMYEPRFPEVDAAVMIQVKHIADMGAYVSLLEYNNIEGMILFSELSRRRIRSISSLIKVGRQEPAIVLRVDRDKGYIDLSKRRVSEEEAHSCEDRYNKSKLVHSIMRHVAETLEIDLEPLYQRIGWPLYRKYGHAFEAFKLIVADPDSILDALTYEEKETGPDGQEVTKVVPAVTPEVKDSLVKNIRRRMTPQPLKIRADVEMKCFQFDGVLHIKQAMKKAEASGNDNCPVKIKLVAPPLYVLTTQTLDKDQGISVLTDAIKACTAEIEKYKGKLVVKEPPRAVSEREDKLFLDQIDSLMEQNAEVDGDDDSEEEEDTGMGDVDLTNSGVTAY >KQK92831 pep chromosome:Setaria_italica_v2.0:IX:57424547:57427355:-1 gene:SETIT_035191mg transcript:KQK92831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAWRRLLAEAPPAPGHHRKQGDAPSDWSAGYLNGWLSQQTPVFGLRLWVLIGIAVGAAIVLVLLLIFVCLSRRRRRRDEVLAANLYPADTKLLKQHLQQATPTKDIQEIVRRQQQQPQTPPPAPQPAVQLAKAEPPVTPPPQHRAQVPVPPARKTPGSGMSATTSGGSERDGATPRSTGSAAGAPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYRGTLHDSTMIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKASNILLDQQWNAKVSDFGLAKLLCSEKSYVTTRVMGTFGSVVRLLPYILLLNRLQ >KQK92832 pep chromosome:Setaria_italica_v2.0:IX:57423908:57427355:-1 gene:SETIT_035191mg transcript:KQK92832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAWRRLLAEAPPAPGHHRKQGDAPSDWSAGYLNGWLSQQTPVFGLRLWVLIGIAVGAAIVLVLLLIFVCLSRRRRRRDEVLAANLYPADTKLLKQHLQQATPTKDIQEIVRRQQQQPQTPPPAPQPAVQLAKAEPPVTPPPQHRAQVPVPPARKTPGSGMSATTSGGSERDGATPRSTGSAAGAPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYRGTLHDSTMIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKASNILLDQQWNAKVSDFGLAKLLCSEKSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRPAGEVNLVEWLKTMVAERKAEEVVDPKMAEKPSPKTLKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKAGREGSDRYSSKEDGSFSKREHHRYR >KQK92833 pep chromosome:Setaria_italica_v2.0:IX:57423254:57427483:-1 gene:SETIT_035191mg transcript:KQK92833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAWRRLLAEAPPAPGHHRKQGDAPSDWSAGYLNGWLSQQTPVFGLRLWVLIGIAVGAAIVLVLLLIFVCLSRRRRRRDEVLAANLYPADTKLLKQHLQQATPTKDIQEIVRRQQQQPQTPPPAPQPAVQLAKAEPPVTPPPQHRAQVPVPPARKTPGSGMSATTSGGSERDGATPRSTGSAAGAPEVSHLGWGHWFTLRELEEATDGLAEENVIGEGGYGIVYRGTLHDSTMIAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRMNIMLATAKGLAYLHEGLEPKVVHRDIKASNILLDQQWNAKVSDFGLAKLLCSEKSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGVLIMEIITGRSPVDYTRPAGEVNLVEWLKTMVAERKAEEVVDPKMAEKPSPKTLKRALLVALRCVDPDANKRPKMGHVIHMLEMDDLLFRDDKKAGREGSDRYSSKEDGSFSKREHHRYR >KQK91744 pep chromosome:Setaria_italica_v2.0:IX:51549975:51555023:-1 gene:SETIT_034363mg transcript:KQK91744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVHEELNPLLQTIEFAPSTSDPNQKLDSAQPSDPNRKPAKKPTRQWAAWTRQEEENFFNALRQVGKNFEKITHRVQSKNKDQVRHYYYRLVRRMKKLLGPGFSLDAKNSKDTIAAMLRWWSLLEKFSCSASKLHLKPRRFKTFVEALGNQLLKDRNKSRRKCPRVDMCVSSPSPIVGKTPGNEAFPLKFLPVDAQNGSRVASPKGAFFKRMAETNSSKSGATKGDLSATRTVKQKRRAGGVVASAAYKKWERAAMAGVSLVADAAEELERNTINPGMLCNVDARTLTSSSDKLSTVDGISTNHMKEADSQAPAKLKLQLFPINEATRKALEKDEHNPHLELTLSSRKKISSVLEHLNRKWGNSNIASGELILFPYCAHQEDLATYQRWTTRDTVAVADVFLSVNSPSVFRLRYGWFSLVELEAGVSEISLTHFENCMMPEDIQVKSPSGDKSCVQKDDALLSSNIHEQYPCSSIDQSALLHITPSSTGKITELPEQTPSQFGSQKQVRVPVTQAFEDDQGMNCAAISEGEWADTLTDISVGYLLTEASKGTHLDCIGTSSVKNALLLENPCSYDSFDAAVALHVSRFQASEQPAHTPHSTIWGGEETCDEFSFNLSASRKQEGSNTPSSSSPDTDNEVHPLNSEGFQGFLQDLTGGEAAADNPCNDDGKDREEFCAKSPPRNDDTNDLKDQSLADIYWPDSLGPLDLDIPSSRYQADDLIIGDSQNSWNRMMANSLDAFRNLSFFSSVDKNDSIPSIM >KQK92395 pep chromosome:Setaria_italica_v2.0:IX:55297630:55299369:-1 gene:SETIT_038009mg transcript:KQK92395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRNRDLPSDPFGCSEPVQIYMLYAEATDTTFSASVKAEQWQDINIESPTDLCLFIIVDHEKLQSWMHPGKLMYLCGHEKKRALQARQLGEKKCTTVNLSPAIKSCSSKSSWDLILFMLPFMSFSHHFV >KQK92396 pep chromosome:Setaria_italica_v2.0:IX:55297860:55299369:-1 gene:SETIT_038009mg transcript:KQK92396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRNRDLPSDPFGCSEPVQIYMLYAEATDTTFSASVKAEQWQDINIESPTDLCLFIIVDHEKLQSWMHPGKLMYLCGHEKKRYYINMNLCKT >KQK87001 pep chromosome:Setaria_italica_v2.0:IX:5024761:5025072:-1 gene:SETIT_040328mg transcript:KQK87001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSWARMSLWCHASRQALNECCCSRDDACLGAVQ >KQK87040 pep chromosome:Setaria_italica_v2.0:IX:5199061:5199553:-1 gene:SETIT_0356051mg transcript:KQK87040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAHVLVLPFPAQGHVTPLMELSHRLVDHGFEVTFVNTEVNHALVVGALQAAAGGGTSALAGIHLESISDGVADDEDRKDLNKLIDCCTRHMPGHLERLIAEMEAAGRPKVKWLVPDVCMWWSFDVAKKFGIRVASFWPAAVACLAISLKIPKLTEEGLLNNK >KQK89432 pep chromosome:Setaria_italica_v2.0:IX:29509760:29512061:1 gene:SETIT_037770mg transcript:KQK89432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRPRVSTLRSPSSALAPRPSVPLIADMLVASPLQICERICARPGLAPPDPNLHQAGASAVGAVAHMTAALADALRVFYPLAGRICQDTGGALAVEGDKGPRSSRPRPRASPSTTSPEMAATRRPSGSCSASCPTPTRAWRFPRSSDKESIRDAGNLFDQMPERCSCWWS >KQK89431 pep chromosome:Setaria_italica_v2.0:IX:29509760:29512061:1 gene:SETIT_037770mg transcript:KQK89431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRPRVSTLRSPSSALAPRPSVPLIADMLVASPLQICERICARPGLAPPDPNLHQAGASAVGAVAHMTAALADALRVFYPLAGRICQDTGGALAVEGDKGPRSSRPRPRASPSTTSPEMAATRRPSGSCSASCPTPTRAWRFPRSSDKESIRDAGNLFDQMPERCSCWWS >KQK90229 pep chromosome:Setaria_italica_v2.0:IX:40848484:40848720:1 gene:SETIT_039301mg transcript:KQK90229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSEEEEKIVATKRKLWEGYREAEDAKHQRKIQVIQEPKMLEQRHRKMHPILRERSQARCGMPTAVRRCFVSSSNRV >KQK92343 pep chromosome:Setaria_italica_v2.0:IX:55016256:55021245:-1 gene:SETIT_037181mg transcript:KQK92343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSRQMELHYINTGFPYTITESFMDFFEGLTYAHADFALTDGFQDQGNPYWAMMHTNSYKYGYSAPGNYYSYAHVYDIDDYMHRADGGRRIWDNTAPVNNVDSANVVLQGGEAPRSTANSATEECIQQVHQSPGSPQVVWQDNIDPDNMTYEELLDLGEAVGTQSRGLSQERISLLPVTKYKCGFFSRKKTRRERCVICQMEYRRGNLQMTLPCKHVYHASCVTRWLSINKVCPVCFAEVPGEEPKRQ >KQK93110 pep chromosome:Setaria_italica_v2.0:IX:58827425:58828128:-1 gene:SETIT_038640mg transcript:KQK93110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAADAACCACPSPSTSLSHRPMRRRMVVACSSSMFYDSSLLSPLPQFAAAVPSRGGGGRLRIFSGSANPVLAQEIACYLGMELGRVKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKTITAVIPYFGYARADRKMQGRESIAAKLVANLITEAGAHRVLACDLHSGQSIGYFDIPVDHVHGQPVILDYLASKTIRPSDVVVVSPDVGGVA >KQK90758 pep chromosome:Setaria_italica_v2.0:IX:45589944:45595652:-1 gene:SETIT_035183mg transcript:KQK90758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLATLCTDPIVLSCAFLCLFLHLALRRSLSSSSGRGRLPPGPPGLPILGALPLVGPAPHAGLAALARRYGPVMYLKMGTAGVVVASSPAAARAFLKALDAPYANRPAVASAADITYGCQNMVFANYGPKWKLMRKLASVHLLGARALADWACVRRDEAGHLLRGMAEAAAAGRPVVVPEVLVCALANIVGQITVSKRVFDAQGEESNSYKDMIVSLLTGAGLFNISDFVPALARLDLQGVQAKLRRIHHQFDGLITKLLAEHAATAADRARHGRQDFVDRLRAAMDAGADDDSGETITEVNIKGLIFDMFTAGTDTSSIIVEWAMAEMLKNPSVMARAQEELDRVVGRGRRLEESDLPALPYLQAACKEAMRLHPSTPLSLPHFSFDACDVDVGGGGYRVPANTRLLINIWAIGRDPEAWEAPLEFRPERFLPGGAAEKVDPLGNYFELIPFGAGRRICAGKLAGMVFVQYFLGTLLHAFDWRLPEGEEKLDMAETFGLALPKAVPLRAVVTPRLAPEAYA >KQK91484 pep chromosome:Setaria_italica_v2.0:IX:50109449:50110835:-1 gene:SETIT_036988mg transcript:KQK91484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDRCHKFDCLLFDLDDTLYPLSSGIAGHVKKNIEDYMVEKLGIDESKIENLGNLLYRNYGTTMAGLRAIGYSFDYDEYHAFVHGRLPYDNIKPDPVLKHILKNLRIRKLIFTNGDKVHALRALKRLGLEDCFEGIICFETLNPPCPPQGEQKPEIFDIAGHFARSGTAGELPKTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKQIGLHTVLVGKSQRVKGADHALESIHNIREALPGLWEEAEKAEDVLYADRVAIETSVTA >KQK91483 pep chromosome:Setaria_italica_v2.0:IX:50109082:50111274:-1 gene:SETIT_036988mg transcript:KQK91483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEDRCHKFDCLLFDLDDTLYPLSSGIAGHVKKNIEDYMVEKLGIDESKIENLGNLLYRNYGTTMAGLRAIGYSFDYDEYHAFVHGRLPYDNIKPDPVLKHILKNLRIRKLIFTNGDKVHALRALKRLGLEDCFEGIICFETLNPPCPPQGEQKPEIFDIAGHFARSGTAGELPKTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKQIGLHTVLVGKSQRVKGADHALESIHNIREALPGLWEEAEKAEDVLYADRVAIETSVTA >KQK91485 pep chromosome:Setaria_italica_v2.0:IX:50109449:50110484:-1 gene:SETIT_036988mg transcript:KQK91485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKLGIDESKIENLGNLLYRNYGTTMAGLRAIGYSFDYDEYHAFVHGRLPYDNIKPDPVLKHILKNLRIRKLIFTNGDKVHALRALKRLGLEDCFEGIICFETLNPPCPPQGEQKPEIFDIAGHFARSGTAGELPKTPVLCKPNVDAMEEALRIANVNPHKAIFFDDSVRNIQAGKQIGLHTVLVGKSQRVKGADHALESIHNIREALPGLWEEAEKAEDVLYADRVAIETSVTA >KQK89722 pep chromosome:Setaria_italica_v2.0:IX:35337714:35339053:-1 gene:SETIT_038537mg transcript:KQK89722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFFWLSPADQGDLSDVVRASLQQQPPSHHRLPLGTPAPAVRSHGSLGSHHLPEEEEELLVHGNGGMGLMVSSSIAGCDRALYPQHHHPEAEGLLIPQQLMSSSSFVVELREDDVANTPAVLDELGLEDMAMAPHPDHAPSIKRRKSQTKKVVCIPAPVAPPPGVGGRPSTSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPSMLVITYTSDHNHPWPTHRNALAGSIRPAAAATSSSSSPSAKQSHHHQRRSAAAAAVTDPTPPHRHASDVVVADNSTTPAGSITASIHNHQLLLKKEVLDMDSLEPAQEDAAAGHDLVVGGMIADMDGALNVLCASSFHSKKQQQQHATADHLERLPEEEDKRLLLDRDPFSFSFLDWVGASFGVAGEAAAANKGGYS >KQK91408 pep chromosome:Setaria_italica_v2.0:IX:49638015:49640399:-1 gene:SETIT_039677mg transcript:KQK91408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFVDKLPFFDRRSSPMEEAEDIPRNGLLHMHHQPHQHHHSGLLMQPQPSPPVKQQQSFTLAQLLKRVNEARSDASSPTSSPTHSHYTIELGGSVPGSTGSDLSEHMHGGGRGGDGPLLPFVLKFTDLTYSVKQRKKGSCLPALPFRRGGGEPAEPEAPRMKTLLDNISGEAREGEIMAVLGASGSGKSTLIDALANRIVKESLHGSVTLNGESLDSNLLKVISAYVMQDDLLYPMLTVEETLMFAAEFRLPRSLPTKEKKKRVQALIDQLGLRNAAGTIIGDEGHRGVSGGERRRVSIGVDIIHDPIVLFLDEPTSGLDSTSAFMVVKVLQRIAQSGSVVVMSIHQPSYRILGLLDRLLFLSRGQTVYYGPPGALPSFFDDFGKPIPGNENPTEFALDLIRELETMPDGARDLVEHNRKWQMRMAPKAKHHDGRGEKPSLSLKEAISASISRGKLVSGATDGSVSVHSGEPLPAAAVSKFANPFWIEMGVLTRRAFINTKRTPEIFIIRLAAVLVTGFILATIFWRLDDSPKGVQERLGFFAIAMSTMFYTCSDALPVFLNERYIFLRETAYNAYRRSSYVLSHTIVGFPSLIVLSLAFALTTFFAVGLAGGAEGFFFFVAIVLASFWAGSGFATFLSGVVTNVMLGYPVVVSTLAYFLLFSGFFINRDRIPRYWIWFHYLSLVKYPYEAVMQNEFSDPGRCFVRGVQMFDNTPLAALPGVLKVRVLRAMSQSLGVDIGTATCITTGPDFLAQQAVTDLTKWDCLWITVAWGFLFRILFYISLLLGSRNKRR >KQK86424 pep chromosome:Setaria_italica_v2.0:IX:1785007:1788666:1 gene:SETIT_034740mg transcript:KQK86424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLPPSLAPHLRRRRFSTAPASHHPPSAARAAEQHCLRLLERSSTPAAVLQSLAFLVKSGLHSNPLVLTRLFASTASAAPALLEPLVSALLGPSVPIDAFLVNTLIRAHATSPLPSARLRAAAFFPLMLRSAVLPNKFTFPFLLKACAALPGSPGVGLQAHAAVLKFGFATDQYVSNTLIHMYSCFDGGFLGDARNVFDRMTKSSAVTWSAMIGGYVRGGLSSDAVELFREMQASGVRPDEVTVLGVLAAAADLGALELARWVGRFVEREGFGKSVTLCNALIDTLAKCGDVDGAVAVFQGMEERTVVSWTSVIDALAMEGRGKEAVGVFEEMKTTGVPPDDVAFIGVLTACSHAGMVDEGHGYFDSMKMQYGIEPKIEHYGCMVDMFGRAGMVERAMEFVRTMPMKPNQIIWRTLVAACRAHGRLELGESITRNLLNEYPAHEATYVMLSNVYALTQRWKEKSEIRREMSKRGFKKVPGCSVVELDGEVHEFIAGDESHPQWKEIYRMVEEMARELRRIGHIPATSEVLLDLDEEDKEGALQWHSEKLAIAFVLLRTPPGTQVRVVKNLRVCLDCHAAIKCISQVYNREIIVRDRSRFHRFKNGSCSCNDFW >KQK87607 pep chromosome:Setaria_italica_v2.0:IX:8193614:8198270:-1 gene:SETIT_036095mg transcript:KQK87607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLLEATRLLSSPLPRPRPPLLPPHRGLRLLLGPARLPALRAHHRLPPPAAAEEDEPARPQPQPLLALDALRRSVLDSLAALKRPALALLLAGALLAAAPAVVGPHAAALAASGGRVGGSAFSSRSSSPPSYGYSAPVPRGGYTSAPFYSPSPFVSVGPAVGIGFGGSGFLMALMGFAAFLYLAGFLSDSPGNGSVLTETQKTTVLKLQVGLLGMARSFQKELDQIAEKADTSTPAGLSYVLTETTLALLRHPDCCISAYSSVDVKRSMDDGEKRFNQLSIEERGKFDEETLVNVNSIKRNKAGSQRSSGFSNEYIVITILVAAEGVYKLPVINSSNDLKTALQNLGGIPSSKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >KQK90911 pep chromosome:Setaria_italica_v2.0:IX:46551505:46551793:-1 gene:SETIT_038529mg transcript:KQK90911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSTKGDQCTLLQGPSCMVNIRRWYGAPAASN >KQK88760 pep chromosome:Setaria_italica_v2.0:IX:17015035:17016574:1 gene:SETIT_038458mg transcript:KQK88760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMANNESELVVWRSGKQRVTCSAMERDTVTSLSGQRKSGKQNYMNQHKGLDLPAMALA >KQK91708 pep chromosome:Setaria_italica_v2.0:IX:51378226:51380690:1 gene:SETIT_034624mg transcript:KQK91708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGAKAMLAKPIQLADQVAQQAGYQCLRTDCTELRARAKKLAELLRQAARADLYERPAARVMADTERALAKAAGMAARCFQSHSRLRRFFTLNPVSGLPRTLAMLDTALEDIDWLIRISSPQADDDGDLRGLPNIAQNEPVLGMIWDNIARLHTGGLAARADSAATLASLARDNPHYAKYIIEEDGVPPLVKLLKEGTDDGQEAAAMALGLLFRDEESVEKLLHTGVCSVFAAALKEPPMRVQAAVADAIASLANHSQKCKDLFAQNYAVRHLVGHLASGTIQEHSRYSVSGNGSRNTPTPAAAMSSLDKLHSVVLAKSRSVHQGGSGSSTNEPPNQPEASNGGQQRERSNQMQSVVKSAIAAKTNVAAPPPSRPQLSSNGSSGRGSREAEDPATKAHMKAMAAKALWKLARGHVGVCTSITESRALLCFARILENGDGGAGTHLQFYSAMAIMEITRVAEHNLALRQSAFKPSSPVAKAVVEQLLRIVRKGDDDELLCPCVTALGCLSRTFTASETRVIGPLVQLLDDREIPVMKEAVVALTKFACTENHLHVNHCKAIMDAGGARHLVQLVYLGDHLQIEALILLCYIALHVPESEELAQAGVLAVLMWASKQAHMVQDLRVEALLPNAKGRLDLFQSRASR >KQK91816 pep chromosome:Setaria_italica_v2.0:IX:51974942:51979319:1 gene:SETIT_035274mg transcript:KQK91816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASITSELLFFLPFILLALLTFYTTTVAKCHGAHRWRPTKKKRPNLPPGAPGWPLVGETFGYLRPHPATSVGRFMEQHVARYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDAHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRSWPPADGAFSAQHEAKKFTFNLMAKNIMSMDPGEEETERLRLEYITFMKGVVSAPLNFPGTAYWKALKSRASILGVIERKMEDRLEKMSKENSSVEEDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLEIARRQRLRGASKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIRDVHYNGYDIPRGWKILPVLAAVHLDSSLYEDPNQFNPWRWKVSGSPCNSAN >KQK91817 pep chromosome:Setaria_italica_v2.0:IX:51974942:51980755:1 gene:SETIT_035274mg transcript:KQK91817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASITSELLFFLPFILLALLTFYTTTVAKCHGAHRWRPTKKKRPNLPPGAPGWPLVGETFGYLRPHPATSVGRFMEQHVARYGKIYRSSLFGERTVVSADAGLNRYILQNEGRLFECSYPRSIGGILGKWSMLVLVGDAHREMRAISLNFLSSVRLRAVLLPEVERHTLLVLRSWPPADGAFSAQHEAKKFTFNLMAKNIMSMDPGEEETERLRLEYITFMKGVVSAPLNFPGTAYWKALKSRASILGVIERKMEDRLEKMSKENSSVEEDDLLGWALKQSNLSKEQILDLLLSLLFAGHETSSMALALAIFFLEGCPKAVQELREEHLEIARRQRLRGASKLSWEDYKEMVFTQCVINETLRLGNVVRFLHRKVIRDVHYNGYDIPRGWKILPVLAAVHLDSSLYEDPNQFNPWRWKVSGSAGVLLQSNAPSSFMPYGGGPRLCAGSELAKLEMAIFLHHLVLNFRWELAEPDRAFVYPFVDFPKGLPIRVQRIAGEHSVLTESTAT >KQK92163 pep chromosome:Setaria_italica_v2.0:IX:54091137:54091593:-1 gene:SETIT_038494mg transcript:KQK92163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPSHVQSCVLVEHTDKTITELGRRNSSMLSHKHKHKICLSIYGRVKLNTIQ >KQK91590 pep chromosome:Setaria_italica_v2.0:IX:50685928:50687304:1 gene:SETIT_040273mg transcript:KQK91590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLTSASWQGHHSRVLHLFFTSLQSGDRGAVDPHPAAVPTALRACARLGNASSGRLIHALLLTRFPSLSSDAVVSTALLDMYAKCGLVASARRVFDEMPRRDDLVAWNALLAGYARHGLPERALALTIKMRGQGLRPDLVTWNAVMSGFALAGDDHMAEDLVRAMQDDGFRPDVVTWTSLVSGSVLNFHYDRARTLFRRMVSTGTRVLPGSATIASILPAFANVADVKRGKEVHAYAIVAGIEQDLTVSSALVDMYAKCGLVLEAHRLFDNMTERSTVTWNSMIFGLANSGHCQEAIGLFDRMLRDGARPVHLTFTAVLTACSYCGMVELGKGLYRAMKEEHDIEPRLEHYACMVHLLGRAGKLAEAYDFIKAMPLEPDCFVWGALLGACRSHGDVKLAELAASRLLTVEPANAANCLLYSDALASAGRQDDVLKTKRLAKRRRMKKLDGCSWLEPP >KQK88866 pep chromosome:Setaria_italica_v2.0:IX:17889637:17890469:1 gene:SETIT_039414mg transcript:KQK88866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPSNVDGRWQHKTKKEDELLHDICDYVAVAFRKDIKTYSIHPHGIGICEFVHPWDPDILHDEALNCRRSPFTRTSWVMLLGYPLDYKEHHFLQQECTPFEDKIPPPPNNGNPHPHVGLVLPGEPG >KQK87239 pep chromosome:Setaria_italica_v2.0:IX:6228283:6231631:1 gene:SETIT_034768mg transcript:KQK87239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPGAKLRNEQSRSVAGCPARPCGGPVQSHGHERHGSFQSEKERGKEQRATDGRERRHATPAASLSLSLSLSLSLSLSLPLSRKRAQERRVQRRLSAMMDLRVAAAAPAGTGVRVLGGSVARVPPRPWALRGKRRLSVRMSVATTEAATAATAVGASEDQVLESRNAKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGSYRLIDVPMSNCINSGINKVYILTQFNSMSLNRHLSKAYDFSNGVAFGNGFVEVLAATQRPGSEGKRWFQGTADAVRQFDWLFDDAKAKDIEDVLILSGDHLYRMDYMDFVQSHRQRGAGISICCLPIDGSRASDFGLMKIDDTGRVISFSEKPKGDELNAMQVDTTVLGLSKEEAEQKPYIASMGVYIFKKDILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALTEQVCLL >KQK87240 pep chromosome:Setaria_italica_v2.0:IX:6228283:6232081:1 gene:SETIT_034768mg transcript:KQK87240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPGAKLRNEQSRSVAGCPARPCGGPVQSHGHERHGSFQSEKERGKEQRATDGRERRHATPAASLSLSLSLSLSLSLSLPLSRKRAQERRVQRRLSAMMDLRVAAAAPAGTGVRVLGGSVARVPPRPWALRGKRRLSVRMSVATTEAATAATAVGASEDQVLESRNAKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGSYRLIDVPMSNCINSGINKVYILTQFNSMSLNRHLSKAYDFSNGVAFGNGFVEVLAATQRPGSEGKRWFQGTADAVRQFDWLFDDAKAKDIEDVLILSGDHLYRMDYMDFVQSHRQRGAGISICCLPIDGSRASDFGLMKIDDTGRVISFSEKPKGDELNAMQVDTTVLGLSKEEAEQKPYIASMGVYIFKKDILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALTEQKKATEGNPLYYTRSFMFSVLALVFKHSWCGGDNVKT >KQK87241 pep chromosome:Setaria_italica_v2.0:IX:6228283:6233269:1 gene:SETIT_034768mg transcript:KQK87241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPGAKLRNEQSRSVAGCPARPCGGPVQSHGHERHGSFQSEKERGKEQRATDGRERRHATPAASLSLSLSLSLSLSLSLPLSRKRAQERRVQRRLSAMMDLRVAAAAPAGTGVRVLGGSVARVPPRPWALRGKRRLSVRMSVATTEAATAATAVGASEDQVLESRNAKTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGSYRLIDVPMSNCINSGINKVYILTQFNSMSLNRHLSKAYDFSNGVAFGNGFVEVLAATQRPGSEGKRWFQGTADAVRQFDWLFDDAKAKDIEDVLILSGDHLYRMDYMDFVQSHRQRGAGISICCLPIDGSRASDFGLMKIDDTGRVISFSEKPKGDELNAMQVDTTVLGLSKEEAEQKPYIASMGVYIFKKDILLNLLRWRFPTANDFGSEIIPASAKEINVKAYLFNDYWEDIGTIKSFFEANLALTEQPPKFSFYDADKPMYTSRRNLPPSMVNNSKITDSIISHGCFLDNCKIEHSVVGVRSRIGRNVHLKDTVMLGADYYETDAERGEQLAEGKVPIGIGDNTTIQKCIIDKNARIGKNVTISNSEGVEEADRTSEGFYIRSGITIVLKNSIIADGLVI >KQK90430 pep chromosome:Setaria_italica_v2.0:IX:42614852:42617497:1 gene:SETIT_035857mg transcript:KQK90430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPRVTIDNTSCANATIIHVDSANKYGILLEVVQVLTDLKLIVKKAYISSDGGWFMDVFNVTNQSGQKIMDESVLQGIKDYIYKSIAPGSCFLPSRRRAIGVEPSSDYTLIELTGTDRPGLLSEVSAVLTNLDCNVVNAEVWTHNERAAAVIQVTDRKSGLAISDADRLGRIKEWLRNVFKGRSRDAKTTVAMGITHTERRLHQMMLEDRDYERYDKDRANANPMPMVSVVNWLQKDYSVVTMRCKDRPKLLFDTVCTLTDMQYVVYHGSVDTEGPEAYQEYYIRHIDGSPVNSEAERKRIIQCLEAAIERRVSEGLKLELSTGDRVGLLSDVTRIFRENGLTVTRAEVATRGNKAINTFYVRDTAGSSVELKTLEAIRQEIGQTVLQVKGHPEHPKSPAQESPTRFLFSSLFRPRSL >KQK91397 pep chromosome:Setaria_italica_v2.0:IX:49576682:49577993:1 gene:SETIT_038574mg transcript:KQK91397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALPPTLTSACEQPPLDDGTTRLYMSYGLQEEIKLVPLDMADKPAWYKRVYPKNQVPSLEDNKKIIGGSLDQIKYIDSNFDGHKLITDDPRKQRFAEELLGYSDAFNRAMLDELRSKGPLWLCIYWIPICQALMVINFFAGIKNYDITQGRVHIRVFIEELNKIDAYTQTKQDP >KQK92738 pep chromosome:Setaria_italica_v2.0:IX:57012154:57013716:-1 gene:SETIT_039571mg transcript:KQK92738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLLPRRHHRRLLQTLPCAAAKSAAEVDLHDQRLCSTSAASSPSLSIWRRKKEMGKEGLMAVAQLKRLAALPPAGAHPRLEQFMGSHVSRLLRTDLLAVLAELLRQDHVILSMKIYSVVRKEIWYRPDMYFYRDMLYLLARNRKVDETRQVWADLKSEDVLFDQHTYGDIVRAFCDAGLIDLAMELYEDMRSSPDPPLSLPFRVILKGLVPYPELREKIKQDFLELFPDMIVYDPPGSLSDVDDEFKF >KQK92362 pep chromosome:Setaria_italica_v2.0:IX:55143513:55144185:-1 gene:SETIT_038275mg transcript:KQK92362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPMTCVRRVAASKLFLVCCVKLCSFDSWSCRCTISLSTSRTGGVGAQTTTHKSWHSVQLIHKPDVSRSAPEDIRCFLAAFLFVTQ >KQK89073 pep chromosome:Setaria_italica_v2.0:IX:20223147:20224443:-1 gene:SETIT_037628mg transcript:KQK89073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGNTRFAVTCGLLRQYMREQQLGALDGAFRLPPLVETTTEKDEDTDGRTMQLFPTRAGTLQPSQERPEAQAKAPLTIVYEGRVLVFEDFPADKAEELMQLAGSGSAATPQTKAAPAAAEKPASNPPAALPDLPIARKASLQRFLQKRKHRINAAEPYNKVTASPVPEKDITGSGKPATDEPAAASWLGL >KQK89072 pep chromosome:Setaria_italica_v2.0:IX:20223762:20224350:-1 gene:SETIT_037628mg transcript:KQK89072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGNTRFAVTCGLLRQYMREQQLGALDGAFRLPPLVETTTEKDEDTDGRTMQLFPTRAGTLQPSQERPEAQAKAPLTIVYEGRVLVFEDFPADKAEELMQLAGSGSAATPQTKAAPAAAEKPASNPPAALPDLPIARKASLQRFLQKRKHR >KQK91903 pep chromosome:Setaria_italica_v2.0:IX:52612663:52618346:-1 gene:SETIT_036584mg transcript:KQK91903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQLVSSLPARCSRLAVPHLRRSCKLSPRVSMSSSSFSSPPEDQSQETEAPHAPVTRERRLNPDLQQQLPKPYLARALEAVDPSHPQGTKGRDSRGLSVLQQHAAFFDRNGDGIIYPWETFQGLRAIGCGLPVSVVGSILINLVLSYPTQPGWLPSPLFSIHIKNIHKGKHGSDSEAYDTEGRFDPSKFDAIFSKYGRTHPNALTKDELNSMLEGNRNMYDFLGCSFFLCFIYDLVHTGPPPPVNGCYSTA >KQK91904 pep chromosome:Setaria_italica_v2.0:IX:52612663:52618794:-1 gene:SETIT_036584mg transcript:KQK91904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGREGRPADSPRGKAVKTLRAGNGGMASEALGVSVISITQCRNGGMHVPCPDCLGLGRSCARSPRREGVKEWNSTVPGCFSLSARVATVTRRHFPRFPWQRFGAFRRRPRLLWRALKGSGAHVEASSVTRMTLQLVSSLPARCSRLAVPHLRRSCKLSPRVSMSSSSFSSPPEDQSQETEAPHAPVTRERRLNPDLQQQLPKPYLARALEAVDPSHPQGTKGRDSRGLSVLQQHAAFFDRNGDGIIYPWETFQGLRAIGCGLPVSVVGSILINLVLSYPTQPGWLPSPLFSIHIKNIHKGKHGSDSEAYDTEGRYVRITHSVLSICRG >KQK87945 pep chromosome:Setaria_italica_v2.0:IX:10337640:10339009:-1 gene:SETIT_0367082mg transcript:KQK87945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLSAVATCHRVQCMASKIHPLSFIAITALAFLLHPCASIEFRRELSGWSNGIATWYGTADGAGSDAGACGFQNDVDQPPFSSMIAAGCSSIYDSGKGCGSCYQVVCTGNGGCSGNPVTVVITDECPDCPCPDDQVHFDMSGTAFGAMAKPGQEGQLRGAGSIQIQYKRVQCEWPGVNATFSVESGSSPSYLAVLIEYEDGDSDLDAVDIMQGGSGQWVPMQQSWGAVWKLNSASPLQGPFDIRLTFSSGRVLVASNAIPAGWNAGVAYRSGGVAVARARPRSGGCRSHDAAGTLSGLVYVLLLLVLFLGLEL >KQK87944 pep chromosome:Setaria_italica_v2.0:IX:10337640:10338950:-1 gene:SETIT_0367082mg transcript:KQK87944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIHPLSFIAITALAFLLHPCASIEFRRELSGWSNGIATWYGTADGAGSDAGACGFQNDVDQPPFSSMIAAGCSSIYDSGKGCGSCYQVVCTGNGGCSGNPVTVVITDECPDCPCPDDQVHFDMSGTAFGAMAKPGQEGQLRGAGSIQIQYKRVQCEWPGVNATFSVESGSSPSYLAVLIEYEDGDSDLDAVDIMQGGSGQWVPMQQSWGAVWKLNSASPLQGPFDIRLTFSSGRVLVASNAIPAGWNAGVAYRSGGVAVARARPRSGGCRSHDAAGTLSGLVYVLLLLVLFLGLEL >KQK88491 pep chromosome:Setaria_italica_v2.0:IX:14603882:14606888:-1 gene:SETIT_037227mg transcript:KQK88491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAYRDSIKVLEADIQHANTLASEFPRDCDGACLQMRLSYSSAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDVEDKKQKAVCMERYRKKDEDERSSLSDIDVEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTCPGDLWIYVEDQDVVDMETVSSENLRRLFMYISKLPLIVPDVIFTAYDSHIK >KQK88490 pep chromosome:Setaria_italica_v2.0:IX:14603882:14606562:-1 gene:SETIT_037227mg transcript:KQK88490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSYSSAAHIFLFLVQWTDCSLAGALGLLRILIYKVYVDGTTTMSTHERKASIKEFYAVIFPSLLQLQRGITDVEDKKQKAVCMERYRKKDEDERSSLSDIDVEREEECGICMEMNSKVVLPNCTHAMCLRCYQDWNSRSQSCPFCRDNLKKTCPGDLWIYVEDQDVVDMETVSSENLRRLFMYISKLPLIVPDVIFTAYDSHIK >KQK89848 pep chromosome:Setaria_italica_v2.0:IX:36433293:36436104:1 gene:SETIT_035382mg transcript:KQK89848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSARSNASAENRLGYTRSASMDSAAPPLAVRSGSMLSRRSSRQGSMGSISLSREMGDSILNSMRHSLQSADQLLGDVDSSVLAQLIESGRILAPEVDANEDIANALEHHKVGPLPDDAMMPNNGKIAAAPVSSVETKDIISNAPADSSTKVEPYKLSLRLDYAAYMIHLAVFGFFGVFTRYGLQKLFGPECLALTSDQSPLYPDLPSNMLGSFLMGWFGIIFKADIQHISNHLIVGITTGYMGSLTTFSGWNQKMVGLSSRGHWVYAIAGIVLGMFIVNESITIGAETGERLRSLILKYIRERSSIGHKYDWEQWRVDTRTKQSVLLSVMMILMSFLWILSIALAVVKVRSLADGAVLWMGCSVVPPGVWLRWYLARLNGQGIGKQGSFKWLPIGTLAANVLAAGVMAALAVTSKVVHTKQAMTILSGIQLGFLGCLSTVSTFAAEVYTMRRSGQIARAFVYAASTFLLSFVLGTLIYSVPVWEKHYG >KQK91278 pep chromosome:Setaria_italica_v2.0:IX:48960049:48960531:-1 gene:SETIT_039340mg transcript:KQK91278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGSFDVIRIVHLSGHIEEYTRPVTAGEVIAAHPNHVLSRPCSQGGARRILIVAPDSELKRGCFYFLVPASSVPEKKRKPQQKKARPQKTAPSAAVADKEVKKDNGDRYLAEVLSEGKASLKRRRSGRSTVWRPHLHSILEEDANDS >KQK92466 pep chromosome:Setaria_italica_v2.0:IX:55658089:55661359:-1 gene:SETIT_037594mg transcript:KQK92466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQFQDGKEEVIQAWYMDESEEDQRLPHHREPKEFIPLDKLSELGILSWRLNADDWENDENLKQIREARGYSYVDICDVCPEKLPNYEAKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIAVKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVDRIINRGGNQAVEAR >KQK92464 pep chromosome:Setaria_italica_v2.0:IX:55658089:55659361:-1 gene:SETIT_037594mg transcript:KQK92464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINIFLMQDICDVCPEKLPNYEAKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIAVKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVDRIINRGGNQAVEAR >KQK92465 pep chromosome:Setaria_italica_v2.0:IX:55658089:55661220:-1 gene:SETIT_037594mg transcript:KQK92465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESEEDQRLPHHREPKEFIPLDKLSELGILSWRLNADDWENDENLKQIREARGYSYVDICDVCPEKLPNYEAKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDQNDQWIRIAVKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGEPVWTPYNRPHDHLPARKEYVDRIINRGGNQAVEAR >KQK88668 pep chromosome:Setaria_italica_v2.0:IX:15987614:15993287:1 gene:SETIT_034453mg transcript:KQK88668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEETLVAMPLAPHHHHHARLDALPHHVAPAPPPQQQAPPPEPTVADSKEEERDRGVVEPPAPAPRPETPPSPGLAAAAGAGEVGDVYYARRMLQGAVLRPPPHLPQPEAPPGLARALSAPAPHGYAEEEAEETEAGGRQRPVDRSASANSAAAAVAVDVASIGRFLRDRRAVLSSAITRRISSLKESSAPPAAADTYGVQEIHLPNVKVTVRLKDAIAAEDDDISLADSNDDGGYSFSGGHIKGRVSFFSRSGCRDCAAVRAFFRQSGLPYVEINLDVFPEREAELASRAGAAARVPQIFLNEKLLGGLVVLNSLRNSGEFERRVRDLAGRRCPDSAPRMPVYGFDDDAGGKEGEEAEDAMVGVVRVLRHRLPIQDRFVRVKLVKNCFSGADMVDGIVNHLECSRKKAVEIGKELARKHFIHHVFRENDFEDGSQNLYRFLEHDPAVPKYYNFRGSTNDGEPKPAAAVGHRMTKIMLAILEAYASDDRRHLDYSRIAASEEFRRYANLVQELQRADMTALPAEERLPFFLNLHNAMAIHAVIRVGQPGAVDRRPFFSDFQYIVGGQPYSLAAIRNGILRANRRQPYTLAKPFGSNDRRLELAQRRANPLVHFALCDATRSSPIVRFYTTQSVEPELRHAAREFFLHGGVEIDLESRTVHLTRIVKWYSADFGQDRDILRWLLNYLDPTKAGLLTHLLNDGGPINVSYMNYDWSLNV >KQK86826 pep chromosome:Setaria_italica_v2.0:IX:3972214:3975380:1 gene:SETIT_036467mg transcript:KQK86826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEGLIHKIWTLFPFSSTRASSSHLAATAAGEMDSRGFDSAGRVFSNATEMWVEELGSTATATTSAAAEAEAAPTTAAAAGNGDAGEEASGDGKRKEWYSKAIAYWQGVEASTEGVLGGYGCVNDADVKGSDAFLRPLLAERFGTAKRHLVALDCGSGIGRVTKNFLLRHFNEVDLVEPVSHFLEAARENLTGCMDQGEDSHKAANFYCVPLQDFTPEEGRYDVIWIQWCIGQLPDDDFISFFNRAKVGLKPDGFFVLKENIARNGFVLDKVDNSVTRSDPYFRELFKKCGLYILSVQDQKELPKELFAVKMYALVTSQPKMQNSGKRRRPKNKPRMICS >KQK87254 pep chromosome:Setaria_italica_v2.0:IX:6278913:6279673:-1 gene:SETIT_038189mg transcript:KQK87254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKLLSLTCILLLSGLVVFGEIGGVAAAAEPCPVVCIQGGYITCDNYPYQKLDGCDCECAPKDGINCVLHHLVTGDTFKCPAQA >KQK87253 pep chromosome:Setaria_italica_v2.0:IX:6279091:6279547:-1 gene:SETIT_038189mg transcript:KQK87253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQTARQIKLKDKMASMKLLSLTCILLLSGLVVFGEIGGVAAAAEPCPVVCIQGGYITCDNYPYQKLDGCDCECAPKDGINCVLHHLVTGDTFKCPAQA >KQK87587 pep chromosome:Setaria_italica_v2.0:IX:8079188:8082748:1 gene:SETIT_035155mg transcript:KQK87587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSRRRLSPTFFGGLRSRELGGAGGSSSSRGAGRLPYLADLSSDPGGRGGGVIAVEHSGDSAIPFAISFGKTAQTSNLLAVADEDGYVGLYDTRRRLPSSSSSLEKSAETRVSDWVAHNNAIFDVCWIKEGSQILTASGDQTVKIWSVGNRKCIGVLSGHTGSVKSLSCHSSNPELIVSGSRDGSFALWDLRCDPKSPNSHAETCLMSSAVVREAHSPVQRSQTRSRAKAASTSITSVLYLKDDVSIATSGAADNVVKIWDTRNLKVPVSKNSQAGGQPLEGVKHGISCLSQDSYGAYIAASCMDNRIYLYSVLHVNKGPVKVYTGSKIESFFVKSAISPDGNHILGGSSDGNVYLWQVDKPEDDPIVLKGHEGEATSVDWCVSEVGMIATSSDDSTVRVWSTKKMECTNVSSPTAFRKRITAPNTEYRRSASHERATTSWDAVACTSTDGKSPSGSHSPLQPRALDFGTPESAKKRGFALFQEEALDTRKSPEAQMNSPSSVLSPPPSLKRRTIRDYFASSAS >KQK87932 pep chromosome:Setaria_italica_v2.0:IX:10268477:10268915:-1 gene:SETIT_040834mg transcript:KQK87932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGQHLLRVLELAQPLVVFNFMAGGRILQ >KQK86530 pep chromosome:Setaria_italica_v2.0:IX:2454716:2455940:1 gene:SETIT_039232mg transcript:KQK86530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAAPCAAGRPSSRRELDEPAATATMRITVRTLRGERVALDVDGVATVAQVKGMVAAREGVAADMQRLFFAGRHLDDDALPVAHYGVRHGSVVFLSLRLRADSSQYEMRNVQMQPEQQPVTARQLIDQQQQQLIVFDGDGHHEAARGRGGGEEAIIKRKPVSRRSLRKILSRLHVDVWTAQHDAKFLDLLHRHTRGGGGDRRSVGDLTADDWRAIRAELNAATASAFPVDELQRRLAEFRREFNAVSRIKEHHRFSYDARRRVVVATEAEWKRYVLENPGAVAYEGKSPHFGRLRAIFSGVGVGGAEMRGARGVAKQCRESRAKRCLGKLLRSFGLRCKL >KQK88426 pep chromosome:Setaria_italica_v2.0:IX:14146584:14146886:1 gene:SETIT_038943mg transcript:KQK88426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRSFLSPPWLLLLLAFLDDAVAVPRPLLGIAEPPATAAGPVSASRPGGSGRPDRSVAGADVILVGFAAAVVVVIFLYIRVTRKNSSSASVGVGEKREG >KQK93103 pep chromosome:Setaria_italica_v2.0:IX:58789431:58796645:-1 gene:SETIT_034093mg transcript:KQK93103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANGMDAISNETVDLEHIPVEEVFEHLKCTREGLTADAAQQRIDVFGYNKLEEKQESKVLKFLGFMWNPLSWVMEAAAIMAIALAHGGTDDRGKKMSIDYHDFIGIMLLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGTWSEMDASLLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDGIYSGSTCKQGEIEAVVIATGIHTFFGKAAHLVESTNHVGHFQKVLTSIGNFCICSIAAGMTIELIVMYAIHARRYRQIVDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVIARGREKDDVVLMAARASRLENQDAIDFAIVSMLPDPKEARAGIQEVHFLPFNPTDKRTALTYLDAGGKMHRVSKGAPEQILNLASNKAEIERKVHHAIANYAERGLRSLAVSYQEVPEGTKESPGGPWQFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGDKKEGDIAVLPVDELIEQADGFAGVFPEHKYEIVQRLQARKHICGMTGDGVNDAPALKIADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLACFWKFDFPPMLVLVIAILNDGTIMTISKDKVRPSPHPDSWKLAEIFATGVIIGAYLAVTTVLFFWAVYKTEFFVRLFHVRSLNINKLDSKDINAVADNTERLASAVYLQVSTISQALIFVTRSRGWSFLERPGMLLMGAFVVAQLIASVLAAMVSWEVAGIKGIGWGWTGAIWVYNIAVYLLLDPIKFAVRYGLSGKAWGLVLDNKVAFTSRKDFGKEAREAAWAHEQRTLHGLQTATATTTSEQQQQLGQMAEEARRRAELARLRELHTLKGKVESVVKLKGLDLDDINNQHYTV >KQK88294 pep chromosome:Setaria_italica_v2.0:IX:12967447:12969655:-1 gene:SETIT_037998mg transcript:KQK88294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQAGPAPPAFVYRISTADEWAELQRAGATLGGDLDRSTGCIHLSDLNQVKMTLKNFFLGRNDLYLLQIDTSKLADGLIYEASDDNNYFPHFYGPGRSFVPLKLDAVIKADKIELENNDFTCSLLDRINLPS >KQK88293 pep chromosome:Setaria_italica_v2.0:IX:12965583:12969655:-1 gene:SETIT_037998mg transcript:KQK88293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQAGPAPPAFVYRISTADEWAELQRAGATLGGDLDRSTGCIHLSDLNQVKMTLKNFFLGRNDLYLLQIDTSKGLHLPCQILKRRDKIKDPEEAQIGS >KQK87306 pep chromosome:Setaria_italica_v2.0:IX:6565863:6568745:1 gene:SETIT_036678mg transcript:KQK87306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGQQSDQGPSANSGREFSEANWNSVTMHQKMGYNSGPYGFGPYSMGLEERPGLYQSSSGTFSQNIQMSDEHSGGVKKRKGMDDCVGLLQNAGDQQTEGSSQPERNSMEEGNRKISPKMQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSQQMMLSQDRHFAIYGADPGSSSLAAQFNQGIMQPEIMCNISNPVDVLQGTIHDVSTMNQIPAMWEGLQNLPQMNFNPGVAAGSSTNNSGSMKIEQ >KQK87307 pep chromosome:Setaria_italica_v2.0:IX:6565521:6569003:1 gene:SETIT_036678mg transcript:KQK87307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGQQSDQGPSANSGREFSEANWNSVTMHQKMGYNSGPYGFGPYSMGLEERPGLYQSSSGTFSQNIQMSDEHSGGVKKRKGMDDCVGLLQNAGDQQTEGSSQPERNSMEEGNRKISPKMQSKEDSSDGDGTKEDYVHIRAKRGQATNSHSLAERLRRKKISERMKLLQDLVPGCSKITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPELGFDIEQILSQQMMLSQDRHFAIYGADPGSSSLAAQFNQGIMQPEIMCNISNPVDVLQGTIHDVSTMNQIPAMWEGLQNLPQMNFNPGVAAGSSTNNSGSMKIEQ >KQK91351 pep chromosome:Setaria_italica_v2.0:IX:49392566:49393075:-1 gene:SETIT_040824mg transcript:KQK91351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIATPQLTGRGGDGPCCPFFRTGAERPPEPPPDLSDRVGRPERNWWWRPRGAMPLDARPLHERSSRRPIRKIFLARARVNFQIF >KQK91633 pep chromosome:Setaria_italica_v2.0:IX:50948130:50951955:1 gene:SETIT_034404mg transcript:KQK91633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRCLQYVLHRGRETEGRKIRETSSRSPELQLLTQPGTTRVSPAGLVSFRPTPLHWFPFDSNSTPLPRRTSLFPLLQRSLTLTMAAPNGLARIETHGKKKHENGVCHDDSAAPVRAQTIDELHSLQRKRSAPTTPIKDGAASPFAAALSEEERHRQQLQSISASLASLTRETGPKVVKGDPARKGEAAAKGAPPTPQKHHQHHHPAAPTIAVSDSSLKFTHVLYNLSPGELYEQAIKYEKGSFITSNGALATLSGAKTGRSPRDKRVVKDEAAAQDLWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTDEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRGILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTGKHPQNTDPFFDRSHAS >KQK91634 pep chromosome:Setaria_italica_v2.0:IX:50948130:50953193:1 gene:SETIT_034404mg transcript:KQK91634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRCLQYVLHRGRETEGRKIRETSSRSPELQLLTQPGTTRVSPAGLVSFRPTPLHWFPFDSNSTPLPRRTSLFPLLQRSLTLTMAAPNGLARIETHGKKKHENGVCHDDSAAPVRAQTIDELHSLQRKRSAPTTPIKDGAASPFAAALSEEERHRQQLQSISASLASLTRETGPKVVKGDPARKGEAAAKGAPPTPQKHHQHHHPAAPTIAVSDSSLKFTHVLYNLSPGELYEQAIKYEKGSFITSNGALATLSGAKTGRSPRDKRVVKDEAAAQDLWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTDEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRGILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTVDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIVDAIHSGELLTANYKKTEVFGLEIPTEIDGVPSEILDPINTWTDKAAYKETLLRLAGLFKNNFEVFASYKIGDDSSLTDEILAAGPNF >KQK91635 pep chromosome:Setaria_italica_v2.0:IX:50948130:50953193:1 gene:SETIT_034404mg transcript:KQK91635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNGLARIETHGKKKHENGVCHDDSAAPVRAQTIDELHSLQRKRSAPTTPIKDGAASPFAAALSEEERHRQQLQSISASLASLTRETGPKVVKGDPARKGEAAAKGAPPTPQKHHQHHHPAAPTIAVSDSSLKFTHVLYNLSPGELYEQAIKYEKGSFITSNGALATLSGAKTGRSPRDKRVVKDEAAAQDLWWGKGSPNIEMDEHTFLTNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIISARAYHSLFMHNMCIRPTDEELESFGTPDFTIYNAGQFPCNRYTHYMTSSTSVDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRGILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRLLIGDDEHCWSDNGVSNIEGGCYAKCIDLSQEKEPDIWNAIKFGTVLENVVFDEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTVDGIKEPQATFSACFGAAFIMLHPTKYAAMLAEKMQKYGATGWLVNTGWSGGRYGVGKRIRLPYTRKIVDAIHSGELLTANYKKTEVFGLEIPTEIDGVPSEILDPINTWTDKAAYKETLLRLAGLFKNNFEVFASYKIGDDSSLTDEILAAGPNF >KQK88854 pep chromosome:Setaria_italica_v2.0:IX:17815782:17818596:-1 gene:SETIT_040425mg transcript:KQK88854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRSPPSSTGHPGSTASPPAPPSTRKGSRRGSSCCGAATRWSASSTSSPPTRPRKTSPPPTSNTCSSIRGCQMWSRDRLYI >KQK91000 pep chromosome:Setaria_italica_v2.0:IX:47126496:47127544:-1 gene:SETIT_038836mg transcript:KQK91000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYRYKSGVQTFSVPMAAPSVSIADLKNLILRTARHGDGRTRGRGPRESVVLYDARTGEEHTDGGALLDGAGAPGRRPPPADAIAVAPSAVTSSSSAEDDEDRAISAVIDAAQLKWEGHHQSQGGRRYDHRGGLERRAAPPAGYVCHRCRVPGYFIQHCPINVDPRYDLGRASSNTNLPTPTPVSTSPDDKVPRELHCKICSKVMADAVVASRCCFGSFCNACIRGQIAAKSRCACGAQSRADDLIPNLTLRATIAKLLATSAGGSGSAGTSNRKSSAGSNAEPTSQSAAASQESHSRITANAGSEHSEGSASSTSKSATPPAERAKNEADYGGNRCARRLSGA >KQK88023 pep chromosome:Setaria_italica_v2.0:IX:10950049:10956322:-1 gene:SETIT_034979mg transcript:KQK88023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVADPKPPPRPKTRGNYNCGRCGQPKKGHVCVAPVPVAAPSGGGAPSPSPSTSSGAASASGGGGGGEQRLRRALSFDEAGTPSSPEKKPKVEGDVEMDVAGGEGEMEEGGDEDDDAAVEVGGRPVPGEVMAEVLRRLGPRGVMAAAGVNRGWRDCAGRVWRAADELRLRVLASTGAGLLGALLPRCPALSRLDLRMERDVDATTLACLAFSCPSLKTLEITMAANAINRMTGEDLSRFVSEKSSLSVLKIGGCSNLDFINLCSSSLSVLWLSELCSLSKSVMNCPNMSELSLCFAQQSNDCTDMVSLMDAMGRTCPNLNKMHISSNQLSNEAVFALESANLRGLCMLSLILGSKITDAAVASIVRLCTSLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPHLQLMDCGMSLCANLNNEKGPMFGDINGGIRIIRKLCASKNQPIHQKLIIKHGNLKKLSLWGCSAIDALYVNCPELNDLNLNSCTNLHPERLVLQCPNLKNVHAVGCQDMLIGAIRNQVNQTLITCKPQNLNKQII >KQK88024 pep chromosome:Setaria_italica_v2.0:IX:10950702:10956322:-1 gene:SETIT_034979mg transcript:KQK88024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVADPKPPPRPKTRGNYNCGRCGQPKKGHVCVAPVPVAAPSGGGAPSPSPSTSSGAASASGGGGGGEQRLRRALSFDEAGTPSSPEKKPKVEGDVEMDVAGGEGEMEEGGDEDDDAAVEVGGRPVPGEVMAEVLRRLGPRGVMAAAGVNRGWRDCAGRVWRAADELRLRVLASTGAGLLGALLPRCPALSRLDLRMERDVDATTLACLAFSCPSLKTLEITMAANAINRMTGEDLSRFVSEKSSLSVLKIGGCSNLDFINLCSSSLSVLWLSELCSLSKSVMNCPNMSELSLCFAQQSNDCTDMVSLMDAMGRTCPNLNKMHISSNQLSNEAVFALESANLRGLCMLSLILGSKITDAAVASIVRLCTSLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPHLQLMDCGMSLCANLNNEKGPMFGDINGGIRIIRKLCASKNQPIHQKLIIKHGNLKKLSLWGCSAIDALYVNCPELNDLNLNSCTNLHPERLVLQCPNLKNVHAVGCQDMLIGAIRNQVNQTLITCKPQNLNKQII >KQK88022 pep chromosome:Setaria_italica_v2.0:IX:10951588:10956322:-1 gene:SETIT_034979mg transcript:KQK88022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVADPKPPPRPKTRGNYNCGRCGQPKKGHVCVAPVPVAAPSGGGAPSPSPSTSSGAASASGGGGGGEQRLRRALSFDEAGTPSSPEKKPKVEGDVEMDVAGGEGEMEEGGDEDDDAAVEVGGRPVPGEVMAEVLRRLGPRGVMAAAGVNRGWRDCAGRVWRAADELRLRVLASTGAGLLGALLPRCPALSRLDLRMERDVDATTLACLAFSCPSLKTLEITMAANAINRMTGEDLSRFVSEKSSLSVLKIGGCSNLDFINLCSSSLSVLWLSELCSLSKSVMNCPNMSELSLCFAQQSNDCTDMVSLMDAMGRTCPNLNKMHISSNQLSNEAVFALESANLRGLCMLSLILGSKITDAAVASIVRLCTSLELLDLSGSSISDNGVGMICKAFPHTLSRLLLALCPNVTTRGIQLATAQLPHLQLMDCGMSLCANLNNEKGPMFGDINGGIRIIRKLCASKNQPIHQKLIIKHGNLKKLSLWGCSAIDALYVNCPELNDLNLNSCTNLHPERLVLQCPNLKNVHAVGCQDMLIGAIRNQVNQTLITCKPQNLNKQII >KQK91878 pep chromosome:Setaria_italica_v2.0:IX:52485517:52485966:-1 gene:SETIT_040372mg transcript:KQK91878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISNGVTYSTSPPQKKCCLYYLPASRLMLYLIMLLLIVCCIILYACDEKWS >KQK90540 pep chromosome:Setaria_italica_v2.0:IX:43517448:43517946:-1 gene:SETIT_038290mg transcript:KQK90540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTIPIKLAILHHAALPRRKPVVAGLPPSTVASHDHRRHLYCHKPSWIYRNDASKSARRQWRRRRSSKNSTGFSPRKPSAQG >KQK92172 pep chromosome:Setaria_italica_v2.0:IX:54149259:54151798:1 gene:SETIT_0363341mg transcript:KQK92172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNLQRFFFNCTKSGPSIRHNHTKIQPPPKPSAVSPGPPSPIAKPYPRRSPAMEAPDEEAGLGLPEGERLLEVTLISAQGLKPPSGLRRRLQAYAVAWVDAGHKLQTRPDASGGLDPAWHARLLFRVREASLADDSRAAVTVEIYAAAAGSWHLGGDSLVGSARFLLGDHRLLRRPVGSPSMFAVGVRRPSGRVHGLLNLAASLVAVPPSPAACHALRLSPAVSLSGLSVAPNPSRVLRVLNRAHPTPPPSPKLLTPKKQQMAVTPKQQQMAVKPNNKVADDGSDEEGDEEEERGMGGMMFCGPCVLPFPRKIHTSPSDENLQVFAGIFSGGLRHCRTEPSFPK >KQK92173 pep chromosome:Setaria_italica_v2.0:IX:54149259:54152856:1 gene:SETIT_0363341mg transcript:KQK92173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNLQRFFFNCTKSGPSIRHNHTKIQPPPKPSAVSPGPPSPIAKPYPRRSPAMEAPDEEAGLGLPEGERLLEVTLISAQGLKPPSGLRRRLQAYAVAWVDAGHKLQTRPDASGGLDPAWHARLLFRVREASLADDSRAAVTVEIYAAAAGSWHLGGDSLVGSARFLLGDHRLLRRPVGSPSMFAVGVRRPSGRVHGLLNLAASLVAVPPSPAACHALRLSPAVSLSGLSVAPNPSRVLRVLNRAHPTPPPSPKLLTPKKQQMAVTPKQQQMAVKPNNKVADDGSDEEGDEEEERGMGGMMFCGPCVLPFPRKIHTSPSDENLQVFAGIFSGGLRHCRTEPSFPKLATPFSCAKHHE >KQK92140 pep chromosome:Setaria_italica_v2.0:IX:53941883:53942386:1 gene:SETIT_040578mg transcript:KQK92140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGDVLIVRNRYLLIHQTFNLGSACQQRTYPTTTD >KQK90264 pep chromosome:Setaria_italica_v2.0:IX:41071973:41074012:-1 gene:SETIT_037235mg transcript:KQK90264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAEQAGMRRPTESERRRMYRDLALSLRCGLRDAAAGFSFLRLRGLRGLLRALHSADADLGLFRDSQAIRDLQVVPVLFEHSLRKATGDAVVTVAQVLGMEPAAARLRNPATDSEVVLALRVLQGCCLLCPGCAAAAHRYNAVKDFKEQFGLNKIADIVKDPNRDDHVRLKCSEFLLLYSGNCGATFKSNIQEDLKKLFGEKCASFICSTNLFSSTLDSQVRQCELSFLAEQVLDYMQPY >KQK92564 pep chromosome:Setaria_italica_v2.0:IX:56143329:56148643:1 gene:SETIT_039086mg transcript:KQK92564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYVNPPHRLPRRRAPPQRGDAHLPSSYRPTSHGSRVTQSHSVLLLDFAQMWLLKGEVGVVERADGSALFEMGNTRVIAAVYGPREVQNKGQQVNSKEALVHCEYRMAEFSTGDWRRKPKGDRRSTEISLVIRQTMEGKHINTFNAMLTGQFYLIDISVQVLQADGGTRSACINAATLALSDAGIPMRDIVTSCSDGYLCSTPLLDLNYIEDSAGGPDVTVGILSKMDKVTLLQMDAKLPMDTFENVMGLATEGCKAIATYILEVLLENTKRVECQCG >KQK88666 pep chromosome:Setaria_italica_v2.0:IX:15976332:15979768:1 gene:SETIT_036206mg transcript:KQK88666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTFLRSQNMAQACTVSHAENKRSLPAWMLKASSGNEVPKTEDRNRQALESNVKIGTVDPTKPIKRNTGRRLKSVDSEGASELVVLQWCQGKENARRKSKGAVQDVVEEIRDVPIKKGRKVSEGAAPKNNRKRKLENIKSETSSPVSVDDDVELTVEDLLSIAEEYVNADKQKQHEFEAMKTNRRKENFSCPTEAGTGVSAVNDPPKKGLLQCTTATRNTRSSEHTEENKSHQELQCSSRCETTEDVAQDMLNLFLGPLWSKPAGFSKNSEPVESITRNTNNHMPEETDWHSEVQTQGEPVKKSMLTATINHVPKKKGCCSEVPPQGEPMKKSMLTATINHVPEKKDWRSELPKLGEPVITKKKSSLRDKVALFL >KQK88664 pep chromosome:Setaria_italica_v2.0:IX:15976332:15979768:1 gene:SETIT_036206mg transcript:KQK88664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTFLRSQNMAQACTVSHAENKRSLPAWMLKASSGNEVPKTEDRNRQALESNVKIGTVDPTKPIKRNTGRRLKSVDSEGASELVVLQWCQGKENARRKSKGAVQDVVEEIRDVPIKKGRKVSEGAAPKNNRKRKLENIKSETSSPVSVDDDVELTVEDLLSIAEEYVNADKQKQHEFEAMKTNRRKENFSCPTEAGTGVSAVNDPPKKGLLQCTTATRNTRSSEHTEENKSHQELQCSSRCETTEDVAQDMLNLFLGPLWSKPAGFSKNSEPVESITRNTNNHMPEETDWHSEVQTQGEPVKKSMLTATINHVPKKKGCCSEVPPQGEPMKKSMLTATINHVPEKKDWRSELPKLGEPVITKKKSSLRDKVALFL >KQK88665 pep chromosome:Setaria_italica_v2.0:IX:15975984:15979768:1 gene:SETIT_036206mg transcript:KQK88665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFTFLRSQNMAQACTVSHAENKRSLPAWMLKASSGNEVPKTEDRNRQALESNVKIGTVDPTKPIKRNTGRRLKSVDSEGASELVVLQWCQGKENARRKSKGAVQDVVEEIRDVPIKKGRKVSEGAAPKNNRKRKLENIKSETSSPVSVDDDVELTVEDLLSIAEEYVNADKQKQHEFEAMKTNRRKENFSCPTEAGTGVSAVNDPPKKGLLQCTTATRNTRSSEHTEENKSHQELQCSSRCETTEDVAQDMLNLFLGPLWSKPAGFSKNSEPVESITRNTNNHMPEETDWHSEVQTQGEPVKKSMLTATINHVPKKKGCCSEVPPQGEPMKKSMLTATINHVPEKKDWRSELPKLGEPVITKKKSSLRDKVALFL >KQK88482 pep chromosome:Setaria_italica_v2.0:IX:14571357:14571696:1 gene:SETIT_0364742mg transcript:KQK88482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATFSAAGRRLLSTAAAAAEKTELPVPIAQLRRLARAGRLADIDAALAPLLPSHTVAALSSLSSVGLPHRAEELWRAMVQDHGIAPDAAAYNSRITYKSANGTVDKVRELS >KQK93028 pep chromosome:Setaria_italica_v2.0:IX:58426379:58427400:-1 gene:SETIT_039279mg transcript:KQK93028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVNDVRVGYLIFEFNNLNHLFAGGPAEEFPPILSLPEPAARFNHGVAWDCLTIAVSGNLVAAVAADDARTLLCDTGMFFAMSRLPHRDPEGAHHFELLLRLHDTEEEEEENRRRWAWLPIPDPPLLSSPEWYFSACFVAGAHIWVSICGEGTFTFDTARRRWHKEGTWELPVMMGQAILVPDFLGDSRQLLFGFCSKEEGGHFCAVDMEARPPAIIKSWPEARFSRLGYRPVLETASLAYFGGGRFCISMLIDTGYIYNYKPFVTNNMTNLVRLTRQAITFSAVEVTPELQLLIRKDEWYSMPLGSTLCSMYNI >KQK87445 pep chromosome:Setaria_italica_v2.0:IX:7341202:7341432:-1 gene:SETIT_040091mg transcript:KQK87445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVARHSAFFASRVACPSGNSGEFSARRICRYRDSTAGRFRARSSAVATATLRHPSAAVLLTSLHHRLEREGFGLE >KQK90314 pep chromosome:Setaria_italica_v2.0:IX:41549932:41550531:1 gene:SETIT_038044mg transcript:KQK90314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHRPVRRAPRPAPPSIPAAAEEDRRASRAFVPPRPGLARHAATARHRRPPTQAALRAADGGEASASPPAYLRIRTSGPGPRVVVKKGRKERRGRWDTDRQAPHVRKMERGKGGGDLLQHFKS >KQK91758 pep chromosome:Setaria_italica_v2.0:IX:51631217:51634524:1 gene:SETIT_039557mg transcript:KQK91758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTASPPPAAGDHPRVLLRGFLSGETCKELEFVHRSCGAAGYRPSVVSTSLPHLAATGCGHLLLPFVPIRERLRDAVESFFDCLFDVFIEFTGLISWCKGASIGWHSDDNKPYLRQRAFTAVCYLNNHGEDYKGGILQFQDGDPSSIVPVAGDVVIYTADNRNVHCVTEVTEGERLTLTLWFTRDQAFDEDPKLLSFLSQTSLSCGPTEQKSYIPMPASDNMYWFSYDQSGFDIRYARVHVLGLSFHSSSGEYNTSVLPAEDDPIELLGKQLRLRRGDEVFEKIFSNSLHALQVVQFYYWKAPKLAARTEQSAIGSRTVRYPTIHHSRGTELPLPCNHTLAETIFGSFNASEFTFEWNDFALAVALWENYSEELKKQLLTSLPYWLSNGTIFVVEPSELQ >KQK92104 pep chromosome:Setaria_italica_v2.0:IX:53692329:53692738:1 gene:SETIT_040553mg transcript:KQK92104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMNHKGLVINLVAPPLGTSPSLPTSPSHHSVVHKDKEQKIASLIGS >KQK92082 pep chromosome:Setaria_italica_v2.0:IX:53588640:53592893:1 gene:SETIT_034912mg transcript:KQK92082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRALFLSLLWVAMLSASRVVQALPYDYSSTSECLPEPLEPHYGGGIIRNSDFSAGLQGWTAFGYGVVEEGASASGNRYAVARNRTRPYQSVSQKVYLQNDTHYTLSAWLQVSNGSADIRAVVKTNGDFIHAGGVEARSGCWSILKGGLTAAAAGPAELYFESNATVEVWVDNVSLQPFSQEEWAAHHHTAIKSARKKTVRLRARDSAGKPVPGAQVRIEHVRSGFPLGSAMSAEILQSPAYQQWFTSRFTVTTFENEMKWYSTERVQGREDYSVPDAMLRFAKSHGIAVRGHNIFWDQPSQQPGWVQSLSYPQLRQATAKRIKSVMSRYAGQVIAWDVVNENLHFSFFEGKFGWDASAAFYRKAHQMDGNALMSMNEFNTLEQPGDPNAVPGKYLGKLFQIKKFPGNTNDGRMAIGLEGHFSTPNIPYIRAALDTMSKAGVPIWLTEIDVAPGPNQAANLEKILREVYSHPAVHGIILWTAWHQQGCYVMCLTDNNFRNLPTGDVVDKLIREWQTRSHAGVADADGYYEAELFHGDYEVTVSHPAANSTVVQSLSVDRKTDPNHVYPIHV >KQK88043 pep chromosome:Setaria_italica_v2.0:IX:11095415:11096091:-1 gene:SETIT_039786mg transcript:KQK88043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARDAAAPARQPKPHVGLLLPLPLPPATRMGGVDVAATATRAGGRAVQELGVWPLPDWGHKVGVVPRPQ >KQK92135 pep chromosome:Setaria_italica_v2.0:IX:53901925:53902413:1 gene:SETIT_040501mg transcript:KQK92135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFISGPRPGTHWHRCPSPRHAANRLIHRPGRPTAPCRVASLAYAAAQPCAARLSVRRRRRTPPRA >KQK87044 pep chromosome:Setaria_italica_v2.0:IX:5246592:5251375:1 gene:SETIT_034881mg transcript:KQK87044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKSKKSKSKRVTLRQKHKVLRKVKEHHRKKRKEAKKEGKAGRKKVEKDPGIPNEWPFKEQELKALEARRAQALQELELKKEARKERARKRKLGLLEDEDIAKLASAASAQGSEFAEKDTTKENTPSEIAKSHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMERMVRKADPSKRIVLLLNKIDLVPKEAVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKLDKASNIPQSSDCLGAENLIKLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGVSVALRNCKRVEKMEDTISPVKEILSICPHEKLLSLYKVPSFSSVDEFLQKVATLRGKLKKGGIVDVEAAARIVLHDWNEGKIPYYTLPPKRDAGEDSDAVIISEGGKEFNIDEIYKAESSYISGLKSIEDFHHIEIPPNAPPGIDEEMLEDGKKPSQPVEESREESMSDVNDREGSKATSASTQHDKLYTAEGILDPRKRKAEKKRRKVNKFSALSDMDADYDFKVDYQMQDAAAAGEDDESTEA >KQK88379 pep chromosome:Setaria_italica_v2.0:IX:13771442:13772510:-1 gene:SETIT_040137mg transcript:KQK88379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDDIVEEILCRLPPDDPASLARAALVCKRWYRLVTDPHCRRRFRRFHRSAPMLGVFSDGAIINGRFFVSTTSFRPPSRRDFVRDARHGRVLLQESWPRRTGFVGLVVWDPITNKQRQLPDLPRQWLQHDTLNWNTAVLCAATADRTCDHLECHHGHFLVVFVASTFELVQTCVYSSKSGAWSNHSSALLGGCRGVTLGPCALVGSALYFDTPDKSILKYDLGTNKMSLIGVPSECWHSRKVPTAMEDGGLGFACLDTLLHVNAHPTSMVVSGFAGSVGVIFVHTHGVCFMINLNSNRVTKLFRGVNVNGIFPYISFCTPGTGVPCLSVV >KQK89187 pep chromosome:Setaria_italica_v2.0:IX:21652764:21654776:1 gene:SETIT_038778mg transcript:KQK89187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKTGSGSQDFWHRFCPMLSYACGELCVIILLYVAALASHAATRLARICGLKAPCIFCTRLDHALHGKAWFSADLVCFAHGLEVSSLAYCKSHEQLARSDGASEEVNSSSRSRSRRLCSCCSELFKNTRNAQKHSETANAAESWDTVCAFVVGIEELHESDGSPGSDGQSRKDTGSSANGGTAKPATYRSAAPTRIAVDRNGSVKSAYVARVNLTSPRPSEIISARDSNSTTQQEVKALLSQISSARGLDSCSSEGTPSPSINVENEESNTTSKKPSLDRNYSVLEPSDGSLTDDAEGECSLENVKKQLELNKKSMAALYKELEEERSASAVAASQTMAMINRLQEEKAAMQMEALQYLRMMEEQADHDHEAIQNLHDLLTEREKELLDMDAELRNCLKLLESEPFNDARFDATNTMNNTIDDRSLAFEVMNGMDFVRSTMSHFEDEKAHILESLSRLEEDLGISTNRLASDNAKISQEDILFEDHTRADGQEHISRESTSAQQREGHEMFDDNKDKFSCSPSQNDKMSGVASLKNEISLLSTRLRALEADQEFLKQVLSSVQCGSDGLQCIQEITSHLAELRRVVT >KQK90830 pep chromosome:Setaria_italica_v2.0:IX:46059941:46064767:-1 gene:SETIT_036903mg transcript:KQK90830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPALRSAAARARAAAFSGGRWLGTSSAAETERERERGKEKEEGGAGWELSVAREYYDYRKSIYGDVTHRALLVDAVGTLIVPAQPTAQVYKSIGEKYGVKYSEDEILMRYRRAYEQPWGGSRLRYVDDGRPFWQHIVTSSTGCSDAQYFEELYQYFMTEKAWKLCDPDAENVFKALRKAGVKTAVVSNFDTRLRPLLQTLKCDHWFDAVAVSAEVAAEKPNPTIFLKACEFLRVKPEEAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAERIGVEVTKDK >KQK91331 pep chromosome:Setaria_italica_v2.0:IX:49306045:49306821:-1 gene:SETIT_037113mg transcript:KQK91331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEFPVPPVVFTPSTPTHRRHPAPGMGASPPPAFAPPRPSTSSAANPLPFMSFDVSAAAASSSSAPPLFAGPMGVGGSGASFEDEPPLLEELGINTRQIWRKTISILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLIFGVGMAFVLWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVIF >KQK91330 pep chromosome:Setaria_italica_v2.0:IX:49306045:49306821:-1 gene:SETIT_037113mg transcript:KQK91330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEFPVPPVVFTPSTPTHRRHPAPGMGASPPPAFAPPRPSTSSAANPLPFMSFDVSAAAASSSSAPPLFAGPMGVGGSGASFEDEPPLLEELGINTRQIWRKTISILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLIFGVGMAFVLWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVIF >KQK91329 pep chromosome:Setaria_italica_v2.0:IX:49301856:49306910:-1 gene:SETIT_037113mg transcript:KQK91329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEFPVPPVVFTPSTPTHRRHPAPGMGASPPPAFAPPRPSTSSAANPLPFMSFDVSAAAASSSSAPPLFAGPMGVGGSGASFEDEPPLLEELGINTRQIWRKTISILHPLRSADPSLHADADLSGPFLFLLSFGLFQLLAGKFHFGIVLGWVTVASLFLYFVFSMLSGGRRGDLDLYRCVSLVGYCMLPMVIFSAVSLFLPRGGGLIFGVGMAFVLWSTRVCTRLLAELASSGDEHRGLIAYACWLVYMLFSLLVIF >KQK91875 pep chromosome:Setaria_italica_v2.0:IX:52473551:52475044:1 gene:SETIT_036560mg transcript:KQK91875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRQRRQLPHLTLPLDHFALRLPPQPAAAPSTSASDARLSDYERISVLGHGNGGTVYKARHRRSSQPLALKIFAAGDPSAAREAEILMLAADAPHVVRLHAVIPAAAVAAGEAPAALALELMPGGSLAGLLRRLGRPMGERPIAAVARQALLGLAALHALRVVHRDLKPSNLLVGSDGEVKIADFGAGKVLRRRLDPCASYVGTAAYMSPERFDPEAYSGDYDPYAADVWSLGVDILELYLGHFPLLPEGQRPDWAALMCAICFGEAPEPPAAASEEFRDFVARCLEKKAGQRASVAELLEHPFVAERDAAGAQQALAALVAEAEQGDL >KQK86752 pep chromosome:Setaria_italica_v2.0:IX:3575752:3577168:1 gene:SETIT_036588mg transcript:KQK86752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPPHVVEDLPHVLQLLSDGTVVRFDDYNTLPPPAVPPAPPVQWKDVVYDAAHGLKLRAYRPPDACGKLPVLVYFHGGGYVLGTFALPNFHACCLRLAGELPAVVLSADYRLAPEHRLPAALDDAAAVMSWVRLQAAAGGDPWLAESADFGRVFVAGDSAGGNIVHHVAVRLGSGELPGLGPASVAGHVMLCPLFGGVERTASEAELPPGPFLTLPFYDQIWRLALPRGATRDHPFVNPFGPESPALGGVALPPTLVVAAGRDLLRDRVADYAARLRAMGKPVELVVFEGQHHGFFVVEPFGEAASEVVRLIRRFVYGDGSADSK >KQK86056 pep chromosome:Setaria_italica_v2.0:IX:93079:97147:-1 gene:SETIT_035735mg transcript:KQK86056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRWGSSGQAADSFYQVRPDCSQNVPSTRFKIKVGKTLSVRKWHAAFTREGCLDIASVLSRIQRGGVHPAIRGEVWEFLLGCYDPESTFDEREQIRHRRRMQYARWKEECREMDSHVGSGKIVTAPIITEDGVPIKDPLVLLEAASDTQATSTSSSGAEVSDSPNRVMDKKIIEWKLTLHQIGLDVLRTDRSMVFYENKENLSKLWDILAVYAWIDKEVGYCQGMSDLCSPMIVLLNDEADAFWCFERLMRRLRGNFRCTQQSVGVENQLQHLASIIQVLDPKLHDHLETLGGGDYLFAFRMFMVLFRRELSFGDSLYLWEMMWALEYDPDIFSTYDEAGVATHKIEGFKPKVKSIRQFGKYERENMKNGANDGDGPVPISVFLVASVLKENGPKLLQEARGIDDVIRILNNINGDLDAQRACAVALKLHKKYLKKLQGKKT >KQK92967 pep chromosome:Setaria_italica_v2.0:IX:58096602:58097229:1 gene:SETIT_040368mg transcript:KQK92967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWLWCQFRKNKTHGHDQLLIFYLSIFLIVFSKFLEVNFRYSTPVDFE >KQK90721 pep chromosome:Setaria_italica_v2.0:IX:45320730:45326017:1 gene:SETIT_036407mg transcript:KQK90721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHAFKSRGRNGGPHMSAAERARIARRPLPEPENLVSNRPIPKSALPTLRTRRRRHLRRSPPSSLLSASNPSRAQHRPTMQRKNFRKRSFEPDADDRSDDEDTRRVVLEEIKYMQKLRERKLGIPADPAAASTNGSAARGLVGGGGAAIGEAEKEDLVLQDTFAQETAVTIEDPNMLRYVETELAKKRGKTVDVGHKEEMDHVDELYTVPDHLKVKKKNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKMLQEKRLAAKPKSDSNIPSSYSADYFHRGKEYDEKLRRENPGLYKDKDSRPNESAGGKATDTKNTAGAGAGRREAASDDIMLERFRRREKIRVMRR >KQK91690 pep chromosome:Setaria_italica_v2.0:IX:51255152:51255534:-1 gene:SETIT_040683mg transcript:KQK91690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGQNLCSKCLKPSGLLQALQKSHVMSETIKYDLLVHHLLHFQI >KQK92234 pep chromosome:Setaria_italica_v2.0:IX:54512187:54518075:1 gene:SETIT_037358mg transcript:KQK92234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFNFASSSMKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQVARMEMQLGADSEVVYEEGQSSESVTNTSYPPADTDDGGSDTSLRLGLPLFSSK >KQK92243 pep chromosome:Setaria_italica_v2.0:IX:54512187:54518075:1 gene:SETIT_037358mg transcript:KQK92243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFNFASSSMKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQVARMEMQLGADSEVVYEEGQSSESVTNTSYPPADTDDGGSDTSLRLGLPLFSSK >KQK92242 pep chromosome:Setaria_italica_v2.0:IX:54512820:54517484:1 gene:SETIT_037358mg transcript:KQK92242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFNFASSSMKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQVNSCPPLHEVSIPLCIFSSDTSV >KQK92237 pep chromosome:Setaria_italica_v2.0:IX:54512187:54518075:1 gene:SETIT_037358mg transcript:KQK92237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFNFASSSMKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEWQGWRCSSVPIQKLCMRKGSHLNP >KQK92244 pep chromosome:Setaria_italica_v2.0:IX:54512187:54518075:1 gene:SETIT_037358mg transcript:KQK92244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQVARMEMQLGADSEVVYEEGQSSESVTNTSYPPADTDDGGSDTSLRLGLPLFSSK >KQK92235 pep chromosome:Setaria_italica_v2.0:IX:54512187:54518075:1 gene:SETIT_037358mg transcript:KQK92235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFNFASSSMKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQVARMEMQLGADSEVVYEEGQSSESVTNTSYPPADTDDGGSDTSLRLGLPLFSSK >KQK92236 pep chromosome:Setaria_italica_v2.0:IX:54512187:54518075:1 gene:SETIT_037358mg transcript:KQK92236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQVARMEMQLGADSEVVYEEGQSSESVTNTSYPPADTDDGGSDTSLRLGLPLFSSK >KQK92241 pep chromosome:Setaria_italica_v2.0:IX:54512187:54518075:1 gene:SETIT_037358mg transcript:KQK92241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFNFASSSMKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQLQVARMEMQLGADSEVVYEEGQSSESVTNTSYPPADTDDGGSDTSLRLGLPLFSSK >KQK92245 pep chromosome:Setaria_italica_v2.0:IX:54515498:54518075:1 gene:SETIT_037358mg transcript:KQK92245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQLQVARMEMQLGADSEVVYEEGQSSESVTNTSYPPADTDDGGSDTSLRLGLPLFSSK >KQK92233 pep chromosome:Setaria_italica_v2.0:IX:54512187:54518075:1 gene:SETIT_037358mg transcript:KQK92233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFNFASSSMKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQVARMEMQLGADSEVVYEEGQSSESVTNTSYPPADTDDGGSDTSLRLGLPLFSSK >KQK92238 pep chromosome:Setaria_italica_v2.0:IX:54512820:54517484:1 gene:SETIT_037358mg transcript:KQK92238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFNFASSSMKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQVNSCPPLHEVSIPLCIFSSDTSV >KQK92240 pep chromosome:Setaria_italica_v2.0:IX:54512187:54518075:1 gene:SETIT_037358mg transcript:KQK92240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFNFASSSMKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQVARMEMQLGADSEVVYEEGQSSESVTNTSYPPADTDDGGSDTSLRLGLPLFSSK >KQK92239 pep chromosome:Setaria_italica_v2.0:IX:54512187:54518075:1 gene:SETIT_037358mg transcript:KQK92239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRERIAIRRIDNLAARQVTFSKRRRGLFKKAEELSILCDAEVGLVVFSATGKLFNFASSSMKQIIDRYDSHSKTLQKSEASSKLQSHVNDSTCVRLREELAEASLKLRQMRGEELQRLSVQQLQELEKTLESGLGSVLKIKSQKILDEINGLERKRMQLIEENSRLKEQVARMEMQLGADSEVVYEEGQSSESVTNTSYPPADTDDGGSDTSLRLGLPLFSSK >KQK90585 pep chromosome:Setaria_italica_v2.0:IX:43861586:43864010:-1 gene:SETIT_036814mg transcript:KQK90585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASGGRRGPALGALAVLPDEVLCAVVDLLPPADIGRLACVSSAMYILCNEEPLWMSKCLSIGGPLEYKGSWKKTTLSRLGLCSENDENWQKPRQFDGFSSLYLYRRWYRCVTSLSSFSFDDGHVERKDDLVLDQFRSQYDGKGPVLLTKLAETWPARTKWTLQQLTRDFGEVPFRISQRSPQKITMKLKDYVSYMELQHDEDPLYIFDDKFGESVPALLKDYSVPHLFQEDFFDILDYDQRPAFRWFIIGPERSGASWHVDPGLTSAWNTLLCGRKRFGPPFVLTILVNMPVRLQWVM >KQK89358 pep chromosome:Setaria_italica_v2.0:IX:25083204:25084158:-1 gene:SETIT_039130mg transcript:KQK89358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVKLRSSSGIAKVTGVALCLAGALTIALYTGPSLSPINHHRAFGAHAAPASKAPSCGTWIIGTFLMVLSNVTWSLWMVWQAVVLKEYPNKMLITTVQCVFSTVQSFVVAAVAERDFTKWKLQFDVSLLAVAYTGFVVTGVSFYLQAWCVEIKGPVFLAFWNPLCFVMTIFCSSSFLGEIVHLGSIVGGLLLVCGLYSVLWGKSRESKIFECSDNTINGVQDGQEHKNPQAMQLGKEEQEEATSTSAV >KQK86276 pep chromosome:Setaria_italica_v2.0:IX:1065319:1068397:1 gene:SETIT_037406mg transcript:KQK86276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGKAKAKASGGKRGAPPKDPADAPLLSDKRRRERGGMDDSDHEFDSDMKEIVTLLRHIKDKAHKDGQKKTEQAISSVATEIQTIVQDTKTKFEKERQNFLKALSKTSKECEGLLKNEYTKLQATHDKFCKDKAAHIQNFKDLFSKFEDDKEKLLMQYELQRKKEKTTLSELEKTFSEKIANAEESLKKMKQDDKSIHILRKSIGSFLGGDADDQFGQDDD >KQK88171 pep chromosome:Setaria_italica_v2.0:IX:12116542:12117471:1 gene:SETIT_038343mg transcript:KQK88171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPESSFLFRTSPAMAKVAPSLLAVGSGASFTALPSPRKGASFFRDRVVSRRARISAKLGMIPQSSLALLFLFEAL >KQK86718 pep chromosome:Setaria_italica_v2.0:IX:3435978:3437966:-1 gene:SETIT_035019mg transcript:KQK86718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGKEYNSYEDRISTLPEEILLIIVRKLDTRTTITTIILSKRWRDLPRCLPTSYNLAADDLLPPRYHRLKRLTIEAKAAYEAEKNVHKLTDIYAIKARHERWMTKIRPLTAILERYERRAMRRYVKQVNAFLLAPKDVRQRRPVQKLRLQMLGRWHQNIDEWITTAIAKWDVEDFELVVDGYCLYYDLKQLDAYRNLRLKRLALSNCQGICAWNCLTAKRLTKLSLGEASYIGLVNDILANCVQLTDFRVASSSFYRAKFLIFAPSSKLKNLQVDRCNFGKICLISLPCLETFVCRGRPTKLSYGEVPQLRRVSLDYLQTEDNDIDDESGSRRTYPPSKFFKRTPQLDCLVLQFKGTQMWIEPFAVLSEFSQLKKLFIANVPANWDVLWILLLLDATPALESFHVHIDNSSEERSAGDLCASLDVGARQDRYRRLKELVVAGFEGLGWQTSFVRLIMKRSPLLRRVHLLDGHVRDDEQELGALQIVPHRREWHECEWAEVLDDLTAGFRWPPQIILE >KQK86719 pep chromosome:Setaria_italica_v2.0:IX:3436190:3438615:-1 gene:SETIT_035019mg transcript:KQK86719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSETPARRYRRLRKASDLPTVAAASPCKRMRAGKEYNSYEDRISTLPEEILLIIVRKLDTRTTITTIILSKRWRDLPRCLPTSYNLAADDLLPPRYHRLKRLTIEAKAAYEAEKNVHKLTDIYAIKARHERWMTKIRPLTAILERYERRAMRRYVKQVNAFLLAPKDVRQRRPVQKLRLQMLGRWHQNIDEWITTAIAKWDVEDFELVVDGYCLYYDLKQLDAYRNLRLKRLALSNCQGICAWNCLTAKRLTKLSLGEASYIGLVNDILANCVQLTDFRVASSSFYRAKFLIFAPSSKLKNLQVDRCNFGKICLISLPCLETFVCRGRPTKLSYGEVPQLRRVSLDYLQTEDNDIDDESGSRRTYPPSKFFKRTPQLDCLVLQFKGTQMWIEPFAVLSEFSQLKKLFIANVPANWDVLWILLLLDATPALESFHVHIDNSSEERSAGDLCASLDVGARQDRYRRLKELVVAGFEGLGWQTSFVRLIMKRSPLLRRVHLLDGHVRDDEQELGALQIVPHRREWHECEWAEVLDDLTAGFRWPPQIILE >KQK88878 pep chromosome:Setaria_italica_v2.0:IX:18060763:18064291:-1 gene:SETIT_034966mg transcript:KQK88878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASTEKVVLGCIAFGIFWVLAVFPSVPFMPVGRTAGSLLGAMLMVLFRVMTPEQAYAAIDLPILGLLFGTMVVSIFLERADMFKYLGAALAWRSRGSKDLLFRVCLVSAVASALFTNDTCCVVLTEFILKLARQNNLPPQPFLLALASSSNIGSAATPIGNPQNLVIAVQSGITFGQFLIGVFPAMIVGVITNTCILLCYFWKYLSVPEKDQEGGGGAAAGPEVVADDEVTSHRFTPARMSHASSVNGVDADCISEPIRRSDSLNRADTHSMRSRSYNSEGDIQVAIRSMRASTMSQEMVEVSTICDRRDDAVGPRKITRTTSHQRSVIIEDAPEADAKDGEKGKDGDEVKEKRWKVLVWKSAVYLTTLGMLIALLMGLNMSWSAITAALVLLALDFTDAQACLEKVSYSLLIFFCGMFITVEGFNRTGIPNALWELVEPYSRIDSAKGTALLAIVILVLSNVASNVPTVLLLGSRVAASAAAISPASQKKAWLILAWVSTVAGNLTLLGSAANLIVCEQARRAQFYGYNLTFWSHLRFGVPSTIIVTAIGLLIVASY >KQK89249 pep chromosome:Setaria_italica_v2.0:IX:22575663:22576544:-1 gene:SETIT_039503mg transcript:KQK89249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPRSFGAAGCGLLLSLLVIGFARPCHSSLYRPPPPAIVYHAGAVLDGAVPVSVLYYGAFSPHQKAVVADFLLSLSPRGLQHQQHHGFGSPGPAPAPSVARWWETVDRYVRKAGRDPPRVLLASQVHDEACSLGKTLSRLQVERLAARLGVAPGGVAVVLTAADVTVEGQCSSACGAHGASTPGGAAHVWVGNAAAQCPGRCAWPFHPAEGFAYGARHAPGRGAGRGGETMGAPNGDVGVDGMLVNLAAMLAGAVTNPYGHGFFQGDPGAPVEVAAACPGVYGRGAYPGYPGA >KQK92650 pep chromosome:Setaria_italica_v2.0:IX:56619274:56621961:1 gene:SETIT_034859mg transcript:KQK92650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVYMDRRNGLHDEGAIPEFSGRAEEDQDLTIAASEVQPDLSQINNKKPLTSDEKKSKSCQSCHKSPCLCCGDAHHADLFPALPAKMMILEFLIRSLRHPTRTHNVTDLDDLITDGVSQGSVNLGPSEKKVLDSLHALVNAKTRPKSPSPFLAGSKMRKTRSKSHIITQSEILKLISPETWETSSPGTSPMNKGTAEVHIHEKTSPSCSHMTSMSSNQPVLSHCPSSLSAGLLQCIWKDGLPHFELSLDDPIAVYTANPIKVQDNDRALDYVYMIHSGEQGRKDWMGHSSNVSRLVGKIKVSSSLVLNSDKSSLLETEFVLYGSPDDYLRQMHSSYSVPKGKGLVKRVTEIMRTGHVSSSPKHPWKFGKSFSHQFDDLTEILEGEMISARESGLTNLDAEDQPTNQELAAIVVKEQRQKRQKEPVVGGWGLKFLEKAGLNHSEGTEVSDVQNRNGAAKCITAIVPRGYHGGAVPKCSGPSGLIERWRSGGCCDCGGWDLGCPIRVLNNDGCASLPEEESQESRAVELSIKVTNPGLICSRITWFESDIRNQNFC >KQK92652 pep chromosome:Setaria_italica_v2.0:IX:56617849:56621961:1 gene:SETIT_034859mg transcript:KQK92652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVYMDRRNGLHDEGAIPEFSGRAEEDQDLTIAASEVQPDLSQINNKKPLTSDEKKSKSCQSCHKSPCLCCGDAHHADLFPALPAKMMILEFLIRSLRHPTRTHNVTDLDDLITDGVSQGSVNLGPSEKKVLDSLHALVNAKTRPKSPSPFLAGSKMRKTRSKSHIITQSEILKLISPETWETSSPGTSPMNKGTAEVHIHEKTSPSCSHMTSMSSNQPVLSHCPSSLSAGLLQCIWKDGLPHFELSLDDPIAVYTANPIKVQDNDRALDYVYMIHSGEQGRKDWMGHSSNVSRLVGKIKVSSSLVLNSDKSSLLETEFVLYGSPDDYLRQMHSSYSVPKGKGLVKRVTEIMRTGHVSSSPKHPWKFGKSFSHQFDDLTEILEGEMISARESGLTNLDAEDQPTNQELAAIVVKEQRQKRQKEPVVGGWGLKFLEKAGLNHSEGTEVSDVQNRNGAAKCITAIVPRGYHGGAVPKCSGPSGLIERWRSGGCCDCGGWDLGCPIRVLNNDGCASLPEEESQESRAVELSIKGARKQTMLRLVNITEDLYILYFDSGLSPLQCFSTGIAIVHSQAPQLCPKL >KQK92651 pep chromosome:Setaria_italica_v2.0:IX:56619873:56621540:1 gene:SETIT_034859mg transcript:KQK92651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVYMDRRNGLHDEGAIPEFSGRAEEDQDLTIAASEVQPDLSQINNKKPLTSDEKKSKSCQSCHKSPCLCCGDAHHADLFPALPAKMMILEFLIRSLRHPTRTHNVTDLDDLITDGVSQGSVNLGPSEKKVLDSLHALVNAKTRPKSPSPFLAGSKMRKTRSKSHIITQSEILKLISPETWETSSPGTSPMNKGTAEVHIHEKTSPSCSHMTSMSSNQPVLSHCPSSLSAGLLQCIWKDGLPHFELSLDDPIAVYTANPIKVQDNDRALDYVYMIHSGEQGRKDWMGHSSNVSRLVGKIKVSSSLVLNSDKSSLLETEFVLYGSPDDYLRQMHSSYSVPKGKGLVKRVTEIMRTGHVSSSPKHPWKFGKSFSHQFDDLTEILEGEMISARESGLTNLDAEDQPTNQELAAIVVKEQRQKRQKEPVVGGWGLKFLEKAGLNHSEGTEVSDVQNRNGAAKCITAIVPRGYHGGAVPKCSGPSGLIERWRSGGCCDCGGWDLGCPIRVLNNDGCASLPEEESQESRAVELSIKVTNPGLICSRITWFESDIRNQNFC >KQK89091 pep chromosome:Setaria_italica_v2.0:IX:20524044:20527415:-1 gene:SETIT_039793mg transcript:KQK89091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQVRSSELQVRVVNRRLVKVSDHPKEPRADVITVSNLDLIPNTYQTSVLCIYPKPLTGDFDAVIHCRNQGAELVVSEAEVPLRSLDFGLADESLKRILLVSFACGGFSVAWSNNNLVLDGNSAMRLVRTCSELAHSETIAAVGAPSYDHSALRPRDPPSYAVADPGPELPRLQPGSGSPGPRLENSGDLRKIIQLIELRCLGPAWVRPWSYGSSLDEMFTPFDDAHLVDVLTARDSVVERLYYVEAQDIARLKAMASAGEAGQRASRVQAVSTYLWKSLAAAVGGASKRGPKATCRMGWSGVGNVTPYVVGEAAVATIQQETMAGVAAMVRDAITSVDYDERVQELVDWVEEHKGEDRVWASFPLDTDFGFGHAALAMPTWASEGLCSANLVVTAHPGGNGSWIISADLWARSPPRSSPMSCVSSSLSRAHGGVSWCLATCLARRLNAWLGGLNAWLRGEYRPRDLR >KQK90027 pep chromosome:Setaria_italica_v2.0:IX:38751330:38754289:-1 gene:SETIT_038146mg transcript:KQK90027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGGQMGMRRMSLHIAQVIRWKRSPTDENAACLDNEIKYDQDFDYHYFGFKTQQRYYLLKPGGKVDERPQDMLMRVSVGIHKDDTLYKNCTIYKRLACYYIRLPHL >KQK90028 pep chromosome:Setaria_italica_v2.0:IX:38751888:38752579:-1 gene:SETIT_038146mg transcript:KQK90028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGGQMGMRRMSLHIAQVIRWKRSPTDENAACLDNEIKYDQDFDYHYFGFKTQQRYYLLKPGGKVDERPQDMLMRVSVGIHKDDTLYKNCTIYKRLACYYIRLPHL >KQK89461 pep chromosome:Setaria_italica_v2.0:IX:30384439:30397957:1 gene:SETIT_034062mg transcript:KQK89461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVLTLTLSRACRLLRLSSPHPEARVLPAAAIRLSFPFSSASTTTARMASPSPSPEWPANRVRKTFVDYFESKSHTRWPSSPVVPVDDPTLLFANAGMNQFKPVFLGTAAPDSPLGRLRRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKEEAIGWAWELLTKVYKLPTDRIYATYFGGDEKSGLAADNESKNIWLKYLPKEKVLPFGCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASLVNNDDPTCIEIWNLVFIQFNREADGSLRTLPAKHVDTGMGFERLTSILQNKMSNYDTDVFMPLFDAIHKLAGDGIQPYSGKVGSDDVGKVDMAYRVVADHIRTLSFAITDGSQPGNEGREYVLRRILRRAVHFGHQKLKTKPKFFSSLVNVFVQVMGDVFPEVKENEKKIQDIIRDEEESFENTLAKGYEKFKKAADAVKENGGTVLSGQDAFILWDTYGYPIDLTEVMAIDYGLAVDKEGFSASMEEARQKARNARNKAGGNSIVMDANATAQLRNQGFASTDDSPKFMWPKEHGSVVKAIYTGSAYIATASGDVDFGLVLESTSFYAEQGGQIYDTGIIEGSFGTFNVNNVQVFAGYVLHIGSFTEGSKALSVGDTVICKVDYDRRTLIAPNHTCTHMLNFALREVLGDHVDQKGSIVLPEKLRFDFSHGKPVQPEDLRKIESIVNQQIKDELDVYASEIKLADAKRINGLRAVFGEIYPDPVRVVSVGRKVEDLLADPENKEWLSISTELCGGTHISNTRDAKAFALLSEEGIAKGVRRITAVTAGCAADAMELASSIDCDISGASQLEGAMLEKKIASIKNKLDAAAIPAARKADLRGKVSKLEDQLRKAKKKMGEQNIQKAVKTAMDAAEAALFKKKPFCVTHVDVGLDTTAVREAVIKVMDQKGLPIMLFSKDEASNKAVIYAGVPPNTPNGFKVLDWLTPSIAPLKGRGGGGKNGVAQGQGSDASQLKEAMELANNIAAMKLS >KQK89956 pep chromosome:Setaria_italica_v2.0:IX:37592906:37594131:-1 gene:SETIT_040811mg transcript:KQK89956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNKLPPEFDFDFLDVESANPSYCTQLILDPAGLGGSQHLGRQRAQGDVGVTPVAFANVDRVDVDNQVAVLDMVKLDGMLTARMLL >KQK92946 pep chromosome:Setaria_italica_v2.0:IX:58003486:58004070:1 gene:SETIT_040139mg transcript:KQK92946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQGVLEAIRISCASYPTKRTFDELIIDRFGMLAPELVDRANAVRLIQRRIKTHLMRKEFIKLRKASIKSQKFWRGISSLLRALCVFKVSKTGRT >KQK90535 pep chromosome:Setaria_italica_v2.0:IX:43489975:43493147:1 gene:SETIT_034144mg transcript:KQK90535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPAISAVAGSIKDLAVQETTLLCGVIGEAGFLKDELQRLQGFLKDADTKRRSGNANATVCIRQIRDATYEAENVLQVVDYMEKRNMLKKGFVGAISRYARLPSDLITLHKVGNEIQRIRRRVREIFESTRDLEFLYQGNTELGNFHVDDESLQDHGLVLQNFEAVTVIGFDNEQKEIVEKLTEKDNKLSVVSIVGMGGAGKTTLARKICTSDKIKQHFDAIAWVTVSQKFEVVDLLKDIMKQITRGRDDGREVGQMEEIDLRNKIQAFLTEKRYLVVLDDVWTTNTWNQINRMVKVFPDANNGSRVMLTTRKIDVANHIEMPTYVHQLKLLDGEKSWELFSTKALPPYRRSLIQNIDEFEEIGRKLARKCKGLPLALAVLGGYLSRNLNLEKWSDILQGWVSTENGQMMGAILARSYSDLPNHYIKSCFLYLAVFPEDYSISVWDLIKLWIAEGFIPPITRHTREQTARMYVSDLAQRCLVQVVSRSKIHGWIEEIRIHDILRDWCVEEARYAGLVDVIDNTIGQVSSPLFVNTVLSLCKYFVAFGPYLHLPYTPKIAGHVGESSSNTMVSYRSSFQNFCDGNMFTATPNLRTLFGFELPPFSLPKLRFLRVLHVEKSSLIDFGRVISGCIHLRYLGLRECRQATLPSSIGQLLYLQTIDLRETNLRSAIPNSLWDIPTLRHVYLHNTFLTATRNCSQKELQSLHLHLLHEESTKFFRSGYMVAFLGQMTQLTTLVLKVWSMPAETIHLLTNMTFLVEVTLGVFTLLDKLPESRLLPQGLRRLLLSAETIKEDPMPILEKLPCLVVLQLRGYEGRTMFWFPRLQELYLNRFSIEEWRLEVEAMPRLSRLNLYLCRNMKQLPEGLLHLPALKELRLVRTGMNPEDDVTWKKLVGKGCKVFRL >KQK88718 pep chromosome:Setaria_italica_v2.0:IX:16491449:16494672:-1 gene:SETIT_036548mg transcript:KQK88718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLKKSDKKAALDFAAWSFNVTTSVGIIMVNKALMATHGFSFATTLTGLHFVTTTLMTIVFRWLGLSQPSHLPLADLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNARGLIAAVIAVWSTALQQYYVHFLQRKYSLNSFNLLGHTAPAQAGSLLLVGPFVDFLLTGKRVDHFNFSSLALFFLTLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNLQVVLGMVLAVLGMIWYGNASAKPGGKERRSILPVRSASLKGSSEEKAGAEK >KQK90423 pep chromosome:Setaria_italica_v2.0:IX:42578613:42580904:1 gene:SETIT_036676mg transcript:KQK90423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVMRVDLECEKCYKKIRKVLCKVQDKVSIRTITYDEKSNTVTVSGPFDGEEVADRLTHNAGKIITDIHVAGVGAWGGGGGKQKHGAPAAAAAKAPKPGKGNDHGHGHGHGHGNAQGKGHGGHGGGGHGGHGGGGHGGGKAKPEKKHVKFDDDDDLDDDDFDDFDFDMGKPAGGGGHAHHGHGHGHGHGGGGKPKIITTNTPVAARLEAPRTGPSMSMAAAAPVRMPGMMPPMMPQHQHQPQAQAQAAPSIWPAPAPEWGYSAQPYGGPPAGGYYGGGGPAAYGHAAYAPYGGYGRSPYGQQQYYEEEPSAGCSVM >KQK90422 pep chromosome:Setaria_italica_v2.0:IX:42578613:42580904:1 gene:SETIT_036676mg transcript:KQK90422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVVMRVDLECEKCYKKIRKVLCKVQDKVSIRTITYDEKSNTVTVSGPFDGEEVADRLTHNAGKIITDIHVAGVGAWGGGGGKQKHGAPAAAAAKAPKPGKGNDHGHGHGHGHGNAQGKGHGGHGGGGHGGHGGGGHGGGKPKIITTNTPVAARLEAPRTGPSMSMAAAAPVRMPGMMPPMMPQHQHQPQAQAQAAPSIWPAPAPEWGYSAQPYGGPPAGGYYGGGGPAAYGHAAYAPYGGYGRSPYGQQQYYEEEPSAGCSVM >KQK92504 pep chromosome:Setaria_italica_v2.0:IX:55862748:55866564:-1 gene:SETIT_036800mg transcript:KQK92504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPESSSSSSVLLNIGGKKYATTVETLTQREPDSMLAAMFSGRHTLPHHPTTGTVFVDRDGKHFRHVLNWLRDGAIPVLSESEYQQLLREAEYYQLLGLADYINERLGWKKVDSSEAELTRKDVIKCIQAQRVRFRGVNLSGLDLSKLDLSEVDFSYACIKNTDFSCANLYKAKFGQVEASSSSFQNAILRECEFVGANLQDSILDGANLRSANLQDACLTRCSFIETDLHSAHLQTANLTSANLSGANLEAANLKGAKLSGTNLQGANLQRAYLREVDLRDTLLTGAKLGGANLLGAIR >KQK88755 pep chromosome:Setaria_italica_v2.0:IX:16990305:16993599:1 gene:SETIT_037625mg transcript:KQK88755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMRKAKVSGEVAVMEVAAAPLGVRTRARSLALQRLQKQQAQGEEKESAGGEYLELRSRRLEKLPPPVPAGRRCGGKKAAAAAAAAQEEAEASFGENMLELDGMERSTRETTPCSLINSETISTPGSTTRPSHSSHRRVQAPVRTISISKELNEFFGAAERRQQQAFIDKYNFDPVNDCPLPGRYEWVKLD >KQK91800 pep chromosome:Setaria_italica_v2.0:IX:51866068:51869146:1 gene:SETIT_037316mg transcript:KQK91800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPARALSCNDQTVRGLTPATRAGSSERVSVFTVLQRRWGNGPAECESAATRYKSTARGAAAAPFLGGEAAAAANTGAERTSRAGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQVRPRRRSRTEVMLLLVSSMDHLNHLL >KQK91801 pep chromosome:Setaria_italica_v2.0:IX:51866068:51869158:1 gene:SETIT_037316mg transcript:KQK91801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPARALSCNDQTVRGLTPATRAGSSERVSVFTVLQRRWGNGPAECESAATRYKSTARGAAAAPFLGGEAAAAANTGAERTSRAGKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLQALARKYNQDKMICRKCYARLHPRAVNCRKKKCGHSNQLRAKKKIKN >KQK91826 pep chromosome:Setaria_italica_v2.0:IX:52082993:52084735:-1 gene:SETIT_038840mg transcript:KQK91826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTGLVMYSRALISMLFLGRLGELALAGGSLALGFANITGYSVLSGLALGMEPICGQAFGARRGKLLALALHRTVLLLLAVALPISLLWVTSTGYILRLLGQDEGVAGAAQTFAAYASADLAVLAVLHPLRVYLRSQNLTLPITACSLFSVVLHGPINYLLVDRLGMGVAGVALAVALTDLNLLLALLCFLAISGAHRDSWVGPTSDCLRGWPALLRLAVPTATAVCLEWWWYELMIVLSGLLANPRGTVASMGILIQATSLVYVFPSSLGQGASTRVSHQLGAGRPAGARRAAGAALSIGVAVGLAAAAFMVSVRNHWARIFTSDADILRLTAVALPIAGLCELGNCPQTAGCGVLRGSARPASGARINLASFYLVGMPVGVALAFGARLGFAGLWLGLLAAQAACAVWMARAVAGTDWDVEVARAKELTKASTSNNHSECNATTTPASDITTVIATIATGATTNNSNAAGCKNNSNGYVPISESCCGNDNELEKLEAGLMTSDDDAPSASVSGNDDASGDTDTAVVSENHGSSSSDGAAGTTATEGKEQRRGGPERAPLISVGDGEHGGDSRGGGQV >KQK89998 pep chromosome:Setaria_italica_v2.0:IX:38425953:38428403:1 gene:SETIT_036153mg transcript:KQK89998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKTGLNSPVLADHLPTALPAAVMTFTTPTSFPSPGLCLNTTKKIPLPGKVEEVRATGWLDLMMASSPTRKRQIKDVVNDTQADDLDLQYHNWMVDYPSALTSFETITDLAGSKRLALFLDYDGTLSPIVDNPANALMSDEMRAAVRHVASLFPTAIISGRSRDKVFDFVKLNELYYAGSHGMDIMGPVRKTADSNGVECIRSTDSQGKEVNLFQPASEFLPMITEVYEKLDESVKDIVGARMEDNKFCVSVHYRNVAEEDYKKVFQRVTAVLEDYPCLRLTHGRKVFEVRPVIDWNKGKAVEFLLESLGLNESEDVLPIYVGDDRTDEDAFKVLKASNRGFGILVSSIPKESDAFYSLRDPAEVMDFLRKLAAWKEQST >KQK87104 pep chromosome:Setaria_italica_v2.0:IX:5566997:5568046:1 gene:SETIT_037724mg transcript:KQK87104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGQQPEDAEPPGKDGPSGAPAPPPSPFLEVTCRSSGKVRRFAAGTTARYALHAINRKLDPGAPPALHVEAVRDGEEPVSFGPSAALADYGRGWRLQTVTAQDAPGIHHATRDDTKRGETQAAEDAVARETTRSTSVYIAKIVLAFVFIFLLGGLFTYMLEVLPDMLQTSPTPESL >KQK88306 pep chromosome:Setaria_italica_v2.0:IX:13026008:13027346:1 gene:SETIT_036768mg transcript:KQK88306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYDYAKTRLSLGVAGSHLSSSTTCSTADLPKTTKSRSMWSTPAHRPANPMALVDAKAEGASTSAEPVAPAHPVFLVVLDGVETPIHEGTLYGNGGGSVTVTGPGNLSADGLRSVLVRGGGAGTTVRFTLCADAAAEGVGAAWFDRCGAARAEGAREVSVTRCRAAEVERAGKVAVERCRDARLRGGGAAVAARCRRADVESFGGVRLARCKAARLDWCGTVEVEMCRAIDVSRCGAVTGERCRVVNAAGCGSVAVAHAEVNMVEEEQLLSMNEPGAAFSAPAASHVCGAAEEDPAAAGDTASYLS >KQK89669 pep chromosome:Setaria_italica_v2.0:IX:34829234:34835570:1 gene:SETIT_036444mg transcript:KQK89669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVHGEVSDDEEAAAAAGEPVPLTPPPASAGQQRLVVGYALTKKKVKSFLQPKLLALARKKGIHFASIDETRPLSEQGPFDIILHKLTSKEWQQVLEDYREEHPEVTVLDPPNAIQHLHNRQSMLQEVADLNLSNGYGEVCTPRQLVIMKDPSSIPDAVAKAGLTLPLVAKPLVVDGTSKSHELSLAYVESSLPLLDPPLVLQEFVNHGGILFKVYIVGETIRVVRRFSLPDVNTYDLGNNDGIFRFPRVSCATNNAEDADVDPSIAELPPKPLLEKLGKELRRRLGLRLFNIDMIREHGRKDRYYVIDINYFPGYGKMPGYEHIFTDFLLSLVQSKYKMLLSGS >KQK92684 pep chromosome:Setaria_italica_v2.0:IX:56762737:56766261:-1 gene:SETIT_037858mg transcript:KQK92684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAGRGRSSAVLRALLAAPRTRPPARAIHEGPDTIEELLDRHLVKKPAAVLDDDAAEAEARRRLTSTRREALGLYRDILRATRLFAWPDDRGVPWREVLRANARREFEEARGERDPEVVARLLIGGRDAVQQALDRLAEASRRAIQAEEAKRRGGA >KQK91306 pep chromosome:Setaria_italica_v2.0:IX:49127623:49130601:1 gene:SETIT_0347541mg transcript:KQK91306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHIGSVDGPALGAGNGTVVGCPASAPGCPMASTPAQPAATLPAGEASLGRHLARRLVQVGVSDVFAVPGDFNLTLLDHLIAEPGLRLVGCCNELNAGYAADGYARARGVGACAVTFTVGGLSVLNAIAGAYSENLPVICIAGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCHQAVVTNLDDAHEQIDTAIATALRESKPVYLSISCNLPGLPHPTFSRDPVPFFLSPRMSNKMGLEAAVEATVEFLNKAVKPVLVGGPKLRVAKAGKAFVDLVDASGYAYAVMPSAKGLVPETHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSFLLKKDKAIIVQPERVIVGNGPAFGCVMMKEFLSGLAKRVKKNTTAYENYKRIFVPEGQPLESEPNEPLRVNVLFKHVQKMLTGDSAVIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGALLGYAQGANDKRVIACIGDGSFQVTAQDVSTMLRCKQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTSKVKCEEELTAAIETALGEKKDCLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >KQK92562 pep chromosome:Setaria_italica_v2.0:IX:56126249:56127066:1 gene:SETIT_040019mg transcript:KQK92562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFGSPCGACKFLRRKCVRGCVFAPYFCHEQGAAHFAAIHKVFGASNVSKLLAHLPLADRPEAAVTISYEAQARLRDPIYGCVAHIFALQQQVMTLQAQLASLKAQAAQGQGVHDDAKGGYMGSAAEQLGYGYPWCNGNGGAGAAGGPSAQPSAYSNGGHESLTALLGSDCYMQQSLYHAFEQSGADDDDGRQAAAFEAAADSSSFGAEESGWRSSSGYQDCEDLQSVAYAYLNHRS >KQK86355 pep chromosome:Setaria_italica_v2.0:IX:1404805:1410641:1 gene:SETIT_034800mg transcript:KQK86355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCLHGVVVVSLGLWVFPSSCSDFSVSPDPLPHLPAPRRSPLAAAAAAHGSHPAAGTHPSVWCWVQFLCRNESRRAADWELGRGDEGGMQQLQPKQMHWVRADSSDFGGDRPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDVSVYDVENKLWYTPECTINGSDGQAGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDLWQWSEMTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELAVTGSVPPPRCGHSATMIEKRLLIFGGRGGAGLIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLPTSNEPPPPRAYHSMTSIGSQFLLFGGFDGKNTFGDLWWLVPEDDPIAKRGLAPNIDSNSRPSTTTGDAQQPNLKESQAVVSPIIELAKRLGIPLSEEVSISSVDEMDDKELVELSSRLAGQSLPASDQVASIQVLRDHWKSSPASSLQLQELGPLLRDYQRLILRRYSYGFTLRGNQSAAFHEMEALRFFHLKSASQLRMDDIPILLREYGRLLST >KQK86354 pep chromosome:Setaria_italica_v2.0:IX:1404805:1410641:1 gene:SETIT_034800mg transcript:KQK86354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCLHGVVVVSLGLWVFPSSCSDFSVSPDPLPHLPAPRRSPLAAAAAAHGSHPAAGTHPSVWCWVQFLCRNESRRAADWELGRGDEGGMQQLQPKQMHWVRADSSDFGGDRPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDVSVYDVENKLWYTPECTINGSDGQAGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDLWQWSEMTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELAVTGSVPPPRCGHSATMIEKRLLIFGGRGGAGLIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLPTSNEPPPPRAYHSMTSIGSQFLLFGGFDGKNTFGDLWWLVPEDDPIAKRGLAPNIDSNSRPSTTTGDAQQPNLKESQAVVSPIIELAKRLGIPLSEEVSISSVDEMDDKELVELSSRLAGQSLPASDQVASIQVLRDHWKSSPASSLQLQELGPLLRDYQRLILRRYSGNQSAAFHEMEALRFFHLKSASQLRMDDIPILLREYGRLLST >KQK86356 pep chromosome:Setaria_italica_v2.0:IX:1404805:1410641:1 gene:SETIT_034800mg transcript:KQK86356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESCLHGVVVVSLGLWVFPSSCSDFSVSPDPLPHLPAPRRSPLAAAAAAHGSHPAAGTHPSVWCWVQFLCRNESRRAADWELGRGDEGGMQQLQPKQMHWVRADSSDFGGDRPAPRSGHTAVSIGKSKVVVFGGFADKRFLSDVSVYDVENKLWYTPECTINGSDGQAGPSPRAFHVAVVIDCNMFIFGGRSGGKRLGDFWMLDTDLWQWSEMTGFGDLPSPREFAAASAIGNRKIVMYGGWDGKKWLSDVYIMDTMSLEWTELAVTGSVPPPRCGHSATMIEKRLLIFGGRGGAGLIMGDLWALKGVTEEDNETPGWTQLKLPGQSPSPRCGHSVTSGGPYLLLFGGHGTGGWLSRYDVYYNECIILDRVSVQWKRLPTSNEPPPPRAYHSMTSIGSQFLLFGGFDGKNTFGDLWWLVPEDDPIAKRGLAPNIDSNSRPSTTTGDAQQPNLKESQAVVSPIIELAKRLGIPLSEEVSISSVDEMDDKELVELSSRLAGQSLPASDQVASIQVLRDHWKSSPASSLQLQELGPLLRDYQRLILRRYSGNQSAAFHEMEALRFFHLKSASQLRMDDIPILLREYGRLLST >KQK88706 pep chromosome:Setaria_italica_v2.0:IX:16383611:16385388:-1 gene:SETIT_040462mg transcript:KQK88706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDGSQNSSWKEPNTGNQSPPVVCSDVGFKGDAS >KQK92496 pep chromosome:Setaria_italica_v2.0:IX:55836670:55841692:-1 gene:SETIT_035336mg transcript:KQK92496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKASPEKKSWADVEEEEEAKAKAEAAAAAAASSSSSTSEPAVEAQAKQIEALSLAPPEDDAAGEEAPPLLDDSDDAQIQAVTSGGTVYESATTFEDLKLSPELLKGLHDEMGFSRPSKIQAITLPMILTPPYKDLVAQAHNGSGKTTCFVLGMLSRVDPNRRIPQAICICPTRELAQQNKAVLMRMGKFTGITCACAIPPAQKDYVPVSKMPPINDQIVIGTSGTLIKWITNKKVATREIKILVFDEADHMLAEDGFRSDSERIMRDIQRSAGGCQVLLFSATFNERVKDFVTRVIKDGNQIFVKKEELTLEKVKQYKVQVPDEAAKIEVIRDKIFEFGQKVGQVIIFVRTKQSTKNVHNALTREDYVCSSIQGSLDQAEREKIIQEFKDGYTKVLISTDVLARGFDQAQVNLVINYDMPIKFGTRDEPDYEVYLHRIGRAGRFGRKGAVFNMLCGETDNVVMKKIENYFQHQVPEVRNWKNEEDFETALKDAGLL >KQK91763 pep chromosome:Setaria_italica_v2.0:IX:51645069:51647895:-1 gene:SETIT_036459mg transcript:KQK91763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGSSAAMAAAALAFLAVAAALCCDTAAGALPRLEHHPAKNDGSLSLLVVGDWGRKGTHNQSRVAEQMGRVGEKLDIDFVISTGDNFYDNGLKDVHDHAFEESFTDIYTAQSLQKPWYLVLGNHDYRGNALAQLSPVLRKIDSRFICMRSFIVNAEFVDFFFVDTTPFQRNYWTHPGKHHYDWRGVAPRGKYIANLLEDLDEAMKKSTARWKIVVGHHTMRSVSDHGDTKELLKLLLPVLKENGADFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVFQPNKDKLRFFYDGQGFMSLQLNREQAQFNFYDVSGKVLYQWTSSKTGHPQPSAYLDQEE >KQK88908 pep chromosome:Setaria_italica_v2.0:IX:18435979:18436453:-1 gene:SETIT_038928mg transcript:KQK88908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAGKYITRKNNSHWTAKEVKLLVHGVSKFGVGRWSKLKKKYFKTSVRTAVNLKDKWRNLLRAYQKNIQKYTLLDLEPPLVEQIRKLAVKHPYPKRRHS >KQK89275 pep chromosome:Setaria_italica_v2.0:IX:22935288:22941033:1 gene:SETIT_035541mg transcript:KQK89275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELPLAAGLLDLRPGKLSPKPPPPPPLPLPARRRAHATSAAAAAPSPRRAVPELHSTTGAQTGAASAAGEEAPEQVAGSNAGVEEAEARLASAEVITAAESEYETEGDGVAVGAGVPTSGAVVKTTGTGLEQREEAAGTAGLEESEAASEGSTVQDFDTDVETESSGSSGDEQGAEFGVPLPIVERNSKEVDWKKDTSEVKDSDRMVEIAQSELVLLSGAAILPHPSKVATGGEDAYFIAGNGWFGVADGVGQWSFEGINAGLYARELMDGCKKFITENQGALDLGPEQILSKAADEAHSPGSSTVLVAHFDGQVLQASNIGDSGFLVIRNGEVYEKSKPMVYGFNFPLQIEKGDDPLKLVQNYTIDLEEGDAIVTATDGLFDNVYEHEVAAIISKSLQADLKPAEIAEHLAAKAQEVGRSGAGRSPFSDAALSVGYLGFSGGKLDDIAVVVSIVRTSEIQVIQD >KQK92146 pep chromosome:Setaria_italica_v2.0:IX:54011964:54016058:1 gene:SETIT_035771mg transcript:KQK92146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPANMISTKFLEKAVLPLDVIAPHVKTEAVRTSVLRNPNMEKLQKGYLFPEISMKREAHLKKYPDAKVISLGIGDTTEPIPSVITSAMAEYALALSTPEGYQGYGPEQGQMNLRKVIAEKVYPDMGIKESDVFISDGAQSDIARLQTLFGPNVSIAVQDPTFPGYVDNGVIVGQTGAADEAGKYAGIAYMRCAPENDFFPDLSRVPRTDVIFFCSPNNPTGHVASPAQLRELVDFARRNGSIIVFDAAYAWYVSEGKPRSIYEVPGAREVAIEISSFSKFAGFTGVRLGWAVVPAELRYADGSPVARDFDRIVCTCFNGASSVAQAGGVACLSTEEGRGAVRRVVGVYKENARVLVDTFASLGKEVYGGADSPYVWVRFPGRRSWDVFTEILEKTHVITVPGSGFGPGGEGFVRVSAFNSRDRVLEAAARLRKFLA >KQK88347 pep chromosome:Setaria_italica_v2.0:IX:13418574:13420860:1 gene:SETIT_037986mg transcript:KQK88347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKKVFGFEEVAKHNVTKDCWIIIAGKVYDVTPFMDEHPGGDEVLLAVTGKDATADFEDIGHSDSAREMMEKYHIGQIDASTIPAKRTYVNPQQVPRNVDNDSDLLIKILQFLVPILILGLAFGIRQYTKSE >KQK88346 pep chromosome:Setaria_italica_v2.0:IX:13418349:13420860:1 gene:SETIT_037986mg transcript:KQK88346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEKKVFGFEEVAKHNVTKDCWIIIAGKVYDVTPFMDEHPGGDEVLLAVTGKDATADFEDIGHSDSAREMMEKYHIGQIDASTIPAKRTYVNPQQVPRNVDNDSDLLIKILQFLVPILILGLAFGIRQYTKSE >KQK91227 pep chromosome:Setaria_italica_v2.0:IX:48633923:48637821:-1 gene:SETIT_034128mg transcript:KQK91227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKGGAKVIGGGGGAGGIFNLFDWKRKSRKKLFSNSPEGAKLVKRSEETLPSGRLHLVDEDEGIGVPSFKGSSDYSCASSVTDEEGREMKAPGVVARLMGLDALPTSGVPEPYCTPFRDTRSFRDSQSLKRSPEYSMNDQFSHVPRRVDGYMRKPLDLRAQKMPSSPIERFQIEALPPRSAKPLPMSHHRLLSPIKNPGFSSARNAAQIMEEAAKILQPRTQSSSREKICSFSPARIPLRVSEPRESIPASQRTVSQRAQSTRTTPELPDVRFSRGQQMNRSWNSEEDIVIFRPSIDSYEINNPSCSKNNKGKSISLAVQAKNNVQKREGVSSGRNSGLQKEHDDHRTNQPFRSQSNHQRNKQQKKPSSSGTSSPVLRQNNQKQNSLVTRGKVAPNKSVSTQQGRKAMAGDSSSGKIKSGSKVSKVGGRKDIVESISGDREGSSSNNKDFPQKKRLIERNSTNEKGTFVPEKPVGKLQKQVQPNVVMDEHIKWDKESKDTTDVVSFTFTSPLVKPSAGPSRLAGKWDSRSNFNLDAGCDKDDSDGKAEGLSSVGLNFVNGDALSLLLEKKLKELTSKIDPSITFTRGDTFVPATFTLEEPPTSSCSNWGSESGVFDCSPSEAKPSQYDYCPSAQSSTKGQIFRGSKLKVEEPEECSSISNARKEQEYEDLSPLSVLEPTFLSESCWSSECSGSSDGSKAVYSSSSEVKTTTGNFLMNPPPVDIEAKTTDSASSASVDASDISDVTQCSKKSRHTELEYIEDVLSNVNLTTDELGSLFVNQDGSALDPLLFEKLENMHVYAQGKEPLGRRGYRRLLFDCINECLETRRSTYFRAGYAAWSKGVAALSRGVEAEVCNEITSWKSMGEWVEDELVDKDMSSGLGTWVDFRVEAFEAGEEVESEILNSLLDEVIRDMVVRRRQECKFVI >KQK91939 pep chromosome:Setaria_italica_v2.0:IX:52822301:52828206:1 gene:SETIT_034942mg transcript:KQK91939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNNSAAAAASVVTTSVPAEKHVAIPAAAVAAMTNGGGSAAEESKAEEDMLPPAPALPCGPRKTGLHLFIMNIRSVFKLDELGSEVLRIAVPASLALAADPLASLIDTAFIGRLGSVEIAAVGVSIAIFNQVSKVCIYPLVSVTTSFVAEEDAIISKAVEENSSHDLEKASHVDSETNNSPVSGPDIAECVNTCIPTECTELSNHGSKKKYIPSVTSALIVGSILGLLQAIFLVFSAKFVLSIMGVKSGSPMQGPAVRYLTIRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVVGDATNIILDPILMFVCHMGVTGAAVAHVASQYLITLILLCRLVQQVDVIPPSIKSLKFGRFLGCGFLLLARVVAVTFCVTLAASLAARQGPTIMAGFQICCQLWLATSLLADGLAVAGQAVLASAFAKNDNKKVVAATSRVLQLSIVLGMCLTVVLGLAMKFGAGIFTKDLPVIEVIHKGIPFVAGTQTINSLAFVFDGINFGASDYTYSAYSMVAVASVSIPCLVYLSAHNGFIGIWIALTIYMSLRTIASTWRMGAARGPWTFLRK >KQK86767 pep chromosome:Setaria_italica_v2.0:IX:3643249:3644193:-1 gene:SETIT_037623mg transcript:KQK86767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGTPPVGLTPGPGDGNSVGMFSSDRIGGFGYGVGVSVGILLLITTITLASYFCTRAPVTAADAAGETPSRRHGGGRGGGDGGEGVHDIELGIDEATLKGYPEVVYGEARREAKAKKGTTCTCCSICLDNYGDGEVLRMLPECGHLFHRECVDPWLRQHPTCPVCRTSPLPSPMPTPLAEVTPLAMARMSS >KQK89832 pep chromosome:Setaria_italica_v2.0:IX:36271533:36274499:1 gene:SETIT_034902mg transcript:KQK89832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTADEETPLVVHLQPPPQVVASEYAGDGSVDINKQPALKRSTGKWRACYLILGVEFCESMAFTAISTNLVTYLTTVLHETKVDAARNVSAWLGACFLSPLLGAFIADTYWGRYWTIVVSAPVYIIAMLVLIASASLPVFSASSYHDVRSAVAYLGLYLLAISNGGLKPCVSTFGADQFDINDRAELSQKGSFFNWYFFLTTTSCLLSGTVIVWLEDNVGWAVGYVVPAVLMLFFFVVFIAGSRIYRFRGMEASPLTSIFQVVVAAVRKWHLQLPDDSSLLYELTSSPSTAEASQKNKHSNRFRFFDKAAIAPSDNESVVHMSSWRLCTVSQVEDLKMLLSMSPTWGLFVIYFSVSALMQPTMVEQGMFMDNHVGSFAIPPASMPTVSVCSFLIWIPIYETILIPLARRFTGKEKGISQSQRLGIGQALSTLTMVLAALLETRRLAIAEANGLKHQDVPVPMSILWQVPIYLAHGATAVFGGIGLTEFFYDEAPVTMRSLCAALGQLATAAGSYFSSLVLSTVAVATTRGGEPGWIPDNLNEGHLDYFFWMMAALSLLNLALFVGYSMRRKGR >KQK89831 pep chromosome:Setaria_italica_v2.0:IX:36271533:36274499:1 gene:SETIT_034902mg transcript:KQK89831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTADEETPLVVHLQPPPQVVASEYAGDGSVDINKQPALKRSTGKWRACYLILGVEFCESMAFTAISTNLVTYLTTVLHETKVDAARNVSAWLGACFLSPLLGAFIADTYWGRYWTIVVSAPVYIIAMLVLIASASLPVFSASSYHDVRSAVAYLGLYLLAISNGGLKPCVSTFGADQFDINDRAELSQKGSFFNWYFFLTTTSCLLSGTVIVWLEDNVGWAVGYVVPAVLMLFFFVVFIAGSRIYRFRGMEASPLTSIFQVVVAAVRKWHLQLPDDSSLLYELTSSPSTAEASQKNKHSNRFRFFDKAAIAPSDNESVVHMSSWRLCTVSQVEDLKMLLSMSPTWGLFVIYFSVSALMQPTMVEQGMFMDNHVGSFAIPPASMPTVSVCSFLIWIPIYETILIPLARRFTGKEKGISQSQRLGIGQALSTLTMVLAALLETRRLAIAEANGLKHQDVPVPMSILWQVPIYLAHGATAVFGGIGLTEFFYDEAPVTMRSLCAALGQLATAAGSYFSSLVLSTVAVATTRGGEPGWIPDNLNEGHLDYFFWMMAALSLLNLALFVGYSMRRKGR >KQK89830 pep chromosome:Setaria_italica_v2.0:IX:36271533:36274499:1 gene:SETIT_034902mg transcript:KQK89830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTADEETPLVVHLQPPPQVVASEYAGDGSVDINKQPALKRSTGKWRACYLILGVEFCESMAFTAISTNLVTYLTTVLHETKVDAARNVSAWLGACFLSPLLGAFIADTYWGRYWTIVVSAPVYIIAMLVLIASASLPVFSASSYHDVRSAVAYLGLYLLAISNGGLKPCVSTFGADQFDINDRAELSQKGSFFNWYFFLTTTSCLLSGTVIVWLEDNVGWAVGYVVPAVLMLFFFVVFIAGSRIYRFRGMEASPLTSIFQVVVAAVRKWHLQLPDDSSLLYELTSSPSTAEASQKNKHSNRFRFFDKAAIAPSDNESVVHMSSWRLCTVSQVEDLKMLLSMSPTWGLFVIYFSVSALMQPTMVEQGMFMDNHVGSFAIPPASMPTVSVCSFLIWIPIYETILIPLARRFTGKEKGISQSQRLGIGQALSTLTMVLAALLETRRLAIAEANGLKHQDVPVPMSILWQVPIYLAHGATAVFGGIGLTEFFYDEAPVTMRSLCAALGQLATAAGSYFSSLVLSTVAVATTRGGEPGWIPDNLNEGHLDYFFWMMAALSLLNLALFVGYSMRRKGR >KQK90673 pep chromosome:Setaria_italica_v2.0:IX:44502632:44504607:1 gene:SETIT_035184mg transcript:KQK90673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPVLECMPQQWKLQLPAIFFTFLLPLLALALATRSFFSTKGRRLHLPPGPLRLPILGNLHQIMSALPHRSLRELARRHGPVMQLRLGTVPTVVVSSAEAAREVLKTHDAACCNRPDTPGARRLSYGYKDVAFTPYSDCWREMRKLIVVELLNARRVQATWPAREAEVDKLIGRLTSGGRRPVYLEDHIFTLMDGIVGTVALGSIYGSEQFAHKKHFHDLFDEAMAVKSSFSAEDYFPNVFGRLVDHLTGLVSRREKVFWELDAFFDKIISMHLHPSRSTPDNGPSFIDVLIGLTKEHEGTFSWFTGDHIKGMLSDTFIGGVDTNSVTVVWAMAELIRNPEVLKKAQDEIRGAVGNKKRVEPDDLPKLKYLKMVVKETLRLHPVVPLLAPRETMRHIKICGYDVPAKTRIFVNVWAIGRDPASWSNSEEFDPDRFEGNGVDFNGAHFELLPFGAGRRMCPGVAMGVAIVEFALANLLYCFEWELPDGTTAEDVSMEEAGGLTINKKFPLVLVPTKYKWQRS >KQK88338 pep chromosome:Setaria_italica_v2.0:IX:13335184:13338401:-1 gene:SETIT_035624mg transcript:KQK88338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYYLVFGALAAVVAALELGKSGKDRVATSPAFNSFKNNYILVYSLMMSGDWLQGPYVYYLYSQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCFTKHSPEYKILMIGRVLGGIATSLLFSAFESWLVAEHNKKGFDPQWLSITFSKAIFLGNGLVAIVAGLFANFLADNMGFGPVAPFDAAACFLAIGMAIILSSWGENYGDSSDSKDLMTQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFAVSAFTLFLPVVTNFLVPPSSAKGGSISLGGCLQLLGFCTFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMAAILQRRLMVVSDLHKSTKAVEMTAEDEPLNP >KQK92309 pep chromosome:Setaria_italica_v2.0:IX:54854122:54855075:1 gene:SETIT_037572mg transcript:KQK92309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAYTTIAPVVSKIFCSSSQAVLMVRRRPPTVNGGGFVVTDQDQRAVFSVDGCGIIGASGQLIVRDGDGNAILFIHKKGGIVQALSVSNWWRGYLTDYGEPSKLVFSLQDPKPVLCMKGDVQVTLEPKGRNRHWDYEVTGSFVQRSCAIKNRAGHVAAQIGVKGMLAGRDFYQVVVQPGYDQAFVVGVIAILDNIHGESTRC >KQK92757 pep chromosome:Setaria_italica_v2.0:IX:57105812:57107953:-1 gene:SETIT_034880mg transcript:KQK92757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLPKRPANYVPLSPVGFLPRANAVYGDRLSVIYGRVRFTWSETYRRCRSFASALLALGIRRGDVVSVLAPNVPAMYEMHFAVPMAGAVLNTINTRLDANAVATILRHSEAKLFFVDYDCVRLAGDALRLLAAEAGRHVPLVAVIDDIDAPTGVRLGELEYEGLVAHGDAEAELPPLADEWDAVTLNYTSGTTSAPKGVVYSHRGAYLSTTSLLLQWGVGTEPVYLWTLPMFHCNGWTFTWGMAARGGVNVCIRDARAADIYRAIARHRVTHMCCAPVVFSILLEGDDATSSKRQLEAPVHVLTGGAPPPAALLERVERIGFRVTHAYGLTEATGPALACEWRDQWDRLPLPERARLKARQGVSVLSLADADVKNADTMASVPRDGKTLGEIVLRGSSVMKGYLNNPKANEEAFRGGWFLTGDVGVVHEDGYIEIKDRSKDVIISGGENICSKEVEEVLFRHPAVADAAVVAMPHPRWGETPCAFVVARDKAARVSEDDVLAFCSKRMARFMVPKKVVVMEALPRNGLGKVEKVKLREVARKLAPTVTAQKPKGKTTTVGGRRDEQQVAHVMAVSRL >KQK88076 pep chromosome:Setaria_italica_v2.0:IX:11339300:11340352:1 gene:SETIT_040029mg transcript:KQK88076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPHMAAAHATSRSKGDSLLVTAVYSAADTSRVDVKLVDVASGAIVDQLNGQRTGHLAAAGGLICLVRTDGAAGVRVFNPATGAVTDIPAGTTTVEGSNQTSLAAYVFGQVPATGEYKVLRINTARGSHAEPKQSCEILTLRGRGRCWRPAQGPPAAVNTTISRQRAVAQGFAHFFTTSSDMGEYDGIASFDLAKETWRPSLLRGPLPSRSRNCCHSNLSLVELNGCLAFVHHDYLSCCIDMWVLTDLEKGSWLRIQSLPLGSILRGWDEPAKGQPAPLIPVSRLPREIFAQPLMVLDDGRIAFWVGVPNGSVRVYDPKARRCKEVVDMGKTCTVVGLCKGSQQLGCT >KQK90862 pep chromosome:Setaria_italica_v2.0:IX:46253561:46256372:1 gene:SETIT_035005mg transcript:KQK90862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYLLFESASGYGLFHAYGIDEIGQSVEAVRSSVLDLDRFGKAVKLTAFNPFSSAVDALNQCNAISEGIMTDELRSFLELNLPKPKEGKKAKYSLGVVEPKVGSHIAEVTGIPCQSNEFVQELLRGVRLHFDRFIKELKESDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDINSFSMRVREWFSWHFPELVKIVNDNYIYAKLAKFIVNKSDLAEKDVPALADIVGDLDKAKEIVEAAKASMGQDLSPVDLINVQQFAQRVMNLSEYRKNLYEYLVTKMNDIAPNLTSLIGEVVGARLISHAGSLSNLAKCPASTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRATTKNKGRMARYLANKCSIASRIDCYSEASTSVFGQKLREQVEERLEFYDKGVAPRKNLDVMKAAIDSMVNGTSIDDDDNEKTDASAKKSKKKKSKTEADGDAMDLDKPSNAADGEAEPGTEKKKKKKKHKLEEETQEQENGAANGDAEVNETPKKKKKKSREVSEDAEPKTATEGKKKKKKKSKAEDDE >KQK91184 pep chromosome:Setaria_italica_v2.0:IX:48340676:48345743:1 gene:SETIT_034695mg transcript:KQK91184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRLLSPSTPPPHFPSPGTKPPASAAAALPSSSSSYFSLRLRRARAAAAAGAAAAGGPERDGGRFEGETMGGAFDRGLAEIAKKVPLFEPATDGELAAAAGERPLPINLELWLYRVKVHTRKFEFPEAEKLLDKCISFWPEDGRPYVALGKLYSKQSRYDKARAVYERGCQATQGENPYIWQCWAVLESKGGNIRRARELFDAATVADAKHIAAWHGWAILEIKQGNVKKARNLLGKALKYCGGNEYIYQTLALLEAKAERFEQARTLFQQATQSNPKSCASWLAWAQVEMRAGNNTMARKLFEKAVQASPKNRFSWHVWALFEANEGNIDRARKLLKIGHAVNPRDPVILQSLALLEYNYSSANVARVLFRKASQIDPRHQPVWIAWGWMEWKEGNARTARALYQRALSVNSTNECAARCLQAWGVLEQRAGNYTAARRLLRSSLSINSQSEVTWMTWAALEEEQGDPVRAEEIRNLYFQQRIEVVDDASWVMGFLDIIDPALDSVKKLLNLDQPSGPVKQDTVKSTTESNLPTTRSSADESSESSATGGTSGFSSNDADNSSSEAAETPGSDFDLDGFIKKRLGLDPSELDAVLEGSDPRGVVSQRRNRRLPRKPLPLLPVP >KQK90256 pep chromosome:Setaria_italica_v2.0:IX:41042039:41042743:1 gene:SETIT_038556mg transcript:KQK90256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGRADQALTMDPRGVKRSRPRRPRAQATRRGAGARRDGDGRPSRAAEWMKVRRTGGDARPTPQNQRDFPPAIGWTILRGRCIARCVFFPCRSPTDSRIRAKTIYAVSRNQFRHELDGVHFEIQATDPDDMDLEVLRGRANRT >KQK91433 pep chromosome:Setaria_italica_v2.0:IX:49774138:49780566:-1 gene:SETIT_034027mg transcript:KQK91433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTPNPSRRSWVGPAPMPFLTPRPERQRRHLEMRWADAGSQSAVRRSGVGAVAGNSGGERDREVNVQVVLRCRPLSEEEQRSNVKSAISCNESKREVTVRLFKQADKTFTFDKVFGPKSQQRSIYDHAVAPIVNDVLEGYSCTVFAFGQTGSGKTYTMEGEMRQKVSEPPDTAGIIPRAVHHIFRMLEERKADYSMKVTFLELYNEDITDLLATEDQSRFPEDKQKRPITLMEDGKGGAVIRGLEEIVVYSPSDIYNHLERGSARRRTADTALNKQSSRSHAVFSINIHVKETTVGNDELMKCGRLNLVDLAGSENIARSGVREGRAREAGEMNRSLLTLGRVITALVEHSVHVPYRDSKLTRLLRESLGGKAKACIIATVSPSVHSQEETLVTLDYASRAKSIRNRPEANKTTCNIMLKDLYQEMERMKQDVKAAREKNGIYIPHERFVLDEAEKKSMREKMERLELNLHKQKKEIEKFKSLYLAEQECRLDFESQNKDLKVNLESWKGKFHDLQEAHCRANMSLREKDFIISNLLCSENLILEHAKDMRNNLENASEDITVLLNKLERQSKTEAKNEGLLSSFRAELDHSLGVLHKTVVGSVCEQHKILESMNEQMKSYFSAKTESANHLERRTAKAKDMYVSGIQCMKELADTLRQRSIIDSEQMRLNISTHAIAVENFLAMMVSEAEQVLDDVLKSTSELKELIALFAELQRAGLKRSLTSAQAMSKTSIDFFKDIRIHVSRLIKLMEQNKIERSTKLLEFENEFKEICVKDEQAALNKIATILSGLTAKKTAMVSAYAGQLNDRYNEEQKHLNLEMSNLQQVSDNGKNETAAYVRVVENQFQEDMSSHAKLNDQMEGILQQCLKKGGQSVSYWSQTQSSMHDLCKSSIMEADDFIKEWGKKNENIFHEKLMFSSQNDAEFHAITSDVLTNSKNSLLLDHETRKMMESVSTTYSDHLELLNEKHSERTEYIRNNASNCLEKDYMANSPIRHCPRELLTDANSLESIEELRASVPDLVAKFRLENKLDEVGKGKQLSDQRTRTPRSPLMPVNHYVE >KQK91933 pep chromosome:Setaria_italica_v2.0:IX:52778024:52783472:1 gene:SETIT_034863mg transcript:KQK91933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKFLRRAGLPSLYKPGEAGRLAVISLRSGLISEWLCVDTNTCKYFHPRGEHHQRGRLRELAKMPAGGFSVSAPSGVEFEAKITPIVIISCIMAATGGLMFGYDVGISGGVTSMDDFLGKFFPAVLRKKHEDKESNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRRLGRRLTMLIAGVFFIVGVIFNGAAQDLAMLIVGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTSKIHPWGWRLSLSLAGIPAVLLTLGALFVTDTPNSLIERGRLDEGKAVLKKIRGTDNVEPEFNEIVEASRIAQEVKHPFRNLLQRRNRPQLVIAVLLQIFQQFTGINAIMFYAPVLFNTLGFKSDASLYSAVITGAVNVLSTLVSVYSVDRVGRRMLLLEAGVQMFLSQVAIAVVLGIKVTDHSDNLGHGWAIMVVVMVCTFVSSFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVIAQAFLSMLCHLKYAIFVFFSAWVVVMSLFVLFFLPETKNVPIEEMTERVWKQHWFWKRFMDDDNHHIVNGKITANNGASV >KQK87273 pep chromosome:Setaria_italica_v2.0:IX:6346152:6346514:1 gene:SETIT_040486mg transcript:KQK87273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHMFLHMVKVKELVVHRVICHAWLYIHI >KQK92069 pep chromosome:Setaria_italica_v2.0:IX:53530883:53533453:1 gene:SETIT_036490mg transcript:KQK92069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGYSGRGGFGAGRHSSSSNDGRGYHGNPCLTMHQPWASLLVHGIKRVEGRSWPSPITGRLWIHAASKVPDPDTIKAMEDFYREIYAVDGITDIKFPDHFPVSRLLGCVEVVGCLRSEELVCWEDVPQSVRLEGLTDFCWLCENPQKLVVPFEMRGYQGVYNLERRIYDGAVRGLTPVQGPLPIKFPLPDPRDRFSLKPGSLTFDASKSEVQKSSSVTAAIAGARAAATQFSKKDPNAATSSETRVREQSWGNHADSNSGNGNLPSVVQGSPAYLQNQNQPSIFRSTTAYSQNPDTEPRRSPRLEFGASNRLVAVALRELKQLSVSERGGQPAGSGRS >KQK92070 pep chromosome:Setaria_italica_v2.0:IX:53530883:53533453:1 gene:SETIT_036490mg transcript:KQK92070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGYSGRGGFGAGRHSSSSNDGRGYHGNPCLTMHQPWASLLVHGIKRVEGRSWPSPITGRLWIHAASKVPDPDTIKAMEDFYREIYAVDGITDIKFPDHFPVSRLLGCVEVVGCLRSEELVCWEDVPQSVRLEGLTDFCWLCENPQKLVVPFEMRGYQGVYNLERRIYDGAVRGLTPVQGPLPIKFPLPDPRDRFSLKPGSLTFDASKSEVQKSSSVTAAIAGARAAATQFSKKDPNAATSSETRVREQSWGNHADSNSGNGNLPSVVQGSPAYLQNQNQPSIFRSTTAYSQNPDTEPRRSPRLEFGASNRWH >KQK87184 pep chromosome:Setaria_italica_v2.0:IX:6025445:6026926:-1 gene:SETIT_039923mg transcript:KQK87184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSDGEGAGGGVSRSHPSNLPLPAPHSDPNLQFSGVTDDEMSNRNSSSSATGGASPGYYSDYPSSFSGECSPYNMSPWNQTMASPWSHHSEASAAGLGGPPTMAPGTSLIGSLVREEGHIYSLAAKGDTLYTGSDSKNIRVWRKQKDSGGFKSSSGLVKAIVISGERIFTGHQDGKIRVWKVSPKNGLHKRVGSLPRLRDFLRGSLNPSNYVEVRKNRTALWIRHSDAVSCLSPTEPAQGLLYSGSWDRTFKVWRINDSKCLESVVAHDDNVNAVVAAFDGLVFTGSADGTVKVWRRELQGKSTKHSAVQTLLKQEHAVNALAVSAVAPVLYCGSSDGLVNFWEGDRHLVHGGVLRGHKKAVFCLAAAGALLLSGSADNTIFVWRRDGGMHSCLSVLTGHTEPIRCIAVVEDNGDSSESNAGGGGAAGGGSASRWIVYSGSLDKSIKVWRVTDEPAPDALLLGPGAGDGPQMFDRYPGDATFGASASTTSFR >KQK91362 pep chromosome:Setaria_italica_v2.0:IX:49441458:49444149:-1 gene:SETIT_036014mg transcript:KQK91362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTACLRLPFLPARARGHSATSPSSRRPALTLKCSAAANGDSSKPNSISISPTSPPRGTVADGVESVDVNGLRRPPVPVSGLTVPGARDPHWLRRPLTSADVMEVNGKGLKVAYQGCPGAYSEAAARKAYPNCETVPCEHFDTAFQAVQNWVADRAVLPLENSLGGSIHRNYDLLLRHSLHIVGEVRLAVRHCLLANPGVEIENLKSAMSHPQALAQCEHTLTGLGIEHREAVDDTAGAAKFVAEQMLQDTGAIASSLAAKLYGLDVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALREINLTKIESRPHKERPLRVSDDCLIKNFDYLFYVDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDVNEP >KQK91363 pep chromosome:Setaria_italica_v2.0:IX:49440632:49444253:-1 gene:SETIT_036014mg transcript:KQK91363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTACLRLPFLPARARGHSATSPSSRRPALTLKCSAAANGDSSKPNSISISPTSPPRGTVADGVESVDVNGLRRPPVPVSGLTVPGARDPHWLRRPLTSADVMEVNGKGLKVAYQGCPGAYSEAAARKAYPNCETVPCEHFDTAFQAVQNWVADRAVLPLENSLGGSIHRNYDLLLRHSLHIVGEVRLAVRHCLLANPGVEIENLKSAMSHPQALAQCEHTLTGLGIEHREAVDDTAGAAKFVAEQMLQDTGAIASSLAAKLYGLDVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALREINLTKIESRPHKERPLRVSDDCLINRNFDYLFYVDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDVNEP >KQK91361 pep chromosome:Setaria_italica_v2.0:IX:49440632:49444253:-1 gene:SETIT_036014mg transcript:KQK91361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTACLRLPFLPARARGHSATSPSSRRPALTLKCSAAANGDSSKPNSISISPTSPPRGTVADGVESVDVNGLRRPPVPVSGLTVPGARDPHWLRRPLTSADVMEVNGKGLKGCPGAYSEAAARKAYPNCETVPCEHFDTAFQAVQNWVADRAVLPLENSLGGSIHRNYDLLLRHSLHIVGEVRLAVRHCLLANPGVEIENLKSAMSHPQALAQCEHTLTGLGIEHREAVDDTAGAAKFVAEQMLQDTGAIASSLAAKLYGLDVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALREINLTKIESRPHKERPLRVSDDCLIKNFDYLFYVDLEASMADPKTQNALGNLKEFATFLRVLGSYPTDVNEP >KQK91360 pep chromosome:Setaria_italica_v2.0:IX:49441499:49444149:-1 gene:SETIT_036014mg transcript:KQK91360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTACLRLPFLPARARGHSATSPSSRRPALTLKCSAAANGDSSKPNSISISPTSPPRGTVADGVESVDVNGLRRPPVPVSGLTVPGARDPHWLRRPLTSADVMEVNGKGLKVAYQGCPGAYSEAAARKAYPNCETVPCEHFDTAFQAVQNWVADRAVLPLENSLGGSIHRNYDLLLRHSLHIVGEVRLAVRHCLLANPGVEIENLKSAMSHPQALAQCEHTLTGLGIEHREAVDDTAGAAKFVAEQMLQDTGAIASSLAAKLYGLDVLAENIQDDKDNVTRFMMLAREPIIPRTDKPFKTSIVFSLEEGPGQLFKALAVFALREINLTKIESRPHKERPLRVSDDCLIKNFDYLFYVDLEASMADPKTQNALGNLKVSLQPF >KQK92273 pep chromosome:Setaria_italica_v2.0:IX:54687154:54688299:1 gene:SETIT_036821mg transcript:KQK92273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILAELIPSATAGGKGRKRAPAAAAKDDDFEAAFREFDEDSDEEVVLVTERKAFAFGAAAGARRSRRPSQYHGVRRRPLGKWAAEVRDPVKGVRVWLGTFATAEAAARAYDDAARDLRGAGAKLNFPTSSSATARTSKRSAAGAAKAAPYVDLVDGDDVPDAAHAPSVKSEAETSDSVSDASGCSTLPDFSWQGMSATDDGATWPAAGFHVELGRPSKRAGTEPQEPEEEEMAPPPAASEESADMLLDAFMFGDHFSFFNGGAYESLDGLFGGDASLSLGNEGVGLWSFDDTVCY >KQK87405 pep chromosome:Setaria_italica_v2.0:IX:7163767:7169950:-1 gene:SETIT_034118mg transcript:KQK87405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAEEGLVSMCEERARMLQDQFAVSVNHVHALAILVATFHYEKHPPALDQDTFADYTARTSFERPLLSGVAYAQRVVHADRESFERQQGWIIKTMKHEPSPVQDEYAPVIYSQETVSYIEGLDMMSGEEDRENILRSRASGKAVLTRPFRLMSNHLGVVLTFPVYRDDLPSDAKEEDRVTATAGYLGGAFDVESLVENLLRQLAGNQELVVNVYDVTNNSNPLVMYGSEVPLGNPSPSHICMLDFGDPYRKHHMICRYRNKPHVPWSAITTPSGVFVIFMLVGYILYAAWSRYDNVKEDCRKMEELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLDMLLDTDLKSTQRDYAQTAQVCGKALISLINEVLDRAKIEAGKLDLESVPFDLRSILDDVISLFSSKSREKGIELAVYVSERVPEILLGDPGRFRQIITNLVGNSIKFTERGHIFVQVHLADHSNLATEAKVEPVANGMNGHKDETTAVATSVSRNTLSGFEAADSRNSWENFKLLLSYEKNEMPYENVSDKVTLVVSVEDTGIGIPLDAQGKVFTPFMQADSSTSRTYGGTGIGLSISKCLVEIMGGQINFVSRPHVGSTFTFTAVLQRCDRRAISDSKPVMLHPLPSSFQGLSALLVDRRPVRATVTKYHLQRLGITSEVVATTELALGVLFGRNGHSLTSTKLPCMLLIESDSWSSKMDVSLRSRLLEMKQNGHKPVLPKIILLAAAESDKLKANYAVDSVITKPLKASTLAACLFQALGITQTQPNHEKRDSTGSLRGLLLGKSILVVDDNKVNLRVAAGTLKKFGAKVECVESGKDALALLKVPYKFDLCLMDIQMPEMDGFEATRQIRAMEAKVNEEQADAGDDSEADGATRAVKWHLPVLAMTADVIQATHEECTKCGMDGYVTKPFEEKQLFQAVQKFLDPGNMSS >KQK91436 pep chromosome:Setaria_italica_v2.0:IX:49800054:49801735:1 gene:SETIT_039675mg transcript:KQK91436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNCSVPHPLLLPYGDDYGIGASPIYSETRPAVSQFSFTQPTAASPLVKWTAAGETMTGDGSRLRGSKRFKTTTATATETAQGPQHGLRCHAKPTRNRTVKAPCKRSQKLGDKITALQQLVSPYGKTDTASVLHEAATCIKHLHEQIQILTVSYPAISSPASQQDTGEEEGATDLRRRGLCLAPLSPEVVQLAVTVEAALRHRDTADTEDRWRLLGTL >KQK87333 pep chromosome:Setaria_italica_v2.0:IX:6719103:6723985:1 gene:SETIT_035375mg transcript:KQK87333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEGNAGTLYGRLNRASTRGFLAYVAAGAACAAVLACFVLSAADPRAAAGRNDGLLRLASRSARVWPDLAFNWRVVVATVIGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCKLSPAHASRHTGAGMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMVGITIGVELSVVFPYWLITVLIIILFVGTSSRSFYKGIIMWREETMILMETREREEQSKAACAANDVVIDPSYEPLLTQPQPKVKSALETFLFNLRWKNILVLMSVWSFFLVLQVLKNNSKSCSTFYWVINILQVPVAVSVFLWEAVKLCRESRARRMNGNSECVCEASIEWSPAQLMFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLHRFPIPFGKSMVAKSVYKFLNIFQCMIVPQ >KQK87330 pep chromosome:Setaria_italica_v2.0:IX:6718973:6722405:1 gene:SETIT_035375mg transcript:KQK87330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEGNAGTLYGRLNRASTRGFLAYVAAGAACAAVLACFVLSAADPRAAAGRNDGLLRLASRSARVWPDLAFNWRVVVATVIGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMVGITIGVELSVVFPYWLITVLIIILFVGTSSRSFYKGIIMWREETMILMETREREEQSKAACAANDVVIDPSYEPLLTQPQPKVKSALETFLFNLRWKNILVLMSVWSFFLVLQVLKNNSKSCSTFYWVINILQVPVAVSVFLWEAVKLCRESRARRMNGNSECVCEASIEWSPAQLMFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVCKLPQIHAKFHFK >KQK87332 pep chromosome:Setaria_italica_v2.0:IX:6718973:6725158:1 gene:SETIT_035375mg transcript:KQK87332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEGNAGTLYGRLNRASTRGFLAYVAAGAACAAVLACFVLSAADPRAAAGRNDGLLRLASRSARVWPDLAFNWRVVVATVIGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMVGITIGVELSVVFPYWLITVLIIILFVGTSSRSFYKGIIMWREETMILMETREREEQSKAACAANDVVIDPSYEPLLTQPQPKVKSALETFLFNLRWKNILVLMSVWSFFLVLQVLKNNSKSCSTFYWVINILQVPVAVSVFLWEAVKLCRESRARRMNGNSECVCEASIEWSPAQLMFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLHRFPIPFAAYLIFVSILAGFWGQCLVRKIVHVLKRASLIVFILSSVIFASALTMGVVGTRKSISMINNHEYMGFLDFCK >KQK87334 pep chromosome:Setaria_italica_v2.0:IX:6718973:6725158:1 gene:SETIT_035375mg transcript:KQK87334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLVVGFDTKSAAALSKCMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMVGITIGVELSVVFPYWLITVLIIILFVGTSSRSFYKGIIMWREETMILMETREREEQSKAACAANDVVIDPSYEPLLTQPQPKVKSALETFLFNLRWKNILVLMSVWSFFLVLQVLKNNSKSCSTFYWVINILQVPVAVSVFLWEAVKLCRESRARRMNGNSECVCEASIEWSPAQLMFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLHRFPIPFAAYLIFVSILAGFWGQCLVRKIVHVLKRASLIVFILSSVIFASALTMGVVGTRKSISMINNHEYMGFLDFCK >KQK87331 pep chromosome:Setaria_italica_v2.0:IX:6718973:6725158:1 gene:SETIT_035375mg transcript:KQK87331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEGNAGTLYGRLNRASTRGFLAYVAAGAACAAVLACFVLSAADPRAAAGRNDGLLRLASRSARVWPDLAFNWRVVVATVIGFLGSAFGTVGGVGGGGIFVPMLNLVVGFDTKSAAALSKCKLSPAHASRHTGAGMIMGASASSVWYNLQVSHPTKEAPVIDYKLALLFQPMLMVGITIGVELSVVFPYWLITVLIIILFVGTSSRSFYKGIIMWREETMILMETREREEQSKAACAANDVVIDPSYEPLLTQPQPKVKSALETFLFNLRWKNILVLMSVWSFFLVLQVLKNNSKSCSTFYWVINILQVPVAVSVFLWEAVKLCRESRARRMNGNSECVCEASIEWSPAQLMFCAFCGLLGGTVGGLLGSGGGFILGPLLLELGCIPQVASATATFVMMFSSSLSVVEFYFLHRFPIPFAAYLIFVSILAGFWGQCLVRKIVHVLKRASLIVFILSSVIFASALTMGVVGTRKSISMINNHEYMGFLDFCK >KQK88445 pep chromosome:Setaria_italica_v2.0:IX:14324513:14327512:-1 gene:SETIT_035638mg transcript:KQK88445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKVVSGPDYDDEYDDYDEYDDDYDEYDDTGYGNDKHPVKTESLKKSSNMLPVHWTCSMCTFDNHESMTYCEMCGVFRETFVKSAKDGSLKDSVRAVSSEPRTSAAPKTDSAKTPMKTRAVDSDGCYARKNASTPCDKANSTQLPSAGSSLGAKKKKKTPVLSEEIPVERTALLVPDHFQLKGDQSGGASSSSQNDSVIQKLSSDISQLNVDKNNVNVTKPCLPEEYKPEKWMLADQESGVLSQLNLAIVGHVDSGKSTLSGRLLHLLGRISRKDMHKNEKESKEKGKGSFAFAWAMDESSEERERGVTMTVAVAYLETKKYRVVLLDSPGHKDFVPNMISGATQADAAILVVDASTGSFEAGMDGEGGKSVGQTKEHAQLIRSFGVEQLVVAVNKMDAVAYSKERFEFIKLQLGSFLRSCNFKDSAITWIPLSAVENQNLIKPPSDARLASW >KQK89005 pep chromosome:Setaria_italica_v2.0:IX:19414027:19419892:1 gene:SETIT_034762mg transcript:KQK89005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHALRLRPLLSAAGPAPPRATAAARRGPTPAFVVVRCSSAGAPSAAQALKINLIPTKPVEGQKTGTSGLRKKVKVFQQENYLANWIQALFNSLPPEDYVGGTLVLGGDGRYFNKDASQIIIKIAAGNGVGKILVGRNGLLSTPAVSSVIRKREANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPEIITDQIYGNTLSISEIKTADIPDVDLSSIGVVSYGDFTVEVIDPVSDYLELMENVFDFQLIKDLLSRPDFRFIFDAMHAITGAYAGPIFVEKLGADPDCILNGVPLEDFGNGHPDPNLTYAKELVFTMFGTRAPDFGAASDGDGDRNMILGKRFFVTPSDSVAIIAANAQTAIPYFQSGIKGLARSMPTSGALDCVAEKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHQNKDKKVGERLVSVEDVAREHWATYGRNFFSRYDYEACESDGANKMMDHLRDVIASSKPGEKYG >KQK89004 pep chromosome:Setaria_italica_v2.0:IX:19414003:19421048:1 gene:SETIT_034762mg transcript:KQK89004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHALRLRPLLSAAGPAPPRATAAARRGPTPAFVVVRCSSAGAPSAAQALKINLIPTKPVEGQKTGTSGLRKKVKVFQQENYLANWIQALFNSLPPEDYVGGTLVLGGDGRYFNKDASQIIIKIAAGNGVGKILVGRNGLLSTPAVSSVIRKREANGGFIMSASHNPGGPDNDWGIKFNYSSGQPAPEIITDQIYGNTLSISEIKTADIPDVDLSSIGVVSYGDFTVEVIDPVSDYLELMENVFDFQLIKDLLSRPDFRFIFDAMHAITGAYAGPIFVEKLGADPDCILNGVPLEDFGNGHPDPNLTYAKELVFTMFGTRAPDFGAASDGDGDRNMILGKRFFVTPSDSVAIIAANAQTAIPYFQSGIKGLARSMPTSGALDCVAEKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHQNKDKKVGERLVSVEDVAREHWATYGRNFFSRYDYEACESDGANKMMDHLRDVIASSKPGEKYGDYTLQFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRLYVEQFESDTSKHSLDAPTALKPLIDLALSISKLKDFTGRDKPTVIT >KQK89006 pep chromosome:Setaria_italica_v2.0:IX:19414003:19421048:1 gene:SETIT_034762mg transcript:KQK89006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVFDFQLIKDLLSRPDFRFIFDAMHAITGAYAGPIFVEKLGADPDCILNGVPLEDFGNGHPDPNLTYAKELVFTMFGTRAPDFGAASDGDGDRNMILGKRFFVTPSDSVAIIAANAQTAIPYFQSGIKGLARSMPTSGALDCVAEKLNVPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAHQNKDKKVGERLVSVEDVAREHWATYGRNFFSRYDYEACESDGANKMMDHLRDVIASSKPGEKYGDYTLQFADDFSYTDPVDGSTVSKQGLRFVFTDGSRIIFRLSGTGSAGATIRLYVEQFESDTSKHSLDAPTALKPLIDLALSISKLKDFTGRDKPTVIT >KQK91942 pep chromosome:Setaria_italica_v2.0:IX:52836275:52837480:1 gene:SETIT_038545mg transcript:KQK91942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDPLARGAGDVVSWTKRVSELARSGRSAEAVAAFSRMDAAPNALTLASVLPACAALRSLRAGRAIHGFWLRCGGVPGANLIVDNAVLDVYAKCGALGTARRLFDGMPERDVRSWTAMVWGLARSGTPRDAVGMFRAMLSDDGGGGAKPNEATVVSVLHAAASTGALACGEALHSYALKRGLAGEQVVGNALINAYAKCGDARMALRAFDELPEKDMVSWGTVTMAMAVNGRCRAALLLLSLMLRHGVRPDGAVFLALLSACCHAGLADRALEVLDAMRRVYGIAPRKQHYTCALDACGRAGRLDKFEEIVRQMPVEFDQQVLGVCYASASEWRARGVAGVGGEQLWGRFLEGEVDAGGGMYALVSKSLADAGRWEDACAVRERMAARSIEKDVACTWIEV >KQK88605 pep chromosome:Setaria_italica_v2.0:IX:15462779:15471745:-1 gene:SETIT_033955mg transcript:KQK88605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVRSVRKSAALRARNGPSKLQPARSMPLDYRYSTAAANGTGGGPAANGGGRRAAAAEAEKEEKEGEVVRLEGDDADSPYSSKAATAEEAEEVAEKGGGGEEVDSAAAATPRRLSPTAAASPTQGDARWGDTSSYGAKKKHRVFCQLPNGDWALCTVLTTSGDESVLKISEGKVLRLKTESLQPANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDLIYTKAGPVLVAVNPFKKVSLYGNEYIDAYRNKTMDSPHVYAIADAALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFLLEKSRVVQCAVGERSYHIFYQLCAGAPASLREKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQENVFAMVSAVLWLGDVSFTVIDNENHVEIIVDEASKTVAELLGCSIEDLNLALSKRHMKVNNENIVQKLTLAQATDTRDALAKSVYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLNSNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQLLAKCKSSLPQFFASKMLAQSDNSISVPYRSSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQEPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGILRVQSCFRGHQARYHARERIRGVLDLQSFIRGENARQIYSSLSRKHRAAIILQRSVRCWLARRYFTNIRRASVVIQSGIRGSLVRRCNGNIDLINVLREFESKQNYMNFTLLLFQEAEGDQILIKASVLAELQRRILKAEATVREKDEENEMLHQRLQQYENRWLEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWEINGNHVGSGSQLVPRTTGREMNAGLSVINRLTEEFEQRSQVFADDATFIVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGGRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >KQK88604 pep chromosome:Setaria_italica_v2.0:IX:15462382:15472081:-1 gene:SETIT_033955mg transcript:KQK88604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVRSVRKSAALRARNGPSKLQPARSMPLDYRYSTAAANGTGGGPAANGGGRRAAAAEAEKEEKEGEVVRLEGDDADSPYSSKAATAEEAEEVAEKGGGGEEVDSAAAATPRRLSPTAAASPTQGDARWGDTSSYGAKKKHRVFCQLPNGDWALCTVLTTSGDESVLKISEGKVLRLKTESLQPANPEILDGVDDLMQLSYLSEPSVLYNLQYRYSQDLIYTKAGPVLVAVNPFKKVSLYGNEYIDAYRNKTMDSPHVYAIADAALREMKRDEVNQSIIISGESGAGKTETAKIAMQYLASLGGGSGIEYEILQTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSTTGRICGAMIQTFLLEKSRVVQCAVGERSYHIFYQLCAGAPASLREKLNLKKVDEYKYLKQSCCYSIAGVDDAQMFRTVTEAMNIVHISKEDQENVFAMVSAVLWLGDVSFTVIDNENHVEIIVDEASKTVAELLGCSIEDLNLALSKRHMKVNNENIVQKLTLAQATDTRDALAKSVYASLFEWLVEQINKSLSVGKRRTGRSISILDIYGFESFDKNSFEQFCINYANERLQQHFNRHLFKLEQEEYVEDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNATDLTFANKLKQHLNSNSCFRGERGKAFAVRHYAGEVAYDTSGFLEKNRDLLHMDSIQLLAKCKSSLPQFFASKMLAQSDNSISVPYRSSAADSQKLSVAMKFKGQLFQLMQRLESTTPHFIRCIKPNNLQLPAIYEQGLVLQQLKCCGVLEVVRISRSGYPTRMTHQKFARRYGFLLLEDVASQEPLSVSVAILHQFNILPEMYQVGYTKLFFRTGQIGKLEDTRNRTLHGILRVQSCFRGHQARYHARERIRGVLDLQSFIRGENARQIYSSLSRKHRAAIILQRSVRCWLARRYFTNIRRASVVIQSGIRGSLVRRCNGNIDLINVLREFESKQEAEGDQILIKASVLAELQRRILKAEATVREKDEENEMLHQRLQQYENRWLEYEQKMKAMEEMWQKQMRSLQSSLSVAKKSLALDETPRMSDSSVDQSWEINGNHVGSGSQLVPRTTGREMNAGLSVINRLTEEFEQRSQVFADDATFIVEVKSGQADASLNPDMELRRLKQNFDSWKKDFGGRIRETKVILNKLGSGNESSPNSVKRKWWGRLNTSKFS >KQK90465 pep chromosome:Setaria_italica_v2.0:IX:42890262:42891848:1 gene:SETIT_040237mg transcript:KQK90465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPMSCHDQEHPAELAAATSSTGFDWLEDSLSFLAADVDIAGGYGWWCNPAAAQQDDIGSVVAHTLSPPAPLPTSSSPLAHASPSIASPVVSSPSEPSSKKRKSPAHRASGHSSSNQRRRAERDRPGGGGNGKKGGSKGGGAGSDRDTRWAEQLLNPCAAAVEAGNLSRAQHLFYVLGELASFSGDANHRLAAHGLHALSRRLPAAVGPAAAASVRVPPCERPAPAFAGAEPRLFRASLIRFHEVSPWFALPNALANAAIARAAAAGAPRPLHVVDLGVSHGVQWPTLLESLTRMPGGRAPPSVRLTVVAAGTPPAPFSASPPGYDFSPHLLRYAKSINLPLAISRAACLDSVHSLAAPGEALVVCLQFRLGHTTADERARILNKVRGLNPELVVLSEVDGGGVDGTAAGGFAARLELLWRFLESTSAAFKGRDGEERRLLEAEAGTSVAAAEVAGEGRDAWRERMAAAGFEEAAFGDEAVESARSLLRKYDGGWEMSAPAGSAGAVALRWKAQPVSFCSLWRPACSA >KQK89278 pep chromosome:Setaria_italica_v2.0:IX:22969365:22973772:1 gene:SETIT_035052mg transcript:KQK89278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCTLESSSANGIYPAQSSTSSHSISPLSGSPLSQHDGHSDHTYSSPPSASCLTEVADLQIKLKELENVILGPELDITSDSPESFLQANVQLRPDNWRQLLGIDAGDLKQVIIACGKAVAENDVFATELLISELGQLVSVSGDPMQRLGAYMLEGIVARLSSSGSMLYKSLKCKEPTGSELMSYMHLLYEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWITLIQALAARPGGPPYIRITGIDDSNSAYARGGGLDIVGRRLHSVAQSCGLPFEFNAVPAASHEVQLEHLAVRPGEIIVVNFAYQLHHVPDESVSIENHRDRIIRMIKSINPRVVTLVEQESNTNTAPFFPRYMETLNYYTAMFESIDVALPRDDRRRMSAEQHCVARDIVNLIACEGPERVERHELFGKWKARFAMAGFRPYPLSSVVNNTINTLLRSYNSCYNLEERDGVLYLGWKNRVLVVSSAWC >KQK89280 pep chromosome:Setaria_italica_v2.0:IX:22969365:22974526:1 gene:SETIT_035052mg transcript:KQK89280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARASNHPYKISNDSQMPYYSDSAPVGENGRFHAMQNNLDHHYSSPDDGSQRINSSNTQVFEAQYCTLESSSANGIYPAQSSTSSHSISPLSGSPLSQHDGHSDHTYSSPPSASCLTEVADLQIKLKELENVILGPELDITSDSPESFLQANVQLRPDNWRQLLGIDAGDLKQVIIACGKAVAENDVFATELLISELGQLVSVSGDPMQRLGAYMLEGIVARLSSSGSMLYKSLKCKEPTGSELMSYMHLLYEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWITLIQALAARPGGPPYIRITGIDDSNSAYARGGGLDIVGRRLHSVAQSCGLPFEFNAVPAASHEVQLEHLAVRPGEIIVVNFAYQLHHVPDESVSIENHRDRIIRMIKSINPRVVTLVEQESNTNTAPFFPRYMETLNYYTAMFESIDVALPRDDRRRMSAEQHCVARDIVNLIACEGPERVERHELFGKWKARFAMAGFRPYPLSSVVNNTINTLLRSYNSCYNLEERDGVLYLGWKNRVLVVSSAWC >KQK89279 pep chromosome:Setaria_italica_v2.0:IX:22969365:22974526:1 gene:SETIT_035052mg transcript:KQK89279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARASNHPYKISNDSQMPYYSDSAPVGENGRFHAMQNNLDHHYSSPDDGSQRINSSNTQVFEAQYCTLESSSANGIYPAQSSTSSHSISPLSGSPLSQHDGHSDHTYSSPPSASCLTEVADLQIKLKELENVILGPELDITSDSPESFLQANVQLRPDNWRQLLGIDAGDLKQVIIACGKAVAENDVFATELLISELGQLVSVSGDPMQRLGAYMLEGIVARLSSSGSMLYKSLKCKEPTGSELMSYMHLLYEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWITLIQALAARPGGPPYIRITGIDDSNSAYARGGGLDIVGRRLHSVAQSCGLPFEFNAVPAASHEVQLEHLAVRPGEIIVVNFAYQLHHVPDESVSIENHRDRIIRMIKSINPRVVTLVEQESNTNTAPFFPRYMETLNYYTAMFESIDVALPRDDRRRMSAEQHCVARDIVNLIACEGPERVERHELFGKWKARFAMAGFRPYPLSSVVNNTINTLLRSYNSCYNLEERDGVLYLGWKNRVLVVSSAWC >KQK89281 pep chromosome:Setaria_italica_v2.0:IX:22969365:22973772:1 gene:SETIT_035052mg transcript:KQK89281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARASNHPYKISNDSQMPYYSDSAPVGENGRFHAMQNNLDHHYSSPDDGSQRINSSNTQVFEAQYCTLESSSANGIYPAQSSTSSHSISPLSGSPLSQHDGHSDHTYSSPPSASCLTEVADLQIKLKELENVILGPELDITSDSPESFLQANVQLRPDNWRQLLGIDAGDLKQVIIACGKAVAENDVFATELLISELGQLVSVSGDPMQRLGAYMLEGIVARLSSSGSMLYKSLKCKEPTGSELMSYMHLLYEICPFYKFGYMSANGAIAEAIKGENFVHIIDFQIAQGSQWITLIQALAARPGGPPYIRITGIDDSNSAYARGGGLDIVGRRLHSVAQSCGLPFEFNAVPAASHEVQLEHLAVRPGEIIVVNFAYQLHHVPDESVSIENHRDRIIRMIKSINPRVVTLVEQESNTNTAPFFPRYMETLNYYTAMFESIDVALPRDDRRRMSAEQHCVARDIVNLIACEGPERVERHELFGKWKARFAMAGFRPYPLSSVVNNTINTLLRSYNSCYNLEERDGVLYLGWKNRVLVVSSAWC >KQK89293 pep chromosome:Setaria_italica_v2.0:IX:23258061:23259906:1 gene:SETIT_038828mg transcript:KQK89293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKVQLGKEEEHFKTRFSVHRIRDLLPNLEDHQNQWINEAGFGALLTLAEFSLPVKLVKWMLKHVDPLLREFRYRNKVIVFNRDLVCKILRLQNGIIPLRLSGDSEDVKKLWEVYKDGDRAKIAKCKEIVQSNKDRDSFVRAFSLLALGTIYTPGTDNYISLKYLHSLVDISEISTYWAGHVLDELMNEVKKYHKFTPDRLNKDHQMGSCLIILVIAYMDHLDLPTDRGGHQLNYNLPRICNVSNIDFDFVLAVDKNRLSLGNTFGKLPFHDFSRTPYGAAPIVQEHPAPAVGIPAPAATEEVPDPAATEEIHAVSSLDEWLHQLPSSNNLLHQIPLEYQNVASHYSKLVEQDVLQLADAISTTISAQIKDKVMAIWRRRHIEMLGKMADLANMARNDGVEVGVSTSNVDAAAPS >KQK90131 pep chromosome:Setaria_italica_v2.0:IX:40035494:40038338:1 gene:SETIT_034455mg transcript:KQK90131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKDSSSSSSSAAAGGGKKDKPMSVSAMLASMDAPAAKGKSSKSAAPSKPKGKPSKAPASSYMGDIDLPPSDDEEDEAELAAAAAKPKPSRAATVDLSSGVAPTQKDAKKKDKREAMAAAAAEAARQEALRDDRDAFSVVIGARVPGSATADDGAVDDNVKDIVLENFSVSARGKELLKSASLRISHGRRYGLVGPNGMGKSTLLKLLAWRQVPVPRNIDVLLVEQEIIGDDRSALEAVVAADEELTALRAEQARLEASNNADDNERLVEVYEKLNLRDSDAARARASKILAGLGFDQSMQARSTKSFSGGWRMRISLARALFMQPTLLLLDEPTNHLDLRAVLWLEEYLCSQWKKTLIVVSHDRDFLNTVCNEIIHLHDKSLHVYRGNFDDFESGYEQKRKEMNRKFEVYEKQMKAARKSGSKAAQDKVKGQALSKAAKEAAKNKGKGKNTADDDDDQKQAAVPQKWRDYSVEFHFPEPTELTPPLLQLIEVGFSYPCRPDFKLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLTPTEGEVRRSQKLRIGRYSQHFVDLLTMEENAVQYLLRLHPDQEGMSKAEAVRAKLGKFGLPGHNHLTPIVKLSGGQKARVVFTSISMSNPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEQRSEIWVVEEGTVKKYDGTFEDYKDELMEEIKKEVEE >KQK86105 pep chromosome:Setaria_italica_v2.0:IX:300364:301324:1 gene:SETIT_040577mg transcript:KQK86105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVENLLLSAFSLTNMGGSACALMRLFGRVCLKTRRKTECGG >KQK86104 pep chromosome:Setaria_italica_v2.0:IX:299285:301324:1 gene:SETIT_040577mg transcript:KQK86104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAVLFANSDGNILIERFHGVPAEERLHWRSFLVKLGSENLKGSKNEELHVASHKSVSIVYTTIGDVCLYIVGKDEYDELALAEVIFAITSAVKDVCGKPPTERLFLDKYGRICLCLDEIVWKGLLENTEKDRVRRLIRLKPPVEP >KQK87426 pep chromosome:Setaria_italica_v2.0:IX:7255690:7256288:-1 gene:SETIT_037970mg transcript:KQK87426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAEGRAVRADGGGAAPSATTSARREDQGGAAKLRSAPPPLRLKLARRAVAGAPPVEGAERRAVRAHDGEDQGGAVKSRHLTASSSRSPPPPLRSADLARRVLAGAPAPPGEGAVDSAARSSCHSSDVHVGCPPHQ >KQK87456 pep chromosome:Setaria_italica_v2.0:IX:7401289:7401559:1 gene:SETIT_0366072mg transcript:KQK87456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWAATTVDTRQRTQVPNGRAEPPNPPLEWRPVDRDRDREHPRRRAGSRPPGTTPPDRFLVETVLAFPEASPPLRSASSRPPSLSPPPPS >KQK87967 pep chromosome:Setaria_italica_v2.0:IX:10473699:10474208:-1 gene:SETIT_039009mg transcript:KQK87967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRNPDAPAAPALPSAPAVEPLEAQAPNPSIVPSDPPSPEMEATAEALTREEVLRRRRRRAARLAGVYRRLYWAMAEEVRARHRQYVWDLARSPLEAEQPPPPPGAPIPAVGEPPRPAPVPRRKKCGFTGCKVRAMATTRFCHSHILSDPKQVLYKPCAYITKRFVP >KQK86709 pep chromosome:Setaria_italica_v2.0:IX:3375119:3376844:1 gene:SETIT_036775mg transcript:KQK86709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTMGRGCASPRRTKAMPADGSGGDHNFAKWPKFGSRYSSLSNYLQIKPSIFAYSPKFRFLISTAMRNFAGPSPFLLELSRISPPLNVVAGHPVVRAKLATHPRAKAHAMRRDRMAAAVAALLLLAAAMSAAPASGQAVATSCTASLITTFTPCLNFVTGSTNGGGSPTQQCCGSLAEMVRTGADCACLILTGNVPFSLPINRTLAISLPKLCSSTSVPLQCRDTATQIPAPGPVAFAPALPPLPPIPPESSQEPESPGATSPAVDSPPFSQRPVVVPSSAWRSSHVPVAAVAIVLSIAASIFV >KQK89626 pep chromosome:Setaria_italica_v2.0:IX:34086986:34091270:-1 gene:SETIT_034008mg transcript:KQK89626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDLRRVVDMLRSERMEEQFRLLRATTLLVYLRSAVSLIQTDVAASKGASLRLIFCARSLVGPLRELLEQVLQLHAEVGAEKWRQVPAVLYRYLDIRSDIIRDVRQFLHRFRSLKSYAQVEHPRRLSRYGYADDGDIASYTTTSGARARRKEALREPLVGRSELLDEMVSVLLADRSGYRGLFLMPVVGGPGVGKTRLAKAAMMDDRLKHRFRVRLGVSVTRDFCRERLLLLMMSPEKRADVVFHSPEAMEQHLRRNLGRGDYLILLDDVWSDDEGKWQEIGEVMNALPSGGTIILTTRTPDIAPKLATFTGTTNVSKPFYLQPLGREFSSSFVARWMATCHSGTKIADKCGGIPLLLDCARMIFSQPLDTGFWPGLLDNADKKKIHRPDMLWPELPAYIDYLPTDEFWHRLLDHSPELPHGNVVLECAAASYQHLPADLQSCFLYCSVFPSDYDFDVEELADLLTAQGYIPPIVAESLRKGFLHQLLEQCFYPLQEYEYGDKPTYRMHKVLHIFAQNMDRRISSIVRVTTKAKAQSTIQRASLIVHPLTTLFPKSLRACKGLGALILLEGEQMRPSEQSQCEITEIPQMFFQSFRRIHTLSFRAIKIRMLPTKFSDPDRVKYLNLSHAAIENIPGSISRLQSLQTLILSYCDKLQKLHPNTTKLTQLQKLDLEGCCNLVELPQDMGKMRSLEYLNVAECSSLAQLPRGMGQLKSLQMLLGYVVTDGSSILELQSLGNLHKLSLWSLEKASDLVNVRIARLEYKTNLESLSLHWNMDDSNDAALDSVVLETLQPHQRLKALEIVGYDGKNLPSWITTTEPYLESLVEIKLINLRSCERVLPPLGLLPCLKIAEISGAETVVSVSTNFYGHKGTFRSLEKLTFSYMHNLEVWEQAQWSGMFPRLAELAIIQCPKLRALHMELPSLEKLILWMNNKMLYDLKGALRGVAKTLEHISISFSEGLLASSECEGLQDLGRVTKLEICGCNELACLPQGLQHLLSIRSLTIDNCRELEASPDWLDNLPSLQIVQLSGCPLLHHIPGGLQQRPGIIIYVEDCPSLPKQRLPCSPTQPSGNPADTKGKEIIIEDV >KQK92340 pep chromosome:Setaria_italica_v2.0:IX:55001390:55006144:-1 gene:SETIT_034324mg transcript:KQK92340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIRSTKCPVAFVGAAGALVVLVTAVHVFMVPILPSSLDYFGARSSISRPRNALPGVGVVDSRLRGQFPSDSHGAVVFRGAPWKAEVGRWLAGCHANSSSVNVTEAIGAKRCEKDCSGRGVCNYNLGECRCFHGYSGKGCEEVLKLECNLPSSPEWPAGRWIVSICAAHCDTTRAMCFCGPGTKYPDRPVAEACGFKTIFPAKPDDPKLTDWKTPDLENVFTTNRSKLGWCNVVPEDAYSSKVKYKEECDCKYDGLWGQFCETRVECSCINQCSGHGHCRGGFCQCDSGYFGIDCSIPSAHSLAHDLPSWLHPPVNLPDLKIVNSTSINVKAIVQKKRPLIYVYDLPAEFDNHLLEGRHFKFQCVNRIYDGRNRTLWTQQLYGAQMALYESILASPHRTLNGDEADYFYVPVLDSCLITRSDDAPHLLMPRDLRLRSYHALEYYRMAYDHIAQQYPYWNRTSGRDHIWFFSWDEGACYAPREIWNSMMLVHWGNTNTKHKNSTSAYWADNWDHIPLDRRGNHPCFDPRKDLVLPAWKEPDPGAIWLKLWARPRINRTTLFYFNGNLGPAYEGGRPEDTYSMGIRQKLAAEFGSTPNKQGNLGRQYATDVTVTYLRTEKYYEELASSVFCGVLPGDGWSGRMEDSMLQGCIPVIIQDGIFLPYENVLNYNSFAVRLQEDDIPNLISTLRGINETQVEFMLGNVRQMWQRFFYRDSILLEAQRQKRLFSEEAPWSVEVSKLPDDDDVFATFMQVLHYKLYNDPWRQGLLQTKETGLPTICSRAS >KQK87699 pep chromosome:Setaria_italica_v2.0:IX:8750939:8757025:1 gene:SETIT_035625mg transcript:KQK87699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPLSASSQAGLLLLPLPLLQPPGAGAGAGACLRYCLPRLPPGLASVRKGGLMTLPLPLPLLAPPRAAEGKDGRAVTTEEVMEEEEDLEVRKEGESGGASEDAARGSGRFAADYISLGIKEPVYEVIEVRSSGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILINLGSLRAIAMYERVLIFNYNSQGGKAFLELLLPRLNPRNINGGPAMPFQLEVVEAALLSRILRLEQRLMKIEPSVAALLEVLPNRLTADVLEQLRLSKQELVELGSRAGDLKQMLIDLLEDPHEIRRICIMGRNCTLDKLSDDMECSVPLDKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSISVNLSSRRLEVSRVELLLQVGTFCVAVGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFLMYSYLKARKIL >KQK87697 pep chromosome:Setaria_italica_v2.0:IX:8750939:8757025:1 gene:SETIT_035625mg transcript:KQK87697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPLSASSQAGLLLLPLPLLQPPGAGAGAGACLRYCLPRLPPGLASVRKGGLMTLPLPLPLLAPPRAAEGKDGRAVTTEEVMEEEEDLEVRKEGESGGASEDAARGSGRFAADYISLGIKEPVYEVIEVRSSGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILINLGSLRAIAMYERVLIFNYNSSQGGKAFLELLLPRLNPRNINGGPAMPFQLEVVEAALLSRILRLEQRLMKIEPSVAALLEVLPNRLTADVLEQLRLSKQELVELGSRAGDLKQMLIDLLEDPHEIRRICIMGRNCTLDKLSDDMECSVPLDKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSISVNLSSRRLEVSRVELLLQVGTFCVAVGALIAGIFGMNLKSYLETNAWAFWATTGGIVVGAVAGFFLMYSYLKARKIL >KQK87698 pep chromosome:Setaria_italica_v2.0:IX:8750999:8756461:1 gene:SETIT_035625mg transcript:KQK87698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPLSASSQAGLLLLPLPLLQPPGAGAGAGACLRYCLPRLPPGLASVRKGGLMTLPLPLPLLAPPRAAEGKDGRAVTTEEVMEEEEDLEVRKEGESGGASEDAARGSGRFAADYISLGIKEPVYEVIEVRSSGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILINLGSLRAIAMYERVLIFNYNSQGGKAFLELLLPRLNPRNINGGPAMPFQLEVVEAALLSRILRLEQRLMKIEPSVAALLEVLPNRLTADVLEQLRLSKQELVELGSRAGDLKQMLIDLLEDPHEIRRICIMGRNCTLDKLSDDMECSVPLDKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSISVNLSSRRLEVSRVELLLQVGTFCVAVGALIAGIFGMNLKSYLETNAV >KQK87700 pep chromosome:Setaria_italica_v2.0:IX:8750939:8757702:1 gene:SETIT_035625mg transcript:KQK87700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPLSASSQAGLLLLPLPLLQPPGAGAGAGACLRYCLPRLPPGLASVRKGGLMTLPLPLPLLAPPRAAEGKDGRAVTTEEVMEEEEDLEVRKEGESGGASEDAARGSGRFAADYISLGIKEPVYEVIEVRSSGRMSTKKISRRQLLKSSGLRLRDTRSVDPSLWLMNSMPSLLVREQAILINLGSLRAIAMYERVLIFNYNSQGGKAFLELLLPRLNPRNINGGPAMPFQLEVVEAALLSRILRLEQRLMKIEPSVAALLEVLPNRLTADVLEQLRLSKQELVELGSRAGDLKQMLIDLLEDPHEIRRICIMGRNCTLDKLSDDMECSVPLDKQIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAREMEDSISVNLSSRRLEVSRVELLLQVGTFCVAVGALIAGIFGMNLKSYLETNAEAITP >KQK89448 pep chromosome:Setaria_italica_v2.0:IX:30254079:30255404:-1 gene:SETIT_039469mg transcript:KQK89448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKWVVGVFKRMTGSSSTYSQASTSSPHSSEPTSSPTSINYEEEQKEQAEPQVEAMEMDASDGPYLDLQGDQEKQAYTILMDRAFGHTKVYDPELLEKIGMNIDFACVWSAIGPRCAISLDKTCHGFSRHGFWGEISSQIVHDKFVPRCNEIQNPTVRLMHKWLAIALFPREDIPLPNPGLCLYNCRSLTMPLEPQVEARRSNVSCRRMTRSMLRMPVGWAPTGYMTGVTPGYAPSWDQPSYQHGVSSSAWQSASSDEWA >KQK88950 pep chromosome:Setaria_italica_v2.0:IX:18954053:18954907:1 gene:SETIT_039780mg transcript:KQK88950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLILCTVLLAACLALAAAQGSPGTATFYGGADGWGTMGGACGYGNLYDAGYGVLNAALSQTLFNDGASCGQCYTITCDGSRPGGQYCKPGNSITVTATNLCPANYALPNGGWCGPGRPHFDMSQPAWENIGIYQAGVIPVLYQQVKCSRNGGVRFSIAGCNYFLLVNIQNLGGSGSVGAAWIKGDNTGWIQMSRNWGANWQALAGLVGQGLSFAVTTTGGQYIQFLNVAPAWWQFGQTYTTYQNFYY >KQK88959 pep chromosome:Setaria_italica_v2.0:IX:18997645:18999345:-1 gene:SETIT_037068mg transcript:KQK88959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSVTLKQKKRVKNAKNKYLKPGALAQIRYSRSTSRDIGKKRILLNAKDELEISPQAGIIIESTTPILSPARLNFEPFGSNKGQILPRTPRTPVAAEFDGDSRLESLPLDLLIKIMCCLHHDQLKAVFHVSKRIRKAVELARQYHFNYTTPDRSRQELLLNKTPLPTEHWPFLRIDGKDVRVSTPRTPRAPKHAARLSRLKLVDVKPITAVLFQESSTFPSKRLRRSVPPGLPRPVSKTAPSPRVLRYEEELCEAVAQNKLL >KQK90661 pep chromosome:Setaria_italica_v2.0:IX:44293776:44297411:1 gene:SETIT_034919mg transcript:KQK90661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEAAGALLFVLAVAAAVAAAAATGAVSFTYLASVGSAGPSPASSSGGWRGAWAWVRSRAVAPALQAVVWACMVMSVMLVVEATYNCAVSVGVKLLGWKPERRFKWEPLAGDGDEEKGDAAAAAAAAYPMVMVQIPMYNELEVYKLSIGAACELKWPKERLIVQVLDDSTDPSIKNLVEKECENWSSKGVNVKYATRIGHKGFKAGALKKGMEWDYAKQCEYIALFDADYQPEPDFLLRTVPFLMHNSNVALVQARWVFVNDRASLLTRIQKTFLDYHFKVEQEAGSATFAFFSFNGTAGVWRREAINDAGGWKDRTTVEDMDLAVRATLKGWKFVYVGDVRVKSELPSTYEAYCRQQFRWACGSANLFRKMAWKVLVAKQVSPLKKFYMIYSFFLVRRVVAPTVAFILYNIIIPVSVMIPEVFLPVWGVAYIPTALTVVTAIRNPENLHIMPLWILFESVMSMHRLKAAVAGLLELPEFNQWIVTQKVGNNGGEENREVPLLQKARKGLRNRCTFRRPLHSCFLDGAFCFC >KQK90662 pep chromosome:Setaria_italica_v2.0:IX:44293776:44297833:1 gene:SETIT_034919mg transcript:KQK90662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEAAGALLFVLAVAAAVAAAAATGAVSFTYLASVGSAGPSPASSSGGWRGAWAWVRSRAVAPALQAVVWACMVMSVMLVVEATYNCAVSVGVKLLGWKPERRFKWEPLAGDGDEEKGDAAAAAAAAYPMVMVQIPMYNELEVYKLSIGAACELKWPKERLIVQVLDDSTDPSIKNLVEKECENWSSKGVNVKYATRIGHKGFKAGALKKGMEWDYAKQCEYIALFDADYQPEPDFLLRTVPFLMHNSNVALVQARWVFVNDRASLLTRIQKTFLDYHFKVEQEAGSATFAFFSFNGTAGVWRREAINDAGGWKDRTTVEDMDLAVRATLKGWKFVYVGDVRVKSELPSTYEAYCRQQFRWACGSANLFRKMAWKVLVAKQVSPLKKFYMIYSFFLVRRVVAPTVAFILYNIIIPVSVMIPEVFLPVWGVAYIPTALTVVTAIRNPENLHIMPLWILFESVMSMHRLKAAVAGLLELPEFNQWIVTQKVGNNGGEENREVPLLQKARKGLRNRVNLPEIGFSVFLFACASYNLVFHGKTSYFINLYLQGLAFFLLGFNCIGTSCCCL >KQK90522 pep chromosome:Setaria_italica_v2.0:IX:43383861:43384343:-1 gene:SETIT_040227mg transcript:KQK90522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTAAGAVTVAACAAAAVLALTSPSSSYDEARRGGATVAEAGDAVLQPARSRECALPAGAAEERSGPDGPAVRALPACGHAFHADCIGRWLPLRPECPLCRRPVLLADGQQAAARPAAALATAAAPAWARPARIACGFGDGRVVWTRSPSARQ >KQK91355 pep chromosome:Setaria_italica_v2.0:IX:49412149:49415552:-1 gene:SETIT_035468mg transcript:KQK91355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVEAAGGRKASCFFEVGRRDIGSSFPRASSRRISGSEYIVMRMTQYGKLRGHDGCVNTVSFNPAGDLLVSGSDDTNIILWDWFAKTKRLVYPSGHQENVFHARVMPFTDDSTIVTVAADGQVRVGRLKEGGEVTTKLVGEHDSRVHKVAIEPGSPYIFYSCGEDGLVQHFDLRSDSATKLFTCYSFLNDRRRVRLNSIAIDPQNPYYFSIGGSDEYVRLYDMRRFQLDDSRNINQPVDTFCPKHLIKGGKVHITGIAYSYAREILVSYNDEVVYLFQNNMGLGPNPVTAQPEFFDQLDQPQAYSGHRNYRTVKGVSFFGPNDEYVVSGSDCGNVFIWRKKGGELMRMMNGDKSVVNCIEPHPHFPFMATSGIDKTVKLWTPTAKKVMSLPKNAKEIIASNERGREVDASRAEVTLSSDVIMHVLRLHRRQSELHRENEPAAGDFASDDDEAFYIGFGNAERDQGENSDPRECIVT >KQK88655 pep chromosome:Setaria_italica_v2.0:IX:15822363:15823100:1 gene:SETIT_038330mg transcript:KQK88655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVLATPPNRRRHRFPRQMCGVESPSILFSLIRRPQSPPRHGCRRLQEAPSGSTHLCDLRPPRTALTQRASKAAPER >KQK88615 pep chromosome:Setaria_italica_v2.0:IX:15530210:15530467:-1 gene:SETIT_040164mg transcript:KQK88615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGWTWMAEVAGEELAKLEAAHPGRFAPLKAELERLVADPGLDAAAFPLVSPAPHAVATTTDDADNTPPSSQAAPSPADAVCTQ >KQK90720 pep chromosome:Setaria_italica_v2.0:IX:45302953:45306608:-1 gene:SETIT_036429mg transcript:KQK90720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLPLSIDTGEMARGEDMVTDMPSSSAGASCAAVDHSEQNTKDDEYARLVTRAQHATSDVSATILPEQPRSRSFIWWMKVLLGCFLLIIVGYVFVKWGVPFAFEKVLLPIMQWEASAFGRPVLAVVLVASLALFPVILVPSGPSMWLAGMIFGYGWGFLIIMVGTTIGMVVPYWIGSLFRERLHVWLTKWPQQIALIKLAGEGNWFQQFRVVALFRISPFPYTIFNYAVTVTEIKFNPYLCGSIAGMVPEAFIYIYSGRLIRTLADMKYGNYKMTPVEITYNVISFIIAIVLTVAFTVYAKRALNNIKSSEGICKEEVLSPAGSGARLKHHQERSGSCSVELDVV >KQK91944 pep chromosome:Setaria_italica_v2.0:IX:52840718:52841412:-1 gene:SETIT_0400601mg transcript:KQK91944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDETEEPQNDPKRQNPSKKPCRLPLPPGRPPRRPPPPPPPPMPFRPTLPRRCPHDRKLTSFLSAVASLANAPSRSPPAGAVPAAATPSAYNALMSAYSRAGRPDEVLRLFRSLPFPPTAPLFTTLISSLADSGHYRAARAAFSSLLVSGHPPTVSTFTAMLKAHGPVSANGVYHIFGAMIAVGCAPDAAAYNCLISILCDNQRVEEALGILEFMADNEIRPTIRSYTAVLR >KQK92295 pep chromosome:Setaria_italica_v2.0:IX:54789200:54790074:-1 gene:SETIT_037702mg transcript:KQK92295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAAEGKSRRFALACGVLSQYVKAEQKMAAVAPRAPATTLSLMPGADVGAEQEPASAAARGPEEAAGPASTAAPLTIFYGGRMVVFEDFPAEKAAEVMRLAAGGAEQAAAPAPAPAPRDDLPIARKASLQRFLAKRKARVVERAPYTRPSPAEEAEKTKKPAAWLGLGSTDAERLTIAL >KQK86364 pep chromosome:Setaria_italica_v2.0:IX:1476964:1480851:-1 gene:SETIT_035817mg transcript:KQK86364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASFTAAKFLAPVAARSGGERAPPLPAGASSSSFARALRRGGAHHPRLRTALAVSSDVLAGNKAAQATPAHPAVTREEALELYEDMVLGRIFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLNQADCVVSTYRDHVHALSKGVPPRSVMAELFGKATGCCRGQGGSMHMFSAPHNLIGGFAFIGEGIPVATGAAFAAKYRHEVLKESGPDGLDVTLAFFGDGTCNNGQFFECLNMAQLWKLPIVFVVENNLWAIGMSHLRATSDPEIYKKGPAFGMPGVHVDGMDVLKVREVAKEAIERARRGEGPTLVECETYRFRGHSLADPDELRKPDEKTHYAARDPITALKKYIIEQNLATEPELKSIEKNIDNVVEEAVEFADASPHPPRSQLLENVFADPKGFGIGPDGKYRCEDPKFTQGTAQV >KQK87949 pep chromosome:Setaria_italica_v2.0:IX:10359982:10361627:1 gene:SETIT_039452mg transcript:KQK87949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSQGTRPAWSYMYEKGLVDVMKEHVNIPMYRAQNGWTTEGWRNITINFNDMLLTTHFIKQQVQEKEKELKGSYKIIKEARKNGVGWNDTLGMIIAELNGWKKLIKIRITTKLPSSTFPLYNSLESLYEGNAFILLFIASPLKITSTEPPNMTARPPIERSHFEQSTQNTTVGSDSVGINFGTNPFSPQIEGPEVQSAPRYLNLEEEQQSASGKKCKQNEKKKKEDDYSVEKCIAVVDSIEDLTIEQKADANELFQSEMN >KQK89961 pep chromosome:Setaria_italica_v2.0:IX:37754096:37754920:-1 gene:SETIT_038199mg transcript:KQK89961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAWASPSHTRATSHGAELAGQCPSSWSGCRYGRRPEGARGNASNQRFFMNPCSCYYKLPWICDSPRSGARCQARTDCRDELCRGYKQKRLLWGRLCRC >KQK86840 pep chromosome:Setaria_italica_v2.0:IX:4080927:4083129:1 gene:SETIT_037725mg transcript:KQK86840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGASSAWGPSPALVTALVALLGLGLAAYIVGPQLYWHAAEALTAAGACPACDCNCDARPLLDLPEDCAKQFKGVKSRATGEETEKSFTELLIEELRQREEEATQAQQEADVKLLEAKKLASQYQKEADKCSSGMDTCEEAREKSAEALVEQKKLTSLWEQRARELGWKPENTRHT >KQK87804 pep chromosome:Setaria_italica_v2.0:IX:9445834:9448293:1 gene:SETIT_039453mg transcript:KQK87804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNDIWEQLHPTKHLQLNGCQLYARVLDGESGESLTDCFPAGAAAAAHGLRGHHGSTCPWRERRRLPRGARNRGGEGPVRAATRRRARGCPPPRGLAACRCTCKAWRALIDARRLLRAELLRSPSSDSSSTSTACTSRSSSPAPPRTPVPSASTTTCPGPSTLAAMRAVPGPRVRDHCNGLLLLDDDYVVNPASNSLVELDPQVEQSQWPPSPCMLHVFSSTSARWEERSFVRQGEAAGTIADRDCTMPVDNAMPISLSENKYQVIKPPTDIQLRPYLHLGKSQKGVYLTSIQGQPRLRVWILDESCPGSKMEWVLKHDRDLTEWLLKHRLEHAAPSYDPKGRAPWILQDINDYYFKECDRYYNKNNGAVLEEKSEGNLETATDGINAWRFEDEDESTEALIEEKFEWSSESLVDETFEWSSDIEDTWHNKDQSVGILEEKFDWSSDNKEIHSGYHYYHGFIEVLGFHPYKEIVFLSESRKRGLAYHLNSSKVETLSSVCPARYGEYEITSSFPYTPCSLGLVDETTLEGHLEC >KQK92742 pep chromosome:Setaria_italica_v2.0:IX:57020358:57024037:1 gene:SETIT_035085mg transcript:KQK92742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDAGADPGAEPAAAQATLHIRCTNGSKFAVRADLGATVGAFKAIVAESCDVPAPQQRLIYKGRILKDEETLASYGVETDHTIHMVRGAAPPPASTARAANQETSSTAPASSPAAGLSGLLQGLGGTGAANSGGLGLFGSGLPELDQMQQQLAENPNLMREMMNMPLMQNLMNNPDLMRNIIMNNPQMRELIDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGDRNPNPFAALLGNQGSNQARDPAANAPTTASDPAAGSPAPNINPLPNPWGTNAGSAQGAARPPPASNTNTRSATAGGLGGLGSADLGSVLGGGSDASFLSQVLQNPTMMQMMQNIMSNPQSMNQLLNINPNVRNMMESNTQLREMFQNPEFLRQLTSPETLQQLISFQQSLVSQLGQQQAGQ >KQK92744 pep chromosome:Setaria_italica_v2.0:IX:57020358:57025019:1 gene:SETIT_035085mg transcript:KQK92744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDAGADPGAEPAAAQATLHIRCTNGSKFAVRADLGATVGAFKAIVAESCDVPAPQQRLIYKGRILKDEETLASYGVETDHTIHMVRGAAPPPASTARAANQETSSTAPASSPAAGLSGLLQGLGGTGAANSGGLGLFGSGLPELDQMQQQLAENPNLMREMMNMPLMQNLMNNPDLMRNIIMNNPQMRELIDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGDRNPNPFAALLGNQGSNQARDPAANAPTTASDPAAGSPAPNINPLPNPWGTNAGSAQGAARPPPASNTNTRSATAGGLGGLGSADLGSVLGGGSDASFLSQVLQNPTMMQMMQNIMSNPQSMNQLLNINPNVRNMMESNTQLREMFQNPEFLRQLTSPETLQQLISFQQSLVSQLGQQQAGQERTQSGTGAGNVNLNTLMNMFSGLGAGGGLGVPNAPNVAPEELYATQLAQLQEMGFFDTQENLRALIATAGNVHAAVERLLGNLGQ >KQK92743 pep chromosome:Setaria_italica_v2.0:IX:57020358:57025019:1 gene:SETIT_035085mg transcript:KQK92743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDAGADPGAEPAAAQATLHIRCTNGSKFAVRADLGATVGAFKAIVAESCDVPAPQQRLIYKGRILKDEETLASYGVETDHTIHMVRGAAPPPASTARAANQETSSTAPASSPAAGLSGLLQGLGGTGAANSGGLGLFGSGLPELDQMQQQLAENPNLMREMMNMPLMQNLMNNPDLMRNIIMNNPQMRELIDRNPDLAHVLNDPSILRQTVEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGDRNPNPFAALLGNQGSNQARDPAANAPTTASDPAAGSPAPNINPLPNPWGTNAGSAQGAARPPPASNTNTRSATAGGLGGLGSADLGSVLGGGSDASFLSQVLQNPTMMQMMQNIMSNPQSMNQLLNINPNVRNMMESNTQLREMFQNPEFLRQLTSPETLQGAYTIRHWCRQCQPQHLDEHVQRAWCWWWPRCSKCP >KQK86876 pep chromosome:Setaria_italica_v2.0:IX:4243106:4244755:-1 gene:SETIT_039636mg transcript:KQK86876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVPPLVRVPRIPVSAIAQRTIPPDLADARLPKDPATGARRLEFRSCCRCPPPPARCCCPRCADRSGPGLIHPLWKAHHSQMKHIFKIVAILVAISSIWIALLETSTIPRSYTWLLPIYLVVALGCYGLFMVGFGLMFFPTCPQEAILLQQDIVEAKEFLAKKGVDVGSE >KQK86893 pep chromosome:Setaria_italica_v2.0:IX:4325416:4329559:1 gene:SETIT_034275mg transcript:KQK86893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVARSRRWYSYATQAPPAPGVPVRLHHFATRAATAARAPPPIATRLDASASPPRPAPALPVVNDSLRLAPSGATTDVAALHGLRASLGVRATDWPARADPCAAWRGVTCRAGRVAELRLSGLRRTRAGARSAAFAVDPLRGLTALEALNASGFPLPGRIPAWFGRGLPPSLGVVDLRSARVNGELPTDLGASGNLMTLVLSGNNLTGPIPASLFSIPGLRVLDLSSNNLTGPLPSVSFSGSYGAGVSFNVSGNSLYGAIGDAIRKRFWVVDVSSNYFDQAVGIGIENGTDGTVFFRMNCFSGAANQRTRGDCEAFYTRNGMRLADVPELSSPLLEPQPPPVLPMPSTGKRGDKWKHILAGVLGGAAIVVTLGLGALVFCLLRRRGRRRPRTRGVEQTEEGIRSGRRSSSVNPVTMSPMASPGASGSPKSVPIVIDDFTYEQLHHATGGFGDDNLINHGHSGDMYHGVLESGFEVVVKKIDLKSSKKCQGELNFLTKHSHRRIVSLLGHLAKDEEQLLVYKYMAKGDLTTALHKKSVEVEEGLRSLDWITRLKIAIGVSEALCFLHDECSPPLVHRNIQASSVLLDDKFEVCLGSLSEICTQQSQGSQSFFSRILRSSKSLDKNTSGPPASCTYDVYCFGKVLIELITGNLGVSWILPLLWMKTT >KQK86890 pep chromosome:Setaria_italica_v2.0:IX:4325416:4328634:1 gene:SETIT_034275mg transcript:KQK86890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVARSRRWYSYATQAPPAPGVPVRLHHFATRAATAARAPPPIATRLDASASPPRPAPALPVVNDSLRLAPSGATTDVAALHGLRASLGVRATDWPARADPCAAWRGVTCRAGRVAELRLSGLRRTRAGARSAAFAVDPLRGLTALEALNASGFPLPGRIPAWFGRGLPPSLGVVDLRSARVNGELPTDLGASGNLMTLVLSGNNLTGPIPASLFSIPGLRVLDLSSNNLTGPLPSVSFSGSYGAGVSFNVSGNSLYGAIGDAIRKRFWVVDVSSNYFDQAVGIGIENGTDGTVFFRMNCFSGAANQRTRGDCEAFYTRNGMRLADVPELSSPLLEPQPPPVLPMPSTGKRGDKWKHILAGVLGGAAIVVTLGLGALVFCLLRRRGRRRPRTRGVEQTEEGIRSGRRSSSVNPVTMSPMASPGASGSPKSVPIVIDDFTYEQLHHATGGFGDDNLINHGHSGDMYHGVLESGFEVVVKKIDLKSSKKCQGELNFLTKHSHRRIVSLLGHLAKDEEQLLVYKYMAKGDLTTALHKKSVEVEEGLRSLDWITRLKIAIGVSEALCFLHDECSPPLVHRNIQASSVLLDDKFEVCLGSLSEICTQQSQGSQSFFSRILRSSK >KQK86892 pep chromosome:Setaria_italica_v2.0:IX:4325416:4329559:1 gene:SETIT_034275mg transcript:KQK86892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVARSRRWYSYATQAPPAPGVPVRLHHFATRAATAARAPPPIATRLDASASPPRPAPALPVVNDSLRLAPSGATTDVAALHGLRASLGVRATDWPARADPCAAWRGVTCRAGRVAELRLSGLRRTRAGARSAAFAVDPLRGLTALEALNASGFPLPGRIPAWFGRGLPPSLGVVDLRSARVNGELPTDLGASGNLMTLVLSGNNLTGPIPASLFSIPGLRVLDLSSNNLTGPLPSVSFSGSYGAGVSFNVSGNSLYGAIGDAIRKRFWVVDVSSNYFDQAVGIGIENGTDGTVFFRMNCFSGAANQRTRGDCEAFYTRNGMRLADVPELSSPLLEPQPPPVLPMPSTGKRGDKWKHILAGVLGGAAIVVTLGLGALVFCLLRRRGRRRPRTRGVEQTEEGIRSGRRSSSVNPVTMSPMASPGASGSPKSVPIVIDDFTYEQLHHATGGFGDDNLINHGHSGDMYHGVLESGFEVVVKKIDLKSSKKCQGELNFLTKHSHRRIVSLLGHLAKDEEQLLVYKYMAKGDLTTALHKKSVEVEEGLRSLDWITRLKIAIGVSEALCFLHDECSPPLVHRNIQASSVLLDDKFEVCLGSLSEICTQQSQGSQSFFSRILRSSKSLDKNTSGPPASCTYDVYCFGKVLIELITGNLGVSGSNDSDSDEWLARTLGYIDANDKEGVSGIVDPSLVVDEDHLEEVWAVAIIAKTCLNPKPTRRPLARYVLKALENPLTVVREREELRSNSSQLKSTSSRSSWRFAFHGNKYQSWDVMPTSGKALAPNNKAKSQGTEGSDEDEENSFSFKRASRENFPDPIELEDSVVV >KQK86891 pep chromosome:Setaria_italica_v2.0:IX:4325416:4329559:1 gene:SETIT_034275mg transcript:KQK86891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVARSRRWYSYATQAPPAPGVPVRLHHFATRAATAARAPPPIATRLDASASPPRPAPALPVVNDSLRLAPSGATTDVAALHGLRASLGVRATDWPARADPCAAWRGVTCRAGRVAELRLSGLRRTRAGARSAAFAVDPLRGLTALEALNASGFPLPGRIPAWFGRGLPPSLGVVDLRSARVNGELPTDLGASGNLMTLVLSGNNLTGPIPASLFSIPGLRVLDLSSNNLTGPLPSVSFSGSYGAGVSFNVSGNSLYGAIGDAIRKRFWVVDVSSNYFDQAVGIGIENGTDGTVFFRMNCFSGAANQRTRGDCEAFYTRNGMRLADVPELSSPLLEPQPPPVLPMPSTGKRGDKWKHILAGVLGGAAIVVTLGLGALVFCLLRRRGRRRPRTRGVEQTEEGIRSGRRSSSVNPVTMSPMASPGASGSPKSVPIVIDDFTYEQLHHATGGFGDDNLINHGHSGDMYHGVLESGFEVVVKKIDLKSSKKCQGELNFLTKHSHRRIVSLLGHLAKDEEQLLVYKYMAKGDLTTALHKKSVEVEEGLRSLDWITRLKIAIGVSEALCFLHDECSPPLVHRNIQASSVLLDDKFEVCLGSLSEICTQQSQGSQSFFSRILRSSKSLDKNTSGNLGVSGSNDSDSDEWLARTLGYIDANDKEGVSGIVDPSLVVDEDHLEEVWAVAIIAKTCLNPKPTRRPLARYVLKALENPLTVVREREELRSNSSQLKSTSSRSSWRFAFHGNKYQSWDVMPTSGKALAPNNKAKSQGTEGSDEDEENSFSFKRASRENFPDPIELEDSVVV >KQK90424 pep chromosome:Setaria_italica_v2.0:IX:42578903:42579229:-1 gene:SETIT_040720mg transcript:KQK90424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNHTGSWHDADTKRSRNRYMAWSGLKMQANKVNSRMRFETVR >KQK91418 pep chromosome:Setaria_italica_v2.0:IX:49701106:49703133:-1 gene:SETIT_034930mg transcript:KQK91418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARGQPGEDRPAAQLEPATMDSPRAAQAATRRKKMTKQLTGKRDDTAMHAAARAGQLASMREMLSGKDTEEVAALLSRQNQAGETPLFVAAEYGYVALVAELIKYHDVATASIKARSGYDALHIAAKQGDVDVVRELLLALPQLAMTVDASNTTALNTAATQGHMDVVQLLLQVDGSLALIARSNGKTALHSAARNGHVEVVRALLEAEPSIALRTDKKGQTALHMAAKGTRLDLVDALLAAEPALLNQPDNKDNTALHIAARKARHEIIRRLVAAPDANLNAINRSRETPLDTAEKMGNAEVAELLAEHGVVTARALSPGGGGGGGGGGKQARELKQQVSDIKHEVHSQLEQTRQTRVRMQGIAKRINKLHEEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVQDPGSLAPGQQLGEANISHETAFIIFFVFDSVSLFISLAVVVVQTSVVVIERKAKKQMMAVINKLMWVACVLISVSFLALSFVVVGRAERWLAVCVTIMGGTILVTTIGTMLYWVIAHRVEAKRMRSIKRASLSRSRSFSCSGMSEAEWIDEEYKRMYAI >KQK91018 pep chromosome:Setaria_italica_v2.0:IX:47215282:47218198:-1 gene:SETIT_034997mg transcript:KQK91018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNTRDLLLACIFLLINSASAEAPYRFFGWNITYGEIRPLGVSQQGILINGQFPGPTIESQTNENLIINVHNNLPDPFLLSWNGLQQRKDSWQDGVSGTNCPIPPGQNFTYHLQAKDQIGSFFYFPSLAFHKAAGGFGAIRIHSRPLIPVPFPSPDDEYTVLIGDWYTASHKALQDLLDSGKELPSPDGILINGKRSPDGANFTVEQGKTYRLRISNVGLQNTLNFLIQGHNMTLVEVEGTHTVQNSYTSVDVHAGQSLSVLFTADRQARDYHIAISTRFINTTLRSTAVIRYAGSSGPAFEALPDAPSDVDFSLNQARSIRTNMTASGPRPNPQGSYHYGSINVTRTIRLANSAGSVGGKLRYAVNGVSFAEADTPLKLADYYNISGVFRLGGIPDAPPAGGATEEVRSETAVMDSDHRSFVEVVLENSEDGVQSWHLDGHSVFVVGMDVGTWTEQSRDSYNLVDAVSRCTVQVYPRGWTAVLIALDNVGMWNMRSEVWARRYLGQQFYLRVYTPTPSFRDELPIPENALLCGRAAAATSSRRPPVSRPRY >KQK87199 pep chromosome:Setaria_italica_v2.0:IX:6064865:6069206:-1 gene:SETIT_035807mg transcript:KQK87199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREQSAMAAAEEGAAAAPPDGGAESEAAAEMDAAAVMEGEEKEEAAEYSWPQLRFDRPPRRLYQFARQFRSATPAGGRGSGDNFLKGVKWSPDGSSFLTSSDDNSLRLFYLPEDAYSGAEHVADAAVGGEDSYGAFLQVNEGEPVYDFCWYPCMSLSDPATCVFASTSRDHPIHLWDATSGELRCTYRAYDSMDEITAALSISFNSAGSKLFAGYNKAIRVFDVHRPGRDFDQYSLLKGDEGPRGIVSSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRAADSTNQRIYFDIEPCGRHLATGGQDGMVHVYDLQGGQWVTGFQAAADTVNGFSFHPYLPFAATSSGHRRFGMQDEFEELNLAGNENCCSVWMFPSLQEA >KQK87198 pep chromosome:Setaria_italica_v2.0:IX:6064865:6069150:-1 gene:SETIT_035807mg transcript:KQK87198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEEGAAAAPPDGGAESEAAAEMDAAAVMEGEEKEEAAEYSWPQLRFDRPPRRLYQFARQFRSATPAGGRGSGDNFLKGVKWSPDGSSFLTSSDDNSLRLFYLPEDAYSGAEHVADAAVGGEDSYGAFLQVNEGEPVYDFCWYPCMSLSDPATCVFASTSRDHPIHLWDATSGELRCTYRAYDSMDEITAALSISFNSAGSKLFAGYNKAIRVFDVHRPGRDFDQYSLLKGDEGPRGIVSSISFSPHNGMLAVGSYSQTTAVYAESNMEPLYVLHGQLGGVTQVLFSKDGNYLYTGGRKDPYILCWDIRNTVDIVYKLYRAADSTNQRIYFDIEPCGRHLATGGQDGMVHVYDLQGGQWVTGFQAAAGILNSCFQRSF >KQK87776 pep chromosome:Setaria_italica_v2.0:IX:9297925:9300491:1 gene:SETIT_0378542mg transcript:KQK87776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTIKYHKYSLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDVRRLDDCRAELHNLLKEE >KQK91681 pep chromosome:Setaria_italica_v2.0:IX:51201018:51201494:1 gene:SETIT_039974mg transcript:KQK91681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSTVELIALWASSSPSLLAFCFSHLIIAVLLLGGRGCASGLDADGRGDWNSEAARAEPLHGVQVQGGRKSDGGQEDAAAAMDVANSRGRGGEVDAAAVQLGAIEKKSGAEGESIVAAGASQERRAGDAEEDELMERAEEFIQRMNRAWRTENVSLC >KQK92747 pep chromosome:Setaria_italica_v2.0:IX:57035211:57039861:1 gene:SETIT_034133mg transcript:KQK92747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPAAQKDEDGLLGYAVMEDVAVGDLDLMEELFMAAPGFDFSDFSQPGPGASPGACFSPLFDICSTTTTATPPAPPGDDDRDDTERPEARRAWLFQPRQEVEATVKERMRRALERIAQTHPGELLAQVWVPTLIGDRQVLTTCGQPFWLDSRNQRLANYRSVSMKYQFSADESACAELGLPGRVFVGRVPEWTPDVRYFSTEEYPRVHHAQFFDIRGSVALPIFEPRSRACLGVVELVMTTQKVNYNAEIENICSALKEVDLRSTDVSSDPHANVSLNVADTSYRAIVPEIIDVLRTVCERHELPLAQTWIPCICQAKRGSRHSDEKFKYCVSTVDEACYIRDPNVTGFHQACSDHHLFRGEGVVGRAFGTNEPCFATDVTAYSKAQYPLSHHAKLFNLKAAVAIRLRSIRTGSLDYVLEFFLPVDCIESEEQRAMLNSLSITIQQTCYTLRVVSLKELVDEGSFETSTVTPAEFYEKPIHENLDEVCSNIEVPVRTTSLETSEEVTSWIASLVDAQSKGVKEMDGDLPFGFSKQEDEGFSVTAGWHTPPVLGPKGTIFSGFKHHEEYEVKEPICSRHPSPSNLDKTVEKRRTKMEKTVSLEELRKHFAGSLKEAAKNLGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQMVIDSVHGAEGTVQLSSLYENFTKTTWLERELQGDGTYPLSEQKGHLEPSVPDRQCEGRFTSHTSGSNSMSPSCSQSSNSSHGCSSGSKSQQNGSAPQLAVKQEVFMEENQSSTLLKAASHAELQMFTEERPVTLPRSESQMLLSEQKPVENMSGMQKSKPESLKIKAMYGEERCIFRLQPSWGFEKLKEEIVKRFSIGQEMYVDLKYLDDESEWVLLTCDADLLECIDVYKSSSAQTVRILVNANVQPVLGPSFGQTGLS >KQK89136 pep chromosome:Setaria_italica_v2.0:IX:21223852:21224332:1 gene:SETIT_0358152mg transcript:KQK89136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMDKAVPVDNNTS >KQK86800 pep chromosome:Setaria_italica_v2.0:IX:3790845:3794977:1 gene:SETIT_034337mg transcript:KQK86800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVQPVLSEKTNPLLGRSSSPSGVTLLQPHADSGAGLYNGAYSFLDTTRPCATRFSSGSVTSEDSPSPALTPRLLSIMSTSSPDNYSSYEWPDRAAASRSNRYLFDANAQSRCAEYLDLMRVEVDAQLGKLKGGVTGLESYALPDNGRVIGGAHLGMSLDVMLIEIDERFNALKLLMGAVFRQAREMLGSVNASASDLQWEHELQLEVFSATIGECVNGLQEELERRLYEQINITNTMSRNWKEAIAQFAAMREDLGALCKLLLPSVPEAHISNSKHESSGSRSNRWKYNFFGKKTKEDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGRTRDEVISYFKSEISKLKRMHESALQEKTEELFRFKREKGSHSLKNDLEFEPLRKKIPEIISRMDQIISKNIKVPAICMTHDELDERCRLTSRIDALYYENQHLRGLLADKMKDVKALSSQLSEASTELSLQLSSEEELLRQIDKIRQEYEELRIEGDVRDELYQAVTRQLLDDSKNSMDGAALNFSAKMSSLESVISEKDKELYLYNEENHRLKDKLAELEKGCLTQNHQEDPEVIKQESTEIVLRDIEVEPRTSPRRSNGHNLQYDELVKLNSSLEIESGVLKEIDMKNVDHSSSLTKKEQEKQMECILVSIMKLSKEFVEIEKKLSVERTENRSEDLSDHCSHMVRQAVVLTKIGLWYKQMLETRRFELQKAEAKVVILGDKINAHLSLLQKIYLTLDRYSPTLQHHPGVSTVRQCHKMLMVLLNVSVTSVSPDY >KQK86799 pep chromosome:Setaria_italica_v2.0:IX:3790845:3794977:1 gene:SETIT_034337mg transcript:KQK86799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVQPVLSEKTNPLLGRSSSPSGVTLLQPHADSGAGLYNGAYSFLDTTRPCATRFSSGSVTSEDSPSPALTPRLLSIMSTSSPDNYSSYEWPDRAAASRSNRYLFDANAQSRCAEYLDLMRVEVDAQLGKLKGGVTGLESYALPDNGRVIGGAHLGMSLDVMLIEIDERFNALKLLMGAVFRQAREMLGSVNASASDLQWEHELQLEVFSATIGECVNGLQEELERRLYEQINITNTMSRNWKEAIAQFAAMREDLGALCKLLLPSVPEAHISNSKHESSGSRSNRWKYNFFGKKTKEDRSPRAEDSKSFRKQKSFGAKDVISEKSDFRHLNGRTRDEVISYFKSEISKLKRMHESALQEKTEELFRFKREKGSHSLKNDLEFEPLRKKIPEIISRMDQIISKNIKVPAICMTHDELDERCRLTSRIDALYYENQHLRGLLADKMKDVKALSSQLSEASTELSLQLSSEEELLRQIDKIRQEYEELRIEGDVRDELYQAVTRQLLDDSKNSMDGAALNFSAKMSSLESVISEKDKELYLYNEENHRLKDKLAELEKGCLTQNHQEDPEVIKQESTEIVLRDIEVEPRTSPRRSNGHNLQYDELVKLNSSLEIESGVLKEIDMKNVDHSSSLTKKEQEKQMECILVSIMKLSKEFVEIEKKLSVERTENRSEDLSDHCSHMVRQAVVLTKIGLWYKQMLETRRFELQKAEAKVVILGDKINAHLSLLQKIYLTLDRYSPTLQHHPGLLDAFLKTCKLVADLRSKHNEGDAT >KQK87179 pep chromosome:Setaria_italica_v2.0:IX:5964215:5966366:1 gene:SETIT_038140mg transcript:KQK87179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIRVVMKSFTSQSNKVSGLLPYTKKVGLPESRALFTVLRSPHIDKKSREQFSMHIKKQFVEQKAEMHELHKKLFWLKRLRIPGAQYEVQISFKTRLDKGSLKSLAS >KQK86301 pep chromosome:Setaria_italica_v2.0:IX:1188724:1190234:-1 gene:SETIT_036962mg transcript:KQK86301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAILEGPKYECLLFDLDDTLYPFSVGINLSCRKNIQDYMRHHLQIEESQIAEMCLNLYKEYGTTMAGLKALGYEFDNDEFHANVHGTLPYHNLRPDPVLKTLLLSIPQRKIVFTNSDKAHAEEALHRLGLQGCFDGVICFETLNPCNGLSRSQNCMLFKDETSADLVDLNESNGFRPKSPILCKPSIEAMKAAIQIANVDPKKTIFFDDSTRNIASGKAAGFHTVVVGRWTLVPGADHALESIHNIKEALPDIWDGQDRSESDVVLTSSSIETAVVA >KQK91190 pep chromosome:Setaria_italica_v2.0:IX:48369994:48373032:1 gene:SETIT_036926mg transcript:KQK91190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATAASSSSLTAPLLRPNPNPNPAPRSLPLLRNKRCARGVTAAVSGGAGAYGAAQRRGIWSIRDDLVVPRSPYFPVEYAAGQERGPSPMVMERFQSVVSQLFQHRIIRCGGPVEDDMANIIVAQLLYLDAINPTKDIIMYVNSPGGSVTAGMAIFDTMKHIRPDVSTVCIGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGQETDLEIQANEMLHHKANLNGYLAYHTGQPLDKINVDTDRDYFMSAKEAKEYGLIDGVIMNPLKALQPLPASS >KQK86612 pep chromosome:Setaria_italica_v2.0:IX:2905990:2907650:-1 gene:SETIT_035716mg transcript:KQK86612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHLLLLLAAAALPLPADCRHPGVVHHVPPPPPHHGKSTSSALTAALAAAASVLALVLLYLCAAIAVRRFRSRGAVGREPAAAGSSSSSSSAASRAAAFLRRNGLQHHRPSFTYEQLRAATAGFDAGRKLGDGGFGTVFLAYLPPASRPAAVKRLHVPPSPSASFPSAAATITKSFCNEVLILSALRHPHLVRLHGFCADPRALLLVYDFVPNGTLSHHLHRRVGGGGGVTAGAPPPPPLPWRTRLAMASQIASALEYLHFGVKPAVVHRDVTSSNIFVEADMRARLGDFGLSRLLAPPDACSTGTARELVCCTAPQGTPGYLDPDYHRSFQLTEKSDVYSFGVVVLELVTGLRPVDVGRERRDVTLADWVVAKIQVGELREVVDPPVLGEGPGVMATVEAVAELAFRCVAPDKDDRPDAREVLAELRRIQTMLPDLPGGKGS >KQK92707 pep chromosome:Setaria_italica_v2.0:IX:56867419:56874404:1 gene:SETIT_034964mg transcript:KQK92707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLGYAGTEPNEKLPVCLVSGCSRTDTPGTPSTACQAQAAGVSCSDIPATTKLTHRGAAPVSTGRARDHGRAVTPSEPWPFRATSPGTTHAWSPVQPTRGRRTTTGEVRTQDTPSASAKRPTRLPSENRPPLGLPHRTSARRKIPREKPSPSSKRHKPPKPHLTPSPPRARIPGRRPSPPPSVEGPARPRVRTRLAASGRRRSETLGSGAPSLEPMTSCGCLVLEKVEDHGGGEAAARGRVVKVAPGAGSGCGGSCAGAWRSRSEAIFPIYVMGSSRASTVAAARGLVDSPGDPIWEAVKSEAKSESEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDICRSIRLDAQAFKDRDPACAQYSWALLYLKGYQSLQSYRIANVLWNQGRKVLALALQSRISEVFAVDIHPAAKIGEGILLDHGTGLVIGETAVVGNWVSLMQGVTLGGTGKEHGDRHPKIGQGALIGAGATILGNINVGEGAMIAAGSLVLKNVPPHSMAVGNPAKVVGYMEKEDPSLTMKHDARKDYFEHVAIRYSDD >KQK92706 pep chromosome:Setaria_italica_v2.0:IX:56867419:56874404:1 gene:SETIT_034964mg transcript:KQK92706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLGYAGTEPNEKLPVCLVSGCSRTDTPGTPSTACQAQAAGVSCSDIPATTKLTHRGAAPVSTGRARDHGRAVTPSEPWPFRATSPGTTHAWSPVQPTRGRRTTTGEVRTQDTPSASAKRPTRLPSENRPPLGLPHRTSARRKIPREKPSPSSKRHKPPKPHLTPSPPRARIPGRRPSPPPSVEGPARPRVRTRLAASGRRRSETLGSGAPSLEPMTSCGCLVLEKVEDHGGGEAAARGRVVKVAPGAGSGCGGSCAGAWRSRSEAIFPIYVMGSSRASTVAAARGLVDSPGDPIWEAVKSEAKSESEKEPILSSFLYASVLSHDCLERALSFVLANRLEDPTLLATQLIDIFNDVMMNNKDICRSIRLDAQAFKDRDPACAQYSWALLYLKGYQSLQSYRIANVLWNQGRKVLALALQSRISEVFAVDIHPAAKIGEGILLDHGTGLVIGETAVVGNWVSLMQGVTLGGTGKEHGDRHPKIGQGALIGAGATILGNINVGEGAMIAAGSLVLKNVPPHSMAVGNPAKVVGYMEKEDPSLTMKHDARKDYFEHVAIRYSDD >KQK92826 pep chromosome:Setaria_italica_v2.0:IX:57402148:57406964:-1 gene:SETIT_035189mg transcript:KQK92826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHEACCGTMFWVYLTACVGLVLFAGLMSGLTLGLMSLSLVDLEVLAKAGKPKDKLNAERILPVVKNQHLLLCTLLIGNSLAMEALPIFLDTLVPSYVAILISVTLILAFGEIMPQAICTRYGLSVGAKAAPVVRILLILFFPVAYPISKLLDWLLGKGHFALMRRAELKTLVDMHGNEAGKGGELTHDETTIITGALELTQKIAKDAMTSISETFSLDINAKLDLHTMGMIMTRGHSRVPIYSGSPSNIIGLILVKNLITCRTEDEVPIRNVTIRKIPRVNDDLPLYDILNEFQKGHSHMAVVVKRTKEAGASNGKQKSTTADYKINPKDAHSDGSSPSYVSTAGSRRYNIEKYGDGRSYNKKSERKRENILDFNTDPLPSYSMDEEAVGIITMEDVMEELLQEEIYDETDEYVDVHNKIRINMLPPGKSLSPAISPGGGPLSHGLRKTPMASPLSPYNHGSSFLRSPVSNHGQSPGILPTILSPGRSPASQTPGQSSPNSSQDSRNSNGKHKKDIES >KQK92669 pep chromosome:Setaria_italica_v2.0:IX:56697173:56697624:-1 gene:SETIT_0375021mg transcript:KQK92669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYMRKGKLSGEVAVMEVPGGALLGVRTRSRTLALQRAQRPLDKGEVDQAAGEYLELRSRRLEKPHKEQPAAAVAPAPKRGAGRKAAAAASPAALAEDEVEVSFGENVLDFDAME >KQK92879 pep chromosome:Setaria_italica_v2.0:IX:57644740:57650148:-1 gene:SETIT_034776mg transcript:KQK92879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGVEEGEVGEYKLQRLVGQGSFAKVFRAAHRRTGARVAVKAIDRRRVEKRVHEGILKEREILKSIDHPNILRLLDTIDTTNMMYLVLEYCDGGDLDAFLHKHGRLPVATAKDLMRQLAEGLKVLRERNIVHRDLKPQNLLLSTNDDAIILKIGDFGFAKHENLAATICGSPYYMAPEIWQGKDYDAKSDLWSVGVILFQLVTGKLPYTGSTCFQLQQNILASDELNFPSEIEADLCPDCIDLCRRLLLRDPSEKCELVRESHHAVDLRDTCQAITSHVVLKTKPESVESKKSEVFDSWEWIEREYVLVPMNCTSMEMYSSVEKSAKDDTCTRNAGYDRTTGKGSVQNQNRDFIHRVIGVQNHGCTLVPVSQESANAEDRRAKPPDCFTRLHMLNQYVLVLTELAREKLSKGLYLEALSIELVLLAIWKEALDACSLFMDASDDGNFSESSPEHFLPKSDHSSLNVARGLDFSRPASVRSWVESGFMKAYDRAEKISHILRKSYDNTEMPDAMDVIFQTALEYGKSGAANEVLGHRSRSTALYSKSIILLTFILQEAPMLPLNPPFSISPSEQQRIHSYIANLKSHLCTAQVAGQQQRSAHN >KQK91467 pep chromosome:Setaria_italica_v2.0:IX:50006475:50007428:1 gene:SETIT_038572mg transcript:KQK91467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARRPLRRHAAPRSQPSGATRESPWPRAIDTVAIRDPPRAKAPNTPERSTQKRPTTTHHFLSIPPVRRPPSLPAFASVPVSSRRPPWTASSSPSASTAAAAEKRGQLSRRRSDSGSGSGVGSRICAEPSRAALPARPPPRSRAGQIPTPEVNRFVRIVPARSVRAYREQEISLFVRLLASRPAFAGQLL >KQK89160 pep chromosome:Setaria_italica_v2.0:IX:21436766:21439757:-1 gene:SETIT_036803mg transcript:KQK89160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRRLLSTAAAAARGPPPIRVDRTESAGRGVFATRPVSAGELLHSAQPLVCHPSPSLLHEVCYSCLRRKQGEGRASSGGSYFCSDACREHAKGFHDIEKNVDWSSFEDHCSSRGLKYPYMAKRLACMVISGAANADCLNILQPARLHQGTLIEMEEEFELLESTFMKAGFQEELTTFLTKEWYINVLARIRINAFRIELVPSSYEDLLSSAAASVSCDASVGNAVYMLPSFYNHDCDPNSHIVWLENADAKLKALRDIEEGEELRICYIDTSMDVNARQRILADGFGFECHCHRCLSGD >KQK89161 pep chromosome:Setaria_italica_v2.0:IX:21436766:21439757:-1 gene:SETIT_036803mg transcript:KQK89161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRRLLSTAAAAARGPPPIRVDRTESAGRGVFATRPVSAGELLHSAQPLVCHPSPSLLHEVCYSCLRRKQGEGRASSGGSYFCSDACREHAKGFHDIEKNVDWSSFEDHCSSRGLKYPYMAKRLACMMEEEFELLESTFMKAGFQEELTTFLTKEWYINVLARIRINAFRIELVPSSYEDLLSSAAASVSCDASVGNAVYMLPSFYNHDCDPNSHIVWLENADAKLKALRDIEEGEELRICYIDTSMDVNARQRILADGFGFECHCHRCLSGD >KQK88687 pep chromosome:Setaria_italica_v2.0:IX:16310931:16311415:1 gene:SETIT_040358mg transcript:KQK88687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYVTKTAVMISQIIARFAKLSNYVKEIIVVIR >KQK86432 pep chromosome:Setaria_italica_v2.0:IX:1855682:1859029:1 gene:SETIT_039638mg transcript:KQK86432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVSKHLQPSSAAGNDRKYQGTLVASPAKAISPKRVNRIVPSRQLILGADSLGHAASFLLKVVVLEAVRRVSKARCPFIWNSVQALQILVYPPFSWIQRWAPLRFIVQGIQKLSTPLLFLSVTTTLSERSSKRDDEPDSNTEHSETPSEANESASTSSTRDVADGTKEIVQENWLVLLFRELEKQGITLPERFTEDELRRFYVAANGDFSSLLSSVKKTIRWRETFHILTLQELDRWSHLVFWHGFDTMLRPCLVIRLGLACASIPPRDRPRFGQAVVSQIDHGVVNLTNEEDPRITVLLDCHGISPFRFPMQMMRSFITVVQENYPNRLAVLFVVRLPPVVRVIAQTFLQ >KQK91243 pep chromosome:Setaria_italica_v2.0:IX:48728319:48730194:-1 gene:SETIT_039113mg transcript:KQK91243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTYNFQGRTKIRPFVSDKNKQPYAFRANATVLNSGTRPLKSWAVLVEFAHGEILVGVDGAVLTGGGEMPYNTTEDAGNATSFSGYPQTDLLTPIATAGDLSQIQASVGIVGTVFAGPVPFVPLPAKLSLDNPDYACEAARNVTAGILSTCCVLTPEAEANATVIDANATDPTKNFLPRGTGDLVITYDVLQAYPSSYLALVTLENNAKLGRLDNWRLSWEWRRGEFIYSMKGAHPSKVDTSGCIYGAAAQYYQSLDFSQVLNCDRKPVILDLPLSRFGKIDNCCRNGTILPKSMDEKQSKSAFQMQVFKMPPDLNRTKLFPPANFKIAGASSLNPDYTCGQPVPVSPTAFPDPSGLDSTTLAVATWQVVCNITRTKGAKPKCCVTFSAYYNDSVIPCNTCACGCPANRRGQTCSTTAQSMLLPPEALLVPFDNRTQKAMAWAELKHYIVPRPMPCGDFCGVSINWHVSTDYNKGWSARVTLFNWEDVDMANWFAAIVMDKAYDGFEKAYSFNGTAVGKNTIFMQGLEGLNYLVKQTNMSGSDYLVPGKQQSVLSFTKKLTPGINIVSGDGFPTKVFFNGDECAMPQRIPKSSSGFRTRLSSALALVLVLAASAFLLLQQ >KQK92993 pep chromosome:Setaria_italica_v2.0:IX:58219705:58223686:1 gene:SETIT_034626mg transcript:KQK92993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTLTPTQRYAAGALLALALRQAQIHQSAPLGAASPDDDERASSASGGSSASTATSSGSGSDAASDADLWTHDSRGLLRPVFRFLEIDPKAWAGLEETAASTEAKHHIGAFLRIIFEEDGESSSDRLEQEHALAKAVDVMVMSLGSGAVPDEKIKEKSKDSMTSTSGTAESAENLLGIDKLSLDDVPANHHRKMALLYALLSACVADKPVSQEEEDRKSSHFRKGYDARHRVALRLLATWLDVKWIKMEAIEVMVACSAMAAAKEQEQERESASPKSKWEKWKRGGIIGAAALTGGALLAITGGLAAPAIAAGFGALAPTLGTLVPFIGASGFAAMAAAAGSVAGSVAVAASFGAAGAGLTGSKMARRIGKVKEFEFKPIGDNHNQGRLAVGILVSGFAFDEEDFCKPWEGWKDNLEKYILQWESKHIIAVSTAIQDWLTSRLAMELMKQGAMRTVLSGLLAAFAWPATLLAATDFIDSKWSVAIDRSDKAGKMLAEVLLKGLQGNRPVTLIGFSLGARVIFKCLQELALSSDNEGLVERVVLLGAPVSVKGERWEPARKMVAGRFVNVYSRDDWILGVTFRASLLSQGLAGIQAIDVPGVENVDVTELVDGHSSYLSAARQILEHLELNTYYPVFVPLPSVSK >KQK86669 pep chromosome:Setaria_italica_v2.0:IX:3209713:3214341:1 gene:SETIT_035062mg transcript:KQK86669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIKISESFIRGKNSMASAFWMRLGSRSGNNKDIPPFGNGKGAIGESAHALWCEAVRVLTQLQPPSNPSSLFFPPLPLHFSFLSSPTKPNQTNSPKFPDQTLESSEPSRVCPDFPSDAPRSGLIRMERVFSVEEIPNPYWTPTQPQAAAAGAVAAPGGGGGGGGAGGAGDEVGAMNRCSSEWYFQKFLEEAVLDSPGPVPGVGRGGGGVGVGVEAAESKPLGVGAASNAVVDPVEYNAMLKQKLEKDLAAVAMWRASGATPPERPAAGSSLPNVDVSHAGPVNPIGGNVIPVQNKLAGAPGGPSGPQVVQNADMLVKQATSSSSREQSDDDDMEGEAETTGNANPVQQRLQRRKQSNRESARRLTSLVFILLRSFFSEDIMH >KQK86671 pep chromosome:Setaria_italica_v2.0:IX:3209713:3215709:1 gene:SETIT_035062mg transcript:KQK86671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIKISESFIRGKNSMASAFWMRLGSRSGNNKDIPPFGNGKGAIGESAHALWCEAVRVLTQLQPPSNPSSLFFPPLPLHFSFLSSPTKPNQTNSPKFPDQTLESSEPSRVCPDFPSDAPRSGLIRMERVFSVEEIPNPYWTPTQPQAAAAGAVAAPGGGGGGGGAGGAGDEVGAMNRCSSEWYFQKFLEEAVLDSPGPVPGVGRGGGGVGVGVEAAESKPLGVGAASNAVVDPVEYNAMLKQKLEKDLAAVAMWRASGATPPERPAAGSSLPNVDVSHAGPVNPIGGNVIPVQNKLAGAPGGPSGPQVVQNADMLVKQATSSSSREQSDDDDMEGEAETTGNANPVQQRLQRRKQSNRESARRSRSRKAAHLNELEAQVAQLRVENSSLLRRLADVNQKFNEAAVDNRVLKADVETLRAKVKMAEDSVKRVTGMNALFPPVSDMSSLSMPFNGSPSDSTSDAAVPVQDDPSSYFASPSEMGGNGGYMPEIASSAQEDDDLVNAALAAGKMGRTASLQRVESLEHLQKRMCGGPASSGSTS >KQK86670 pep chromosome:Setaria_italica_v2.0:IX:3209713:3214341:1 gene:SETIT_035062mg transcript:KQK86670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIKISESFIRGKNSMASAFWMRLGSRSGNNKDIPPFGNGKGAIGESAHALWCEAVRVLTQLQPPSNPSSLFFPPLPLHFSFLSSPTKPNQTNSPKFPDQTLESSEPSRVCPDFPSDAPRSGLIRMERVFSVEEIPNPYWTPTQPQAAAAGAVAAPGGGGGGGGAGGAGDEVGAMNRCSSEWYFQKFLEEAVLDSPGPVPGVGRGGGGVGVGVEAAESKPLGVGAASNAVVDPVEYNAMLKQKLEKDLAAVAMWRASGATPPERPAAGSSLPNVDVSHAGPVNPIGGNVIPVQNKLAGAPGGPSGPQVVQNADMLVKQATSSSSREQSDDDDMEGEAETTGNANPVQQRLQRRKQSNRESARRLTSLVFILLRSFFSEDIMH >KQK86668 pep chromosome:Setaria_italica_v2.0:IX:3209713:3213359:1 gene:SETIT_035062mg transcript:KQK86668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDIKISESFIRGKNSMASAFWMRLGSRSGNNKDIPPFGNGKGAIGESAHALWCEAVRVLTQLQPPSNPSSLFFPPLPLHFSFLSSPTKPNQTNSPKFPDQTLESSEPSRVCPDFPSDAPRSGLIRMERVFSVEEIPNPYWTPTQPQAAAAGAVAAPGGGGGGGGAGGAGDEVGAMNRCSSEWYFQKFLEEAVLDSPGPVPGVGRGGGGVGVGVEAAESKPLGVGAASNAVVDPVEYNAMLKQKLEKDLAAVAMWRASGATPPERPAAGSSLPNVDVSHAGPVNPIGGNVIPVQNKLAGAPGGPSGPQVVQNADMLVKQATSSSSREQSDDDDMEGEAETTGNANPVQQRLQRR >KQK88954 pep chromosome:Setaria_italica_v2.0:IX:18965590:18966825:-1 gene:SETIT_040008mg transcript:KQK88954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVTVSQVVTLLSSALSGGGGGGVEVGAKADGERWRRCGGHDRAAGYCCVCISACRDGEEIRWLPCGHAFHRDCVDRWLARCRRTCPLCRLHVGGGVVGLVDEEQHHQLSEDLVIWFSSLFVAGLNQERGEHVVATGNSHTESCSNSDEAAMDDSAARL >KQK86123 pep chromosome:Setaria_italica_v2.0:IX:382572:384128:-1 gene:SETIT_038938mg transcript:KQK86123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWLFYSLTMLLCLLCSLLLRARARSPSKINATDESLPPLPPGPAPLPVVGPLLFLARRDFDMEPMLRRITREYGPVFTFAPLGKARPTIFVAARGAVHRALVQRGVMFASRPPVTASGIVLTSGGRNISSSPYGTTWRTLRRNLAAGVLNPARLRAFSPARRWVLDILVSRVRTDGGNGERPVTVMEPFQYAMFCLLVYMCFGDHLEDARVRDIEATQRELLSNFLSFQVFSFVPAVTKIVFRRRWEKLILLRRRQEELFVPLIQARREAGADGDCYVDSMVKLIIPEDSGRTLTDDEIVSLCSEFLSAGTDTTATTLQWILANLVKNQAMQDRLRDEVASSVGANGKVREDNLQAMPYLKAVVLEGLRRHPPGHYVLPHAVHEDTTLDGYRVPAGTPVNFAVGDIGLDEEVWDAPSEFRPERFLPGGEGEEVDLTGSKEIKMIPFGAGRRVCPGMALALLHLEYFVANLVREFKWLEADGEDVDLTEKLEFTVVMKRPLKARAVPLRSPSVVAAA >KQK88354 pep chromosome:Setaria_italica_v2.0:IX:13529361:13533776:1 gene:SETIT_035457mg transcript:KQK88354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMAAASELISTTLKRPFGSDGPLGDDNGGGALAHADEKPKARRREADPAAALAAARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFAGELGPDRGDMYIYSRHFNPTVLALGRQMAALEATEAAYCTASGMSAISSVLMQLVGVGGHVVASRCLYGGTHALLSTFLPRTSGVRATFVDADDEAAVRAAVVPGETRVVYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPVVVTPARLGADVVVHSVSKFISGGADIIAGQLPELSSREHL >KQK88353 pep chromosome:Setaria_italica_v2.0:IX:13529361:13532850:1 gene:SETIT_035457mg transcript:KQK88353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMAAASELISTTLKRPFGSDGPLGDDNGGGALAHADEKPKARRREADPAAALAAARHEFGEHGGVNMSIEASATFTVMEPDTMRRLFAGELGPDRGDMYIYSRHFNPTVLALGRQMAALEATEAAYCTASGMSAISSVLMQLVGVGGHVVASRCLYGGTHALLSTFLPRTSGVRATFVDADDEAAVRAAVVPGETRVVYVETMSNPTLAVADIPMLARVAHEAGAKLVVDNTFTPVVVTPARLGADVVVHSVSKFISGGADIIAGAICGPASLVNAMMDLQEGALMLLGPTMNAKVAFELSERLPHLPLRMQEHSRRALAFASRMQRLGLRVLYPGLPDHPHHARLSAMANPGYGSGGMLCVDMGTEDRANRLMHHLQNTTQFGLMAVSLGYYETLMSCSGSSTSSEMPPEDRAKAGISPGLVRMSVGYNGTLEQRWAQFERALALMQPVPAPLHHKPGAAADRDVLDAGTTIHRKH >KQK86237 pep chromosome:Setaria_italica_v2.0:IX:890595:891292:-1 gene:SETIT_040223mg transcript:KQK86237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNQLVRRISRRARGHHEAAAGEAAAAREVAMESPTSAASRPDFYDFLDRMRRPAAADLFRSIKSFLVSFSFHEPNAEEDGGKVQAFLTEMEGAIRGHPLWANATNQEIDHALEGLEKYIMTKLFDRTFGTSAEDAITDMEISQKI >KQK86853 pep chromosome:Setaria_italica_v2.0:IX:4125090:4125809:-1 gene:SETIT_040738mg transcript:KQK86853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSRSARLPSLVAAERDGGEHSPDGGCHHDGASRRRREVHGEWHYYRRRF >KQK88055 pep chromosome:Setaria_italica_v2.0:IX:11183638:11184413:-1 gene:SETIT_038238mg transcript:KQK88055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVSSPSSPNPVGLWFCQISSVLQGRCQGGRADPPQGPKSGAGGAEGRQGRAPDAAGSKGDDDTPRRTCRGDAMPEATVYLLLDRFAPS >KQK90759 pep chromosome:Setaria_italica_v2.0:IX:45592038:45592502:1 gene:SETIT_040618mg transcript:KQK90759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGVVIKLWVYRVYVVKISRFRLESRPQESVGCHVESPACPNLNNR >KQK89844 pep chromosome:Setaria_italica_v2.0:IX:36402700:36409140:1 gene:SETIT_034535mg transcript:KQK89844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTTTAVTPSICSVLGHTSETAERLSPSILPLPSRTFRAPPSQHSASSSSSRPEMATMENVIVLVNRIQRACTVLGDHGGGDGAASLPTLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTQDGAQEYAEFLHMPKRRFTDFALVRKEIEDETDRLTGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPETIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNVDMIIARRKEQEFFASSPDYSHLASRMGSEYLAKLLSQQLEAVIRARIPSITSLINKTIDELESEMDHLGRPIASDAGAQLYLILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPSALRKLPFDRHLSVQNVKRVVSQADGYQPHLIAPEQGYRRLIESALNYFRGPAEASVDAVHFVLKELVRMSIGETQELKRFPTLQAELAAACYVALERFREDGRKTTLRLVDMESAYLTVEFFRKLPQEVDKTGPGNPRTANPPPTPSDDRYTDAHFRRIASNVSSYIGMVSETLKNTIPKSVVHCQVREAKRSLLNHFYTQVGRKDAKQLAVLLDEDPALMERRLQCFKRLELYKSARDEIDAVAWTR >KQK88948 pep chromosome:Setaria_italica_v2.0:IX:18905790:18906677:-1 gene:SETIT_039935mg transcript:KQK88948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSLMLCTVVAACLALAAADWSPGTATFYGGVDGSGTMGGACGYDNLYNAGYGVNNAALSTTLFNDGASCGQCYLITCDGSRPGASVGAGIVPVLYQRVQCSRTGGVRFSMAGSNYFLLVNIQNLGGSGSVGAAWVKGDRTGWIQMSRNWGANWQALAGLVGQGLSFAVTSTGGQYIQFLNVVPGWWQFGMAFSTNQNFVY >KQK88186 pep chromosome:Setaria_italica_v2.0:IX:12231820:12237600:1 gene:SETIT_033989mg transcript:KQK88186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLRRNLKRQASRSLSAFAAAGAASPRASDQENLHPNLASSPPASPAKGAASPRPKHPAAAAAATPTAAAEEDRAAAAPADNEPSVKVVVRVRPTVSRPVDGKDLWFVRKTAPESVAVGDRSFAVDGVLDDRASQADAFDLVGLPMIENALAGFNTSLVCYGQSGTGKTYTMWGPLGAMVDSGSDHADRGVVPRVFQDLFSRIQRTQESSREKQTSYQCRCSFLEVHNDQINDLLEPSQRDLQIRENAGNGIHVENLTDEYVSTVEDINQILMKGLSNRKVGTNSMNLKSSRSHVIFTCIIEAWSKGSSNGFSSSRTSRITFVDLAGPENDELDGAAKHCTKEERHLKKSLSRLGKLVNILSETPESHKDDLPYDQSRLTYVLKDTLGGNSRVTFLCSISSEHRCRSGTLSTLRFGERAKLLPNKAVINEISEDDVNGLSDQIRQLKDELIRTKSGGTTTCKAGYFSAQNARESLHNLRVSLNRSLILPHIEVDSEEEMDVDEEDVQELRDQISKLHSSSETFDDFMDAESGDDNTPYAKGNLETSEEDDMPVLDDFGGPLQEEHKEVANNTNADEDLVSDRKSSLSISASPSLSPMQDPTLCSSPKIHNKARKSITSPGLSPSKLRVSESPGDGNVEVCRNSEVRSSLQSSKLSPTDSLAASLQRGLHIIEYHQQNPAPRRSFVGLSFDHFAVNPRQSIANVSSAVQVLPEDRGTMLCSSCKKPMNANENQTEDINSAKQIVLALGATSNESDSASVKDDNNTKAIASKREMELEALCEEQAAKIKELSNLIDQYRKGSEDVPDSNGVKPAEELTSKIDEQCGDSKVSSLNPNEKEALLAEIESLREQLKHQTNVSTNGSLLDQIRNGSTDQEYELDKERQKWMESESKWISLTEELRVDLESNRMHAEKTEMELCNEKKCTAELDDALQRAMYGHARMVEHYVELQELYNDLLEKHRRVMEAISEVKRAAAKAGRKGCGTAFAAALAAELSTVRIDREKERAQLKEQNRRLRIQLRDTAEAVHAAGELLVRLREAEEASTQEKERSAALLQENEKLKKQLEKLRKKHEMELETMKVHLAESRLPESALGGFYHHDNKETPEYSCDAASTQDDDQSWRAAFASAYE >KQK90374 pep chromosome:Setaria_italica_v2.0:IX:42213935:42218187:-1 gene:SETIT_034427mg transcript:KQK90374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLQDRFQMHKLHWNSRSPIPSEFWIAVTAYFTVGLVGLWTFWYFFSLWRRKISLSWMKIIARSKRKNFERNHKVPTSEHVWNTESLIRAKGLKCCVCLESISPAQPLGQMMTSENMVHRCDVCGVAAHIICSPNSQKDCKCVSMFGSKHVIHQWTVLWTDVADQSEEGQYCCYCEELCSESFLGGPPIYCCMWCQRSVHIDCQSAMAAETGDICDLGPYKRLILSPLFVRTISKPGGILSSITQGANEFASTVRVHLGSRGKKVKHHKRLPSDSVQGDSNDDSSSDTTLNSNQRAKESKATGGSVQRSAENEHYSSEHYSSESDGRELISEPIRLNDETGGVKLKYALSDLPADARPLLVFINKRSGAQRGDSLKHKLHFLLNPVQVFELSSSQGPETGLILFRKVPHFRILVCGGDGTVGWVLDAIDKQNYESPPPVAILPAGTGNDLSRVLSWGGGLGAVEKQGGLRTVLHDIEHAAVTILDRWKVTFEDKKSNNVLLVKYMNNYLGIGCDAKVALDIHNLREENPEKFYSQFLNKVLYAREGAKSIIDKAFVDLPWQVRLEVDGTEIDIPEDSEGVLVTNIPSYMGGVDLWQNEDEEPENFDPQSIHDKMLEVVSITGTWHLGTLQVGLSRARRIAQGQSIKMQMFAPFPVQVDGEPWVQQPCTLKISHHGQAFMLRAAIEEPLGHAAAIITDVLEHAESSHVINASQKRALLQEMALRLA >KQK86402 pep chromosome:Setaria_italica_v2.0:IX:1667407:1667964:1 gene:SETIT_038883mg transcript:KQK86402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQVFFLTFALLAVLAQSSNRHHHHSHVQSKGHGGGGGGGGELASRGKAAARAWPCCDNCGGCTKSIPPLCQCLDAVPRGCHPACQDCIKSSLSADPPVYQCMDRVPNFCDRRCTAAAAH >KQK90928 pep chromosome:Setaria_italica_v2.0:IX:46689501:46690709:-1 gene:SETIT_037258mg transcript:KQK90928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSGVKLWRAMVRVHSASQGLDAAGPAAAVAPSSSDQTAATTKMAAAAAGSSQGSSEQPEVFTIWMKSLVLNGSGCTVFDSAGSIVYRVDNYAARRADDVCLMDLAGNVVLQILKKKLGFGRRWEGYRWSDQERPWFKVTRAWAWRGPSRCTCELIRGDDTATVVRYRMDDGRIATARGACRIVDGATGLAVAEVKRKTTAAGVALGADVLTLAVEPGVDRSIIMGLVLVHGLINRAM >KQK91621 pep chromosome:Setaria_italica_v2.0:IX:50885938:50886837:1 gene:SETIT_038717mg transcript:KQK91621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFNRKTSKQTSRVKKLLKLALSRLAVARRPRLARKSISLSDVGQLLILGHLHRALHRAEQVIEEDNMLQAFDIIELYCKRLIDHAARLDKPQYVVWRPAGAPARRTILEDKFSSDFAATAKDGTGIVDPMLVWKLAGDKTNMELKKKVTKEIATENNILVDFSELQEAVKQ >KQK91271 pep chromosome:Setaria_italica_v2.0:IX:48928258:48931877:-1 gene:SETIT_034130mg transcript:KQK91271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRREAAASLHRSLARFVAHDDTERTLSLVAAKARQHGALVSADLASALRACRLRGYRWPRVLEIHATSVVRGLGADRLIGNLLIDLYAKNGLLRWSRRVFDDLSARDHVSWVAMLSGYAQNGLGIEALGLFRQMHRSAVVPTPYVLSSVLSACTKAGLSAQGRLIHAQVYKQGFCSETFVGNALIAFYLRYGSFKLAERLFSDMLFCDRVTFNTLISGHAQCEHGERALEIFYEMQLSGLRPDCVTVASLLAACASMGDLHNGKLLHAYLLKAGMSLDYITEGSLLDLYVKCGDIETTHEIFNSGDRTNVVLWNLMLVAYGQINDLAKSFEIFCQMQTAGIRPNQFTYPCILRTCTCSGHIELGEQIHSLSIKTGFESDMYVSGVLIDMYSKYGWLDKARRILEMLGKKDVVSWTSMIAGYVQHGFCEEALATFKEMQDCGIWPDNIGLASAASACAGLKGMRQGLQIHARVYVSGYSADISIWNTLVNLYARCGRSEEAFSLFRAIEHKDEITWNGLVSGFGQSGLYEQALKVFKQMGQSGAKYNVFTFVSSISASANLADIKQGKQVHCRAIKTGHTSETEVSNALISLYGKCGSIEDAKMEFSNMSERNEVSWNTIITSCSQHGRGLEALDLFDQMKQEGLKPNDVTFIGVLAACSHVGLVEEGLSHFKSMSNEYGVTPIPDHYACVMDILGRAGQLDRARKFVEEMPIAADAMVWRTLLSACKVHKNIEIGELAAKHLLELEPHDSASYVLLSNAYAVTGKWSNRDQVRKMMKDRGVKKEPGSSWIEVKSAVHAFYAGDRLHPLADQIYSFLADLNGRIAKIGYKQDNYHLFHEKEQERKDPTSFVHSEKLAVAFGLMSLPPCMPLRVIKNLRVCNDCHNWMKFTSDVTGREIVLRDVYRFHHFTNGSCSCGDFW >KQK88468 pep chromosome:Setaria_italica_v2.0:IX:14462156:14462629:1 gene:SETIT_040846mg transcript:KQK88468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFDRPHIPLDCKKADNAEIEWDFNPCQMLVCVSV >KQK90638 pep chromosome:Setaria_italica_v2.0:IX:44112505:44113152:-1 gene:SETIT_039255mg transcript:KQK90638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAATNKFRLSLRVDQGAFGPVFSGKIAGGKTKVAIKRRDPYSMHIGYCDEMGEMILVYNYMAHGCLRDHLYRTKQPPLTWNRRLEICIGAARGLHSLHTSQIIHEVSRVIYRNLKTTNILLDEEWVAKLTDLALCKTGPPTDVMPRVMGSGGLLDPDRSAN >KQK92306 pep chromosome:Setaria_italica_v2.0:IX:54837554:54839876:1 gene:SETIT_034644mg transcript:KQK92306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASQPRRVRPLAAVSLVLFLLLLYRRSDGGSARAPRLLVSGLRPVHRRFLSDADPAGPAPSGANLSEVATLKGEQPEDPSVACAGIARHEGFETQCDYLRAHPQCSSGGFVDYLGFFYCRCERFRLLGYAVLGVCLVALFYMLGNTAADYFCCSLEKMSALLRLPPTVAGVTLLPFGNGAPDVFASIAAFMGSGAGDVGLNSVLGGAVFVTCVVVGAVSLCVAEKNVQIDRRCFMRDIGFFLVTLFALSVVLIVGKVTVWGAMMFVSIYAIYAFVVAANEVLRKHARRLKFDVVTPLLPVRGSIFEQGAEEDESMYSSLLEEDADGETAQINTSLPQWMWASHVAIYSNHGTRVGSPDSSRPLWGWSEGEADTSTHNISKLFLFMELPLTIPRRLTIPIVEEDRWSKEYAVASACLAPVLLAFLWSSQDGVSTKASIAAYVIASIFGIGFAALAFLFTSSERPPRRYLFPWVFGGFVMSIIWFYIIANELVALLVALGAILGINPSILGLTVLAWGNSMGDLMSNVALAMNGGDGVQIAMSGCYAGPMFNTLAGLGLSMLLGAWSTAPDSYVLPQDRSLIYTMSFLVAGLIWALVMLPRGDMRPNKILGVGLVALYSVFLFIRVSSAMGILPLPGLS >KQK89328 pep chromosome:Setaria_italica_v2.0:IX:24231460:24237361:1 gene:SETIT_034675mg transcript:KQK89328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALGFRRPLPLLLLALLTAAAVVVEARFVVEKNSLMVTSPTSLRGRRDSAIGNFGIPQYGGSMAGAVVYPKDNSNACDDFDGKHPFRAKPGAMPTFLLVDRGDCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAVKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQAFVISKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFKVANENKKPWVWWDYVTDFHIRCPMKEKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADSDHPLLKMEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDDIETNECLNDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGDGKCQCPAGFRGDGVKKCEDINECKERKACQCPECNCRDTWGGYDCTCSGDLLYIREHDTCISKTAVQAKAAWAAVWGILIVIVVVAAGSYIVYKYRLRIEN >KQK89329 pep chromosome:Setaria_italica_v2.0:IX:24231460:24238663:1 gene:SETIT_034675mg transcript:KQK89329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALGFRRPLPLLLLALLTAAAVVVEARFVVEKNSLMVTSPTSLRGRRDSAIGNFGIPQYGGSMAGAVVYPKDNSNACDDFDGKHPFRAKPGAMPTFLLVDRGDCLFAKKVWNAQNAGASAVLVVDDKDEPLITMDLPREDDEAAKYIQNITIPSALIDKKFGEQLKKAVKDGEMVNVNLDWREAVPHPDDRVEYELWTNSNDECGPKCDMLMNFLKEFKGAAQLLEKGGYSQFTPHYITWYCPQAFVISKQCKSQCINHGRYCAPDPEQDFSTGYEGKDVVVENLRQLCVFKVANENKKPWVWWDYVTDFHIRCPMKEKKYNKKCAETVIKSLGLDVKKVDKCMGDPNADSDHPLLKMEQDAQIGKGSRGDVTILPTLVVNNRQYRGKLERKAVLKAICAGFEETTEPNVCLSDDIETNECLNDNGGCWQDKAANVTACRDTFRGRVCECPTFNGVQFKGDGYSNCEPAGPGKCLINHGGCWHETRNGKTFSACQESGDGKCQCPAGFRGDGVKKCEDINECKERKACQCPECNCRDTWGGYDCTCSGDLLYIREHDTCISKTAVQAKAAWAAVWGILIVIVVVAAGSYIVYKYRLRSYMDSEIRAIMAQYMPLDNQGEVPNHTHEEDRS >KQK86195 pep chromosome:Setaria_italica_v2.0:IX:705464:709498:1 gene:SETIT_036159mg transcript:KQK86195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTFTGLISRGWLLGVGSLEPHAMPESAAAHTPGHKSMWRTRSSPSHLHTNRSCPAPLRRPPPAESTPPMAAATAAAVPAATAAEEERRLLRLEEQAEHGGGGAWEYLCLARRLRARRPAHVLRVGLDLLNDASARSRLASEQWTLYEQVAVAAMDCQRLDVAKDCIGVLSNQFPGSTRVARLEALLFEAKGEWAEAERAYALILENNPFDQIVHKRKIAIAKAQGDMLLAVDYLNKYLELFMSDHDAWRELAETYVSLQMYKQAAFCYEELILAHPTIPLYHIAYAEVLYTMGGLENLQTAKKYYASTIQLTGGKNTRALFGVCLCTSAINQLTKGRNKEEEGSELQSLAAEALLKNYKQHAPSKAPLISSMLKNMKLS >KQK86982 pep chromosome:Setaria_italica_v2.0:IX:4872053:4874819:1 gene:SETIT_034366mg transcript:KQK86982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRHGERCVILAVAAAALLVAAAAAGRDGRQTYIVHMSHSAMPNDFVEHGEWYAASLQAVSEAATVLYTYDTLVHGYSARLTRAEAEALESQPGVLIVNPEVRYELHTTRTPEFLGLDGTDALFPQSSTGSDVIIGVLDTGVWPERPSYDDTGFGPVPAGWKGECEKGNDFNASSCNKKLIGARFFLTGYEAAKGPVDTSKESRSPRDNDGHGTHTSSTAAGGAVQGADLLGYAAGTAKGMAPRARVATYKVCWTGGCFSSDILKAMEAAVTDGVDVLSLSLGGGTADYYRDSIAVGAFSAMEKGIFVSCSAGNAGPGAATLSNGAPWITTVGAGTIDRDFPAHVTLGNGKNYTGVSLYSGKPLSTTPVPLIYAGNASNSSMGQLCMSGSLIPEKVAGKIVLCDRGTNARVQKGFIVKDVGGAGMILANTAANGEELVADAHILPGSGVGEKAGNAIRDYAMSDPKATATIVFAGTKVGIQPSPVVAAFSSRGPNTVTPSVLKPDIIAPGVNILAAWSGSVGPSGIPGDSRRVGFNIISGTSMSCPHVSGLAALLRAAHPEWSPAAIRSALMTTAYNEYPNGDGILDVATGRPATPLDVGAGHVDPAKAVDPGLVYDLTTADYVDFLCAINYGPMQIATLTKRSSDGCVANRTYAESALNYPSFAVAFPAAGGTVKHTRTVTNVGQPGTYKVTASAAAGSTAVKVSVEPSTLSFSKAGEKLSYTVSFTAPAMASGTNGFGRLVWSSDHHVVASPIAATWN >KQK92414 pep chromosome:Setaria_italica_v2.0:IX:55365151:55367966:1 gene:SETIT_039978mg transcript:KQK92414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISYPPDDYLPEDDDDDDMDRLFVRSLSFDNLSTLDTLESPPALLDALTSKRLIVRGSLSFEKRNGDSFQVETTVSMVSPKPAKKSCNYKPIILPRYGSLENLPLNSPVIGMVSPEHQAAALRVQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFEVEKPESALSRWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHYYYQHWLHCEGKEVNMEDHCPRWKLLQQCIRYLGPKERELYEVVVEDGKMMYKLSRKIVDTFEGPRDAKWIFVLSTTRILYIGTKSKGTFQHSSFLAGGATSAAGRLIVENGILRAVWPHSGHYRPTEANFREFMNYLKKRNVDLTNVKLSPSEGEEDEWLRHRGRLSQFNFTESSNPTRQEDSKPQTPGADQVKATANATATPATPPSARRDTATTAAGGGGTPVMKRSSSGNRLHRKRPPRLTVNKNRLGKGTAEEQGAGAFGDCLDFCKENLFGGGEGGEEVVVVPQEKIMHRINSKMALHSYQLGNQISFRWTTGAGPRIGCVRDYPPELQFRSLEQ >KQK88566 pep chromosome:Setaria_italica_v2.0:IX:15126255:15126756:1 gene:SETIT_039094mg transcript:KQK88566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALSNSHVAFSLQPRLGVCLASGYRPWQPQYHCSPPVTSNNRAVLTLNSLENEKCKKSDDPSECFNSYHGGEVMVVVLSTGWFNITLCTIRFVDASAAAWNSLGLDQSICEQDITWSDEWTA >KQK86175 pep chromosome:Setaria_italica_v2.0:IX:619261:622877:-1 gene:SETIT_036891mg transcript:KQK86175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEGGQEKSFNFLQVLLEGSIAGGTAGVVVETALYPIDTIKTRLQAARGGSRIEWKGLYSGLAGNLAGVLPASAIFVGVYEPTKRKLLETLPENLSAVAHFTAGAIGGIAASLVRVPTEVVKQRMQTRQFKSAPDAVRLIVAKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQIRIGYKLVAKRELNDPENALIGAFAGAITGAVTTPLDVMKTRLMVQGQGNQYSGIVSCAQTILREEGPMAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRNREVGTLKDE >KQK86176 pep chromosome:Setaria_italica_v2.0:IX:619091:622877:-1 gene:SETIT_036891mg transcript:KQK86176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGEGGQEKSFNFLQVLLEGSIAGGTAGVVVETALYPIDTIKTRLQAARGGSRIEWKGLYSGLAGNLAGVLPASAIFVGVYEPTKRKLLETLPENLSAVAHFTAGAIGGIAASLVRVPTEVVKQRMQTRQFKSAPDAVRLIVAKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQIRIGYKLVAKRELNDPENALIGAFAGAITGAVTTPLDVMKTRLMGQGNQYSGIVSCAQTILREEGPMAFLKGIEPRVLWIGIGGSIFFGVLEKTKSMLAERRNREVGTLKDE >KQK92463 pep chromosome:Setaria_italica_v2.0:IX:55655058:55656873:-1 gene:SETIT_038907mg transcript:KQK92463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHPLLPGMVTVKEEWPPGSPPPEEEVEDMDAEGAPRPMEGLHEVGPPPFLTKTFDLVADPATDQVVSWGRAGNSFVVWDPHVFAAVLLPRFFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQRQLLRLIKRRRPPSYLPGSQQQQQQQAAQLGTCLEVGQFGGLDEEIDRLKRDKNILLAEVVKLRQEQQSTRADMAAMEARLQHAEHKQVQMMGFLARAMQSPDFFQQLLQHQDRRKELEDAVSRKRRRPIDAAPSLAGGEASGCPRHQDELLFRPGGFEVLGSGEPGTSELENLALNIQGLGKRKQDEKEAQGGLAAELTDDFWEELLSEGMRGGHAWTAVPLVPTDMERRQRPPGRYVDALAQRISSMSNSTAK >KQK89503 pep chromosome:Setaria_italica_v2.0:IX:32033361:32034396:-1 gene:SETIT_037723mg transcript:KQK89503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVTSHTLLSRPTISSAGLSFGFVKPAVVGLPCASAGKNRPRSICYSVDTKSADHQFNISPVALVHPYMPPTSTHRWEIKDDGKNVKLTLFNMPEDAMPGDFQVVIEDDVLVIKTKPKPPAEQQGVPDSSISFHIRLLVPKGYDKENVRAEYQLRALVVTIAKVNPAFTKEVPIDGK >KQK90042 pep chromosome:Setaria_italica_v2.0:IX:39128356:39130759:-1 gene:SETIT_039111mg transcript:KQK90042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASSRFSISSFLSDEVDYSDLLSLHGNSSTKHSGSKSELVSSLSDDMKMTRTEHVQSSAPNTDLAEMDFNADLQDRLQKAFDEIVRLKKENFEESCQRQQVEKELLVIHKTAKKLQEYLLKDTQRSRQIEEARATDQYLIKKLKKEIELLKIQRDEYLEKLCRAGEQMSPQQSEVASEAYEKDKHEMEILREEMIQLKIDRDKYLTKFQEKNEPNLAPMECVSDTDYDTNVLENELEAHRRTLDDRLQSDRDSTIRYPEKHAQFSLAELKLATENFSESLKIGEGGYGRVYKGTVCDTAVAIKILRHNENLQGLLQFQRELPNGSLEDRLSCKGNTPALTWQVRTRIIGEICSALIFLHSHKPKPVVHGDLKPSNILLDADLVSKLGDFGIARFLVPSETSTMVHLTDHPIGTMFYSDPEYMAHSELTSGSDTYSFGIIILRLLTGGHPREIVKRVEDAMINDELHTIIDRSAGEWPFVQAQQLARIGMRCAAEKRRRRADLATDVWLVVEPMMKSASLSSCPSTSSSIQDESSVPHYFLCPILQNIMRNPHIAADGFTYEADAIKDWLEARDTSPMTNLALPSRSTIPNSALRSAIQEHLQRGP >KQK92079 pep chromosome:Setaria_italica_v2.0:IX:53571253:53574864:1 gene:SETIT_036968mg transcript:KQK92079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKGPGLFSDIGKKAKDLLTKDYTYDQKLTVSTVSSSGVGLTSTAVKKGGLYTLDVSSVYKYKNTVVDVKVDTESNISTTLTVLDALPSTKLVTSVKLPDYNSGKVELHYFHENASLATVVGTKPSPVVELSGTVGAQGVAFGAEAGYDTASGKFTKYTAAIGVTKPDYHAAFILADKGDTIKVSGVYHLDEKQKASAVAELTRRLSTNVNTLTVGGLYKIDPQTAVKARLNNTGTLAALLQHELKPKSLLTISGEFDTKALDRAPKFGLALALKP >KQK90037 pep chromosome:Setaria_italica_v2.0:IX:38776026:38777635:1 gene:SETIT_040240mg transcript:KQK90037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVSACVCVSACVRVWPARWICRWFAECPRSSTRQSQDVRWRLIATDPSLLAAHAARRPIEMISCILHPRSQISCKFSTLEAARRRWKLLDNPITRQWTNLPALVPHPCFTALTCSFYLHVPSGEYRLLCHGLEQGAAASSRHYGVLVAHRGILHWFQHPEATSTSKILSFDTVSKTFRLMSRPLERGGGGDTTRSLLELDGELAVANMQGEASLDIWALRDYEAEIWTLRHRVEVPPPTCSRYYDVL >KQK89068 pep chromosome:Setaria_italica_v2.0:IX:20186552:20186835:1 gene:SETIT_039505mg transcript:KQK89068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SACPMVAGQPFMFMDPMLAVTVTVSAPMPCRLAVVASTNKKASYREDEESDTGSSSSVVDASPAVGVGFDLNMLPDPVLRFGRSGTKVKLKVL >KQK90625 pep chromosome:Setaria_italica_v2.0:IX:44036648:44041082:-1 gene:SETIT_034941mg transcript:KQK90625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWRCSPLASKIRRDAFAIQFFCLILIQASLADEAGRLHEFSRSRMEMQHDRIDNKMLTSWSMDHPIYVGPQDGLKKVDKISELPGQPGKAVFDQYAGYVTVDATSGKALFYYFAEAAEEPSTKPLVLWLNGGWSGCREAAWSHMERREQRKVSGKEKGKEETFKMRTGRERGDIVGSPEVTHRDGVGIRKNYLKISGPGCSSLGGAMLEIGPFFVNRDNKTLSRNKYAWNNVANMLFLESPAGVGFSYSNRTSDYNNTGDRSTTADAYTFLINWLERFPEYKGHSFFITGESYGGHYIPQLANTILSNNKIMNITMINLKGIAIGNAYLNDDTNTRATIDYYWTHAMISKETHKAVQENCSFNGTYTGLCRTAIEAANNEKGLIDQSNIYASFCWDASAPRQHHASVTNTDPCASYYMRSYLNRQEVQRAFHANTTGLKQPWLDCSHIISPENWKDAQVSMLPSIQQLISSGIRTWLYSGDIDAVCPVTSTLYSLDILGLQINSPWRAWYSDDGEVGGYVVEYKGLVFATVRGAGHMVPTYQPQRALTLFSSFLQGKMPPE >KQK87011 pep chromosome:Setaria_italica_v2.0:IX:5066774:5067039:1 gene:SETIT_040548mg transcript:KQK87011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQRLHLILMEQRLVCSGLIILNFDVTEVSLNCAIVN >KQK89695 pep chromosome:Setaria_italica_v2.0:IX:35112741:35116138:1 gene:SETIT_035415mg transcript:KQK89695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRFPGKLCCATFFFFLLCCSYASRPSRPPISNSSGGGAITHLPGFQGPLPFHLQTGYVEVDQSNGVRLFYYFVRSERSPADDPLMLWLTGGPGCSVLSGLAYEIGPLSFDIKGNVDGFPKLMYNQDSWTKVSNVIFLDSPVGAGFSYSDTDRGYVSSDTKAVNQILIFLRKWFEEHPEFLSNPLYIAGDSYSGKIVPTVASEIARGKEGGHELNFNLKGYLVGNPVTDSNFDDPSKIPFAHGMGIISDEIYESYKKSCSVGDNSHQSIECINSLYAIQECLKGICPNHVLEPVCAFASPHAHKMETKPNLNSGPREMLQLQEYTADAQLNLSEISLQCRTAGYIMSSVWANNASVREALGIHKGTVPSWSRCNYSIPYTSDIPSTVKYHLDVTTKDYRSLVYSGDHDMIIPHIGTQAWIKSLNFSIMDHWRPWFVDGQVAGFTRSYSNNLTFATVKGGGHTAPEYMPRQCFAMFARWISGDPL >KQK91100 pep chromosome:Setaria_italica_v2.0:IX:47763047:47764102:-1 gene:SETIT_039519mg transcript:KQK91100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAPSSHQSQMNSRKEKRMRKVDTFAPHNDGHQWRKYGEKKINNTNFPRYYYRCTYKDNMNCPATKQVQQKDHSDPPLYAVTYYNEHSCNSAFLPLSPSEFQLQTSSGKAVSICFDSSSGPAAQEPPSAAAAAATNASGGSPSSSAAARRGTPPEISNPPVLRRSETYPWGAGAVEQKPASCSTECHDAFAASAGAVPEEVVDAGRFGSIRFFHFL >KQK90620 pep chromosome:Setaria_italica_v2.0:IX:43993613:43997662:-1 gene:SETIT_036904mg transcript:KQK90620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAAPVRSSHTSTADLLAWPQPQGQATAPATPSPPRRPGQPSEAIRKVVFGGQVTEEEADSLTKRKPCSAPKWKEMTGSGIFAAGSNGDSGEAAAAVKPARTASRQAISTVSHISFAEDGTDPPKKPTSVAEVAKQRELSGTLQSEADSKMKQISNAKSKELSGHDIFADTQESRLNRARNSSNGSSASHIPVKNANASTFSFGEANIDSAPKTAKKITGKKVNDLSGNDIFKGDAPPASAEKHLSTAKLKEITGSNIFADGKEPTRERVGGNRKPPGGVSSIALI >KQK90060 pep chromosome:Setaria_italica_v2.0:IX:39213834:39217584:1 gene:SETIT_034458mg transcript:KQK90060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRSRLSASKESMKSISEETESTSRDTETSRFHQLKCRDEKFREPCLDRVPNFHCKSLPSRYRDANPEDSIMHKRGSMYQSSSEVSRLRNLQGRRKINYSSNKDTFLSFEVVNSSSQPSTSGACFFPQRSYSCNTRSSMGTIHQASREFLKLSLHEIPEDDLTVERPRRDCNLLKNGAVDSFLEISLEEDTAKGSCTNAAPHLLEGSCTEVARSNCQQSVGVCPDERDASNLPKSLSTKVGVFDATCSSECVGNKKARSSPFKKILDPIMKSKSQRNPSPSLMETEDAKSSNTPFGGKGRVLRKSLLSDISRMEQSLTPDCQTNGEAQQLTVSSSPTHLHAVIKLDPNNGAFGFEFCTKGPEESIYANTWKAGNELNWIYTFHSSGKRASTVGRASKDRRGCLPPIVGQMHVSSYLYSNVEEDGILNNSATTEFVLYDIAHARRSSAVERIQCTDSVRPSFCNVVNNSISTRDRNDLMQRQNTTRNDSDLSTSCLWSREDLHPHLEVAAVVIQVPFHKTKSKQLRAGSLPGSIKAVTAGGAHGLPRDDEASPSPLLDRLKSGGACDCGGWDMSCPIVVLDNAYDSYWVDSVMNESKVPMELFAQGNKEVLPALLMKADGNGHFSVDFHARLSALQAFSICISLLHCSEASSDIGIDKFKNKLYSSSLKMLLKEEVKQLIDSVTTKEKKKPKSRNEKAPPSIVMNPPFSPMGRV >KQK88896 pep chromosome:Setaria_italica_v2.0:IX:18275931:18278052:-1 gene:SETIT_034734mg transcript:KQK88896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQPSTFLPRLATAAARALSVSPRSYHPTHYPSPASAAPASSPHAFHKHLASVATHLPSLLAALSRARAARLPLPPATRALAATALLRHGRLPDALAHFSLLPDSDPAAPLPAPLCNSLLAALASSTGSLAHARKVLDRMLVGTVELDTVGFGVFVKAVGRKHDGLAEVLRLVDMVGCQGSRISRSVVAAMVVDGMCREGRIEDAWHALEELRSRGWKPDFVAYRIVAEEFRVAGRVEEEGRILKQKRKLGVAPRKSEYRECVLALVSNGLITEAKEMAEAFVLGDFPIDDDVLNVLVGSVSDIDVDGAAMFCKFMMGKGRFPSTDMLVHLCENLCKSKKGDKMWEIFMVLLEKGYCKNARDYHLVVSFLGKAGKVREAYDVLKEVKRKRLDPDVLSYNALMEALCRNDLLRPAKKLWDEMFTSGCSPNLHTYNILITKFTEMGESEEVQQLFDHMFQKGVAPDGATYTSFITMLCQKNKYEQALEIFRRSLMQDAEVASSVLSMFIPALCKQGNFKVALSVMCSVPSNVENLNSHVILLKNLTDIGEVEMALEHLKWIRSNCSSNFQNIMNELMASLSTSASLQHVTKLMQYLNSQRLVDDDNPWMKLIGDVYA >KQK91149 pep chromosome:Setaria_italica_v2.0:IX:48132926:48135324:-1 gene:SETIT_034438mg transcript:KQK91149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHTCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNEDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTQLGEMMSEFPLDPQMSKMLVISPKYNCSNEILSVSAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINSRALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLSGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCMDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRERERATNRG >KQK91150 pep chromosome:Setaria_italica_v2.0:IX:48132487:48136604:-1 gene:SETIT_034438mg transcript:KQK91150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTERKRKVSLFDVVDETSVSAKLGRAATNGAAAAAAGGANPTVNRWNGRPYSGRYFEILEKRRTLPVWQQKDEFLRALRDNQTLILVGETGSGKTTQIPQFVLEAEGLGNRSMVACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSHKTVLKYLTDGMLLREAMADPLLERYKVIVLDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFSGAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHTCEPAGDILVFLTGEEEIEDACRKINKEINNMGDQVGPVKVVPLYSTLPPAMQQKIFEPAPAPLKEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRIRVESLLVSPISKASAHQRAGRAGRTQPGKCFRLYTEKSFNEDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTQLGEMMSEFPLDPQMSKMLVISPKYNCSNEILSVSAMLSVPNCFLRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPQWCYENFINSRALKSADNVRQQLVRIMTRFNLKMCSTDFNSREYYVNIRKAMLSGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCMDHKPEWVIYNEYVLTTRNFIRTVTDIRGEWLIDIAPHYYDLSNFPSCEAKRVLERLYNKRERERATNRG >KQK86291 pep chromosome:Setaria_italica_v2.0:IX:1143436:1147116:1 gene:SETIT_037522mg transcript:KQK86291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEEYRCFIGNLSWSTTDDSLKDAFGKFGNLTEAKVVHDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDYDRERGSRYDRGRDYGGGGGGRAPRGGGGGGGGGGGGDCFKCGKPGHFARECPSGDGGRGDRYGGRDDKYGGGSGGSRYGSDRGGDRYSSRSRDGGSYGGDRYNRDRSGPY >KQK86292 pep chromosome:Setaria_italica_v2.0:IX:1143436:1147116:1 gene:SETIT_037522mg transcript:KQK86292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEEYRCFIGNLSWSTTDDSLKDAFGKFGNLTEAKVVHDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDYDRERGSRYDRGRDYGGGGGGRAPRGGGGGGGGGGGGDCFKCGKPGHFARECPSGDGGRGDRYGGRDDKYGGGSGGSRYGSDRGGDRYSSRSRDGGSYGGDRYNRDRSGPY >KQK86290 pep chromosome:Setaria_italica_v2.0:IX:1143436:1147116:1 gene:SETIT_037522mg transcript:KQK86290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVEEYRCFIGNLSWSTTDDSLKDAFGKFGNLTEAKVVHDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDYDRERGSRYDRGRDYGGGGGGRAPRGGGGGGGGGGGGDCFKCGKPGHFARECPSGDGGRGDRYGGRDDKYGGGSGGSRYGSDRGGDRYSSRSRDGGSYGGDRYNRDRSGPY >KQK87826 pep chromosome:Setaria_italica_v2.0:IX:9580679:9581566:-1 gene:SETIT_037432mg transcript:KQK87826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPAEGDVHDRQRRGGDPTDPTALARAPVVSLRPLGLADADAFMAWASDDRVMRFLKRPLCATREQAVAQIRDTVLGHPWFRAVCVGDGGGRPVPVGQVSVWPYADEGGRRANLGYALARDHWGRGIAAAAIVQVVGRVFDDLPGLERLEAVTDVDNARSQRVLEKAGFRREGLLRRYIAGRGGAQARDAVIYSFLSSDRACATPAPADSMGQGFICG >KQK87983 pep chromosome:Setaria_italica_v2.0:IX:10606647:10608980:1 gene:SETIT_037185mg transcript:KQK87983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLVLVQTYSINTQEDKSNPESTAPLAPPGRGETSDAALARRPAASTSFSFFPEPHRRRRRAGSHPAPDAAMQNQIVCHACRTVLLYPRGAPSVCCALCQAVTTVPPPGLDMAQLICGGCRTLLMYTRSADTVRCSCCNTVNLVRPVNNIAHVNCGRCQTTLMYPYGAHSVKCAICNHVTATGVR >KQK87984 pep chromosome:Setaria_italica_v2.0:IX:10606647:10610038:1 gene:SETIT_037185mg transcript:KQK87984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLVLVQTYSINTQEDKSNPESTAPLAPPGRGETSDAALARRPAASTSFSFFPEPHRRRRRAGSHPAPDAAMQNQIVCHACRTVLLYPRGAPSVCCALCQAVTTVPPPGLDMAQLICGGCRTLLMYTRSADTVRCSCCNTVNLVRPVNNIAHVNCGRCQTTLMYPYGAHSVKCAICNHVTATGVNTVAPTTSARPASNGPSYSTSSTSAPKSQPQNVTVVVENPMTVDDKGKLVSNVVVGVTTGKN >KQK87982 pep chromosome:Setaria_italica_v2.0:IX:10606647:10607837:1 gene:SETIT_037185mg transcript:KQK87982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKLVLVQTYSINTQEDKSNPESTAPLAPPGRGETSDAALARRPAASTSFSFFPEPHRRRRRAGSHPAPDAAMQNQIVCHACRTVLLYPRGAPSVCCALCQAVTTVPPPGTCWTLRFLSSELGRLAIITSTASRALAARNSWRAGEHKA >KQK89927 pep chromosome:Setaria_italica_v2.0:IX:37272675:37274729:1 gene:SETIT_0366902mg transcript:KQK89927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVKQKVYELYKGTVERVTGPRTVSAFLEKGVLSVPEFILAGDNLVAKCPTWSWEAGDPSKRKPYLPADKQFLVTRNVPCLRRAISLEEEYDAAGAEVVLDDDDDGEGWLATHGVQASKTNEEEDIPSMDTLDIGKSDGIKSIPTYFSGGKKEEEEEEDIPDMDTYEDTGDNLVAAEPSYFVAEEPEDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMPLKPELVFEDISQDHARKT >KQK91897 pep chromosome:Setaria_italica_v2.0:IX:52588337:52592080:-1 gene:SETIT_034546mg transcript:KQK91897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMPPPAVGLAVLVLFAAVLPAILADDLNSDAQALQALRSAVGRSALPSWNSTTPTCQWQGVTCENGRVVELRLPGAGLMGSLPSGALGNLSALRTLSLRYNALTGPVPDDLSSLSELRAIYLQHNGFSGEVPASLFGLKNLVRLDIADNKFTGEISPDFNKLIRLGTLYLDGNSFTGEIPKLDLPALEQFNVSYNQLNGSIPTKLRKMPKDAFLGNTGLCGGPLGLCPGETAPTPAGSPEAQPGAGGAADIGGGKKKKLSGGAIAGIAIGCVFGVLLLLALLFFLCRKRSSAARPAAAVEKGRDIGMEPLDVEPKGQNGSAAGAGGHNGAAAAVAVPAAAAAAGAAAAAKAGGGSTGSKKLIFFGPMAAAPPFDLEDLLRASAEVLGKGAFGTAYKAVMENGSAVAVKRLKDVDLPEPEFRERIAAIGAVQHELVVPLRAYYFSKDEKLLVYDYMSMGSLSALLHGNRASGRTPLDWETRSAIALAAARGVAHIHSTGPTASHGNIKSSNVLLTKNYEARVSDHGLPTLVGPSFSPTRVSGYRAPEVTDIRRVSQKADVYSFGVLLLELLTGKAPTHAVVNEEGLDLPRWVQSVVREEWTAEVFDQELLRYQNVEEEMVQLLQLAIDCSAQHPDRRPTMAEVATRIDEIRRSSLGDRQAGDSAGDGEGDEPSL >KQK92253 pep chromosome:Setaria_italica_v2.0:IX:54588130:54589557:1 gene:SETIT_039802mg transcript:KQK92253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEHHHARPTSPPAAGDRCSVPFDPVSLPGLLHSAATSSPGAAAPAVSALHAAGLKLGALPSSLPASNALISAYSHTGFLPSALRAFYLLPYPSNASYTTVLSALSRHGRPHEALSLFAASASAVAPDAELLSCLVSCCRRASAFLPARAAHAYGIKNVAVLVFYASAGPALVALYARYGKVGAARRVFACMDGEDVVSWNAMIGGFASAGRDSEAWNCFREMRMRGVRGNARTAVAVLGASDLESGRQVHGNMLRSHGGGSKTILWNALMSMYSRVGCVSDAEHVFLEIERKDVVSWNVMIGALAKNGYGRRALELVDMMVQCGMQPDSVTFTGVLMACCHCGLVDEGLALFQHFVLVAGLIPTMEQCACVVDLLARDGRFVEALEFIAQMPMKPNAIVWGALLSASRMHHNVEFASIAFEQLVQVEPENAGNFVTMSNIYANAGMVEDAKRVRMMIDRVELAKPSGQSCVEVV >KQK86929 pep chromosome:Setaria_italica_v2.0:IX:4554918:4555319:-1 gene:SETIT_040628mg transcript:KQK86929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARASGKSTRRRAKGRGGKRRSISLRLLTRVEANRTCITKLIIG >KQK88573 pep chromosome:Setaria_italica_v2.0:IX:15156564:15160836:1 gene:SETIT_034971mg transcript:KQK88573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGNTTQLDLEEGSPQMSADNDSSSPATIHKINADTQDSGSKIESPTPEKLESRSKGVVVSSLARNLLAERYKDRFANLLGEDEDDTDDEGYNDSVSPDVCRSLISGSIELLEKHKDLLNLFNRMESSIRLLRLRKKMTTFNNIATQVEVLTKRTFSYSHLAQMKHLFPEAIQIKRILLHDEKSLCMYADMEITLVMDVVECTSPDQSPSMAICEAFYSKLLSFLDAHHKGTEIPEAILPGPFNSRSREKLYLEAPNGHATEPALQGTTEDGLLYASHFPQSFQKLMSQKIVADGTEKTQLLSDPAELSSVSAYVTEGINRSPKKQDTNAPVPVNYEISATPNRHLISCCPESTPKQGTSESPFLAGTPAMQTPKRPLLTPLGKLEATCGHISGPRSAGSARRSLKTSLKFEGGSLSYDDGMEHEATAKRNMFSEDSSSSNKSLEEKEPVSFTDKDKTNQDPVETQEKIASLRTTFDIVCDISRSTKNSLITKQELFHNILANNLEIEETGEIEEQLHILEGLAPDWISKKVINEGEILYSIEPITDQNSVRARLVEPV >KQK91050 pep chromosome:Setaria_italica_v2.0:IX:47401339:47405591:-1 gene:SETIT_035774mg transcript:KQK91050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAVAGDFIPHEDDDDFFVEYNPHPYRGGYDIAATFGTPLPPSANICYPVSSSAAASVPTVPSPPSPTPEPEEPRGDEEAPREPVQESPEVFPNGASTKGKVRRGGWCGRGFWRKCVRGLDYLFGYKDPYAEQRIGVDSYVVPVYANRKQSGEDALAVEVEVAPPAVGRVEPHDGSEELVQSNELSWHSNYRDEANSYSQSMSNSYYTPFAQSYGLPGVLGKPDWFPNFSYSESHQVEEFQHEALSSYDVEHMISGHPIYCYHHHCYKQALNVQVEPTEPVSSQSLEYYEHFSKYCDQSDVHILETPAHACNIQSYTPTFDVPLEPFKPSWSQIWGLYDAYMQGDALENDSYLVNMEALEACSFPPYILERQKYLTRPLVMSLHPFSITGII >KQK91051 pep chromosome:Setaria_italica_v2.0:IX:47401495:47405591:-1 gene:SETIT_035774mg transcript:KQK91051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAVAGDFIPHEDDDDFFVEYNPHPYRGGYDIAATFGTPLPPSANICYPVSSSAAASVPTVPSPPSPTPEPEEPRGDEEAPREPVQESPEVFPNGASTKGKVRRGGWCGRGFWRKCVRGLDYLFGYKDPYAEQRIGVDSYVVPVYANRKQSGEDALAVEVEVAPPAVGRVEPHDGSEELVQSNELSWHSNYRDEANSYSQSMSNSYYTPFAQSYGLPGVLGKPDWFPNFSYSESHQVEEFQHEALSSYDVEHMISGHPIYCYHHHCYKQALNVQVEPTEPVSSQSLEYYEHFSKYCDQSDVHILETPAHACNIQSYTPTFDVPLEPFKPSWSQIWGLYDAYMQGDALENDSHSVVSGEYGGIGSLFISPLYPRETEIFDQAPGDELASFQHNRHNLSYRNVYIDDVPLITQQAEDSYSMNGSFWPFGEHSAYNV >KQK86546 pep chromosome:Setaria_italica_v2.0:IX:2564719:2565701:-1 gene:SETIT_039983mg transcript:KQK86546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNHEVAALVEKISGLHAAIAKLPSLSPSPAVDALFTALVTACVPASPVDVSRLGPDAQKMREELIRLCSDAEGQLEAHYADALAAFDNPLDHLARFPYYRNYLDLSRLEYELLGRYVPGWPPPASPSSLVLAARHLPGTLFDNYDRCAAANERAKKLVRADADLSKRMSFRTADVADLTEELAEYDVVFLAALVGMAAEDKARVVAHLGRHMAPGAALVVRSAHGARGFLYPVVDPEDIGRGGFEVLAVYHPDDEVINSVIVARKAADGQGKGSGAAPVVSPPCKCCKMEAGAATTAAPPLLKREDMAAREEVSF >KQK89782 pep chromosome:Setaria_italica_v2.0:IX:35883484:35884330:-1 gene:SETIT_039052mg transcript:KQK89782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDARLPRPTITFYAPSSEQRSGNMHFILIDRDKVLATDQTGRASIYDAGLHAMCTVPSFSKPKDRPMSVAVGDRLYVLDNFHIVPCFGEKQHCFEALAYTVVGGSELWVSTEEDEGTYSFDTGRGAWAKQGDWTLPFCGLAEYVPELKLWFGLSSKKGSYLFCAFDLAAAVRRQSAPTPRNVWEDLRPPKEWLQVGSYLVHLGSARFCIARLFCDTTGKPGDMDDFPPWKMLAVFTAVEVVPYGNRGLRMIEHKS >KQK91508 pep chromosome:Setaria_italica_v2.0:IX:50310927:50313982:-1 gene:SETIT_036656mg transcript:KQK91508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPNKRKLAPRKSVKIGRPGYRVTKQYDPDTKQHSFLFEIGYPEIEDNTKPRHRFMSSYEQKVETWDKRYQYLLFAAEPYEIIGFKIPSTEIDKSADKFFSYWDPDKKEYLLQLYFKPRPPEVNKPPPAPPGTLPNGTGGPGAPPPRPPGQAPPPPPQVPPPPHAPPPAPMGMPPRIPPPPIGGAQPPPPPPPANGPPRPMIPPPPNFTPGAPPPRPPMQGFPGQQQ >KQK92280 pep chromosome:Setaria_italica_v2.0:IX:54708185:54711553:-1 gene:SETIT_035011mg transcript:KQK92280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPAAAVIPDGRRWSKSSGSPSSPVTTAIFLFFFVVVVGILVSARWITTTTHLPITNMDQWRSKPAILTATQTTAIPASPAAPPPPRPTYSLSCSAPPLPRDPDIPSNISQTLGLALSPNASSASTCAAIPDPPPLPATGNASSTCPAYFRFIHEDLHPWRAAGGITHAMVDRARATANFRLVVIRGRAYIERIAPAFQTRDLFTIWGILQLLRLYPGRVPDLDLMFDCVDWPVVHADQYEGENATVLPPLFRYCGDNETLDVVFPDWSFWGWPEINIKPWDALQKELNSGNRRVKWMDREPYAYWKGNPDVAAIRQELVKCNVSSEHEWNARIYKQDWLKEIKAGYKQSDLASQCTHRYKIYIEGSAWSVSEKYILACDSMTLVVTPKYYDFYSRVLMPMQHYWPVQDDNKCNSIKYAVDWGNSHKQKAQRIGKQASNFIQKELSMDYVYDYMFHLLTEYAKLLRFKPTKPPEAVEVCSESLACQAIGREKKFMEDSMVRSASDAGPCDLPPPFSPEEFQALLRRREKLMKQIETREQKASKAVDSKH >KQK86556 pep chromosome:Setaria_italica_v2.0:IX:2647166:2649623:-1 gene:SETIT_038036mg transcript:KQK86556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMISALSNWLVNPRRNPLARLHMHAVSSRLRKYGLRYDDLYDPYFDLDIKEALGRLPREVVDARIQRLKRSMDLSMKHQYLPEDLQAVQTPFRGYLSDMLALVKKENAEREALGALPLYQRTIP >KQK90021 pep chromosome:Setaria_italica_v2.0:IX:38667779:38668498:-1 gene:SETIT_039545mg transcript:KQK90021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLVFPNGRMFRFPELTSSLLDKKAAGFLGAACDDWLLFHDDGGLFCLTSPFTGKTRLLPSFHGVRAHEGPVEIVNEPAPSLRAVTTQWKDDEAMAARKLVMCPDGGFIAAFYGGEHLAKTSSWSHSAGERWRRYDDLVLFGGRLYAVTAGEDLLAFDVGTGEPFVSRVERVVEGDRRCPIAATVRYLVPSDGGDLLMVRRRLMDDGPAQATWLFPTMTSYCE >KQK88816 pep chromosome:Setaria_italica_v2.0:IX:17401230:17404833:1 gene:SETIT_034712mg transcript:KQK88816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGEMDEEAMRAFFPLSFGKAPARPSSAASSAAHSSTLRKPQNPSNPKPSASTAADDDGGAVIGPPRPPPAPAGEDDDEDGGGMIGPPRPPPASAHGEGEHDEGGGMIGPPRPPPAEDDEEEDEDDDDDMEDDGDGGFNRIPLSNEIVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCITGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLVRPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTIKTGWGSRPDIHVEKTHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRRMKTPLKVFEDLPNHYAETNAAFSPDEQLIFTGTSIEKDGDNGGLLCFFDRKKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHSLPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGYGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPVFAESDSDNEGK >KQK88817 pep chromosome:Setaria_italica_v2.0:IX:17401230:17405636:1 gene:SETIT_034712mg transcript:KQK88817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGEMDEEAMRAFFPLSFGKAPARPSSAASSAAHSSTLRKPQNPSNPKPSASTAADDDGGAVIGPPRPPPAPAGEDDDEDGGGMIGPPRPPPASAHGEGEHDEGGGMIGPPRPPPAEDDEEEDEDDDDDMEDDGDGGFNRIPLSNEIVLRGHTKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSKLQSFRQLEPFEGHQVRSLSWSPTSDRFLCITGSAQAKIYDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTGGEWNPKSKETILTSSEDGSIRLWDVSDFKSQKQVIKPKLVRPMRIPVTSCAWDHEGKRIVGGIGDGSIQLWTIKTGWGSRPDIHVEKTHTEDITGVKFSTDGQILLSRSMDSTLKIWDLRRMKTPLKVFEDLPNHYAETNAAFSPDEQLIFTGTSIEKDGDNGGLLCFFDRKKLELVSRVGISPHYSVIRCLWHPRINQVFATVGDKKEGGTHILYDPSISQRGALVCVGRAPRKKSVDDFEVQPVIHNPHSLPLFRDQPSRKRQREKILKDPLKSHKPEAPVNGPGYGGRVGTTKGSLLTQYLLKEGGLIKETWMDEDPREAILKYADAAEKDPKFIAPAYSQTQPKPVFAESDSDNEGK >KQK92517 pep chromosome:Setaria_italica_v2.0:IX:55926337:55932863:1 gene:SETIT_035742mg transcript:KQK92517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKDILGLPKTPFSTSQEKKSRPPKEPQRKPDGVSREVYALTGGVGMAPLMPTIEASHLKRRPAAEKEKVAWQWLPFTSSARSDNLQLYHWVRVVNGVPPTGDYQFAKYNKKVDVLKYTDEEYEKYLIDPAWSREETDQLFELCERFDLRFIVIADRFPTTRSVEDLKSRYYSVSRSLLIHRARSFDDVSGNPLVKDSYDAAHETERKRALSALLSQTKQQERKDAETLAEAKRIMESRAASKNLDEAGMPSSSDNAMVPVDGVSPLSGTHPPLTHPNTAANSSIPNSLRTLRVYLRTHALDQMVQAASASAGLRVIKRVDQTLQDLGVNLKPKVPTKAVCVEHLELRNEILTLLNLQKQLQNKEAEVSANRESSFTEAPSTPKRSNRDIDRTFIPDTIGFSGERAGKRDHKRKTTGRFIDAPPSPTQSKRPRKLKGSD >KQK89604 pep chromosome:Setaria_italica_v2.0:IX:33709491:33709673:-1 gene:SETIT_038899mg transcript:KQK89604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVRVCLSPARELSGYYDSTEFCQFVSSIYDFAFMDVDKILPFSSSTLRWHSRPRIISS >KQK87025 pep chromosome:Setaria_italica_v2.0:IX:5131816:5134703:-1 gene:SETIT_035448mg transcript:KQK87025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISKPRIEAWNSDTLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVTEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPTAVKQVSCVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >KQK87024 pep chromosome:Setaria_italica_v2.0:IX:5131816:5136520:-1 gene:SETIT_035448mg transcript:KQK87024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISKPRIEAWNSDTLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVTEVAYAVGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPTAVKQVSCVWDAYEATKGAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDPEKLREIGFIVYSIGKPLDAWLKDMPAVA >KQK92181 pep chromosome:Setaria_italica_v2.0:IX:54190181:54192783:1 gene:SETIT_036616mg transcript:KQK92181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKKSKPCRSKKKAKTSADQAQALDYVRAWAHLAPPEPSAADADTDDFLPAQAARGGGGGDVLFELHSHSNHSDGFLSPTALVERAHRNGVKALALTDHDTMAGIPEAVSAASKFGIRIIPGVEISALYNPREVAGAGEPVHILAYYGTCGPSRYDELYSMLLNIRDGRYLRAKNMLAKLNRLKVPIKWEHITKIAGFSELAEKYGLLKLGGSDFHGRGGKDESDIGTVKLAITTLCCFLKMARPIWSTAMKDILLKFAEEPSSANLGNIIKFGQLTNADAFSPINTGIDVVDFCLSSWSSNDDMEDVELEEVRLKLAHYAAER >KQK90034 pep chromosome:Setaria_italica_v2.0:IX:38762895:38764304:1 gene:SETIT_037962mg transcript:KQK90034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALFNRVGQLLGLALDVEVVSPGEDPAVEVVGPGEDPAVEVVGPGEDPAVEGEEEDGQGEDWVGQEEEDGQRIIHHLIFLSSDEEDGQGEDWVGQGEDGQQILHHLIFLSSDEDDDGVEEQDEQIMHHLIFLSSDEDD >KQK91202 pep chromosome:Setaria_italica_v2.0:IX:48434102:48437004:-1 gene:SETIT_037139mg transcript:KQK91202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIQKRRVRLMLLILGVLALSMTAEKFRELVGKEAASKSGQFTLMNCFDMGSGSLACTAKEGVKLYVNNLRTAHLERVRQHAMEKALADAMTEGLTPAEAAKQAQKVSAKAAKVAARQANRILGPIISSGWDFFEAMYFGGSMTEGFLRGTGTLFGTYAGGFHGEERFGKLGYLVGSQLGSWGGGRIGLMIYDIISGLKYMLQSIQPENESPSYASEDGSEYTDSYTSHEREESTYYETSEEQQEESKWFGLF >KQK89059 pep chromosome:Setaria_italica_v2.0:IX:20102568:20103141:1 gene:SETIT_039960mg transcript:KQK89059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRCYCLQSTATIVQRRKSSRKMMIRASMDACPSSELKKSPKSVSFTGKVHKVYEDKNMGILCYTDKNGELVCEGLDEGPRLTWQDMEKLNMEKTLPVAGIIDWSSLPAAISMGKN >KQK91650 pep chromosome:Setaria_italica_v2.0:IX:51039874:51043619:1 gene:SETIT_034139mg transcript:KQK91650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLAAVLGSLALGGAVLALFFGKWWQPLADTDRRVKELADAVDALLRQRAEVLGQDPSPTSDPVRAWLRRVQEAQDEMASIQARHDGGQLYVVRLVQYLFLPTGPVAGLAEQQLKAVRALRDQGAAILEAALATPQAPPPLLCDPEDLDGLPAEAGPARAYLNEALRFLGDCDAALGVWGAGGVGKTTVLKLVREVCGRVARFDHVLLVAASRDCTVAKLQREVVSVLGLRDAPTEQAQAAGILGFLRDKSFLLLLDGVCERLDLERVGIPQPLGMANGKVRKVIVASRSEAVCADMGCRNKIKMECLNEEDAWSLFQANVGGDAIHGHAQISTLARQVAAECKGLPLALVTVGRAMSNKRTPDEWADALDALKASQLSGTPGSEKGTHALVKFCYDNLESDTARECFLTCALWPEDHNISKDELVQSWIGLGLLPDRGDVDEAHRLGHSVIAVLEGARLLEPGDNHRYNMFPSDTHVRLHDVVRDAALRFAPGRWLVRAGAGLREPPREEALWRGARRVSLMHNSIEDAPAKAGSALAEAQPASLMLQCNRALPKRMLQAIQHFTKLTYLDLEDTGIQDAFPMEICCLVNLEYLNLSKNRILSLPMELGNLSQLKYFYLRDNYYIQITIPPGLVSRLGKLQVLEVFTASIVSVADDYVSPVIEDLESSGARMASLGIWLDSIRDVERLARLAPGVRARSLHLRKLGGARALQLLSAEHTPELGGVQESLRELVVYSSDVEEIVADAHVPRLEVIKFGFLTKLRVMAWTHAAASNLREVAMGACHSLTHLTWVQHLPCLESLNLSGCNGLTTLLGGTEDGGAAAEEVVVFPRLRLLALLGLPKLESVRAEGECAFPELRRLQTRGCPRLRKIPMRPGRGQQGTVRIECDKHWWNAVQWAGEDVKACFVPVL >KQK90645 pep chromosome:Setaria_italica_v2.0:IX:44206958:44209527:1 gene:SETIT_037733mg transcript:KQK90645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPITKWAQRSDRVFLTIELPDAKDVKLNLKPEGHFNFSAKGSDDLPYELDLELFDAVNVEESKAAVAPRTICYLIKKAESKWWPRLPKKEGKPPVFLKVDWDKWQDEDDEDVGFNDFGDMDFSKLDMGGADDDDIEDDEDDVVESANNDGGAKAEESKGEGAVPAAATAEEAKP >KQK90646 pep chromosome:Setaria_italica_v2.0:IX:44206958:44209527:1 gene:SETIT_037733mg transcript:KQK90646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPITKWAQRSDRVFLTIELPDAKDVKLNLKPEGHFNFSAKGSDDLPYELDLELFDAVNVEESKAAVAPRTICYLIKKAESKWWPRLPKKEGKPPVFLKVDWDKWQDEDDEDVGFNDFGDMDFSKLDMGGADDDDIEDDEDDVVESANNDGGAKAEESKGEGAVPAAATAEEAKP >KQK86798 pep chromosome:Setaria_italica_v2.0:IX:3787244:3789855:1 gene:SETIT_036547mg transcript:KQK86798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQQASKSKVSVAPLDLESAKEPPLNTYKPKEPFTATIVSVERLVGPKAPGETCHIVIDHGGNVPYWEGQSYGVIPPIMKNQVTCGWCKICYTLYIKAGILMEKTRKSLEPRIMSGDNFDGRTGSLCVRRAVYYDPETGKEDPSKNGVCSNFLCNAKPGDKIQLTGPSGKIMLLPEEDPNATHIMIATGTGVAPFRGYLRRMFMEDVPNYRFGGLAWLFLGVANSDSLLYDEEFTSYLKQYPDNFRYDKALSREQTNRSGGKMYVQDKIEEYSDEIFKLLDNGAHIYFCGLKGMMPGIQDTLKKVAEQRGESWEQKLSQLKKNKQWHVEVY >KQK91274 pep chromosome:Setaria_italica_v2.0:IX:48946120:48946817:-1 gene:SETIT_038149mg transcript:KQK91274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAASLAVAGQAVMKGLWRPSGEALGAMRSERWPTSEGLDEEAGERAAEPDEAGEGVQDAELLHVGSEQRQLQRLPELHPARHGRRAQQLPEGHLARPTCSCCRRF >KQK87359 pep chromosome:Setaria_italica_v2.0:IX:6873864:6875821:-1 gene:SETIT_039637mg transcript:KQK87359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQINELKAALGPLSTRDEKYCSVACLARYLEARNWNVAKSRKMLEESLKWRAAYRPEDIRWPEVSVEAETGKMYGASFRDREGRTVLVVAPANHQDRTQNTSSHEGQIRFLVYTLENAVLSLPKGQEKMVWLIDFTGWTMANAVPIKTARETINILQNHYPEPERLAYFLDPRSIEKVKFVYLKDEESMKVMRKYIDPKVLPLEFGGKSDVVYNHEEYSELITKDDIQTANSWAEDAKTDHINHAISGTLVPEVTPQMSLITA >KQK86825 pep chromosome:Setaria_italica_v2.0:IX:3965457:3968443:1 gene:SETIT_035796mg transcript:KQK86825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVSRALGSAFAGFTRTPVAAPAATTLHSPCGSSALLRHWQWSRASRARRFSSGRAARISMSLRAGIVGLPNVGKSTLFNAIVENGKAQAANFPFCTISPNVGVVAIPDPRLQVLSKLSKSQQTVPTSIELVDIAGLVKGASKGEGLGNQFLSNIREVDSILQVVRCFEDDDIVHVNGKVDPRSDIDVINLELIFSDLEQIEKRLDKLKKSKTKDAQVKVKEQAEKTGLEKIQEALMDGKPARSVDLADHEKEAIQHLCLLTMKPVIYVANVTESDLAEPDSNPHVKEVAKAASDLESGIVTISAQVEAELAELPLEERVEYLKSLGVAASGLGNLVKATYDLLGLRTYFTTGDKETKAWTILSGMTAPQAAGVIHSDFQKGFIRAETVSYDDFVAAGSLGAAREKGLLRLEGKDYVVQEGDVMLFRFNV >KQK90296 pep chromosome:Setaria_italica_v2.0:IX:41341285:41353652:1 gene:SETIT_040053mg transcript:KQK90296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKVDLVEVLTRRVEELQRERDELRKDIEQLCMQQTGPGYVSVATRMLSQRTAALEQDVENLQKKLNGCLRENQNLQEELAEAYRVKSQLAELHGAELSKNKDLEKQVRFFQSSVAQAFAERDSSLMECEKAKEREEAVLKKFADFEERKREYQSAIDNQKHLNDDLQRKLTELEAQTESSLKVILKFYDLRCRDCECPSNITFEEKCSILLDDSADNWSFSSDGGTSTLKYIASQEEEKESLKAKMAKLQSNLRMGLEIEQHLQRNARILEKRQALYDGFLRNGLSELQKFHKYQRAEIMKTLEEESSWLSEVVAEIQDKLSEICINTEASEHPVDEKQCCDNSCKDVHVTTDISPSTGTSPKSDFPADSNSISFDESKALAQALQEKMEALMLFSQEQERYLLEKQKDQIVIEDLQKNLSQVKDEKVKVLMELAKVKEEYLQLKGSSAMKEGHGSGDSSKVIPGHDQQGMLKNMLKRTSLRHWMRNSNIGHGSSDGNDQSVCKEHLMDIARLRVENATLLEGVGTVERLTSSVHRLHIVLLKAYDDVKSASSLESTFQDLNSLITEANLMKTALSVVLPVSWSGDSSDAITYEALCDPSDSPKSKSEKADPLSSAGMEMVELLIFAAEVLKESFLMKK >KQK90878 pep chromosome:Setaria_italica_v2.0:IX:46331987:46335435:1 gene:SETIT_035225mg transcript:KQK90878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMERQPDRWRRQVQAPDGLITSRAKSKGSPCLQDDDSQSGEIQIYSGPSLPEDILCHIHSLMPMRDAAQAACVSRAFLHSWRSHPNLDFSKKTLGSNKKTYGNGEVARDFSSKVDHILRKHSGIGVKKLKIHMPKFCNAKDSCYLDSWLQIAVIPGIEDLVLALSWRAKYNFPYSLLSNGSGDSIRSLHLAGCSFRPTSELGGLRTLTRLHLRDVCIKGGELGSLLSSSLTLEQLEIMYCDGIVCLKVPCLLQRLSDLKVFECRTLRVIDSKAPNISRFSFTGDHRVKLSLGEALQMNNLHMYFSGAVHYARVELPSSMPNLETATIYSGSEIVDTPMLHSKYAHLKNLSIALRAFTFPPTYDYFSLASFFDACPSLEIFLLDVSQRKMEHVSILGDPSGLRHLRGQHHHKIKSVKILGFTSSKSLVELTCHVVENITSLELLTLEAHQSTVRCSVPAHNCRKCSPLPIDVLMEAERALFAIRAFIEPKVSSKVKLNIVEPCRQCHAAELARLG >KQK86109 pep chromosome:Setaria_italica_v2.0:IX:312782:314173:-1 gene:SETIT_038986mg transcript:KQK86109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHERRGSASAWPDLPPELLERIVAVLGPRDRVAVRLVCVSWRACVRERFPSDLPFEAPRLLLRRPGVGGGLAFFSLHRRKVLPFALPASVGAGRCCGHTGGWLAMAFDADRSMALCNLVTGQSVAVPPPPVFPVSKVVLSGPPTSPCWIAAALGRTGTVALLQPAVSGAWMTIGVEEGARHGGFRDMAFWSGRLCALGYDGAVLAFRGDLRARAAAVSVLREADYPVDDLHGIWRRRRDRLYLVESDGELLVVRKLYRVWGNGAVDAQVEVQVLLSAGERKWGLMDETPGRAVFVGSVASAVVAVELYPAAGLRESCVYLAQREVEMLAPHAICEYSMEEEEMRGVPIAGGHSADVEPVWIIPFV >KQK89532 pep chromosome:Setaria_italica_v2.0:IX:32560253:32561592:-1 gene:SETIT_039155mg transcript:KQK89532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRSGKRLKRAPGGGHDDDDDGLPLADEMLILIFARLLDTDDLVRCAATCRRWRRLVSGEASFICRSNPEQFVPVGFFNQGEEYGVMDVPRFVPLASHFPSASVNALFADEPFRSSRLVTSRKGRLVLELCPRVCNPMTGNVSVLPALAGRDNPGRYACALLTADDLTNAADPPGSASSFRLVIVYDRRDFTACRTYSSDAGSWSPEGIVSGARVSGRSLAQAHAAAAAVARGAVFWRVRDAVLVLRLDSTLEAALEPLPEDWTRGGRRGYGPGVLAVSPDDGRLCVVEARIHDDYYWKHEVKVRVMFREHGGGGEDDVAVPGEKWVASRGTTLQLQAPSGCYGRMTRVSLRGVCEKSGVVFLAAVFESRPNRETPYALDLGRMEARLLRGVPDVGCRLGSCASFHGYEMDRLAYVTSLGKRRGKGMITMDVDDIYDFY >KQK86762 pep chromosome:Setaria_italica_v2.0:IX:3622843:3626164:-1 gene:SETIT_034743mg transcript:KQK86762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSITKNGFFQSMSTVLWKAPQDGDALPAAANGPGGGSPGRSPPAALPKPASDVQVAVQSKAPEPVKMATSQSEPAPKPAQQQQDAKPGAAAAAAAAAKPSSNSSGEAPRPRPKVPQVKRVSSAGLLVGSVLKRKTENLKDKYSLGRRLGQGQFGTTYLCVERSTGKEFACKSILKRKLVTDDDVEDVRREIQIMYHLAGHPNVISIRGAYEDAVAVHLVMELCAGGELFDRIVQRGHYTERKAAELARVIVGVVEVCHSMGVMHRDLKPENFLFVDQKEEAALKTIDFGLSIFFRPGQIFTDVVGSPYYVAPEVLKKKYGPEADVWSAGVIIYILLCGVPPFWAENEQGIFEEVLHGKLDFESEPWPSISEGAKDLVRRMLIRDPKKRLTAHEVLRHPWVQVGGLAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAENLSEDEIAGLKEMFKMIDADNSGQITFEELKVGLKKVGANLQESEIYALMQAADVDNSGTIDYGEFIAATLHLNKVEREDHLFAAFQYFDKDGSGYITADELQLACEEFGLGDVKLEDMIGEVDQDNDGRIDYNEFVAMMQKPTLGLPKKTGGLQNSFSIGFREALRMS >KQK86829 pep chromosome:Setaria_italica_v2.0:IX:3979351:3982584:-1 gene:SETIT_035709mg transcript:KQK86829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICDEHGIDATGRYAGDSDLQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMAGTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADEEYEDEEEEEAVAE >KQK86926 pep chromosome:Setaria_italica_v2.0:IX:4530594:4532218:1 gene:SETIT_039372mg transcript:KQK86926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGNKIGEDNNIGLWRIILFSDLPFSDQRLTGKIPKLISHCLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEAKAIVKKHKATPEEVKVQLDQYRQDGIPDEKRFNGKKGVSFSEFVRDHGPSTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRPPGVHLFPVCARKDLVNSFGHRRKVKPLVLVATSNSAGA >KQK87611 pep chromosome:Setaria_italica_v2.0:IX:8222282:8223660:-1 gene:SETIT_036500mg transcript:KQK87611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVDPFFSFLRKLHGSESIRIMDTCHGLLLLDRGGYDFDSIPSYVVCNPATKQWLAVPDFGWTPSPCNGMSVHLIFCPAMSSHFYLLQFTDDLFTSVTSVQIFSSKTGAWTHSESAWSLEEKQAPCERWRYESYSLEPERKRAVVNGMLYLICDSLGEGPPVLDGDHIIAVDVEGKTRKFIPVPFRMCKEQCSILSDFVGQSQGLVHYVNHEEPEYYTNNDGPSERSASEYNDDDDVEYELFIWILPEGDTQELVLKHTVSFLHLFGEKSCKAGIDYNVVAIHPDRDVIIFKWNDKLISYDMDTGEVCALHTVSDSFGFASYVPYFSELPALSNKY >KQK90214 pep chromosome:Setaria_italica_v2.0:IX:40654988:40656365:-1 gene:SETIT_037315mg transcript:KQK90214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDDLKLLGMWASPFVLRVKLALSLKGLSYEYVEEDLRNKSELLLKSNPVHNKVPVLIHDGKPVCESSVILQYIDEAFAGTGPPLLPADPYERAIARFWAAYIDDKMLAAWTQASRGKTEEDRAEGMKQSLATVETLEGALRDCGKGKPFFGGDNVGYVDVVLGGMLGWVRANEELQGAKPFDPERTPLLAAWADRFWSLEAVEPVMPDVSRLIEFGKARQAAAAAGAGN >KQK87630 pep chromosome:Setaria_italica_v2.0:IX:8307303:8313186:1 gene:SETIT_034769mg transcript:KQK87630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPRRVGVGGGLRPLLLLLPFAALLSVATFSLHSPDRLVIDAPRQLSPTSRHVHRLAVSSLDVPGAAPPLHGAAARAFRSGGRLLRDVLSSPSPSSWSSSSAPAPPPAGVVGATRCPASVTRSGAQLRGVGGGALLPLPCGLALGSHVTVVGAPRRAAGATVAQFAVELRGEGDGDAAPRILHFNPRLRGDWSRRPVIEQNTRFRGQWGPALRCEGHRSRPEEETVDGLVKCEEWSGKVRDTSEELKRLWLRNRIAGQKGRNCIDWPYPFMEDELFVLTLSAGLEGYHFHVDGKHVTSFPYRVGFVLEDATILSVIGDIDVQSIVAGSLPTAHPSIVQRNLELLTELKAPPLAEENVELFIGILSAGNHFTERMAARRSWMSSVRNSSSVVARFFVALNGRREVNEDLLKEADFFGDIVIVPFVDSYDLVVLKTIAICDYAARVVPAKYVMKCDDDTFVRLDSVMAEVKKVSDSKSLYLGNMNYYHRPLREGKWAVSYEEWPREEYPPYADGAGYIVSSDIANFVASEMEKGRLNLFKMEDVSMGMWVGRFNSSGNSVAYVHSPRLCQSGCVDDYLTAHYQSPAQLVCLWEKLRQGRPQCCNAR >KQK86689 pep chromosome:Setaria_italica_v2.0:IX:3310413:3312373:-1 gene:SETIT_034766mg transcript:KQK86689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPLTSTPPPLLPAKSKSPPPHHPLLSHLPHCTSLRSLAQLHAAAVKAGLAAHPAFVTRLLTLCTAPGAAPAHLAYARQVFDRVPHPADAVWYNTLLRGYARGSPSSSAAAEAAVRVFARMLEEGVAPDTYTFVSLLKACAAARAGEEGRQAHAVAAKVGAAGHEYVRPTLINMYAECGDVRAARAMFDRMEGDCVVSYNAMIAAAVRSSRPGEALVLFREMQAKGLKPTSVTVISALSACALLGALELGRWIHDYVRKIGLDSLVKVSTALIDMYAKCGSLEDAVAVFQGMESRDKQAWSVMIVAYANHGYGREAILLFEEMKKQGIKPDDITFLGVLYACSHSGLVSEGLQYFDDMKDNGTIPGIKHYGCVTDLLARSGQLERAYKFIDELPIKPTPILWRTLLSACGGHRDVELGKRVFGRILELDDSHGGDYVIFSNLCANTGKWEEMNRVRKLMNEKGVVKVPGCSSIEIDNTVHEFFAGDGRHPKSQEARKMVDEVIDQLKLVGYVPDTSHVFHVEMGEEEKATSLRYHSEKLAIAFGLLNTAPGATLRVVKNLRVCPDCHSMAKLVSMVFNRRIILRDLNRFHHFEDGLCSCGDYW >KQK92514 pep chromosome:Setaria_italica_v2.0:IX:55905987:55906943:-1 gene:SETIT_039970mg transcript:KQK92514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVLTLFIAVLTATFFAPAKGWNYGTATFYGGPDASGTMGGACGYGNLYQAGYGTNTAALSSVLFNDGAACGQCYLIMCDSNASPWCKGGAAVTVTATNFCPPNWAQPSNRGGWCNPPRPHFDMAQPAWERIGVYKAGIIPVLYQQVTCWRQGGIRITIGGSTFFQLMNFANVGGSGSIRSVSVKGTKTGWIALNRNWGANWQCNSALVGQELSFIVTSTGGQTLWINNVVPAWWQFGMAFVSNYNFYY >KQK86657 pep chromosome:Setaria_italica_v2.0:IX:3156568:3159283:-1 gene:SETIT_037565mg transcript:KQK86657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIHLTSPSASSAENGGQLGPPKAIPVSSIEKKNATKTNDSDKDRRSGSSISINTSTQNYNMKDPVTQTSSGAESNVPSQGEPSSKKPVVRAKVPFEKGYSQMDWLKLTRTHPDLAGLKGHSNRRLISLEEVKQHKTGDCIWTVLKGRVYNIAPYMKFHPGGVDMLMKATGKDCTALFNKYHAWVNAEFLLEKCLVGFLDPNE >KQK88982 pep chromosome:Setaria_italica_v2.0:IX:19150443:19151207:-1 gene:SETIT_038575mg transcript:KQK88982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGAERAMLGCFQVARRPSLSYEEGSASAPSTSASPPTSSASTSSPAFLDDDDDALYLDDAEPEPDAGGLSTAIAARRFFLASPGRSNSIVDSIEHPPASPARDSNSSNVRALRRAATSAFPASAAASASSSSSSSSATAATKAPFRDDGMQPVRKVSLSTDTPRADFLKSMVEMVEALGLDPRRRDADLACLHDLLLCYIALNERDALRDILGAFSDLMSLLDLDGGKQGDAAPTAATAGGGEKRSAGVQDST >KQK88818 pep chromosome:Setaria_italica_v2.0:IX:17405065:17405636:-1 gene:SETIT_038351mg transcript:KQK88818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMGKKYCFLLKEKKDNQYIMLQSGNWLNVGCIPHNSYVGDWGNASQFPNTDKTMIKSAWVEHEQNALQGPYNATT >KQK90628 pep chromosome:Setaria_italica_v2.0:IX:44073426:44078204:-1 gene:SETIT_034808mg transcript:KQK90628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPPPPPPPPRFASQASTPIQPRPGKLPPRPPVRRSGRPVASMAHDLCDDLELVAADGEYDYFDDDFGDGFPTASGGESGLQQHEQMDDTSASDYKEGKDIQGIPWERLNYSRDQYREMRLKEYKNYQNLTRSRSGLEQECKQVERKDTFYDFHSSTRSVKSTIVHFQLRNLLWAASKHDVYLVQNYSVMHWSPLFQRGREVLNVAGQLTPTEDVKGARPLSRVQISTMALKDNLMVAGGFQGELICKYVDKPGVAFCTNLTGNNYSITNAVDIFESPDGATRVMAANNDCVVRTFDTARYSLLTQFTFPWSVNVSIRRRRIHAAALGNNPDTEFLTPAKMDAEHVGEPQRQADGGARRQPGLPPRRLPVGQGDCDAPRPPGLLVRVGVAPRRPRPGHGEPGRDVPAVGRPQPLRAARRAGRPDRRRPGPPVLPRRPVPGGGRGRRLRARVRRRGRVRRGGAGGGPVRRGGGRRVQPRRRGPVRQRRRPDIRRRARVPAPAVVRLPRLVLLLRCPAGRPECIRLLCTEAHCFCRARVLSGAACISALFELRSGKVPSGRWFVYCMSGDECRHFECGVLGTVACYRGIVDDAW >KQK90627 pep chromosome:Setaria_italica_v2.0:IX:44073296:44078204:-1 gene:SETIT_034808mg transcript:KQK90627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPPPPPPPPRFASQASTPIQPRPGKLPPRPPVRRSGRPVASMAHDLCDDLELVAADGEYDYFDDDFGDGFPTASGGESGLQHEQMDDTSASDYKEGKDIQGIPWERLNYSRDQYREMRLKEYKNYQNLTRSRSGLEQECKQVERKDTFYDFHSSTRSVKSTIVHFQLRNLLWAASKHDVYLVQNYSVMHWSPLFQRGREVLNVAGQLTPTEDVKGARPLSRVQISTMALKDNLMVAGGFQGELICKYVDKPGVAFCTNLTGNNYSITNAVDIFESPDGATRVMAANNDCVVRTFDTARYSLLTQFTFPWSVNVSIRRRRIHAAALGNNPDTEFLTPAKMDAEHVGEPQRQADGGARRQPGLPPRRLPVGQGDCDAPRPPGLLVRVGVAPRRPRPGHGEPGRDVPAVGRPQPLRAARRAGRPDRRRPGPPVLPRRPVPGGGRGRRLRARVRRRGRVRRGGAGGGPVRRGGGRRVQPRRRGPVRQRRRPDIRRRARVPAPAVVRLPRLVLLLRCPAGRPECIRLLCTEAHCFCRARVLSGAACISALFELRSGKVPSGRWFVYCMSGDECRHFECGVLGTVACYRGIVDDAW >KQK88611 pep chromosome:Setaria_italica_v2.0:IX:15516787:15517164:1 gene:SETIT_040798mg transcript:KQK88611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILAEVFHLLNFSMSNSPLENTGLYSSYFKI >KQK89963 pep chromosome:Setaria_italica_v2.0:IX:37814674:37817149:-1 gene:SETIT_036899mg transcript:KQK89963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSSLPSAAAAALVLLALLAGGHCREAQLDTGDLDAAGTENYNASDAAVYWGPWQKARATWYGQPNGAGPDDNGGACGFKHTNQYPFMSMGSCGNQPLFKDGKGCGSCYKIRCTKDKSCSGKAETVIITDMNYYPVSKYHFDLSGTAFGRLAKPGLNDKLRHSGIIDIEFTRVPCEFPGLKIGFHVEEYSNPVYFAVLVEYEDGDGDVVQVDLMESKTAHGPPTGRWTPMRESWGNVWRMDTNHRMQAPFSIRIRNESGKTLVAHNVIPANWRPNTFYRSFVQYS >KQK89391 pep chromosome:Setaria_italica_v2.0:IX:27409755:27410629:-1 gene:SETIT_038823mg transcript:KQK89391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHKEAEFKLLEEELARRVEEAIRKNVEERLNSDEVKLDIKRRIEEGIRKLFDEVDAQLQKEKEAALYEARQKAEQERREREELDRMLEENRRKVEAAQRKEALEQQQKELERYLELERIQKQREEALRRKKMEEEEERANELRLLGKSRR >KQK91552 pep chromosome:Setaria_italica_v2.0:IX:50497662:50500723:-1 gene:SETIT_034158mg transcript:KQK91552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKGQFSSERNVKIISGRDGQKKGNSQHDQIAHVPKDRVTAANGNVDGKIEDRIRVAKNDKFRRQRESWSGEKAACMKGSKPWPGRKTTTVDELVKHMSNVPSYLQRKETADHLQDKALNVGVLEWGLLANWSQQQKHELARSHGASPSNTSRSVLFSSPSHSSASPSSRSLESNQSTPMSDHHHSSIRAQQSRLSDKQHGTARYSPSPNSAVLSLLPGHGKHLSPENSCNYVGSGLRNVSLPADSLGSSSRSFGRHEMGEDEETRRKIEDVVHHCSRRLFTDSDKIGKNFFTSNNNDSMCNDPEQSSVLNGENFESLISDAVMDTPRNGSRLPDVFLEDIEPSHEFPRIPYSCPLPIIDSAAKEIGTTSTEARDNFVGTDAKLSKNGNRNRSAMSGAENPPQCSAKFSDRVPDRHLGSGMNRVSRSSSLKETPCARQPDAVPSVDKIGDRSSSNSKGRRSPLRRMLDPILKPRHSSPVRPSFVPKCHLPVNTTKQSLDMGRSVPQNVQRRSVDMVVNSNYPTEANINQPPHVLLNSARYLQQEKDSPTTRQALLQLAWKNGLPLFMLSYGESDILAATVRKKSISEKDDLESTYAVFTVEEPKKKSGAWIKAGGKNKKHHLLSSIVGELKVTRRKSRCHHTKNIHVHREFVLVGSEFLPSSEESGDSHISGELAAFISALPQQEAETSSQSSSQNVGQNNSAPIGCGCPPLGNFQPCTRNANSGSANVIAVLPDGFHGTSTSGQPLPLIERWKSGGTCDCGGWDEGCILSVLTGAAPENDAIQANQAMDGSQRFELLAQGRSREDRNAFSMISFKEGLYTVEFRSSIALLQAFAMCIVMLHGRFPSRMQVASQAAQEHDLLANHELKTMAASQGRAPTSYVPHRPPLSPVGRA >KQK90203 pep chromosome:Setaria_italica_v2.0:IX:40593958:40594954:-1 gene:SETIT_037297mg transcript:KQK90203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREATGVEELTLLGVWASPFVIRARAALNLKGLAYRYVEDDLNSKSELLLASNPVHKKVPVLLHDGRAVCESLVILEYLDEAFPSRGPHLLPDDPYDRAVARFWASYVDDKLFSTWIPVYNGRTREDRVEAARQVVAVLEKFEQALEECSGGKAFFGGDGVGLVDVVLGGFLGWLRASEAMCGVRVLDPAKTPLLVAWADRFGALDGVEEVIPGVQRLLEYNKMRRALRGLP >KQK90608 pep chromosome:Setaria_italica_v2.0:IX:43980265:43981136:-1 gene:SETIT_0348582mg transcript:KQK90608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVGNQYSSENAIQDIEDKKFSIASTSQLSSSQNLLKQRHHLDSSSPSNITSESYPEKFSSSGGSFAQRNSKAQKKTVNIQPRQPTSDNVANRHTQTLTRRASYPCENYGTENRGLGKRTLGDPQITMGTSMVLDGSFVSSISSDNSVEESSFRQLQDAVSQY >KQK90610 pep chromosome:Setaria_italica_v2.0:IX:43979983:43981136:-1 gene:SETIT_0348582mg transcript:KQK90610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVGNQYSSENAIQDIEDKKFSIASTSQLSSSQNLLKQRHHLDSSSPSNITSESYPEKFSSSGGSFAQRNSKAQKKTVNIQPRQPTSDNVANRHTQTLTRRASYPCENYGTENRGLGKRTLGDPQITMGTSMVLDGSFVSSISSDNSVEESSFRQLQDAVSQLDVQTKMCIRDGLYRLARSAQHRQVFPNTMNSNGDSQDVKDMQNAETSRKYVVPNKRCYLYLLKHESVHGFENCNCQLNCIFLIV >KQK90603 pep chromosome:Setaria_italica_v2.0:IX:43978765:43981130:-1 gene:SETIT_0348582mg transcript:KQK90603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGNQYSSENAIQDIEDKKFSIASTSQLSSSQNLLKQRHHLDSSSPSNITSESYPEKFSSSGGSFAQRNSKAQKKTVNIQPRQPTSDNVANRHTQTLTRRASYPCENYGTENRGLGKRTLGDPQITMGTSMVLDGSFVSSISSDNSVEESSFRQLQDAVSQLDVQTKMCIRDGLYRLARSAQHRQVFPNTMNSNGDSQDVKDMQNAETSRKFADPQSIETQTNPIDRSIALLLFHQSPDHAAVAVDDASSLKSPASKKQHQGPAANQGMMPASSAIYSPRGQGGPKDAQSRDNY >KQK90609 pep chromosome:Setaria_italica_v2.0:IX:43980265:43981136:-1 gene:SETIT_0348582mg transcript:KQK90609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVGNQYSSENAIQDIEDKKFSIASTSQLSSSQNLLKQRHHLDSSSPSNITSESYPEKFSSSGGSFAQRNSKAQKKTVNIQPRQPTSDNVANRHTQTLTRRASYPCENYGTENRGLGKRTLGDPQITMGTSMVLDGSFVSSISSDNSVEESSFRQLQDAVSQY >KQK90605 pep chromosome:Setaria_italica_v2.0:IX:43978765:43981136:-1 gene:SETIT_0348582mg transcript:KQK90605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVGNQYSSENAIQDIEDKKFSIASTSQLSSSQNLLKQRHHLDSSSPSNITSESYPEKFSSSGGSFAQRNSKEENCEHTTKTAHK >KQK90606 pep chromosome:Setaria_italica_v2.0:IX:43978765:43981136:-1 gene:SETIT_0348582mg transcript:KQK90606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVGNQYSSENAIQDIEDKKFSIASTSQLSSSQNLLKQRHHLDSSSPSNITSESYPEKFSSSGGSFAQRNSKAQKKTVNIQPRQPTSDNVANRHTQTLTRRASYPCENYGTENRGLGKRTLGDPQITMGTSMVLDGSFVSSISSDNSVEESSFRQLQDAVSQLDVQTKMCIRDGLYRLARSAQHRQVFPNTMNSNGDSQDVKDMQNAETSRKFADPQSIETQTNPIDRSIALLLFHQSPDHAAVAVDDASSLKSPASKKQHQGPAANQGMMPASSAIYSPRGQGGPKDAQSRDNY >KQK90604 pep chromosome:Setaria_italica_v2.0:IX:43979165:43981136:-1 gene:SETIT_0348582mg transcript:KQK90604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVGNQYSSENAIQDIEDKKFSIASTSQLSSSQNLLKQRHHLDSSSPSNITSESYPEKFSSSGGSFAQRNSKAQKKTVNIQPRQPTSDNVANRHTQTLTRRASYPCENYGTENRGLGKRTLGDPQITMGTSMVLDGSFVSSISSDNSVEESSFRQLQDAVSQLDVQTKMCIRDGLYRLARSAQHRQVFPNTMNSNGDSQDVKDMQNAETSRNLSTLMLLFGRFADPQSIETQTNPIDRSIALLLFHQSPDHAAVAVDDASSLKSPASKKQHQGPAANQGMMPASSAIYSPRGQGGPKDAQSRDNY >KQK90607 pep chromosome:Setaria_italica_v2.0:IX:43978765:43981136:-1 gene:SETIT_0348582mg transcript:KQK90607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQVGNQYSSENAIQDIEDKKFSIASTSQLSSSQNLLKQRHHLDSSSPSNITSESYPEKFSSSGGSFAQRNSKAQKKTVNIQPRQPTSDNVANRHTQTLTRRASYPCENYGTENRGLGKRTLGDPQITMGTSMVLDGSFVSSISSDNSVEESSFRQLQDAVSQLDVQTKMCIRDGLYRLARSAQHRQVFPNTMNSNGDSQDVKDMQNAETSRKFADPQSIETQTNPIDRSIALLLFHQSPDHAAVAVDDASSLKSPASKKQHQGPAANQGMMPASSAIYSPRGQGGPKDAQSRDNY >KQK87117 pep chromosome:Setaria_italica_v2.0:IX:5630957:5632645:1 gene:SETIT_036600mg transcript:KQK87117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTCAGGERPSGGPSRVCWWPRRARDSRVRGSLDGCCSAGDKVPDYQKRLEVNQEQEVVARINNASPSAASDARAEQVLPLLLVAVAADTAEAAASCGDQEEANLAGGGAARLPITRRAHAASLLLGLVGVDVAVAAPAPARADDESGGGEEGVLGAIKSIFDPNEKTKAGKVLPKAYLKAAREVVRTLRESLEEDDGGDMAKFRRNADAAKESIREFLGGWRGQQAVAAEVRHAHPCLRIFQLCSVQCVAIFRDCSSAGVVRCVGEGDQITGGVLLQSRTFSTASTGCQEQDPR >KQK87118 pep chromosome:Setaria_italica_v2.0:IX:5630957:5632645:1 gene:SETIT_036600mg transcript:KQK87118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTCAGGERPSGGPSRVCWWPRRARDSRVRGSLDGCCSAGDKVPDYQKRLEVNQEQGTAIEATLCTRVNKLIHPSTAISRVRRCCVAEVVARINNASPSAASDARAEQVLPLLLVAVAADTAEAAASCGDQEEANLAGGGAARLPITRRAHAASLLLGLVGVDVAVAAPAPARADDESGGGEEGVLGAIKSIFDPNEKTKAGKVLPKAYLKAAREVVRTLRESLEEDDGGDMAKFRRNADAAKESIREFLGGWRGQQAVAAEVRHAHPCLRIFQLCSVQCVAIFRDCSSAGVVRCVGEGDQITGGVLLQSRTFSTASTGCQEQDPR >KQK88864 pep chromosome:Setaria_italica_v2.0:IX:17879372:17882217:1 gene:SETIT_037060mg transcript:KQK88864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITTLYFVNKFHDSLPRKIKNHASQSDRHTALFQISKGQYHCHGCGICRTGGADNFFHCDTCGCCYSNVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECMNEMRAHHHFSCPVCSRSACDMTDTWRKLDEEVAATPMPEIYLTKMVWILCNDCSATSSVRFHVLGQKCPGCSSYNTRETRAGPALAARSRV >KQK88863 pep chromosome:Setaria_italica_v2.0:IX:17878145:17882217:1 gene:SETIT_037060mg transcript:KQK88863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFATEQHGCEHYRRGCRVVAPCCGEVFGCRHCHNDAKNSLEVDPRDRHEIPRHEINKVQQNCSNCGACMGKYFCEICNFFDDDISKGQYHCHGCGICRTGGADNFFHCDTCGCCYSNVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECMNEMRAHHHFSCPVCSRSACDMTDTWRKLDEEVAATPMPEIYLTKMVWILCNDCSATSSVRFHVLGQKCPGCSSYNTRETRAGPALAARSRV >KQK88861 pep chromosome:Setaria_italica_v2.0:IX:17878145:17882217:1 gene:SETIT_037060mg transcript:KQK88861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFATEQHGCEHYRRGCRVVAPCCGEVFGCRHCHNDAKNSLEVDPRDRHEIPRHEINKVICTLCSKEQDVQQNCSNCGACMGKYFCEICNFFDDDISKGQYHCHGCGICRTGGADNFFHCDTCGKFLHDIRSAMILLTVPQQGFHQLSRCLCCNLTGCCYSNVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECMNEMRAHHQ >KQK88862 pep chromosome:Setaria_italica_v2.0:IX:17878145:17882217:1 gene:SETIT_037060mg transcript:KQK88862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFATEQHGCEHYRRGCRVVAPCCGEVFGCRHCHNDAKNSLEVDPRDRHEIPRHEINKVICTLCSKEQDVQQNCSNCGACMGKYFCEICNFFDDDISKGQYHCHGCGICRTGGADNFFHCDTCGCCYSNVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECMNEMRAHHHFSCPVCSRSACDMTDTWRKLDEEVAATPMPEIYLTKMVWILCNDCSATSSVRFHVLGQKCPGCSSYNTRETRAGPALAARSRV >KQK88859 pep chromosome:Setaria_italica_v2.0:IX:17878651:17881176:1 gene:SETIT_037060mg transcript:KQK88859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFATEQHGCEHYRRGCRVVAPCCGEVFGCRHCHNDAKNSLEVDPRDRHEIPRHEINKVICTLCSKEQDVQQNCSNCGACMGKYFCEICNFFDDDISKGQYHCHGCGICRTGGADNFFHCDTCGCCYSNVLKDSHRCVERAMHHNCPVCFEYLFDSTKDISVLQCGHTIHLECMNEMRAHHQ >KQK88860 pep chromosome:Setaria_italica_v2.0:IX:17878651:17881031:1 gene:SETIT_037060mg transcript:KQK88860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFATEQHGCEHYRRGCRVVAPCCGEVFGCRHCHNDAKNSLEVDPRDRHEIPRHEINKVICTLCSKEQDVQQNCSNCGACMGKYFCEICNFFDDDISKGQYHCHGCGICRTGGADNFFHCDTCGKFLHDIRSAMILLTVPQQGFHQLSRCLCCNLTGCCYSNVLKDSHRCVERAMHHNCPVCFEVSGLCSMVFHSIILRKEIISF >KQK86932 pep chromosome:Setaria_italica_v2.0:IX:4557607:4560138:-1 gene:SETIT_037487mg transcript:KQK86932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGFASYLAMKTGPEAGDASAAVQALIDADLRELGVAARKLANHAFVLGGGLGFGTSFLKWLAFVAAVYLLILDRTNWKTNMMTALLVPYVFFTLPHVLFSLIRGEIGKWIAIIAVILRLFFPRHFPDWLELPGAIILLTVVAPNLFADTFRNDLIGIFICLVIGCYLLSEHIKASGGFRNAFRKGNGVLNSIGILLLFIYPVWALVLNFL >KQK88207 pep chromosome:Setaria_italica_v2.0:IX:12342970:12343488:1 gene:SETIT_040631mg transcript:KQK88207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANTVINVNCMLYIFWGYIFTGHNSHSDAANVDLTDRCVWWIKQCI >KQK86153 pep chromosome:Setaria_italica_v2.0:IX:483872:486957:1 gene:SETIT_040111mg transcript:KQK86153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPRYAPAGPEGRRQKRTPCSPPRQAPNPSLSPPTIRARPILVGGGRRPTTRMNSKRRRSHSPVEYKEGRDKDYETSGRKDNSRDLEESNDTRLGRGHESGRHSYGTSRESKRHDDHRRYHDKYSDDYGRSHPRSSRSDRESRADTYYDRSKRDNTSGRSRGDQRDVDSRYGEKSVNRDQRSINERKQDSPHAYQRNDVGEYNKYTDARKQESRGSGDDRDHRRVVDKNKETIKEEEVLKKRNGKEIEKETLVETREKRRSLFSSTGPNVYIAGDVKPSSVTNEALDNSAATLDDGVNAAKVAAMKAAELVNRNIAAFGAGTGRLSTDQKKKLLWGNKKSSPSEETSNRWDLNLFSDRERQEKFNKLMSLRMPWWLWPITGCEEQCPSSGEQGREQGRELSRSQEAGGARYQPGEALHSWTPPERWQDRWSWPLGNSACKCYGIGKFRSLLCTIFSGLFILACRRLTKSFIYLCYCDNLLFWRTGSL >KQK87248 pep chromosome:Setaria_italica_v2.0:IX:6264183:6267853:1 gene:SETIT_039461mg transcript:KQK87248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLRGLYAGFYPAVLGSTVSWGLYFFLAKQRYLERKDGQLHPVEHLISAAEAGALVSLFTNPIWLVKTRLQLQTPKHHASVYSGFSDALRTILKEEGFLALYRGIGPGLLLVTHGAIQFTAYEELRKAMIYIKGTQTRTDNRGGEESLNSIDFAALGAGSKLAAILLTYPYQVIRARLQQRPGSDGTPKYSNSWHVVKETAKYEGVRGFYRGITSNLLKNLPAASLTFVVYENVIRLFKAAKEKT >KQK90536 pep chromosome:Setaria_italica_v2.0:IX:43497993:43504480:1 gene:SETIT_035016mg transcript:KQK90536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGLVVAHRPSLPVAAVPAPAYLRRRHLPGLISLPRTSPSLFSPHHQRLSPTPRHDLLQPLRASPAPDSSPKPEPPAASGAKLVPLVISIAVGLAVRFLAPRPAEVSPNAWQMLSIFLSTIAGLVLGPLPVGAVAFLGLTTVVATKTLPFAAAFAAFTNEIIWLIVISFFFARGFVKTGLGDRIATYFVKWLGSSTLGLSYGLTLGEACIAPAMPSTAARAGGIFLPIIKSLSISAGSKPNHPSSRKLGSYLVMTQFQAASSSSALFLTAGAQNLLCLNLAEELGVIIANPWVSWFKAASLPAIVSLLATPYLLYKIYPPETKDTPEAPALAAEKQKRMGPVTKNEWVMVGTMIFAVSLWILGDAIGVPSVVAAMLGLSILLLLGVLDWGDILNEKSAWDTLAWFSVLVGMAAQLTNLGIVSWMSNCIAKLLQSFSLSWPAAFCVLQASYFLIHYLFASQTGHIGALYSAFLAMHVAAGVPRVLSALALAFNTDLFGGITHYSSGQAAVYFGAGYLDLPDVFRIGFVITMINACIWGVIGTIWWKFLGLY >KQK88530 pep chromosome:Setaria_italica_v2.0:IX:14849584:14854076:1 gene:SETIT_034208mg transcript:KQK88530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGSSNVMLAIHEKKTTPTDLYRPLRLYIASAYSEREAAAADDDLSAVRDLRAEVEQPSLPDPSSLEQRRDALLAYARALALVEPRFPISPDRAHVHSLTFTWHDAFRGNKKCALASIHLEKAAVLFNLGAVYSQIALAADRSTDVGIRTACGAFQSAAGAFAWLRESGIAAKAVAAGATTVDVTPECAGMLEKLMLAQAQECFFEKVIGGGKPPALCSKVARQVGIFYEEAYASLSAPPLSQHFDKSWVSHVQLKAAQFYADACYRYSLDLHEKEEIAEEIARLKIGMSALADAKKAAKGVAAQLLDSVNKLESNMKTNLERAMKENDRVYLMRVPAAGSLGALPAASLVKPTSLAEALDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEIARVRFKEMDLPDSILSLEGNITLPFDLKEDVEAVQISGGPAALESELQQLRDLRRVNQELLVQTEELLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFAANLKKAADSDSLIERGVKENYPLMSILDKRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEIAKYDSICAEIADNIMAQEQLLLQIQAQNEQFAAVFNLEDYKAARERCYKQIAAAVAKYREIKKNINEGLNFYVTLQVCVCISILFHLH >KQK88529 pep chromosome:Setaria_italica_v2.0:IX:14849493:14854938:1 gene:SETIT_034208mg transcript:KQK88529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGSSNVMLAIHEKKTTPTDLYRPLRLYIASAYSEREAAAADDDLSAVRDLRAEVEQPSLPDPSSLEQRRDALLAYARALALVEPRFPISPDRAHVHSLTFTWHDAFRGNKKCALASIHLEKAAVLFNLGAVYSQIALAADRSTDVGIRTACGAFQSAAGAFAWLRESGIAAKAVAAGATTVDVTPECAGMLEKLMLAQAQECFFEKVIGGGKPPALCSKVARQVGIFYEEAYASLSAPPLSQHFDKSWVSHVQLKAAQFYADACYRYSLDLHEKEEIAEEIARLKIGMSALADAKKAAKGVAAQLLDSVNKLESNMKTNLERAMKENDRVYLMRVPAAGSLGALPAASLVKPTSLAEALDASKERLFSSLVPDGSMKALSKYTEMVDNIIRTQAEKLQQASEIARVRFKEMDLPDSILSLEGNITLPFDLKEDVEAVQISGGPAALESELQQLRDLRRVNQELLVQTEELLQKEANEDAQFRTQFGSRWTRPQSSTLTKNIQDRLNLFAANLKKAADSDSLIERGVKENYPLMSILDKRPIESALPSISRPIMSLDGNEDAIVGALKQSLRQLESLGAQRAGLEDMLKEMKRKDDILPKLMAGVGSHDDLFKKEIAKYDSICAEIADNIMAQEQLLLQIQAQNEQFAAVFNLEDYKAARERCYKQIAAAVAKYREIKKNINEGLNFYVTLQEAIGKIKQQCSDFIMTRNIQCREMIEDVQRKLAGFNFSSSSHSSMQRNASVPPDQSSPSPPPHAQAPIGGDSRPGYSQPEPRPAYSQPYPPPYGAPPQQPPYGAPHPGQYQQPPHQPPPGHDYGQPAYPGWRGPYYNAHQPQPQQPGPYPQPPYNAPGAYPPHQSNNYYRPQ >KQK91180 pep chromosome:Setaria_italica_v2.0:IX:48319596:48320411:1 gene:SETIT_040668mg transcript:KQK91180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVASASSSELALAATVPAESLAAAANENLTILFIVVDFSLPKSIS >KQK90822 pep chromosome:Setaria_italica_v2.0:IX:45995882:45997978:1 gene:SETIT_040801mg transcript:KQK90822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGADSDPASAVPAVSTCAHCARSHLPTSTCILYTVPVTSKSASTVEKWFQGSLWMNIMMKTMHRYVCYHPKCNVLDSYIIMPVSRCMMSKMCFHFNAAIVLSRIIVDTPSIFIYMMFVN >KQK91107 pep chromosome:Setaria_italica_v2.0:IX:47826364:47829343:-1 gene:SETIT_036901mg transcript:KQK91107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSRRFSCALARAPSLIRGRPLPPRAAPATPPASRPPPRRLMSSSSAGWQHASRPPPPPPHPGAEKDQLFRGLEAALGTTFSSEPLAPPPQPMILVISGPSGVGKDAVIKRLQEEREGMHFVVTATSRAMRPGEVDGKDYYFITKEEFLTMIERDELLEYALVYGEYKGIPKQQIRDYMAKGCDIVLRVDIQGAATLREILGESAVFIFLVAESEEALVKRLIHRKTETSDMLLVRIATAREEVRRMQNFDYVVVNAEGKLEEAVKQVESIIDAEKAKIHKRPVNI >KQK86182 pep chromosome:Setaria_italica_v2.0:IX:646306:649183:-1 gene:SETIT_037254mg transcript:KQK86182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHQGVPKFGSWEDEGDHLYTQYFENARKGKSPGHKGDPETPSKDPPSAKASPLRTGSDPVVRKPKDERRASREDDLRRHEATARKPYAESPNHKHGDLHTNYDSAARKTGMERSPLHPHHQARVVNKGGVSSPSWERRGSSEGNRGAAPTTPGRSKMRSSGHGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGDAPVITSDAGYNRSNQGRKYESSGCSCFSWFRN >KQK86183 pep chromosome:Setaria_italica_v2.0:IX:646306:649210:-1 gene:SETIT_037254mg transcript:KQK86183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHQGVPKFGSWEDEGDHLYTQYFENARKGKSPGHKGDPETPSKDPPSAKASPLRTGSDPVVRKPKDERRASREDDLRRHEATARKPYAESPNHKHGDLHTNYDSAARKTGMERSPLHPHHQARVVNKGGVSSPSWERRGSSEGNRGAAPTTPGRSKMRSSGHGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGDAPVITSDAGYNRSNQGRKYESSGCSCFSWFRN >KQK86181 pep chromosome:Setaria_italica_v2.0:IX:646306:649333:-1 gene:SETIT_037254mg transcript:KQK86181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHQGVPKFGSWEDEGDHLYTQYFENARKGKSPGHKGDPETPSKDPPSAKASPLRTGSDPVVRKPKDERRASREDDLRRHEATARKPYAESPNHKHGDLHTNYDSAARKTGMERSPLHPHHQARVVNKGGVSSPSWERRGSSEGNRGAAPTTPGRSKMRSSGHGDETPERGSAVPKFGEWDEKDPSTGEGFTDIFNKVREEKQSGDAPVITSDAGYNRSNQGRKYESSGCSCFSWFRN >KQK92125 pep chromosome:Setaria_italica_v2.0:IX:53832071:53833759:-1 gene:SETIT_035211mg transcript:KQK92125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQDASDGIPAAEAPAKRRPLNKYALACAILASMNSILLGYDVSVMSGAQLFMKQDLKITDTQIEILAGIINIYSLVGSLAAGRTSDWIGRRYTMVLAAAIFFAGALIMGLAPSYAILMLGRFVAGVGVGYALMIAPVYTAEVAPTSARGLLTSFPEVFINTGVLLGYVSNYAFHSLPVHLSWRVMFLVGAVPPLFLALGVLAMPESPRWLVMQGRIGDARRVLAKTSNSPAEAEERLADIKRAIGIPEGVGGDDDDVVVVARNNKGTHGEGVWRDLLLRPTPPVRRILIACLGLQFFQQASGIDSVVLYSPRVFQKAGLQSDSNSLGATMAVGACKTLFILVATFFLDRVGRRPLLLTSAGGMVVSLVTLASALHAIDRLPTGQPTPLAGVSIAAVLTFVASFSIGMGPIAWVYSSEIFPLRLRAQGCALGTAMNRIMSGAITMSFISLYKAITFAGSFYVYAGIAAAGWVFMFFFLPETRGRSLEDTEKLFGGGDGQDGREDERDAQKKSTEFD >KQK87689 pep chromosome:Setaria_italica_v2.0:IX:8696838:8700039:-1 gene:SETIT_0370081mg transcript:KQK87689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGPLESAAAAVAAVPVAAGSYAVLQCGDDSEYVRKAYNGYFQVFRALLEEDGETWRVYRALRGELPTDAEAAGFDGFVISGSCADAHGDEPWILALVDLIRRLHAAGKRILGVCFGHQLLCRALGGRTGRSTKGWDIGVSCIHPTAAAARLFAPLKLPVHMPVIEFHQDEVWELPPNAEVLARSDKTRVEMFRYGDRVMGVQGHPEYSKDILMSIADRLLQRSLIL >KQK92110 pep chromosome:Setaria_italica_v2.0:IX:53732390:53733776:-1 gene:SETIT_036111mg transcript:KQK92110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASICRRRLFRFRQIPSAAGADPSRRPYPIDALLSHGYSSAALAGAPLPEPCPATVSNLTSCGLSPASTAARKHSIRSADRADAVRALFRSYGFTDADITEIVRRASAVFTLDPDRILRPKLDLFASLGVRPRRLSTAPILFMRSLDNHLVPCVQFLRGVLGTDADVCDAISRTPRGLLADLEKNMRPAVAALRRLGLPDEFISKLITIEMGVLMLSPDRITQIFEYLKLLDLGVTDRGFLYAFRALCCLSRETWLRKVALYQSFGVSEGELLKAFKKQPTITLFSDEIITKKLQFYLDELKLEVSDVMRHPVLMGYSLEKCIIPRCAVLSVLMREGKIEPNIKLPTALLGSAKNFSDKYVMRYAHDVPDVVKAYEGKIKFEGFR >KQK88829 pep chromosome:Setaria_italica_v2.0:IX:17448633:17454749:-1 gene:SETIT_035351mg transcript:KQK88829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDNQSIAPRAMILNRKYRTLVSSWIEPLQEEADVGFEIDYVARYIEEGGLTGERKRWVPRRGKTPLDPDEFGFAYSNPIETSFKQRCFEELKLYHRRLLITFRNEGPGILGDVSEDDVRRVVERLKKLVVGPKKNVAKPKAASKMVVSELKTELEAQGLPTDGTRQVLYQRVQKARRINRSRGIPLWVPPVEDEEEVDEGLDELISRIKLEDGNTEFWKRRFLGETRNYLCEEDSNEDDQDLDDELDDDDDDEDDDESTKEAEEDEIDDEEAVEQTENQAGDETKDKQAKGPNQHLQMIGVQLLKDLEKTSVSSKKSKRMPEIDDDEDWFPEDPIEAFKVMQDERMFDVSDMYTTADAWGWTWEREIKNKMPRKWSQEWEVELAIKIMHKVIELGGTPTIGDCAIILRAAMRAPLPSAFIPILQTTHSLGYKFGSPLYDEVVLLCLDLEEIDAAIAVVAEMETNGIKVPDETLDKVLASKQSGNSALPPPTEE >KQK86742 pep chromosome:Setaria_italica_v2.0:IX:3528130:3533449:1 gene:SETIT_033946mg transcript:KQK86742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMSIFSALSKADKKSGRPDPPPGFSKITASEAERAVSGNLCRCTGYRPIIDTCKSFSADVDLEDLGLNCFWKKGNEPADASKLPSYNSRAVCTFPEFLKAEIKSAVDQANGALVTVSDDGWYHPKSIEELQRLFDSNWFDENSVKIVASNTGSGVYKDQDLYDKYIDIKGIPELSVINRSSKGIEIGSVLSISKAIEILSDGNLVFRKIADHLNKVASPFIRNTATIGGNIMMAQRLPFASDIATILLAAGSTVTILVASKRLCITLEEFLQQPPCDPRTLLLSIFVPEWGSDDITFETFRAAPRPFGNAVSYVNSAFLARTSSDHHIEDICLAFGAYGVDHAIRARKVEDFLKSKSVSPSVILEAVQLLKENVSPSEGTTHPEYRISLAVSFLFSFLSSLPNSSSAPSKADTLNASYTNGIKNVSTEYSPVEHLKVDCNDLPIRSRQEMVFSDEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHPHAHVKGINFKSSLASQKVITVITAKDIPSGGQNIGTSFLMLGDEALFADPVAEFAGQNIGVVIAETQRYAYMAAKQAVVEYSTENLQPPILTIEDSIQRNSYFQTAPFLAPKPVGNYNQGMSEADHKILSAEVKLESQYYFYLETQVALAIPDEDNCITIYSSAQMPELTQDVVARCLGIPFNNVRVISRRVGGGFGGKAMKATHIACACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSVGFKSDGKITALHLDLGINAGISPDVSPLMPYAIIGALKKYNWGALEFDTKICKTNVSSKSAMRGPGDVQGSFIAEAIIEHVASALSLDTNTIRKKNLHDFESLAVFYGESAGEASTYSLVTMFDKLASSPDYHHRAEMVEHYNRSNKWKKRGISCVPITYEVNLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAFGLGQLCPDGGECLLDKVRVIQADTLSMIQGGFTAGSTTSETSCEAVRQSCAVLVERLKPIKESLEAKAIPVEWSALIAQASMGSVNLSAHAYWTPDPSFRSYLNYGAAVSEVEVDVLTGATTILRSDLLYDCGQSLNPAVDLGQIEGSFVQGVGFFTNEDYATNSDGLVIHDGTWTYKIPTVDTIPKQFNVEMFNSARDQKRVLSSKASGEPPLVLAASVHCAMREAIRAARKEFSVCTGPANSTATFQLDVPATMPVVKELCGLDVVERYLERVSAAGPNTTKA >KQK92952 pep chromosome:Setaria_italica_v2.0:IX:58026428:58029978:-1 gene:SETIT_036046mg transcript:KQK92952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEVMEMEVEDTGGWDFLDWVGPDTSSCIFQLLDDPADLARAAAVSRSWRQFVIDNGFCKSLCRRICPEVANFTRAVVVTRSPPAAAAAARASSGSSRDAESRTRERDHAVYSCLGGALVSDKPSMDCILHCIGASSTDYFPDETIDNTLEPQERINHRPSYWSSGGEVDPDVPESLTYRLNSDICIIDEVRIRPFKAFFQIGDPIYSSKAVRIRLGHSKLPPGEESFVTDENENQKAIADENYVWTYTSPEFPMLQENVLQTFKLPRPVLCVGGVVKIELLGRIQKQATDDKYYICVCHAQVMGRSLSPEFMVDISDPADYSILKYLPGASNLRVEDIMNSDTKDSTEWHSLVARYRQMRHRAMLNMLLGPVHFMDEDDDVGAVTDDDIYM >KQK86382 pep chromosome:Setaria_italica_v2.0:IX:1554126:1556989:1 gene:SETIT_035746mg transcript:KQK86382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPAASRRQSSTSSTRRSGELPGGAGAYGHRPSYDRGGRAPFSPDVYSRQLSSYSARSSQVSRSGSFKAAAQRFAGAFSSCFVPRVQVKTEEEEEVKSRGAECHVSIDSAGSWQEGGPLTVADLSKATSNFSEKNIVKQGGSSTMYRGKLKDGSQIAVKCVRKLNGQYLTAELWRELETLQNIEHQNLARFLGFFERSNDSLIVLEYVSNGSLREHLDESCGNGLELTQRLNIAINVAHAITYLHEYKEHPIIHGGIRSSVVLLTDALEAKVTGFGLLGMAASASGSGTGSGSDATPAKGAAGYVDPEYLSTYQLTDKSDVYSFGVLLAELVTGRPPVERSRGGEARLTTKWAVQKCRGGEAVVAMDPRMRRSPASVAAVERMLALAAQCVAAARKDRPSMRRCTELLWTIRREYHRREEPRCAAVAEERTDEWVLR >KQK89301 pep chromosome:Setaria_italica_v2.0:IX:23423965:23424731:-1 gene:SETIT_039534mg transcript:KQK89301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WFRVLTMWPPTNLEVLARTTFAEHHDSVVTILTKERESPTKLSAIGSGFIIRSSGSRCLVMACRHVFRHFDPAKHTMHARLSGQHLELDAEVMRLDDARDLMLIRVVGMPRAYPALEFCDCSNVPDRGDVVLLAFFSTYALVFLDPGVLPGNISAPPQETNGVVHHTCVGTSGCFGGPLIFDGRVIGVYNGIKSRTGFSASAETVNAAMKDWLHIPPGLSQFNVF >KQK91182 pep chromosome:Setaria_italica_v2.0:IX:48330511:48334071:1 gene:SETIT_038593mg transcript:KQK91182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVEDAAAAAAAAAVSADNDDDGVEDLYADLDEQVTAALAAAGESGGSNARDSDPATDGEGEVPEADANEAVDLGDGTAGYSSSDEESDDGLHIVLNEDAGAPPPVGRGEGCVAEGEDGEDSGSRMKVSSVEEGGWATVGGLQCQGLLEKTTLPIMGQVDRDRQHVFQRDYKLFLPRNSTIFDIDIEALQQKPWRQQGVDLTDYFNFNLDEEGWRKYWCSMKQLRLGTRSLANETSGLDQESYKLKSVKAMPKAANYSGFEGRNSLAKPKGRAIHVEGSAHERVPSADLWRPIQRDSDVVIQVNMTLSPSNQSTSDDSSKLNHKCVTTERRLKGSSFVVDRVVEKEVHDGDSSEFSGSKLDRRDSSCARAQSFSPDYSDTLSGESKEDFYFKRANRHSDSRDFFEDTKLQDEHVKSDFYRHSSKSDRENSESRSRSYTPSPADDRNHKATKLFQRGEAPFDGRGKSSDFFVECNSDHDLVKSGRNARKELKRQSVDGGRYAIFVEKEKSTDRYPSRYGREYEKRRSSLSSLRTNYHNAGHNQIYEKQGYSHLERVGVKNDKHYFSNESNHHHRRSSSHEINDGEDVEKCFSSAKEWQQHHDHAYQSMLNADMSDADDGQMYRERYCQEKRRARHDHSVDGEFPHYTDYGFCERQSPEVRGRYRDKGRFAKSNDEHFRHANHLELYPSRKNSERDWPAAGFPFQNSRNICIDNKRIHNAKMVHYHRDGYHQKNNGNIPRSALCSDTVAEAERFILPVKRKLHADLGSMNQKCLADLSLLKGRRLVHDQSILSDRRIYALRLHKFTDEIDTKAICNSDDMRNSNTVSNICIGRRHELENADNILLNDRKIK >KQK87448 pep chromosome:Setaria_italica_v2.0:IX:7355964:7360064:-1 gene:SETIT_037773mg transcript:KQK87448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEESEGLAMGSEGPSAPVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDKPPTVRFQTRINMTCVNQETGLVDPRLFPMLGNWQREHTMEDILTSLKREMSTPQNRRLHQPQEGNEDQRVEQKGLSVKCVIM >KQK87447 pep chromosome:Setaria_italica_v2.0:IX:7355964:7357213:-1 gene:SETIT_037773mg transcript:KQK87447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIYMRSWTGTIIGPPNTVHEGRIYQLKLFCDTDYPDKPPTVRFQTRINMTCVNQETGLVDPRLFPMLGNWQREHTMEDILTSLKREMSTPQNRRLHQPQEGNEDQRVEQKGLSVKCVIM >KQK90325 pep chromosome:Setaria_italica_v2.0:IX:41634913:41637711:1 gene:SETIT_039470mg transcript:KQK90325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEYDVIVLGTGLKECIISGLLSVEGLKVLHMDRNDYYGGESSSLNLTKLWKRFKGNDSPPEHLGVSKEYNVDMVPKFMMANGALVRVLIHTSVTKYLNFKAVDGSFVYNNGKIHKVPATDVEALKSNLMGLFEKRRARKFFIYVQDYDEEDPKSHEGLDLTKVTTREVISKYGLEDDTVDFIGHALALHRDDSYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDESGKAYGVTSEGETAKCKKVVCDPSYLPEKVKKVGRVARAICIMKHPIPDTKDSHSVQIILPKKQLKRKSDMYVFCCSYAHNVAPKGKFIAFVSTEAETDKPEIELKPGIDLLGPVEETFFDIYDRYEPINNPEEDSCFLTNSYDASTHFETTVKDVLSLYNKITGKELDLSVDLNAASATEQEAA >KQK90492 pep chromosome:Setaria_italica_v2.0:IX:43125306:43126070:-1 gene:SETIT_039168mg transcript:KQK90492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGGQPIQGGASSQGHRSTAVRQTRPSLFLRIPQRGMVEKTRTMARKLEIPQWKSGASSDGLPSPTSPLDRASPRGWRHREAVGGVGLGILAALEAQPSASAAAVPKVSAPVSIARRARLEVSELGCSGRCATSLCGGGAQAQKASTSDGRPGSPGAAFRVAEFLSCCDMCRRALDGKDIFMYRGERAFCSMECRYHAIVSDEFQEEKERKRRAAAAVSPAAADGLPRKAAADMAGSPCSGGGGQIFFTTGIVAA >KQK90085 pep chromosome:Setaria_italica_v2.0:IX:39470339:39481391:-1 gene:SETIT_034014mg transcript:KQK90085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDAPPPPTAHSASSSSLFGGGEQLFESGPSPLVFLPLLLIQGGGMDLSRVGEKLLSSVRSARSLGLIPPIPAAPPPRPEVPERAAAAAAAARAIAGLPPHERINLPANSEDLVSIYGSNPQGEPVEELEEVFYEEEFDPINYILQSIPEEGGDATYFDKQSTLRLAQLDKIAERLSHHVMGHHEEMVKGMQLVMELEQDLKVANVICMNGRRHITSSKNELSRDLVVNVKSKKKQALLDVLPILTELRHALDMQMELETFVEKDNYFQAFQLLPEYLQILENYSGLSAVQEMGRGIEAWLARTIQKLDTHLLGVCQIFNEESYITVVDAYALMGDVSGMAEKMQSFFLQEVLSRTHYVLKEMLEEEVGNNTQKNRFTYSDLCVQVPEPKLRPCLLKTLESLFSLMCSYYAIMSFSPGDKNIESKGPHLADKNETSQNNDEALVDSGGGQSSAAVIQDGSAAERSDRASSSEVSNPDASTSGTDSPFYQLRTDATKLVAQTFQRGRRNLWQLATSRLSVLLSSSAVCSTSIYQFLKNYEDLAIFILAGEAFCGFEASEFRQKLKTVCLNYVVSFHRQNVYALKMVLEKESWTIMSAEASQIISLAGLTGDGAALCSPTSRSSKLPINSYHANSTTANPGKQKLGFASWLKIENPFSFRLENGSAESPKSNMLFDSSVSNNHGNGNNSSLDEENEDLLADFIDEDSQLPSRIPKAKIVKGNYSHWKDGDISSQTGSSLSLLRMMDKYARLMQKLEIVNVELFKGISQLFGIFYHYVYETFGHQDRSQSGRHLPDHQSSRLKAALSKITQDSDQWTKPHNVSYSPSSPLSMSSTFGQMDVMPTAPPSSMFTSYGLKERCAAAETVSLVARVLNRSKAHLHSVLSKNNTNAVEEFYRTLVDSVPDLTEHIHRMSARMLLHIDGYPDKIANAKWEVKELGIEHNGYVDLLLGEFKHYKTRLDHGGISKELQHLLMEYGIESIAEVLVEGLSRVKRCTDEGRALMSLDLQVLINGLQHIVSANVRPKLQTVDTFIKAYYLPETEYVHWARSHPEYSKSQVVGLVNLVATMKGWKRKTRLETVEKIEA >KQK86944 pep chromosome:Setaria_italica_v2.0:IX:4612497:4618809:1 gene:SETIT_036225mg transcript:KQK86944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISPPLLVLVPGTLTGFGGTTALAELRCQLTDGSQASNSGCECVTRPRSFVGLGWSCVTRQQARVQGVAAELQERSCSSGVSQKTRTLPWTVLPSPALAFVFPRPGSIVTFSPQCGYGASPPIVSHVRSQAPRPRRCTDAGDDGGGEMTVFHFLNCAFLTFGPHVVYYSATPLSEYDTIGTSVKAAVVYLGTALVKLVCLATLLKVPENDSFDPYQELMKVFIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWIGARGLEFTWEFIFQGIEANANLVMTLSLAALGSLMWLRKNKPRTLVPIIYACALLLATMPSITSYLRRSLEWQTPKVVGFELFSSLVMAFISWQLFSACQRPL >KQK89375 pep chromosome:Setaria_italica_v2.0:IX:25869984:25871225:-1 gene:SETIT_038976mg transcript:KQK89375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYAGAAHPAAAAPPPPVSDSQHAHYPHPYAGYPYPYGAYHQPAPAPATDPSALAAGSSSYYYPVPAATPSVAAQYDPYAAYQYYAPPSGGASDAGLSVYYFTAGEASQQAAATTSTTQVAQAATGKEAGKHFGFDPQRYAQDFNISTTSCKL >KQK86839 pep chromosome:Setaria_italica_v2.0:IX:4076196:4079737:-1 gene:SETIT_036216mg transcript:KQK86839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEREPLAGGGLQRRPGAAARAGGGPQEPPPRGRSAIHADVDPQPRPWPWMQKVAIVAIVVLGCLQFLPATHFRDPSDPHRNWIPVGGSRNPTDSLDVVGSVDVFSWISCLDLRTLAALTNSTLSSSSDPQNISFHFLIPEGDDDKVPYHTLKVVLPDSDLTVSSQRQIKDKLNVATPEGNFLWSFHKELSPLLIAKSQLSKKRYLYISADSIIKGKIEDLGRMDLGTYAIAATEDCSKHFGDYVNMDVLSDTQRAAAKSWVSKEDTCLLDFDVLLVEPRKLDKNLVDSIMSWTRVVTVANPRDRIRLAVALALYGKYLKLPSIWKRGDANADILNYDGPHKVCSEDGHQHEQSSDGENWRKYLHQKFEAILNA >KQK91675 pep chromosome:Setaria_italica_v2.0:IX:51162535:51163391:1 gene:SETIT_037989mg transcript:KQK91675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGDRRPESSIVEAFTLSPLPYPVILILLMVTLLLGVSWFFTYEDFIEEAAEQFSWALLAVPIALVLLIRWISSVESFDGYFFGFYPSERRWRPGYDSGPAEGSSPWGVAMLVVLLLVLASFHETIRDMWRP >KQK91515 pep chromosome:Setaria_italica_v2.0:IX:50351816:50355309:1 gene:SETIT_036045mg transcript:KQK91515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVGCLGGGSKSGQNQNGQAVAASSPRSGAGNNVRVFSLNELKTATRNFHMMNCIGRGGFGAVYKGNLKDGTQIAIKKLAAESKQGISEFLTEINVISNVKHPNLVKLLGCCVEGNNRLLVYEYAENNSLANALLGPKSKCIPLDWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDAITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLLLEVISGESSSKSTWGPDMHVLVEWTWKLREEGRLLEIVDPDLEKYPEEQMLRFIKVALLCTQATSQQRPSMKQVVNMLSNRIEIDLQNVVPPGVLKEPRQRTGGFGGLTLDTSSSQSTKCNPAESYSTQTNMNTGQFSTTEVSPR >KQK91517 pep chromosome:Setaria_italica_v2.0:IX:50351816:50355309:1 gene:SETIT_036045mg transcript:KQK91517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVGCLGGGSKSGQNQNGQAVAASSPRSGRVLSGAGNNVRVFSLNELKTATRNFHMMNCIGRGGFGAVYKGNLKDGTQIAIKKLAAESKQGISEFLTEINVISNVKHPNLVKLLGCCVEGNNRLLVYEYAENNSLANALLGPKSKCIPLDWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDAITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLLLEVISGESSSKSTWGPDMHVLVEWTWKLREEGRLLEIVDPDLEKYPEEQMLRFIKVALLCTQATSQQRPSMKQVVNMLSNRIEIDLQNVVPPGVLKEPRQRTGGFGGLTLDTSSSQSTKCNPAESYSTQTNMNTGQFSTTEVSPR >KQK91516 pep chromosome:Setaria_italica_v2.0:IX:50351816:50355309:1 gene:SETIT_036045mg transcript:KQK91516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSVGCLGGGSKSGQNQNGQAVAASSPRSGAGNNVRVFSLNELKTATRNFHMMNCIGRGGFGAVYKGNLKDGTQIAIKKLAAESKQGISEFLTEINVISNVKHPNLVKLLGCCVEGNNRLLVYEYAENNSLANALLGPKSKCIPLDWQKRAAICIGTASGLAFLHEEAQPRIVHRDIKASNILLDKKLLPKIGDFGLAKLFPDAITHISTRVAGTMGYLAPEYALLGQLTKKADIYSFGVLLLEVISGESSSKSTWGPDMHVLVEWTWKLREEGRLLEIVDPDLEKYPEEQMLRFIKVALLCTQATSQQRPSMKQVVNMLSNRIEIDLQNVVPPGVLKEPRQRTGGFGGLTLDTSSSQSTKCNPAESYSTQTNMNTGQFSTTEVSPR >KQK89837 pep chromosome:Setaria_italica_v2.0:IX:36354593:36355299:-1 gene:SETIT_038347mg transcript:KQK89837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRPLGMAVFLMAFLVISGMHTAPAQAAGRIISRGSMNPNIPHDSPLDPNKAGDPYPGRGRACTSIYHCHGAAEP >KQK86758 pep chromosome:Setaria_italica_v2.0:IX:3581772:3582555:-1 gene:SETIT_037845mg transcript:KQK86758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTVTMDSSEASSSPSKRGLRGPRPQPLKVSASSRGSSPTASKSSSTKKPVIVYEHTPKVVHARPQEFMTVVQRLTGKQPAPAATSSSLPPCVPAGFSPAAAAEAGGDPLLLTLGQHQAAPAPTPTTLPSPMAAGLLLPPGFIFSPNTMQAIHELSPLF >KQK92961 pep chromosome:Setaria_italica_v2.0:IX:58061236:58061774:-1 gene:SETIT_040649mg transcript:KQK92961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSKAWSMVARSEVPLAWKSEHGEGKKKGDIFLSHFVRLPGC >KQK89721 pep chromosome:Setaria_italica_v2.0:IX:35334882:35336664:1 gene:SETIT_037758mg transcript:KQK89721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWWCAAAGLGRRVLSSSSSASASAVASHARPLPPPLLPKPPSFTVAFSLSCRRHHSLHAPLPQGFFHPAIASSFRPPSALQQQVRHYAKKEGRSRAPLTPTKSKVKKYKMKAPSSMKFRFRTMNDGQIRRWRAGKRHNAHLKSKQAKRRLRKPALVHLAYAKVIKKLNFCG >KQK89613 pep chromosome:Setaria_italica_v2.0:IX:33784762:33788154:1 gene:SETIT_035381mg transcript:KQK89613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFPTYANRPDSAFSSNCVDLCWISLLMWKLYICKVRLVTEKDTSKPRGYAFIEYMHTRDMKNAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRMGGAETDKKDSAREQQQGGRPRSEEPRRDDRRADRDREKSRERVRERDRDERARERSHDRTRDRDSREEKHHHRDRERTRDRERGKDREREHGRDRDRRDRDRDRDRGRDYERETDRARSHDRHRERGRDRGERDYERTSHERDRGHRHERDADYGNGGPKHDKNLSSYGQDYGYGQYEQHKGHEAYGYGQDGRGHETEHSKRHDQEYYRVDSYSKMETNYQVQPNNAEPEGPEEGEAYEEGDYQYHRAGEHMNDA >KQK89611 pep chromosome:Setaria_italica_v2.0:IX:33783093:33788154:1 gene:SETIT_035381mg transcript:KQK89611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYGHGGGQVRGNPDSRPRGQGQRPNVQQLKLMGQIHPTGLTPNLLKLFEPRPPLEYKPPLEKRKLPAYTGMAHFVSHFAEPGDPEYAPPVPKCETRAEKKARIRDNKLEQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSENKIKREFEAYGPIKRVRLVTEKDTSKPRGYAFIEYMHTRDMKNAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRMGGAETDKKDSAREQQQGGRPRSEEPRRDDRRADRDREKSRERVRERDRDERARERSHDRTRDRDSREEKHHHRDRERTRDRERGKDREREHGRDRDRRDRDRDRDRGRDYERETDRARSHDRHRERGRDRGERDYERTSHERDRGHRHERDADYGNGGPKHDKNLSSYGQDYGYGQYEQHKGHEAYGYGQDGRGHETEHSKRHDQEYYRVDSYSKMETNYQVQPNNAEPEGPEEGEAYEEGDYQYHRAGEHMNDA >KQK89610 pep chromosome:Setaria_italica_v2.0:IX:33783093:33788168:1 gene:SETIT_035381mg transcript:KQK89610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYGHGGGQVRGNPDSRPRGQGQRPNVQQLKLMGQIHPTGLTPNLLKLFEPRPPLEYKPPLEKRKLPAYTGMAHFVSHFAEPGDPEYAPPVPKCETRAEKKARIRDNKLEQGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSENKIKREFEAYGPIKRVRLVTEKDTSKPRGYAFIEYMHTRDMKNAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRMGGAETDKKDSAREQQQGGRPRSEEPRRDDRRADRDREKSRERVRERDRDERARERSHDRTRDRDSREEKHHHRDRERTRDRERGKDREREHGRDRDRRDRDRDRDRGRDYERETDRARSHDRHRERGRDRGERDYERTSHERDRGHRHERDADYGNGGPKHDKNLSSYGQDYGYGQYEQHKGHEAYGYGQDGRGHETEHSKRHDQEYYRVDSYSKMETNYQVQPNNAEPEGPEEGEAYEEGDYQYHRAGEHMNDA >KQK89612 pep chromosome:Setaria_italica_v2.0:IX:33784762:33788154:1 gene:SETIT_035381mg transcript:KQK89612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRDMKNAYKQADGRKVDNKRVLVDVERGRTVPNWRPRRLGGGLGSSRMGGAETDKKDSAREQQQGGRPRSEEPRRDDRRADRDREKSRERVRERDRDERARERSHDRTRDRDSREEKHHHRDRERTRDRERGKDREREHGRDRDRRDRDRDRDRGRDYERETDRARSHDRHRERGRDRGERDYERTSHERDRGHRHERDADYGNGGPKHDKNLSSYGQDYGYGQYEQHKGHEAYGYGQDGRGHETEHSKRHDQEYYRVDSYSKMETNYQVQPNNAEPEGPEEGEAYEEGDYQYHRAGEHMNDA >KQK92260 pep chromosome:Setaria_italica_v2.0:IX:54619262:54623212:-1 gene:SETIT_034817mg transcript:KQK92260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTTAGEAVKSPGRASVIVKLNAAFLAFFLLAYMALLLHPKYSYLLDSGATSLVRCTFRDDACPPSTQLSRKLGGVAANKVVAAERIVNAGRAPAMFDELRGRLRMGLVNIVHEDLLALGVEGEAVRVEFERVSDVFRWSDLFPEWIDEEEDDEGPSCPELPMPDLSLYGGDVDVVVASLPCNRTAPGGWNRDVFRLQVHLAAAQVAARKGRRDGGGAVRVVLRSECEPMMDLFRCDEEVGREGDWWMYRVDVQRLEEKLRLPVGSCNLALPLWGAGGINEVFNVSAELPSPAGGRPRREAYATVLHSSDTYLCGAIVLAQSIRRAGSTRDLILLHDHTVSKPALRALSAAGWTPRKIKRIRNPRAARGTYNEYNYSKFRLWQLSDYDRVVFVDADILVLRNLDALFRFPQLAAVGNDGSLFNSGVMVIEPSSCTFDALIRKRRTVRSYNGGDQGFLNEVFVWWHRLPRRVNYLKNFWANTTGERALKERLFRADPAEVWSIHYLGMKPWTCYRDYDCNWNVEDQRVYASDEAHRRWWQVYDRMGEAMRGPCRLSERRKVEIAWDRHVAEEVGFADQHWKINITDPRKWD >KQK89203 pep chromosome:Setaria_italica_v2.0:IX:21856431:21858557:-1 gene:SETIT_036802mg transcript:KQK89203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAPTDPSTAPAPAPARSMLKRLFDRQLLRISPAERLPSAAAAGEKDEAEPSSVCLDGMVRSFLEDGVGAGAEKPGHGGRYCNCFHGGDNSDDEEDEEAAAAASDVAETIKGLVHCATLRERNLLADVCAHLERHRAAGARRRDLLRLVAASLRAAGHDAAVCVSRWDKSPSHPAGEHAYVDVLLPAASDRGARERVLVDVDFRSAFEVARPTKAYRSLLQRLPAVFVGKDDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPHDREAPAAEEAASAAGAGEVDVEGTAAA >KQK87608 pep chromosome:Setaria_italica_v2.0:IX:8210616:8212249:1 gene:SETIT_038967mg transcript:KQK87608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTTYNAILVALLAVSILLFLRRGGSRRRPPGPRTLPVIGSVHHVVNTLVHRRLRDLAAVHGPIMTLMIGPTMPLVVVTSRELAREVLKVQDPNFANRPRLLVGGICGYGWADIIFAPTSDYWRRIRKLCIHEVLSPKRILSFQHIREEEVRRQVEAIRAAAAAGAPVNVTRMVYDISSRTISRSSFGEVRPDMPVFQDAIKRVIGLSSGFNVPDLFPRFREVLGEVSGMKRKLREIHRTFDRILVDIIEKRRGERAARIAAGKEVVDEDVVDVMLTLQQSGDNSWGFPVTDNTIKAVVLDMFAGGTGTSGSSTEWAMSEIARNPRVMRKLQEEIRSTFRGKETITETDLRNSDLKYLKLVMKEAIRLHPAAPLLVPRESIGAAELGGYVVPGGSRIVVNAWAISRDPRYWRDPEEFRPERFAEDGAPDFQGLHFEFTPFGAGRRMCPGYNYGLAGMQLALLQLMYHFDWRLPPGVDELDMEEAMGLGVRRKNPLMLCATPYVPAAPAVSAG >KQK92095 pep chromosome:Setaria_italica_v2.0:IX:53634926:53635233:-1 gene:SETIT_040778mg transcript:KQK92095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIYTKKRKHMHTALKTMSMHLEQESETK >KQK90966 pep chromosome:Setaria_italica_v2.0:IX:46875859:46876369:1 gene:SETIT_039208mg transcript:KQK90966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKAKADTFASTHREWCMEYLKKQHTGFKFKKAHLMLCTNDLNKKFAMGVTVDQVDRHYRYHKENWKYIATSLSNSGNTFDETRCMVKLFALNLTQDRARRLLAKPIKLFNEMRELFIGSNADGS >KQK87486 pep chromosome:Setaria_italica_v2.0:IX:7531071:7535440:1 gene:SETIT_034178mg transcript:KQK87486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQAPMAGANLTAALCKKSNRVARVLAYALLEWILIALLLANGVFSYLISRFAAFFGLAPPCALCSRLGVDSLFERHAHRGVGGAEPLRRVLCDAHAAELSCLGYCSAHRRLADAGDMCEDCAAAAAPGKALLSWMGRSELGERDLACACCGVALESGFYSPPFLLPTPAKGGTDCGNKEEEEAARLNGDVVFVSEEGPVIELFDEKPLLGDDSISVLAHGPEIVANVERLVPLESIDSLAVDMGAVSSQSGVERKEAVDHVRQDNVVMENMVNANEEKIVMTNDDDKGDGVVDRLIDEQIADIALVPACMEGTFDDVINAGKTVEGFAEHDTSDHEFVEKLDRSIEVEHFQQAELKQKLNLMTMVASAYVAVTQPEEKQVHQAEVNQELGSIPIQPREHSGEELEGERAAQAGLEQECDSMPIDSGEHACMTSYACTDDEQVEMKQKVTLAAADVPDLDKFSPNHTVTEEERDPETPTHIEGICDSQELLDSKAAVSDAKSVDSSVATMTTDLESTEFVSVDQLKSALASARKSLNSLYAELENERNAAAIAADETMAMINRLQEQKAAMQMEAIQYQRLMEEQSEYDQEALQRLNELVVKREKEKQDLERELELYRHKVHLYEAKVRKMSRHKADDQNGSSSASSSAEDSDDLSQSFYEGDESAHGLNGSNGSIPTDVVLQETARHLVTLDGSLADFEEERLSILEQLKVLEDKLFDLDDEESNNMKTDKHFSEEHHLSGASNGFSDDESCFKLHDKRKSVTCRGKKLLPLFDDATVGARNIVLTKQGDEADHSTEVTLDLAREQDKLAIAHEIDQVHERLHALEADREYIKQCVRSLKKGGKGFDLLQEILQHLRDLRRIEQRARNSGELSPHYLHLYTD >KQK88396 pep chromosome:Setaria_italica_v2.0:IX:13947872:13952502:-1 gene:SETIT_034296mg transcript:KQK88396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAERFYRLEIVSSEFLHLLCGKPRASSDFPHLLTHKSPQNPPLPADRQLPGLFTSGATENRLLPSKPLTVGSTLVSDDGTFALGFFSPSNSTRNRYYVGIWYNSIPKDNIVWVANRATPITDPSSATLALTGGSNLVLSNTDGQLLWMANVSAPGNLSSSENISGEATLDNTGNFILRTSEGAVLWQSFDYPTDTLLPGMNLRVTHNRHALQWLISWKDPQDPSSSSFSYGADPDQFLQRIIWNGSRPYRRSPVWRNYLVVGSYMESVKSTIYMTISRIEDEIYISFGIPGVSSTVKIKMDYSGKIKIQVWNSSMLEWNVLQAEPVHDCNTYGYCGAFGYCDNTELIPTCKCFDGFEPLSKKDGGDGRFWEGCRRKETIICGRENRFLTLTDMKIPDKFVYVKNRSFDECSAECTSNCSCTAYAYANMGTTAINGDDTRCLLWMGDLIDTEKSVEIGENLYIRVNRLSDKRRSNILKITLPVVSSLLILICMWLVWIYNCQAKQRNKKIWKKMISEALSTSDELVDGKFPFISFREIVLATNNFSSSNMLGHGGFGNVYKGTLECGKPIAVKRLSKDSTRKPLLHWSIRFNIIIGIARGLLYLHQDSRLKIIHRDLKANNILLDDEMSPKISDFGMARIFDGTQQQGNTNRVVGTYGYMSPEYALEGVFSVKSDVYGFGVLVLEIVSGSKITSMHITEDFPNLIAYAWSLWKDGNTKDFVDSSIVETCSLDETSRCIHIGLLCVQDNPNARPLTSSIVSNLENGDTSLPHPKQPIYFAERNYGTHEAAEEAIVSSANTMSITALEGR >KQK89191 pep chromosome:Setaria_italica_v2.0:IX:21717232:21720866:-1 gene:SETIT_038240mg transcript:KQK89191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALCIPNLSQCKDLTTIGTVKGKTLPSVNGTRYADDRRHGEQQTKEDLAANGMDHALPCHCCLLPYLGTTRIGLFPAASPTHPHRGDPSK >KQK89795 pep chromosome:Setaria_italica_v2.0:IX:36013520:36015936:1 gene:SETIT_037462mg transcript:KQK89795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVRRSTPAPVPAAKAPAATAKAAKAKSSASAGATDTAAKSPAAAAAAPPIVIEIPSSPDPSVGVGSKGSSGSKKKARKRPAPQLDLDDEIEMWTPRQKMLRDEDCQILARDPFSATSEVASSPATANDEIAVVAERGKVACRDYPHPRSACAKNPFGTTPHERHCDKCFCYVCDIAAPCVSWKGHGGHCHASDKDKKWKTMRLIKKRAQVKPS >KQK87258 pep chromosome:Setaria_italica_v2.0:IX:6288807:6289994:-1 gene:SETIT_038306mg transcript:KQK87258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVNYPLLIMANAKSVRSLQPMRYKLYMENLKGRSPPKWTRKTGLTPSLYLAPESQGSVSLILFYRAATEPYRKLLPTCTC >KQK86063 pep chromosome:Setaria_italica_v2.0:IX:123793:125823:-1 gene:SETIT_040278mg transcript:KQK86063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFRHQRSASSWAAAVADHARSGRHDAALTVFRRVLRAHPAVATSDQLAYAALLRCRDRRLAYQIHAQACRRGLAAFNPVLACSLLTFYSDCDDMASATRVFDEMLHPDAVSYTAMISALLRAGDRHRALALYPRMLPLCAPTQHTFSKLLAACTSTRLRRYGTQLHAQLLRWGCWGPHLSLVLKTALLHMYAACGAMASARTVLHATPETDVVLWTAIITAYSRGGQLQHALRAFRDMERAAVPPNAFTYAALIAACAAAHSLHIGRLLHARLFKFHLEHDTSACNALLDFYSKSSTRFLDLLHAFRAVDTPNVVSWTIFIAGLVRHGRDQEAFAAFARMRATGVQPNSFTLSTLLKGSTSAHACLLAAKIHAYVLKTSFESLDATVGNSLVNVYARSARMDDAWNVATTMSFVRDRFTYTSLAKGLNQMGLHHRALEMILHMFHEEVDIDGFSLACFLSAAATLASIEAGKQLHSCAVKLGLSDEVSVSNSLIDMYSRCKCLEDAKSAFRSIREPSVVSWNAIISGLASNGCYAEALSAFEDMILTGAQPDGVTFSVVLSACSHGGLVDTGIKHFYSMMNLFGVPPQKSHCTWFLDMLRQAGRLAEVAHTIEAMPLAFYKLHNDPVVGKYIANKALELDPSDSVIQNISQ >KQK86348 pep chromosome:Setaria_italica_v2.0:IX:1382479:1384510:1 gene:SETIT_0339812mg transcript:KQK86348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVRARLSSLDDDDDDAATASSLSSAASSPPLSPLPASPPPLPARAAVIGAPRVAAQLSSADEDVSESLDDDTSSGEDGELVEEVSNGFFFAVARVPPPPPSPPPEDPSAVPGGETAGSAASASESGDSFGAAEGSLEESFMSANSVLEVLDAGAGSGLGGGIEDGNGTGVEGSLDGSFQSSRSVIGALDGGEAAESGDLVDVTGVPVLIDDEQGVQDVGAEAVDDVAPEPFMPIAGEPLEGESVSDHEVAELLPVIGATEGEDAGFGLRSDDSDAKGSTAEHVAVMDVEDASPENVATRDGASDTVEIRDNFDEPESVAYDGHNKVDEEADGDHEASDDLASMPISASDDTVDLLVKEPEDNVPASKGTRFGVDDSDDVEVNGDDEYEEEVNGKEIELFDYAALVELLRAANISSGQGKAKVFPFESSEPKHLPPTVTSIPRTDVASTERDDPEKEMTDEEKKIYRKVDLVRIKYMRLIHRLGYDTNHLVPVQVLYRLSLVEGFRRVRMANNSSELENAWKRALQLEEEGIEDLEFSCNILVLGKTGVGKSATINSIFGEDKSKTNAFLPATSSVKEITGVVDGVKFRVIDTPGLGTSAKDEKSNRRVLNSVKRYMKRCPPDIILYVDRIDTQRQEANSLSLLRAITSVLGLSIWSRTIITLTHSGAAPPEGPS >KQK87096 pep chromosome:Setaria_italica_v2.0:IX:5524410:5528658:-1 gene:SETIT_034709mg transcript:KQK87096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHKITFVLMFCLVALGRAEYLRYKDPKQPVSVRVKDLLSRMTLAEKIGQMTQIERENATADALAKYFIGSVLSGGGSVPAPQASAQAWASMVSEMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGQATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTSLISGLQGEVPANDVGRPYVAGSKNVAACAKHYVGDGGTHQGINENNTIIDTHGLLSIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHFLVTDFLKNKLKFRGFVISDWEGIDRITSPPHANYSYSIEAGVGAGIDMIMVPFRYTEFIDDLTAQVQNKVIPMSRIDDAVYRILRVKFSMGLFENPYPDTSLAGELGKQEHRDLAREAVRKSLVLLKNGKSSYSPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGQPGNNITAGTTILEGIKATIDPSTQVVYSENPDSTVLADKYDYAVVVVGEPPYAETFGDNLNLTIPAPGPSVIQSVCKATKCVVVLISGRPLVVEPYLGDMDAFVAAWLPGSEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMSVGDKHYDPLFPFGFGLTTKGTK >KQK87094 pep chromosome:Setaria_italica_v2.0:IX:5524410:5527731:-1 gene:SETIT_034709mg transcript:KQK87094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHKITFVLMFCLVALGRAEYLRYKDPKQPVSVRVKDLLSRMTLAEKIGQMTQIERENATADALAKYFIGSVLSGGGSVPAPQASAQAWASMVSEMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGQATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTSLISGLQGEVPANDVGRPYVAGSKNVAACAKHYVGDGGTHQGINENNTIIDTHGLLSIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHFLVTDFLKNKLKFRGFVISDWEGIDRITSPPHANYSYSIEAGVGAGIDMIMVPFRYTEFIDDLTAQVQNKVIPMSRIDDAVYRILRVKFSMGLFENPYPDTSLAGELGKQEHRDLAREAVRKSLVLLKNGKSSYSPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGQPGNNITAGTTILEGIKATIDPSTQVVYSENPDSTVLADKYDYAVVVVGEPPYAETFGDNLNLTIPAPGPSVIQSVCKATKCVVVLISGRPLVVEPYLGDMDAFVAAWLPGSEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMSVGDKHYDPLFPFGFGLTTKGTK >KQK87097 pep chromosome:Setaria_italica_v2.0:IX:5524410:5529693:-1 gene:SETIT_034709mg transcript:KQK87097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHKITFVLMFCLVALGRAEYLRYKDPKQPVSVRVKDLLSRMTLAEKIGQMTQIERENATADALAKYFIGSVLSGGGSVPAPQASAQAWASMVSEMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGQATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTSLISGLQGEVPANDVGRPYVAGSKNVAACAKHYVGDGGTHQGINENNTIIDTHGLLSIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHFLVTDFLKNKLKFRGFVISDWEGIDRITSPPHANYSYSIEAGVGAGIDMIMVPFRYTEFIDDLTAQVQNKVIPMSRIDDAVYRILRVKFSMGLFENPYPDTSLAGELGKQEHRDLAREAVRKSLVLLKNGKSSYSPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGQPGNNITAGTTILEGIKATIDPSTQVVYSENPDSTVLADKYDYAVVVVGEPPYAETFGDNLNLTIPAPGPSVIQSVCKATKCVVVLISGRPLVVEPYLGDMDAFVAAWLPGSEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMSVGDKHYDPLFPFGFGLTTKGTK >KQK87095 pep chromosome:Setaria_italica_v2.0:IX:5524671:5527518:-1 gene:SETIT_034709mg transcript:KQK87095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHKITFVLMFCLVALGRAEYLRYKDPKQPVSVRVKDLLSRMTLAEKIGQMTQIERENATADALAKYFIGSVLSGGGSVPAPQASAQAWASMVSEMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGQATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTSLISGLQGEVPANDVGRPYVAGSKNVAACAKHYVGDGGTHQGINENNTIIDTHGLLSIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHFLVTDFLKNKLKFRGFVISDWEGIDRITSPPHANYSYSIEAGVGAGIDMIMVPFRYTEFIDDLTAQVQNKVIPMSRIDDAVYRILRVKFSMGLFENPYPDTSLAGELGKQEHRDLAREAVRKSLVLLKNGKSSYSPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGQPGNNITAGTTILEGIKATIDPSTQVVYSENPDSTVLADKYDYAVVVVGEPPYAETFGDNLNLTIPAPGPSVIQSVCKATKCVVVLISGRPLVVEPYLGDMDAFVAAWLPGSEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMSVGDKHYDPLFPFGFGLTTKGTK >KQK87092 pep chromosome:Setaria_italica_v2.0:IX:5524410:5526652:-1 gene:SETIT_034709mg transcript:KQK87092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFAQVCRDPRWGRCYESYSEDPKVVQSMTSLISGLQGEVPANDVGRPYVAGSKNVAACAKHYVGDGGTHQGINENNTIIDTHGLLSIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHFLVTDFLKNKLKFRGFVISDWEGIDRITSPPHANYSYSIEAGVGAGIDMIMVPFRYTEFIDDLTAQVQNKVIPMSRIDDAVYRILRVKFSMGLFENPYPDTSLAGELGKQEHRDLAREAVRKSLVLLKNGKSSYSPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGQPGNNITAGTTILEGIKATIDPSTQVVYSENPDSTVLADKYDYAVVVVGEPPYAETFGDNLNLTIPAPGPSVIQSVCKATKCVVVLISGRPLVVEPYLGDMDAFVAAWLPGSEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMSVGDKHYDPLFPFGFGLTTKGTK >KQK87093 pep chromosome:Setaria_italica_v2.0:IX:5524410:5528739:-1 gene:SETIT_034709mg transcript:KQK87093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHKITFVLMFCLVALGRAEYLRYKDPKQPVSVRVKDLLSRMTLAEKIGQMTQIERENATADALAKYFIGSVLSGGGSVPAPQASAQAWASMVSEMQKGALSTRLGIPIIYGIDAVHGHNNVYKATIFPHNVGLGATRDPDLVKRIGQATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKVVQSMTSLISGLQGEVPANDVGRPYVAGSKNVAACAKHYVGDGGTHQGINENNTIIDTHGLLSIHMPPYYNSIIRGVSTVMVSYSSWNGVKMHANHFLVTDFLKNKLKFRGFVISDWEGIDRITSPPHANYSYSIEAGVGAGIDMIMVPFRYTEFIDDLTAQVQNKVIPMSRIDDAVYRILRVKFSMGLFENPYPDTSLAGELGKQEHRDLAREAVRKSLVLLKNGKSSYSPLLPLPKKAGKILVAGSHADNLGNQCGGWTITWQGQPGNNITAGTTILEGIKATIDPSTQVVYSENPDSTVLADKYDYAVVVVGEPPYAETFGDNLNLTIPAPGPSVIQSVCKATKCVVVLISGRPLVVEPYLGDMDAFVAAWLPGSEGQGVADVLFGDYGFTGKLSRTWFKSVDQLPMSVGDKHYDPLFPFGFGLTTKGTK >KQK89674 pep chromosome:Setaria_italica_v2.0:IX:34886747:34887573:1 gene:SETIT_037428mg transcript:KQK89674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFAFFALLALSTTAASAYISPLSALAATASPLFWSQATSIAATHPCVQLQALASGILAPSAVLIQQPLAILQQQCQAHLALQSIMSLQQQQLLVNNIATMLPNVFNQLALANHITAAYWQQQQLLPNVFNQLALTSPLAQWQQQQLVSSVFNQVALANPITAAWQQQQLLPNVFNQLALASPLAHLQQQALVASVFNQVPLANPYLQQPFIGGAIF >KQK91943 pep chromosome:Setaria_italica_v2.0:IX:52839316:52840519:-1 gene:SETIT_0400602mg transcript:KQK91943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSEAVCLLECSGKLGWEVDVFCYNTLMSRLCEVGDFARVLKLLVDLIKKGIGPDMFSFTIAIRSLCGAGKFQVAKYLLDNERMAYDVVAFNTLIHGLCVVGNLHGVIQIYMDMISRNVAPNNFTIAMVIDSLCKQEKFLTAINFLVEPSVKYLVPDHESLGKCLVPDYESSGKHLVLDLESFGKRLVPDHFVRMTNWLVKAKGLGYVLILLRKIRSKGLALDVCVFNSLVRIFCWEGCTPLLITMFSSLMDNTVAESIITFSHTILLLLKKLLIMLLLYAVGD >KQK90671 pep chromosome:Setaria_italica_v2.0:IX:44416404:44417992:-1 gene:SETIT_040107mg transcript:KQK90671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLLPSELLAGLPQQWLIGLLLLLPVVLLVPSYLFLASPGTKMTKDGARRLPPGPAQVPVLGNLHLLGRLPHRNLRDLARRHGPVMLLQLGTVTTLVVSSAAAAREVMKEHDIDCCSRPASPGPRRLSYGFKDVAFAPYGEQWREARKLFIVELLSMRRVQAAWYAREQQVDRLIADLSRAGAEAAPVALKEHIFGLVDGIIGTVAFGNIYGTERFAHRERFQHVMDEAMDMMASFSTEDFFPNAAGRLVDRVTGLVARCERIFRELDAFFETVIDQHTDPARVVPENGGDLVDVLVSLWKENRGTIRFSRDHVKGLIMDTFIGGINTSSVTMLWAMSELIRKPRVLRKAQDEVRAVVGGKARVEPDDVPKLPYLKMVVKETLRLHPPATLLVPRETVRDVRIGGYDVPARTRVLGPGELGGRQGVPPDRFEGSDVDYNGAHFELVPFGAGRRICPGLAMGETNVTFTLANLLYCFDWALPEGMAAEDVSMEEAGGLTFHQKMPLVLVPTRYHHRTATA >KQK86259 pep chromosome:Setaria_italica_v2.0:IX:984676:985512:1 gene:SETIT_040165mg transcript:KQK86259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDESFKRPGSIPFKWEVQPGIPKQEELPPAAAGDSTAVPAPGLPPTTPKLALPPAARVCALASSSASCRRSSASVSSAPLSPPTTPTLPPPSSQHHHRRSMSARFATSLALPFTRRPRRGRAAKDDDTRRVHTRERVAPPSCACASIELAQHPHAGSFFLPVF >KQK86322 pep chromosome:Setaria_italica_v2.0:IX:1296780:1298714:1 gene:SETIT_039680mg transcript:KQK86322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSRLALLTAGNPPPLRLLLQLHAHLLVSGLLSSPSPFASRLVPAFALTELASPRPLLHALALLASLPSPPDSASPYNLALRALSLCPHPHLLDRHCLPLYRALLSSGSARPDHLTFPFLLKACARVRERFYSGGAVLAHVTRLGFNSDVFVVNAAMNYWSVCGSMADARRLFQESVVRDVVSWNTLIGGYVRKGLPGEALEVFWRMAEEGTLGDLELGKRLHEFVESNGVRCTVRLKNAVMDRYVKCGSLELAKSVFERIDTRTVVSWTTMIVGHARLGTMHDARKLFDEMPERDALPWDALMAGYVQSKQGKEAIALFHEMQEAKVAPNEITMGRCVALGTSLIGMYAKCGNIKKAICIFKEVPEKNALAWTAMVRGLANHGHADEAIEHFRRMIELGLQPDEITFIGVLSACCHAGLVEEGREFFSLMDSKYHLKRKMKHYSCMVDLLGRAGHLDEAEKLVNTMPMDPDAVVWGALFFACRMHGNITLCEKAAMKLVELDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFIVKDKSHMDTNAMYNCLHEITLQIRHTANVIDISATGIL >KQK92345 pep chromosome:Setaria_italica_v2.0:IX:55030101:55031456:1 gene:SETIT_038565mg transcript:KQK92345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSMVDSVSFNPSGDANNASPWPSSILLAQRAYVVISRNDTTASAKSRADHTVEVTFWVADPPAVSFYTFHCSKPPISDCEDADLEVQPHVVGAQGRFILLRTRFASGDDEDEYFIYKGDPESPSLESVPLPDDDRLRGVSEFGIVPRGDGGHYLLVALCYTAKYLDYRLHIFSSEDRTWRTKELLNPCPGVHTILPAKVFMLQDGMLCWVDFAQGLLMCDVLQEPLHAHYIPLPEFLPENRPKVKQFVSGASARRFRDVACVDGMIKFIEMEHRVITEEIIDVPAEKPFNPRDKDVLYDSDLIMLSKHKDVDIKPKILRSVNGWSAMTWTREVGSNCWLKGCAVDVDDILVDHSVRPASYSPWSLKFKNNLAYPTLSTDANDLVYLKSSMILRNPNRLARVVAVDLAKKTLKVKALVGYPFGRRYAPSEQIFRPCALSNYLTRTKGNC >KQK89797 pep chromosome:Setaria_italica_v2.0:IX:36017979:36019186:-1 gene:SETIT_037453mg transcript:KQK89797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRHIVSLVSSRSALRDRRFPPIQSKELPTLECTVSILTDYETAEGYLDWEVGKHGLIIEFTDPDYNIRRSATYLPEVASHEGWGHVETIDTLMKKAGYHGTITESLRKKIRVTRYQSTLYTMHYGEYVAYVKKNRGAAPAINGVTVVNGFKPGH >KQK89798 pep chromosome:Setaria_italica_v2.0:IX:36017979:36020167:-1 gene:SETIT_037453mg transcript:KQK89798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATEEMAVYCFDTLVSHFTGDQPPAPAFEDGNHPLFVTWKKATNGSEPRLRGCIGTLEPRQIVSGFKDYALTSALRDRRFPPIQSKELPTLECTVSILTDYETAEGYLDWEVGKHGLIIEFTDPDYNIRRSATYLPEVASHEGWGHVETIDTLMKKAGYHGTITESLRKKIRVTRYQSTLYTMHYGEYVAYVKKNRGAAPAINGVTVVNGFKPGH >KQK89079 pep chromosome:Setaria_italica_v2.0:IX:20328257:20329720:1 gene:SETIT_038767mg transcript:KQK89079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLQRLFVEVVLTVLLLVAEAAGDADLDTRACRGLMRGRYTRVFAFGDSLTDTGNSAIFPPTAGGTFTQLPYGETYFGHPSGRASDGRIITDFLVEKLKVPLPTPYLAGRTAADFLNGSNFAFGAATALEPAFLETRGLTAFVPVSLSNETTFFEDVLQLLSSSHHEQLSITASSVFFFGEMGINDYFLSLSSNRTVDETASLVPHVVGAIRSALTAVLAAGARAVVATGMPPLGCAPYQLALFPGAPGDYDRVTGCNTRLNGIAELHNRELRRTLDEIRRAHPGRSFLYGDIYSPIVSAVTSPARYGLSDAPLAACCGGGGGPYNYNFTTFCGLPGSTACADPSKSISWDGIHFTEAANRLMASAILSGQ >KQK86918 pep chromosome:Setaria_italica_v2.0:IX:4491384:4493186:-1 gene:SETIT_038952mg transcript:KQK86918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRGGQIYIRELAMEVESKLSGRMDMQRKDRTRMESKVSSLVKENQEIHTMLKAAITEKEAAEDSLRALKGEKEQGRSAILQIADRGLHKVGFGFIMEVISGEPKSEEEPTTSGTATATSDGRENEQEHISLACVIENTVKTLHGDISDLRQAFDESRSDCDHFQLLAAERAQKINNLEPYIKDLEKRESFLVHSVEDLTLEMKAVEQEATRWREACEQEVEAGKSAIKELNQEIALLREELGRVKADLETANSKLQLKEKLAASAMVAQAAADACLKLADRRSAGLQRRIEELTRQIEQEDAHGRKERGSTRRRLRYICWPWQQLQVISASCQARTWFVDQNGRLLPRTEALLQTRI >KQK89644 pep chromosome:Setaria_italica_v2.0:IX:34418207:34419690:-1 gene:SETIT_038891mg transcript:KQK89644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFTTRRSKPELVVPSRPTPHETKHLSDLDNLRNHHEYTAVVCFFRSAGRMPKDTAKAIRAALAEALVYYYPVAGRLRELPGGKLAVECTQEGVVFVSAEADLELQELKPLVLPFPCDGELVCDNVGDVGVVLGKPLFFMQVTQFKCGGFALGLHIMHCIADAFGWSQFMKAVADLAHGEPAPLVLPVWQREFLTARSPPNIADAYQAFQPLVEGTGVHDALQSTPLDTMACRSFLFGRREIAALRSHIVVPANLRQRCTDFDVLAAVTWLCRTAALGYEPSQKVYLYFPSNAHGGRRKGFLRVPRGYYGNALLYRILGVAAGELRAGGLRRAVEIVCEGKGGLSPEYARSTVDLLASLRGRRLLFDGVFVVSDVTEFVGDGLDFGWGEWAGGGVVGPKLASFHTRCKDADGEESVVVSMVLPDVIMEKFQEELAVWLNGDGKNGRRLPRSAL >KQK88704 pep chromosome:Setaria_italica_v2.0:IX:16379502:16381536:1 gene:SETIT_038183mg transcript:KQK88704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRPSPSPSLSRRWHLAPVLLPRRRPPITAPCRLPPPARFSSKISKYSEKSSSIPRRERPDGVRADHFAAAPHSDHHRRRGPLHRLPLAECPTKKHPPLP >KQK86089 pep chromosome:Setaria_italica_v2.0:IX:240747:250241:1 gene:SETIT_034023mg transcript:KQK86089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLRDLSQPIDVTLLDATVAAFYGTGSKEERSAADQILRDLQNNPDMWLQVVHILQNSLNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQLSSNEVTFRQERLYVNKLNIILVQVLKHEWPARWASFIPDLVAAAKSSETICENCMAILKLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRSELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPVAAYRNLTLQCLTEVAALQFGDFYNMQYVKMYTIFMMQLQAILPPETIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEITADNKTALHLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAAAMVPGIVDGTGTAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSNLATTIADLEPHQIHTFYESVGHMIQAESDNAKRDEYLKRLMSLPNQVSCFKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFHNQFYKTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDATSVPYQYTDNAMFVRDYTIKLLGTSFPNMTATEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDDMVDS >KQK86090 pep chromosome:Setaria_italica_v2.0:IX:240747:250870:1 gene:SETIT_034023mg transcript:KQK86090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKLRDLSQPIDVTLLDATVAAFYGTGSKEERSAADQILRDLQNNPDMWLQVVHILQNSLNLNTKFFALQVLESVIKYRWNALPVEQRDGIKNYISDVIVQLSSNEVTFRQERLYVNKLNIILVQVLKHEWPARWASFIPDLVAAAKSSETICENCMAILKLLSEEIFDFSRGEMTQQKIKELKSSLNSEFRLIHELCLYVLSATQRSELIRATLATLHAFLSWIPVGFIFESPLLETLLKFFPVAAYRNLTLQCLTEVAALQFGDFYNMQYVKMYTIFMMQLQAILPPETIPDAYANGSSEEQAFIQNLALFFTSFFKNHMRILEITADNKTALHLGLEYLIGISYVDDTEVFKVCLDYWNVFVLELFEAHNQMEPAAAVSMMGLQMVPGIVDGTGTAVQQRRQLYSGPLSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEQQMLKKLSKQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHEMHPGVQDMACDTFLKIVQKCKRKFVTQQVGENEPFVSELLSNLATTIADLEPHQIHTFYESVGHMIQAESDNAKRDEYLKRLMSLPNQKWAEIIGQASQSIDILKNQDVIRSVLNILQTNTSVASSLGPHFFPQISLIFLDMLTVYRMYSELVSSTIAEGGPFASRTSFVKLLRSVKRETLKLIETFVDKAEDLPHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGEMLEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIGTHCFQALIQLSSQQLKLVIDSINWAFRHTERNIAETGLSLLLEILKNFQASGFHNQFYKTYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCVVDGLTEPLWDATSVPYQYTDNAMFVRDYTIKLLGTSFPNMTATEVTKFVDGLLSSKHDLPSFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLAIPGLIAPSELQDDMVDS >KQK89723 pep chromosome:Setaria_italica_v2.0:IX:35345013:35347727:1 gene:SETIT_037847mg transcript:KQK89723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVRGVLRGIKEKGLTNFLRDVREEGYLNCLLDGNLLQTKIHNIGATLVGVDKFGNKYYEKLHDTQYGRHRWVEYAEKGRYNASQVPAEWHGWLHHITDNTGDQLLAQKTARYLVEHKQNYSGEGEELIYHSKGHALNPGQRDWTRYQPWEPKKEQS >KQK90119 pep chromosome:Setaria_italica_v2.0:IX:39975152:39977797:1 gene:SETIT_040151mg transcript:KQK90119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRTSSSWYTAVSGCVRCGLDGAAFGLLRDMREHDIPLSGFALASLVKACERRGWEEGVACGAAIHALTHRAGLMGNVYIGTALLHLYGSRGLVAEAQRLFWEMPERNVVSWTALMVTLSSNGYLDDALAAYRRMRREGVPCNANAFATVVSLCGSLEDEAPGLQVAAHVVLSGFQTHVSVANSLITMFGNLGRVQDAERLFDGMEERDRISWNAMISMYSHEGVCSKCLMLLSDMRLHGGVRPDVTTLCSLLSVCASSDHVAQGSGIHSLCLRSGLHSSVLVVNALVNMYSAAGKLDEAESLFWNMSRRDIISWNTMISSYVQNDSRIEALETLSQLLQIDEGPPNHMTFSSALGACSTPEALMDGRTVHAMILQRNLHDNLLVSNSLLTMYCKCNSLVDAERVFQSMPIRDVVSCNVLIGGYAALEDATKGMRVFSWMRGAGIKPNYITMINLQGSFKSSDDLHSYGMALHAYIAQTGLLSDEYITNSLITMYATCGDLESSTDIFCRIKNKSAISWNAIVAANVRHGRGEEALKLFMDLRHAGNKLDRFCVAECLSSSACLASLEEGMQLHGLSVKCGLDSDSHVVNAAMDMYGKCGKMDDMLKMLPDPASRPTQCWNTLISGYAKYGYFKEAEDTFEQMVSMGQKPDYVTFVALLSACSHAGLVDKGINYYNSMASTFGVSPGIKHCVCIVDLLGRLGRFADAEKFIDEMPVLPNDLIWRSLLSSSRTYKNLDIGRKAAKNLLELDPFDDSAYVLLSNLYATNARWVDVDNLRSHMKTIKLNKRPACSWLKLKNEVSTFGIGDRSHMHADKIYAKLDEILLKLREVGYVADTSYALHDTDEEQKEQNLWNHSEKLALAYGLIAVPEGSTIRIFKNLR >KQK90770 pep chromosome:Setaria_italica_v2.0:IX:45668077:45670310:-1 gene:SETIT_035751mg transcript:KQK90770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPPPSLEYWRGFFSGARASIFDAIDAAIRVAAADHPDALRARRDAIAERLYTALIALPQPEAPGLPTPGQPALLLPEGAASVPSLCSSDRAEVVTNDGGAAPRNDAVVAEAFRVKAALSNAHEKSEAELLELLQRLRQLEFTVDAIMATEIGMAVKPLRKHASKQIRQLIRSLIEGWKSTVNEWVNDGGPIVDHTPQSVDASCLDQEEGGLPSPPMDEAALFATPCTSIQLSEFFDEMDDDGNIRSDAKERTRCNPPNQEPVRKQSPMGQWYDPEQNWRLDQSAMKQSRPNEPFNWQTKHQPNPGAQGKPSNAAFGPGRPQMMRLELKGSEMRPKQEQDVSVAQRRLKPTVPKQPSPRQDENSVRAKLELAKEAKLEATKRKLQEGYQEFNNAKKQRTVQMVDPQDLPKQGNRNLVLNGKARNSNNIWNRLGMRR >KQK90771 pep chromosome:Setaria_italica_v2.0:IX:45667726:45670310:-1 gene:SETIT_035751mg transcript:KQK90771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPPPSLEYWRGFFSGARASIFDAIDAAIRVAAADHPDALRARRDAIAERLYTALIALPQPEAPGLPTPGQPALLLPEGAASVPSLCSSDRAEVVTNDGGAAPRNDAVVAEAFRVKAALSNAHEKSEAELLELLQRLRQLEFTVDAIMATEIGMAVKPLRKHASKQIRQLIRSLIEGWKSTVNEWVNDGGPIVDHTPQSVDASCLDQEEGGLPSPPMDEAALFATPCTSIQLSEFFDEMDDDGNIRSDAKERTRCNPPNQEPVRKQSPMGQWYDPEQNWRLDQSAMKQSRPNEPFNWQTKHQPNPGAQGKPSNAAFGPGRPQMMRLELKGSEMRPKQEQDVSVAQRRLKPTVPKPSPRQDENSVRAKLELAKEAKLEATKRKLQEGYQEFNNAKKQRTVQMVDPQDLPKQGNRNLVLNGKARNSNNIWNRLGMRR >KQK90009 pep chromosome:Setaria_italica_v2.0:IX:38509080:38511527:-1 gene:SETIT_038049mg transcript:KQK90009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLTAAGSRILGGGGARAAAAALRHRAGMGLPVGRHIVPDKPLPTNDELLWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFATLGVAAAVNDKASKIPYVNSQSLPVRQFES >KQK90010 pep chromosome:Setaria_italica_v2.0:IX:38508779:38511571:-1 gene:SETIT_038049mg transcript:KQK90010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRLTAAGSRILGGGGARAAAAALRHRAGMGLPVGRHIVPDKPLPTNDELLWDNGTPFPEPCIDRLAPHIGKYEALAWLCGGLGFFATLGVAAAVNDKASKIPYTPKVYPYDNLRVELGDRP >KQK91530 pep chromosome:Setaria_italica_v2.0:IX:50412093:50415364:-1 gene:SETIT_036557mg transcript:KQK91530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSRGSRYESRSPYRRSSYSRYRSRSRSVDSSDAENPGNNLYVTGLSARVTDQDLEKHFSTEGEVIDASIVHDPWTRESRGFGFVTMATVKEADRCIKYLDRSVLEGRVITVEKAKRRRGRTPTPGKYLGTKSSRGRRSPSYSPVRRDRYSSRYSPDRERSYSPYSRRRSYSPYDRRRSHSPYDRRRSYSPYDRRRSYSPYDRRRSYSPYYSSRYRSRSPYRYRRRRSPSYDRSASPYYSRRRYRSVSRSPSASPRARGRSYSRSLSPQGSYSRSCSPVSERSTSYSPKKGHSRRERTRSRSSGKRRRSRESYSHSRSSYSRSVSRERSA >KQK88644 pep chromosome:Setaria_italica_v2.0:IX:15705613:15706444:1 gene:SETIT_038479mg transcript:KQK88644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRSGQVCQFWSSTHGLTSTEQNGYQGVFLWHQHFWALGACILNAHPVTFRYVP >KQK93108 pep chromosome:Setaria_italica_v2.0:IX:58824191:58826840:1 gene:SETIT_036217mg transcript:KQK93108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRSPRRPAPLPSASSTRRRGAGEPPPGLFPTREDLLRLLAVLTIAAAAAAVCSRLNRRPEPFCDSLRAPDEYDYADDSCQPCPQNGRCVGGQLECVQGFKRYGASCVEDGLLSQTATKISELLHLRICDQHARALCGQPTKILFHKHDVSDIIDELFSKNPAGLTEDGIQLVKARVLESAQGFFETTFTSNKAEAFKCPELVAERHMPLTCQIRQWISRNVIFVTTICILFAALLWILWIIYWRRALSNRAEQIYEQVCEILEDNAINAKTSYSNCEPWVVASWLRDHLLVPRERKNAFLWKKVEELILEDSRIDQYPKVIKGESKVVYEWQATGSLSGKIKKKVQGARVEAIKLADEMSACVGEVREQGLIT >KQK93109 pep chromosome:Setaria_italica_v2.0:IX:58824191:58826975:1 gene:SETIT_036217mg transcript:KQK93109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRSPRRPAPLPSASSTRRRGAGEPPPGLFPTREDLLRLLAVLTIAAAAAAVCSRLNRRPEPFCDSLRAPDEYDYADDSCQPCPQNGRCVGGQLECVQGFKRYGASCVEDGLLSQTATKISELLHLRICDQHARALCGQPTKILFHKHDVSDIIDELFSKNPAGLTEDGIQLVKARVLESAQGFFETTFTSNKAEAFKCPELVAERHMPLTCQIRQWISRNVIFVTTICILHCSGYCGSFTGDVHYLIELSKYMSRCVKSLKIMP >KQK91759 pep chromosome:Setaria_italica_v2.0:IX:51635066:51637902:-1 gene:SETIT_036525mg transcript:KQK91759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGAAMALALLAAAAALLCAVAALPRLEHPAKTDGSLSLLVVGDWGRKGMYNQSRVAEQMGRVGEELDIDFVVSTGDNFYETGLTGVDDHAFEQSFTDIYTAKSLQKPWYLVLGNHDYKGNALAQFSPVLRKIDSRFICMRSFIVNAEIADFFFIDTTPFQLKYWTHPKGNHYDWRGVAPREKYITNLLKDLDEAMKKSTAKWKIAVGHHTMRSVSHHGDTKELLQLLLPILKINGVDFYINGHDHCLEHISSRDSPIQYFTSGGGSRAWRGFFQPNEDSLKFFYDGQGFMSLQLHQDQADFIFYDVDGNILYRYSQWSLRKAYLPASYFAEE >KQK91760 pep chromosome:Setaria_italica_v2.0:IX:51635669:51637720:-1 gene:SETIT_036525mg transcript:KQK91760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGAAMALALLAAAAALLCAVAALPRLEHPAKTDGSLSLLVVGDWGRKGMYNQSRVAEQMGRVGEELDIDFVVSTGDNFYETGLTGVDDHAFEQSFTDIYTAKSLQKPWYLVLGNHDYKGNALAQFSPVLRKIDSRFICMRSFIVNAEIADFFFIDTTPFQLKYWTHPKGNHYDWRGVAPREKYITNLLKDLDEAMKKSTAKWKIAVGHHTMRSVSHHGDTKELLQLLLPILKINGVDFYINGHDHCLEHISSRDR >KQK88078 pep chromosome:Setaria_italica_v2.0:IX:11357270:11360682:1 gene:SETIT_035869mg transcript:KQK88078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTALGGAAAPARAGLAPQNGILGSNSKPFAGVMLKKAQQVGPLSLRVRGSATSSPRKLFSPKAAAAKSGDGVQIAVLGASGYTGAEIVRILANHPQFHIKVMTADRKAGEQFGSVFPHLISQDLPRLVAIKDADFSGVDAVFCCLPHGTTQEIIKGLPQHLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEESVYGLTELHRDDVRNARLVANPGCYPTSIQLPLVPLVKAKLIKLTNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGITSHRHVPEIEQGLTDAAESKVTISFTPHLMCMKRGMQSTMYVELASGVTANDLYEHLKSTYEVCLPDHVG >KQK88079 pep chromosome:Setaria_italica_v2.0:IX:11357019:11361780:1 gene:SETIT_035869mg transcript:KQK88079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTALGGAAAPARAGLAPQNGILGSNSKPFAGVMLKKAQQVGPLSLRVRGSATSSPRKLFSPKAAAAKSGDGVQIAVLGASGYTGAEIVRILANHPQFHIKVMTADRKAGEQFGSVFPHLISQDLPRLVAIKDADFSGVDAVFCCLPHGTTQEIIKGLPQHLKIVDLSADFRLRDINEYAEWYGHSHRAPELQEESVYGLTELHRDDVRNARLVANPGCYPTSIQLPLVPLVKAKLIKLTNIIIDAKSGVSGAGRGAKEANLYTEIAEGIHAYGITSHRHVPEIEQGLTDAAESKVTISFTPHLMCMKRGMQSTMYVELASGVTANDLYEHLKSTYESEEFVKLLHGSSAPRTSHVAGSNYCIMNVFEDRIPGRAIIISVIDNLVKGASGQAVQNLNLMMGLPENMGLQYQPLFP >KQK86635 pep chromosome:Setaria_italica_v2.0:IX:3033814:3034413:-1 gene:SETIT_040020mg transcript:KQK86635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKKRSLERGEDRAASTGTSLLVLFLCVSALHHAAGAESVSPGASPIVATCMTGPFPELCVGELGQRLLDIQNAIASAAPGQGASIAGAPGQVEVKALVAVALQAASEAGAVAVSIFEGKLPGFNTGVPDFRKCLGNCSVTMSSAMQKLYGASAALRSGNHEVAKTLASRSFTDVSSCTVSCKDLNGDVRLIIVQSLTEF >KQK86327 pep chromosome:Setaria_italica_v2.0:IX:1318311:1318925:1 gene:SETIT_039933mg transcript:KQK86327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVILRTFVHCSGCAGRIRRAIKNYLGVEEVWVSVDTGLVVVAGTNLDASLLRWRVQSMIRKKHRVDIVSDGAAEEQPQYALPPPGYPYPYHYQYPYNSGGGGGMVPHLGPPPAYAQPPAPYPYAAAAAALGWVPEPPQHLLQYVPAELLHARRQYMPNEAPLWLNDENPNGCCSVQ >KQK90461 pep chromosome:Setaria_italica_v2.0:IX:42858281:42860575:1 gene:SETIT_037418mg transcript:KQK90461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKKIMSFFARSSKNQRTFRPKKSGPSGSKGMQLRRHIDNTLGQGDLREAVRLPIGEDLNEWLAVNTVDFFNNVNMLYGTLMEFCTPATCPIMSAGPKYEYRWADGVKVKKPIEVSAPKYVEYLMDWIEAQLDDETIFPQHFGAPFPANFRDVVKTILKRLFRVYAHIYHSHFQMIVKLKEEAHLNTCFKHLTLFTLEFGLIESAELAPLRELIETIRGGQ >KQK92762 pep chromosome:Setaria_italica_v2.0:IX:57149324:57153510:-1 gene:SETIT_040181mg transcript:KQK92762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLDEIKEESVDLENIPVEEVFVKLKCSSKGLTTSEAEARITMFGPNKLEEKKESKVLKFLGFMWNPLSWVMECAALIAIVLANGDHRPPDWQDFVGIVGLLLINSTISFWEENTAGSAAKALMANLAPRTKVLRDGRWSDEDAAVLVPGDVISIKLGDIIPADARLLQGDPLKIDQSALTGECLPVTKNPGSSVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLRAIGNFCIASIAVGIFIEVIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSIDLSLIEIFAAGVEKEDVILFAARASRVENQDAIDAAMVGMLSDPREAREGIEEVHFLPFNPVDKRTALTYIDLADGSWHRVSKGAPEQILDLCNCGDNVRNLVHTVIDKYAERGLRSLAVARQQVPEKSKESPGEPWEFVGLLPLLDPPRSDSADTITRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQNKDEATASIPVDELIEKADGFAGVFPEHKYDIVKKLQEMKHICGMTGDGVNDAPALKKADIGIAVAGATDAARSASDIVLTLEGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFSPFMILVIAILNDGTIMTIAKDRVKPSPYPDSWKLNEIFATGIVYGTYMAVMTVIFFWAMNSTDFFSNTFHVRSLRGSNDEMMSALYLQVSIISQALIFVTRSRSWCYVERPGYMLCAAFVIAQIVATLIAVYASMDFAKTQCIGWGWAGVIWLYSLVTFVPLDLFKFAIRYVLSGRAWSNVQNKTAFTTKKNYGMEEREAQWATTQRSLHGLPAPESEQGGRGSSSSYAAELSEIAVEAMRRADVAKFRERYTLRGHLESSAKLRGVDLSNVKSPYYSM >KQK88094 pep chromosome:Setaria_italica_v2.0:IX:11468569:11471463:-1 gene:SETIT_035950mg transcript:KQK88094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMAMSAFVVTSPSSHDYALSALHARHSQRLHTRRIRSQVRALAQTQLQYNKLGDSDLLISEVALGTMTFGEQNTEKEAHGILSYSFDQGVNILDTAEMYPVPPNKETQGRTDLYIGRWMQSKPREKIILATKVAGYSERSTFLRDNAEVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFSYNSTKWRPSIPFEDQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKAQGLPKIVSIQNSYSLLVRCRFEVDLVEVCHPNNCNVRLLAYSPLAGGVLTGKYLDANADTSKRSRLNLFPGYMARYNASLAKV >KQK88095 pep chromosome:Setaria_italica_v2.0:IX:11467983:11472119:-1 gene:SETIT_035950mg transcript:KQK88095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMAMSAFVVTSPSSHDYALSALHARHSQRLHTRRIRSQVRALAQTQLQYNKLGDSDLLISEVALGTMTFGEQNTEKEAHGILSYSFDQGVNILDTAEMYPVPPNKETQGRTDLYIGRWMQSKPREKIILATKVAGYSERSTFLRDNAEVVRVDAANIKESVEKSLKRLSTDYIDLLQIHWPDRYVALFGEFSYNSTKWRPSIPFEDQLKAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKAQGLPKIVSIQNSYSLLVRCRFEVDLVEVCHPNNCNVRLLAYSPLAGGVLTGKYLDANADTSKRSRLNLFPGYMARYNASLAKEATNEYVKLAKNHGLTPVQLALGFVRDRPFTASSIIGATTMDQLKENIDAFTSAPRPLPQEVLDGIEDLFKRYKDPAIL >KQK87554 pep chromosome:Setaria_italica_v2.0:IX:7903338:7906482:1 gene:SETIT_036973mg transcript:KQK87554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSAAHKIPLEVAHTLVEIAEVARYAYHHRPGYHAGQDGDPTTLPPVDDGGGGASEEAARLREENAMLRARLADDLSLLRELHGAPCVSKECPPDLSNRLMAAVNNASFLAHLEKLQDESARQHTELSSGNTTEVEIGDIPDKMGNGKKGSWVLVACDTAGANLEEISGIDDENYVIINEDDIVDGIATFVARCILEDPKSKSLSPAQLQKGCTRAVMS >KQK87555 pep chromosome:Setaria_italica_v2.0:IX:7903338:7906482:1 gene:SETIT_036973mg transcript:KQK87555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSAAHKIPLEVAHTLVEIAEVARYAYHHRPGYHAGQDGDPTTLPPVDDGGGGASEEAARLREENAMLRARLADDLSLLRELHGAPCVSKECPPDLSNRLMAAVNNASFLAHLEKLQDESARQHTELSSGNTTEVEIGDIPDKMGNGKKGSWVLVACDTAGANLEEISGIDDENYVIINEDDIVDGIATFVARCILEDPKSKSLSPAQLQKAAVAKALDSMKSRWRWSTFWEAGQVIYIMTTWGITLAGLYKSRHVLKVAAKGAAASARFVMKAL >KQK87556 pep chromosome:Setaria_italica_v2.0:IX:7903338:7906482:1 gene:SETIT_036973mg transcript:KQK87556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGSAAHKIPLEVAHTLVEIAEVARYAYHHRPGYHAGQDGDPTTLPPVDDGGGGASEEAARLREENAMLRARLADDLSLLRELHGAPCVSKECPPDLSNRLMAAVNNASFLAHLEKLQDESARQHTELSSGNTTEVEIGDIPDKMGNGKKGSWVLVACDTAGANLEEISGIDDENYVIINEDDIVDGIATFVARCILEDPKSKSLSPAQLQKAVAKALDSMKSRWRWSTFWEAGQVIYIMTTWGITLAGLYKSRHVLKVAAKGAAASARFVMKAL >KQK86483 pep chromosome:Setaria_italica_v2.0:IX:2154570:2156104:-1 gene:SETIT_036695mg transcript:KQK86483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPVRRERDAEAELNLPPGFRFHPTDDELVEHYLCRKAAGQRLPVPIIAEVDLYKFDPWDLPERALFGTREWYFFTPRDRKYPNGSRPNRAAGNGYWKATGADKPVAPRGRTLGIKKALVFYAGKAPRGVKTDWIMHEYRLADAGRAAAAKKGSLRLDDWVLCRLYNKKNEWEKMQMGKGSALAAATTTKEEAMDMTTSHSHSQSHSHSWGETRTPESEIVDNDPFPELDDSFPAFQDPAAAMMVPKKEPQVDDGGNLAAKNSDLFVDLSYDDIQSMYSGLDMLPPPGEDFYSSLFASPRVKGNHTTGGAGLAPF >KQK91273 pep chromosome:Setaria_italica_v2.0:IX:48939797:48943066:-1 gene:SETIT_035684mg transcript:KQK91273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGMGKQGGLPGDRKPGDGGKKDKKYEPPAAPSRVGRRQKRQKGSEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVASQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGVMSFVDKDQLEPGCAILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDVKTRRRIFQIHTSKMTLADDVNLEEFIMSKDEFSGADIKAICTEAGLLALRERRMKVTHVDFKKAKEKVMFKKKEGVPEGLYM >KQK91272 pep chromosome:Setaria_italica_v2.0:IX:48940615:48942969:-1 gene:SETIT_035684mg transcript:KQK91272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGTPGGMGKQGGLPGDRKPGDGGKKDKKYEPPAAPSRVGRRQKRQKGSEAAARLPAVAPLSKCRLRLLKLERVKDYLLMEEEFVASQERLRPSEDKTEEDRSKVDDLRGTPMSVGSLEEIIDESHAIVSSSVGPEYYVGVMSFVDKDQLEPGCAILMHNKVLSVVGILQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIRPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDVKTRRRIFQVLLCDGSI >KQK89492 pep chromosome:Setaria_italica_v2.0:IX:31848028:31848384:-1 gene:SETIT_039318mg transcript:KQK89492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYGAAAEDFVLLRCFDGEEFRVPSALARRAGVVAACMDAGEHVAAGAVPVPGGVAGRVLAAVIAYWIGRHAVSTGDLGRYDEEYVAGLSHDVRVDIIKAAFHLGERGLFELFGPPVA >KQK90726 pep chromosome:Setaria_italica_v2.0:IX:45344276:45347154:-1 gene:SETIT_039819mg transcript:KQK90726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQKIGLPHAHFLLIMIGKYKLTCPEQYDRLISAELPNKQKYPELYTMNYYPRQFNATTIQGKDSYPLYRRRDDSHNEIVRGHKLDNRWVVPDNPYLLQMFNCHINVEVCSSIKAVIYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTSPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKKKSRKKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGATCYEELRTVDGQILPSFRNAAEKRGLIEADNTLFAMILVFCEPHDIRALWNNHIEAMSEDYRRNCKNARTIEQMVLINIRDMLQSMGKDIRSFPLPEIDEQNDTKDNTPREITEESNIEVDPEDMELPKHLNDEQKAAYNEILTAVDRDGGGLFFVDRPGGTGKTFLYRALLATVRGQGKIALATATSGVTASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTTKLLQVASLIIWDEASMTKRQAIEALDKSMRDIMDIPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSGLDRLIDSVYQMNSACLEDPNYITSRAILSTRNDCVDRINLKMIVRFQGEEMVYHSFDTVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAINAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKRKQFLVRLSFTMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATMAKNIKILTAENDDEDENQKQDNKIKLSEKNKKKKKRKSKRDMSDKKEVNQKDTTDRYTKNIVYSEVLTK >KQK92557 pep chromosome:Setaria_italica_v2.0:IX:56099309:56100782:1 gene:SETIT_038839mg transcript:KQK92557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNNGAGGVWRRYAPHALMTLVQLCYTILYFITEAAFNRGLNPYVYVTYRHLLVGVLLWPSCAKKPEMKSETWCLTLNMYFTSLKYTSPTFVTSTGNAVPSITFFMAIILRSYPALVSSMKRYPAELSLTAWISLVGGIQSAVFAVIMQHKQEDWLIGFGLKFWCIVYTGIACNGFTIFIQLYCTKKKGPVIRKGPVFVTMFNPLLTVMVAILAYLIFGENLYFGSIIGGALAILGLYMLLWGKDKDQEQEASKEQEPELDHEKQEKVDDISSG >KQK90230 pep chromosome:Setaria_italica_v2.0:IX:40860343:40862022:-1 gene:SETIT_039556mg transcript:KQK90230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEGLVGQLLPPCLCNAKDGGAGDGRRVGVVVVSPDVSVVVANDSTTVATWKQPPPPPVLADRPSLTSGPTSEAASILRLSLPMIMTGLILYVRPMISMLFLGRLGELALAGGSLAIGFANITGYSVLSGLATGMEPVCGQAVGARNLPLVGATMQRMVLLLLAMSVPVAFLWAHMEPLLLLCGQDAAIAAAAQRYILLCLPDLLFQSFLHPLRIYLRTQSINFPLTACAVLTVAMHLPVNYVLVSVLGFGAGGVALASALANLNLVLLLLAYIYFSGVHRATGGFTLSEKLFKDVTGWMRLARLAVESCASVCLEWWWYEIMILLCGLLANPKATVASMGVLIQTTSLLYIFPSSLSFGVSTRVSNELGANRPAAARAAARAGLALSALQGVASFLFAVSVRDAWARMFTSDASILALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDGARINLGAFYGVGTPVAVALAFWAGQGFRGLWLGLLAAQAACVAVMLVVISRTDWVSQAELAQVLAGVAAPRDNVNGDDGGKDTAPRFKVAAPHGDEDSSLLITVQS >KQK90101 pep chromosome:Setaria_italica_v2.0:IX:39583766:39586705:1 gene:SETIT_035459mg transcript:KQK90101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRSGGRKLPFFTRSSSSSSSSKRNRSARRLPSLPKQDNPARALLPSPSDASPSATPPTAAAVQTAQPPPPLSAAAGAGGAVSGKVGKKKAGARLWMRLDRWGSSEVVELDKASIIRRVGLPPRDLRILGPVFSHSSSILAREKAMVINLEFIKAIVTAEEVLLLDPLAHEVLPFVDQLRQHLPQKSLVGGNGECAPDGNGEKQDGSPGGQVPCLNEATGAEHELPFEFQVLEVALEIVCSSLDLSVSELERHATPVLDELTKNVSTRNLERVRSLKSLLTRLLARVQKVRDEIEHLLDDNEDMEHLYLTRKQVQNQQVEAIMSSAASNSIVPAGTGVARLNSSFRRSVSIATSMHLDNDVEDLEMLLEAYFMQLDGIRNRILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFGIAANTYIVGAFAMNIPSALYNITDGSLFWPFVGGTSSGCFVIVILLLGYAWWKKLLGP >KQK90156 pep chromosome:Setaria_italica_v2.0:IX:40291217:40292414:-1 gene:SETIT_037596mg transcript:KQK90156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRTFRETMSSYCKEVRELGFRLYAVISESLGLEPGYMKETLGEQEQHMAVNFYPPCPSPELTYGLPAHTDPNALTILLMDQDVAGLQVLHDGKWVAVNPQPGALIINIGDQLQALSNGQYRSVWHRAVVNSDRERLSVASFLCPCNDVELGPARKLVTAETPAVYRNYTYDEYYKKFWSRNLDQEHCLELFRTC >KQK91461 pep chromosome:Setaria_italica_v2.0:IX:49934725:49935934:1 gene:SETIT_038312mg transcript:KQK91461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKAGALYINPKKFGAVAKPCMVEMVAFLNCLALNKQEDDKCVRQKDLLVMCTQTQKGKPKNAAKTINYHLQRLGRDKFL >KQK91460 pep chromosome:Setaria_italica_v2.0:IX:49934597:49935934:1 gene:SETIT_038312mg transcript:KQK91460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKAGALYINPKKFGAVAKPCMVEMVAFLNCLALNKQEDDKCVRQKDLLVMCTQTQKGKPKNAAKTINYHLQRLGRDKFL >KQK89845 pep chromosome:Setaria_italica_v2.0:IX:36413161:36415347:1 gene:SETIT_036807mg transcript:KQK89845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCKLHPYANAVGVCAPCLRDRLLALAAERAQAAEASSDGNSCGSSPPHLPARRHHHQHGHATEGAAAARGLFPRSVSPYAAHRRSDAGAYATSSSSQQQQPNLLFFRTPQVGPAAAAAAFRADEPAEEKKTALRRSFLSAIFGGGRRHGREEAGRKEKEPPRRSTSWLSAIIRRKRRPVDLSAAASFPAPPAHLDEEPESPGGSSSSWWFPSPSPARQHHRRGRHGVGGGAGASGDGISGFAVCLSPLVRPSSAGGRRRCQPPDPSSLGDSHRRHASAGGAASFGRNTSRKLADMGRFR >KQK92152 pep chromosome:Setaria_italica_v2.0:IX:54035328:54039022:-1 gene:SETIT_037573mg transcript:KQK92152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKRENESDGEEFGGEGSNPAGGGATPPPLAAAPVVCLLRSVGDFAGGAFVGSVFGYGQGLITKKGFKGSFSNAGSSAKTFAVLSGVQSLVVCLLRRLRGKDDIVNAGIAGCCTGLALSFPGAPQALLQSCATFAAFSCIMEGLNKQKAAMAHTLGATALTIGNEKGGVLPPFTLPPILDASDALASCCQALVKPKHWKTG >KQK89196 pep chromosome:Setaria_italica_v2.0:IX:21749795:21750881:1 gene:SETIT_036774mg transcript:KQK89196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKLLEAVLLPLALLALHAPMTTAANSNHFREYIGAIFNGVQFSDVPINPNVQFDYIFAFVIDYTTATQPPSPTNGQFNIFWQNTVLTPSAVAAIKQSNPNARVAVSLGGATVNSSPVIFSVTSVDSWVENAVSSLTGIIQEYNLDGIDIDYEQFQADPATFSECIGRLVTTLKNNGVIKFASIAPFDDSDVQSHYQALWRSHGSVIDYINFQFYAYDSSTTADQYVNHFNDQIANYPGGNILASFSTEPTANFVPDTALSACQTLQSQGKLYGIFVWVADYSKSQGFKYETQAQALLANANGQ >KQK89820 pep chromosome:Setaria_italica_v2.0:IX:36167544:36170720:-1 gene:SETIT_036301mg transcript:KQK89820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTMTLPPGFRFHPTDDELVGYYLKRKVDNLKIELEVIPVIDLYKSEPWELPEKSFLPKRDLEWFFFCPRDRKYPNGSRTNRATATGYWKATGKDRRIACDGGVYGLRKTLVFYRGRAPGGERTDWVMHEYRLCQDLAHGACNFIGAYALCRVIKRHEAGLLQGEPTAAKAKGASNAAGAARGQMSKGLQQLVPLQQRAAQRVHTHQFQPAASYSGHQQHVPEPPRVRRRRDGDGHHRAAVEPAAPAPVRAQQPPVPARRVFHRRRLPRRGRERVAFALHRRRRRHGDGRRGARPEMGQLDNLPKHLLKWCRGVEHGGEPDAVQAGERRRRRRRPGGVLLLGRDQDRVLISRGPAKRRTWFACS >KQK88509 pep chromosome:Setaria_italica_v2.0:IX:14737801:14739362:-1 gene:SETIT_036702mg transcript:KQK88509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEIEHTHLPIRGLNLHVAQVGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYLAIAPDCRGYGLSDQPPENEEASWDDLVADVLAILDAYSIPKAFLVGKDFGVMPAYEFSLRHPDRTRGVACLGIPFNPAPTSFDAMPEGFYIRRWREPGRAEADFGRYAVRRVVRTIYVLFSGAEIPTAKEGQEIMDLADLSTPLPKWFTEEDLDAYAKLYEKSGFRYPLQMPYRAIHKIPNRLDAKFQVPVFMVMGEKDYCFKFPGFETALRGGIMETFAPDLKITYIPEGNHFVQEQLPEQVNELLLGFFKDHPVVAA >KQK86461 pep chromosome:Setaria_italica_v2.0:IX:2009564:2012116:-1 gene:SETIT_036587mg transcript:KQK86461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGKGETVLVTGASGFIGSTLVRRLLDRGYNVRAGVLNPGDKAETDHLLALAAGAGEGRMSIFRCDLLDGAALIDAARGCAGVFHLASPCTVDAVKDPQNQLMVPAVEGTLNVLRAAKEAGSVRRVVVTSSISAIVPSPGWPAGEVRDERCWTDIDYCEKNGVWYPASKTLAEKAAWKFAEEEGLDVVVVNPGTVLGPMIPPTINASMAMFRRLLEGCTEEYADFFMGPVHVEDVALAHILVFENPSASGRHICVESISHWSDFAAKVAELYPNLNVPKLPEDTQPGLVRAEVGSKKLIALGLQISPVEKIIRDAVESLKSRGYIS >KQK91864 pep chromosome:Setaria_italica_v2.0:IX:52377869:52380047:-1 gene:SETIT_035439mg transcript:KQK91864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDQGSSGAPKPVPGSYGLPVIGAVRDRLDFYYFQGQDKYFESRVERYGSTVVRINVPPGPFMAKDPRVVAVLDAKSFPVLFDMDKVEKKNLFTGTYMSSTSLTGGYRVCSYLDPSEPTHTKVKQMLFNLLLSRKDEVIPTFRSNFSSLLATVESELAKSGKAAFNKLNDVTSFDFIGEAYFGVRPSATNLGSSGPTKAAKWLIWQLHPLVTLGLPMVLEEPLLHTFHLPPFLIKGDYKALYNYYSTAGKQALDMAETLGLSREEACHNLLFATTFNSYGGLKVLFPGLLANIANAGEKLHERLVAEIRGAVAEAGGKVTLAALEKMELTKSVVWESLRLDPPVKFQYGHAKKDLEIASHDGVFQVKKGEMLFGYQPCATKDARVFGSTAREFVPDRFVGDEGSKLLQYVYWSNGRETESPSVDNKQCPGKNFVVLVGRLFVVELFLRYDTFTATVSTELLGASVNFTSVTKATSGPGSE >KQK86694 pep chromosome:Setaria_italica_v2.0:IX:3330570:3339880:-1 gene:SETIT_034080mg transcript:KQK86694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLADRYPLTVSDAEEEEPVELEPGAFVPVDLRRPNPNGIEFDNLYLDMNGIIHPCFHPEGRPAPTTYDEVFKSIFDYIDHLFGLVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLRKEFEAEGRTLAQKEKSEAIDSNVITPGTQFMFVLSTALQYYIQLRLNHTLGWQSVKVILSDSNVPGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALATHEVHFSILREVISMPGQQEKCFLCGQVGHLAAECRGPSQVDSAVELPPIHKKKYQFLHIWVLREYLAKDLEIVDAPFKINFERLIDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMSIYRAEFISMGGYLTDGGEVVLDRVEHFIQSVAFNEEQIFQKRARIQQARENNEERNKIQRESTEENQYVDKVKLGEPGYRERYYAEKFKEEAESKPIDQVRRDVVQKYVEGLCWVMRYYYQGVCSWQWFYPYHYAPFASDLKDLAELEITFFLGQPFKPFDQLMGTLPAASSNALPKYYGDLMTDPNSPLKSFYPKDFEIDMNGKRFAWQGVAKLPFIDETRLLAETRKLEDTLTEEEKFRNTTMFDIIYVRETHPLTAQIAFLYRMYNHLPRTDPYVIPIDPAASGGMNGFLCLSERNWYSITVTSPVKCFNGIAQNRVLNATYLNPQYHKHIPEPPVGVIVPGKILKPSDFKPFPVLWHEDNSRRQARERPQVSGALSGSLLGQAAHRLVKNSLQIKSGSAAGLLEMPYRGAPYGPGNRPRPAGPLGYERGFVDNPHHAHTSRSVPNSHPQFFGDAQANRQPMRILERPNSGSHEAGIHASMSKLTIHEGPRLHQNNRMQNSGYWPNQPHPNHYAGPPPQQPMQNISFTPQRPFQTGGFPQQRPVNGVPPPLPPSNWIGKQPTGGPPGVPAKHDPRTAPDRQLKQDNPRSQQDKRQQATKVYRVKTQATNGNGLSESGKQEEPAA >KQK87954 pep chromosome:Setaria_italica_v2.0:IX:10395349:10397745:1 gene:SETIT_040277mg transcript:KQK87954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSYISIPVSFCILIIATSLCSAADTISAGQPLAGRGGKLVSKNGKFALGFFQRWTGSRSSTPKWYLGIWFNTVPELTPAWVANRENPLPDGTSSELIISDDGNLAIFNRPNRSILWSSQANTTTNNTIAVLLNSGDLVLSDASNSTAIFWRSFDHMTDTFLPGARMGRNKVTGWTHGLVSNKNSHDLSPGIYSGHPSPDSANFELLLSWNSSVTYWSSGQWEGQYFSNMPEMSGRYLFFSEFVSNDREEYYTYWLKNETVVTRYVLDVDGQAKMVLWSDASAEWIFFYPKPDAQCEAYAVCGPFTVCREDVLPFCNCMKGFSIRSQEDWELGDRTGGCNRNIPLNCASSNSGISGGLTDMFYAMRNVIYPDNAKHIEAGSAEECGKSCLGDCSCYAYSYSGKCSVWNSQLLNVLQRYNGSASDEGILYLRLAAEELKTSKHKRRMIVRLVIAATIFAALSLFAIICMFVRRRKRQHSSMEPSNIRGGIMAFGYKDLRHATKNFSEKLGGGSFGSVFKGVLPDSTVIAVKRLDGARQEEKEFRAELSSIGMIQHINLVKLIGFCCQGSKRLLVYEYMPNHSLDAHLFQSSGMPLCWGTRYKIALGVARGLAYLHENCQDCIIHCDIKPQNILLDASFVPKIADFGMAKFVRRDFSRVITTMRGTMGYLAPEWISGVAISSKVDVYSYGMVLLEIIFGRRNSGEEYNSDSTYLPVQVVNKLLQGNVQCLMDQSMHDGINLEEVERACRVACWCIQDHESHRLTMGEVVWILEGLIKVDVPPMPKVLEAISGGTDSTIA >KQK87912 pep chromosome:Setaria_italica_v2.0:IX:10142367:10147310:1 gene:SETIT_035862mg transcript:KQK87912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRLSACARICRQPHTGPCTGFVRPPPRHAVCVAEALGGAGRREGRYFCRPHPSPPAPIHSPIQQQQQHERERLCEFRQREREGKTRNPAGGMATGEEHVAAAVEQTPEKKEASVTELPAPSGWTKKLAPIRGGKFEVIFVSPTGEEIKSKRQLTQYLKAHPGGPASSEFDWGTSDTPRRSARLSEKFKATESPEGEKTPKRGRSSSKRGRKEKKEDADAVDANETVDRGTLEGTDVEMKDAEEEKKEDAPSADVAEKTEGGEEKKDEAPGADAAEKTEQGAEGQEQTNNVAAPESENKSDVKPAESEVAPLAPVVEEEKKEEKTENSLVAEPAVPPAAEPVVLLAASSEGEKKEDGGVTEPAALPVAETKADAPPAEAAKEAENLGQANAAPQEPSAANCDNKGQIQPGASAVRCT >KQK89992 pep chromosome:Setaria_italica_v2.0:IX:38393207:38393847:1 gene:SETIT_039694mg transcript:KQK89992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKERRGLDTETELRCRHRKCPRCMLCWDGKNTGCRYLACPLRGKENKCDFVEWIDYKWLPMFQKVAASIWEVIGKFKKQADEAQVDLLAAIQLRNDVYEEKEALLVEKVEWTREKESLIKEKESLEREMAMRTRLARTTCSTLENRIMSDGNDKKMLYGLILSLFGVIVAVLLAVVFKNK >KQK87605 pep chromosome:Setaria_italica_v2.0:IX:8189583:8189915:-1 gene:SETIT_039116mg transcript:KQK87605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGRARAAPLWLLAAAASLLVVAAAATGRPGASGCTSALVSLSPCVDYMSGIGSEATALGRCCSQLRSVARSRPRCLCAALRVDRTRTLSLPSACSIQTWGRRCSVQQ >KQK89143 pep chromosome:Setaria_italica_v2.0:IX:21310072:21311719:-1 gene:SETIT_037582mg transcript:KQK89143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKKSQPRLNERIMSSLSKRSVAAHSWHDLEIVMQYDSGGSYKLMLQNSQMTGPGAPAVFNCVSIILVLGSRVVEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDGDPMDVLVLMQGEKDDKIIAVCVDDPEYRHLTDLKELSPHRLNEIRRFFEDYKKNENKEVAVNEFLPPTTALEAIQHSM >KQK89261 pep chromosome:Setaria_italica_v2.0:IX:22726375:22727692:-1 gene:SETIT_039531mg transcript:KQK89261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GARKQRNGGEQRNQEVQDSRPYLLGRLSRRSDEQTARPGHDGRAGGLAVVRRVHLGELLWRRGELLQLRRRRIFCPQPRAHAARGRLDAEVGPPPLLAPAARRSRRPRGREDERRRGEAHGAERRLLGRPRGTEPAASRGAAAPLPVAIKDGSRPPAMGPVGVNGCFGEAKKVRPLVLEPLDVGVSGPSGEAANTKPPLGVGPVGVLGRSGAAKNANMFPALLASDPPGVVGAFSGNANMFPAAVVGWIDRSPGANENSAAAEDPARDAIGEGGGVGEGVGVREGGGGGVPGAGSKGASSSSILLRTEEAGRRQRRLRPASAAQGAEKATAECAGSMRSSARCRGAGGGVKKKGSSAMAMAQRGGGLFVSFCFVVALICFILAPYSVTAGGASVRESDGDGLMARTNVSI >KQK91473 pep chromosome:Setaria_italica_v2.0:IX:50041965:50044665:-1 gene:SETIT_039990mg transcript:KQK91473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGITKNPCFSGDPYAAAVASDPLPDDSQGHSFTYVPSGAGFDQPATAAAMSSEPSFFSLSGAAISANLATSASMPSFRLFNELTWPPSAACTFESSRSFAAVPLQAAPPRLSMSGPVQFTSGRFSETSGSVSTISGPPSDRPFMSGPLDRSLSISSSFGHQPGASRLIAERRAARSRRRDEGSLLRFLAKTASKLRFGSPRYGRRPQEPADPENVSFSDGDYRSPPNGNVEWAQGMAGEDRFHVAVSEEHGWVFVGIYDGFNGPDATDYLFANLYVAVHGELKGVLWDDIQAGDDARCGHQELAPGNAERPCFPQANGGGAEAKRRRTEGPLPGSNAAAMHRDVLRALARALKKTEDAFFAAAEARAEDSPELGLMGSCVLVMLMKGTDVYVMNVGDSRAVLARRPEPDLKNVLGKASQDLQQFKAEIMRELEARDKDGLQAVQLTPEHSTAVEEEVRKIRVQHLNDRNAIVNGRVKGKINVTRAFGVGYLKQPKWNSRLLEAFKINYVGTDPYVSCTPALCHHRISAQDKFLVLSSDGLYQYFTNKEVVDQVEAFTAAQPDGDPAQHLVGELVVRAARKAGMASHELLDIPRGARRHYHDDVSIIVISFEGRIWRSSV >KQK90344 pep chromosome:Setaria_italica_v2.0:IX:41867054:41868754:-1 gene:SETIT_035401mg transcript:KQK90344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKERRQGFFSALKGEVVRGLSPARSRGKSLLPRTRKTAAAAAEAPTPEELAQYAPEQFVAHSGSLRPGGEVLAPLMEGPEVSEDDAFGEESGRRDGFGQWVRGHLARAPLMAGGGDGGSGSFRRSDLRLLLGVMGAPLAPVSASAAEPLPHLSVKGAPIESSSAQYILQQYLAASGGAKVLRSVRNAYAMGKVRMVASEFETATRVVKNRGSGAAASAVEQGGFVLWQMSPDMWYVELAVGGSKVRAGCNGRLVWRHTPWLGAHAAKGPVRPLRRALQGLDPLSTARLFAEARCVGERKVAGEDCFILKLSADAETLRQRSEGPAEIIRHVLFGYFSQRTGLLAQLEDSHLTRIQPHAGGDAVYWETTISSFLEDYRAVDGVAIAHAGRSAVTLFRFGETAMSHTKTRMEEAWTIQEAAFNVPGLSTDCFIPPADIRRGSAGEPHCELPPRGGKAGAVHPARVAAAERAHPRRDAVAGDRIHWTVEM >KQK86220 pep chromosome:Setaria_italica_v2.0:IX:821359:823771:1 gene:SETIT_036705mg transcript:KQK86220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVGTSNSMFLIPPGKPSAPCLRPDNTNGNANAADAVAATIKVAPGSIELVRTAPRLDKLRSLLRERPYVLDEDLGVGFQHKKGLYTWQDLCKLIQASDGELLEGLDTLSAVEIDGFWRTVDANSVNTILDMILHNSVLHDWPLNAMQENDVLSVMESDGFVRKIVTHCLKRFGTKVEQEAGSFWSLDEKRVCLQFARRVLGAGKMKFANFMDKWEKSIPSEMCADLQMLEGEVLCEKRGAETWVHAFSVADLPLTPAERFAALFRERPKWEWKDLEPYIRDLRVPGVSSEGLLIKYTRRTQPSSEAEPIFTAR >KQK87038 pep chromosome:Setaria_italica_v2.0:IX:5194642:5195711:-1 gene:SETIT_0356052mg transcript:KQK87038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WPERDETFQLAPGIPPLHTSQLPWNNVGAPEGQPIIFELFIRTDRLSALAEMVVCNSFHEAEAGAFKLLPHVLPIGPLSSDREFRKPVGQFLPEDTRCLRWLDAQPDGSVVYLAFGSMAIFDPRQFEELAEGLELTGRPFLWVVRPDFTAGLSKAWLDEFLARVAGKGMVVSWCPQQQVLAHRAVACFVSHCGWNSTMEGVRNGLPFLCWPYFADQYLDRNYVTDVWRTGLAVSPDADGIVTKEELRSKVEQVVGDAEIKERARVFKDAARRCISEGGSSCENFNRFVNLLSE >KQK87039 pep chromosome:Setaria_italica_v2.0:IX:5194642:5196470:-1 gene:SETIT_0356052mg transcript:KQK87039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAHILVLPFPAQGHVTPLMELSHRLVDHGFEVTFVNTEAHHALVVGALQAAGGTSALAGIHLASISDGVEDDEDRKDISKLVDTYARHMPGHLERLVAEMEAAGRPKVKWLVSDVYAWWSLNVAKRLGIRVASFWPGSAACLAINLKIPKLTEEGLLNNKGWPERDETFQLAPGIPPLHTSQLPWNNVGAPEGQPIIFELFIRTDRLSALAEMVVCNSFHEAEAGAFKLLPHVLPIGPLSSDREFRKPVGQFLPEDTRCLRWLDAQPDGSVVYLAFGSMAIFDPRQFEELAEGLELTGRPFLWVVRPDFTAGLSKAWLDEFLARVAGKGMVVSWCPQQQVLAHRAVACFVSHCGWNSTMEGVRNGLPFLCWPYFADQYLDRNYVTDVWRTGLAVSPDADGIVTKEELRSKVEQVVGDAEIKERARVFKDAARRCISEGGSSCENFNRFVNLLSE >KQK87771 pep chromosome:Setaria_italica_v2.0:IX:9231134:9236000:1 gene:SETIT_035039mg transcript:KQK87771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGTTRPSPYLVAGSSPAARRHVAAHRIRALAAGTTGEAAMDVVSEAELREKGFMGMRKTKLVCTVGPACLEALPALARGGMRVARVNLCHGGREWHRAAMRAVRRLNEEEGFCVSLMVDTEGSQLLVADHGGATSVKAEDESEWLFTSKKTDEAHPYTMHVNFEKFSDGILVGDELVIDGGMATFEVTKKIGNDLRCKCTDPGLLLPRAKLSFWRNGKLVERNFGLPTLSAKDWADIEFLIAEGVDCIALSFVKDDNDIKQLKAYLSRRSLEHIKIFAKIESLESLKNLKDIIVASDGVMVARGDLGVQIPLEQIPAIQESIVTLCRHLNKPVIVASQLLESMVEYPTPTRAEVADVSEAVRQYADAVMLSAESAIGAYPQKALSVLCAASERMECWSREENMQKLLPQHQLAIALPDRISEQICNSAVEMANNLAVDAIFVYTKHGHMASLLSRNRPNPPIFAFTDDANSRKSMNVYWGVIPLHLPLSDSMEDNFKKTISLMKSKGSVKPGDTILLVSDSDLNRPCAAASVFQSIQVRLVE >KQK92287 pep chromosome:Setaria_italica_v2.0:IX:54719081:54723905:-1 gene:SETIT_034327mg transcript:KQK92287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMTGGKFLQKFRLYETRSKFYLIGRDKSRAHWRVLKIDRMECTELGVEEDPTIYTESECQELLWRIHEGNRLTGGLKFVTKCYGIVGFVKFLGPYYMVVITRRRKVGTICGHEIYSIGKSEMITIPSVIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITEKNTGQVVYETMFVWNEFLTRAIRNHLKNTSWTVALVHGFFKQSKLSVSGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTSDGIPSHMASVVQHRGSIPLVWFQETSRLNIRPDIILKADVDYKATRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLTEDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTEFLHCKISTSAKSEDATSGERTVTKPHDDKSSSVQSECVAKLVPILLQKGVLRTNCIDCLDRTNVAQFAYGLAALRRQLHALGLTEAPKIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDHDKQDAINV >KQK92284 pep chromosome:Setaria_italica_v2.0:IX:54717103:54724375:-1 gene:SETIT_034327mg transcript:KQK92284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVQHRGSIPLVWFQETSRLNIRPDIILKADVDYKATRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLTEDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTEFLHCKISTSAKSEDATSGERTVTKPHDDKSSSVQSECVAKLVPILLQKGVLRTNCIDCLDRTNVAQFAYGLAALRRQLHALGLTEAPKIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDHDKQDAINVFLGHFQPQQGKPALWKLDSDQHYNIGRQGTLKEEIARSFIKRSLSDGNILLENSLPVSNCNSGENNTALLPMQQLDDIREPSDSAPDISICEPNPCSSMNYGTVPARHSMSEERQSYLKRLGYPELHSSNFLDLDLLSSSGNSCDEEVFERSSLINSPMDEISVESSTSYSEQGHLDEGRDDTDLSRSSSQLSDTRDYSDRFAHWVANGGMLCY >KQK92288 pep chromosome:Setaria_italica_v2.0:IX:54717103:54724375:-1 gene:SETIT_034327mg transcript:KQK92288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMTGGKFLQKFRLYETRSKFYLIGRDKSRAHWRVLKIDRMECTELGVEEDPTIYTESECQELLWRIHEGNRLTGGLKFVTKCYGIVGFVKFLGPYYMVVITRRRKVGTICGHEIYSIGKSEMITIPSVIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITEKNTGQVVYETMFVWNEFLTRAIRNHLKNTSWTVALVHGFFKQSKLSVSGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTSDGIPSHMASVVQHRGSIPLVWFQETSRLNIRPDIILKADVDYKATRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLTEDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTEFLHCKISTSAKSEDATSGERTVTKPHDDKSSSVQSECVAKLVPILLQKGVLRTNCIDCLDRTNVAQFAYGLAALRRQLHALGLTEAPKIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDHDKQDAINVFLGHFQPQQGKPALWKLDSDQHYNIGRQGTLKEEIARSFIKRSLSDGNILLENSLPVSNCNSGENNTALLPMQQLDDIREPSDSAPDISICEPNPCSSMNYGTVPARHSMSEERQSYLKRLGYPELHSSNFLDLDLLSSSGNSCDEEVFERSSLINSPMDEISVESSTSYSEQGHLDEGRDDTDLSRSSSQLSDTRDYSDRFAHWVANGGMLCY >KQK92286 pep chromosome:Setaria_italica_v2.0:IX:54717986:54723905:-1 gene:SETIT_034327mg transcript:KQK92286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMTGGKFLQKFRLYETRSKFYLIGRDKSRAHWRVLKIDRMECTELGVEEDPTIYTESECQELLWRIHEGNRLTGGLKFVTKCYGIVGFVKFLGPYYMVVITRRRKVGTICGHEIYSIGKSEMITIPSVIVWPNVAYSRDENRYKRLLCSVDLSKDFFFSYSYNIMRSLQKNITEKNTGQVVYETMFVWNEFLTRAIRNHLKNTSWTVALVHGFFKQSKLSVSGKDFWLTLIARRSRHFAGTRFLKRGVNEKGRVANDVETEQIVFEDTSDGIPSHMASVVQHRGSIPLVWFQETSRLNIRPDIILKADVDYKATRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLTEDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTEFLHCKISTSAKSEDATSGERTVTKPHDDKSSSVQSECVAKLVPILLQKGVLRTNCIDCLDRTNVAQFAYGLAALRRQLHALGLTEAPKIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDHDKQDAINVFLGHFQPQQGKPALWKLDSDQHYNIGRQGTLKEEIARSFIKRSLSDGNILLENSLPVSNCNSGENNTALLPMQQLDDIREPSDSAPDISICEPNPCSSMNYGTVPARHSMSEERQSYLKRLGYPELHSSNFLDLDLLSSSGNSCDEEVFER >KQK92283 pep chromosome:Setaria_italica_v2.0:IX:54717103:54721766:-1 gene:SETIT_034327mg transcript:KQK92283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVQHRGSIPLVWFQETSRLNIRPDIILKADVDYKATRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLTEDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTEFLHCKISTSAKSEDATSGERTVTKPHDDKSSSVQSECVAKLVPILLQKGVLRTNCIDCLDRTNVAQFAYGLAALRRQLHALGLTEAPKIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDHDKQDAINVFLGHFQPQQGKPALWKLDSDQHYNIGRQGTLKEEIARSFIKRSLSDGNILLENSLPVSNCNSGENNTALLPMQQLDDIREPSDSAPDISICEPNPCSSMNYGTVPARHSMSEERQSYLKRLGYPELHSSNFLDLDLLSSSGNSCDEEVFERSSLINSPMDEISVESSTSYSEQGHLDEGRDDTDLSRSSSQLSDTRDYSDRFAHWVANGGMLCY >KQK92285 pep chromosome:Setaria_italica_v2.0:IX:54717103:54721579:-1 gene:SETIT_034327mg transcript:KQK92285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVQHRGSIPLVWFQETSRLNIRPDIILKADVDYKATRLHFENLALRYGNPIIILNLIKTREKKPRESLLRAEFAKAIHYINKGLTEDKRLKFLHMDLSKLSRRKGTNVLALLNKVASDVLDLTEFLHCKISTSAKSEDATSGERTVTKPHDDKSSSVQSECVAKLVPILLQKGVLRTNCIDCLDRTNVAQFAYGLAALRRQLHALGLTEAPKIELHAPLADDLMDFYERMGDTLAIQYGGSAAHNKIFCEQRGQWKAATQSQEFLRTLQRYYNNAYTDHDKQDAINVFLGHFQPQQGKPALWKLDSDQHYNIGRQGTLKEEIARSFIKRSLSDGNILLENSLPVSNCNSGENNTALLPMQQLDDIREPSDSAPDISICEPNPCSSMNYGTVPARHSMSEERQSYLKRLGYPELHSSNFLDLDLLSSSGNSCDEEVFERSSLINSPMDEISVESSTSYSEQGHLDEGRDDTDLSRSSSQLSDTRDYSDRFAHWVANGGMLCY >KQK90935 pep chromosome:Setaria_italica_v2.0:IX:46734736:46735773:-1 gene:SETIT_038931mg transcript:KQK90935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTNVGASLLLAVALALLLPSSIYAAQPIKTTPTLWSFHLPLPNGVSGAESLAFDRRGQGPYAGVSDGRVLKWGGSALGWTTFAHSPNYRKIPLCTASVVPSEETESMCGRPLGLQFYTKTGDLYIADAYHGLMKVGPDGGEAEVLATQADDGVPFHFVNGLGVDQATGDVYFTDSSTTYQRRFNTEIMMNADATGRLLKYDVRAKKATVLRAGLPYPNGVAVSSDRTHVVVAHTVPCQAFRYWLKGPKTGQYELMADLPGYPDNVRRDTKGGYWVALNQEKARLDATASAAAPVKHLVGVRLGSDGVEVEELTAAKGVTLSDVAEKDGQLWLGSVELDYVGLI >KQK91992 pep chromosome:Setaria_italica_v2.0:IX:53121042:53122599:-1 gene:SETIT_036918mg transcript:KQK91992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVGRPRRGSSKEQKAAANRDGMEEEMAGLSLSDFEFFKILLPGMYEEALRLPNKFVQELGARRDLKLRLAGAGMPLWDVEVFADEKRGDVYLAQGWKKFARAHDLRDGYVLVFRYDGGAATLAITVFDRSTCRKQYVHAGAAGGGKAGRRSLAIAEPSQFTVSLRQCNLGTKQNQYLNVPVEFQDAHGYARRRRVELRMGGRSWSVNLKRGRRALGDRTALKYGWHQFCVDNGLEVGDTCFFKVIREGPCVDDDDDEWEDEWEDDEHVLQVEVRKKDGTMLT >KQK89474 pep chromosome:Setaria_italica_v2.0:IX:31668513:31676502:1 gene:SETIT_035943mg transcript:KQK89474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKFPRIFGHEAAGVVESVGDGVEDLVPGDHVIPIFTGECRECVYCGSDKTNLCGTYRVNPFKSTMTSDGGTRFAVVDASSGERQPVYHFLNTSTFAEYTVLDAACAVKVHPKAPLEKMCLLSCGISTGVGAAWNTANVSTGSTVAVFGLGAVGLAVAEGARLRGAARIIGVDINPEKFTKGKEMGVTDFIDSKACGKPVHEVIREMTDGGVDYSFECTGINDVLREAFLSTHDGWGLTVVLGIHATPKMMPLHPMELFDGRRITGCAFGDFKGKSQLPDLVDKCINGEVNINFDGFITHKLPFSDINKAFQLLEEGKSLRCLLNF >KQK89475 pep chromosome:Setaria_italica_v2.0:IX:31668513:31676502:1 gene:SETIT_035943mg transcript:KQK89475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTMAAAAANGNGSLANGGSGTRGKPIKCKAAVAWGPGEPLSLEEVEVAPPGRLEVRVKVLFTSICHTDLSAWKGETEMHRKFPRIFGHEAAGVVESVGDGVEDLVPGDHVIPIFTGECRECVYCGSDKTNLCGTYRVNPFKSTMTSDGGTRFAVVDASSGERQPVYHFLNTSTFAEYTVLDAACAVKVHPKAPLEKMCLLSCGISTGVGAAWNTANVSTGSTVAVFGLGAVGLAVAEGARLRGAARIIGVDINPEKFTKGKEMGVTDFIDSKACGKPVHEVIREMTDGGVDYSFECTGINDVLREAFLSTHDGWGLTVVLGIHATPKMMPLHPMELFDGRRITGCAFGDFKGKSQLPDLVDKCINGEVNINFDGFITHKLPFSDINKAFQLLEEGKSLRCLLNF >KQK89545 pep chromosome:Setaria_italica_v2.0:IX:32774952:32776535:1 gene:SETIT_039162mg transcript:KQK89545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTWPLLAALLLPLSFILLHSHAKTGGKDGRRRLPPGPAVVPVLGNLLWVRHHGIDVLRAIRRLHARHGPLLALRMGSRLEVTVSDRRLAHAALVERGAALADRPGFASRDLLGLNAATISTSSYGPLWRLFRRNFVAEVASPARLRLFAPARAAVLAELTDKLRLRNGIGGGGQEGTIVETFQYAMFRLLVAMCFGEQLGERAVRDIAAAQRDLLLYSSTKLMVFAFLPAVTTRLFRGRLRAMLAMRKRLKDMYKPLIGARRELVGAAAPEPQQDDEATTTTLPHCYVDTLLEIWLNDGDGSERALTDDEMVALCSEFLNGGTDTTSTALQWIMAELVKNPAIQGKLHDDVKSTMASTGSDHIAEEDVQKMPYLRAVVLEGLRRHPPGHMVLPHAPAEDMEMGGYVIPRGTTVNFLVADMGMDERAWERPAEFAPERFMPGGDGEGVDITGTREIRMMPFGAGRRICPGLNVATLHLEYFVANLVRAFEWREQEGEEVDVDGEKAEFTIVMAKPLQARIVPRGHS >KQK90033 pep chromosome:Setaria_italica_v2.0:IX:38761851:38762129:1 gene:SETIT_039197mg transcript:KQK90033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRARARGDGACPCGGYRCARISFPVPLPSRARIGPCPFFPRARRCHTAPGDAICGEGVTGGTPRLANCRDA >KQK93029 pep chromosome:Setaria_italica_v2.0:IX:58434787:58435891:1 gene:SETIT_038925mg transcript:KQK93029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDKKKNLLVAPCLLLLPAIVLLHPPAAQAQPSPGYYPSSMVRSMAFSEGYVNLWGPQHQTLSQDQKALTLLMDRSSGSGFKSKRSYRNGYFGASIKVQPGYTAGVNTAFYLSNNELYPGKHDEIDMELLGTVPGEPYTLQTNVYVRGTGDGARLVGREMRFHLWFDPAADFHHYAILWNPDEIVFLVDDVPVRRYAGDAFPDREMWAYGSIWDASDWATDGGRYRADYAYQPFVARFQGFKTAGCEVAAPSTCRPMPASPAGTGLSSQQQDAMRWAQHRSMVYYYCQDHTKDRALYPEC >KQK90184 pep chromosome:Setaria_italica_v2.0:IX:40437752:40439007:-1 gene:SETIT_036518mg transcript:KQK90184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPHPFVYIDAAALHSLLPFPSLIPHLRAGLAHPELSAGIQCPQRVSFPVPTAPSAALLLMPSWCAHPSLPYLALKAVTSFPSNSPRLPSVHAAVSLFSSATGAPLASIEGSALTLLRTAAVSALAASLLASPSRPPSVLALAGAGALAPYLAEAHISALPSISRVLVWNRTRSKSAALVARLRDAHPGLAVEEAGGMDEAVAAADIVSCATGSREPIVRGELLRPGAHLDLVGSFTPEMRECDDEALRRGRVFIDFEAAMEEAGELVGAVQRGVLRRSDVAGTLAELAAGTVDGRRSDDEITVFKSVGTAVVDLLAAQLAYETHIATTKNA >KQK87883 pep chromosome:Setaria_italica_v2.0:IX:9945658:9954201:1 gene:SETIT_034226mg transcript:KQK87883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNTVVSDLESQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTSAVLSTKTSSVATSSTQPTVSTTTSQSTSQDQTEETASQESNPESMPQTPPSKGGNLGPSVPVVPIAISTGSVPVSVPAETINSPVRPTVPTTAAAILSSATPRSAPESTPVVTSIPANLSGTLKDDDSMSFPPRRPSPAITEIGIGRGIARGVTSQTLGTAPISIGPVPGNGSLVSPLGNKVQPQQLPRTNDAISSDSASTNENPILGGRVFSPPVVSGVQWRPQTAAAFQNQSETSQFRGRPEISADQREKYLQRLQQVQQQQGSLLNVPHIAGINQKQFPTQQPNPLLQQFNSQSSSISSQVNLGLGAQGSDAGHVKSEEQQQSLAEDVGVESAATTGANKQTSEDDTKIPYPNPSAPATENTQLPRDTDLSPGQPLQPGMSSSAVGVIGRRSVSDLGAIGDNLTGTSASSGHDQLYNLQMLEAAFHRLPQPKDSERAKNYVPVWVFE >KQK87884 pep chromosome:Setaria_italica_v2.0:IX:9945543:9955353:1 gene:SETIT_034226mg transcript:KQK87884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNTVVSDLESQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTSAVLSTKTSSVATSSTQPTVSTTTSQSTSQDQTEETASQESNPESMPQTPPSKGGNLGPSVPVVPIAISTGSVPVSVPAETINSPVRPTVPTTAAAILSSATPRSAPESTPVVTSIPANLSGTLKDDDSMSFPPRRPSPAITEIGIGRGIARGVTSQTLGTAPISIGPVPGNGSVSALPAINDLSKRNLLNTDERINSGGLSQQLVSPLGNKVQPQQLPRTNDAISSDSASTNENPILGGRVFSPPVVSGVQWRPQTAAAFQNQSETSQFRGRPEISADQREKYLQRLQQVQQQQGSLLNVPHIAGINQKQFPTQQPNPLLQQFNSQSSSISSQVNLGLGAQGSDAGHVKSEEQQQSLAEDVGVESAATTGANKQTSEDDTKIPYPNPSAPATENTQLPRDTDLSPGQPLQPGMSSSAVGVIGRRSVSDLGAIGDNLTGTSASSGHDQLYNLQMLEAAFHRLPQPKDSERAKNYVPRHPAVTPASYPQIQAPIVSNPTFWERIGSDTLATDMLFFAFYYQQNTYQQYLAARELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQTN >KQK87882 pep chromosome:Setaria_italica_v2.0:IX:9945543:9955353:1 gene:SETIT_034226mg transcript:KQK87882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTENANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKALMDARKQIEREMERFKVCEKETKTKAFSKEGLGQQPKTDPKEKAKAETRDWLNTVVSDLESQIDNFEAEVEGLSIKKGKQRPPRLVHLEKSITRHKAHIKKLESILRLLDNDELSPEQVNDVKDFLEDYVERNQEDFDEFSDVEDLYSTLPMEKVEALEDMVSLAPSSLVKGVASVSTSAVLSTKTSSVATSSTQPTVSTTTSQSTSQDQTEETASQESNPESMPQTPPSKGGNLGPSVPVVPIAISTGSVPVSVPAETINSPVRPTVPTTAAAILSSATPRSAPESTPVVTSIPANLSGTLKDDDSMSFPPRRPSPAITEIGIGRGIARGVTSQTLGTAPISIGPVPGNGSLVSPLGNKVQPQQLPRTNDAISSDSASTNENPILGGRVFSPPVVSGVQWRPQTAAAFQNQSETSQFRGRPEISADQREKYLQRLQQVQQQQGSLLNVPHIAGINQKQFPTQQPNPLLQQFNSQSSSISSQVNLGLGAQGSDAGHVKSEEQQQSLAEDVGVESAATTGANKQTSEDDTKIPYPNPSAPATENTQLPRDTDLSPGQPLQPGMSSSAVGVIGRRSVSDLGAIGDNLTGTSASSGHDQLYNLQMLEAAFHRLPQPKDSERAKNYVPRHPAVTPASYPQIQAPIVSNPTFWERIGSDTLATDMLFFAFYYQQNTYQQYLAARELKKQSWRFHRRYNTWFQRHVEPQVTTDEYERGSYVYFDFHVTEDGSGWCQRIKNDFTFEYNYLEDELSVQTN >KQK86585 pep chromosome:Setaria_italica_v2.0:IX:2792692:2795368:1 gene:SETIT_0338341mg transcript:KQK86585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFRVFVRWANDWHSESDKMNDILYLKESLQKLETTILPTTVDKWVSLHPSFGLVCWVDDDELKLQFKNSNDVNFIQFGDLSFEDKQMLYGRVAALMKSLGIQALSKVVYREAIFYGTSENREKVSLICWLLPYMQRYIYKMHKDTYINFQQNEIMKLSNLQVVVVDKLFHKYVLRGLESSSKKRFKCHCLLQGNTLYATQDADSHSVFLELSRIFFDGSPDLHFANFLHMIKTMAGSGTPAEQIESFIINNQNVPELPEHEAVWSFSSLSAANQSPANQEVDPQGVELQPVCGFNAPNHQKAPVMISSWPLNHWRTAPVFKTPLISHQSCTQEAKVNDAGPSSDLSMPALCGHTEDTLLSVDLDGDWIIEENARPETTLLGDSTATILDEPQMMMSDFSSAPTYLDVEAGSSSPTVHVELTNFNEKMANLIEDRNRLPPDATQLKTGRLGEELVHKHFAEQLGSNNVRWMNEKIETGLPYDIVITHSEGFTEYVEVKTTVSSRKDWFDVTPREWQFALEKGDLFSIARVILSSTKRASIEMLKNPYKLYKQKALRLGLLISRQGSAAT >KQK92415 pep chromosome:Setaria_italica_v2.0:IX:55371094:55372152:-1 gene:SETIT_039832mg transcript:KQK92415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APCAPPSPPFASRARELVGLRVLARTRDSFYLLLSCFLIIDICLHQCSMDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >KQK86499 pep chromosome:Setaria_italica_v2.0:IX:2280455:2282064:1 gene:SETIT_038182mg transcript:KQK86499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRASVKRLCGFCKVVKRRGIVFIHCTANQKHKQRQGFSTIAEAAASCLHVPPPPPPPPPVSGSASAAAFAEASKVARQEMLTKFNWPLGLAALLKNGEK >KQK87090 pep chromosome:Setaria_italica_v2.0:IX:5511704:5512496:1 gene:SETIT_037885mg transcript:KQK87090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCRLPCHKCVSPPNTRERPAPSEASNSSAELQGAPRTRAPCQRREDTARVFVQLLRRLRGCHTTTSIEQETARVLPEREGGWKEEEDEEQRRSLPCRLLHGECGLYNGASKPGQGQVMRIRAVRSGWSRDRARHGRLAASMRATPPAGKYPP >KQK89499 pep chromosome:Setaria_italica_v2.0:IX:31914924:31917618:-1 gene:SETIT_036516mg transcript:KQK89499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPKIIELSNGRITTRIASWGATITSLLVPDAHGNVADVVLGFDDLEPYMKGMAPYFGCIVGRVANRIKDGKFTLNGAEYSLPINNGPNSLHGGLTGFDKVMWDVVEHKDGECPSVTFQYHSKDGEEGDVTVRATYSLPEATTLRLDMEAIPHDKATPINLAQHTYWNLAGHNSGDILNHTIQIWGKHITPVDENTIPTGEIMPVEGTPFDFTTEHKIGERINDVPGGYDHNYVLDCGDEKNGVKHAAKLRDPSSSRTLNLWTDAPGMQFYTANYVTGITGKGGAVYEKHAGVCLETQGFPNAINQPNFPSVVVQPGEKYKHTMLFEFSA >KQK89500 pep chromosome:Setaria_italica_v2.0:IX:31915189:31917522:-1 gene:SETIT_036516mg transcript:KQK89500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPKIIELSNGRITTRIASWGATITSLLVPDAHGNVADVVLGFDDLEPYMKGMAPYFGCIVGRVANRIKDGKFTLNGAEYSLPINNGPNSLHGGLTGFDKVMWDVVEHKDGECPSVTFQYHSKDGEEGYPGDVTVRATYSLPEATTLRLDMEAIPHDKATPINLAQHTYWNLAGHNSGDILNHTIQIWGKHITPVDENTIPTGEIMPVEGTPFDFTTEHKIGERINDVPGGYDHNYVLDCGDEKNGVKHAAKLRDPSSSRTLNLWTDAPGMQFYTANYVTGITGKGGAVYEKHAGVCLETQGFPNAINQPNFPSVVVQPGEKYKHTMLFEFSA >KQK88110 pep chromosome:Setaria_italica_v2.0:IX:11608737:11609558:1 gene:SETIT_039054mg transcript:KQK88110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVHTAFNFFYEADIERGNIQGMIPQGTLIRIVHKGLEYIELEANSEIGSDDEHHFFDTLDLMTNDLDELRKKVTSSSQWNSVKNDKEQKINSGETDKAQCSAETTTMYRKKPTRKTEAVQNTNPDETAAIGGTQPMKHFKAQGKDSTEQNISSAEIGQKTGSAEASAMYRRKPTRKTKAEQNRNPGETATIRRNQTKHAKAQGTDSKERNISSAETAQKTGSAETTTGQPWQISWIGKKPRLRK >KQK88802 pep chromosome:Setaria_italica_v2.0:IX:17286909:17288889:-1 gene:SETIT_038390mg transcript:KQK88802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAIAKRRAWDSIRKTSDRNSRDHSASELVDTTGCGDAFIGVVLHGGHQASVLGWSTSTLAGHLCCQL >KQK88920 pep chromosome:Setaria_italica_v2.0:IX:18645716:18649036:-1 gene:SETIT_034292mg transcript:KQK88920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGEPSASASDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKVRMNKVVRQNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVNLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLDNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQVHTHPTIAL >KQK88919 pep chromosome:Setaria_italica_v2.0:IX:18644542:18649036:-1 gene:SETIT_034292mg transcript:KQK88919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGEPSASASDPKGKKDYSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADETCEEPKVRMNKVVRQNLRVRLGDVVSVHQCQDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCIVAPDTEIFCDGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKARSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVNLELIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLEDETIDAEILNSMAVTNDHFKTALGTSNPSALRETVVEVPNVSWEDIGGLDNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKEVDLNALAKYTQGFSGADITEICQRAVKYAIRENIEKDIERERRRKDNPEAMEEDEVDDIAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSEQSTTAGPAAAADPFASTGGADDDDLYS >KQK88619 pep chromosome:Setaria_italica_v2.0:IX:15555386:15557569:-1 gene:SETIT_037950mg transcript:KQK88619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >KQK92187 pep chromosome:Setaria_italica_v2.0:IX:54212063:54213961:-1 gene:SETIT_037471mg transcript:KQK92187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDRQSFLNTSKWIEEVNTQRGGDVLIFLVGNKTDIVDKRKVSTDEGEAKAQEHGAMFIETSAKAGFNIKPLFRKIAGSLPGLDAISSAKQEDMVDINLRPSTGSSASGANAQVEQKSGGCSC >KQK92185 pep chromosome:Setaria_italica_v2.0:IX:54212726:54213810:-1 gene:SETIT_037471mg transcript:KQK92185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDDTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDRQSFLNTSKWIEEVNTQRGGDVLIFLVGNKTDIVDKRFIAVNFSHSYNTSLLFHFH >KQK92186 pep chromosome:Setaria_italica_v2.0:IX:54212063:54213961:-1 gene:SETIT_037471mg transcript:KQK92186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVVSALAKYKLVFLGDQAVGKTAIITRFMYDKFDDTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVTDRQSFLNTSKWIEEVNTQRGGDVLIFLVGNKTDIVDKRKVSTDEGEAKAQEHGAMFIETSAKAGFNIKPLFRKIAGSLPGLDAISSAKQEDMVDINLRPSTGSSASGANAQVEQKSGGCSC >KQK89343 pep chromosome:Setaria_italica_v2.0:IX:24651418:24656660:1 gene:SETIT_035391mg transcript:KQK89343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDGDCGSDAGAEWVWVRRPAEAEAVAAAAGWPAAAADEEARPLKVVFASPARYFTDAAPIGNGRLGAMVWGGVESERLQLNHDTLWTGGPGNYTNPKAPPVLSKVRNLVDNGKYPEATAAAYDLSGDQTQVYQPLGDIDLIFGKHIKHTNYKRELNLHTATVNVTYTVGEVVYSREHFSSNPHQVIATKISANKPGNVSFTVSLTTPLDHKIRVTDANEIIMEGSCPGERPQKDNKTSDHPIGIKFCAILYLQTNGANSKVKILKDKMLKLDGADSVILLLAAATSFEGPFIKPSESKLDPTVSAFTTLSVARSLSYSQLKAYHMDDYQSLFQRVSLQLSHDCNYQLWENRLGQSAEAGSQDATVSDYAFQRADCTRSAVLNDSVKSTVDRIITFKDNEDPSLVELLFQFGRYLLISCSRPGTQISNLQGIWSNDPSPPWDAAPHPNINLQMNYWPALPCNLSECQEPLFDFIGSLSVNGAKTAKLL >KQK91702 pep chromosome:Setaria_italica_v2.0:IX:51350283:51350699:-1 gene:SETIT_038181mg transcript:KQK91702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGINATSNLQAIRLNPPRLPPPVRRRESSGQPLVAERAGGFTAKPLGDAVVVEGMGAGLAHSVSSARKSFRQMAHSDCNASLVVTSCRMASLAAARCSS >KQK87591 pep chromosome:Setaria_italica_v2.0:IX:8090604:8091092:-1 gene:SETIT_040613mg transcript:KQK87591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCTECTRRGQRKPLPYTPHTHLDQGLQTHHNKLTSRS >KQK91535 pep chromosome:Setaria_italica_v2.0:IX:50428743:50429568:-1 gene:SETIT_037533mg transcript:KQK91535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPNRSDAHLSPEDEAAREAEVRGYFDDAAPKRHTKPSRSEHSPVYADAIVPDSSHPELDKFQDLEAHTERLVYEGGKVGEEFVETEYYKDLGGVGKQHHTTGTGFIGMDRDKGASFKLSEDPDAAERHASCKGNPATNEWIPSADTVRSGRRARMIPAYPMLLWRVDTCHQRAIWCLFTNALVMQVAVASFQVYPASDKPSRSDS >KQK91534 pep chromosome:Setaria_italica_v2.0:IX:50428519:50429683:-1 gene:SETIT_037533mg transcript:KQK91534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPNRSDAHLSPEDEAAREAEVRGYFDDAAPKRHTKPSRSEHSPVYADAIVPDSSHPELDKFQDLEAHTERLVYEGGKVGEEFVETEYYKDLGGVGKQHHTTGTGFIGMDRDKGASFKLSEDPDAAERHASCKGNPATNEWIPSADTVYPASDKPSRSDS >KQK91533 pep chromosome:Setaria_italica_v2.0:IX:50429121:50429568:-1 gene:SETIT_037533mg transcript:KQK91533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPNRSDAHLSPEDEAAREAEVRGYFDDAAPKRHTKPSRSEHSPVYADAIVPDSSHPELDKFQDLEAHTERLVYEGGKVGEEFVETEYYKDLGGVGKQHHTVTTAGSLLLLPFSSVTCL >KQK86255 pep chromosome:Setaria_italica_v2.0:IX:961765:963840:-1 gene:SETIT_034509mg transcript:KQK86255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAALLLLLLLVGPLYLAAPAPALAASGTIVFTTLGRSRYAFDIFALPLTPLSSSSSSPAAEVRLTDGASVNYNGNFAPSSDSLLFVSERNGTLNLYAFPVPSASGSGARREALEVPEAAAALPSPLLPWDPIALKDRPSLTPDGAHLVYVSTAEPAEAPRRSWAAVYNTHLPSGATRRLTPRGVADFSPAVSPSGEWTAAASPGPDGWGGEVEDLNTDIYVFRTSDGSRRTLAIRDGGWPTWADETTLFFHRRDSDGWYGVYRAKISFAGGAGVSAASVERVTPPGFHAFTPAASPGAPGLVAVATRRPGSDYRHIEVIDVSGGGGANAYFEVTRPVAPRVHHFNPFISPDGERVAYHRCRGSGNGDSPLLLENIKSPAPDTFSLFRIDGSYPSFSHDGKRIAFVGLPGLFVVNADGSGGRRQILSGEAFPTAWDWKRKGVIYTSIGPTFASERTEVDVIAVSLGDDDDDSSISIKKLTTGSENNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAEDGEAGGIHRLTEGPWSDTMCNWSPDGEWIAFSSDRHNPGGGSFAIYMIHPNGTGLRRVVHSADGGRTNHPWFSPDSKTLVFTSDYAAVSAEPISNPHHYQPYGEIFTVNIDGTGIRRLTHNSFEDGTPSWTPYYLEPEDVGETLQASGTCKFRDCHWLTVDAKPDGLMCGKHG >KQK86256 pep chromosome:Setaria_italica_v2.0:IX:961556:963924:-1 gene:SETIT_034509mg transcript:KQK86256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRAALLLLLLLVGPLYLAAPAPALAASGTIVFTTLGRSRYAFDIFALPLTPLSSSSSSPAAEVRLTDGASVNYNGNFAPSSDSLLFVSERNGTLNLYAFPVPSASGSGARREALEVPEAAAALPSPLLPWDPIALKDRPSLTPDGAHLVYVSTAEPAEAPRRSWAAVYNTHLPSGATRRLTPRGVADFSPAVSPSGEWTAAASPGPDGWGGEVEDLNTDIYVFRTSDGSRRTLAIRDGGWPTWADETTLFFHRRDSDGWYGVYRAKISFAGGAGVSAASVERVTPPGFHAFTPAASPGAPGLVAVATRRPGSDYRHIERVAYHRCRGSGNGDSPLLLENIKSPAPDTFSLFRIDGSYPSFSHDGKRIAFVGLPGLFVVNADGSGGRRQILSGEAFPTAWDWKRKGVIYTSIGPTFASERTEVDVIAVSLGDDDDDSSISIKKLTTGSENNAFPSPSPDGKWVVFRSGRSGHKNLYIMDAEDGEAGGIHRLTEGPWSDTMCNWSPDGEWIAFSSDRHNPGGGSFAIYMIHPNGTGLRRVVHSADGGRTNHPWFSPDSKTLVFTSDYAAVSAEPISNPHHYQPYGEIFTVNIDGTGIRRLTHNSFEDGTPSWTPYYLEPEDVGETLQASGTCKFRDCHWLTVDAKPDGLMCGKHG >KQK91183 pep chromosome:Setaria_italica_v2.0:IX:48338934:48339671:1 gene:SETIT_037935mg transcript:KQK91183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHHLGNQDLKKSAHQNRQNEEDGEIEEGELIEQDHQDIISTSKLKPRKVVLKSVIETSSAEQPQVNDAMAKDAVCTNGATRECDKHILEIMEKMQKRRERFKEAIAPKKDDGDKKELSAVACSTDRIQNQRPLRKRRWGGNS >KQK89959 pep chromosome:Setaria_italica_v2.0:IX:37740140:37740476:1 gene:SETIT_040630mg transcript:KQK89959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTLKRKHTAFKQLSFFLERMRELCIISFIDEKTVKNRRTRETKNQSIRPMRNFFVLKETWKVLQCATFFFSNTQESCVSLH >KQK91705 pep chromosome:Setaria_italica_v2.0:IX:51362898:51366723:-1 gene:SETIT_040236mg transcript:KQK91705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVRPPHPTLSRPLIWPGRNHLGVAAAPRCANAESTASAPETAAPPGSVSFPILVNGCTGKMGLSVAEAAALRGLHLVPVSFSSREKVDTTIEVGQTDIRIYGPSARDDVLSSVIDEFPDVIVVDYTAPDSVNSNADLYCKLGLPFVMGTTGGNKQLLYKSVQDSKNYALISPQMGKQVVAFVAIMKFMAEQFPGSFSGYHLEVLESHQAGKLDTSGTAKDVIACFEEMGISYDMNRMVKIRDPEQQLEMVGVPEEHIEGHAFHLYHLTSPDDSVSFEFQHNVCGRSIYAEGTIDAAIFLHRKVQSKDSKRIYDMYDVLREGYMR >KQK90694 pep chromosome:Setaria_italica_v2.0:IX:44934190:44936620:-1 gene:SETIT_035499mg transcript:KQK90694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDGLEKVSSVAQLTGVDAFVLITTIVRVAATARRNRKTCRELAEQVERIGDLLRSLEEQPGMGIMRRPETSAPLMELHGTLRRACAVVESCRRGGYVRGLFTGGSRAARLRDVQSRIAFFLQLFPIISHLDSTRLLVQVMDSAAARAPSSGEGAAEDVVRPLANSQDPQDDDRVQNLSISQLMNATNAFSFENQMEQGSLATLYKGQLNGNDVTIKRLSISIEGQQLPPMRMRAGFIVDWPTRFRIIEGIAQGAAYLHNHSRLRVIHRDLKPSNILLDSDMNPNISNFDVAKVLCPGMIQDTAACVVGSVGFIAPEYMKQGTFSVKTDVYSFGVMVLEIISGKRWTRSLQETYYRDLLTWAFNRTPYRAKLVQRLKGFVPESLHGISFCSRAVPKCLSLPTRRRVLSQQREMRRCVQVALMCIQEKPERRPDMLEVTRMMRPRKSTVPFPRRPGYAMESPMYAGDRGASMTP >KQK88308 pep chromosome:Setaria_italica_v2.0:IX:13036254:13041073:-1 gene:SETIT_034480mg transcript:KQK88308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGIDFRTGLDRRMNSWRGAGDPSPGEYTFRLDPRGSPELFLYRRSARTYGSGPWNGFQFTGVPNLKSNSLLTFRFVSAPGEEAFYSYDVLDNATVLTRFVVNSSGQIQRLMWIDMTQSWSLFWSYPLDECDGYRACGPYGVCSVERSPICGCAPGFDPRFPAEWALRDGSGGCRRRTELNCTGDGDGFATLTNMKLPESANATVDMSLGLDECRQACLGNCGCRAYASANVSSSGPGTGCFMWTGDLLDMRQFGNGGQNLFVRLAASDLPLSTSSAETHSRTARLVEIIVPSVVGLVLLLVGLYICVMKVKKRRKEATPLPSRNAQSTPFGRRNQIAASSDAQDDSLHNGQQGNNKDCDLPSFDVEKIQAATDNFSIHNKIGQGGFGPVYMGKLDNGQDIAVKRLSRRSTQGLREFKNEVKLIAKLQHRNLVRLLGCCIDGCERMLVYEYMHNRSLNTFLFDEEKQSMLCWEKRFNIINGIARGILYLHQDSVLRIIHRDLKASNILLDKDMNPKISDFGVARIFGTDQTAAYTKKVVGTYGYMSPEYAMDGVFSTKSDVFSFGVLVLEIVSGKKNRGFYHTELDLNLLRYAWRLWRDGESLEFIDPSIADTSNALEVLKCIQIGLLCVQEQPKRRPTMSAVTTMLASETPTLPEPCEPAFSTGRNHHDDDDNGEEEPEVKVCRSDSASSWTVTVVEGR >KQK91686 pep chromosome:Setaria_italica_v2.0:IX:51220406:51227650:1 gene:SETIT_034181mg transcript:KQK91686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFLSKDTNAQTRFNWPWRSQSPLSAQLLVDIPPEIELLDYRRLPGSGSESPSGLLHGEGFKDEPIPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVLFMVCCIGFFFLFVDWDTLIHLKCGVEALESGKKPCDLMNVIKHDPLVPFTLPKMITVGSMVILTSYGLTNFLKFFVQLRSTLNVRQFYYDSLKVDDLEIQTISWPRIIEKVVLLQKSQKLCVVRDLSEHDIIMRIMRKENYLIGMVNKGILSFPIRSWVPGAGPTVRSHKHGRRNHLILPKALEWTLNWCIFQSMFDSKFCVRKEFLTSPDVLKKRLIFVGIAMLILSPCLMIFPLVYVILRHAEEIYNHPSAASSRRWSNLSRWIFREYNEVDHFFRHRMNNSAVHSLNYLKQFPTPLVSIMAKFVSFVSGGLAGALIIIGFVGESILEGHIFGRNLLWYTIVFGTIAAISRKVVADELQVIDPEGAMCLAVHQTHHMPKRWRGKESSELVRREYETLFQYTIIMLLEEMASIFITPYLFIFEVPKRVDDILRFISDFTVYVDGVGDVCSLSLFDFKRHGNRNYGSPFNAPKSLRSSQGKMEKSFLSFQSVYPSWEPDADGKQFLSNLQKFKERQLRQQALAQYQAMEASGFVAGTRGQMDDTFQQHQSATLPPVYNIGPLGLLDTDQRTHPYILDWYYMCHPPHSDTVETPQFEQAFPETGVSTSPPARETSEIEEVGNWDYELYERVQSHLGASTSSALFQNTPVKHHDIEENSNSPWCAHVPAYSGDPQGSFLEPPEFGNRYMTDPRSSRHSGGISEGSTEDLEQSNSRSSSGWRSPQALSKTRYMDDSDIEEGLSLHFADAHHKDEDHRHLVADHQDPMPGGLHVRIIPRSSDPV >KQK88540 pep chromosome:Setaria_italica_v2.0:IX:14944746:14950693:-1 gene:SETIT_035849mg transcript:KQK88540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPSSSSSILLALLVAAAAAATATEAARLPGNWTEELRGAARRARHGHGYVWRHRRRAFENGLGRTPQMGWNSWNHFGCGINENLIKQTADALVNTGLAKLGYEYVNIDDCWAESDRDYQGNFVANRQTFPSGIKALADYVHAKGLKLGIYSDAGTRTCSQKMPGSLDHEEQDVKTFSSWGIDYLKYDNCNDAGRSVMERYTKMSNAMKTYGKNIFFSLCEWGRENPATWAGSMGNSWRTTDDIVDNWGSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNWEVIAVNQDSLGVQGKKVQSNNGLEVVFHNLCISAFHEALCSTAKPQRALARF >KQK88541 pep chromosome:Setaria_italica_v2.0:IX:14944050:14950783:-1 gene:SETIT_035849mg transcript:KQK88541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPSSSSSILLALLVAAAAAATATEAARLPGNWTEELRGAARRARHGHGYVWRHRRRAFENGLGRTPQMGWNSWNHFGCGINENLIKQTADALVNTGLAKLGYEYVNIDDCWAESDRDYQGNFVANRQTFPSGIKALADYVHAKGLKLGIYSDAGTRTCSQKMPGSLDHEEQDVKTFSSWGIDYLKYDNCNDAGRSVMERYTKMSNAMKTYGKNIFFSLCEWGRENPATWAGSMGNSWRTTDDIVDNWGSMTSRADQNDRWASYAGPGGWNDPDMLEVGNGGMSEAEYRSHFSIWALAKAPLLIGCDVRSMSQQTKDILSNWEVIAVNQDSLGVQGKKVQSNNGLEVWAGPLSNNRKAVVLWNRQGYQATITAQWSSIGLASSTAVTARDLWAHSSFSAQGQLSASVAPHDCKMYVLTPK >KQK87936 pep chromosome:Setaria_italica_v2.0:IX:10308587:10310419:1 gene:SETIT_039851mg transcript:KQK87936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLAPPPTSVSSIHRRLPAGSRARGPNSVRFSPRAVSSVPADCLPAAAFKPTELTVPKKPAAIAAPPRPTAAPASTPRKSAGKKKELNPFQRAAAAALDKFEEAFVAGVLERPHGLPRTADPAVQIAGNFAPVGERPPTRELPVTGRIPPFINGVYARNGANPSFDPVAGHHLFDGDGMVHALRIRNGAAESYACRFTETARLRQELAIGRPVFPKAIGELHGHSGIARLALFYARAACGLVDPSHGTGVANAGLVYFNGHLLAMSEDDLPYHVRVTDGGDLETVGRYDFDGQLGCAMIAHPKLDPATGELHALSYDVIKKPYLRYFYFRPDGTKSDDVEIPLDQPTMIHDFAITENFVVVPDHQVVFKLQEMLRGGSPVVLDKEKTSRFGVLPKHAKDASEMAWVDVPDCFCFHLWNAWEDEETGEIVVIGSCMTPADSIFNESDEHLESVLTEIRLDTRTGRSTRRAILPPSQQVNLEVGMVNRNLLGRKTRYAYLAVAEPWPKVSGFAKVDLATGEMTKFEYGDGRFGGEPCFVPMDPAAAHPRGEDDGYVLTFVHDERAGTSELLVVNAADMRLEATVQLPSRVPFGFHGTFITANELGGPRPDRPSS >KQK87645 pep chromosome:Setaria_italica_v2.0:IX:8416476:8419021:-1 gene:SETIT_038267mg transcript:KQK87645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEEEVGKLKEEIQRLGQQQPDGSYKVKFGVLFNDDRCANIFEALVGTLRAAKKRKILTYDGELLLQGVHDNVEITLLPPPAVAAA >KQK89466 pep chromosome:Setaria_italica_v2.0:IX:30615992:30616300:1 gene:SETIT_040000mg transcript:KQK89466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLPADAFDYDSDSQIVTDSSDDHHHGYRMPFPLGDSLRVFRRVDNTFACLVCPHTRHRWSILNEVKDHVLGMALSAPLRGENKKKWSCHSVMAQNEGWME >KQK88267 pep chromosome:Setaria_italica_v2.0:IX:12739126:12741644:-1 gene:SETIT_035186mg transcript:KQK88267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQETTAADDAMSSSLPAPAMLAATAAAVLFGGLVVMAVLYRRNKAEVVSTKGTGGGKGLPPGPRGLPVLGNMHQMLANKPVYRWLDGLLADAGGGIVRVRLGPVHVVAISCPEMAREVLRGRNDAVFADRPTTFAAESFSVGYRSASISPFGDQWRKMRRVLTAEVLAPGTEHRLRAVREGEADHLVRYVRALCGGGGGGGGVDVRHVARHFCGNVIRRLTLGRRHFATAPPACGTGGPGRDEAEHVDALFATLNYLDAFCVSDYFPALVGLDLDGHERVVRGIMRTLRRLHDPVVEERVEEWRQLRKAGERRDPADFLDVLASLDDAAGRPLLTVDEIKAQTILDAVVGRDRLVAERDVRELSYLKACIREAFRLHPYHPFNPPRVAMADTSVAGYAIPKGSQVILSRVGLGRNPNVWEDPLEFRPERHLLDGGGVSLSEPELRFISFSTGRRGCPGLSLGTLITVMLLGRLLQGFDWSAPPGVGRVELREAATSLVRVEPLVLRATPRLPAHLYEAK >KQK91066 pep chromosome:Setaria_italica_v2.0:IX:47537273:47538640:-1 gene:SETIT_039644mg transcript:KQK91066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTFMIRCRASDDLSLAIVDGEAVLTKADARDDRQLWLKDMRYGAGLTDEAGSPAFALVNKATGEALKHSFGHSCPVRAIKFYPAGYVDESVLWAESEEHLGDGFRRIHMINNMDYIFDAEQAIPDYGGARDGTRLILFRWNGGQNQQWRIAPRSAPAAPGPELPPPEHARPVQILCRSGQGLSLTVRDGAAVLARADREDQRQCWVQSFRNTGHVTDDEGHRAFALVNRATGKALGHCRGDELVYLVGHKPDSVGVALLWTQSDDVGEEYHNIRTVSDVGLVLDAASGVPEAGGAHDGTAIIVFPRHGGCNQKWKMLPFY >KQK87084 pep chromosome:Setaria_italica_v2.0:IX:5505182:5505698:-1 gene:SETIT_038457mg transcript:KQK87084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQLWLHIEVSNTELLAVNPAEFAAHIIFGSYICKFIQIYQCYACKLISSIYLVFIQH >KQK91055 pep chromosome:Setaria_italica_v2.0:IX:47419699:47423573:1 gene:SETIT_035331mg transcript:KQK91055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQKASPPAAVRRRLGGAGASAVGWALRVATSIVAWTLLLHLFTFLGIPRPTLPIARPSCLGGRNNSAAAEAVVAAGEAMHLAPPALPPRRLYKSNGYLLVSCNGGLNQMRAAICDMVTVARYLNLTMVIPELDKQSFWADPSDFRDIFDVNHFIDSLRGEVKIIKGLPQKFSEKVPLSMQPISWSSEKYYLRQILPLVRKHKVVRFSKTDSRLANNGLPLKLQKLRCYVNYNALRFTPSIEALGNKMVSVLRSTGSFIVLHLRYEMDMLAFSGCTHGCSDEEMEELTRMRYAYPWWKEKEIDSEKKRLEGLCPLTPGETTLVLKALGFPRDTRIYIASGEIYGGEKRLAVLKTEFPNIVRKEMLLSDDELRPFQKHSTQMAALDYLVSVASDVFIPSNDGNMAKVVEGHRRFTGFHKTIQLDRKKLVELIDLFEDQELSWEEFSAAVKELHMGRMSQPTRRRVIPGQPKEEDYFYANPHECLGPARKRRERLKHIEI >KQK89410 pep chromosome:Setaria_italica_v2.0:IX:27954420:27955021:-1 gene:SETIT_039537mg transcript:KQK89410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNRANGDEATMKILLDLCIAEKNQFNWSNRCLTKLGWQHVYRSFKQQTGLNLGSKQLQNKLNALRRAFQSWKDLQSQSGLGRDKQTCGVAADSSFWDDDEGEISAGAAQPSSQPSFVKPPPFLDELYTLYGHDAQDRGTLLTAGGIREATPSVVTEANAQDLYQDPMPA >KQK90957 pep chromosome:Setaria_italica_v2.0:IX:46818138:46819173:1 gene:SETIT_037931mg transcript:KQK90957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAPALLLAVAVAAAACVAASAQSRLGRIVVSGVVPCNTGTLIDVASSPAFPDAKVELRCGGGAVARATTGRDGSFQMEADAVAGALGALVGACQLVVDTPLAKCNATLPAAGALVSSLQGPLPGMLGSVFRLAPAGFSFRMN >KQK89528 pep chromosome:Setaria_italica_v2.0:IX:32509780:32511814:-1 gene:SETIT_040041mg transcript:KQK89528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGCSNTPSTKSIPADALAEILLRVPPHPTCLARASLAGKGLRGVITSPDFLRTNFLPIGGSSNRVSAAAFDPMEPGWRVVDSRHGRVLLRSPDRLRFLVWEPMAGRRRYIDAPPPHHVEHYKFSNAALVCTTAHEEGHGNCHDCPLSIIFVVTPRRAGTTVATGDFSPLMITERPVVLLQNVLYWTMAGVMGSQEGILSFELEAQRLYLIGQPAYIFDPEREHVQVMKVEDGKLGLVAACGLSLQLWGLVEYNGEGRERWLLDRETYLDADMAPPEPIFEDYYVIWILGVEGSIVFLRTEAGIFE >KQK91648 pep chromosome:Setaria_italica_v2.0:IX:51015979:51017899:-1 gene:SETIT_035538mg transcript:KQK91648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAEEMQVERLHEEADAGGADTDKLSYEIFSILESKFLFGYTDPHQLWLPKAPPPPPLAQASAAAAAGKAAQRGKVCVLCVDGGGGGLRALLAGRALAHLEAALRRASGDPDARVADYFDLAAGTGAGGVFAAMLFSTHSRGAPLFHADDTWRLVSDHAPRLFRRPRASSSASLFCRGKKRPLAEPTAALEAAMKSAFGEELTLRDTIKPVLISCYDLKTSAPLVFSRADALENESYDFRLGEVGRAAWSEAGRFEPAEVSSVDGATSCAAVDGGPTMGSPAAAAITHVLHNKHEFPFVRGVEDLLVLSIGGCSGGGPGATADADLRRMRRWGSKEWARPIARIAADGAADLVDHAVARAFGQCRSSNYLRIQAKRESMPPCGPDGEYEPTPGNVRALLAAADEMLKQRNVESVLFEGRRVGEQTNAEKLDWFAAELVAEHRSRSSRIAPTVAFKQAPQKPSALG >KQK87265 pep chromosome:Setaria_italica_v2.0:IX:6322552:6323201:1 gene:SETIT_040717mg transcript:KQK87265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPYGANQGWASYRAAPGEVVASWVATGQYYTHANNTCAPGRQCGTYTQVVWRRTAEVGCAQATCATGATLTVCLYNPHGNVQGQSPY >KQK90744 pep chromosome:Setaria_italica_v2.0:IX:45497913:45502206:1 gene:SETIT_036853mg transcript:KQK90744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLPMSPELEQIDGEIHDIFRVLQNGFQKMDKIKDSNRQAKQLEDLTGKMKECKRLIKEFDRVLKDEESNNPPEINKQLNDRKQFMIKELNSYVTLRKTYQSSLGNTNKRVELFDMGAGSSEPAAEDNIQMASAMTNQQLIDAGRNQMDQTDQAIERSKMVVAQTVETGAQTAATLTQQTEQMKRIGNELDSVHFSLKKASQLVKEIGRQVATDKCIMAFLFLIVLGVIAIIVVKFNILQGDLMPSPDITMRQRESNQSHSLSTVLPDCPSKQQKHPRHSRTGPARTKLSNP >KQK90745 pep chromosome:Setaria_italica_v2.0:IX:45497913:45502206:1 gene:SETIT_036853mg transcript:KQK90745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLPMSPELEQIDGEIHDIFRVLQNGFQKMDKIKDSNRQAKQLEDLTGKMKECKRLIKEFDRVLKDEESNNPPEINKQLNDRKQFMIKELNSYVTLRKTYQSSLGNTNKRVELFDMGAGSSEPAAEDNIQMASAMTNQQLIDAGRNQMDQTDQAIERSKMVVAQTVETGAQTAATLTQQTEQMKRIGNELDSVHFSLKKASQLVKEIGRQVATDKCIMAFLFLIVLGVIAIIVVKIVHPNNKNIRDIPGLAPPAQNYQIHNRRLLWAEDFIGL >KQK88776 pep chromosome:Setaria_italica_v2.0:IX:17099835:17102445:-1 gene:SETIT_034583mg transcript:KQK88776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGEQSGRRARVATEFNARIRSTSRELLHPERSRTAQAARQLAPSLTLTSDLERQLLLQAAAMAENGGEGEGGEGGEYTKDGSVDLRGNPVPRSKRGGWRACTFIVVYELFERMAYYGVASNLVMYLTERLHQGTVEAANNVTNWSGTVFLTPLLGAFLADAYLGRYWTFVAGSAVYLMGMLLLTLAVSVPALKPPPCDGAGAGATCPRASALQLGVYFGGLYTIALGHGGTKPNISTIGADQFDDFHPPERLRKLSFFNWWMFTVFTGILFSTTVLVYLQDSVSWSWGYGVPTLALAASVAVFLAGTPLYRHKLPQGSPITRMGKVVAAAVWKCRVTVPNDLSELHEADPEYYTGKKRFRVDATSSMRFLNRAAVKTEDAPGWALCTVTEVEETKQIGKLVPLLATMFVPCALMAQVGTLFVRQGVTLDRRLGRGASPFQVPPASLGACVTLTMLVCVAVYDRALVPFLRRRTKNPRGITLLQRIGAGLLLQVATMAITAAVESRRLGFARSHSAALGAPLPLTIFVLLPQFVLMGAADAFLVVGQIEFFYDQAPESMKSLGTAMSLTAYGVGSMLSSAVLSLVERTTAAGRRGGAPWVANDLNASRLDCYYAFLAVLAAANLAAFVVLSCRYEYRAESTEAIGVTATVQSEPAAP >KQK89859 pep chromosome:Setaria_italica_v2.0:IX:36527364:36528706:1 gene:SETIT_036466mg transcript:KQK89859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAHYHHHRESPFLASRGGGGGAPESSPMELQQGQKEAMAPQQAPPLFLDFAHGDCGAGRKRQREAETAAPSMSPHLFSLQPQPQAQGPKVISLAQLHKRPAMGLRLDFDEGSEHVSSTSSASASCLLSDELAAQRDQHRNEMDRLIQEHAERLRRALADTRRRQYRSLLVAAEAAVSQRIREKEAEASEAARRGADLEDRVARLRAEAAAWQAKALADHSTAAALHAQLQQAAAAAQARGKAEDEDNAGAAADDAGSCFVDPDRVVEIAPPPPPPPARPCRTCRRAPASVVLLPCRHLCVCADCEPAVLATAPFAAGAVAPACPMCRGAVTGTVQVFFS >KQK90215 pep chromosome:Setaria_italica_v2.0:IX:40662151:40662667:-1 gene:SETIT_040362mg transcript:KQK90215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRPGSPASMWPRPSALLLLVSFALLSAPRPARALRFDLESGHTKCISDEIKVNSMAVGKYHIVRPLPRRPAPRIAPHLPQGKRAAPRTRRRPVIWDAVAMAMASSVC >KQK92115 pep chromosome:Setaria_italica_v2.0:IX:53764273:53765785:1 gene:SETIT_037255mg transcript:KQK92115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCEEDERLLFPSFAFPAESFAEAATPGSGGEQKKARQRRRRKPRPVGEGEGGDEQAKKRRLSDEQARQLEINFRKERKLETPRKVQLAAELGLDAKQVAVWFQNRRARHKSKLMEEEFSKLRAAHDAVVLQNCHLETELLKMKDRLAESEEEKRKLIAAAAAAAAAAAGGAGAGSSSPSSSSFSTVTHHPAALVGQFGVEAEEAADLTYMSEYAYNSYMNMMDLAPNYFGSSVYDQFN >KQK89948 pep chromosome:Setaria_italica_v2.0:IX:37529122:37529364:1 gene:SETIT_0386481mg transcript:KQK89948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILSNGRYKSVEHRAIVHSTKERMSAAVFHQPCQDSTVAPLPELVKNDGRAQYSSISFMDFIKGYFAAKLDGRSYLESLKS >KQK91995 pep chromosome:Setaria_italica_v2.0:IX:53135346:53136851:1 gene:SETIT_038909mg transcript:KQK91995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILYSAVLSELVSRAVSFLLAKREEQAAAAAQEERLRSLLLRSRTIVEEAERRLVTNRAMLQQLGALRDEAFRGYYVLDAAARCRAAFGGGRDGCKDDDDDDQKEEAEAEACRRAFTLSRFNPAKRVRVPSGDPEACRASAARLRELRQAVRGLEAMIGDMKEFVVFLTTHPLLHRQPYSAHLFVDKCMFGRHMEKERIMEFLLQPEPPGAARLGVLPIVGPAHIGKSTLVEHVCHDERVRDHFSLILFYRGKSLVDETVASFRDKCVVKHQTDNEASSGQRLLIIIELFEDLDEETWKNMLYSSERSMAQGSRMIITSRSEKIVRFGTTRALRLKCLSPEAYWHFFKMTVFGSDDPGQHPKLASLAMEMASLMQGSFLFANIGAVVLRDHFDTQSWSRAVRRIREYLQKNVSLFGEYTDDIKDKDHPRFTWSLINQKPDRYCMLYDIYERGSQEEVPEIPYSDMLAGCAQPRGEYDILFWRSRIPPYLNYVCKCEILDM >KQK92142 pep chromosome:Setaria_italica_v2.0:IX:53958069:53958984:1 gene:SETIT_039488mg transcript:KQK92142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHILQKIELPPPDQPHGGHPPPIVARNPSDLQMIDLNTLPASVIIHFNTRPQGDGALVPVASISTHSSEYVSVQVGANEEVYHEDEDIVCSQPIVPKVGMEFDTIQEARRVYNEYAMKLGFSIRVASSRNSNVTKELIKKEWECSHARKLALDGEDDGEENTSASTSTMTLQH >KQK88921 pep chromosome:Setaria_italica_v2.0:IX:18653185:18653400:-1 gene:SETIT_039316mg transcript:KQK88921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWGGDAPSKGALTFGTKASSYQLCPRPNLLLKDDIISGLPLLKDDVQVEWSAGAAMGDRGRRRSEERGS >KQK90678 pep chromosome:Setaria_italica_v2.0:IX:44569554:44569763:1 gene:SETIT_040714mg transcript:KQK90678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDRRHGKSKAHRVTEHAAGANEISQPKLILAGCEN >KQK88386 pep chromosome:Setaria_italica_v2.0:IX:13832912:13833433:1 gene:SETIT_040575mg transcript:KQK88386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEPRRFYHPIITVSTDYYSSKVDLEVSFANPTLLNRTANCPKFEYWLT >KQK87992 pep chromosome:Setaria_italica_v2.0:IX:10683183:10683914:-1 gene:SETIT_037434mg transcript:KQK87992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRAFVRPIAVATALQLLAALHGAGPCVTTARPLVGRLPAAASRSRATTTSALAVAPAAAAQYQRGAAAGGDEDAAAREGKWLPFAGAHHLPAAYWAHKPVPWAGVAVGASPELGAAGAVEGGGGEKVVRDRDHHRRHGRESYVGDDVTTRQEQLAMWASLLNPKGRGTPATGWLPAPGIGEAADDEPAKAADTVPVEGAEGDEPSASAGQTYWGNNGN >KQK89351 pep chromosome:Setaria_italica_v2.0:IX:24789378:24793463:-1 gene:SETIT_034831mg transcript:KQK89351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHGWQLPYHPLQVVAIAVFLALGFAFYVFFVPFVGGDELQYVVVGLYTPLITCVVVLYAWCAATDPGDPGIFKLKRHPKIQENSDYECPEGKSLSDGCSAVNNSENLSNIFEGKDAPSHPGFSGVLCLICAPFSFLCKRFFHSHDQSSEQQPSEEGMFFCSLCEVEVLQHSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKRFFILMASAVLLLIMQWLVGALVLILCFMKRGELSGQIVSKLGSSFSTAAFVVVVVTCTLLAMIATIPLSQLLCFHILLIIKGISTYDYIVALREQEEQQEHVEHQSPQMSIISSVTGFSTTSSVGPLQRGSWCTPPRLLLEDQYVSHPDMPQNSVGKKAKEDEATKRKSPVKISPWTLARLNAEEVSKAAAEAKKKSKVLQPITRRAEVAKHDKRRPDKSRVFLPEQSPDSRARTSASGTDSNYSDVAMVTPGSLAPLQHEARSAFQPSIASSIRNLNLTSSPESSLDSPDLHPFRVSMSGADELRSFMSLAASESTAQKSIALSRSTSGGYEASGGEDSDRIPSRIVHRSSNWTNVILNSGRREMALDLRMPTSGGFAANTRLN >KQK89667 pep chromosome:Setaria_italica_v2.0:IX:34759533:34760898:-1 gene:SETIT_039393mg transcript:KQK89667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLDHQWLEELENEDLRELDFIDPLSIHQLAESMANELWEQPSQEQQVELDQRQQRSYPAAFSVLGDDINKSYPKGFPTAITAGGGDDSMFSFVDGNSKQLSFSAREHKQEGNTSSLTMTKETKGGRRASSSVPEHVISERKRREKMHLQFATLASIIPDVTKTDKVSLLGSAIDYVHHLRGRLKALQEEHHRSTGSTAESPPLDARCCIGFEDDGEASPKIEADVRGRTVMLRVVCREKKGVLIMVLKELEKHGLTIINTNVLPLSESSSLNITVTAQVQTSV >KQK92400 pep chromosome:Setaria_italica_v2.0:IX:55307629:55311495:-1 gene:SETIT_040248mg transcript:KQK92400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKPWRLPLAIRIRHLRRGAKIGVRGFANDAPELSSNRGSLLRGGSSHVGEKRNSILAGAPEEAGSDLEQKTGEKFKNDVRSAVKSCSGIGSLVIAKCSHIFESRGDNFDGKCSLQDVLKPGLWLSPETLRRFWRVSELKPEDFLDILIGFGSSAAQVRNARFLWNLYRWASQQSKEFQHLPRSNETMVSVLADAHMLSQAESLLLSLDDHMGLPVSSELFSRIIQVYSEANNLEKSVALYDYARCKRLIPSVSCYQLLLHFLIRMGKDDLILRVYLDMLEVGFGSCTKGDVLDSVVMALIKKNKFAQALGILRQLKSLGIKLSKGSLSIVVEEFNKRKDIGDMMNFLEEWRCLPELRLCNRILASSCTNVGTDQAWLIFQRLEDLGFAPDATTFGIFIFHSCREMKLKSAFVYLSECFSRHIKPRVCAYNAILGGVFREGLYRHAKYIFEDMVERKVTPNISTYKIILAGYCWYRQFDDIEQVLRDMKTIGVNDFPSGNCAFSKALSFLGLDHLGVKIKRDNATGFPKAEFFDSVGNGLYLDTDSKKFEISLAHILDTAIHPVVNSELVSASQQGNVASALLVKDEAFQWGYDISPASCLELFKALCVSPAYLLDAIDLMEEMPDIFDKFGAHNLNLVIQTMSRKGMSAHARLVLEKMFREGLSISKDTYTYLMLGFCKERNIAGFWDCLNLATKYRWSPDSKDMMALTNCLCKWGVIEEALKFMNPLFDCYPDLFSSAYFALLKELCRTGYTSVGCAMLEALKEKGMVVDHSLLICVMEGFLKEQRTAESIGMYDIWFNRCKELDAFTYRSVLPSLPWLDTDRAKNLAESALTMEFPEFSYCSCILKELVQTGNMKLVMSVLPESTHGKLSGTLLNSLLQAYGCLKNWRKLDAVLCMMLKMDDDLSIPSYRFLVCRMCEQSRFSSASSLRALFQHSDKSRELITCNILIFYLSQRRNTSQVHDLLKDMECNGISPDRTTYDFLVYGFHKSGDTDSSVSMLDACISQGLQPSNRSLRIVLSHYCTLGNLEKSLALFQLIESSGWKHGLIIKTTLTSCLLSFGRHLEAKSCLNNLSKSEFIGSYMNFDGLIKKFCTIGDLRMSLNLLNTMLKKGKLPSEVSYSSVIYRLCILKEFDQALDFLAEMQFASLRPSESSCDALVHGLCALGRTCDARKILEMLTTLGSTPSHGMYRVVLDSYCRNSNLQKATALLHDMQQAGQVPNFEMHWSIISNFSSTNKKTEGNGEPILPNLFLCANPP >KQK88536 pep chromosome:Setaria_italica_v2.0:IX:14884971:14894571:-1 gene:SETIT_039656mg transcript:KQK88536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGREAAVAAVAAELEDSAGKAAGAAAPALSPLSETLWRDRAAAGGVLLGDVSARLAWRDLAVTVALGTGDTQAVLQGLTGHAEPGTITALMGPSGSGKSTLLDALAGRLAANAFLSGTVLLNGRKANLSFGAAAYVTQDDNLIGTLTVRETISYSARLRLPDKMPWEEKQALVEGTIIEMGLQDCADTVVGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLARDGRTVIASVHQPSSEVFTLFDCLYLLSGGKTVYFGKASEACEFFAQAGFPCPPMRNPSDHFLRCINSDFDKVKATLKGSMKTRFERSDDPLEKITTSEAMRRLINYYQHSQYHINAQQKVDEMARVKGTVLDSGGSQASFAMQAFTLTKRSFINMSRDFGYYWLRLIIYIVVTLCIGTIYLNVGTGYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVLAFVISNTLSAMPFLILITFVSGTLCYFMVRLHPGFMHYLFFVLALYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPHDIPKPFWRYPMSYISFHYWALQGQYQNDLKGLVFDNQDDELPKIPGEYILENVFQIDVNRSKWLDLAVLFSMIVIYRLLFFVMIKISEDVTPWVRGYIARRRVQNRRQRKVELAARSPSLRGYVIRLSKIGSSDSGAAATGAAAAGGASAAAGVAAALGGGVPESVTVACPDHLIIADLPVAKSLGAVTTSAAAAARAIGRRSRRPLGERVHICSRCEFPIAIYGRLIPCEHAFCLTCARSDSSCYLCDERIQKIQSVKMMEGIFICAAPMCLKSFLKKADFESHVPEAHANLLQTNVEKEERNESDAPNISRASAGDTQRQSQMPEMSTARAPPRPGVSPTSTPHMQDREERSRYHQSREQTPLRPPMLSKPPSFHGRHSYPSGDTQAENNPPQGFDRYNWASQSRQESPGAATPLRQEPDHSTQDKQQLMANAPFMFPPIPPHQANFMMPMNMNQPMIPNAPFNYPLQQDGNPQYFAAPFQMQLQDTGSDQGSMSGVQPPGGPMSFPEGLQRPWAMGLMGGDPQGGGGMAFMQAGFGGIPDGSMNPGMSDRGDGRGVLAQMPMQMQMQMQMQMSLPPPPPTQPPSGSQQSFNRT >KQK86152 pep chromosome:Setaria_italica_v2.0:IX:477363:481452:1 gene:SETIT_035655mg transcript:KQK86152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAVLRNAGSRRLFSYPTLRATTISAPAALPDAPAAAAPAQPPPMAGTLWARAMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEAGKAKAIAFDEIDKAPEEKARGITISTAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLTFYKFPGDDIPIIRGSALSALQGTNDEIGKNAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVVTGRVEQGTIKTGEDVEILGLTQSGPLKTTVTGVEMFKKILDHGEAGDNVGLLLRGLKRGDVERGQVVCKPGTLKTCTKFEAEIYALTKDEGGRHTAFMSNYSPQFYFRTADVTGKIELLGETKMVLPGDNVTANFELISPVPLEPGQRFALREGGRTVGAGVVSKVIS >KQK92121 pep chromosome:Setaria_italica_v2.0:IX:53810475:53813314:-1 gene:SETIT_035083mg transcript:KQK92121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEATPTTAVSSSGGAAPVPPPGPPAKKKRALPGMPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSGKEARKRVYVCPEPSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGSREYRCDCGTLFSRRDSFITHRAFCDALAEESAKARAEAPPAEENGGSAAVGPPPAPPLTQAPVPAAPVPLRQQPPPPPPAPAPPHRPVQRNEPETNTPEPVQFAPPQAAVPVLSQTSAAAANVSASSSSSVAGTSQSLIGGMFAPSSMAPAPQFADLGGGVSRPERALPAKPPSLCLATDASSSIFSAPAAADRQQFAPPPPPSPSPHMSATALLQKAAQMGATSSSSSFLRCLGLDVSSSPGASSSGQQHHHEAMQASLPDTSMQQWPQRMEPEPSPMLSPGLGLGLPYDSSGAPVCLPDLMMGQSSLFSGKPATLDFLGLGMSPTGASASRGFSAFIQPIGGAVGMAGIGAGAVETFVAGRGPQATPCERNSSTSPIL >KQK90797 pep chromosome:Setaria_italica_v2.0:IX:45816865:45817797:-1 gene:SETIT_0347481mg transcript:KQK90797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPPAVPFVAVVLATVLFLVTILRRWNHPKLKHNLPPGPRPWPVIGNLNLIGALPHRSIHELSKQYGPLMSLRLGSFPVVVGSSVDAARFILKTHDLAFIDRPRMASGRYTGCNYSDVLWAPYGAYWRQARRLWKTEILSARRLRSHEHVRDEEVRAMLRDLYGHGPEAPASAAAGRAVVLLDHLFMANLNAISRMVLGKKYVVHGGTGSSAAATTPEEFKWMIDEFFFLSGALNVGDMIPWLGWLDPQIKRIKRLGRKFDRFLEQVLDEHNERRRREGEEFAAMDMVDLLLELADDPNLG >KQK90709 pep chromosome:Setaria_italica_v2.0:IX:45226489:45230135:1 gene:SETIT_035377mg transcript:KQK90709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREAHFGGDDAAAAAAAKDKNAEADAGAASAATAAPGGGHKKLSLVPLIFLIFFEVAGGPYGAEPAVQSAGPLYALLGFLVFPFIWAIPEALVTAELSTAMPGNGGFVLWADRAFGPFSGSLMGTWKYVSGAINGAAFPALCADYLARVVPAVSGGGPRTATIVAFNVALTVVNYTGLTVVGWSAVGLGVASLSPFLLMSGVALPKIRPRRWGGVAPEKDWKLFFNTLFWNLNYWDSVSTMAGEVERPGKTLPKALVSAVAMTSLGYLLPLMAATGAIDVAPEDWGNGFFADAAGMIAGRWLKYWIEVGAVLSSIGLYSATLSSAAFQLLGMADLGLLPSAFATRAPVFNTPWVSIVTTSAITLGMSFFSFNNIVAAANFLYSLGMLLEFAAFIWLRIKRPDLSRPYRVPARLPGAVALCLVPSAFLVFVMAIAGWKVYAISAAFTAAGVGVYYLMRFCKERGCLRFSDGGDEGAAAAYHQRQGSRNGDV >KQK90342 pep chromosome:Setaria_italica_v2.0:IX:41837682:41838611:-1 gene:SETIT_037083mg transcript:KQK90342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVAFHPDLRRALRSSPQEALPSSLQHAVLDAFAELPDGGAEQPPVPRRAVELHVPEELGGAVGQPLEPLHGCAGAGCLAASASAAGEHEERRDEAQDGVRDAARERRPRQPLPGLLLLELPQLPPVQRGVALVRGAPQPPAPPEQGRVGGVRPPGGHLQRGLHLDVRHLQPLDALPRAQTVPVPADEERVIGVGGDEDRSVKLRGEVIMEGKGWMCSPGQSLRAQAMDAKITQNKWRSSQVVSDGDWSVHVQMRTAAVG >KQK86323 pep chromosome:Setaria_italica_v2.0:IX:1300148:1303187:-1 gene:SETIT_036572mg transcript:KQK86323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRHRQVEANGISMHVAEAGPEGSSAPAVLFVHGFPELWYSWRHQMGYLAARGYRCVAPDLRGYGGTTAPPEPSSYTAFHVVGDLIALLDALRLPKVFVVGHDWGAIVSWNLCVLRPDRVRALVNLSVAFMPRRPGVKPVEYFRAAYGDDYYVCRFQEPGVEAEFAAFDLKSFFKLALTVNTTGSSSMNLRKMQAYNKEIALPSWLSEEDVSYLASVYAKTGFAGGVNYYRCLDLNWELMAPWTGAKVQVPTKFIVGDGDLAYHHPGVRSYIHKGGLKRDVPMLEEVVVIKGAGHFIQQERAQEISKHIYDYIKKFETGASTLKVSKL >KQK89580 pep chromosome:Setaria_italica_v2.0:IX:33385710:33386738:1 gene:SETIT_039616mg transcript:KQK89580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLHKFVDAEQWEAEDDVGRLGLVAHAAFLHAGFLPYGAKPRSGQLMKQAGDTGSSSFLSRRYTAPELAHRDSAETAVLMLCKGEGGDFALFMYLTTDRDMRRTYRQRLDAAAMAPLLSRSLDDTDPWGSRFCRWLADGACWGLLVELCRRNGLPLTVFTSLPEDVVVEILKRVGDGAALARAACASRLLRRLVAAYDVELWKPLYEAAVGVGEELYMMFFFPKLSEGFISWKWRCAKVLQLKQLFSRPIATTPPIQSNPRNARGKRGERRCRHPNVPLHDDYGTKRQDSGDVQSPERIATEKSAEGHRHKVPRYDWNRKRRHVAGAIHSPSSRYRWNHR >KQK86419 pep chromosome:Setaria_italica_v2.0:IX:1768109:1771503:1 gene:SETIT_037085mg transcript:KQK86419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMPALLLLVAAAAALVLPAAARIPGVYGGGAWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNEGQSCGACFEIKCENQPGWRWCHPGSPSILVTATNFCPPNYALPSDDGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVSYRRVPCRKSGGIRFTINGFRYFNLVLITNVAGAGDIVRASVKGSGTGWLPMSRNWGQNWQSNAILVGDALSFRVTGSDRRTSTSWNAAPRNWQFGQTFEGKNFRV >KQK91554 pep chromosome:Setaria_italica_v2.0:IX:50530927:50533389:1 gene:SETIT_0364341mg transcript:KQK91554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQGMHSDVATMRNTSIWREASRIVYEEGFRAFWKGNLVTIAHRLPYSSISFYAYERYKNLLQMLPGLEKNGGFGADVGVRLLGGGLSGVTAASVTYPLDLVRTRLAAQTNTAYYRGISHALFAICRDEGFRGLYKGLGATLLGVGPSIAVSFSVYETLRSHWQIERPYDSPVLISLACGSLSGIASSTFTFPLDLVRRRMQLEGAGGRARVYHTGLFGTFGHIVRTEGLRGLYRGILPEYCKVVPGVGIVFMTYEMLKAILTGLESDD >KQK90813 pep chromosome:Setaria_italica_v2.0:IX:45905257:45908093:-1 gene:SETIT_037795mg transcript:KQK90813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAESVPETSIHEFTVKDCNGKEVSLETYKGKVLLVVNVASKCGFTEVNYTQLTELYQKYRDKDFEILAFPCNQFLRQEPGTADQIKDFACTRFKAEYPVFQKVRVNGPDAAPVYKFLKASKPGLFGSRIKWNFTKFLVDKNGKVIDRYATSTTPMSIEKDIQKALEK >KQK88132 pep chromosome:Setaria_italica_v2.0:IX:11769677:11773916:-1 gene:SETIT_039925mg transcript:KQK88132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFSSLACSLYRRRIVECKAAAYSSRLPSRARPPACPRIAAGANTTSARGIDSSIITIQTMKRWETLNHMAYKFGRLDKSDGKLTLKILSSIVERSGLDRITYIYCIAVPILIQAQMHSQAMSVLKHLAMTGFSCTAILSSLLRTISRFDSTNHVVFDILVKAYVKERKVVDAVVAVFFMDDCGFKASAVACNTILNALVEEGESKHVWWFLRESLARKFPLDVTTCNILLNSLCTKGEFRKAEDMLQKMKSCRLSNSVTYNTVLHWYVKKGRFKAALCVLEDMERNGIEADVYTYNIMIDKLCKIKRSARAFLLLKRMRKDNLTPDECTYNTLINGFFGEGKINHARYVFNQMLRENSVPSVATYTTMIDGYCRNGRTDKALSVMSEMQISGVIPSDISKAKQILRNMLADGIDPDVITYSALINGIVGMFTEAEQFRQYMSRMKISFDSVSFNCIIDSYCHRGNITDAFSVYDDMVRYGHSPDVCTYQNLLRGLCQGGHLVQAKQFMSCLLDIPSAIDEKTFNALLLGICKYGTLDEALDLCEKMVRNNFLPDIHTYTILLGGFCRKGKILPAFTLLQMMLEKGLVPDTVAYTCLLNGLINEGQVKAASYVFQEIICKEGLYADCIAYNSLMKGHLKGGKLNTMKSVISDMHKNEVYLNTASYNILMHGYVKKGHYSRSFYLYKDMVRKGIRPNNVTYRLLILGLSECGMIDIAVKFLEKMVLEGIFPDKLAFDILITAFSEKSKMHNALQLFNSMKWLHMSPSSKTYSAMINGLIRKNCFDQSHEVLREMLQGGLQPNHTHYIALVNAKCRIGEIDGAFRLKEEMKALGVVPAEVADSSIIRGLCRCGKLEEAVIVFSSMMRSGMVPTIATFTTLMHGLCKQSKIADALHLKRLMELCRLKVDVVSYNVLITGLCNNKCISDALDLYGEMKSKGLLPNITTYVTLTGAMYATQRMQVGEKLLEDIEERGLIPSKHSESLERRMEDAIRRLNMIRNCRKGMPVKEVEVLPVESFQ >KQK88133 pep chromosome:Setaria_italica_v2.0:IX:11787214:11788587:-1 gene:SETIT_039365mg transcript:KQK88133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CAGCHLPHVCPPCATRSARRGFDLHSAQWYSAMVTSSAQWILHDSSNLTMARALSKLIAEETRLRSTSVASMSVSHSTSSEPCKHCGKTSHTAENCFSEHPEKLAEFRARRAARAARGRGTTLTPRGSMSVAATSPSVNDGASIQTTDGTSCSITHEGSSNWDYAWD >KQK90681 pep chromosome:Setaria_italica_v2.0:IX:44584742:44586620:1 gene:SETIT_036863mg transcript:KQK90681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRAPPPFRQPLSPSSRSRRCPTCHAVAATARPCPGPSWTGVHGGGGGAKKRLLASRRWPGGVGVPSLLATTDEGVAAAGVAREEDDDDEYLAREAGWGVRQMGRVGEEMRRVAQVQAKAFHVPVALFNDFFFDFFKAEVLSALIYRVRNSPPDRYACLVAEEAEPTTQLSQAPYEKIVGVVDCTVQDEDDILKHLQGADEYLYVSGIAVLPSFRRRKVGTALLKACEALGFQWRHRFMVLRAYEDDDGARGLYAKAGYRVVSRDPDWVTWVGRRRRVLMIKELPLHDNQI >KQK86906 pep chromosome:Setaria_italica_v2.0:IX:4400783:4403177:1 gene:SETIT_034503mg transcript:KQK86906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWSGLWGGKAGGDAYRGTPVVVKMENPNWSISEISSPEDDDEEILAAGARRKGGRTKNAKQIRWVLLLKAHRAAGCLASLASAAVALGGAARRRVAAGRTDAEAGVVAATGESPVVRSRFYAFIKAFLVVSLLLLAVEVAAYINGWDLADSALALPVIGLESLYASWLRFRAAYVAPGIQFLTDACVVLFLIQSADRLIQCLGCFYIRLKRIKPKPKSPALPDAEDPDGGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSNFLVQVLDDSDDPLTQTLIREEVAKWQQQGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKVL >KQK86907 pep chromosome:Setaria_italica_v2.0:IX:4400783:4404628:1 gene:SETIT_034503mg transcript:KQK86907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWSGLWGGKAGGDAYRGTPVVVKMENPNWSISEISSPEDDDEEILAAGARRKGGRTKNAKQIRWVLLLKAHRAAGCLASLASAAVALGGAARRRVAAGRTDAEAGVVAATGESPVVRSRFYAFIKAFLVVSLLLLAVEVAAYINGWDLADSALALPVIGLESLYASWLRFRAAYVAPGIQFLTDACVVLFLIQSADRLIQCLGCFYIRLKRIKPKPKSPALPDAEDPDGGYYPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSNFLVQVLDDSDDPLTQTLIREEVAKWQQQGARIVYRHRVLRDGYKAGNLKSAMSCSYVKDYEFVAIFDADFQPNPDFLKRTVPHFKDNDELGLVQARWSFVNRDENLLTRLQYINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIKCKIAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPDWVVCYIPALMSLLNILPSPKSFPFIIPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLISLAPKELKHQKTGSAPNLDTVAKEQLASKKDAKKKHNRIYKKELALSMLLLTAAARSLLSKQGIHFYFLLFQGISFLLVGLDLIGEQVE >KQK90634 pep chromosome:Setaria_italica_v2.0:IX:44094347:44094925:1 gene:SETIT_038440mg transcript:KQK90634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSVQRLPSLQHGSHKSTSLKSLVTQIIQHVPRSLEKNPRQISTLYKNVVWPSKNAGCPL >KQK92098 pep chromosome:Setaria_italica_v2.0:IX:53647344:53647740:-1 gene:SETIT_040360mg transcript:KQK92098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKHQLPPILELSCRNLLQHYPKPMPCSKIN >KQK87290 pep chromosome:Setaria_italica_v2.0:IX:6439396:6442165:-1 gene:SETIT_035649mg transcript:KQK87290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEPRVVHAAGHRRHPALLLRLVACLLLAPPLPLTRSATVVTRLPGFDGPLPFYMETGYVGLGDATGGAELFYYFVESERSPSTDPLLLWHSGGPGCSAFSALAFQIGPLKFVERRYDGTLPQLVASIIFVDSPVGTGFSYARDPKGYNVGDISASLQVLTFLRKWFDDHPRYLSNPFYLGGDSYAGKMTPLIAQHGYLVGNPLTGDKIDDNSRIPYCHSFGIISDQIYEAALINCRGDYVNPTNKLCANVGQTINDLMSEVDNEGILDPVCPSASPKPRRDALRRKSLAEEHYELSDGPPYGCIKYRYHPSYIWANDNATRAALGIKEGTVKEWIRCKPKGELPYTFDLPSSIGYHFKLTTRGYRALVYSGDHDLTVPFSGTHAWIRSFNFSIAEDWRAWHLDGQAAGFTITYANNLTFATIKGGRHIVSDNRPKECFAAAKRWLTNEPL >KQK87289 pep chromosome:Setaria_italica_v2.0:IX:6440112:6442165:-1 gene:SETIT_035649mg transcript:KQK87289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEPRVVHAAGHRRHPALLLRLVACLLLAPPLPLTRSATVVTRLPGFDGPLPFYMETGYVGLGDATGGAELFYYFVESERSPSTDPLLLWHSGGPGCSAFSALAFQIGPLKFVERRYDGTLPQLVASIIFVDSPVGTGFSYARDPKGYNVGDISASLQVLTFLRKWFDDHPRYLSNPFYLGGDSYAGKMTPLIAQHGYLVGNPLTGDKIDDNSRIPYCHSFGIISDQIYEAALINCRGDYVNPTNKLCANVGQTINDLMSEVDNEGILDPVCPSASPKPRRDALRRKSLAEEHYELSDGPPYGCIKYRYHPSYIWANDNATRAALGIKEGTVKEWIRCKPKGELPYTFDLPSSIGYHFKLTTRGYRALVYR >KQK90002 pep chromosome:Setaria_italica_v2.0:IX:38453497:38454188:1 gene:SETIT_038048mg transcript:KQK90002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKLALFLALSLLFAAAAHGCAPYCPGPVVPTPSHGQGGRCPIDALKLRVCANVLGLVKVGLPQYDQCCPLLQGLVDLDAAVCLCTAIKADVLGIHLNVPVSLNLILNKCGKICPADFTCPP >KQK90504 pep chromosome:Setaria_italica_v2.0:IX:43235123:43235914:1 gene:SETIT_039806mg transcript:KQK90504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAVRAKDIAEKKYASMDFEGAKRFALKAQALFPTLEGITQMITTFSIYLASMVKIAGEKDWYSILSVPMSVDDQALKKQYREMLLQTHPDKNKSVGADGAFHLVQEAYKVLSDKQRRAQYDQKILPHLTPQPRKTSASPGVARKFYNFAANNAAASTAGSSNQMAGAAASTVRQVQPQPTHHKRAPVQSPGKDLVTFWTSCNRCYMQYEYYRQYLNLNLRCAGCNQAFLATEIVIPATERAKTTSVGTTKKMKKTMAE >KQK89852 pep chromosome:Setaria_italica_v2.0:IX:36442232:36443367:-1 gene:SETIT_036567mg transcript:KQK89852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAARNGAAAAALMVAVAVLALAGGGSAQLQHGFYKGKCNGTDVEAVVQSIVRARFARENPIVAYLLRMQFHECVINGCDAGLLIDGTGTEKTAPPNLSVKGYDVIADIKAELERRCPGVVSCSDIQILATRDAVALAGGAAYAVRTGRRDRRRSQASDVKLPAPEYTAQQTTAYYGRLGLSAFDTVLLLGAHTVGATRCSVIKSRLYGYGGRPGATDPGMDPAYASIYKKYVCPNMPSSDNNVVFLDDQWSALKVDNHYYKNIQLRRGVLPCDQNLYNDGSTRWIVDLLANNDGLFTSAFPQALIKLSEVGVLTGMQGEIRKVCNRFN >KQK88061 pep chromosome:Setaria_italica_v2.0:IX:11227110:11229656:1 gene:SETIT_037817mg transcript:KQK88061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTSFTKKEFSGIPSASAHTRFPPNFRPQSLAPLTAAAAAAAARGDSFVQLPIRSPLSDMDPSELPAFANGEILKTMVGRRVRTVVQVQRNNGGVVVGQSTDGHQLTIRGAMDVPVSHFMEVFGTAESDQSICAEVCTDFGNNFDAESFNGLCNFANKVKELFQ >KQK88062 pep chromosome:Setaria_italica_v2.0:IX:11227110:11230291:1 gene:SETIT_037817mg transcript:KQK88062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTSFTKKEFSGIPSASAHTRFPPNFRPQSLAPLTAAAAAAAARGDSFVQLPIRSPLSDMDPSELPAFANGEILKTMVGRRVRTVVQVQRNNGGVVVGQSTDGHQLTIRGAMDVPVSHFMEVFGTAESDQSICAEVCTDFGNNFDAESFNGLCNFANKVKELFQ >KQK91628 pep chromosome:Setaria_italica_v2.0:IX:50924352:50925294:1 gene:SETIT_037498mg transcript:KQK91628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQPRVHPNTAPFNLETGHAAAGGGVYPPLPTTHGQSANQQQLGGAGPNSWVGNDANTLLVVAALITTLTYQLGTSIPGGYWQQDTAGVVGRDGKPVMYRAGDPIMRDLHRARYWVFMGASWVGFASSMAMTLSLLVRMPVGSRHVRWSFAVAYSSLVLTFVVSQPKTHLSVDIIVWLAVLAFLWIVISLHPDRRARVIQDLCCSGRQA >KQK89822 pep chromosome:Setaria_italica_v2.0:IX:36211444:36215776:-1 gene:SETIT_035300mg transcript:KQK89822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKVAGPLPPNDAAALPADNPADPEAANGGADGGDAGDDKEAAKRAVPVFREFALAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRVSWPDPQQFVAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAYFIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKATSVLPAILSPLGKACSVLDLTAVHDILLKTGYKDDEGAENELSFQEWTQQVQEMLNTKKVGDIAFRDKDFKTAIDYYSKLVAMMSTPSATVFARRSFSYLMNEQAELALRDAMQAQVCMQEWPTAFYLQALALSKLGMETDAQDMLNDGATFEAKKQNSWRS >KQK89823 pep chromosome:Setaria_italica_v2.0:IX:36212194:36215776:-1 gene:SETIT_035300mg transcript:KQK89823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQSKVAGPLPPNDAAALPADNPADPEAANGGADGGDAGDDKEAAKRAVPVFREFALAELRAATKGFSADLIVSESGEKAPNVVYRGRLDGGRLIAVKRFSRVSWPDPQQFVAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWDKQPLPWEMRLRVAYFIAQALDHCNAENRKIYHDLNAYRVLFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVIYSYGTVLLDLLSGKHIPPSHALDLIRGKNILLLMDSSLEGQYANEDASKLVDLASKCLQFEARDRPNIKYLLSSVGPLQKQKEVASHVLMGITKATSVLPAILSPLGKACSVLDLTAVHDILLKTGYKDDEGAENELSFQEWTQQVQEMLNTKKVGDIAFRDKDFKTAIDYYSKVSTSLFSSFFFVNIVGDCHRKNHNMLL >KQK92510 pep chromosome:Setaria_italica_v2.0:IX:55885577:55886568:1 gene:SETIT_037868mg transcript:KQK92510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRVDDLIAELCELPAKVIGRKKRKEFQELAFLVRMDCEGCERRVRKALEGMEGVSSVEVDPKQNKVTVSGQVEAAEVVRRLRRRAGKKAEPWPFVPYEVVPHPYAPGAYDKKAPPGYVRNVLDDPDKAPLVRASSMEERYTTAFSDDNPNSCAVM >KQK89130 pep chromosome:Setaria_italica_v2.0:IX:21195048:21198141:1 gene:SETIT_038725mg transcript:KQK89130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRGCFDCRKARPHYVLMNLESESNWKQYKEVVEHANVVCLEVHGLGERQSDFGLAITNDDFPNDTFEREEANIDDDDISLGSEDGNFEEDGVEDVEEEEESKSKSDGPEYEDEESESEEDGPQVNTTTVHDVEDIGRMGKCFCYSHKELRLLKECHVELPSVPNDKDISMVHKAICESTMGMKFNRLEELKFFLADYTVRLHRPFSVVHCDKKLRYDVMCKQGCMWRVWSWLIKSTDQWRISKPKRVHVQCTTKYLGRRILGIVHADSETLVPSLVESIFALSGYRKESYGKFPRVLIAMAYYNPGVKWFTHSSDMMQSDNGVLKHVLQRVFWCFPQCRVSFQHCHLVILVDGTFLIGKYKGTLMMAVVVDPEHQLVPLAFALAESENNDSWSWFMKIVRRHILGLSRQVCMISVGHHGLLNCVNDHMEGFPPLVHRWCMRHFAANMWHRQKNKEVIGKLKVLCMVHTEKEFDEKLQDLVKNWNDEAKEWLKVELEDKDKWAQAFDEGGMLRPVSRIIEYLFDRWQKACDLLNEGHRNGKVADEHISDAELRSVNQLLEPYEPERMVYSIRGSSTTNVGGESHGGRHYRVDLNECLCSCNIPQLLHLPCSHLIITCKARGYNYESPIYMSPLYFREHTIKIESSFELYLDLAQWPSYDGLEYVPNSNLKRNKIGRRQKKRLRGDMDESQGRLSADYGTGDFNMDKMADLVYPVLEAAYDMHHCGHLLADHHEDLKPLRPRVHSPLRWDERYVPYLHRAGFLDIALVVAEGLPPMDGPLLTAMVDRWRPKTHTFHLRA >KQK90859 pep chromosome:Setaria_italica_v2.0:IX:46233193:46244594:-1 gene:SETIT_033884mg transcript:KQK90859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRRAPPRGGGANGGGGGGLSYSALFNLEPLLNFRVPVPEDLARYGHSSPNGSGSSEGHGSLLDQYNGINDASHGLHRKRKRHLDGASDDDEADGYSNRITEEHYRTMLSEHVQKYRRSKFKEGAFGSDPPRVASPQIKDKNGGKKTMKHRSDFRNVATLDEVEASHEYSGIECIKTHGGFNKLVASLDSTYLDMGDNIRYSIPEGYDKLASSLNLPVSSDIRVEEHFLKGMLDLRTLAAMLGTDQKFEASNRGGLSEPLPQFESLQERVKVQKFSLQVTEDPFAIPEGAAGRIRRFIISEAGNLQVHYVKVLEKGDTYEIIERSLPKKQIIKKEPSVIVKEEAEKTYKLWQSLATKSIPKHHRNFNALLKKRQVDAKRFSDSCQREVKLKVSRSLKLMRCAAIRTRKLARDMLIFWKRVDKEQYELRKKEEREAAEALKREEELREAKRQQQRLNFLLSQTELYSHFMQNKTGASAPPDEEDVPDEDEEEDPEEAQLKREALRAAQHAVSQQKMKTNAFDSEIVRLRQTSESGLPTDDSSSMDPSKIDLLHPSTMPEKSSVQTPELFKGVLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTVQAMAFLSHLAEDKNIWGPFLVVAPASVVNNWAEELIRFCPDLKILPYWGPERIVLRKNINPKRLYRRDASFHILITNYQILVNEEKLLRRVKWQYMVLDEAQAIKSSSSQRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIEGHAEHGGALNEHQLSRLHAILKPFMLRRVKIDVIAEMTKKKEEIVPCKLSSRQQVFYQAIKNKISLNELLDGSRGNLNDKKLLSLMNIVMQLRKVCNHPELFERNEGSSYFYFADIPNSLLSPPFGELQDVYYAGKRNPITFEIPKLVYEGIIRNMEISGNGCGFRSGYLNRLFNIFLPSNIHCSAIPEASSSDVSVLSSGVFGFTRLSNISPVEASFLATSSLFERLAFLAMQLNRNYTDEIMDAFIDSEGPDVFSQNDSTSIRAVARLLSSTKTKPSFLRTKIGTGPGDCPYEALVLSHHDRLASNIRLLRSAYAFIPPARAPPINVWCADRNFAYKFTDEMHDPWAKKLFLGFARTSEFNGPRQPAGLHPLIQELHTDLPILEPMLQLPYRIFGSSPPMSNFDPAKMLTDSGKLHTLDMLLRRLRAEGHRVLLFAQMTKMLDILEDYMNFRKFKYFRLDGSSAISDRRDMVRDFQNRNDVFVFLLSTRAGGLGINLTAADTVIFYEIDWNPTQDQQAMDRTHRLGQTKEVTVYRLICKDTIEEKILQRAKQKNAVQELVMKGKHVQDDHLMRQEDVVSLLLDDTQIAHKLKEISMQAKDRQKKRRAKGIKVDKEGDLTLEDLDDATAEAVDQDNATNKKKKSSHKKHSRTHDNDNVDKNGEAPTGGDLTGSGHAENEQIAEPRPKRSKRLMKGMSDDKEPAAAADHEKPADEVENHTGHEYDDTEEVQDGVKSTE >KQK92298 pep chromosome:Setaria_italica_v2.0:IX:54800422:54801336:1 gene:SETIT_037536mg transcript:KQK92298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGSCVRSPGTPSIDPRREHTAPMAAAPTDSITRRFAVACGVLSQYVRAGAPATATMPAAAAPLFLAPPAGAASLQLEAGTTANVAPQQLTMFYGGRVVVLDACPPEKAAELIRLAAAAQGAPAPEAPALVDMPIARKASLRRFLAKRKNRSSSTSSAAGYDRQDDEEPEQQQPAAKKGKVVATREEASLSSSWLALGLGGMLGR >KQK92180 pep chromosome:Setaria_italica_v2.0:IX:54186809:54189031:-1 gene:SETIT_038744mg transcript:KQK92180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTNARALCLSVAIVFSVLSLAAGEGSKEADKIAALPGQPKDAVLQQYSGYVNLGDKYGKSLFYYFVEATADPATKPLVLWLNGGPGCSSFGIGALQEIGPFRVDTDGKTLCKHKYTWNSVVNILYLESPVGVGFSYAADTEVYKAMGDNMTASDSLQFLLKWLDRFPEYKNRDFFIVGESYAGHYVPELATAIQVAKITRPAEVPINLKGIALGNAILEFAAEQAALYEYLWQHAFISDSGHNMIAQNCKNIDDNSPLCSGAKDTAYGQIGNVDVYNVYAATCHDKKAKATGSNCMDLADPCAQYYVEAYLNQPEVQKVIRANTGLKYNWTRCRSTTYNLYKFGVSPSTSMLPYVKALANSGIRVWVFSGDLDAMVPVTATKKSMQKLGLPVTADWRPWSTDGREVGGYVIEYKGVTFVTVRGSGHMVPIDQPDRVLVLFKSFLEGKELPKAAPMVD >KQK92641 pep chromosome:Setaria_italica_v2.0:IX:56567407:56569342:-1 gene:SETIT_036523mg transcript:KQK92641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRSASTPVLGALLPPGCQSPAVSSPAVHFPESPAAAYHPPAISCHLAGHGSGSDHERSLGAGSGMRRTSSEGNLSSLASRADDHHHLLPPPGKCAPRPRPVPLETIQSFTDRRASTDDEDEEEEDDDDFEAAERELSFGQFSGFVGGGSTYSHEHPLFLARGLGIDRLGSGLLSADDGVGGFGGSGGGGSNLVTSGNGGDRSGIEMHYKKMIEEDPCNGLFLRNYAQFLYQVKGDYRRAEEYYSRAILADPDDGELLSEYAKLVWDVHRDEERASSYFERAAKASPENSHVLAAHAAFLWDTEDADVPEESGIGALGYVGFAPAHSSLASATT >KQK91486 pep chromosome:Setaria_italica_v2.0:IX:50127446:50130415:1 gene:SETIT_034916mg transcript:KQK91486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPCLALLLFFGTLLVLPQSSHGATRYYTFNVTLQKVTRLCTTRAIPTVNGKFPGPKIVTREGDRVVVKVVNSVKDNITIHWHGVRQLRTGWSDGPAYVTQCPIRTGQSYVYNFTITGQRGTLFWHAHVSWMRATLYGPIIILPKRGVPYPFPVKPYKEVPIIFGEWFNADPEAIIAQALKTGAGPNISDAFTINGLPGPLYNCSSKDTFKLKVLPGKWYLLRLINAALNDELFFSIANHTLTVVDVDAAYVKPFHTDVVLITPGQTTNVLLRAEPDAGCPAATHLMLARPYGTGQPGTFDNTTVAAVLEYAPPGHIKSLPLFRPSLPALNDTAFAANYSARLRSLATPDYPANVPRGVDRSFFFAVGLGTNPCPANQTCQGPNGSMFTASMNNVSFDMPTTALLQAHYNNIAGVYTTDFPVAPLEPFNYTGTPPNNTNVSNGTKVVVLQYNTSVEVVLQDTSILGAESHPLHLHGFDFFVVGQGFGNYDSSKDPAKFNLVDPVQRNTVGVPAGGWVAIRFFADNPGVWFMHCHLEVHTSWGLKMAWVVNDGPLPEQKLMPPPADLPMC >KQK91984 pep chromosome:Setaria_italica_v2.0:IX:53088839:53089382:1 gene:SETIT_039739mg transcript:KQK91984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHPRYPLLEMFYDEHHRGRKLEEGVKVLNPLRPRTHTPLEGDDRYAPYLQRAGFLLLATLVKAGLPKMDNAALTALVDRWRLETHTFHLSSGETTITLEDVSMLFGLRVDGRAVTGNINPVGWRDTVHLLLGVRPEDPPQDVKDRKTT >KQK89988 pep chromosome:Setaria_italica_v2.0:IX:38322663:38323509:-1 gene:SETIT_038110mg transcript:KQK89988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVGRTPRRRLLRRRHEQRRSSHAWQGITQGRRSSSRRACACHLRRRGRGRGRPGRAAPASSAAEVLLLRARRSAPSFLVHIYSQFLSLQNLHIPYMIPSLLVVERSVLHELCC >KQK89951 pep chromosome:Setaria_italica_v2.0:IX:37535951:37536508:1 gene:SETIT_040640mg transcript:KQK89951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAVAAESSARARGHRGRCSGGAVPVRVAIRWRPHDSR >KQK91671 pep chromosome:Setaria_italica_v2.0:IX:51138539:51139244:1 gene:SETIT_038068mg transcript:KQK91671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKSIAFPTLLLLATALMLAASAHAQAPASTPTPAPAPSQSLCPNVLSDIQAFETAARALVDKIEMIFIPNIMVKLDTTLARIGLLHPGVKFCVCTNNPSSLIPGSGPKLRCVGAGITV >KQK92399 pep chromosome:Setaria_italica_v2.0:IX:55305097:55306472:1 gene:SETIT_038756mg transcript:KQK92399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNHNGIKGKQFLPTEKVASSSWQITRAAPKDPKKWWEKDMESNMKNTKSQEDFDKQLLMAGDKFTVVHFFSPGCGACKALHSKFHKFKDALKRHGVQTKSLAAEKVSEESEHNSIAPLTDIPKASDASPNMDGDDSPIESKQ >KQK90167 pep chromosome:Setaria_italica_v2.0:IX:40345817:40347855:-1 gene:SETIT_039687mg transcript:KQK90167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAYGELCLASAGSTIFQCEHGEE >KQK87407 pep chromosome:Setaria_italica_v2.0:IX:7194694:7195213:-1 gene:SETIT_038499mg transcript:KQK87407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLKYLIWKENSFTSNEKLTGAMLEYPRMVNMHQVRKIHSNLAQLNHITTG >KQK90464 pep chromosome:Setaria_italica_v2.0:IX:42880584:42882688:1 gene:SETIT_036878mg transcript:KQK90464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTLQLPYNSARPSSYSFSPSSPCYQPKLPPRLDTRAAVTVKKHQKRTTSVLKCKADLHGCMDEVVQNPKDQITEIPIVMYPSVVFPGATLQLQEFEFRYRIMMHTLLQQGLKFGVIYCGKNGRMADVGCIVHVIECERLIDDRFFLTCVGKDRFRVMEIVRTKPYVVARIQVLNEQPSLEPQDDLGSLMQQVEQHLENVAMLSDKLNLKLRGDLKAEQLCRMHSAASFSFLIARLFIDDHLERQTLLQMNDTTQRLAREGMYLERRSKYLAAIAAIKDAFDHLSCNEK >KQK90463 pep chromosome:Setaria_italica_v2.0:IX:42880953:42882688:1 gene:SETIT_036878mg transcript:KQK90463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTLQLPYNSARPSSYSFSPSSPCYQPKLPPRLDTRAAVTVKKHQKRTTSVLKCKADLHGCMDEVVQNPKDQITEIPIVMYPSVVFPGATLQLQEFEFRYRIMMHTLLQQGLKFGVIYCGKNGRMADVGCIVHVIECERLIDDRFFLTCVGKDRFRVMEIVRTKPYVVARIQVLNEQPSLEPQDDLGSLMQQVEQHLENVAMLSDKLNLKLRGDLKAEQLCRMHSAASFSFLIARLFIDDHLERQTLLQMNDTTQRLAREGMYLERRSKYLAAIAAIKDAFDHLSCNEK >KQK90462 pep chromosome:Setaria_italica_v2.0:IX:42880584:42882688:1 gene:SETIT_036878mg transcript:KQK90462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKTLQLPYNSARPSSYSFSPSSPCYQPKLPPRLDTRAAVTVKKHQKRTTSVLKCKADLHGCMDEVVQNPKDQITEIPIVMYPSVVFPGATLQLQEFEFRYRIMMHTLLQQGLKFGVIYCGKNGRMADVGCIVHVIECERLIDDRFFLTCVGKDRFRVMEIVRTKPYVVARIQVLNEQPSLEPQDDLGSLMQQVEQHLENVAMLSDKLNLKLRGDLKAEQLCRMHSAASFSFLIARLFIDDHLERQTLLQMNDTTQRLAREGMYLERRSKYLAAIAAIKDAFDHLSCNEK >KQK90653 pep chromosome:Setaria_italica_v2.0:IX:44235877:44238526:-1 gene:SETIT_037016mg transcript:KQK90653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSERGSVATAVSTAAADKLLHGPIAGKKCKKAAPRKVHKAEREKLKRDHLNDLFVELGNMLEADRQNNGKACILTDTTRILRDLLLQVESLRKEHSNLQNESHYVAIERNELQDENGVLRKEISELQDELRMRTSSNPADWGHGNAGLNPPVPHPASAVFSSQQAMQPPTIASTVFPLQPPLAPSAVIEQSYATPPSLELKLFPGAESVEVHERSEDQEAPNHVARPQARYPPQSASWPVTLFSGLPRMEDEQCSSSTTSSSKETSTGRD >KQK87653 pep chromosome:Setaria_italica_v2.0:IX:8522204:8522923:-1 gene:SETIT_038900mg transcript:KQK87653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWWEDRARTTGISHKGLHSLIILVCWEVWLECNSRIF >KQK87485 pep chromosome:Setaria_italica_v2.0:IX:7520426:7521843:1 gene:SETIT_036257mg transcript:KQK87485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGQQALPVPAPVPPNPNPTAPADLTPPAASAGARKLPIKRRSPRPSSSPPSSSSPASSDPLRAPTAGGGGGSDQQQPPFKFQRIWSESDELRFLQGLLGCGAQGLVFPRDLNVFYDRFSESMPQPYTRAQLSEKLRRLKNKYRSVSARVAGGLDPARLAPHDRDVLHLCSRLWDPANAATSPFASGAGSSGNKRRRANPRGTPLPPPDASGDCNSHDYNGISSSAPGLFPEVSNGEDMFYLEQESGHLGDHEGAALVADSRFGVIVQQQQQPEAAAALPNGIGNEMNGDQKMVVPCSNEHRMANAVLDVFEACLREAKSNGIINGGNADGSAEESELAKRWRVQRMDELDVLSQRLRLLVEDAAAAGH >KQK88001 pep chromosome:Setaria_italica_v2.0:IX:10742185:10742537:1 gene:SETIT_038562mg transcript:KQK88001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARNWECGAICKLCDQEAEIAVHPCLQCSYAKEVWMLNIWKERNRRIFEGKSLRPVQVFDMIQDDVKLR >KQK91827 pep chromosome:Setaria_italica_v2.0:IX:52096483:52096924:-1 gene:SETIT_038504mg transcript:KQK91827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFRRFIHEKSNASYLPPKKAFAIEFPTWKSLSCHVGLVNNLVWFWSVFG >KQK92397 pep chromosome:Setaria_italica_v2.0:IX:55300256:55301866:1 gene:SETIT_035086mg transcript:KQK92397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKSGTQRRQPAFWRRCRSLRQIKQVHALMVLRGFLSDPSALRELIFASAVAVRGGVAHARLVFDRIPNPDRFMYNTLIRGAAHSDAPRDAVSIYARMARRRGDCCGGVRPDKLTFPFVLRACAAMGAGGTGAQVHAHVVKAGCESDAFVRNALIGMHASCGDLGVAASLFDSGARGDAVAWSAMISGCARRGDIGAARELFDESPVKDLVSWNVMITAYAKRGEMALARELFDRVPDRDVVSWNAMISGYVRSGSHRHAIELFEQMQCVGEKPDTITVLSLLSACADSGDLDVGQRLHSYLSERFSRTGLTTVLGNALIDMYAKCGSMKCAHEVFWSMRDKDVSTWNSIIGGLALHGHVNESIDLFEKMLKGNVKPDEITFVAVLVACSHGGMVDKGHKYFNLMQQQYRIEPNVKHFGCMVDMLSRAGLLKEAFEFIDTMKVEPNSVIWRTLLGACRIHGEVELAEHANLELLKARSDASGDYVLLSNIYASVGEWLGSEKMRKLMDDTGVNKEAGRAVIDGSSKDLMQSSRQF >KQK91398 pep chromosome:Setaria_italica_v2.0:IX:49585861:49591722:-1 gene:SETIT_033887mg transcript:KQK91398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPTGEEPLDFFLQPLFLHGVSAAAHLILAVTVVGRFLFRRLPIFADRAKDSDVGGEAGRGVVGFRCYAVAVCTTWALAAFQLVLAAYSWYADAGAGWSRDAIAERGDAAARAVAWLLLAAYLQFGVGRRRHQERFPAPLRLWWALFMLLSVVAAGVHAAARLDGLLVPGRSWAHDAVSVVAAVVLLSAGFLGRRDRRGGHSSEEPLLIGAHEAADENSSSATDTSLLTGAGFLSVLTFSWMAPLLAVGHAKTLVLDDVPGLEPGDSVAGLLSRFKANLEALTGDGDSSGRNVVTAFKLTKALVRTVWWHVAVTAFYALVYNVATYVGPYLIDSLVQYLNGDERYASQGQLLVLVFIVAKVLECLSQRHWFFRLQQAGMRARSALVAVVYQKSLALSGQSRRSRTNGEMINIVSVDADRVDAFAWYMHEIWLLPLQVGMAMFILYSTLGLASLAALGATVVIMLANVPPGNMQEKFQEKLMDSKDVRMKATSEILHNMRILKLQGWEMRFLSKIIELRKTETNWLKKYLYTSATVTFVFWGTPTFVAVVTFGACMLMGIPLESGKVLSALATFRVLQEPIYGLPDFVQMLIKTKVSLDRIASFLCLEELPSDAVQRLPSGRSDFAININNGCFSWEASPEVTTLKDLNFKVRPGMRVAVCGTVGSGKSSLLSCILGEIPKLSGEVQICGTTAYVSQSAWIQSGKIQENILFGKEMNKEKYDRVLESCSLKKDLEILPFGDQTIIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKECLLGDLASKTVVYVTHQIEFLPSADLILVMKDGRIAQAGKYDEILGSGEEFMELVVAHKDALTTLDAIDAMNGGGNVSSSCSGTAKLKLSRSLSSSEKKEKANEDEGNAQSRQLVQEEETKKGSVGFWVYWNYLTVAYRGALVPFVLLAQILFQVLQIASNYWMAWAAPVSKDVEPPVSMSTLLYVYVALSLGSSWCVLVRSLFLATAAYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTNIADQMGSVAFSIIQLVGIVVVMSQVAWQVFVLFIPVFAACVWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGLTTIRSFGKENQFVATNSHLIDAYSQPRFYNMGARYWLCFRLDALSALIFAFSLIFLINLPTGLINPGIAGLAITYGLNLNMLQARVVWGMCNLENKIISVERILQYISIPAEPPLYMSGDKLTHNWPSDGEIQLYNLHVKYAPQLPFVLKGLTVTFPGGMKTGIVGRTGSGKSTLIQAIFRIVDPTIGQIIIDGVDICTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDNQIWEALDCCQLGDEVRKKELKLDSPVIENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFLETTVITIAHRITSVLDSDMVLLLNNGVAIEHDTPTKLLEDKSSLFSKLVSEYTMRSTAYIDDE >KQK89588 pep chromosome:Setaria_italica_v2.0:IX:33530554:33532229:-1 gene:SETIT_039383mg transcript:KQK89588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGSASQRNESASPPQVQPPKKRGRKAGSQTKLRLPQQGAKVALIPASDIQFSYVNYKPPYKYNTQLGAILKREYPGLVEDKDANGLVIRKRPALEWADYFLDIELIETNHLQEEADRILETYLQKRVRDMMYQARVDVVKVYYMARSIELEYQQYMDGKPNWCDDQVWPKLCAYWCSKDFKDTMRTSMHAAKFGPEKPTPLNVYAVMKSGMKSVDSTGSSGAIRSRKAQKRLVQEQELHGQVLYDVSSGTGTHGCLAIANGAVRKADVTAAAKEWSVQPSNPLTLQSMAREMECLHRANARDSIGT >KQK90984 pep chromosome:Setaria_italica_v2.0:IX:46995796:46996670:1 gene:SETIT_036917mg transcript:KQK90984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPKWFQKLRRRKKGKQQGAACRSQAAEAEAEADADAAARADNCPTSQCQSSLPAACAVSPNRASYYFASADRPRQDRELPCVADMALDVRVDVVHRRAGERRLGGLDAPPGTPELKLRRIVTRPAAADASESGGGFSSASATTSAATTPSTRARGFHVKAAGGRRRHRRRRYEHDGSANKKGKAALADAETEAEASPLRLHGAARRRRWLYESLVVVKASSDPEREMAESMAEMVVANGIRSSEDLEELLACYLALNAAEHHRAVVAAFRHVWSLLARHSLLL >KQK92636 pep chromosome:Setaria_italica_v2.0:IX:56523372:56525670:-1 gene:SETIT_039173mg transcript:KQK92636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEAAAAPVEISSDEEDDVKAPAAAAAGKRKSPEGALDWAEQMAEKMLAEEDFGVSGAGLVDPAAVQELLDSLMDATGIVMGDKESAVVDKNSNSVRDGEDEDNDDDCVILDGDPDKPVAVAKEEGPRRDAAEDELQIVAEKGEIACRDFPHPRHLCATLPFSTSSHARHCNMCHCYVCDSPAPCAFWGKGTAHTDHCHATDKDAKWKKLRQSSKNKSQPSPKRRSIHNFYQSSTTGASSQSSANVNGSTGRFPIPTVLARNQQVDPSIMAPWDRVQGMSLMRAPSPMPRARIPSYGSKSAPVAPPVYTPSNSNHLQPSGPSYVPMQPAQPHAFQTAQVPPGDRVSAGTFQSYQPQPHSGAPIGFQGDRYRPLSYPQPPPNMLVGTGVPLSRSASVASQGTQYQQVPPADARLKEKEKLASLARQQSASTPQSLHPSQLLAQAKARQWVQAKKSYVAATPQMRSSSGHNSSNHASGGTVLSSGSIQIQQPLCQLNSQSSRTLSGTTPSNLLDGK >KQK88807 pep chromosome:Setaria_italica_v2.0:IX:17329075:17335841:1 gene:SETIT_035182mg transcript:KQK88807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPESSVRRRVGPVDREELVRVIEESLYSLGYRRAAAELEAESGVPLYPPEHDRLLLDVMAGRWDACAETVRSVAGVGDADRAVAEFLLWRGHYLELLGTGGDAGLRRAREVLRRRIAPLAVDRRCVHWLACAMVSCEGAVAPDAVVGWRIAVFLDLVEVLPPWFHVPTGRLEHLVESAVTKQVASCIYHNLPDEITMLEDHKCHEQHIPSECAQILCGHNNEVWFVRFSNNGDYLASSSSDCTAIIWKVEKDDTLTKKHCLQGHHKPISFVAWSPNDRMLLTCGTGEFLKLWNVDTGECNLKFRGSADYIISSCAWFPNSEKIVCASSEPGSSPNRIFTCDLEGQELEVWAGDRIPKVSDLAVTPDGRHLIFVSCNDIWIRELPKGREWRFREKQAISSLSLSVQGAQAREVCD >KQK88808 pep chromosome:Setaria_italica_v2.0:IX:17329435:17334718:1 gene:SETIT_035182mg transcript:KQK88808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPESSVRRRVGPVDREELVRVIEESLYSLGYRRAAAELEAESGVPLYPPEHDRLLLDVMAGRWDACAETVRSVAGVGDADRAVAEFLLWRGHYLELLGTGGDAGLRRAREVLRRRIAPLAVDRRCVHWLACAMVSCEGAVAPDAVVGWRIAVFLDLVEVLPPWFHVPTGRLEHLVESAVTKQVASCIYHNLPDEITMLEDHKCHEQHIPSECAQILCGHNNEVWFVRFSNNGDYLASSSSDCTAIIWKVEKDDTLTKKHCLQGHHKPISFVAWSPNDRMLLTCGTGEFLKLWNVDTGECNLKFRGSADYIISSCAWFPNSEKIVCASSEPGSSPNRIFTCDLEGQELEVWAGDRIPKVSDLAVTPDGRHLIFVSCNDIWIRELPKGREWRFREKQAISSLSLSGDGQSLIVNLSSQEIHLWKINESSTVPEKFKGHKQEKFVIRSCFGGSNSLFIASGSEDSQV >KQK88810 pep chromosome:Setaria_italica_v2.0:IX:17329075:17335841:1 gene:SETIT_035182mg transcript:KQK88810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPESSVRRRVGPVDREELVRVIEESLYSLGYRRAAAELEAESGVPLYPPEHDRLLLDVMAGRWDACAETVRSVAGVGDADRAVAEFLLWRGHYLELLGTGGDAGLRRAREVLRRRIAPLAVDRRCVHWLACAMVSCEGAVAPDAVVGWRIAVFLDLVEVLPPWFHVPTGRLEHLVESAVTKQVASCIYHNLPDEITMLEDHKCHEQHIPSECAQILCGHNNEVWFVRFSNNGDYLASSSSDCTAIIWKGHHKPISFVAWSPNDRMLLTCGTGEFLKLWNVDTGECNLKFRGSADYIISSCAWFPNSEKIVCASSEPGSSPNRIFTCDLEGQELEVWAGDRIPKVSDLAVTPDGRHLIFVSCNDIWIRELPKGREWRFREKQAISSLSLSGDGQSLIVNLSSQEIHLWKINESSTVPEKFKGHKQEKFVIRSCFGGSNSLFIASGSEDSQVYIWKRHLETPIKVLHGHTMIVNCVSWNPARPHMLASASDDRTVRIWLARKPGSTCS >KQK88809 pep chromosome:Setaria_italica_v2.0:IX:17329075:17335841:1 gene:SETIT_035182mg transcript:KQK88809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPESSVRRRVGPVDREELVRVIEESLYSLGYRRAAAELEAESGVPLYPPEHDRLLLDVMAGRWDACAETVRSVAGVGDADRAVAEFLLWRGHYLELLGTGGDAGLRRAREVLRRRIAPLAVDRRCVHWLACAMVSCEGAVAPDAVVGWRIAVFLDLVEVLPPWFHVPTGRLEHLVESAVTKQVASCIYHNLPDEITMLEDHKCHEQHIPSECAQILCGHNNEVWFVRFSNNGDYLASSSSDCTAIIWKVEKDDTLTKKHCLQGHHKPISFVAWSPNDRMLLTCGTGEFLKLWNVDTGECNLKFRGSADYIISSCAWFPNSEKIVCASSEPGSSPNRIFTCDLEGQELEVWAGDRIPKVSDLAVTPDGRHLIFVSCNDIWIRELPKGREWRFREKQAISSLSLSGDGQSLIVNLSSQEIHLWKINESSTVPEKFKGHKQEKFVIRSCFGGSNSLFIASGSEDSQVYIWKRHLETPIKVLHGHTMIVNCVSWNPARPHMLASASDDRTVRIWLARKPGSTCS >KQK90524 pep chromosome:Setaria_italica_v2.0:IX:43402238:43402985:1 gene:SETIT_040482mg transcript:KQK90524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQYSQKSDVYSFGVVMLELLTGRKAFDSSQPRSQQSLVRWATLQLHDIDLLDQMVDPALEGLYPAKSLSRFADAIALCVQPEPEFRPPMSEVVQSLVRLVQRSSMGAGLA >KQK90525 pep chromosome:Setaria_italica_v2.0:IX:43402491:43402973:1 gene:SETIT_040482mg transcript:KQK90525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPALEGLYPAKSLSRFADAIALCVQVNCLSLVAIFPPPTFCTVLLIDQFPIRLSQQPEPEFRPPMSEVVQSLVRLVQRSSMGAGLA >KQK92094 pep chromosome:Setaria_italica_v2.0:IX:53633878:53637705:-1 gene:SETIT_035547mg transcript:KQK92094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLPCPKRARVATAPYGAGAPWASLPEDLVQLIGWRVLSGDLLDYVRFRAVCAHWSRSAARPGGRGLLDPRFHPRRWMMLPEGHGLYPGHPALGGHVRFLNLSTGALARLHLPLFHDHVILGCTDGLLLLLRHCDPGDTAVRLLHPFTGDVAELPPLSSLLPQMERYGDMTEYSKLSRLRGFLSGVGAAVTVGPAAAGAITVVLALESKHLVAHATTGDQRWTLSASRLPRVLGRTVSFHGNLYAVTPKFRQTNSVHIWQIDPPELDAAEGRTLLPPRIIAHCPLAAIPDAVHLVECGSELMVVGFNDSKRTDLAVYRVADLISGRVVPITNIGEHALFLGTRPLYASQNKGLPSVVANSITCRYRVTKNDALRGGRPFRITEQYHIGTGTWSPATDEGISCWNEPPASPYMLIHHIFTCCQHSYWNKGLIFHDKIKLDWSVKPNLWMYGPKSSTKLQSSSRQS >KQK92093 pep chromosome:Setaria_italica_v2.0:IX:53632976:53637705:-1 gene:SETIT_035547mg transcript:KQK92093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLPCPKRARVATAPYGAGAPWASLPEDLVQLIGWRVLSGDLLDYVRFRAVCAHWSRSAARPGGRGLLDPRFHPRRWMMLPEGHGLYPGHPALGGHVRFLNLSTGALARLHLPLFHDHVILGCTDGLLLLLRHCDPGDTAVRLLHPFTGDVAELPPLSSLLPQMERYGDMTEYSKLSRLRGFLSGVGAAVTVGPAAAGAITVVLALESKHLVAHATTGDQRWTLSASRLPRVLGRTVSFHGNLYAVTPKFRQTNSVHIWQIDPPELDAAEGRTLLPPRIIAHCPLAAIPDAVHLVECGSELMVVGFNDSKRTDLAVYRVADLISGRVVPITNIGEHALFLGTRPLYASQNKGLPSVVANSITCRYRVTKNDALRGGRPFRITEQYHIGTGTWSPATDEGISCWNEPPASPYMLIHHIFTCCQHSYWNKGLIFHDKIKLDWSVKPNLWMYGLMD >KQK92767 pep chromosome:Setaria_italica_v2.0:IX:57161829:57164972:-1 gene:SETIT_035707mg transcript:KQK92767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHAALAASRIPTSARLNSRAASRQRVDFADFSGLRPGSCSVSTAAREASFYDVLGAQLVAKATGENAVRAPAEAKLKVAINGFGRIGRNFLRCWHGREDSPLDVVVVNDSGGVRNASHLLKYDSMLGTFKADVKIVDDTTISVDGKPIKVVSSRDPLKLPWAEMGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHGVADIISNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTEKKGMTADDVNAAFRKAAAGPLKGVLQVCDEPLVSVDFRCSDVSSSIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGAAAAGGSGDPLEDFCKDNPETDECKVYEA >KQK92766 pep chromosome:Setaria_italica_v2.0:IX:57162187:57163239:-1 gene:SETIT_035707mg transcript:KQK92766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVSTRATTTTAWPTLSGNQASVCASALLCILIPAGAGTYAMSFRGPCIILNIHLHQNSVACSNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTEKKGMTADDVNAAFRKAAAGPLKGVLQVCDEPLVSVDFRCSDVSSSIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGAAAAGGSGDPLEDFCKDNPETDECKVYEA >KQK92768 pep chromosome:Setaria_italica_v2.0:IX:57161829:57164142:-1 gene:SETIT_035707mg transcript:KQK92768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTFKADVKIVDDTTISVDGKPIKVVSSRDPLKLPWAEMGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEGDYDHGVADIISNASCTTNCLAPFVKVLDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPQLKGKLNGIALRVPTPNVSVVDLVINTEKKGMTADDVNAAFRKAAAGPLKGVLQVCDEPLVSVDFRCSDVSSSIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVAAKWPGAAAAGGSGDPLEDFCKDNPETDECKVYEA >KQK88882 pep chromosome:Setaria_italica_v2.0:IX:18148707:18149528:-1 gene:SETIT_038535mg transcript:KQK88882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPLAQPPSNSSALEFKSNASGPTPGHAPAVGAPASTEAAAPPVRVPSPAVLAVLGVVGELRARGFLARLENPEAELTDAHAPALFIGVLAAFLAEAGPAGATPSLLIPLPALADGREVELLRLFLAVRAHGGFAAVASWAAVAEAVGLDPASGAAVKLLYDKYLALLEHSIGTRRDDHEVVESSGNGDGRLRSRKDRFLSPTKGPTSAGSAHLKRKREPLVEMLNWVRLAAKSPHKPGVMGRKRNSSSHISTALLLRRQMFSNIDCRSGSPQ >KQK88942 pep chromosome:Setaria_italica_v2.0:IX:18855357:18856278:1 gene:SETIT_038261mg transcript:KQK88942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTNIKASRERHFLSKKERRSISWSSYVMIFHIFTENFRHFDQNLCRRFSNLVLGCPLYCLETCTKCLSNTLESNCPRLLTDIRMI >KQK88696 pep chromosome:Setaria_italica_v2.0:IX:16348281:16348672:-1 gene:SETIT_038097mg transcript:KQK88696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSSYILPDANKTGVAHEAINNIRRASKSMTEQEARQILGVSENSTWEEIVQRYDNLFERNAKSGSFYLQSKIHRAKECLETVYQKDKQNEPPT >KQK88695 pep chromosome:Setaria_italica_v2.0:IX:16348029:16352972:-1 gene:SETIT_038097mg transcript:KQK88695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLIANLIVMGSTILGRAMLQAYRKALDNANKTGVAHEAINNIRRASKSMTEQEARQILGVSENSTWEEIVQRYDNLFERNAKSGSFYLQSKIHRAKECLETVYQKDKQNEPPT >KQK90812 pep chromosome:Setaria_italica_v2.0:IX:45901502:45905008:1 gene:SETIT_036651mg transcript:KQK90812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASATLIPAAGGKSSFTGFTKLCKGLAVILFLAHILVQLFPSAAGYLALIPARTIPFAWNLVTAGYIEQTIPGVIVSIVGLLLFGKVLEPLWGAKELLKFIFIVNLSTSACVFATTIVLYYITQEESYLYTPLSGFYGVLSGLLVGIKQILPDQELNLFVLKISAKWIPSIVAFISVVVSFFLKESMAYVPIILFGIYVSWIYLRYFQKRLEVGLKGDPSDEFSFSSFFPGFLRPILDPIASIFHKIFCGRSARYESTGQALDGSQFPGSGSIEANRRRERGQRALEQRLAEKLAAVRSAEGTDPPPPPQQQPEEDASDKV >KQK91205 pep chromosome:Setaria_italica_v2.0:IX:48442199:48442702:-1 gene:SETIT_039895mg transcript:KQK91205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAAAPQQGVVRHAAAATEEERRRNRMTSNRLSARKSRMKRQQHVDDLAAEAERLRRENEAMRAGVGGALRRGRALEQENRVLAAHARQLCAALLLANSQLRLLGGVAGVPLDVPGVPDHLVQLYGGTQQMPVTPLAPTPPPLQLPLEIQMLLQPDVMDAVGVLEF >KQK86252 pep chromosome:Setaria_italica_v2.0:IX:946864:948853:-1 gene:SETIT_039612mg transcript:KQK86252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIGGSDQERFRYSDSIDPDDERWIGGGNALGRLRSGRLLDGRRTIDLVLGDGDSISTGRGCTADTGDDASIALLHRLRAGATVHFVHHVDVCSAAPKDLVADLEPAPGTSIWYFYCVKKYKSTHGRPGGHRQRAIAASDTCWHSEAGAKEVKGSEGGGTVCNLSYGRKDGRSFSRLGWCMMEYDDATGGGDYVLCKIYRSPRAQVKPSSAASKTAKRKAGGEHPEARPAKLFHEQDTFFFTDDYAVPSTVAQVNVGGEEEQHCRSMLPAEEHCVDLDFLDHDVLPLTDDEMAMLESLLPAEEQQFLQHNTQPPLPAEEQQFLQHNTQSLLAAEEQQFQFEDSTQFTIGKLLGDDVNVYEFMISRCCGTPTAMAPPDAGFFHGLAF >KQK86646 pep chromosome:Setaria_italica_v2.0:IX:3103535:3106083:1 gene:SETIT_037157mg transcript:KQK86646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRAGPAHLAPHSANRLTKKASSKCPAHLGPGFKPSNRTAQITTRAPRGVPSHYIIPSRTLSPNPTRRRRLLLLQAAPPLTSPAPRLELPLSPSTMADVEVDTEVAAAGQPKKRTFRKYSYRGVDLDALLDMSTDDLVQLFPARARRRFQRGLKRKPMALIKKLRKAKKDAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >KQK87066 pep chromosome:Setaria_italica_v2.0:IX:5395412:5397878:1 gene:SETIT_036417mg transcript:KQK87066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDSIHQAFIEDPPNVPKEKPSEGAKRFRRCRSTPADPTDQKPSERGSALQAKELFKDIRPSFRLVGLLLFIYLLLGVIIFYLFMDQISGKRTNRVLDAMYFVIVTMTSVGYGDLVPKSDTTKLLACAFVFTGMAVIALFISKAADYLVEKQEVLFFKAMHMNMKGGEAKMLRAMETNRIKYKFYTVALLLVMIIVTGTLFLWKVEKLSFVDSFYCVCATITTLGYGDKSFSSKLGRVFAIFWIIMSTILMAQFFLYLAELYTERRQKMLAKWVLNRRITTMDLEAADLDGDRQVGAAEFVLYKLKELGKISQEEISSFLEEFDKLDVDQSGTISTYDLTLAQQISL >KQK90796 pep chromosome:Setaria_italica_v2.0:IX:45813869:45816040:-1 gene:SETIT_0347482mg transcript:KQK90796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVDLEHVLVRGAELGERLQQVGTNSRRGRRACSAEDGCVLLRCRHGGTCACAAGTRKKDMLLLACFMGTGDARADDMLGPAALDHAARQPAYGGNVAEDLIVGGTDTSSVTIEWAMSELLRNPDALAKATEELDRVIGRERLVTEGDIPNLPYMEAVVKEAMRLHPVTPLLAPRLSREDASMGGYDVPAGTLVFVNVWAIGRDPAVWGDAPSEFRPERFVGSSLDVKGQDFELLPFGSGRRMCPGIGLGLKMVQLILANLVHGFAWRLPDGMVKEELSMEEKFGLSMPRMVPLEAVPEPRLPAHLYAGP >KQK91936 pep chromosome:Setaria_italica_v2.0:IX:52799902:52801419:-1 gene:SETIT_039231mg transcript:KQK91936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVLVVTVSFSQQTMAEECKSVSSGSCCDCREIVWKIMEQVKAESEQWTEMQGMLEQVRLEMQELQSSRDTWQHRAMASDISLRSLNSQILEWKNRAQVSEQRVEELQRKISELQSKLHTFKAHFPTPAAISSQDQWSEACKMDDNTRAKPQHHQRSQECGKEEKKHVLICPREALAQQRDPKAHPVPGDRQHQLAPAAVRTQDRGAWVVSAET >KQK92052 pep chromosome:Setaria_italica_v2.0:IX:53412089:53412540:-1 gene:SETIT_040700mg transcript:KQK92052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWPCASPSTGDHHPGVLETGGTTQAADQCTLIVVINYEHVVTYAKVSSSHCAVPFIT >KQK90937 pep chromosome:Setaria_italica_v2.0:IX:46739661:46740056:1 gene:SETIT_040796mg transcript:KQK90937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSVHSFNNERVQHKGKLWKLNLQERFVDMKICIKILTAEQ >KQK90918 pep chromosome:Setaria_italica_v2.0:IX:46649176:46650718:1 gene:SETIT_036513mg transcript:KQK90918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYASASFMLLLLLQLWSCSEALPGGHLVDPTCPPEWPSVVAMSSEHGEPSCQPPAPRIPVAVFPYDVDPMQFALNLEFTEVEFFLHGAYGVGLDKIAPKLALGGPPSVGARKANLDEVTWPIIAEFGLQEVGHVRSIQRTVGGIPRPLIDLSAHNFARVMDEAFGYKLDPPFDPYINSLNFLLASYVIPYLGLNGYVGTNPIIDGYETKKLLAGLLGVESGQDAAFRTLLFGRRDEAVPPYNVTVAEFTDRVSALRNRLGRCGVKDEGLTVPRELGAEGAICTNVLSADRDSLSYSRTPAELLRILYLTGDEHVPGGFYPDGANGKIARSFLGK >KQK91511 pep chromosome:Setaria_italica_v2.0:IX:50328286:50328816:1 gene:SETIT_039360mg transcript:KQK91511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SERLRQSILEKVQAKQFEALASVEDKILRKIRDKEAEVETINKRNSELEDQIKQLAVEVGAWQQRAKYNESMINALKYNLEQVCAHQSKDFKEGCGDSEVDDTASCCNGGAVNLQLMPKENRQPKDLTACRVCKSSEACMLLLPCRHLCLCKECESKLSFCPLCQSSKILGMEIYM >KQK86055 pep chromosome:Setaria_italica_v2.0:IX:92252:92829:1 gene:SETIT_040402mg transcript:KQK86055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKADHKYLSQAAPTESGSRSPSAATTRSQLTCILWLPEWKALVTLKRMAVVSCRRSGTRPARTAAEKVGHEASVVSGPRTSRRPVMEVVVLLGWYQPAAKAGPEATSAS >KQK88532 pep chromosome:Setaria_italica_v2.0:IX:14855652:14859476:-1 gene:SETIT_034316mg transcript:KQK88532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDSSRRSNSKKRTHSNSDDGKRKRGGDIVKALREETKSKIRVADSIPGADERVIIIFNYQNQSEQADETAENITSDGSESMKPHCSAQDALLKIHDKIVADEVHDGVANNKSESADDVTARILVQGNQVGCLLGKGGSIIQQLRSDTGAGIRVLPSESLPQCALKSDELVQISGAPSLVRKALYEISTRLHQHPRKENRPLEEIIDASTRRKRESPPPLPHGNPMSHLHIDHPPPIPLLDPYRDGPLRTVAETEEFSVRILCASELIGSVIGKSGANVKRVEQQTGARIKVQEFDKDASGERLIIVSSKETPADPISPTIEALMLLHDKVSVPSEKRHVSTRLVVPSSKVGCILGEGGKIITEMRRRTEAEIRVYSKADKPKYLSFDDELVQVAGPPAIARGALAEVASRLRTRTLRDTSSTNNPPPAAPFHDHPVDSREFTLYGRPANDPPYGRPAIDPPYGRPAIDPPFGRLTNDPPYGRPAIDLPFGRLTNDSPYGRPAAVPPFGRPTNDPPYGRPANYAPYGKLANDPPYGRPSSNVPYGRLNENAPRDPSHSYPVDYFSKREYPNGSPLFVSNFPSSAYERYAAPARVPTRELPSSFSPGADYMSHRSYRDHVPTDSYSSRGTQQLGIARDGNSNAYDYTEAAGQMHGRADHRGHTSVAGYSSTIELRIPNSSLESIIGVGGVNLAEICQISGAKLRLLEAHAGSSESVVEIQGTLDQAKAAQSLLQGFISANSRGTQQQPQSSRMPLYPSWG >KQK88531 pep chromosome:Setaria_italica_v2.0:IX:14856478:14859476:-1 gene:SETIT_034316mg transcript:KQK88531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDSSRRSNSKKRTHSNSDDGKRKRGGDIVKALREETKSKIRVADSIPGADERVIIIFNYQNQSEQADETAENITSDGSESMKPHCSAQDALLKIHDKIVADEVHDGVANNKSESADDVTARILVQGNQVGCLLGKGGSIIQQLRSDTGAGIRVLPSESLPQCALKSDELVQISGAPSLVRKALYEISTRLHQHPRKENRPLEEIIDASTRRKRESPPPLPHGNPMSHLHIDHPPPIPLLDPYRDGPLRTVAETEEFSVRILCASELIGSVIGKSGANVKRVEQQTGARIKVQEFDKDASGERLIIVSSKETPADPISPTIEALMLLHDKVSVPSEKRHVSTRLVVPSSKVGCILGEGGKIITEMRRRTEAEIRVYSKADKPKYLSFDDELVQVAGPPAIARGALAEVASRLRTRTLRDTSSTNNPPPAAPFHDHPVDSREFTLYGRPANDPPYGRPAIDPPYGRPAIDPPFGRLTNDPPYGRPAIDLPFGRLTNDSPYGRPAAVPPFGRPTNDPPYGRPANYAPYGKLANDPPYGRPSSNVPYGRLNENAPRDPSHSYPVDYFSKREYPNGSPLFVSNFPSSAYERYAAPARVPTRELPSSFSPGADYMSHRSYRDHVPTDSYSSRGTQQLGIARDGNSNAYDYTEAAGQMHGRADHRGHTSVAGYDFGVLLPS >KQK88533 pep chromosome:Setaria_italica_v2.0:IX:14855652:14862244:-1 gene:SETIT_034316mg transcript:KQK88533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDSSRRSNSKKRTHSNSDDGKRKRLNSRHDDASMPSEPIETIYRILCPVKKIGSVLGRGGDIVKALREETKSKIRVADSIPGADERVIIIFNYQNQSEQADETAENITSDGSESMKPHCSAQDALLKIHDKIVADEVHDGVANNKSESADDVTARILVQGNQVGCLLGKGGSIIQQLRSDTGAGIRVLPSESLPQCALKSDELVQISGAPSLVRKALYEISTRLHQHPRKENRPLEEIIDASTRRKRESPPPLPHGNPMSHLHIDHPPPIPLLDPYRDGPLRTVAETEEFSVRILCASELIGSVIGKSGANVKRVEQQTGARIKVQEFDKDASGERLIIVSSKETPADPISPTIEALMLLHDKVSVPSEKRHVSTRLVVPSSKVGCILGEGGKIITEMRRRTEAEIRVYSKADKPKYLSFDDELVQVAGPPAIARGALAEVASRLRTRTLRDTSSTNNPPPAAPFHDHPVDSREFTLYGRPANDPPYGRPAIDPPYGRPAIDPPFGRLTNDPPYGRPAIDLPFGRLTNDSPYGRPAAVPPFGRPTNDPPYGRPANYAPYGKLANDPPYGRPSSNVPYGRLNENAPRDPSHSYPVDYFSKREYPNGSPLFVSNFPSSAYERYAAPARVPTRELPSSFSPGADYMSHRSYRDHVPTDSYSSRGTQQLGIARDGNSNAYDYTEAAGQMHGRADHRGHTSVAGRYSSTIELRIPNSSLESIIGVGGVNLAEICQISGAKLRLLEAHAGSSESVVEIQGTLDQAKAAQSLLQGFISANSRGTQQQPQSSRMPLYPSWG >KQK91014 pep chromosome:Setaria_italica_v2.0:IX:47183943:47187152:-1 gene:SETIT_034180mg transcript:KQK91014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLLLALLLAACAAAAAQAAGGRNSTAFVPRDDILLDCGAKGQGNDTDGRMWGGDEGSKYAPPSNLAAAAPASGQDPSVPQVPYLTARVSASPFTYSFPLGPGRKFLRLHFYPANYSNRDAADGVFSVSVGKLTLLSNFSAYQTAAAITFSYLVREFSVNVSSTLDLTFTPEKSHPNAYAFVNGIEVVSSPDLFGLTPPNMVTGDGNNQPFSIDASVAMQTMYRLNVGGQAISPSKDTGGYRSWDDDSPYIFGASFGVSYPSDPNVTITYPSNVPEYVAPMDVYSTARSMGPDKNVNLNYNLTWMLQVDAGFNYLVRMHFCEIQYPITKINQRVFDIYINNQTAMNGADVIAWASVSGATTGIGTPVYQDYVVSTYGLGPMDLWVALHPDVDSKPERYDAILNGLEVFKLQLSNGSLAGLNPIPSVEPTDDGETKKKSAVGPIVGGVVGGLVLLALGYCLFVFCRRRSAGKDAGMSDGHSGWLPLSLYGNSHTSSSAKSHTTGSYASSLPSNLCRHFSFAEIKAATNNFDESLILGVGGFGKVYRGEVDGGTTKVAIKRGNPLSEQGIHEFQTEIEMLSKLRHRHLVSLIGYCEEKNEMILVYDYMAHGTLREHLYKTQKPPLTWRQRLDICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPSMDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTLAKEEVSLAEWALHCQKKGILDQIVDPYLKGKIAPQCFKKFAETAEKCVSDQGIDRPSMGDVLWNLEFALQMQESAEESGSLGCGMSDEGTPLVMVGKKDPNDPSIESSTTTTTTTSISMGDQSVASMDSDGLTPSAVFSQIMNPKGR >KQK88575 pep chromosome:Setaria_italica_v2.0:IX:15182440:15183015:-1 gene:SETIT_039221mg transcript:KQK88575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSARAAAAMAIFVLVALSTSHMAFSLRPGAGLGVCRASGYLPGRSGNCEKSNDPDCCEDGKKYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQSLGMVDITWSEE >KQK89189 pep chromosome:Setaria_italica_v2.0:IX:21688744:21694309:-1 gene:SETIT_040211mg transcript:KQK89189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQTTRIESDAEITKWPGKPQDLGDYQLIPEADGAGLQPLIDQVRAMLRSMNDGEISVSAYDTAWVALVPKLDGEGPQFPATVRWIVDNQLPDGSWGDPALFSAYDRMTNTLACVVALTKWSLEPEKCKTGLSFLHENMWRLADEEQESMPIGFEIAFPSLIQISRSLGIDFPYDHPALQSIYSNREIKLKRIPKDMMHRVPTSILHSLEGMSDLDWAKLLNLQSSDGSFLYSPSATAYALMQTGDKKCFEYIDRIVKKFNGGVPNVYPVDLFEHIWVVDRLERLGISRYFQREIKQCMDYVNRHWTEEGICWARNSNVKDVDDTAMAFRLLRLHGYNVSPSVFKNFEKDGEFFCFVGQSTQAVTGMYNLNRASQIGFQGEDVLHRARIFSYEFLRQREAQGMLHDKWIIAKDLAGEVQYALDFPWYASLPRVEARTYLDQYGGKDDVWIGKTLYRWYNENLLETFGVAPQEVLRSYFLAASCIFEPSRAAERLSWARTTLLANAISTHVHNILSDKKRLECFVHCLYEESGKSWIKTNPRDAILERALRQLIDLSAQEAQPIHEGQRFIRSLLSLASIEICAGRIGEAVSVINNKESDRFIQLACTISDSLNHKVLLFQDTEKNEVTIDCIDKEIQLNMQELAQSFLLRLDEKTNKSKTKQTLWGVLRSSYYASHCPQHVIDRHVSEVIFEPV >KQK89525 pep chromosome:Setaria_italica_v2.0:IX:32389949:32391031:1 gene:SETIT_040156mg transcript:KQK89525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGHAPPPPLPLDDDDLLSEILLRLPPHPTCLLRASLVCARWRRLLRDPGFHRRSRAFHRTPPVLGLFRTFHRGARFVPVGEAPDRVPAAGFALPDPASWVLLGCRHGRALLRSRPGWLQLLVWEPITGHRRCVRLSRLGGHVKACSATVLGDPVSLARREGSFRVAFVFTGNGRASACVYSSETGTWGRLITAEAPCGDVCMKPSALVGDAVYWLLDEGGILELHLGKERLAPMETPTHAQSLYLSNIQLMEAEAGVLGFAGVKMYSLHLWAREADRDGTANWVLRTAINLGPFAPPPCVIPRIMLVPPIKILGVDEGGNFAFLRTIFGIFMLSLDGVLLKKVSDAEIMELVHPYSSFYVA >KQK87440 pep chromosome:Setaria_italica_v2.0:IX:7320075:7320553:-1 gene:SETIT_038293mg transcript:KQK87440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILLALLFSNIRYFPFFQKKPIRSSDFEADNKRCSFSEVAILVRRNIKNHIEHNIIAYDYSVALYDTFDIYHMIIFLSLGY >KQK91001 pep chromosome:Setaria_italica_v2.0:IX:47129996:47135059:1 gene:SETIT_035329mg transcript:KQK91001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRRDALPLALGLSLVALLAARGADASVHEYAGGGFAPRANSFFFHGGSEGLYASDPSSNSSASFIRFDTVIFRRTLESASRHEEMQQKTGLVEAIIVEIQDRDKIGGSYLHSDAICCTPELDKEKSCRVGEVIIRPNPDNPEWPKRLQTFFEGKNEETTMLPQLVSINKTGMYYLYFMFCDPQLKGLKITGRTVWRNPQGYLPGKMAPMMTFYGFMSLAYLALGLLWFIQFVRCWKDILQLHYHITAVIALGMCEMAFWYFEYANFNSTGTRPMGITLWAVTFTAVKKTVSRLLLLVVSMGYGIVLPTLGGITSRVAALGFIYFVASEALELVENLGNINDFSGKTRLFLVLPVAILDATFIIWIFSSLSRTLEKLQLRRSMAKLELYRKFTNSLAVSVLISIAWIGYELYFNATDPLSELWQRAWIIPAFWNVLSYALLAIICILWSPSRNPAGFAYSEDAGEGVDEEGLSLVGSAMKGTGDMVNMHIFPEDKRA >KQK92686 pep chromosome:Setaria_italica_v2.0:IX:56768633:56769346:-1 gene:SETIT_037968mg transcript:KQK92686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPASGSYRCAACGADLNLSAAHLYPAGVFFEAGNKGTLSFSWVDESRLRFAPEDRIRPFFETRNYWGIQRKRTRISCDACGRLLGHVYDDGPPLMQGPGQFGMGPSQVIPRRPRYRFKIKAVAAPSPPPAAAYGK >KQK89033 pep chromosome:Setaria_italica_v2.0:IX:19730792:19732262:-1 gene:SETIT_035770mg transcript:KQK89033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPVEITRRAIMSPKPDCDDAVGRMVPLTVFDRAATDGYVPAVFAWTAQAAPANTAVVDGLLATVARFPHLAGRLGVDDRGRRCFHLNNAGVLVLEADAAADLADALARPDISEHIDQLFPKADMYRADEPLLHVQLTRYRCGGLVIGTACQHLVADGQAMSFFYAAWATAVSTGLAILPSPFIDRTTAVVPRSPPSPAFDHRNIEFKGEHSPSRSYPVIPMDRIKNLTVHFSEEFVARLKAHVGARCSMFQCLLAHAWKKATAARRLAPEELTQIRVAVNCRSRAKPPVPTDFFGNMVLWAFPRMRAGELLSSSYAAVVGVIRDAVARVDAEYVQSFVDFGEALERSGERLTATAAVAGTAYCPDLEVDSWLGFGFHDLDFGGGPPCAFLPPVLPLEGLIFFVRSCTAKGGVDLFAALHNEHADAFKQICYSLD >KQK89051 pep chromosome:Setaria_italica_v2.0:IX:19975686:19978064:-1 gene:SETIT_037154mg transcript:KQK89051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKMTRQSGYRGYQKLGENITKEKRDMHEAINCLTPIAPGKYGDLGKTLEGYNLWPEYPSNFKVVLENYISLLKDLSRKIMRGIALALGGPVDAFERGIAGDPYWAVRLISYPVSSDVPEEKRTDTGMGSHTDYGLLTLVNQDDDMCALEVQNRSGVWIHANPIPGTFVCNIGDMLEVWSNGIYQPTVHRVINSSHQRRVSAVFFYETDFDAAVEPVEFCVEKTGSVAKYEKVVYGERLVQKACCCFGDL >KQK87834 pep chromosome:Setaria_italica_v2.0:IX:9644815:9645800:1 gene:SETIT_037366mg transcript:KQK87834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASILHLLPLLLLAAAAADAATFTVTNNCQYTVWAAAVPGGGRQLDNGQTWTVDVPAGTTGGRVWARTGCSFDGSGNGRCDTGDCGGVLQCSGYGQPPNTLAEYGLNKFQDLDFFDISLVDGFNVPMDFLPAGGGAGCPKGGPRCRADVTAQCPAELRATGGCNNPCTVFKTDQYCCTGSEQDTCGPTDYSRFFKGQCPDAYSYPKDDATSTYTCPGGTNYNVVFCP >KQK90443 pep chromosome:Setaria_italica_v2.0:IX:42734581:42735090:-1 gene:SETIT_040584mg transcript:KQK90443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLDFWFCTSPWLDSLQLLKILTKFFVVLAIIGYL >KQK89470 pep chromosome:Setaria_italica_v2.0:IX:31013423:31017673:-1 gene:SETIT_038815mg transcript:KQK89470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EYTVGLYNLGNTCYVNSTLQCLHSVPELKSSLMSYPDAATGNDIDQVSHNLTLATSNVFHELDQSFQPIAPTQFLEMLHKSYPQFAHLHNNAYMQQDADECWDHLVNTLSKTITTKSRVHCAKSGENCLEDAESFYSLKCHVSHDVDHIYEGLKRGLEREFWRHSPRLGQSAIYTGQAEIDELPRQEHKYLYLTVKFERLIWKKELSRNAKIPQKVEYPLQLDVYKFCSDELKQKLHAPRQMLGDPGNVDSTLKTHEKGSSSTQSEVSSSATAEEPYNMDIDEVELCIPKKKLTGLYDLHAVLTHKGSGEVGHYVSWVKQDDGKWVRFDDVDTSIHTEEDILDLCGGGDDHMAYICLYKGHMSE >KQK89090 pep chromosome:Setaria_italica_v2.0:IX:20520034:20521034:1 gene:SETIT_036624mg transcript:KQK89090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWAPAPAPARERPRRRAGQPSFSSTLLDAICDSLDEQPGGGGAGTTAAAARSAGSAKKQQEAAMHYYYYKPSLAASHRAAPPPADDCSGRGYFSSSEVEYSLRRLRPIRTSGGVGAASVAPAEKQHQQQPPATDKAKGARKPAAASARGGCRRPASPGARLASLINAIFSGKRHSARQHPAPADEEPACSTAPSTARPCLNKTPPSARAARARASRSRIRTVRFLDIDGEVAVAAAASGCRRVPVVEVEDSDGGEESSDASSDLFELDNLAAIAPATGGGHCRRACADELPVYGTTGAGLRHDIGRRLPFGYSSHGRSCSRVI >KQK91585 pep chromosome:Setaria_italica_v2.0:IX:50654583:50656561:-1 gene:SETIT_035519mg transcript:KQK91585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARSWLAELRSRFGAGGARADGLGILAFEAAATMSRLVSLHRTLSDVEFRRLRADVLRAEGVARLTSPDQSFLLRLACCELVADLDRAAATVARLAARCRSCAEAPLLRDFDRLYAEAKRGRLAQLDVAVGFSRGAGKRLRKMERHVAAAARLYEEMDALRELEASERRMENWKQHSGPIIPSQAAPGKKPAAEPGEKLMHELRAQRHKVRRLMEGSLWSVDAGRAAKLMAKSVLAVLARISIAFGPFVPGLPSLTVGRAPGHSSGPLHRSAMPGAALRHSVPIFGQKDAALSVLESTKPLASTIGGSGMELRYANLILSVKTLLAALRPPAADGEEVQEGMMDLSARDGLYKMLPVSIREAVNAKLRESWRGQAVDEEAAKASRGEAEVVLRWLGPMAHDTVRWSDERSMERGQRFSMQPRALMVQTLHFADRKKADAAIVDVLVCLSCVCWYDDERRRLESVDWDDE >KQK92051 pep chromosome:Setaria_italica_v2.0:IX:53401458:53402467:1 gene:SETIT_039050mg transcript:KQK92051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAPGRRKPSRSSSAIVADKERGRHELRIDAGTLDAAAPAGERGLLASSPFTVGGHRWRVRYYPNGTDAESKGWVSIFLFLDEDVAEPVDRFSIRCDIVVLNGFRTKEEAPSAAIPPSDLQKHLGDLLQSGRGTDVVFEVGGEAFAAHRCVLAARSPVISADLLGAASDGAAAAGVVRVDGVETRALKALLRYAYTDSLPEMDREEEDAILRNVAADRYSLQRLKLICEDRLCRLVSVGTVEITLALAEQHNCDRLKEACLQFLGAPANLRAAMGARGQ >KQK90297 pep chromosome:Setaria_italica_v2.0:IX:41355607:41356863:1 gene:SETIT_037054mg transcript:KQK90297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCECGTGSFKHVDGDAEDLGCGGGSPRGIRRKHGGGGKVNPYAGRGLDRFSVVLSELETRRARILRRVGSDDTGLVLVRFAVQSNGGWTPVVVKLPEQPLKQKQGATAKKPRAAASAPPLPPAPASPVSLLDPASSPREREGVKKATAKVPARRASFSWGRRVRRPSCYLPAVVVLTLVSIVVFGRVFAICLTSVWWYVLPTLGSCYDDGAAGEDARRAGMKRSMEKRKLVSPPPPHAKKGSSWGVHVHEVASSPRGHAKGKRG >KQK86352 pep chromosome:Setaria_italica_v2.0:IX:1398182:1404663:-1 gene:SETIT_034570mg transcript:KQK86352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGLAYPDRFYAAAAYAGFGAGGATSSAAISRFQNDVALLLYGLHQQATVGPCNVPKPRAWNPVEQSKWTSWHGLGSMPSAEAMRLFVKILEEEDPGWYSRVPEFNSEPVVDIQMHPKEEPQIVPASTNGTSISEPKIISENGSSVETQDKDVILEGLSTVSSLDEWTPLSISGHHPKPRYEHGATVLQDKMYIFGGNHNGRYLSDLQALDLKSLTWSKIDAKLQAESSDSAKTSQIAPCAGHSLITWGHKFFSIAGHTKDPSEGITVKEFDPHTCTWSIVRTYGKSPVSRGGQTVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLTPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSTVEGRVPLASEGMTLVHSSYNGYDYLISFGGYNGRYSNEVYTLKLSLKSDSKSTTKEETVSDTTSRVAEPEVETSQDGKIREIAMDSADSDLKNSTDEASEQLLAALKAEKDELEATLNREQLQTVQLKEDIAQAESRNVELTKELQAVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEKEVELLRRQRAASEQAALDAKQRQSSGGMWGWLVGTPPDDKSES >KQK86353 pep chromosome:Setaria_italica_v2.0:IX:1398453:1404506:-1 gene:SETIT_034570mg transcript:KQK86353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGLAYPDRFYAAAAYAGFGAGGATSSAAISRFQNDVALLLYGLHQQATVGPCNVPKPRAWNPVEQSKWTSWHGLGSMPSAEAMRLFVKILEEEDPGWYSRVPEFNSEPVVDIQMHKPKEEPQIVPASTNGTSISEPKIISENGSSVETQDKDVILEGLSTVSSLDEWTPLSISGHHPKPRYEHGATVLQDKMYIFGGNHNGRYLSDLQALDLKSLTWSKIDAKLQAESSDSAKTSQIAPCAGHSLITWGHKFFSIAGHTKDPSEGITVKEFDPHTCTWSIVRTYGKSPVSRGGQTVTLVGTTLVLFGGEDAKRCLLNDLHILDLETMTWDDVDAIGTPPSPRSDHAAACHADRYLLIFGGGSHATCFNDLHVLDLQTMEWSRPKQQGLTPSPRAGHAGATVGENWYIVGGGNNKSGVSETLVLNMSTLTWSVVSTVEGRVPLASEGMTLVHSSYNGYDYLISFGGYNGRYSNEVYTLKLSLKSDSKSTTKEETVSDTTSRVAEPEVETSQDGKIREIAMDSADSDLKNSTDEASEQLLAALKAEKDELEATLNREQLQTVQLKEDIAQAESRNVELTKELQAVRGQLAAEQSRCFKLEVDVAELRQKLQSMDALEKEVELLRRQRAASEQAALDAKQRQSSGGMWGWLVGTPPDDKSES >KQK87135 pep chromosome:Setaria_italica_v2.0:IX:5706304:5712698:1 gene:SETIT_035070mg transcript:KQK87135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSTNLRFWRGYHNSGKFDLTDMTHPHMWYPKAREKKRNVYLHVGPTNSGKTYNALKSLEASSSGVYCGPLRLLAREVAQRLNKVDVPCNLITGQERDEIEGAKHSSVTVEMADVTTEYQCAVIDEIQMVGCKSRGFSFTRALLGLCSDELHVCGDPAAVPLIQRILEATGDLVTVQYYERLSPLVPLKSPLGSFSNIKAGDCLVTFSRRGIYSLKKRIEMEGKHLCSVVYGSLPPETRTKQATMFNDDTSDLNVLVASDAIGMGLNLNISRIIFSTMMKFDGFSFRELTVAEIKQIAGRAGRYGSKFPVGEVTCLDAEDLPLLHSSLKSPSPIIERAGLFPTFDLLSLYSRLHGTDFFHPILERFLEKAKLSPDYFIAECEDMLKVAAIVDDLPLGLYDKYLFCISPVDMRDDISVQGLVQFAENYARKGTVRLKEIFTPGTLQVPKTHNQLKELESVHKVLELYVWLSFKVEDSFPDREVAASQKAICSMLIEEYLERSGWQPQGRRKFLRGPQKLLREYDVSQMRKYFQEVDGQPK >KQK86621 pep chromosome:Setaria_italica_v2.0:IX:2950086:2952153:1 gene:SETIT_038003mg transcript:KQK86621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLSSLVTPPPAADDPNCAVVAAHSKATYDEQWAAAKSSGKLMVIDFSASWCGPCRFIEPAFKEMASKYTDVAFVKIDVDELADVARTWKVEAMPTFVLAKGGKEVSRVVGAKKDELERKIGMFRSSSSY >KQK86620 pep chromosome:Setaria_italica_v2.0:IX:2950086:2950816:1 gene:SETIT_038003mg transcript:KQK86620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLSSLVTPPPAADDPNCAVVAAHSKATYDEQWAAAKSSGKLMVIDFSASWCGPCRFIEPAFKEMASKYTDVAFVKIDVDELAVRLMIRFSFLLSARFVRGLGGQIRRLRL >KQK93105 pep chromosome:Setaria_italica_v2.0:IX:58798205:58810744:-1 gene:SETIT_033870mg transcript:KQK93105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPERTVAALESCFRALPADAVPAVVDCVLASSASTSPAQLFHALLRSPASSEVGWLWLFAAALFYPISIHIQRIYGSSFPPKQQQQGLQAQSDRHASISHAAALRHLLARFDNRPKAKDAMRLLLWRVFLPLLRDTIEPNLHQVVAFMCDAISDTGSWDLLGATIVPFCIRSSVVAMGLSAGHDSMLYHSITEADFAGDSLAPMLTLSKASSVLASLLRDILERRRTVLSVGPLNSQEVAVDLDALVQNLTWDLSTLVFKMFAHGQEYRSCATRTLLQPLLISLADIPCVTVMLGAVQHKLSRFGFLERIWDSCISLFSLGRGERLDAYNVLSLYLSTLKLGHQVAILGADKLQEFDLSNVSEFWNQLRKGLVDKDSFVRKQAFYVLTISLSIFTSSSLNDGNHHCSSKRLAALPAQTKSNTATTKRERWASKEAKSLGVRQTDQSDERCSNGQGRWKVFLLLYEMLQEYGTHLVEAAWMHQVMLLFESTPQTDYLNHTSHGAFHAQMESWEGILHWMTVLWERGFTHDNPQVRCLVMQSFLDISWEHYKVCAQIIPRGFVLGSLIRGLNDVVHHKDFGVVGIYDSKTIKGAESFFSTYAQNLTRRDRIHLVWSLASAAKHDSFGRAGLMTLASCVASCTCQSDINDVPCATPWKEPSKCDGDVPTEVRSEDLLDALWILSERSKQHFNPKYRLKVCEQVIKVATSLINAAEVPLNQLLHFISTIPREFTDYFGPLRVIVQEWFVQKKECSPGNTLLSKLLDFPTTFVKHSKQDQGSNLFDDEDVNAWEAEARRWARTLLLVTSDEQHLKRILGFIEAHGYKLSQQSPVGDCVPIKFFIILLSFIEELEARQKKLETMVVFSKLSCSVFWLKNIENMDLPYSVKGKLGGPSQRRLATSITSSVLQGIWSMRCISSVASWCNHYNSGDSFFPTFSFLWDFYWKVIEHSTNATETGAELHLAAYEALAYVLEALSTARNSQYLDLVETEQTNQARKFSLDISVTTFLNNINRLLTDGILTRSRRAVLMTWKTMMQLAKSSWILHLSCNKRRVAPIAALLSAILHPAIFCKLEMHQTNEEGPGPLKWFIENLLNEGSKSPRTIRLAALHLSGLWLMYPETLRFYMDELKQLSLYGSVAFDEDFEAELSENHEAKFEVSMLAQSPDREFTEVFINTELYARVSVAVLFHQLWKQIKEKNISGTEEALQSGKLFLLKLLDSAVNDKDLSKELYKKYSSVHRRKVRVWQMICVLSHYVEDDIVGEVILSTHTCLYRNNLPAVRQYLETFAILLYLKFPTLAKEQLIPIFHDKGMRQQALSSYVFIVANVILHSRQLSVQRNHLNQLLPPILPFLTSHHHSLRCFTQLLVHSVLSRLWPTLYLETSEDVIFERRCFQELKDYLAENSDCVRLRVSIEGFLDVFDPNASGTPSGIFSTRPEVSEFECVPVSVMERVIEFLNDVREDLRHAMAKDTVTIKNEGLAVEGHGDEDKSGEKVSVLLQPGCQDALDFQKKITPRRDSEQALNLNARDHSRLISGMQTVPCCSFLSPTYIVTCLSPVLIAEIEEDDQLFNLALEARLHAAETIKQSQQELIVVASLVDRIPNLAGLTRTCEVFRAAGLVVADKSILLDKQFQLISVTAEKWLPITELPVDSVKAYLERKRAQGYSVIGLEQTAHSRPLDRFEFPRRTVLVLGREKEGIPVDIIHILDACVEIPQLGVVRSLNVHVSGAIAVWEYTRQHSQQPRPSSGVLTLLNADATS >KQK88616 pep chromosome:Setaria_italica_v2.0:IX:15531582:15532418:1 gene:SETIT_039587mg transcript:KQK88616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHALPSCALTAAIAAVKSALKTFRIRAEKKLPGIVNYFGWCTWDTFYQDVSQEDVEAGLRSLIAAAQVRHRRRRLAVRRHTDQATTPTPTSPLAGMSRLACPGSSASRRTASSRTLMTRPSASKDGGARDEGGVRTQCPGRGPWHAITSYCGSVRPDAAHGRDGALLLKLAVSQGVTGCRGERARDDDRHAHPARARLRSPVYRFYDELHAYLATGSVDGIKVDVQSVLDTLGAGHGGRVQLTKQDHQALDASIAKNFQENLP >KQK92468 pep chromosome:Setaria_italica_v2.0:IX:55674331:55676870:-1 gene:SETIT_037414mg transcript:KQK92468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAAGVQRAAALVALLAVTAATADDFFSPLAPIFAPVINSICSTVACGKGNCTVAPGTLGYRCECDPGWTQLHVGDELRFLPCVIPNCSIDSSCSNGSSAPAPTPLPAPKNFSLNPCELAYCGTGGTCRNGSGLSYHCECKEGYSNLLNMTTLPCFQNCSIGADCSRIGILPFSNSSNKSPAPPSSESISNNGIAAAPGSISQRILMPLLLIVSLAVSQVI >KQK86852 pep chromosome:Setaria_italica_v2.0:IX:4124756:4128753:1 gene:SETIT_035765mg transcript:KQK86852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSGASGRKLKLTTRPLQLRPRHSGWPPPMVGARRRSALGPREGEERVVGGQKVRLPLSRLPASAPHASTPAPNPHRPLACAAAGRPRRRRPSTSRPRPSASVTMSALSSCSSAAGTATTLVAYGRSPQDQELLAFAAGSVALGEGESAGEFAVALSYEGAGFDAGAYMGALRARLFGRWMLWSPRIGSTQDLIAQNFAKLPVGVVCVADVQFKGRGRSKNVWESPPGCLMFSFTSRMQDARKLPLMQYVVCLSMTEAIKELCRAKGLPELDVRIKWPNDLYLKGLKVGGILCTSSYEPKVYNICTGIGLNVDNEKPTTCLNAALQEANVISPRLKREDILAYFFNKFENLFEIFSNQGFQALEEQYYNSWLHSGQRVVVQDAHEGQSVDSVVTIQGLTPTGYLYAIGEDGKSYELHPDGNSFDFFTGLVRRKIEA >KQK88215 pep chromosome:Setaria_italica_v2.0:IX:12363573:12363629:1 gene:SETIT_0389732mg transcript:KQK88215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDEAILRKNGQAPISKL >KQK92687 pep chromosome:Setaria_italica_v2.0:IX:56769861:56771563:1 gene:SETIT_038747mg transcript:KQK92687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGERNNMHVLSALDGAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYTVDASPNPGSLPPNVSAAVNGVAFVGTLSGQLFFGWLGDKVGRKSVYGVTLLLMIVCSIASGLSFGHSPTGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGAVAIGITAIFKSQFPAPPYAVEPLASTPPQADLVWRIILMFGAVPAALTFYWRMKMPETARYTALVARNAERAAADMSKVLHVEIAKEQAEVAAPAATGGCPKCSRPFGLFSWEFARRHGAHLVGTASTWLLLDIAYYSQNLFQKDIFSAVGWIPAARTMSALDELFHIARAQTLIALCGTVPGYWFTVAFIDVLGRFKIQLAGFLMMAAFMFGLAAPYEHWRSPGNQTGFVVMYAFTFFFANFGPNATTFIVPAEIYPARLRATCHGISAASGKVGAIIGSFGFLYLAQSPDPAKTAHGYPPGIGVRMSLFALAGCSLLGFLLTFLVPEPKGKSLEEMSRENEPVES >KQK90047 pep chromosome:Setaria_italica_v2.0:IX:39155858:39157967:1 gene:SETIT_035936mg transcript:KQK90047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGVASHGALLAAAPLAGRRLRLPLSPSPPPPSIQIQNRLYSISSLPLKARPVRRCGASLASNYSQTSEIVDLDWENLGFGIVQTDYMYVAKCGTDGNFAEGEMVPFGPISLNPSSGVLNYGQGLFEGLKAYRKPDGSILLFRPEENALRMQTGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGGVKTIGNYASVILL >KQK90049 pep chromosome:Setaria_italica_v2.0:IX:39155858:39160724:1 gene:SETIT_035936mg transcript:KQK90049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGVASHGALLAAAPLAGRRLRLPLSPSPPPPSIQIQNRLYSISSLPLKARPVRRCGASLASNYSQTSEIVDLDWENLGFGIVQTDYMYVAKCGTDGNFAEGEMVPFGPISLNPSSGVLNYGQGLFEGLKAYRKPDGSILLFRPEENALRMQTGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGGVKTIGNYASVLMAQKIAKEKGYSDVLYLDAVHKKYLEEVSSCNIFVVKGNVISTPAIKGTILPGITRKSIIDVAVSKGFQVEERLVSVDELLDADEIFCTGTAVVVSPVGSITYQGKRVEYGHQGVGVVSQQLYTSLTSLQMGQTEDWMGWTMQLN >KQK90048 pep chromosome:Setaria_italica_v2.0:IX:39155858:39160724:1 gene:SETIT_035936mg transcript:KQK90048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGVASHGALLAAAPLAGRRLRLPLSPSPPPPSIQIQNRLYSISSLPLKARPVRRCGASLASNYSQTSEIVDLDWENLGFGIVQTDYMYVAKCGTDGNFAEGEMVPFGPISLNPSSGVLNYGQGLFEGLKAYRKPDGSILLFRPEENALRMQTGAERMCMPAPSVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLAPINLIVEDKFHRATPGGTGGVKTIGNYASVLMAQKIAKEKGQCYFYSSNKRNNITWHHKEKYNRCCCEQGLPG >KQK86157 pep chromosome:Setaria_italica_v2.0:IX:490065:490834:-1 gene:SETIT_038026mg transcript:KQK86157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRGSGGSVVALAAAALLLCVLLQAQVAESAVFTVGDRGGWTFNSNTWTNGKRFKAGDVLVFKYDSSAHNVASVNAAGYKGCAAPRGARTYTSGNDRVTLARGTNYFICSIPGHCQAGMKIAVTAA >KQK90791 pep chromosome:Setaria_italica_v2.0:IX:45779919:45782783:-1 gene:SETIT_034227mg transcript:KQK90791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYNKSHDAGGEFNGDGSPQKGGGDVPLTPSIHLDIMEHHGGGCGGGLGNGGGGSASFFEPWREQTPGSGSGHGSSGRGGWREPPEKRLTLFALRLAVLEKAASGLGKLDFVWATVVLLGGFASSLNITDFWCVTVILVGEGARVFSRSHELEWQHHATQTSTAGGALRSSSRFFRHVMHAIADPAAAVGGGGGVDDEARARAVMFQRQVVAFMKRRAWHAPDVSLLPYTGWVFVSRKIGRLLNMLQVLSAFACVALSLMRLWKHDFGGDGNMRPALLLFYTLALVEASLFLLEKAYWTWKVSIRKLLHQVSAECELGEYGLVALTRFFYDAYSRCIAGSIFDGIKMDLVSFAEELILSDFLDEQIIGVCILQKFATSEHSAGDTLRKVGTTPRSIERLVEMLNWKRDDEKVVRQCAAEVVSKLAGKRQNALRVSGIPGAIESIMSLLSSNTPVSATHPQNMPGAVAEHEEASPAGRGYDHLPFNLLGLTILKKLARDHDNCGKIGNARGLLAKIIGFTQESPGLLRNPYASDSQVRVVKRALQLVKMLVSTTGSTGLALRKDVAEDVFTVSNLRDILQYGHQHRELQKLATDVLTALAMDEHGKKAVAATGGVVKELLSIFVSAEERELGGEAGEALAMLALESEAGCAAILKRADVLDQLVSALQDDGDARRLNATRVLRSLCAYSGERHGERLRAVTKALPAVLSATMAARDKILEVSVGLTTEICGFIDGEQFAAELRGAGVEERAYVQRLAWILGENKRPEIKVPRMRRFVVQQIIWLMNCSRGDVYVGLLREVGMERLLESIADTTSELECYHVFSGSVGISKHRESFSGIVDSALELIAGSGGGGARAEE >KQK90999 pep chromosome:Setaria_italica_v2.0:IX:47113427:47114776:1 gene:SETIT_039033mg transcript:KQK90999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYRYKSGVQTFSVPVAAPSVSVADLKNLILRTARHGHGRTRGRGPRETVALYDERTGEEYTDGSALIPRSSTVLVRRVAGPPAEAITVASSPPPPPEATTRGGTPSDSAVTSSSSAEDDETRAISAVIDAAELKWEGHHPCQGGRCYGHRGGLEGRAAPPAGYVCHRCRVPGHFIQHCPTNGDPRYDLGRGSSNTNLPTPSPVSTSLDDGVPPELHCKICNKVMADAVVASRCCFGSFCNACIRGQIAAKSRCACGAQSRADDLIPNLTLRATIAKLLATSAAGSGSAGTSNRKSSAGSNAEPTSQSAAASQESHSRVTANAGSEHSEGSASSTSKSATPPAARAPRTERTTADSAETGAHAGYPEQYSYMNPVGPACYDPFFGATPWACDPYMHMYYGMPYGGGYTNVPVPAGYHNVPCHGRKRTADGEFQRQEASFKRRCGGRSE >KQK90355 pep chromosome:Setaria_italica_v2.0:IX:41983297:41990802:-1 gene:SETIT_035317mg transcript:KQK90355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHEASASAPAAGDGAARRPPRPTLALPPRSAVESLFAGAGGSSSSAGAAETSPGPLTLAAALFPDAPSPAFHGSFTQLLVGAIGSPAAAAAAAVPTPPSPFSVPPGLSPTALLGSPGLFSPTGSFEMSHQQALAQVTAQAVHSQYNMINNSDYSIPFSSTTKPAANVKLQHVNPANVTSTQEISTLPSHTGNNNIEPNEVSQGLQNSAPTFDKPADDGYNWRKYGQKAVKGGEYPRSYYKCTHASCPVKKKVERSGEGHITQIIYRGQHNHQRPPKRRSKDGGGLLNEADDFHENEDASTRSEPGSQDHSGKHGGSNDGLAGPSVSRRREGDEQLSGSSDSEEEADDEQRVGNGDAGRANANRRHVPTPARRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTYQGCDVKKHIERSSQDPKAVITTYEGKHSHDVPAARNSSHAAAAAANANASSSSSLPHKGQNSASSSRKRADMSSASSMLLKEENEIT >KQK86219 pep chromosome:Setaria_italica_v2.0:IX:816242:817393:-1 gene:SETIT_039797mg transcript:KQK86219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNGGQPAPQMTLVSMAGAREDHTFASYIEQRKHRHGRQSMVMYPMLRRVFCRHCRRWSRGEDHTLTPCLVSSHDVEQVPEPVDELALEVRSCFRCAQEICEKTRYLRDEAPASRGRDTPLHQAARSRNLTMFCHLVTLVGEEGGHGLVVQALRKTNDRKETALHVAVRIGDRDMVELMLWVDPQLGQIACHYISPIYLAVSLGRKDIAEALHDASGPCHIVSYSGPSGRNALHAAVLHGDAASPPLGAAPAPQPFTSGRFKSVTGGFVAVLSSHHLPPPQYL >KQK92874 pep chromosome:Setaria_italica_v2.0:IX:57610433:57618101:1 gene:SETIT_033923mg transcript:KQK92874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRSKTTWPNPCWRHRPDVGRTPGGDAARSGESTKPPCRQRGTTLTAGPGLPLSPGRSRRVLRPSIAEPVVTSHSHSHSRSSTTSQFTQSSTQAEHQGMADQLISTAVHEELPENYVRPEAQRPRLHEVVSDAQIPVVDLADPDPAAVVASIGEACTTHGFFQVLNHGVPVELMVAMLAVAYEFFRLPAEEKAKLYSDDPGKKMRLSTSFNVRKETVHNWRDYLRLHCYPLEQYVPDWPANPPSFREIVSAYCREVRALGFRLYEAISASLGLEDDYVKRTLGEQEQHMAVNFYPRCPAPELTYGLPAHTDPNALTILLMDQQVAGLQVLNDGRWIAVNPRPNALVINIGDQLQALSNGRYKSVWHRAVLNSDRPWMSVASFLCPCNDVRIGPALSVLSPSIAEPVVTSTYVASLRGKHGARTRTRAAAQHHNSRRAALKPGIRAWRTSSSPRPCTRSCRRATSGRHTCTTRASLVHARHGPSYLDRQTAAMPAMDAHQAVTTGGGGTGGYVEFLGKVWGALAGLGKKLAKIVTDDPRRVVHSFKVGLALTLVSVLYYVHPIFNNWGLSTLWAVLITVAVVMDYTVGGTLIKGLNRATATLVAGLIAVGAHKVANLGGSKGEPIIILAIFVFLLATAATFTRFIPAVKAWYDYSVTIFILTFSMVAVSSYRVEELIRLAYQRSFTIFVGVATCLFTTMFVCPVWAGEDLHNLAAENLDKLAEFLEGLESECFGENAPGEDLESKPFLQVYKSVLDCKATEDSLANFAKWEPGHGNFYFRYPWGQYQNIGAVARQCASSMQTLASYIITLIKAQRPETNLELCSKVRTACGEMSLHSAKALRALSAAIQARTVPSPAMTHMTAAIRAAKGLKAELSQDEDLAKVMHVAGIASLLSEVVSQTKKITESVGNLAKVAGFKSPDENTDQKDVVIIIDDLKVNGPTSPLPASPPTKKPAVPRMVNTHKNAPSVDIDKFSNVLKKKASSSGEKYITHSAFPQKEKDQNLNFFASDDVPMDYEHGKPFLYQWEPLKGPWELMHGWIMNAMKQDIQAITAHVPTKVFLGVLPYQIVIDFEDLYRLYHRQHLDVNLISVWCLEEELAHGRFKVAYLDPARISEPEHKLKMTETIKAQIEAAETQAEKNAIKIKAHRDEMHKVSIYIAKVMQKKADKDYIMAPYCFDDHWICIIILHKLGEAVVLDSTSYHRDKYKDFIDIIQNAYQLYIPQDGVTKAMKIIYHRFCHKQPPGSVLCGYYVCEFIRNNGRYRTNPEDMPTIDSNYSKIEDKQIDNICTDMARFILHEICHEDGAFFDKDGVLMADECTNLRRWA >KQK92871 pep chromosome:Setaria_italica_v2.0:IX:57610433:57618101:1 gene:SETIT_033923mg transcript:KQK92871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRSKTTWPNPCWRHRPDVGRTPGGDAARSGESTKPPCRQRGTTLTAGPGLPLSPGRSRRVLRPSIAEPVVTSHSHSHSRSSTTSQFTQSSTQAEHQGMADQLISTAVHEELPENYVRPEAQRPRLHEVVSDAQIPVVDLADPDPAAVVASIGEACTTHGFFQVLNHGVPVELMVAMLAVAYEFFRLPAEEKAKLYSDDPGKKMRLSTSFNVRKETVHNWRDYLRLHCYPLEQYVPDWPANPPSFREIVSAYCREVRALGFRLYEAISASLGLEDDYVKRTLGEQEQHMAVNFYPRCPAPELTYGLPAHTDPNALTILLMDQQVAGLQVLNDGRWIAVNPRPNALVINIGDQLQALSNGRYKSVWHRAVLNSDRPWMSVASFLCPCNDVRIGPALSVLSPSIAEPVVTSTYVASLRGKHGARTRTRAAAQHHNSRRAALKPGIRAWRTSSSPRPCTRSCRRATSGRHTCTTRASLVHARHGPSYLDRQTAAMPAMDAHQAVTTGGGGTGGYVEFLGKVWGALAGLGKKLAKIVTDDPRRVVHSFKVGLALTLVSVLYYVHPIFNNWGLSTLWAVLITVAVVMDYTVGGTLIKGLNRATATLVAGLIAVGAHKVANLGGSKGEPIIILAIFVFLLATAATFTRFIPAVKAWYDYSVTIFILTFSMVAVSSYRVEELIRLAYQRSFTIFVGVATCLFTTMFVCPVWAGEDLHNLAAENLDKLAEFLEGLESECFGENAPGEDLESKPFLQVYKSVLDCKATEDSLANFAKWEPGHGNFYFRYPWGQYQNIGAVARQCASSMQTLASYIITLIKAQRPETNLELCSKVRTACGEMSLHSAKALRALSAAIQARTVPSPAMTHMTAAIRAAKGLKAELSQDEDLAKVMHVAGIASLLSEVVSQTKKITESVGNLAKVAGFKSPDENTDQKDVVIIIDDLKVNGPTSPLPASPPTKKPAVPRMVNTHKNAPSVDIDKFSNVLKKKASSSGEKYITHSAFPQKEKDQNLNFFASDDVPMDYEHGKPFLYQWEPLKGPWELMHGWIMNAMKQDIQAITAHVPTKVFLGVLPYQIVIDFEDLYRLYHRQHLDVNLISVWCLMQWREEELAHGRFKVAYLDPARISEPEHKLKMTETIKAQIEAAETQAEKNAIKIKAHRDEMHKVSIYIAKVMQKKADKDYIMAPYCFDDHWICIIILHKLGEAVVLDSTSYHRDKYKDFIDIIQNAYQLYIPQDGVTKAMKIIYHRFCHKQPPGSVLCGYYVCEFIRNNGRYRTNPEDMPTIDSNYSKIEDKQIDNICTDMARFILHEICHEDGAFFDKDGVLMADECTNLRRWA >KQK92873 pep chromosome:Setaria_italica_v2.0:IX:57610433:57618101:1 gene:SETIT_033923mg transcript:KQK92873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRSKTTWPNPCWRHRPDVGRTPGGDAARSGESTKPPCRQRGTTLTAGPGLPLSPGRSRRVLRPSIAEPVVTSHSHSHSRSSTTSQFTQSSTQAEHQGMADQLISTAVHEELPENYVRPEAQRPRLHEVVSDAQIPVVDLADPDPAAVVASIGEACTTHGFFQVLNHGVPVELMVAMLAVAYEFFRLPAEEKAKLYSDDPGKKMRLSTSFNVRKETVHNWRDYLRLHCYPLEQYVPDWPANPPSFREIVSAYCREVRALGFRLYEAISASLGLEDDYVKRTLGEQEQHMAVNFYPRCPAPELTYGLPAHTDPNALTILLMDQQVAGLQVLNDGRWIAVNPRPNALVINIGDQLQALSNGRYKSVWHRAVLNSDRPWMSVASFLCPCNDVRIGPALSVLSPSIAEPVVTSTYVASLRGKHGARTRTRAAAQHHNSRRAALKPGIRAWRTSSSPRPCTRSCRRATSGRHTCTTRASLVHARHGPSYLDRQTAAMPAMDAHQAVTTGGGGTGGYVEFLGKVWGALAGLGKKLAKIVTDDPRRVVHSFKVGLALTLVSVLYYVHPIFNNWGLSTLWAVLITVAVVMDYTVGGTLIKGLNRATATLVAGLIAVGAHKVANLGGSKGEPIIILAIFVFLLATAATFTRFIPAVKAWYDYSVTIFILTFSMVAVSSYRVEELIRLAYQRSFTIFVGVATCLFTTMFVCPVWAGEDLHNLAAENLDKLAEFLEGLESECFGENAPGEDLESKPFLQVYKSVLDCKATEDSLANFAKWEPGHGNFYFRYPWGQYQNIGAVARQCASSMQTLASYIITLIKAQRPETNLELCSKVRTACGEMSLHSAKALRALSAAIQARTVPSPAMTHMTAAIRAAKGLKAELSQDEDLAKVMHVAGIASLLSEVVSQTKKITESVGNLAKVAGFKSPDENTDQKDVVIIIDDLKVNGPTSPLPASPPTKKPAVPRMVNTHKNAPSVDIDKFSNVLKKKASSSGEKYITHSAFPQKEKDQNLNFFASDDVPMDYEHGKPFLYQWEPLKGPWELMHGWIMNAMKQDIQAITAHVPTKVFLGVLPYQIVIDFEDLYRLYHRQHLDVNLISVWCLMQWREEELAHGRFKVAYLDPARISEPEHKLKMTETIKAQIEAAETQAEKNAIKIKAHRDEMHKVSIYIAKVMQKKADKDYIMAPYCFDDHWICIIILHKLGEAVVLDSTSYHRDKYKDFIDIIQNATSNLLALCYADITCASSSETMGGTGQTLKTCLPSTVIIARSKTNKSTTFVQIWRGSSYTRFVTRMEHSLIKMAC >KQK92870 pep chromosome:Setaria_italica_v2.0:IX:57610433:57617332:1 gene:SETIT_033923mg transcript:KQK92870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRSKTTWPNPCWRHRPDVGRTPGGDAARSGESTKPPCRQRGTTLTAGPGLPLSPGRSRRVLRPSIAEPVVTSHSHSHSRSSTTSQFTQSSTQAEHQGMADQLISTAVHEELPENYVRPEAQRPRLHEVVSDAQIPVVDLADPDPAAVVASIGEACTTHGFFQVLNHGVPVELMVAMLAVAYEFFRLPAEEKAKLYSDDPGKKMRLSTSFNVRKETVHNWRDYLRLHCYPLEQYVPDWPANPPSFREIVSAYCREVRALGFRLYEAISASLGLEDDYVKRTLGEQEQHMAVNFYPRCPAPELTYGLPAHTDPNALTILLMDQQVAGLQVLNDGRWIAVNPRPNALVINIGDQLQALSNGRYKSVWHRAVLNSDRPWMSVASFLCPCNDVRIGPALSVLSPSIAEPVVTSTYVASLRGKHGARTRTRAAAQHHNSRRAALKPGIRAWRTSSSPRPCTRSCRRATSGRHTCTTRASLVHARHGPSYLDRQTAAMPAMDAHQAVTTGGGGTGGYVEFLGKVWGALAGLGKKLAKIVTDDPRRVVHSFKVGLALTLVSVLYYVHPIFNNWGLSTLWAVLITVAVVMDYTVGGTLIKGLNRATATLVAGLIAVGAHKVANLGGSKGEPIIILAIFVFLLATAATFTRFIPAVKAWYDYSVTIFILTFSMVAVSSYRVEELIRLAYQRSFTIFVGVATCLFTTMFVCPVWAGEDLHNLAAENLDKLAEFLEGLESECFGENAPGEDLESKPFLQVYKSVLDCKATEDSLANFAKWEPGHGNFYFRYPWGQYQNIGAVARQCASSMQTLASYIITLIKAQRPETNLELCSKVRTACGEMSLHSAKALRALSAAIQARTVPSPAMTHMTAAIRAAKGLKAELSQDEDLAKVMHVAGIASLLSEVVSQTKKITESVGNLAKVAGFKSPDENTDQKDVVIIIDDLKVNGPTSPLPASPPTKKPAVPRMVNTHKNAPSVDIDKFSNVLKKKASSSGEKYITHSAFPQKEKDQNLNFFASDDVPMDYEHGKPFLYQWEPLKGPWELMHGWIMNAMKQDIQAITAHVPTKVFLGVLPYQIVIDFEDLYRLYHRQHLDVNLISVWCLMQWREEELAHGRFKVAYLDPARISEPEHKLKMTETIKAQIEAAETQAEKNAIKIKAHRDEMHKVSIYIAKVMQKKADKDYIMAPYCFDDHWICIIILHKLGEAVVLDSTSYHRDKYKDFIDIIQK >KQK92872 pep chromosome:Setaria_italica_v2.0:IX:57610433:57617140:1 gene:SETIT_033923mg transcript:KQK92872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRSKTTWPNPCWRHRPDVGRTPGGDAARSGESTKPPCRQRGTTLTAGPGLPLSPGRSRRVLRPSIAEPVVTSHSHSHSRSSTTSQFTQSSTQAEHQGMADQLISTAVHEELPENYVRPEAQRPRLHEVVSDAQIPVVDLADPDPAAVVASIGEACTTHGFFQVLNHGVPVELMVAMLAVAYEFFRLPAEEKAKLYSDDPGKKMRLSTSFNVRKETVHNWRDYLRLHCYPLEQYVPDWPANPPSFREIVSAYCREVRALGFRLYEAISASLGLEDDYVKRTLGEQEQHMAVNFYPRCPAPELTYGLPAHTDPNALTILLMDQQVAGLQVLNDGRWIAVNPRPNALVINIGDQLQALSNGRYKSVWHRAVLNSDRPWMSVASFLCPCNDVRIGPALSVLSPSIAEPVVTSTYVASLRGKHGARTRTRAAAQHHNSRRAALKPGIRAWRTSSSPRPCTRSCRRATSGRHTCTTRASLVHARHGPSYLDRQTAAMPAMDAHQAVTTGGGGTGGYVEFLGKVWGALAGLGKKLAKIVTDDPRRVVHSFKVGLALTLVSVLYYVHPIFNNWGLSTLWAVLITVAVVMDYTVGGTLIKGLNRATATLVAGLIAVGAHKVANLGGSKGEPIIILAIFVFLLATAATFTRFIPAVKAWYDYSVTIFILTFSMVAVSSYRVEELIRLAYQRSFTIFVGVATCLFTTMFVCPVWAGEDLHNLAAENLDKLAEFLEGLESECFGENAPGEDLESKPFLQVYKSVLDCKATEDSLANFAKWEPGHGNFYFRYPWGQYQNIGAVARQCASSMQTLASYIITLIKAQRPETNLELCSKVRTACGEMSLHSAKALRALSAAIQARTVPSPAMTHMTAAIRAAKGLKAELSQDEDLAKVMHVAGIASLLSEVVSQTKKITESVGNLAKVAGFKSPDENTDQKDVVIIIDDLKVNGPTSPLPASPPTKKPAVPRMVNTHKNAPSVDIDKFSNVLKKKASSSGEKYITHSAFPQKEKDQNLNFFASDDVPMDYEHGKPFLYQWEPLKGPWELMHGWIMNAMKQDIQAITAHVPTKVFLGVLPYQIVIDFEDLYRLYHRQHLDVNLISVWCLMQWREEELAHGRFKVAYLDPARISEPEHKLKMTETIKAQIEAAETQAEKNAIKIKAHRDEMHKVSIYIAKVMQKKADKDYIMAPYCFE >KQK87626 pep chromosome:Setaria_italica_v2.0:IX:8287009:8293558:1 gene:SETIT_035131mg transcript:KQK87626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSRPSACVGKPHTPRSGDAGGRSGGGSGARRRRSRRASKGRRKAPSRAASMETIQEAEVPASPSALAADHRTYSNPAFQAVSGSIEEAWYDSLAMSESDAEDDFHSVQDDACSLNGFENEAALSTRDGNSGSFKEAAQSGEHHHRKPKSSELSKGSSENGVRTSLSHDDVLSVSGEDSTHGGGRILDDCGLLPNNCLPCIASAVGVNEKKRALSSSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGKQVQLCLLDTKLLNSWSHIDPGTFRVRGANYFRDKKKELAPNYAAYYPFGVDVYLSPQKLNHISRFVQLPDIQLSSRLPPLLVVNVQVPLYPASLFQNETDGEGMSFVLYFRLSDGYSKELPPLFIESIRRLVDDHVEKIKAFPMETSIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDNYFEIDIDMHRFSYISRKGFETFLDRLKACVLDVGLTIQGNKAEELPEQILCCVRLNGIDYTKYQQLLTHGA >KQK87625 pep chromosome:Setaria_italica_v2.0:IX:8287009:8291918:1 gene:SETIT_035131mg transcript:KQK87625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSRPSACVGKPHTPRSGDAGGRSGGGSGARRRRSRRASKGRRKAPSRAASMETIQEAEVPASPSALAADHRTYSNPAFQVSGSIEEAWYDSLAMSESDAEDDFHSVQDDACSLNGFENEAALSTRDGNSGSFKEAAQSGEHHHRKPKSSELSKGSSENGVRTSLSHDDVLSVSGEDSTHGGGRILDDCGLLPNNCLPCIASAVGVNEKKRALSSSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGKQVQLCLLDTKLLNSWSHIDPGTFRVRGANYFRDKKKELAPNYAAYYPFGVDVYLSPQKLNHISRFVQLPDIQLSSRLPPLLVVNVQVPLYPASLFQNETDGEGMSFVLYFRLSDGYSKELPPLFIESIRVRAGLVLNTIFANICAYYFF >KQK87624 pep chromosome:Setaria_italica_v2.0:IX:8287009:8289362:1 gene:SETIT_035131mg transcript:KQK87624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSRPSACVGKPHTPRSGDAGGRSGGGSGARRRRSRRASKGRRKAPSRAASMETIQEAEVPASPSALAADHRTYSNPAFQVSGSIEEAWYDSLAMSESDAEDDFHSVQDDACSLNGFENEAALSTRDGNSGSFKEAAQSGEHHHRKPKSSELSKGSSENGVRTSLSHDDVLSVSGEDSTHGGGRILDDCGLLPNNCLPCIASAVGVNEKKRALSSSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGKQVQLCLLDTKLLNSWSHIDPGTFRVRGANYFSFKCTFPGIRRKSLLRIMLHIIHLELMCTYRHRNSIIYLDLSNFLIFNSPADSHLFWW >KQK87627 pep chromosome:Setaria_italica_v2.0:IX:8287009:8293558:1 gene:SETIT_035131mg transcript:KQK87627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACVSRPSACVGKPHTPRSGDAGGRSGGGSGARRRRSRRASKGRRKAPSRAASMETIQEAEVPASPSALAADHRTYSNPAFQVSGSIEEAWYDSLAMSESDAEDDFHSVQDDACSLNGFENEAALSTRDGNSGSFKEAAQSGEHHHRKPKSSELSKGSSENGVRTSLSHDDVLSVSGEDSTHGGGRILDDCGLLPNNCLPCIASAVGVNEKKRALSSSPTHSMKMPSLKLSFKKKSGEAHPSSTLLSTKDFLERPLAGKQVQLCLLDTKLLNSWSHIDPGTFRVRGANYFRDKKKELAPNYAAYYPFGVDVYLSPQKLNHISRFVQLPDIQLSSRLPPLLVVNVQVPLYPASLFQNETDGEGMSFVLYFRLSDGYSKELPPLFIESIRRLVDDHVEKIKAFPMETSIPFRERLKILGRVANLEDLPLSAAERKLMHAYNEKPVLSRPQHEFYLGDNYFEIDIDMHRFSYISRKGFETFLDRLKACVLDVGLTIQGNKAEELPEQILCCVRLNGIDYTKYQQLLTHGA >KQK90545 pep chromosome:Setaria_italica_v2.0:IX:43548595:43557299:-1 gene:SETIT_033835mg transcript:KQK90545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPGKFVSVNLNRSYGQPAPSSNHGGSRPAAGGHGGGMVVLSRPRGGGSSLAKPQPPKLSVPPPLNLPSLRKEHERFDGAAAAAGGGVASAPPRSGGPAAGWTKPALASEKPPGSAALPGGAARPPSYGFAEKAVVLRGEDFPSLKAAVAPPTPPQPSQRQKDADGARLATPEARPGPLGMRPQVTSSRASEPPASSGGLGAGGRASAERPQKPDLGPLPMVRLRYDSDWADDERDTGLSLPERDSRERGFGRTEAMIPGRGLYGAVREPFKKEPFGRDVVATNKEVVQDGLWRSPMLSQHDRDRTDGRPYSGGRGSSGQLYRESIAAGGSKDLWSNSREPPMRANGQNGVELYGTTRVGEIASDRYGDSSNNWPRLNSFQNNIGSKAQPFGGNKGPLINDPVAKFGREKRLTSSPAKPLIEDGGFDSISAVNLTAIKKKKEAAKPADFHDPVRESFEAELDRILRVQEQERQRVMEEQVRAREIARKQEEERERLIREEEERQRLVEEQAKQAAWQAEQERLEAAKRAEEQRIAREEERKRIALEEERRREAARQKLLELEARIARRQAESNIGSARGGQLTSAVSDELTPGGFKDRDLPRSANFVDRKDIDRMGEHINTSAPLESSSHNKYNETVPRVHTLRDGPSSFIDRENAYYGSRAAFPEQENVHHSPRRDPFAARRGNFPKKDLNDGFGSVPVRPSSRGRTTDSPWALEDFRHEKVPRWDAPREIDRFEKQSDFDTELFNSDRFGDAAWLPSGSHGSPNAQQGDRMFQSSDFNEFSAFTRPRYSMRQPRVPPPPTVTSVHRSSIGASAPFVDGGMGENSGRDNEQIMQGQYGSAYQEASHQRGIRPDHIIEHQIEDRKSPVLGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGERTVLSDNDHAALTVDAASTSRVAASGVSHLEDDEWSSENNDDRRKQDEYDEDDESYQEDEINEADGENLDLDDEFLEEQNTPLELEPVILGFDEGVQVEIPSNSELELTSMRSTERTVEVHLSSGVAEQETVSGSVVHSDPVTEAEKALQALTLDCVNALTEDSNGERASSLVTPASSSQLSQASLAATITSSTSAVVEQNEVPVSLQFGLFTGPPLIPTPVPAIQIGSIQMPIHLHNQINPSLAHMHPSTTPLYQFGQLRYVRPIASSARPLPSQAISPAHSSVPAQHPSNQNASSVLPELMDRDTHQNIPTQAISSNSINRSAAPTAKLPLAMGNSNSQYLNAPANIQAAAVEGFHGQVDKQPVGGTTPSERDQDLSLKRNCRPASNSIESSQFGLEGRALNGPKAPGAVSAGRGRRYGYAVKDPNMRSTGSVVEPSHKDSRGGFQRRARRNVRRTEFRVRENVEKNQNEASESFAHGEQDERPYSNGTARDFPVRNANRRELDINKSSRINEASDQSASFRSTHKAPYERSHGGNKKSRTGAIPEGDTTLLQAGAVRVVKQQGIEVPVDADGFIEVRSKRQIMSVRREQREKENRSKMRMTKAPRKQHTSLQSSVAPSVNKRTATLSGEVAKKVPSDSAITVEGRIADYAESLVALKGDTASMSPIGPPSTNTETHTNCYANQPIQIQASSDLVTSSPSAKLVSGLSEDNNKGTSINTPFNMVSWDNSQINQQVMPLTQTQLEEAMRPAKFEQQAGSSFSLESNNALSPTVTTEKAFPSSASPINSLLAGEKIQFGAVTSPTMLPPVSRTVSSGLGAPGSSRPDMKIDRGLPGDNSGPDKATSKELCPNTEDVEAEAEAAASAVAVAAISTDEGSPADATTASAPDKKSFSSKDLSGLTSGGAIAGQAGQSSTEEPLTVALPADLSVDTPAMSLWPPLASPQASGPMLSQFPGAQPSHFSCFDMNTMLGGHIFAFGPSDESAGSQGQHPQRSNPLPSAPLGAWPQCHSGVDSFYRPPTGFAGPFITPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGATYIPGDKQPDWKQSQGPPIVGVSQSDPNNQNIVSGQVNPPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQV >KQK90546 pep chromosome:Setaria_italica_v2.0:IX:43548595:43557414:-1 gene:SETIT_033835mg transcript:KQK90546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPGKFVSVNLNRSYGQPAPSSNHGGSRPAAGGHGGGMVVLSRPRGGGSSLAKPQPPKLSVPPPLNLPSLRKEHERFDGAAAAAGGGVASAPPRSGGPAAGWTKPALASEKPPGSAALPGGAARPPSYGFAEKAVVLRGEDFPSLKAAVAPPTPPQPSQRQKDADGARLATPEARPGPLGMRPQVTSSRASEPPASSGGLGAGGRASAERPQKPDLGPLPMVRLRYDSDWADDERDTGLSLPERDSRERGFGRTEAMIPGRGLYGAVREPFKKEPFGRDVVATNKEVVQDGLWRSPMLSQHDRDRTDGRPYSGGRGSSGQLYRESIAAGGSKDLWSNSREPPMRANGQNGVELYGTTRVGEIASDRYGDSSNNWPRLNSFQNNIGSKAQPFGGNKGPLINDPVAKFGREKRLTSSPAKPLIEDGGFDSISAVNLTAIKKKKEAAKPADFHDPVRESFEAELDRILRVQEQERQRVMEEQVRAREIARKQEEERERLIREEEERQRLVEEQAKQAAWQAEQERLEAAKRAEEQRIAREEERKRIALEEERRREAARQKLLELEARIARRQAESNIGSARGGQLTSAVSDELTPGGFKDRDLPRSANFVDRKDIDRMGEHINTSAPLESSSHNKYNETVPRVHTLRDGPSSFIDRENAYYGSRAAFPEQENVHHSPRRDPFAARRGNFPKKDLNDGFGSVPVRPSSRGRTTDSPWALEDFRHEKVPRWDAPREIDRFEKQSDFDTELFNSDRFGDAAWLPSGSHGSPNAQQGDRMFQSSDFNEFSAFTRPRYSMRQPRVPPPPTVTSVHRSSIGASAPFVDGGMGENSGRDNEQIMQGQYGSAYQEASHQRGIRPDHIIEHQIEDRKSPVLGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGERTVLSDNDHAALTVDAASTSRVAASGVSHLEDDEWSSENNDDRRKQDEYDEDDESYQEDEINEADGENLDLDDEFLEEQNTPLELEPVILGFDEGVQVEIPSNSELELTSMRSTERTVEVHLSSGVAEQETVSGSVVHSDPVTEAEKALQALTLDCVNALTEDSNGERASSLVTPASSSQLSQASLAATITSSTSAVVEQNEVPVSLQFGLFTGPPLIPTPVPAIQIGSIQMPIHLHNQINPSLAHMHPSTTPLYQFGQLRYVRPIASSARPLPSQAISPAHSSVPAQHPSNQNASSVLPELMDRDTHQNIPTQAISSNSINRSAAPTAKLPLAMGNSNSQYLNAPANIQAAAVEGFHGQVDKQPVGGTTPSERDQDLSLKRNCRPASNSIESSQFGLEGRALNGPKAPGAVSAGRGRRYGYAVKDPNMRSTGSVVEPSHKDSRGGFQRRARRNVRRTEFRVRENVEKNQNEASESFAHGEQDERPYSNGTARDFPVRNANRRELDINKSSRINEASDQSASFRSTHKAPYERSHGGNKKSRTGAIPEGDTTLLQAGAVRVVKQQGIEVPVDADGFIEVRSKRQIMSVRREQREKENRSKMRMTKAPRKQHTSLQSSVAPSVNKRTATLSGEVAKKVPSDSAITVEGRIADYAESLVALKGDTASMSPIGPPSTNTETHTNCYANQPIQIQASSDLVTSSPSAKLVSGLSEDNNKGTSINTPFNMVSWDNSQINQQVMPLTQTQLEEAMRPAKFEQQAGSSFSLESNNALSPTVTTEKAFPSSASPINSLLAGEKIQFGAVTSPTMLPPVSRTVSSGLGAPGSSRPDMKIDRGLPGDNSGPDKATSKELCPNTEDVEAEAEAAASAVAVAAISTDEGSPADATTASAPDKKSFSSKDLSGLTSGGAIAGQAGQSSTEEPLTVALPADLSVDTPAMSLWPPLASPQASGPMLSQFPGAQPSHFSCFDMNTMLGGHIFAFGPSDESAGSQGQHPQRSNPLPSAPLGAWPQCHSGVDSFYRPPTGFAGPFITPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGATYIPGDKQPDWKQSQGPPIVGVSQSDPNNQNIVSGQVNPPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQASTDIQMQTCWPHMPVPPLHSVPLSVPLQQHPVEGTAVQQFVHNVPVDNKTSTNNRFQEPSASAVPSDGNKTFPNAAASQFTDRLGLVEQPTSSSSSTQTVQPSSFGQAGVISNEVSTSAKVMVRATPSKVNPGTASGVVSNPNGGQVTNMAPKAHQSSLSSDQQYQHPVNNQDRRARMTQKTGPGNEWQRRSGYQGRNQGSGSDRSSGTGRMKQIYVAKPSAASGHAQSG >KQK90547 pep chromosome:Setaria_italica_v2.0:IX:43549030:43557299:-1 gene:SETIT_033835mg transcript:KQK90547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPGKFVSVNLNRSYGQPAPSSNHGGSRPAAGGHGGGMVVLSRPRGGGSSLAKPQPPKLSVPPPLNLPSLRKEHERFDGAAAAAGGGVASAPPRSGGPAAGWTKPALASEKPPGSAALPGGAARPPSYGFAEKAVVLRGEDFPSLKAAVAPPTPPQPSQRQKDADGARLATPEARPGPLGMRPQVTSSRASEPPASSGGLGAGGRASAERPQKPDLGPLPMVRLRYDSDWADDERDTGLSLPERDSRERGFGRTEAMIPGRGLYGAVREPFKKEPFGRDVVATNKEVVQDGLWRSPMLSQHDRDRTDGRPYSGGRGSSGQLYRESIAAGGSKDLWSNSREPPMRANGQNGVELYGTTRVGEIASDRYGDSSNNWPRLNSFQNNIGSKAQPFGGNKGPLINDPVAKFGREKRLTSSPAKPLIEDGGFDSISAVNLTAIKKKKEAAKPADFHDPVRESFEAELDRILRVQEQERQRVMEEQVRAREIARKQEEERERLIREEEERQRLVEEQAKQAAWQAEQERLEAAKRAEEQRIAREEERKRIALEEERRREAARQKLLELEARIARRQAESNIGSARGGQLTSAVSDELTPGGFKDRDLPRSANFVDRKDIDRMGEHINTSAPLESSSHNKYNETVPRVHTLRDGPSSFIDRENAYYGSRAAFPEQENVHHSPRRDPFAARRGNFPKKDLNDGFGSVPVRPSSRGRTTDSPWALEDFRHEKVPRWDAPREIDRFEKQSDFDTELFNSDRFGDAAWLPSGSHGSPNAQQGDRMFQSSDFNEFSAFTRPRYSMRQPRVPPPPTVTSVHRSSIGASAPFVDGGMGENSGRDNEQIMQGQYGSAYQEASHQRGIRPDHIIEHQIEDRKSPVLGSQSSLSVSSPPSSPPHVSHDEMDVSGDSPALPTSADGERTVLSDNDHAALTVDAASTSRVAASGVSHLEDDEWSSENNDDRRKQDEYDEDDESYQEDEINEADGENLDLDDEFLEEQNTPLELEPVILGFDEGVQVEIPSNSELELTSMRSTERTVEVHLSSGVAEQETVSGSVVHSDPVTEAEKALQALTLDCVNALTEDSNGERASSLVTPASSSQLSQASLAATITSSTSAVVEQNEVPVSLQFGLFTGPPLIPTPVPAIQIGSIQMPIHLHNQINPSLAHMHPSTTPLYQFGQLRYVRPIASSARPLPSQAISPAHSSVPAQHPSNQNASSVLPELMDRDTHQNIPTQAISSNSINRSAAPTAKLPLAMGNSNSQYLNAPANIQAAAVEGFHGQVDKQPVGGTTPSERDQDLSLKRNCRPASNSIESSQFGLEGRALNGPKAPGAVSAGRGRRYGYAVKDPNMRSTGSVVEPSHKDSRGGFQRRARRNVRRTEFRVRENVEKNQNEASESFAHGEQDERPYSNGTARDFPVRNANRRELDINKSSRINEASDQSASFRSTHKAPYERSHGGNKKSRTGAIPEGDTTLLQAGAVRVVKQQGIEVPVDADGFIEVRSKRQIMSVRREQREKENRSKMRMTKAPRKQHTSLQSSVAPSVNKRTATLSGEVAKKVPSDSAITVEGRIADYAESLVALKGDTASMSPIGPPSTNTETHTNCYANQPIQIQASSDLVTSSPSAKLVSGLSEDNNKGTSINTPFNMVSWDNSQINQQVMPLTQTQLEEAMRPAKFEQQAGSSFSLESNNALSPTVTTEKAFPSSASPINSLLAGEKIQFGAVTSPTMLPPVSRTVSSGLGAPGSSRPDMKIDRGLPGDNSGPDKATSKELCPNTEDVEAEAEAAASAVAVAAISTDEGSPADATTASAPDKKSFSSKDLSGLTSGAGAIAGQAGQSSTEEPLTVALPADLSVDTPAMSLWPPLASPQASGPMLSQFPGAQPSHFSCFDMNTMLGGHIFAFGPSDESAGSQGQHPQRSNPLPSAPLGAWPQCHSGVDSFYRPPTGFAGPFITPGGIPGVQGPPHMVVYNHFAPVGQFGQMGLGFMGATYIPGDKQPDWKQSQGPPIVGVSQSDPNNQNIVSGQVNPPSVPTPVQHLRPTSIMPIPSPLTMFDIAPFQASTDIQMQTCWPHMPVPPLHSVPLSVPLQQHPVEGTAVQQFVHNVPVDNKTSTNNRFQEPSASAVPSDGNKTFPNAAASQFTDRLGLVEQPTSSSSSTQTVQPSSFGQAGVISNEVSTSAKVMVRATPSKVNPGTASGVVSNPNGGQVTNMAPKAHQSSLSSDQQYQHPVNNQDRRARMTQKTGPGNEWQRRSGYQGRNQGSGSDRSSGTGRMKQIYVAKPSAASGHAQSG >KQK87237 pep chromosome:Setaria_italica_v2.0:IX:6219173:6220660:1 gene:SETIT_039087mg transcript:KQK87237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPYIPKATFAFCHSLLASRLLPSAAAPSSPLLPVQALLTTAGLLPRHPDLSLLALNSLLHALSRRAASPAHPRLALGLLRDMLSPATPLPAPDHLSFPFALSAAAAVDAPDSSSDAGAGAQLHALLVRNALFPVDHYVTTALLQLYAPRPELARRVFDELPRREAIHYDLVIGAYARAGMPAEGLAVFRAMFEDGVAPDAVVLTTAVAACAQAGALDCGAWVHRYVERAAPGLLGDAFVGSALVSMYAKCGCLDEAVRVFDGMPERNEYVWGTMVGAFAVHGMAAEAVACLERMAREDGVRPDGVAVLGALSACAHAGKVDDGLRLLREMRGRYGVAPGHEHYSCTVDMLCRVGRLEDAVGLIGTMPMAPLESVWGSVLAGCRSYGNVELAEVAARELEKLGGTADEGVYVQLSNIYLDANRKDDARRVRKLIGSRGIKKAPAYSAVEVDGEVSSFVADDQAHPRCFEIWEVLRLLVDQMAQKPDEEETLRALL >KQK88155 pep chromosome:Setaria_italica_v2.0:IX:12005498:12007531:-1 gene:SETIT_040221mg transcript:KQK88155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYYPPVSSTFNDHGHITLNNSYPSKIYGPQCCEVSSNAALDWYGTSVAGSSKSSWINSDITLNYINKLLMQEDSDGRVKLHHGENALRDVEEPFYKLLGQNSSAYPLLPLRSCDYPNNLDGCIDKSWQSCSSCSVAIDSSNNHSNHNLQAFEAPWSLSDIVKETNHLTQGTNNMEHGLNIDGLSIAEKRSRDNKSLQVNVANTSMNASFEVQCQNYPRTEGFYLLEGRSSKQLAISFSRPTRDEMFDRVLLFSEHKPTDEGIVLREMMTSKSTRNSQNDQERTSARRRTRGKKQEKKEVVDLRTLLIHCAQAVSVNNHTLASAILNIIRKNCSISGDDTQRLASCLADCLEARLAGTGCQLYQKLVTKCRNAVGILKVFQLCPFQMAPNYFSNKTILDVSKGKPKVHIIDFGICYGFQWPSLFEQLAKRENGPPKVRITGIELPQPGFRPDQMNTNTGHLLAEYASMFSVPFEYHGITSKWETIRIEDFHIEEDDVLIVNCLFRMKNLGDETVSINSARNRVLNTIRMMKPKVFVHGVVNGSYSTPFFLTRFKELMYHYSALFDILDKIVPRDNESRMIIERDIYLCTILNVIACEGSDRIERPESFKKWKSRNLKAGLEQLPLNPDIVKETRDIVGQYHKDYVVGEDDQWLLLGWKGRILKAISTWKPNESYDGD >KQK92485 pep chromosome:Setaria_italica_v2.0:IX:55766894:55768675:-1 gene:SETIT_035918mg transcript:KQK92485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAAARRRLWRGMGTAAAAAAAGTEETLLARLVSEPESRVKATVEEVASSAQHRDGGFWGPLAAALLRASSPTKAHLVLEWKLEKLLKEEVHDCEPYSTIIQFCAQTRNSALAMRVFECVEAQGIHLNTGIFNALVNTFLSVRDLLSAMTLYETMEGMDGCKPDCFTYDAFISAFSILGSGHAMMSWYVAAKNAGFTPSIQAFESLITGFIRLNMLDDAKTVFEEMISLGIKPNSAILEANLEIVTRKEEVNTVIDFLKRVRDGNWELNKATVERLTRICLDGGEIDEMEQLLAVIQKGTHSSYETQLHHGIIRFYAKADRLADMEDAICWMLDNGVMFMCPEDVDVIICSYFRHKEFDRLDLFLNRIQSFFKPNRSTYDILVAGYRKFDLHERLHSTINDMRQAGFA >KQK86755 pep chromosome:Setaria_italica_v2.0:IX:3578446:3579816:1 gene:SETIT_036346mg transcript:KQK86755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVADPSPSRRSLKRRPPARSPELSPKAGGGEPAAAAEAEELIRRVEELEAAAVRLRGEKEAAEEAARGLQQELDAERASAETATSEAMLMIERLQREKAAAQMEARQFKRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFTDEDEEEMYEEGEEVEQIDMDAVLVERSGGDLSTGMELKAMVVDGGGEEEEEPSSPVEKEVEYTVDVSCASTTKAAGPVVVAQYVGDGNLYARVEALEADRVALRREIAALRAERAQVVMAREMARRLCWEMVAEQRAIVKKPAVPASSFSVIRVCKAEDT >KQK86754 pep chromosome:Setaria_italica_v2.0:IX:3578347:3581613:1 gene:SETIT_036346mg transcript:KQK86754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVADPSPSRRSLKRRPPARSPELSPKAGGGEPAAAAEAEELIRRVEELEAAAVRLRGEKEAAEEAARGLQQELDAERASAETATSEAMLMIERLQREKAAAQMEARQFKRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFTDEDEEEMYEEGEEVEQIDMDAVLVERSGGDLSTGMELKAMVVDGGGEEEEEPSSPVEKEVEYTVDVSCASTTKAAGPVVVAQYVGDGNLYARVEALEADRVALRREIAALRAERAQVVMAREMARRLCWEMVAEQRAIVKKPAVPASSFSVIRVCKWVLSILFWRNRSSTAKYTFGLSTTFLGLLLLLDRSTMLSPWRRLPRPQG >KQK86757 pep chromosome:Setaria_italica_v2.0:IX:3578347:3581613:1 gene:SETIT_036346mg transcript:KQK86757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVADPSPSRRSLKRRPPARSPELSPKAGGGEPAAAAEAEELIRRVEELEAAAVRLRGEKEAAEEAARGLQQELDAERASAETATSEAMLMIERLQREKAAAQMEARQFKRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFTDEDEEEMYEEGEEVEQIDMDAVLVERSGGDLSTGMELKAMVVDGGGEEEEEPSSPVEKEVEYTVDVSCASTTKAAGPVVVAQYVGDGNLYARVEALEADRVALRREIAALRAERAQVVMAREMARRLCWEMVAEQRAIVKKPAVPASSFSVIRVCKAEDT >KQK86756 pep chromosome:Setaria_italica_v2.0:IX:3578347:3581613:1 gene:SETIT_036346mg transcript:KQK86756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVADPSPSRRSLKRRPPARSPELSPKAGGGEPAAAAEAEELIRRVEELEAAAVRLRGEKEAAEEAARGLQQELDAERASAETATSEAMLMIERLQREKAAAQMEARQFKRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFTDEDEEEMYEEGEEVEQIDMDAVLVERSGGDLSTGMELKAMVVDGGGEEEEEPSSPVEKEVEYTVDVSCASTTKAAGPVVVAQYVGDGNLYARVEALEADRVALRREIAALRAERAQVVMAREMARRLCWEMVAEQRAIVKKPAVPASSFSVIRVCKWVLSILFWRNRSSTAK >KQK86753 pep chromosome:Setaria_italica_v2.0:IX:3578347:3580103:1 gene:SETIT_036346mg transcript:KQK86753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVADPSPSRRSLKRRPPARSPELSPKAGGGEPAAAAEAEELIRRVEELEAAAVRLRGEKEAAEEAARGLQQELDAERASAETATSEAMLMIERLQREKAAAQMEARQFKRYAEGREDREREVQEELASLSDLAASYHSRLQSHGIDPDSFTDEDEEEMYEEGEEVEQIDMDAVLVERSGGDLSTGMELKAMVVDGGGEEEEEPSSPVEKEVEYTVDVSCASTTKAAGPVVVAQYVGDGNLYARVEALEADRVALRREIAALRAERAQVVMAREMARRLCWEMVAEQRAIVKKPAVPASSFSVIRVCKAEDT >KQK86213 pep chromosome:Setaria_italica_v2.0:IX:787600:791018:1 gene:SETIT_035220mg transcript:KQK86213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSASGLAARGDLRSALPFLPVVLRGGALFWPPAAQESLRALALGPDVSRVASGDVLADALTDLRLALAMPALSPRAADGLALFFDDLLSRAQARGWFAEVVPNLARLLLRLPALLEDHYAKADDGASGLRILASQDAGIVLLSQELVAALLTCALFCLFPTDGRAEASLPTINFDGLFAALIHNARQSQEQKVRCLVHYFERVTDSTPTGFVSFERKVLPRRAVSVGVSYPDVDAWMKSSAPLCQFRVFSSGFIEDEEQEALEVDFANRFLGGGALFRGCVQEEIRFMINPELIVGMLFMASMEDNEAIEIVGAERFSQYMGYGSSFRFVSDYLDSKPIDSMGRRRTRIVAIDALDCPTRLHYESSGLLREVNKALCGFLDQSKLQLYVKLFQDSNNKDNCPSISSDEYIGVSTGNWGCGAFGGNPEIKSMIQWIAASQALRPFVNYYTFGDASLERLGEVIQWILRHGWTVGELWHMLIEYSSQRLRGETLKGFFAWLLPNGGPKNEVDYMSE >KQK86481 pep chromosome:Setaria_italica_v2.0:IX:2145138:2146950:-1 gene:SETIT_037506mg transcript:KQK86481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYPSASPSPAISASTWRMASLRAALPTLRPSPAGRLRSSFSPASAATAASVGCLGSFSGLAPVSNLLSLGAENSSFEHRLFSVDARGRIVAMRHGRRVPKLNRPPDQRKALLRGLTTQLLKHGRIKTTKPRAKAMRKYVEKMITLAKDGSLHKRRQALAFIYEKHIVHALFAEVADRYGDREGGYTRIIPTFPRRGDNAPMAYIELV >KQK88875 pep chromosome:Setaria_italica_v2.0:IX:18000482:18000847:1 gene:SETIT_039608mg transcript:KQK88875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKFAALGFIVLLSIGLSNAARVSRYVSAGGGGGGGGGGGSGDGSGSGYGSGSGSGYGQAGGSSGGSYASGGGGGGGGGGGQNGGSGYGSGSGSGYSQVGGYGSNGGAYAQGGGQGGGGG >KQK88577 pep chromosome:Setaria_italica_v2.0:IX:15195282:15195857:-1 gene:SETIT_039757mg transcript:KQK88577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSARAAAAMAIFVLVALSTSHMAFSLRPGAGLGVCRASGYLPGRSGNCEKSNDPDCCEDGKKYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQSLGMVDITWSEE >KQK88660 pep chromosome:Setaria_italica_v2.0:IX:15858846:15863250:-1 gene:SETIT_034629mg transcript:KQK88660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLLLLPALALVATSAWAPAAFADPQATLLNLGCSQYNATPASAFLAALNSTFAGLRANLSAAGGGGGGGFATAAEPRAAAPAFAMAQCRPYVAGRDCVACFDAAAARLRAACGAANGGRAILDGCALRYESAAFFDQSTLPGNTQLCNGSAVDAGGFADAARALVADLAAAAPRAPGLAAAAARGGVYAAAQCVETVGEAGCAQCLKVAVGNIDGCPPNSDGRAVDAGCYMRYSDRPFFPANATVDLAVYLRSGKKSSQKGAIIGGILGGVAFLSLVGLLTFLLIHRSRKLKPRRGDILGATELQGPTSFYYRDLKAATYNFNEKSKLGEGGFGDVYKGLLKNGKTVAVKKLIVMETSRAKADFESEVKLISNVHHRNLVRLLGCSRKGSEFLLVYEYMANGSLDKFLYGERHGTLNWRQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEILSGRKSNDTRLEPETQYLLEWAWKLYESDNLMALVDESLDPEEYKPEEVKRIMEIALLCTQSAVAARPMMSEVVVLLLTRNDPELQPTRPTFIDATSRVRGETSSSSSSSASKATVSVSQFSAR >KQK88658 pep chromosome:Setaria_italica_v2.0:IX:15859863:15863250:-1 gene:SETIT_034629mg transcript:KQK88658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLLLLPALALVATSAWAPAAFADPQATLLNLGCSQYNATPASAFLAALNSTFAGLRANLSAAGGGGGGGFATAAEPRAAAPAFAMAQCRPYVAGRDCVACFDAAAARLRAACGAANGGRAILDGCALRYESAAFFDQSTLPGNTQLCNGSAVDAGGFADAARALVADLAAAAPRAPGLAAAAARGGVYAAAQCVETVGEAGCAQCLKVAVGNIDGCPPNSDGRAVDAGCYMRYSDRPFFPANATVDLAVYLRSGKKSSQKGAIIGGILGGVAFLSLVGLLTFLLIHRSRKLKPRRGDILGATELQGPTSFYYRDLKAATYNFNEKSKLGEGGFGDVYKGLLKNGKTVAVKKLIVMETSRAKADFESEVKLISNVHHRNLVRLLGCSRKGSEFLLVYEYMANGSLDKFLYGERHGTLNWRQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDHSHLSTKFAGTL >KQK88659 pep chromosome:Setaria_italica_v2.0:IX:15858707:15863250:-1 gene:SETIT_034629mg transcript:KQK88659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLLLLPALALVATSAWAPAAFADPQATLLNLGCSQYNATPASAFLAALNSTFAGLRANLSAAGGGGGGGFATAAEPRAAAPAFAMAQCRPYVAGRDCVACFDAAAARLRAACGAANGGRAILDGCALRYESAAFFDQSTLPGNTQLCNGSAVDAGGFADAARALVADLAAAAPRAPGLAAAAARGGVYAAAQCVETVGEAGCAQCLKVAVGNIDGCPPNSDGRAVDAGCYMRYSDRPFFPANATVDLAVYLRSGKKSSQKGAIIGGILGGVAFLSLVGLLTFLLIHRSRKLKPRRGDILGATELQGPTSFYYRDLKAATYNFNEKSKLGEGGFGDVYKGLLKNGKTVAVKKLIVMETSRAKADFESEVKLISNVHHRNLVRLLGCSRKGSEFLLVYEYMANGSLDKFLYGERHGTLNWRQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDHRATLLQNMQSMANYQRRLTHTALVLLFWKY >KQK89256 pep chromosome:Setaria_italica_v2.0:IX:22658271:22658615:-1 gene:SETIT_038509mg transcript:KQK89256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIPKQFNSCSRPFIFFKKLYMMVTAPSVPTKFINISMHLHIHLNIHYI >KQK90893 pep chromosome:Setaria_italica_v2.0:IX:46423386:46423763:1 gene:SETIT_038444mg transcript:KQK90893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQGSGGIPVFFSVFLFLAAREMENRREMTRAAAGPGSAGALICRHASPSQNGKKLEGA >KQK90805 pep chromosome:Setaria_italica_v2.0:IX:45854885:45855628:-1 gene:SETIT_037850mg transcript:KQK90805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQADKEETAAATAPAAGKPPSICDRLQRAFHARPAFRPLRRLTVRHQDGGAAKPADAGAGAGAAHGPAPKHKHSGPPVPAPPQPLTPSPAPVRLPAVAKKAAVASAPPGPPVPAPPPDVTDGMVTAADAKAGDKAQQTKAKTSWVGSTRVRKALSSK >KQK87802 pep chromosome:Setaria_italica_v2.0:IX:9438148:9439125:1 gene:SETIT_039172mg transcript:KQK87802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFVEAAAAAAAPRQHRKRTRVAMGTTDDYEETCCLGQGTFGAVVKGRHRATGGAVAMKFLVSQPAAGGPAALLREALFLEACAGNPFVVGSRGLARDPATAELCLVMEYGGASLRDALRQRDRTGRPPLPEDAVRAAMWQLLSGAKRMHDAHIIHRDIKPENILVGDDRVLRFCDFGLAVHMAERPPYTQAGTLWYMAPEMLLEKPDYDALVDTWSLGCVMGELVTGRAPFQGEDSEDQLCAIVGVLGVPDDRAWPWFSSTPFANEMTELDKQRHKSNILRCKYPETKLSDEGFELLNGLLTCNPDKRLTAAAALKHPWFSKI >KQK88585 pep chromosome:Setaria_italica_v2.0:IX:15305387:15305899:-1 gene:SETIT_039109mg transcript:KQK88585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLSSKLAFLAVLVLLLQASSLAVARRHHHDEPDPCRDGAPGLLGHKDHRCSSPAVSPHGGTRAVMTVNGFEKGQDGGDPSECDGRYHDNSEMIAALSTRWYAGGRRCHKAIRITNAHNGRTVVARVVDECDSRHGCKDNIVDTSKAVWEALGLDTKIGEVPVTWSDA >KQK92279 pep chromosome:Setaria_italica_v2.0:IX:54705316:54707737:1 gene:SETIT_037378mg transcript:KQK92279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIQIVARILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFRQFWDEAAKNRHILEVVPGFEQAIQSYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFLEYHAANAGWVIEKGGQSQVIVLPRNEFNHPELKKNTADTVPFEHVTRIFPVLS >KQK92278 pep chromosome:Setaria_italica_v2.0:IX:54704564:54707737:1 gene:SETIT_037378mg transcript:KQK92278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEQAAENYTVEDLVALNPYNPDILNDLEKFVNEQVSSQTYNLDANLSLLRLYQFEPERMSIQIVARILIKALMAMPAPDFSLCLFLIPEHVQMEEQFKTLIVLSHYLETARFRQFWDEAAKNRHILEVVPGFEQAIQSYAIHVLSLTYQKVPRPVLAEAINIEGLALDKFLEYHAANAGWVIEKGGQSQVIVLPRNEFNHPELKKNTADTVPFEHVTRIFPVLS >KQK92814 pep chromosome:Setaria_italica_v2.0:IX:57336047:57342088:1 gene:SETIT_036363mg transcript:KQK92814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQEHCGIGYEEQNEWYFFSYKDRKYPTGTRTNRATMAGFWKATGRDKAVHDKSRLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLETDENAPPQEEGWVVCRAFKKRTAYPARSMAMAWESSYSYREVGVMGAEAAEAAAFVDPNSAYAQIRRQSKSARFKQEAELDGAAVLLQYSSHLVELPQLESPSAPLAPNPSQASSADEVDGAESGRRGKKARADKVATDWRALDKFVASQLSPTECGGSLEATAPAAAMANVGLEHGEDDDMAALLFLNSDGREEAERWTGLLGPAGGDGDFGLCVFEK >KQK90468 pep chromosome:Setaria_italica_v2.0:IX:42912274:42916435:1 gene:SETIT_035619mg transcript:KQK90468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSSSKKKQQQQLSAWRFLFGGCLGGAGGAGGSGGNKVRPGPRTTTTATARHALSPAPSSSPAAAGLQQRLSVTDVMSTCSDQDLSVSLVGSNLHVFTVGDLKAATQGFVDSNFLGEGGFGPVYKGAVAEGAKPGLRAQQIAVKLWDPEGTQGHKEWLSEVIFLGQLRHPNLVKLVGYCSEEEHRLLVYEYMPKGSLENHLFKKFPPVLSWSTRLNIAVGAAKGLAFLHDAEKPVIYRDFKTSNILLNPDYEAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYILTGHLTAKSDVYSFGVVLLEILSGRRAVDKTRPSREQHLVEYMRSWLKDPQKLGRVMDPDLEGKYSTVAAHKAALVAYQCLSGSPKNRPDMSKVVEDLEPLLTVTDDAPGELPAAAPEDVKKERAKRRDGDRREKGRQDKAARSPKRAVPRRRAPGRSQEFWEWKA >KQK89847 pep chromosome:Setaria_italica_v2.0:IX:36431932:36432377:-1 gene:SETIT_040663mg transcript:KQK89847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREGGGGRGVKKICEIFGAAGRMGRRREQGR >KQK89899 pep chromosome:Setaria_italica_v2.0:IX:36929581:36931017:1 gene:SETIT_038716mg transcript:KQK89899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAQLGGSIASTLARMSQATVVDDKALADCLNEVSRALLQADVRFETVRDVKAAIKSAANLAALAPGTDRRRVIRKAVVGELCRMLDPGKQSFTPSKGKPGVVMFVGLQGSGKTTTCTKYADYYRRKGFSPALVCADTFRAGAFDQLKQNASKAKIPFYGSYTESDPVKIAVEGVDRFKKEKCDLIIVDTSGRHKQEAALFEEMRQVLEATKPDLVIFVMDGSIGQGAFDQAQAFKQSASVGAVIVTKMDGHARGGGALSAVAATKSPVIFIGTGEHVQDFEAFDVRPFVNRLLGMGDLSGLMDKIQDVVPADDITPDLIGGPFTLKVMYQLFQSIQNMGPLGQLVSMIPGFSAQFIEKGMEKEGQAKIKRYMTTMDSMTEKELESTNPKLMNESRITRIARGSGRLAKEVVDMLEEHKRMAKMWSKLPLDKRRLNTNNRDSIKNLVNVIPPNMLNQLGGLNGLQNMLKQMGGLERR >KQK89573 pep chromosome:Setaria_italica_v2.0:IX:33123901:33128300:1 gene:SETIT_036478mg transcript:KQK89573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFWRDGGGSGSGSGRDSLSGGPPCGQVRVLVVGDSGVGKSSLVHLLLNGSAVARPAQTIGCAVGVKHITYSSPGSSSNSIKADAERNFFVELWDVSGHERYKECRSLFYSQINGVIFVYDLSQRKTKTNLNKWAVEVAESGTFSAPLGSGGPGGLPVPYLVIANKVDIAPRDGRRVSSGNLVDVARQWVEKQGLLPPSDELPLADSFPGNSGLLTAAKEARYDKEALIKFFRMLIRRRYFSNELPAPSPWSLTSREDTILPVETVNDDDLFQRKSYGSQSYKYNGVAPLPAQRNLTPPPTLYPQQPMSSSSENYRYHRFSSSSIPDSGGGRPSRADINI >KQK89510 pep chromosome:Setaria_italica_v2.0:IX:32140920:32142884:1 gene:SETIT_039805mg transcript:KQK89510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGVKTMLARPIQLADEVAKQCAAARSFRAECAELKARADKLLAQLRQAARAPDLYDRPAARIMAGATTALSRASALAARCARGHPRLRSLFTLSPAAGFPRAVAALDTALEDVAWLLRISSPGAAGDGDDDSLLGLPNIAQNEPILFFIWDHVARLHTGSPAARADSAANLASLARDSQHFAKLIIEEDGVPPLLKLLKDGTDEGQEAAARALGLLGCDAESVDKLVQAGVCSSFAAALKDPPMRVQAAVAEAIANLADRSSTCQDLFAQNNTVRYLVGHLASGTIQEHSRYSVGSNSSKKSTAAPQQPMKSLHSVVLAKTHSMRHTGDRDAASLTDEPPLMSNSSPGGEQDTKRNPHMQSVVHSAMSAKTNTNGSLVPPFRPQLGTSGSSGRGAREVEDPEIKAHLKAMAAKALWKLAHNHLGVCKSITESRALLCFAVLLEKGDGDMGTDVQFFSAMAIMEIARVAEHSLVLRQSAFKPSSPAAKAVVDQLLRVVRKGEYDVLLLPCITALGCLARTFTASETRVIAPLVQLLDEREPPVTKEAVVALTKFACSENHLHLNHCKAIVDDGGARHLVQLVYLGDEIQIEALILLCYIALYVPESEELAQASVLAVLLWASKQAHMVQDTRVEALLPDANARLELFQSRASR >KQK89582 pep chromosome:Setaria_italica_v2.0:IX:33410230:33411396:1 gene:SETIT_038804mg transcript:KQK89582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTYCSRPAAQGHRHRQWDAADFIGRLIVVDHAAFIDAGFAKLQPPWAARPTGATPSLRYTIPKFVHRRRSAQGALASTLASDVNVSRVHWGSMDTRAIAGLLLSGSLDDAACALNTDATGVQLWKDVLADGLCQPTLDDLMGKIHERPTRFPGFMLPRGEHLAAVECTCTKLRRLIADRDGELWKAMLAGKIKRVSAALQGRDEAGELWKAGCMGTRVMDSASSTSWKGRYVRYLPGAWSNRSPWFWFDVCCCSLWSDFHRHWDWERRVHGVVPPPMPPWRHKQNYCRLDTRYDPSLLKDPLEPLMRQLLGAKSNAPIACRRAVQVAAVRQRRDLCSLSSRYRWMHR >KQK92877 pep chromosome:Setaria_italica_v2.0:IX:57631662:57633594:1 gene:SETIT_037458mg transcript:KQK92877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTAMATTSLSLQGRPSQAPAKKLSSPFLGAPASFLRPLAPASSAAPSRRTLAVRAMAPPKPGGKPKKVVGMIKLALEAGKATPAPPVGPALGAKGVNIMAFCKEYNAKTAEKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGIEKGSKEPQREKVGKVTADQVRTIAQEKLPDLNCKSIESAMRIIAGTAANMGIDVDPPILQKKEKVIL >KQK90363 pep chromosome:Setaria_italica_v2.0:IX:42125882:42133313:-1 gene:SETIT_034048mg transcript:KQK90363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPASARPQRSPDEVEDIILRKILLVALTPPANPSPAVAYLELTAAELLSESRPLLALRDAAERLLIDRLSLPDLPAASPPPFNFLAAAFGRAADEARKISTIRDAGLRARLAASIAHLRGLILSYARIVAGNPDTFPTPPGAPHPAAELLVFLLAEAADPLDPTPAPGAPPPPGFIDEFFGGADYESIEPAMGELYERLRQSVEKVSALGDFQRPLRVLRRLVGIPNCAKALVNHPKWIPKNQIMLIGEGRVMELSSVLGAFLHVSAIRDREFASKPDVGQQCFSEASSRRPADLLSSFTTIKSVMNGLYDGLKDVLLILLKNLDTREKVLEYIAEVINKNASRSGMQVDPLKCASSGMFVNLSAVMLRLCEPFLDNMESKKDKIDVNYLFCNNRIDFKDLTAINASSDEVSSWIESINSECDQNNSSGEARFVESQEATSSGKNSTALVRCSKKENFSFICECFFMTARVLNLGLMKAISDFKHISQQLSRFEDDLESNRAMRDQGGGSPQLEQDINRLEKIVEILTQDKFCYEAQILRDGAFLQRALSFYRLMILWSVDLVGGFKMPLPSQCPKEFSCIPEHFLDDAMDLLVLTSRIPKALESFVLDDFLSFIIMFMGSTSYIKNPYLRAKMVEVLNCWMPQRSGLSSTASLFEGHQLCLDYLVRNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWDVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKEIEAEMANTVEWDRRPAQEREERLRVFHQWENIVRFDMKLANEDVGMLAFTSEQIPAPFLLPEMVERVASMLNYFLLQLAGPQRKSLTVKDPEKYEFKPKQLLKQIATIYVHISRGDKEAVFPAAISKDGRAYNDQLFASAANILWRIGGDPQIINEFMQLAGKAKAAASEAMDAEAILGDIPDEFLDPIQYTLMKDPVILPSSKVTIDRPVIIRHLLSDSTDPFNRSHLTQDMLIPNTELKLQIEEFVRSQQSRKRTAAESEIGEADGAADMVE >KQK92575 pep chromosome:Setaria_italica_v2.0:IX:56185986:56186288:-1 gene:SETIT_040669mg transcript:KQK92575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKICWIQLGLQIPDGSFTPSTRTPSLRFFLESDDRR >KQK89549 pep chromosome:Setaria_italica_v2.0:IX:32818522:32819707:1 gene:SETIT_038819mg transcript:KQK89549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRLVPHTCSPAADLLPDDVLLDIFLRLPPEPTHLFVASLICLRWRRLIRDPAFLVRFRAFHRATPVLGFYQNIRDGSRCGIRFVPIADPAPRLSALKEYQNGACRVLDCRHGRVLICDEVFESLFVWDPMAAESFDVGSLPEFSGEENFTAVLVCTPGHDDHTDCHSAPFRIVLVDNSDDDEELLVSVCVFSSETEAWGEWTSISPPSLVSTDSAAVVGGFVYWMLGSDEDNYQDHILGFEMQTRRLDLIELPKEVQEKYKSDIHLMPAEDGGIGFAGVNLSSLHFWSRKTDNEGAAGWALIRIIDMAMLPISHKLAGDMLLWSSVAGFADDSDVLFLHSEAGVFMINLRSKQLKEVPQASDNAIYIYPYTSFYSRVYYFAG >KQK86423 pep chromosome:Setaria_italica_v2.0:IX:1787243:1787695:-1 gene:SETIT_038369mg transcript:KQK86423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEGFAVLSTIKNNTGAEMADFGQDAANTSFFFFFFFALHCIKRLADNVIYAGSLIMLAMQISSLQASRSIKE >KQK90426 pep chromosome:Setaria_italica_v2.0:IX:42584522:42585894:-1 gene:SETIT_037028mg transcript:KQK90426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASAETNTTALFFSFSAVVLLLLALQPPDRRPGGGTLADLLLAALDRFPDARGLLDLATRRNMVLLCHAILLVILRNAGVLGAPARRRGATATTASAVVADAADAGACCAPVLTDAAERSIVVWRRPRGGAKQDAHADGSGHRLVRRRQARRSAQQHPAAAAAPIPRAAPALAEQPEQQQPPLTSKEIVLVDHDRAAAVVELHLTSASTTDGEKSDERDVDTAAEETPELADDRRIEEFIAKQWSKIRHESLQLDRSSGSQSQAITTC >KQK90431 pep chromosome:Setaria_italica_v2.0:IX:42622848:42627893:-1 gene:SETIT_035034mg transcript:KQK90431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGTGHGGGDARRRALEHRRSARRRLPGWIWWLLGIFLLVGLMLFVLHHNQKEQFRPPVVDNGSEIEEVPHEKVNFTEELLSSTSFARQLADQMTLAKAYVILAKEHGNLQLAWELSSQIRNCQRLLSEGAVSGRAITQEEAHPIISRLARLIYKAQDAHYDISTTIVTLKSHALALEERAKAAIVQSAEFGQLAAESFPKSLHCLTVKLTEEWLRNPKYRSRSEEQRNSTRLVDNNLYHFCIFSDNVLATSVVVNSTVSNANHPQQLVFHVVTDRIHFGAMSTWFLINDFKGCTVEVRCIDEFSWLNASSSPLVRQLSEVETQGYYYSAGSKNPEREIKFRNPKFVSLLNHLRFYLPQILPNLEKVVFLDDDVVVQKDLTQLFSIELHGNVIGAVETCLESFHRYHKYLNFSHPTISSKIDPHTCGWAFGMNIFDLIAWRKANATSLYHYWQEQNSDLLLWRTGTLPAGLLTFYGLMEPLDRRWHVLGLGYDVDIDVRLIESAAVVHYNGNMKPWLKLAIRRYKYIWERYVNFSHPYVRECMLH >KQK86244 pep chromosome:Setaria_italica_v2.0:IX:902529:907108:-1 gene:SETIT_035976mg transcript:KQK86244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRWSRKPSRPPRALTLLKALLLPVLLLAFSSSSAAAAAAMHNNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNPRNSYPAQVFNNENHQLNLYGDNVEVDYRGYEVTVENFLRILTGRHESAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKHRFKELLIMVDTCQAATLFSQLHSPGVLAIGSSMKGENSYSHHLDSDIGVSVVDRFTYYTLAFFEKLNMYSNASLNSLFNSYDRSMLMSTAYYRMDLYERPLNEVPVTNFFGSVMKTLHTDSAYTGFLAAHDDEVPMSMRDNQHDHFMSKNEASARRLNREKEAQIIPHGWTEVLLEQLEGKNTDIVALYGLGAMGILLALSTWLSM >KQK86776 pep chromosome:Setaria_italica_v2.0:IX:3664930:3679087:-1 gene:SETIT_033942mg transcript:KQK86776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLRSYLGRLLLEEITPVVMVLTTPLAEAACRKSGLSFVDMLAPFSLFKKIDVPVRTASEVPYRLQMFKIRMVYASDVRKEDYEVADERIKPVVSEANEKALPDLLSDPPQLEDVLGKPEAELCPLWIKKFNRELMQTLSYSEHETFDHPVACLLVVSSMDKEPISKFVDLFNTNQLPSLLNEGIMDPQILKHYLVLHDQQEGPQDIAVNVLAEMRSTLGLNDCKLLCINSSTEADGSNTDNSWLPYIKDFMQDFASNHIIPYMEQKIRVLNQQVATTRKGFRNQIKNLWWRKRDDVPEAPNGPMYTFTSIESQIRVLGDFAFMLRDYELALSNYKLLATDYKLDKAWKRFAGVQEMSGLCYFMLDQSRKDAEYCMENAFSTYLRIGSSGQRNATRCGLWWAEMLKTRGQYREASSVYFRVSNEEPSLHSAVLLEQAASCYLLSSPPMLRKYGFHLILAGNSYYMSDQKQHAVRVYRNALFVYKQNPWSYINDHVHFNVGRWYGVLGIIDVAIKHLLEVIACSHQSLVTQNMFLNDFFHYVQSMGKKFDVYKLQLPVFNMSSLRVIHEDHRTYASDADVGVSESTWHELEEELIPSSSVVRTNWLDTQPKSSRKYKDSSVCVAGEAVKVSVELKNPLQIPVDVSGISLICQHSTNLDALTSDVSGLTLDGGEDKVNTEPSVSVFETDEENFVVSKLDIILGGGESKRVQLDVTPKVEGILKLVGIWWTLSNSVVGYQYFEFDAQKKNKKGKRGHRRSLNSSLVVIKGLPKLTGSIDRMPTKAFAGDLQLLKLNLRNHSEYAVKGIKMKISNPRFLIPGDSSDIGLKFPHCLKKHTQSEINLVPYKSTKENFKGLLFAFPQDIEIQAGATLSWPIWFHAATPGNVSLYISIYYEMESSSDIKYRTLCMHYNLEVLPSLDISFAISMSSSRLQEYIVRMDVRNKTPSESFVLHQLSCVGSKWAVSALPSCSSISSVETVSANQAVSCFFKIKDFEADSCKEADRGSYRTDMTLYPGSSGDVFDIARSPLADFHFQERYRQGKLVKGPCSLLDFVVISKAAGNSSEPSPDLQLLSHHTCHCSALSQSPVWWIMEGPRTITHDFSKSYCEVSIQLVIHNSAAHPSSVRVVTSDVMPEKSQTVHPHDPSSVQGGWYDVSLENDIKAISSAKGTQHEKQSSKSISPYVWCSLSSAQIELQPDSCARVPLKVCIFAPGTYNFSNYELQWKVHPSEGAQVDESKNGSSGSGQGHPFYVTVLQSV >KQK88404 pep chromosome:Setaria_italica_v2.0:IX:14012484:14017938:-1 gene:SETIT_036930mg transcript:KQK88404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAPSSLRRLPARSPPAASLLRSRPLREPRLRLRQPLVAAAAAATAPLAASSSPADTERRKHELLRLVQETRRGFAAGPDQRAAIEEAIVGVEELGAGEGAPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDQSEGGVVRNVVRWSIENLLEDQEGATLMVSAKFVILSKRNIFLQFEEVSVENIKISEQLQALIAPAILPRSFLSLQILQFLKTFRAQVPVSGPERRSPGGLYYLSYLDRDMLLGRSVGGGGVFIFTRAQPLL >KQK88405 pep chromosome:Setaria_italica_v2.0:IX:14014301:14017938:-1 gene:SETIT_036930mg transcript:KQK88405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAPSSLRRLPARSPPAASLLRSRPLREPRLRLRQPLVAAAAAATAPLAASSSPADTERRKHELLRLVQETRRGFAAGPDQRAAIEEAIVGVEELGAGEGAPLDLAALDGTWRLCYTSASDVLVLFEAAERLPLLQVGQIYQKFECKDQSEGGVVRNVVRWSIENLLEDQEGATLMVSAKFVILSKRNIFLQFEEVSVENIKISEQLQALIAPAILPRSFLSLQILQFLKTFRAQVPVSGPERRSPGGLYYLSYLDRDMLLGRSVGGGGVFIFTRAQPLL >KQK86140 pep chromosome:Setaria_italica_v2.0:IX:445134:448315:-1 gene:SETIT_036720mg transcript:KQK86140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAHEPEVIRDKAAMRAWSRRRRAEGKVVALVPTMGFLHDGHLSLVSAAVAAAAGPVAVVVSIYVNPSQFAPTEDLATYPSDFAGDLRKLAATGAVAAVFCPPDLYVRGGRPDASESGGAISCLEQGDGYGHETWIRVERLEKGLCGSSRPVFFRGVATVVAKLFNIVEPDIAVFGKKDYQQWRVICRMVHDLDFAIEIIGSEIVREADGLAMSSRNVHLSCEEREKALSISRSLVNARTAALNGNSHGQQIKDQIVQTLEEAGGQVDYVEIVEQESLTPVERIDRPAVICVAAWFGKVRLIDNIEIQPPS >KQK89096 pep chromosome:Setaria_italica_v2.0:IX:20623002:20624443:1 gene:SETIT_039843mg transcript:KQK89096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTSLGKISLPRQQRRLLQPIHSPRHKRVTAAHPAALLQELFGDAEPPKPRRASDETLAVHAGEKLGKGADEAATDSIATPIVSGTTHWFKSSEDLIAFKEGRRHSHEYGRYSNPTVKVLEDKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVTTTDCYSEARAFIRDRLSKMGIRSTFIDLDDMESLKAVLEQDDVTLFYADSPTNPLLKCVDIRLVAELCHRKGTLVCIDSTLASPINQKPLTLGADVVLHSATKYMAGHHDVIAGCISGSKTLISKIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAHNRTALDMARLLELHPKIERVHYPGLESNPWHQVAKSQMTGYGGVVSFEVKSDLCGTMRFVDALEIPLIATSLGGCESLVQQPAVMSFWGKSDDEKAKNGIKDNLVRFSFGIEKFEDLRDDILQALEKI >KQK89386 pep chromosome:Setaria_italica_v2.0:IX:26783694:26785685:-1 gene:SETIT_036395mg transcript:KQK89386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRKDSGDLAEALEEDEEEAEEQTEGEEEEGEEEEQTEEGEGEEDEAEAEAETPAQDAVDPPKLAEGYFEIEAIRRRRLRKGQLQYLVKWRGWPESANTWEPLENLKACSDIVDAFDKRSRSPRSSRKRKRKAATTSTSDPNPSRGKRGRPPRSEARSMTGHHAPEPKKMPCRTSSRRASNNGNKSLFGGLEASVNVLGQRVVQEGSSGVVSVGFPSQGAPLSVSLTDQQDEHQLANGSSKVESSVRPPPSQGGQITGAKKRKSGCVRRFKQDEVAPQEQGDIRDRTTDKPGNEYVDSTEGETGDKNKGDDSASHIHNPKIIKIIKPVRYFATVVDGVQQVAISFKALR >KQK87832 pep chromosome:Setaria_italica_v2.0:IX:9619037:9619423:-1 gene:SETIT_038623mg transcript:KQK87832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEVAAATSGHHHHLHHHTRRPPPPDPGRGGVDAEWYGIEKKILLIFLFLKIFYLSPRRRRELRIFMSFNSRTRNWKVTEGDPSFCNENNIKNSGQFRNQTKRLNTYAKKFIIGPPLITRFSFYESLLV >KQK88699 pep chromosome:Setaria_italica_v2.0:IX:16360007:16361560:-1 gene:SETIT_0375381mg transcript:KQK88699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRQSRFKRICVFCGSSQGKKRSYHDAAIELGNELVARSVDLVYGGGSIGLMGLVSQAVYDGGRHVIGVIPKTLMTPE >KQK90544 pep chromosome:Setaria_italica_v2.0:IX:43546727:43548009:1 gene:SETIT_037763mg transcript:KQK90544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYITSLEESFVNQLYSGNGEFNSVESFYQTPGAWQKTSYGGNGRNSKYDQGQGYWGMVEVDEAESRLSEVGYIGSSSYSRGSPYYMDDASSNGPRQEKTSYHARQRTSGRSAAFNLHQHDGHSFSWRTESSDQNFFDGEAEGRREQGRGSSENQQKHAGRTEAGPSGGIGLH >KQK91214 pep chromosome:Setaria_italica_v2.0:IX:48520327:48521759:-1 gene:SETIT_039472mg transcript:KQK91214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATPRGRSSHRRTRCKCLGGSKGDRATPCCSFNPLRSLIRCPGGRSRSRSRSRGKHRHQRTPSRVRDAPAVGGVQLQQGQEEEPSFFVYAMPNQGGFGSGGGEHKKKKKHKKPRMPSFGSCFRRKKKERKQARATATAGVPRPALTPASSLLTHPPGSPSPEKTQAPTTPLMTQPPSPAPTENGSTINSPAPPGRQQATPRPGKQSPDDSARSSPLAPRMQQPKQVEGLEIVEVATGERLSAHELSLIEMVGSSADGSAESSVKSSLEYVNEPPPPQPPAKRAVVEREAKVVKVHQEVPKLWLNGKSAESRARERFAKPLVAAEAEELWAHDVACSRVHATMLAETVSFGCRYCGLWH >KQK91374 pep chromosome:Setaria_italica_v2.0:IX:49480419:49480714:-1 gene:SETIT_0380471mg transcript:KQK91374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLGSGRFLARRPPLALVPRCSRGTPDKGGSDK >KQK86321 pep chromosome:Setaria_italica_v2.0:IX:1293094:1295331:1 gene:SETIT_037363mg transcript:KQK86321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAQRLLASSTKIIGVGRNYVAHAKELGNPVPKEPVLFLKPTSSFLHAGVSTAAIEIPEPLESLHHEVELGVVISRRGRDVPEASAMDFVGGYALALDMTARDLQSAAKSAGLPWTLAKGQDTFTPISAVIPKSAVTNPDDLELWLKVTAQICSVKCIYSCLKL >KQK86320 pep chromosome:Setaria_italica_v2.0:IX:1293006:1296431:1 gene:SETIT_037363mg transcript:KQK86320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAQRLLASSTKIIGVGRNYVAHAKELGNPVPKEPVLFLKPTSSFLHAGVSTAAIEIPEPLESLHHEVELGVVISRRGRDVPEASAMDFVGGYALALDMTARDLQSAAKSAGLPWTLAKGQDTFTPISAVIPKSAVTNPDDLELWLKVDDELRQKGSTNDMLFKIPFLISYISSIMTLMEGDVILTGTPEGVGPVRVGQKIKAGITDLIDVEFDVQRRNRTFSA >KQK90183 pep chromosome:Setaria_italica_v2.0:IX:40435528:40436120:-1 gene:SETIT_040379mg transcript:KQK90183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLAKPAYLIYGHLCWHALELLGIVVVGELFSQERPQYPLDSIRYWVLLLYV >KQK87965 pep chromosome:Setaria_italica_v2.0:IX:10461638:10467907:1 gene:SETIT_035294mg transcript:KQK87965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRPRKRASRPTKPRAGSRRGGGGSDDDPFFESEPKRRRGGGDEEIESENSDDDAMAFGGAVDEDGDEGVKEEEDEETVGEKKMRMAKELLKKLTDASKREEDDEDEDEEEAGGRRVAEILQRRQLEESGRKRWELAARVLPPEPQDGFKVIVKHRQPVTAVALSKDNDKGFSASKDGVIVHWDVETGKSEKYLWPSENVLISHHAKPPLSARRSKHVLALAVSSDGRYLASGGLDRHIHLWDVRSREHIQAFSGHRGPVSCLAFGPDSSELFSGSFDRSIMQWNAEDRTYMNCLYGHQNEILTMDAFSKDRILTVARDRTMHLWKIPEESQLVFRAPAAASLECCSFIDDKEFLSGSDDGSIELWSIMRKKPTFIVRNAHPSLDSDDQELPKENGIHKPENVSMAQSWVSAVTARKGSDLAASGAGNGLVRLWAIEPDSKGIRPLFKFKLDGFVNSLTIAKSGRFIVAGVGQEPRLGRWGRVRSAQNGVAIHPIRLKEEKDDL >KQK89208 pep chromosome:Setaria_italica_v2.0:IX:21908043:21911125:-1 gene:SETIT_034050mg transcript:KQK89208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADYLIAVGRSTADPTRWMKRWRKAGNVIRTCHRLARLARLALLSADILRRTGSYVTIKIHDDVDADTDADAADASKSANAAPVEFSVAADDQDFNGLVKEKRVDCFRRLGGAAGIAAALASGAEAGICGDEGDLRRRREAFGANTYPRRKPKGFWRHVWEALSDVFLIVLLFCAAVSLGFGIKEHGLRDGWYDGVSIFLAVFLVAAVSAVSNHGQAKRFDRLASQSDDVAVTVVRGGRRQEVSIFDVVVGDVVVLKIGDAVPADGVFLDGHALQVDESSMTGEPHPVHVGADDSPFLASGVKVLDGYGQMLVTAVGTDTAWGEMMSSITREKTEPTPLQERLERLTSSIGKVGVAVAVLVFAVLTARHFTGSTRDEQGRPIFDRQHVTFNAVFSGLVGIFQQAVTIIVVAIPEGLPLAVTLTLAFSMKRMAKEHALVRTLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGTDRPSKAVAGAVDGGVVGLLCQGAGLNTTGSVYRPDNVSPPEISGSPTEKALLSWGVEELGMDADALRRSCNVLRVEAFNSDKKRSGVLVRDNATGAVIAHWKGAAEMVLASCSAYVGADGEVRELGVEQRRELEKVISDMAAASLRCIAFAYKKVADGEDAKIDDEGLTLLGFVGLKDPCRPEVRTAIEACTKAGVAVKMVTGDNVLTARAIARECGIISDSDRDGIVIEGHEFRAMSADEQLEIVDRIRVMARSLPMDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGIQGTEVAKESSDIVIMNDNFDTVVTATRWGRCVFNNIQKFIQFQLTVNVAALIINLVSALTSGKMPLTTVQLLWVNLIMDTMGALALATDKPTKALMRHPPIGRTAPLISNAMWRNLAAQAAFQVAVLLALQYRGRDVFGVGEKANGTMIFNAFVLCQVFNEFNAREIEKKNVFAGVLRNRMFLGIIAVTLAMQVVMVELLTRFAGTQRLGLAQWGFCVAIAAMSWPIGWAIKFIPVPDRPIHEILATRKFF >KQK89413 pep chromosome:Setaria_italica_v2.0:IX:28028108:28031522:-1 gene:SETIT_038935mg transcript:KQK89413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGQKLWRYYDFGPKVVPSLVCIPGIAGTADVYYKQIMSLCMKGYRVISIDVPQVWNHHEWIHSFEKFLDSMNIHHVHIYGTSLGGFLAQIFAQHRPRRVKSLVLSNTFLETHKFAAAMPWSPVVNWTPSFLLKRYLLTGIRDGPHEPFIADSVDFVVGQVETLSRDDLSSRLMLNVNVSSVGSLMLPDSLITIMDTNDYSAVPQQLKDQLNERYPGARRAVLKTGGDFPFLSRPDEVNLYLQLHLRRVGVEPRPDLVQGFTQNGSSGSSKDQIEGRDGFGDSPGDNGHRGTGGSDHDVRYCGSESQDSDEPIPTSTMY >KQK90803 pep chromosome:Setaria_italica_v2.0:IX:45845040:45846083:1 gene:SETIT_040204mg transcript:KQK90803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNCTAIVVAIFIVIILGAGHAVTGARIGAMMIRQPVQMFREAPAFWNGAECAAGGGGRVDIAMTLDANYLRGTMAAVLSILQHTACPESMVFHFLTAEADDRGLAAALRASFPSLDLRVYRFDPSRVRDRISRSVRQELDQPLNYARVYLADTLPADVRRVTYLDSDVVVVDDIRALASVDLAGHVLAAPEYCHANFSNYFTDAFWSHLALNGTFRGRRPCYFNTGVMVMDVVKWRAGGYTRRVEEWMAVQKRRRIYHLGSLPPFLLVFAGRIRAVDHRWNQHGLGGDNVEGRCRGLHPGPISLLHWSGKGKPWLRLDTRRPCSVDYLWAPYDLYRYSSPVIEEW >KQK90039 pep chromosome:Setaria_italica_v2.0:IX:38952993:38953511:-1 gene:SETIT_039306mg transcript:KQK90039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYQPPEYIDKGDMSGKFDNFSLGVMMIRIVSGPESYPTCLHMPSDEFIDQVRKNWRKRLQATNTSDSLLGSYCHQVVSCIQIALNCLENDSQKRPDIVNIMEKLNKIETDMGKVIYIICKGMQWVARSGINFTAWTIM >KQK89381 pep chromosome:Setaria_italica_v2.0:IX:26456001:26466511:1 gene:SETIT_034500mg transcript:KQK89381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLARAPFLPRCCHGPSRRGRGRRQNEGRWELSKKMLLREIVTVVVAMAVHGVGRSGGGAGVRRDGSGSRGRSGGAAAEVWGGAEMAVGRAEGAATVEHGTGGRNGSGRVVGLNPTRPFPFPNRTGQKPNSPDPHQVITSSPTPEKPELPARPSSVLLADAMGSADLVLKPACEGCGNTSDLYGTGCKHTTLCSECGKSMARSRARCLVCSSPITRLIREYNVRANAITDKTYSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQIPENMREKYNRKPWILEDETGQYQYQGQMEGSQSATATYYLLMRHGKEFNAYPAGSWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAAANGPAAFGSDIKKLEATNSGEKESGRPKKAKNNEEGNNSDKGEEDEEEEAARKNRLGLTKKGMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGRAAGLNDSDADEDEEEEDEDESSPVLAPKQKDQVKDEPVDNSPAKQTPSGHARSTPPASKFKQKRKSGADDTKTSGGAASKKAKVESDTKASGIKEEAPASAKPTSKTSASSKSGTNVSPVTEDEIRSVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >KQK89382 pep chromosome:Setaria_italica_v2.0:IX:26456001:26466511:1 gene:SETIT_034500mg transcript:KQK89382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLARAPFLPRCCHGPSRRGRGRRQNEGRWELSKKMLLREIVTVVVAMAVHGVGRSGGGAGVRRDGSGSRGRSGGAAAEVWGGAEMAVGRAEGAATVEHGTGGRNGSGRVVGLNPTRPFPFPNRTGQKPNSPDPHQVITSSPTPEKPELPARPSSVLLADAMGSADLVLKPACEGCGNTSDLYGTGCKHTTLCSECGKSMARSRARCLVCSSPITRLIREYNVRANAITDKTYSIGRFVTGLPPFSKKKSAENKWSLHKEGLQGRQIPENMREKYNRKPWILEDETGQYQYQGQMEGSQSATATYYLLMRHGKEFNAYPAGSWYNFSKIAQYKQLTLEEAEEKMNKRKTSATGYERWMMKAAANGPAAFGSDIKKLEATNSGEKESGRPKKAKNNEEGNNSDKGEEDEEEEAARKNRLGLTKKGMDDDEEGGKDLDFDLDDEIEKGDDWEHEETFTDDDEAVDIDPEERADLAPEIPAPPEIKQDDEENEEEGGLSKSGKELKKLLGRAAGLNDSDADEDEEEEDQEDESSPVLAPKQKDQVKDEPVDNSPAKQTPSGHARSTPPASKFKQKRKSGADDTKTSGGAASKKAKVESDTKASGIKEEAPASAKPTSKTSASSKSGTNVSPVTEDEIRSVLLAVAPVTTQDLVSRFKSRLRGPEDKNAFAEILKKISKIQKTNGHNYVVLRDDKK >KQK87435 pep chromosome:Setaria_italica_v2.0:IX:7302161:7303394:1 gene:SETIT_037751mg transcript:KQK87435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHGVTPAVSPPAARPCLIHGACGALPHPAAAPARALGHLPSRLLVSVGDPRAGRAVWRVRRRVRYVEEDEGEDDEAEWGHNEDVARMERYTEDARDQALLVKARVDDEVEGFSSSLSGGTATDPARSILPERAIVQSVDVVKGPFDPNNIEYLEKGVEWDDFKSRLQ >KQK87436 pep chromosome:Setaria_italica_v2.0:IX:7302171:7303394:1 gene:SETIT_037751mg transcript:KQK87436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHGVTPAVSPPAARPCLIHGACGALPHPAAAPARALGHLPSRLLVSVGDPRAGRAVWRVRRRVRYVEEDEGEDDEAEWGHNEDVARMERYTEDARDQALLVKARVDDEVEVVLVFRGFSSSLSGGTATDPARSILPERAIVQSVDVVKGPFDPNNIEYLEKGVEWDDFKSRLQ >KQK91803 pep chromosome:Setaria_italica_v2.0:IX:51880783:51884894:1 gene:SETIT_035975mg transcript:KQK91803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANGRGEDGDARAPLLAGPGRRRNSVASMRGEFVSRLPKKVLDAVDPEHPSHVDFSRSKGLPEGEREYYEKQFATLRSFEEVDSIEESNEIDEEEELAEQNQSEFAMKISNYANIILLALKVYATIKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKSINVYKYPIGKLRVQPVGIIIFAAVMATLGFQVFIQAVEKLIVNEAPAKLNQVQLLWLYSIMIFATVVKLALWLYCRTSGNKIVRAYAKDHYFDVVTNVVGLAAAVLGDRFYWWIDPVGAIALAVYTITNWSGTVWENAVSLVGESAPPEMLQKLTYLAIRHHPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELPEVERAFVHLDFECDHKPEHSILSKLPSNQP >KQK87571 pep chromosome:Setaria_italica_v2.0:IX:7970688:7973118:-1 gene:SETIT_039415mg transcript:KQK87571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAVQSIQSLAAGGAQRNLFRTLGPTLLISMAYIDLGKWLVAVDAGSRFGYDLVLLVLLFNLSAILCQYLSTCIGMVTGKNLAQICHQEYSQVICVVLGLQAWLSLLTSELTMIAGVGVGFNLVFERDDLITGICFASVVVNLLPYTLSRLDKRVAGMFNACIAGFTLLCFVLGLLLSHPQTPVNMNVMFPKLSGESAYSLMALLGANIIAHNFYTHSSFVQVQRSSVVTLGSLFHDHLFTILFIFTGIFLVNYILLSSAADESSATMVMNFQDAMELMHQVSLKFLLIIPLFTF >KQK89857 pep chromosome:Setaria_italica_v2.0:IX:36493497:36496149:-1 gene:SETIT_037997mg transcript:KQK89857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLAAAASPFLVAGSSTSRRPLGAAPIRRAGLRVAALKYDPAKVAPQSDRVLVRLHQIPEKSAGGVLLPKSAVKFERYLMGEVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >KQK89856 pep chromosome:Setaria_italica_v2.0:IX:36493762:36496018:-1 gene:SETIT_037997mg transcript:KQK89856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLAAAASPFLVAGSSTSRRPLGAAPIRRAGLRVAALKYDPAKVAPQSDRVLVRLHQIPEKSAGGVLLPKSAVKFERYLMGEILSVGADVSEVEAGKKVLFSDINAYEVDLGTDEKHCFCRESDLLAVVE >KQK89855 pep chromosome:Setaria_italica_v2.0:IX:36493909:36496018:-1 gene:SETIT_037997mg transcript:KQK89855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLAAAASPFLVAGSSTSRRPLGAAPIRRAGLRVAALKYDPAKVAPQSDRVLVRLHQIPEKSAGGVLLPKSAVKFERYLMGEILSVGADVSEVEAGKKVLFSDINAYEVLPN >KQK92812 pep chromosome:Setaria_italica_v2.0:IX:57315373:57320443:1 gene:SETIT_034199mg transcript:KQK92812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPTSELVVTPKAAAKDAASCCKSTPPKVSSVSPDEMRAVARKFADQPIQETEPGVWAVLTAISKKARLRPQGINILLSGEEHYLGRGVEDRFQISDPQISTRHCRIYKDTVLGELNRHEPVPVFIKDTSSNGTYINWKRLKKSSSPVKLNHGDVISFISVHKDSSYSFVYREVNAISCVENGATILKRKSEEGGSGSKRLKGLGIGSLDGPVSLDDVRRLEKSNADLREQLEAHVVTIETLRTEIKVAQAQHGKELEELRETTSTSYLDQTKSLRLALEEKQKQLDSLSTSNTELQNSIKDLDERLSASKQSRADAGEIISSQKAIICELEGQLSEERNLRREERDKAVQDMKSALHKVQAEAQEEIKRQAESYLRQQREQKEVIGKLQESEKETRLLVETLRSKLEDARDNLVTSEKKVRELEARLQDEQLVSANNQKKSDNLETELRKLKKELENEKAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTLMSVDLNKVPLATDDAHMKSVGCSKNTVEAPSASTQNTQVSEHSSSDEDANMTEQHAGGTAEGGSTQGLECSSPERSEDRLRSDFHGNPVPTAPEREVTDTEQVPETESQAGNVGCDDQRCDNMGETMPLEDEAQPQENEEAKDGDQPHANEDPVPIPKDGIGHCSEDKLEYDCSESKREDAHAGAIGTADLLTSEVAGSWAVETAPSVNGENESPRSVEDAGDAVGQDEEDGGSMAADALLTLVNSEGQAAGSQNNAEHAVSKITDHHRVLSAMIEIVDPEFKKQMSRSGGGNDEPMSDAETDEGSEEVDTDDDSEEPMVEDSVG >KQK92810 pep chromosome:Setaria_italica_v2.0:IX:57315373:57320443:1 gene:SETIT_034199mg transcript:KQK92810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPTSELVVTPKAAAKDAASCCKSTPPKVSSVSPDEMRAVARKFADQPIQETEPGVWAVLTAISKKARLRPQGINILLSGEEHYLGRGVEDRFQISDPQISTRHCRIYKDTVLGELNRHEPVPVFIKDTSSNGTYINWKRLKKSSSPVKLNHGDVISFISVHKDSSYSFVYREVNAISCVENGATILKRKSEEGGSGSKRLKGLGIGSLDGPVSLDDVRRLEKSNADLREQLEAHVVTIETLRTEIKVAQAQHGKELEELRETTSTSYLDQTKSLRLALEEKQKQLDSLSTSNTELQNSIKDLDERLSASKQSRADAGEIISSQKAIICELEGQLSEERNLRREERDKAVQDMKSALHKVQAEAQEEIKRQAESYLRQQREQKEVIGKLQESEKETRLLVETLRSKLEDARDNLVTSEKKVRELEARLQDEQLVSANNQKKSDNLETELRKLKKELENEKQAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTLMSVDLNKVPLATDDAHMKSVGCSKNTVEAPSASTQNTQVSEHSSSDEDANMTEQHAGGTAEGGSTQGLECSSPERSEDRLRSDFHGNPVPTAPEREVTDTEQVPETESQAGNVGCDDQRCDNMGETMPLEDEAQPQENEEAKDGDQPHANEDPVPIPKDGIGHCSEDKLEYDCSESKREDAHAGAIGTADLLTSEVAGSWAVETAPSVNGENESPRSVEDAGDAVGQDEEDGGSMAADALLTLVNSEGQAAGSQNNAEHAVSKITDHHRVLSAMIEIVDPEFKKQMSRSGGGNDEPMSDAETDEGSEEVDTDDDSEEPMVEDSVG >KQK92811 pep chromosome:Setaria_italica_v2.0:IX:57315373:57320150:1 gene:SETIT_034199mg transcript:KQK92811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPTSELVVTPKAAAKDAASCCKSTPPKVSSVSPDEMRAVARKFADQPIQETEPGVWAVLTAISKKARLRPQGINILLSGEEHYLGRGVEDRFQISDPQISTRHCRIYKDTVLGELNRHEPVPVFIKDTSSNGTYINWKRLKKSSSPVKLNHGDVISFISVHKDSSYSFVYREVNAISCVENGATILKRKSEEGGSGSKRLKGLGIGSLDGPVSLDDVRRLEKSNADLREQLEAHVVTIETLRTEIKVAQAQHGKELEELRETTSTSYLDQTKSLRLALEEKQKQLDSLSTSNTELQNSIKDLDERLSASKQSRADAGEIISSQKAIICELEGQLSEERNLRREERDKAVQDMKSALHKVQAEAQEEIKRQAESYLRQQREQKEVIGKLQESEKETRLLVETLRSKLEDARDNLVTSEKKVRELEARLQDEQLVSANNQKKSDNLETELRKLKKELENEKQAAREEAWAKVSALELEIAATIRDLSIEKQRYQGARERIILRETQLRAFYSTTEEISSLFAKQQEQLKAMQRTLEDEENYENTLMSVDLNKVPLATDDAHMKSVGCSKNTVEAPSASTQNTQVSEHSSSDEDANMTEQHAGGTAEGGSTQGLECSSPERSEDRLRSDFHGNPVPTAPEREVTDTEQVPETESQAGNVGCDDQRCDNMGETMPLEDEAQPQENEEAKDGDQPHANEDPVPIPKDGIGHCSEDKLEYDCSESKREDAHAGAIGTADLLTSEVAGSWAVETAPSVNGENESPRSVEDAGDAVGQDEEDGGSMAADALLTLVNSEGQAAGSQNNAEHAVSKITDHHRVLSAMIEIVDPEFKKQMSRSGGGNDEPMSDAETDEGSEEVDTDDDSEEPMVEDSVG >KQK92692 pep chromosome:Setaria_italica_v2.0:IX:56812193:56812677:-1 gene:SETIT_039563mg transcript:KQK92692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQRRPSNMVQDMTSSVSEALAPRSCPNLTYIFPSLLQLWEHPELTSLYDGVLPSLKNLKVKGCAKSCVKFLSLLFRNWGALATEG >KQK86899 pep chromosome:Setaria_italica_v2.0:IX:4357473:4359243:-1 gene:SETIT_038223mg transcript:KQK86899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAARSGLRSLAARAAPARRRMSSSVHDDAYETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPWDRAIL >KQK86898 pep chromosome:Setaria_italica_v2.0:IX:4357129:4359334:-1 gene:SETIT_038223mg transcript:KQK86898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAARSGLRSLAARAAPARRRMSSSVHDDAYETAKWEKITYAGIVTCTLLAAYNLSKGHPHFDEPPAYPYLHIRNKEFPWGPDGLFETKDHH >KQK88190 pep chromosome:Setaria_italica_v2.0:IX:12245112:12249284:-1 gene:SETIT_040306mg transcript:KQK88190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLNSKLLKAKDRNTKRDIRKELKTLAKEERKRQQLAITDVLKNADVVLTTLTGASSKKLNGIAFDLVVIDEAAQALEVACWIALLKGPRCILAGDHLQLPPTIQSVEAEKKGMGKTLFERLTEAYGEEITSMLTVQYRMHEHIMNWSSKELYNNKIKAHSSVAGHMLCDLEEVTTSSSTEPTIILIDTTGCDMEEVKDEEESTMNEGEAAVSIAHAKLLVESGVHASDIGIITPYSAQVTCLKMMRNKDAKLKDLEISTVDGFQGREKEAIIISMVRSNSKKEVGFLRDHRRMNVAVTRARRQCCLVCDVETVSSDKFLKRLVEYFEENGEYLSASEYQSS >KQK88848 pep chromosome:Setaria_italica_v2.0:IX:17770456:17774207:-1 gene:SETIT_035587mg transcript:KQK88848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAIDRQRILLRHLDPAAAPSPAPPAISASACAAGDSAAYHRRAAFADDVVIVAAYRTAICKAKRGGFKDTFAEDLLVPVLKALVDKTKLNPSEVGDIVVGTVLAPGSQRAIECRMAALYAGFPDTVPLKTVNRQCSSGLQAVADVAAAIKAGLYDIGIAAGLESMTVNKVSLDSQVNPKVELFSQARDCLLPMGLTSENVAHRFGITRLEQDQAAVESHRKAAEAAAAGKFKEEILPVHTKIVDPKTGEEKEIVVSADDGIRAGTSLAVLSKLKPAFSKDGTTTAGNASQVSDGAGAVLLMRRDVAMKKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVQAAGLQIGDIDLFEINEAFASQYVYCCKKLELDPTKVNVNGGAIALGHPLGATGARCVSTLLNEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDGVDELTNARDIPSLNWLSKDAM >KQK87531 pep chromosome:Setaria_italica_v2.0:IX:7803458:7809446:1 gene:SETIT_039120mg transcript:KQK87531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQSVTTYTHYGFTATQLSSAKLCNESNTHNPHVLFSDPVVWSSGCCRSSQASSSRIFLPASPATAAVRRARARTAVDSAEDEATVPVHTLWPPLTSHPRQRQPFIYSPLRSSTDARAPRGAEPTPSYRTTPSSSQSTRVRPVLRHFLKATHGGASRGAPVSLFTGPKRSCRSTGMDAKRVRLVCCKFHFPVSTTTPRHTPYPPRKQPSSSPQSFPDAAAQPRLRNRMFSWSRKQSSSSGSPSSSSSTSGRRRGGADASMDSSSRGGGGSGSGSRGRSPRLDRRNAAKRIDYEVGAGASASVGASWSSSSSAEQQRSPGLRPSRSLDLAPGADLRISGSAEGEVDELCRSLGLSGPEEFAIPIAAWEARKSRSNSDLLPRPRLDSSLPADELSPIARAVSAPNVQPVLSVPAPIPEESLHSSSASTATESAEEPIVAAPKESPKAAPAVAAVPPVGGLPFPSPRKGGGEVGIRGARPPLLSPPPPITALAPPPVRRPVVAVDMTGSAWDIVQSFAPSEERSELGGAHERAHTRQVSDTEEDGVEDGVAAVEGELKELRIGETFEGFTGTSSLSTTNDDDASSTTTEAMFIISPNGKFKRKIKSWMRGALLGSGSFGMVYEGISDEGAFFAVKEVSLLDQGSNAQQSILALEQEIALLSQFEHENIVQYYGTDKEESKLYIFIELVTQGSLSSLYQKYKLRESQVSAYTRQILNGLLYLHERNVVHRDIKCANILVHANGSVKLADFGLAKEMSKINMLRSCKGSVYWMAPEVINPKKMYGPSADIWSLGCTVLEMLTRQIPFPNVEWTNAFFMIGRGERPPIPNYLSKEAQDFIGQCVRVDPENRPSALQLLEHPFVNRPLRASFDSSSPPAIRL >KQK89917 pep chromosome:Setaria_italica_v2.0:IX:37141098:37142027:-1 gene:SETIT_039874mg transcript:KQK89917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAQQASLSCSTWRELTNTSWRDDDYRRMVMAYLIEAVYLLELERQERRDAAAVAQQWWKPFQYRLAHELVDDRDGAIFERDHHADAAGRPSPSGAPSAVVAFRGTLLRAPTIRRDVEDELRLLARNSLRGSARLARALQALRATIDRFGSENVCVCGHSLGAGFARQVGRMLTASSPRHPRQHHQQQQQAAAAAAAASVEFHLFNAPYLSLPMGVRSVVKTADCLLKALRSGAATVGRWHGKALRNVAYANCILGYTRLESSRKL >KQK90428 pep chromosome:Setaria_italica_v2.0:IX:42599944:42605069:1 gene:SETIT_034680mg transcript:KQK90428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCQDGAGAAASENRSKVASETKSIKQPTWVFVEKESVHDLREQILLLTGNVCHEEEEILRADLLEKLNRCKRDTLIELCRSFDVIGSRANRKEELVSFLMEFVKGHCSGIDGTNSDKKIKKKRRTKEEESLSGGKPSKKKKREGEEEADGRNGVEDREKYFDCDLMDNRYICADNKKGKFPKERTNLEPAERINGCVSEDLDGVSLSEVPNPTDEAMITTPSKKSVKIADGDSTDMKAFKKTSSITKKKATPKEDCKVKSCGKQESKGDTKPRKLTMKPSKDELREAVFLILDTADFATMTFGDVVKEVDKYFGKDLFERKPLIRSLIEEELFRLTEEAEKKELEEEEVAEAKARAEQAAKETAQVRTVESGIDKRNALQAGSDGKTKDSSKDTNDSPNEKGINGGASVKSAVKRNNSNAAEGSQDHKANADTQNEDIHDELTKDGEGEQAAPIVNGDSAIQGSSDDEAETMKNSNAQALEGSKNDNVKGASNGENNVTEDCRNEEGRGQNVGSNAETGNGCEAEEFNNRGNDGCAEHTDGKAQEANHNENSANVEIHGDKDGKVKEGDINAEQSQADAGGNGKAEDADHNANAKVDVDSVKNGAAENGRTDGDVKGNSDSAVEESPV >KQK87438 pep chromosome:Setaria_italica_v2.0:IX:7310462:7312459:-1 gene:SETIT_0347912mg transcript:KQK87438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DMFEEKRSILEPAGALALAGAEAYCKYYNLKGETVVAITSGANMNFDRLRLVTELADVGRKREAVLATFLPEEQGSFKRFTELVGRMNITEFKYRYDSNAKDALVLYSVGIYTDNELGAMVDRMESAKLRTVNLTDNDLAKDHLRYFIGGRSEIKDELVYRFIFPERPGALMKFLDAFSPCWNISLFHYRAQGEAGANVLVGIQVPPKDFDEFKSRAESLGYEYMSEHNNEIYRLLLRDPKI >KQK86099 pep chromosome:Setaria_italica_v2.0:IX:282827:288893:-1 gene:SETIT_035252mg transcript:KQK86099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHGADSAHDAGGVGVSTAGATVPARFVWPYGGKRVFVSGSFTRWSEHLQMSPVEGCPSVFQATCSLSPGMYQYKFFVDGEWRHDERQPTVSGEYGIVNTLYLTREINQINSVLSPSTPGTRMNMDVDNETFQRTVTVSDGNNQEGPLRVSEAAIQISRCRVIEYLSLHTGYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDSFRSQFVGLLSPLDFILILKELETHGSNLTEEQLETHTISAWKEAKRQTYGRYEGRPHQPLVHATPYESLRDIALKILQNGISTVPIIYSSSSDGSFPQLLHLASLSGILKCICRYFKNSTGNLPILNQPVCTIPLGSWVPKIGDPNSRPLAMLRRTASLSSALNMLIQAGVSSIPIVDDNDSLVDTYSRSDITALAKDKVYTHVRLEEMAIQQALQLGQDANTPFGYFNGQRCQMCLRSDPLLKVMERLSNPGVRRVFIVEAGSKRVEGIISLSDIFKFLLSL >KQK86100 pep chromosome:Setaria_italica_v2.0:IX:283205:288478:-1 gene:SETIT_035252mg transcript:KQK86100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSHGADSAHDAGGVGVSTAGATVPARFVWPYGGKRVFVSGSFTRWSEHLQMSPVEGCPSVFQATCSLSPGMYQYKFFVDGEWRHDERQPTVSGEYGIVNTLYLTREINQINSVLSPSTPGTRMNMDVDNETFQRTVTVSDGNNQEGPLRVSEAAIQISRCRVIEYLSLHTGYDLLPDSGKVIALDINLPVKQSFHILHEQGIPVAPLWDSFRSQFVGLLSPLDFILILKELETHGSNLTEEQLETHTISAWKEAKRQTYGRYEGRPHQPLVHATPYESLRDIALKILQNGISTVPIIYSSSSDGSFPQLLHLASLSGILKCICRYFKNSTGNLPILNQPVCTIPLGSWVPKIGDPNSRPLAMLRRTASLSSALNMLIQAGVSSIPIVDDNDSLVDTYSRSDITALAKDKVYTHVRLEEMAIQQGCLVLWFGPEHVCLELQALQLGQDANTPFGYFNGQRCQMCLRSDPLLKVMERLSNPGVRRVFIVEAGSKRVEGIISLSDIFKFLLSL >KQK87181 pep chromosome:Setaria_italica_v2.0:IX:5982485:5986528:-1 gene:SETIT_036179mg transcript:KQK87181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGGSGSGGVVHDVEALEGVRSIVLKPSESLDDSRFTRIAGADFNDPGLGLEGLLGSFASTGFQASNLGDAIDVVNQMLDWRLSHEKPGEDCDEAELDPKYRESVKCKIFLGFTSNLVSSGIRDIVRFLAQHHMVDVIVTTAGGIEEDLIKCLAPTYRGDFSLPGALLRSKGLNRIGNLLVPNDNYCKFENWIMPLFDQMLLEQSTENIWTPSKVIARLGKEINDESSYLYWAYKNNIPVYCPALTDGSLGDMLFCHAVRNPGLIIDIVQDIRLMNGEAIHAASRKTGIIVLGGGLPKHHICNANMFRNGADYAVYINTAQEFDGSDSGAQPDEAVSWGKIKGSAKPVKVHCDASIAFPLVVAATFARKVHGSKSTN >KQK91656 pep chromosome:Setaria_italica_v2.0:IX:51063447:51067473:1 gene:SETIT_035761mg transcript:KQK91656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKKLSFSISSKQRPPKPPSRPAAAAADDDDAVPRSASAPAQQFVTEFDPSQTLASSGAPRAVIAPLPNSGNFLTHRPRKPSSLPTPEEEAALAAESGGGGPSFVLDTSNAPDDPSSNIPYGLTLRNGATEAAAVKEPEKALPPPPPPAPPAAADAAPAGDLMLRRYKEDMASLPDHRGIDEFNEIPVEGFGAALLAGYGWKEGKGIGRNNKTGDTKVVEYDRRAGTQGLGYNPSEADPRKTRSGDWIVGEKKASENGSAKKRDRDSRDRMDERDSSARKKRSGEQRSEREAHGKERSARESREGTSNGTDSRSKVRWLQSHIRVRVVSEKLSKRLYLMKGKVVDVVGPTTCDVMMDDGSELVQGVEQDMLETVLPRTNGRVLVLYGKHKGMYGHLVEKNSEEEIGLVEDADTKDIVRVRYDQMAEYTGDPELLGY >KQK92209 pep chromosome:Setaria_italica_v2.0:IX:54370408:54372120:1 gene:SETIT_036312mg transcript:KQK92209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGRRGTTAPLLVLVLILSIAARPSLAQQSNGTSGGVHHTKTAGGFTPTTVIVLVVLVSAFVVLTLFSIYINRCAPARPPLRRPSRHTPDLQAADGAGGAVYAERRTRVGLDRETVESFPTAVYGDVKARVAAKSGPLECAVCLAAFEDHDELRVLPACCHVFHPDCIDPWLDGAVTCPLCRADLTVAPPPAAPAESCDLTARQGAVREEPDDNEEEDERDEACLVAALTPESVMSFGAARPHEFHYRRTQSAMDMPDRHTLRLPENVMKELAAVRRHRRAASLAGYPDAVERTPWWLASFWRSVSWQRQGRAETDASEDHGGGSQRVVPITGAPAERPSGSGAAWDDKEKSADFGALNQV >KQK86551 pep chromosome:Setaria_italica_v2.0:IX:2637817:2640159:1 gene:SETIT_038032mg transcript:KQK86551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMLSALSNWLVNPRRNPLARLHMHAVSSRLRKYGLRYDDLYDPYFDLDIKEALGRLPREVVDARIQRLKRSMDLSMKHQYLPEDLQAVQTPFRGYLSDMLALVKKENAEREALGALPLYQRTIP >KQK87674 pep chromosome:Setaria_italica_v2.0:IX:8623144:8623536:-1 gene:SETIT_040815mg transcript:KQK87674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPQQNICARHGNDWVGMAARGGGPIGGVVTSDGVQVHLLRSYIPYV >KQK86375 pep chromosome:Setaria_italica_v2.0:IX:1512069:1513879:-1 gene:SETIT_037942mg transcript:KQK86375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAGWDSPVLGDDKKVHARRNRSLTKEEVEAFWKQRRRSEDGGELTSPLASPATESPFGTLEKAARSPGRGGASSPRVRVDGFLPGDDDGAAADSPSKSRDWWTRSNWAFLNEPPQEEPASRAQNYTPQFHVARIATGNA >KQK87266 pep chromosome:Setaria_italica_v2.0:IX:6325926:6326627:1 gene:SETIT_039398mg transcript:KQK87266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVVPVEDGGGAATADEATYYSCHVAWLAWWNNVRCALASTFLPCPPAAPTSATVRGTLFLPSAGDRRVRLFLQEHGDATEHPVDDGGEHFLVLDLPPGLGGADIVAAGRIVLEYQRQWAPHAAAPGGGALLASPKWLVYCNGRRAGYAARREGPSDAEGWVLEKLRAVTAGAGRLPGGGVEYLRGRFERIVGSPDAESFHLLEPIGWPELKGGGGGVDGDGGLSIFFHRI >KQK89483 pep chromosome:Setaria_italica_v2.0:IX:31785368:31785955:1 gene:SETIT_040460mg transcript:KQK89483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLGFLSCLRLIGTCEIVMSINNQSGDNRRKIQKIVPKPLIAGGDGAQNNLF >KQK87051 pep chromosome:Setaria_italica_v2.0:IX:5283066:5288863:-1 gene:SETIT_034614mg transcript:KQK87051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNMRIKTAPKPNRIPLLGNGETSRALSDLEEGSNVQPANVGFCRVIKLARHDAGKLVLATIALLVASLSNILVPKYGGKIIDIVSRDVRRPEDKAQALEDVKGTILYIVLIVVVGSVCTALRAWLFNSASERVVARLRKDLFSHLINQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNITTTAIGLGFMFSTSWKLTLLALVIVPVISVAVRSFGRFLRELSHQTQAAAAVASSIAEESFGAIRTVRSFAQESHEISRYGEKVEETLKLGLKQAKVVGLFSGGLNAASTLSVVIVVIYGANLTINGYMTTGSLTSFILYSLTVGSSVSALSGLYTTVMKASGASRRVFQLLDRVSSMPNSGDQCPTNEQDGEVELDDVWFAYPSRPNHMILKGITLKLAPGSKVALVGPSGGGKTTIANLIERFYDPLKGRILLNRVPLVEISHQYLHNKVSIVSQEPTLFNCTIEENIAYGLEGKTNFADVESAAKMANAHNFICSFPDQYKTVVGERGIRLSGGQKQRIAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVKSADTVAVISDGQIVESGTHDELLARDGIYTALVKRQLQGPKFEATSSISEASEIEAEASSNGQ >KQK88734 pep chromosome:Setaria_italica_v2.0:IX:16677959:16686879:-1 gene:SETIT_035063mg transcript:KQK88734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEPARRDAAALDPELLQLPELAPGALRENSIIADALYSQWLVLPETAKLVKSLIEDAKAGATLNVAGTSASTNAASSSSLPSMFPAGSAPPLSPRSTSGSPRVMRRGSGAGPSSLGSPLKLVSEPVREVIPQFYFKHGRPPPKDLKEQCLSRIDHLFFGGEGLQIQEFRSVTKDICKLPSFFSSVLFKKIDVACTGTVSRDAFVEYWINDNKITMDMASQIFEILRKPGYNYLTQDDFKPVLKELLATHPGLEFLQGTPEFQERYAETVIYRIFYSINRSGNGHLTLRELKRGNLIAALQQLDEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHSLTYRIVDRIFTQIPRKFTSMTEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTSNEMQFFYEEQLHRMECMAQEPVLFEDILCQMIDMIGPENESYFTLRDLKRCKLSGNIFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDGEDASNGSGDVWDESLEAPF >KQK91495 pep chromosome:Setaria_italica_v2.0:IX:50231584:50233046:1 gene:SETIT_038022mg transcript:KQK91495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSTNDKGKGLDIEEAGAGETDKVLSSKTDKQRDSVESVTKQIKGIAISESPAAPSTNANDSSQPESSAPDIDKKIRALKKKIRLAEAQLQGDPEKLKSEMQEKLKKIEGWRAELKLLEDNRAPTGS >KQK86854 pep chromosome:Setaria_italica_v2.0:IX:4134805:4135140:1 gene:SETIT_039550mg transcript:KQK86854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKCEVERKNLATRFSIVDLLYAIVIGAVEATIGIRVLQGKFDGTISAHTTSIMLYDSKVAGTRTADVGVIQLTRRVVSIYVKDMLIIEAKTGDGKSVRRVDFAPRGNNGR >KQK91765 pep chromosome:Setaria_italica_v2.0:IX:51662123:51664823:1 gene:SETIT_035556mg transcript:KQK91765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENQKQAEVLWPRLVANKLFRKPSGSHAFVADFPAADFPVADDDGAEFSDGGCSPDADASRCVKRPRPQERSKTLKYKLFASTWNVGGVAPPDDLDLSEWLDAGDGPYDVYVLGFQEVVPLRARNVLGADKTRVGMRWIELIRAALNRSSQRVGAGGGGDSGRQKVHPVRDGGASAGEELAREYRCVVSKQMVGILLTVWVRADLRRFVRRASVSCVGCGVMGCLGNKGAVSVRFWLHDTSFCAVCCHLASGGRDGDEAHRNADATEILARTTFPRGHALNLPHKILDHDRVILLGDLNYRISLPEAKTRLLVERRDWKTLLENDQLRAEVSRAGGAFRGWSEGDIAFSPTYKYYPNSDTYYGCGAGGGGRNKSEKRRAPAWCDRILWHGAGLWQTRYDRCESRLSDHRPVRAVFAVEVDAPRNLNSLRSFFMSERFDTARTSPAADRLLRKDHASSARFAETL >KQK91764 pep chromosome:Setaria_italica_v2.0:IX:51662126:51664823:1 gene:SETIT_035556mg transcript:KQK91764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWIELIRAALNRSSQRVGAGGGGDSGRQKVHPVRDGGASAGEELAREYRCVVSKQMVGILLTVWVRADLRRFVRRASVSCVGCGVMGCLGNKGAVSVRFWLHDTSFCAVCCHLASGGRDGDEAHRNADATEILARTTFPRGHALNLPHKILDHDRVILLGDLNYRISLPEAKTRLLVERRDWKTLLENDQLRAEVSRAGGAFRGWSEGDIAFSPTYKYYPNSDTYYGCGAGGGGRNKSEKRRAPAWCDRILWHGAGLWQTRYDRCESRLSDHRPVRAVFAVEVDAPRNLNSLRSFFMSERFDTARTSPAADRLLRKDHASSARFAETL >KQK91696 pep chromosome:Setaria_italica_v2.0:IX:51312936:51313838:-1 gene:SETIT_037889mg transcript:KQK91696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSGEVAIAVQDGGPLRSSPPSRRRPVSSIDFMDAAMEVTRSRYALSSRLLSPPDPWRRDPAMEEFGFGRSMAWRHHELVRARLGFVEDPSPPRRKKGSNQGARNGSVDGLARSTVAPSGDAGSRRQHARCSKQRDSSPSKTRTTRRGPQR >KQK88834 pep chromosome:Setaria_italica_v2.0:IX:17463254:17466662:-1 gene:SETIT_037143mg transcript:KQK88834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKRKRAAEEWERRKRDHRRRRREGQGKGEELLGKRQVGWAGDPVEALGEVVMGRVMELLDARSVARCTVVSRAWRGVAADDRLWAPKCAELMAGKAHIPRLTLIRTGSKLSTYSMAIMDGKRSRITKEDLCDHAWEYCFTIALLLTPGPLSSLSACPGGAVANSPPQFHSRSRVLLLLLHRCP >KQK88833 pep chromosome:Setaria_italica_v2.0:IX:17462151:17466662:-1 gene:SETIT_037143mg transcript:KQK88833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKRKRAAEEWERRKRDHRRRRREGQGKGEELLGKRQVGWAGDPVEALGEVVMGRVMELLDARSVARCTVVSRAWRGVAADDRLWAPKCAELMAGKAHIPRLTLIRTGSKLSTYSMAIMDGKRSRITKEDLCDHAWEYCFTIAAPEYWRNLDPSWKHTGPPMRRYFHPDGYHSADPHDAVWGGHECTYTVITSFVGDGRIREHYVRINRWPPLKVSRKDDWSWELSNHLYRYNSIPDADRKGCTGPLFPVW >KQK88832 pep chromosome:Setaria_italica_v2.0:IX:17463254:17466662:-1 gene:SETIT_037143mg transcript:KQK88832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKRKRAAEEWERRKRDHRRRRREGQGKGEELLGKRQVGWAGDPVEALGEVVMGRVMELLDARSVARCTVVSRAWRGVAADDRLWAPKCAELMAGKAHIPRLTLIRTGSKLSTYSMAIMDGKRSRITKEDLCDHAWEYCFTIALLLTPGPLSSLSACPGGAVANSPPQFHSRSRVLLLLLHRCP >KQK92918 pep chromosome:Setaria_italica_v2.0:IX:57861400:57864984:1 gene:SETIT_036422mg transcript:KQK92918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPGRRPWLLLLLLLGLASAAVAAAASEGDADPLYKACVEECQKTGSLKETSIKHCMVPTDGTPAHKSWYTHEPLYLQWKEWNCKSECRYHCMMERENERTELGLQPVKYHGKWPLKRASVFQEPLSAALSALTLVVQFNGWLSFFLLLYYKLPLWPETHKTYYEYTGLWHIYGLLAMNSWFWSAIYHSCDTVWTEKLHFSSAAAFLGYSLILAILRTLNLRDEASRVMVAAPILAFVTTHILYLNFYQLDKGLNMKVCTVISIAQFLLWALWAVMTRHPSRLKIIFVAIGGVVSVFLEAYDIPPRWGYVDGRAICLAVAIPLSYLWWSFAKEDAEMRTSAITKKTR >KQK90179 pep chromosome:Setaria_italica_v2.0:IX:40425008:40427020:1 gene:SETIT_039852mg transcript:KQK90179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRVRLAVLSLLARCLLCSPADVDFVYNGFRGAANLTVDGSASVLHGGALRLTTDGNYLMGHAFFGPPVRMVLGGDAVASFSTAFVFDIVTAGGRGGGAGLAFVAAASKVLPGASPSLYLGLIGKDTMGNASNHVFAVEFDTAQAPGLLNEINDNHVGVDLNSLVSNVSEPAGYFADDGRKVPVPLESRQPIQAWVDYDGRSKILNVTIAPVSVASRPRRPLISQPIDLSPIFKQDMYVGFSAATGKLASSHYVLSWSFRTGGAAQSIDLSQLPEVPKPPPPPLSNSGSISAAIMIAALSCVATLLVIVAAIVAALWLRQRAALADTLEEWELDHPHRFPYKELYKATKGFKNSELLGAGGFGEVYRGVLRRSGDVMAIKRISSNGSQGMREFVAEIASLGRMRHRNLVELRGWCKRGQDLLLVYEFMPNGSLDTHLFGCRAGDGEPRPLLSWEQRVRILRGVASGLVYLHEEWEQVVVHRDVKASNVLLGADMGARLGDFGLARLYEHGADPATTRVVGTLGYMSPEIVVTGRATTATDVFAFGVLLLEVACGCRPIDPVTGVNLVRWVRELGVKGDLVHAVDERLEGRYDVEEAKLVLWLGLVCSQTRPEARPTMRQVCQYLDGEVDMQEEAMIVFADDDPVEFGSKASLTWSSCATVSAGSLQGGR >KQK86374 pep chromosome:Setaria_italica_v2.0:IX:1509166:1509756:1 gene:SETIT_039820mg transcript:KQK86374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCYPIAIWHARKTIYDEIFQRSLSIIATINSLIDELGISRGNQKLGTGYHLGKLCEESLDLAEDCGIKKTTVASDCLNAIKNIKEMARCSYMMIIHSINMRSRSFDYVRFAHEGRESNQEDHYLAKRACTFGPGRHVWLEYPPIFWM >KQK91199 pep chromosome:Setaria_italica_v2.0:IX:48428771:48429303:-1 gene:SETIT_040289mg transcript:KQK91199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTHFSILNDFHVQNMGRYLFSVSNSTIHIFSKFCIIRHSIIQTSTP >KQK90380 pep chromosome:Setaria_italica_v2.0:IX:42270177:42271900:1 gene:SETIT_035756mg transcript:KQK90380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGLTATAAPVKLGRPFSRSYSSSPARGAPRLLSPAHSNSVHALRACASTCSRGVAAGRLSAAATTATTAATPLAPAGGGEEIMDQWPPVPQEQVEAIRSLNGWVAENMLPLLTPVESAWQPHDYLPLSTADGGGAEAEAFEAFKEGLAELRAGAACLPDDVLVCLVGNMITEEALPTYQSMGNRTEGLCDETGSSKLPWARWIRGWTAEENRHGDLLNRYLYLTGRVDMRQVEVTVHHLLRNGMEMLVPTSPYHSLIYGAFQERATFISHGHTARLAAQHGDRALAKICGVIAADERRHEAGYTMASARLLEVDPDGMVRALAHVMRGKVTMPGLLMSDGREGGGDTLFARFSAVAQRAGVYTASDYGDLVEHFVRRWRVADLAGLSGEGRRAQEYVCGLAPKIRRMEELAQRRAARGEPGLARFSWIFDRTVVVG >KQK92668 pep chromosome:Setaria_italica_v2.0:IX:56693541:56694230:-1 gene:SETIT_0375022mg transcript:KQK92668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STRETTPCSLIRNSEMISTPGSTTKSKNSNSMTSRRRIEASICRFIPSSLEMEEFFAAAEQQGQHTFREKYNFCPVNDCPLPGRYEWARLDC >KQK88593 pep chromosome:Setaria_italica_v2.0:IX:15384775:15392985:1 gene:SETIT_034340mg transcript:KQK88593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGGGAGGKRTVADVLMGNARAAASKAKKAAPSPKKPRAQADGAEAEPAEAAAVAGEAAVAAKENSPSPKRSKTLAAKSDTVLQTDGKKKRSPSPTKAKGQASQPEEKKQPASLKNAKPTDSPKSEEKNTTLELKKKGSEFDPMAAAYWKPGEPVPFLFLARALDLISNESGRIVITEILSNVFRTVMVTTPDDLLATVYLSANRIAPPHEGIELGIGDASVIRALAEAYGRKEEHVKKDLKELGDLGLVAKASRSSQKMMFKPKPLTISRVLSTFRTIAKESGKDSQDKKRNHIKGLLVAATDCEPQYITRLLQSKMRIGLAEKTVQMALGQAAVYSDKKSSPPEVQSPFEEAAKIIKQVYSVLPIYDKIVPALLEAGVWKLPETCKFSIGVPVGPMLAKATKSVSEIIDKFQGLEYTCEYKYDGERAQIHCMEDGSVEIYSRNAERNTGKYPDVVDAVSRFRKPTVKSFVLDCEIVAYDREKKKILPFQILSTRARKGVTVNDIKVSVCTFGFDILYMNGKPLLQEQLKIRREHLYNSFEEVPGVFQLATAITSNDLEEIQKFLDTAVNSSCEGLIIKTLDKDATYEPAKRSNNWLKLKKDYMDSIGDSLDLVPIAAFYGRGKRTGVFGSFLLACYDEQNEEYQTICNIGTGFSEQQLEERSSSLRSKVIEKPKAYYRFADTMDPDVWFEPSEVWEVKAADLSISPVHRAANGIVDPNKGISLRFPRLLRLRDDKSPEQATTSEQVADMYRAQKINHGYNQEDEDDD >KQK91320 pep chromosome:Setaria_italica_v2.0:IX:49236004:49237935:-1 gene:SETIT_036052mg transcript:KQK91320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPFCGRRGGAVLAAVALSSYVVLLHAFALPAASALGVGLAGRHRRDATPGAASCDVFSGSWVLGDASASAYTGYNCPLIDAEFNCQLYGRPDSDYLRYRWKPAGCELPRFDGADFLTRMKGKTVMFVGDSLGRNQWESLVCLLHAAAPQSPAQLVSSDPLYNYKFLEYEVTVSFYRAPYLVDIDVVQGKKVLMLDDITENAEAWRDADVLSFNSGHWWTHTGAMQGWDYMGEAGRYYEDMDRTVAFQRGLTTWANWVDLNVDPAKTRVFFQAMSPTHYSSKEWPNPVSKNCYGETTPVVGLNSTTTTGQASSGQEQVIQAVLRGMKSPVRLLDITALSAMRKDAHPSVYSGDFSPAQRANPGGSVDCSHWCLPGLPDTWNQLFYTLLFYK >KQK86981 pep chromosome:Setaria_italica_v2.0:IX:4865730:4869680:-1 gene:SETIT_035370mg transcript:KQK86981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRVVELRRRLLPRIGGGEAALPTLAWRGRPASTAAPSDDELAGKSAYEVLGVGETSSNAEIKASFHRLAKETHPDVAAAAGSRRFLQILAAYERRVVHMHPRPSQFVYPSGSGSGIVVPRQSNVVEWLKWYRLTIDDIVTKKRFATGSGYFDRLESELYTAIHAAYYGPDVETMDVLPDCFEAEERSVYETSELLHIVSGRDLFGIVSVADSVKELSYACHEKLTQSGFRASGFTPNVSRNANKDPASMNPVDIHKKEKGHEDDILSSDAFKDIELRICGKVVATATRSPKCNCIDNSDVEDHIHVYLVPNADASDLMQEHLLLGTITGLATTGEEGSCCVYDGRGIKTHVIMKHRTLMVKHMHWYQVGDKVSPCECRCSRARLPPSRYWLFEPRCYMHDIGGWYIETYGRDKKGRTIPSQRQWDGLNEHSEKRLHPAMYLVALAYRSLDLEDARRGQWNMSNFLQLPLSHILQLLKKIVNGEKGIGMRTP >KQK88418 pep chromosome:Setaria_italica_v2.0:IX:14068404:14068837:1 gene:SETIT_040461mg transcript:KQK88418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSGGTSTRGYLPQIGKFCKISTICLISSQLDYPCPS >KQK86877 pep chromosome:Setaria_italica_v2.0:IX:4245494:4249739:-1 gene:SETIT_034607mg transcript:KQK86877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFPTATTASATSHLALLVLLSSSSLFFLYKSIRLRRKPSPASGPARTTTPTLLYASVTGTSKTLATRLSDRLTANAGVPILATDASSFEPDDLPSVPLLLLVVPTHDGGAPPPSAAFLARWLEESTADFRAGALLLSGLRFAVFGVGSRVYGETFNAASRNFSRWLRALGAVEVVPLGEGDVDGGELEAVFEDWSGKVLRVVKGEELNEGVLGESDGLDELELEGEESDDDDEEETVAVEIDMEDIAGKAPARQNGKVEGSLANGGQNGVKEMVTPIIRTSLEKQGYKILGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWKWKMDNPLDIANAAIDQHTKMIKQMKGVPGVKPEKLEEGLSPRHCALSLVGEPIMYPEINALVDELHRRHISTFLVTNAQFPEKIKTLKPITQLYVSVDAATKESLKAVDRPLFSDFWERFLDSLKSLHEKDQRTVYRLTLVKGWNAEEIDAYAKLLNLGQPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKEFSEVLASKSGGVYEVACEHAHSCCVLLAKVDKFKINGKWHTWIDYDRFHELVTSGKPFKSQDYMALTPSWAVYGAEEGGFDPDQSRFKKERRHGTAALKG >KQK86466 pep chromosome:Setaria_italica_v2.0:IX:2036202:2039516:1 gene:SETIT_036053mg transcript:KQK86466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLLAVLALVAAAAPFLRAAGQGGDEAGPLPFAVGAAPAGCDVGRGEWVRDEAARPWYQEWECPYIQPQLTCQAHGRPDKGYQNWRWQPRGCSLPSFNATMMLEMLRGKRMLFVGDSLNRGQYVSLLCLLHRAIPDSAKSFETLDSLSIFRAKDYDATIEFYWAPMLAESNSDDAVVHRVDDRVIRGAPMDKHFRFWQGAHILVFNSYLWWTAEDKIKILRGADNDLSKDIVEMKASEAYRLVLHQVVRWLERNADPKNSRAFFVTASPTHTDSTAWGEDVEGGNCYNQTTPIGDASYWGSTSREMLRVTEEVLATSRVPVGVVNITQLSEYRRDAHTQTYKKQWMEPTPEQRADPRSYADCTHWCLPGVPDTWNELLYWKLFFPSNDQAL >KQK86467 pep chromosome:Setaria_italica_v2.0:IX:2036984:2039516:1 gene:SETIT_036053mg transcript:KQK86467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLEMLRGKRMLFVGDSLNRGQYVSLLCLLHRAIPDSAKSFETLDSLSIFRAKDYDATIEFYWAPMLAESNSDDAVVHRVDDRVIRGAPMDKHFRFWQGAHILVFNSYLWWTAEDKIKILRGADNDLSKDIVEMKASEAYRLVLHQVVRWLERNADPKNSRAFFVTASPTHTDSTAWGEDVEGGNCYNQTTPIGDASYWGSTSREMLRVTEEVLATSRVPVGVVNITQLSEYRRDAHTQTYKKQWMEPTPEQRADPRSYADCTHWCLPGVPDTWNELLYWKLFFPSNDQAL >KQK86653 pep chromosome:Setaria_italica_v2.0:IX:3139236:3140627:1 gene:SETIT_040209mg transcript:KQK86653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRSSPIFVLNDQVDMVEVTGDTGQGWAEHDCASKKAYGCGAHGQELVEGLALYARLGDDAPVLTSSLAIRMADEALRRFDSELELGAPNKDMLPREMEALGAVQTAGEQGLTVVVLAFHHPFRSDLAYYLAYDHAGAALSMISAVDFGFIELPAAARECRRLDLETDEKVMDYYGIWTGQQPMKLYRTMGVVGFSIWFVSIDVAKEVANDTVKMWALELRGPGKSGEARWEKAEELLGVGHGFKAEGWPEWPLEHPALTPDGDLCVVLSAQNERPRRGDRWVDDMCVFDVRGRRLPWHGLVLDYPFFFDHVVVPSDFLRRKHGSRERRSTNDTGRRQSSPHISRE >KQK91017 pep chromosome:Setaria_italica_v2.0:IX:47204455:47206920:-1 gene:SETIT_034697mg transcript:KQK91017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLNHESYFPLLLCLHLLLLLLLPFAMADIASEKQALLAFASAVYHGNKLNWGPNIPLCSWHGVTCSPDRSRIWALRVPGAGLIGTIPANTLGRLASLQVLSLRSNRLSGSLPSDVASLPSLQATFLQHNELLNTLDLSYNSFTGQIPLGLQNLTQLSVLNLAGNSLSGPIPDLKLPSLRQLNLSNNELMGSIPPFLQIFSNSCFLGNPGLCGPPLAECSFLPSSTPSQVPSLPSSPHREKKAGNGLIIAAVVGGFVICLLAAVMFLVCFSKRKEKKDEVGYNSKVTDGARIEKHKEDVSSGVQMAHKNKLVFLDGCSYNFDLEDLLRASAEVLGKGSYGTAYKAILEDGTIVVVKRLKDVVAGKKEFEQQMELIGRVGKHANIAPIRAYYYSKDEKLVVYEYIATGSFSALLHGIKGVCEKTPLDWNTRMKIILGTARGIEHIHAEGGSKLAHGNIKSTNVLLDQGHNPYVSDYGLSALMSLPINTSRVIAGYRAPETFESRKFTHKSDVYSFGVLLMEMLTGKTPLQSQGQDDVVDLPRWVHSVVREEWTAEVFDVQLMKYPNIEDELVQMLHIAMACTSWSPDRRPTMAEVIRMMEELRQSASESRTPSNGNPRESNPPSV >KQK89012 pep chromosome:Setaria_italica_v2.0:IX:19483282:19486666:-1 gene:SETIT_036003mg transcript:KQK89012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYALSPLFLAPAPPLAGAAAPQTEAPRVGAKRKRSQPGNPDPGAEVIALSPRTLVATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSLPSGAGGRQGDAAAAPPRKRVYVCPEPTCVHHDPARALGDLTGIKKHFSRKHGEKRWRCERCGKRYAVQSDWKAHVKGCGTREYRCDCGILFTRKDSLLTHRAFCDALAEESARILAAANNGSTITTTNSSNGGNSGSDLLFSNSSGVVTPLFLPFPNPPPSPPPPAAAAAQNPNAFYFLHHQEQQLAAPFLHPRMVQPSPYLDLHADAAAVTTATCGGIGADTVNFGLAPDGSVALRHRRLTRDFLGVDGGSQVEELQLPIYATAAAAAATVVPRAASCATDLTRQYLGERPLPPVNETWSHNF >KQK88070 pep chromosome:Setaria_italica_v2.0:IX:11277705:11281126:-1 gene:SETIT_039499mg transcript:KQK88070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIGNKPCDCCQRYLDHLDEKNQTMSCFLMRMTANTKHSMTIPNRFLKHFAGKLSGTIKLESANGSLYDVEVTERYRKMVLRHGWEAFVDAHHIEENDSLLFRNIENSVFKVLILDSDGCEKMFCCSGIKTTPNVGKISVDSVDISSSSQYDTTESSGSERAARCVKGSSNRHGKTSKMAVTSSSSGGSGDDIPSENESFESDDLQTPPGADYVISCRSHLSEEQKERVIALIQEIQPEITVYIAVMQKCHVHPPNPFVAITKEYAFAHFPHGNANVTLHRPGKSKKWHPKFYTRKDRSVYMLRGQWLDFVRDNHVQERDICLFLPTNGGRFTFTVYLLRATATHSGRRGAGFQRVGPCLAGPSANMASEIHKEEPTDGEHVSLESDMHEISHESLESGEDSGGPSQPPYILPGKSRLSKSQKKIVEERVRDIQCEVPIYVAIMTKSSLAYMQEFGSRYAAAVHLPAKGQTMVLHCLGKIWKIKMVFQRVRRWFLSGGRPKFVRGNGLRAGDICLFELKKNQKKLTMKVHIISREQL >KQK92482 pep chromosome:Setaria_italica_v2.0:IX:55751414:55751989:-1 gene:SETIT_037647mg transcript:KQK92482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVISEQRGHQLHHAPGRRKKASSASPHFSSPQPVRGFQAGNCRAFHSGITIGILPSPPAPGVARTRSSPEPKTPKQQLRHGKKRSRAISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLHQKRSVSLEFPPADRSDEEEVPVHAKSAPSSPTASSAVSFFSGNDAAIATENLRRILHLKIEDH >KQK92480 pep chromosome:Setaria_italica_v2.0:IX:55750019:55752738:-1 gene:SETIT_037647mg transcript:KQK92480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVISEQRGHQLHHAPGRRKKASSASPHFSSPQPVRGFQAGNCRAFHSGITIGILPSPPAPGVARTRSSPEPKTPKQQLRHGKKRSRAISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLHQKRSVSLEFPPADRSDEEEVPVHAKSAPSSPTASSAVSFFSGNDAAIATENLRRILHLKIEDH >KQK92481 pep chromosome:Setaria_italica_v2.0:IX:55750132:55752738:-1 gene:SETIT_037647mg transcript:KQK92481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVISEQRGHQLHHAPGRRKKASSASPHFSSPQPVRGFQAGNCRAFHSGITIGILPSPPAPGVARTRSSPEPKTPKQQLRHGKKRSRAISISPSTSPPSRPELWAGPAFSNSPPPSSLPIPKFSLHQKRSVSLEFPPADRSDEEEVPVHAKSAPSSPTASSAVSFFSGNDAAIATENLRRILHLKIEDH >KQK92382 pep chromosome:Setaria_italica_v2.0:IX:55249503:55252218:-1 gene:SETIT_035812mg transcript:KQK92382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECRVGGGGGDGLIKLFGKTIPVPEAAAAVGEADKDIQQSGSSTTELKGQENTLQDSTGSPPQQEVADTEDSSATKKSSGDQQQGETTNQKEKLKKPDKILPCPRCNSMDTKFCYYNNYNINQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKSKSASATSHFLQRVRAALPIDALCAAAKTNGTVLSFGSDMSSLDLTEQMKHLKEKLVPITRIKSSDDRSAGSCTEGSAKVEDSNQKEKVTADKSANVVPHPCMNGVTMWPFSCAPPPACYTSGIAIPFYPAAAAYWGCMVPGAWNTPWPPHSQSESASPLSTASPASTKSNCFTPGKRSRDCDEEGDTKGHGKVWVPKTIRIDDADEVARSSILSLIGINGDKAGKDGRGCKLAKVFEQQKEEAKTATHAVINSLPFLQGNPAALSRSLTFQEGS >KQK91877 pep chromosome:Setaria_italica_v2.0:IX:52482922:52486976:-1 gene:SETIT_035840mg transcript:KQK91877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKRSPQHPASPVAGEVAGDVGAPPPAGKAAPETAPVGVVPRPPDVAPFLTKVYDMVSDPATDAVISWAAGGGSFVIWDSHAFERDLLPRHFKHNHFTSFIRQLNTYGFHKVDPDRWEWANEGFVKGQKHLLKTIKRKKKSAQDAPSDLQPAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRQYQQSSSLEVQNLIQRLRVMEQNQQQMMALLAIVVQNPNFLNQLVQQQRRSNWWNDDGSRKRRFRALEQGPVADQETSGASAQIIQYNPPIPETPNQTFFHQSRTFRWENNRMVKWRLR >KQK91876 pep chromosome:Setaria_italica_v2.0:IX:52483841:52486798:-1 gene:SETIT_035840mg transcript:KQK91876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKKRSPQHPASPVAGEVAGDVGAPPPAGKAAPETAPVGVVPRPPDVAPFLTKVYDMVSDPATDAVISWAAGGGSFVIWDSHAFERDLLPRHFKHNHFTSFIRQLNTYGFHKVDPDRWEWANEGFVKGQKHLLKTIKRKKKSAQDAPSDLQPAPVKTAPGTENIEIGKYGGLEKEVETLKRDKALLMQQLVDLRQYQQSSSLEVQNLIQRLRVMEQNQQQMMALLAIVVQNPNFLNQLVQQQRRSNWWNDDGSRKRRFRALEQGPVADQETSGASAQIIQYNPPIPETPNQVISVNGTFSSATAQPVSSPESAVPMDAETTSNSVDLLASSGHLFTNTSALSDWDDMLLGCEIEDILPSEQDFQMGEQQNGQVEAPLTVDDYTEYTVCQIPQLEQEQQLQDCQMEAQQSHKNPQYADIISKA >KQK88187 pep chromosome:Setaria_italica_v2.0:IX:12237712:12240312:-1 gene:SETIT_036579mg transcript:KQK88187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASSVGAGFGPQLRPALGFRHSQRRAPSCPCLRPLRPAARLLCGGGGARPRGTIAAASAGQRRQLGQLEAEAEAGSALSPPRSSTHEVREEMTRCFDLVRRLGRGAVYLGSSRVPPTHPHFLQTTELAREIARLLDCTTWTGAGPGLMDAAIQGAIEADKPIGGLKIAKEAGEWTSSGFHPYLPSETYLTCRFFSARKHGLVDAAVRSSPSDRTAVIALPGGIGTLDELFEIMALIQLERIGSELPVPFLLLNYDSYYSKLLDFLNDCQDWGTVAPGEVAALWKVCDGNYEALEYLAEFYNVPAAERNYQISPQLKQHRTSYAMS >KQK92092 pep chromosome:Setaria_italica_v2.0:IX:53628494:53631166:1 gene:SETIT_036032mg transcript:KQK92092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPEDLKDDLVLEISRRLPCRLDRASMAATCRTWEAALTREAAAALLRQLPVLFFPKAEGPSFSCLSCGGALHDLALLPYGITGARLIGSRPSSWWFAATGFLNGHVLSNTATGQQIQVPDSMRFGRGDKIIVVQILAANVSAIPNDQVPAALCYGAALVKRGAFPDVMFWRLHQQIGLSSRSVAFDWARRAADVIHHNGVFKFLTQDERLVFVVPEITDGDLELHADVWAPAVDVPGVEPLEEHIGIDARYLVVSRGELLMLRRYRVMKWWTESFRVYRLERLHLGQSPEEDHYTWVELTELGGRILFVARGCSIAFEVQDFAEYPAAVEGVYFKGDVDEFTDGWCAMETLGRATMLSDCGRWSFPRNNDPCFEIPDQVDGTFEHSAAAWLFL >KQK91304 pep chromosome:Setaria_italica_v2.0:IX:49106482:49116267:-1 gene:SETIT_034441mg transcript:KQK91304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGGISDQLFVSVKLESPWLAELDLDPHLFGSHPVAGSWDPCKALPLERAAAAVWDLSCVVPSQHQPLDFKFILKQKNDSSQCIVEEGPNRSLGCQSNEVEMRTAVFKLNEGKDVLECKVQVETEMLSPFDLAASWRAHQEYVQPSMVRGAHDITINTGLEGRAKNGFASGLELDLEKYVVPTPNMGSGVVYAANLTENPRSLLQTGSSSNNDTTKDILYNSTKGDSSPNHYINTMKGATGGHAPSLEEQRAMFVDRGVGSPNFARPTKETFSVSNFKLDSEAKDMPAAEGAVAAAAVADQMYGPKEDRKLTIVLVGLPARGKTFTAAKLTRYLRWLGHETKHFNVGKYRRLKHGTNQTADFFRGDNREGVEARNEVAALAMEDMLSWMQEGGQVGIFDATNSTRIRRNMLMKMAEGKCKIIFLETICNDKDVLERNIRLKVQQSPDYAEQTDFEAGVQDFKERLTYYEKVYEPVEEGSYIKMIDMVSGKGGQLQINDISGYLPGRIVFFLVNCHLTPRPILLTRHGESMDNVRGRIGGDSSLSESGQLYSRKLASFVEKRLKSERTASIWTSTLQRTILTAHPIIGFPKIQWRALDEINAGVCDGMTYDEIKKSKPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVIAHQAVLRALYAYFADKPLEEVPNIEIPLHTIIEIQMGVAGVQEKRYKLMDAVHPTAGL >KQK92060 pep chromosome:Setaria_italica_v2.0:IX:53474975:53476355:1 gene:SETIT_039277mg transcript:KQK92060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEASWHSFDPSVAVEDSEAMAQLLGVQYFGNEHKQPAPTTAMYWPGCQEADQYYGSAAPYYMHQPSTGAGCYDGHAGYYGSGTVTMTGDFFVPDEQVADPSFMLDLNLDFEDQDGGDVPAACKRKLLQDHKDESATCTVPKKKPRSTAMPVQKKGKNAQSKKAQKKGACSRGNQEESNGDGNVQCSGNYLSDDDSLEMTACSNVSSASRKSSSGGGKARAGRGAATDPQSLYARVDISTMLEEAVQYVKFLQLQIKLLSSDDMWMFAPIAYNGVNVGLDLKISPPQQ >KQK92299 pep chromosome:Setaria_italica_v2.0:IX:54806298:54810325:1 gene:SETIT_035510mg transcript:KQK92299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAGRRKRAAVVVLGDIGRSPRMQYHSLSLANQAGMEVDIVANGGSDPHLSLRENPSIHIHEMKTVKLTGISKISGALALLLKAAVQFVLLVWFLCFKIPRPDVLLVQNPPSVPTLAAVKLASWLRGAKFIVDWHNFGYTLLGLSHGRSHIVVKIYFWFEKHFGQMADGALCVTKAMQHELAQNWGIRATVLYDQSPEFFHPASMMEKHGLFSRLGNAICSAMGNADCISVEKEVEDINTNVFISKIDGEIVLKPNRPALVVSSTSWTPDEDFSILLEAALMYDRRVAATLGEDDSMDEGQLWTDIKKGKQFVYPRLLFIITGKGPDRKKYENQIKRLKLRRVAFRTMWLAIEDYPLLLGSADLGVSLHTSSSGLDLPMKVVDMFGCGLPVCAASFSCIEELVKVNKNGLLFSTSSELADELMMLFKGFPEECVALKSLKEGAMDTASCSKWSTEWETSALPLVNQVCG >KQK90781 pep chromosome:Setaria_italica_v2.0:IX:45741561:45746642:1 gene:SETIT_035122mg transcript:KQK90781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLPSSAAASPLLLRRHAAASLSSRCRRRWQRPAATRRDAPGAPLAMRDARWLPPAAPTGCNGARGATRTAAAAGEAPHRGSEGQGSLWMVLFATAVVVCGSFEFGTCVGYSAPAQVGIVSDIGLSNSEYGVFASVLTIGAMLGALTSGRLADILGRKMTMRFAAVVGIFGWLTVYFAKDAMMLYAGRVLLGYCTGVLSYVVPVFISEIAPKDIRGGLATSNQLFICSGCSAAYIIGALLPWRSLVLVGLVPCAVLLVGLFFIPESPRWLANVGREKEFHASLQKFRGRDSDISEESAEIKGYIESIHRLPKAKIQDLFQSKNIYAVTVGVSLMIFQQLGGINALGFYTSYIFSSAGFSGKLGTTLIGIIQIPITLLGALLMDRSGRRALLLVSSSGTFLGCFLTGLSFYFKAQGLYSQLVPTLALCGILVYYAAYSVGMGPVPWVIMSEIFSIDMKAIAGGLVTLVSWIGSFVVSYSFNSLMDWNPAGTFFLFSAASLVTVLFVAKLVPETKGRTLEEIQALLKAST >KQK90780 pep chromosome:Setaria_italica_v2.0:IX:45741561:45745743:1 gene:SETIT_035122mg transcript:KQK90780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLPSSAAASPLLLRRHAAASLSSRCRRRWQRPAATRRDAPGAPLAMRDARWLPPAAPTGCNGARGATRTAAAAGEAPHRGSEGQGSLWMVLFATAVVVCGSFEFGTCVGYSAPAQVGIVSDIGLSNSEYGVFASVLTIGAMLGALTSGRLADILGRKMTMRFAAVVGIFGWLTVYFAKDAMMLYAGRVLLGYCTGVLSYVVPVFISEIAPKDIRGGLATSNQLFICSGCSAAYIIGALLPWRSLVLVGLVPCAVLLVGLFFIPESPRWLANVGREKEFHASLQKFRGRDSDISEESAEIKGYIESIHRLPKAKIQDLFQSKNIYAVTVGVSLMIFQQLGGINALGFYTSYIFSSAGFSGKLGTTLIGIIQIPITLLGALLMDRSGRRALLLVSSSGTFLGCFLTGLSFYFKAQGLYSQLVPTLALCGILV >KQK90782 pep chromosome:Setaria_italica_v2.0:IX:45741561:45745886:1 gene:SETIT_035122mg transcript:KQK90782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLPSSAAASPLLLRRHAAASLSSRCRRRWQRPAATRRDAPGAPLAMRDARWLPPAAPTGCNGARGATRTAAAAGEAPHRGSEGQGSLWMVLFATAVVVCGSFEFGTCVGYSAPAQVGIVSDIGLSNSEYGVFASVLTIGAMLGALTSGRLADILGRKMTMRFAAVVGIFGWLTVYFAKDAMMLYAGRVLLGYCTGVLSYVVPVFISEIAPKDIRGGLATSNQLFICSGCSAAYIIGALLPWRSLVLVGLVPCAVLLVGLFFIPESPRWLANVGREKEFHASLQKFRGRDSDISEESAEIKGYIESIHRLPKAKIQDLFQSKNIYAVTVGVSLMIFQQLGGINALGFYTSYIFSSAGFSGKLGTTLIGIIQIPITLLGALLMDRSGRRALLLVSSSGTFLGCFLTGLSFYFKAQGLYSQLVPTLALCGILVYYAAYSVGMGPVPWVIMSEVRKYHVKLILDDDHMFRGV >KQK89829 pep chromosome:Setaria_italica_v2.0:IX:36230783:36232906:1 gene:SETIT_037879mg transcript:KQK89829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAGPGVICVFSHSGRRRTADVVGMAAAGGGGGGGGGAKAAVAEQIAQAVRSTSNLLQLMEQSSPAQVHLAKLPKNLLAKASFAQNTEQVLHQLPQVISSLDAYMDRSLQSASQIKTVTQLLSNMENTQLRSILPSSQMRKDTKNTEHEELRVE >KQK87841 pep chromosome:Setaria_italica_v2.0:IX:9704180:9711590:1 gene:SETIT_034668mg transcript:KQK87841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEQTFLRVHARLSGMLSQLLTPRIRLALEYLYLAGAVALFCLLVVMHTNFVQQPGCSSEFSGIEFGEAQLVQIKIISGGLWASRGASYIMDLQNLGRSAEKILEVNGDKFNILASKFWSTWVGPGARRSVPDLKAAGDGSVHYPLSAKESFKAAVTYLFRKWYFRAVSFWRNVKQLSENTLQLMVRSNWNDFLHIVKDLQLPSMDHLLSTIVQWFERRSKAFEPTYLYGVEKGYFLLSESAKIRHGVRTINITISARNPCFGNRWQQLLINSIVGYDTILTNSLVNSPGHGYLYNFQTKELYDLSYGHEPQGPTRFGDYFVTKCGVLLMSLFVFFTTTMSVSFTLRETQSRMLRFTVQLQHHARHQLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFLVLTLVWLCELFTMISVRTSISMQFFPRFFLLYFLVFHIYFFSYTYGFSYLAFSATAAFMQHLILYFWNRFEVPALQRFIRSRAHIHQQTGVQITSSTIYTSTLHIARVNVRDPGTINDGLGAVREADALLVQDESTRNQQEGQQNGIAEPAANNALQYQEQNPQQAGNTPAGSGSLNPFGSLLLWLLGGGASDGIVSFFSMFRDVRDHAQDYTDPPRNENDQVT >KQK89515 pep chromosome:Setaria_italica_v2.0:IX:32179364:32180087:-1 gene:SETIT_039406mg transcript:KQK89515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRQQPSLETLPTEVFTPMEDLGRLRATCTVMHRVCGQRAVGWRVALLRCWEEMQWNQPGRPPCSGIPDFFGGYQPSLDQLSHVAAGGLNVVAYLYALMLYRNAGGAAADDMAKMYIRRLEGKEGMAVSGSITPKMLHNLVCRECCEDAMYLMVRIPWNNVALPPALGRGEFPCDGGGCGFPNSWGEDTLFCSEDCRLCHELAAFERRIVD >KQK90470 pep chromosome:Setaria_italica_v2.0:IX:42925168:42929407:1 gene:SETIT_039839mg transcript:KQK90470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSFDLTTQRAQQQTPDGHREMTIERNRVMTNHLEGAACSWVSGTCCSSEQRPGAWNSTRIVPVRVAAPPGLDWIPLPGRLSQPGRRQLASSASSIIIFFLTAPCSYSLVARSPSKPFTSSTEQLHRQSPAARLYRPAAVSQSPAESRNEKNNIMAAAPAAPRPKSPPASPDPCGRHRLQLAVDALHREIGFLEGEISSIDGVHAASRCCKEVDEFVGRNPDPFITIQPEKRSNEQSQQFLKKF >KQK89133 pep chromosome:Setaria_italica_v2.0:IX:21208764:21208892:1 gene:SETIT_039536mg transcript:KQK89133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFAKFEKSKERRLATELGYGFPIGDPWIIDALSPHGLSPLK >KQK87100 pep chromosome:Setaria_italica_v2.0:IX:5545947:5549820:-1 gene:SETIT_036977mg transcript:KQK87100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMGKRVVRTVRVRNISDLATEREVREFFSFSGDIEHVDIRFDGVATGRTAYVTFKDPKALEIALLLSGATIVDQVVNITPAEDYIYIPVTEQQLLVSEVTSTSSAPNAELEYSPEANASPNSRVYVSKAHDVMTNVIARGSAIRQDAVNKAKAFDEKHQLRANASARINSFDKRVGLSEKINNGISVVNEKVKSVDQRLHVSDKTMAALLAAERKLNDTGSAVKTNRYVSAGTSWLNGAFSKVAKAGHVAGSRTREKFQLAVSNLTAKGPAVVA >KQK86257 pep chromosome:Setaria_italica_v2.0:IX:970388:974767:-1 gene:SETIT_034119mg transcript:KQK86257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVSRKQPQPQQQQTEEEEGSPAVEAMDTAVVQGEEAGCSGKALEGEGEAEAEGRTVVVGVRADIESRALLTWVLVNAAAPGDRVVAVHVVVASGAEAAAAVDFDAMLGVYEGFCNLKQINLKVKICKDSSVRKALVREARLFEASALVLGLAKKRRAMLSPHSVAKYCAKKLPAKCAVFAVNNGKIEFSRESNVHSGKVSAEVPPCGDDEMYCVVPFRARQAKGDTVSLEEPKDDFEGDTTQDVSTEGCKPEDPITEAQPVSCVDPVDLSRDQVQTDTDPSIKAEKSTAEQKDGTAELPGQGASVLYCVLPERSSDSVASTSSRQDHDSIDLPAEGAGELYCILPPRNGHSSRSIGDSKRSTASRKDDKSANLALEGDSDLYCRLPRNERSGRSSGGSKRCVGIKSMIRRSSSFSSDIHLNSETSPSKRDGSVSMAATERSSSTVSTEAEDSPNYTARNAETPSSSPMSLRRMIEGRSDRCHLRRRIFSHHRSSSFEWAKMSMVQWAMRLPSRYTSVHPDSKLLKSGASPRLNCDSETESTSAVEPESMFSFAFYDVAWPPSELESLREKYSSVCRLFSYEELKLATGNYSPDMLIGKGGTSQVYKAQLYDGTYSAIKILKPSVDAIHEFITEIEIVTSLQHENIVALRGFSFENYNLVLVYDHMPQGSLDKALHGNNDDKNFLIWERRNKIAIDIARALEFLHLGGVTQSVIHGDVKSSNVLLSEDFGARLCDFGLAKQVSASSPHLTCTDITGTFGYLAPEYFSYGKVNEKIDVYAFGVVLLEIISGRRPITPGSPKGQESLVGWAKPLLSSGEIKQLVDPFLGNDYDCDEMERMTLAASLCTRTSSHSRPEMSLVLKLLQGDDETIGWARLQVTASFDGSDEETATPDANMQSHLNLALLGVEEDDTLSRCSSTVDTSADGYWSRSSSFD >KQK86206 pep chromosome:Setaria_italica_v2.0:IX:760229:760573:-1 gene:SETIT_039464mg transcript:KQK86206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSALYVVALVVAAIALQAPTQASFTYTEEDLASDDSMWALYERWAAHHEVVREHGEKARRFPIFKNNARRNHDKYGNKGKSAINIFGDMTYEEVITVATGLRESDQDEQCSK >KQK88322 pep chromosome:Setaria_italica_v2.0:IX:13155703:13162830:1 gene:SETIT_034177mg transcript:KQK88322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHRLKETLHACSSSSSQPQTQPRSPPPPALLPRRPPKTSLSEQLLRLEAAAGSSSSSFSSRIAQLPPVPRPPIEKPREDDEPTPSDEEEERKTRPISRPRPPPLPAAALESRGPYEPLVLSPPGEHPVVQVPPSINCRLLAHQRDGVRFLYNLYRNNHGGVLGDDMGLGKTIQTIAFLSSVIGKGNGHEQSTDKGKKTGPVLILCPTSVIRNWENEFSEWAEFSVAVYHGPNRELVLQKIETQGLEVLITSFDTFRIHDKILCGISWELVVVDEAHRLKNEKSKLYTACLGITTQKRFGLTGTIMQNKIMELFNLFDWVVPGCLGDREHFRAYYDEPLKQGQRVSAPERFVQVADARKKHLVSVLRKFLLRRTKEETIGHLMLGKEDNIVFCKMSDVQKRVYRRMLQQPDIQILINKDLRCSCGSPLPQVECCKKTEPNGIIWSYLHRDNPDGCPICPFCLVLPCLVKLQQISNHLELIKPNPKDEVEKQKKDAELAAAVFGADVDLVGGSAKSENFMGLSDAEHCGKMRALERLLSLWTQQGDKILLFSYSVRMLDILEKFLIRKGYCFSRFDGTTPMNARQSLVDEFNRSPSKQVFLISTRAGNLGVNLVSANRVVIFDPSWNPAQDLQAQDRSFRYGQRRHVTVFRLLGAGSLEELVYSRQIYKQQLSNIAVSGKIEKRYFEGVQDDKKFQGELFGICNLFRDLSDKLFTSEIIEMHGEHCKSSATEATGIREIVDTDLFGSQENRKSATATTDSDNQNLVDFGIVYAHRNEDVVNMGTNGRDKDGTDETVESSSEELQSKHETKHTVKVKSSSLEQKRKVVKSYSLEQKRKEFSQIASFIGMDDLEFSKWLLAASPVQRSEVLQKFKRKRKMKCEHNQN >KQK86604 pep chromosome:Setaria_italica_v2.0:IX:2865185:2867018:1 gene:SETIT_0347321mg transcript:KQK86604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NRQTVLFSATQTPEVEKFAKLSFEKNEESKEKPVYVGVDDDNSKATVEGLQQGYCVISSEKRFLVLYAFLKKKQNKKVMVFFSSCNSVKFHTELLNFIGIECFDIHGKQKQQKRTTTFFNFCKAEKGILLCTNVAARGLDIPDVDYIHRVGRTARGDKGKGSALLFLLPEELKFLIYLKAARVALTEYEFSQKNVPNLQSHLEKIVSDNYYLNQSAKEAYRSYVLAYDSHSMKDIFNVHQLDLQKVAASFCFRSPPKVNLDLESSAAKHRKKRRLDGGKRHGIGPSNPYGRKDKDGGNKRQFARF >KQK89017 pep chromosome:Setaria_italica_v2.0:IX:19506386:19511550:-1 gene:SETIT_037589mg transcript:KQK89017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEFQDGKQEVIEAWYMDDSQEDQRLPHHREPKEFIPLEKLSELGIISWRLNPDNWEKDENLKKIREARGYSYMDICDVCPEKLPNYEAKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDKWIRVAVKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGEPVWTPYNRPHDHLPARQEYLDKLVKAEGVNQAVEAR >KQK89018 pep chromosome:Setaria_italica_v2.0:IX:19507844:19511550:-1 gene:SETIT_037589mg transcript:KQK89018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEFQDGKQEVIEAWYMDDSQEDQRLPHHREPKEFIPLEKLSELGIISWRLNPDNWEKDENLKKIREARGYSYMDICDVCPEKLPNYEAKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDKWIRVAVKKGGMIVLPAGMYHRFTLDTDNYIKVLVTLVLPCFY >KQK89016 pep chromosome:Setaria_italica_v2.0:IX:19506386:19509751:-1 gene:SETIT_037589mg transcript:KQK89016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSQEDQRLPHHREPKEFIPLEKLSELGIISWRLNPDNWEKDENLKKIREARGYSYMDICDVCPEKLPNYEAKIKNFFEEHLHTDEEIRYCLEGSGYFDVRDENDKWIRVAVKKGGMIVLPAGMYHRFTLDTDNYIKAMRLFVGEPVWTPYNRPHDHLPARQEYLDKLVKAEGVNQAVEAR >KQK88387 pep chromosome:Setaria_italica_v2.0:IX:13855140:13859537:1 gene:SETIT_035174mg transcript:KQK88387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVPKIAMEWLQDPLSWALLALVAFVLLQLRRRGKGPLPPGPKPLPIIGNMTMMDQLTHRGLAALAEQYGGLLHLRLGRLHAFAVSTPEYAREVLQVQDGAFSNRPATIAIAYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRRRAETWVAVRDEAAALVRAVASSGGEAVNLGELIFNLTKNVIFRAAFGTRDGGGQDEFIAILQEFSKLFGAFNIGDFIPWLSWMDPQGINRRLRAARAALDRFIDKIIDEHMKRGKSPDDADADMVDDMLAFLAEAKPANKSAAGGDVDDLQSTLRLTRDNIKAIIMDVMFGGTETVASAIEWAMAEMMHSPDDLRRLQQELADVVGYDRNVSESDLDKLPFLRCVIKETLRLHPPIPLLLHETAEDCVVGGYSVPKGSRVMINVWAIGRDRGSWKDADVFRPSRFAPEGEAAGLDFKGGCFEFLPFGSGRRSCPGMALGLYALELAVAQLAHGFSWSLPDGMKPSELDMGDIFGLTAPRATRLYAVPTPRLNCPLY >KQK87643 pep chromosome:Setaria_italica_v2.0:IX:8371062:8373669:1 gene:SETIT_037483mg transcript:KQK87643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAISPPRRLPHQKTKSNPSISTSELSQPPNYPRAAGRAMGGATATPAAAQDSSSRGKAMMAELDAPLHALGFEMEELSPSRVAGRLLVTPTCCQPFRALHGGVSALVAEALASMGAHMASGYRRVVGMQLSINHFRAAALGDTVLARALPVHVGRSTQVWEVKLWKMDPSTGEKGPQIAESRVTLLSNLPLPEEHKNAGDALKKYASKL >KQK88031 pep chromosome:Setaria_italica_v2.0:IX:10997972:11004771:-1 gene:SETIT_034961mg transcript:KQK88031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDGGGLARALLLHVAALSTAGAVATAAAALVRRRLGVGKKEEVPAVMAEMPRLQVAQSGRLEDLEKFSHYVARQMGFEDINECPQLCKLANNYLRSSKNCMEDIYGFFANVKDAESLYVNFIEELDKCILGYFAFHWDHATYLISSALTVDSGSTKKLRNVFMEATRKQRFARVTRDLKVTRVFATLVEEMKAIGIAATTNGEEAAQCTDVMAPVAHSDRSPVLLLMGGGMGAGKSTVLMEIKREALWSNADQGNAVVVEADAFKETDVIYRAISSMGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVILDGTLSWEPFVEQTIAMARAVHRQRYRMGVGYKVGDDGTVTESYWEVDDDAGSGAPPPGASRKPYRIEVVGVVCDAYLAVARGIRRAIITRRAVRVRSQLQSHKRFAAAFRRYSRLVDGARLYSTNSMGSAQLIAWKDGINSSLLVEPGEIDCLEKVSRLNEDATSVHDLYPDGTTTCGSRSIWEDMIASPSRAATQRDLREAIRSAEEAAANGEPKTAQRELLEAIRSAEEAANGVPETPTAAAS >KQK88768 pep chromosome:Setaria_italica_v2.0:IX:17060952:17061056:-1 gene:SETIT_038766mg transcript:KQK88768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPEAATTSPRCLDDDVVTEILLRLPSSSAVPS >KQK91412 pep chromosome:Setaria_italica_v2.0:IX:49659355:49665597:1 gene:SETIT_033969mg transcript:KQK91412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETLFPCSRRPNGPGSPDPRTHTWRHKASPADVAALLPEKEGEKPRPPPLSLLRCATNRHRPPRPESPRRRLLPPSFPSRVSVPCDLAPAAAGNTREGILSVRISRAGDPMGKGGQDEGKRRDGSASSGADPAAPAFPAWARTPSECLAELGVLVDRGLSSDEAAARLQRYGPNELERHAPPSVWKLVLEQFEDTLVRILLLAAVVSFVLALYDGAEGGEVGVTAFVEPLVIFLILIVNAVVGVWQESNAEKALEALKEIQSEHATVKRDGRWSHGLPARDLVPGDIVELRVGDKVPADMRVLQLISSTLRIEQGSLTGETASVNKTSHKIEMEDTDIQGKECMVFAGTTVVNGSAVCVVTGTGMATEIGKIHAQIQEASQEEDDTPLKKKLNEFGEALTAIIGVICALVWLINVKYFLSWDYVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMSAVKLVAIGRWPDTLRTFKVDGTTYDPTDGQIHDWPSLSMDENLKMIAKIAAVCNDASIAHSEHQYVATGMPTEAALKVLVEKMGLPGGYTPSMDSSDLLRCCQWWNNAAKRVATLEFDRTRKSMGVIVKADSGKNLLLVKGAVENLLERCSFIQLLDGSVVLLDDGAKAIILSTLRDMSASALRCLGFAYKEDLDAFATYDGEEHAAHKYLLDPSCYSNIESKMIFCGFVGLRDPPREEVHKAIEDCRAAGIRVMVITGDNKETAEAICREIGVFGPDEDISSTSFTGKEFMALSDKKKLLRQQGGLLFSRAEPKHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTSALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKPPRRSDDSLITPWILFRYMVIGLYVGVATVGIFIIWYTHGSFLGIDLASDGHTLVSYSQLSNWGQCSSWEGFKVSPFTAGTQTFNFDANPCDYFQGGKIKATTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSFNEWLLVIAVAFPVVLIDEVLKFVGRCLTARARKQSGKRKAE >KQK86070 pep chromosome:Setaria_italica_v2.0:IX:156170:156526:-1 gene:SETIT_039585mg transcript:KQK86070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMGRGMIAPLLVLNLIMYVVVIGFASWNLNHFINGETNYPGVAGNGATFYFLVFAILAGVVGAASKLAGIHHVRSWRGDSLATSAASALIAWAITALAFGLACKEIHIGGHRGWRLR >KQK88501 pep chromosome:Setaria_italica_v2.0:IX:14700281:14710860:-1 gene:SETIT_033934mg transcript:KQK88501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAPPNPSPVPVPVPTPTPTANGTASPPKDQPPPPPQPQQQAGGQEELAAADGGGAEAAEAGVVAGGSGEAMEVDGGPGAGDAEAGGAAGGGGGSGAGGGAQQASPATVFRIRLKQSPASLRHKMRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPNKGPVNLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPGNSTSSNLKTFEEKFLTQQPQSSAGWPSILCVCSVFSSGSVQLHWSQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIVTENGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSVPPSLNPPSWPGFAPLAAYLFSLQDYLVSEAAQTKKQTENETTEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGSPTSFGGQPPMQTVWSTRVNKSIPPTEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSAIAPPAFSSSSCCLASVWHDTLKDRTILKIIRVLPPAILSTQTKVNSAAWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIAFLDADFHSLPTMQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIEPDKMTVEPALLPSIQGYVDAVLDLASHFITRLRRYASFCRTLASHVGPSSTTGTSRNMVTSPTNSSPSPSNNQGNQGGATSATGNSQMQEWVQGAIAKISNNSDGAATATPNPMSGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRLLANAQKNQDSAIQKIHHMMNAKTEDSGTTVRSGLGAAKVEDGQATRGGQFALGAKGPEENPIGKSVRIGSGNAGQGYTSDEVKVLFLILVDLCRRTSTLPHPLPASQVGSNNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDFGPLDNMPHSKASGSISPHLSDMEEDSSNSLGIQSLWPRKRRLSERDAAFGLKTSVGLGGYLGVMGSRRDVITAVWRTGLDGEWYKCVRCLRQTCAFAQPGAPNPTNEREAWWISRWSHACPMCGGSWVKVV >KQK88500 pep chromosome:Setaria_italica_v2.0:IX:14699668:14711047:-1 gene:SETIT_033934mg transcript:KQK88500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAPPNPSPVPVPVPTPTPTANGTASPPKDQPPPPPQPQQQAGGQEELAAADGGGAEAAEAGVVAGGSGEAMEVDGGPGAGDAEAGGAAGGGGGSGAGGGAQQASPATVFRIRLKQSPASLRHKMRVPELCRNFSAVAWCGKLNAIACASETCARIPSSNSSPPFWIPIHILNPERPTECSVFNVKADSPRDFVQFIEWSPRSCPRALLVANFHGRITIWTQPNKGPVNLVRDASSWQCEHEWRQDLSVVTKWLSGISPYRWLPGNSTSSNLKTFEEKFLTQQPQSSGWPSILCVCSVFSSGSVQLHWSQWPSQNSAQPRWFSTSKGLLGAGPSGIMAADAIVTENGALHVAGVPLVNPSTVVVWEVMPGLGNGIQATAKINATSSVPPSLNPPSWPGFAPLAAYLFSLQDYLVSEAAQTKKQTENETTEAASIHCCPVSNFSAYVSPEAAAQSATTTTWGSGVTSVAFDPTRGGSVITVVIVEGQYMSPYDPDEGPSITGWRVQCWESSLQPVVLHPIFGSPTSFGGQPPMQTVWSTRVNKSIPPTEDLKNPQTYVPMPTTSDERSSSECSVDRANRLSFDPYDLPNDVRQLAQIVYSAHGGEVAVAFLRGGVHIFSGPNFDQVDSYHVNVGSAIAPPAFSSSSCCLASVWHDTLKDRTILKIIRVLPPAILSTQTKVNSAAWERAIADRFWWSLLAGVDWWDAVGCTQSAAEDGIVSLNSVIAFLDADFHSLPTMQQRQQHCPNLDRIKCRLLEGTNAQDVRALVLDMQARLLLDMLGKGIESALINPSTLLPEPWQASSDMLSSIEPDKMTVEPALLPSIQGYVDAVLDLASHFITRLRRYASFCRTLASHVGPSSTTGTSRNMVTSPTNSSPSPSNNQGNQGGATSATGNSQMQEWVQGAIAKISNNSDGAATATPNPMSGRSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCLLFRRRQSPRLLANAQKNQDSAIQKIHHMMNAKTEDSGTTVRSGLGAAKVEDGQATRGGQFALGAKGPEENPIGKSVRIGSGNAGQGYTSDEVKVLFLILVDLCRRTSTLPHPLPASQVGSNNIIIRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELQPPAEEWHRRNMFGGPWSEPDDFGPLDNMPHSKASGSISPHLSDMEEDSSNSLGIQSLWPRKRRLSERDAAFGLKTSVGLGGYLGVMGSRRDVITAVWRTGLDGEWYKCVRCLRQTCAFAQPGAPNPTNEREAWWISRWSHACPMCGGSWVKVV >KQK91990 pep chromosome:Setaria_italica_v2.0:IX:53112672:53115927:-1 gene:SETIT_037182mg transcript:KQK91990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSMYKKQNSMGTPVHRTNPFDSDSDSEVPSKPSRAQSVPVKCTDQSVQELEDYAITKARETTHKVNDCVRAAEAIREDATQTLQTLHRQGEQIMRSHHLAADIEQDLTVSEKLLGSLGGLFSKAWRPKRNQQIKGPVSENSFSVRTANHMEQRWRLGIAPTQQNSPSSVQTAPATAMGKIQAEKEKQDDALSDLSNTLGQLKEMAVDMGTEIDRQNKALVPFSEDVDELNFRLKGANQRGRRLLGK >KQK88801 pep chromosome:Setaria_italica_v2.0:IX:17282252:17285570:1 gene:SETIT_035007mg transcript:KQK88801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGGVVDISSDEEDFLISDALGSIDPAGWTADLFDVDDDATGEDFDDLMVMSEISAPPVLQQTAKPDDLVFMSELSKPALQKKANADGGCDEDDDDCVVLDGDPDKAVTLADEEGSAGDGSSDELQIVAEKGPIACRDFPHSRHLCSNLPFSTTSHAKHCGMCHCFVCDAPAPCKYWGNSTSSNDHCHATDKEPKWKLMRQVFRSTQLPASAPEKLPNDLYSARHFAAPQLPPSSVLHAGHPPLAIQSPLLNEGSQNQQRHHSVRVSLSVGGTVSSPRAGRGTCNAHITQNTHSRAIFKRAGAVSPGFASKNASQFGAACPDNSLMDQALPHVSQAAHVAPTTNAFTGTAQNNPFQRSFSAPTAYQVQQGQPAAYYQVATNGMDVIEPQLSRCTSLITERTPCLPEPVTDVGTKSWEDILATVASDLGVADYDISTTESPHVMTDSQPVHSPANQGFSLQHESVAAMENLMSSHMHDLSSHTTVSNVQANSPLEITENWGISGSSPVPNEARLNDFASAPADVLSVEAATHQLEVSRLESADILFEFDWS >KQK88408 pep chromosome:Setaria_italica_v2.0:IX:14026634:14036419:-1 gene:SETIT_034260mg transcript:KQK88408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLSITVLTSPLLPTPAPAVRLRSRPLIRLRLRALAAGAASSLSPSARSLRLLEWGKVCDAVASFAGTAHGRDATKKQLWEVEDVSYEQSQRLLQETEVAVWLLDNAGGAMDFSGLDTIAIESAIHCVSGGAVIKGLEAVAVASLMLFVESLQVNIKAARKLDEGSRSRLTTLTETILEVVINKSLVKSIQDIVDDDGSVKDTASPELRRHRERVQLLESRLYQLMDKLMRNANNEDSLSEVCIVNGRCCIRTTGDKSSTFDGLLLSSGSDAGSMIEPIAAVPLNDELQESRALVAKAELDVLSKLTDKILLELDSIQSLLQETIKLDKVTARAKYSIAYDGTFPDLYLPNFENETVTSATGGSAKGTSSAQPPKKAWKLYMPNAYHPLLLQQHQENLDRAKRDVASATAEIRRRRIYGQDIAEDQLASDLSSMKLRVSQLEKDQPVPVDFMIAEETTVLVITGPNTGGKTISLKTVGLASLMAKIGLYILASEPVKIPWFNAVYADIGDEQSLTQSLSTFSGHLKQIGAIRAESTSESLVLLDEVGAGTNPLEGAALGMSLLESFAEAGSFLTLATTHHGQLKTLKYSNNSFENACVEFDEENLKPTFKILWGIPGRSNAINIAERLGLPPDIVESSRCLLGTAGAEINALIMDMERFKQDYQRHLQKSQHLLMQSKELHNNLELAQKNIVDHTSAQRKRRVRVISEYAVMARSIIRKKFQQFQESAIAERVKEEEKAANNAKSERVKDPIPSTTAAIGKTQNTDNNLGAAADDEEDRIPEVGDSVYVPKLKNQATVVKIDSSKNEVQVQAGMMKLKLKLQDVKVQKRKVSR >KQK92281 pep chromosome:Setaria_italica_v2.0:IX:54712682:54714796:1 gene:SETIT_036741mg transcript:KQK92281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGGAPRALSQKETDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIYIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGRNSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKEEEEAAAAPEFAAITDYQAPEQWGGDQWTSDVAQPVAVGGTGAEWGAAAAPVPTGDGWDPAGAPPPPVEGAVPPAVAPTGWDPAAQPPAQGWE >KQK90008 pep chromosome:Setaria_italica_v2.0:IX:38505113:38505712:1 gene:SETIT_038764mg transcript:KQK90008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSWSSSSSMDAQGTLGRGLQSLTGRAGGCSYWFWAEDPYPEFIQDMLRDLKILVWGLTKQNRELRDRLGDATLMVKEHKGEVRALKVEMEANASRKEEESDAMRDRVCRMEKELVVYKFLIKCCVVLVLVVVWNKWFS >KQK90783 pep chromosome:Setaria_italica_v2.0:IX:45747965:45749373:1 gene:SETIT_039478mg transcript:KQK90783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGRELPDDLIADVVRHLPPHSLAVSRCVCRAWRDLVDARRLLRADLLPRSVGGIFMNYRALYSPEFFSRPTTGPSISGDLGFIPGFSTVVDHCNGLLLVTETSDDYVANPATRRWARLPPRPTSQMGEACDQIECLVYDPTVSPHYNIDPEMMQSEWPPSSLQVFSSITGCWEERSFALEEGSWDLEERLFVSERGRMVRIITHTKVYWREALYVLCKNGFVLSQQHKGPWILQDINNYKYPYDNGLQREVVDDNFEWNSDDDNVLNTDDMVEGHFEGYTGLLGFHPYKEIVFLNSDLYRVVAYHWNTSKFQDLGNIFPKEYLEVAGHCAGINTSFT >KQK91386 pep chromosome:Setaria_italica_v2.0:IX:49529045:49535290:-1 gene:SETIT_034197mg transcript:KQK91386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERAHIWRDFLDRLAESANVPATPSVSPYAVAGDGDEGAGKAEENNGAGMHSDKEGDEEADNAEDNNKLEGLNEADASDESQEANGAPEDPKDVTDNLDKVKEEETSSRSTEGSKGSEDLKEVNVDSKELKDSNLGSEEFEDRNSGNLEKLVELFLDKGLLDELKPIKVESQRRVRAALSIIEKMMSSRVVKRDNGANNIHGKDATQLASIEEEGGTAEVSHEGDPAEPVSCIAENDELGQETPGDSTGTALEGGEDGSYFPWREELESLVRGGVPMALRGEIWQAFVGVGARKITGYYNKLLEGTVESDEKDLVDPVLNEQISAPRKVAQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMNFLGVQVGWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSIREMGLQELRKKHRPEILTAMEERSKDRNSWKDKKGLATKLYSFKHDPSFVCSPVKSKEGADGLKLNGDTGSANLETYLSTSSMLENDLDQGVDLQDQVSWLKVELCKLLEEKRSADLRGEELETALMEMVEHDNRRMLSAKVEKLEAEVSELRKAFAGKQEQEQAMLQILLRMEQEQKVTEDARIAAERDAAEKKHAAHLLQEKYEEAMAALSQMEKRAVMAETMLEATKQYQAGQFKANQSFTSSSPRADHVPGKTNQEPNHDAPNRRMGLLSRGLGWLDKSKVHP >KQK91384 pep chromosome:Setaria_italica_v2.0:IX:49528121:49535290:-1 gene:SETIT_034197mg transcript:KQK91384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERAHIWRDFLDRLAESANVPATPSVSPYAVAGDGDEGAGKAEENNGAGMHSDKEGDEEADNAEDNNKLEGLNEADASDESQEANGAPEDPKDVTDNLDKVKEEETSSRSTEGSKGSEDLKEVNVDSKELKDSNLGSEEFEDRNSGNLEKLVELFLDKGLLDELKPIKVESQRRVRAALSIIEKMMSSRVVKRDNGANNIHGKDATQLASIEEEGGTAEVSHEGDPAEPVSCIAENDELGQETPGDSTGTALEGGEDGSYFPWREELESLVRGGVPMALRGEIWQAFVGVGARKITGYYNKLLEGTVESDEKDLVDPVLNEQISAPRKVAQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMNFLGVQVGWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSIREMGLQELRKKHRPEILTAMEERSKDRNSWKDKKGLATKLYSFKHDPSFVCSPVKSKEGADGLKLNGDTGSANLETYLSTSSMLENDLDQGVDLQDQVSWLKVELCKLLEEKRSADLRGEELETALMEMVEHDNRRMLSAKVEKLEAEVSELRKAFAGKQEQEQAMLQILLRMEQEQKVTEDARIAAERDAAEKKHAAHLLQEKYEEAMAALSQMEKRAVMAETMLEATKQYQAGQFKANQSFTSSSPRADHVPGKTNQEPNHDAPNRRMGLLSRGLGWLDKSKARQNSTETTGS >KQK91385 pep chromosome:Setaria_italica_v2.0:IX:49528691:49535290:-1 gene:SETIT_034197mg transcript:KQK91385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAFDFEYKRDAYGFAVRPQHLQRFREYAKIYKEEEEERAHIWRDFLDRLAESANVPATPSVSPYAVAGDGDEGAGKAEENNGAGMHSDKEGDEEADNAEDNNKLEGLNEADASDESQEANGAPEDPKDVTDNLDKVKEEETSSRSTEGSKGSEDLKEVNVDSKELKDSNLGSEEFEDRNSGNLEKLVELFLDKGLLDELKPIKVESQRRVRAALSIIEKMMSSRVVKRDNGANNIHGKDATQLASIEEEGGTAEVSHEGDPAEPVSCIAENDELGQETPGDSTGTALEGGEDGSYFPWREELESLVRGGVPMALRGEIWQAFVGVGARKITGYYNKLLEGTVESDEKDLVDPVLNEQISAPRKVAQPEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLFLLFMPEENAFWALVGVIDDYFDGYYTEEMIESQVDQLVLEEVVRERFPKLAKHMNFLGVQVGWVTGPWFLSIFINMLPWESVLRVWDVILFEGNRTMLFRTTLALLDLYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACMGFQSIREMGLQELRKKHRPEILTAMEERSKDRNSWKDKKGLATKLYSFKHDPSFVCSPVKSKEGADGLKLNGDTGSANLETYLSTSSMLENDLDQGVDLQDQVSWLKVELCKLLEEKRSADLRGEELETALMEMVEHDNRRMLSAKVEKLEAEVSELRKAFAGKQEQEQAMLQILLRMEQEQKVTEDARIAAERDAAEKKHAAHLLQEKYEEAMAALSQMEKRAVMAETMLEATKQYQAGQFKANQSFTSSSPRADHVPGKTNQEPNHDAPNRRMGLLSRGLGWLDKSKQARQNSTETTGS >KQK88784 pep chromosome:Setaria_italica_v2.0:IX:17175551:17178540:-1 gene:SETIT_037504mg transcript:KQK88784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVETAPAAVAATTPEVAATEGGAAAEAKGPHKLHRQWTFWYDIQSKPKPGAAWGTSLKKAYTFDTVEEFWSLYDQIFRPSKLSGNADFHLFKAGVEPKWEDPECANGGKWTVPCNRKATFETMWLETLMALIGEQFDETDDICGIVASVRQRGDKLALWTRTASNEAVQVNIGKKWKDVIDYNDKITYTFHDDSRRDKPSRGGRYTV >KQK91208 pep chromosome:Setaria_italica_v2.0:IX:48478080:48479426:-1 gene:SETIT_038774mg transcript:KQK91208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREHQGGKLAGIRRHYRRCPPAATHNIAAASLLLALCALYLIFGPASSDLDLALPRIRFVFKEPVRVAAVPAPPVAAAPAPGNATDDDEDRGLPPPRQLKDPPYSLGRTILDYDARRSAWLAAHPEFPTRVAPADRPRVLVVTGSAPVRCPDPDGDHLLLRAFKNKADYCRVHGLEVFYNTAFLDAEMSGFWAKLPLLRTLMLAHPEVELFWWVDSDAVFTDMLFELPWERYERHNLVLHGWDAKVFEEKSWVGVNTGSFLIRNCQWSLDLLDALAPMGPRGPVRDRYGELFAQELSGRPPFEADDQSALIYLLVTQRSRWGDKTFLESTYELNGFWEGIVDRYEELRRKGRPGDLGDGRWPLVTHFVGCKPCRRYADSYPADRCRRGMERAFNFADNQILRLYGFEHESLNATAVQRVRNETGGPLDADDEELARLLHPTFRAAKPT >KQK92794 pep chromosome:Setaria_italica_v2.0:IX:57259443:57267629:1 gene:SETIT_033872mg transcript:KQK92794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKHFIFWMRQIRTLGMLRSRFDSIPLAINSCLIPVETSDAKRKKGLKSYLHNRFKEMEHADKENIAARFAQMWNEIVTSFREEDLIDNREKELLLVPYVSDQALGVVQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLANDYYFSCAIEECYASFKNIINDLVQGPQEKRVMNKIFVEVDKCIAEDKVITDLNMRALPDLFNKFVDLVNYLEKNDEKDRSAVIKIFQDMLEVVTRDIFEDQLSILESSHGGSNGRNEGTTTWDQEYQLFQPSGAIRFPLQVTATDAWLEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELEEENEDGVSTLFYLQKIYPDEWKNFQERVGWEEEFKETEELKEELRLWASYRGQTLARTVRGMMYYRKALILEAFLDMAKREDLMEGYKAAESVTDEQWKIQQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALSSAQDILQLMRNYSSLRVAYIDEVEDRVGDKKMETAYYSTLVKVALTKDSDSADPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSHGRFIHNHPLQVALASQSLVQLGFLMALPMMMEIGLERGFGKALSEFVMMNLQLATVFFTFSLGTKTHYYGRMLLHGGAQYRGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIIYQLFGQSYRSTIAYIFITSSMWFLVLTWLFAPFLFNPSGFEWAKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEVEQEHLKYSGTIGLLVEIILAVRFFIYQYGLVYHLRITRDKSILVYLISWLVIVVVLLVMKTVSVGRRRFSADFQLFFRLIKFLIFVSFIAILIVLIVFLHMALRDIFVCFLAFLPTGWGILLIAQACKPLARRVGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERSSRNKD >KQK92793 pep chromosome:Setaria_italica_v2.0:IX:57255307:57267629:1 gene:SETIT_033872mg transcript:KQK92793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILAWNGGSLANIFDYTVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTMAALWVVLLPVTYAYTWENPSGIIRAIKNWFGNGRNHPPLFVLSVVLYLSPSMLAAILFLLPFLRRKLESSDFKLVRLIMWWSQPRLFVGRGMHESAFSLFMYTMFWIALLLTKFAFSYYVEIKPLVEPTKDIMKTPIRTFQWHEFFPREKSNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFDSIPLAINSCLIPVETSDAKRKKGLKSYLHNRFKEMEHADKENIAARFAQMWNEIVTSFREEDLIDNREKELLLVPYVSDQALGVVQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLANDYYFSCAIEECYASFKNIINDLVQGPQEKRVMNKIFVEVDKCIAEDKVITDLNMRALPDLFNKFVDLVNYLEKNDEKDRSAVIKIFQDMLEVVTRDIFEDQLSILESSHGGSNGRNEGTTTWDQEYQLFQPSGAIRFPLQVTATDAWLEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELEEENEDGVSTLFYLQKIYPDEWKNFQERVGWEEEFKETEELKEELRLWASYRGQTLARTVRGMMYYRKALILEAFLDMAKREDLMEGYKAAESVTDEQWKIQQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALSSAQDILQLMRNYSSLRVAYIDEVEDRVGDKKMETAYYSTLVKVALTKDSDSADPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSHGRFIHNHPLQVALASQSLVQLGFLMALPMMMEIGLERGFGKALSEFVMMNLQLATVFFTFSLGTKTHYYGRMLLHGGAQYRGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIIYQLFGQSYRSTIAYIFITSSMWFLVLTWLFAPFLFNPSGFEWAKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEVEQEHLKYSGTIGLLVEIILAVRFFIYQYGLVYHLRITRDKSILVYLISWLVIVVVLLVMKTVSVGRRRFSADFQLFFRLIKFLIFVSFIAILIVLIVFLHMALRDIFVCFLAFLPTGWGILLIAQACKPLARRVGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERSSRNKD >KQK92792 pep chromosome:Setaria_italica_v2.0:IX:57253259:57267629:1 gene:SETIT_033872mg transcript:KQK92792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFQTDSVSNQREHLILLLANIHIRRNPKTDQQSKLDDNALNDVMKRLFKNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFEMYGMLAGNVSALTGEYVKPAYGGEKEAFLRKVVTPIYHTIAKEAERSKREKGNHSEWRNYDDLNEYFWSADCFRLGWPMRADADFFYQPSNLPDERNESTRKGKQKGKVNFVELRSFWHIFRSFYRLWSFFILALQVMIILAWNGGSLANIFDYTVFKKILSIFITSAILNLGQATLDIIFNWKARRTMEFAVKLRYVLKFTMAALWVVLLPVTYAYTWENPSGIIRAIKNWFGNGRNHPPLFVLSVVLYLSPSMLAAILFLLPFLRRKLESSDFKLVRLIMWWSQPRLFVGRGMHESAFSLFMYTMFWIALLLTKFAFSYYVEIKPLVEPTKDIMKTPIRTFQWHEFFPREKSNIGVVIALWAPIILVYFMDTQIWYTIFSTLLGGIYGAFQRLGEIRTLGMLRSRFDSIPLAINSCLIPVETSDAKRKKGLKSYLHNRFKEMEHADKENIAARFAQMWNEIVTSFREEDLIDNREKELLLVPYVSDQALGVVQWPPFLLASKIPIAVDMAKDSNGKDRDLKKRLANDYYFSCAIEECYASFKNIINDLVQGPQEKRVMNKIFVEVDKCIAEDKVITDLNMRALPDLFNKFVDLVNYLEKNDEKDRSAVIKIFQDMLEVVTRDIFEDQLSILESSHGGSNGRNEGTTTWDQEYQLFQPSGAIRFPLQVTATDAWLEKIKRLELLLTVKESAMDVPSNLEARRRLTFFTNSLFMDMPDAPKVRNMLSFSALTPYYNEPVLFSIKELEEENEDGVSTLFYLQKIYPDEWKNFQERVGWEEEFKETEELKEELRLWASYRGQTLARTVRGMMYYRKALILEAFLDMAKREDLMEGYKAAESVTDEQWKIQQRSLFAQCEAVADMKFTYVVSCQQYGNDKRAALSSAQDILQLMRNYSSLRVAYIDEVEDRVGDKKMETAYYSTLVKVALTKDSDSADPVQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKEHGVRRPSILGVREHIFTGSVSSLAWFMSNQEHSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKSINLSEDIFAGYNSTLRGGNVTHHEYVQVGKGRDVGLNQISKFEAKVANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLLTVVTVYVFLYGRLYLALSGLEEGLSHGRFIHNHPLQVALASQSLVQLGFLMALPMMMEIGLERGFGKALSEFVMMNLQLATVFFTFSLGTKTHYYGRMLLHGGAQYRGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLIIYQLFGQSYRSTIAYIFITSSMWFLVLTWLFAPFLFNPSGFEWAKIVDDWSDWNKWISNRGGIGVSPDKSWESWWEVEQEHLKYSGTIGLLVEIILAVRFFIYQYGLVYHLRITRDKSILVYLISWLVIVVVLLVMKTVSVGRRRFSADFQLFFRLIKFLIFVSFIAILIVLIVFLHMALRDIFVCFLAFLPTGWGILLIAQACKPLARRVGLWGSVRALARAYEIIMGVLLFTPITILAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQKKERSSRNKD >KQK87441 pep chromosome:Setaria_italica_v2.0:IX:7320566:7321245:-1 gene:SETIT_038378mg transcript:KQK87441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLFFKNICKKEAPLICHHGCYEIQLAYKLQDLIEVTTYVNFWFLSMLISMLCVLRILLWPCEAHVRFC >KQK89163 pep chromosome:Setaria_italica_v2.0:IX:21437123:21437425:1 gene:SETIT_038592mg transcript:KQK89163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRRQNGLKWKDTVKQSIAICKLELITWGFKRNFGPQQLTKWHELLELLSRVHLTEDEDTVRWSIEKHGMFTFSSLYKQIKFSGVRDLCMLGMPISLKIRNF >KQK92417 pep chromosome:Setaria_italica_v2.0:IX:55377204:55378034:-1 gene:SETIT_0345852mg transcript:KQK92417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAVSADIPMLVCGDFNSIPGSTSHGLLAMGKVDQLHPDLGVDPLGILRPPSKLTHQLPLVSAYSSFARMASVGYDLDHQRRRMDPTTNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >KQK92418 pep chromosome:Setaria_italica_v2.0:IX:55376319:55378034:-1 gene:SETIT_0345852mg transcript:KQK92418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAVSADIPMLVCGDFNSIPGSTSHGLLAMGKVDQLHPDLGVDPLGILRPPSKLTHQLPLVSAYSSFARMASVGYDLDHQRRRMDPTTNEPLFTNCTRDFTGTIDYIFYTADSLTVESLLELLDEDSLRKDTALPSPEWSSDHIALLAEFRCKPRVRR >KQK86558 pep chromosome:Setaria_italica_v2.0:IX:2675654:2677167:-1 gene:SETIT_037403mg transcript:KQK86558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISLDEWELLPDHKSSFFLEEDCSNGSHGAVGGDEEAAAKKPLLLPSQDACVHDPDIEFMDIAALLTDPKREELVSKVTEILIYEAEDHDDEMVKSPDGVKEADQDEVLVEAPAPDDQRAREEEEGVSRTGFSVGNLRVNGVGALCSFGVAAATFVIFLLGGKEQQKRHQDHKIQLQMYADDERIQQVVQQASRLNQTMSSVMGGASSARASISFGGYYQGF >KQK86559 pep chromosome:Setaria_italica_v2.0:IX:2675917:2676974:-1 gene:SETIT_037403mg transcript:KQK86559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISLDEWELLPDHKSSFFLEEDCSNGSHGAVGGDEEAAAKKPLLLPSQDACVHDPDIEFMDIAALLTDPKREELVSKVTEILIYEAEDHDDEMVKSPDGVKEADQDEVLVEAPAPDDQRAREEEEGVSRTGFSVGNLRVNGVGALCSFGVAAATFVIFLLGGKEQQKRHQDHKIQLQMYADDERIQQVVQQASRLNQTMSSVMGGASSARASISFGGYYQGF >KQK90789 pep chromosome:Setaria_italica_v2.0:IX:45766498:45769351:-1 gene:SETIT_036135mg transcript:KQK90789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATADAGGHSSGVPEDQQPPKTLVDWALQILSTADPDEKARLGDLAASLWLCGEIPLPYDPSRPAPPPPDRPARSAEVRLLPPSRMPKLGKGGSAQSRLAMLHSLAHTESWAVDLSWDIIARFGARMRMPREFFDDFARLAQDEGRHYTVLSARLRELGWRYGALPAHDGLWDSAMRTAHCLLARLAVEHCVHEARGLDVLPTTISRFRAGGDEQTAKLLEDIIYPEEVTHCAAGVRWFRYLCLRPCSDDLISYSVPHSEPHCPGMPGDGTADDKTVLEGRNELTSVQQVEDETSKISQDFNSNINMTQQVEDGLANCKLGNNVDKDEAAVIRTFHRIVREYFRGPLKPPFNTEARKAAGFEPAWYEPLAVKEVHVEGKADN >KQK87159 pep chromosome:Setaria_italica_v2.0:IX:5839844:5841655:-1 gene:SETIT_040284mg transcript:KQK87159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAAAGRAWDPTVSLRLGHPALVLLERCRGARPFRAILAHLLRLGLAFETFPMSRLLHFATVASSPRLTREAELLFRHFTPRPNLFIYNLMISAAAARDSSSSSPRRAVALYRSMLSASVHPDEQTFLALLRSVERLSAGRQVHAHVVVSGLHSRVYLRNSLIKMYLDAGDVETAELMFGSTPVSDTVSFNIMLSGYVNEGCTAKALLFFRDTASKGIAVDQYMAVALLTCSGRLKNAFLGRSVHGVIVRRMDPGDWGLILMNALLDMYAKCGEMDAAMRVFGEADEKDGISWNTMVAGFVNAGNLDLACKCFFEAPSRDRISWNALLSGFVRYKDFSAVIKIFDDMLASRVNPDKVTAVTLISAAASSGSRYGKCIHSWVVKEFGHQDVFLASALVDMYCKFGNVKVAYSVFEKALDRDVTLWTAMISGLAFNGHGTEALDLFQKMQAEVVTPNSVTLLAVLSACSHAGLLDEGCRIFDAMKQRYSIEPGIEHFGCMVDLLARSGRLTDALALARRMPMRPSRSIWGSILNASLACQNTEVAEIASKELLHLDPAEEGGYVLLSNLYAAGGHWDHSDKVRQNMERKGVRKSAGASNFGC >KQK86950 pep chromosome:Setaria_italica_v2.0:IX:4663564:4666826:-1 gene:SETIT_034125mg transcript:KQK86950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSLWKPLSHCAALLLDKKHRPPRPPPGGAGAGSGGGRRLQESKLREALEEASEDGCLAKSRDEALFDGGDGAEEGSVGRSRSLARLHAQREFLRATAVAAERAFQSPDALPVLEEALATFLAMYPKYASAADVDRLRADEYPHLDKVCLDYCGFGLFSYLQSCNPADPSAAFTLSEITANLSNHALYGSAEKGTAEHDIKNRIMDYLNIPESEYCLVFTVSRGSAFRLLAECYPFGTNKRLLTMFDHESQSVNWMMQAARDKGAKAYSAWFKWPTLKICTTELRKLISTKKRRRKDSATGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGADPTGFGCLLIKKSVMACLQSPSGGTGAGMVRIVPVFPQYLSDSVDGLDGVLDGLEDDTIIPIEEGSVSNNHHASQLPAFSGAYSSAQVREVIESEMDQDSSDRDGASTIYEESESVSVGEVMKSPVFSEDESSENSFWVDLGQSPLGSDHSEQSGKGKLGSPLPASWFSGRRNAKKASPKVPSKLAKSPIHDNHVMSFDAAVRSVSQDSGPVKVVPDEDHSHNDIKNAIPVSEIEEDKDAKGNKRFVKFSCANGPAEGSATSVFGSYTTHENGSTSEICSESQAETKESAIRRENEGDFRLLGRREAHNGRFNGGRFFGVEESERVSSMGRKVSFTMDDSRLCRNSDAGETSGYAMADDDDEAYSDYDEPQDGRKEPEIICKHLDHVNMLGLSKTTLRLRYLINWLVTSLLQLRLPDSGDGEGVSLVYIYGPKIKYDRGAAVAFNIKDCNTGTSLINPEIVQKLAEKEGLSLGVGFLSHIRLTDNQKHGAADVGLSSSTPAANGRREKKTSKNAIIGTEVVTASLGFLTNFEDVYRLWAFVAKFLDSSFLEQERLSSIPEDAER >KQK88348 pep chromosome:Setaria_italica_v2.0:IX:13419387:13419877:1 gene:SETIT_040432mg transcript:KQK88348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDASCLIHIPTKWCVCIMCGLYLFIPFSIICPWMY >KQK89584 pep chromosome:Setaria_italica_v2.0:IX:33461627:33462901:-1 gene:SETIT_035837mg transcript:KQK89584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPPPEFLCPITLDLMRDPVASPTGITYDRAAIKSWLLAGGQRTCPITHGELRAADLVPNHTLGRLIQEWCAVNRPRGGVEQAIAATSEEVAVADVEEATRAGDADRCAAAARWVRRLAGLGERSRRRLASAGAARALAAAFASFADAASDVLEGVLAALVLVVPMDEEAIVAVSSSSASVARLVATAANGGPQRRLHAVVVIREIISLSFHRSGAGAIDLSANADAIVQVLVKTIRDAICPHATRACLVAACHLAYAAGEGATALLVAAGIVPVLVELLVDADRSTAEKALAALDAALASRDGRACARADALAVPVLVKKIFCISDAATELVLSVLLRIGKKCPEDDEDATMAAGRRLAIIEALQVGALQKVLLLLQAGCRAETKEKATELLRLMVRYQSRVECVDTMDFRGIKRGTTILTT >KQK90011 pep chromosome:Setaria_italica_v2.0:IX:38518074:38518217:1 gene:SETIT_039098mg transcript:KQK90011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASTFAESQAEALLKRMALMGFRVEKRGGALCLYWQRGELVSVSAWRC >KQK87119 pep chromosome:Setaria_italica_v2.0:IX:5629666:5631297:-1 gene:SETIT_036855mg transcript:KQK87119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIACPLPSSVWRKGAASPCTLRRLRCSFTPSRSLMSGPRQLLDPVKPIYVMVGGRLFALADGSFERLYPPPCHAWHKLPELPFWSKHVTCYTDVRTLYVSIGGCAPATLSFDTAESVIRDGDCRWKQHGQWQLPFTGRAYYEHRLEAWVGLSRDPRTIGHLCSCDAVPTNASQQQCPAMKLSKEKLFSEVPEERQIGATLVRMGEGKFCLLECVYIQADEQTGSDGEKIEDSVDEVTEKKDHRKRFIRLTTFSASYDKNGDLTTVSSRRLRYCSVPEEVTEPMLEHPVAYWM >KQK90743 pep chromosome:Setaria_italica_v2.0:IX:45483862:45489302:-1 gene:SETIT_036307mg transcript:KQK90743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPVARAVAEEVARWGAMRQTGVSLRYMMEFGARPTERTLLLAAQFLHKELPIRIARRALDLESLPFGLSSKPAILKVRDWYVDSFRDIRSFREVRSQEDELAFTQMIKMIRVRHTNVVPTIALGVQQLKKDLGGSKAFPPGIDEIHQFLDRFYLSRIGIRMLIGQHVALHDPDPEPGVIGLINTKMSPMTVARIASEDARAICMREYGSAPDVDIYGDPDFTFPYVTPHLHLMIFELVKNSLRAVQERYMNSDKHAPPVRIIVADGAEDVTIKISDEGGGIPRSGLSRIFTYLYSTAENPPDLDEHNEGVTMAGYGYGIPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSEEPLP >KQK88662 pep chromosome:Setaria_italica_v2.0:IX:15898297:15899848:-1 gene:SETIT_039062mg transcript:KQK88662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TYGDSRMAAPLPTSATLFPDMSAASPWASSLPEDLVRLVASRLLAGDLLDYVRFRAVCAPWRSSTASPRGRGVVDPRFHPRRWMMLSEGGGLYPGHPKLQGYVRFFNLDTGAFVRVHMPLFEDHCVLDSFNGLLVLQRDDDTAIRLLHPFTGDILDLPPLKTLLPQMHEVFPHLSSRKKLPYLRSISTAATFADDGVVTVMLALRRTRRVAVATSQDHQWTMSTWYYVINRAPFPYQSKIYVVHDLGGDHSAKIFQIDTPLPGEVLQPPKLIVKSTADKLRGPVFLVECDSEVLVIGYSKNLSSKLLVYKLADIVMEKYTPMTSIGDKAIFLQQRTLSASAKALPTLVGGTIMYFHPREHQLVQYHLSSGSWSPAMDQCSLRGTEPGPCSFIQHIYTCCSCKHWNKGLMCRWWKYPAEWIRWPVKGKLRQGVIDS >KQK87798 pep chromosome:Setaria_italica_v2.0:IX:9427184:9427509:1 gene:SETIT_040702mg transcript:KQK87798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYLILDATPNNIVKKKSCGSILILQMPKIL >KQK88968 pep chromosome:Setaria_italica_v2.0:IX:19071278:19072554:1 gene:SETIT_038178mg transcript:KQK88968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTACTIGGLLVLGSSIVLLCIGQDKRSLGPTGGFMCPLVPLLPICCIIINGYLLMNLGSQTWIRVSIWMAAGALIYFFYGLKHSSLAGMAYHRISPLQFNVG >KQK92867 pep chromosome:Setaria_italica_v2.0:IX:57582870:57583331:-1 gene:SETIT_038232mg transcript:KQK92867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKEGNKNLLAAGACGTEVSLGHGRITLEFQATDRDHESRNPHPRSQEATNQRAPEASFAPVAGGHVETGKADQFRTGQPADPQDAEALPQ >KQK87226 pep chromosome:Setaria_italica_v2.0:IX:6171688:6173729:1 gene:SETIT_040247mg transcript:KQK87226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNSFVTPLTNYLINRYNMEENAATNVNNVFSGMFSFAPVVGAFVADAFWGRFRTMLLGSVVGVIGMVIITLSATIRQLTPPSCSAAARQAGTCAGPSALHRAVLYVEMGLLVVSAAGLNPTALPFGADQFDETNERHKAGVTRYFNWYYASSMMATFLALTVIVYVQDKVSWGLSFAIPTALTVVAFAIFLLGTTVYVYVPPEGSIFSSVARVAVASCRKWRLRLPHPDDARRQEGVLYNPDPAAAANGRRRVFRLPLTLQLSFLNKAAIVTDAAGEVRPDGSPARPWNLCSVQQVEEVKFLVKIIPLWVSGVVWFIVLTEMINYTLIQAMTMDLRMGSRFTIPVVSILAVFYLSVALFAPVFDLLASIAARYRTKAPPGGGVGGGITLLQRQGAGLVIGALAFVVAASVEVERRRRGSALGHGGGRSPLSVFLLAPQLAMMGASGALSMVGQMEFYNTEFPDQMRTLANAAFYCAQGAASYLATLVVSIVNARTRRRGGSAAGWVSDDINAGRLDYFYYTMAVLGAANFAYFLVCSHFYRYKGEQAADSAGPELPSDSD >KQK90568 pep chromosome:Setaria_italica_v2.0:IX:43726867:43730537:-1 gene:SETIT_037567mg transcript:KQK90568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLFYGLWKYVFTKDEFRVLILGVDKAGKTTLLEKLKSIYLKGEGLPPDRVVPTVGLNIGRIEDVNAKLVFWDLGGQVGLRTIWEKYYEEAHAIMFVIDAATASSFEDAKSALEKVIRHEHLRGAPLLIVANKQDLPGVINDEELTKFLNFKELDDRPYMFQAVSAYDGRGIKSGIEWLVEQMEKSKRTETLRARAGVAGSI >KQK87423 pep chromosome:Setaria_italica_v2.0:IX:7249124:7251299:-1 gene:SETIT_0370361mg transcript:KQK87423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKTVGRTIHIVNLDPAAEHFNYPVDMDIRELISLDDVMEEFGMGPNGGLIYCMEHLEDSLDDWLDEQLENYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLDPNAQVLLSQLNQQMAPRFGKLNKCLAEL >KQK87425 pep chromosome:Setaria_italica_v2.0:IX:7249124:7251042:-1 gene:SETIT_0370361mg transcript:KQK87425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYAQLVIGPAGSGKSTYCSSLYDHCQTVGRTIHIVNLDPAAEHFNYPVDMDIRELISLDDVMEEFGMGPNGGLIYCMEHLEDSLDDWLDEQLENYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLDPNAQVLLSQLNQQMAPRFGKLNKCLAEL >KQK87424 pep chromosome:Setaria_italica_v2.0:IX:7249124:7250767:-1 gene:SETIT_0370361mg transcript:KQK87424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRDDALCSTSMSTYCSSLYDHCQTVGRTIHIVNLDPAAEHFNYPVDMDIRELISLDDVMEEFGMGPNGGLIYCMEHLEDSLDDWLDEQLENYLDDDYLVFDCPGQIELFTHVPVLRNFVEHLKRKNFNVCAVYLLDSQFVSDVTKYISGCMASLSAMIQLELPHINILSKMDLVSNKKDVEEYLDPNAQVLLSQLNQQMAPRFGKLNKCLAEL >KQK87046 pep chromosome:Setaria_italica_v2.0:IX:5258006:5260069:1 gene:SETIT_037764mg transcript:KQK87046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAARLFLLLVAVAAALAGRSDGAWCVCRPELGDSALQKTLDYACGDGADCKPILQNGPCFAPDTVRAHCSYAVNSFYQRNNQNAQACVFSGTATLVSTDPSGNGCTYPATPSAAGTSNGGSPGVYSPPTMGMGPSALNDNSGANVLPVAGVAARLVILAFCSLMALYLCA >KQK88746 pep chromosome:Setaria_italica_v2.0:IX:16757945:16764356:-1 gene:SETIT_033897mg transcript:KQK88746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPGEMTGSNIIRLQCFPSNMVNQRSGFIPARNRRSRMTRHCLDPRHLCRLPTQRVNVPNIRPLPTPNAAVSRDINTPLVEESVTEVESTPHVFHFYRKPFLQESESEELLRKVQQKVACNIIDIKTEQCFNVELQKALTSEKLATLQWLLSETYEPEKLQTCSFLEDEVSRSPYSVIVEVGPRMAFSTAFSTNAVSICKALSLTEVTRLERSRRYLLFLQPGSGPLDESQLNSFAALIHDRMTECIYPSKLTSFLVDVVPEPVSAIPVIERGREALEEINVRMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMARTLFQLVKSPLKANPNNSVIGFKDNSSAIKGYQVNQLRPALPGSTSPLSLMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGQGSFIVASTAGYCVGNLRIEESYAPWEDSSFSYPSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRNFGTRLPNGERREWLKPIMFSGAIGQIDHVHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVIRACAEMGEKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRRLLESLCERERVSMAVIGEIDGSGKIVLIDSVAVEQAKLNGLPPPPPVVDLELEKVLGDMPQKTFEFNRVTRLGEPLDIAPEVTLMDVLKRVLKLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAGVALADCMVELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGDDGVLLHIDLAKGKRRLGCSALTQAFDQIGNDCPDIEDVPYLKKVFDAVQELLSERLISAGHDISDGGLIVTVLEMAFAGNCGVNLNVGLGDYDLLQVLFAEELGLVLEVHSNDLDVVKQKLHVAGVSANVIGKVTTAPDIGLVVDGEVRLKEKTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPSWRLSFTPKFTEKKILTTATKPKVAIIREEGSNSDREMAAAFHSAGFEPWDITMSDLLTQKISLTEFRGLAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPDVGGSLGVGGDISQPRFIHNESGRFECRFTSVAIGDSPAIMFKGMEGSTLGIWSAHGEGRAFFPDENILSGVVKSNLAPLRYCDDYNNVTEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERSFMMWQYPWYPKDWQVEKSGPSPWLRMFQNAREWCS >KQK88745 pep chromosome:Setaria_italica_v2.0:IX:16758745:16763086:-1 gene:SETIT_033897mg transcript:KQK88745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPGEMTGSNIIRLQCFPSNMVNQRSGFIPARNRRSRMTRHCLDPRHLCRLPTQRVNVPNIRPLPTPNAAVSRDINTPLVEESVTEVESTPHVFHFYRKPFLQESESEELLRKVQQKVACNIIDIKTEQCFNVELQKALTSEKLATLQWLLSETYEPEKLQTCSFLEDEVSRSPYSVIVEVGPRMAFSTAFSTNAVSICKALSLTEVTRLERSRRYLLFLQPGSGPLDESQLNSFAALIHDRMTECIYPSKLTSFLVDVVPEPVSAIPVIERGREALEEINVRMGLAFDEQDIKYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMARTLFQLVKSPLKANPNNSVIGFKDNSSAIKGYQVNQLRPALPGSTSPLSLMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGQGSFIVASTAGYCVGNLRIEESYAPWEDSSFSYPSNLASPLQILIDASDGASDYGNKFGEPLIQGFTRNFGTRLPNGERREWLKPIMFSGAIGQIDHVHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVIRACAEMGEKNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRRLLESLCERERVSMAVIGEIDGSGKIVLIDSVAVEQAKLNGLPPPPPVVDLELEKVLGDMPQKTFEFNRVTRLGEPLDIAPEVTLMDVLKRVLKLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAGVALADCMVELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVTCPDITLTVTPDLKLGDDGVLLHIDLAKGKRRLGCSALTQAFDQIGNDCPDIEDVPYLKKVFDAVQELLSERLISAGHDISDGGLIVTVLEMAFAGNCGVNLNVGLGDYDLLQVLFAEELGLVLEVHSNDLDVVKQKLHVAGVSANVIGKVTTAPDIGLVVDGEVRLKEKTSDLRDLWEETSFQLEELQRLKSCVKLEKEGLKSRTSPSWRLSFTPKFTEKKILTTATKPKVAIIREEGSNSDREMAAAFHSAGFEPWDITMSDLLTQKISLTEFRGLAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPDVGGSLGVGGDISQPRFIHNESGRFECRFTSVAIGDSPAIMFKGMEGSTLGIWSAHGEGRAFFPDENILSGVVKSNLAPLRYCDDYNNVTEVYPFNPNGSPLGIAALCSPDGRHLAMMPHPERSFMMWQYPWYPKDWQVEKSGPSPWLRMFQNAREWCS >KQK89867 pep chromosome:Setaria_italica_v2.0:IX:36582183:36585859:-1 gene:SETIT_036392mg transcript:KQK89867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMLIDCSGCRTPLQLPHGAPSIRCAICGAVTHVAPAPHAEPSRGAVQPAPGWGPPPPPAHGRKRAVVCGISYRYSRHELKGCINDAKCMRHLLMTRFNFPDDSIIMLNEEQTDPYKIPTKHNIRMAMYWLVQGCQPGDSLVFHYSGHGAQQRNYSGDEVDGFDETLCPLDFETQGMIVDDEINAALVRPLPHGVKLHALIDACHSGTALDLPFLCRMNRSGQYVWEDHRPRSGVWKGTSGGEAISFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGQGTTYGSILNSMRSTIRNTGDSAGVGGGAVTSLITMLLTGGSLSTGGLKQEPQLTACDPFDVYAKPFSL >KQK92084 pep chromosome:Setaria_italica_v2.0:IX:53597379:53600842:-1 gene:SETIT_036165mg transcript:KQK92084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAVRYLRDGRLDGEHAPALSVEGSLQCCPLAAGAMLHVAAALTSQAAAGKAQARGLVVVAFDRSPEVYLEFMRRRGLDANYLNRCVRILDCYSDPLGWKQKIQNQQHQENSTKQFSTNKENVAVFRNVKDVKKLMYSITELGGGFEGEGKKHFSIAVDSVSTMLRHASVPSISGLISNLRSHEQVSSIFWLIHSDLHEPKVPRAFECLSTMVACVEPALVDPVCVESPGNMSILEQNYSKAKFIVRLKRRNGRVKHFYEDLYIDGNDIKFDSAPVSTEVNQSLVPKVQFNLELSEKERTDKANVVLPFEHQGKGEPIRIYDGRGSLLEGQRDPNLAPALVDEIAPKSGTAKGEIHYFRDSDDEQPDSDEDPDDDLDI >KQK87585 pep chromosome:Setaria_italica_v2.0:IX:8071723:8078323:-1 gene:SETIT_034692mg transcript:KQK87585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHYRPAGKKKEGNAAKYITRTKAVNYLQVSLAIFRKLCILKGVFPRQPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFRQIKVHRKKVKKAVAKKNRDLADRLLNRPPTYKLDRLVLERYPTFVDALRDLDDCLTMVHLFAALPAVDGERVEVKRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYFQAEVQGQKITWLTPHALQQVLTDDVDFNVMLSFLEFYETLLGFVNFKLYHSINVNYPPILDSRLEALAAELYALCRYMSTGSGKVIRNSESGGAIKENEDENNKTSSKADETGGAIKENEDANNKTSSKADESELRLAQLQHQLPANEPGALMHLVEETTAVDTDDDETKECKSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFTEVDEDITHQIVDRPTQSHVFLTREYVQPQWIFDCVNARIILPTEGVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAARNEVLPLPGDEDLDNSLVAAMMDRTESNEAAEKKRKLEMLEKQYHDELKMEIDGVTFSNLSNKKADKSPDTMDKDDTNSDHVDDEKKQAEKDSADISTVTMSRKGRGLYKAMKIGKERKQDKVEILKKRKKSAESSASAKQR >KQK87586 pep chromosome:Setaria_italica_v2.0:IX:8071986:8078176:-1 gene:SETIT_034692mg transcript:KQK87586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHYRPAGKKKEGNAAKYITRTKAVNYLQVSLAIFRKLCILKGVFPRQPKKKVEGNHKTYYHMKDIAFLAHDPLIEKFRQIKVHRKKVKKAVAKKNRDLADRLLNRPPTYKLDRLVLERYPTFVDALRDLDDCLTMVHLFAALPAVDGERVEVKRIHNCRRLSHEWQAYISRTHSLRKTFISVKGIYFQAEVQGQKITWLTPHALQQVLTDDVDFNVMLSFLEFYETLLGFVNFKLYHSINVNYPPILDSRLEALAAELYALCRYMSTGSGKVIRNSESGGAIKENEDENNKTSSKADETGGAIKENEDANNKTSSKADESELRLAQLQHQLPANEPGALMHLVEETTAVDTDDDETKECKSLFKNLKFYLSREVPRESLLFIIPAFGGTVSWEGEGAPFTEVDEDITHQIVDRPTQSHVFLTREYVQPQWIFDCVNARIILPTEGYIVGRVPPPHLSPFVDNDAEGYIPEYAETIKRLQAAARNEVLPLPGDEDLDNSLVAAMMDRTESNEAAEKKRKLEMLEKQYHDELKMEIDGVTFSNLSNKKADKSPDTMDKDDTNSDHVDDEKKQAEKDSADISTVTMSRKGRGLYKAMKIGKERKQDKVEILKKRKKSAESSASAKQR >KQK90664 pep chromosome:Setaria_italica_v2.0:IX:44302759:44308218:-1 gene:SETIT_035433mg transcript:KQK90664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGGGGQQFRYAQTPSKVLHLRNLPWECAEEELVELCKPFGRIVNTKSGVGANRNQAFVEFTDVNQAISMVSYFASSSEPAQIRGKTVYIQYSNRQEIINNKSPGETAGNVLLVTIEGVQASDVTIDVIHMVFSAFGYVHKIATFEKAAGFQALIQYSDAATASAAREALDGRSIPSYLLPEHVTSCCLRISFSAHKDLNIKFQSNRSRDYNNPYLPINYSAMDGTLQPAVGADGRKVEAQGNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVTTAAVAKEALEGHCIYDGGYCKLHLSYSRHTDLNVKAHGDKSRDYTIPPGVIQGVPQPPGAAATSTGWQGNIQGAGAYAPPGIPAQNHSANGQVPNWNSGNSGYPPAPGAYPGQMYSSPAQYSASGGFPNAPPAAPPQYAASGGFPTPPAAPPHELHASQQMPPQHGIQSGPAGVPGTSQPPPPSYYH >KQK86179 pep chromosome:Setaria_italica_v2.0:IX:634914:635387:-1 gene:SETIT_040719mg transcript:KQK86179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCLPFVTSPCTTVSHVAELPEARGIFLYMGPCAEQRKVQGRIAFQMICS >KQK87476 pep chromosome:Setaria_italica_v2.0:IX:7497159:7497974:1 gene:SETIT_038020mg transcript:KQK87476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRGSAARGSVAAMVALVLLCVLLHGEVAESAVYTVGDSSGWGFNTVNWPRGKRFRAGDVLVFRYSPKAHNVVAVNAAGYGSCGAPRGARALTSGNDRVTLKRGANYFICSFPGHCQAGMKIAVNAA >KQK92428 pep chromosome:Setaria_italica_v2.0:IX:55417834:55421037:1 gene:SETIT_0338982mg transcript:KQK92428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKRTPAPNPTPPPPDAPRRPPAAGVGGGGGADAAVRAEVDKALACLQRGSHARALRLMKDAVARHGEGASPLLLRAHGTVHARAASVLDDPAARARHQRAALQAAQRAVELAPDSVELAHFHAMLLFDAATDARGYEEVVSECERGLSIEAPSDPAPHSLRLPGPDVDQVQSELRNLIQKANLASISTWVKTLGGAGDDKLRLIPVRRLAEEPMEGRLVPTLPSPRRPNEIKKATKTPEERRQEIEVRLAAMRLLQQQKEQSNGAVAATPTSSQSQGDDAPSSSSQSSVGGHRADRRRGGSRKVTVSSTSDRMDQVRAYWAAIPVEQRLAFLNTSISELKSYYAAMMHKEKDAASVASDVLNEVLGFAAKSGKWEFWVCGRCREQFADAESHRLHVLREHVGILSPELQDMVPHEIDAGWARMLIGWNWRPLDATAALKLFEEEQADNLGLDRDKDSMSSDSWSNKDKSDTSESSVSPHNEESDGFAVVMREGYRKWPLSDDVERANILERIHSLFQILVKNKNLSVSNLNKVIQFAMEELRGMLSESLLLNHSLDESPHCICFLEASSLRKVVKFLQDLMQSSGLNRHLEKDEGLGDGDTFPKNHDGLEKVTLNSDSSELIIDGESFGRKFVSENVDTDALLSWLYAGSSIGEQLLGWNRMLEERSNQGVDLLRALEREFNSLQNLCERKLEQLRNEEALISVESIFAQEQADRDEMGHYQGYEELLRKRQEELLDFNAEVMTNRSRSELDVVSSILKEVHTSHFGYDEAFSSMAPRLCDYDGTEEEGWRLPDLQSNDSVVHVVVSKMKEQITMELSKIDAKIMRNVAVMHQLEHKLGPASSLDYRTVLIPLMKSFLQTHLEELVDKDARERSDAAREAFLAELALDAKKNASNHEKSKEKKKLKDSRRSKDPKDSSWSDQYLVCQDSADEETREKLRALSEGHIYSSFSLIGYGALT >KQK86766 pep chromosome:Setaria_italica_v2.0:IX:3636498:3639115:-1 gene:SETIT_037884mg transcript:KQK86766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKQHFLLFAAAAMLLLLLPFGCTATAVEYCNKGLDYPVKVSGVEVVPDPVVRGEPATFKISASTDKNITAGKLVIDVAYFIFHVHSETHNFCDETPCPATGEFVLASKQTLPSFTPPGSYTLTMKLLGDSNEELTCISFGFSIGFVTPNAII >KQK86765 pep chromosome:Setaria_italica_v2.0:IX:3636498:3637729:-1 gene:SETIT_037884mg transcript:KQK86765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHYLVSITLSVYLLRAIHKQGIYGMFLFMNTDKNITAGKLVIDVAYFIFHVHSETHNFCDETPCPATGEFVLASKQTLPSFTPPGSYTLTMKLLGDSNEELTCISFGFSIGFVTPNAII >KQK90467 pep chromosome:Setaria_italica_v2.0:IX:42911828:42912192:-1 gene:SETIT_040715mg transcript:KQK90467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRVEAFGLRFPAGSDDMSPPLTVDLTAQRLPCMH >KQK92815 pep chromosome:Setaria_italica_v2.0:IX:57343980:57345885:1 gene:SETIT_038103mg transcript:KQK92815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGGYGWALAAGFNAALAAISAKFFATLLIKYGMVILFNVTMWGCYVNSLKALSSLQATVTNFAANFISSGLAGYFLFHEPLPSKWFAGAGLIILGVFILSKSSIEEKQNSD >KQK92108 pep chromosome:Setaria_italica_v2.0:IX:53713170:53714429:-1 gene:SETIT_038536mg transcript:KQK92108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVTLEKTTTVQSGDTGVGSPKTVLRSPLLMKGRKVGDGGGEDATDNCCGHRCELISYDKLPEFLKHNEFIVDYYRSEWPVKEALLSAFSIHNETINVWTHLIGFFVFLALTVCAATMVPMEYEVSHTPLVASTGLDNITGNAMVLRSYSADGAAVVAMKALRSNVSVETGLAAALSPPAGLRVARWPFYAYLCGAMFCLLMSSACHLLACHSEHASYVLLRLDYAGITGLIVTSFYPLVYYTFLCDPLFQALYLGFITASGAAAVVVSLLPVFERPELRWARAGLFACMGMSGLVPIVHKMLVFGDRPEAVLTTGYEMAMGAAYLAGVVVYATRVPERWMPGRFDLAGHSHQLFHVLVIAGAYAHYLAGLVYLSWRDMEGC >KQK87082 pep chromosome:Setaria_italica_v2.0:IX:5497012:5498894:-1 gene:SETIT_037215mg transcript:KQK87082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGMEDERLSGEVRREEEDDDVPQLSAAAMEALREFLAEQQRPEEKDEEGREGGVELVAEDWRLSQFWYDERTARALVEEVVRLVSPSVSGSAAGAVACIACPTLYAYLKKTDPGVPAQLLEYDERFGQYGGDFTFYDYNRPEELPPAMKHAYRVVVADPPYLSKECLEKVAKTVSFLAQPEGSFLLMLTGEVQKDRALELLNVHPCGFRPQHSNKLGNEFRLFTNYDPADRLGGWDLGAK >KQK89498 pep chromosome:Setaria_italica_v2.0:IX:31908445:31912311:1 gene:SETIT_035285mg transcript:KQK89498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLRFLLLLLVAGSGGATAAAFELEEATIDSIHRAFAAGELTSRGLVELYLRRIASLNPALHAVIELDPDGALAAADRADAAARSSSSALPPLHGIPVLLKDNIAAAGPLNATVGSLAMVGSRPAGDAGVVERLRSAGAVLLGTASLSEWCNFRGPGIPAGWSPRGGQGKNPYVPSATPCSSSSGSAIAAATNMAAVTIGTETDGSIMCPSSFNSVVGIKPTVGLTSRAGVIIISPRMDTVGTVSDAVHVLEAIVGYDARDAEATRMASQYIPEGGYRQFLNIDGLRAKRLGILRKDFFWFPSGSVQQKVFSEHFNIMSKIGAVLVDNLEIPSMDVINDAVQSGERALMLAEFKLSLNYYLSELATSPVRSLSDIIDFNNKHRIEERMAEFGQDYLIQSEATNGIGPNEKHAIARLNKLCKRGIEKVMQDNKLDAIVAPGASAHSLLAIGGYPAITVPAGYASNGVPFAICFGGLKGSEPKLIEIAYSFEQATKVRKPPSLQHSII >KQK88534 pep chromosome:Setaria_italica_v2.0:IX:14876893:14883674:1 gene:SETIT_034238mg transcript:KQK88534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRAYKLQEFVAHASNVNCAKFGRRTSRILITGGEDLQVNLWAVGKPSALLSLSGLTSPVESVSFDSSELTIGAGAASGTIKIWDVEEAKVVRTFTGHRSNCASLDFHPFGEFLASGSSDTNMKIWDIRKKRCIHTYKGHTRRIDVLKFTPDGRWIVSGGADNSVKIWDLTAGKLLHDFSLHEGPVNCLDFHPHEFLLATGSTDKTLKFWDLETFELIGSSGPENSREYFEPASIVRSMKFNSDGKTLFCGLHQSLKVLSWEPIICHDVVDVGWSTLADLTVDEGKLLGCSYNQNCVGVWVVDLMKHEPYADSCAGSHLNGTVDRLIESDNSIPSVFGRLSVSRSPANETSSDTLLERSMSASKEIPVSSSSVVRKRLAKPPGEKDLWLTRSDSAPLLSPRVRLNPNFVDDLKRQPATVVPAAPMYKSMSHISGYGGKESSFIPVLAPRHSSKGNADPILNEAATGELPVIEPQNIEKVGLSANHGKESGKLVPITDSRRSRMVDESGCRRITDDVRYKKIIPESYLRANPDIDYTRRAQESPKDREHIFLSKPISSQRKFIRESSGAGDNSRSGSVCTEKIESNEAGSWYGVSCFDKWNSDAAWNPEFADIDRNGVIGTSKWRESSERHAVRHRPYSSHYDSIQCEPTLYGSRLHPSLPGKLIGSASDEDDMYGLMENHQDFIHVMKSRLTKLEVVYRCWQRNDIKGSIDATWRILDFAVTTGVINALMENSNCISLDICASILRLSSSLLESKYDWHVNIALGMILSLVKSFGATISSALSATPPVGVDLEAEQRLERCSLCFQELKKVNASLKSLTRRQGEVGRSAQELSIFLQDILQLSSM >KQK88535 pep chromosome:Setaria_italica_v2.0:IX:14879598:14883674:1 gene:SETIT_034238mg transcript:KQK88535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRNQIIDCLMAAVLDFQASIVRSMKFNSDGKTLFCGLHQSLKVLSWEPIICHDVVDVGWSTLADLTVDEGKLLGCSYNQNCVGVWVVDLMKHEPYADSCAGSHLNGTVDRLIESDNSIPSVFGRLSVSRSPANETSSDTLLERSMSASKEIPVSSSSVVRKRLAKPPGEKDLWLTRSDSAPLLSPRVRLNPNFVDDLKRQPATVVPAAPMYKSMSHISGYGGKESSFIPVLAPRHSSKGNADPILNEAATGELPVIEPQNIEKVGLSANHGKESGKLVPITDSRRSRMVDESGCRRITDDVRYKKIIPESYLRANPDIDYTRRAQESPKDREHIFLSKPISSQRKFIRESSGAGDNSRSGSVCTEKIESNEAGSWYGVSCFDKWNSDAAWNPEFADIDRNGVIGTSKWRESSERHAVRHRPYSSHYDSIQCEPTLYGSRLHPSLPGKLIGSASDEDDMYGLMENHQDFIHVMKSRLTKLEVVYRCWQRNDIKGSIDATWRILDFAVTTGVINALMENSNCISLDICASILRLSSSLLESKYDWHVNIALGMILSLVKSFGATISSALSATPPVGVDLEAEQRLERCSLCFQELKKVNASLKSLTRRQGEVGRSAQELSIFLQDILQLSSM >KQK88671 pep chromosome:Setaria_italica_v2.0:IX:16031341:16032084:1 gene:SETIT_039034mg transcript:KQK88671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTWIFDHRDKDPIDLAEYENPKLTVQDLKNKPLHWFIPLLMGEGTESTNLAFRSDNLYLSGFTNRKGEWFSFQVDEDHEYVIPGSTVLGFKSNYASLVGGGPGTGHEPWEFLVDLDISRAEILSAIAVLSEYDPSTTPDHVIKLALARLTVAFMEAQRFPFIRQRMYELWENGGPGTLGWRGAKLVVHWSDISCALRIWDTRADKARWDSREAEGLKKEPPVGLGIATPEQALAEIWPILISQCTE >KQK93048 pep chromosome:Setaria_italica_v2.0:IX:58503033:58507589:-1 gene:SETIT_036293mg transcript:KQK93048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPAYKVPSVTKCQASSATNYIRETSEVVDFDWENLGFGLVETDFMYVAKCGPDGNFSKGEVLPFGPVAVSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENAARMITGAERMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLIGSGAVLGLAPAPEYTFIIFVSPVGNYFKEGLSPINLIVEDKFHRASPGGTGGVKTIGNYASVLKAQKIAKSKGYSDVLYLDAVHDKFLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVDELLNADEVFCTGTAVVVSPVGSITYLGKRVEYGNQGAGVVSQQLYKSLTSLQMGLVEDFMDWTVQLN >KQK88715 pep chromosome:Setaria_italica_v2.0:IX:16458378:16461219:1 gene:SETIT_040264mg transcript:KQK88715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSCVTGAPHALLEKADGPAFQWKIYGFSSLLEKGATTVTSDVFHCCGYEWYLTVTPKHKKFGFGSPYVAVRLLTGRSTLEPDYIMNALFELSIYNHSNRTYCGCQDISFARKKTAVVPKKTVVVPKKPTTIQNLFIQKKEFIKGTYTWTMNIFLDSKLAVLSPAFEVGGYKWHIKMYPRGNKLSTKSLSLFLYMDKPSMLTLEPGMMIELTLSILDQKNGKQYTRSRSGCFAFAAADYWGWPNFIPRWLFKDQSFGYLVGSNCIVKADIAIVGSSIV >KQK92113 pep chromosome:Setaria_italica_v2.0:IX:53746349:53752669:1 gene:SETIT_034837mg transcript:KQK92113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICDELRSFEATGVYRLDGTGTSTGATFLDPVRLLNGSYQRFRVVPSAYYSRSFEPPRQLGDPETEQPEKRKKRKRNQKPKPRELNAMERIAEARHQEARTLLLSAHESLIKDKYLLEHLSKMIEGKEHTLDVGSGPENNFIELGTSWRAPFYEITICFRKPLVLGNGEGSSDEGYPTLPNRYLLYLPVQELAHTAGALLVLWITNREKLRMFVEKELLPSWGVKDPTVFYWLKVKPDGSLIGDLDLFHHRPYECLLLGYINVNTDAKQELNFKLLEGSQVIMSVPGSTSPELRRVQTVLPTASSKVASARAKLAERAKSPANSKAKGPDDQERRGKSGRGENDRLVPSARRRNSPPPLPVGLDTGRMRCSWITTNSDPLYVAFHDEEWAVPVHDDRTLFELLTLSQALAELTWPAILSKREEFREMFDGFNSASVSEFTEKKINLMRSNGSVLLSEQKIRAVVTNAKQMQKVVKEFGSFSNYCWSFVNHKPITNCFRYARQVPTKTPKAEAISRDLMRRGFQCVGPTTIYSFMQVTGIVNDHLSCCFRFKACSQHKASENNVRAESTLPDRKLSSPSSEDSDIREM >KQK89007 pep chromosome:Setaria_italica_v2.0:IX:19422209:19422718:-1 gene:SETIT_040601mg transcript:KQK89007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSRPIQQHGTRLEMATGSILPTRISSSVLSSC >KQK88288 pep chromosome:Setaria_italica_v2.0:IX:12928029:12934061:1 gene:SETIT_038691mg transcript:KQK88288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLARAQNQSGVSLTSTPSPADGEPEVPRQAPQPSGGRRRRRRQGQERQSEEGEETDAGGRARAGAIQQEAGSLRRRRRGSLPGAGDRKFDYEHPWGGTVLTSVVGTFLTQNVTDHLSSNAFMNLAAEFSLSKNRSNVEPRTNVPLMLTDGSGLGESEPGDHGSADERGKCRDKGIEEFIASIRTGEISSWDRGRIRKLLFDRFESSTAAKIFHDIASIGDTSHWNSLLKEAYNNGYRKEESANETIDWDALLHAPFAKIAECIRDRGNQSQMALRILAFLVRIKRDHGSIDLEWLRHVDTNVARIVTRLGWVELQPLPNSQEFHLVNTYELHCQQITFGKSICTKRQPNCGACPFTGECKYFQSLVSRPGTKQMHQYQIEMGKGTERHCNEPIIQEPETPPYEDLGAQRPIIQEPETPPHEDLGAQSDEEFEQEYEDGDDDHIEDEMIDLRPTQQTENGATGQEHGKEIISIHSSVPSTPMIKRYRLRTEYTAVPDDQIPYLLIIRSLLDEYNVTATILVFADHSSSRSPIKIKREIIDIYSQCTVYFGTSIHSVTKGYICNREFDRSTRRSKVLSAQIHATNGKGTGRKRSMTSYVVEA >KQK91653 pep chromosome:Setaria_italica_v2.0:IX:51054797:51056105:1 gene:SETIT_036517mg transcript:KQK91653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDQMLAPLFGAGRSAWRAAQQGGGGGDAVTRQILKCTRWQLEETTDFVTCPYHYYCDSSYPGDYSAAVGFLVAAFAAYCFLSTLAFTVLDLVRGNGGGGAPAAGVRGIKRKYLLPSGPFLLPLVLLALAKGQRVNAVFPLAQLGPALLLLLQASALAFRNEADGDIRYAVLEASTVSGVLHASLYLDAVVLPYYTGLEALRWSRFSGECASCLCRMEPLVVGGTAVQYRGLSKTALAIIFALCSRMVCRIYGEERLSAWTRSALEAVGWVFVAGDAVYLVGWVAAEGGVVGVAAYSLVAGLVFLSVFGKVYRFLAWVETRQSQWKSSLCHSVV >KQK90943 pep chromosome:Setaria_italica_v2.0:IX:46758657:46759375:-1 gene:SETIT_037969mg transcript:KQK90943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAADEYYYGGGGGGGGGGGGPRGAPHGLLLAVVVGLVVAGPLFLGDGGEALTEAVAELLSPVGLLLLPVCLLFLIRVLSSDRGAAALADVFAFGGSPDAVHRVGGSPVGVALMLLLILALLYYRSSLFGGDGGDDE >KQK88855 pep chromosome:Setaria_italica_v2.0:IX:17815433:17817006:-1 gene:SETIT_039766mg transcript:KQK88855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKENKGSLYVIGAEHCRWKFSDFHNHLLEHRAVRPFAGLEDALIL >KQK88213 pep chromosome:Setaria_italica_v2.0:IX:12347670:12350021:-1 gene:SETIT_035978mg transcript:KQK88213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVATVASPSPASRRLLPSSSAAASSLLRLPRPAMRLRRVLRVVAAAAAAGDEADVLPGPGGEAVPGRLEEPRDEPLGGSQLDIGGLAFQGDVGGGFAGGGGGAGSGASGGGDGNKALDRAINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFDFDRARMFRSGLVGFTLHGSLSHYYYHVCEALFPFKDWWVVPAKVAFDQTIWSAIWNSIYFVVLGFLRLESPTTIYSELKSTFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSDGTSTPDALKVKYI >KQK88210 pep chromosome:Setaria_italica_v2.0:IX:12346130:12350299:-1 gene:SETIT_035978mg transcript:KQK88210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVATVASPSPASRRLLPSSSAAASSLLRLPRPAMRLRRVLRVVAAAAAAGDEADVLPGPGGEAVPGRLEEPRDEPLGGSQLDIGGLAFQGDVGGGFAGGGGGAGSGASGGGDGNKALDRAINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQALFPFKDWWVVPAKVAFDQTIWSAIWNSIYFVVLGFLRLESPTTIYSELKSTFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSDGTSTPDALKDNSR >KQK88211 pep chromosome:Setaria_italica_v2.0:IX:12347576:12350021:-1 gene:SETIT_035978mg transcript:KQK88211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVATVASPSPASRRLLPSSSAAASSLLRLPRPAMRLRRVLRVVAAAAAAGDEADVLPGPGGEAVPGRLEEPRDEPLGGSQLDIGGLAFQGDVGGGFAGGGGGAGSGASGGGDGNKALDRAINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFDFDRARMFRSGLVGFTLHGSLSHYYYHVCEALFPFKDWWVVPAKVAFDQTIWSAIWNSIYFVVLGFLRLESPTTIYSELKSTFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSDGTSTPDALKDNSR >KQK88212 pep chromosome:Setaria_italica_v2.0:IX:12346130:12350299:-1 gene:SETIT_035978mg transcript:KQK88212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVATVASPSPASRRLLPSSSAAASSLLRLPRPAMRLRRVLRVVAAAAAAGDEADVLPGPGGEAVPGRLEEPRDEPLGGSQLDIGGLAFQGDVGGGFAGGGGGAGSGASGGGDGNKALDRAINTAIVLGASTYALTKLLTVDQDYWHGWTIFEILRYMPEHNWSAYEEALKANPVLAKMMISGVVYSLGDWIAQCYEGKPIFDFDRARMFRSGLVGFTLHGSLSHYYYHVCEALFPFKDWWVVPAKVAFDQTIWSAIWNSIYFVVLGFLRLESPTTIYSELKSTFWPMLTAGWKLWPFAHLVTYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARNSDGTSTPDALKIAARDTTEAALPFHFGVDVNCTISCSSPAGVTFFVFYNDIHETQTVQHVP >KQK86277 pep chromosome:Setaria_italica_v2.0:IX:1068648:1069645:-1 gene:SETIT_039075mg transcript:KQK86277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVAVWEGPAADPEYQAQQSNPAGFDMARYDEREEQAEKACQRAEELFLTGNIRGAHCEAGRAKRLCPSLPGVANALAAYEVHTAAGGGWRAVLGIRPGAAATEDAVKKQYRRLSLLVHPDKARSCAAAEGAFKLLRQAREDALASVASGCRDSGGPRATPPPADVPRAASRRTPPPVMQFMFDVPRKPMLIYCPSCKNEYAAKIGPLQQQAGMNCARCPEWLSPPWQKKPPARKEPPAGQGRQVFQCPAKCPECEAAYTSMVCVGRWCLKCKACSKSSMVDVQGPDQATATIRKRP >KQK92252 pep chromosome:Setaria_italica_v2.0:IX:54578170:54584256:-1 gene:SETIT_033962mg transcript:KQK92252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVLLRRFHQHHHRLRPLSTTPTPPASPAPSTPSTSASLPIAATPPPPHHLAPRRGAARRLAPLLAFSTLSLAAVGTVYLTTDNLEETLHRSRDSAGRVVERMQHTLTAARVLWKSLLSVLSSANQEVRSGFELRVAALLADITAASAARRVAIVSAGGGAVVDWLLDSVVRGATQAEAARALAHLVADPWVAPAVLGRPRAVPCLLQFIFSYQPTRGKKKSSFDGSDHSKGRSMLVAALMDIITSNCDNADYSSFQPLLPADADTRDIAAVIEVIEQGGMHFDDHEDNSSNDGDTGLKGIGIKVLGGTTILGFSRGNNSLELDNSDDMLEVSHNSRRLVAQQTAIESPLVEKLSSSVPGLWDDLQREHVAVPFATWALANWAIASDLNRTRIQELDSDGRAVATALKASERTVKWHGTLVARALLEDQKLALAPSVPDWCSSLLSTASQATMNNDMPLGQLSLSTFLLSMTRCNESKFVIRQKGLHPLRSIAKKIENQNGQSSMKESIASALSLLYAGEVPLSLEESQRWSGILLRWLFDKTVSDKTHITAVKILSCILEDYGPASVPISQGWLALVLSEILGDNKAQNSKGITQPEPERVKSPVDYHNASTATQVLNQLGSAVVKLASAQSGYEPGSDDKDKVPLADFLSLEPFATALKNLNKKNPPKFDAADSASATLKGLKALAELCSEDGACQKRIADLGVLSLLRHILLGDDYEKLAAIEAYDASRIREVQGKNVSASNVSTTDGTTDPSSVRVPPAAHIRRHAGRLLTILSLLPNSKKEIISDDGWCKWLEDCASGRIPCNDIKLKSYCRLTLLNIFCSESPNTRRDSDEYPDSESEYKRNCPQFGDSLFLLNPELPLEVHLDNSGFRISSVPRDNCKDDGDIEDSSETGSSVDGADAALKNAPLMDVVFVHGLRGGPFNSWRIADDKSSTTKAGLVESIDEDAGKEGTCWPREWLAADFPQARFFTVKYKTNLTQWTGASLPLQEVSSMLLRKLVAAGVGNRPVVFVTHSMGGLVVKQLLYQAKLNNYDNFLNNTVGLVFYSCPHFGSKLADMPWRMGLVFRPAPSIGELRSGSPRLVELNDFVRQRHNKGLLNVLSFSETQVTPIVEGYGGWALRMEIVPIESAYPGFGELVVLPSTDHINSCKPVNKNDPSYAETLAFLEKNFKLRLKRVES >KQK92102 pep chromosome:Setaria_italica_v2.0:IX:53667833:53674725:1 gene:SETIT_034432mg transcript:KQK92102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIMLCTCSGDHSKFEDLPRSPESLATRDFSANGSSSKMASRETTPDDSQVNEVESDLRETLSLNYEEARALLGRLEHQRGNFDAALQVLQGIDIRSLRPRMTAAIAESIKPRVPPRSSRRKSSQVNGMLMHMSMHSVSLLLEAILLKAKSLDALGRVTDAAEECRIIIDIIESAWPYGVPDGIAEECKLIDIFHSALEYLPKLWMRSGCFDKAIIAYRRALAKPWNLDSQRSANLQKDLAVTLLYCGVDVKFPQEFAQERNLVSPGNNIEEAILLLLILTRKLSLQEIKWDPDLVNHLMYALSLSGHTEVLASHLEMLLPGTYTRSERWYILALCYSAAGMDDSALNIIRNGYCVLERKGKPHIPSLLLGAKLCCKNPKHASEGIKFANKAMKSFRSCDMHFISIVNHFLGVCYGPFSRSSTSHLDKSRLQDDALRLLQDAAAMAEYNPEILYSLAWENAMQRKLNAAVESATECLEMVMGGSVSAWKLLILVLSAQQNLQEAEAVADFAMDEAEKDDQLDILRLKAQIQASRGQFKSAVESFQVLLSTIQAKKEVWKSTTCKEVKSLQKLEMDAWLDLASIYSKLEAWHDSNVCLDKAISIDLFYPKCWHIRGLLLEAQSLHQEALMAFSFALSIDPDYVPGMVCMAGILRNIGGKSLSIARTFLRNALRLEPTNHRAWLSLGLVLKAEGSLVEAADCFQAAYELRELSPIQDFSEQLPIMLH >KQK89404 pep chromosome:Setaria_italica_v2.0:IX:27743375:27746126:-1 gene:SETIT_038601mg transcript:KQK89404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KATNVREEHADDINKLIEFFKDYQDHAMGVTIAKEFLGVVHKICRWHVVNKHMPHLTNLFGMYAKKNFKDKFYSVLNHPLTPVEFEAAWQELLDEFDLQKDSTLDSLYCQRELYVPAYFKDQYCGRMASTQRSKSSNFVMKKCFVDKHTALHRFAKKMLDFMHSRKMKESEELYHGTSKRLTRSKWPFEIQVSRIYTRNVFKDFEKKMIDCTTFDIEDNPIEGETCYLVTHTNRSSKISWGQHQFKVRANKENGEFHCECKEWQHTVHILSWLFSIPQHYILRRYTKYAQQELGFDRNDKLLVGADGVTQLYRIKDLMSLTMAAVRSGSMSRAAHIRTREVLAKLDKDNKEIPPDIGPSTTNMHQESPGEDLSDCSESDDGYGDNTNDEQLAKRVTQEITLGAKGVKKGTRKCSICGYYATHNARICPKLQHNKERLEVLKNRMRGKPRGAQHKSSASQHDSGGEEHNIGRQQYTKKCQENKYIDYESNDEQFKDTDMEG >KQK90451 pep chromosome:Setaria_italica_v2.0:IX:42764434:42765762:1 gene:SETIT_036258mg transcript:KQK90451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATAAKLIGREKITGATAALFAGSVEVPERFLRTKELQAAGVVVGDDETFKLPVVNMAKLLDPELSASETAKLGSACRGWGFFQLTNHGVDEAATQLMKDSTAQFFNLPLESKNTVAVREGGFEGFGHHYNGSSSDKLDWAENLFLYTQPVQDRDMEFWPANPPTLRHALDRYSVEMTDLARRVLGFMAADLGVSRDALLGAFFSRDDHDDDTLKPKMQSVAMHHYPPCHHVDKVLGIAPHTDTLGLTFLLHADDTPGLQIKRGGRWFPVRPLPGAFVVNVGDILDVLTNGAYGSVEHRVVPDAERGRTTVATFHDACVRGQVAPLPELLGVGGGEVAARYRSIGKLEFRKGSARATAQGTRFLDTIRM >KQK91973 pep chromosome:Setaria_italica_v2.0:IX:53012138:53012532:-1 gene:SETIT_040453mg transcript:KQK91973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLAVSIFASSIFCVYFLFQCMCWFNNTNASRRFIILIILILDPIVSHLLFLGLECGLLRLSKKL >KQK90659 pep chromosome:Setaria_italica_v2.0:IX:44276918:44282451:-1 gene:SETIT_039913mg transcript:KQK90659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRLLDKSLNPNKLLKEQFVSNLTGSSLTEIAALSTIVPALVVLRKWSSGDNTRRDAAKKNGDRVLPVRKDWMQYFCTLVVDYLTVVLPVLLVFTVLDEWAYMCSISLVILIAVYIMFKRSQSHLKAGLSQLPSLRADISSYRVSVVLVTCLCILAVDFKIFPRRYAKAETYGSGIMDLGVGSFVVANALVSRQARNITTMSFRTALSSISPLVFLGFARILSTSGVDYQVHVGEYGVHWNFFFTLAAVSILTSIVRIHPKHCGLVGLLILAGYQIWLSSGLNEYLISDKRSADLISQNKEGIYSILGYWGMFLIGVSLGYYLFVDTSLKGKNRNTQVVKVWVLAASFWILAIILDSYIERVSRRMCNFAYVMLVFGQNFQVLSILTLAGFISYEKNLVLEDAFNQNMLGSFLLANILTGLVNLSVDTLSASSLTAFMILSVYTFTLCMVTGLAHFFGVRMKFW >KQK87801 pep chromosome:Setaria_italica_v2.0:IX:9434593:9436982:1 gene:SETIT_037262mg transcript:KQK87801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRTILLQACNARAEDYAEYTILHGVIVLIAEHARGQCAHASVHRMLGPSGPDMAQYLSDCVSPLASVVPWTTFPPSPTTPTHRGAAESSSKPTKSSRTPAPPNRAASEIQNGLEAAGRRRRKRRKQAPVVAKSSGAAAAAAAAGVPAMITRSKLVEQLRDYQIRSQHKRDIIGAISWGLLCCFLIISSYMTLYFRHFWLSAIIISVGILLPAGLYILRQRKLAKKRERRLLLPLSM >KQK88276 pep chromosome:Setaria_italica_v2.0:IX:12821424:12824457:1 gene:SETIT_038079mg transcript:KQK88276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDFCFTIPYGFAVLAGGVLGYLRRGSTASLAGGAGAGALLLLAGFVSLKAFEKRRNSYLALALETLCAFALTYVMGQRYLETSKVMPAGVVAGLSALMSAFYLFKIATGGNHIPPKKE >KQK86142 pep chromosome:Setaria_italica_v2.0:IX:451825:453685:-1 gene:SETIT_035974mg transcript:KQK86142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEEKTATVKTEEPSPAEEQQPAAGATRRAGPSAPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTQMAEQLQKTVVSPRQAPAAKQAVPQAAAALDPQKYVATMQQLMQNPQFVAMAERLGSALMQDPAMATMLGGLTNPAHKEQLEARIARMKEDPTLKPILDEIETGGPAAMMKYWNDPEALQKFGRAMGVGPSSEAAGAEHDEAEEEAGEEEGEYEESSIIHHTASVGDVEGLKKALEDGVDKDEEDSEGRRGLHFACGYGELNCAQVLLDAGAAVDAVDKNNNTALHYAAGYGRKDCVALLLESGAAVTLQNLDGKTPIDVAKLNNQEDVLKLLEKHAFV >KQK86143 pep chromosome:Setaria_italica_v2.0:IX:451578:453798:-1 gene:SETIT_035974mg transcript:KQK86143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEEKTATVKTEEPSPAEEQQPAAGATRRAGPSAPANPFDFSTMMNLLNDPSIKEMAEQIAKDPAFTQMAEQLQKTVVSPRQAPAAKQAVPQAAAALDPQKYVATMQQLMQNPQFVAMAERLGSALMQDPAMATMLGGLTNPAHKEQLEARIARMKEDPTLKPILDEIETGGPAAMMKYWNDPEALQKFGRAMGVGPSSEAAGAEHDEAEEEAGEEEGEYEESSIIHHTASVGDVEGLKKALEDGVDKDEEDSEGRRGLHFACGYGELNCAQVLLDAGAAVDAVDKNNNTALHYAAGYGRKDCVALLLESGAADAAEPGREDAHRRGQAQQPGGRPQAAREARLCIGAAAKLLITLLHALLCSCSLALLCPALLSPPKEVSFLQFGVCIESLHAGFCLRN >KQK90828 pep chromosome:Setaria_italica_v2.0:IX:46047428:46048735:1 gene:SETIT_039784mg transcript:KQK90828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CKPRRSHHTIWRAPRARNADAPVHAARDRLAGRRPPLARGCGELGMTAVAGGIERVPPARCNPSIVSRQIKPAIFPPLVVVSPGDRVPPMGHTCWPAAGGAAVKAEAVIICVLLLLLPAAAAQQAPTAEGAAAIDAEADGNGTTRGGGGGGGRGGGGRGGGDGARSKLVSSIDCQICEATCRVKCLINNLFQWGVCYQRCKSDNCNDWCR >KQK86960 pep chromosome:Setaria_italica_v2.0:IX:4716243:4719026:-1 gene:SETIT_036283mg transcript:KQK86960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYASGGELFERICKNVRFSEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSDAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYAIPDNVNISLECRHLISRIFVGDPAMRITIPEIRNHSWFLKNLPADLMDDDSMSNQYEEPDQPMQTMDQIMQILTEATIPPACSRSINVFADGLDMDDDMDDIESDSDLDVDSSGEIVYAM >KQK86961 pep chromosome:Setaria_italica_v2.0:IX:4716243:4721204:-1 gene:SETIT_036283mg transcript:KQK86961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPDRAALTVGPGMDMPIMHDSDRYELVRDIGSGNFGVARLMRDRRTSELVAVKYIERGEKIDENVQREIINHRSLKHPNIIRFKEVILTPTHLAIVMEYASGGELFERICKNVRFSEDEARYFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSDAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMVVGAYPFEDPEEPKNFRKTIQRILNVQYAIPDNVNISLECRHLISRIFVGDPAMRITIPEIRNHSWFLKNLPADLMDDDSMSNQYEEPDQPMQTMDQIMQILTEATIPPACSRSINVFADGLDMDDDMDDIESDSDLDVDSSGEIVYAM >KQK90175 pep chromosome:Setaria_italica_v2.0:IX:40397619:40398302:1 gene:SETIT_040084mg transcript:KQK90175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPSRSDSFSRGGWPRCKARCAPSFERLDIHGVGLGESFNSSTASFIDMDPEELFSMRWTSDDAGFDFGPPCAGSCSPLLASAGLVFSDDGLLPCEPSGIASASYADASAGSSPAFHTAQSTPASVIGSSRRPAGGAKPLLATRRLLLRYLRFLVPLCRKARALRMPARAFSAPRARSVAATPARRSTSSATSAAEYWCHGNADTAVRDAILHCKKSLLTARTEC >KQK89624 pep chromosome:Setaria_italica_v2.0:IX:34076229:34079271:1 gene:SETIT_034906mg transcript:KQK89624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METADEEIPLVAHHLQPPPQVVASEYASDGSVDINKQPAVKRSTGKWRACYLILGVEFCETMAFGAISTNLVTFLTTVLHERKVDAARNVSAWLGACCLSPLLGAFFADTYWGRYWTIVASVPVYIIAMLILIASASLPVFSASSYHDVHSAAVYLGLYLLAISNGGLKPCISTFGADQFDINDRVELAQKGSFFNWYFFLTSTSSLLSGTVIVWLEDNVGWAVGYVIPAVLMLFFFVVFVAGSRIYRFRGMEASPLTSIFQVVVAAVRKWHLQLPEDSSLLYERSPSTAEASQKNKHSNRFRFFDKAAIAPSDNESVVQMSSWRLCTVSQVEELKMLLSMSPTWGSFVIFYSVSAQMQSTLVEQGMFMDKHVGSFAIPPASMPTVSVCSFLIWIPIYETILIPLARRFTGKEKGISQSQRLGIGQALSTLTMVLAALLETRRLAIAEANGLKHQDVPVPISILWQVPLYLVHGAAAVFGGIGLTEFFYDEAPVTMRSLCAALGQLATAAGSYFSSAVLSIVAVATTRGGEPGWIPDNLNEGHLDYFFWMMAALSFLNLVLFVGYSMRHKRI >KQK89625 pep chromosome:Setaria_italica_v2.0:IX:34076249:34079271:1 gene:SETIT_034906mg transcript:KQK89625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METADEEIPLVAHHLQPPPQVVASEYASDGSVDINKQPAVKRSTGKWRACYLILGVEFCETMAFGAISTNLVTFLTTVLHERKVDAARNVSAWLGACCLSPLLGAFFADTYWGRYWTIVASVPVYIIAMLILIASASLPVFSASSYHDVHSAAVYLGLYLLAISNGGLKPCISTFGADQFDINDRVELAQKGSFFNWYFFLTSTSSLLSGTVIVWLEDNVGWAVGYVIPAVLMLFFFVVFVAGSRIYRFRGMEASPLTSIFQVVVAAVRKWHLQLPEDSSLLYERSPSTAEASQKNKHSNRFRFFDKAAIAPSDNESVVQMSSWRLCTVSQVEELKMLLSMSPTWGSFVIFYSVSAQMQSTLVEQGMFMDKHVGSFAIPPASMPTVSVCSFLIWIPIYETILIPLARRFTGKEKGISQSQRLGIGQALSTLTMVLAALLETRRLAIAEANGLKHQDVPVPISILWQVPLYLVHGAAAVFGGIGLTEFFYDEAPVTMRSLCAALGQLATAAGSYFSSAVLSIVAVATTRGGEPGWIPDNLNEGHLDYFFWMMAALSFLNLVLFVGYSMRHKRI >KQK88682 pep chromosome:Setaria_italica_v2.0:IX:16184714:16185683:1 gene:SETIT_037735mg transcript:KQK88682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSSSLSMVASHRLFAPVHAVGGADHGVELDEADVIWGGGPASSSPFQTSAAAADPYARSPPVAAPSKPKPRGGGGAAGPASVPVNIPDWSKILGAEYAGSCAGARRWAAHDDLAEDVAGCGSGGRRWVPPHEMLQCRERAAASFSVREGAGRTLKGRDLRRVRNAIWEKTGFQD >KQK87353 pep chromosome:Setaria_italica_v2.0:IX:6823972:6826275:-1 gene:SETIT_038119mg transcript:KQK87353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEVGVNEEAVAAPEEATPTLTATPTLTAPEKEPEAREKCYKKTVGEEATFLESAKDYFNQFKAMPAQKHWICVKNYFSQKCSSVFGKQKVEPVVKDKTPEVVKPAAVETH >KQK91566 pep chromosome:Setaria_italica_v2.0:IX:50559205:50566117:-1 gene:SETIT_034479mg transcript:KQK91566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGASGRGGGGGGGRRVGEYELLRPIGSGAYSQVWLGRHRARGAEVAVKEIAMERLSSKLRESLLSEVDILRRIRHPNVIALHDSIKDHGRIYLILEYCRGGDLHGYLQRHKRVSETVAKHFIRQLASGLQMLRDNNVVHRDLKPQNILLVENNENSLLKIADFGFAKFLQPFALAETLCGSPLYMAPEVMQAQKYDAKADLWSVGVILYQLVTGIPPFNGDNQIQLLKNILRSREIQFPSDCELSHGCIDLCRKLLRLNSVERLTVEEFVHHPFLSEHAPERTLSRSPSDIRDLPSSQSSQEDCMPFPLDDESSGQDESPVPESKSPMKSYGFPVGKRLDKTSGQSPSKHVGLFSRYVLGNNHAPSSQHHDHAGKKTKEGNVDQVQGRKGGYPEEFVDQEYVFVSGPEGSSSSTNASRQQNLPTKYDNSSVSPPKLTLLSSPMPINGLPINRQQSAGTGSLDSHCSPASGTSQGSADMSDAMDQPPSDHPARIRLLEQYASAISFLVKDEIKAGRHLEAFSIQLIVLATWKQAIHLCNTFVASTARDSPSQDIPMKGLSADAPHLLGNSQLADDACMQIERQFLSDVEYAEGLASTVGQIADGTEMPDAIEIIFQSALHIGRRGGVDEMFGKAADAMTGYTRAVSMLRFLLIEAPSLALNPPLTLTRSDRHRLRTYIEALNTRLGQMRSQRH >KQK91565 pep chromosome:Setaria_italica_v2.0:IX:50559972:50566011:-1 gene:SETIT_034479mg transcript:KQK91565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGASGRGGGGGGGRRVGEYELLRPIGSGAYSQVWLGRHRARGAEVAVKEIAMERLSSKLRESLLSEVDILRRIRHPNVIALHDSIKDHGRIYLILEYCRGGDLHGYLQRHKRVSETVAKHFIRQLASGLQMLRDNNVVHRDLKPQNILLVENNENSLLKIADFGFAKFLQPFALAETLCGSPLYMAPEVMQAQKYDAKADLWSVGVILYQLVTGIPPFNGDNQIQLLKNILRSREIQFPSDCELSHGCIDLCRKLLRLNSVERLTVEEFVHHPFLSEHAPERTLSRSPSDIRDLPSSQSSQEDCMPFPLDDESSGQDESPVPESKSPMKSYGFPVGKRLDKTSGQSPSKHVGLFSRYVLGNNHAPSSQHHDHAGKKTKEGNVDQVQGRKGGYPEDSPMIDSLEFVDQEYVFVSGPEGSSSSTNASRQQNLPTKYDNSSVSPPKLTLLSSPMPINGLPINRQQSAGTGSLDSHCSPASGTSQGSADMSDAMDQPPSDHPARIRLLEQYASAISFLVKDEIKAGRHLEAFSIQLIVLATWKQAIHLCNTFVASTARDSPSQDIPMKGLSADAPHLLGNSQLADDACMQIERQFLSDVEYAEGLASTVGQIAGMLTCQQFWIIMISW >KQK91567 pep chromosome:Setaria_italica_v2.0:IX:50559559:50566011:-1 gene:SETIT_034479mg transcript:KQK91567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGASGRGGGGGGGRRVGEYELLRPIGSGAYSQVWLGRHRARGAEVAVKEIAMERLSSKLRESLLSEVDILRRIRHPNVIALHDSIKDHGRIYLILEYCRGGDLHGYLQRHKRVSETVAKHFIRQLASGLQMLRDNNVVHRDLKPQNILLVENNENSLLKIADFGFAKFLQPFALAETLCGSPLYMAPEVMQAQKYDAKADLWSVGVILYQLVTGIPPFNGDNQIQLLKNILRSREIQFPSDCELSHGCIDLCRKLLRLNSVERLTVEEFVHHPFLSEHAPERTLSRSPSDIRDLPSSQSSQEDCMPFPLDDESSGQDESPVPESKSPMKSYGFPVGKRLDKTSGQSPSKHVGLFSRYVLGNNHAPSSQHHDHAGKKTKEGNVDQVQGRKGGYPEDSPMIDSLEFVDQEYVFVSGPEGSSSSTNASRQQNLPTKYDNSSVSPPKLTLLSSPMPINGLPINRQQSAGTGSLDSHCSPASGTSQGSADMSDAMDQPPSDHPARIRLLEQYASAISFLVKDEIKAGRHLEAFSIQLIVLATWKQAIHLCNTFVASTARDSPSQDIPMKGLSADAPHLLGNSQLADDACMQIERQFLSDVEYAEGLASTVGQIADGTEMPDAIEIIFQSALHIGRRGGVDEMFGKAADAMTGYTRAVSMLRFLLIEAPSLALNPPLTLTRSDRHRLRTYIEALNTRLGQMRSQRH >KQK87075 pep chromosome:Setaria_italica_v2.0:IX:5459949:5461019:-1 gene:SETIT_038100mg transcript:KQK87075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPMDPMLWHKVAAVSGVAALGLGTYGAHMFRPKNPAYKEVWHTASLYHLVHTAALLGAPITKRPNIFGGLLTAGIVFFSGTCYTVAYLEDRKFSSPAPLGGFAFIAAWASLLF >KQK88813 pep chromosome:Setaria_italica_v2.0:IX:17392673:17395077:1 gene:SETIT_037732mg transcript:KQK88813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKPISRLISHVILDLDGTLLNTDSVVSKVVKPFLVKNGKTWDSKKAHKLVGKTPYEAAAVVLEDYGLPYSTEEFLSMLTPMFSEQWCNIKPLPGANRLINHLRSNGVPTALASNSPRPNIEAKISCHQGWKESFSAIVGGDEIEKGKPYPDIFLEAAKRMNTTPSNCLVIEDSL >KQK87400 pep chromosome:Setaria_italica_v2.0:IX:7144065:7146501:-1 gene:SETIT_036200mg transcript:KQK87400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDYLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYDQEMETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >KQK91201 pep chromosome:Setaria_italica_v2.0:IX:48424662:48431268:-1 gene:SETIT_034192mg transcript:KQK91201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAYHAGLSSGDMGYRLPPKEIQDIVDAPPLPVLSFSPNKDKILFLKRRALPPLSDLAKPEEKLAGLRIDGNSNTRSRMSFYTGIGIHKLLDDGTLGPEKEVYGYPVGARINFVTWSQDGRHISFTVRVDEEDNKSGKLRVWIADVESGEARPLFKSPEIYLNAIFDSFVWVNNSTLLVCTIPVTRGAPPQKPSVPSGPKIQSNETKNVVQVRTFQDLLKDEHDADLFDYYATSQLVLASLDGTVKPIGPPAVYTSIDPSPDDKYLMVSSIYRPYSYIVACGRFPKKVELWTVDGKFIRELCDLPLAEDIPITMSSVRKGKRSINWRADKPSTLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVLSPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTDMGTYVIAKIKKQDGSTYVLLNGMGATPEGNVPFLDLFDINTGSKERIWESDKEKYYETVVALMSDKTDGELSLDQLKVLTSKESKTENTQYYLQTWPEKKQVKITNFPHPYPQLASLYKEMIRYQRKDGVQLTANLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDRYVEQLVASAEAAVEEVVKRGVAHPDKIAVGGHSYGAFMTANLLAHASHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQNYCVNGASKADSNSVTDSENKTLSASGGSAAREDLNPEGSSYLPRSLL >KQK91200 pep chromosome:Setaria_italica_v2.0:IX:48424986:48431160:-1 gene:SETIT_034192mg transcript:KQK91200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAYHAGLSSGDMGYRLPPKEIQDIVDAPPLPVLSFSPNKDKILFLKRRALPPLSDLAKPEEKLAGLRIDGNSNTRSRMSFYTGIGIHKLLDDGTLGPEKEVYGYPVGARINFVTWSQDGRHISFTVRVDEEDNKSGKLRVWIADVESGEARPLFKSPEIYLNAIFDSFVWVNNSTLLVCTIPVTRGAPPQKPSVPSGPKIQSNETKNVVQVRTFQDLLKDEHDADLFDYYATSQLVLASLDGTVKPIGPPAVYTSIDPSPDDKYLMVSSIYRPYSYIVACGRFPKKVELWTVDGKFIRELCDLPLAEDIPITMSSVRKGKRSINWRADKPSTLYWVETQDGGDAKVEVSPRDIVYMENAEPINGEQPEILHKLDLRYAGTSWCDESLALVYESWYKTRKTRTWVLSPDKKDVSPRILFDRSSEDVYSDPGSPMLRRTDMGTYVIAKIKKQDGSTYVLLNGMGATPEGNVPFLDLFDINTGSKERIWESDKEKYYETVVALMSDKTDGELSLDQLKVLTSKESKTENTQYYLQTWPEKKQVKITNFPHPYPQLASLYKEMIRYQRKDGVQLTANLYLPPGYDPSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNEFPGIGATSPLLWLARGFAILSGPTIPIIGEGDEEANDSIFIVSILQTICRYVEQLVASAEAAVEEVVKRGVAHPDKIAVGGHSYGAFMTANLLAHASHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATSTYVEMSPFMSANKIKKPILLIHGEQDNNSGTLTMQSDRFFNALKGHGALSRLVILPFESHGYSARESIMHVLWETDRWLQNYCVNGASKADSNSVTDSENKTLSASGGSAAREDLNPEGSSYLPRSLL >KQK92254 pep chromosome:Setaria_italica_v2.0:IX:54590077:54590799:1 gene:SETIT_038388mg transcript:KQK92254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGNVLLPHWGWWNENWSADCPSLHVVHNSSPWQPSSAEVLVVEKHHKEASTRSFTFQTNMVYLNMLCW >KQK87614 pep chromosome:Setaria_italica_v2.0:IX:8240257:8244609:-1 gene:SETIT_035141mg transcript:KQK87614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGTTVIIPTIIVPLMGGGHAEKAIVIQTILFLAGINTLLQVHFGTRLPAVMGGSYTYIYPIVAIVLSPRHALIIDPLERFVLTMRSIQGALIIAGVFQAVVGFFGIWRVFIRFLSPLAAFPFVTLSGLGLFYFTFPGVAKCIEIGLPALVLLVLFAEYASHFFVKGSFVFGRCAVLVTIIIVWIYAEILTAAGAYNERGPVTQFSCRTDRAGIIQGSPWVRFPYPFQWGYPIFCWQDCLAMLAASFASLIESTGTLIVVSRFAGATFCPPSVFSRGVGWEGISIILDGMCGTLTGTAASVENAGLLPLTRVGSRRVIKISALFMIFFSLFGKFGAVLASIPLSLFAALYCVLFAYTVGAGLSLLQYCNLNSLRSKFIISISLFLGLSIPQYFRVYEMFFGFGPVHTHSVAFNVMVNVIFSSPATVAAILAYLLDCTHLYWEASVRKDRGWHWWEKFKSYKQDSRSEEFYALPYGLSRYFPSL >KQK87615 pep chromosome:Setaria_italica_v2.0:IX:8240257:8244609:-1 gene:SETIT_035141mg transcript:KQK87615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVKAEDLVPHPVREQFDGLDFCITSPPPWLTTVVVAFQHYLVMLGTTVIIPTIIVPLMGGGHAEKAIVIQTILFLAGINTLLQVHFGTRLPAVMGGSYTYIYPIVAIVLSPRHALIIDPLERFVLTMRSIQGALIIAGVFQAVVGFFGIWRVFIRFLSPLAAFPFVTLSGLGLFYFTFPGVAKCIEIGLPALVLLVLFAEYASHFFVKGSFVFGRCAVLVTIIIVWIYAEILTAAGAYNERGPVTQFSCRTDRAGIIQGSPWVRFPYPFQWGYPIFCWQDCLAMLAASFASLIESTGTLIVVSRFAGATFCPPSVFSRGVGWEGISIILDGMCGTLTGTAASVENAGLLPLTRVGSRRVIKISALFMIFFSLFGKFGAVLASIPLSLFAALYCVLFAYTVGAGLSLLQYCNLNSLRSKFIISISLFLGLSIPQYFRVYEMFFGFGPVHTHSVAFNVMVNVIFSSPATVAAILAYLLDCTHLYWEASVRKDRGWHWWEKFKSYKQDSRSEEFYALPYGLSRYFPSL >KQK87613 pep chromosome:Setaria_italica_v2.0:IX:8242047:8244445:-1 gene:SETIT_035141mg transcript:KQK87613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVKAEDLVPHPVREQFDGLDFCITSPPPWLTTVVVAFQHYLVMLGTTVIIPTIIVPLMGGGHAEKAIVIQTILFLAGINTLLQVHFGTRLPAVMGGSYTYIYPIVAIVLSPRHALIIDPLERFVLTMRSIQGALIIAGVFQAVVGFFGIWRVFIRFLSPLAAFPFVTLSGLGLFYFTFPGVAKCIEIGLPALVLLVLFAEYASHFFVKGSFVFGRCAVLVTIIIVWIYAEILTAAGAYNERGPVTQFSCRTDRAGIIQGSPWVRFPYPFQWGYPIFCWQDCLAMLAASFASLIESTGTLIVVSRFAGATFCPPSVFSRGVGWEGISIILDGMCGTLTGTAASVENAGLLPLTRVGSRRVIKISALFMIFFSLFGKLSHSLKLLAASIVNT >KQK91550 pep chromosome:Setaria_italica_v2.0:IX:50494260:50497440:1 gene:SETIT_035651mg transcript:KQK91550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNLERDDALDTVLEVPIPEEMFSGGGGGGSRGSRFGCTNAFVKAWTRSHAADRSGAGEPCSMSRGELQLMLGVIGAPLIPLPVHHAKQSPSSVLCEQLKADPIESSSAKYIVQQYIAASGGEWALNKVTSMYAMGKVRMTAAELNSSDGDAHGGGGGGGSGGTGHGHRGGKKGGKGCGGEVGGFVLWQKKPELWCLELVVSGCKISAGSDGKVAWRQTPWHQSHASRGPPRPLRRSLQGLDPQLTASLFADSVCIGERSVDGEDCFVLKVEAEASSLRARNSSSVEIIRHTVWGYFSQRTGLLVQLEDSHLLQIRSSGGTGGSVFWETTMESRLGDYRAVDGVNIAHSGRTAVSLVRFGDCQDGNTRTRMEEAWDIEEVDFNIWGLSMDCFLPPSDLREGKETQDVAVVKADARPPPIRIPAVTVRVGPSQVAAVNMDDSDSLIARS >KQK86996 pep chromosome:Setaria_italica_v2.0:IX:4976309:4978226:1 gene:SETIT_038989mg transcript:KQK86996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAYVAILSIIFLFSLYRLRGGRPRQQDQWQDQEQAAAGSHLALPPSRSRECFTEHDVTFANRPRFPSLLLISYDGTTLPTCGYGPHWRNLRRVATVQLLSAHRVRCMSPVISGEVRTMVRRTYRTAAAAPGGAARVELKRRLFEVSLSALMGTIARTKASSAEADADTDTSPEAQEFKKALDEFIPLVDAASVWDLLPVLRWFDVFGARKKIVAAVGRRDAFLRRLIDAERRSLDGGGENDKKSMISVLLSLQKSGAGCVHGYRNHGSVLCSVGPSRLLGADDLPRLGYLQCIVSETLRQYPVVPTLIPHESTADCAVGGHLVPAGTMLLVNVYAIHRDPAAWADPAAFRPERFEGGGAEGRLLMPFGMGRRKCPGETLALRTLGLVLGTLIQCFDWDTVGGAEVDMAEGVGITLPRAVPLEAMCRPRQAMLDVLQKL >KQK92861 pep chromosome:Setaria_italica_v2.0:IX:57549356:57550417:1 gene:SETIT_038932mg transcript:KQK92861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLQLRPPALVAVMFLRYYYCSAAHPTHLATCSEQEAIVTGGGATRRHSPWVRRDQRPVAAAGQGKAAPCSARISAKAGCGVGDGGRGSGGRQRPSLSLAALSLRFGSRGIRRRGRPPHAGSGRDGRRGQRARDPAVGTAGAGGRRGMRQRPAGRGASSTTPVLRLMCHLFVQEMQCPNGSLRLVVLILHRRHDPA >KQK87833 pep chromosome:Setaria_italica_v2.0:IX:9629519:9633717:-1 gene:SETIT_034992mg transcript:KQK87833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDNSSRNKLSWSRTLVRKWFNIKTKAKDFHSDYAAEEVGVQWRTSFSDRDACKSKKSRTERLPKRNVDRDGRLGNGFDGAYITNTQDYRVFVATWNVGGRSPSSHLNLEDWLHTSPAADIYVIGFQEIVPLNAGNVLLTEDNGPAKKWVSLVRKTLNNLDQQGSGVYNYHTPSPAPDPIVELNVDFERSSRRQRNSSFFHRRSFQSFNRSSRIDMMDPHSLVDRRFSVCDRISFGSRPSDVDTSMRCGGSSDDENIDEESPSGIFFPPMPCGYGAPLCTDSRYCLVASKQMVGIFLMVWVRSDIREHVKNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCTHLTSGQKDGDQLRRNADVVEILRKTRFPHVHGAGDKKSPETILDHDRIIWLGDLNYRIALSYRSVKALVEMHNWKQLLEKDQLRIEQRFGRVFAGWKEGRIYFPPTYKYSYNSDRYAGDDMHPNEKRRTPAWCDRILWYGRGLNQLCYVRGESRFSDHRPVYSIFTAEVQISSQTQFSGITRSASLLGVDELPYPTYPRSYMDINFY >KQK90111 pep chromosome:Setaria_italica_v2.0:IX:39756659:39757859:1 gene:SETIT_037246mg transcript:KQK90111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKVFGSPASAEVARVLTCLFEKDVEFQLIRVDSFRGSQRMPQYLKLQPHGEALTFEDSNVTLVESRKILRHIADKYKNQGNKDLFGPGALERASIEQWLQTEAHSFDIPSADMVYSLAYLPPDMPLDGRGAAAGMHPSHRQKVEEMAQLFEKSRKDLGKLLDIYEQRLGEEEFLAGSKFTLADLSHLPNADRLAADPRSARLIESRRNVSRWWYTISGRDSWKRVKELQRPPSAEAPF >KQK92987 pep chromosome:Setaria_italica_v2.0:IX:58192876:58196637:1 gene:SETIT_034382mg transcript:KQK92987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPGMPPFSPAAGTPVGAAATPAAAPSPISSRPPEGQQQQQVDELAGGGGSFADHEGSMSAGGDEGERGGPSGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEQVSRKLEAMGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKAYRFFSELEALHGASSSPAPHPPPPSSLAPTPVAMAPPATPLPVLQGVPGMAPAMHAEHQPTRVAAVPQPAPLMSGTTAPAAVASDAACMMTPGDVSFSSGSDGEDTEDTGDGGKRKRQGGDVGGGGSGSGKMMRFFEGLMRQVMERQEEMQQRFIEAIERREQDRMIREEAWRRQEVARLAREQDALAQERAMAASRDAAVVSFIQRVTGQTIPMPSVAPPVFISALTPPPLQPTPVASAAPAAAPAQHQQPPSIHLSPKPGKPHPQPHQTQPPLAQLQMSSKEMIVRAPPAESQETPGSGGGAPSPSRWPKAEVHALIQLRTELEARYQDSGPKGPLWEDISAGMRRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLEALYRSKALASSAAPPPPADQQAAGVTVLAAVPLSQTPPHAEHGGKDCSNGNGNGCAAGRGGSDNNGGSSGGMQTQASNGGVAARFSVEGAGGNGVATNKQPEGIITKETAATTEPRPQPVSMNDSYVNDTVDSDSSMDDDDDEDDFDDDDEGNVGGGNSKMQYEIQFQRQQQSQSSVVRPNASGGAGSGPGGPGPAATASGSFLTMVHH >KQK92988 pep chromosome:Setaria_italica_v2.0:IX:58192876:58196637:1 gene:SETIT_034382mg transcript:KQK92988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPGMPPFSPAAGTPVGAAATPAAAPSPISSRPPEGQQQQQVDELAGGGGSFADHEGSMSAGGDEGERGGPSGNRWPRQETLALLKIRSEMDAAFREAALKGPLWEQVSRKLEAMGYKRSAKKCREKFENVDKYYKRTKDGRAGRGDGKAYRFFSELEALHGASSSPAPHPPPPSSLAPTPVAMAPPATPLPVLQGVPGMAPAMHAEHQPTRVAAVPQPAPLMSGTTAPAAVASDAACMMTPGDVSFSSGSDGEDTEDTGDGGKRKRQGGDVGGGGSGSGKMMRFFEGLMRQVMERQEEMQQRFIEAIERREQDRMIREEAWRRQEVARLAREQDALAQERAMAASRDAAVVSFIQRVTGQTIPMPSVAPPVFISALTPPPLQPTPVASAAPAAAPAQHQQPPSIHLSPKPGKPHPQPHQTQPPLAQLQMSSKEMIVRAPPAESQETPGSGGGAPSPSRWPKAEVHALIQLRTELEARYQDSGPKGPLWEDISAGMRRLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYYHQLEALYRSKALASSAAPPPPADQQAAGVTVLAAVPLSQTPPHAEHGGKDCSNGNGNGCAAGRGGSDNNGGSSGGMQTQASNGGVAARFSVEGAGGNGVATNKPEGIITKETAATTEPRPQPVSMNDSYVNDTVDSDSSMDDDDDEDDFDDDDEGNVGGGNSKMQYEIQFQRQQQSQSSVVRPNASGGAGSGPGGPGPAATASGSFLTMVHH >KQK92360 pep chromosome:Setaria_italica_v2.0:IX:55127937:55130991:-1 gene:SETIT_035263mg transcript:KQK92360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLRAAGRRRCPAEVLRRLVSSEAAPERAPSRTPPEMPPFDHQPRPYAGMGGAEIFEKRKAVLGPSLFHYYQKPLNIVEGKMQYLYDEHGKRYLDCFGGIVTVSCGHCHPDIVSAVVEQTKLLQHTTTIYLHHAIVEFAEALTSKMPGNLKVAYFVNSGTEANELAMLMARLYSGNLSMVALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAAAYAKEVEEHITYGSSGRVAGFIAETFQGVGGAVELAPGYLKLAYDIVRKAGGVCIADEVQSGFGRTGSHYWGFQTQGVIPDIVTMAKVISYSDMQACPFRHLGKKTIFPDSNVPFLLFPMFQGIGNGLPLGAVVTTPEIASVLSQKIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADVGAHLVERLKSLQEKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETTELFEKLKDLGILVGKGGLHGNVFRIKPPMCFSKDDADFLVDAMDYAMSGL >KQK92358 pep chromosome:Setaria_italica_v2.0:IX:55128787:55130914:-1 gene:SETIT_035263mg transcript:KQK92358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLRAAGRRRCPAEVLRRLVSSEAAPERAPSRTPPEMPPFDHQPRPYAGMGGAEIFEKRKAVLGPSLFHYYQKPLNIVEGKMQYLYDEHGKRYLDCFGGIVTVSCGHCHPDIVSAVVEQTKLLQHTTTIYLHHAIVEFAEALTSKMPGNLKVAYFVNSGTEANELAMLMARLYSGNLSMVALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAAAYAKEVEEHITYGSSGRVAGFIAETFQGVGGAVELAPGYLKLAYDIVRKAGGVCIADEVQSGFGRTGSHYWGFQTQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLSQKIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADVGAHLVERLKSLQEKHESKIFKSPFLFI >KQK92359 pep chromosome:Setaria_italica_v2.0:IX:55127937:55130991:-1 gene:SETIT_035263mg transcript:KQK92359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLRAAGRRRCPAEVLRRLVSSEAAPERAPSRTPPEMPPFDHQPRPYAGMGGAEIFEKRKAVLGPSLFHYYQKPLNIVEGKMQYLYDEHGKRYLDCFGGIVTVSCGHCHPDIVSAVVEQTKLLQHTTTIYLHHAIVEFAEALTSKMPGNLKVAYFVNSGTEANELAMLMARLYSGNLSMVALRNAYHGGSAGTIGLTGLQTWKYPIPQGEIHHVMNPDPYRGTFGSDAAAYAKEVEEHITYGSSGRVAGFIAETFQGVGGAVELAPGYLKLAYDIVRKAGGVCIADEVQSGFGRTGSHYWGFQTQGVIPDIVTMAKGIGNGLPLGAVVTTPEIASVLSQKIQFNTFGGNPVCSAGGLAVLKVLDKEKRQAHCADVGAHLVERLKSLQEKHEIIGDVRGRGLMLGVELVTDRKEKTPAKAETTELFEKLKDLGILVGKGGLHGNVFRIKPPMCFSKDDADFLVDAMDYAMSGL >KQK87748 pep chromosome:Setaria_italica_v2.0:IX:9079639:9085371:-1 gene:SETIT_035852mg transcript:KQK87748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEYHCCSAPFFEHIVIIIVLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTEQDRKHAAKILPVVKNQHLLLCTLLICNAAAMEALPIFLDSLVTAWGAILISVTLILLFGEILPQSICSHYGLAIGASVAPLVRGLVWICFPVAYPISKLLDYVLGHGQTALFRRAELKTLVTLHGNEAGKGGELTHDETTIIAGALELTEKKAKDAMTPLCQTFAIDINAKLDRELMQEVLEKGHSRVPVYYEKKKNIIGLILVKNLLSVNPDDEVPIKSVTVRKIPRVFEDMPLYDILNEFQKGHSHMAVVIRKNIPNEPAEQPANDGGTFEVSIAIDDKNNEKVVKNLPPPLRRWKSYPNTQNTSNRGSKPKKWSKDQSDVLQIHEEPLPTLSEDEEAVGIITMEDVIEELLQEEIYDETDVHEEQ >KQK91076 pep chromosome:Setaria_italica_v2.0:IX:47637813:47638505:-1 gene:SETIT_038765mg transcript:KQK91076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRLPGVVPAVRVASRLQLATTFAAEPLSSSRRGARRGPPPALTIDLRVVIRRYFPLPVVGPSGGTRIAEKVAADIALRRRPSRRLRAPESVERALEDEVLPLVAHPFDRGAVVAATKEICARVAAACDDPRVARGGARVLVLIDTFACPVVFRPPPRKPVPQGVVCAPENLVAGTATLCMDLETTVPTQCMDFETTVPTRKPNPCGVIGDRRPKPVVEERSKLEGLGTM >KQK91313 pep chromosome:Setaria_italica_v2.0:IX:49181799:49183808:-1 gene:SETIT_036123mg transcript:KQK91313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTVAIPSSPVFSPSRRQLSCKAASASPEPAVAVSTSSPAPAPAAAAGSPLRPFALRALLREEISPSSSPQPASAASVASALAGPVLKRRRPAPLVVPPTGTAAAAVAAVEVDPRNEVEEEGEEFAAYCRRGKGRRRVEMEDRHVAKVALGGDPQVALFGVFDGHGGKNAAEFAAENMPKFMAEELKKVNGGEIEGAVKRGYLKTDEEFLKRDESGGACCVTAVLQKGGLFVSNAGDCRAVLSRAGKAEALTSDHRASREDEKERIENLGGFVVNYRGTWRVQGSLAVSRGIGDAHLKQWIVADPDTRTLLVDQQCEFLILASDGLWDKIDNQEAVDLARPLCINNDKPSRMAACRMLTETSISRGSTDDISVVIIQLQKFTSS >KQK90808 pep chromosome:Setaria_italica_v2.0:IX:45874171:45883173:1 gene:SETIT_034350mg transcript:KQK90808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERRTEERGRTRDDSSPGSSSPRRRSSTRSRPAGTLPARRRRPSPQPTASERSASGARVSHRSALGFCFFSSSPGREAKSVVGFSLSQKKSVVGWWAAGTIWSLVGRRHQLMGCGWDAQRCSRARARIDRPNKLEQPAHTRTGSAKKYAHWNKHVTKTMQYIPVWLQRRATRQIKSNQNQPARDKNPPLSTDEPRRCRRGGMGSPAPEGEGQGPVVIHAWSAPRSLSTSLMYSFAQRDDMEVLDEPLYANFLQVTGVDRPYREELLSKMDPDGNKVVKEAIFGPGEKTYRYCKHISKQRLPNLSSDLMKKGKHFILIRNPLNILPSFDKVVPPSFLELGIGELVSIYSELCGLGKPPPVIDADDLQREPEVVLRGLCEDLGIPFQPQMLKWEAGPKEFDGIWAPWWYRSVHKSTGFSKFRQYPSTFPFALYDLLEQSLPFYNMLKRQVRGTIGSQQPLLPDPPLPVPANKKILVWVGDELLPRDSAKVSVFDSVVQGGDAVWEGLRIYDGKVFKLDEHLDRLFDSAKAMAFSNVPTRDWIKDAVFKTLIANGMFNNAHIRLTLTRGKKVTSGMSPAFNLYGCALIVLAEWKPPVYNNSHGIKLVTATTRRNSPNSIDSKIHHNNLINNILAKIEGNLAQAEDAIMLDKDGFVSETNATNIFMVKKGTVLTPHADYCLPGITRATVMDLVVKENFVLHERRISLSEFHAADEVWTTGTMGEITPVVMIDGREIGDGKIGPVTRQIQNAYKVLTAGQGVPIPRNGDA >KQK86818 pep chromosome:Setaria_italica_v2.0:IX:3931667:3932924:1 gene:SETIT_040790mg transcript:KQK86818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEMFTFLGSSFIFSSSKLWSCSKAGFYVM >KQK92962 pep chromosome:Setaria_italica_v2.0:IX:58064746:58068789:-1 gene:SETIT_035678mg transcript:KQK92962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSARRRLATSLSHHFSRRLHPSISHLIPPHHDRSESSSSSSAAPPPPQSQPAPPFPSALLRPSRSRALTSLPLPFALHLAAHRNFSTTSSSSTPDIDVAADVLSDAASSVPVSELLSDEVASAAASIPVPPAPYAGEVAAAAAESFPPVAALQHLLDAVQSFTGLNWWVTIALTTVLIRSLTVPLLINQMKSTIKLNEMRPEIEAINEEMRNSTDPRSMEVGKQKLGELFLKHDVTPLTPLKGLFIQGPIFMSFFFAISNMVEKVPSLKGGGAYWFTDLTTPDEFIILPVLTSLTFLATVELNMQDGMEGNPMAETMKKVSRFFGVMFVPLTLGFPKAFFFYWVTSNMFSLVYGVVIRNPAVRMCLDLPPLESQPAPARMQALNLVDGPKPSPRVDSPIADKECDQSSSELSEQSSSELSEQSSSELSDRIRDLENRAKSRGESQE >KQK87379 pep chromosome:Setaria_italica_v2.0:IX:6991581:6993336:-1 gene:SETIT_037256mg transcript:KQK87379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRGHWRPSEDEKLKELVALYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFSEEEEELLLASHRVHGNRWAVIARLFPGRTDNAVKNHWHVIMARRCRERMRMSNKRGAGGVPSAAAAGAAEDENNPRNAKRPRPDSSSMASLLDKYRREFAVPFAINHDSNKEDYCSTTNEEEDTNKSVEFYDFLQVNANSSDTKCGSSIEEQEENRDDQAEGQVQFIDFLEVGAASHRQ >KQK88355 pep chromosome:Setaria_italica_v2.0:IX:13529361:13534552:-1 gene:SETIT_0361822mg transcript:KQK88355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKVGPLSVSPMGFGTWAWGNQLLWGYQESMDSELQECFNLALKNGINLFDTADSYGTGKLNGQSERLLGRFIREFEGPIKSPDDVVIATKFAAYPWRLTSGQFVGACKSSLERLQLDRLGIGQLHWSTANYAPLQERALWDGLVEMYEKGLVRAVGVSNYGPKQLLKIHSYLASKGVPLSSAQVQFSLLSMGDEQMELKTVCDSLGIRLIAYSPLGLGMLTGKYDASNLPKGPRSVLFRQILPGLESLLSCLRRIAEKKGKTMSQVAINWCICRGTIPIPGVKTVRHVEENLGALGWRLSPAEISELESAAMAAPKRMIQNVFQTA >KQK87016 pep chromosome:Setaria_italica_v2.0:IX:5094918:5096367:1 gene:SETIT_036297mg transcript:KQK87016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPSIHSTSPIHTAETTRTSVTSRTTRRGSTADPTRTAPASPAPRRGAPAHGPRRRPLTSRPRPTRATTCPNLGWRLTIHERTAKINPGAVHRLGAPHVPPHSHPIPSHPCSRSRAQLSSAHFEQKTRTGRFARPSLRPSLFLRGEEKQAAERKGRDDGTDAGGGGGGAAGGGGVRGAAVEDGGEQDQHQLEAQHQLLRLGDAAQPLLQGRLARYARRALPPSSSSSSSSSSSPPHPFPAPDLNPRAFQFPVAVFYYTAGQADVVQVDETGYNKCDSSNAIYNYSKGRNFAFQLNETKTYYFICSYGYCFGGMRLAIKAEKLPPPSPPPSASHRSAAAAFARSHAVVLYAAVAVLAALLRMV >KQK87940 pep chromosome:Setaria_italica_v2.0:IX:10322162:10325091:-1 gene:SETIT_035843mg transcript:KQK87940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLPPQARRLPAALLVPARGLLEARVPWARDRALDHAVERERHLVPFLLAKDALLAATPPPHAVPLHSLPSTIPFPFRPLRFLQLYPSAFALSPHPIEVSPTPRLSALHAAEAQVVDATRPDAADRLLRLLMLAPSRALPLRLVARLRLDLGLAPDFQRSLLPNYPDYFALSPDGTLLELVCYRKDLAVSAMQSYAQRTGGYKVGDAVAFPLSFPRGFELDKKVRMWLDEWQRLPYISPYEDGSHLAPRSDITEKRTVAVLHEVLSLTVGKKMEKEVLVKLGEALRLPPGFRKVVARHPGIFYMSHKLRTQTVVLRESYRRHMLVDKHPMMGIRYQYLHLMHMGKEEIGKGKGKDRKSSRGEQLIGEEFGAEGEDGDNDEDYDDEEDGDELDEEDMEAGVASEDEESDDDIDEDTGKQIAH >KQK91449 pep chromosome:Setaria_italica_v2.0:IX:49860798:49863064:-1 gene:SETIT_034557mg transcript:KQK91449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYEPKNILITGAAGFIASHVANRLIRNYPHYKIVVLDKLDYCSSLKNLNPSRSSPNLKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETEEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKRLGWAERTPWEEGLKKTIEWYTTNPDYWGDVAGALLPHPRMLMTPGVERHNWTEEIKSLFSSPAEVSTTAPAASTKSTTNAPQSPSYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLLEDIRNVKPTHVLNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCCEQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDTPNFRGSFYSKTKAMVEELLKEYDNVCTLRVRMPISSDLSNPRNFITKIARYDKVVNIPNSMTILDELLPFSIEMAKRDCRGIWNFTNPGVVSHNEILEMYRKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKVEFPELLSIKDSLIKYVFEPNRKVPAN >KQK86201 pep chromosome:Setaria_italica_v2.0:IX:733191:736592:1 gene:SETIT_036195mg transcript:KQK86201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASLKPAPSLAAFSPSARRSPAASASFPFPARLNQRPLLSAAATAEGTGTPASQGDAFSYSYTAAAAPPIDEARLAQFAADWEAARADKEQGNILTLPVLRSNSGGLIVKYNSLQGFVPNPLLSPAHWCKDPKRPIQDVTKDLVGTSISVKVSEVNEEERKLFFSEKDASWSTYSSQIKIGDIYDGIVGSVFHYGAFVHLRFPDGLYHLTGLVHLSEVSWDLVQDVQDFLNEGDAVKVKVVNVDTAKSRIALSIRQLEEDPLLETLDKVIPLEADQSPDRVMSPSEVELLPGLDGICNELLQEDGIIDVQFGRQALEKRVVSQDLELWLSNVPAKDNKFTLLARAGRQVQEVYLTTSLDQEGIKKAVQRVLGRVP >KQK90971 pep chromosome:Setaria_italica_v2.0:IX:46908462:46914502:-1 gene:SETIT_035345mg transcript:KQK90971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALRRLAGVSGSPSAAAAALLLRPALTRPISTGFREERDTFGPIQVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAEEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGGKFVHPNDHVNRSQSSNDTFPTVMHIAAAVEINSKFIPSLQQLHDSLHAKSVEFIDIIKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRINCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGANGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHESLMLVTSLNPKIGYDNAAAVAKKAHKEGTTLKKAALDLGVLTEEEFHELVVPEKMIGPSD >KQK90970 pep chromosome:Setaria_italica_v2.0:IX:46909306:46914409:-1 gene:SETIT_035345mg transcript:KQK90970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALRRLAGVSGSPSAAAAALLLRPALTRPISTGFREERDTFGPIQVPNDKLWGAQTQRSLQNFDIGGERERMPVPIIRAFGVLKKCAAKVNMEYGLDPTIGKAIMQAAEEVAEGKLDDHFPLVIWQTGSGTQSNMNANEVIANRAAEILGHKRGGKFVHPNDHVNRSQSSNDTFPTVMHIAAAVEINSKFIPSLQQLHDSLHAKSVEFIDIIKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRINCTLPRMYQLAQGGTAVGTGLNTKKGFDVKIAAAVAEETNLPFVTAENKFEALAAHDAFVESSGAVNTISASLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVGVTVGGANGHFELNVFKPMIAAGLLRSLRLLGDASVSFEKNCVRGIQANHKRISQLLHESLMLVTSLNPVSKMQPCISYLFLFFFLP >KQK92547 pep chromosome:Setaria_italica_v2.0:IX:56053424:56056597:1 gene:SETIT_034851mg transcript:KQK92547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRSPSAASASASPTASSAAASPMAPGVGGVEPAVTLDQVPRWSDPDQRISPLSPTSAGSETPPSSFLSFSDPLTGDDAAAGPGGRGASRFPVDHEINSKIYLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLDSIATGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIRAIVFCTTSSSDTEIYKRLLPLYFPRDKHEEEIAALKLPADVGDENGETVIDERKIRIKPLPAGAANSKTPIPTLADIPLPDSGLTRRRNSFKLDSYLDPAFMSIIKDPDLRRKEQWEKSAQANKGINFANLLGFGDLGSPPLSAAEEYSLHSRYLAKANSMNLSDIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKVIYP >KQK92548 pep chromosome:Setaria_italica_v2.0:IX:56053424:56058308:1 gene:SETIT_034851mg transcript:KQK92548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHRSPSAASASASPTASSAAASPMAPGVGGVEPAVTLDQVPRWSDPDQRISPLSPTSAGSETPPSSFLSFSDPLTGDDAAAGPGGRGASRFPVDHEINSKIYLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKMTNAYDLPARKVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLDSIATGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIRAIVFCTTSSSDTEIYKRLLPLYFPRDKHEEEIAALKLPADVGDENGETVIDERKIRIKPLPAGAANSKTPIPTLADIPLPDSGLTRRRNSFKLDSYLDPAFMSIIKDPDLRRKEQWEKSAQANKGINFANLLGFGDLGSPPLSAAEEYSLHSRYLAKANSMNLSDIAEMKIIYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLYVVKEFEPLIQKPYSIVYLHSAASLQPQPDLGFMKRLQQILGRKHQRNLHAIYVLHPTLGLRTAILAMQLFVDGEVWKKTVYIDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGIIVDPRTKHVYQRPSG >KQK88333 pep chromosome:Setaria_italica_v2.0:IX:13272815:13274281:1 gene:SETIT_039666mg transcript:KQK88333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQAQLLLVLLVASATWPFSVGSLARVADDLHPVVLLPGYGCSHLDARLTDEFEPASAAPSCGGALNGKTGWFRLWNNRTALQEDPALVPCYAELLRLVYDPVAGDYRNVPGVETRVVSFGTTRGFGSDDPGSKTGCMGKLVETLEGVGYREGKNLFGAPYDFRYAPAPPGQASSEFSCFLSSLRVLVEKASKRNGNMPVILVTHSLGGLNANAFLSRSPLAWRRRYVKHFVMVSTGAGGSVFRLRFGSSSSSSPTDPLSFANTTRSFATAFSVLPSPKVFGHAPVVVTRAKNYSAYNIPEYLRANGFSDGEVARYVTRVLPVSLNFSAPAVPMTCINGIGVPTPEKLVYWDGDFGAKPDQVVYGDGDGAINIASMLALDALIGADPEQDYFKSLLIHNTSHVGVISDSFALERLVNEVLEANRAIV >KQK90277 pep chromosome:Setaria_italica_v2.0:IX:41217252:41218376:-1 gene:SETIT_040246mg transcript:KQK90277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPPGKRNPPASLTDELLVEILRRVPVRSLCRFKCVSRSWRYLISDPVHRKKLPQTLVGFFYHSWNLERCPARAHHFTNITGKGAPFIFPDFSFFPVPSDHVRPLDSCNGLLLCRCLEPGPDEGDGYPPFHYAVCNPATKKWVMLPDGSWASGEARTARLGFDPVVSSHFHVVEYVVDDGDCVIGVEIYSSKTGAWSFMESEWGNDVMLDDEARSVFLNGFMHMVTYAAGIVVVDMEGKTWRSIPVPSECDFGCIHQSHQGRLCFLNNDDVDASKLLIWILEDHGTHEWTLKHSVRKFFLFRRKNLAYDMGYRVITFHPECNLIYFVYGWDRTLMAYEMDRKEVRVIRNLGHESSDPYLPFVPLFSEALADEQ >KQK86281 pep chromosome:Setaria_italica_v2.0:IX:1073632:1079651:-1 gene:SETIT_034980mg transcript:KQK86281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTCEDGFFEYLSSIDCSDVEVYAIPEGYVVFPKVPLMRIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYSYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLDEITDKALTSSDGSSKCEDFVSLVQNWLIKIQDSSSLRGTFGETSQSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAVGIRLDSGDLAYLSVETRKFFHVIEKDFGVVGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKKCYRLYGKEGYPLVDIMTGEDEPGPKIGERLLCRHPFIESKRAYVVPQHVEELLRCYWPGNSSTSRQELPSLHETRSRCIQHLERMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >KQK86279 pep chromosome:Setaria_italica_v2.0:IX:1073365:1080481:-1 gene:SETIT_034980mg transcript:KQK86279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAANGDARRGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRFIANFKFTEEEIKFLRSVMPTCEDGFFEYLSSIDCSDVEVYAIPEGYVVFPKVPLMRIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYSYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLDEITDKALTSSDGSSKCEDFVSLVQNWLIKIQDSSSLRGTFGETSQSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAVGIRLDSGDLAYLSVETRKFFHVIEKDFGVVGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKKCYRLYGKEGYPLVDIMTGEDEPGPKIGERLLCRHPFIESKRAYVVPQHVEELLSHFTSGASIPT >KQK86278 pep chromosome:Setaria_italica_v2.0:IX:1073365:1078289:-1 gene:SETIT_034980mg transcript:KQK86278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDEITDKALTSSDGSSKCEDFVSLVQNWLIKIQDSSSLRGTFGETSQSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAVGIRLDSGDLAYLSVETRKFFHVIEKDFGVVGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKKCYRLYGKEGYPLVDIMTGEDEPGPKIGERLLCRHPFIESKRAYVVPQHVEELLRCYWPGNSSTSRQELPSLHETRSRCIQHLERMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >KQK86280 pep chromosome:Setaria_italica_v2.0:IX:1073365:1080607:-1 gene:SETIT_034980mg transcript:KQK86280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAANGDARRGGVPRPTNPMVTPLLTDLYQFTMAYAYWKAGKHLDRAVFDLYFRKNPFGGEFTIFGGLEECIRFIANFKFTEEEIKFLRSVMPTCEDGFFEYLSSIDCSDVEVYAIPEGYVVFPKVPLMRIEGPVAVIQLLETPFLSLVNYASLVTTNAARHRLVAGKSKNLLEFGLRRAQGPDGGISASRYSYMGGFDATSNVAAGRLFGIPIRGTHSHAFVSSFMGLDEITDKALTSSDGSSKCEDFVSLVQNWLIKIQDSSSLRGTFGETSQSELAAFTSYALAFPNSFLALVDTYDVMRSGVPNFCAVALALNDMGYKAVGIRLDSGDLAYLSVETRKFFHVIEKDFGVVGFGKMNITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYAQAALGCVFKLVEINKQPRIKLSEDVTKVSIPCKKKCYRLYGKEGYPLVDIMTGEDEPGPKIGERLLCRHPFIESKRAYVVPQHVEELLRCYWPGNSSTSRQELPSLHETRSRCIQHLERMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >KQK90974 pep chromosome:Setaria_italica_v2.0:IX:46947164:46951254:-1 gene:SETIT_035199mg transcript:KQK90974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAATSSWLLRRPISSILLARRATPRVLRTTIFYSSSASAPAAPPPPPPPLPSDTSAGDGGCGMRWESARKKRVVLRVGYVGTEYRGLQKQRELSVDSTIESVLESAIFKAGGILESNYGKLQKVGWERSSRTDKGVHSLATMISLKMEIPDRAWENDPDGISLASFINSNLPNNVRVFSILPAQRSFDVRRECLYREYFYLLPAETIGIKDGCSSEEVQEHLSEFNSILKGFEGNHPFHNYTARAKYRKVLAGSHRRVKGACLPLKSMSSETGMEENSSEDNTPSDHDEDLNISSMIDSGVEDNCLNDVAKHFGNQVQIQARWLYEPDESDRLNASHFRDIITCSCGELQSSSGIQFVELTICGVSFMLHQIRKMVGTAVAVKRGLLPKDIIELSLAKFSRIVLPIAPSEVLILRDNSFCTKNKEGRLVRPGIESMNKSAEVRKGVTEFYKAALLPELAKFLDPSMPPWKEWVENLDQFATIPDSQLDEVREAYKIWKADYDRVKMAKKSADGV >KQK90115 pep chromosome:Setaria_italica_v2.0:IX:39861851:39864155:1 gene:SETIT_039104mg transcript:KQK90115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWSQQRVPPGGRGEADPLVGPNFEDVALWTHQRVPHGGVAMWSHQRAVAVYTWRRRPTPLVPTLRMWHCGPTSGSHMEEWPCGPTSGPWRCTRGAVGPRPWSTSSLPPPLQKNDSRGQGGSNPRPDARKGGALPLELSTLCVHDKHQRNNAEPSTDTHEELDVVEAFTSCHTSSKHGLSEPAREVVTNMEALRAQPVAEGETRVSSVQVVSQVLPKNSSNSFLKSVGIKPVGTSQASSSSNEIELREQLAAEAKAAVQDELEDLKKRSEEAEEKLARTERELEEMKKLTEINTKAMEENNALLKRILSLNNASST >KQK86254 pep chromosome:Setaria_italica_v2.0:IX:958449:961527:1 gene:SETIT_035173mg transcript:KQK86254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTHHTIRRAAARPRGWCCSFSGVPDSPEHRALPSAAGGGVVPKLPPPPKSPLAPSFQSSPSSKLAGLIDPRRILSPGRVSPIDSEGSPVVAAAEAEEEPPLPRDQAAAAAPFVAVREDEEEGGGGLDLRLSLRGRDGRCVVMELDSAVLRESSAFFADMVPGASGGGGKRIEVDGVDNLEAFKEAVELMFEPDAMRWLARAGVPRAIGVLEVSSSIMFDRGIEACLNYIEAVPWNENEEEKLKRVFGRCTFDESVCKDVLARLQQQCRSSSEDLTVQLVESVTSGTNNGARKELQSLVSGLLSKSSVYHKDLSGLNKGSLYQICRSCLRSLVELFVEDSGPVEDAGQAITVSDSKPMIERVSKQTENLNWLFDILVNNDMAEEFVECWANQDELIRMHEEASPMFRYELSRISASVFIALGKGRIQCPSDMRSQLFYGWFRPMLMDFGWLQRCSKGLDVRILEEHLGQALLTLPLQHQQSLFEEWFRCFASRGTECPNLSRAFQVWWRRSFVRSSVEVRR >KQK86491 pep chromosome:Setaria_italica_v2.0:IX:2249418:2249996:-1 gene:SETIT_038988mg transcript:KQK86491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HGCLIVSVSSFLIMVLIQLQPLLDNVSSASRSIRSASLAVVRLLARDDSVVVLDDDSGGVAPPRQQHRHCERCAQRGASRSDVVAVMDSLRLVSGDDDAKACGGCAAMWAAVDDLLEGKVASEAELREAFYVFDRDEDGFVGAGELWNVMRRLGMAEGAAQEDCRRMIAAHDADGDGRISFREFRAMMENAV >KQK86485 pep chromosome:Setaria_italica_v2.0:IX:2170306:2171567:-1 gene:SETIT_036142mg transcript:KQK86485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMPKRPCGERDGGSTAKPRQPRLYLVCDDWDSGYSIRKFSLPADSGEGAVQGLPTLFWRVVKPREDPHHFTSAFGTKIMGGGARSLTSARGPEFNAPAFPIHFPVGDDRLYVLDSGCLELCRLPLEQSDVESDGDDSPLEEYDFRSDIDSKGRWFWHQLPLPPFSRMDVTSYAMHPHSHGHSILFSIKSEDLAAATFSFETGTSFEKGVCAWNYLGEWILPFTGRGHYESELSALVGLSKDKGAFGYIYACDVPNTSNRPPAWKRSKEKVFSKNPADKHVSASLVYLGYQRKFCLVECVLVEEDKACQEVKEDKDDQVLLEKPEGTCVPQRRRYMYRLMTFSLKYDKMGDLRVRHRRVRYYKVPSKVSKACVRQDPVVFWL >KQK92846 pep chromosome:Setaria_italica_v2.0:IX:57483937:57486745:-1 gene:SETIT_034221mg transcript:KQK92846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVILLLATSLPSTALPAFSPAFSYFLACGASSGVSFPRDSPARNFTPDDKFLASSRAQALTDANANSASSQLYAAARASTSAFSYNLDIHDDSAAFLVLRLHFFPFAASQSGVSISSARFAVSVRDAYTRDAYTVLSSFTPPSAGVVKEFFIPAGGPGDFRVMFTPDPGSAAFVNAVELFPAPAELLWNSTSPVTPVGAAANVATWRQQALETVYRLNVGGPKVSKENDTLWRTWLPDDPFLFGPPGLSMVNTTSTKIAFIDYTSEVAPDIVYKSQRATNPSAGRASLQPPLFNITWTFPAEARSNYLVRLHFFCDYEPLNSVVGEGIMFNVFIGPDIGTQDLMPTKTIATQANQQAFYLDYAATAPNTGNLTVSIGKSVKSSSTEGGFLNGLEIMQLRPSDNLSSSTTKRTVLIVTLSAVLGASVLACAALCLIVLLRRRRRRHVPRPAPEVEKDGSTLPWSPYTQDSSGWPVEPSSRSGGEGTTGAMQRMGTQLHIPLEELKAATDNFHERNLIGVGGFGNVYRGVLRDGTRVAVKRATRASKQGLPEFQTEIVVLSRIRHRHLVSLIGYCNEQAEMILVYEYMEQGTLRSHLYGPGSGDAPPLSWKQRLEVCIGAARGLHYLHTGYSENIIHRDVKSTNILLGDGFIAKVADFGLSRVGPSFGETHVSTAVKGSFGYLDPEYFKTQQLTDRSDVYSFGVVLFEVLCARPVIDQALEREQINLAEWAVTWQRRGQLDKIADPRIAGQVNENSLRKFAETAERCLADYGQERPSMGDVLWNLEYCLQLQETHVRRDAFEDSGAAGAQFPEDVVVPRWVPSSTSFLTTGDPDETAVSVTDVGAANSKVFSQLSSGEGR >KQK87765 pep chromosome:Setaria_italica_v2.0:IX:9203331:9204433:1 gene:SETIT_037682mg transcript:KQK87765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIRTSQLIISKVGILSLSILAVQACSAFSSIADVRASLGMASVAVGKKRRVPALGWWLMVVGTVRLAFTWSCFFGSAALCSATYSQAQVSDVHGRTVGVWTLLSCTLCFLCAFNLDNKPLCLATFLSFVYAYGYFVVEYLVYHSVGASTLAALGFFAGILLMVLVQRLRCAFL >KQK87766 pep chromosome:Setaria_italica_v2.0:IX:9203331:9204901:1 gene:SETIT_037682mg transcript:KQK87766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSIRTSQLIISKVGILSLSILAVQACSAFSSIADVRASLGMASVAVGKKRRVPALGWWLMVVGTVRLAFTWSCFFGSAALCSATYSQAQVSDVHGRTVGVWTLLSCTLCFLCAFNLDNKPLCLATFLSFVYAYGYFVVEYLVYHSVGASTLAALGFFAVPAILWMLLEWNSHGHGIRTAAKQP >KQK86555 pep chromosome:Setaria_italica_v2.0:IX:2643095:2643754:1 gene:SETIT_040848mg transcript:KQK86555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPCCHGWMSPWSVTGQRWTMTDAALLGSQRLPLMTPRSQICGPGVWDRPCPPSTIKERCSDLRRAGA >KQK91393 pep chromosome:Setaria_italica_v2.0:IX:49555254:49556710:1 gene:SETIT_039928mg transcript:KQK91393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSAAAPAARLYMGYFCPFSQRTWVTRNFKVLQEEIKLVAIHVQDKPAWYKEKLYPKGTVPSLEHNNEIRAESLDLIKYIDSNFGGPALLPQDPAKRQFADELITFADTFTKALYSPLMSHVEMSEEAAAALDKIEAALSKFNDGPFFLGKFSLADISYVKILERVQIYYSHVRNYDITEGRPNLEKFIEEMNKIEAYTQTKYEPMFLLDLAKKHLK >KQK91670 pep chromosome:Setaria_italica_v2.0:IX:51134882:51137247:1 gene:SETIT_037200mg transcript:KQK91670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQPLPYSTGQPPATGGAPVPGVPGAAGPPPVPHHHLLQQQQAQLQAFWGYQRQEAERASASDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQRNDVAAAIARTDVFDFLVDIVPREEAKEEPGSALGFAAAGPGAVGGGAAPAAGMPYYYPPMGQPAPMMPAWQVPAWDPAWQQGAAPDVDQSASYGEEGQGFAAAGHGGAASFHPPAPPSSE >KQK89285 pep chromosome:Setaria_italica_v2.0:IX:23113037:23113508:-1 gene:SETIT_040365mg transcript:KQK89285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILIYLQSSCRFSKTWDLRQRSACRTKTGCNSIAGR >KQK86654 pep chromosome:Setaria_italica_v2.0:IX:3143593:3145966:1 gene:SETIT_039046mg transcript:KQK86654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRFVPRKKTSSYSLKKANIVKGQWTSEEDRELVKLVEQFGLRKWSYIAQMLPGRVGKQCRERWHNHLRPNIKKDIWSDEEDMVLIQAHKEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFARRRSRSSSKGPRSSTLLQNYIKGLGIGPSKNAAPLAQHTLLPPPSPATPGAKSATINEMLEHSPSDILDPQGMLISAHEHSRCSETQSCEELLAPVCYDDFSVVDMCDGLFDTKEDAFQAVYAVDDDIDMNYIFNHLDHTIKVDPEISDMEMMWDDDVLGCVEPAGSAEVETVHVKEEMDLMEMVAATQQNYGEAEKSQISD >KQK92168 pep chromosome:Setaria_italica_v2.0:IX:54110519:54112756:-1 gene:SETIT_038136mg transcript:KQK92168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLFVERLEGEGVFKCRRCRVDAASKDAIISRDFYGRTGRAYLFDHVVNICLGPNEDRYLVTGLHTVNDIYCSCCQQILGWRYEKAYDQSQKYKEGKYILERARMVKDG >KQK92255 pep chromosome:Setaria_italica_v2.0:IX:54591380:54593674:1 gene:SETIT_036173mg transcript:KQK92255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGGRAAAAASSGSEDEEEEAGFSRSYFLAKEKEPSSGKKRARAAAGKLSDLNLVDEQVLRASLSEIPPKHEEEVEALTRSYKDQYRNWLFELRCGFGLLMYGFGSKKQLLEDFASTTLTDFTVIVINGYLPSINLKQVIATIAEMFWDQTKSKRKRQPGTRSQLSQPFPSQSFDDIISFLKRQTSDDVDDQVCLLIHNIDGPALRDAESQQCLAQVSCCPQVRVVASTDHVNAPLLWDKKMVHTQYKWSWYHVPTFAPYKVECVFYPLILASGGHAQTTKTALVVLQSLTPNAQSVFRVLAEYQLANEKEEGMPVSSLYTKCRERFLVSSQVTLNSHLTEFKDHDLVKIRKHSDGQDCLRIPLVSDALEKLLQELA >KQK90997 pep chromosome:Setaria_italica_v2.0:IX:47109421:47110607:-1 gene:SETIT_036565mg transcript:KQK90997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLGRDVPAERTAAVANDLVEARDGAATLRVFLLQLDDHRAPWAQRVVDGVLDRLSSAMSALDDVSDAAAGRRSPAAGGSGSGGAARPQQSVSSSGNTRKRSFVSRSRSQRPSDKKITATLEDGHVWRKYGQKEIQNSSYPRSYYRCTHRSDQGCNAKRQVQVCEADPSKFVVTYYGDHTCRDPSTIPLVVPAAGAAPDCANNLINFGTSGTNNNAAASTTGASSSQYLAMGGSAADQLSTSWCASDDVFSSSAGSFMQVDELIGAVVGSAGVTSTATVGSSALDRGGHGGMGMAGGGGGTASFPPSPNGLGSFVVGSYDDDLFPMDP >KQK90998 pep chromosome:Setaria_italica_v2.0:IX:47109285:47110712:-1 gene:SETIT_036565mg transcript:KQK90998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLGRDVPAERTAAVANDLVEARDGAATLRVFLLQLDDHRAPWAQRVVDGVLDRLSSAMSALDDVSDAAAGRRSPAAGGSGSGGAARPQQSVSSSGNTRKRSFVSRRSQRPSDKKITATLEDGHVWRKYGQKEIQNSSYPRSYYRCTHRSDQGCNAKRQVQVCEADPSKFVVTYYGDHTCRDPSTIPLVVPAAGAAPDCANNLINFGTSGTNNNAAASTTGASSSQYLAMGGSAADQLSTSWCASDDVFSSSAGSFMQVDELIGAVVGSAGVTSTATVGSSALDRGGHGGMGMAGGGGGTASFPPSPNGLGSFVVGSYDDDLFPMDP >KQK92975 pep chromosome:Setaria_italica_v2.0:IX:58132722:58133722:1 gene:SETIT_037646mg transcript:KQK92975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPRLYPSGSDDRRDDSGRRLYNPYQDLNIPYKQLYDLPTSPEFLFQEEAIAQRRSWGENLTYYTGIGYLGGAVAGAALGLRNAARGAEPGEPAKIRANRVLNSCGSSGRRVGNTLGVIGLMYAGIESAMVAARDRDDWINSVAAGLGTGALFRAANGPRSAVVAGAVGGVLAGAAAAAKQVGKRYVPAL >KQK89931 pep chromosome:Setaria_italica_v2.0:IX:37434393:37435452:-1 gene:SETIT_039542mg transcript:KQK89931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLINKDFTELAADGTNYLTWAMDVKIVLIAKDFIGTLNEPNPQAPIPEVDKFRTLHFLRHHLHLDLKNEYIMEDDPKKLRDSLKERHNQQQIVILPEAKCEWSLLHLMDFKFVEEYNSAVHKICSKLHFCNQPLDDAEMIEKILSTISLLTKNHQLRPVGAAPLSEVHFNAQNNNKKFGGKCTFKKHNHHDNSQVCQRCGCQSHTTRKCHTAKHLVDLYQKYIVKQVRGDKFELLQRCSCRTQHEKIPPQLDDLFSTDDMLVDSTDDVLVDFQSNDMFGDMN >KQK87644 pep chromosome:Setaria_italica_v2.0:IX:8407902:8408336:1 gene:SETIT_039003mg transcript:KQK87644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAIESHRAGAEVVSGGDAACRKKSVELLEELGLPKGLLPMEDIQEFGYNRDTGFMWLVQRKKKVEHTFKKIKQTVSYAAEVTAFAEKGKLRKITGVKTKELMLWLSVVEVYVPDSSPEKVTFMTGTGLSDSFDAAAFALGE >KQK87745 pep chromosome:Setaria_italica_v2.0:IX:9052831:9053349:-1 gene:SETIT_039272mg transcript:KQK87745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPGAVAASRSGGGTVAFSWEQEPGVSKQSPAETKKPTAGAPRTEAVSKRTLATAKKEPAPAAVVPARPHRLRVPPPPGGPGKSGGGRRSRGGVRPRDDPFLAAYLACTDNGGGNSKGAQKLLGWAGLGLGLGLRGLGLSCKTSCGAVEECVVTLARIPELDED >KQK88865 pep chromosome:Setaria_italica_v2.0:IX:17883114:17888495:1 gene:SETIT_036575mg transcript:KQK88865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGSSVQNNPVAGNGGARVIVIRIHPLRRSTARPLREKPARRPQCQHDNTPDWLAVPFAPSASFLGAAAGPRLRLRPRLYPSAPPLPPRPRPHSFPRRVATESGGIRGEGGVMGSPGAGSSGGGHECSFKILLIGDSSVGKSSLLVSFVAASQLDDDIAPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTITSSYYRGAQGIILVYDVTKRESFSNLADVWTKEIEANSTNKDCIKMLVGNKVDKDDERMVTREEGLAFAEESGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSSVVKRNILKQKQESHAKHGNGCCH >KQK86508 pep chromosome:Setaria_italica_v2.0:IX:2338799:2341740:-1 gene:SETIT_036946mg transcript:KQK86508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASSSPSSSSSAKRLEGKVALVTGGATGIGEAIVRLFREHGAKICIADIQDEAGQQLRDALGDQDVMFVHCDVTVEDEVSAAVDAVAQRFGSLDVMVNNAGITGSKVTDIRNVDFAEVRKVFDINVHGVFLGMKHAARVMIPQKRGSIVSLASVASVIGGMGPHAYTASKHAVAGLTKSVAGELGRHGVRVNCVSPYAVPTALSMPHLPQGARADDALKDFLAFVGGEANLKGVDLMPRDVAEAVLYLASDEARYVSALNLTVDGGFTAVNHNLKPFED >KQK89920 pep chromosome:Setaria_italica_v2.0:IX:37149172:37151089:-1 gene:SETIT_036573mg transcript:KQK89920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVKAIRVHELGDPKVLSWEEVEIGEPNEGEIRIKNKAIGVNYVDIYYRTGLHRAPLPFIPGKEAVGVVSAVGPGVTSFKVGDVVGYADNPMGSYAEEQIIPASVAIPIPPAIDYKTAASVLLKGMTAYVLVRQAFKVQRGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTEEKAAQATQDGCQHVIVYTMEDFVTRVTEITSGLGVHVVYDAVGKDTFKGSLACLMPRGCMISYGQSSGSRPDPVRLSDLAPKSLILGRPGLMHYTTNRNELLLAASEVFASVMAGVLQVRANHVYLLSEAARAHTDLEARRTSGSIVLVPDSQWL >KQK89921 pep chromosome:Setaria_italica_v2.0:IX:37148922:37152575:-1 gene:SETIT_036573mg transcript:KQK89921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVKAIRVHELGDPKVLSWEEVEIGEPNEGEIRIKNKAIGVNYVDIYYRTGLHRAPLPFIPGKEAVGVVSAVGPGVTSFKVGDVVGYADNPMGSYAEEQIIPASVAIPIPPAIDYKTAASVLLKGMTAYVLVRQAFKVQRGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSTEEKAAQATQDGCQHVIVYTMEDFVTRVTEITSGLGVHVVYDAVGKDTFKGSLACLMPRGCMISYGQSSGSRPDPVRLSDLAPKSLILGRPGLMHYTTNRNELLLAASEVFASVMAGVLQVRANHVYLLSEAARAHTDLEARRTSGSIVLVPDSQWL >KQK86613 pep chromosome:Setaria_italica_v2.0:IX:2910836:2914609:-1 gene:SETIT_036655mg transcript:KQK86613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFARAKVVRLKSHHDKFLYADEDEVHVTQDRNGASPNARWSVEAVPQAPGVVRLRSRYGRYLTASNEPFLLGMTGRKVLQTAPARPDSSVEWEPVRDGFQTRLKTRYGHFLRANGGLPPWRNSVTHDVPHRTATQDWVLWDVEVVQVLTPGPERAESAPVKMPDSPPAPEIRDPPPPRHRPSKSYAAPPPPPPTLEPEAVEARPAPRLSKLESSDSFSAPLHKVEGRAIHYHIADDLGNVDDGTEGHSFTFNGSNLEELANKLQEKTGMDDLIICTRSPINGKLTPLRLQLPPNNAAMHIVLVQESSKVAKTFPWPYGS >KQK90160 pep chromosome:Setaria_italica_v2.0:IX:40333450:40336073:1 gene:SETIT_037570mg transcript:KQK90160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHPPQKKRSKQPKETPKSQPNQSLPGERGELIAATAAAAAMSGGIARGRLAEERKAWRKNHPHGFVARPETLADGSANLMIWNCTIPGKQGTDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPAEYKRRVRLQAKQYPALV >KQK90161 pep chromosome:Setaria_italica_v2.0:IX:40333450:40335296:1 gene:SETIT_037570mg transcript:KQK90161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHPPQKKRSKQPKETPKSQPNQSLPGERGELIAATAAAAAMSGGIARGRLAEERKAWRKNHPHGFVARPETLADGSANLMIWNCTIPGKQGTDWEGGYYPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSVSLAISRFKLHLSLFQNFCSHCTISGLETCYHC >KQK91441 pep chromosome:Setaria_italica_v2.0:IX:49827577:49828018:1 gene:SETIT_040509mg transcript:KQK91441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKFLDGWVIFVDPAKPRQPKPAPQQDTRSHAGFTTNKTVGWCG >KQK91268 pep chromosome:Setaria_italica_v2.0:IX:48909012:48914778:1 gene:SETIT_036950mg transcript:KQK91268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECFKLLRRTGGHNFPARNRNHPRSSLPSPVFVLGGAAAAAAEEEEEMLSGDIPPNQTIYLNNLNEKVKKEELKRSLYALCSQYGRILDVVALKTQKLRGQAWVVFSEITAATNAFRGLQDFDFYGKKMRVQYAKTKSDCIAKEDGTYAPKEKRKKQEEKAAEKKRRAEEAQQSGPNASAAQSNGTGYQASRLGKVSQEQLPPNNILFIQNLPDQTTSMMLQILFQQYPGFREVRMIEAKPGIAFVEFEDDSQSNVAMLALQGFKITPENPMAISYAKK >KQK86795 pep chromosome:Setaria_italica_v2.0:IX:3765709:3767278:1 gene:SETIT_036035mg transcript:KQK86795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPYFSFPDVIFMFAANEHRPECQVTSNDQIKKRMRCHFTPACILVFPGSPTSESELLQIHQTGERNHTPAMPLCSFYASTSLPVAKPHQSVASSKPHSTAAASVATTVPTRSAAAAVTTTVPTATAALLLHLPELPSQVKDKILSLELMGVDYGRALELNPALRDAAPESIHAVVSFLQSRGLQFKDLGRVFGMCPSVLTASVRAHLRPIFAFLSDDLGVPEAAHRRVVIKCPRVLACSVRDQLRPALIYLRRLGFRDGRALALQDPILLVSSVERTLAPKLEFLAGLGMSRDDAIAMVLRCPALFTFSIERNYKPKFEYLVDAMGGGVEDVKAFPQYFAFSLEKRIMPRHRAAEEAGVALPLPDMLKATDEEFAEMIEKEKRLQEQTEATD >KQK87328 pep chromosome:Setaria_italica_v2.0:IX:6691119:6695692:1 gene:SETIT_036069mg transcript:KQK87328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGEGGDEKRQRRRRRRGRPWAVCLPRPGCFTVSAAGEDEGTSAAGADEGGTRPTPSHLVVTVNGIVGSAENWRFAAKHFIKKHPEDVVVHCSGCNSSARTFDGVDVMGRRLAEEVTSVVENRPELRKISFVAHSLGGLIARYAIALLYERDTQKDSHEECDKNAVGNPSNQHSSGGKIAGLEPINFITFATPHLGTRSHKQIPLLRGSYKLEKMAYSLSWIAGKSGKHLFLKDIEDEKPPLLLQMVTDYGGLHFMSALRSFKRRVVYSNVCSDFIVGWRTSSIRRQHELPERQSFINDGRYPHIVYVEEPKVQEVEFSDAMIYQAKSTSEMEEVMLKGLNRLPWERVDVSFKKSRQRFFAHSTIQVKTYFMNSDGADVIFHMIDHFIY >KQK89060 pep chromosome:Setaria_italica_v2.0:IX:20120432:20129197:1 gene:SETIT_033926mg transcript:KQK89060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISFKLSKVGVRVHPAARSASAAPSQAEKPAAAETEGSVSDSRREDGFVERAKDVNGIKISPVCTREILPEHEVSFTFSLYDRGYLISKSASMDPSQTSIQDGKTLHPYDRASEKLFSAIEAGRLPGDILDEIPSKYYNGSVVCEIRDYRKHVSNQVPASSSELGLPIINKVRLRMTFENVVKDITLLSDDSWSYRDFVEAEARIVRALQPELCLDPTPKLDRLCQDPIPHKLSLGIGKKRRLRQNPEVIVTSSNMSHGKKVCIDRLPENAKADETGITGGNAAHQVVDNITIQNISGGSQLLRPNNCSQDANRMLLSQSGIQQNVSYSAVGNDRVAGSPANFSAINPSISSPQSMIGYNDTANGLLSVKREMQDAPLQDPKRIKPTGGIDDVQQQHIRPQPLGGQEMQWKNPQLHPQLDVKGMQYASSLSGQRYPPSMMNNMQDSGSSFYFNQQGLRYGAKQEQMDGSDRSKDALQSMAPENSVLDQQQPQAQHLSQQSTARNNVPNMAQWQNTRFAAEKDLKKEEIIQRRKIAPSSRAPSGPMVQSPVSSKSGEISSSSMGGQFGSAVTSAVIGAQKDKFAANSNAAVGYPSVASSPSDSMHRIQQPAVAPSKRKTNSVPKTQPPVSAVGSPASVSNMHAPLNASSPSIGTAPMGDQAILDKFAKIDNLSHRYQLHSKKNKVDKIPQRKPMINASQDVARCLSSCFHTEDYIDTIRPLCNSMISGTINTCKTRVINFVSSNRMYQGHARPFQVVFKEMPDETVRMQYGDLEDFDGPNSYDCVFILPTKYYADLLAEQLIPLMLQDGHSKAEDKVVRGTPPANLNTLSGILPDNLASDVKQEGGVSQQLNAAAHANVAPGPPMQQLPVNRMLSSANSNQVLAMQQGYMQGAAMPPRSQQLDQTLVQQPQQQQPQQQPLQQNAQAQMQQPSSLPLNQMQRPQLLPTSPLSQMLGPGSNLPMGSSQMGNNKATPTSLQLQMLQQQAQQQQPMSRKVMMGLGSAMNMGNMVNNVVGLGGLGNVMGMGNVRPISSPMGSMSGLGNSSNTMNMGMASNLAAAGLRPGMNPAAIAKMRLAAQQRAAGMYPQTGMVGMPGSSSPILPSSAGLSMMGHPLNRSNLNPLQRAMMSSMGPPKMPGGNFQLNAQQQMQLQQQLQQQQQLQQNPQQQQQLQQNPQQQQQQQLQQQLQQQQQMQQQQQLQLQQQQLQQQQQQQQQQQQMGSPLQQAQVGSPAGSQQSMMMQQQQQISPQQMGQHAAMSPQLSSGTLQQMSNNVANPVATPGPPPSPQLSSQTHGSVNSIANSPMEQLQGANKGGPGSM >KQK92571 pep chromosome:Setaria_italica_v2.0:IX:56168116:56168753:-1 gene:SETIT_040290mg transcript:KQK92571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKEAYGPISIPSSYFNNSLLEILYKKPNEEEATADVPCKQEMSPIVQKCQLSLA >KQK91986 pep chromosome:Setaria_italica_v2.0:IX:53097029:53101691:-1 gene:SETIT_035123mg transcript:KQK91986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRILSTAVRRRSAVAAAAAGSAREASTAVAAGPGVLAPDATPVRAPVMPYDRIAEAVNARVRRLEHPDPRFLRYANPVPSHADHTAILAAPQTRVTTLSNGLRVATESSLAARTATVGVWIDAGSRYENEEAAGVAHFVEHMLFKGTGKRSAAQLEKEIEDMGGHLNAYTSREQTTYYAKVLDKDVPRAMEVLADILQNSNLDEARIEREREVILREMEEYTSLGRPILGSADNVRSITKEDLENYIATHYTAPRMVITAAGNVNHDDIVKQAEKLFTKLSTDPTTTSMLVAKEPASFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSVALMVMQSMLGSWNKNAGGGKHMGSELVQRAAINDIAESVMAFNTNYKDTGLFGVYAVAKADCLDDLAFAIMQEMSKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLTYGRRIPAPELFARIDAVDASTVKRVANRFIFDQDVAIAAMGPIKGLPDYNWFRRRTYLLRY >KQK91985 pep chromosome:Setaria_italica_v2.0:IX:53097432:53101608:-1 gene:SETIT_035123mg transcript:KQK91985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRILSTAVRRRSAVAAAAAGSAREASTAVAAGPGVLAPDATPVRAPVMPYDRIAEAVNARVRRLEHPDPRFLRYANPVPSHADHTAILAAPQTRVTTLSNGLRVATESSLAARTATVGVWIDAGSRYENEEAAGVAHFVEHMLFKGTGKRSAAQLEKEIEDMGGHLNAYTSREQTTYYAKVLDKDVPRAMEVLADILQNSNLDEARIEREREVILREMEEVEGQSEEVIFDHLHATAFQYTSLGRPILGSADNVRSITKEDLENYIATHYTAPRMVITAAGNVNHDDIVKQAEKLFTKLSTDPTTTSMLVAKEPASFTGSEVRIIDDDMPLAQFAVAFNGASWVDPDSVALMVMQSMLGSWNKNAGGGKHMGSELVQRAAINDIAESVMAFNTNYKDTGLFGVYAVAKADCLDDLAFAIMQEMSKLSYRVTEEDVIRARNQLKSSIQLHLDGSTAVVEDIGRQLLTYGRRIPAPELFARIDAVDASTVKRVANRFIFDQDVAIAAMGPIKGLPDYNWFRRRTYLLRY >KQK90642 pep chromosome:Setaria_italica_v2.0:IX:44162701:44164502:1 gene:SETIT_040155mg transcript:KQK90642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAPGAKKRRAEAPETGTSSTPATAATDTPTPEATPIKKTGEDGGVDRISGLPDAILGDIISLLPTKEAARTQVLASRWAHLWRAAPLNLDCRDLPEADDEVLAGVVSRILSAHRGPGRLFRVPAHHVHDRAATVDAWLRSPALDNLQEIEFCDHRRPAPEQPPTPPASLFRFSATLRAATICRCHIPDGGPVQGIRFPQLQQLGLVRVRISEGSLHSMISRSSFPALEYLFLDSSHGFRCVRINSTSLRSIGVRTDYYGQDLRFQELVIEDAPCLEKLLCAQRVGFHVSVMAAPKLETLGSLSNWPSKLSRSRHVFGSTVFQGLDLVSFTSAVRGVKILSVDLLIGNLDMVIGLMKCFPCLEKLYVKGSISGRREKNLWRRKHRHLIQCFDSHIKTLVLENYEGIKSHVSFASFFLLNARELEFMRLEVAQKNCNEEFFAEQRVKLQVEGRACRGARLDFALNRCHCRIIHIDHVHDLTIADPFECTC >KQK87463 pep chromosome:Setaria_italica_v2.0:IX:7422427:7433605:1 gene:SETIT_0338541mg transcript:KQK87463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVSSVKWLEDELELNALHNPGSRRGSGNENSAVGQRTALSAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNTSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWLEDRISDIGEGADVRESVLSVHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWLTSALSYAPCTTQGLIQENFCKPSGVQRTQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDFSLEVLSTAVVSATVKCNHAGEIAGMRRLFSTMGGVNMGMAPPGMQSAQPHQSFDEVFVSKFVSLLQNFVVAAEKQPIDNSQFRETCSQATALLLDHMMSDSRANLEGFSQLIRLLCWCPAYISTPDAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLIPGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSPAHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFSYAPEWYESQNKAYAQKEAQSVSVFVHFLQNERSGSPVDSALKSQGREGEHNTADQIHPVWGCVDNYATAREKRKQLLVTLSQTEADRLEVWAQPVNTKDTTTFRGKISSDKWIDHVRTAFAVDPRIALSMPLRFPTNTTMQSEITQLVQTRLLELRTIPEALPFFITPKAVDENSVLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDEGKLVEGYLLGATQRSNIFAHILIWHLQGEYVDESEKDAAAQKGSAFQSLLPAVKDKIIESFTPEARNMFEREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKISIPGDDLYLPTATNKFVRGIQLDSGTPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVISLLRDVFQAVGLNLYLFPYGVLPTGPGRGIIEVVPNTRSRNQMGETTDGGLLEIFQQDFGPVGSPSFEAAREKFMISSAGYAVASLLLQPKDRHNGNLLFDKFHLEVTWGLRVHISS >KQK87464 pep chromosome:Setaria_italica_v2.0:IX:7422427:7433605:1 gene:SETIT_0338541mg transcript:KQK87464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVSSVKWLEDELELNALHNPGSRRGSGNENSAVGQRTALSAALGGRVEVAAMSTISGVKATYLLAVAFLEILRFSCNGGILSATSTLNTSNSAFSCVFEYLLTPNLTPAVSQCLTAVVHRAFETVLSWLEDRISDIGEGADVRESVLSVHACFLIKSMSQRDEHVRDVSVKLLTQLKEKFPQVLWNSSCLDLLLISVHNELTSGPVSDPAWVATVRSLYQKIAREWLTSALSYAPCTTQGLIQENFCKPSGVQRTQHTADVVSLLSEIRICSGKNDWNGIRTANVPAVMDSAAAASGAKKEAPDFSLEVLSTAVVSATVKCNHAGEIAGMRRLFSTMGGVNMGMAPPGMQSAQPHQSFDEVFVSKFVSLLQNFVVAAEKQPIDNSQFRETCSQATALLLDHMMSDSRANLEGFSQLIRLLCWCPAYISTPDAMETGIYIWTWLVSAAPSLGPLVLAELVDAWLWTIDTKRGLFASDMNYCGPDAKLRPHLIPGEPEAPPEKDPVEAIIAHRLWLGFFIDRFEVVRHDSIEQLLLLGRMLQGTMKSPAHFSHHPAATGTFFTAMLLGLKFCSCQSQSNLQKCNMGLQLLEDRVYRAALGWFSYAPEWYESQNKAYAQKEAQSVSVFVHFLQNERSGSPVDSALKSQGREGEHNTADQIHPVWGCVDNYATAREKRKQLLVTLSQTEADRLEVWAQPVNTKDTTTFRGKISSDKWIDHVRTAFAVDPRIALSMPLRFPTNTTMQSEITQLVQTRLLELRTIPEALPFFITPKAVDENSVLLQQLPHWAPCSVTQALEFLTPPYKGHPRVMAYVLRVLETYPPETVTFFMPQLVQSLRYDEGKLVEGYLLGATQRSNIFAHILIWHLQGEYVDESEKDAAAQKGSAFQSLLPAVKDKIIESFTPEARNMFEREFDFFDKVTSISGVLFPLPKEERRAGIRRELEKISIPGDDLYLPTATNKFVRGIQLDSGTPLQSAAKVPIMITFNVVDRDGDPNDVKPQACIFKVGDDCRQDVLALQVISLLRDVFQAVGLNLYLFPYGVLPTGPGRGIIEVVPNTRSRNQMGETTDGGLLEIFQQDFGPVGSPSFEAAREKFMISSAGYAVASLLLQPKDRHNGNLLFDNQGRLVHIDFGFILEISPGGNMGFESAHFKLSHEMTQLLDPSGTMKSDTWIQFLRLCVKGYLAARRHMNGILSTVNLMVDSGLPCFSRGDPINNLRKRFHPEMNEREAANFMVRTCVDAYNKWTTAGYDLIQYLQQGIEK >KQK90969 pep chromosome:Setaria_italica_v2.0:IX:46892672:46893244:-1 gene:SETIT_039018mg transcript:KQK90969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADGGGTAPAPASHGHAGVSNRASTALAAVSVFLILLCLFWRFIWQCLKHERRGGPSSAAATATSSSSSASTPPPSCPSRDGAAAYVAGEAARRTPRATTKATSSPLPVFVRVAAAGFGAEKVDCAVCLAELGDGEAATRLVPGCGHGFHAECIEAWFRVNSTCPLCRAAVAAAASGQSAGEAPQYCSSV >KQK90149 pep chromosome:Setaria_italica_v2.0:IX:40185336:40189939:1 gene:SETIT_034025mg transcript:KQK90149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYSGDHRRSPPLPRAAFSSSLSPSAAPFPAADPVGPGRDLPTAPSVYAAGGDWGGVSWMEPPASYMAPVAAPAATPPGYKGEASQSSPYGMYARNHFSNFAGVRSLRSESSNSVSEKQPGTCPESSEALSNDFGSSVFHQQQNAFVSKLLDHSVAEDTSYPPRQDFIQYPFGSTYDKYMTQLSACSTDAGPHIFPTRYVDSSEMAKTAVPLMNDTIGENSFSFSSYMNPCRINLDYFDCVWNEQKDLGYQTADKQHGKWSNSNDMATVGSYPLNSLGENHVGSEHSGNGIPMQESTEMKHDLGSFNSKLSSPEVGIVQPRDFSSELLEVNNTSVDSPCWKGTPASYQPSFGIMENNDAHHNVIRTIGYISSHQSQKIPELSSEYPGRFPERQEASGSENDPYKVFKLPARCKNTEDHKEVPPVNIEVHNDMATHASNLAYKEPSRTQKCYDSREDSKNVIPLSQQESACSGSKPKILDEHGGKHTASINEVMSKTVLNPVAITPRVDADHLTTGSTHGNSCSASLEKEGSTQKRGEDPSQCYPCVEGNVLNVACDSSSSTRAIFLKLMHNLSVVLLSTCKGGSSLQEDEEELLQSVIQNLTAASSKRSKVEQKNDDGLSNSSLMKFKNINCATNNFWMAMHAQLAQENSDSEFKTTVSQVLTSHMDDKMPDDTEVSQASIYKNLWVEAEASACKLKYELQHARMKLATAKSHNSTLKAPDSSESNKGSSSSLSSSKPQNHGKESITCTAALQGQGGDSGDRQSPVVNRSIFNGVDADVLARFKVLQSRIDNLSSFGEIDCEGQQEASKKSYAVEDAVLARLKVLKSRPDTLTSSSQESIKHQLDASTNRADNADDAVMARLRILESHLVHGSSKQQLDESTNREGGVDDAVLARLRILKSRPDNITSVGGAIKEQEEACSDRLNGDDLNTMANGGITNAEVSAEHIWKFMQSDDLADHLGGKDSVGGIGTFGDGACSGENNETGGSADASTPKRCKATSDEVNIEGALHGENHLSVETAGPSHVCTEGSHEAHLIASPVHQYGSTPSEWEHVLKENFFHPGK >KQK89327 pep chromosome:Setaria_italica_v2.0:IX:24226123:24226968:-1 gene:SETIT_039671mg transcript:KQK89327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGSASMWENLVVTFPKIKKFQNNKASFPLFDALGELYDGHLAEGTYNFTSIESERVEEPLQQIDVVEEEAEEEALQEIHEIRDEEDEEKDAGDKEEEARSGQRRMAASRKKPEKEGQKPRKSAKIEAMMERFLEMRTKQADDEAQQLARENEARDKEVAKGNEYSIKRCISIINTMEVTKQEKAKSYAIFTKSKENRETFICASEEDEESALIWLRNEMA >KQK87161 pep chromosome:Setaria_italica_v2.0:IX:5855308:5858595:-1 gene:SETIT_034383mg transcript:KQK87161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAELEAVEGLRWPWHSWPPTPPAAASLVVPTAVLCSPLQHPTAPDLLPLLPYAPLRCATPGCGAALNPFSRVHHGSARWSCPFCGAGANPFPRHLAPDALPAELFPTHSSVEYALPPDPAEAGGPGPPALVFVVDAATEPAELAVLKGEVRRVVQGLPEGVRVALVTFAASVWVHDLGFEGCARVVVINGERELESDQIQELLGVHRSRYNKLAMPRSTEAQRFLLPVSECEFNITSAIEDLSSMSACPRGHRPLRATGAAISTAIALLEGCCSPSTGGRIMVFTSGPATVGPGLVVETDLGKAIRSHRDIFNSSAPLADKAREFYKKVAKRLTDHALVLDLFACSLDQVGAAELRNPIEVSGGLMVHTESFESEQFKSCFRHMFKREGTDYLNMNFNATIEIVTSKEVKICGALGPCISLRRKNSSVSDKEIGEGGTNYWKTSSLSSKTCIAFFFRVDCSHKAEPPTVFFIQFMTRYRHGDGSYRLRVTTVARRWAAPRSPEIAAGFDQEAAAAVMARLAVYRAETYHVRDVIRWLDKMLIRFTAKFGNYVPEDPSTFRLSPNFSLYPQFMYYLRRSQFIDVFNSSPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPIPVLLDVSSISPDVILLFDSYFYIVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPEVDAEALMVDRFPVPKLIKCDQHGSQARFLLARLNPSVTQKTQLSEGSEVIFTDDVSLQVFIEHLQELAVQG >KQK89229 pep chromosome:Setaria_italica_v2.0:IX:22212957:22216267:-1 gene:SETIT_034846mg transcript:KQK89229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGGGGGKMSKLSWSKSLVRKWFNIRGKSHDFHADAAAAGTGRSGGADDDWMDSGFTRRDSCGAKKSRTERASRRSHERSRRSKIDLDAAEATVMLDYRIFAATWNVGGRAPPASLSLDDWLRSSPPADIYVLGFQEIVPLNAGNVLGAEDNGPARKWVSLVRQTLNSLPGSSGGVGGGGSLQTPSPAPYPVAEMDADFERSRQNNPSFFHRRSFQSGLSRSLRADGDILAGPGPARLERRFSVNDRVMYGSRPSDYEANCRWGGGQSDDEDDGGGSPTTVFSPMSHGYGNAPPMEEYSGSVRGPARYCLVASKQMVGLFLMIWSRKEMKNDIRNLKVSCVGRGLMGYLGNKGSISISMLLHQTSFCFVCSHLTSGQKDGDEHRRNSDVMEILRKTRFPRVCGQYERCPETILEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRSEQRGGRVFPGWNEGRIYFPPTYKYSNNSDKYAGDDINHKEKKRTPAWCDRILWYGRGLSQLSYVRGESRFSDHRPVYSMFSAEVESINHSRIQKMSSWSSQLDMEELLPYSYGYTEINHYGYTDLNFF >KQK89883 pep chromosome:Setaria_italica_v2.0:IX:36705647:36706567:1 gene:SETIT_037510mg transcript:KQK89883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGAIRRAAASAADRACAGARGFRRALARFAPRPSAFVPAADAEATAVRAVRNFRTFRFHYAFLQWALLLASLVPRHRASVVFLMAASKGLLVYGGLLKAFPNSALLRRLLDSRLVAAVFVALVLADIVAAGAVANLLAALAAGVPIIVLHASFRVRDDLEGASPEAAAENGKEDEASAVVEKKEDGDVEAGPTRRSMAAAPRSPK >KQK91661 pep chromosome:Setaria_italica_v2.0:IX:51096838:51097068:1 gene:SETIT_039029mg transcript:KQK91661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVCTSVSVGLWRGLFCVWLSSALWCQCDRGAHVCMAMVSMPQLLPWSCTAAGCKCSSIVLYCSACNASLPLNLSL >KQK92558 pep chromosome:Setaria_italica_v2.0:IX:56105947:56107909:1 gene:SETIT_035928mg transcript:KQK92558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEVAEKGGVWRRYAPHVQMVLAQLCYTLMYFITEAAFNRGLNPYVYVTYRHLLVAVLIWPFAYYHERGLRPKMTFMLFMEIFVLSLLGVSLTLNMYFASLKYTSPTFVTSMVNTIASMTFVIAIILRMEIVDAKSLRGLAKIAGTVVSFAGVTTMTLYKGAAIKCLWNSPVHIHGGGGGAVAHDSWVKGSVLAVASCICWSIWYIMQASSLKRYPAQLSLTAWMCTVGGVQSTVFTVFMQHKPEDWLIGFGLKFWCIVYSGLACNGFTVFVQLWCTEKKGPVFVTMFNPLSTIMVAILAYFIFGENLYVGSIIGGVVVILGLYMLLWGKEKDQVHGASKDQELELDCEKQARKARDVYAVQQNGTEAPMTVK >KQK92559 pep chromosome:Setaria_italica_v2.0:IX:56105947:56107909:1 gene:SETIT_035928mg transcript:KQK92559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEVAEKGGVWRRYAPHVQMVLAQLCYTLMYFITEAAFNRGLNPYVYVTYRHLLVAVLIWPFAYYHERGLRPKMTFMLFMEIFVLSLLGVSLTLNMYFASLKYTSPTFVTSMVNTIASMTFVIAIILRFSLHALVLGSLLTSEDASFFQSDGSTDVVHVEFRMEIVDAKSLRGLAKIAGTVVSFAGVTTMTLYKGAAIKCLWNSPVHIHGGGGGAVAHDSWVKGSVLAVASCICWSIWYIMQASSLKRYPAQLSLTAWMCTVGGVQSTVFTVFMQHKPEDWLIGFGLKFWCIVYSGLACNGFTVFVQLWCTEKKGPVFVTMFNPLSTIMVAILAYFIFGENLYVGSIIGGVVVILGLYMLLWGKEKDQVHGASKDQELELDCEKQARKARDVYAVQQNGTEAPMTVK >KQK89267 pep chromosome:Setaria_italica_v2.0:IX:22784605:22789592:1 gene:SETIT_039329mg transcript:KQK89267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVALLLLVCSSWLVSMAAAAPGPPIRAVNLGGWLVTEGWIMPSLFDNIPNKDLLDGTQLQIKSTTQNRYLVVDQGGASAILADRIQASSWETFRLWRINETTFNFRVYGGQFWGVDSNGALVATATTPGPSETFQIVRRDSDKTRVRIRAPTGLFLQAKTMASVTADRAGEYTDWSDNDPSVFLVNNVGNLYGEYQICNGCGIARATQVLRSHWDTFITEDDFKFIALSGLNAVRIPVGWWIASDPNPPLPFVGGSLQALDNAFRWARNYNIGVIVDLHAAPGSQNPYDHSATRDGSQEWGTTDANIAQTVQVIEFLVSRYANNTALLAVELLNEPLTKYYQDGYNAVRRYTPTAYVIMSNRLQIADQKELLQFASGFPGAVLDVHYYNLFDSKFENLTVEQNIDFVRNNRSADLATITNQNGRPLTFVGEWSAAWGVQGANPTDYQRFARVQQDVYGNATFGWAYWTLQNVNAVWNMTFMIQNGIITLK >KQK87149 pep chromosome:Setaria_italica_v2.0:IX:5773001:5775078:-1 gene:SETIT_037547mg transcript:KQK87149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQTAEELAAQIEQQKLEEQKNEAEEVVVEDEDDDDEDDDDDDKDDDEGQEGDASGKSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSQMISKPETSGLGQEDNEEEVDDTGVEPKDIELVMTQASVSRPKAVKALKAAEGDIVTAIMELTN >KQK87148 pep chromosome:Setaria_italica_v2.0:IX:5772695:5775356:-1 gene:SETIT_037547mg transcript:KQK87148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQTAEELAAQIEQQKLEEQKNEAEEVVVEDEDDDDEDDDDDDKDDDEGQEGDASGKSKQSRSEKKSRKAMLKLGMKPITGVSRVTVKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQTQAAEQFKALKAAEGDIVTAIMELTN >KQK91688 pep chromosome:Setaria_italica_v2.0:IX:51242957:51243181:-1 gene:SETIT_039065mg transcript:KQK91688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKSRVAAVVFLVMCVVSAVASDSDKRPGNAAGGGFDCYTMCTTGCFSAGMTGEYCAMVCEEECAEDARKQWT >KQK92949 pep chromosome:Setaria_italica_v2.0:IX:58017657:58019575:-1 gene:SETIT_034977mg transcript:KQK92949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRGDPAPAGDETAEELLERVQGMVPAALEAARAAGGFPGRWKAIAAKLETLPACLSDLSSHPCFAKNALCRELLQSVAATLAEAADLAGRCREPPPDGKLRTQSAIDALAGKLDLNLRDCALLVKTGVLSDASGPSPPPEAAAAGSPAHADVRELLARLQIGQTEAKNRAVDGLLEALRKDEKSVLAVLGRANVSAMVQLLTASAPVVRGKAATVVCQVAESGSCDGLLVSEGVLPPLIRLAESGSLVGREKAALTLQRLSVSPDVARAIVGHGGAGPLIEICQTGDSVSQSAAAGALKNLSAVPEVRQALADEGIVRVMVTLLDCGAVGGSKEHAAECLQNLTSSNDGLRRAVVSEGGLRSLLLYLDGPLPPEPAVGALRNLVGAVSPDSLVSLGVLPRLVHVLRVGPVGAQQAAAAAICRISSTAEMKRAVGEHGCVPLLVRLLEAKSSGAREVAAQALASLVGCPANAREVRKDDKGVPRLVQLLDPSPANTAKKYAIACLLTLSAAKRCKKLMISHGAIGYLKKLSDMDVAGARKLLERLERGSLRSLFSRD >KQK89522 pep chromosome:Setaria_italica_v2.0:IX:32305874:32305978:1 gene:SETIT_039540mg transcript:KQK89522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKAHDRAVKAHKKPTPIPIIWIFGNTINIMLP >KQK90990 pep chromosome:Setaria_italica_v2.0:IX:47047352:47059760:-1 gene:SETIT_034044mg transcript:KQK90990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVSRDDELVIKSPNDQRSYRLLRLANGLCALLVHDPEIYADGYLSQASKAHEDEEMQEEEGEDDEESDEDDEEYSDEEGDDDDGEDEEDEEEGGSEPKRRKEKGGADPIVKKAAAAMCVGIGSFADPPKAQGLAHFLEHMLFMGSSEFPDENEYDSYLSKHGGASNAFTETEYTCYHFEVKREYLKGALDRFSQFFVSPLVKAEAMDREILAVDSEFNQVLQSDSCRLSQLQSHTCSQGHPLNRFTWGNKKSLVDAMGSGINLREEILQMYMRNYHGGAMKLVIIGGEPLDILEGWTMELFSKVKAGPLLDMTPKTDMPFWKSGKLYKLEAVRDVHSLFLSWTLPCLHKEYMKKPEDYLAHLLGHEGKGSLLYFLKAKGWASSLSAGVGSGGSERSSYAYIFEMSIHLTDSGLKNVFEVIGSVYQYIKLLKQSEPQEWIFKELQDIGNMEFRFAEEQPPDDYAVDLAENMLFYSEKHIVCGEYIHEDWDPELVKHALCFFNPDNMRVDVLSRSFDKQSQAIQCEPWFGSQYIEEDIPSSLIESWRNPVQIDPNLHLPRKNEFIPGDFTLRNANYPRSSSDDNPRCIVDEPFIKLWYKMDMTFNVPRANTYFLISVNDGCSSLENSVLTELFANLLKDELNEVLYQAYVAKLETSMSVVGSNLEIKLYGYNDKLPTLLSNILSAFRSFSPKTDRFEVIKEDLERAYKNTNMKPMSHSTYLRLQVLREIFWDVDEKLEVLMNLTFTDLVTFVPKILSQLHIEGLCHGNLSEEEAINISKIFRNTLSAQTLPEEARHGVRVICIPNGANFVRSVRVKNDLEENSVVEVYFPIEQDIGKEATRLRAITDLFSSIIEEPCFDQLRTKEQLGYTVDSSPRMTYRMLAYCFRVMSSKYSPIYLQSRIDNFINGLSTLLDGLDDETFEHHRSGLIADKLEKEPSLSYQTGDYWSQIVDKRYLFDMPKLEAEELRTIQKADVIAWYNTYIRSSAPKRRRLAIHVYGCNSDIAEAAKLQEQSWTVIDDVKSLKVSSQFYSSLC >KQK86346 pep chromosome:Setaria_italica_v2.0:IX:1375913:1376950:-1 gene:SETIT_039946mg transcript:KQK86346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQQHCAPSDESLIDDYLRPKIAGKQGVGGDCVHDADVYSDHCTPTTSCASTTPRTAGFGISSAPRDTSAARTRPGDAAVPDSGRGRSPAPTARRRGPGTRGRPSTRWKAAPAGTSGSHHRETTPSGAIIKPGWMMVEYGIAEEHGGGDMLLCKVYKSSRGGPGSSDVSSRCALASSPARKRKADAVEHPEDPTRARQRQLYETNEEDDDAMSFAQMLEDELELLSYFKTENLPGGGEPAAPEYQDQPNDDDVMEISLDEFLGSSAARAVPPRAARTESAAAASCAQAPSPERSSTPSAFTDDDDMCGLALACPPMDDEYVELILSKPLVQFDPALIEEFINDQ >KQK92574 pep chromosome:Setaria_italica_v2.0:IX:56184039:56185859:1 gene:SETIT_035713mg transcript:KQK92574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGEQAAQVEPPPPPPPPPPQEGNKEKEPEPEPEPPRCGRHPSQLLTGICSSCLMERLSSVRDQPEAEIVEVGAAEPAEGSGAADQGKLRKTLMLLFQLDDSGSGAANPSQGKDPQPAEFQFGSGGGDRGGKRKGPGSWLRSILPTRGMRWRRSGGSVKDPSPPPPPRGEAADPSAINGGGGDAQVERKPSFRRSCEWMACRDPSRGSLEPPRHSWDGSMVGRAFACSFACLEEPPDAARRVRRSNAEEGAAETRAVVAESRNGGHSVDAGGDGRRLRGRGSGDTGMEMAVSGVGRRRSNRWSRVWDRSITSPLKEFVRKGEHVLERSLSESRKEIRRGKNAESADISGEFHSGRNGHVSGRASQGASRSSQAASNGDVQNFRTDWLKNSKIGRSRSVHYTSPGNLDNGMLRFYLTPMRSTRTANKGRRRSSRLFARGLFGFM >KQK86919 pep chromosome:Setaria_italica_v2.0:IX:4495691:4497970:1 gene:SETIT_037402mg transcript:KQK86919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSSLATALSHLSLPSTSTSTSKPHQAPFLRLHPCTSRRAVSLALRASAAEPAEADLPAEEVVAVEEEAEEDALSGVALRKYVKQRLPGGFAAQRITATGRRKTAIARVVLQEGTGKVFINFRDAKEYLQGNPMWMEYCKVPLVTLGFENNYDVFVKVHGGGLSGQAQAICLGVARALVKISTANKVPLRSEGLLTRDTRIVERKKAGLKKARKRPQFSKR >KQK91117 pep chromosome:Setaria_italica_v2.0:IX:47903959:47905128:-1 gene:SETIT_040256mg transcript:KQK91117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWSSPKDPALEAALRRNRRWVVNNQIKRLLLRFPSRTAPVRFLQSRFKTLDLMGRAANWLGKYPSCFEVFSADAGGGELEPHFGFTKRMAALVDAEEAAVAASEPAMADRLARVLMLARGRRLQVSKLAALRGPLGLPDDYLLRLLPAHTDLFRLSNPYPHRRNAAELELIRWAPSLAVSAVEAAAAVSNSAPRFNCSLPASWAKSHAKMEDFNSTPYISPYSEEWAVPCTDAEAEKRAVAVVHELLSLTLWRKMSILKLEHFRREFGLPEDTARMLFRYPCLFYVSNRYKIHTVVLREGYEGSELREKDPVVAAKDWLGELMQEGLHEYNQGRRAANIEKKRRRGEIEVKKEEEKVEDEETAQLDSAEKREERRRFYKVLFDDGNR >KQK87261 pep chromosome:Setaria_italica_v2.0:IX:6312077:6316858:1 gene:SETIT_034159mg transcript:KQK87261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSDGPDENPRNQQLQAQPKTASKSNNAVLRPPSKQNLRKQTNKPPETPHEMRNRPKKARTQPGLRVRPSSFLGRALILLHRHHQAEPPAGLLLDWIVSAAPSASSPRFLGFHWPVSLNMGEPNSNDNAMVHDSEMVDGNGVINGNEMVHGSEMIHGNEMVNGDEMIHGDEMIQGTEMVQGSEMIHGNEMVQVNDLIHGNEMVQVHDMVNGDDMAHGNELINAEMTPHTTSRRRRKKSLVWEHFTIENMPGGSSRACCNLCKQTFAYSSGSKIAGTSHLKRHITLGSCPVMKDQDRKLALPPPGGPGTDNDGEGTVERPLKRRYRYTGYANATFDQERSFSYLAKMIILHDYPLHIVQQSAFTTFIESLQPRFKGVDVETMEGEVYAVFQKAKENLLQAFNTMPGRISLTIGLWTTSQTLGYVSLAGQFIDSEWKVHRRMLNFMMVSSPHSENALSEAISSSLLEWNMKDRLFTITLDNDCSSHDIYSANLRDHLSNKNNLMLKGQLFVVRCYAHILNVVAQDVIASIHGVIYNIRESIKFIKASPSREERFAEIALQLEIPSTKTLCLDVTTQWNTTYLMLLAALDYKQAFATLETCDDNYNEAPSAEDWKKVEATCNFLKLIYDSAHSIMAAANPTANIFFHEAWKIQLELANGTGHEDPIFSSIAKDMHERFDKYWKDCSLVLAVAVVMDPRFKMKLVEFSYSKIYGAEAGKYVKVVNDSLRELYKEYVAQPLPLTPTYVEQGEANNVAANANATQGNPPSTTDGLLDFDMYLSEIQSSQPAKCELEQYLEESLTPRIQEFDILNWWKLNTLKFPTLSKMARDILAIPMSMVSSGSSIFSAGTGNRMLDDYRSSLRPETVEALVCAKDWLQYAPDQTEASGSVMVKSEAS >KQK87116 pep chromosome:Setaria_italica_v2.0:IX:5627896:5628074:-1 gene:SETIT_039167mg transcript:KQK87116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPCRSVAIPRAEAAPMQEGDRMGNQGRRQCGREIGWDRRRRRCGMKTGRGVLAAAGADA >KQK87738 pep chromosome:Setaria_italica_v2.0:IX:9014955:9015898:-1 gene:SETIT_037710mg transcript:KQK87738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPRHAELLLALLLLVGLLSTTALAARAGAGAVDAELITSSKKPSSPGPRKPAVKPPPVVPKPNPNPGAGAGGVGGAIPTIPGFGNGIPGMGGFGNGIPGMAGGWGGGYGGPAGGYARGGVVAPTVTCTEKGPCYRKKVTCPKKCFSSYSGAGKGYGGGGGGGSCTVDCKVKCTAYC >KQK89487 pep chromosome:Setaria_italica_v2.0:IX:31815393:31817111:1 gene:SETIT_038056mg transcript:KQK89487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFAASEGNGDCSLLTTSVLDFATQVLRKGAAADDIISPLIVFSIQYIMVNHMNWKYKKYSRWKTTLRVFELVKTCIHVKPFSSKLGGIIWEILLYDSSVHSVLWSILSLATQLLEVRMFLL >KQK86335 pep chromosome:Setaria_italica_v2.0:IX:1338623:1343605:-1 gene:SETIT_034869mg transcript:KQK86335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVVAAAAACAASGGALLLYLLLTCRPQPATEAERGREENETSPLLSGSRAARGREAGSDSEDEPWPHREPVTCCEAAAVAARTARRAWELTVGRWGLHGLAFGIKRHMKRQGNLQHEYGGNDCRQLKGHQAHAEVSSLLEYLKLCMFFSKKSFSAFLKFGGYKQEDILIHKTRSRLMQPSFALVCDKRTKCFLLFIRGAISTKERLTAATAAEVPFHHIILSEGQISNVVLGYAHCGMLAGARWIARLAIPHLHNKIQEFPGYQIKVIGHSMGAGIGAILTYILREHYEFSSCSCLAFAPPACMTWELAESGKDFITSLVNRNDVVPAFSKVSTESLRSEVMVSSKLDDVQDHFHHGLFANISQGVAFVKSHMLSISHSTGKIADHGSNISEPLLKDAADVIQSAANGHSIDCSGQQVVTSEEGLALVNKEDVTCVSSAGSGFTSQEDSDTSGSLDTQQPSSPPYEGKEALNQNGARNDKQKELISASCSRQFFPPGRIIHMVALAPPDSNPGEGTSSSEIIEIYETPRDLYGKIRLAPNMIKEHYMPSYISTMESLLEQLQKDDDDNSVCTTSNDL >KQK87177 pep chromosome:Setaria_italica_v2.0:IX:5941036:5944783:-1 gene:SETIT_034378mg transcript:KQK87177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGVKLVPREQIVSGRKGGSDSDSSDGKRREKRSKRERDKKKDRRRSRRRRRYSSEEESGSDTDDSIGEEEEEEVSRSKRRGKHRKRRHNFSDDDSESSESDRGRARGKGKQSGDDEDEEEDTGGEGLRASEVVRREMGLEWMLKSASSSRTEGSSVRKADNDEKDEAAHEEIARPNPKELNPYLKDNGPGYPEESTPPNAGNQLLASSVVGDGGASWRLKALKRAKEQAAREGRKLDEVVEERWGSLGNLAVSVSSSSSRAAHSHAHLHAIRGRKSVNADNSEERAKGNPEGRQAGDSGRREYLRDVSSQHHSMRKPKPDFVPWKRRRHNISSEDQELISSAVASLNKFSDDGSFMEKISDLNKNKNDSTAGACADEQRDREQKHFKESSEKAPLMGTQKLNANQLAAKILQLRLKGKNEEAEQLSREMEALLENQDTVPEEPCHGKGRSSIRDTLKPSAADRRRREENADLHLANKIMHNKQYSMSKSIEDEYDFGDAPSKKGKRKNKEAHEERRSTNRQMLTQKERCLYCFENPSRPKHLVVAIGNFTYLMLPQFEPVVPGHCILLPLQHESATRTVDQNVWGEIRNFKKCLLKMFAQQDKDVVFMETVISLARQRRHCMIECIPVPCDVSSNAPMYFKKAIDEAEEEWTQHEMKKVIPTSASRNLRQAIPENFAYFHVEFGLDRGFVHVIDDESKFSAGFGLNVIRGMLQLPEEDMHRRRRHESMDNQKQAVASFMKDWEPFDWTKQLD >KQK89233 pep chromosome:Setaria_italica_v2.0:IX:22295338:22296170:1 gene:SETIT_039875mg transcript:KQK89233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPPCKSAPRTPTPPNRLTNSNLMPQLSCTHTYTCIYTRPTRLPLVMSQNSSARPGQGPSSTRAYGLMLLDCTQQLKQTHAHKRHGKVISNRHSNIDTPMGHELMANPRVLKKAQLEIRHSMAGQVHEVALSNLGYLKAIIKETLRLHPSAALVPRVCLNNCKIQGYDMPQGTICRDHLTPFGTGRRICPGINFANANMEIALASLLYHFDWKLPVGLKPEKLDMTEVFGVTIRRKAELLLHPIPMFHM >KQK93113 pep chromosome:Setaria_italica_v2.0:IX:58834354:58836660:-1 gene:SETIT_040602mg transcript:KQK93113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPKVVLGASVSLVAYFCTECDKRISLLENKISDSYKLLAKILLFCLGEAIVFAKLVHEIGYLSENGSSNDVIGWSSFRQCIQVIQGSLHSTNIQVHLLGLHVLRSYAQKELTEGSESQKDSFMMLLTELLGDVFLVMQTTLKECSNKESVGVIDECSKLLFLFHTLAQSKKYQQDATTLLLEALLMVFSLSSDTVSQELAEVNIISRKLFSHFIQIPSVAIQIKDIMLSAPPERRQQLQDMVRASVSQGQITVPMNMSARSEQNVQDTNNNNPGSTADSTPEGSECCVTQGKDEKEVDDDDWDDDWDAFQSLPATAANDAVDSGENSSASSHHKQTPPENTSQGIIDEDITAGAMEDRTCSKELEEPSDLQCSSTEQQANYEFAAASHEDHVELERHPTVDCEEPLAHIEMADELLHVHEDADEASEDLKGISTEDESNNSSDISLRVDGKLVKDESREEHPVDLS >KQK87231 pep chromosome:Setaria_italica_v2.0:IX:6193559:6194937:-1 gene:SETIT_037980mg transcript:KQK87231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFTPAHAVSHHHSSSAAADGEGHRTPARIHAAGLGISCGGSAVPATPLVASSPASSSASCPPAHNPISVSPASLWCRSCSSELEDLNGGLVWNTMLHQSRGARWCWTSHRCSKVTRRVHGLGRGARCSPCS >KQK87230 pep chromosome:Setaria_italica_v2.0:IX:6194533:6194937:-1 gene:SETIT_037980mg transcript:KQK87230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFTPAHAVSHHHSSSAAADGEGHRTPARIHAAGLGISCGGSAVPATPLVASSPASSSASCPPAHNPISVSPASLWCRSCSSELEDLNGGLVWNTMLHQSRGARWCWTSHRCSKVTRRVHGLGRGARCSPCS >KQK87229 pep chromosome:Setaria_italica_v2.0:IX:6193664:6194937:-1 gene:SETIT_037980mg transcript:KQK87229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFTPAHAVSHHHSSSAAADGEGHRTPARIHAAGLGISCGGSAVPATPLVASSPASSSASCPPAHNPISVSPASLWCRSCSSELEDLNGGLVWNTMLHQSRGARWCWTSHRCSKVTRRVHGLGRGARCSPCS >KQK91029 pep chromosome:Setaria_italica_v2.0:IX:47235504:47244711:-1 gene:SETIT_034414mg transcript:KQK91029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSRILAAGNLLRGSRFNPQTAAPAAAGFRRLNGANRPGVPKPLFSPFLGGFGPNCGVPPGNGGPFGRLGSFLPDSTYPPHGARLTRDTRVHAFSTSANAVTAGKPVDKVPKDASKKDVDEQIADSQILKNLGKYLLLNDSPDFRFRLVLSLGLLVGAKVINVQVPFLFKLAIDWLAALGGAEASLASFTETNATLLALFASPAAVLIGYGIARSGVSACTELRNALFSKVTLRAIRSVSRMVFSHLHELDLRYHLSRQTGALNRIIDRGSRAINYILTVMVFNVVPTILEIGMVSSILAYKFGSTFAWITSVSVATYIAFTLAVTQWRTKFRTAMNKADNASSTVAVDSLLNYETVKYFNNEQFEVAKYDKYLRNYEDAALKTQSSLAYLNFGQNVIFSSALSTAMVLSSYGVMSGALTVGDLVMVNGLLFQLSLPLNFLGSVYRESRQSLIDMKSMFQLLEEKPGIKDEPHAQPLQFKGGCIEFENVHFGYVPERKILDGATFTVPAGQSIAIVGTSGSGKSTILRLLFRFFDSTSGSIRIDGQDIRGVTLESLRKHLGVVPQDTVLFNDTIKHNIQYGRLSAKDEEVYDAARRAAIHDTIMNFPDKYDTVVGERGLKLSGGEKQRVSIARVFLKEPSILLCDEATSALDSTTEASILNSLKSLSVDRTSIFIAHRLTTAMQCDQIIVLENGKVVEQGPHDVLLSKGGRYAELWFQQNNSDAVDPAAVTLQV >KQK92606 pep chromosome:Setaria_italica_v2.0:IX:56316325:56318801:1 gene:SETIT_034435mg transcript:KQK92606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRCVSLATRSVRVQIPDQLPTLFEPQKAVMTPHIRASTDDDDAAPPERRLTVLALQLALLEKAASRLGTLGFIWATVVLLGGFAITLGQTDFWCVTALLLVEGARILGRSHELEWQHEATGRAPPVSWAAGRVFHWLQLLSASACVALSLVRLAHQHYGGSPEARTNRRSALDIFYGLALAEALLFLLEKALWQWRLGYHRLLERVAVECHLATACGTVAVRRFFYDSYSRCLNGSIFDGLHMDLVSYADDLLTAGSHDEQRLGANILVALVESDRFADATLRRIGTSAPTVERLIEMLSWKNASEKDVRRSAAVVVFMLTGRKLNALRVTGIPGGIESVASLLYADLDELNLLGLSILNKLAHDHDNCDKIGKTRGLLDKIISYSSIASGPAAAAPPTDTRLKAVKQSLRVVKRLATTTGTTGKLLRGELSDIVFTVSNIREVLQQHDEKDMSELHLLAIEILTSLAMDEEAREMIGGTGGVVSVLLAMFLPGKDAAAPDRRKDAVRVEAGEALAMLALDSRANCGAIITACGGGVARLIEALGDPVVIIGAARILRNLCTYAGEEWQLALRGVTAGATKVLRNIMVEKTKLLNISLSLAAQMLRFMEPGELRGTLAAAGVTEAALARTLVLVLREYGRPSLVVPRIRLYTLELAIALMRSEEDARFVALFVELGLEGELRRVAETTSGLECFNVFSGSVGLNRRAVGVCSLVETAREVMRRG >KQK87302 pep chromosome:Setaria_italica_v2.0:IX:6536085:6537860:1 gene:SETIT_036668mg transcript:KQK87302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITSHQLRATRPPRLRAPTAATRSLPVRPSGGSPDTHARELSWSRRRLGTCARVSPQSPPRFSMATAISLRGAPLTAPRACASGRRFVRVSAARASLPRGGARVGHRSLTVSAAAVGDSSGSAPVAGAPAWDALGGVSVLAAGTGDAVPLTDLWDPTEGVAVVALLRHFGCFCCWELASVLKDSMARFDSAGAKLIAIGVGTPDKARILADGLPFPVDSLYADPERKAYNVLGLYHGLGRTLFSPASAKIYSRLDYIKKATKNYTLEGTPGDLTGVLQQGGMFVFRGKELLYSWRDEGTGDHAPMDDVLSACRKVPVA >KQK91445 pep chromosome:Setaria_italica_v2.0:IX:49843416:49844005:-1 gene:SETIT_040761mg transcript:KQK91445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLELDSSEVVTLLCSSEMLSTKVDECMQLLHATNPKAEDQEPLHPGFMLDSAGVNAN >KQK88020 pep chromosome:Setaria_italica_v2.0:IX:10944243:10945857:-1 gene:SETIT_038868mg transcript:KQK88020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSSSPPPLRIVIFPWLAFGHLLPYLELAELLASRDHRVSFISTPGNIARLPPLRPAAAPRVPSAMLLPSAAYLATSQNLHESKRSPQHLSNHGGDMSIAQRFFLTLERCTFAVIRSCIEWEPEFLPQVASLLRKPVLPLGLLPPSPDDGGRVNGEDAAVRWLDAQPPSSVVYVALGSEVPLRVEQVHELALGLELAGTRFLWALRKPSGVSDDDAGILPPGFYERTQGQGFVSMGWVPQVSLLAHGAVGGFLTHCGQRSLTEGFLFWRPLIMLPIRGDQGPNARLMEGKKVGLQVKRDENDGSFDCHGIVSAVRAVMLEEETRGVLVANALKAQGIIADKELQYRYIDQLTQQFRWW >KQK88398 pep chromosome:Setaria_italica_v2.0:IX:13955335:13955976:-1 gene:SETIT_0396061mg transcript:KQK88398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFSVPAMYVAIQAVLSLYASGRTTVGTNGP >KQK91931 pep chromosome:Setaria_italica_v2.0:IX:52775605:52775964:1 gene:SETIT_038076mg transcript:KQK91931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQIKNQPRNVKDHHMQHLRILIPTSQIIHCNIEKKCNILGERWGRREEGEGMAPSLAEGRGDENASSYIFLLLRRCSTAPKAESPPMTASSRSAALEFVPDGGGGQLQPQAPHLNARS >KQK93063 pep chromosome:Setaria_italica_v2.0:IX:58576238:58579049:1 gene:SETIT_035956mg transcript:KQK93063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPAPAPKHPATPRGHHHHPARHLHVARCFPDPPPPASKPATNPLLALLSAVPDWADAAQERRLRDRRPLYDHATWREHRSSRRHLRHFLTSLSSRVILSLAPPVSAFTAFAAAIATYNTLLPDHALTASSLPYQLTAPALALLLVFRTEASYARFDEGRKAWMRVLAGAADLAGMVMHPSPPPAAAADDEPLRRALLNYILAFPVALKCHILCDSDVKTDLEGLLAEDDLNVVLASKHRPRCIIEFISQSLQMLDLDQQKRGIMESKLSCFLEGIGVCEQLIGIPIPVSYTRLTSRFLVLWHLTLPVILWAECKWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDALCKQLHDGIKDVIAVQSSVHTRLVGKAKGRGGSRRTDNGWPSSKREEAKID >KQK89116 pep chromosome:Setaria_italica_v2.0:IX:20907689:20909859:-1 gene:SETIT_035025mg transcript:KQK89116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAAASSCTDATWWAYALPALLGADTLCAHPALLAAALLLATASAALLAWAASPGGPAWAHGRGRLGATPLVGPRGLPVFGSIFALSRGPPHRALAAMARAAGPRARELMAFSVGGTPAVVSSCPATAREVLAHPCFADRPVKQSARELMFARAIGFAPSGEYWRRLRRIASTHLFSPRRVAAHEPGRQADAGAMLHAIAAEQSVSGAVVLRPHLQAAALNNIMGSVFGRRYDVSSGAGAAEAEQLKSMVREGFELLGAFNWSDHLPWLAHLYDPSNVARRCAALVPRVQAFVRGVIDDHRRRRQNAAAPDDNADFVDVLLSLEGDEKLGEDDMVAVLWEMIFRGTDTTALLTEWCMAELVRHPAVQARLRAEVDATVAAGGCPTDSDVARMPYLQAVVKETLRAHPPGPLLSWARLATADVPLSNGMVVPAGTTAMVNMWAITHDPAVWADPYAFAPERFLPSEGGADVDVRGGDLRLAPFGAGRRVCPGKNLGLATVGLWVARLVHAFEWALPDGAPPVCLDEVLKLSLEMKTPLAAAAVPRAA >KQK91458 pep chromosome:Setaria_italica_v2.0:IX:49913897:49915555:-1 gene:SETIT_039967mg transcript:KQK91458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADEGRAARRTTVAAAAAAAAMGESLLTALSMDTATAHHPHQGPNTILSMDTASHEDFDLYLQPQGPFRRCLHAAAVVPPDINLPLAADPSPPPPALQDCNVDMLDVGLGGPQQYDSDSPAAAVPVSAPPAATTATVAVAHTKGSGSSAARKCVKRNDSIWGAWFFFTHYFKPVMSADKGGKAKSATAGGNGNSATLDAFLVQHDMENMYMWVFKERPENALGKMQLRSFMNGHSRLGEPQFPFSADKGFVRSHRMQRKHYRGLSNPQCLHGIEIVRAPNMTGVPEAHMKRWMELTGRDANFSIPTEASDFESWRNLPTTDFELERAASTAPAKSSSHGHHKKLLNGSGLNLSTQPSNHSSGDGMEITATCNKRRKDSSPVAMEEDCSNSNSDKAHDMDVSHTFEPSWINDFTGVMRHASGPVTAAKTIYEDSKGYLIIISLPFSDFQKVKVSWKNTLTNGIVKVSCTSVGRMPFLKRHDRTFKLADPSPEHCPPGEFVREIPLPTRIPEDATLEAYRDETGTGLEIIVPKFRVGPEEHEVHVSMRPPSSWCQ >KQK89347 pep chromosome:Setaria_italica_v2.0:IX:24674179:24676964:1 gene:SETIT_040042mg transcript:KQK89347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSTEERPLKVVFASPAEYFTDAAPIGNGSLGAMVWGGVASEKLQLNHDTLWTGVPGNYADSKVAAALPVVRKLVDEGRFVEATAAASSLTDGPNEVYQPLGDINLEFETSNQEFKSYKRELDLHTAIVYTTYNVGDVQHTREHFCSNPHKVLVTKISANKSGHVSFTVSLSSQLIHNVHVVNETEVTMEGSCPKRRPTLDKTGIDNTGIKFAAALSLQMGGDGAKAAVINGQNLRIDNADWVVLLITASSSFDGPFVSPSDSKVDPVSEALRTLNISRNLTFSQLKAAHLIDFQGLFHRVTLQLSQLSTFGNTSLNGVGEAIKTTAERVSSFKSDEDPSLVELLFQYGRYLLISCSRPGTQVSNLQGIWNQSVTPAWEAAPTLNINLEMNYWPALPCNLSECQEPLFDFIASLAVNGAKTAK >KQK93092 pep chromosome:Setaria_italica_v2.0:IX:58723007:58723427:-1 gene:SETIT_040294mg transcript:KQK93092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFCSLISSVPRLRASIICTSYFPHIYRSLKSILISLATTFWVRIVGLYFAK >KQK88485 pep chromosome:Setaria_italica_v2.0:IX:14582212:14587052:-1 gene:SETIT_034542mg transcript:KQK88485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRNGSKATGMPIERGGRDVATRVSAQARALTSCRRPSPFIGSSTGSEPRRRRLDPTAAQQHTTSLCQAGGATTTRSTAHARPPKNAPDQAETSRNLTTLGCGCLSIECLEATHPGSELAPRCSARPTRSIDSIARPQSRGKPQAVTSTPGVLHHRRLHPHLFFPSHPAKGGGAVQAMASGGAVREVGSKAELDAAVAGARAAAVHFWAGWCEASKQMDEVFAHLAVDFPHAVFLRVEAEEQPEISEAYGVSAVPYFVFCKEGKTVDTLEGANPASLANKVAKIAGPASVAESAVPASLGVAAGPAVLEKVQELAQRNGSSADEGTNSVGLPDSLNKRLEQLVNSHPVFLFMKGTPEQPRCGFSRKVVDILKQEGVDFGSFDILTDNDVREGMKKFSNWPTFPQLYCKGELLGGCDIVIAMHDSGELKDVFEEHNIPLKPQGSKNVETVEPEAPTGKGGAVSEPVGLTDALKARLESLVNSSPVMVFIKGSPEELKCGFSGKLVHILKQENIPFSSFDILADDDVRQGLKVFSNWPSYPQLYIKGELVGGSDIVMEMHKSGELKKVLSEKGVIPKETLEDRLKALISSAPVMLFMKGTPDAPRCGFSSKVVNALKNEGISFGSFDILSDEEVRQGLKAYSNWPTFPQLYYKSELIGGCDIILEMEKSGELKSTLSE >KQK92410 pep chromosome:Setaria_italica_v2.0:IX:55346150:55346785:-1 gene:SETIT_038474mg transcript:KQK92410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHGTPAFFQDSSISCIGQWGPQVLYSAFAFACYFWLALDPADAGVDRHSIGWE >KQK92635 pep chromosome:Setaria_italica_v2.0:IX:56518864:56521417:-1 gene:SETIT_039576mg transcript:KQK92635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRCRTKPGRRARSPDPEGAAGSSILSLLVALAVRLGHAAIRVALAFFLERHDVAARGGVLLHGGRRLHQPARGLLLLHGGGSRGRSCVVGHRVTVSLSEFGNTGRGFAMLAAIAFGFLAAAGSAQFVWFGRRSSSRRKKKMPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIISKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKARGEVISTKRQPVGPKPGFMVEGAMLETVTPIPYDVVNDLKGGY >KQK90390 pep chromosome:Setaria_italica_v2.0:IX:42307541:42309120:-1 gene:SETIT_037923mg transcript:KQK90390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSAGGGSSQLGYLFGNDEAPKPAVAPAAPAACAPPAGKPAPPKPDVTKQVAAGVTSQTNNYHRADGQNTGNFLTVFWFPSFSHTNLFDTHLLLLAYCSYSHTFTFLAIMSYFVGCWAGEYHVKSIMEVCVPLSYDILVLAPH >KQK90389 pep chromosome:Setaria_italica_v2.0:IX:42306754:42309120:-1 gene:SETIT_037923mg transcript:KQK90389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGSAGGGSSQLGYLFGNDEAPKPAVAPAAPAACAPPAGKPAPPKPDVTKQVAAGVTSQTNNYHRADGQNTGNFLTDRPSTKVHAAPGGGSSLDYLFGGK >KQK88686 pep chromosome:Setaria_italica_v2.0:IX:16301638:16305519:-1 gene:SETIT_034525mg transcript:KQK88686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAGDERCLDPQLWHACAGGMVQMPPARSRVYYFPQGHAEHAHGGGAAELAAAAGPRGLPPLVLCCVAGVRFLADPETDEVFAKIRLVPVAPSGEADLRDPDELGGDPADAREKLSSFAKTLTQSDANNGGGFSVPRYCAETIFPKLDYRADPPVQTVLAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRTEHGELCVGIRRAKRVSCGGMECMSGWNAPGYGAFSAFLKDEESKMMKGPGGYMRGMGKVKIADVVEAASLASRGQPFEVVYYPRASTPEFVVKAASVQNAMRNHWCPGMRFKMAFETEDSSRISWFMGTIASVQVADPIRWSNSPWRLLQVTWDEPDLLQNVKCVNPWLVELVSSIPPIHLGPFSPPRKKLRMPQHPDFPFDGQLLNPVFHGNPLGPSNSPICCFPDNAPAGIQGARHAQFGLPLTDHQLNKLHLGLFQGSGFNRLDAITPQSRISKGFVITSAPVKESVSCLLTIGTPQGTEKSDDRKKPHIMLFGKPILTEQQMNSGGSRETFSPEVTGNGSSDGNAQKTGNVSDGSGSSICIGFSSQGREASELGLEAGHCKVFMESEDVGRTIDLSVFGSYEELYGQLADMFGIEKAEIMSHLHYRDAAGAVKHTGEEPFSDFMKVARRLTITERGEGRLQKPLIEYMVERA >KQK90912 pep chromosome:Setaria_italica_v2.0:IX:46552811:46557783:-1 gene:SETIT_038903mg transcript:KQK90912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRFARLAARAAASRRGPPPAASSAHLALASTAAEPRCWPHWLVPARGHVGHSHHHGGEGGGEASERIFRLGLAAEVALTAGKAVTGYLSGSTAIVADAAHSLSDIVLSGVALLSYRAAKAPKDKEHPYGHGKFESLGALGISSMLLVTSGGIAWHAFEVLQGVMSSSPDIIGNTLHAHHDHGNGGHHHGIDLEHPVLALSVTTLAIAVKEGLYWIAKRAGDKEGSGLMKANAWHHRADAISSVVALVGVGGSILGLPLLDPLAGLIVSGMILKAGIQTGYESVLELVDAAVDPSLLQPIRETILKVDGVKGCHRLRGRKAGTSLYLDVHIEVYPFLSVSAAHDIGETVRHLIQKEHNQVAEVFIHIDPSYSMGPNMNLNRTLNNFNLRNSEAIPRQQSAEAIVSDIISSHFSEKMSLEHLMLHYVQGRVLLQVQVSMSPEILIWDAMEIAKQAEEEIMRADASISQVSLQLRLGQHIKQLQLASSKNIASDLHGGAQ >KQK88759 pep chromosome:Setaria_italica_v2.0:IX:17001462:17007351:1 gene:SETIT_034428mg transcript:KQK88759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKRRGRFRNSVRRMAMECLCSGEQLKGADETVRSSDSTITKDFSASGYSSRNGEIEQYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKADRRKTHSQWDSPPMPLHAVSLLMEAIYLKARALHDLGKYKEAAQECRMILDIVEAAIPEGLPAGFGKGCKLNEIICKAVELLPELWKSGGFSLEAISSYRRSLLNNWNLDGETVARIQKKFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRIERDPSVMHHLTFALSMSGQLIPLAGQFEELLPDVLDKREWLYNVALCYLAEEDDLSALNLLKQILKSGDDSDCLKELLLASKACVEMSAYTEGASYARRAIANMQGGCEQMSGVADLLLGVTLSNQARSAISATDRASWQCEALEMLGNAEKKIHGKDSRVLYSLSLENAEQRKLESASFYAKELVKLEAGSELRSWVLLARILSAQKQFADAETVVDAALDQTGKWSQGDLLRTKARIQAAQGQFRDAVETYTQLLAIIQLRTKSVTAGICLAKGKKDDNGLEIEIWYDIALLYLGMAQWRDAEICVLKMRSISPYSALAWHATA >KQK88757 pep chromosome:Setaria_italica_v2.0:IX:17000898:17005606:1 gene:SETIT_034428mg transcript:KQK88757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKRRGRFRNSVRRMAMECLCSGEQLKGADETVRSSDSTITKDFSASGYSSRNGEIEQYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKADRRKTHSQWDSPPMPLHAVSLLMEAIYLKARALHDLGKYKEAAQECRMILDIVEAAIPEGLPAGFGKGCKLNEIICKAVELLPELWKSGGFSLEAISSYRRSLLNNWNLDGETVARIQKKFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRIERDPSVMHHLTFALSMSGQLIPLAGQFEELLPDVLDKREWLYNVALCYLAEEDDLSALNLLKQILKSGDDSDCLKELLLASKACVEMSAYTEGASYARRAIANMQGGCEQMSGVADLLLGVTLSNQARSAISATDRASWQCEALEMLGNAEKKIHGKDSRVLYSLSLENAEQRKLESASFYAKELVKLEAGSELRSWVLLARILSAQKQFADAETVVDAALDQTGKWSQGDLLRTKARIQAAQGQFRDAVETYTQLLAIIQLRTKSVTAGICLAKGKKDDNGLEIEIWYDIALLYLGMAQWRDAEICVLKMRSISPYSALAWHATGKIYEAKGLTKETLGAFFRALDLDPKHVPSLISIATVLRQLGDRPLPSVRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSAVEAAECFQAAAFLEETAPVEPFR >KQK88756 pep chromosome:Setaria_italica_v2.0:IX:17001462:17006326:1 gene:SETIT_034428mg transcript:KQK88756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKRRGRFRNSVRRMAMECLCSGEQLKGADETVRSSDSTITKDFSASGYSSRNGEIEQYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKADRRKTHSQWDSPPMPLHAVSLLMEAIYLKARALHDLGKYKEAAQECRMILDIVEAAIPEGLPAGFGKGCKLNEIICKAVELLPELWKSGGFSLEAISSYRRSLLNNWNLDGETVARIQKKFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRIERDPSVMHHLTFALSMSGQLIPLAGQFEELLPDVLDKREWLYNVALCYLAEEDDLSALNLLKQILKSGDDSDCLKELLLASKACVEMSAYTEGASYARRAIANMQGGCEQMSGVADLLLGVTLSNQARSAISATDRASWQCEALEMLGNAEKKIHGKDSRVLYSLSLENAEQRKLESASFYAKELVKLEAGSELRSWVLLARILSAQKQFADAETVVDAALDQTGKWSQGDLLRTKARIQAAQGQFRDAVETYTQLLAIIQLRTKSVTAGICLAKGKKDDNGLEIEIWYDIALLYLGMAQWRDAEICVLKMRSISPYSALAWHATGKIYEAKGLTKETLGAFFRALDLDPKHVPSLISIATVLRQLGDRPLPSVRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSAVEAAECFQAAAFLEETAPVEPFR >KQK88758 pep chromosome:Setaria_italica_v2.0:IX:17000898:17006326:1 gene:SETIT_034428mg transcript:KQK88758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRKRRGRFRNSVRRMAMECLCSGEQLKGADETVRSSDSTITKDFSASGYSSRNGEIEQYLDNGNIEEAELSLREGICLNYEEARALLGRLEYQRGHVEAALRVFDGIDISALVPKMKISIARKADRRKTHSQWDSPPMPLHAVSLLMEAIYLKARALHDLGKYKEAAQECRMILDIVEAAIPEGLPAGFGKGCKLNEIICKAVELLPELWKSGGFSLEAISSYRRSLLNNWNLDGETVARIQKKFAVFLLYSGCEARPPNLHSQLDGSFVPRNNMEEAILLLMILLRKFNLKRIERDPSVMHHLTFALSMSGQLIPLAGQFEELLPDVLDKREWLYNVALCYLAEEDDLSALNLLKQILKSGDDSDCLKELLLASKACVEMSAYTEGASYARRAIANMQGGCEQMSGVADLLLGVTLSNQARSAISATDRASWQCEALEMLGNAEKKIHGKDSRVLYSLSLENAEQRKLESASFYAKELVKLEAGSELRSWVLLARILSAQKQFADAETVVDAALDQTGKWSQGDLLRTKARIQAAQGQFRDAVETYTQLLAIIQLRTKSVTAGICLAKGKKDDNGLEIEIWYDIALLYLGMAQWRDAEICVLKMRSISPYSALAWHATGKIYEAKGLTKETLGAFFRALDLDPKHVPSLISIATVLRQLGDRPLPSVRCFLTDALQLDRTNHVAWFNLGLLYKEEGGRSAVEAAECFQAAAFLEETAPVEPFR >KQK89752 pep chromosome:Setaria_italica_v2.0:IX:35609905:35613390:1 gene:SETIT_036601mg transcript:KQK89752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVEILCGLVAYKVIRRFFFDDDDASYLADLDSSHSDLCFAVASRLEKLYAGRCFVGLRIPDPDAGERQHIDVVLVTKREVMVVGIKNFSGFVEADKDGNWSCPTDKKRKQEIIPNPVQEVNRLAANLQSYLEQRGAKLPDGHIIGRVVLPNPNCRPSYNISIQPEVMLYDQWKDLKTDSKSGLSTWIKGAFTGSKSDMQDSVLQNLHSILSTSPMWDRLELKGDKNVLGEFIEFKGRHEDIQLLKNLKRSKVSRFIIQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEIVFQPLHSKKVRKFKLSSVVSVTLSA >KQK89753 pep chromosome:Setaria_italica_v2.0:IX:35609905:35613390:1 gene:SETIT_036601mg transcript:KQK89753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVEILCGLVAYKVIRRFFFDDDDASYLADLDSSHSDLCFAVASRLEKLYAGRCFVGLRIPDPDAGERQHIDVVLVTKREVMVVGIKNFSGFVEADKDGNWSCPTDKKRKQEIIPNPVQEVNRLAANLQSYLEQRGAKLPDGHIIGRVVLPNPNCRPSYNISIQPEVMLYDQWKDLKTDSKSGLSTWIKGAFTGSKSDMQDSVLQNLHSILSTSPMWDRLELKGDKNVLGEFIEFKGRHEDIQLLKNLKRSKVSRFIIQKSTLFGGFGRSRVQILYSPRDYRAEGTSSSEWKEISVKQYTEIVFQPLHSKKVRKFKLSSVVSVTLSA >KQK90590 pep chromosome:Setaria_italica_v2.0:IX:43893992:43895516:1 gene:SETIT_036306mg transcript:KQK90590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRTTTSWCSHCGMGLATPPGSGSSVLCAFCHRLMRIERHRGVGDGAVTMALAVTSPPLAPLLSVKRGFPAGYPNICGKKRALLVGVSYMGTPHELKGTVNDVTEMRRLLVDKFGFPSGCILELTEKEGYPSRVPTRENLLLAMRWLTEGCAPGDSLVFQFAGHGVQHVDLNDGEVDGYNEALCPVDFEQSGNILDDEINETIVRPLGRGVKLHAVMDTCHSGTVLDLPFLCRLSRTGYWQWENHYPGGNGKRPDGGLVISISGCRDDQKSADTSGFPESASIGVGAMMDSFIQAVEAEPGTTYGRLLSAMRARIRDGHGSRRLPGMLGSYVRRMTASSGVQEPQLCSSEIFDIYRKPFLL >KQK91575 pep chromosome:Setaria_italica_v2.0:IX:50594918:50597694:-1 gene:SETIT_037001mg transcript:KQK91575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAETSGTAIGSSGRWALHGKTALVTGGTRGIGRAVVEELAALGAAVHTCSRKEEELGERIKEWEARGFRVTGSVCDLSARDQRERLLREVADRFGGKLDILVNNVGTNIRKPTTEFSAEEYSFLMATNLESAYHLCQIAHPLLKLSGSGSIVFISSVAGVVSVFSGTIYAMTKGAINQLTKTLACEWARDNIRANSVAPWYITTSLTEKLLESNSFKEQVVSRTPLGRVGEPGEISALVAFLCMPGSTYITGQTISVDGGTTVNGFCPTKPF >KQK88145 pep chromosome:Setaria_italica_v2.0:IX:11941130:11943695:1 gene:SETIT_036300mg transcript:KQK88145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTVTVPGANVPSTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPAKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAHTAVSHGLWLNIPDYDAPTQLVKPKERNERYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNVTIPKECDTVQKCYIYLSGQVKEKLGKIDPYFAKLADAMVTWIEAWDELNPTTAAAENGKAK >KQK88146 pep chromosome:Setaria_italica_v2.0:IX:11942420:11943415:1 gene:SETIT_036300mg transcript:KQK88146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFCHINHMSLFVIQVAKDPSGKDINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAHTAVSHGLWLNIPDYDAPTQLVKPKERNERYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCVKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIFWQEDIIPFFQNVTIPKECDTVQKCYIYLSGQVKEKLGKIDPYFAKLADAMVTWIEAWDELNPTTAAAENGKAK >KQK91750 pep chromosome:Setaria_italica_v2.0:IX:51602720:51603101:-1 gene:SETIT_038465mg transcript:KQK91750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVVICERELISRVTPSPFLTPRKMCRNKCMLMVMHIYLFGHYSHHLLQSIQVGSAIS >KQK90071 pep chromosome:Setaria_italica_v2.0:IX:39314402:39319241:1 gene:SETIT_039153mg transcript:KQK90071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNFFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMIAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCARDHIDGFPPLVHRWCMRHFAANMSRRQKSKGVIGKLKLLCTVHIESEFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESINGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMFLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRKKKRFTGEMDVMAAPGYPLLEAAYDLHHRAHHLADNNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEPWHRHDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSDIVFSPMCYRDRELWRCTTPMIMYFVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDVPSEDDADIADAYDTVTRHGTQPERAPLHDYMGQQLARLSNEAG >KQK87414 pep chromosome:Setaria_italica_v2.0:IX:7218535:7220012:1 gene:SETIT_0340721mg transcript:KQK87414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKEDLYKRRQAVVKIDGNSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGANSVKRR >KQK87413 pep chromosome:Setaria_italica_v2.0:IX:7218535:7218901:1 gene:SETIT_0340721mg transcript:KQK87413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKEDLYKRRQAVVKIDGNSMCSLCHKRIANSAFAIYPNGQTLVHFVCFRESQQIKAVRGANSVKRR >KQK88472 pep chromosome:Setaria_italica_v2.0:IX:14491830:14496066:-1 gene:SETIT_034272mg transcript:KQK88472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPVALPNEELERVSHSARVELFRGSSCNISKEVLTGLGNGQDSNAAGTNPGFRVGEIRFPNGDIYSGTLLGNTPEGSGQYIWSDGCIYEGEWRRGMRHGQGKTRWPSGATYEGEYSGGYVYGEGTYTGPDNIIYKGRWKLNRKHGLGCQTYPNGDMFEGSWIQGEIEGHGKYTWANECTYVGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYVGTWTRGLKDGKGTFYPKGCRVPVNDELYINKLRNRGVLPDARRQSHNSRILHSASVDMGNMKVGMTRQSSDVYKRNSTEQPPLKNVSLERRWSLEVAIEKFIGHESSESSGLESLENLSDSRLPILEREYMQGVLISEVVLDSSFSDSSKKAKRRQKKTVRDTKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPKASFWMNFPKEGSRLTPSHSAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYHHVHTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKQIETDSEFLRTQRIMDYSLLLGVHYRAPQHLRTRASYRRSMAADRLTVVSEKDAQEDDALNYPEGLVLVQSSGENSVVVGPHIRGSRLRASAAGFGEVDLLLPGTARLQIQLGVNMPARAEQIPKEDESKPFREVFDVVLYLGIIDILQDYNMTKKIEHAVKSMQYDSVSISAVDPQFYSERFLKFIQTVFPENS >KQK88471 pep chromosome:Setaria_italica_v2.0:IX:14491298:14496971:-1 gene:SETIT_034272mg transcript:KQK88471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPVALPNEELERVSHSARVELFRGSSCNISKEVLTGLGNGQDSNAAGTNPGFRVGEIRFPNGDIYSGTLLGNTPEGSGQYIWSDGCIYEGEWRRGMRHGQGKTRWPSGATYEGEYSGGYVYGEGTYTGPDNIIYKGRWKLNRKHGLGCQTYPNGDMFEGSWIQGEIEGHGKYTWANECTYVGNMKNGKMSGKGTLTWKNGDSYEGNWLDGMMHGYGIYTWNECGYYVGTWTRGLKDGKGTFYPKGCRVPVNDELYINKLRNRGVLPDARRQSHNSRILHSASVDMGNMKVGMTRQSSDVYKRNSTEQPPLKNVSLERRWSLEVAIEKFIGHESSESSGLESLENLSDSRLPILEREYMQGVLISEVVLDSSFSDSSKKAKRRQKKTVRDTKKPGETIIKGHRSYDLMLSLQLGIRYTVGKITPIQKREVRASDFGPKASFWMNFPKEGSRLTPSHSAEDFKWKDYCPMVFRNLREMFKIDAADYMISICGNSALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVQVLLRMLPNYYHHVHTYENTLITKFFGLHRVKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTDKIEIDENTTLKDLDLNYSFYLEPSWREALLKLKQTANF >KQK92088 pep chromosome:Setaria_italica_v2.0:IX:53611441:53615734:-1 gene:SETIT_034314mg transcript:KQK92088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTPPSTPASASASSSPAAASGRGRGTGSDSALVSAVAEALVSGSRLPSPPPMDALLGPYLPRLTASHHPRVLALVAANPSLASPHVLIAYRRFVSPPSCLASLLPLLPVLPYRNLLPLLLDFVPHDPLSRLHRHLLTSRPTSALADAALSAYARLGRPQLAAQLLHSFRRRGRICPSLQAANAVLSALSRCPTTSPQASLDAFRSLIGLRLHPNHYTFNLLVHTHCSKGTLADALSTLSTMQGFGLSPDAVTYNTLLNAHCRKGMLGEARALLARMKKEGITPTRPTYNTLVSAYARFGWIKQATKVVEAMTAFGLEPDLWTYNVLAAGLCQAGKVDEAFRLKDKIERLGIVSPDVVTYNTLVDACFKWKRSSDALILLEEMHYKGVKASLVTHNIVVKGLCREGHLEQALVRLNMMAKEGLAPDVVTYNTLIDAYCKAGNVAKAYLLMDEMVGKGLKMDTFTLNTLLYNLCKEKRYEEAEELLRSPPQRGFVPDEVSYGTMMAAYFKEYNPEPALRLWDEMVARKLTPSISTYNTLIKGLSRMGKLKEAIDKLNEVVEKGLVPDETTYNIIIHAYCKEGDLENAFQFHNKMVENSFKPDVVTCNTLMNGLCQYGKLDKALKLFKSWVEKGKKVDVITYNTLIQALCKDGNVDMALHFFADMEIRGLQPDAFAYNVVLSALSEAGRSEEAQNMLNKLTESGKLSKSFSYPLMGSSVEEVETGKDPEVKPKTETGDQENYRKRVNELCIGGQLKEAKAALDEMMQKGLSVDSSTYITLMEGLIKRQKRQTHAAG >KQK87147 pep chromosome:Setaria_italica_v2.0:IX:5772042:5772538:-1 gene:SETIT_038327mg transcript:KQK87147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKQAKLRRNARTGTRHKPATRITIQGQPTHGIDAIHQLPMPMVQNTGSPHTTTPPRGSESPLGDKLLQNREKTTDW >KQK91972 pep chromosome:Setaria_italica_v2.0:IX:53009359:53009635:1 gene:SETIT_040339mg transcript:KQK91972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKRTHHLIVIYIIIKMKTRDNTLLDVHSAVLALPFTYLDNR >KQK92830 pep chromosome:Setaria_italica_v2.0:IX:57419571:57420029:-1 gene:SETIT_038610mg transcript:KQK92830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPGKEDEMALRLDAEMWKVNPAAMLGNGGVILVLFEMPSGFALFSYDGVKLFLPNAIQVLL >KQK86507 pep chromosome:Setaria_italica_v2.0:IX:2333821:2334652:1 gene:SETIT_040562mg transcript:KQK86507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLHCMRWKENCRQGSGGELAGKKRIRVDLELEAEELLYAVEEFLCTSMEMLRWTRSRGR >KQK90534 pep chromosome:Setaria_italica_v2.0:IX:43465247:43471623:-1 gene:SETIT_034567mg transcript:KQK90534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAEADSPTIRKLGRLFWITEVHLWDDSYAAGASDGQKHWRSAEAAPADFHADKPCNKASKDTDKGHSFVEDLELANLMGSLGLPVSFSTSKANKNTGNKGKKKGRQVQCEAGSTQIDDAVRICSNTEDRESDVQLMAVSEHTNSCNSSGYNESCHDTDKMLQEGRPYVEEQEESGCSTIYSAERGYEAENQCELGTSEPPDNLGNTAKAEYPIQENQAADSVFLESEMLRHDSVDGESARSCVSICQEERLSTKEDQLSVKTLSVPHDNNDFGLEACPSLAEQSSVDEHAESSASNFCYEYGDWTVVWDPFYSRYYFYNIQTQESTWYPPEGLEDFASYNSRDATIELVELGSKHTSMAVQENNQVGDDKHLDAQEQDHCSELHYLSKIPDEEPIIHSMITTFHEGQYTKNKHNDSTTDVLEMDQDVASTKKKKRFLGFFAQMHYHSCQDMAGNISNDIIKYWTQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVGAGVIIDCFTGVGGNAIQFATKCKHVIAVDIDPQKIDCAHHNASIYGVNDHIDFIVDDFINIAPHLKGETAFMSPPWGGPDYAKVDVYDMKGMLKPCDGYSLFKLGTMIASRVVMFLPRNVDLNQLADMSLSVDPPWAVEVEKNFLNGKLKAITAYFEEQDS >KQK90533 pep chromosome:Setaria_italica_v2.0:IX:43465247:43468999:-1 gene:SETIT_034567mg transcript:KQK90533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGLPVSFSTSKANKNTGNKGKKKGRQVQCEAGSTQIDDAVRICSNTEDRESDVQLMAVSEHTNSCNSSGYNESCHDTDKMLQEGRPYVEEQEESGCSTIYSAERGYEAENQCELGTSEPPDNLGNTAKAEYPIQENQAADSVFLESEMLRHDSVDGESARSCVSICQEERLSTKEDQLSVKTLSVPHDNNDFGLEACPSLAEQSSVDEHAESSASNFCYEYGDWTVVWDPFYSRYYFYNIQTQESTWYPPEGLEDFASYNSRDATIELVELGSKHTSMAVQENNQVGDDKHLDAQEQDHCSELHYLSKIPDEEPIIHSMITTFHEGQYTKNKHNDSTTDVLEMDQDVASTKKKKRFLGFFAQMHYHSCQDMAGNISNDIIKYWTQRYSLFSLFDSGIKMDEEGWFSVTPEPIAKHHASRVGAGVIIDCFTGVGGNAIQFATKCKHVIAVDIDPQKIDCAHHNASIYGVNDHIDFIVDDFINIAPHLKGETAFMSPPWGGPDYAKVDVYDMKGMLKPCDGYSLFKLGTMIASRVVMFLPRNVDLNQLADMSLSVDPPWAVEVEKNFLNGKLKAITAYFEEQDS >KQK88798 pep chromosome:Setaria_italica_v2.0:IX:17256465:17264977:1 gene:SETIT_034460mg transcript:KQK88798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQALDEHARIYVDAGWRGIFVVPLSPFGHDACQNRGGNVPAARRIRSGGRRGKNDDGDRTDDAAAMGTAGLNSDAAFEKESNRNWPKVSCISAHSCSPLTGGPAADQAYEAQQKLSSLLPRKGPRKFEGMLGNLPEVESAHPNPPAPPPAPPTASRRGGRRPGGLSRGAALSFRADRPSPSPAAMICAISGEVPDEPVVSKKSGLLFERRLIERYVEDHGKCPVTKEELTMDDIVPVKTNKVVKPRPLQAASIPGLLGIFQNEWDALMLSNYSLEQQLHTARQELSHALYQHDAACRVIARLKKERDEARTLLAQAERQIPASVAAAAPAAVVSNGKRATEDEIGPDGKKIRPGINPVMIDELTECNTMLSAQRKKRQVPPTLAPIDALERYTQISSHPLHKTNKPGILSMDIHPSKDIVATGGIDTNAVLFDRPSGQILCTLTGHSKKITTLKFVPRDGLFVTGSADKTVRIWQESENGNYNCIHTLKDHTAEVEAVTVHATQKYFVTASKDNSWCFYDISTGSCLTQVGEASGQEGYTSASFHPDGLILGTGTTDAVVKIWDVKTQSNVAKFEGHVGPVTAMSFSENGYFLATAAHDGVKLWDLRKLRNFRTFSPYDSDTPTNAVEFDFSGNYLAIGGSDIRVHQVANVKVEWNLIKTLPDLSGTGKVTSVKFGADAKYIAVGSMDRNLRIFGLPGDDQMEESNTAAE >KQK90159 pep chromosome:Setaria_italica_v2.0:IX:40318066:40318558:-1 gene:SETIT_038299mg transcript:KQK90159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTEMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVGLIVFSPRGKLYEFASASSSFRCCSCFFGFGLCDGDLT >KQK86411 pep chromosome:Setaria_italica_v2.0:IX:1710135:1712662:1 gene:SETIT_036613mg transcript:KQK86411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCCFCIWISCGSNANGQRHDTGRESHRESAGADLKCGAGDIAQPLRLTVEEVGAPGPPRIESPRAEVMADSAAPRPTVLVTGAGGRTGHIVYNKLKERSEQFIARGLVRTEESKQKIGGADDVYIADIRDADHLVPAVQGADALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDAAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRPGGLQDKDGGVRELVVGKDDELLQTDTKSIPRADVAEVCVQYEEAKFKAFDLASKPEGVGTPTKDFRALFSQIMARF >KQK86412 pep chromosome:Setaria_italica_v2.0:IX:1710135:1712662:1 gene:SETIT_036613mg transcript:KQK86412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLCCFCIWISCGSNANGQRHDTGRESHRESAGADLKCGAGDIAQPLRLTVEEVGAPGPPRIESPRAEVMADSAAPRPTVLVTGAGGRTGHIVYNKLKERSEQFIARGLVRTEESKQKIGGADDVYIADIRDADHLVPAVQGADALIILTSAVPKMKPGFDPSKGGRPEFYYEDGMYPEQVDWIGQKNQIDAAKAAGVKHIVLVGSMGGTNPNHPLNSLGNGNILVWKRKAEQYLADSGVPYTIIRPGGLQDKDGGVRELVVGKDDELLQTDTKSIPRADVAEVCVQALQYEEAKFKAFDLASKPEGVGTPTKDFRALFSQIMARF >KQK88247 pep chromosome:Setaria_italica_v2.0:IX:12528465:12528944:1 gene:SETIT_040516mg transcript:KQK88247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAWMCITPSGKISLNDPGIDLNGEFYILGVHMVDVISYLETIPNLILNLPSVANLRGQHCSITCTNQRYFCGKSIKIN >KQK88484 pep chromosome:Setaria_italica_v2.0:IX:14578190:14579002:-1 gene:SETIT_039240mg transcript:KQK88484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNPAANILQSFDFHVTHVPFYMKTGFCKYSQKCKFHHPMNRSEPGANGNWDPEQPVTLTLAGLPRRESAEACAFYMRSGTCRYGAHCKFDHPPPQEAISKLQAAGKEDEEKKEGEAKEGSVVP >KQK88050 pep chromosome:Setaria_italica_v2.0:IX:11117171:11121051:-1 gene:SETIT_035128mg transcript:KQK88050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDEEDECGYFYDEDDAEEDAAAGLEEGAAPPPERRADYWAITQESLPTAQKQDLCTVMNLLNVKQHQARALLIHHRWKMDGIYDCLDKGRERMLRDAGIVLQENTIMAAAGSTPWRIVHCNVCFEDIAVGVVLTMDCGHCFCNDCWTQHFYAAVESGKKQIRCMEVKCPAFCDEDHVRRLLGQKYPEMAKRFNRFLLESYLEDNDSVKWCPSAPNCGRAIRVGAGERYCEVECPCGLSFCFNCMALAHSPCPCTIWEKWNAKRSEGESIKWILANTKSCPKCFKAIEKNGGCNLVRCNCGQCMCWLCGGGTGVEHTWTSIAGHSCNRYKEDSRGKTADTSREQMQRYKHYYDRFKIHGDSCNTEKQKLGPTLKERVRLLEADLQRPLTIRDGDWLIRAHRRLLVSRQVLSRSYVFAYCMFGGDLRMQPHGRAGLGVARNLFEDQQEQLEQHVERLSALLAGADVAAMPEAEIVQAKQKAVTLVKTVERLCGEMYKCIQDELLTLLVEPMTIAAYRPDGPDKAEELAA >KQK91075 pep chromosome:Setaria_italica_v2.0:IX:47632820:47635555:-1 gene:SETIT_035588mg transcript:KQK91075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASCRSPLAWLFALAAALFFASWYLLLDSAAGLPAAARPYLGGGGRRPGPARKCDPTGALLRVYMYDLPPEFHFGLLDWKPPGFGGGVWPDVRGGGGVPEYPGGLNLQHSIEYWLTLDLLASEQGAPTPCAAVRVPRAADADVVFVPFFASLSFNRHSRVVPPARDSEDRALQRRLLEFLAARPEWRRAGGRDHVVLAHHPNGMLDARYRLWPCVFVLCDFGRYPRSVANLDKDVIAPYRHVVANFANDTAGYDDRPTLLYFQGAIYRKDGGSIRQELYYLLKDEKDVHFSFGSVAGNGIEQATQGMRASRFCLNIAGDTPSSNRLFDSIVSHCVPVIISDEIELPFEDVLDYSKFSVIVRGTDAVKKGFLMNLITGISREEWTRMWNRLKEVEKHFEYQYPSQTDDAVQMIWKTIARKVPSIRLKINRLRRFSRFETNKTDEGPSHSSSWLQNQAP >KQK86451 pep chromosome:Setaria_italica_v2.0:IX:1942385:1946241:-1 gene:SETIT_034752mg transcript:KQK86451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVDPHSYTDGAHPVVSHAALAFYLDFAASTIHASALLTLSAPHSGDLLLDTRALAVHSVSTAADPPEPIPFSLAASPDPVLGSALTLTLPPDTTSFRLTFSTSPDASALQWLAPPQTASGQPFVFSQCQSIHARSIFPSHDTPAARITFSLLLNVPSQLSAVAAARHVGRRDPLPSDHRGACDDELWCASGRIVEEFQMEQSVPPYLFAFAAGGIGFRDLGPRTRVYAEGGDKVLDEAAREFAGVEEMVKVGESLFGPYEWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDAAGAQVVAHELAHSWTGNLITNKTNEDFWLNEGFTTYAERRIVEVVQGEERAALNMGIGWRGLNRMMERFKDNMEFTKLKPKMAGIDPDDVYSEVPYEKGFQFLWRIERQIGRPAFDEFLKKYIATFKFKSIDTETFLEFLKTNVPGIENQIDLQLWVEGTGIPPDAMEPDSATYKKICDLAAEFKSGKLPSEDEVADWSGQEWELYLENLPTDVEASQVTALDERYKLSESRDYEVKVAFLQLAIPTGCKCYFNEVEKCLKQVGRMKYLRPLYSSLAKCSGEEKMLAQRIFSEAQEFYHPIARSVAESILSKHS >KQK91130 pep chromosome:Setaria_italica_v2.0:IX:47984860:47991082:1 gene:SETIT_036527mg transcript:KQK91130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASSRTDTSTVVDTDDKNQRLENGQSGAIVMASNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYSRWQEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGLSNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >KQK91129 pep chromosome:Setaria_italica_v2.0:IX:47984860:47991082:1 gene:SETIT_036527mg transcript:KQK91129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASSRTDTSTVVDTDDKNQRLENGQSGAIVMASNSSDRSDRSDKPMDQKVLRRLAQNREAARKSRLRKKAYVQQLESSKLKLASLEQELQKARQQGIFISSSGDQTHAMSGNGAMTFDLEYSRWQEEQNKQINELRTAVNAHASDSDLRLIVDGIMAHYDEIFRLKGVAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTEQQLMGLSNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLENFLRQADNLRQQTLHQMQRILTIRQAARALLAIHDYFSRLRALSSLWLARPRE >KQK86317 pep chromosome:Setaria_italica_v2.0:IX:1280096:1283301:-1 gene:SETIT_036229mg transcript:KQK86317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGPLLRLLSGCGGVWPTSPAPGSASSGEDSEGRDGLLWWRDLARCHAGEVSVAVAQANQVLEDQCRLESAPPLGTVVGVFDGHGGYDAARFACDHLFPNLREASSGPGGVTANAIREAFLATEEGFLALVSRLWEAQPDIATAGTCCLVGVVHNRTLFVANLGDSRAVLGKKVGRTGQIAAEQLCSEHNANQEAVRQELVAQHPDDPQIVALKHGVWRVRGIIQVSRSIGDVYLKHAKYNTERIKPKFRLSEPFTKPLLSADPSIISRTLQPSDSFIIFASDGLWEHLSNQDAVEIVHSHQRAGSARRLIKAALQEAARKREMRYSDLTKIDKKVRRHFHDDITAIVLFINYDLLTKGAAQGQPLSIRCALDY >KQK92934 pep chromosome:Setaria_italica_v2.0:IX:57941749:57943182:1 gene:SETIT_039646mg transcript:KQK92934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRELRVDAFYARLRAAAAAAGSSSPLLILPSAADADSLCAVRALLSADSVRFSVFPVASAAAAARTLLASFSASAPLCLVVVNWGAHRDLRAVLPETATAFVVDSHRLVHLHNLCARNGRVVVLFTADDERAADLPYDFDLTALADDPLRAASGSDSDSEDSDADEDVRGGGRRKSAGSPTTSTPTASAFHGKPSGRLIYVRSRPRAAPQHQRAPPARLRVALTDQFVHDSITSERYQAAVMELEQHVNGSGNLDPSGAGAVVTLKDGTKVRAPEASRIAYEDELRLMLLREWGLFDSMLCSSYVATKLKTWTDNGLKKLKLLLARMGFPLADCKKSFQYMSMEVKRKMRDEFERLLPEYGLTEFDYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESNGSKESSAAKQFWAAYSALSLSNVDQLQKGMQSAIEIQRAILRQRSSAITKTGVCTECQEVPVGQA >KQK90148 pep chromosome:Setaria_italica_v2.0:IX:40175414:40176080:-1 gene:SETIT_039669mg transcript:KQK90148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVSDLVLSFFCCCFYAPGDLRGVGAHHYGSAHAHPTGRHAACHRRGAGRRSRPVSLQTVELKVRMCCEGCERVVRQALQNLRGVDNVEVNVPMEKVTVTGYVDRAKVLNEVRRSGKKAEFWPSGAAPLWFTSPRSYFRDDRGSYRRDSYNYRRHGYSDGDRHGRMREPARGAGPVGNMFNDDDVNAACRIM >KQK88542 pep chromosome:Setaria_italica_v2.0:IX:14953022:14953528:1 gene:SETIT_038301mg transcript:KQK88542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWCSNQLDAYHLAQNEEEKHYSSIVDVYHLWICECTWECGFTSVICMIVGEHQRRKRDDLQLLEGAPSFVDPLVVIVSTG >KQK90858 pep chromosome:Setaria_italica_v2.0:IX:46228031:46229170:-1 gene:SETIT_038997mg transcript:KQK90858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSKNRRRRNQAAARLTDDLIVQILSRLPVKSLCRCKCVSTRWRGLISHPDHRRRLPQTLAGLFYITENPGRFPAEARHFTNIWDWERRRQSPPLICPSLSFIPGHEHISIQDSCNGLLLCRRPESTSFDVFCYVVCNPATESWVVLPHSGSGGKFRAAWLGFDPAVSSHFHVFEFVDKYHGLVAGMEIYSSQTGSWSYKESQWNFRTSILGDESGVFFNGLLHLVIAQFAIVAVDVEGEKWWMATSPEHVNPMFGWDPGFVGRYQGRLCYINQDDYDNYMSIWVLENYATEDWILKHRVSIRRLTEKIITPPSNYHVITIHPDCNWILYAAGWDQTLMVYDVDHEEVHVIRNLGSDSSVPYIPYVPLYSGSLKDGH >KQK91807 pep chromosome:Setaria_italica_v2.0:IX:51909957:51911298:-1 gene:SETIT_039227mg transcript:KQK91807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPTSSPPPRRGAPALPPGRSCSITAAYVADRKNATYAEATTRTGQTVGVSFWLADPPAVSHLCIHCPGMKATHFEDEPLVVCSAKDIAVIRVAYTFGARPIESMEGIGMDDFDYFVYRAHAEKKPSLQLLPNPKPLFFDRREIVGLLPSADGEDFLMAVLQPRLRLVALLEPPSPGYKDNKHPLHRTHKVITLDGGTLGWVDLGRGILQCNVLDSEPVLRYLKIPGLLASNMPAALVRDVTCSNGVIKLIEIEKRTTRLVTPPARPSKGKRNRAAMEGTCTTAGSYAVDGWAAVTWTRKTGSGRCRDGEAYFSGSSILAALRDNRGTGNLALQNLVIAGPVWSVHGDDVFYLMAKADPKDRNAWAISVNVRTNTLEVAASFAAERDLVFIRRAYHPFALSKYVPACESGSRQRYSASITQSLIGL >KQK89839 pep chromosome:Setaria_italica_v2.0:IX:36359644:36363967:-1 gene:SETIT_034775mg transcript:KQK89839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSRMNPGDRRTRSTMTIVIVMGLCCFFYILGAWQKSGTGRGDSIALRVNQETDCTILPNLHFETHHSLSGANPLIMTNKVIEPCHIRYSDYTPCQDQNRAMAFPRENMTYRERHCPAENEKLHCLIPAPKGYVTPFPWPKSRDYVPFANAPYKSLTVEKAVKNWIQFQGDVFKFPGGGTMFPNGANAYLDELASIIPLADGTIRTALDTGCGVASFGAYLMDRNILTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRSFDMAHCSRCLIPWESNGGMYMMEVDRVLRPGGYWILSGPPINWNKYYQTWKRSKQDAEEDQQRIENIAKMLCWDKIHEKEDIAIWQKKVNSHSCHQMSGHASNMCKVQDADDVWYKKMESCITPPREAAQLKKFPERLSAIPPRILEGHAPGVTEEGYEEDSKLWRKHVNTYKRVNKLIGSLRYRNIMDMNAGLGSFAAALDSPRSWVMNVVPTISERNTLGIIYERGLIGIYHDWCEAFSTYPRTYDLIHGYGIFSLYQNKCDVEDILLEMDRILRPEGAVILRDSVDVLNKVRSTVGGMRWKSKLLDHEDGPHVPEKILISVKEYWVGGEENS >KQK86539 pep chromosome:Setaria_italica_v2.0:IX:2503677:2504845:-1 gene:SETIT_036399mg transcript:KQK86539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARRPAPIFLLSGQVDMGALTDEPGGGGWTEIECASRKAYGCGEHGQEAAEGLKLYVRLGAGPLLTSSLDIRMSDEALRRFDSELEISAPSKDMRPRPMQAEGVVQMAEEEDLTVIFLLFCRPFRLDLTYYLVYDHVGASLSMINYLSDLYLPTGTMKPVPKRRGSDFELFLMTSRLQLPRTPPPVLFVCTPEARANPASDDGIDVAFSFQGKGFWSDLSRGLVYCDLHTTSDTAVDFGFIRLPRECLEQMGKDNLKLIGTMACVRDSIWLVCIHNAAESAADDLVKIWTLNVPDGGKWQQAWWEEVEEVPVSVLWGLDSFKEAGLPQRPLECPILTPDGTPLCHAG >KQK87995 pep chromosome:Setaria_italica_v2.0:IX:10697333:10697859:-1 gene:SETIT_040307mg transcript:KQK87995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCPLVFLVVCVFHLWAAVLLPHVAMSPLVNFLSVRDISTSTSFLFLLFLSICHHRVQLFIRHQ >KQK91006 pep chromosome:Setaria_italica_v2.0:IX:47161059:47166702:-1 gene:SETIT_036612mg transcript:KQK91006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSCACARLPHPLFRPTPSPNPQPAFPQGPTPARSRALVARAGAAARDDAHSPPSSFDFLALKRELELEEEEGAVVAVEADEERGAVSEGDGEREAERSAGGTRQRRRRRQMARRSALLAKQVISVSSARSLGFVSQLWVDAASWIVALVEVRPSLLSGEAEKFLFEDICQVGDVVLVEDESVIENEYNLVGLHSLVGYNVVTSRRRNVGKVRGFTFDINSGAMESLELDSFGFSIIPSSLVSTYCLFVEDVLDILSDTIVVHEDAVSRVQRLTQGILGTQNIRGPGGGEMDGYRRSGRRTNSQGGRKIHRKAKDPEDEFELPMDY >KQK89506 pep chromosome:Setaria_italica_v2.0:IX:32054326:32056198:-1 gene:SETIT_035412mg transcript:KQK89506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPRGLLLGVCAALMAVAVASAASGGAATVVVGLAKCADCTRRNMKAEAAFKGLQVAIKCKNSKGDYESKAVGQLDGSGAFSVPLTTDLHGADCLAQLHSAAGTPCPGQEPSMIVPQSESDFVVVPGKTNNPSAECASVTICGPIKKHFLDHFHKKKPVPPKPKPEPKPQPKPEPKPQPEYHPPTPTYGSPMPTYGSPTPIYHPPARQLFDKKHLFDHFHKNHDHHHFFDHFHKKPMPPKPKPEPKPQPKPEPKPQPEYHPPTPTYGSPTPIYHPPARHLFAKLLGHFHKDHDHHHFFDHFHKKPIPPKPKPEPKPQPKPEPKPQPEYHPPTPTYGSPTPTYGSPTPIYHPPARHLFAKLLGHFHKDHDHHQLFDHFHKKPVPPKPEPKPQPEYHPPTPTYGSPTPIYHPPAKDLFNKKHWLDHFHKDHEHHHFFDHFHKKPVPPKPKPEPKPQPEYHPPTPKYSSPTPTYGSPTPIYHPPAKN >KQK87065 pep chromosome:Setaria_italica_v2.0:IX:5392701:5393981:1 gene:SETIT_037336mg transcript:KQK87065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSIHQAFIEDPPNVLKEKPSEGAKWPPRLCLPGYLLVGVIIFYCFMDQISGKRTNRVLDALYFVIVTMTAVGYGDFVPKSDTTKLLACAFVSADYLVEKQEVLFFKAMHMNMKGGEAKMLRAMETYRIKYKFYTVTLLLVMIIVTGNLFLWKVEKLSLVDSFYCVCATMTTLGYVDKCFSSKLGPIFAIFWVIMSTILLAQFFQYVAELAENARQMGAHQEDNNHGP >KQK89476 pep chromosome:Setaria_italica_v2.0:IX:31681816:31683676:1 gene:SETIT_037306mg transcript:KQK89476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYSLLPDLISGELELHCNQGSAMSMVTSCTLLRNVTAVRPAVSSGKQLQSGRPAAVASLSSQWRSRPLSVCCAINPKGEHNPKTDLHPFNIPAFVLVHPVSPREERWQVEEEPGKVNLWFEVPGQSKEDLAVVIDEDVLVIKKKVNVAGGDVGQRNGAAAPQGNRRGPAATGEEAGKEAAQDGEVIYARLLLPAGYNKEGVEAELKSGELRVSIAKIKEQARRKINIDVK >KQK89803 pep chromosome:Setaria_italica_v2.0:IX:36050668:36057445:1 gene:SETIT_033909mg transcript:KQK89803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAVQSGGRSPKRLNGPSASQQLKAAPDGTQNCGLSKGKKRERGEQGIDPDKRDQDRLLVDDSEPGSKLDDMKSEIEKFEKGGLPNAEAVEKLVHLMQLDQTEQRIDLAGRIMLADVIAATESTDYLGRFVQSRGLPVLDSWLQEAHKGKSGDGSKGDKPIDDLLLSLLRALAKLPINLSALQSCSIGKSVNHLRGHKNLEIQKKAKCLVENWKKRVDAEMKSNDAKALISGQSASWPGKAGFQEISNAGNKRGGSSEHSPKNPASTVSSPKVLTDKPGGTDAVMKLNHVVSVSSKGQHMQPGNVAANSKEQPCKSIGGSELPTVKEEKSSSSSQSPNNSQSCSSEPSKDARSSTAASGGATKTSGSSSRGHRRANNGLVSGNLKEASVGRSASLDRPLLPDKSSQTGTASEKGVDILADHGNNHRLIVRFPNPGRSPARSTSGGSFEDPSVTGGTASSPVVADRHEQTDRRVKMKTEISRPHLASDANTESWQSNGIKGAAGSEEGDKSPCAILDDDNSRTAEDSGKDTHASRVACLSYMNEKGVCSSETRVGNSFSPMNALIEIKYSEASHSLQPGDDTAMNLLASVAGEISKSELVSPSSSPGSSSAKKLVCEGDNTGKCKAETDVGPVQDPGPTILENDALVAKEEQRQTVPSPEIADSKAVGPPAKVEIHEGCANKCNSQPASVDSKGENLDACTVPVKVEDSCADKDGAVESALGSQSSLVISNRNSRSILAGKSSLSAADKQDQGLLKSTNHKQFLGVSDHPGVFDRRDSITGKAAEVKKTDDIGDISTVQKENKTKEQPSSDLADVPKLAVAAAALLGVANVITEKKESKDSSSESNNHVKSEGVNSQQSEHGAKQCSKKYDDAVSVKEDGKEDLVSSDEDSSLAPHTKSGAAKLDFDLNEGIPGDDGNLSEPTISPIMCSSAIHVPSISPFTPPVTSGLQPAPITVAAPVKRPFVPPENLLRAKPEIGWKGSAATSAFRPAEPRMIMEVPRDISGSGASESQSRPTLGFDLNVADDQALEDDVPQSSAQTTCSESGNNRSRDGSSRSAGIELDLNRADEVAENGQFAPNTSHRVEVSLLPARPLPEVFSNTGTSSSRDFDLNNGPGIDEAGTEPAPKNPPAKNTSSIQFLPQVPGVRMNNATMSNISPWFASANPCGPVAIQSFLPARGEQPYPIEMTPGTQRIVAPTADGGQFGGDPSRAPVISTSPTVVFHPPGYPYAGFPFPPSVHLQTPGFSIGSTTFPNSVPSGVPYFPAISPSLVGPTGALAAQHSRQYAINLAEGSSSSGRDSTRKWDSQVLDLNSGPGSIDIEGKDERLPLPARQNLITPPHAFAEEQARIYQMSGVGTKRKEPDGSWDTERSTYKQLSWQ >KQK89972 pep chromosome:Setaria_italica_v2.0:IX:38009956:38011128:1 gene:SETIT_039920mg transcript:KQK89972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVIISSAKVAAALVAVFAVLATYAEAGGWLPAKATWYGAPNGAGPDDNGGACGFKHTNQYPYMSMTSCGNEPLFKDGKGCGACYQIRCLNSTHDACSGRAKRVIITDMNYYPVSKYHFDLSGTAFGAMAKTGLNDKLRHAGILEIQFRRVPCNYKGLNVNFRVQVGSNPNYFAVLVQYAGKDGAVVQVDLMETDSTTGKPTGVWTPMRVSWGAVWRLDTDRPTQAPFSLRIRSDSGKTLVASNVIPADWKPMTDYPSN >KQK91773 pep chromosome:Setaria_italica_v2.0:IX:51721038:51721487:1 gene:SETIT_040596mg transcript:KQK91773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPSAFNNWHGSHTPLSLTTASIYYRTCICMLSFSIAMGPLAYMPDVSVDRSISMYPASRCSHMSQMDNGCIST >KQK88383 pep chromosome:Setaria_italica_v2.0:IX:13812113:13824554:1 gene:SETIT_034031mg transcript:KQK88383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRPSSSSSRRSSSPFSAGHRRPPTASSSSSSYFSSGRLIPRSSPSSVSSSFYGGGGGGSSRSTTPGRRRSSVAPAPEPAPALAPVPFPSADELVIEDTSRSGDSISVTIRFRPLSEREFQRGDEISWYPDGDRLVRCEYNPATAYAYDRVFGPSTTTEAVYDVAARPVVKGAMEGINGTVFAYGVTSSGKTHTMHGDQNCPGIIPLAIKDVFSMIQDSPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSARGDEYDGVMYSQLNLIDLAGSESSKTETTGLRRREGAYINKSLLTLGTVIGKLSEGRATHIPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNRIIDEKSLIKKYQREISSLKQELDQLRRGMIGGASQEEIMSLRQQLEEGQVKMQYRLEEEEEAKAALMSRIQRLTKLILVSTKNNIPALTDSHQRHNSSSEQDKLSTSQDSSMPVQNEGTTKDPLTSALPDSLDEINQLRSASGEHSSITGSAPDSVQAGFTASDQMDLLIEQIKMLAGEVAFGTSSLKRSIEQSIDDPEGTKDQIENLEREIQQKRRHMRALEQQIMESGEASVANASMVDMQQTISKLTAQCSEKAFELELKSADNRVLQEQLHQKNVEINELQEKVLRLEQQLSIKADIPPEQETNYTQQETIDLKSKLQSKEAEIEKLKYEHLKITEEHHDLISQNHKLSEEAAYAKELASSAAVELKNLAEEVTKLSVLNAKQAKELLVAQEMAHSRVHARKGRTTSRGRDEVGTWSLDLEDMKMELQARRQREAALEAALAEKELLEEEYKKKFDEAKKKELSLENDLAGMWVLVAKLKRGALGISDLNVDDRSVNLADITNGTKENKVDKNFALVEKQISDDTVKLTTEEHRSPEFEPLLVRLKAKIQEMKEKDLDPLSDKDGNSHVCKVCFESATAAVLLPCRHFCLCKPCSLACSECPLCRTRIADRIITFT >KQK91922 pep chromosome:Setaria_italica_v2.0:IX:52730711:52734088:1 gene:SETIT_035680mg transcript:KQK91922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGLMPSDTSVGVARDAFNTFFSETSAGKHVPRALFVDLEPTVIDEVKTGTYRQLFHPEQLISHKEDAANNFARGHYTVGREVVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGRKSKLGFTIYPSPQISTAVVEPYNSVLSTHSLIEHTDVVVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPIISVEKAYHEQHSVPEITNSVFEPSSVMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVHSIKTKRAVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEVEDDEDEAEY >KQK92844 pep chromosome:Setaria_italica_v2.0:IX:57469173:57469433:1 gene:SETIT_040381mg transcript:KQK92844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHASVACYKCSFEIRNTSHMLADLVACKI >KQK92302 pep chromosome:Setaria_italica_v2.0:IX:54813458:54813972:-1 gene:SETIT_040653mg transcript:KQK92302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKSLKLLNWSFSHMIIMRLKITVILTRNSQRCSLI >KQK86943 pep chromosome:Setaria_italica_v2.0:IX:4604006:4608506:-1 gene:SETIT_036187mg transcript:KQK86943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRNLPNLSLGISPPAASSAAACDALAVARPPLAEPSTAPHAEGSGEVGFFANPSPVAEPPGLSLGLGTPAARGGDAAGRRGHLEPQGCAFKRAATRASLPGGSTKRSARAPRMRWTTALHARFVHAVELLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRSLHIATGEALPLQRTTATGMEAAAAAAAAGGGAAAGGGVVVVPVPAACDDMVGICSSPSAGSAPPAAATTSAAHFLCAPPATAPLAAAPPPPPPIPPRTDHAPGVLGKGAAIVDSAHRCQKHNFSPPALQDTQAAQEEVNRHLAMGLHARSEAVATNCSSPASSSSPSLASVELLADDMYAPNLEISLGRQDWSMERPEELSLKYL >KQK89230 pep chromosome:Setaria_italica_v2.0:IX:22225140:22228825:-1 gene:SETIT_034560mg transcript:KQK89230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRRLTAAALTRRALPPCRRALCSGAPLGPELDAAVRDVVCSGSGSLDEVGSRLDRLGVAVSPALVGRVIDSCGESGGVGSGRRLLRFLAWCRSKDPGALGEGALDRAIGVLARAGDLTAMRIAIADVEKDGRRMAPETFTTVVEALVDAGNEDEAVRLFRGLERQKLLPERGDSVRGDGVWSSSLATVQALCKRGHAREAQGVVWHHKSELSVEPMVSIVERSLLHGWCVHGNAKEARRVLDEMKSAGVPLGLPSFNDFLHCVCHRNLKFNPSALVPEAMDILAEMRSYGVAPAASSFNILLSCLGRARRVKEAYRILYLMIEGKAGCSPDWVSYYLVGRVLYLTGRIIRGKRLVHAMLENGVLPTAKFFHGLIGVLCGTEQVDHALDMFRLMESCELVDTHIYDLLIEKLCRNGRFELGRELWDDATKSGLVLGCSEDLLDPLKTEDQEHDFGVLLKQGAEGRVFVSTFVGRKCVIKERFSKKYRHPLLDAKLTLKRLNAEARCMTKARRLGVPTPVLYAVDPLLHTLTFEYVDGLSVKDILLGFGSNGVNEERLNDIATQIGNAIGKLHDGGLVHGDLTTSNMIIKNSNNQLVLIDFGLSFTSTIPEDKAVDLYVLERALISMHSSCGDVMEKILAAYRKSSKQWCSTQNKLAQVRQRGRKRTMVG >KQK91081 pep chromosome:Setaria_italica_v2.0:IX:47669059:47671726:-1 gene:SETIT_034857mg transcript:KQK91081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGHAQSEETRVKISAGVRRGWSLRLQRLMVQDGCFVEWRDMVADAARKGFAGGVGLQWNSYKIITEQMRQEWLENHQKRRSMPRPRGGNRRAPKTPEQRRKIAEAIAAKWLDQEYRERVCSGIASYHGSSTGTKTPRKPRPAEEPGVKRETTKKKYLQARAVILEDSLGKGATVKRKKSATPYKDPMAGEKLEMLSKIRAQRAALEIEKKEAIKRARSLIAEAEKAADALETAAAMSPFAQASLIEARKLVTEARVSLECVDLEGPPENASDDTSEDSALLDYDHRLETQNESNVLEQENKPVNGIKFPPTNVNGIGFHFDESAFTGIKQLYQRIENSMERAFLLPSASSKIKGVNGDFGIIDFQVRQSMVNDMANHDCIAAESTDHPGTLGEDAPRSAENAETREDCPPGTLEEDTPSSEEKYKMRWVRGRLVKVENEYEDPEI >KQK91082 pep chromosome:Setaria_italica_v2.0:IX:47668696:47675147:-1 gene:SETIT_034857mg transcript:KQK91082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQLQGGYWIFSGPRLQQMPPWPRSTDSSRPRLLRLVPTRRPCNGAVRVVADAGPALALALDGGADAVGIELLTEEQQEDVDERERLRRMRISQANKGNTPWNKGRKHSPETLQRIRERTRIAMQDPKVKKKLMNLGHAQSEETRVKISAGVRRGWSLRLQRLMVQDGCFVEWRDMVADAARKGFAGGVGLQWNSYKIITEQMRQEWLENHQKRRSMPRPRGGNRRAPKTPEQRRKIAEAIAAKWLDQEYRERVCSGIASYHGSSTGTKTPRKPRPAEEPGVKRETTKKKYLQARAVILEDSLGKGATVKRKKSATPYKDPMAGEKLEMLSKIRAQRAALEIEKKEAIKRARSLIAEAEKAADALETAAAMSPFAQASLIEARKLVTEARVSLECVDLEGPPENASDDTSEDSALLDYDHRLETQNESNVLEQENKPVNGIKFPPTNVNGIGFHFDESAFTGIKQLYQRIENSMERAFLLPSASSKIKGVNGDFGIIDFQVRQSMVNDMANHDCIAAESTDHPGTLGEDAPRSAENAETREDCPPGTLEEDTPSSEEKYKMRWVRGRLVKVENEYEDPEI >KQK92429 pep chromosome:Setaria_italica_v2.0:IX:55422137:55426890:1 gene:SETIT_0338981mg transcript:KQK92429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPGAVYDDDRACGPQFGTAAPRWSSRSKIAPYANHNYHDGKQNQLHVLPSDDRLFVNKGHAAGTEKSNFEKVGSDGIPSEDVCIEDDFDKRFQEDLNEAVRQSLGYDTCPAGTISASNGAEVCGAGLKNAAGEYNCFLNVIIQSLWHIRRFRYEFVKTSLLHKHVEDPCAVCALYDIFSDLSKASKGQGEAVAPTSLRIALSKSYPNSKFFQEGQMNDASEVLGVIFECLHKSYTSRTVSHGKSHEKNCVGSWDCANISCIAHNLFGMDIYERMNCHNCKFESRRLKYTSFFHNINASSLRTAKMMCPDYSFDELLKIVEMNDQLACDQDVGGCGKLNHKHHILSSRPHVFTVVLGWQNNKESVDDISATLAGISTEIDISIFFHGIDQGSKHTLVSVVCYYGQHYHCFAFKDGRWVMYDDQTVKVIGSWGDVLVMCEKGHLQPQVLFFEAAS >KQK92205 pep chromosome:Setaria_italica_v2.0:IX:54321664:54322203:-1 gene:SETIT_039361mg transcript:KQK92205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSEWEDRCKRHPEHRVSKGVCPYCLRDRLAHLSASSSATTTTRASSSSATTSPYSSGGGCSPPPHHAALSADVSSVHVVGGAASDGASFVNPLMPTAARKQAAGRQESAKGSNNKGEVKTKKKSGKKKKIGRFLSRLVGAEKRRQPGDGDGGELFHSKTMKEKTASKWVFF >KQK90014 pep chromosome:Setaria_italica_v2.0:IX:38532008:38532640:-1 gene:SETIT_040474mg transcript:KQK90014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPWRGSWRPASRSGRAGWPRPGRGGAGPARERGGWARRGGARGVRDSGQGGQHGGYVASGREAGGVRGHAAHGSGARR >KQK91452 pep chromosome:Setaria_italica_v2.0:IX:49870722:49874885:-1 gene:SETIT_036432mg transcript:KQK91452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKETNGSNGEHTTRPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVDGLIKLMNGNNTGPINLGNPGEFTMLELAENVKELINPEVTVTMTENTPDDPRQRKPDITKAKEVLGWEPKVVLRDGLVFMEDDFRERLAVPKKA >KQK91453 pep chromosome:Setaria_italica_v2.0:IX:49871656:49874406:-1 gene:SETIT_036432mg transcript:KQK91453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQKETNGSNGEHTTRPPPTPSPLRFSKFFQANLRILVTGGAGFIGSHLVDKLMENEKHEVIVADNFFTGSKDNLKKWIGHPRFELIRHDVTQPLLVEVDQIYHLACPASPIFYKHNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLEHPQTEAYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAVRGEPLTVQKPGTQTRSFCYVADMVCLPLHL >KQK90412 pep chromosome:Setaria_italica_v2.0:IX:42476391:42476555:1 gene:SETIT_040067mg transcript:KQK90412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVLRGAYWMRFWSLLQRGDRRETVRLASKALEIVALDIFGKNGWRSNNKLCF >KQK87536 pep chromosome:Setaria_italica_v2.0:IX:7831796:7836313:1 gene:SETIT_034767mg transcript:KQK87536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSLILIVASLLPFSASDRQGDALYDMKLKLNASGSQLSDWNQNQVNPCTWNSVICDNNNNVVQVTLASMGFTGVLSPRIGELEYLNVLSLPGNNITGGIPEQFGNLSRLTSLDLEDNLLVGPIPASLGQLSKLQLLILSQNNLNGSIPETLASISSLTDIRLAYNKLTGQIPPQLFQVARYNFSGNNLTCGANFPHTCASNVSYQGSSRGSKIGIVIGTVGGVLGLLILGTLFIICNERRKNHLREVFVDVSGEDDRRIAFGQLKRFAWRELQLATDNFSEKNVLGQGGFGKVYKGALPDGTKIAVKRLTDYESPGGEAAFLREVELISVAVHRNLLRLIGFCTTQTERLLVYPFMQNLSVAYRLREFKPGEPILDWSARKRVAIGTARGLEYLHEHCNPKIIHRDVKAANVLLDEGFEPVVGDFGLAKLVDVQKTSVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLQREGHLDAIVDRNLNRNYNGQEVEMMIQIALLCTQASPEDRPSMSEVVRMLEGEGLAERWEEWQQVEVTRRQDYERMQQRFDWGEDSIYNQDAIELSAGR >KQK90358 pep chromosome:Setaria_italica_v2.0:IX:42051961:42055500:1 gene:SETIT_034006mg transcript:KQK90358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCCSGVLSGAGGAATRAAPPPRKPRPGNMGAPSTGLLVAPPNRRRGGRAGCRQSAPPAPLRDERRAAGTESVVHMLRSAPGPAEALELFTAAARQPTAVHTTESCNYMLELMRAHGRVGDMAQVFDLMQRQIVKTNVGTFATIFSGVGVEGGLRSAPAALPVMREAGMSLNAYSYNGLIYFLVKSGCDREAMEVYKAMVEDGIVPSVRTYSVLMLAFGKKRDADTVLWLLSEMETRGIKPNVYSYTICIRVLGQAARFEEAYRILRKMEDAGCKPDVVTHTVLIQILCDAGRLSDAKDVFWKMKASDQKPDRVTYITLLDKCGDSGDPQSVIEIWNAMETDGYNDNIVAYTAVVDALCQVGRVNEAFAVFEEMKQKGISPEQYSYNSLISGFLKADMFDRALELLNHMNVHGPSPNGYTHVLFINYYGKSGQSLKAIQRYEHMKSKGIVPDVVAGNAVLYSLARSGRLGMAKRVFYELKAMGVSPDTITYTMMIKCCSKASKADEAVKIFSEMVENGCVPDVLTVNSLIDTLYKGGRGNEAWQLFHQLKEMKIEPTDVTYNTLLSGLGREGKVKEVMHMFEEMSSSIYPPNLITYNTVLDCLCKNGEVNYAIDMLYSMTAKGCTPDLSSYNTVMYGLVNEDRFEEAFGMFCQMKKVLAPDYATLCTLLPSFVKNGLMKEALHTFKEYIFKADSNMDKSSFHSLMEGILKKAGVEKSIEFAENIASRGILLNDFFLCPLIRHLCKNKKALEAHELSQKFKSLGVSLKTSSYNSLIRGLVDENLIDVAEGLFAEMKRLGCGPDEFTYNLILDAMGKSARIEEMLKIQEEMHRKGFESTYVTYNTIISGLVKSKRLDQAIDLYYNLVSEGFSPTPCTYGPLLDGLLKAGKIEEAENLFNEMLEYGCKPNCTIYNILLNGHRIAGNTENVCQLFDKMVEQGINPDIKSYTVLIDALCTAGRLNDGLSYFRQLVELGLEPDLITYNLLIDGLGKSGRIEEAISLFNEMKTKGIAANLYTYNSLILHLGKAGKAAEAGQMYEELLMKGWKPSVFTYNALIRGYSVSGNTENAYAAYGRMIVGGCLPNSSTYMQLPNQL >KQK88838 pep chromosome:Setaria_italica_v2.0:IX:17596185:17597669:1 gene:SETIT_039422mg transcript:KQK88838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTTLGPTFQNPAADKPDATAGGVLWPSRVCYLVALATTLWAVASILFPPTPFSLPLLPSVTVTQHPPREPPPPPVLAGQAMQAPSPKSNRAVTADRCAGRYIYVYDLPPRFNDDIVRGCRALRPWMDMCPYMPNCGMGRPLGAEGGAFPGPGWYATDQFMLDVIVRCRMRRYECLTRDPARAAAVFVPAYTSLDGGRYLWNGTATRDALALDLVAWLSRRPEWRAMGGRDHFLAAGRTAWDFLRKTDDGGDWGTKLLRLPAVRNMTALVLEIDPWNQSTTLAVPYPTYFHPATAADVCAWQEKARAAERRWLFSFAGAARPGSKKTVRAEIFQQCGASSRCGMFRCTNASDCESPAAPGAVMRLFGSSSFCLQPRGDTPTRRSTFDAVLAGCIPVFFHPDSAYTQYTAHLPPDPESWSVLIMHTDVTGRNVSIEETLSKIPPAAVKGMREEVIRLIPRLVYADPRSTRVDFKDAFDIAVEAVLDRVAKRRQGD >KQK92535 pep chromosome:Setaria_italica_v2.0:IX:55993208:55997737:1 gene:SETIT_035033mg transcript:KQK92535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAAPAAGYGADGVYRSPRPAVRIDSNPGLSLTDLLFRRADACPSALALADAASGQTLTFAAFRSAVLTTAVALASRAGVRPGDVVLLLAPNCVLYPVCFFAVTALGAVATTANPLYTPREIAKQATDARAKLAITVSELLPKIAELRLPAILLDGDAASATASSVTLYSDLIAGAQEKEYRRPPIKQSDTAALLYSSGTTGASKGVILTHRNFISAAAMVTADQDEHGEGPNVFLCFLPMFHIFGLSVITFAQMQRGNAIVVMSRFDMDSVMAAVERYRVTYLFCVPPVMIALAKLGRAGKYDLSSLKFIGSGAAPLGKDLMEVVARNFPGTVIAQGYGMTETSGIISLEYPENGQARQFGSTGSLVSGVEAKIVDIETLKCMPPNQLGEICVRGPNIMQGYFNNVQATEFTIKEGWLHTGDIGYFDEGGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAKAGEVPIAYVVRSPVSSLTEVDVQKFIEKQVAYYKKLKRVTFVDSVPKSASGKILRRELIAKVRSSKL >KQK92534 pep chromosome:Setaria_italica_v2.0:IX:55993208:55996707:1 gene:SETIT_035033mg transcript:KQK92534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAAPAAGYGADGVYRSPRPAVRIDSNPGLSLTDLLFRRADACPSALALADAASGQTLTFAAFRSAVLTTAVALASRAGVRPGDVVLLLAPNCVLYPVCFFAVTALGAVATTANPLYTPREIAKQATDARAKLAITVSELLPKIAELRLPAILLDGDAASATASSVTLYSDLIAGAQEKEYRRPPIKQSDTAALLYSSGTTGASKGVILTHRNFISAAAMVTADQDEHGEGPNVFLCFLPMFHIFGLSVITFAQMQRGNAIVVMSRFDMDSVMAAVERYRVTYLFCVPPVMIALAKLGRAGKYDLSSLKFIGSGAAPLGKDLMEVVARNFPGTVIAQGYGMTETSGIISLEYPENGQARQFGSTGSLVSGVEAKIVDIETLKCMPPNQLGEICVRGPNIMQGYFNNVQATEFTIKEGWLHTGDIGYFDEGGQLYVVDRLKELIKYKGFQVKNSGFFHKQICIFCNL >KQK92536 pep chromosome:Setaria_italica_v2.0:IX:55993327:55997428:1 gene:SETIT_035033mg transcript:KQK92536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAAPAAGYGADGVYRSPRPAVRIDSNPGLSLTDLLFRRADACPSALALADAASGQTLTFAAFRSAVLTTAVALASRAGVRPGDVVLLLAPNCVLYPVCFFAVTALGAVATTANPLYTPREIAKQATDARAKLAITVSELLPKIAELRLPAILLDGDAASATASSVTLYSDLIAGAQEKEYRRPPIKQSDTAALLYSSGTTGASKGVILTHRNFISAAAMVTADQDEHGEGPNVFLCFLPMFHIFGLSVITFAQMQRGNAIVVMSRFDMDSVMAAVERYRVTYLFCVPPVMIALAKLGRAGKYDLSSLKFIGSGAAPLGKDLMEVVARNFPGTVIAQGYGMTETSGIISLEYPENGQARQFGSTGSLVSGVEAKIVDIETLKCMPPNQLGEICVRGPNIMQGYFNNVQATEFTIKEGWLHTGDIGYFDEGGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAKAGEVPIAYVVRSPVSSLTEVDVQKFIEKQVAYYKKLKRVTFVDSVPKSASGKILRRELIAKVRSSKL >KQK92537 pep chromosome:Setaria_italica_v2.0:IX:55993208:55997737:1 gene:SETIT_035033mg transcript:KQK92537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTAAPAAGYGADGVYRSPRPAVRIDSNPGLSLTDLLFRRADACPSALALADAASGQTLTFAAFRSAVLTTAVALASRAGVRPGDVVLLLAPNCVLYPVCFFAVTALGAVATTANPLYTPREIAKQATDARAKLAITVSELLPKIAELRLPAILLDGDAASATASSVTLYSDLIAGAQEKEYRRPPIKQSDTAALLYSSGTTGASKGVILTHRNFISAAAMVTADQDEHGEGPNVFLCFLPMFHIFGLSVITFAQMQRGNAIVVMSRFDMDSVMAAVERYRVTYLFCVPPVMIALAKLGRAGKYDLSSLKFIGSGAAPLGKDLMEVVARNFPGTVIAQGYGMTETSGIISLEYPENGQARQFGSTGSLVSGVEAKIVDIETLKCMPPNQLGEICVRGPNIMQGYFNNVQATEFTIKEGWLHTGDIGYFDEGGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHPEILDAVVIPFPDAKAGEVPIAYVVRSPVSSLTEVDVQKFIEKQCTEVCFRKDFEERTHSKSQIVEAIANPLLICFRRLVAF >KQK88450 pep chromosome:Setaria_italica_v2.0:IX:14373734:14376070:1 gene:SETIT_0354531mg transcript:KQK88450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VILVPTRELALQTSQVCKELGKYLNIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLTRKGICVLKDCSMLVMDEADKLLAPEFQPSVEALIHFLPPSRQLLMFSATFPVTVKEFKEKYLPKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKTSETYLHRVGRSGRYGHLGLAVNLITYEDRFNLYRIEQELGTEIKTIPPQIDLAVYCQ >KQK86116 pep chromosome:Setaria_italica_v2.0:IX:345651:349838:-1 gene:SETIT_035526mg transcript:KQK86116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTYEMVDDPATDDVVSWGPGNNSFIVWNTPEFARDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKTINRRKPSLQGNSQPQQPQLQNAPLPACVEVGKFGLEEEIERLKRDKNVLMQELVRLRQQQQTTDHQLQTLGKRLQGMESRQQQMMSFLAKAMQSPGFLAQFVQQNENSRRRIVAVNKKRRLPKQDGGLDSESASASLDGQIIKYQPMINEAAKAMLRKILKLDASHRLESVGNSDNFLLENYMPAAQGFDSSSSTRNSGVTLAEVPATSGLPYVAASSGLSAICSSSVAPEIQCPAVLDSNSSNQVPNMTVVPPVSKPIAPAPGDLTIPEFPDLADIVPEDSDIPGGPFGMPGPEFPLPEEGDDSVPIETDEILYNDETQDLPAIVDSFWEQFLVGSPLSVDTDEVDSGVLDSRETPQENGWNKLEHMANLTEQMGLLSPNHRV >KQK91913 pep chromosome:Setaria_italica_v2.0:IX:52682827:52689057:1 gene:SETIT_034633mg transcript:KQK91913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVRHDGRASYFFHRRFESYNNEGDENNSWKKIGASRSIILDGTLQGCKEVFVLYEDMMSDKSPQETDWRLHQFHIRNTVKDEGEVVVSKIFFESRNNPSELAGITPIEAKQDVSTPDDSREECTACFNPGICTETDELDHISLKERYRILLADKSSCPDTASARKSVMGVEASRTSSKRNNEGTACKEDICSMLQEISSAPPIIESNPMDDNNSRLLGENDPGFSSISVTSSRTDPSLCEAGCPRDPLEGSENQVGGSATCGSEHSELVVRKQCLLVADVKLEPALEDYEIGPSESPQENSTHAKGSVPSLGVKDELNESELPGICEKISFSFRQCRKRKASYSTEKMLEEDAYTNDEGGSYCSRRRRKKTAMDSIETALDEDAPGLLQILLNRGISVEEIKLYGAEEDSDMLPDSTESSFEDLENVIANIFPKRASLLKLSVARHEKGEKAIYCLSCLISLIEQSRYLQFRDCPVEWGWCRDLQSFIFVFRSHNRIVLERPEYGYATYFFEVVQSLPIEWQIRRLVIAMKLSGCGRTALIENRPLMVGEDLSEGEADVLQQYGWIRNTGLGTMVNYRDRVVHDRWTERSVVDWKAKIGKLLMSGYAEGQSITIHGPKNVADLLEATGDAEVDIKLEDPF >KQK88739 pep chromosome:Setaria_italica_v2.0:IX:16710861:16711602:1 gene:SETIT_040357mg transcript:KQK88739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMACSLLIFSTCRWHACRWVERSDRRTLQCNLCQVVLGNVGGEKETVDRLKAGRLVGDE >KQK90807 pep chromosome:Setaria_italica_v2.0:IX:45857367:45857841:-1 gene:SETIT_038654mg transcript:KQK90807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGASYGYLPLVTLSGRVGSDTPIVGEQSGLEAVIVENNVTQIFLKKQEEDVKEYMVVKKTKDEDGTEMIMVRKKENDNERWVQCSEQISSKRKEVPWYLFQVAYL >KQK86510 pep chromosome:Setaria_italica_v2.0:IX:2342313:2344015:-1 gene:SETIT_036937mg transcript:KQK86510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAASSPSSSSMTKRLEGKVALVTGGASGIGETIVRLFKNHGAKVCIADIQDEAGQKLRDALGGGDDVMFVHCDVTVEEDVSAAVDAVAQRFGALDVMVNNAGITGSKVTDIRNVDFAEVRKVFDINVHGVFMGMKHAARVMIPRKRGSIVSLASVASVIGGMGPHAYTASKHAVAGLTKSVAGELGRHGVRVNCVSPYAVPTALSMPHLPKGARADDAVKDFLAFAGGAANLKGVDLMPEDVAEAVLYLASDEAKYVSALNLVVDGGFTSVNHDMKQFED >KQK88100 pep chromosome:Setaria_italica_v2.0:IX:11491207:11494459:1 gene:SETIT_035557mg transcript:KQK88100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPAPSSSVPPPPPPPDRLQHAAARPRPRCRVREVSSRYLSAPLPVQAPPRLSTSTPHSASVPPPARAQQHHHHRGTPAPAPFVFGLADENRPPPTPGSRKRGAAPDLFEAMHRPRQGPSPNPPARPLAAGASRATATPSPRRTILRPSKASANVATPALQDRRGCARPSTPAPRTSFSFRSASSETSLASTAAIDFGVPASSCPRRTSCSEVRSSLQTTEGSRRPQNSFCFRALDSALSDCQPTLPQALVKPPQPPARKIVVVKKGAMMGGNKAASKQEDVHQLRILDNHHVQYRFLNALAEAMAMAKSVAAEESLYGLSERIAGLQQSVAEKKAEVERLKRVEKVHSVVGAQVPFLENWSDLEKEHLNCLGRGTAAIRDASSRVPTIGNIRESSLLELIDNLVIKVQDVEDVASDLVEVMGSEQMLLEECAELLHQAHNMQVMEDGLRIQLLHLENEAKNKT >KQK88427 pep chromosome:Setaria_italica_v2.0:IX:14149613:14150785:1 gene:SETIT_037863mg transcript:KQK88427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSHIGGLLPPRICRLTLDSAAKLRARKRELQAACSSAMAGRCSLLPLARLLLLVVVLGAVLHCNGAVARPLLGIAEPPASPGAVAEGPGDDAARAGAGGRPDRSEAGGEVILAGFAAAVIIVVFCYIRVTREKSSSGGGGGVGEGEKQESLGAF >KQK86373 pep chromosome:Setaria_italica_v2.0:IX:1504259:1506940:-1 gene:SETIT_037163mg transcript:KQK86373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTALFSAASLFHGADDSDDDRDEMQVDAEGEKQALEFVERAHDFPGMKLSVREFSSHELNANLLWPGTFSFATWLVKNQSILDGQRVLELGSGTGALAIFLRKSFGMDITTSDYDDKEIEENIAYNCRANNLDVLPHIRHTWGDPFPVSRPDWDIVIASDILLYVKQYDNLVKTASFLLKEYKKTSEKAGCTTITDKSGTQVPARSPMFLMSWRRRIGKDQSLFFTGCEKAGLEVRHLGDLVYLISFKS >KQK90455 pep chromosome:Setaria_italica_v2.0:IX:42788963:42790355:1 gene:SETIT_040108mg transcript:KQK90455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELVSPWALGTGAQYGAGSTHQQAAAKLIRRDRITDAAATLFSTDSVNQIPKRFIRTDELQAAGAVVGEDETFELPVLDMAKLLDPELSASETLTSHGVDEAVIQRMKESAAEFFSLPLERKNAVAFRGDDDKLEGFGHHISGGPSAGKLDWAECVTLVTHPVHYSMEMTGLARRLLGFMAIDLGVSQEELLGAFFSGDDADKGQSAVINHYPPCRHPDKVLGIVPHTDLMGLTVLLHVDDTPGLQIRRGDRWFPVRPLPDAFVVNVGDILEVLTNGAYASVEHRVIPDAERGRTTIVVFQDASVDGAVTPLPELVRGDEARARYNSIGKLEYTKGNFKALGEETRFIHNTSKLRACVNTKI >KQK86069 pep chromosome:Setaria_italica_v2.0:IX:144988:149601:-1 gene:SETIT_034443mg transcript:KQK86069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTNWEADKMLDVYIYDYLVKRNLQATAKAFIAEGKVATDPVAIDAPGGFLFEWWSIFWDIFHSSTAKPASSSASGPPLHDINKSREHQMRLQLLHQHNAAQLHTRGPDVSAVLASKMMEDRIRNPNPADSDASQHLLDANRIALLKSPPNQTGPPPMQQQQIHPRNQQLDIKPDVAMPQRTVPADHSSLYASGMMHPKPPLLAAGLNQAGGSVPLKGWPLTVTGIDQLRSNFGAQKQLVPSSNQFQLLSPQQQLIAQAQTPNDLTRMSSPAPSASPNVRSDDPDYLMKLKMAQMQQPSGYRPMELQQPQQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGVPVTSNANILQKSSMICGTDGTSGLASSSNQMDTLDSFVDFDENVDSFLSNDDGDGRDMFAALKKGSSEHNSESLKGLSLSEVGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNFKMDTKIEDHTNFITDIRFKTNSTQLATSSSDGTVRLWNAADESGTLQTFHGHTSHVTSVDFHPRLTEVLCSCDDNGEIRFWKVGQTTSTHVLRVGP >KQK86067 pep chromosome:Setaria_italica_v2.0:IX:143968:149701:-1 gene:SETIT_034443mg transcript:KQK86067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQLLHQHNAAQLHTRGPDVSAVLASKMMEDRIRNPNPADSDASQHLLDANRIALLKSPPNQTGPPPMQQQQIHPRNQQLDIKPDVAMPQRTVPADHSSLYASGMMHPKPPLLAAGLNQAGGSVPLKGWPLTVTGIDQLRSNFGAQKQLVPSSNQFQLLSPQQQLIAQAQTPNDLTRMSSPAPSASPNVRSDDPDYLMKLKMAQMQQPSGYRPMELQQPQQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGVPVTSNANILQKSSMICGTDGTSGLASSSNQMDTLDSFVDFDENVDSFLSNDDGDGRDMFAALKKGSSEHNSESLKGLSLSEVGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNFKMDTKIEDHTNFITDIRFKTNSTQLATSSSDGTVRLWNAADESGTLQTFHGHTSHVTSVDFHPRLTEVLCSCDDNGEIRFWKVGQTTSTHVLRAKQGGTGRVRFQPRSGQLLAVAGGSMVNIFDVEKQANLPSPAKGHNSEVNCVCWDESGEYLASVSQDTVKVWSVSSGACIHQLRSHGNQYQSCVFHPRYPKVLIVGGYQTLELWSLSDNQRNPIQAHEGLVAALAHSPFTGMIASASHDRYVKLWK >KQK86068 pep chromosome:Setaria_italica_v2.0:IX:143968:149701:-1 gene:SETIT_034443mg transcript:KQK86068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTNWEADKMLDVYIYDYLVKRNLQATAKAFIAEGKVATDPVAIDAPGGFLFEWWSIFWDIFHSSTAKPASSSASGPPLHDINKSREHQMRLQLLHQHNAAQLHTRGPDVSAVLASKMMEDRIRNPNPADSDASQHLLDANRIALLKSPPNQTGPPPMQQQQIHPRNQQLDIKPDVAMPQRTVPADHSSLYASGMMHPKPPLLAAGLNQAGGSVPLKGWPLTVTGIDQLRSNFGAQKQLVPSSNQFQLLSPQQQLIAQAQTPNDLTRMSSPAPSASPNVRSDDPDYLMKLKMAQMQQPSGYRPMELQQPQQQNTRKRKPTSSGAANSTGTGNTVGPSPPSTPSTHTPGGGVPVTSNANILQKSSMICGTDGTSGLASSSNQMDTLDSFVDFDENVDSFLSNDDGDGRDMFAALKKGSSEHNSESLKGLSLSEVGNNRTSNNKVVCCHFSTDGKLLASAGHEKKVFLWNMDNFKMDTKIEDHTNFITDIRFKTNSTQLATSSSDGTVRLWNAADESGTLQTFHGHTSHVTSVDFHPRLTEVLCSCDDNGEIRFWKVGQTTSTHVLRAKQGGTGRVRFQPRSGQLLAVAGGSMVNIFDVEKQANLPSPAKGHNSEVNCVCWDESGEYLASVSQDTVKVWSVSSGACIHQLRSHGNQYQSCVFHPRYPKVLIVGGYQTLELWSLSDNQRNPIQAHEGLVAALAHSPFTGMIASASHDRYVKLWK >KQK88857 pep chromosome:Setaria_italica_v2.0:IX:17867918:17872716:-1 gene:SETIT_039861mg transcript:KQK88857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFESPPSFTDLVDRAMMKYGCRVYEMSLRGRFDCGKARAHYVLMNLASDSNWKHYKDVVHESNVACLEVIVEIVRMPGPNVVFREEVVVVNRNGTQESEILHHVLGEIECAFDLAIANGDFSNNTFERDETNIDDDNVSMGSEDSEFNEDGVVERDVELPSVPNDEDISMVHKAICESSMVNSEGMPFSESLVIKKGMKFNSLEELKFFLADYAVRLHRPFSIVHSDKNLRISNVVQPHTCRSSQPRRVDVQCTTKYLGRRILGIIRKDSETSLVESIFAFSGYRVKYSKALRAKQHAVALLWGGWKESYGIVPRVLTAIAYYNPRVKRFIDSCGMMHPDNGQLVPLAFALAESENNESWSWFMKLVWQHVLGPSRQVCMISDRHHRLLNCAKDHMDGFPPLVHRWCTRHFAANMSRRQKTDRVIGKLKTLCKVHTEREFSEKLEDLVKDLNDDAKEWLTGHRIRKVADEFLSQAELRSVHYLAEPYGPERMVYSIKSCGTTNVGGESHGGRHYRVDLNEVSCTYNIPQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTIRIWESSFQRYLDPPQWPAYEGVGYVPNSNLMRNKVGRRQKKRLIGDMDVSQGRLSADYGIGDFDVDKMVAPVYPLLESMYDLQHRAHHLANLQEDLKPLRARVHSPLRWDERYAQYLQRAGFLDIAVHVVAGVPPMDGPLLTAMVDRWRLETHTFHLPFGEMTITMQDIAMILGLPPEGHPVTGIIQNEKMRDMVAMHIGIRPLEPEDGDNSKKTSGPWYRNDAHPTFYHVWKHVQPIRGNPDRHYRAYINELDVVMQHEVICSPDQIVFSPTCYRDRELWRCMTPIILYYVVEFHMPHRVMRQFGMMQPCPPLELSTLQQLHKINRRKRYKENDWRVKHAQYLIQWENRQRCDPEGGPYWRAGPNNEYI >KQK89185 pep chromosome:Setaria_italica_v2.0:IX:21617742:21620129:-1 gene:SETIT_040269mg transcript:KQK89185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATRTRTYTAALLYALALQLLACASSTAASASPTAAEARALLRWKSTLPPPKPDSTGAGPLSSWSPTTAACSSWAGVTCGADGRVAGIALPGAGLAGTLAALDLAAFPALTGLNLSGNRLEGAIPAGISRLTSLVSLDLSDNALTGGIPPALATLPALRVLVLRNNSLGSAIPASLGRLRTLERLDLQAARLVSTLPPEMGGMAGLKFLDLSANNLSGGLPPSFAGMSKMKELYLSRNKLSGTIPPEIFKNWPELTLLYLHYNSLAGTIPPEIGEAKKLRRLSLLSNNLTGVIPADISGLVSLQMLHLGQNCLTGPIPTSLGNLTQLVILVLSFNNLTGTVPAEIGSLTALQDLDLNNNQLGGELPATLSMLKDLSGLSLASNNFVGTVPNFCSQKLVSIELYGNNFSGGFPLTFCQMTSLEMLDLHSNQLSGQLPSCIWDLQDLMFMDLSSNALSGDIHVLASANSTLSLESLHLANNRFTGGFPSIIQYLKMLSVLDLGGNNFSGAIPSWISSRLPLIRFLRLRSNMFNGSIPLQLLQLSHLQLLDLANNNFDGNIPCGLTNLTAMIRPQTEFNMRSTVHHQIFHLEAAFYYANRVDVNWKMKTYEFQGAIALMTGIDLSGNSIDGEIPTELTNLQGLRFLNLSRNHLSGTIPENIGDLKLLESLDLSWNELSGHIPSSISELISLSSLNLSNNMLSGEIPTGNQLQTLADPSIYSNNFGLRGFPLSKSCTRDSSIQMDQSKESEGVYVYYSIIAGVVFGLWLWFGSLIFSAPWRLSFFYYVDFIHRKLVR >KQK87458 pep chromosome:Setaria_italica_v2.0:IX:7405158:7410253:-1 gene:SETIT_033952mg transcript:KQK87458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKFETKSNRVKGLSFHPRRPWILASLHSGVIQMWDYRMGTLLDRFDEHDGPVRGVHFHATQPLFVSGGDDYKIKVWNYKTHRCLFTLHGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCVAVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWASFHPSLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFCVSGDTVFYVKDRFLRFFEYSTQKEVQVAPIRRPGSVSLNQSPRTLSYSPTENAVLICSDADGGSYELYIVPKDSAGRSDYLQEAKKGAGGSAVFVARNRFAVLEKSSNQVLVKNLKNEIVKKSPLPIATDAIYYAGTGNLLCKAEDRVAIFDLQQRLVLGELQTPAVKYVAWSTDMESVALLSKHAVVIASKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHMKYCLPNGDSGIIKTLDVPIYITRVVGNNIFCLDRDGKNKLIAVDASEYIFKLALLRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKEIDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFERLAFLYLITGYLDKVGFMCKIAGQNNNLMGQFHNALYLGDAKKRVEILENAGQLPLAYVTAVTHGLTEIAERIAAELGENAPSLPEGKSHSLLIPPAPLTACGDWPLLRVMRGIFEGGLDATGRAELEEDDEAAGADWGDEDLDIIDASEVVANGGDSFVEAEEGEPNEEDGEEGGWDLEDLELPPETETPKAVGNARSAVFVAPTPGIPVSQIWTQRSSLAGEHAAAGNFDTAMRLLSRQLGIKNFTPLKPLFLDLHMGSHTYLRALAAAPVISVAVEKGWNESASPNVRGPPALVFSFSQLEDRLKAAYKATTEGKFPEALRQFLNILHTIPVIVVDSRREVDEVKELIEIVKEYVLGLKMELKRKELRDDVTRQQELAAYFTNCKLQRVHMRLVLASAMALCFKQRNYATAAHFARMLLENSPQEAQAKKARQVMQACQDKNDSHQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSIEGQLCTICELATVGADASGLLCSPTQLR >KQK88272 pep chromosome:Setaria_italica_v2.0:IX:12773750:12776763:-1 gene:SETIT_039889mg transcript:KQK88272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASLLAAGYGSDGVYRSPRPAAPIPSDPALSLSDLALDAATGRALTFEALRSAVLATAAALSSRARVHRGNVVLLLAPNCVLYPVCFLAVTAIGAVATTANPLYTPREIAKQIADARVKLIITVSDILPKIADLRLPTILLDGDGAAVPSDHANNVTLYSDLVAGVQETEYRRPPTLQSDTAALFYSSGTTGESKGVVLTHGNFIAAATGATSDQDELGEGRNVFLCFLPMFHIYCMSVVTLAQLQRGKTFVVMARFDVDAVLAAVERHRVTYLFYAPPVMIALAKHGRAGRYDLSSLRCIASGAAPLGKDVMEAVADKCPQAEIIQGYGMTETCGMISLEYPHKGRARQFGSTGTLVTGVEAKIDCRCKDNDASVSESIRRNLCPWTKHNARYFNNVQATEITTKQGWLHTGDLGYFDERGQLYVVDRLKELIKYKIAPAELEGLLLSHAQILDAVVIPYPDPEAGKVPIAYVVRSPNSSLSEVDVQKFIEKQVTYYKRLRKVTFVDSVPKSASGKILRRALIAQVGSSKL >KQK92256 pep chromosome:Setaria_italica_v2.0:IX:54594859:54597045:-1 gene:SETIT_036546mg transcript:KQK92256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVVTGATGYLGGRLCAALAGAGHAVRALVRRSSDVSGLAPGVELAYGDVTDADSLAAAFDGCDAVFHVAAAVEPWLPDPSFFLKVNVRGLENVLTAAKRTPTVKKIVYTSSFFAIGPTDGYVADETQMHPEKAFCTEYEKSKVLADRIALQAATEGVPITIVYPGVIYGPGKLTTGNLVSRILIERFNGRLPGYIGDGYDRESFCHVDDVVSGHIAAMEKGRVGERYLLTGENLSFKQIFNMAANITNTKAPLFHVPLWLIEVYGWISVFVSRITGKLPLISYPTVHVLRHQWSYSCDKAKTELGYSPRNLTEGLSEVLLWLKDEKQIKF >KQK90741 pep chromosome:Setaria_italica_v2.0:IX:45467414:45468402:1 gene:SETIT_037443mg transcript:KQK90741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGHGLVLVLEVLGGARGLVPDAVAVVGEHHEHVPSGAADDGGEHGHHGALADLQRRVQAGHGVGVQERDGGEHQQRQRGVDEVPRAEPVRRQVPGRRVGVRGEGVEATARDDAVVDAAVGAHVAVGEGGEGAEAAAERHEGPAQDLVEGGAVAVVGDQGGQELEQEHRAAGKEFDQVRHPAERAVRHAHAAAPRRQRRRRRHRGSICRCSLLLAAGS >KQK91940 pep chromosome:Setaria_italica_v2.0:IX:52828628:52832677:-1 gene:SETIT_034132mg transcript:KQK91940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPRRLAAPLLLLLLVAAASPAARAWKKDEFRNCNQTPFCKRARTRAPHSLDAPLSLAAGSLAISPDGSISAELSHPSRPRPLVLRLSALPPHALRLQIDEDYSTATPPHRRFQVPDVLLPDLEARTLHLPEPKTAAGVSTVALSSDLDVVVKHDPFELTVRRAGSGDPVLSFNSHGLFDFEPLQVSKPEEETWEEHFRSHTDKRPRGPQSITFDVSFYGADFVYGLPEHGSTSLALRPTRGPGVEESEPYRLFNLDVFEYLHESPFGLYGSIPFMIGHGARASSGFFWLNAAEMQIDVLAPGWDGATTLETGRIDTLWMAEAGVIDAFFFVGSEPKDVVKQYISVTGTPAMPQQFATAYHQCRWNYRDEADVDGVDAGFDEHDIPYDVLWLDIEHTDGKRYFTWDHSAFPNPEEMQRKIAGKGRKMVTIVDPHIKRDNSFHLHQEATEKGYYVKDADGNDYDGWCWPGSSSYPDMLNPEIREWWADKFSYENYKGSTPTLYIWNDMNEPSVFNGPEVTMPRDVIHYGDVEHRELHNAYGYYFHMATADGLLKRGEGKDRPFVLSRAFFAGSQRYGAVWTGDNSADWDHLKSSIPMVLTLGLTGLPFSGADVGGFFGNPEPDLLVRWYQVGAFYPFFRGHAHHDTKRREPWLFGERRTAIIREAIHVRYSLLPYFYTLFREASVTGIPVMRPLWLEFPDDKETYNNGEAFMVGPSLLAQGIYEEGQKSVSVYLPGKESWYDLRNGSPYKGSMSHKLQVLEDSIPSFQRGGTIVPRKDRFRRSSTQMVNDPYTLVIALNSSNAAEGELYVDDGKSYDYQHGAFIHRRFVFADNKLTSLNIAPDNLGKKKFTSECVIERIIILGLRSGAKKAIIEPGNQEVEIESGPVSLRSGSSPAAPTIRRPNVRIADDWTIRIA >KQK86127 pep chromosome:Setaria_italica_v2.0:IX:398571:401708:1 gene:SETIT_034495mg transcript:KQK86127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAAPSASQAGKRPATGGREGDQMVVTPLGAGSEVGRSCVHLTFKGRTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLITHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLMDYVKVSKVSVEDMLYNESDIGRSMEKIEVIDFHQTLEVNGIRFWCYTAGHVLGAAMFMVDIAGVRILYTGDYSREEDRHLRAAELPQFSPDICIIESTYGIQQHQPRIVREKRFTEVIHNTVSQGGRVLIPAFALGRAQELLLILDEYWSKHPELHKIPIYYASPLAKRCMSVYQTYINSMNDRIRNQFAQSNPFIFKHIESLNSIENFHDVGPSVVMASPGGLQSGLSRQLFDKWCTDKKNACVIPGYVVEGTLAKTIINEPREVTLANGLTAPLHMQVHYISFSAHADFPQTSNFLDELRPPNIILVHGEANEMSRLKQKLISQFDGTNTKIVSPKNCQSVEMYFTCEKMAKTIGRLAENVPGGGESSGGLLVKKGFTYQIMAPEDLRVFTQLSTANITQRIAVPYSGSFEVIKYRLNQIYESVESATEESDVPALIVHERVTVRLDSESYVTLQWSSDPISDMVSDSVVSMILNIGREGPKVVPVEEAAKTKEDTERVALKVVYSLMASLFGDVKVGDEGKFVISVDGDVAHLDGRSGDVECVNGTLKERIKTAFRRIQGAVRPIPLSAS >KQK90484 pep chromosome:Setaria_italica_v2.0:IX:43063204:43063689:1 gene:SETIT_0397812mg transcript:KQK90484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQPAKDSGGRPEARRWCAVTGGRGFMARHLVAALLRSGEWRVRVTDLAPAVELGPGEMEELLGDALRDGRAVYAAVDVCNLDQLIKAFERVEVVFHTAAADPSKNNMELHYKVNVE >KQK92150 pep chromosome:Setaria_italica_v2.0:IX:54030268:54031697:-1 gene:SETIT_038694mg transcript:KQK92150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRNHSACLLLRAKLLLVAATGATAQNNCSSAKFPAGRSFQRCTSLPVLGDSLHWTYHQANGTADVAFRVPQSTTGWVAWGINTERPVSIAGSSVFIASHSQDGNGGAVSVLATYLESTAPVLANNTLKLAVPVAPAAEYSGGAYTIYAMALRGGLLVGRVGTNPVNITVQNTVWRAGPLSGGRIAPHPTSAANLRSAQKLDFLSGNNRSAGAPNSRVHRRDLREFLG >KQK91252 pep chromosome:Setaria_italica_v2.0:IX:48782465:48784549:1 gene:SETIT_039144mg transcript:KQK91252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSRPPEQRCAPLAIANLPKEILLEILLLLPPKSILRCRAVCKAWRAVTKDRAFLLAHHRRQPPRRLFTFVRDVGGNNHDLGILDYCVEAINFRTHEFLSVVRFTGEDYDFSLGDSQFVVHAACSGLLLMSYNKCLHLCNPTTRQWLYVFPPALQHDKVVGLYAHGNSSEYRVLYYRAMGDLAPKFYISTVGSGKEKCIWPHSPSASLIVWLAKGSEGTAFNEPFLFHGNLHWLPHLGRHDKIVMFDTVNEVFQWLQLPFKMCNVVSLLEIDCDEDVRVAEIWLLQDHKNQMWACKYHIELPALDISTLPDLDSGYIQEVGRKSCGGRPPAELQRLLLSDMNGYLQERFRWDGSLLKITPALFGMHSLRHRTM >KQK92610 pep chromosome:Setaria_italica_v2.0:IX:56329039:56330097:1 gene:SETIT_037025mg transcript:KQK92610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDLQSSPSSIGDEAGTVSRSVGGGCCRHLLWSEEAAARAAARSARRALAPARMPPAAASSSTWRRISRTRRWELECSSGEKVGLLMGRYSGKSRPDLNRTPHALHSVLGPAGPCRHCGVSSDPHASRRPSPADGRDGAASATTSAAMVSTEGNASIFESHSNSSSSSGPWPPPTAANSNPCKNHPQEREKKFSAHIANATSAAAIGETRQLPRGQRRHSSWRPRRRRARQAPPPPPGSRLPLRPPPWSPPPSRHRDKEMEFFIRLLEHF >KQK87384 pep chromosome:Setaria_italica_v2.0:IX:7030685:7038378:1 gene:SETIT_033984mg transcript:KQK87384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPTQSSTSSSRTRQSSRARILAQTTLDAELNAEYEESGDSFDYSKLVEAQRTAPPEQQGRSEKVIAYLQHIQRGKLIQPFGCLLALDEKSFRVIAFSENAPEMLTTVSHAVPNVDGPPKLGIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEALCNTVVKEVFDLTGYDRVMAYKFHEDEHGEVFAEITKPGIEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSVKIIEDEALSIDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEEDDEPEPEQPPQQQKKKRLWGLIVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQIREKSILRMQTMLSDMLFREASPLSIISGSPNIMDLVRCDGAALLYGDKVWRLQTAPTESQIRDIAFWLSEVHRDSTGLSTDSLQDAGYPGAASLGDMICGMAVAKITSKDVLFWFRSHTAAEIKWGGAKHDPSDKDDNRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLTDAMKPARTSVLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLVNGWNQKVAELTGLRVDEAIGRHILTLVEDSSVSTVQRMLYLALQGREEKEVRFELKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIVHNPNPLIPPIFGADQFGWCSEWNAAMTKLTGWHRDDVIDKMLLGEVFDSSNASCLLKNKDAFVHLCIIINSALAGDEAEKAPFGFFDRNGKYIECLLSVNRKVNADGVVTGVFCFIHVPSDELQHALHVQQASEQTAVRRLKAFSYMRHAINKPLSGMLYSREALKSTGLNEEQMRQVHVADSCHHQLNKILTDLDQDNITDKSSSLDLDMAEFVLQDVVVAAVSQVLIGCQGKGIRVSCNLPERFMKQKVYGDGIRLQQILSDFLFVSVKFSPAGGSVDISSKLTKNSIGENLHLIDLELRIKQQGTGVPAEIISQMYEEENKEQSEEGLSLLVSRNLLRLMNGDIRHMREAGMSTFILTAELASAPTAPGQ >KQK87383 pep chromosome:Setaria_italica_v2.0:IX:7030685:7038378:1 gene:SETIT_033984mg transcript:KQK87383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRPTQSSTSSSRTRQSSRARILAQTTLDAELNAEYEESGDSFDYSKLVEAQRTAPPEQQGRSEKVIAYLQHIQRGKLIQPFGCLLALDEKSFRVIAFSENAPEMLTTVSHAVPNVDGPPKLGIGTNVRSLFTDPGATALQKALGFADVSLLNPILVQCKTSGKPFYAIVHRATGCLVVDFEPVKPTEFPATAAGALQSYKLAAKAISKIQSLPGGSMEALCNTVVKEVFDLTGYDRVMAYKFHEDEHGEVFAEITKPGIEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRARSVKIIEDEALSIDISLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNENEEDDEPEPEQPPQQQKKKRLWGLIVCHHESPRYVPFPLRYACEFLAQVFAVHVNKEFELEKQIREKSILRMQTMLSDMLFREASPLSIISGSPNIMDLVRCDGAALLYGDKVWRLQTAPTESQIRDIAFWLSEVHRDSTGLSTDSLQDAGYPGAASLGDMICGMAVAKITSKDVLFWFRSHTAAEIKWGGAKHDPSDKDDNRRMHPRLSFKAFLEVVKMKSLPWNDYEMDAIHSLQLILRGTLTDAMKPARTSVLDNQIGDLKLDGLAELQAVTSEMVRLMETATVPILAVDGNGLVNGWNQKVAELTGLRVDEAIGRHILTLVEDSSVSTVQRMLYLALQGREEKEVRFELKTHGSKRDDGPVILVVNACASRDLHDHVVGVCFVAQDMTVHKLVMDKFTRVEGDYKAIVHNPNPLIPPIFGADQFGWCSEWNAAMTKLTGWHRDDVIDKMLLGEVFDSSNASCLLKNKDAFVHLCIIINSALAGDEAEKAPFGFFDRNGKYIECLLSVNRKVNADGVVTGVFCFIHVPSDELQHALHVQQASEQTAVRRLKAFSYMRHAINKPLSGMLYSREALKSTGLNEEQMRQVHVADSCHHQLNKILTDLDQDNITDKSSSLDLDMAEFVLQDVVVAAVSQVLIGCQGKGIRVSCNLPERFMKQKVYGDGIRLQQILSDFLFVSVKFSPAGGSVDISSKLTKNSIGENLHLIDLELRIKQQGTGVPAEIISQMYEEENKEQSEEGLSLLVSRNLLRLMNGDIRHMREAGMSTFILTAELASAPTAPGQ >KQK92030 pep chromosome:Setaria_italica_v2.0:IX:53309138:53312888:1 gene:SETIT_035885mg transcript:KQK92030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLAQIYLIGFHEEREFKLYVSSISNELRIPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFSAIEDVLKQKKDRANLRRVSSFEALQSATKALPADFVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRRTSPHLLASGDGIRTATIVGDVYIHPSAKVHPTSKIGPNVSISANARVGAGARLINCIILDDVEIMENAVVIHSIVGWKSSIGKWSRVQASFSLYHSFLSSFKFNESSFSINLNNFTLEQFVKITSVGLM >KQK92031 pep chromosome:Setaria_italica_v2.0:IX:53308951:53313495:1 gene:SETIT_035885mg transcript:KQK92031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSEQRVVAVIMVGGPTKGTRFRPLSLNVPKPLFPLAGQPMVHHPISACRRIPNLAQIYLIGFHEEREFKLYVSSISNELRIPVRYLREDKPHGSAGGLYSFRDYIMEDSPSHIVLLNCDVCSSFPLPDMLEAHKKYGGMGTLLVNKVSAESANQFGELVADPETNELLHYTEKPETFVSDLINCGVYIFTPNIFSAIEDVLKQKKDRANLRRVSSFEALQSATKALPADFVRLDQDILSPLAGKKELYTYQTLDFWEQIKTPGMSLRCSGLYLSQFRRTSPHLLASGDGIRTATIVGDVYIHPSAKVHPTSKIGPNVSISANARVGAGARLINCIILDDVEIMENAVVIHSIVGWKSSIGKWSRVQGEGDHNAKLGITILGEAVDVEDEVVVVNSIVLPNKTLNVSVQEEIIL >KQK87152 pep chromosome:Setaria_italica_v2.0:IX:5805456:5808368:1 gene:SETIT_036857mg transcript:KQK87152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKVLYMLTATDKAEYQKRTAHGKRGERREEHASDGGEMLAVFDPTVAKCPEGLRSPLVAGAAAAAAGGVGALMKGFSASHDGTVTVSLGPSGALAYSAANQSPLVPRLFGAVNDIFCLFQGNIENIANLKQHYGLSKTANEVTILIEAYRTLRDRGPVPASQVVRDLSGKFAFILYDTLSKSTFVAALQRPECRTLMAASPSSGASTRRTTSCSLTMLGYSRPAAATRSRHSLKVTTTTERHLIHSCLHLQK >KQK87151 pep chromosome:Setaria_italica_v2.0:IX:5805456:5809016:1 gene:SETIT_036857mg transcript:KQK87151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKVLYMLTATDKAEYQKRTAHGKRGERREEHASDGGEMLAVFDPTVAKCPEGLRSPLVAGAAAAAAGGVGALMKGFSASHDGTVTVSLGPSGALAYSAANQSPLVPRLFGAVNDIFCLFQGNIENIANLKQHYGLSKTANEVTILIEAYRTLRDRGPVPASQVVRDLSGKFAFILYDTLSKSTFVAADADGSIPFFWGVDSEDHLVFSDDAGLLKTGCGNSFAPFPKGCFYTTSGGLQSYEHPLHEVKAVPRVDSQGQMCGSTFKVDSETKKKQDASIPRVGSAADWSNQF >KQK89557 pep chromosome:Setaria_italica_v2.0:IX:32879746:32882274:1 gene:SETIT_036425mg transcript:KQK89557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDGLEHHLVPRLTHGGRAELAKLSLSLPRSSRRGAAATETAAAASPITYDGRIFVCDKRGSQCITARQVEDDDATDGHGDRRSWSMPHESGKVVHSSYLLESRGELLWALVHVNKHYCTYSYRVEDDLEYHSVGRFIGGLLVSVYALQQDVRWVKRDDPSLVDRTMFLGHPTSFAVDAARFGASGGGCAYLVIKSKLYGRTWSKSALERCRVFRYNFNNSKAELVEQLPVEWSDEACMWFNRGQPQKGLSLYTVRQESHNSDPISGFMLVICHGRLIAPNLDSSSASMARLQTSGSCTHIKTKRSRGFGFVTMATTVDDEPAHVIAMIDGQILDGRPLRVKFSDQK >KQK88905 pep chromosome:Setaria_italica_v2.0:IX:18406018:18407924:1 gene:SETIT_035059mg transcript:KQK88905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGDNLQVLSALDAAKTQWYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYTDTTKLDPGSLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKSVYGMTLMLMVLCSIASGLSFGNTPTGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGILAGGIVTLIISAAFRAGYPAPAYQDSPKDSTVSQADFVWRIILMLGAAPALLTYYWRMKMPETARYTALVAKNAKQAAADMSKVLQTEIVDEQEKLDTMVTSTGNSFGLFSREFARRHGLHLLGTASTWFLLDIAFYSQNLFQKDIFTSINWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVALIDVVGRFTIQLLGFFMMTVFMLGLAVPYHHWTTPGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQNQDKSKVDHGYPAGIGVRNSLFVLAGVNMLGFILTFLVPESKGKSLEEMSGEADDGEEEAVGGRAVRPSQTQMV >KQK90341 pep chromosome:Setaria_italica_v2.0:IX:41825530:41827510:1 gene:SETIT_040275mg transcript:KQK90341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVPHPDGGALLHSGNLVPHPGGAPPPTPASSTNWERPTPPDPVALSPTLARSERGRIGRRPCCNRHSDEHELGWAGAIGAAWKRQDDRAAVSSQSQQAFQSVALVVGSTGIVGASLVDILPLPDTPGGSWKVYALSRRSLPPWSPPSSSSVTHIKVDLTDSAAVAEALTPLTDVTHVHVFYVALSPRPTEAQSRETNSAMLRNVLSVVVPTARRSSTDQALLRPPRLQDPRPRAAVHRGGEDMPRLDIPVFYYDQEDVLLDAVARGAASAVSWSVHRPNLIFGFFPRSATNIICSLCVYAAICLKEDIKLRWPGTRSAWEGFNTCSDADLIAEQHIWAAVDPMARNEAFNCSNGDIYKWKKLWPVLAGRFGLEWAGYEGEENRFKVAEAMTGKEAVWAAIVAENDLAETKLDEVASWWLIDAVADQYGLDREILDSMNKSKEHGFLGFRNTVKSFNTWIDKLKAHKIVP >KQK90734 pep chromosome:Setaria_italica_v2.0:IX:45428129:45430086:-1 gene:SETIT_040262mg transcript:KQK90734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALLLAALLLLLIVVIALWGRLVRRPRAVARSLARQGIRGPAYRFLAGSLPEAKRLAVATRRRAPPLDVGSHDIMPFLLPPFHRWVADYELIKEVLTDRTGLFAKDFMVPILKVLLGNGLILANGDDWRRHRKVVLPAFNHERIKVAKITLMHLYIHMHSMSAVTAEATEQMTRRWRDQILQGGAHQAAEIHVDRAISDLTAEIIGRVAFGTSHHEAGEVLLLMHEMQEMGAAAMLDAPILWHLPTRRNLKVRRLDKLLRTKIMAMMEARVAAAKDEDNCSSVHGGGGGYGDDLLGLMLEAWSPERQGSDATSTLTTEEVIDECKTFFGAGHETTATLLVWTMFLLSTHPQWQEKVREEVLREFSGADDGGVVVPNSDVLAKLKLLHMVLLETLRLYPPIVFIQRTTSSDVVLRGIEVPRGTAISIPIGMLQRDKEVWGSDADEFNPMRFKNGVSRAARDPNALLSFSLGPRACTGQSFGVVEAQVVMAMILRKFSFSLSPTYVHKPKYVVSLTPKSGMPLILRNLDG >KQK89658 pep chromosome:Setaria_italica_v2.0:IX:34566056:34568813:1 gene:SETIT_038789mg transcript:KQK89658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIIAGVLLLVLTSLLTPFPAAADVFCDNLKRVAATLPKNTSSSPVHFATISFGQAPDIVYALALCRGDVLDDTTCGDCVANTFDKIGTPPGQECDKIDFDYGEDCTLAYSVDNVLVPYNSTGENRDTMHWDRWNEKNVTGDVRLIAGLIHELLLETVEKAASTAPRRFATGIADSGTTFPPVYSLAQCTPDLSAGDCLACLQRLLGMVNSTMALRMGGQIHVIRCSFRYETYPFYNSQPMLRLGPSSPPAPAPTPTTVAKHRRHMSNLWAIPIVVVPLAVAAFLCFIFYSPLFRRYRKAMRLRLGSRRTQDLQGHEELVWDGKNSEFSVFDFEQVLEATNHFSEENKLGQGGFGAVYKGQFADGLEIAVKRLASHSGQGFTEFKNEVQLIAKLQHRNLVRLLGCCSQEKEKILIYEYLPNKSLDFFIFDENRRALLDWSKLVAIIEGISHGLLYLHKHSRLRVIHRDLKPSNILLDCEMNPKISDFGLAKIFSSNNTDGNTTRRVVGTYGYMAPEYASEGIFSIKSDVFSFGVLVLEILSGKRNSGSNQCGDFINLIGYAWQLWEEEKWIDLVDASLTPNGHSAEIMRCINIALLCVQENAADRPTMADVVSMLSSETATILSEPKQPAYYNVRVGNEEAYTATKSCSINDVTISVTTPR >KQK91301 pep chromosome:Setaria_italica_v2.0:IX:49095092:49099049:-1 gene:SETIT_034098mg transcript:KQK91301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNNFLTTIKSLKLIEGCKAAQLYALSSVGASASTSGSGDAAGGSGRPQPQPPPPPKTVSMRSGSLYYPHAAPSTSGAFAPEHHLPCGLPVADALEPALDACLRPVDHVGALAASYRRVSAAAAGGGDDDLCDSYLEQHALFQSVGDARLLRRALRAARVHADDPHRRAVLAAWLRYERREDELDPAPPPLAPCTATTPLLECPRAALFATASAGVDPVCPCRRPPPPPATPPPHRLRRNASEMSEEEEPETNDLWFVIGEEEVACERSCIAALSKPLNTLLYGGFAEAHRDRIDFSRDGITPRGMRAVAAYSRHGRVDDFPPDIISQLLAFANKFCCDGLKAACDNKLAAMVRGVDDAHSLVDVGLEEASHLLVASCLQAFLRELPKSLTNPDIARLLCSPEGRERLDIAGNASFALYYFLSHVAMEQDMKSNTTVMLLERLNECAELPWQKQLALHQLGCVMLERGEFEDAQEWFEAAVAEGHVYSLAGEARAKYKRGHKYAAYKLMNSVVGDYDEPAGWMYQERSLYCVGKEKLADLQSATELDPTMTFPYKYRACALLEEDNAASAIAEISKVIGFKMATDCLELRAWFYLAMEEYELAVQDVRAILTLDPTYMMFHGRMHGEQLIELLRGQVQQWDMADCWMQLYDRWSAVDDIGSLAVVQQMLAREPGNGSLRFRQSLLLLRLNCQKAAMRSLRYARNSSLHEHERLVYEGWILYDSGHRDEALAKAEQSISLQRSFEAFFLKAYALGDSSMDTESSLSVVQLLEHANSCASDNLRKGQAYNNMGSIYVDCDMLDEAAECYGIALNIKHTRAHQGLARVHYLKNRKQAAFDEMTKLVRIATSSASAYEKRSEYGERDAAMCDLNTATLLDPTRTYPYRYRSAVLMDENKEEEAIAELSGAIAFKPDLQLLHLRAAFFDSMGDSASTLRDCEAALCLDPTHGDTLELYSKASARPEPES >KQK88064 pep chromosome:Setaria_italica_v2.0:IX:11233900:11235794:-1 gene:SETIT_038567mg transcript:KQK88064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGSKMRKPGKECKVRDAYYFWNDYTDDQDKNFFKILVGDFRKRLVLPDKLALHFRGKISRSIKLESRSGHTFDVQVANSNLGRLALQSGWELFVSAHDLKMLDFLVFKYDGISRMKVLIFDASGCEKVPPCFVTKNDINGTQSKEESIDVSSNYANIPMKTPETKKKAGKQRDGSKIVNTGSSSSSSESSGGSTSSQEHEAHSVPSYIVPQRTNLTNVQKKKLKEKVGAICSEIPIYVCVMKKNNISGRYQDMPFSGKYSDEYLPFKERKLMLRHQGESWQVMCRVQVRRGPRKFQKLCKGWARFACDNNLQLGDLCLFELLKTKKYTMNVHIIREK >KQK89570 pep chromosome:Setaria_italica_v2.0:IX:33086186:33089162:-1 gene:SETIT_036108mg transcript:KQK89570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSGTPLDDDDLLTQVLLFLPSPSSLGHASLVCKRWHQLISDPRFHRRFCACHQKPPLLGVFSTDSICSGNIYFTPTPDSPDHTPAVARLVVCLGSGSEILGCRHGRLLASNWARHHFCVWDPITGDQRHVTFPQAFDGRRKVVMNGALICVAREQSHVHGSCCSGPFQVVLLGRTARRIFACVYSSETGAWGNCTSILRGHFRAVSANHSSTRVGNSICWLLTGQSLAILEFDMHLQRLAVIEVPSDALDPDNFIQVSIMPADGGGLGFLVLSYSIIQLWRRKDTCDGVAEWVLRNTIEMSSTLSLRPQSLVENPPLILGFAEEDNVLFLFIDFDIFMLNIGSVKFKKLAEDMGYRLCHPFTSFYIPGMGIGSGHGGVQIQHNA >KQK89569 pep chromosome:Setaria_italica_v2.0:IX:33086155:33089162:-1 gene:SETIT_036108mg transcript:KQK89569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSGTPLDDDDLLTQVLLFLPSPSSLGHASLVCKRWHQLISDPRFHRRFCACHQKPPLLGVFSTDSICSGNIYFTPTPDSPDHTPAVARLVVCLGSGSEILGCRHGRLLASNWARHHFCVWDPITGDQRHVTFPQAFDGRRKVVMNGALICVAREQSHVHGSCCSGPFQVVLLGRTARRIFACVYSSETGAWGNCTSILRGHFRAVSANHSSTRVGNSICWLLTGQSLAILEFDMHLQRLAVIEVPSDALDPDNFIQVSIMPADGGGLGFLVLSYSIIQLWRRKDTCDGVAEWVLRNTIEMSSTLSLRPQSLVENPPLILGFAEEDNVLFLFIDFDIFMLNIGSVKFKKLAEDMGYRLCHPFTSFYIPGMGIGSGHGGVQIQHNA >KQK93101 pep chromosome:Setaria_italica_v2.0:IX:58787073:58789336:-1 gene:SETIT_037299mg transcript:KQK93101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLPASWSSSSAKATTTSSNTTEGEEPPRPPTVGAAAVYLNIYDISPLNHYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGTTDLSRSQVRTCIEDLAEDYHGDTYHLIVKNCNHFTADACQRLTGKPVPGWVNRLARLGSFFNCVLPESIKVSAVTNANGHPDFSDDGLGSNASIVEGSDEDDLDQLLRTPNSDVVSSRDKTLTPGRDSF >KQK93102 pep chromosome:Setaria_italica_v2.0:IX:58787824:58789336:-1 gene:SETIT_037299mg transcript:KQK93102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLPASWSSSSAKATTTSSNTTEGEEPPRPPTVGAAAVYLNIYDISPLNHYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFQVEPKSCPGFIFRRSVCVGTTDLSRSQVRTCIEDLAEDYHGDTYHLIVKNCNHFTADACQRLTGKPVPGWVNRLARLGSFFNCVLPESIKVSAVTNANGHPDFSGTLPTPRFIISHIHMVGIGPYNTYATWSKIVIAMS >KQK86616 pep chromosome:Setaria_italica_v2.0:IX:2924531:2929656:1 gene:SETIT_035668mg transcript:KQK86616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYELDSSTRSLPNATWPVPHSPRPCLAWCLRPVRLPPPATAASKRRRRRRRGPPSSPRRKGTGRRRPPRVVGGSGMERGAAAAALACALRSPSPSPSPAPQWPPLLRCPRRGPPGPPRPILPPAFRFSQNRHLDMKKGQSRISIKTFSSSGHSGVRQKNDDLVNDKLLIDCGEDQDCVLGGIVALGKFDALHVGHRELAMHASKAGTPFLLSFVGMAEVLGWTYRPPIVAQCDRKRVLSSWAPYCRNVVPLEYQVEFSKVRSLSPRQFVERLSKDLRIKGVVAGENYRFGYKASGDAAELVKLCEEFGLSAFIVRSVMDTAKRAHNGVAAAINSSDKGQVSSSRVRHALAMGDMEYVSELLGRKHRLVLMVNQKCFHERKKIVFPNSCMLNMPPAEGLYENCELVNGGYLGPCRVIINSDTIVIEMKDENSLSPNPIQEVRQLGIEFG >KQK92899 pep chromosome:Setaria_italica_v2.0:IX:57766450:57769196:1 gene:SETIT_037241mg transcript:KQK92899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKGRKVAGRGEEMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDADNTSDCERLYKAFLQEINTFELPLLKSKAVVDANIREKESFNELQIEIERQILQAQTDIEDLRKQLEQSKIERQHKEECEAIRKLISLQPPRSETERLIADLEKEIADLEAENVACLRTLELRKKQFALLLHVVEELQISIEDEQKSIADELRAIAEEQKMSIEESGGASDAMAVD >KQK92900 pep chromosome:Setaria_italica_v2.0:IX:57766450:57769196:1 gene:SETIT_037241mg transcript:KQK92900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKGRKVAGRGEEMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDADNTSDCERLYKAFLQEINTFELPLLKSKAVVDANIREKESFNELQIEIERQILQAQTDIEDLRKQLEQSKIERQHKEECEAIRKLISLQPPRSETERLIADLEKEIADLEAENVACLRTLELRKKQFALLLHVVEELQISIEDEQKSIADELRAIAEEQKMSIEESGGASDAMAVD >KQK90017 pep chromosome:Setaria_italica_v2.0:IX:38571519:38573704:1 gene:SETIT_035492mg transcript:KQK90017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTPPTPKVSRVQREAESCSFCRSDLPRNTNSPDPCPCTALLTRKVQLDATPKPNKPTSGPATELGLLAHLAPRAADAAATSPSTSRRRAEPRPEVRRAMESVGERRAMENGGGTMRRLPGTGLVMCSTCSGFLLRMKRTSVPKPDEEVLEVVTEAEKMRALMKAVLLHGPLQEIEPAEATKEQWKVLQRRRRIMMETKKCPTCSGSLLQKPGPDEEDKAMVADPGSKAGSKEKMSSREEGSEFMAVLPSQGGKERMKIATEDEAMVADARSEQKLKRSREEGKRSSSGLKEKKKAKSEDKEGSGSKKKAKRSSEMEGSGSKEAKMKGSGLEEEAKIEFIVKSVGGDRKTKMEIKRMLKKAFEILNEPPVKSQSEEAKMEDSESAVGNRKTKMVTYRVDKKTIDFLKNHPPLKPPPIGACEPELRQRFIDITAPYVEVERVLLEYLQCHYSIKGYAEVQLEVTDDEGDDHKLV >KQK92955 pep chromosome:Setaria_italica_v2.0:IX:58037166:58041400:1 gene:SETIT_035730mg transcript:KQK92955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEGEVPAAAAAAIANGLGGGEEASPAPFSAEQLDVEAYAAQYSGRTRLARLIFIADRCGVEAMQLEALRMAYDEIKRGEDVQLHRDVAVKINGRLGPRYGLDQAWVDTVARRAEQRKEKLENELNGYRTNLIKESIRMGYNDIGDFFYAHGQLSDAFKSYIRTRDYCTTSKHIVQMCMNVILVSIELGQFAHVTNYVSKAEQTPDSLDPIIVAKLRAAAGLANLETKKYKFAARKFVETGIELGNNYSEVIAPQDVAVYGALCALASFDRSDLKSKVIDNINFRNFLELVPEVRELVNDFYASRYGSCLGHLEKLKPNLLLDIHLHEHVETLYTDIRHKAIIQYTLPFISVDLNTMATAFKTSVSMLEKELAALITENKIQARIDSHNKILYARHADQRNTTFQRVLQTGNEFERDVKSMLLRANLLKHDYIQRTGPRKM >KQK91916 pep chromosome:Setaria_italica_v2.0:IX:52700807:52701241:-1 gene:SETIT_038459mg transcript:KQK91916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVKLSCACTATHAMAGLIWLPCSCHQTGSERCDSNGFRASTCANQSSAGTGTFCLHN >KQK89526 pep chromosome:Setaria_italica_v2.0:IX:32426955:32428481:-1 gene:SETIT_035356mg transcript:KQK89526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQLWLLWAALAVPLLYCLTNLRRRLGTGRRPLPGPTPLPLIGNLIDLRRGNLHHTLARLARTHGPVMYLRLGLTDAVVVSSRDAASEAFMRHDRRLAGRAIPDIARALGFSERAINWLPSSDPHWKTLRGVLATHIFSSRALAAARGVRERKVRDLEVYVGRAVYGAMLNLMSSIVFSVDVVADMDMDAAAGSTQGIRELLNDLVSSAAKPNVSDLFPFLRPFDLQGWRRWTAKKLEKFYRFLDDMIDRRLTEDSDSSSRDKHGDFLDVLIQLMSAGKLARGDITSLLFEVFASGTDALVVTVEWTMAELLRNPRVMAKVRAEIQGTLGSKEAIEESDVACLRYLMAVMKEAMRLHPVAPLMLPHMAMEDGVEIQGHAVPKGHTVIFNTWAIMRDPAAWERPNEFIPERFMDRPEMVFKGKEPEFSPFGSGLRLCPAQPMAERVVPLGLASLLHAFEWRLPNGLLPDEFDLSERFNHTNVPAVPLKAVPFAIT >KQK90108 pep chromosome:Setaria_italica_v2.0:IX:39734638:39735299:1 gene:SETIT_039308mg transcript:KQK90108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCASRQGSAAAGGGGEGRRGCLAVAREQRSRFYIFRRCVAMLVCWHNVSRSRTE >KQK90459 pep chromosome:Setaria_italica_v2.0:IX:42812976:42814879:1 gene:SETIT_038905mg transcript:KQK90459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPSCSKEGMNRGAWTAMEDGILVSYIQKHGEGKWGSLPRRAGLKRCGKSCRLRWLNYLRPGIKRGNISEDEEELIIRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNTTLGKKVLRNSGRSKEDNQAAPEQEASPVAVRSKALRCTARLPVVQVQPAAPASHGCPSETAVGDDGVREEQAADEAAPAPAVEVQQKQLDFLPEDELSIDLDFDMGELGFLSPWRGEVGGGVGPGDRFDGDEVDDLEALLLGPGGDGNLHEFAWF >KQK86522 pep chromosome:Setaria_italica_v2.0:IX:2416367:2419754:1 gene:SETIT_035555mg transcript:KQK86522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGYGGGGRGVHLSSQKDLPLGRGGRSFLFGNTWFLLSTYPARLLHTTDRRAPSAFFAAIHRAPCVRSPCAGQGLLQRGGIVMAACGYALRRAELGATKRQPDKDPSAGTRSTRTAAMGSVGSAPRPDVSFRYRGLESCKKFGASLKCCEPWGNRSFWTNAVGPSWKLSFAVEPWARDFSSSCVAPYSTGATEHQLSLDEKMDDSTVTSDGKAPTSESLKLVSGSCYLPHPAKEATGGEDAHFISTDEHVIGVADGVGGWADLGVDAGLYAKELMRNSMSAIKDEPEGTIDPSRVLEKAYTSTKARGSSTACIITLKDQGIHAVNLGDSGFVVVRDGRTVLRSPSQQHDFNFTYQLESGGGSDLPSSAQVFHFPVAPGDVIVAGTDGLFDNLYNNEISGVIVEALRVGLEPQIAAQKIAALARQRATDKNRQSPFASAAQEAGYRYYGGKLDDITVVVSYVKSA >KQK91097 pep chromosome:Setaria_italica_v2.0:IX:47753389:47757256:1 gene:SETIT_039763mg transcript:KQK91097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNENKVDEGGTSSASGSPGARSFRSRSRHRASRNEESSHPQLRRSFSFSSSVIDRSLDERMMSYSRDIPCSMSNDSDAPGHFGEVESLSAGATHGLQKNTPAEENTQQRSARFTNLLNKNEALDLYIDGEQEVTRLNEHNQKFPIRSTAPYLGQGRPPRPHSTAPSSPKLCKEIIESPSNIDIDDAWHSQLAEGTNSTRKVASMCNGGSHDARHFKVSSERLSHFEESRSQTMTAVEDIYEDLQDVRPPSPFFYSTSTDHVASATSRYFATDVSCHEESHGVHGFSLEQDTDEKLLQRAKEVDACFMVPPVENSKFKTLRDKRLDSTEMLQLIQDLTEDRKRLASELSSQIKARLTERFASREEYKRSKLELQTRTRRLEKEKIDIQSTLERELDRRSNDWSVKLERFQSEEQRLRERVRELAEQNVSFQREITSLESYKVDATSRIKSLELQNKHLDNELQKIKDDCDNLHKSLVESHDNLAQATEERDKVREFLRDKEEGNKVSHKIIARLQRASNEQEKTITGLRQGFSTELEKRAAGNSDITNRMQMELNRLTGVEQNLRKEIQSCTLEMESLRQENVGILNRLQRSEDGAIFPTIRLDQELHARVDSLQTQALSLLDDASQLCAKLLELIKSKSRENSNDVHALVDIEHTLKYQSMKGGIENVKQSLHTIKSLLMEKQNKEETRQSAGDCLLGQEKLSWDCIEIKLREEAMISRVLKEKLLSKELHIEQLQSDLAASHRIQDVLQNEIQRVQDELRCLTHKSKHLEVQVSKKDGTISQIEQDYQESDKELTALRCMLKTVNDERDVSWQESEQLRRTVNGLQNEVASLKQKITSLNEDILLKESEILLREGEISILQDSIDKPFDIICSPQSMKQFGME >KQK86149 pep chromosome:Setaria_italica_v2.0:IX:470652:474611:1 gene:SETIT_035546mg transcript:KQK86149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQIVLLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGKIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISTRVFEADPSKEKKKPKEGDNIVQDAPAEIPSLLELKRIYYEHMIRYYSHNNDYLEICRCYKAIYDIPAIKEDPAKWIPILRKICWYLVLAPHEPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVVQWTSLWEFFKSEYENEGGALGAKAPEDLKLRVIEHNILVVSKYYARITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVSFRTAQDSNGVLNSWAMNLEKLLDLVEKSCHQIHKETMIHKAVLKA >KQK86148 pep chromosome:Setaria_italica_v2.0:IX:470652:474611:1 gene:SETIT_035546mg transcript:KQK86148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDSTNLDAAIESLLNVEKQMRLAGDVAGTRKAVIDIVELCYKAGAWKTLNDQIVLLSKRRGQLKQAITAMVQKAMEYIDLTPDMDTRIELIKTLSSVSAGKIYVEIERARLIKRLAKIKEEQGKIDEAADLMQEVAVETFGSMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISTRVFEADPSKEKKKPKEGDNIVQDAPAEIPSLLELKRIYYEHMIRYYSHNNDYLEICRCYKAIYDIPAIKEDPAKWIPILRKICWYLVLAPHEPMQSSLLNATLEDKNLSEIPNFRLLLKQLVTMEVVQWTSLWEFFKSEYENEGGALGAKAPEDLKLRVIEHVLLSLVNVYLVILLYICLLNLNSCSVQNILVVSKYYARITLKRLADLLCLSLQEAEKHLSDMVNSKSLIAKIDRPMGVVSFRTAQDSNGVLNSWAMNLEKLLDLVEKSCHQIHKETMIHKAVLKA >KQK89137 pep chromosome:Setaria_italica_v2.0:IX:21226016:21229254:1 gene:SETIT_0358151mg transcript:KQK89137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSKVGSKSSSSSNPSTVKSGSTRSSWTVPSYKESRELPTPRTEGEILSSPNLKAFSFGDLKSASKNFRSDSLLGEGGFGYVFKGWIDEQTLAPSKPGSGMVVAIKKLKPEGFQGHKEWLTEVDYLGQLHHQNLVKLIGYCSDGDNRLLVYEYMPKGSLENHLFRRGADPLPWGIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTRGYAAPEYVATGRLSVKADVYSYGVVLLELLTGRRALDKSKPVSEQNLVDWAKPYLRDKRRLYRIMDSKLGGQYPKKGAHAVASIALQCIGSDAKARPAMSEVLEKLEQLQDPKYNVTAPQVDTQRTLSSGSVPRSPMKAQPSPRRLSGSASPLPVAAGSPLSACRTAQVH >KQK89224 pep chromosome:Setaria_italica_v2.0:IX:22198076:22200725:1 gene:SETIT_034336mg transcript:KQK89224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAGRICSGSYARHAVSSLLLGPRCLFALESPHCLDCKCHGINQTGDNNTKFLSPQLNVGSHGTFFSTITETILVQARDPSQLSLEIENAIDERRFDDAWRAYEKHVHMDGLPRKSVLSKLITGLAETCDAHWLNQSYNVVSHAFEEKHELLGKEPLIYLSLTLARCALPNLAINVVRKLVKMEAYPPVSAWSAIVAHMCQTNTGAFLAADLVMELGYLFQNNRVDPRKKSNRPLLSMKPNSFTFNIVLTAALLFGTTRKAEQLLELMPRIGVKPEVNLLIVMARIYERNGHRDEIQKLKRHVDEAYGLSESEFRQFYDCLLSCHLKFGDLDSAVDMVLDMLRKGKNAKRSLEAAKAVLEAVENRRLYFPYEKTEAENPCSSERPISNSQMLSYVSFFKDNSFARLELEARELLELLSDKLQEQVGLVKSEHGILHPTETMYAKLVKAFLEADKISALASFLVKASKEDSPVSVERSFVVQVINACICLGLLEQAHDLLDEMRFSGIRVGSSIYSSLLKAYCKEGQHEDDITALLKDAQQAGIQLDASCYEDLIQSRASHSNTPGALHLFKELKNSNVLKSGHKEFQTLVQGSDENEAVLTTRLVEEVRSGHMVDHAVHDWNNVIHFFCKKRLMHDAHSALNKMRASGHVPNAQTFHSLITAYAAIGGKYVEVTDLWGEMKVLAGSSSMKFDQELLDSLLYCFVRGGFFLRAMEVIEMMEKSDMFIDKYKYKSLWLKYHRTLYKGKAPKVQTEAQLKRREAAIHFKKWIGLT >KQK89388 pep chromosome:Setaria_italica_v2.0:IX:27213688:27213918:1 gene:SETIT_040059mg transcript:KQK89388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSISDCMAFCHFSESGSIIASAYVAGSSLSNNNISRISRSLADLRGCGGASLAMGISTCSATLASLIDSCPIGSS >KQK91736 pep chromosome:Setaria_italica_v2.0:IX:51512796:51516189:1 gene:SETIT_035429mg transcript:KQK91736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSNAKQSLYPEVNQSHPDLNTPFYSAPTTSTSTGSSLYPTVDPNKLAENLFPETAEEEAAPPPPTTEETIVTVPGAQLHLVDPDRSLDLGAGTLSVVRLRQGDHSVAVLARLTPEKPHHRRGLFRLFSSGRSGGDGAEHEPVQWPLTRDVAAVKLDAAHYFFSLHVPHTDHPDDKEEGDEGADEAEAALSYGLTVAGKGQEKVLEELDRALEEYTTFSVKQVEAAAKEKLEVMDSRAVAEITPEEAVGDKKEVVEEKSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRRGEDVVKKSVGPSAKPTQVKPSTLRRMKRARRVTKMSNRVANSILSGVLKVTGFVTSTVMNSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMQTSSVVTTSVVTHRYGEQAGEATHNYLHATGNALGVAWAVFKIRKALDPKGNMKKSSIVSQAAHAVAKESITRQKKK >KQK91735 pep chromosome:Setaria_italica_v2.0:IX:51512853:51515393:1 gene:SETIT_035429mg transcript:KQK91735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSNAKQSLYPEVNQSHPDLNTPFYSAPTTSTSTGSSLYPTVDPNKLAENLFPETAEEEAAPPPPTTEETIVTVPGAQLHLVDPDRSLDLGAGTLSVVRLRQGDHSVAVLARLTPEKPHHRRGLFRLFSSGRSGGDGAEHEPVQWPLTRDVAAVKLDAAHYFFSLHVPHTDHPDDKEEGDEGADEAEAALSYGLTVAGKGQEKVLEELDRALEEYTTFSVKQVEAAAKEKLEVMDSRAVAEITPEEAVGDKKEVVEEKSAAFWTTIAPNVDDYSSSVARLIARGSGQLVRGIIWCGDITAEGLRRGEDVVKKSVGPSAKPTQVKPSTLRRMKRARRVTKMSNRVANSILSGVLKVTGFVTSTVMNSKPAQKFFKLMPGEVILASLDGFGKVWDAVEVSGKNVMQTSSVVTTSVVTHSKLSMPCSTKVSTSAESSI >KQK90894 pep chromosome:Setaria_italica_v2.0:IX:46424180:46427307:1 gene:SETIT_037125mg transcript:KQK90894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDAEARRGFARMGFGCKHYRRRCRIRAPCCGDVFHCRHCHNESTKDGHELDRHAVQSVICLVCDTEQPVAQVCCNCGVCMGEYFCATCKFFDDDVDKEQYHCKDCGICRVGGKENFFHCQKCGSCYSTTLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSTSIFDMDKFLRALDAEMEASYFYMGKGWIVCNDCRDTTRVFSGVAGHKCCHCQSHNTCRVGPPVLP >KQK90895 pep chromosome:Setaria_italica_v2.0:IX:46423927:46427307:1 gene:SETIT_037125mg transcript:KQK90895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDAEARRGFARMGFGCKHYRRRCRIRAPCCGDVFHCRHCHNESTKDGHELDRHAVQSVICLVCDTEQPVAQVCCNCGVCMGEYFCATCKFFDDDVDKEQYHCKDCGICRVGGKENFFHCQKCGSCYSTTLRDKHCCIENSMKNNCPICYEYLFDSLRETSVLRCGHTMHLQCFHEMLKHDKFSCPICSTSIFDMDKFLRALDAEMEASYFYMGKGWIVCNDCRDTTRVFSGVAGHKCCHCQSHNTCRVGPPVLP >KQK88902 pep chromosome:Setaria_italica_v2.0:IX:18340332:18341155:1 gene:SETIT_037743mg transcript:KQK88902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCQLLRLGNLRRPPRAVGEATVQDPLLRVYRLCWVYVAERWASIVLGPGSSGRAAAGGCAAAKRWGEKGMRRFSNRVHRLAGVRRRRRGALSPCRCRGLSRRRSWEPVPSSHGSEQACSSPPASCLHRDKYPLLICALLSSSLLQSQALATSLLTVVPACTSILPKPNLADPGG >KQK90170 pep chromosome:Setaria_italica_v2.0:IX:40381018:40381448:-1 gene:SETIT_038528mg transcript:KQK90170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATWNIRTRQLWYIFDVDVVTVLEETNNIHWQLASAT >KQK90737 pep chromosome:Setaria_italica_v2.0:IX:45438729:45440036:-1 gene:SETIT_035185mg transcript:KQK90737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFNLPRVQSMSAVTAEVTKQMMQQWREQLGQSGGKEEAEIDMILAFNDLTAKINGRVAFGTSHEDVEEVIVLMREMQKHATAATLDAPILWYLPTRRNLHVRRLNKQLRSKIMSIMQARLAAKGAKSGAGDAAGCGDDLLGLLLEAWTPQRRGSAETLTADEVIDECKTFFAAGQETTATLLVWAMFLLAVHPQWQDRVREEVLREFRGGDGEAPSADVLGQLKLLHMVLLETSRLYPPIVYIQRRAAMDVELAGIKVPQGTVISIPIAMLHRDKEVWGPDADEFNPMRFEHGATKAAKDPKALLSFSLGPRVCTGQNFGIVEVQVAMAMILRKFSFSLSSKYVHKPKYLLSLTPKLGMPLIVKNIDG >KQK90736 pep chromosome:Setaria_italica_v2.0:IX:45438641:45440957:-1 gene:SETIT_035185mg transcript:KQK90736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVQLGALLLALLLALWRLAWRPRAVARSFAQQGVRGPAYRFLAGSLPEAKRLLLAGRIGVAPLDAGCHDIMPILLPQFHRWVADYGRTFLFWIGPIPALFSTDLQLIKQVLTDRTGLYQKDFMIPVLKFLFGNGVILINGDDWKRHRKVVLPAFNHEKIKSMSAVTAEVTKQMMQQWREQLGQSGGKEEAEIDMILAFNDLTAKINGRVAFGTSHEDVEEVIVLMREMQKHATAATLDAPILWYLPTRRNLHVRRLNKQLRSKIMSIMQARLAAKGAKSGAGDAAGCGDDLLGLLLEAWTPQRRGSAETLTADEVIDECKTFFAAGQETTATLLVWAMFLLAVHPQWQDRVREEVLREFRGGDGEAPSADVLGQLKLLHMVLLETSRLYPPIVYIQRRAAMDVELAGIKVPQGTVISIPIAMLHRDKEVWGPDADEFNPMRFEHGATKAAKDPKALLSFSLGPRVCTGQNFGIVEVQVAMAMILRKFSFSLSSKYVHKPKYLLSLTPKLGMPLIVKNIDG >KQK89086 pep chromosome:Setaria_italica_v2.0:IX:20466304:20467215:-1 gene:SETIT_038042mg transcript:KQK89086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPLLSSASPRLRLASAAGNGVPRLSVTSARPTARGSRRNARPRALSVRCEQGAKGGGGGGLDVWLSRGAMLGFVGVVAVELTTGKGVLQNVGLTAPLPTVALGLTGVVGIFTAFIIFQSGSQD >KQK89576 pep chromosome:Setaria_italica_v2.0:IX:33200250:33201734:-1 gene:SETIT_035545mg transcript:KQK89576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVALSAISGEILSRLISFIIKKHTERSCREEKLERLHHLLLRVHTVVEEAEGRYITNSKMLVQLRMLVDGMYKGYHVLNTFRLKPFEEGPLQEQVTPSSALSASLKRTRAASSIMRTTMSFDHELQASLENLETAVANMTEFVILLSGCKQMHKRPYDTYIYIDNFMFSRVVEKQELINALLQDNFPIGAPAVIPVIGAYRIGKKSLVGYACNDDTFYEIGGETFTPVRTLVVVEFISDVDDSEWVKFYSATLHMGAGSKVVILSRFQEVARFGTVKPILLRSLSQSEFSYLFKVLAFAGTDPKNHPQLESIAMELAENINGLLLVGNMLADLLRTNQNVQFWFHILKRFRNSLERNFSKFGEHPKQLLERDRPTDITMLISPSSAPLHLMPSHDESSLCKKELSKVKFGDLVQGSTTILPKEEFQMIIWESRIPPFTKFIANCIVDKHTCISSDNKKRKSICIQ >KQK86735 pep chromosome:Setaria_italica_v2.0:IX:3491528:3494150:1 gene:SETIT_035361mg transcript:KQK86735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLAALRPWLCLLAAMAAAASWSGVARVEALGMNWGTQATHQLPPKIVVQMLKDNGIKKVKLFDADQSTLSALAGTGIEVMVAIPNVLLDRITDYDTAKEWVRHNVSRYNFDGGVTIKYVAVGNEPFLAAYNNTFDKVTFPALQNIQNALDEAGLGDTIKATVPLNADVYMSPTDNPVPSAGRWRPDIADLMTQMVQFLSNHSAPFTVNIYPFISLFLNDNFPVDFAFFDGGATPVLDNGVQYTNVFDANFDTLVAALKASGHGDLPVIVGEVGWPTDGDKHATNVYAQRFYNGLLKRLAANAGTPVRPNQYIEVYLFGLLDEDIKSVAPGNFERHWGILRYDGQPKYPMDLSGQGQNAMLVPAQGVEYLPRTWCVVNTESPNMDKLADNINFACTFADCTALGYGSTCGGMDSNGNASYAFNAFFQMKDQADEACDFQGLARPTQTDPSTNTCNFTIQIATTSAAAGHRRPIGVAAAAAVLLFLAQLTILLH >KQK90320 pep chromosome:Setaria_italica_v2.0:IX:41593368:41593976:1 gene:SETIT_040722mg transcript:KQK90320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSGQWPTRMPQSPSGFCTARTETKAGTSHEAPASPRDRWRARVGENGVRIIFL >KQK91127 pep chromosome:Setaria_italica_v2.0:IX:47962608:47963003:-1 gene:SETIT_040454mg transcript:KQK91127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLLSIMYLQLQILKASSLFFFGGTKLR >KQK88965 pep chromosome:Setaria_italica_v2.0:IX:19040872:19041744:-1 gene:SETIT_038863mg transcript:KQK88965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLVAVDDSDFSRHALAWVLDHLFPFPAAAADQPAEEPRPALVLVHALEPLRHIMYPIGPGSAVYGAPSMMQSVWAAQAENARSLLDRAKRTCHQRGVSAEVVVVEGEPREALCRAAADMGAGLLVVGSRGLGAIKRAFLGSVSDYCAHHASCPIMVVKPPRDDDDHAHRTEAS >KQK89248 pep chromosome:Setaria_italica_v2.0:IX:22570879:22572378:-1 gene:SETIT_039436mg transcript:KQK89248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSSISHGSASMHHSDDYTPSPIREEEVPQEEQFEPQAMEVEELQPNLHGNREAQAYALIKDRVSIHTRAFDFELLENTSMDVDFANIWHIIGWNDFVPISEEVVVGKFSPCCNDIHNPTLRSRNKATTSQYQPSQSQHVMQQMYQARWVPTGQMSGFAPGYQQGFAMWQQEVDTQFNAINTSLQQSHSNIQTYFRS >KQK86166 pep chromosome:Setaria_italica_v2.0:IX:522066:522511:1 gene:SETIT_040745mg transcript:KQK86166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYSGVKAWLDGLGLSRYAPVFEIHEVDDEVLPMLTLEDLKDMGIGAVGSRRKMYAAIQKLRSDSAS >KQK86165 pep chromosome:Setaria_italica_v2.0:IX:521949:525813:1 gene:SETIT_040745mg transcript:KQK86165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSATTTTRTSPTPRRQPPPRELGFLAAATSRTAWRTGASPTAASPPPWVTAASRRGWTGWGFLGMLLCLRSMRWTMRCYLC >KQK88697 pep chromosome:Setaria_italica_v2.0:IX:16349563:16350271:1 gene:SETIT_038417mg transcript:KQK88697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQHLLVMGSLLKQTHMQLWACGDEEGDEGRHVLLVSQCQLNSAGCSLGSNALLRCWIIWRIMAAT >KQK91157 pep chromosome:Setaria_italica_v2.0:IX:48183362:48186685:-1 gene:SETIT_035985mg transcript:KQK91157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSDPAATSKPTQMDLEEQTDAKGKGKAEEGSMKSEELADSIGGLSIGPGRTNFKKKPVIIIVIGMAGTGKTTLMHRLVCDMQASDKRGYVVNLDPAVMTLPFGANIDIRDTVLYKDVMKEYGLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFAIEWMQDFEAFQTALESDKSYSATYTRSLSLVLDEFYKNLRSVGVSAVSGSGVNTFFEAIEASAKEYMETYRADLDKRIAEKERLEAERRKENMEKLQRDMMKSKGQTVVLSTGLKDKNPASDMMDDAEDEEDEEFEDEFEKFVDDEDDEDEEDEEVAHFGF >KQK91158 pep chromosome:Setaria_italica_v2.0:IX:48183371:48186685:-1 gene:SETIT_035985mg transcript:KQK91158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSDPAATSKPTQMDLEEQTDAKGKGKAEEGSMKSEELADSIGGLSIGPGRTNFKKKPVIIIVIGMAGTGKTTLMHRLVCDMQASDKRGYVVNLDPAVMTLPFGANIDIRDTVLYKDVMKEYGLGPNGGILTSLNLFATKFDEVVSVIERRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSTSPVTFMSNMLYACSILYKTRLPLVLTFNKVDVAKHEFAIEWMQDFEAFQTALESDKSYSATYTRSLSLVLDEFYKNLRSVGVSAVSGSGVNTFFEAIEASAKEYMETYRADLDKRIAEKERLEAERRKENMEKLQRDMMKSKGQTVVLSTGLKDKNPASDMMDDAEDEEDEEFEDEFEKFVDDEDDEDEEDEEVAHFGF >KQK86815 pep chromosome:Setaria_italica_v2.0:IX:3918190:3919379:-1 gene:SETIT_038715mg transcript:KQK86815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRGEPCLLPVLGYVGCPRGENPRQGCRRAFCSPPARIFSPKKEHRFVTWPNETFGNAIRRDKAPIAGRNSIHGHEHPGLTEGSDRPPATNPSSSRRRRRMAAVSLRALRAAAIDMAPSTTSSFLAVALVMAAVLLGGGADTCHAARLLADLPMPELPGLPTLPMPEVPGMPQVPIPEVPGVPKVPEVPGVPQVPIPEVPGVPKVPEVPGVPQVPIPEVPGVPKVPQVPGVPKVPELPAVPVPGVPAGP >KQK87573 pep chromosome:Setaria_italica_v2.0:IX:7981657:7986450:-1 gene:SETIT_034175mg transcript:KQK87573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHAVADRLAGKNKAAWKHGRIRGTAVLVRKEALIFDHFHASLLDGLHRFLGQDTGLAFQLVSATAADPKNGGKGKVGKPAHLEELVMTVKSTETGESMFRVNFEWDDSQGIPGAVIVRNHQTEEVFLKTLTLEGVPGKGTVVFVANSWVYNHNHYAHDRIFFANDTYLPSKMPAPLVPYRNEELKILRGDNNPGPYKEHDRVYRYDYYNDLGDPDHGKDKVRPILGGSKEHPYPRRGRTGRRPTKTDPNSESRLFLLNLNIYVPRDERFGHLKMSDFLGYSLKAVIEAVVPAIEAYTDDTRNEFDSFEDILGLYELGPEAPNNPLMAEIRKRIPSELLRSMLPVGAHDDPLKMPLPNVIQSDARNKVPQDKFGWRTDEEFAREMLAGVNPVVIRRLKDFPAKSTLDRRVYGDHTSKITEAHIQHNLEGLTVQEALRKNRLFILDHHDHFMPYLDQINKLKGNFIYATRTLLFLKEDGTLKPLAIELSLPHPNGQRHGAVSKVYTPAHSGVERHIWQLAKAYVCVNDSGWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHFRDTMNINALARQTLINAGGIFELTVFPGKYALEMSSFVYNNWNLTEQALPNDLIKRGVAVPDASDPFGVRLLIKDYPYAKDGLMIWWTIEQWVKEYLAIYYHNDGEVRRDAELQAWWKEVREVAHGDLKDRDWWPKMITVKELARTCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPEPGTKEYAQLEAGQEEADKVYIRTITSQLQTILGISLIEILSKHSSDEVYLGQRDEPERWTSDAKALDAFKRFGSRLVEIEKRIVKMNNDPANKNRTGPVKMPYMLLYPNTSDVTGEKGEGLKAMGIPNSISI >KQK89896 pep chromosome:Setaria_italica_v2.0:IX:36884825:36885787:-1 gene:SETIT_038795mg transcript:KQK89896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQVETNHGAGSPYYHPWKLYHNPDYSPHGIIQLSFSSRSPCQTKNLDSPMIVVPEDGGVEEEVEVDQGYGAMSSELELCVVRIRDLRAELEFERRMRRKAEALSEALAAELAEERRRGEAAEAECRALREEAGAARDEAERAIEGVEEERRMLRVAELWREERVQMKLADARAAMEEKLREIDDAVAELHATTAASDNNHKSSSCSCSSPNGKASPTTSRHGQQSPSRSQHGQESPCRSQQGQLHRREAAGGENPHIRRGIKGFVEFPKAVRVRPREERVDLVSNLECQRAQLRVLMRHRSPAAGMGLVAASENLVV >KQK92445 pep chromosome:Setaria_italica_v2.0:IX:55530924:55531112:1 gene:SETIT_039601mg transcript:KQK92445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAVVAVLLMQCCNVILAARPLLGLGGAGTKLILQVLDKGSPSHPCQNGHWQGPGHPSCT >KQK86763 pep chromosome:Setaria_italica_v2.0:IX:3626502:3626934:-1 gene:SETIT_040637mg transcript:KQK86763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNPCPFVAPLPLFCVLTPSPELPRSRSPQNLVS >KQK88422 pep chromosome:Setaria_italica_v2.0:IX:14115754:14119814:1 gene:SETIT_036788mg transcript:KQK88422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSSSAASASAVFPPSPQPPPPLLVENLPPLHQLTPVAAAAPASEQLCYVHCHFCDTVLVVSVPTSSLFKTVTVRCGHCSSLLTVNMRGLLFQGTPTNTAAPPADVTSTTTTITTEPPAAADASVNNGQFHFHHSLNLNPPHQQSLLDEISSSANPSLQLLEQHGLGGLIPSGRNAAAPPPPHPPAAAAKGTKEPSPRTNPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDHQGLKKTSLLPHQDHQRKDGLLKDGLYAAAANMGVAPY >KQK87566 pep chromosome:Setaria_italica_v2.0:IX:7939365:7943085:-1 gene:SETIT_036661mg transcript:KQK87566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSPFDCVLLDLDDTLYPGDTGLGPALRRNIDEFLQAKLGVSAERAAAMRVELFRTHGSSLAGLIALGYDVHPDEYHSYVHGMLPYDMIAADPQLARTLQSIPQRKVLFTNSDRAHMKRALERLGVDEAVFDDVVCFETMNPHLFGEAREEERAAGGDPPVVVLKPSVDAIVAGLRVAGTNPRRTLFLDDSERNIAAGKALGLRTALVGKRVRSKEADYALESIGALRRAIPEIWGVAAGGEGITERSDHGIDKTPMRSDLDSIIQPTSIQA >KQK87567 pep chromosome:Setaria_italica_v2.0:IX:7940713:7942967:-1 gene:SETIT_036661mg transcript:KQK87567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSPFDCVLLDLDDTLYPGDTGLGPALRRNIDEFLQAKLGVSAERAAAMRVELFRTHGSSLAGLIALGYDVHPDEYHSYVHGMLPYDMIAADPQLARTLQSIPQRKVLFTNSDRAHMKRALERLGVDEAVFDDVVCFETMNPHLFGEAREEERAAGGDPPVVVLKPSVDAIVAGLRVAGTNPRRTLFLDDSERNIAAGKALGLRTALPKKLN >KQK87565 pep chromosome:Setaria_italica_v2.0:IX:7939365:7943076:-1 gene:SETIT_036661mg transcript:KQK87565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSPFDCVLLGKYSSVEFRSDETLLPPPPIPITRLYHLTRRRHPINTYSDWLVSAADLDDTLYPGDTGLGPALRRNIDEFLQAKLGVSAERAAAMRVELFRTHGSSLAGLIALGYDVHPDEYHSYVHGMLPYDMIAADPQLARTLQSIPQRKVLFTNSDRAHMKRALERLGVDEAVFDDVVCFETMNPHLFGEAREEERAAGGDPPVVVLKPSVDAIVAGLRVAGTNPRRTLFLDDSERNIAAGKALGLRTALVGKRVRSKEADYALESIGALRRAIPEIWGVAAGGEGITERSDHGIDKTPMRSDLDSIIQPTSIQA >KQK87442 pep chromosome:Setaria_italica_v2.0:IX:7321431:7323863:-1 gene:SETIT_034342mg transcript:KQK87442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLAAPIRRGLSAASPTPLSSLTDALLATRLASHLLTTPHLPAALLPAAPLPLPVHLHILRHPALPPASKLSFFLAATPPASPLLASTFPALLRALSAGSPPLLDALLQFALSSPSPATLLPALLASLLSASRLDAALALLDAAPPDLLPRLAAAALPSLIASPDLIGAVPAILRLLPIASQPPPVRATNRLLLALSKENLSDDFRHVFDEMSRRGLPSNVRFYNICIYAFGKWRQMDMSLRLFVAMKAATPPVAPDICTYNSIIRALVIGGRVTDALVTFDEMKSSGIEPDVFTYRAVVNGCCKSFRMDDALRVFHEMRGSSAVSDVVVYNSLLDGLFKAKKLDEACGFFETMVADGIQCSASTHNTVIDGLFKNGRAEAACRLFYELRRKGQLLDGIAYSIMVREFCKEGVGDQVAEALDLVKEMEQRGFVVDLVTITSLLIGFNKSKRWDLEEQIVKFIRDGSVLPDAIRWKSNMMVALRGPQDRGKDGTPMFSFDGNMDDVMSLVNPAGRTDTDEGPTNNDPKDDWSLSPHLDHIAKRADSLNNSVIFTMHRGQRVQGMGGKTFDADMINTYLSIFLAKGKLSVACKLFEIFTNLGNKGTSYTYNSLMTSFVKKGYLKQVWAILHERGGQLCPNDVATYNLIIQGLGQMGKAEFASTIIDRLSKKGVYMDIVMYNTLIHQFGKVGKVEEASCLFEQIVRSGMKPDVVTFNTLININAKAGRLKEADKYLRRMITEGIAPNHATETILVFLHKEIEKKKAEGQMN >KQK91643 pep chromosome:Setaria_italica_v2.0:IX:50994394:50998540:1 gene:SETIT_035737mg transcript:KQK91643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVSQPPVAARASARLLPRGLGALPESAPAASLRFSVGRRRRAARLEVKASGNVFGNHFQVATYGESHGGGVGCVISGCPPRIPLTEADMQVELDRRRPGQSRITTPRKETDTCKILSGTHEGLTTGTPIHVFVPNTDQRGGDYSEMAKAYRPSHADATYDFKYGVRAVQGGGRSSARETIGRVAAGALAKKVLKLKSGVEILAFVSKVHQVVLPEDAVDYETVTMEQIESNIVRCPDPEYAEKMIAAIDKVRVRGDSIGGVVTCIARNVPRGLGSPVFDKLEAELAKAMLSLPASKGFEIGSGFAGTDFTGSEHNDEFYMDEAGNVRTRTNRSGGVQGGISNGEIIYFKVAFKPTATIGKKQNTVSREHEDIELLARGRHDPCVVPRAVPMVESMAALVLMDQLMAHIAQCEMFPLNLALQEPIGSASSASELAPNLS >KQK86778 pep chromosome:Setaria_italica_v2.0:IX:3688934:3689461:-1 gene:SETIT_038200mg transcript:KQK86778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQPTPNQPKEKGACPRTRRTRMSCWMTCSGWAGVRPRRRHGLVEPRRLRDRAPAGGAPLRPPPAGGCWRRCSSELEPRVEAEADSSGGRRRRQEGRR >KQK87870 pep chromosome:Setaria_italica_v2.0:IX:9856682:9858552:-1 gene:SETIT_035002mg transcript:KQK87870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVIPEPSNEVMVRVEPGRQARGELTLRNAMHTMPVAFRLQPAVRGRFAVRPHTGILAPLAAVTVEVMYLGSAAPEGHSSGSGGGSRGEDAFLLHSVVAPGAAVKEPVTALDSVNPEWFSARRKQVFVDSGIRASFVGASVAARLVAAGAVEALREVLDRSEPEWHPADAADDSGRTLLDLAVGLGRADIVQVLLEYGADADKPSRGRTPLETAAASGECLIAELLIANGATPTGSDALHAAAAAGHNDVLRLLLAKSASASPASSSSASFSCSFTSIDAAGRDGKTPLRLAAEAGRRDAVKALLAAGARADARCGADGATALHAAARRGDEAVARLLLSHGAAGTAAVRDVAGKTAFEIAAEEGHGGRIMDFLGLGEAILAAARKGEVRSVRRAADGGASVEGRDAHGWTPLMRAAFKGRADTVRDLIDRGADVDAADAEGYTALHCAAEAGRADVVDILLKNGANAKATTVKGRTAAEVAAAAGKSKVLRLLEKAGGMGRKDVSEKAVAKGGSMDRRRRGRKGSIGGAIRFGGGKEGFEAAAVSVGWSH >KQK86185 pep chromosome:Setaria_italica_v2.0:IX:654470:657243:-1 gene:SETIT_037784mg transcript:KQK86185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTLRLYLTCIRNTLEAAMCLQNFPCQEVERHNKPEVELKTSPELLLNPVLICRNEAEKCLIETSINSIRISMKVKQADELENILAKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMHKHKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >KQK87034 pep chromosome:Setaria_italica_v2.0:IX:5167724:5168628:-1 gene:SETIT_039435mg transcript:KQK87034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWSIDGAIEGRELAYQLVSRNTQAARDHAKIIVCDSFRGAEAAAFELFPGILPIGPLFADAALRKPPDGSVVYVAFGSFAIFDPRQFTELAEGLELTGRPFLWVVRPDFTTGDLSEAWFDEFKDRVAGKGMVVSWCPQQQVLAHRAVACFVSHCGWNSTMEGVRDGVPFLCWPYFVDQFANRSYICDTWRTGLAVSSGEDGIVTKEERVIGDEGMAERARMLRDAASKCLGEGGSSRENFNRLVDLLRQ >KQK91753 pep chromosome:Setaria_italica_v2.0:IX:51608438:51608660:-1 gene:SETIT_038514mg transcript:KQK91753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSNQTALWIKGWESQIIILAEIACSLSDSQDGPCLEIICHVLVGKQNF >KQK88016 pep chromosome:Setaria_italica_v2.0:IX:10905507:10906004:-1 gene:SETIT_038447mg transcript:KQK88016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVRLLEHLAIFLKIRFTSKEIYSMLHILQHVSTHIIICFCDLLEVTTYTAECAKKIIF >KQK89937 pep chromosome:Setaria_italica_v2.0:IX:37485741:37487423:-1 gene:SETIT_037667mg transcript:KQK89937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNILSQSWRNGAHVLQEGNPGPALYTCWRRFHSGQILSSSRSFFGVEDFVDEDNSKPYTYKKEKRSKNPHKHISFKQRTIAHMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAALKSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGINVKLYLD >KQK89938 pep chromosome:Setaria_italica_v2.0:IX:37485553:37488033:-1 gene:SETIT_037667mg transcript:KQK89938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNILSQSWRNGAHVLQEGNPGPALYTCWRRFHSGQILSSSRSFFGVEDFVDEDNSKPYTYKKEKRSKNPHKHISFKQRTIAHMEPFTLDVFISKRFVSASLTHRSTCRQVAVAGTNSKDIKAALKSRSDIPACLAVGRFLAERAKEADVYTCTYTPRERDKFEGKIRAVVQSLIDNGINVKLYLD >KQK87895 pep chromosome:Setaria_italica_v2.0:IX:10010452:10015528:1 gene:SETIT_036461mg transcript:KQK87895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGATYEEYLRVEQDKYLGQCSRVEYKRLKKVLKKCRVGRSLQADGANDDEQEQGSDEYSDVCECNSCTLCDEMFFTELNKEASEIAGCFRSRVQRLLHLHIPSGIQRYIWRFRQCFIDDQQIMVQEGRMLLNYVTMNAIAIRKILKKYDKIHGSVSGRDFKSKMQTAHIELLQSPWLIELGAFHLNCDDLDADELGGGGFFKNGFFKNFSCDLSGAQPLLTMTISETLKYEYSLTCPICLDTLFNPYALSCGHLFCKACACGAASVYIFQGVKSAPLDAKCPVCRAVGVFGHAVHMSELELLLKRRDKDYWRQRLREERTTMVKQSKEYWDSQAMLSMGI >KQK90309 pep chromosome:Setaria_italica_v2.0:IX:41504663:41513430:1 gene:SETIT_034787mg transcript:KQK90309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYTPHRYRSSGFRSFALPVPFQKIPLVKSASLALTRSCDKLLSNPATSLVVPAIGIIVFALWGFLPLMRDIRNRFDHGGNWKKSPTYLISSSYLQPLLLWTGATLICRGLDPVVLPSAASQAVKTRLITFVRSLSTVLAFAYILTSLIQQVQKFLVDMRNPNDTRNMGFDFITKALYTGIWIAAVSLFMELLGFNTQKWITAGGFGTVLLTLAGREIFTNFLSSVMINATRPFVVNEWINAKIDGVEFSGIVEHVGWWSPTIIRGDDREAIYIPNHKFTVSILRNNSQRTHWRIKTYLAISHMDAGKIGIIVADMRKVLAKNPHIEQQKLHRRVFFEKIDPKNQALMIYISCFVKTSRFEEYLNVQEAVMLDLLRIVGHHKARLATQIRTVQKSYGNADFDNIPFGEDMYSRVRGRPLLIDTSARVSDDKAKPRPVSSHEEQKVKTNGSVEINSASPENTSLSNSEKQEQKKLVPDDARAKNSKSDNVAPATPSSDPVMSTSKIGKGKAHEPEATEGQDGSMSVANPKKESRPAFEDNIVLGVALAGSKRTLPIEEGNPYLSLSENEPDTVEAASSPKDKTAQSPKLSGQDKADQRNVDR >KQK91775 pep chromosome:Setaria_italica_v2.0:IX:51727447:51730058:-1 gene:SETIT_036714mg transcript:KQK91775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGRSPCGLPRIGLGTAVQGPRPDAVRAAALRAMMLGYRHFDTAAHYATEAPIGEAAAEAVREGVVASRDEIFVTSKVWCADAHPDRVLPALRKTLSNLQMEYVDLYMVHWPVTMKAGKFTAPYTPEDLEPFDMRGVWAAMEECHRLGLAKSIGVCNFSCKKLETLLSFATIPPAVNQVEINPVWQQRKLREFCRDKGIQLCAYSPLGAKGTHWGSDSVMDSGVLHEIAKSKGKTVAQVCLRWVYEQGDCLIVKSFDESRMKENLDIVDWELTEEERQRISKIPQRKINQGRRYVSENGQYKSLEELWDGEI >KQK89690 pep chromosome:Setaria_italica_v2.0:IX:35061067:35061701:-1 gene:SETIT_038054mg transcript:KQK89690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPSHDQGGSLPPAPRRSRTRRDPSGDEVYRVPPQDFAHTVQRLTGAASSHYSPTPSSSRSSLGLAAEAPPRPPSTRPPPAPALLASTPRSMQEAYMAWCASNNVVLSPGTMAEMERGRHS >KQK89453 pep chromosome:Setaria_italica_v2.0:IX:30277555:30278024:1 gene:SETIT_040312mg transcript:KQK89453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIIHNRPIKSSIRLYKQPWTKNSWFYSTCMIGMLHTMYNLGVSGSQLTVCLTATTVVDPTHNKMFF >KQK93070 pep chromosome:Setaria_italica_v2.0:IX:58628817:58629583:1 gene:SETIT_038316mg transcript:KQK93070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHCEGKCWKFWGDSNVKSKFWGQTIQLDPVGVLTLEFDGGVSGNRGYSCKLTFKQQSFLERNPRQDSLRILMVPRLQH >KQK89523 pep chromosome:Setaria_italica_v2.0:IX:32359414:32361555:1 gene:SETIT_036080mg transcript:KQK89523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSVAVLVLVLFALWREGEAATFTFVNRCADTVWPGVLSNAGSPRLEPTGFELAPGAARAVPAPSGWSGRMWARTGCTQDGATGRLVCATGDCGSGAAECAGAGAAPPATLAEFTLDGSGGLDFYDVSLVDGYNLPVLVETSGGGGSTGPASCAAAGCAADLNAMCPAELRAGGGAACRSACDAFARPEYCCSGAFASPAACRPTAYSQVFKTACPRSYSYAFDDPTSTFTCGGRPDYTVTFCPGATPSQKSTTMPGATPTTVPGTTTTTTVPGATPTAVPGTSSVPGATPAMPTGTMMPGTTFTDATPDSAMPMGGGGGLGIEGGEQGSVLLGGSSSEGGVSWLANMATGDASAAAVAPLAASARLLMAAPLATLLWHHLRQLLLL >KQK90286 pep chromosome:Setaria_italica_v2.0:IX:41265782:41271173:1 gene:SETIT_035404mg transcript:KQK90286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPHRNPLLVLPSVLLLLITSAAALSVSTPVELTVTSHPPASVHLPPARSISGADGGAAGGPYCTRVLLSGRPSRLRDPSRFFHALRLRANATRPHGLELCFHRNATVGPCKCAASQWQKMPKSGLWVQAISPYDHRILDFRMPADPSRSVVVYTEEEFLLHRVVFLVLGLVLMVVAHTLSESVVFYYGGAMTIGIFLVILIILFQGMKLLPTGRKSSLAIFAYSTVVGMTTYFLHYLSGLLRSVLVEIGIAEDMHNPLGIFLLVLVVLAGAWFGYWGVRKLVLTEDGSVDEGVAYFVEWAILIVSAVMILQSSLDYLFAFAALVFCVVIKGIARIEGKSRFLRFFSRGLSSETAKHSSRYGDLGEEYSTMNGAYQDGFSKLHGHLRRTPRKNSPLAGSGKTLSQGAARDNYYSTYHTTPDRKKFSDEEYKAFTKEETNKAMKHLLSSPDFNRWALANADRISVTPPGGSYSSSSSSQQRHRLFGLF >KQK91798 pep chromosome:Setaria_italica_v2.0:IX:51859521:51860804:-1 gene:SETIT_039012mg transcript:KQK91798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPMPPVAAVTLCEVLTLAAALGGGVRAQQLSTGYYDGSCPQVHDTVRLIIQQELAAVPGVLAGLLRLHFHDCFVNGCEGSLLLDGTAKLSEKEANPNKGTERLYPVVDNIKAAPEDACPGVVSCADILALAAKASVELMRNLGGAHTYTIGRAHYQSIQDRLYNLKATGQPDPTLDQAHLAELREHCPSATSDSTCLIELDPDTPDTFDNRYYVNLLGKRGLLRSDHAMFSALEEGAESTGPIVGQFASSQADFFQSFATAMVRMGNIAPLTRSMGEVRRNCRVVN >KQK91632 pep chromosome:Setaria_italica_v2.0:IX:50940983:50942501:-1 gene:SETIT_037715mg transcript:KQK91632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARQDVGAVASTGPSTPCTRARDAMPLAVAAAIRVPRRRAGRARPVPDNGPAEIAAVFTDDYTPLPYGLPPPDSSPRSRAAAAVRPLAAASARLEPSIPSPSSASTSSSLPSIPSLASISHSARIRPPQPGTSILRRAALICAPYRTEMADGASDPVASSRRRPTAVGADGVGIQRAS >KQK92203 pep chromosome:Setaria_italica_v2.0:IX:54312770:54314080:1 gene:SETIT_038582mg transcript:KQK92203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIRPDMEMQQVVQLRGELRKVRDERDRAHRVLEVTDEWKSLASANDRTTIEALEAELRASRESEKRMLDSLALQSKQLELTKISLEEAKLEIASQREAVRRLESATPRTPVSTPRSRHDRDLQRVHGELRVALAAEEKSKKAMEEFVMALKEVNAELHTARQQLARAQHEAEMGRLESDRLHMSAKRKDERLRALSDEVARLRAEAEESFAAWRGKEAGFTACMKSTEAELAESRRENARLLESQRSWRGEVAKLRDILKQAVRDTKVAKEALEEARAENALLRTMLGDKDTAVKRTKQELECLRMSEAAARDSVKELQSMLLATSASPTAAAAAAAAGKPDAEESPSPRAIRVGPPGLEKYPSDSKIMPPAGITRPRRMSETFEGSAYDIFGSMDDQKGDLGVFSGMPRLPGRRRVVLRKVGSLFRWKSFTNK >KQK92208 pep chromosome:Setaria_italica_v2.0:IX:54358438:54360042:-1 gene:SETIT_038775mg transcript:KQK92208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALCHARNNRTANIRAQQRSASYGTPNTGSASQRNKSASPPQVQPPKKRGRKAGSQTKLILPQQGAKVLYQPVTCNLVRLFEVPDHLQEQADRVVETYLQKRVRDMMYQAHVDAVKVYYRDFKLKRKRGQDARLNSDDTAQNHGGSRPFIETQQTDMHAAKFGPEKATLLNVYAVMISSMKSVDSTASSGAIRSRKAQKRLEGCTSRRRGGPRTHGRLAIANGAVRKVDVRAATKERSVQPSNPLTLQNMA >KQK88594 pep chromosome:Setaria_italica_v2.0:IX:15398279:15400056:1 gene:SETIT_039602mg transcript:KQK88594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRTGVATAPGSALLQPPHPAVAHVPELLLLVRAGTDGRRKFLVLLRPRGALIVGLGRARGRLDRRLRGGAGLAHGVVEAADVDTARRAPAARGDGHWLLHECSPPPRGEGLAGVGHWMRLRRPQKAGTRVLDRGRSSGRPALLLSHRAVDDQRSYLLDLDHYWQIKQLHPNGQRITSPPFKAGGCSWRIHYYPNGVSSSCEDYISIFVALDSRVSEPVKVWSRFTLLDLAAEEPVPGHSVYTELRECSEVGATHGCDLFIRKKFLETSGHLLNGCFAILWEVFVDRATPQHDFSDADVMFQVGDEVFSAHRSVLAARSPVFEAELNSVTRVAGECIRIDDMLPQVFESLLHFVYTDSLPEMTGAEEPMMAEHLLVAADRFGMQDLKLICEEKLLCTDINEDTIAKMLRLAVQHHCRLLRDACIEFLEDPPVLQAVMANDDEDLLELVAKTCPAVLKELCACDEDGCMQDELAMCF >KQK89705 pep chromosome:Setaria_italica_v2.0:IX:35201904:35213043:-1 gene:SETIT_033849mg transcript:KQK89705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAAATPERETSAEWGDGVVALGFRVKASSRESPSQKAGNVLEADLRSHWSTATNTKEWILLELQEPCLLSHVRIYNKSVLEWELTAGLRYKPDAFVKVRPRCESPKRDVVYAANHTPCRYLRISCLRGNPIAIFFIQLYGIPVPGLEPELQPLLSYLLPQITSAKQPPSQNMHLQLLKDIASRLPPFLPQIEADLNSIADTPESSVRFLALLAGPFYPILHLVNERDPTRSLFPSADSDALRTSPAATPTISSNFEASKVLQKLLEPEPFLDKSMSNGVMLSSHACDEIPKSDASSLVLSTDYSCMFGEEFSLLENHFDGSFLSILDIAAVEEGILHVLYAAASQPLLCRKLAEVTSDIWSVLPLVQALLPALRPSLSPGPTEQIDDSFSQWNHPNVQHALSQVDLAVELLEGLLGIIQEAGQYLARSRAALKYVLLAISGHMDDVLTEYKEVMHKLLFILEMLDPFIDPPTSVLKDTVIFGGITAIYLEKQSSASDIALNIIRTAVKRADVLPSLELEWRRGAVAPSVILSILDPHMPLPPDIDLCRSSVHEINNASLAVLDNPAPQTCNPENIDGRDASETTIRAESFEQYNFLFAPEELNQSELTGLCTLKEKGCDVITQTSLDQDNPEGRRTNEKLSSEPFLLDNIAAADYFDAQADYQQLENYQDCELRALEFHRLALNLCMQQEPTFEGHNAGIDALLLAAECYVNPFFLLDFQPNLERLEKIERIHSELMQGNASIVSKNLHLKDLDRKAMSNLEKKRDRSVIDLLLQAARFDCEYQEKIPEGEPYPNNAEDGERSVEISQEALQFADAVTLVRKNQAMLCHFIMKQFQRKGHLCSEILLQSLLFLLHSATELFCPPENVIDIILKSAENLNEQLACLYSCVNAGKKNLDRVKVHGLRRRWTLLQKLVLASSGSDNTREIARTKRDGFRFRSLVPPSTWIQKISDFSRFSSPLPRFLGWMAVSRYAKEYLNERLFLASDFSQLTSLLSIYMDELCLMDGVSTQKVRPAKGEQSNCKHLLLKKETTLSDQRSMAKQFKILLPELHFFFPSMSRLFNAFGESILEAVGLQLKCLPNNAVPDVLCWFSELCLWPYLERIKEHLVAANRISYLRGNIAANVKAVVFYLLESIITEHMEAIIPEMPRIVHILVSLCRASYTDVAFLKSVLCLMKPLISYFLRKGTDNTKRRIEMLSSLLVWVDCISSDPPSLLCSYLQGFQTLLDGCETVLVQNIELLGVSILSATSQSVESTDSLGVDGIMQLEKNTQDSEEQILVKSTAYYENDGSRKGVYSLHPSSIIEFCGAMEKFISHLTPSIEGSWKWHHQLASGLSLSIAKCLLFANFLKSIAQEETVSSSSEQDVAVKISSELAQKHWQSALEGLGKIILANQETQCWQVASAMLDYIMSMPNVLAWGNVLSATCSAVKGFCSHAPRISWRLQTDKWLSLLVSGGIESFNNSETCLIDLFCTMLSHSEPEQRSIALQQLGRIINSTSSTEADLKSPTYDPNFLTSVSTVTSLLVTHTWDRVAALALHDSSMLLRNHAMALLTEYVPYVDRKHLQSFLASSNSILNGLGQLSGVVEEGYFTRMSLLLLSRACLYSTPGDIALLPECVWQKLENMQTSSGGFGYMEKDLCRALCQLRSESDAKTVVKEVLSGSTCQAVSPDFKSIRDSILQVMSSLSSIEAYFEFFSAKSAQENEELEEAEIELELIEKEKSVHNFVVHRGDTVVPDMPSYHKGGNDVNKRLQQVRENIRSLEKSRLKEEITVRRQKKLLIRHAREKYLEETSSREMELMQELDRFGPVFLFLWHVDTIEMFVPISLLYLCRERGLEMEREVERQRQLDIERAKSRELQFNLDLEKEKQTQRELQRELEQVELGRSSSRREFSANPNSRSRERYRERDGGRAQQEAGSLRSSSRGHEGGSAQATAPAGGPPVVLAGTRSFSGGNLPTILQPRDRAAAAADDDNAWTEGSRDFGDASSIGDPEFDGPRPQGPRGGSGGGKSSSSRQVVERRERDGTSAGTGRREGKWERKQHS >KQK89706 pep chromosome:Setaria_italica_v2.0:IX:35201904:35213043:-1 gene:SETIT_033849mg transcript:KQK89706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAAATPERETSAEWGDGVVALGFRVKASSRESPSQKAGNVLEADLRSHWSTATNTKEWILLELQEPCLLSHVRIYNKSVLEWELTAGLRYKPDAFVKVRPRCESPKRDVVYAANHTPCRYLRISCLRGNPIAIFFIQLYGIPVPGLEPELQPLLSYLLPQITSAKQPPSQNMHLQLLKDIASRLPPFLPQIEADLNSIADTPESSVRFLALLAGPFYPILHLVNERDPTRSLFPSADSDALRTSPAATPTISSNFEASKVLQKLLEPEPFLDKSMSNGVMLSSHACDEIPKSDASSLVLSTDYSCMFGEEFSLLENHFDGSFLSILDIAAVEEGILHVLYAAASQPLLCRKLAEVTSDIWSVLPLVQALLPALRPSLSPGPTEQIDDSFSQWNHPNVQHALSQVDLAVELLEGLLGIIQEAGQYLARSRAALKYVLLAISGHMDDVLTEYKEVMHKLLFILEMLDPFIDPPTSVLKDTVIFGGITAIYLEKQSSASDIALNIIRTAVKRADVLPSLELEWRRGAVAPSVILSILDPHMPLPPDIDLCRSSVHEINNASLAVLDNPAPQTCNPENIDGRDASETTIRAESFEQYNFLFAPEELNQSELTGLCTLKEKGCDVITQTSLDQDNPEGRRTNEKLSSEPFLLDNIAAADYFDAQADYQQLENYQDCELRALEFHRLALNLCMQQEPTFEGHNAGIDALLLAAECYVNPFFLLDFQPNLERLEKIERIHSELMQGNASIVSKNLHLKDLDRKAMSNLEKKRDRSVIDLLLQAARFDCEYQEKIPEGEPYPNNAEDGERSVEISQEALQFADAVTLVRKNQAMLCHFIMKQFQRKGHLCSEILLQSLLFLLHSATELFCPPENVIDIILKSAENLNEQLACLYSCVNAGKKNLDRVKVHGLRRRWTLLQKLVLASSGSDNTREIARTKRDGFRFRSLVPPSTWIQKISDFSRFSSPLPRFLGWMAVSRYAKEYLNERLFLASDFSQLTSLLSIYMDELCLMDGVSTQKVRPAKGEQSNCKHLLLKKETTLSDQRSMAKQFKILLPELHFFFPSMSRLFNAFGESILEAVGLQLKCLPNNAVPDVLCWFSELCLWPYLERIKEHLVAANRISYLRGNIAANVKAVVFYLLESIITEHMEAIIPEMPRIVHILVSLCRASYTDVAFLKSVLCLMKPLISYFLRKGTDNTKRRIEMLSSLLVWVDCISSDPPSLLCSYLQGFQTLLDGCETVLVQNIELLGVSILSATSQSVESTDSLGVDGIMQLEKNTQDSEEQILVKSTAYYENDGSRKGVYSLHPSSIIEFCGAMEKFISHLTPSIEGSWKWHHQLASGLSLSIAKCLLFANFLKSIAQEETVSSSSEQDVAVKISSELAQKHWQSALEGLGKIILANQETQCWQVASAMLDYIMSMPNVLAWGNVLSATCSAVKGFCSHAPRISWRLQTDKWLSLLVSGGIESFNNSETCLIDLFCTMLSHSEPEQRSIALQQLGRIINSTSSTEADLKSPTYDPNFLTSVSTVTSLLVTHTWDRVAALALHDSSMLLRNHAMALLTEYVPYVDRKHLQSFLASSNSILNGLGQLSGVVEEGYFTRMSLLLLSRACLYSTPGDIALLPECVWQKLENMQTSSGGFGYMEKDLCRALCQLRSESDAKTVVKEVLSGSTCQAVSPDFKSIRDSILQVMSSLSSIEAYFEFFSAKSAQENEELEEAEIELELIEKEKSVHNFVVHRGDTVVPDMPSYHKGGNDVNKRLQQVRENIRSLEKSRLKEEITVRRQKKLLIRHAREKYLEETSSREMELMQELDRERGLEMEREVERQRQLDIERAKSRELQFNLDLEKEKQTQRELQRELEQVELGRSSSRREFSANPNSRSRERYRERDGGRAQQEAGSLRSSSRGHEGGSAQATAPAGGPPVVLAGTRSFSGGNLPTILQPRDRAAAAADDDNAWTEGSRDFGDASSIGDPEFDGPRPQGPRGGSGGGKSSSSRQVVERRERDGTSAGTGRREGKWERKQHS >KQK89704 pep chromosome:Setaria_italica_v2.0:IX:35201711:35213043:-1 gene:SETIT_033849mg transcript:KQK89704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAAATPERETSAEWGDGVVALGFRVKASSRESPSQKAGNVLEADLRSHWSTATNTKEWILLELQEPCLLSHVRIYNKSVLEWELTAGLRYKPDAFVKVRPRCESPKRDVVYAANHTPCRYLRISCLRGNPIAIFFIQLYGIPVPGLEPELQPLLSYLLPQITSAKQPPSQNMHLQLLKDIASRLPPFLPQIEADLNSIADTPESSVRFLALLAGPFYPILHLVNERDPTRSLFPSADSDALRTSPAATPTISSNFEASKVLQKLLEPEPFLDKSMSNGVMLSSHACDEIPKSDASSLVLSTDYSCMFGEEFSLLENHFDGSFLSILDIAAVEEGILHVLYAAASQPLLCRKLAEVTSDIWSVLPLVQALLPALRPSLSPGPTEQIDDSFSQWNHPNVQHALSQVDLAVELLEGLLGIIQEAGQYLARSRAALKYVLLAISGHMDDVLTEYKEVMHKLLFILEMLDPFIDPPTSVLKDTVIFGGITAIYLEKQSSASDIALNIIRTAVKRADVLPSLELEWRRGAVAPSVILSILDPHMPLPPDIDLCRSSVHEINNASLAVLDNPAPQTCNPENIDGRDASETTIRAESFEQYNFLFAPEELNQSELTGLCTLKEKGCDVITQTSLDQDNPEGRRTNEKLSSEPFLLDNIAAADYFDAQADYQQLENYQDCELRALEFHRLALNLCMQQEPTFEGHNAGIDALLLAAECYVNPFFLLDFQPNLERLEKIERIHSELMQGNASIVSKNLHLKDLDRKAMSNLEKKRDRSVIDLLLQAARFDCEYQEKIPEGEPYPNNAEDGERSVEISQEALQFADAVTLVRKNQAMLCHFIMKQFQRKGHLCSEILLQSLLFLLHSATELFCPPENVIDIILKSAENLNEQLACLYSCVNAGKKNLDRVKVHGLRRRWTLLQKLVLASSGSDNTREIARTKRDGFRFRSLVPPSTWIQKISDFSRFSSPLPRFLGWMAVSRYAKEYLNERLFLASDFSQLTSLLSIYMDELCLMDGVSTQKVRPAKGEQSNCKHLLLKKETTLSDQRSMAKQFKILLPELHFFFPSMSRLFNAFGESILEAVGLQLKCLPNNAVPDVLCWFSELCLWPYLERIKEHLVAANRISYLRGNIAANVKAVVFYLLESIITEHMEAIIPEMPRIVHILVSLCRASYTDVAFLKSVLCLMKPLISYFLRKGTDNTKRRIEMLSSLLVWVDCISSDPPSLLCSYLQGFQTLLDGCETVLVQNIELLGVSILSATSQSVESTDSLGVDGIMQLEKNTQDSEEQILVKSTAYYENDGSRKGVYSLHPSSIIEFCGAMEKFISHLTPSIEGSWKWHHQLASGLSLSIAKCLLFANFLKSIAQEETVSSSSEQDVAVKISSELAQKHWQSALEGLGKIILANQETQCWQVASAMLDYIMSMPNVLAWGNVLSATCSAVKGFCSHAPRISWRLQTDKWLSLLVSGGIESFNNSETCLIDLFCTMLSHSEPEQRSIALQQLGRIINSTSSTEADLKSPTYDPNFLTSVSTVTSLLVTHTWDRVAALALHDSSMLLRNHAMALLTEYVPYVDRKHLQSFLASSNSILNGLGQLSGVVEEGYFTRMSLLLLSRACLYSTPGDIALLPECVWQKLENMQTSSGGFGYMEKDLCRALCQLRSESDAKTVVKEVLSGSTCQAVSPDFKSIRDSILQVMSSLSSIEAYFEFFSAKSAQENEKLRLNWSLLKKRNQFITLLCIAVILWFLICHHTTRVVMMLINGSSKYGKIYDPWKSPGLKRKLQYAGKRSCL >KQK89800 pep chromosome:Setaria_italica_v2.0:IX:36031339:36038799:1 gene:SETIT_033875mg transcript:KQK89800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGWRGAEGCDGRRRRLVRLMWPAARVEAEAPPPPAQGPPTSPSSSSVPPSRTTSYPPESAARKQDCVDSPRPVSPDSFIKDGREIRVGDCALFRAVDVPPFIGLIRWIEKKEEGYPKLRVSWLYRPADVKLNKGIQLNAAPNEIFYSFHQDEASAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNKCLWWLTDQDYINERQEEVNRLLHRTRLEMRAAVQSGGRSPKRLNGPSASQQPKTSSDGTQNGGLSKGKKRDRGEQGIDPAKRDRDRLVKVDDSEPGSFNLEDIKSEVAKITEKGGLPNAEAVDKLVHLMQLDRTEQKIDLAGRVVLAEVIAATESLDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSPKEADKPIDELLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKNLDIQKKAKCLVENWKKRVDAEMKSNDAKPLASGQSVSWSGKAGFQEISNTANKRGGSSESSPKNPVPTVSSSKVLTDKPGGTDAAAKLNPVVPASSKLQHMQPTNVATNLKDQPCKSTGGTGGSELPTVKEEKSSSSSQSPNNSQSCSSEPSKDARSSTAASGGASKPSGSSSRSHRRANNGLVSGNLKEASAGRSVSLDRSLLQDKSSQSGTASEKGVDTPADHGNNHRLIVRFPNPARSPARSASGGSFEDPSVTGGRASSPVVADRHEQTDRRVKMKTESSRPHLASDANAESWHSNDIKGAEEGDKSPCAMLDDDNSRTPDDSVKDAHVSRVACSYMNEKVVCSSETRVGNSFSPMNALIEIKYSEASHSLQDGDDTAMNLLASVAGEISKSELVSPSSSPRSSSAKKLVCEGDSTGKFKVESDVGPSQDSGPTDAKKVIVGKEVKNDASLVAKEEQCQTVLSPELADPKAVGSSAKVEIHEGRANKCNSQPASVDSKGENPDACTVHRKVEDGCTDKDSAVESALGSQCSLVVSNRNSKLILAGESSLSAADKQAQGLLKSTNNKQLLGVSDHPRTFDRRDSIAGKLDLMATEVKKADAVRDSSTVQNEDEKKEQATSSLADVTKLVGAASPLGVANVIKETKESKDSSSESNVHVKPEGLNSQQSEHSAKQSSKKSSDGVSGKEDGKEDLVSSDEGSSLAARTKSNSTAKLDFDLNEGIPGDDCHQSEPAVSPVICSSAIHLPGLSPFTSPVTSGLQPAPITVAAPAKGPFVPPDNLLRAKPEIGWKGSAATSAFRPAEPRKVLEMPVTTRDIPVSHTAGKQSRPTLGFDLNVADDQALEEDVPQSSAQTTCSESGNTRSRDGSSRSAGIEFDLNRADEVADNGQFVPNAAHRVEVPLLPARALPGVFSNTGMNSSRDFDLNSGPGLDDAGTEPTPKNLPTKNAGSIQFLPQVPGVRMNNAAMSNISPWFASANPCGPVPMQSFLPSRGEQPYPIEAAPGTQRIIAPTAESGQFGGDPSRAPVISSSPTMVFHQPAYPYAGFAFPPSVHLQTPGFSIGSTTFPNSVPSGVPYFPAISPSLVGPTGALPSHHSRQYAINLVEGSSSSGRDNNRKWESQGLDLNSGPGSIDLEGKDERAPLQIRQNLIPPPHGFAEEQGRIYQIPVVGTKRKEPDGSWDTERSTYKQLSWQ >KQK89801 pep chromosome:Setaria_italica_v2.0:IX:36031339:36038799:1 gene:SETIT_033875mg transcript:KQK89801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGWRGAEGCDGRRRRLVRLMWPAARVEAEAPPPPAQGPPTSPSSSSVPPSRTTSYPPESAARKQDCVDSPRPVSPDSFIKDGREIRVGDCALFRAVDVPPFIGLIRWIEKKEEGYPKLRVSWLYRPADVKLNKGIQLNAAPNEIFYSFHQDEASAVSLLHPCKVAFLRKGVELPAGISSFVCWRVYDIDNKCLWWLTDQDYINERQEEVNRLLHRTRLEMRAAVQSGGRSPKRLNGPSASQQPKTSSDGTQNGGLSKGKKRDRGEQGIDPAKRDRDRLVKVDDSEPGSFNLEDIKSEVAKITEKGGLPNAEAVDKLVHLMQLDRTEQKIDLAGRVVLAEVIAATESLDCLGRFVQSRGLPVLDSWLQEAHKGKSGDGSSPKEADKPIDELLLALLRALAKLPINLSALQSCSIGKSVNHLRSHKNLDIQKKAKCLVENWKKRVDAEMKSNDAKPLASGQSVSWSGKAGFQEISNTANKRGGSSESSPKNPVPTVSSSKVLTDKPGGTDAAAKLNPVVPASSKLQHMQPTNVATNLKDQPCKSTGGTGGSELPTVKEEKSSSSSQSPNNSQSCSSEPSKDARSSTAASGGASKPSGSSSRSHRRANNGLVSGNLKEASAGRSVSLDRSLLQDKSSQSGTASEKGVDTPADHGNNHRLIVRFPNPARSPARSASGGSFEDPSVTGGRASSPVVADRHEQTDRRVKMKTESSRPHLASDANAESWHSNDIKGAEEGDKSPCAMLDDDNSRTPDDSVKDAHVSRVACSYMNEKVVCSSETRVGNSFSPMNALIEIKYSEASHSLQDGDDTAMNLLASVAGEISKSELVSPSSSPRSSSAKKLVCEGDSTGKFKVESDVGPSQDSGPTDAKKVIVGKEVKNDASLVAKEEQCQTVLSPELADPKAVGSSAKVEIHEGRANKCNSQPASVDSKGENPDACTVHRKVEDGCTDKDSAVESALGSQCSLVVSNRNSKLILAGESSLSAADKQAQGLLKSTNNKQLLGVSDHPRTFDRRDSIAGKLDLMATEVKKADAVRDSSTVQNEDEKKEQATSSLADVTKLVGAASPLGVANVIKETKESKDSSSESNVHVKPEGLNSQQSEHSAKQSSKKSSDGVSGKEDGKEDLVSSDEGSSLAARTKSNSTAKLDFDLNEGIPGDDCHQSEPAVSPVICSSAIHLPGLSPFTSPVTSGLQPAPITVAAPAKGPFVPPDNLLRAKPEIGWKGSAATSAFRPAEPRKVLEMPVTTRDIPVSHTAGKQSRPTLGFDLNVADDQALEEDVPQSSAQTTCSESGNTRSRDGSSRSAGIEFDLNRADEVADNGQFVPNAAHRVEVPLLPARALPGVFSNTGMNSSRDFDLNSGPGLDDAGTEPTPKNLPTKNAGSIQFLPQVPGVRMNNAAMSNISPWFASANPCGPVPMQSFLPSRGEQPYPIEAAPGTQRIIAPTAESGQFGGDPSRAPVISSSPTMVFHQPAYPYAGFAFPPSVHLQTPGFSIGSTTFPNSVPSGVPYFPAISPSLVGPTGALPSHHSRQYAINLVEGSSSSGRDNNRKWESQGLDLNSGPGSIDLEGKDERAPLQIRQNLIPPPHGFAEEQGRIYQIPVVGTKRKEPDGSWDTERSTYKQLSWQ >KQK89980 pep chromosome:Setaria_italica_v2.0:IX:38107726:38111101:-1 gene:SETIT_035436mg transcript:KQK89980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRPPVSERRLVAVLPLLLLLAVTAAAGERRGLARVEGPPARHRHAYAAMMYMGTPRDYEFYVAVRVMMRSLTRVRADADRVLIASSDVPRDWVRAMTEEDGMRVVIVENLRNPYESNLGGINRRFKLTLNKLYAWTLVDYERVVMIDSDNIFLQNTDELFQCGQFCAVFINPCYFHTGLFVLQPSMDVFKGMLHDLEVGRENSDGADQGFLVGCYPDLLDKPMFHPPENGTKLNGTYRLPLGYQMDASYYYLKLHWHVPCGPNSVITFPSAPWFKPWYWWSWPILPLGLSWHKQRWDDLGYAAEMPVILMEVLMYIVIIAVTRLARPGMTKLCYNRRPEKQNALVQWLIKMAVIVAMVAAYSIPFFVIPRTVHPIMGWSIYLFGALALSVVVINAFLLPPLDVLTTWLAIVGMLFVMAFPWYHDGIVRVLAIFGYAFCSAPFLWASLVRMMDSVQTMLERDPLFPRLGEPAQEIEFSKLY >KQK92802 pep chromosome:Setaria_italica_v2.0:IX:57294173:57294540:-1 gene:SETIT_040672mg transcript:KQK92802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLGRSDSGRSSTENLDLVGSIDGRRSGLDVFNSHLATCVECT >KQK88241 pep chromosome:Setaria_italica_v2.0:IX:12482613:12485130:-1 gene:SETIT_039271mg transcript:KQK88241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVRMASESGDGNCNAWAARDPSGVLSPYKFDRRAVQSGDVSLKITHCGVCYADVVWTQNMHNDSKYPLVPGHEIAGVVTEVGSDVKGFKVGDHIGIGTYNYCPKSVYTFNGIDKDGTVTKGGYSTHIVIHERAKAAPLLCAGITMYTPMMRHNMNQPGKSLGVIGLGGLGHMAVKFGKDFGLKVTVFSTSESKRDEAINILGADNFVISSNTQQMESLKYSLHFIVDAALGDHPFDPYLSLLKVGGVMAVVCFPSEIKVHPASLNLVSFLSARTLSGSIVGGTKDIQEMVNFCAANKIYPQIEIIKIDYINEALKRLVNRDVKYRFVIDIENSFK >KQK88461 pep chromosome:Setaria_italica_v2.0:IX:14431337:14431447:-1 gene:SETIT_038638mg transcript:KQK88461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHISDIKLIRTDTTLDLSQKAEKGMLWNGALGRIV >KQK90091 pep chromosome:Setaria_italica_v2.0:IX:39530166:39531197:-1 gene:SETIT_039039mg transcript:KQK90091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPSRPRLSPSASARSRSHQSPTRPEPMAFSRLLPSRTRLLSALLHTPGPIPTPHAAAGTPASTPLAPFRSFASATRRAGPSSRPRAVDIGARARQLQTRRLWSYGLAFACAGGFVVAVLATFQDQLVFYVTPTDALAKFTADPSKSRVRLGGLVLEGSVAHPSPSSPEIEFVVTDLITDVLVRYDGALPDLFREGHSVVVEGFLKPFTDDLRRDDGRKVAEKARECACFLRGTEVLAKHDEKYMPKEVAEALERNKKQLEADAKAAAAQVTTVATAAEGAKATSIVSRGSGNFC >KQK86052 pep chromosome:Setaria_italica_v2.0:IX:67796:70701:1 gene:SETIT_039379mg transcript:KQK86052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENASGGHFHLFGPAPTFSSSMASVAVFGLPIGVKRLKLDVRCCAEVITADHLDIWLQATIRSGILDISVELPQHLMLDYNPACSLLSCAASSLQSISLFSCAFHRTLRSGCLKSLKSVCLNLVHTTGEEIRCLFSSAISLEYIDLTNCNETTFLSIPSHLQELMLPGKFLHLKGLKIYFCGSGFESYGYFSLVSFLETCPTLETFFLSVRAYDLARQDTIPQDFNADSLHIRRIPEFHHASLKKVSINQFFSSKSLIELTCQIVENTSSLRYLVLDTTSSFYPRSTSEARHP >KQK86136 pep chromosome:Setaria_italica_v2.0:IX:424867:425528:1 gene:SETIT_038430mg transcript:KQK86136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWSSVHGKKRELLFWRWSEAGVCIPSAATFLRPQINLNSLALVPRLEISAPSICYIIRFHRD >KQK90400 pep chromosome:Setaria_italica_v2.0:IX:42394100:42400095:-1 gene:SETIT_036253mg transcript:KQK90400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVTADSAQSRRSPPPPSPSPSMPRFQQQPSGRQPPPPGADPFAFGIVAFIGICFVLISLSVPSSVLHQVPEGHVGVYWRGGALLKTITPPGFHLKLPWITQYEPIQVTLQTDQVRDIPCGTKGGVMISFDKIEVVNRLRKEFVHETLLNYGVHYDKTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDETMKEAIQRDCTRYAPGIEIISVRVTKPNIPGSIRRNFELMEEERTKALIAIEKQKVAEKEAETQKKIALSEAEKNAQVSKILMEQKLMEKDSSKRQEQIDNEMYLAREKALADANYYRILKEAEANKLKLTPEYLELRFMESIANNSKIFFGEKIPNMIMDQRLLKNYLNDVPRKDYSEL >KQK90588 pep chromosome:Setaria_italica_v2.0:IX:43885966:43887642:1 gene:SETIT_036244mg transcript:KQK90588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARPPARATWCARCGAYLSVAPGARSVRCALCHAVTRVERRPHGLQHAAVGFIKGIINAFTPPPPSSASSLSQLPAASYPGARGCKKRALLVGISYAGTRYELKGAVNDVNCMDYLLRERFGFPADCILVLTQEDRDPSRVPTRENLVRALRWLVDGATAGDSLVFHFSGHGVQKLDNNSDEADGYDEALCPVDFEDPRGGVILDDEINATIVRPLGRGVKLHAIVDTCHSGTILDLPYLCRLSRTGYWQWENQQTRFSSEQKCTSGGLAISISGCGDSQTSQDTTAFSGSTSTGAMTYSFIKAVESEPGTTYGRLLTAMRATIRDNGGELGIPGPIGTFFRRVITFSCAQEPQLCASETFDIYRKPFLL >KQK86990 pep chromosome:Setaria_italica_v2.0:IX:4925590:4928413:1 gene:SETIT_036884mg transcript:KQK86990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAWEGPTAAELKAAGAEAIPGGVRVKGWVIQSHKGPILNAASLQRFEDELQTTHLPEMVFGESFLSLEHNQTGIKLHFNALDALKAWKKEALPPVEVPAAAKWKFRSKPSDQVILDYDYTFTTPYCGSDAVVLNSGTTQAGLDGCSTLCWEDTDDRIDLVALSAKEPILFYDEVILYEDELADNGISFLTVRVRVMPTGWFLLLRFWLRVDGVLMRLRDTRLHCSFGNGDGAKPVVLRECCWREATCASLSAKGYPSDSAAYADPNLIAQKLPVVMQKTQKLKIPS >KQK87386 pep chromosome:Setaria_italica_v2.0:IX:7042455:7042802:1 gene:SETIT_038786mg transcript:KQK87386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAKSIGMAGKKPRKPYTITRPRERWVAEEHDRFLHALVLFGRDWKRIEAFVATKTSTQIRSHAQKHFLRAQKLGLSVAPLPHPRRAAAAGVRQADETIQLPLSPDDPHFALVC >KQK86330 pep chromosome:Setaria_italica_v2.0:IX:1326452:1326933:1 gene:SETIT_038413mg transcript:KQK86330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLIVVAAVDNHPCMWPPPAVGRRRCLAKLTKFQKIYKPATTPAAAERCFVSEKDWEMKLNGISI >KQK88679 pep chromosome:Setaria_italica_v2.0:IX:16117598:16120042:1 gene:SETIT_040532mg transcript:KQK88679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAFSEPTNLHITGEHITQRRGNRRSRRGQGKTKPTRPISRLAGGRHRHHHDQHPRTGAPPRIRPGLRLHGRLRPPPAPRHLPLPRRSRRIVLLLPAW >KQK88678 pep chromosome:Setaria_italica_v2.0:IX:16117598:16120042:1 gene:SETIT_040532mg transcript:KQK88678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAFSEPTNLHITGEHITQRRGNRRSRRGQGKTKPTRPISRLAGGRHRHHHDQHPRTAPPRIRPGLRLHGRLRPPPAPRHLPLPRRSRRIVLLLPAW >KQK87502 pep chromosome:Setaria_italica_v2.0:IX:7592998:7596526:1 gene:SETIT_037003mg transcript:KQK87502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVGSLTAEQLGLFDANGYLVLEPFSSEEDVRALRDRMAELVAGIGGSNNSTGSCEHHRMAMDDYYFKSGDNISFFYEDKAFGDDGCLKQSKELSIRFVGHALHEHDPVFKKFSLSESVSSIFSSLGYKRPAIVQSRYIFKQPGFGGEALPHQDNTYLHTEPPSCTGLWLALEDATINNGCLWAIPGSHKNGLKIRMIKDENGTYFDCPIPSYDHKEFVPLEVKSGGLVIIHGDLVHKSFENLSPASRHALVLHVVETKGCEWSNGNWLQRKS >KQK87432 pep chromosome:Setaria_italica_v2.0:IX:7287862:7293371:-1 gene:SETIT_034084mg transcript:KQK87432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPPEMLDALAGWFAQSLSPDAAARRAAEQSISSSAASPGFALALLGLAASPRHDLQARLAAAVHFKNLLRRRWPKPDADADDAADHLPASDCAIIKAHLLQLLLTAPPLIQAQLSEALAAAAASDFPARWESLLPSIVSSLGTAVNAGDVPATNSLLAAAASLFSRFRNAFDNNALRLDLKYCLDIFAAPLLEVFLFASRRLQAAATTANPLELRPVFECLRLCCEIFYSLNSIDLPEFFEDNMRQWMTEFRAFLTTSYPPPVEADGAPDALRAAVCDNLQLYMEKYEEEFRGYLKEFVEAVWGLLMAQTVSPSRAQLAVTAIRFLTTVAESVHHALFGSPEAMKQICDSVVVPNLRLRDEDEELFEGNWVEYVRRDSEGSDADTLRRAACRLLRGLAANYREQVAALVSAQVQQMLAAYAADRANNWKEKDAAIYLVIALMQKPGATGGGTPVVDMESFFTSVIVPELQAPDWQSEPMLKATVLRFLKEFRDQIPKATALALLPSVVRFLTHESNVVHSYAATFIENLLIIKDAVPVPGVNTVTRSPRYVAADINPFAPQIIQNLSTALSFPDSYENPYLMKCLMRVLGIANIAGQIVHEITTRLVGILMEVCNNPKNPDFNHYLFEALAAIIGRTGEQDPALLPAFEACLFPVLQRILVEDISEFWPYAFQIFAQLLNLSRPPLSQNYMQLFGVLLSNATWDRPPCVPALVRLLRAFLRKIPNELNQEGRLPNILAISRSLLSRSSTEDSAFYMLNTLVENVGLDIMNPYISEIWSALFTRLQSRQAVKFVNSLVVFMSLVLVKYGSGVLVSSVDAIQPNLFTQILQRFWIPNLKLIKGALEVKLTAVASTKLLCESAVLLDAAAAQLWGKLLDSIVTLLSRTNQDGAQQEQNDGADAVDIQKTSGYSVSFVRLQYAGKSEDDLLKEINDPKQFLVTSLATLSAQSPGRFGPVIEQHVDPANKSVLLQLCAAYNANVV >KQK91141 pep chromosome:Setaria_italica_v2.0:IX:48079021:48081464:1 gene:SETIT_035722mg transcript:KQK91141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHQLQLARIFYSRISSLAFFKPGPHIARRARARRALGLGGARCECECASRGQGMERSMESTSQTPLPTRQLPPSSSNSNPTPTHWSSSPASSSSDILIQLIDPRSALREKSSRGVISRERKNLMDMAHERDASSEEEVMAGELRRGPWTVEEDLLLVNYVASHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDLRRGNITPQEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSQQFKDVMRYLWMPRLVERIQAAAAAGAPQPQTGTADTPLSSSWQHGADDGLYASPGDACWPAEYYSAAGDHQLLNNPAVPELSSTTAGSSSPSSDSGAGAQTWLAPVGEAEWFTTACDASSAAVAMHDTVLAGHQQQQQPCLLGETWTSSELPELGVADFEIGSFDVESIWSMDDNLWYTQTQGV >KQK87631 pep chromosome:Setaria_italica_v2.0:IX:8316742:8320506:1 gene:SETIT_035176mg transcript:KQK87631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRGRRPRTPERQRPAARKVPVVYYLTRSRHLEHPHFVEVPVASPEGLYLRDVINHLNTVRGKGMAAMYSWSCKRSYKNGFVWHDLAEDDLVLPATDGEYVLKGSELVDQSSPGQLYPVSNGNHKQQSRLKEGARQPLPREHSYPSSPPSVIVREAKPRRSPSVPSQDEDDTPSPCRDRSFGTMSPELEPRRNERAQLSASGSASPAEFRVYKPTGCMDAATQTDDLGRRSGRRAPEMRKKSLSTDHDAVVREITEYRQSHPRRSADLQGISRELLSQCATPLSIPSTRVKSESLESLIRADNMTNSFRILEEEDIVVPTCPKLKPTNVLMQLITCGSLSVKDHENVGIVQAYKPRFPSLKFPSPLISRTMMMGELDYLSENPRLMGMRLEDKEYFSGSLIETKMQRDVPAERYSALKRSSSYNAERGGGTLDCTRSDEDTTDTSSRSRCLPRTPILSSFLHPKSDSLKSPVSDCRRSSSARQDCDLASGDGSKRFADGSITSAAKADSFRKEEKLVKIEES >KQK86159 pep chromosome:Setaria_italica_v2.0:IX:497005:500830:1 gene:SETIT_037196mg transcript:KQK86159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRVGCAVAATNQTKRSFQLSIRLATAVVALRPTTAATEMTPLTPAAFLLLLCLPEAPPAAAAGDPTPTPWPPQFHATLVMDSHGNMSIADLWYDWPGGRNLHVIRYQLADDAPYFDNELNNGTSFFYTPARRSCRSAAVGVGILRPDWLLPGAVYLGRRDAGGFDCHVWAKADFITYYEDVKTKRPVKWVFYTGRIAYVMNFEVGAVLEDAAWQVPEYCFNKDGGTITEAADGHDDSFIPRYVL >KQK88793 pep chromosome:Setaria_italica_v2.0:IX:17222061:17222631:-1 gene:SETIT_040576mg transcript:KQK88793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLGLNTGSGGQSAAAVKQLLSRLRSTWRRRSAARPRRQAVRFGYDLHSYSQNFDDGLASSSRHRL >KQK90080 pep chromosome:Setaria_italica_v2.0:IX:39407323:39408002:-1 gene:SETIT_039573mg transcript:KQK90080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGLTLFHQANPRWFRHSCTPAAPPAATIRQLYDATSMRRSHCPARASALPRLDSAPTPVTLGGHCARHRGRASTPVTSTGRRRPWMVAAEMLGAPRHKEIDSSNDRRRSYRVPFPCGDALRIYCHADNTYGIYNEIKDHVLGMARSVALRGDNKKKWSHHRVVAQNVGWM >KQK90787 pep chromosome:Setaria_italica_v2.0:IX:45757866:45759101:1 gene:SETIT_039678mg transcript:KQK90787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFAAVCALVLLLNAGPVESRRHRDRDSGRRYKLFVFGDEFADTGNFPLADLTKTTRAWYYPYGSNDKEHGTAPSGRFSNGMVLSDFFARILGRKESPPAESKRQQDGVDPSGMNFAVGGAGVVEGTSDAPNLGRQVDKFKRLVRHGTIDEDLTDSVALIAFSGRRDYERFNDMSSTEVRAKAQEVTDKIADAVDQLMELGVEKVVVTSLPPLGCTPWLSRSEDGVYDGKCDSQKVASIHNSYLEEKVFQNEAVFNLDLKAAFSHYAGPSPRWKQFKYRLESCCESFDQSGFCGQVQDGEPQYSLGSKPDKFFYWDDINPTHAGWKAVVKEFEESIKNYLNI >KQK91451 pep chromosome:Setaria_italica_v2.0:IX:49866025:49869501:-1 gene:SETIT_034554mg transcript:KQK91451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYEPKNILITGAAGFIASHVANRLVRNYPHYKIVVLDKLDYCSNLKNLNPSRPSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETEEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGLPLPIHGDGSNVRSYLYCEDVAEAFEVVLHKGEVGHVYNIGTVKERRVIDVAKDICKLFGLDTEKVIRFVENRPFNDQRYFLDDQKLKKLGWAERTPWEEGLKKTIEWYTTNPDYWGDVTGALLPHPRMLMTPGVDERHNWTEEIKSLASSPVEASTTAPAASTQSTTDAPQKPSYKFLIYGRTGWIGGLLGKICEKQGIPYEYGKGRLEERSQLLEDIRNVKPTHVFNAAGVTGRPNVDWCETHKQDTIRTNVVGTLNLADVCREQGLLMINYATGCIFEYDAKHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKDYDNVCTLRVRMPISSDLGNPRNFITKIARYDKVVNIPNSMTILDELLPISIEMAKRDCRGIWNFTNPGVVSHNEILEMYKKYINPDFKWTNFTLEEQAKVIVAPRSNNEMDASKLKAEFPELLSIKDSLIKYVFEPNRKVPTN >KQK88584 pep chromosome:Setaria_italica_v2.0:IX:15265053:15265505:1 gene:SETIT_039468mg transcript:KQK88584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARVVALFAVLALLQITCTVARRHGKPGGYHHQGTLASSRDGYPAVMTVNGFQRGEEGGPSECDGHFHSDGDLIVALSTGWFAGGHRCHRAIRITSARTGRSVEARVVDECDSRRGCRDNVVDSSAAVWKALGLDTDAGEVPVTWSDA >KQK90100 pep chromosome:Setaria_italica_v2.0:IX:39581216:39583217:-1 gene:SETIT_040696mg transcript:KQK90100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGPPALLLIGFEKGETDTIQAFLKELEGEFLKVIHCTEEMTKQTLWDAMHTEQPNLEAVKIAESLPRICIFSGLTGEEMMMFINAFPETGLEPAAFAALVPNSAEKVLCEVIEEIMGDHEMLVSSYLYYIGAILLSFPFLGYQVKVLPYACRQERTRNEENLN >KQK90099 pep chromosome:Setaria_italica_v2.0:IX:39581135:39583217:-1 gene:SETIT_040696mg transcript:KQK90099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGPPALLLIGFEKGETDTIQAFLKELEGEFLKVIHCTEEMTKQTLWDAMHTEQPNLEAVKIAESLPRICIFSGLTGEEMMMFINAFPETGLEPAAFAALVPNSAEKVLCEVIEEIMGDHEMLTGKNTE >KQK92383 pep chromosome:Setaria_italica_v2.0:IX:55257278:55260801:-1 gene:SETIT_035500mg transcript:KQK92383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLWRRALAAAAAAASGDRIAAAWAAVRARAVAPALEAAVWLCLAMSVMLVLEVCYMSIVSFVAVKLLRRVPERRYKWEPMPMLPGSGKGEEDEEAAAGGGGAYPTVLVQIPMYNEREVYKLSIGAACALTWPPDRIIIQVLDDSTDPFIRELVELECKDWASKNINIKYEIRESRKGYKAGALRKGMEHSYAQECDFSQFLVHNLKIALVRTRWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSSVHAFFGFNGTAGVWRVSAISEAGGWKDRTTVEDMDLAVRASLKGWQFLYVGDIRVKSELPSTLKAYRHQQHRWTCGATSLFRKMAPGIVRSKGVSVWKKFHLLYSFFFVRRVIAPILTFLFYCIVIPLSVMVPEVSIPIWGMFYIPTSITIMNAIRNPWSIHLVPIWILFENVMSMHRMRAALTGLLETMYVDEWVVTEKVGDHVKDKLEVPLLTPLKPTECVER >KQK92213 pep chromosome:Setaria_italica_v2.0:IX:54406450:54407580:1 gene:SETIT_039711mg transcript:KQK92213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGISNAPTLPRCSLSPLVVLSAFLVLLGAGGSLLIRAYFVHGGRRLWLSTMIQLSGWPLLLPPICASLLLRSRSRDGAGGGVADHLPPPRLVRAVAVLGAVFAVACFAYSLGSQALPLSTSSLLQATQLTFNAVSAFLFAGLRFTPFSVNAVVLLTIGPAVLGFAPSSETEAQAEGSTAYWTGFLECMSSAALIGLVLPLVEVAMSRYGRRDGGPAAARAPPPSYATVMQIQAVMGAAGTAVCLVGMAIAKDFQAIPTEAAAFGLGETNYYLLLIFGAVSWQLSNLGIMGLIVCSSSLLAGIMIALVLPLSEVLAVIFLHEKFDGVKGIALVLCLWGFVSYLYGESARKKMKATGKQVAEGSKDLESIRCPLVAP >KQK88160 pep chromosome:Setaria_italica_v2.0:IX:12045801:12051170:1 gene:SETIT_0398011mg transcript:KQK88160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDVENFDKEVACQKEDEDSHDAKVAEGDEIKMVVAKTDAGNAEVEGNGKEEKAEKTEQGKNNANIETEELIVLDKDDACMKEDKKVMNVDIQDAKEADGEHMKIVEEKTDGRIAEAIENRKKREIEGNAEENKTDARIDAENVIMVDQESACQNKDKEEKTDDSQDAKAAEGEDIKVVEAKSDAGNAGVKENGKKEEKEQHNEEKANDVNNQADEVKIVDKEDACQKEDNGRKNEDSHRAKAAGCEEIMVVEAKSTDVHAEVKENGKKEEDQNTTEEEQNDDKEEIICVKRQDEDRMEPTEVDKQEKQDGSNEEEKGGVDKLHVSDGEESVKESQEVLKGEAKDNVDKQEMDDREENVKEKQDGLEEEGVGSSKHEVAKDEQDGAAEKQEGEKQDGNVASEKKEEEKQDAKLTAEEKDKTQDRKVATEKKEEEEVNKNVAFENNAEIVIEMGVSEKDEEMETNGKTTADKQGGKKDSQIDGISKQGGQKKGTKRSNADMDEAENGSASKKEKDGEAVEEVGESNKNMEENKDEAPKRKKARIVREEDHKKDKKQSSSKSRDAKDLLNTPSPYSLDRPARTRKTVERLVEVIEKEPSGSLFVEKGRGTPLKNIPGVAYRIARKKQTDLKFLHQILFGRFGKAADFKSHILEFSGFVWHESDEKHRAKAKEKLDKCSKETLFDLCKLFAIPVSRVNSKKEDIIMKLLDFIAEPRANDDSTLSDDQGSNSRKRKREGGSAAKNSEGTHKRSRKTAHVATLSKCSSRVSSSPKSSKDKQSSAEDSNLRKIKPITPKHTVNSQKETSGRRSSGRTITLLYELTTSKGKSAEAEKALPSKDELQNTIVGILKKVDFNTATYSDIIKML >KQK88983 pep chromosome:Setaria_italica_v2.0:IX:19166688:19174982:-1 gene:SETIT_034147mg transcript:KQK88983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYYKFKSARDYDSIPIEGQFISVANLKERIFESKHLGRGTDFDLMISNAQTDEEYADEATMIPKNTSVLIRRIPGRPRKPIVTEPEESKVTEDVLEVAPAASAFLGDSSMKYPEESEWDDEFGNELYVSDSVLSQPASQAVDASENKIDEDSKIKALIDTSGLDYSQIPDGYGSGRGYGRGMGGRMMAGRGFGRGLERKTPPPGYVCHRCKVPGHFIQHCPTNGDARYDVRRMKPPTGIPKSMLMQTPDGSYALPSGAGAVLKPNEAAFEKEIEGLPTTRSVGELPPELRCPLCKEVMKDAVLTSKCCFRSFCDKCIRDYIINKSVCVCGATSILADDLLPNKTLRETISRILEAPPTSSTENVGSMVQVQDMESALPVQPKVRSPAVSAASKEEAKAPTPVEESPDAESLNGAKAMNNEVSSSDKKATTIPDVGEGTMESKNVKEDKPVEVPPVAKDSQEKLPAGEQAVKKKKKKKPRAPGNADEQWKNFQDFGAENFAGMPLGPTGAFNPYWGGGVPLPMDYMGAPFPGPMPYMGYPPAPFDPFGGGVLPQDPFMPPGYMMPGVPRDLSELAVNPMGINMGPPIVSREEFDPRKPDHRRRREMDRFNERERERDRSREPRGHSMERGREHRERERERDRSRERERERERDRELERERARDREPRREARESSAAVNDSTSMRRKDRARSHSQPDRSERAPPPPSSPDHRHSRRSPHRSSSSGKKRSSSDRYDDLPLPPPPPPPASRHEPEPAKAQAASSKSKASVFSRISFPGAGAGGDANSSDAKRSRRASSDKPPAHSSSSSKKSGGAAAEDGDGRHRRGHRHHQNHQREAAEEEKRRPAAAATEYYGGGGEDEESDEEEQHFKRRPSSSSRREHEQEAQEEQPRHSRRSRDHKRR >KQK88539 pep chromosome:Setaria_italica_v2.0:IX:14949453:14949999:1 gene:SETIT_040741mg transcript:KQK88539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARWQRLQRRAGKATGGKTKGKQREASAAKSTKKGCIFSPFPWTCSLVPTSAIYHRFPHQSFPSTASHATAQWKFLTAT >KQK87284 pep chromosome:Setaria_italica_v2.0:IX:6401176:6402907:-1 gene:SETIT_038609mg transcript:KQK87284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAKQAALPAAPAQEQRRVFPAGLLRLSLGFLLLGVGVGLSAFGLFLARHSEAVAAAAPALFRPCVAAPEEGEEMELERWIRPPARARHAMTDEELLWLASSAPRARGHRGYPFRRVPKVAFMFLAHGPLPLAPLWERFFRGNEGRYSIYVHTMPVYRANFTSDSVFYRRQIPSKVVEWGQMTMCDAERRLLANALLDISNEWFVLVSESCIPLFDFNTTYQYFQNSSQSFVMSIDDPGRDGRGRYNLNMAPEVYLEQWRKGWQWFEVDRELAIAIVRDTLYYPKFKKFCRPGCYADEHYIQTMLTIEATHSLANRTVTWVDWSRGGPHAAHPATFGRGDITEEFLRGIRGGETCMYNNQNSTMCHLFARKFAPSALEPLLELAPTVLGFG >KQK87937 pep chromosome:Setaria_italica_v2.0:IX:10310549:10311021:1 gene:SETIT_038464mg transcript:KQK87937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSPSSQLLSLLTVDWSVWDLSCRYLLAIAAQLLLRCCWHDFSITLCTFVDCSIIKW >KQK88074 pep chromosome:Setaria_italica_v2.0:IX:11320708:11322200:1 gene:SETIT_036373mg transcript:KQK88074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSMDQSFVQAPEHRSKRTITEATGIPLIDISVLASGDAAAVDALAAEVGAASREWGFFLVVGHGVPAETVARATEAQRAFFALPAERKATVRRSEAAPLGYHESEHTKNVRDWKEVFDLVAHEPPPPAAGTVTDGEVVYENKWPEDLPDFREALEEYMKAMEELAFKLLELLARSLNLRPDRLHGFFKHPTTFFRLNHYPPCPSPDLALGVGPHRDPGALTILYQDGVGGLDVRRRSDSEWVRVGCVPDSFIVNVGDTVQVWSNDRYESAEHRVSVNPATARFSMPYFFNPAADAVVEPLEELVGGEEDDDPPRYSAYSWGEFFNTKLNGNYRKLDVENLQIEHFRKGLEA >KQK91469 pep chromosome:Setaria_italica_v2.0:IX:50011995:50016475:-1 gene:SETIT_036706mg transcript:KQK91469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLATGLHRLRRSSSSPWEVLWSALASCGLVIFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASAPLFVLFNILLLWGVYVFVIRRDTSSLLDMLLNAECALLLWGLYRILSGDPGIVACDSSYLEEAGCKDFVEAIYPSELPMLSRVRQCNWCKATIRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCINSGTLRSENPVSLNLVISTMLFSILQVVWQVVFLMWHIYCICFNIKTDEWVISNFPCFGLFLHQLQVVFSLAVSCS >KQK91470 pep chromosome:Setaria_italica_v2.0:IX:50011315:50016681:-1 gene:SETIT_036706mg transcript:KQK91470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLATGLHRLRRSSSSPWEVLWSALASCGLVIFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASAPLFVLFNILLLWGVYVFVIRRDTSSLLDMLLNAECALLLWGLYRILSGDPGIVACDSSYLEEAGCKDFVEAIYPSELPMLSRVRQCNWCKATIRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCINSGTLRSENPVSLNLVISTMLFSILQVVWQVVFLMWHIYCICFNIKTDEWVISNFPCFGLFLHQLQVVFSLAVSCS >KQK91471 pep chromosome:Setaria_italica_v2.0:IX:50011315:50016681:-1 gene:SETIT_036706mg transcript:KQK91471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLATGLHRLRRSSSSPWEVLWSALASCGLVIFSQLAVAMVPRLFPSLSLLAMLPIAGLVFLAAIVLGRLWRRFIGVAASAPLFVLFNILLLWGVYVFVIRRDTSSLLDMLLNAECALLLWGLYRILSGDPGIVACDSSYLEEAGCKDFVEAIYPSELPMLSRVRQCNWCKATIRGYDHHCPAFGTCIGQKNHRLFMALLTGFVVAESTYTMCSTKYITRCINSGTLRSENPVSLNLVISTMLFSILQVVWQVVFLMWHIYCICFNIKTDEWINWKKYPEFQMREQPQSDLEVKFVNPYDKGMLCNIREFLTPK >KQK89791 pep chromosome:Setaria_italica_v2.0:IX:35986410:35988462:1 gene:SETIT_035158mg transcript:KQK89791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPGSAAPAGGAGMFVPAATAGTVLCCMCGVAMQPNPANMCARCLRGRIDITEGVPRHAAVVYCPDCCSYLQPPRSWLRATPESPELMQILLRRINRPLARLRVSLSGAEFVFSEPHSKRLRLKLRLRREVLHGVVLEQTHPVEFVVHDRLCDSCSRAQANPDQWVAVVQLRQHVPHRRTFLYLEQLLLKHGQASLAIRVAAAPGGLDFFFGSRSHAARLVDFLATVAPIQTQTAKQLVSHDTKSSVYNYKYTFSVEICPICREDLIALSPKASRDMGGLGPLVLCVKVTNAIALLDPLTLRVHHLEEKKYRVYNFKAALTSKQLVEYIVLDIEQESPEISIDGSRYQLAYAQVARVSDFGKNDTIFTVRTHLGHLLNPGDHALGYDLYGANLNDDDMDTAMTRYSLPEVVLVKKSYEKRPRTRRWKLKRLPVEEDAANKAKGEEEKRLDEYESFLKDLEQDPELRFTINLYKNEDYRSEMASTVGDDVPTVPIEELIEDLTLGDDEEDEGDEAVAGIAQSGMVE >KQK88576 pep chromosome:Setaria_italica_v2.0:IX:15190655:15191230:-1 gene:SETIT_040040mg transcript:KQK88576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSARAAAAMAIFVLVALSTSHMAFSLRPGAGLGVCRASGYLPGRSGNCEKSNDPDCCEDGKKYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQSLGMVDITWSEE >KQK90881 pep chromosome:Setaria_italica_v2.0:IX:46354697:46359245:1 gene:SETIT_035994mg transcript:KQK90881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSLIQDMRDEFGSISRHTLRSRSHRSAGNASRAAVAEPSEAMDQSCWAQLPPELLREVLVRIEASESWWPARKDVVSCAGVCRTWRGIMKEAVCVPEVSGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDKVDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRIPAGNYPVSHISYELNVLGSRGPRRMNCVMDSIPASAVEEGGKAPTQTEFPLSSLDSFPSIPFFRSKSARIDTTSQSSTQKEDRLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASEDNGSGNQENDKVILQFGKIGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >KQK90879 pep chromosome:Setaria_italica_v2.0:IX:46354836:46359245:1 gene:SETIT_035994mg transcript:KQK90879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSLIQDMRDEFGSISRHTLRSRSHRSAGNASRAAVAEPSEAMDQSCWAQLPPELLREVLVRIEASESWWPARKDVVSCAGVCRTWRGIMKEAVCVPEVSGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDKVDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRIPAGNYPVSHISYELNVLGSRGPRRMNCVMDSIPASAVEEGGKAPTQTEFPLSSLDSFPSIPFFRSKSARIDTTSQSSTQKEDRLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASEDNGSGNQENDKVILQFGKIGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >KQK90883 pep chromosome:Setaria_italica_v2.0:IX:46354836:46359245:1 gene:SETIT_035994mg transcript:KQK90883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSLIQDMRDEFGSISRHTLRSRSHRSAGNASRAAVAEPSEAMDQSCWAQLPPELLREVLVRIEASESWWPARKDVVSCAGVCRTWRGIMKEAVCVPEVSGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDKVDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRIPAGNYPVSHISYELNVLGSRGPRRMNCVMDSIPASAVEEGGKAPTQTEFPLSSLDSFPSIPFFRSKSARIDTTSQSSTQKEDRLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASEDNGSGNQENDKVILQFGKIGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >KQK90880 pep chromosome:Setaria_italica_v2.0:IX:46354697:46359245:1 gene:SETIT_035994mg transcript:KQK90880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSLIQDMRDEFGSISRHTLRSRSHRSAGNASRAAVAEPSEAMDQSCWAQLPPELLREVLVRIEASESWWPARKDVVSCAGVCRTWRGIMKEAVCVPEVSGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDKVDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRIPAGNYPVSHISYELNVLGSRGPRRMNCVMDSIPASAVEEGGKAPTQTEFPLSSLDSFPSIPFFRSKSARIDTTSQSSTQKEDRLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASEDNGSGNQENDKVILQFGKIGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >KQK90882 pep chromosome:Setaria_italica_v2.0:IX:46354697:46359245:1 gene:SETIT_035994mg transcript:KQK90882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSLIQDMRDEFGSISRHTLRSRSHRSAGNASRAAVAEPSEAMDQSCWAQLPPELLREVLVRIEASESWWPARKDVVSCAGVCRTWRGIMKEAVCVPEVSGKLTFPISLKQPGPRDGTLKCFIRRNRTTQTYYLYIGLTEALADDGKFLLAARKCRKPTCTDYLISLDKVDMSKGSSTYIGKLRSNFLGTKFTVYDAHPPYDGAVVSKSRSARVVGLNQVSPRIPAGNYPVSHISYELNVLGSRGPRRMNCVMDSIPASAVEEGGKAPTQTEFPLSSLDSFPSIPFFRSKSARIDTTSQSSTQKEDRLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLVASEDNGSGNQENDKVILQFGKIGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >KQK92005 pep chromosome:Setaria_italica_v2.0:IX:53186410:53191027:-1 gene:SETIT_034406mg transcript:KQK92005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSLEKSSSGGTTPTSSASPPESKKHEKTLPNYLRASRGSCHDFCKYGHKNPSEEETSLSGGRRKKLPAHLKNLTLHRSAILDRSKDVRNMSLSLAKSSISLGEAVRVAPRIASANRKGVTSNEHMVPLTATATEHKTLNSDGRKKYSNAAQKVPANQRYSNGVPQLDKRDTVPAKRAIFPAKSKFPEKTSLEKSITVDKVTAVNQSWHKRPASSPSKLNMIKQVPVPSLTKDKNTPKLKEGLDMSGSSLSAEPKIIASVEKQKDDMQIRGYSIESALAELSPDATEYVGNSLPAPEETSKSISEDDGVGSTEKSELVAGEALLESAVALELQQSLAGQEFNAMLGESNLERKLAEQNIVHGQASKGEDNQTDDPAVCRLSEHVTVVENADVYGLVLIESNSKIEDDQAEVNASVESLISECKEQMAVCEGFGTSPELLAVDEKHAEEPESCLDFASGNAVENAKADEVFDASMDNSTSHCQSISETSSDGGLLEEPKSMLIERSDSAVDEVASVSNGSTFEQDGMKSKVFIPQSPEELSNDEFYEEYDFESSESDETGTEDEEATINRDMGESKSGDSRPRRISTVELDDTSITPYKMKFKRGKIVELTPDSSGPRRLKFRRKSANEGSDRESQPTRRIYKRNSTSNAVPTNPDMESPGVKLRHQDAQEKKDAQGLFNNVIEETASKLVESRKSKVKALVGAFETVISLQDGKPTSSTQQAGSSQDLFPDDEVNAPEEAE >KQK88336 pep chromosome:Setaria_italica_v2.0:IX:13322821:13324018:-1 gene:SETIT_039692mg transcript:KQK88336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGGGGRGGTGWSSLPADLLLAVFALLPSDADRSRFRAVCAAWAAAAAAWRPGPWLVGSRTDRAGRGGGAVSSFWLSPTPGAGLLPFAANVPAGLEYLSSSRGYLALSDPTASPKAIVLVNPVTGRRVRLPPIAFFKRWLDVTTSCCPPTRPPLQSGRRWRSTTGAWARLDFRAQGYAGVEHYNGRFYVAFRSQICVCEVVGGDATAVIPLERADGADDGDGSDDEKLLPPCGRRVVETHLVDCGGQLLLVSVHDDVVYNSDDDMAGLAVDDEGISYAGDARAVEVHRVEWLGDGAVRLVRVADLGWNALFLGRNRAFALSPAEFPACRANCIYLVDRQGHPDGVVRVVDMESQWARREETICPDDGPRGSSSAGWARRGWFFPNY >KQK87557 pep chromosome:Setaria_italica_v2.0:IX:7910326:7911045:1 gene:SETIT_037833mg transcript:KQK87557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSHKKKPSAANPPINPKAASSDKKPKPPKPTEDQPVQAAAEKKPKKQKARDEIDEIFSAAKASKKRKPAQLEEAEAHGGKRKKPKEKAEGGSSKKKINKTPGSKGKGRVADDDDEFEEKRPRRRTADGLAIYSADELGFGKADAGGTALCPFDCDCCF >KQK88545 pep chromosome:Setaria_italica_v2.0:IX:14966593:14970069:1 gene:SETIT_035932mg transcript:KQK88545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHLPTASSSSSTRAAAAHHHLLDAAAAPPSSPHQRRRRRRRVPGCLRPRSAAPVRCCAAAAAAPAPQAAVPVARAAAATTRVFVVSDLHTDYPENMDWVRRLPAEVGAGEGPGVDALVVAGDVAETRDNFARTMEVLRDRFGAVFYVPGNHDLWLRREGGRYMDSLEKLTALLDACSELGVDTGPRMIGDLGIIPLFSWYHKSFDKEKDVNSVRVPSLEMACKDFHACKWPSDLANDDESLALYFDKLNDKNHDAIEEVKNSSKQILTFSHFVPRQELCPEKRMLYYPYLPKVIGSDFLERRLRDIHSNRKDGSACHVFGHTHFCWDSVVDGIRYVQAPLAYPRERKRRMNGEGWLPFCVYRDGFNPEIYPALWSDYYNKNKREPENTQLAPWVARHFAKYHKFH >KQK86937 pep chromosome:Setaria_italica_v2.0:IX:4583784:4588140:1 gene:SETIT_037102mg transcript:KQK86937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVASSRCPGLLLRPSLAGARCCPRPSSSLRLRSRWGRRHPRTLACVAPPDSAEPQTDEHTVKAESTEEEAQTTSTTQDAGLPALPNKDLNRRVALLSTLGAVALFASQRLNLSEASLKDLAANAVPYEEALSNGKPTVVEFYADWCEVCRELAPDIYQVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLDNVVALASGDPNIPHARVVGQFSSAESRKVHQVADPRSHG >KQK86936 pep chromosome:Setaria_italica_v2.0:IX:4583784:4588140:1 gene:SETIT_037102mg transcript:KQK86936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVASSRCPGLLLRPSLAGARCCPRPSSSLRLRSRWGRRHPRTLACVAPPDSAEPQTDEHTVKAESTEEEAQTTSTTQDAGLPALPNKDLNRRVALLSTLGAVALFASQRLNLSEASLKDLAANAVPYEEALSNGKPTVVEFYADWCEVCRELAPDIYQVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKEGNEEGNVVGRLPKQYFLDNVVALASGDPNIPHARVVGQFSSAESRKVHQVADPRSHG >KQK86958 pep chromosome:Setaria_italica_v2.0:IX:4691812:4694904:-1 gene:SETIT_038755mg transcript:KQK86958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKEWSMSDFEIGRYIGEAARASFAREKKFHSHLRREIEIQKGLDHPNVLRLFAWFHDEERVVLVLEYAARGELYKVLRTAGRFTERTAATIRTPCPYENGRGKGRRKKSTLSASFVLNYHSFCLAGALAHCHRKQVIHRDIKPENLLLDIEGRLKIADFGWAARSNAKRHTLCGTIDYLAPEMIDKKAHDHAVDNWTLGILCYEFLYGSPPFEADKQADTFRSKIVRVDLTFPSTPSVSSEAKDLISKLLVKDSSKRLSLEDIMKHPWIKKNAEPSGSCIKQKDL >KQK91900 pep chromosome:Setaria_italica_v2.0:IX:52597983:52599927:-1 gene:SETIT_037990mg transcript:KQK91900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSPKHPPHRSLRRFPSACCLLVLTLALLFASAAAKSSRRPISDNEIRQKKEACYTDVENGLWGWVCRSSPTEKENCVLRCLSPECYDLIYGGDPLEEGELDYVRSQEYKYCMHKSSLGESLDGVKGSFSYS >KQK91899 pep chromosome:Setaria_italica_v2.0:IX:52597850:52600016:-1 gene:SETIT_037990mg transcript:KQK91899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSPKHPPHRSLRRFPSACCLLVLTLALLFASAAAKSSRRPISDNEIRQKKEACYTDVEKSSPTEKENCVLRCLSPECYDLIYGGDPLEEGELDYVRSQEYKYCMHKSSLGESLDGVKGSFSYS >KQK90388 pep chromosome:Setaria_italica_v2.0:IX:42305720:42305941:1 gene:SETIT_038491mg transcript:KQK90388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPAPLGRSVRCGLGHLPEVCIAGMGPLPTTWRAGLGPGRRPPPDSVVCGAGG >KQK92200 pep chromosome:Setaria_italica_v2.0:IX:54296008:54297877:1 gene:SETIT_036090mg transcript:KQK92200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKSPKPIRCKAAVCRAAGEPLTIEEIVVDPPKAYEIRIKLICTSLCHTDITFWQAKVAPVFQRILGHEAYGVVESVGEHVEGFAAGDAVVPTLLGQCDHCPSCASEHNNLCTSVPVTMAPGMRRDGTTRFRDAQGSPVHDLLAVSSFSEYTVVDVNQVVKLDPTVPPKLACLLSCGAGTDEPGWLAKVEPGSSVAIFGLGSVGLAVAQGAKMCGASKIIGVDLNPDKEEVGKKRCYFYSVSGKAFGVTDFVNPTQLDKSSVSGVIGEMTGGGVDCSFECVGVPSVMTDAFRSTKQGNGKTIILGLGKETDQLCVPALELLFGKCVIGSALGGIKPKTDIPILAEKCMNKELELDGLVTHEVGLREINTAFDLLLQGKSLRCIIWMGK >KQK87805 pep chromosome:Setaria_italica_v2.0:IX:9450028:9451816:-1 gene:SETIT_040116mg transcript:KQK87805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVATHGGSFRLSHRISLSPAPSPHSETSNPPPPSRHTVGRSMMSLHVQGEREGDHQAEADLLAQLPDDVLADVLRRLPHGGLAFSRCVSAGRGAPSSTPGACSARSSSRSRRPGSSSTIPLIRRRHGALLTPLRGDGASRLRQAPLPTRGRRRVYVLNPATRSVAPLPLRRPPHVEVNSWYLVYDPAASPHYQVFSVTRFLCKREPGNVFYDRPKYKLDPVVEQSEWPPSVYTLHVFSSRTGRWEERSFVREGEAAGTVADMRHMPHEKRNAVYCRGALYVHCQTDFVMRYNTKSPIEERFEWSSEALGDENFAWSSDNEDKVYDKDDSGYSGYVKILGFHPYKEIIFFSESITTGMAYHLNSSKIEALGNLYPARYDELPNIERFTISAFPYTSCWLKHIGGI >KQK90369 pep chromosome:Setaria_italica_v2.0:IX:42170576:42176727:-1 gene:SETIT_034086mg transcript:KQK90369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPLSADALSFLPSAAAPAAAAPTPVVAAAWGAARAAGSVRGKAALRMARRGSGLAPVVGRRPRRPPLSVRCDATSRDGRITQQEFTEMAWQSIVSSPEVAKESKHQIVETEHLMKSLLEQRNGLARRIFSKAGVDNTKLLDATEKFIQRQPKVLGEDPGSMLGRDLEALIQRARDFKKEYGDSYVSVEHLVLGFAEDKRFGKQLFKDFQITVKTLKLAIESIRGKQNVIDQDPEGKYEALEKYGKDLTAMARQGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAIAEGLAQRIVQGDVPQALTNRRLIALDMGALIAGAKYRGEFEDRLKAVLKEVTDSDGQTILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPSVEDTISILRGLRERYELHHGVRISDSALVAAAVLSDRYISGRFLPDKAIDLVDESAAKLKMEITSKPTALDEIDRAVLKLEMERLSLTNDTDKASKGRLSRIEAELSLLKDKQRELTEQWEHEKSVMTKIQSIKEEIDRVNVEIQQAEREYDLNRAAELKYGSLNALQRQLQTTEKELDEYQSSGKSMLREEVTQDDIAEIVSRWTGIPVSKLKQSDREKLLYLEEELHKRVVGQDPAVKAVSEAIQRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALAAFMFNTEEAVVRIDMSEYMEKHSVSRLIGAPPGYVGYEEGGQLTEAVRRRPYSVVLFDEIEKAHSDVFNVFLQILDDGRVTDSQGRKVSFTNTIIIMTSNVGSQYILNMDEEGGSSDSAYENIKKRVMDAARSVFRPEFMNRIDEYIVFKPLEREQINSIVKLQLARVQKRIADRKIKLEVSPAAIEFLGSLGYDPNYGARPVKRVIQQYVENELAKGILRGDFKDEDSIVVDTQVTVPSNGQLPQQKLVFRKVSEESKAAAEGEKFLPAV >KQK89294 pep chromosome:Setaria_italica_v2.0:IX:23262851:23265207:-1 gene:SETIT_039254mg transcript:KQK89294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EYVSVQVGANEEVYHEDEDVVCSQPIVPKVGMEFDTIQEARRVYNEYAMKLGFSIRVAFSRNSNVTKELIRKEWECSHARKPAIDGEDDGEGNTSASTSTNDTATLVGSKKRAATAVLTTATRKRNTIKKLDCKAHMAVGFRNSRWRVIVMQPDHTHPMVKAIGVRKHLRSHRSISWADYELLKTLHHRNISTTHIMGVLADFHGGLGNLTFSSKDVSNMRTHLRGRLTYRDMDATLDYFQKQQAESPSFYYATMIDDNNVVRRLFWVDGRTREPYDMPFAPIVGINNHLHSILLGCAMLPDETTETFVWVLERLKGAMGGHEPTNIMTDQDKAMKAAIAIVFPNATHRCCEWHVLSKANDKLAWLISEEEDYAKEFDYYVNRTETPEEFEMLWASIEDKYHLQENEFFQSISGTRRMWAPAYFRKYFFPFTGTTGRSESMNSLFKKVVHPQDSMLVERENKERCKGEISDPPLWGRYAFEKQAASFYTGEVFGKFQKLLRDSTRYKVGAVESDDLGWSIQIVHPNSTRVRLVTIDKDAISYTCSCNMFDRDGLLCPHILKVFTNRDVEKIPEKYLLRRWSKEVTIMIPERLSDTEPAFGVPTANKLRYNALCRKMTSLVAEACLGPEKYIVASAGKDTLEKGKKTAQKIPPCSYCFEDGHSVQTCAYMAKAEALAKDLKETELKL >KQK88042 pep chromosome:Setaria_italica_v2.0:IX:11088692:11092216:-1 gene:SETIT_035981mg transcript:KQK88042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDEHPPRQHIPIEDVHSESAPASSLPPYVFPTNGSRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCYGCDIPISEYEFAVHEDHAYHRSCYKERFHPKCDVCNSFIPTNKNGLIEYRAHPFWMQKYCPSHENDGTPRCCSCERMEPKHSQYITLDDGRRLCLECLHTAIMDTNECQPLYIDIQEFYEGMNMKVEQQVPLLLVERQALNEAMEAEKIGHHLPETRGLCLSEEQIVRTILRRPIIGPGNRIIDMITGPYKLVRRCEVTAILILLLTGSILAHEMMHAYLRLKGYRTLSPEVEEGICQVLAHLWLESEITSGSGSMATTSAASSSSSTSSSSKKGAKTEFEKRLGEFFKHQIETDPSVAYGDGFRAGMRAVERYGLRSTLDHIKLTGSFP >KQK88041 pep chromosome:Setaria_italica_v2.0:IX:11089025:11091765:-1 gene:SETIT_035981mg transcript:KQK88041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDEHPPRQHIPIEDVHSESAPASSLPPYVFPTNGSRVCAGCKTPIGQGRFLSCMDSVWHPQCFRCYGCDIPISEYEFAVHEDHAYHRSCYKERFHPKCDVCNSFIPTNKNGLIEYRAHPFWMQKYCPSHENDGTPRCCSCERMEPKHSQYITLDDGRRLCLECLHTAIMDTNECQPLYIDIQEFYEGMNMKVEQQVPLLLVERQALNEAMEAEKIGHHLPETRGLCLSEEQIVRTILRRPIIGPGNRIIDMITGPYKLVRRCEVTAILILYGLPRLLTGSILAHEMMHAYLRLKGYRTLSPEVEEGICQVLAHLWLESEITSGSGSMATTSAASSSSSTSSSSKKGAKTEFEKRLGEFFKHQIETDPSVAYGDGFRAGMRAVERYGLRSTLDHIKLTGSFP >KQK90053 pep chromosome:Setaria_italica_v2.0:IX:39186511:39187070:-1 gene:SETIT_0354192mg transcript:KQK90053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPIVNRLRRAAARCGCRTATTRDVHVPSLREGGVGTSSQGPSGSKSIASEEDDDDDDDDDGGDDEQRAEEIGPSQLQEAPLTQPTQAVGGTRLRRPRSPYTPGTDALGHKGKGKTRRQ >KQK91679 pep chromosome:Setaria_italica_v2.0:IX:51180871:51184314:-1 gene:SETIT_037405mg transcript:KQK91679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMRSGSLLRVGFRQVSSLLFQVPPCPAPSLAVGRAGLVRIRCSAAAAGDDGGKKVSARLALTQQVLRDAEERAASAGSDPAPKITLEHVTVNFARSGGPGGQNVNKVNTKVDMRFNVKEAHWLGERIKERILQAEKNRINKDGELVISSTKTRTQKGNIEDALQKIQAIIDAASYVPPPPTEEQKKKIEKIAAVAERKRLQNKKVLSQKKEFRRNRTSWD >KQK90415 pep chromosome:Setaria_italica_v2.0:IX:42509010:42509633:-1 gene:SETIT_039006mg transcript:KQK90415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWLDEPSYAHLPGARRHIIDEVGCGFWCGMTGGSAYHFLKGLRNSPNGDRLAGGARAVRAHAPRLGGSWAGFWAVWEVFENAIFFARRKEDPWNGIAAGAATWGLVDLRRGARVAARSTLVGAAVIGLAEGLRIWLDKHVVLHPAPTVEPPPSFGHVAAWPALTVDRRPRPLGSTPEGHVPKIGGFLGIPPRAPIVKEVPAADVGY >KQK91589 pep chromosome:Setaria_italica_v2.0:IX:50680642:50685455:1 gene:SETIT_034231mg transcript:KQK91589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSQGISLRSPPAGPRGHGGRRSSSVPAAAAASRGWGQPHAGQALSISSARYESAAHPWRGGASSSAIRAAASTGAQHGGDPVPAEPRIELPAVFTLFSEAAKTGAAFFIASSGAAFLLGSFGGFGGGAGGLFGGGGGGGGGWGAGGGGAGGGGGGFWSSLFSVGAANADDKSSGDWDAHGLPVNMTVPLTKLSGLKRYKLSELKFFDRAASGGGAYTGPEDSFFEMVTLQPGGVYTKSQLLKELETLVSCGMFERVDLEVKPKPDNTIGLTVSFVESVWSAAKQFKCINVGLMSQGGQVDFDQDMTEREKMDYLRKQERDYQQRVRGAKPCILPENVRGEVLGMMKKQEKVSARMLQKIRDHVQKWYHNEGFVCAQVVNFGNLNTNEVVCEVVEGDITKVEYQFQDKLGNIVEGNTQLPIIDRELPQQLRPGHIFNIGAGKQALKNINSLALFSNIEVNPRPDETKEGGIVVEIKLKELEPKSAEVSTEWSIVPGREGRPTLASIQPGGTVSFEHRNIYGLNRSIVGSVTSSNLLNPQDDLSFKLEYVHPYLDGVDDRSKNRTFKTSCFNTRKLSPVFVAGPNMDEAPPVWIDRVGFKANITESFTRQSKFTYGLVVEEITTRDETNSICTHGSRAMPSGGLSMDGPPTTLSGTGVDRMAFLQANITRDNTEFVNGAVIGDRYIFQLDQGLGIGSKNPFFNRHQLTMTKFINLNNQEKGAGKPLPAVLVLHGHYAGCVGDLPSYDAFALGGPYSVRGFSNGELGASRNILELATELRIPVRNTHVYAFAEHGTDLGSSKDVKGNPTEFFRRVGHGSSYGVGVKLGLVRGEYIVDHNAGTGTIFFRFGERF >KQK87530 pep chromosome:Setaria_italica_v2.0:IX:7795838:7799623:-1 gene:SETIT_039924mg transcript:KQK87530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EPKARPRSRRRRRSTFLRGIRPVAPGTAMEGDAAEEHWQVKRPAEDDAEGSASAKRPKPPPSPTEWWKDETTASLIGVREEQATLYDPRRRNFRCCRGFDRNVCEPAVFDHEEESTARIARPLDTIPDSELDHLKLALNVIHVKVLASDVGFPISAYGTVLMRDDLDFKCIYLFQPGRDNCQVINSPGDMLALTGPNRGPFEADTFYFEINLKVRGEEQTMDRIFSRSLIDEDYPLGPRTKDVFGTAPLQATVGVNILRGPREFSGSWVACTSEDPSEMVLYDNGSVALTRPLAIVREDEYLVLKLTPSKLKTTVLTVEHSDRSLHIRHGRYKLQVTISWSGIYTDYN >KQK88032 pep chromosome:Setaria_italica_v2.0:IX:11026671:11031244:-1 gene:SETIT_035140mg transcript:KQK88032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPVENIAGHDDGGMAVNPYDGEQPNPYNDVEKQYGEELGNQYDEQPGAQYDDGSGNLYNEEQANLFSEETGNQYNEEPANSYQEELENAYSIEDTSRQDNSQVNVEDNRWPGWPGESVFRILVPAQKVGAIIGRKGEFIKKMCEESKARIKILDGPPGVPERAVMVSAKDEPDAPVSPAMDGLLRVHKRITDSSDGESGQPQRSAGNIGPTRLLVPASQAGSLIGKQGATIKSIQDSSKSVVRIVENVPPVALNDDRVVEIQGEPLGVHKAVELIASHLRKFLVDRSVLPLFETHMKMHSMQREQPMPPPQHWGPPQPWGPPPNLPPGGPGFGGNPQFMPPRPQDNYYPPPDVPPAPVEKQPHYGISAYGREVPPSGVSAAGNQPPSHAGSQVTTHNMHIPLAYADAVIGAAGASISYIRRHSGATVTIQESRGAPGEMAVEIIGTASQVQTAQQLVQNFMAEAATPGPPPASNPPAPPVDPSYGSYPPPYGAAPSYGSSAAAGPPPQYNGGSYGGPTYPPSYGY >KQK88636 pep chromosome:Setaria_italica_v2.0:IX:15695977:15696421:-1 gene:SETIT_040309mg transcript:KQK88636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSEQRDRTRKKIPAATFGDVACSKRYSPTLAGCIC >KQK91238 pep chromosome:Setaria_italica_v2.0:IX:48709073:48711971:-1 gene:SETIT_035258mg transcript:KQK91238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKRALDVEEEVVDGDEEELAAGCPDAKRRRTFLNSSMQEAIGAQYMQRHLPKLEPFLRRVVQEEVHNVLIRHIDSAHRLPLQLKTSSKRYKLQFQGNLPQTLFTGNRVEAESKQPLRIVLTDAATNQTVTSGPLSSMKVELLVLDGDFNADERLEHTEKEFSESVVFEREGKRPLLSGEVIIVLEKGVASIRDISFTDNSSWIRSRKFRLGARMSRASSIEERVQEAVSNPFLVKDHRGEVYKKHHPPALADDVWRLEKIGKDGVFHKKLADFGIHTVQDFLRNLVMDQYGLRSLLGGGMSNKMWESTVEHARECVLDDKLYSYCSGHGIVLLFNCVYEVVGVIVGTNCFTLNALDPTQKALVVKLQQDAYKFPDRIAEFKVQSQGAPADQPPAAAQALPVPAAQVLGLIPQGTHLPGGAPGSHDGDLLLNPLLLQQQRPQPLSEALEDVLQSAGAAHHQLGGAEPWSFPSFGVGVGAGGFDARDPFDVQFSGSQTCGLLLSSTGARL >KQK91257 pep chromosome:Setaria_italica_v2.0:IX:48804759:48818679:-1 gene:SETIT_033839mg transcript:KQK91257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGHHGVVLACSICGFLFAVLSALSFWVLWAVNWRPWRLYSWIYARKWPTYVQGPQLSTLCSFLTLFAWLVVISPIAVLLVWGSILIALLERNIIGLAVIMAGVALLLSFYSIMLWWRTQWQSSKAVAYLLLLAVGLLCAYEFCAVYVTAGASASELNSPSGFFFGVSAISLAINMLFICKILFNVSGFDVDEYVRRSYKFAYSDCVEVAPVSCSPDPPDPSELYMTKSSRVKHLGLLYICSLLVLVLYSILYGLTSKEARWLGALTSVAVVILDWNLGLCSFRFELLKSRMIVLFVAGTSRAFLISFGVHYWYLGHCISYAFVASVLLSAAVSCWLSISNPSVARIDALRSTVIKLREGFRRKGQNSSSNSSEGCGSSVKRSSGSVEAGQNGNATDSMYRSNSQSDGVNWNSIPFDRSNSCQEGRSSDKNIDSGRASLAHRSNSCLSAVQDSETAIVTADRHGEPSASLVVCSSSGLESHGCESSGSATASGNQQLLDLNLAAIFQDRLNDPRISSMLRKNGGLGDVELANLLQDKGLDPNFSYMLKDKVMDPRILALLQRSSLDADREHQDDVDVTATDSDRLDTTIANQISLSEELRRSGLEKWLNISRLIFHQLAGSPVRAFIVFTILFIIETSIVAIHRPETIKVINATHEQFEFGFSILLLSPVVCSIMAFIWSLRAEDMMMTSKPRKYGFIAWLLSTCVGLFLSFLSKSSVILGLSLTVPLMVACLSFAIPIWIRNGYRFWIPGREIDSRENVSHAPGKKERALFAISIAVFIASVIGLGAIVSAKPLDALGYKGWDADKNSFYSPYATSMYLGWALSSAIAVLTMGLIPIVAWFATYRFSPSSAICVGLFATVLVSFCGASYWGVVNSREDGVPLKADFLAALLPLLCIPAFFSLFTGLYKWKDDDWKISRGVYLFISMGMLLLFGAVAAVIVTIRPWTVGVACLLAILFLVFVIGVIHYWTSNNFYLTRTQMLLVCSIAFLLALAAFLMGLFHGKPFVGASIGYFSFIFLLTGRALTVLLSPPIVVYSPRVLPVYVYDAHADSAKNVSYAFLILYGIALATEVWGVIASIIMNPPFVGAGVSATTLVVAFGFAVSRPCLTLKMMEDAIHFLSKDTVVQAMSRSANKTRNAISGTYSAPQRSASSAALLVGDPALTLDRAGNFVLPRADVMKLRDRLRNEEIAAGSFFCGVKDCLIICPQSLANVDYRRNMCAHARILALEEAIDTEWVYMWDKFGGYMLLLLGLTAKAEQIQDEVRLRLFLDSIGLSDLSAKEIKKWMPEDRRQFELIQESYIREKEMEEEALMQRREEEGKGRERRRALLEREERKWKELEISLLSSIPNTGSRDAAAMAAAVRAVGGDSALEDSFARDRVSSIANHIRKAQLSRRAEQTGIPGTVCILDDEPRSTGRHCGELDSCLCQSQKVTLSIAVMVQPVSGPVCLFGSEFQKKVCWEILVAGSEQGMEAGQVGLRLVTKGERMTTVAKEWNIGSLSIADGRWHLVTVTIDADLGEATSFIDGVYDGYQNGLPLPTINGIWEPGTDIWVGARPPIDLDAFGRSDSEGSDSKMQIMDAFLWGRCLSEDEVAALHTAISPAEHGFFDLGAGDAWHGSYSARVDDWESEEAYELYDQGDVEWDGQYSSGRKRPVHDAVAIDIDSFARRPRKPRFETQDEVNQRMLSVERAVRDALVAKGERNFTDQEFPPDDRSLFVDPTDPPLKLQVVSEWMRPSDIAKEISINSQPCLFSGSVNSSDVCQGRLGDCWFLSAVAVLTEASRISEVIITPEYNEEGIYTVRFCIQGEWVAVVVDDWIPCESPGKPAFATSKKQNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSPQAQIDLASGRLWSQLLYFKQEGFLLGAGSPSGSDVHVSSSGIVQGHAYSILQVREVDGHKLIQIRNPWANEVEWNGPWSDSSPEWTERMKHKLMHVPQAKNGVFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDFDSWHQNPQYRLRVTGRDALYPVHVFITLTQGVGFSRKTNGFRNYQSSHDSSMFYIGIRILKTQGCRAAYNIYMHESAGGTDYVNSREISCELVLDPYPKGYTIVPSTIHPGEEAPFVLSVFSKAPIKLEAI >KQK92411 pep chromosome:Setaria_italica_v2.0:IX:55346898:55347143:1 gene:SETIT_038498mg transcript:KQK92411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMNSGISIVNIASIINEPTSTLDYCYYEPPINLSGDEEEIGHGSNGKIGRR >KQK88495 pep chromosome:Setaria_italica_v2.0:IX:14645539:14651186:-1 gene:SETIT_035321mg transcript:KQK88495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTGGACSREESLLDRSADDAAAMEGAGDYEHHHHPLRVFFRDARLAFSWDELGQEIMGIAVPGALALMADPVASLVDTAFIGHIGPVELGAVGVAIAVFNQVSRIAIFPLVSVTTSFVAEEDAMSNGRDKDKINQENEHAVSNSEMEELISSEEASATTSKSSFETDSCEVNIEQKRKNIPSVSTALLLGGVLGLLETLLFVLSAKPILGYMGVTPDSAMMKPALQYLILRSLGAPAVLLSLAMQGVFRGLKDTKTPLYATVAGDAINIVLDPIFMFVFQYGVRGAAIAHVVSQYFIASILLWRLRLHIDLLPPSLKHLQFGRFLKNGFLLLARVIAATCCVTLSASMAARLGSTPMAAFQICLQTWLACSLLADGLAFAGQAILASAFARKDYPKATATASRVLQLALVLGLLLSILLGIGLRIGSGLFTRDQGVLHHIYIGIPVLVGIVSIICILTLASYSGFTGIWVALVIYMSLRMFAGFWRIGTAQGPWTFLRS >KQK90902 pep chromosome:Setaria_italica_v2.0:IX:46484175:46486807:1 gene:SETIT_034579mg transcript:KQK90902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVATVTELKQSISGKRTFRPSLISRHANEWPPTDVSSDLTVEVGTSSFALHKFPLVSRSGKIRRLVAEAKDAKLARLSLHGTPGGAQAFELAAKFCYGVHVEVTVANVAMLRCAAHYLQMTEDFSDKNLELRAEAFLRDAVLPSIASSVSVLRSCEALLPAAEDVNLVARLIAAIASNVCKEQLTSGLSKLDQCAQLRPAAAFVELDSPGDWWGKSVAGLGLDFFQRLLSAVKAKGLKQETVTRILINYAQNSLHGLMARDVHGGAKCGGGGADADAARKQRAVVEAIVGLLPAQSKRSPVPMAFLSGLLKTAMSVSASSICTADLEKRIGMQLDQAILEDILIAAGAGAGAATACGQLHGLYDTDVVARIFSVFLNLDDDSEEDGGFDYDSPRSPKQSLLVKAAKLLDSYLAEVALDSNILPSKFISLAELLPDHARLVTDGLYRAVDIFLKVHPNIKEAERYRLCKAIDCQRLTPDACSHAAQNERLPVQMAVQVLYFEQLRLRSAIQAGGGGGGMSMGGHDAALFFGCAAAAASAQGCGGVTNMRSGSGVGSGAMSPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKRELVRVGPANRLLRGFARSLSRLNALFRMRPAAEPGLQQLGAKATADAKVLFQRRRRHSIS >KQK87021 pep chromosome:Setaria_italica_v2.0:IX:5109060:5114338:-1 gene:SETIT_034425mg transcript:KQK87021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLAFLRSLPARLLERVCDGVRGSPGAVRDEEAGGSGGGGSGRSAAGHLAGECYACTQPGVPAFHSTTCDQVHSPGWDADAGSSLVPVRAQQAQASPSASAAQHAGAAARWLFGPVLDPRSKRVQRWNRWILLGRAAALAVDPLFFYALSVGRGGQPCLYMDAGLAAAVTALRTCADVAHLGHVLLQFRLAYVSRESLVVGCGKLVWDARAIAAHYARSLKGLWFDLFVILPLPQIIFWLVIPKLIREEQVKFIMTILLLIFIFQFLPKVYHSIHIMRKMQKVTGYIFGTIWWGFGLNLFAYFIASHIAGGCWYVLAIQRIASCLQEECKKNNSCDLMSLACSKEICFHPPWSSNVNGLACDANMTSFSQQNMSTCLSGNGSFAYGIYLGALPVISSNSLAVKILYPIFWGLMTLSTFGNDLAPTSNGLEVIFSIINVLSGLMLFTLLIGNIQVFLHAVLARKRKMQLRFRDMEWWMRRRQLPSRLRQRVRKFERERWAAVTGDEEMEMIKDLPEGLRRDIKRYLCLELVKQVPLFHGMDDLILDNICDRLRPLVFSSGEKVIREGDPVQRMVFILQGKLRSTQPLTKGVVATCMLGAGNFLGDELLSWCLRRPFVDRLPASSATFECVEAAQAFCLDAPDLQFITEHFRYKFANEKLKHTARYYSSNWRTWAAVNIQLAWRRYRARKMDVTAMVAPPLAAGPDDGDRRLRHYAAMFMSLRPHDHLE >KQK92813 pep chromosome:Setaria_italica_v2.0:IX:57320900:57323430:-1 gene:SETIT_035841mg transcript:KQK92813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGIVGDPHAAAMMMQQHVQQQLGVSLPMATSSFAPDAASAKPRPPGLPPTPPPASFAGHRPSPGDVCMDIDPAARSNKAHRRSRSDVPFGYFPPATSHLPPPKVEAGWSHHGVGGGGDADDLFNAYLNLEGLDGLNSSDERHDDGDSRGSSMKTNGADSSENESEECAADSRAAGIRLWGDASAAGDRREGLKRSAAGDPAAAAAPMARHARSLSMDSLIGKLNFSAGAAANGVMPGPNRFSLEFGSGEFTPVEMKKIMADEKLAEMALADPKRVKRVLANRQSAARSKERKMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGIATQNNELKFRLQAMEQQAQLRDALNEALTAEVQRLKLATAELGDSCSSNNLAQQLQLNAQDQMFQLHQQQATPIPFYQLQQAQQNGVGKNHESKE >KQK90595 pep chromosome:Setaria_italica_v2.0:IX:43922514:43924267:1 gene:SETIT_036381mg transcript:KQK90595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFGGRAPATIRCKYCSACLTVVHGESAIQCAQCCGVTRLRRSFLRRDAHPGLHSAAPPAGLFPCSRGKKRAVLIGITYAGMRRGCGELRGPVNDVKCMRHLLCQRFGFPSECVIMLTDDQRDPFRLPTKDNIRMAMRWLVQGCSFGDSLVFHFSGLGAQVADDDCDEVDGYDEAICPMDSFQRGPILDDEINETIVRPLVHGARLHAVVDACHSAGVLDLPFFCRTSRSGNWQWEDHRPPSGACKGTSGGQAVLFSGYSDGKSKFSVTPEAFASVGAMTHSFVKAVECEPRGVTYGRLLSSMKAIMTNGDGSCNLQVPIGAPVSKVANFSGVQEPNLSSSEMFDIYRKPFVL >KQK91086 pep chromosome:Setaria_italica_v2.0:IX:47704492:47705561:1 gene:SETIT_039071mg transcript:KQK91086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQDTHEARAESDARRAVEELARARDGHLVQAEKNARSAADEIARSRADRDVVGATGAGAGGILGSVQEGAKSFVSAVGRTFGGAKDAAADKTSQTAQATGDKLGEYRDYTAEKARETNDSVARKTSETAEATRNKLGEYKDAAVEKARETKDAVAHKTSETAEATKNKLGDFKDAAAGKAREAMDATADKAREAGDATRNKAQETRDYTADRAREARDVTKQKAGEYTDATRGAAQEARDRSRATAQTAADRARETAAGAHDADRGQAGPGLLGALGNVTGAIKEKLTLGGNGAAEQLHGARLGGDDERAAKERAAEK >KQK89555 pep chromosome:Setaria_italica_v2.0:IX:32863319:32863787:-1 gene:SETIT_038665mg transcript:KQK89555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCYDTNETALKDAFSQHGDVIAVKVICHPTTGKSKGFGFVTFSSEDEATAAVQKMNGEVLHGRTIRVQYSDSGRSDTTDLDA >KQK90063 pep chromosome:Setaria_italica_v2.0:IX:39261468:39265678:1 gene:SETIT_034488mg transcript:KQK90063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRQKSLFRDKVFVAIPEEPRDAKSILSWVMDHTSDGAEIIIIHIVTAPNFESQQQIVDSYLNQCSRKKVRAEKRVFLFNKIDEGLIHLIKIYGVTELVMGAAADRHYRRKMKAPESQTAMSVMQQAQSHCNIWFICKGKLVFFREANCCLLTKSKSARPSCGVGNPKMDLQSFLQPNLEAKRLEYMYIKEMKLRKETEEELSQQMEETESLKQATLMLQNELDWYRYQWKENASALQEANQQKCLLEHQISESDSIASYLGESMRVSDPLVQSLKLAYSKVKRERDDAVKKARDMHMEKELTAPCAYGVMNSEFSLLELEQATQVFSRSLNIGRGGFGSVYKGFLRGTTVAIKILDTESLHAQSQFQQEVVILSRVRHPHLVTLIGACPEASALVYEFLPNGSLEDRLNCVDNTLPLTWQVRIRIIREVCSALIFLHKHKPHPVVHGDLKPGNILLDANLLSKVSDFGISRLLLESSVTGSDAHFTSQPMGTPAYMDPEFFGTGELTPQSDTYSFGVTILRLLTGMAPLRLIRVVQGALNDDDLHSVLDHSAGEWPLVQAEQLARIGLQCSELSRQKRPDLQRDVWRVIGPIIKEDHVPLSQSFRSMFSESSRTVAMPSYFLCPISQVLMRDPQVAADGFTYEADALRRWLDSGHDTSPMTNKTLSNSDTIPNHSMRSAIQEYLRQNKMQELFALG >KQK92614 pep chromosome:Setaria_italica_v2.0:IX:56361949:56363591:-1 gene:SETIT_039377mg transcript:KQK92614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGAKGVEKAAASALPPLRLLAILAVIAWTLFLYLQFSVLSAAVEVEEVSHGADSADPCRGRYVYVHDLPPRFNADIIRDCRKTEDHWGDMCGFVSNAGLGRPLADRADGVITGEAGWYGTHQFALDFIFHNRMRQYECLTNHSAVANAVFVPFYAGFDFARYHWGYDNTTRDAASVDLAEWLMARPQWRRMGGRDHFLVAGRTGWDFRRSNNVDPDWGNDLLAMPAGRNMSVLVLESTFLHSSDYSVPYPTYFHPRSDADVLRWQDRVRGQRREWLMAFVGAPRPDVRMDIRIRDHVIAQCKASSACALLACARAPGSPQCHAPGDIMRLFQKATFCLQPPGDSCTRRSVFDSMVAGCIPVFFHTASAYKQYRWHLPKDHLNYSVYIPDADVRRRNVSIEAVLRAIPPDTVERMREEVIKLIPRVSYADPRSRLETIKDAFDVAVEGVLDTVARIKKGEYVDSGRPVSEDPPNLYVSTESS >KQK87018 pep chromosome:Setaria_italica_v2.0:IX:5102938:5106065:-1 gene:SETIT_037210mg transcript:KQK87018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEMDVDAAGGGERRPSEKELFGAAESGDASAFASLSPADLSLRNEDGRSLVHVAAAAGHPQVVLALLEAGGEAAASVLNAKDEEGWAPIHSVASAGNAQIMDILLERGADVDLTTDGGRTALHYAASKGRLNIAEKLIAHRANVNKKDKFGCTPLHRAASTGNAELCEFLIEEGAEVDAVDRTGQTPLMHAVICDNQGAWC >KQK87019 pep chromosome:Setaria_italica_v2.0:IX:5103247:5106050:-1 gene:SETIT_037210mg transcript:KQK87019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEMDVDAAGGGERRPSEKELFGAAESGDASAFASLSPADLSLRNEDGRSLVHVAAAAGHPQVVLALLEAGGEAAASVLNAKDEEGWAPIHSVASAGNAQIMDILLERGADVDLTTDGGRTALHYAASKGRLNIAEKLIAHRANVNKKDKFGCTPLHRAASTGNAELCEFLIEEGAEVDAVDRTGQTPLMHAVICDNQGVALLLIRHGADVDVEDKEGYTVLGRASNSLRPALIDAAKAMLEG >KQK90602 pep chromosome:Setaria_italica_v2.0:IX:43973843:43976964:1 gene:SETIT_037445mg transcript:KQK90602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFRRSGPPENRDTFSLLVLNVSFRTTADDLFPLFDRYGDVVDIYIPRDRRTGDSRGFAFVRYNYEGEAQDAVDGLDGRKVDGRAIMVQFAKYGPNSEKIHRGRITEEIPKPRGHFRSRSPRWRYRDDYRDRDYRRRSRSRSRERYDQDRYRDSDHRRRSRTRSVSPDYDRKHDRNSHSPAHRSPSHGKGHSPRRIPSCEGTPSRPRDGRSPRSGSH >KQK90601 pep chromosome:Setaria_italica_v2.0:IX:43973843:43977134:1 gene:SETIT_037445mg transcript:KQK90601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFRRSGPPENRDTFSLLVLNVSFRTTADDLFPLFDRYGDVVDIYIPRDRRTGDSRGFAFVRYNYEGEAQDAVDGLDGRKVDGRAIMVQFAKYGPNSEKIHRGRITEEIPKPRGHFRSRSPRWRYRDDYRDRDYRRRSRSRSRERYDQDRYRDSDHRRRSRTRSVSPDYDRKHDRNSHSPAHRSPSHGKGHSPRRIPSCEGTPSRPRDGRSPRSGSH >KQK92721 pep chromosome:Setaria_italica_v2.0:IX:56932419:56933088:-1 gene:SETIT_040819mg transcript:KQK92721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLAVSPAKVAAVPVWWVADLLRWRRRTVAVAASESGPGAKEVPLEECCSEEEEERQAAMERLRRLEECVVAAEDGCEQVYRALVNARVSLLNVLTPCF >KQK87637 pep chromosome:Setaria_italica_v2.0:IX:8345049:8345785:-1 gene:SETIT_040382mg transcript:KQK87637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPTARIFFHFRLVMEATDHGGILPQRCSSMGNDNDDGKRRRICEGSWVRRMLQDAKGCNLFVISFLWM >KQK90583 pep chromosome:Setaria_italica_v2.0:IX:43841023:43845496:1 gene:SETIT_036041mg transcript:KQK90583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLPTSAPFPSPPPRGPRRPISAPRPRLPRLLLPLCSPWPPPPLRSCAAMERYEVIRDIGSGNFGVAKLVRDVTTKELFAVKFIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICTAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSVAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDDPRNFRKTITRILSVQYSIPDYVRVSMECRHLLSRIFVGNPEQRITIPEIKNHPWFLKNLPIEMTDDYQQSMQLADMNSSEQSLEEVMAIIQEARKPGEAMKLAGQVSCLGSMDMDLDDIDLDDIDDIDIENSGDFVCAM >KQK88281 pep chromosome:Setaria_italica_v2.0:IX:12866613:12867188:-1 gene:SETIT_040124mg transcript:KQK88281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLVGVHHHHHHHHSLSPRTPRTPTRAQPQPQPLLHHLPSNRFRDLQPQIHPAAARVLRATPPFFLVLLAAVYLLASVTIFSAPTPLLRLSGTAPRPLLLSMPAPPPAPELFELRGGRIRVRLTNVGAAVTSLLVPDKKGVLADVVLGFDTLDPYL >KQK92015 pep chromosome:Setaria_italica_v2.0:IX:53234025:53241192:1 gene:SETIT_034013mg transcript:KQK92015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVPNGLLPNASTGVTRRLDPERWAVAEGRTAELIARIQPNAHSEGRRLAVYHYVQRLIMNCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSDELKEIWANLVRDALEREEKSENSEFHVKEVQYIQAEVKIIKCLVENIAVDISFNQVGGLCTLCFLEEVDNLISRNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVMYRFLEFFSNFDWEKFCLSLRGPVPISSLPDMTAEPPLMDSGELLLDKSFLDNCSTAYGVVPRTQENQGRPFVSKHFNVIDPLRANNNLGRSVSKASNSHSNDITKSSQDEPVSSLSSSSHPSAKAVSDSNSVSSSYREDNGCVMNGERPSVSESSDMRHDEQFLVNLMDSVKLHGSNGQIQLPMQLPSHLSVAHSPLLAPTTFLQKHLAGVRPPNLTGAPWLPNMQFLHGLVTPTAQYIHNPTLAPSVEDGSESEKPTTSDANHDTDKTWHQYGIGYSKQFDPEARDPHIYDIDGKERPSFPNGVHGAPLERQMEFTLENNGADDETYNSMFQNQTSREGNVDYSKRSGFVNVPSSHGSSSRGKALDASSWDEVSVNTTRSSRNKWGKEPGFAAPATSTHSKTGGQMGNANDHLPTEVDNGPRNGTVVPIINEASEIVAGSDSFSTQTRTSAPFLFGSPQQRQADKSGLTFVPTGTPVPFVVLPFIPGNSDGSGPQFERNEGIDQLSAKIACQNFCSLSDVHQPDSGATSTASISTMTEPSGEHKPDILKGDLVNHWYNLQYARLCQNARSLGPVLYPFPVPPMYLQGHAPWDGPGGPVAPNVNWTQMIGPGQRVFPVMPLQPAAERGTGVFQHYGEDAPRYRGGTGTYMPNPKVPFRDRHSNSRNYRGGYNGDRSDYSDKEGSWINSKQRNPNRSYGRSQSERSGMRSDRQANDESQSDRQRRTFRNDSYRHEASSQYLVQGQSFGSASSMRKPGNIAHGVYTPQSPASNGAGALSGPPGPPFFIVYSYEPGANHGPSTSEPIEFGSLGPLPAADGDDIPRSTRQVMPNGFYGQRRGPYRGGSSHSSPDQPSSPQPRR >KQK86333 pep chromosome:Setaria_italica_v2.0:IX:1332287:1332877:-1 gene:SETIT_038810mg transcript:KQK86333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARSAMSWYCSTILAVVVALFLSASLGTGAGADLKGSCAATPHPEACVSALQKDAVARMGAAATPRDLAEAAIRAASDAGAAAGDYARKEMDVVKDNGMWQCLNECAEDIEEALSHLDDSEGGVDDAKLKDVKLFLDTAEQDAWNCDQSCKGAANTPVKAALLAKNKDFENLMAVTLALLKRVTGGDDAPGPAPAK >KQK86174 pep chromosome:Setaria_italica_v2.0:IX:616878:618728:-1 gene:SETIT_035064mg transcript:KQK86174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKLLKEVQSTMATPPLLPSQLLAGLLQQWQLGLLVLLVSSCFLLASRRRASGAAAKDGPPRLPPGPAQVPVLGNLHLLGPLPHRSLRDLARRHGPVMLLRLGSVPTVVVSGAAAAREVMKEHDIDCCSRPASPGPRRLSYGLKDVAFAPYGEQWREARKLFIVELLSMRRVQAAWYAREQQVDRLIADLSRAGAEAAPVALNEHIFGLADGIVGTVAFGNIYGTERFAHRVRFQHVLDEAMNMMASFSAEDFFPNAAGRLVDRVTGLVARRERIFRELDAFFETVIDQHMDPARVVPENGGDLVDVLISLWKEHHRGTLRFTRDHVKGLIMDTFIGGIDTSSVTMLWAMSELIRKPRVLRKAQDEVRAVVGGKARVDPDDVPKLPYLKMVVKETLRLHPPATLLLPRETMRDVRIGGYDVPARTRVFVNAWAIGRDPASWADAEEFHPDRFEGSDVDYNGAHFELVPFGAGRRICPGLAMGETNVTFTLANLLYCFDWALPEGMAAEDVSMEEAGGLTFHQKMPLVLVPTRYHHRTATA >KQK89364 pep chromosome:Setaria_italica_v2.0:IX:25432550:25432726:-1 gene:SETIT_039017mg transcript:KQK89364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTPWNIPFIRGLVGDKLTGWNDLVARIAPYQLSDGRDNFTWELHRYGNFAVSSMYQ >KQK86542 pep chromosome:Setaria_italica_v2.0:IX:2535246:2537777:-1 gene:SETIT_036092mg transcript:KQK86542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPWGMLLLLVLSVSSSSSSSAAVATLAVSAPPPAPAPRHAQDAEGLLINGNFETAPRKLNKTLIVGRHSLPGWTLRGHVEYVSGGPQPGGMFFAVPHGVHALRLGSRASASQNVTVRPGALYALTFAATRTCAQDENLRIAVAPSLSAPADVAVRTLYSGASADTWAWGFRASSPVAQVTFANPGVQEDPACGPLLDAVAIKELPTPYPTKDNLIKNAGFEIGPQVFKNSSVGVLLPPKQKDTTSPLPGWIIESLKAVRFIDAAHFSVPEGQYAVELVAGRESAIAQVIRTVPNRAYNLSFAVGDAKNGCHGSMLVEAFAGNVTQKVPFESAGKGAFKAAGFRFVASGVRTRLTFYSSYYHTKASDGVSLCGPVLDQVKVVPLPVKA >KQK89872 pep chromosome:Setaria_italica_v2.0:IX:36599901:36603572:-1 gene:SETIT_037283mg transcript:KQK89872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRYEGDWVDGKYDGFGVETWARGSRYRGQYRQGLRHGYGVYRFYTGDVYAGEWSNGQSHGYGVHTCEDGSRYIGEFKRGVKHGLGHYHFRNGDTYAGEYFADRMHGFGVYSFANGHRYEGAWHEGRRQGLGMYSFRNGETQAGHWQNGVLDTLSTQNFIPGSPIAVNHSKVLNAVQEARRAAEKAYDIPRVDDKVNRAVAAANKAANAARVAAVKAAQKRIPNNGDDLPLSVV >KQK92134 pep chromosome:Setaria_italica_v2.0:IX:53886684:53888250:1 gene:SETIT_039732mg transcript:KQK92134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALRFCIGLSLESGDLAGLKEKSEKEKKSEKMEEDDWEYRFPFGTNTTGRPEHDTKKPGPKTARPVSHRASARHGTLTAYCDDQAVSEAEVIWAEGAEGSDLAEHINAFSQMVTDLEQLGVTVDDEDKAIILLCSLPSSYEHVITTLTYGKESIKVEDITAALLAREQRRKNNASLVYLGNDTDYRAIGVGDIKIKTHDGVEQLDGKIMKITKGDKPTMIGERMASHIYKLQGCTIASGVMEDRVARIVVSSEGGGSEAKSDSSGWLALSYKVNNPSPVYTRRFVHDGFKMVNIR >KQK87484 pep chromosome:Setaria_italica_v2.0:IX:7514916:7519352:-1 gene:SETIT_035425mg transcript:KQK87484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSKLLNLTLSSTFLRSCRLASTSIFPTASRRHPGPLLSLRFCSAAPAAVDVAADPAVAAVSSGHPWPEWGEFLDKLRAKGYFEQVLPASGVSAGEGAAGDGEAAASDNAAAAAADNGVVIAADSAVASKDTYPFRDLNRVKNACLKFARDRYDLLSSLPKQDMQAIVKCGCPNTNRKPVNSAKRLREFLNVEEKDACKDCKLQKSCDRAYLTPKAENEVRTTDVMRILLDYAIDTKSLSGENSVNESVQESARKLLSELIILSDTTIDPSHPKPVFQTSSKQQSSDKSKAMARGSVERGRETTPTEMKMGDWLCTNCNFLNFARNRRCLECKADGPKKVEAATAEMKKGDWICTQCHFMNFSRNKICFKCEEPRPKRQLNPGEWECPSCDFLNFGRNRVCKKCNLDRPEDDTQNSQLGLRNTRGAGKSRSFDYSDQDSDNDGDASRYKGFRKHAASRNRGFGDLEDGLTAKTRSFQGRRG >KQK90413 pep chromosome:Setaria_italica_v2.0:IX:42478106:42478912:-1 gene:SETIT_039991mg transcript:KQK90413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERDAQGKSNGAAAASIHSFSQLPFIRLTRDKPLPPPPTSNPAPPVRLFGFDVPPDAAATVSPSDDVKESSAKDSTTTTAEATAPMAPPGPGASGGRRFECRYCCRNFRTSQALGGHQNAHKQERQHAKRARFQTAMAMRHGQCYPHPLDPAAHLYDPNYAVMPAPPPPPHYPTWAGAAYYVTPRPVDVPHQIVGSPAMPKLWRPRDGGVGVGTTSLATRRQQAVVAGGAGSTTFSQSTSSSSWSTSPHELPKLPELKESVSLDLSL >KQK91259 pep chromosome:Setaria_italica_v2.0:IX:48826319:48827272:-1 gene:SETIT_037841mg transcript:KQK91259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNSWTLEIASPVAAPRLFRAAVMDWHTLAPKLVSDVVASAHPVEGEGGVGSVRQFNFTSAMPFGFMKERLEFLDADKCECKSTLVEGGGIGVAIETATSHIKVEPTADGGSLVKVDSTYKLLPGVEVKDEIAKAKESVTAIFKAAEAFLVANPDAYN >KQK89419 pep chromosome:Setaria_italica_v2.0:IX:28428089:28432666:1 gene:SETIT_035328mg transcript:KQK89419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATAPASPAPVHPSRVRVLQPGGGRTTGPVVYWMLRDQRLADNWALLHAAGLAAASAPAAPIAIAFALFPRPFLLGARRRQLGFLLRGLQRLAADARARGLPFFLLEGGPAEVPALVRRLGASALVADFSPLRQVREALDAVASELQRDAADMAVHQVDAHNVVPVWAASGKLEYSAKTFRGKVSKVMDEYLVEYPELPALMPWDGEQSEDIYWDALIDRVCSEAENVPEIDWCEPGEAAALEVLLGSKDGFLTKRIKNYDMGRNDPTKPHALSCLSPYLHFGHISAQRCALEARKRRQLSPKSVDAFLEELLIRRELADNFCYYQPHYDSLAGAWEWARKTLMDHASDKREHIYTREQLENAKTSDPLWNASQLEMVHHGKMHGFMRMYWAKKILEWTSGPEEALSVAIYLNDKYHIDGRDPNGYVGCMWSICGLHDQGWKERPVFGKIRYMNYAGCKRKFNVDAYISYVKRLVAQAKKRKAEESPDTGKVKASRV >KQK89418 pep chromosome:Setaria_italica_v2.0:IX:28428089:28430321:1 gene:SETIT_035328mg transcript:KQK89418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATAPASPAPVHPSRVRVLQPGGGRTTGPVVYWMLRDQRLADNWALLHAAGLAAASAPAAPIAIAFALFPRPFLLGARRRQLGFLLRGLQRLAADARARGLPFFLLEGGPAEVPALVRRLGASALVADFSPLRQVREALDAVASELQRDAADMAVHQVDAHNVVPVWAASGKLEYSAKTFRGKVSKVMDEYLVEYPELPALMPWDGEQSEDIYWDALIDRVCSEAENVPEIDWCEPGEAAALEVLLGSKDGFLTKRIKNYDMGRNDPTKPHALSCLSPYLHFGHISAQRCALEARKRRQLSPKSVDAFLEELLIRRELADNFCYYQPHYDSLAGAWEWARKTLMDHASDKREHIYTREQLENAKTSDPLWNASQLEMVHHGKMHGFMR >KQK92775 pep chromosome:Setaria_italica_v2.0:IX:57197443:57198014:1 gene:SETIT_040728mg transcript:KQK92775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRALVLPLLPPRPRRRRPTQAAARPQALPQVRGGHGGCLIVVLRFRR >KQK88475 pep chromosome:Setaria_italica_v2.0:IX:14517301:14520028:-1 gene:SETIT_034377mg transcript:KQK88475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPSLAVSGGATGGSFPAVAGADARRPPASSVAVVDKSGDRLSHPVFTPTLQSNSNGRSIQAGQNGGGVEAPLRPLDVEEAMGMLREGKTVRSAMYVPLLHRCVEAGSLGDARAVHGHMVKTGTSVDMFVATSLVNAYMRCGANLDARSLFDGMPEKNVVTWTALITGYTLNSQPVAALEVFVEMLEAGRYPSHFTLGATLNACSASDNVGMGKQVHGYTIKYGAELITSVGNSLCRLYAKSGSLESAMRTFQRVPDKNVITWTTMISACAEDENYVELGLTLFLDMLMDGVMPNEFTLTSVMSLCGTRLDLNLGKQVQAFCFKIGCETNLPVKNSTMYLYLRKGETEEAMRLFEDMEDVSIITWNAMISGYAQIMETAKDDLHARSRGFQALKIFRNLKRSSMKPDLFTFSSILSVCSAMMALEQGEQIHAQTIKTGFLSDVVVNSALVNMYNKCGCIEDATKAFVEMSIRTLVTWTSMISGYSQHGRPQEAIQLFEDMRLAGVKPNEITFVSVLSACSYAGLVEEAERYFNMMKEEYKIEPVVDHYGCMIDMFVRLGRLDDAFFFIKRTGFEPNEAIWSSLVAGCRSHGNMELAFYAANRLLELKPKGVETYVLLLNMYISSGRWHDVARVRKLMRQEDLGILRDRSWITIKDKVYFFRANDKTHERSDDLYQLLENLLEKAKAFGYEPYQNAEVSDGEDDENPAAGSLKHHSERLAVALGLLQTPPERLLSGTVNAFTSSRMANALVGIL >KQK91710 pep chromosome:Setaria_italica_v2.0:IX:51386366:51390757:-1 gene:SETIT_035200mg transcript:KQK91710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEANGSLANEKAPETVGVGRYVEMEQDGDSNTVKSRLSGLLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISILYVEYRTRKEREKKADFRNHVIQWFEVLDGLLGRHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLVMTTYTAWYLAIASIIHGQVDGVKHSGPTMMVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKAIYLLATLYVLTLTLPSAASVYWAFGDQLLTHSNAFALLPRTAFRDAAVVLMLVHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIVFPFFGPINSAVGSLLVSFTVYIIPALAHMITFRSASARENAVEPPPRLVGRWTGTYMINAFVVAWVLVVGFGFGGWASMTNFVHQIDTFGLFTKCYQCPPPPLPPAAPLPFPGGLGNITMPFAGGLPPAAAPSPAHFLHHHRHHSHGL >KQK87908 pep chromosome:Setaria_italica_v2.0:IX:10103861:10108079:1 gene:SETIT_034596mg transcript:KQK87908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGENLNGADIGGSRASSHCVDSTGYRVSFPPKKSLLQEFSGSVKALFFAGDDPLRQYKEQPSWSKRVWLSLQNVFPVLEWGRHYTFGKFRGDFVAGLTIASLCIPQDIGYSKLAHLPPEIGLYGSFVPPLIYPLLGTSRDLAMGPMAVVSLMLGSLLQKEIDPKMHPLEYKRLAFTATFFAGITQAALGFFRLGFIIEYLSHAAIIGFMGGAAIIIALQQLKGFLGIKNFTNNTDIVSVMKSIFKSAHHGWNWQTILIAASFLGFLMVTKYIGKKKKKLFWMSATAPLISVIVSTFFVYITRADKHGVAVVKNIEKGINPPSASLIYFSGPFMLKGFKIGVEAIAVGRTFAGMKDYKLDGNKEMMALGTMNVVGSLTSCYIATGGFARSAVNCMAGCKTAASNIVMSMVVLLTLLFLTPLFKYTPNAILSSIIISAVLGLIDYKAAYHIWKVDKLDFLACLGAFLGVVFSSVDYGLLIAIAISIAKILLQATRPRTALLGNLPRTTVYRNIEQYPDVTTVPGVVIVQVDSAIYFTNSNYVKERILRLLEEEEERQRQQNCPQIEFLIVELSPVVDIDTSGIHALEELCRALVKCKIQLVLANPGPAVIQKLRSAKFIELIGEDKMCLTVGDAVKRFAPKAVDGV >KQK89269 pep chromosome:Setaria_italica_v2.0:IX:22807273:22808729:1 gene:SETIT_039131mg transcript:KQK89269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKGSVPLKVNVFTCKLARNALPTRRRKFTRKLEQEDTCTLCGITAETSFHATTRSGSGPDWLLLLDTCSPEQRDLTKLVLWRTWSVHNNITHQSGSSQLADSVFFLLNMRESCEQARKKEEITSRKGKEHCSGSGNREEARRLESWTPPPERWTKVNIDGSFVEQTGAAGVGVIAKNHRGEVIFTAWRAIFRCANAAEAEAKACAEGVRLATQWAHGSVIVETDCARVLKAMRSREDRSEISFVITEATRPIYRVVLLLGTKGLSTMVKKVRARLEVVGLNPTDRARADLRRCACAGTSRLFINFFLYYLGAKPFSTGRCNSTFIWLGRAPACVPDQVVNDCNSIPA >KQK90116 pep chromosome:Setaria_italica_v2.0:IX:39878213:39878472:-1 gene:SETIT_038817mg transcript:KQK90116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNEVGRSLFAKAGELGAPVGIMVMKSQKN >KQK88858 pep chromosome:Setaria_italica_v2.0:IX:17874022:17876850:1 gene:SETIT_034447mg transcript:KQK88858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGAMAAGAAAAAFLATLRALRRSVAREALLRASLVRHKEAPQQAERKSLNKTSAFAGASHDIRSALAAITGLVDVSRVEARAHPQITRNLEQMDVCTKKLLEILNSILDTSKVESGKMQLDEVEFNLADVLEESMDMINIVGISKGLEVVWDPCDFSILKCGDVIGDCRRLKQILDNVLGNSVKFTQEGHIILRAWANRPITRSSTIVPSRFGCLRPGANFLCFFKTREHHDDCHPFSLVQNDPNSIEFYFEVDDTGIGIPKEKRELVFEDYVQIKEGQGGTGLGLGIVQSFVRLMGGEISIKDKEPGKIGTCVGFNVLMKLDGAHEQHDIEEGSSIPSTETSESRIRASAFREANSFDGVHCVLLVHGGETRRILRAWMENLGIEVCLVPQLEFLAAAVEKLCHADTSPARTSSDSFECRTDYCFRPRDRVVQILPVALNNSNSVQRGTFGGVLVVIDAHYGKMEDMCTEMSFAKVKNQIPCKVVCLADANTSSNDLRQFRHSTCCDLILQKPIHGSRLHALLKILMDLQMPHAQNSSHVSPDDNTDTGTPGGSSGAGKSAMITHTASEPRLEDDKPLTGTHVLLVEDTLTLQTIGKKILYQLGATVEVAEDGAKAVSMFEAALEQAAAGSEKDATSTPYDVILMDCQMPVMDGYEATRRIREVENRYGIHTRIIALTAHAMEEETRKTILAGMDLHLTKPMERRSIAEAIRRVRGGQG >KQK86578 pep chromosome:Setaria_italica_v2.0:IX:2753891:2755569:1 gene:SETIT_035396mg transcript:KQK86578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEEAAGGDKLNVVLFPWLAFGHLIPYLELGKRLAARGHAVTFLSTPRNVARLPPVPAHLAPRIRLVALPAPIVEGLPEGAESTADVPPEKNELIKKALDGLAAPFAAFLADAVAGGRRPDWIVIDFCHSWVPAIADEHGVPCAAFQIVPGAMIAFFGTRSANAAHPRTTPEDFTAPPEWCASFPPGTAYRRHEARWVADAFKVNASGVSDMDRVCEITERTRFTIYRSDNEVEPAGAGVFSLLTDLWQKPAIPAGILLQPDFDGPSNDESRSGSARPEVLQWLDKQPSKSVIYVALGSETPLTAENLHEVALGLELAGVRFLWAFRKPTGISAPGTDVVELLPAGFEARTRGHGLVWTGWVPQVRVLAHGAVGAFLTHCGWGSTIEGLAFGHPLVMLPFVVDQGLIARTMEERGVGVEVARDDGDGSFGRNDVAAAVRRVMVEEERKVFSSNATKLKQVLNDQRRQDQYMDELVGYLTRYKDSNSY >KQK91402 pep chromosome:Setaria_italica_v2.0:IX:49608816:49609171:-1 gene:SETIT_038278mg transcript:KQK91402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGRVEVERRRGECRATVVALAGRRVWWRWPWWLVTLRRRRVGVCRVKASSAIRWADNGDASSAALPGGTLSRHPPRFSGGISG >KQK92011 pep chromosome:Setaria_italica_v2.0:IX:53208780:53218092:1 gene:SETIT_033912mg transcript:KQK92011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVPNGLLPNASAGVTRRLDPERWAVAEGRTAELIARIQPNAHSEGRRLAVYHYVQRLIMSCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEDLNETWANLVRDVLEREEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEQIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPIRSLPDMSAEPPRMDSGELLLDKSFLDTCSTAYGVVPRTQENQGQPFVSKHFNVIDPLRANNNLGRSVSKGNFFRIRSAFAYGAKRLGKLLECPKEDLITELNQFFTNTWMRHGSGSRPDVPTPSLVDVQPQKVVPSVVSNSHRSVTALRKKVENPKLLANQDNLRVNQDNSTEVGHSYSDPSQQIQKTDLHCRNLPRTVNPSAPHAQHQKIYAAQGNAMVSEQLERNNLAGLMQGERDKRVPNGLFINDRNGQNRSRFARTRSSPELTDSSVEGFRGRRTNVVGMEKSLRVDYSSRRNILVPEVSSNHSTKSSQDESMSSLNSSSHPSAKAVSDSNSVSSSYREDNGCVMNEELPSVSQSSDMHHDEQVLANLMDSMKLHGFNGQIELPMQIPSHLSVAHSPLLAPIAFSQKHLAGVPPPNLVGAQWLPNMQFLHGFVAPTTQYIHNPTFSPNVEDGSESEKPIASDANHDTGKTWHEYGVGYSRQFDPEVRDPRIYDIDGKERPSLPNGVHGAPLERQMEFTLENNGADDETYTSMFQNQTSRERNVDYSKRSGFVNIPSSQGSSSRGKALDASSWNEVTVNTTRSSRDKWGKRPAFAAPGTTTRSKTGWQMGNANDHLLTEVDDGPRNGTVVPIINEASERVAGSDSISTQSRTSVPNDFDSSQIGMPNPVFAPFLIGSPQQRQVDNSGLTFVPTGPPVPFVVLPFVPGNSDGSGPQFERSEGIDQLPANIAGQNFSLLNDVHQPDCGATSTASINTMTEPSEEHKPDILNSDLIGHWHNLQYGRLCQNARPLGPVLYPFPVPPMYLQGHAPWDGPGRPAAPNVNWTQMMGPGQRVFPVMPLQPAAERGTGVLQHYGEDAPRYRGGTGTYLPNPKVPFRDRHSNSRNYRGGYNGDRSDYSDKEGSWINSTQRNPNRSYGRSQSERSGMRSDRQANDESQSDRQRRTYRNDSYRHEASSQYLVQGQSFGSASSMRKPGNVAHGVYTPQSTASNGAGALSGPPGPPFFMMYSYEPGANHGPSTSEPIEFGSLGPLPAENGDDIPRSTRQVMPNGFYGQRRGPYRGGSSHSSPDQPSSPQPRS >KQK92008 pep chromosome:Setaria_italica_v2.0:IX:53208780:53217028:1 gene:SETIT_033912mg transcript:KQK92008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVPNGLLPNASAGVTRRLDPERWAVAEGRTAELIARIQPNAHSEGRRLAVYHYVQRLIMSCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEDLNETWANLVRDVLEREEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEQIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPIRSLPDMSAEPPRMDSGELLLDKSFLDTCSTAYGVVPRTQENQGQPFVSKHFNVIDPLRANNNLGRSVSKGNFFRIRSAFAYGAKRLGKLLECPKEDLITELNQFFTNTWMRHGSGSRPDVPTPSLVDVQPQKVVPSVVSNSHRSVTALRKKVENPKLLANQDNLRVNQDNSTEVGHSYSDPSQQIQKTDLHCRNLPRTVNPSAPHAQHQKIYAAQGNAMVSEQLERNNLAGLMQGERDKRVPNGLFINDRNGQNRSRFARTRSSPELTDSSVEGFRGRRTNVVGMEKSLRVDYSSRRNILVPEVSSNHSTKSSQDESMSSLNSSSHPSAKAVSDSNSVSSSYREDNGCVMNEELPSVSQSSDMHHDEQVLANLMDSMKLHGFNGQIELPMQIPSHLSVAHSPLLAPIAFSQKHLAGVPPPNLVGAQWLPNMQFLHGFVAPTTQYIHNPTFSPNVEDGSESEKPIASDANHDTGKTWHEYGVGYSRQFDPEVRDPRIYDIDGKERPSLPNGVHGAPLERQMEFTLENNGADDETYTSMFQNQTSRERNVDYSKRSGFVNIPSSQGSSSRGKALDASSWNEVTVNTTRSSRDKWGKRPAFAAPGTTTRSKTGWQMGNANDHLLTEVDDGPRNGTVVPIINEASERVAGSDSISTQSRTSVPNDFDSSQIGMPNPVFAPFLIGSPQQRQVDNSGLTFVPTGPPVPFVVLPFVPGNSDGSGPQFERSEGIDQLPANIAGQNFSLLNDVHQPDCGATSTASINTMTEPSEEHKPDILNSDLIGHWHNLQYGRLCQNARPLGPVLYPFPVPPMYLQGHAPWDGPGRPAAPNVNWTQMMGPGQRVFPVMPLQPAAERGTGVLQHYGEDAPRYRGGTGTYLPNPKVPFRDRHSNSRNYRGGYNGDRSDYSDKEGSWINSTQRNPNRSYGRSQSERSGMRSDRQANDESQSDRQRRTYRNDSYRHEASSQYLVQGQSFGSASSMRKPGNVAHGVYTPQSTASNGAGALSGPPGPPFFMMYSYEPGANHGPSTSEPIEFGSLGPLPAENGDDIPRSTRQVMPNGFYGQRRGPYRGGSSHSSPDQPSSPQPRSGPSGVKILRVDHSGMGTSSHYCDGDNDQ >KQK92009 pep chromosome:Setaria_italica_v2.0:IX:53208780:53218092:1 gene:SETIT_033912mg transcript:KQK92009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVPNGLLPNASAGVTRRLDPERWAVAEGRTAELIARIQPNAHSEGRRLAVYHYVQRLIMSCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEDLNETWANLVRDVLEREEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEQIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPIRSLPDMSAEPPRMDSGELLLDKSFLDTCSTAYGVVPRTQENQGQPFVSKHFNVIDPLRANNNLGRSVSKGNFFRIRSAFAYGAKRLGKLLECPKEDLITELNQFFTNTWMRHGSGSRPDVPTPSLVDVQPQKVVPSVVSNSHRSVTALRKKVENPKLLANQDNLRVNQDNSTEVGHSYSDPSQQIQKTDLHCRNLPRTVNPSAPHAQHQKIYAAQGNAMVSEQLERNNLAGLMQGERDKRVPNGLFINDRNGQNRSRFARTRSSPELTDSSVEGFRGRRTNVVGMEKSLRVDYSSRRNILVPEVSSNHSTKSSQDESMSSLNSSSHPSAKAVSDSNSVSSSYREDNGCVMNEELPSVSQSSDMHHDEQVLANLMDSMKLHGFNGQIELPMQIPSHLSVAHSPLLAPIAFSQKHLAGVPPPNLVGAQWLPNMQFLHGFVAPTTQYIHNPTFSPNVEDGSESEKPIASDANHDTGKTWHEYGVGYSRQFDPEVRDPRIYDIDGKERPSLPNGVHGAPLERQMEFTLENNGADDETYTSMFQNQTSRERNVDYSKRSGFVNIPSSQGSSSRGKALDASSWNEVTVNTTRSSRDKWGKRPAFAAPGTTTRSKTGWQMGNANDHLLTEVDDGPRNGTVVPIINEASERVAGSDSISTQSRTSVPNDFDSSQIGMPNPVFAPFLIGSPQQRQVDNSGLTFVPTGPPVPFVVLPFVPGNSDGSGPQFERSEGIDQLPANIAGQNFSLLNDVHQPDCGATSTASINTMTEPSEEHKPDILNSDLIGHWHNLQYGRLCQNARPLGPVLYPFPVPPMYLQGHAPWDGPGRPAAPNVNWTQMMGPGQRVFPVMPLQPAAERGTGVLQHYGEDAPRYRGGTGTYLPNPVPFRDRHSNSRNYRGGYNGDRSDYSDKEGSWINSTQRNPNRSYGRSQSERSGMRSDRQANDESQSDRQRRTYRNDSYRHEASSQYLVQGQSFGSASSMRKPGNVAHGVYTPQSTASNGAGALSGPPGPPFFMMYSYEPGANHGPSTSEPIEFGSLGPLPAENGDDIPRSTRQVMPNGFYGQRRGPYRGGSSHSSPDQPSSPQPRR >KQK92010 pep chromosome:Setaria_italica_v2.0:IX:53208780:53218092:1 gene:SETIT_033912mg transcript:KQK92010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVPNGLLPNASAGVTRRLDPERWAVAEGRTAELIARIQPNAHSEGRRLAVYHYVQRLIMSCLSCQVFTFGSVPLKTYLPDGDIDVTAFSNSEDLNETWANLVRDVLEREEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEQIDNLISRNHLFKRSIILIKAWCFYESRILGAHHGLISTYALETLVLYIFHIFNNSFTGPLEVLYRFLEFFSNFDWEKFCLSLWGPVPIRSLPDMSAEPPRMDSGELLLDKSFLDTCSTAYGVVPRTQENQGQPFVSKHFNVIDPLRANNNLGRSVSKGNFFRIRSAFAYGAKRLGKLLECPKEDLITELNQFFTNTWMRHGSGSRPDVPTPSLVDVQPQKVVPSVVSNSHRSVTALRKKVENPKLLANQDNLRVNQDNSTEVGHSYSDPSQQIQKTDLHCRNLPRTVNPSAPHAQHQKIYAAQGNAMVSEQLERNNLAGLMQGERDKRVPNGLFINDRNGQNRSRFARTRSSPELTDSSVEGFRGRRTNVVGMEKSLRVDYSSRRNILVPEVSSNHSTKSSQDESMSSLNSSSHPSAKAVSDSNSVSSSYREDNGCVMNEELPSVSQSSDMHHDEQVLANLMDSMKLHGFNGQIELPMQIPSHLSVAHSPLLAPIAFSQKHLAGVPPPNLVGAQWLPNMQFLHGFVAPTTQYIHNPTFSPNVEDGSESEKPIASDANHDTGKTWHEYGVGYSRQFDPEVRDPRIYDIDGKERPSLPNGVHGAPLERQMEFTLENNGADDETYTSMFQNQTSRERNVDYSKRSGFVNIPSSQGSSSRGKALDASSWNEVTVNTTRSSRDKWGKRPAFAAPGTTTRSKTGWQMGNANDHLLTEVDDGPRNGTVVPIINEASERVAGSDSISTQSRTSVPNDFDSSQIGMPNPVFAPFLIGSPQQRQVDNSGLTFVPTGPPVPFVVLPFVPGNSDGSGPQFERSEGIDQLPANIAGQNFSLLNDVHQPDCGATSTASINTMTEPSEEHKPDILNSDLIGHWHNLQYGRLCQNARPLGPVLYPFPVPPMYLQGHAPWDGPGRPAAPNVNWTQMMGPGQRVFPVMPLQPAAERGTGVLQHYGEDAPRYRGGTGTYLPNPKVPFRDRHSNSRNYRGGYNGDRSDYSDKEGSWINSTQRNPNRSYGRSQSERSGMRSDRQANDESQSDRQRRTYRNDSYRHEASSQYLVQGQSFGSASSMRKPGNVAHGVYTPQSTASNGAGALSGPPGPPFFMMYSYEPGANHGPSTSEPIEFGSLGPLPAENGDDIPRSTRQVMPNGFYGQRRGPYRGGSSHSSPDQPSSPQPRR >KQK90038 pep chromosome:Setaria_italica_v2.0:IX:38886325:38887364:1 gene:SETIT_037136mg transcript:KQK90038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIMYSDLAPHGPLKLYHFIIIVAAPAKDYSLSSSKSEKTFNAFLSISILASVFRSGILPEIQATLASPAAGKMTKALVLCYAVVFFTFYLPAITGCWAFGNQVQSNLLGVAVVLVLLQLLAIALVYSQVAYEIMEKNSADVAHGRFSRRNLAPRVALRTAYVAACALVAAALPFFGDDIVGVVGAVGFIPLDFILPVVMYNMALAPPRRSPVYLANVAIMVVFTGVGVIGAVASVRKLALDAGKFKLFSENVVD >KQK87412 pep chromosome:Setaria_italica_v2.0:IX:7208510:7217669:1 gene:SETIT_0340722mg transcript:KQK87412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAYDAVELVPGVPGRIEAVASHAGKLLVAASDSEGFLRGSDCSLRIYSAPGSSTEGGGEIRRDGPYALERHEQRFWRRPPLAMEVSASRDLLLSLSEWVALHRLPGLETVAVVSNKTKGANVFAWDDRRGLLAVGRQKRLTVFRLDSGREFVEVKEFGVPDILKSMAWCGDNICLGIRREYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELLLGKDNIGVFVDQNGKLIQDGRIIWSDTPASVVIHRPYAVARLPRHVEIRSLRAPNALVQTLLLRDVQKLVQTDNCILAALSNSVYGFLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGSYEEAMEQFSDSHVDITYVLSLYPSIVLPQTHIIGEHDKLLDMPELARESSDVTDEMESYSLQLHESDDKSPLEVKKMSHNALVALVKYLQKKRSGIIERATAEVTEEVVSGAVHHSLILSEPYKPKKPNKKRAQTHTSSIAREMATVLDTSLLQALLLTGQSSGAIELLKGLNYCDLKICEEFLKERSEYMVLLELYKSNEMHREALQLLNQLVEESKSEMENTDFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSETIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVLDWYKLLKEEESWTEKTYSPTRKKLISTLESNSGYNTDLLLKRLPQDALFEERAILYGKMNQHLRALSLYVHKLQMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIIPVTSQYPGIQKSSSTTKFRGGRTGKKVVEIEGADDIRFSPSGTDSGRSDGDGDDASEGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVSFLEPLLRNSSEHLRNYLVIKNLISRANLQ >KQK87410 pep chromosome:Setaria_italica_v2.0:IX:7208510:7217669:1 gene:SETIT_0340722mg transcript:KQK87410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAYDAVELVPGVPGRIEAVASHAGKLLVAASDSEGFLRGSDCSLRIYSAPGSSTEGGGEIRRDGPYALERHEQRFWRRPPLAMEVSASRDLLLSLSEWVALHRLPGLETVAVVSNKTKGANVFAWDDRRGLLAVGRQKRLTVFRLDSGREFVEVKEFGVPDILKSMAWCGDNICLGIRREYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELLLGKDNIGVFVDQNGKLIQDGRIIWSDTPASVVIHRPYAVARLPRHVEIRSLRAPNALVQTLLLRDVQKLVQTDNCILAALSNSVYGFLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGSYEEAMEQFSDSHVDITYVLSLYPSIVLPQTHIIGEHDKLLDMPELARESSDVTDEMESYSLQLHESDDKSPLEVKKMSHNALVALVKYLQKKRSGIIERATAEVTEEVVSGAVHHSLILSEPYKPKKPNKKRAQTHTSSIAREMATVLDTSLLQALLLTGQSSGAIELLKGLNYCDLKICEEFLKERSEYMVLLELYKSNEMHREALQLLNQLVEESKSEMENTDFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSETIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVLDWYKLLKEEESWTEKTYSPTRKKLISTLESNSGYNTDLLLKRLPQDALFEERAILYGKMNQHLRALSLYVHKLQMPERAVAYCDRVYEEGAQQPSKSNIYFNLLQIYLNPRKAEKEFEQKIIPVTSQYPGIQKSSSTTKFRGGRTGKKVVEIEGADDIRFSPSGTDSGRSDGDGDDASEGGPIMLNEALELLSQRWDRINGAQALRLLPRDTKLQDLVSFLEPLLRNSSEHLRNYLVIKNLISRANLQ >KQK87411 pep chromosome:Setaria_italica_v2.0:IX:7208510:7216545:1 gene:SETIT_0340722mg transcript:KQK87411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSAYDAVELVPGVPGRIEAVASHAGKLLVAASDSEGFLRGSDCSLRIYSAPGSSTEGGGEIRRDGPYALERHEQRFWRRPPLAMEVSASRDLLLSLSEWVALHRLPGLETVAVVSNKTKGANVFAWDDRRGLLAVGRQKRLTVFRLDSGREFVEVKEFGVPDILKSMAWCGDNICLGIRREYMIINSMTGALTEVFSSGRIAPPLVVPLPTGELLLGKDNIGVFVDQNGKLIQDGRIIWSDTPASVVIHRPYAVARLPRHVEIRSLRAPNALVQTLLLRDVQKLVQTDNCILAALSNSVYGFLPVPIGAQIVQLTASGEFEEALALCKLLPPEDSNLRAAKESSIHIRYGHFLFDSGSYEEAMEQFSDSHVDITYVLSLYPSIVLPQTHIIGEHDKLLDMPELARESSDVTDEMESYSLQLHESDDKSPLEVKKMSHNALVALVKYLQKKRSGIIERATAEVTEEVVSGAVHHSLILSEPYKPKKPNKKRAQTHTSSIAREMATVLDTSLLQALLLTGQSSGAIELLKGLNYCDLKICEEFLKERSEYMVLLELYKSNEMHREALQLLNQLVEESKSEMENTDFNKKFNPQMIIEYLRPLCRSDPMLVLESSLYVLERNPSETIELFLSENVPADLVNSYLKQHAPNLQSTYLELMLSMSETGINPNLQNELVQLYLSEVLDWYKLLKEEESWTEKTYSPTRKKLISTLESNSGYNTDLLLKRLPQDALFEERAILYGKMNQHLRALSLYVHKNILCTTAAPNARASSCIL >KQK88274 pep chromosome:Setaria_italica_v2.0:IX:12799294:12803516:-1 gene:SETIT_039879mg transcript:KQK88274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGTESPAAGYGSDGVYRSPRPAAPIPSDPALSLSDLVLRRAAACPSAPALVDAATGAALTFGALRSAVLGAAAALSSRARIRRGDVVLLLAPNCVLYPVCFLAVTAIGAVATTANPLYTPREIAKQAADARAKLVVTVSDLLPKIADLRLPTILLDGDGASVPPGHANDVTLYPDLVAGVHETEYRRPPTRQSDTAALFYSSGTTGESKGVVLTHGNFIAAAAMVTSDQDERGEGRNVLLCFLPMFHIFGMSVVTLGQLQRGNTVVVMARFDVDAVLAAVERHRVTYLFCAPPVMIALAKHRGGGRYDLSSLRCIGSGAAPLGKDVMEAVADKFPNAEIIQGYGMTETCGIISLEYPQKGRARQFGSTGALVTGVEAKIVDTKTMKHLPPNQLGEICLRGPNIMQGYFNNVKATEFTIKQGWLHTGDLGYFDERGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHAQILDAVVIPYPDPEAGEVPIAYVVRSPKSSLSEVDVQKFIEKQVTHYKRLRKVTFVDSVPKSVSGKILRRELIAQVRSSKL >KQK90992 pep chromosome:Setaria_italica_v2.0:IX:47068704:47070877:1 gene:SETIT_035151mg transcript:KQK90992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVAVMAPLLFSLLLLASRCAAAAAPRHDREWGWEEGEGEWRPEKEKEQEEGEGKGKGRGLFVLDRLEKVVESEGGQVRVVRGQPWPPASFACREGLMHLGFITMEPRTLFVPQYLGSNVILFVRRGEVKVGYIYKDELVERKLKMGDVLHIDAGSTFYMVNTGKGQRLQIICSIDASDSLGFGPPYLSFFLGGAGHQASVLAGFEPKTLAMAFNATYDELARVILAQTRGPIVYYTAEEPESGGKEERVQGNGLDKGSRRREAGAWRPGGRGEEDDEAGEDALPTWSWRKLVNRFIGGAGGVTAEANKKDKKKGSAPKPYNLYDSEPGFRNTYGWTIAVDKHDYEPLKHSDIGVYLVNLTAGSMLAPHVNPRATEYGVVLGGEGKIQVVFPNGSLAMSAVVRAGDVFWIPRFFAFCQVAARGGPFEFFGFTTSARRNRPQFLVGATSVLRAMLGPEVAAGFGAREKEFRELVLAQEEALILPSFPDTGKREKEKHGRKGKEEEEHGKGKGRREAPLVIEQVAKE >KQK88537 pep chromosome:Setaria_italica_v2.0:IX:14922291:14925927:1 gene:SETIT_036657mg transcript:KQK88537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQWVEEGMPPSNLQRFLDCTTPTVETHILPKTNGRLSTDAWHHAETDSVEYFNLADLWEQYYEWSAYGAGVAVQLPGGERVVQYYVPYLSGIQLYTNKVLTASRSFGEDNGMDLWSDDEDNEKMSRSWSSTSDESLFNCDVLGANRKRPGHLYFEFFEVGSPYGRVPLIDKVYELSQGFPGLTSLKSADLSPVSWMSVAWYPIYHIPYQRNVKDLSACFLTYHTISSSFQDQALETMTNGGCHPVTSGKQNGHIMDKKSNTVSLPPFGLAAHKIQGSLWTNPMVGDHRKMDFYFSAADSWLKQLGVQHHDFNFFITHPM >KQK88647 pep chromosome:Setaria_italica_v2.0:IX:15739697:15740879:1 gene:SETIT_040503mg transcript:KQK88647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKKLKHRPAPDIISYNTVLAGYSAQDDDEGFNNLLKEINARKLEPNVVTYNCRIQWFAKKGETVKGEELLDVMESKEVAPNYLTYNALVQGYCKEGNVGLAMRVFKRMKVMKRREGRSDLGVSAHSQTYVVLFRSLVEKEKLDDALWICKSCFAMKAAPPFEAVKGLVEGLVKAGRSAEARDVVAKMDLLVKGDAKLAWGKVVGELSLEEGAPSSNP >KQK92713 pep chromosome:Setaria_italica_v2.0:IX:56896172:56896750:-1 gene:SETIT_038061mg transcript:KQK92713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVFPNREKAMEDREEKPKVPSSDPELADLVAGEQPQLQREHQPPNISEMKPLTREAYGGGMYATEEGQGRRRDPARPRASATQSADGPEEAKAAGKPSHPPPPSTGDRDLDITGQSYIQ >KQK86838 pep chromosome:Setaria_italica_v2.0:IX:4070133:4072977:1 gene:SETIT_040607mg transcript:KQK86838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDSFQVKDSSLQGSLQKDVPNVLRSKVSKWKRRLRARKMK >KQK89735 pep chromosome:Setaria_italica_v2.0:IX:35458270:35460180:1 gene:SETIT_038942mg transcript:KQK89735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHAARRWTPPLPATLAAAFFSSKPQPQRPRQLLTPQLVDAVVSRCPSDGLALSFFLWCARRPGNFHPPSSFDRLLPAATRIASRLGTAHALLRELQRLGCPIKPRTFHLLATGVRSYFIEMLRQGFQPSSASLTAVLACCSKAGTMYELLQLLSFALALGCKLTSAMWTCLIASSVLAKVVDSGTAPSVVTYTPIVSGFLRAGSHRKVSELLGSMVSTSCSPDIVLYNVLMDSLANESRYDEALDIYMHIHGSQIKPDAYTLSTLAQVLCFSDIGILRRLILRPDISFDDLVACNSVLSALRKSGFPSDAIQFYLNKIVSRIKPDSYTYVGLLDSLCQLGRVYHAIDVYRAVIVSEPESNAYVHAGFLCGLAIHENYALDSVCYTIVLHGLFRAHLVEEACGLFEQMKQSGIAPNTCTYNVMLCGLCRTRDLHAVKQFITEVECTDVEIDSVLVNAIAFLMTSQHIDSAAAMIGDMLNLGIKPTTKTCSLLAQSIDYKLVLKDNTAATVESDGSESSSDLLVCSAS >KQK87207 pep chromosome:Setaria_italica_v2.0:IX:6088943:6093224:-1 gene:SETIT_038849mg transcript:KQK87207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDFRTSTQRERWIFQPHDLMERWAAANQRAAETLAQYGTTRMKVDQLDGSVDSPDRVEGSSDVKPLSYEEEQLTRVFYEQKIQEVCVAFKFPHKIQATAIIYFKRFYLQWSVMEHHPKHIMLTCVYASCKVEENHVSAEELGKGIQQDHQIILNNEMVLLKTLDFDLIVYAPYRSIEGFIDDLEGFCRVGNGAVQHLKELHQTAMSHADKMMLTDAPLLYTPGQLALAALHKSNDILRVFDFERYLETIFSRQHSDCTVEQFVQSINAIHYLVDQLKIPTVKDMRYVDRKLKHCWDRSSHDEHKKKEKKSKHKSKRTSTDAQLNG >KQK89121 pep chromosome:Setaria_italica_v2.0:IX:21058776:21062006:1 gene:SETIT_037282mg transcript:KQK89121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTMWMHHPRTAKMRELVGDKDAIGDIKVINSVFGFRANEDFLQNDIRVKPDLDALGALGDVGWYCIRAILWAVDYELPRTVIALRDPVKNRAGVLLACGATLYWADGKTATFNCSFLTNLTMDITLVGTNGTLHVTDFVIPYEEKSAEFSVASKSSFANLHIGWDPLPSKHVVATDLPQEALMVQELARLVQSIRDAGGKPEGKWPAITRKTQVVLDAVKASIDKGSEPVEIAS >KQK88299 pep chromosome:Setaria_italica_v2.0:IX:13003621:13004244:-1 gene:SETIT_038355mg transcript:KQK88299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVISVLVLATVAVLATTTMVSPAMGASGTATFYTPPYTPSSACFGNAAEGTMIAAASEAFWDTAARRAATGTR >KQK89228 pep chromosome:Setaria_italica_v2.0:IX:22212250:22212648:1 gene:SETIT_038426mg transcript:KQK89228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFSFTDFKTQQKLASIVTARNKPNTAKVYRCREERGKRNISKFTWPFKLKGQCYAIYLLIIF >KQK92076 pep chromosome:Setaria_italica_v2.0:IX:53555533:53555940:1 gene:SETIT_038434mg transcript:KQK92076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWACVAPESVPINFPFSRLLIVSIKSGEESKRTLSSSELLAKDNDFFKAWQKLDVKFFKRT >KQK86534 pep chromosome:Setaria_italica_v2.0:IX:2484392:2485905:-1 gene:SETIT_038741mg transcript:KQK86534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDNLRVRRQMEDRPSDLKLGGSSRRGSGEASAAAAAADGTDTTSAWTGGKKPKIQSTPTSGSGDMGKVARDDDDDHSSAKIIVREQEQGPEQIEGIDWDQDKLDEKIDCYLQQLNRGLPDSDDDDFWVHCDDRQRREMNERLALCRIRAHEEEHRKLDDESLKAIYPANVLEDEEYYESYELDFEWYFDPQYCNYARLQDYQRLMLRNNAGNVFIVHGEYEDWEEYRKTCSTLEGDQASVQLWERLLSNTKLIEFFLTDNSCEVNIPK >KQK90586 pep chromosome:Setaria_italica_v2.0:IX:43867029:43867869:-1 gene:SETIT_040529mg transcript:KQK90586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWEESTVFGFMIVLVFILVCCNRKPSCGHYPRWSQDSTCYIFKLHDMVLDASGSTELTC >KQK88391 pep chromosome:Setaria_italica_v2.0:IX:13891949:13897197:-1 gene:SETIT_035233mg transcript:KQK88391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSARVATAAARLFAATAAFLLMLARGGESVSFWLPPPSAGGGGQGFLGGADRYLTRDERWMDQTLDHFNPTDHRQFKQRYYEFLDYYRAPNGPIFLNICGEASCNGISNDYLAVMAKKFGAALVSPEHRYYGKSSPFDSLTTENLRFLSSKQALFDLAVFRQYYQETLNAKYNRSGADSSWFVFGGSYAGALSAWFRLKFPHLTCGSLASSGVVLAVYNFTDFDKQIGESAGPECKEALQEVTRLVDGQLQSGRNSVKQLFGAAKLENDGDFLYLLADAAAIAFQYGNPDVLCSPLVEAKKNGTDLVETFASYVKDYYIGKFKASVASYDQQYLKNTTPPAAESSSRLWWYQVCSEVAFFQVAPKNDSVRSQKIDTRYHLDLCRNVFGEGVYPDVFMTNLYYGGTKIAGSKIVFANGSQDPWRHASKQKSSEELPSYLIECKNCGHCSDLSGCPQAPSNIEGDSSKCSSPEALNKVRKQIVDQIDLWLSECQERGHDKGPLLGSRWNLATI >KQK86834 pep chromosome:Setaria_italica_v2.0:IX:4005244:4006181:-1 gene:SETIT_037478mg transcript:KQK86834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSALVVSGAGSGAARQQQQHPLSQIAASGTHRLLLKQWVKEEDLLARRVALREARLDGARKEIAFLYCAFFAFHAASVLLLFLSSASAGSPSPAACRRSWIPCLVSLLSSLAMLWALRYKSDTEAVLERLLAREREDALLLGRCVSELKRKGLDFDLLKEVDALRRAKSLRVEAKGAEKARRWQARDLAVFALFAAACGVLVLTRFLLCN >KQK88873 pep chromosome:Setaria_italica_v2.0:IX:17973588:17974502:-1 gene:SETIT_039868mg transcript:KQK88873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSIEQILVASILPSNFDIDHIDWSNITQEQLVCKQLNACVTNFLHSTSHEDICKARIRKPKKSRHSSSAGTGRPVGPTGQTSSSRATSLTCDLGICKDSSQASSLPESTPSNDEVDLCLMAKKKKKKKAKKEKNQKIELLKFNHASLVCKYDSLAKDYTCATKSLPYVASLEKANEVLVAQLEKLTSEHMALQATHKELECSHEKLVESYAILDIAHEVVITLVKYIQPLTHMLMLTKVPFAMQRKCRARRVGVLDRQPA >KQK87551 pep chromosome:Setaria_italica_v2.0:IX:7882630:7883076:-1 gene:SETIT_038469mg transcript:KQK87551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSIELEMHQLQASTEGRLHSLVPSTRALLAELFFWRPQFFFLSVSNTIYLKLPSW >KQK91040 pep chromosome:Setaria_italica_v2.0:IX:47286050:47289496:-1 gene:SETIT_034948mg transcript:KQK91040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRPPAEGKPWDLAAHPRLAKGKVVGVVVLDGWGEAPPDPFNCIHAADTPTLDALKKAAPERWRLIKAHGTAVGLPTDDDMGNSEVGHNALGAGQIYAQGAKLVDLALASGKIYEGEGFKYIRQSFENGTLHLIGLLSDGGVHSRFDQLQLLLKGASEHGAKRVRVHVLTDGRDVLDGSSIRFVEMLEEDLARLREKGVDARIASGGGRMYVTMDRYENDWQVVKRGWDAHVLGEAPHKFKNALEAVKKLREDPKANDQYLPPFVIVDESGKPVGPIQDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRFPKIRYAGMLQYDGELKLPSHYLVAPPEIERTSGEYLARNGVRTYACSETVKFGHVTFFWNGNRSGYFNPSLEKYEEIPSDIGIPFNDQPKMKALEIAQKARDAILSRKFDQVRVNIANGDMVGHTGDIEATIVGCKAADEAVKIILDAIEQVGGIFVLTADHGNAEDMAKRDKSGKPLRDKDGKVQTLTSHTLNPVPIAIGGPGLAPGVRFRTDLPNAGLANVAATVMNLHGFEAPDHYEPTLIEVVDK >KQK89794 pep chromosome:Setaria_italica_v2.0:IX:36003584:36004802:-1 gene:SETIT_037529mg transcript:KQK89794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQGAGADGEVPAGLGLTAAEYEQLRSTVEAHHRYAVGAGQCSSLLAQRIHAPPAAVWAIVRRFDCPQVYKHFIRSCALRPDPEAGDNLRPGRLREVSVISGLPASTSTERLDLLDDAARVFGFSITGGEHRLRNYRSVTTVNELAGPGICTVVLESYVVDVPDGNTEDDTRLFADTVIRLNLQKLKSVAEANAAAAAAPPPEPAE >KQK92803 pep chromosome:Setaria_italica_v2.0:IX:57290997:57294698:-1 gene:SETIT_036154mg transcript:KQK92803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELRSSSVVQKVHGQSVLLSRIASYSAMNNPVFNNAYSAYNVPLRSYHGMNATVGLSSIMAPSPVFASAPKEKGFSGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLSEPYKGIGDCFTRTMKDEGVIALWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKVAKKGGGERQFNGLVDVYRKTLASDGIAGLYRGFSISCVGIIVYRGLYFGMYDSLKPVLLVGSLQDNFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFKQIVAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGG >KQK92804 pep chromosome:Setaria_italica_v2.0:IX:57290997:57293656:-1 gene:SETIT_036154mg transcript:KQK92804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELRSSSVVQKVHGQSVLLSRIASYSAMNNPVFNNAYSAYNVPLRSYHGMNATVGLSSIMAPSPVFASAPKEKGFSGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKSGRLSEPYKGIGDCFTRTMKDEGVIALWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKVAKKGGGERQFNGLVDVYRKTLASDGIAGLYRGFSISCVGIIVYRGLYFGMYDSLKPVLLVGSLQDNFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFKQIVAKEGTKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGG >KQK90050 pep chromosome:Setaria_italica_v2.0:IX:39164862:39169060:1 gene:SETIT_034195mg transcript:KQK90050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFRRDPKFLLDTKQLSGRHAFREINERGHSTQRSRDFDEIEEDGIIEQCTSQKPTVKNLMEDELGKVVLKKIPNDERRLADLENGVSLDEKSEHTNKLTEISYHQTGVSAAFTPLVDSEVLNHAEEYDLESVLADFLGEIYSCHNECPHGDCKNKNELCPSLKSLIHKKVNDLNNLPRNIGRGQPQQSNDGKQSEQNNLFNTMAAQSKQFKDALEILGSNKELFLKLLQKPNPQIVDNIQKHQNSKVASGLEPSNIPGQTNFGGRGGSNQHLLATKEQAKERKYMFFWRKGKSNRSQMPEATNGPQTVSKIVILKPNPERGIHQKAIISARPLHQQSCASDAPECSGRETSKFSIKEVKKRFRIVTGESRTERNVPPAEELRRDPRRHKDSVIAIKKDFRHGPEGSLADASASGFKNGTIPFNSSKQKQQNGSISEINGRTVAPNGASIFYEQAKRHLSEMLNDNDRSVNHPAVQVSKSLEGILSLPHCNVSTPKSSPMEKGCLDLSPDETDVCLACKVEREECTQERCQSQDDLGSISCCTSATVDDQVTVQEGYCMNEAQNGLKDVSDEPDNMYIEGIDKLDCCENTCSMQSVPAEQSIDDEHQEILEESKQEKEHSKVTPDSPESIVEKLEQQEPETPEARASTKLISDGGSEQSEEKQGKPSPVSVLESFFEGFGSPDFINKKECELHEDLQRTLCFPDDESDVKVIWEDKNVRLDYIMLVLELSELCAEQNLEVWYLEDELISPCLFEELQNQGDQTNDLKLLFDCICEALTGIQERYFRLSSWLSFLRHDIRTPPIGENLIIEVDKYVSGYIQYSLPSTLEQIIKRDLDVQTWMNVRSKTEGIIMEIWEFVLDELIDETVFDLWI >KQK89694 pep chromosome:Setaria_italica_v2.0:IX:35109348:35109521:1 gene:SETIT_039307mg transcript:KQK89694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLLSFSSKDSLQYHFLGSRIIVFVLFSKPMFVECC >KQK88196 pep chromosome:Setaria_italica_v2.0:IX:12278685:12280448:-1 gene:SETIT_037061mg transcript:KQK88196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSCSRLSGTGAAAIRRHHRRQPGRSGVVVTCRSSSSSIRAAAMAAPAAVVEQKDKEVSLPTWSEFELGRAPVYWKTSNGLPPSPGEGLTLFYNPAATKLSPNEVFGVAFNGGFNQPIMCGGEPRQMTLQVRGSADPPIYSIRIRVPQHAVSLIFSFTNGAEWDGPYTLKFKVPKPWQNKPLSFFNEVMTKLD >KQK88197 pep chromosome:Setaria_italica_v2.0:IX:12278685:12280448:-1 gene:SETIT_037061mg transcript:KQK88197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSCSRLSGTGAAAIRRHHRRQPGRSGVVVTCRSSSSSIRAAAMAAPAAVVEQKDKEVSLPTWSEFELGRAPVYWKTSNGLPPSPGEGLTLFYNPAATKLSPNEVFGVAFNGGFNQPIMCGGEPRQMTLQVRGSADPPIYSIRIRVPQHAVSLIFSFTNGAEWDGPYTLKFKVPKPWQNKPLSFFNEGLAGELNREGACDQAIYPDENIAITSCAIDGYYEEGGDRCKLDIVSGCMDPNSDMFDPMAVVDDGSCPLESDAEE >KQK88195 pep chromosome:Setaria_italica_v2.0:IX:12279155:12280241:-1 gene:SETIT_037061mg transcript:KQK88195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSSCSRLSGTGAAAIRRHHRRQPGRSGVVVTCRSSSSSIRAAAMAAPAAVVEQKDKEVSLPTWSEFELGRAPVYWKTSNGLPPSPGEGLTLFYNPAATKLSPNEVFGVAFNGGFNQPIMCGGEPRQMTLQVRGSADPPIYSIRIRVPQHAVSLIFSFTNGAEWDGPYTLKFKVPKPWQNKPLSFFNEGLAGELNREGACDQAIYPDENIAITSCAIDGYYEEGVG >KQK90649 pep chromosome:Setaria_italica_v2.0:IX:44220974:44222303:1 gene:SETIT_040147mg transcript:KQK90649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKSTLLLCIAIVLLAIGNGGVPGAEARKKGKQSLGFYELRRGEFSMVVTNWGATILAVRIPDKNGHINDVVLGYKDIGSYVNETTYFGALVGRVANRIAGGRFTIKDRAYHTYRNDGNNTLHGGHRGFNQVFWSVRERVTGDFPHITFSYRSYDGEQGFPGNLDVLVTYKIDGDFSYSVTMYARPLDKPTPVNLAQHTYWNLRGHGNGSILDHAVQIFASAVTPVAGDLIPTGAVAPVAGTPFDFRVPAAPGARIAEVEGGYDINYVLDGAADGQGVRKVAVVSEADSGRVMELWADQPGLQFYTGNFLKGDEGKGGAVYAKHGGLCLETQDYPDAVHEPGFPAEVYRPGQVYKHYMLYKFSLKK >KQK92552 pep chromosome:Setaria_italica_v2.0:IX:56076537:56077877:1 gene:SETIT_036786mg transcript:KQK92552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGMAAGAPILPPDASPPPVAGQGAAFPIAIVIAIGFMVTSLILISYYFLVVRCWLRGGGPGSGLLHRARREDLVERVSAVFFTDLEAAELPGGLDPDVVAALPVVKYRRTRAASAALECAVCLAEFAPEERLKQLPSCSHAFHIDCIDTWLHHNVSCPLCRTVVTGGVALPLARDDHEGSWRELQVGDRHIGPAARMGYGSSCRFPTKSGAAQEPITRSFSMDCFAGGLGRKPPQKDPAAGSSEAGPSRANAAAAAAGSSSNVVADRGAGETSGRFRRLLSSFGLGRSSRSTVLPIHLDP >KQK91687 pep chromosome:Setaria_italica_v2.0:IX:51230189:51232935:-1 gene:SETIT_035688mg transcript:KQK91687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCHPSEVYELFVRHMNTPRVVVDNGVCATASLVQVHSARKHGVLLEAVAALSDHGVCVRKGYISSDDGRWFMDVFHVTDAAGRKVADADALLARLESSLSADALPPRTPSAAAAAGTGAHTLLELVGADRPGLLSEVFAVLHDLRCDIVDARAWTHGGRVAALVFVRDEETGAPIDDAARVRRVESRLRHVLRGGARGARTVLLADAAAVNMDRRLHQLLNEDGEAGSRADQAEAPTTTAVAVQDWGERGYSVVTVSCRDRPKLLFDVVCTLTDLDYVVYHGTFDTDGDHAQQEFYIRRLDGRPICSAAERRRVIQCLQAAIERRASEGVRLELRITDRRGLLAYVTRVFRENSLSVTHAEITTRGDMAMNVFHVTDVAGRPADPKTIDDVIQKIGTESLRVDEERWPRLCSTEGDAGRGGAGIFSLGSLVKKNLVSLGLIRSCS >KQK92344 pep chromosome:Setaria_italica_v2.0:IX:55027533:55027955:1 gene:SETIT_038135mg transcript:KQK92344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRRGPRGTQPGAVVDAEEEIDHEAEDVCQEVRPRPSLRRPLEVAAAHGARSRWRGVEACSMTQRRLVPVAGPAAGSTGHDDARQGILVRILCVEVENHIIRVIVYQGK >KQK89168 pep chromosome:Setaria_italica_v2.0:IX:21488511:21490490:1 gene:SETIT_039595mg transcript:KQK89168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARGESDTHLKKIHQFSLANISNDVIEKATSLICSAVAGAAVQVVSRRTVKPPPRDRERIPLTTPPWPTRSPPTNPVAGRLATNQHRDDRGRVVCCSVSVDCAGQGVELLEAVAGGVAVSDVILPDSYVPRLVRSFFPLDKAVNYDGHERPLFAVQITELADGVFVGFVYNHALSDGTAFWDYIGTWAEISRATLGAPRALPPRAAPLFESWSPDGGADLGGLIARPTQPPPPQREWMLRFSEESLAVLKDRARQELLVAGDAAGAAAVTMLQALGSLLWRCFVRARRTAPDQEVMFRASANNRARLRPPLPAGYFGNAIGAVSRRPCAHAGVRARAAAWAVEPGLSAFRLFDPNGMFISSSPRFDMYGCDFGWGKPLAARSGKANKYDGKVSLFPGREGGGSIDAEVVLAPEHMAALELDDEFWAAVSPDVPPARKG >KQK88520 pep chromosome:Setaria_italica_v2.0:IX:14786205:14786600:1 gene:SETIT_040623mg transcript:KQK88520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGASQWHSHRSKRAPLDDAAHSAAGNNKLPWPSPRRPANHAVLLSGLCFLFRFVAPLLPDPCS >KQK89030 pep chromosome:Setaria_italica_v2.0:IX:19662662:19663397:1 gene:SETIT_038449mg transcript:KQK89030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAWRRRCAQVTRGVAQGATGRWCRRGEGTTCRLCVGRRRTRREEDNCQRASGGGGTTT >KQK90366 pep chromosome:Setaria_italica_v2.0:IX:42157263:42159351:-1 gene:SETIT_039341mg transcript:KQK90366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESRDVLMYLVNEARKMLVGTPVQRLGISYHFQEEIHASLEKFSTVEFNNESFHDISLQFRLLRQERHYISCGASKYYLTSIPSTLLIRVINLAQTMETTYKNIDGYTDSK >KQK87612 pep chromosome:Setaria_italica_v2.0:IX:8228088:8230583:-1 gene:SETIT_038104mg transcript:KQK87612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPPPAMAPAITKFLKPYILKMHFTNNFVSAQVIHTPSATVTCSASSQEKLLRPSMESTRDVAAAAKIGKLLGERLLFKGIPAVSVSMSREQKYHGKVKAVIDSLRDAGVKLL >KQK90267 pep chromosome:Setaria_italica_v2.0:IX:41087701:41092790:-1 gene:SETIT_038735mg transcript:KQK90267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRTPIIGNDAEIMRWPEELRDLDSYQVNLSFVVHGTDFEPLIDQIRAKLRSMNDGDISISAYDTAWVALVPRLDGGEGPQFPTAVQWILNNQLPDGSWGDSDLFSAYDRLINTLACVVTLTKWSLEPETCKKGLSFLNQNMRKLAVEDQESMPIGFEIAFPSLIEIAKGLGVDFPYDHQALQGIYTNREIKMKRIPKDVMYRVPTTILHSLEGMPGLDWSKLLNLQSSDGSFLFSPSATAYALMQTGDRKCFNYIDRIVKKFNGGVPNVYPVDLFEHIWVVDRLQRLGISRYFQREIEQCMDYVNRHWTEEGICWARNSDVKDVDDTAMAFRLLRLHGYSVSPDVFKNFEKDGTFFCFARQSTQAVTGMYNLNRASQISFPGEDVLHRAGTFSYEFLRQREAEGTLRDKWIISKDLPGEVQYTLDFPWYASLPRVEARSYLDQYGGDDDVWIGKTLYRMPLVNNNVYLELARKDFNYIQVLHQLEWKNLQKWYIELGLVNFGVAQEDLLIAYFLAAACVYGPSRATERLAWARVAILANTISTHLQSNLSFRESLERFLHCPDETRDVSWLNANGNYAILVKALVQLNDLLAQEAQTIHEGPEYIRSLLRSAWIEWIREKMNTEDNICNDEPSTMKGSCMVHDKQTCLLLVQIIEICGGRINEAVSLINNKNVDRIVQLTRSICDSLHHKMLLSQDPKKNEEVICRVDDELELYMQEFAQCILRSGEKTINSKTRQTFLTIVKSCYYATHCPPHMMDRHISRVMFEPVFSQ >KQK87455 pep chromosome:Setaria_italica_v2.0:IX:7395424:7398955:-1 gene:SETIT_038053mg transcript:KQK87455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWQARDFLFCGVCGTLLTFDSIRSASCPLCGFKRKAKEIEGKETRYTVTAEDIRRELKIEPFVTLESAPMQEVVVRRPKTRRPCPECNHPIAEYYSRQMRSADEGETTFYECMKCHHTFNS >KQK89366 pep chromosome:Setaria_italica_v2.0:IX:25562686:25562982:-1 gene:SETIT_039586mg transcript:KQK89366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDALYNPTVSANIISSSLVLTFLVDKPLEPTDRTFWSSSGDLVEEHGFLQSVSIGHRDVEDTRDFHVFEVQDFDILIGHPIENFLLDAPILGKLDV >KQK89376 pep chromosome:Setaria_italica_v2.0:IX:26001044:26010676:1 gene:SETIT_033930mg transcript:KQK89376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNGLLPNVAVGVTRRLDAERWAVAEERTAELIARIQPTPWSEDRRRAAAGYVQRLIMGCLSCQVFTFGSFPLKTYLPDGDIDVTAFSNSEELKDTWAVMVQDALEHEEKSEKAEFRVREVQYIQAEVKIIKCLVENIVVDISFNQVGGLCTLCFLEEMDHLISQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSNFDWEKFCVSLWGPVPISSLPDMSADPPRKDGGALLLSKSFLDICSSIYAVTPSPHNNQVQPFVSKYFNVIDPLRANNNLGRSISKGNFFRIRSAFAFGAKRLARILECPKQDIAIEVKQFFTNTWRRHGNGNRPDAPAQSLIHQTVKVVPVEVPSSHKNATAHKKKFKGPTTHIDDDGLTEYTQNYHNPATQLVTKANVQYRGSPRTALSVVYPSQSQKIRAVQTNAEVAGQLEKTSIPSGSLLGEKVQRISKSHSTVNEQNGQSRFQFARTNSSPDLTDSSVHGFPSSRRTIVSEVEKSSKAEYSSTGNNMILGVSSNHGRKSSQEKPVSSMNASSSILVSGPSSVSSSNHEDTCFATNEELASVSEASDVLHKGSPREHNLMDGLNGQVPLQVRIPCHPSLAPLPLVASSGYPLRNLAGILPPNFSFIGTPWLHNMQFVHGFVPPPMTQYVGRTAFVSNSENCIESETSATTGTNYDDGGNWHEHDAGLSGNYNRESINPEIFNFKDLASSLHDIPGARLQGQKKSGIEDRGETLRENSADIFHKVYGGTSFGVIRLVSSQGSSGHTISDSSCDESTGVILTSSRGKWGKTPLAMTPSSPSQLRSTTKTSWQFENMTERITSGLDGNRNSYASQAVNSDFSDETAGPSPSAQSTSSQVSDDHNPLKVNPRNPVFRPFVMSPPQQRQVDNSGLTFVPTGPPVPFVVYPYIPGTTDSSAAQFERSEGKDQFPVDMAFQNFGSHDDADLPDANITTPPGSVVADHDHMSDILNSDFLSHWQNLQYGRFCQNAHPPAPVLYPVAMPPMYLPGHFPLNGPATQPAHRFNWAQVRSHGQGVVPVTPLQPTSERASGVFQRYGEDAPRYHGGTGTYLPTPKVPFKDRQSSSRNYRGSYNSEQVDHNDKEGNWANSKQRNMGCSYGRGQSEKSGGRHDRQISDENQSERHWQPYRSDSYRREAGGSSSVQSQSFENTTSTHDPVNKAYGVPSQSSTVASGTRASTEPVMVYSYDQSVDYGAPCKPIEFGSFGAIPMDSSEIQQAHEVHTNGFYKQRHALYKGTSSRSSPDQPSMPHLRR >KQK93014 pep chromosome:Setaria_italica_v2.0:IX:58327814:58328468:1 gene:SETIT_038460mg transcript:KQK93014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARINDSGDKIFLSHIQYIVSIEARGVRASMVRGVQQVRVAYAKGRLAACSGLARPMS >KQK86304 pep chromosome:Setaria_italica_v2.0:IX:1211545:1215359:-1 gene:SETIT_035484mg transcript:KQK86304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSASLFQVLLVFTTVVETQWSTVSGIYCKEMSPNVYRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAQLFVPAGKWLTGSFGLISHLTLSLDKDAVIIGSLDSSDWPVVDPLPSYGRGRELPGKRHQSLIFGSHLTDVIITGANGTIDGQGATWWGWFHNHTLNYTRPHLVELMYSTNVVISNLTFKNSPFWNIHPVYCSQVLVQHVTILAPLNSPNTDGIDPDSSTNVCINHCYVRNGDDVIVIKSGWDEYGISLAQPSSNISISNITGETRGGAGIAFGSEMSGGISEVRAEGLRIVNSLHGIRIKTAPGRGGYVKNVYIADVSMENVSMAIRITGNYGEHPDDKYDRNALPMISNITIKDVVGINIGVAGILEGIQGDNFSSICLSNVSLSVQSLHPWNCSLIEGYSNSVIPESCEQLRSNCRQTPVCYDGSTSSAMRVQAPRHKSSTSQFLSPFLKLASF >KQK91352 pep chromosome:Setaria_italica_v2.0:IX:49402585:49403434:1 gene:SETIT_037280mg transcript:KQK91352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGSNASTGTTAAAAQQQQQYNNSCRSASPPSRVSSCSPPPPAAQVVGNAAPTVVLSPCAACKILRRRCADGCVLAPYFPPAEPAKFTTAHRVFGASNIIKLLQDLPECSRADAVSSMVYEAEARLRDPVYGCAGAVCRLQRQANELKVQLARAQADLLNAQAQHANLLALVCVDMVNRRDGHHQHQQLQQQPSSPLMDGGSGGGSNFGAAYHQTFYDSDLDSATWPDHEAQLWT >KQK91893 pep chromosome:Setaria_italica_v2.0:IX:52568195:52571290:1 gene:SETIT_036352mg transcript:KQK91893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTDLVNLNLSDCTDKIIAEYIWVGGSGIDLRSKARTVKGPITSASQLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGDNILVMCDCYTPQGEPIPTNKRYHAAKVFSHPDVAAEVPWYGIEQEYTLLQKDVNWPIGWPVGGYPGPQGPYYCAAGADKAFGRDVVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDEIWVARYILERITEMAGVVLSLDPKPIKGDWNGAGAHTNYSTKSMREPGGYEVIKKAIEKLGKRHKEHIAAYGEGNERRLTGHHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTGMIAETTILGKGN >KQK89615 pep chromosome:Setaria_italica_v2.0:IX:33822677:33823243:-1 gene:SETIT_038856mg transcript:KQK89615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMISRGKKLAIEVAEGKKRSEVPLQAAKLASECGVALRDNLPIYTSWKEYDNEQRQAEVSKVLRKVASRLDVDVRNEGPSKAACTDIIKRGVRQQRYHLKRKYFDVSLTREQLLANEPPPKMEKYEWIQLVEYWCDPKNEVHPALSGFVNMQCKLCI >KQK90707 pep chromosome:Setaria_italica_v2.0:IX:45213626:45217832:1 gene:SETIT_035253mg transcript:KQK90707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSLTPQVVFSTESGGALSSATILRFPPNFVRQLSTKARRNCSNIGVAQIVAAAWSDRPAARPNSGGGGGGRARGVASSHAAAASAAAVAASAAAEVSAIPNAKVAQPSAVVQAERKLLGSDASLAVHAGERLGRRIATDAITTPVVNTSAYWFNNSQELIDFKEGRHASFEYGRYGNPTTEALEKKMSALEKAESTVFVASGMYAAVAMLSALVPAGGHIVTTTDCYRKTRIYMENELPKRGVSMTVIRPADMDALQNALDNNNVSLFFTETPTNPFLRCIDVELVANMCHSKGALLCIDSTFASPINQKALTLGADLVIHSATKYIAGHNDVIGGCVSGRDELVSKVRIYHHVVGGVLNPNAAYLILRGMKTLHLRVQCQNNTAMRMAQFLEEHPKIARVYYPGLPSHPEHHIAKTQMTGFGGVVSFEVAGDFDGTRRFIDSVKIPYHAPSFGGCESIIDQPAIMSYWDSKEQRDIYGIKDNLIRFSIGVEDFEDLKNDLVQALEKV >KQK87958 pep chromosome:Setaria_italica_v2.0:IX:10425399:10428088:-1 gene:SETIT_037454mg transcript:KQK87958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFCISGPRELSGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLNNVVGDTEIRKGEGMELDQLFQNSYPSEKTAYIQPFDMETLGQAFQLRETAPVDLPSAEKGTPTISGRPKIKSKDKVRKHKKHKEKDRDKEEEQKKHKHRHKDRSKDKDKDKDKDKEKKKDKSGNHESGGDHSKKHEKKRKQEVTGSSASVQNHKKTQKHKNQ >KQK87960 pep chromosome:Setaria_italica_v2.0:IX:10427109:10430519:-1 gene:SETIT_037454mg transcript:KQK87960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNQMGSDGKFGRGPRELSGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLNNVVGDTEIRKGEGMELDQLFQNSYPSEKTAYIQPFDMETLGQAFQLRETAPVDLPSAEKGTPTISGRPKIKSKDKVRKHKKHKEKDRDKEEEQKKHKHRHKDRSKDKDKDKDKDKEKKKDKSGNHESGGDHSKKHEKKRKQEVTGSSASVQNHKKSTIPYN >KQK87959 pep chromosome:Setaria_italica_v2.0:IX:10425399:10430519:-1 gene:SETIT_037454mg transcript:KQK87959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNQMGSDGKFGRGPRELSGAVDLISRYKLLNHHSFFCKKPLPLAISDTNYLNNVVGDTEIRKGEGMELDQLFQNSYPSEKTAYIQPFDMETLGQAFQLRETAPVDLPSAEKGTPTISGRPKIKSKDKVRKHKKHKEKDRDKEEEQKKHKHRHKDRSKDKDKDKDKDKEKKKDKSGNHESGGDHSKKHEKKRKQEVTGSSASVQNHKKTQKHKNQ >KQK91185 pep chromosome:Setaria_italica_v2.0:IX:48346089:48347242:-1 gene:SETIT_036189mg transcript:KQK91185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLVGQVDLLPPRRSTLHQPSAPSAAAITAAQVRDDVEAIGWVECPIGCVATFGARSADGPEPVERVPRPADFVLAGRRPRSKRTRRSAYGLPGDVAESKKVKAGERDREGGAGAGAGAVVAAGAAATTTAVDAVSHSASTAFPAAASAISVSATGRGAAAFATVPASAYVGADPRASSLGHAHGAAESFAAVLGLAAAHTARASAGMEHTYGSDVLPGAVLGRAQCAAAAAAACALALATHPGANSAPADATAAITTFRTATPATTASAGAAAASWAASDTTASHAPAPATAAFPRAASAARSPSDTTASHAGGPPAAALPRAASTACSPSDTTTINADAPPAAALAGAAAASCAASDTTTSTPPGVSWGRQVRPI >KQK91395 pep chromosome:Setaria_italica_v2.0:IX:49564681:49567128:1 gene:SETIT_036831mg transcript:KQK91395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLAFPRCCPSPLTPASTSSPVTSYAPSSASIKIRRSRRAAHRIAVQKPTRIVAMAATAPPSSVKEVLPSPLTSASEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKIKIVAIDLADRPSWYKEKVYPENKVPSLEHNNQVKGESLDLVKYIDSNFEGPSLLPDDPAKQQFAEELLAYTDAFNKALYSSILSKEDVSEESVAALDKIEEALGKFNDCPFFLGQFSLVDIAYVPFIERFQIFYSNIKNYDITKGRPNLQKFIEEVNKIDAYTQTKQDPQLLLEHTKKRLGIA >KQK91396 pep chromosome:Setaria_italica_v2.0:IX:49564940:49567128:1 gene:SETIT_036831mg transcript:KQK91396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGKFLSLRSSVKEVLPSPLTSASEPPPLFDGTTRLYVAYHCPYAQRAWIARNYKGLQDKIKIVAIDLADRPSWYKEKVYPENKVPSLEHNNQVKGESLDLVKYIDSNFEGPSLLPDDPAKQQFAEELLAYTDAFNKALYSSILSKEDVSEESVAALDKIEEALGKFNDCPFFLGQFSLVDIAYVPFIERFQIFYSNIKNYDITKGRPNLQKFIEEVNKIDAYTQTKQDPQLLLEHTKKRLGIA >KQK92431 pep chromosome:Setaria_italica_v2.0:IX:55439159:55441405:1 gene:SETIT_040243mg transcript:KQK92431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSKLSVLSLIPFLLLAAVGEVAGGDLATFIVHVQPKENQAALASAGDRDAWYRSFLPEDGRLVHAYHHVANGFAARLTPEELAALSGAAGFVSAVPEETYELHTTHTPLFLGLDARQAQIANHGSERGAGVIIGMLDTGVFPTHPSFSDDGMPPPPSKWKGRCDFTGGHVCNNKLIGARSFMSHDLRVQPVDDAGHGTHTASTAAGAVVPGAQALGQAAGVAAGMAPRAHVSMYKVCNETNCLSSDILAGVDAAVGDGCDIISMSIGGVSKPFYRDAIAMATFGAIEKGVFVAMSAGNSGPNASSVTNEAPWMLTVAASTMDRSIRSTVRLGNGVVLDGESVYQPRVSVPTSHPLVYAGTNGKPYAELCGNGSLDGLDVAGKIVLCEFGSGPGRNISRILKGAVVRSAGGAGMVLLNKFAQGYSTLASAHVLPASHVDYAAASAIKSYVSSTANPTAQILAGGTILGTSPAPSIAFFSSRGPSLQNPGILKPDITGPGVNVLAAWPFQVGPRTESPLPGPTFNVESGTSMSAPHLSGIAAFIKSKHPDWSPAAIKSAIMTTADVTDRAGNPILDEQREPADLFATGAGHVNPEKAADPGLVYDIAASDYVGYLCGLYNSQNVSVIARRPVDCSAVAAIPESMLNYPSISLAFRHAWNRSTPVTVERTVRNVGEVPSVYYAAVDVFDDDVTVGVVPRELEFSEENQERRFKVVVWARRNGGGKVVQGALRWVSGTYTVRSPISISFP >KQK87939 pep chromosome:Setaria_italica_v2.0:IX:10319702:10320458:-1 gene:SETIT_040699mg transcript:KQK87939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQAPTPDQKIWCVSLHGLKHAYLTLICVNQQGWLRQGKLTKTPIRVQRT >KQK88578 pep chromosome:Setaria_italica_v2.0:IX:15209346:15209811:-1 gene:SETIT_040066mg transcript:KQK88578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSAPPAVGSATRGLGMSGTCGGGCFLRQQRRSPSTAAPAAGTSARCCPARVALSTGWFSNMAHCGHHIKISANGNSVYTKVVDECDSVHGCDDEHNFEPPCDNNIVDASLVVWDALGLDQSLGMVDITWFEE >KQK87717 pep chromosome:Setaria_italica_v2.0:IX:8855771:8859694:-1 gene:SETIT_036688mg transcript:KQK87717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDNTVKIWNVDGFKLEKTLVGHQRWVWDCVFSVDGAYLITASSDATARLWTMSTGEAIRVYQGHHKATVCCALHDGAESAPP >KQK87718 pep chromosome:Setaria_italica_v2.0:IX:8855986:8859217:-1 gene:SETIT_036688mg transcript:KQK87718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTATCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDNTVKIWNVDGFKLEKTLVGHQRWVWDCVFSVDGAYLITASSDATARLWTMSTGEAIRVYQGHHKATVCCALHDGAESAPP >KQK88249 pep chromosome:Setaria_italica_v2.0:IX:12534522:12534959:-1 gene:SETIT_038497mg transcript:KQK88249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRIIKKNTSSHFRKQNHITLSLKKHEAARNAMVARATTLFRQSDSVILPYNG >KQK87584 pep chromosome:Setaria_italica_v2.0:IX:8070196:8070408:-1 gene:SETIT_038555mg transcript:KQK87584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPSPRGGRAATAPEHLPYIERWDTTICYELVRARRTGEWGGSFTGLLREGAPASAARSLGELLMARARSA >KQK87799 pep chromosome:Setaria_italica_v2.0:IX:9430426:9433165:-1 gene:SETIT_035430mg transcript:KQK87799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLSFWIELGTDGGAGGFPCARAGAQPYIGVNYGEVADNLPPPEETARLLKSTAISKVRLYGVDAGLIRALAGSNISVVVGVANGDIPSLAADPAAASRWLAANVLPFVPATSISAVAVGNEVLESGDASLAAALLPAMQNLRAAAAAAGGAAAGIRFSTVNTMGVMAQSDPPSTGAFHPDIAPQLQGILGFLSRTGAPFMINPYPWFAYQSDPRAETLAFCLFQPNAGRVDAGSKIKYTNMFDAQLDAVKSAMVRAGYGNVDIVVAETGWPTKGDAGEPGATVENARAYVSNLVSHLRSGAGTPLVPGKPVETYLFALYDEDLKPGPESERSFGLYHTDLSAAYDAGLTSSGAAAGSPSAGGGPAKASGGWCVARDGATDADLQADLDYACAQVGVDCGAIQPGGACFEPNTVRAHAAYAMNQLYQAAGRHPWNCDFRASATLTSDNPSYGACVYTGGGQ >KQK87800 pep chromosome:Setaria_italica_v2.0:IX:9431255:9433211:-1 gene:SETIT_035430mg transcript:KQK87800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIPMAAAARKPVSALLLPIWLFCLLVCSSSAQPYIGVNYGEVADNLPPPEETARLLKSTAISKVRLYGVDAGLIRALAGSNISVVVGVANGDIPSLAADPAAASRWLAANVLPFVPATSISAVAVGNEVLESGDASLAAALLPAMQNLRAAAAAAGGAAAGIRFSTVNTMGVMAQSDPPSTGAFHPDIAPQLQGILGFLSRTGAPFMINPYPWFAYQSDPRAETLAFCLFQPNAGRVDAGSKIKYTNMFDAQLDAVKSAMVRAGYGNVDIVVAETGWPTKGDAGEPGATVENARAYVSNLVSHLRSGAGTPLVPGKPVETYLFALYDEDLKPGPESERSFGLYHTDLSAAYDAGLTSSGAAAGSPSAGGGPAKASGGWCVARDGATDADLQADLDYACAQVGVDCGAIQPGGACFEPNTVRAHAAYAMNQLYQAAGRHPWNCDFRASATLTSDNPSKCTAPIPTALFCSITPVSAYMAVVT >KQK90255 pep chromosome:Setaria_italica_v2.0:IX:41037208:41038595:1 gene:SETIT_039700mg transcript:KQK90255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAALPWGGGSPAWIDVPERSKSAFMELKRRKVHRYVIFKIDDRREEIVVEKTGSPGESYDDFTASLPADDCRYAVYDLDFVSDDNCRKSKIFFISWSVIPSPTSAFSQRASTISQTAHKASRSSSTQPRAPDPHRRSDPSPRSGPPAYILIVGRGNRRRGRRGVTGGKGRVQHHGP >KQK90560 pep chromosome:Setaria_italica_v2.0:IX:43658308:43662156:1 gene:SETIT_035621mg transcript:KQK90560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPCYALALLLPLFCLGSLHAGSAASTTGTPDGSELWGYVEVRPGAHLFWWYYKSPQRTSTPSKPWPTVLWLQGGPGASGVGLGNFQEIGPLDVDLKPRNSTWLQKADLIFLDNPVGTGYSYVENNTLFVTTDWQQAVDATAVLKALVKEVPTLQGSPLFLVAESYGGKYAATLGVSIARAVRAGELNITLAGVALGDSWISPEDFTLAYTPLLLSVSRLDDNAGDEANKKAETVKKQIAAGQWAASQKSWGDLLDFIATKSGNVDVYNFLLDSGMDPVSTPVASTGSSLANLQAKYSTYLSSQDSASNTIDGIMNGVIKEKLKIIPKDFKWGQQSDSVYYALVNDFMKPRIDEIDELLSYGVNVTVYNGQVDVICSTDGAEAWVQKLKWDGLKTFLSLPRQPLYCGASKGVKAFVRSYKNLHFYWILGAGHYVPVDQPCIALSMISSITQSAAS >KQK90765 pep chromosome:Setaria_italica_v2.0:IX:45632558:45639778:-1 gene:SETIT_034836mg transcript:KQK90765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCYGKSAGVQEPAAEEETQVAAGAAPPAVARDDGAASPAKAPPTPKQPKFSFYLPSPLPTSSYKGSPANSSVASTPARKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGAEPDLGLDKSFGYSKHFAAKYDLGREVGRGHFGYTCAAKAKKGELKGEDVAVKVIPKAKMTTAIAIEDVRREVRILSSLTGHSNLVQFYDAFEDEENVYVVMELCKGGELLDRILARGGKYSEEDAKVVIHQILSVASFCHLQGVVHRDLKPENFLFMSKDENSALKVIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDDTPWPTLSAEAKDFVRRLLNKDYRKRMTAAQALCHPWIRGTQEVKINLDMIIYRLMRAYISSSSLRRSALRALAKTLTIDQLFYLREQFTLLGPNKSGHISLQNMKTALMKNSSGAMNDSRVVDFVNSICNIPYGKFDFEEFSASAISVYQMEGLETWEQHARQAYELFDKEGNRPIVIEELVSELGLGPSVPLHIVLQDWIRHPDGKLSFLGFIKLLHGVSSRPIPKV >KQK92512 pep chromosome:Setaria_italica_v2.0:IX:55893875:55894955:-1 gene:SETIT_037156mg transcript:KQK92512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRFLHQLLLAVLALCFAPVRPDGWLPATATFYGGADGSDTMGGACGYGNLYDQGYGINNAALSTALFNDGASCGQCYVIICDTSKSGWCRPGKWVAVSATNFCPPNWSLPGGGWCGPPRPHFDMSQPAWENIGIYSAGIIPVLYQRIKCWRDGGVRFTIAGFNYFELVLVTNVAGSGSIQSMAVKGTSTDWIPMSRNWGANWQCLAALAGQGLSFALTSTGGQSIVFQDVVPAWWQFGQTFKTYQNFDY >KQK92681 pep chromosome:Setaria_italica_v2.0:IX:56749920:56756038:1 gene:SETIT_034082mg transcript:KQK92681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLTSALLSRSTTGSSPRVSAAAAAAISRPATPSSSAPPSRPTPSPRPSPASPFASGLAGRLFGGHRAAARSASSAAAVFERRFASAATKNSYDEILTGLGKPGGGEEFGKYYSLPALSDPRIDRLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSASKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAVSKLGSDPNKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFHRNKERFGFLKWGSSAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGQGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLRLTGRSDDTVAMVESYLRANKMFVDHNQVEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWHSCLDNDVGFKGFAVPKESQSKVAEFSFHGAPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGDLDESVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEISEVVKTSVLPDMFKSTYEAITKGNPMWNELSVSASTLYPWDPSSTYIHEPPYFKDMTMTPPGPRPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAAKYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLAVFDAAMKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQVSSFHYFLQLCC >KQK92682 pep chromosome:Setaria_italica_v2.0:IX:56749895:56756813:1 gene:SETIT_034082mg transcript:KQK92682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLTSALLSRSTTGSSPRVSAAAAAAISRPATPSSSAPPSRPTPSPRPSPASPFASGLAGRLFGGHRAAARSASSAAAVFERRFASAATKNSYDEILTGLGKPGGGEEFGKYYSLPALSDPRIDRLPYSIRILLESAIRNCDEFQVTGKDVEKILDWENSASKQVEIPFKPARVLLQDFTGVPAVVDLACMRDAVSKLGSDPNKINPLVPVDLVIDHSVQVDVARSQNAVQANMELEFHRNKERFGFLKWGSSAFRNMLVVPPGSGIVHQVNLEYLARVVFNNGGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGQGMSELSLADRATIANMSPEYGATMGFFPVDGKTLDYLRLTGRSDDTVAMVESYLRANKMFVDHNQVEAERVYSSYLELNLEEVEPCLSGPKRPHDRVTLKNMKSDWHSCLDNDVGFKGFAVPKESQSKVAEFSFHGAPAKIKHGDVVIAAITSCTNTSNPNVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVKKYLDKSGLQKYLDQLGFHIVGYGCTTCIGNSGDLDESVSAAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVNIDFEKEPIGISKDGKEVYFRDIWPSTEEISEVVKTSVLPDMFKSTYEAITKGNPMWNELSVSASTLYPWDPSSTYIHEPPYFKDMTMTPPGPRPVKDAYCLLNFGDSITTDHISPAGSIHPDSPAAKYLKERGVERKDFNSYGSRRGNDEIMARGTFANIRLVNKFLKGEVGPKTIHIPSGEKLAVFDAAMKYKNEGHDTIILAGAEYGSGSSRDWAAKGPMLQGVKAVIAKSFERIHRSNLAGMGIIPLCFKAGEDADTLGLTGHERYTIHLPTNVSEIKPGQDVTVTTDTGKSFTCTLRFDTEVELAYYDHGGILPYVTRKIAEQ >KQK89240 pep chromosome:Setaria_italica_v2.0:IX:22418345:22418956:-1 gene:SETIT_039849mg transcript:KQK89240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKFVALSLIVLLSIGLANAARVARYVSAGGGGGGGGGGGGGQDGGSGYGSGSGYGHAGGYGSNGGAYAEGGGQGGGGGGGQYGGSGSGSGSGSGYGQAGGYGSNGGTYAQGGGQGGGGGGEQYGGSSSGSGSGSGYGQAGEYGPYGGGYAQGGGQGGGGGGGQNGGSGQGSGSGSGYGQARGYGSYGGGYTQAGGQGGGGG >KQK93009 pep chromosome:Setaria_italica_v2.0:IX:58291284:58296152:-1 gene:SETIT_034779mg transcript:KQK93009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHWVSDNEDSDKFEWESDEEEAASFNAAGASSSALASRNIDAPGPSTRVANGNGRAGPSASSVQKYVDMGFPEEMVMKAMKDNGDNGAESLVELLLTYQEIGNNPSVDNGSASGCVSQAAEDSDADDILENWDDEDAGERNRGPTIDESGDEDFLNEMSQKDEKVDSLVKMGFPEDEATMAITRCGQDASISVLVDSIYASQTAGDGYCGNSSDHEDFYGGRKKGRPMEGIKRKRKRFGSQAQGSRGPLDGSNDEPMPLPKPMVGFGLPNGSRSTNRSLPGQAIGPPYFYYENVALAPKGVWTTISRFLYDIQPEFVDSKFFCAAARKRGYIHNLPLVNRSTLLPLPPKTISEAFPRTKKWWPSWDPRRQFNCLQTCVASAKLTERIRVALTNSEDPPPQRVQKYVLDECRKWNLVWVGLNKVAPLEPDEMEFLLGFPKDHTRGISRTERYRSLGNSFQVDTVAYHLSVLKDMFPHGMNVLSLFSGIGGAEVALHRLGIRMNNVISVEKSEVNRTILKSWWDQTQTGTLIEISDVQTLTSEKIESYIRRIGGFDLVIGGSPCNNLAGSNRHHRDGLEGEHSALFYHYFRILDSVKSTMERL >KQK90259 pep chromosome:Setaria_italica_v2.0:IX:41054538:41055047:1 gene:SETIT_038962mg transcript:KQK90259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARKHLLRTRPPDRGEGKAVRPVAPACAPCGKASSEQGERSHRRAPLWHRAGCSQILLVCLSIVEFTNGPSIWSYMDACTIFAPRMCTSLLELHVIL >KQK90888 pep chromosome:Setaria_italica_v2.0:IX:46379120:46383067:1 gene:SETIT_034924mg transcript:KQK90888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARRVVELKAFNKFENTSDALSAATLIIDGKPSKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELITGLGAQELGPMSLGLSHSLSRYKLKFSPEKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVTDNIQYAKVVKMMGDRANAVNLDFSEILSDEEVEAQIKEAAVISMGTEVSDLDLLNIRELCDQVLALSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGKASQKHKGKISRSLAAKTALAIRCDALGDGEDNSIGTESRLKLETRLQVLENKEFGKSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPATDVVLGQSTEETPKKSELASKKRKHHEAEAAQTTEPAAEAIQEDGDQERKKKKKKDKETEATPVAAADGEKKKKKKSKESEEPAVAAAEGEKKKKKKKSDVDGEDVVLQTAESGKKDKKKKKKKHADDE >KQK87989 pep chromosome:Setaria_italica_v2.0:IX:10634697:10641127:1 gene:SETIT_035677mg transcript:KQK87989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLNLPLPSFLAILLHTDDQDQWPPEATLLVAAHHGNVRRLKEIAKRMDVNGKGVSSMLRRTAYEGMNALHAAAGGKGMLPICRYLVEEVKMDVNKRDTFTGKKMTPLQHAVFGGNLPVVRYLLDHGADLHQEGDLECHGKFTALHTAAEKGRCAIAKFLLSRGAYVDGKSCHVTPVHLAVFGGHDSTLKILLDHNADPNKGADLSTPLDMALRTPSLSCLKLLIQAGAEVNGFRNPLAAAAQEGLTEAIKCLLEAGADPNTPDVFGRIPIELAAVYGTSEDVEILFPFTSPIPTVTNWSVDGIINHMKLERKQLEDENFVEARKSELKKQGDDAFRKQDYINASAFYTQAMRVDRYDAGLFSNRSLCWLRIGDGRRALRDATWCNILRPKWVKAYFQKAQALMLLKDYEQACNTLATGLELDPLNDEADKLYWEAMELKNGSTEAA >KQK91636 pep chromosome:Setaria_italica_v2.0:IX:50955798:50958616:-1 gene:SETIT_034492mg transcript:KQK91636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMEGTVIRIASESKPGNELDSLTELPRSMETLVAHHHEVEKLIGLEDDTELTPCEGMEFESEDAARDFYSTYARNAGFRIRISRYTRSRRDNSIISRRIVCSKEGFHETRACDGLHSDQKQQERAGTRVGCKAMIMIKKFGPGKWMVTKFVKNHNHGPVPPRKLDSGPANQNGDPMEKPHSIEMGPVEEPFEGMEFESEEAAKLFYVNYARLNGFRARISRYCRSRRDNSIISRQIVCSKEGFREVRTKKVVTDEGKTKRPRMITRVGCKAMIVVKKMNSGKWMVSKFEKEHNHSLSYSKMVPITSNNTSGEVADFTAKSADPNDVKNEGCSAGTQCNPADSLTVLYNNLCQEALKFAEEGSVTEEIYHVAVSALKEAAKKVAEVKRSRPALPNRDLISESKHDVFQVQTMSTLQCSTQVELKTTLSRRPLQESASNLLLVPTNIITDSRLYNRVDNVPLSSDFLKNGRQGRHATEGSFVHFENIKETSTQKPQNTSSNQAIHGKDEGIHGSSGETMVAIPAIPLALCMPVTQNLPRSSADGPYRLLAAPIEAVPISYCPAEPIRQPQRGVCALGPFAGVLSELNKPGTGPNSLVHATALACGARAVPLEEAASLINAVESKIRSGGAIIAKMPSSNLTSPFPPAIAMSSSSEDEENDHSEPLMVDVDRNCHDQSSDEMKLQSEPSELETEADN >KQK91766 pep chromosome:Setaria_italica_v2.0:IX:51679178:51679831:1 gene:SETIT_039642mg transcript:KQK91766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAARRKRRLSPPRPRPLPPPRLLAVSVAFASTLLFLILVLLSTSPPTPPRRLASGRRSSSSSTALPRCGAAGLGELGDAMVSMLPKDLPFTVFVPSPGSFRRVLGLQQGRNASAAAEGDNDNTYAVLSRVLGFSAVPRRLLAADAPPRGTACLALALDSVSGLRIHASRDARGALVVNGVRSECVDVVRGEIVVHVIAGVLMDAEFERSFAVEASDS >KQK89906 pep chromosome:Setaria_italica_v2.0:IX:36978166:36982174:-1 gene:SETIT_036426mg transcript:KQK89906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEELPGDSGGGGGGEGGEELPQLRRGDCGAAAGEGGVVMRVAVDAKRAAVGVGARMLFYPTLCYNVVRNRLESHFHWWDQVDEHVLLGAVPFPSDVLRLKELGVSGVVTLNESYERLVPRSLYEAHGIENLVLPTRDYLYAPSFVNLCEATDFIHRNALSGKLTYVHCKAGRGRSTTVVICYLVQYKNMTPAEAYEHVRLRRPRVLLASAQWQAVQEFYQLRVKKTGRSTCLDNPIIKPPLFLATRNLVAFDDSAFVMVSESDLEGYNADALALNMGSGLWEISLIYRAQFASKAAFAGFSYLWLRCRACKEALPENVARETCSLEAEQIATGHPCLLQGVVVNP >KQK91054 pep chromosome:Setaria_italica_v2.0:IX:47415842:47417293:-1 gene:SETIT_038728mg transcript:KQK91054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPLPLLAVILHACAAAEALVFDVPSGSSKCLTEDLRRGVLSHASYRVAAGSTSAADPRISARVTGPRGEELHLAEAVERGEFRFQAAEDGGHTACFWTPRYERGAVVSVDVQWDTAGGSGSRPAVAVSNNQGPIAVCSPSIAEELKKLEDSARLIHEEMISLRQSEHDMQRLNEDTATRIHSFTLLSLAMCVGVAGLQLWHLKSFFQKQHIL >KQK91695 pep chromosome:Setaria_italica_v2.0:IX:51289540:51293624:-1 gene:SETIT_040225mg transcript:KQK91695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWPWKKKSSDKSSNADALQNSNQEQEDKAPKFVQISPETYAHLTESEEKVKGLEENVKVLNEQLSGAQSEITTKDALVKQHAKVAEEAVSGWEKAEAEASALKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGEQKLHDVVFAKTKQWEKIKAEFEAKLLEFEQEFIRAGAENDALTRSLQERAELLMKIDEEKAQAEAEIEVLKSTIQSGEREINSLKYELHVVSKELEIRNEEKNMSVRSADVATKQHQEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVESLGREYGDHRVRRSPTKNSGFHRPMSPMSPVPDYAIENLQHMQRENEFLTARLLTMEEETKMLKEALTKRNSELQASRSMYAKTAGKLRSLEVQMLTGNQHKSPSTPNMDIHFDGALSQNGSNPPSMTSMSEDGVDDEGSCTESWANALVSELSHFKKEKAAKSSATEGSNRLELMDDFLEMERLACLTSEANGNGSTIDKMKIDEVGATLSSVTERDGVKDLQSASPMSETPSSKQQLSEKSSLLKLQSRISSLLDSESLENNSGKMLDSIRNILKDIEDEADSMNTNGNHHLDATLNSGSKCTMDQELKSAILKIQDFVKLLDQELSKFQGQSSDYDGLCEKTQHFSALVDKVLSNDNGLNDLVMALSVILSETGQIKFAMSRDNSNEAESNNLDCVDKVTLLENKVQPEPVKDNVSGLCTLLPRSSSDPEFEGPADSGFDAKTTLKICSPEEYEQLKSEKINLEVELAKCNKIIEETNVRLSDMEKSLEDLTAKLVDSEKSNSLSETQLKCMAESYKSLESRKVELENEIQVLRSKIDTLTDELTDERQSHQEDLAKYKDLEEKMERYELERSSMFVDEDPDTNSKQEKEIAAAAEKLAECQETMLILGRQLQAMRPPAESLGSSPNRQRMEDFLQDAVGTTAGEFSQKPGGQPDTDQEMLGTGNESPINGFKTHMTPSDVDGSPFPSPNSSKRPKHRSRSSSSSSFTNQQLAEKQSRGFSRFFTKGKE >KQK88835 pep chromosome:Setaria_italica_v2.0:IX:17561211:17562602:1 gene:SETIT_038531mg transcript:KQK88835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSSAVSRPCFFLVAAAALWVLTLYLRLLALMSVPGAFTGRAASPPVPVDGNGSGAGGDPCGGRYVYVHDLPPRFNADILRGCAAANDRWADMCEDMGNAGLGRPLSGGALTGATGWYATHQFALDAIFHGRMRQYGCITNDSSAAAAVFVPFYAGFEFARHVWGYDNAARDAAALDLVRWLVRRPEWGRAGGRDHFLVAGRTGWDFRRDAYPNATWGTNLFLLPAVKNMTFLVVETATMGWGNDLAVPYPTYFHPRTDSDVVSWQHRIRSADRWWLMSFVGAARPDDPKSIRSQVMDQCRASPACRQLGCTSGTAQCHYPGDIMVLFQSSTFCLQPPGDSASRRSTFDAMVAGCIPVFFQPRSAYLQYRWHLPKDHATYSVFIPAESVRSGNVSVEAELRKIPPAAIEKMREEVIKLVPRLVYADPRYKLDTVKDAYDVAMDGVLERVAEPAKRQIGSYWR >KQK89108 pep chromosome:Setaria_italica_v2.0:IX:20728741:20730237:-1 gene:SETIT_040266mg transcript:KQK89108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPLDAPVQPLDPEAFAGDSRAVVDFLAEYYRDVDKYPVRAADLEPGRLRKLLPDSAPEHGEPLEDVLEDVRRDILPGLTHWQSPSFFAYFPMNASTAGFAGEMLSVGLNVVPFLWAASPAAAELEGVVVDWMGRLLGLPSRLLFSGGGGGVLQGSTCEAVVCTLAAARDRALAKLGHEAIMKLVVYTSDQTHATFQKGARLVGIPPSNFRVIPTSAASGYGLTADAVRAAVDRDVASGLVPLYLCATVGTTGLGAVDPVRELGEEARRHGMWLHVDAAYAGSAAICPEFQGYLDGAELADSVSMNPHKWFLTNMDCCCLWVASPRDLTSALSTDPEYLKNVGTNGTGKPAAIDYKDWQISLSRRFRAIKLWVVLRRYGAVGLRAHIRRHVTAAKWFERAVAADELFEVVVPRRFSLVCFRLRERFVGDDAVDDVNRELLAAVNESGRAFMTHFVVDGKFVIRLAIGGASTELRHVMDVWELLQAKAEEVLQRYQL >KQK92446 pep chromosome:Setaria_italica_v2.0:IX:55551760:55551954:1 gene:SETIT_039905mg transcript:KQK92446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVLMAVVLMQCCNVILAARPLLEAAAVAGGDGGWLGLIMQVLDKGNPSRPPSTGNPGGGQAP >KQK88811 pep chromosome:Setaria_italica_v2.0:IX:17368099:17369936:1 gene:SETIT_039976mg transcript:KQK88811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADPCAICLSDVGRGQAIFTADVAHGHRDRPLCKAPWRDLPAVGGGPPVRRPPPPARRPSSHHHNHGPYDDDDPPVADQTVETSRDAGGEAADHAVVALKTHCERPAVARGASRGSFAVLLHAVAPGAAAATATATAGARRGPFDLVTVIDLSGSMRGEKLHLVKQAVGFVIDNLGPTDRLSVVSFSNDATRVVRLASMEADGKASAKRAVEALAAGGGTNIGEGLRVATLVLDDDSATNVLTCVTLLSDGRDGGSGNRTAPIHTFGFGTDHTQSRRRRAARSLRREPGGHPDSFAHCVGGLLSVAMQDVRVPVACVHPGVRVLGVKSGRYENRVDADRRAASVDVGELYADEERRFLVFVRVPAAEATEEVTQLIKVRCSYRDAVRGCCEDVAGDDAVVLRPLSEVPDGDAGLSMEVERERVRVTATEDIAAARAAAERGEHAEAARILENGQEAVRHSAPGMAGDPTCAALENELSDLAARVASRREYEQTGRAAMLAGTSSHRQQRTLSVAVRPPTHFGRPGRGAGRGCILRRGGGAAPRPYATPAMQNMQHTSSSPPPAKRMHVRYAE >KQK88729 pep chromosome:Setaria_italica_v2.0:IX:16648364:16653024:-1 gene:SETIT_034737mg transcript:KQK88729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRNDAGQSKRPIVLCCMMIVCLCLLFLYFSGSNGQAKSAAFEYGTKFSRTLGWGSDDGEDGSEESIFGTGDADDVKPKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDVVWKANIPHTHLAKEKSDQNWMVEAGEKIKFPGGGTHFHHGADKYISNIANMLNFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWKIAEKRNQTVIWVKPLNNDCYRRRAHGTKPPLCKSGDDPDSVWGVPMEACITPYPEQMHRDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVENYWNLLGPKVKPDTIRNIMDMKANFGSFAAALKDKDVWVMNVVPHDGPSTLKIIYDRGLIGSNHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKSAVIEFIKKYLHALHWEVITVVDAEPSPESEENEMILIIRKKLWLPEAGPQDSST >KQK88728 pep chromosome:Setaria_italica_v2.0:IX:16648364:16653914:-1 gene:SETIT_034737mg transcript:KQK88728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRNDAGQSKRPIVLCCMMIVCLCLLFLYFSGSNGQAKSAAFEYGTKFSRTLGWGSDDGEDGSEESIFGTGDADDVKPKSFPVCDDRHSELIPCLDRNLIYQMRLKLDLNLMEHYERHCPPPERRFNCLIPPPHGYKVPIKWPKSRDVVWKANIPHTHLAKEKSDQNWMVEAGEKIKFPGGGTHFHHGADKYISNIANMLNFKDNNINNEGMLRTVLDVGCGVASFGGYLLSSNVIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVERMCWKIAEKRNQTVIWVKPLNNDCYRRRAHGTKPPLCKSGDDPDSVWGVPMEACITPYPEQMHRDGGTGLAPWPARLTTPPPRLADLYVTADTFEKDTEMWQQRVENYWNLLGPKVKPDTIRNIMDMKANFGSFAAALKDKDVWVMNVVPHDGPSTLKIIYDRGLIGSNHDWCEAFSTYPRTYDLLHAWTVFSDLDKRGCSAEDLLLEMDRILRPTGFIIVRDKSAVIEFIKKYLHALHWEVITVVDAEPSPESEENEMILIIRKKLWLPEAGPQDSST >KQK91316 pep chromosome:Setaria_italica_v2.0:IX:49226581:49229676:1 gene:SETIT_034620mg transcript:KQK91316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSPWFLHPSTRALAHRLVSFLPPTRTLVPLSPTTTSARVSYHHKSTMCGIFAVLGCADCSQAQRARVLACSRRLKHRGPDWSGLYQHEGNFLAHQRLSVVSPLSGDQPLFNEDRTVVVVANGEIYNHKKIRKQFTGKHTFTTGSDCEVIIALYEEYGENFVDMLDGVFAFVLYDTRNKTFMAARDAIGVNPLYIGWGGDGSVWFSSEMKALNEDCVRFELFPPGHLYSSAAAEFRRWYNPRWFLEQVPAAPYDPLVLRAAFEKAVIKRLMTDAPFGVLLSGGLDSSLVASVTKRHLVETEAAKKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFYFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKSLGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEIRVPFLDKEFVDVAMGMDPEWKMYDKDLGRIEKWVMRKAFEDEENPYLPKVTQKRQFLKLFQIVCVVKSELTLVRCLCIRSIFSTGRRSSSVTALATAGSMASRPSLSSR >KQK91318 pep chromosome:Setaria_italica_v2.0:IX:49226581:49230945:1 gene:SETIT_034620mg transcript:KQK91318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSPWFLHPSTRALAHRLVSFLPPTRTLVPLSPTTTSARVSYHHKSTMCGIFAVLGCADCSQAQRARVLACSRRLKHRGPDWSGLYQHEGNFLAHQRLSVVSPLSGDQPLFNEDRTVVVVANGEIYNHKKIRKQFTGKHTFTTGSDCEVIIALYEEYGENFVDMLDGVFAFVLYDTRNKTFMAARDAIGVNPLYIGWGGDGSVWFSSEMKALNEDCVRFELFPPGHLYSSAAAEFRRWYNPRWFLEQVPAAPYDPLVLRAAFEKAVIKRLMTDAPFGVLLSGGLDSSLVASVTKRHLVETEAAKKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFYFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKSLGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEIRVPFLDKEFVDVAMGMDPEWKMYDKDLGRIEKWVMRKAFEDEENPYLPKHILYRQKEQFSDGVGYSWIDGLKAFTEQQVTDEMMINAAKMYPYNTPVNKEAYYYRMIFERLFPQDSARETVPWGPSIACSTPAAIEWVEQWKASNDPSGRFISSHDAAATTTADQTAGAAHANGNGVAPVANGHAAANGAVNGTEVAVAIAA >KQK91317 pep chromosome:Setaria_italica_v2.0:IX:49226581:49230945:1 gene:SETIT_034620mg transcript:KQK91317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSPWFLHPSTRALAHRLVSFLPPTRTLVPLSPTTTSARVSYHHKSTMCGIFAVLGCADCSQAQRARVLACSRRLKHRGPDWSGLYQHEGNFLAHQRLSVVSPLSGDQPLFNEDRTVVVVANGEIYNHKKIRKQFTGKHTFTTGSDCEVIIALYEEYGENFVDMLDGVFAFVLYDTRNKTFMAARDAIGVNPLYIGWGGDGSVWFSSEMKALNEDCVRFELFPPGHLYSSAAAEFRRWYNPRWFLEQVPAAPYDPLVLRAAFEKAVIKRLMTDAPFGVLLSGGLDSSLVASVTKRHLVETEAAKKFGTELHSFVVGLEGSPDLKAAREVADYLGTIHHEFYFTVQDGIDAIEEVIYHDETYDVTTIRASTPMFLMARKIKSLGVKMVLSGEGSDELLGGYLYFHFAPNKEEFHKETCRKVKALHQYDCLRANKATSAWGLEIRVPFLDKEFVDVAMGMDPEWKMYDKDLGRIEKWVMRKAFEDEENPYLPKHILYRQKEQFSDGVGYSWIDGLKAFTEQQVTDEMMINAAKMYPYNTPVNKEAYYYRMIFERLFPQVTGSA >KQK91887 pep chromosome:Setaria_italica_v2.0:IX:52545341:52550903:1 gene:SETIT_034461mg transcript:KQK91887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHEKISAVISLLTNDFTYSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLSSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDSLCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSTDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRGIKADPTEGFDIGAILSIAKRELNSEHEATRIEALHWFSTLLVRYRVEFLAYLNDIFNPLLNALSDPSDAVVLLVLEVHARIAEEPHHFHHLVSYLIRTFHNNHVLLEKRGALIVRRLCVLLGAEKVYREFSAILESEIDLDFASVMVQALNLILLTSTELGELRSLLKRSLVDSCGKDLFQSLYASWRHSPMATISLCLLAQAYSHASCVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQVLSQYLPSEMPSHSV >KQK91889 pep chromosome:Setaria_italica_v2.0:IX:52545341:52552951:1 gene:SETIT_034461mg transcript:KQK91889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHEKISAVISLLTNDFTYSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLSSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDSLCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSTDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRGIKADPTEGFDIGAILSIAKRELNSEHEATRIEALHWFSTLLVRYRVEFLAYLNDIFNPLLNALSDPSDAVVLLVLEVHARIAEEPHHFHHLVSYLIRTFHNNHVLLEKRGALIVRRLCVLLGAEKVYREFSAILESEIDLDFASVMVQRSLVDSCGKDLFQSLYASWRHSPMATISLCLLAQAYSHASCVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLMMLLPQQSAAFKILRTRLKTVPFSENLKRTSSANPYSQILQVTEDGNRNQDTQNYSAINFPSLLQQFENMQQQHRNHLKGQLQSRKSASAATLLQEIQRYEEAHSSSLSEISRPPSRTSKGVS >KQK91891 pep chromosome:Setaria_italica_v2.0:IX:52545341:52552951:1 gene:SETIT_034461mg transcript:KQK91891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHEKISAVISLLTNDFTYSPQANHRKQGGLIGLAAVTVGLTSEAAQHLEQIVPPVLSSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDSLCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSTDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRGIKADPTEGFDIGAILSIAKRELNSEHEATRIEALHWFSTLLVRYRVEFLAYLNDIFNPLLNALSDPSDAVVLLVLEVHARIAEEPHHFHHLVSYLIRTFHNNHVLLEKRGALIVRRLCVLLGAEKVYREFSAILESEIDLDFASVMVQALNLILLTSTELGELRSLLKRSLVDSCGKDLFQSLYASWRHSPMATISLCLLAQAYSHASCVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLMMLLPQQSAAFKILRTRLKTVPFSENLKRTSSANPYSQILQVTEDGNRNQDTQNYSAINFPSLLQQFENMQQQHRNHLKGQLQSRKSASAATLLQEIQRYEEAHSSSLSEISRPPSRTSKGVS >KQK91888 pep chromosome:Setaria_italica_v2.0:IX:52545341:52551147:1 gene:SETIT_034461mg transcript:KQK91888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHEKISAVISLLTNDFTYSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLSSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDSLCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSTDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRGIKADPTEGFDIGAILSIAKRELNSEHEATRIEALHWFSTLLVRYRVEFLAYLNDIFNPLLNALSDPSDAVVLLVLEVHARIAEEPHHFHHLVSYLIRTFHNNHVLLEKRGALIVRRLCVLLGAEKVYREFSAILESEIDLDFASVMVQALNLILLTSTELGELRSLLKRSLVDSCGKDLFQSLYASWRHSPMATISLCLLAQAYSHASCVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQVLVLTTPSCKFLFLFLMHL >KQK91892 pep chromosome:Setaria_italica_v2.0:IX:52547701:52552951:1 gene:SETIT_034461mg transcript:KQK91892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSTDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRGIKADPTEGFDIGAILSIAKRELNSEHEATRIEALHWFSTLLVRYRVEFLAYLNDIFNPLLNALSDPSDAVVLLVLEVHARIAEEPHHFHHLVSYLIRTFHNNHVLLEKRGALIVRRLCVLLGAEKVYREFSAILESEIDLDFASVMVQALNLILLTSTELGELRSLLKRSLVDSCGKDLFQSLYASWRHSPMATISLCLLAQAYSHASCVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLMMLLPQQSAAFKILRTRLKTVPFSENLKRTSSANPYSQILQVTEDGNRNQDTQNYSAINFPSLLQQFENMQQQHRNHLKGQLQSRKSASAATLLQEIQRYEEAHSSSLSEISRPPSRTSKGVS >KQK91890 pep chromosome:Setaria_italica_v2.0:IX:52545341:52552951:1 gene:SETIT_034461mg transcript:KQK91890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADALSIIPGAVLRNLSDKLYEKRKNAALEIEGIVKQLATAGEHEKISAVISLLTNDFTYSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLSSFLDQDSRVRYYACEALYNIAKVVRGDFIIYFNKIFDSLCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADAALSEFLQEIKNSPNVDYGRMAEILVRRAGSTDEFTRLTSITWINEFVKLGGEQLVPYYADILGAILPCISDEEEKIRVVARETNEELRGIKADPTEGFDIGAILSIAKRELNSEHEATRIEALHWFSTLLVRYRVEFLAYLNDIFNPLLNALSDPSDAVVLLVLEVHARIAEEPHHFHHLVSYLIRTFHNNHVLLEKRGALIVRRLCVLLGAEKVYREFSAILESEIDLDFASVMVQALNLILLTSTELGELRSLLKRSLVDSCGKDLFQSLYASWRHSPMATISLCLLAQAYSHASCVIQSLGEEDINVKFLVQLDKLIRLLETPVFAYLRLQLLEPGKHTWLLKTLYGLMMLLPQQSAAFKILRTRLKTVPFSENLKRTSSANPYSQILQVTEDGNRNQDTQNYSAINFPSLLQQFENMQQQHRNHLKGQLQSRKSASAATLLQEIQRYEEAHSSSLSEISRPPSRTSKGVS >KQK90651 pep chromosome:Setaria_italica_v2.0:IX:44227625:44230753:1 gene:SETIT_036660mg transcript:KQK90651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLRRALRLPAPSTYPAAAAASSCLRAFSSHRRAPPPPPRSAATGDDEWNDAWETAWLPGDSPASSPAPAAPWESPTSASAPAVPAVSAEVDPDTKAFVADMDERWAERRAASRRGPPTRVPRAAEGGEGGTAAKKKARADEYRTRKQRVHAALWVKEIEKMEEARLGGGGVGADDIDRLLDSCSDIFDSGNADFGDSKIPSTTEIKTKPDGWETTTRGQDGNIWEISQREEDILLQEFERRMAFSKQQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVQRLPSVTDPATQSYIEDTPAIASNSSFRGNRPQ >KQK87542 pep chromosome:Setaria_italica_v2.0:IX:7849245:7849904:1 gene:SETIT_038838mg transcript:KQK87542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPVKLAALAAAIVAAVALSSFFHFRCRHLPTPYVSGGTRRLIVVSNEQEVGDEMDLADSNVELRCHGFREHDHSRPVWSALTSICSGAEANLTFPAVHGDEVFEVLCSYRGANRCWAHGVRVFANPGHDNLFCSEQIGGCTIRFRKDGGVEKVYEANGRLDVLPAIFMGFVPDFDNARDGGCASASCVGRTINRVIGEESCCDDSCGGWEKATPKK >KQK88356 pep chromosome:Setaria_italica_v2.0:IX:13536241:13536418:-1 gene:SETIT_0361821mg transcript:KQK88356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPAAGAAAAPVTPFAAQPRGHGGGGLRRTSPAPPAAALRLGPLFWPWEK >KQK90133 pep chromosome:Setaria_italica_v2.0:IX:40049276:40050197:1 gene:SETIT_039313mg transcript:KQK90133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSRANWDEATTKILLDLCIAEKNQFNWSNRCLTKLGWQHVKQLQNKLNALRRAFQSWKDLQVQSGLGRDKETGGLLLIPAFGMTMKGAGATQTSSAKPPPFLDKLYMLYGCDTQGRGTLLTAGGIREATPSMGTEANAHDFYPDPMAASSARNLSKRPTREISVDSPPKKKSGSLEDYEELDHAMQLIEEDGIKEGSELYYQALYLCKNAVYRRAFTKMKTKEGRLNWIQFNWDRENK >KQK92308 pep chromosome:Setaria_italica_v2.0:IX:54852099:54852557:-1 gene:SETIT_037991mg transcript:KQK92308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLPPPLPFGRRRRTPGVYNKPVEPHWLPPYLFRFRLDNLFGAKVLQVFAVFGRGRQPVAATGGWSGGSSQHPKRRGCIRSGNARLLPLPSMPSTIWFFPHVQSWMGVRIWFCWLPFHGRKWMLRRRKRVRK >KQK87510 pep chromosome:Setaria_italica_v2.0:IX:7653342:7656795:-1 gene:SETIT_035681mg transcript:KQK87510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIASASWALPLQTGGGGAGAAAAAGPSCRVMLAVAAPRSAASLRRARVLVAPRCAALEGPGAGEEEERPKIEEEDGNKSGERKKRARGRPVWRRILFASKKTRSIIMLNALTVIYASDIPVLKEVEALTEPAVFNMVRFVVAAIPFIPFAVCAFGDRRVRNAGLELGVWVSLAYLAQAIGLLSSDAGRASFITAFTVIVVPLIDGLLGASIPKLTWFGAIVSLVGIGLLECGGSPPCVGDVLNFFSAVFFGIHMLRTEQISRSTDKKKFLALLSFEVLVVALSSILWFMFKDGYVDTSESSFESWTFGMLWDTAASFPWIPALYTGVLSTVLCMWAEMVAMGDVSATETAIVYGLEPVWGAAFAWFLLGERWDNAALIGAALVLCGSLTVQLFGSVPEKSKKVQKRNSNPLETPMKRQDYLYLSPIPVDSRKIIGRQLERKDNAL >KQK88275 pep chromosome:Setaria_italica_v2.0:IX:12812763:12814295:-1 gene:SETIT_035814mg transcript:KQK88275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASQSDAPRREPGQRRPMCGVCTKPIRLCLCGRLRSPPVDTAVGVTVLQHAMEAHHPLNSTRVARLGLLNLAVAQVTDVNHRAHFILTTLETGSAAAPGLGCGPVAARSGNLAFPRDGTCGKSDEVGASCDSEDSGLYSSGDLGVEDINFVGEVGSPGWAHNGAGSFGFKKVKSDGQPADLDRPSSGANQVGNSVVDSVNGESNHISEGMVNDCNGIGVEKVEVGADLGQDWTMKSMDKCTIAFTEKELRIDIERGVKPKIRWLSRGLLGEAAVSNGFVVTKIQMKKCKLTGEITEFEEFSITIPPKSALLFPCQRAISIDASGCQVQHLIVLDGTWAKAQRMYHENPWLQLLPHVKLESDRVSLYSEVRHEPRAGCLSTIESIVVAMKKLGEDSKELDDLLDVFESMIADQRRCKDENVKQKLKS >KQK89215 pep chromosome:Setaria_italica_v2.0:IX:22099103:22099546:-1 gene:SETIT_040051mg transcript:KQK89215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSASAIVAGATSGYHVLKIKGYSFIKSAFPNGKYIESRTFRVAGHTWAINYYPNGITSVAADYVPFYLRLCHPGAAADVRVKMVFTFIDEVEMQAPSYVRARTPRRFVANNTSWGYEKFIKRENLERSERFKGDCFTVRCDIIVAP >KQK86880 pep chromosome:Setaria_italica_v2.0:IX:4259102:4259920:-1 gene:SETIT_040809mg transcript:KQK86880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYCFYVPNIPVVRFHLPLLIRAFCCRTHIMHLI >KQK87349 pep chromosome:Setaria_italica_v2.0:IX:6816837:6817148:-1 gene:SETIT_038858mg transcript:KQK87349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKFSRFLKLAGVGFKARSEHEGRELFLKLGYSHEVQFTAPPAVRVFCFKPNIICCTGIDKDRVHQFAGAVRSCKPPEVYKGKGVLYIDEIMKRKPGKKQKK >KQK88930 pep chromosome:Setaria_italica_v2.0:IX:18736982:18740324:-1 gene:SETIT_037585mg transcript:KQK88930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSLPATNAGGLSNANSGDSDIDSKNFRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSLESFNKAQYWVKELQKHGGPDMIMALVGNKADLHENRTVSSQVCKKDYASLSV >KQK88929 pep chromosome:Setaria_italica_v2.0:IX:18735693:18740462:-1 gene:SETIT_037585mg transcript:KQK88929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSLPATNAGGLSNANSGDSDIDSKNFRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSLESFNKAQYWVKELQKHGGPDMIMALVGNKADLHENRTVSSQHKSMQKGTICFSLRRQLKQPIT >KQK88931 pep chromosome:Setaria_italica_v2.0:IX:18736029:18740324:-1 gene:SETIT_037585mg transcript:KQK88931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSLPATNAGGLSNANSGDSDIDSKNFRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSLESFNKAQYWVKELQKHGGPDMIMALVGNKADLHENRTVSSQEAQEYAERNNMFFIETSAKTADNINQLFEEIAKRLPRPTSS >KQK88928 pep chromosome:Setaria_italica_v2.0:IX:18735693:18738931:-1 gene:SETIT_037585mg transcript:KQK88928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHFSPHLATNAGGLSNANSGDSDIDSKNFRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTLALEDSTTVKFEIWDTAGQERYAALAPLYYRGAGAAIVVYDITSLESFNKAQYWVKELQKHGGPDMIMALVGNKADLHENRTVSSQEAQEYAERNNMFFIETSAKTADNINQLFEEIAKRLPRPTSS >KQK89321 pep chromosome:Setaria_italica_v2.0:IX:23918690:23920531:-1 gene:SETIT_039948mg transcript:KQK89321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLRDPIKPLYNGGVIQNSEFNSGLMGWSTYRNIKAGVSSSPSGNKFAVVHNAGSFLSSSGRFLPSHSVYQRVQMQGDMHYLLSAWLQVSNGTAHVKAVVKAPSGERVIAGAIVAQSGCWSMLKGGMTTYSSGHGEIYFESEAPVDILVDSVSLQPFTFDEWDAHARRSADKARRRTVRLVAKGAGDKPMAHANVSIELLRLGFPFGNAITKEILDLPAYEKWFSSRFTVATFENEMKWYGTEWAQNQEDYRVADAMLKLMQKHGIKVRGHNVFWDDQNSQMKWVMPLNLAQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFETKLGPNASAQIYNQVGQLDRNAILFMNEFNTLEQPGDPNPVPAKYVAKMNQIRSYPGNGGLKLGVGLESHFSTPNLPYIRSALDTLAKLKLPMWLTEVDVVKSPNQVKFLEQVLREGFAHPNVDGIVMWAAWHAKGCYVMCLTDNSFKNLPVGDLVDKLIAEWKTHRASATTDDKGAVELDLPLGEYKFTVSHPSLKDAAVHTMAVDTSSSEHTINIKS >KQK87923 pep chromosome:Setaria_italica_v2.0:IX:10199569:10200047:1 gene:SETIT_040721mg transcript:KQK87923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFASRRRYSAERHRRKSSPRRTTDSRRSIQSTASTRRGGGHPGQW >KQK92207 pep chromosome:Setaria_italica_v2.0:IX:54349389:54351117:-1 gene:SETIT_036568mg transcript:KQK92207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFSQAAAGHFGYGGRGDSPYWCDLGGLGDLCPGDYSWDQELDAWAAAPAVGDDWEAASRADQSSDASTDQLLQGKKAASEPAAAVAAVRRKRRRAKVVKNKEEIETQRMTHIAVERNRRRQMNEYLAVLRSLMPPSYAHRGDQASIVGGAINYVRELEQLLQSLEVQKSIKNNRGRSSTDAAGNSSPFAGFFSFPQYSTSPAHGGGCSSTSLGSSSNTSSSNATTNSDASVADIEVTMVEGHASLKVLARRRPKQLLKLVTGLHQLRIPPLHLNMTTTADAMVLYTFSLKVEDGSKMGSVEDIATAVHEILGSIQQQEEETAVM >KQK88167 pep chromosome:Setaria_italica_v2.0:IX:12101454:12105019:1 gene:SETIT_034679mg transcript:KQK88167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSSSEDSDISDSDIVEHKEKIYAQLRAGKLKVKYGESAFRCPFCPGKKKQDYNLKDLLQHATGIGAAPKRSAKVKATHLGLAMFLEKDTASSLEKPLQIVVCKPKATKGEEEVFVWPWMGIVVNLHYELKGEAFSRESEERLRAQLSRFRPLQVTILGDDKDQAFCAIVKFAKDWGGLKDALAFEKHFVLEQYGKTDWNKINCRKDDIYGWLARSDDYNTLGPIGQHLREHGDLKSVGDLEREGTQKIDMRVAHYARQIEVTNKHMSELELKNNQNAMKLNRMMEEKDRLVEEHNEKIRKMQKAACRSSRKIIDENIRLYGELETKKKEIDRKGKQLEKLATKSNTDREKLEAAKEENAKENMLLNLATQKKKEEDEKLMRLVKKHEQEKEDALKKLCNLQMQLASKQKLELEIEQLKGNLEVMKHMVDEDKNLKEKLDKLLETLEEKNDEMENIDSLNQTLIIKERRTNDELEEAKKELTSGLQKMSAVRSLIGVKRMGELDHKAFVAACKEKITDAKELALVCSKWEDEIRQPDWHPFKVIDVDGVAKVLHFLT >KQK88168 pep chromosome:Setaria_italica_v2.0:IX:12101454:12106673:1 gene:SETIT_034679mg transcript:KQK88168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSSSEDSDISDSDIVEHKEKIYAQLRAGKLKVKYGESAFRCPFCPGKKKQDYNLKDLLQHATGIGAAPKRSAKVKATHLGLAMFLEKDTASSLEKPLQIVVCKPKATKGEEEVFVWPWMGIVVNLHYELKGEAFSRESEERLRAQLSRFRPLQVTILGDDKDQAFCAIVKFAKDWGGLKDALAFEKHFVLEQYGKTDWNKINCRKDDIYGWLARSDDYNTLGPIGQHLREHGDLKSVGDLEREGTQKIDMRVAHYARQIEVTNKHMSELELKNNQNAMKLNRMMEEKDRLVEEHNEKIRKMQKAACRSSRKIIDENIRLYGELETKKKEIDRKGKQLEKLATKSNTDREKLEAAKEENAKENMLLNLATQKKKEEDEKLMRLVKKHEQEKEDALKKLCNLQMQLASKQKLELEIEQLKGNLEVMKHMVDEDKNLKEKLDKLLETLEEKNDEMENIDSLNQTLIIKERRTNDELEEAKKELTSGLQKMSAVRSLIGVKRMGELDHKAFVAACKEKITDAKELALVCSKWEDEIRQPDWHPFKVIDVDGVAKEIIKEDDEKLQALKAELGEKAHDVVVRALREINEYNPSGRYPLPELWNFKDDRKAPMGEVAAYIVKQWKTNKRKNTYT >KQK86830 pep chromosome:Setaria_italica_v2.0:IX:3988841:3993169:-1 gene:SETIT_035318mg transcript:KQK86830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSADLADDGFSATRLFSQGVSYTYDDVIFLPGYIGFPADAVDLSTRLSRRLPLSIPCVASPMDTVSEAPMAAAMASLGAAAVVHCNTEPDAQAAIVRAAKSRRLPFVSSVPFFAPSSAPTLNDFAGYEYALVTELGDSLSRLVGVAVAADAASREVPAPVSEYMLPAPRSASASFDFEQAAAFLADEGLDCAPLISDDGEVIDLITAKDVERIRSYPKLGKPSLGADGKFIVAASIGTREDDKRRLEQLVKAGANAIVIDSSQGNSIYQLDMIKYAKKMYPEVDLIGGNVVTIAQAQNLIQAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSYANDHNVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSHEAPGTYEYKDGRRVKKYRGMGSLEAMTKGSDARYLGDTLKLKVAQGVVGAVADKGSVLRFIPYTMQAVKQGFQDLGASSLQSAHDLLRSETLRLEVRTGAAQVEGGIHGLVSYEKKAF >KQK89620 pep chromosome:Setaria_italica_v2.0:IX:33880716:33882748:-1 gene:SETIT_037130mg transcript:KQK89620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRRRRLSSPAPADLPDDLLWEVLLRLPPLPSPLLGASLVCHRWRHLATDPAFLRRFRAFHRRNAPLLGFFRYSSECAYFTPLMDPSDRIPAARFRLPWQARGEHCGFFGCRHGLALILNRTRKEVVVWNPVSGDHSHVRFPRGDIVFGAAVLRPAGFNHDLGQSSPFELVLLCSRLRASRLTPLVARIYRWESGKWVTTGSAAALTAMPWSSVVGPSVMVGNTLCWLIGGGDILHVGHTETRCDQEAVVPALEV >KQK89589 pep chromosome:Setaria_italica_v2.0:IX:33540291:33544646:1 gene:SETIT_038724mg transcript:KQK89589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNKMKNKLTNQRKVIENYGFGSLLLFDKCFVPNNFVKRVARLVDYKSGDIVLNGKIISLTKESVHNVLGIPLDGRSFPTDITNGKSAVLKKFNKESIPSVEFYTNKLQSKEEVMSDEDTFICFILIALNSFLCSNASLIPSQKFFGIFDDISNCKEFDWCGYVLSWLLKHIKTFNKSKTKAGKQPGTLGGCLYYLPYLVRGINIYILFFFICYSMITVWKGNMIQTYSNFDIKSPGVYGFRPILDFSETCYAKVWNLLSLDCSYEVKKILSIYDYFLMVCKVFIFSILNRLYPMILNFWKKFDFVSGCKLPTDLKTSICKAIEKHTFSLGLQVNLDVTSISSLPKHIFNSFTKLLQHASSVESRMKNIVLDVLKLVTESPHESASASPQPYLSRDSSTGGKLPLHGPRRVVKSSTLFHSEFQIAKQKIYVSNSELKNYKSLCSLASSKFSNEDVVCLGKVRCTFWSLGESLKPGGFVNPFVISTYCYSLYLKPTSTFDTSKSHFFFANIGETLLKESEQVNEEILARAFKGSSIHQPLHHSNNLFFPTLYNNHWFVFMVNIKDHNFIFLDSLHHKDHEFLEIVSNRMVPIFQLYWDHYVQVNMNFDEYDIVFPLVPQQPLDNTYGSFFDNKFHFMY >KQK87704 pep chromosome:Setaria_italica_v2.0:IX:8769994:8774407:1 gene:SETIT_036492mg transcript:KQK87704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTTLETSLDPSYTTTAPATATASRPSIPQSRVASSPPQPAMPDADVDAEMRDAAAAAAAPAGDDGEDDTGEEEDDEDDIDDEDEEEPTAPVPVPPVEPPVPAPVSVLPGNPNQLTLLFQGEVYVFESVTPDKVQAVLLLLGRGELPPGSTGMVLPNQNENKGYDDILRRTDIPAKRVASLIRFREKRKERNFDKKIRYAVRKEVALRMQRRKGQFAGRASLEGESPAPGCDPGSQSSGLDFVSRESKCQNCGTSEKMTPAMRRGPAGPRTLCNACGLMWANKGTLRSCPKAKVESPAVAIEQGGSDNKALMAPKNDNVSASNSEATSAAETGAPKAP >KQK88409 pep chromosome:Setaria_italica_v2.0:IX:14036909:14040653:1 gene:SETIT_040103mg transcript:KQK88409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPPKRLHGRSLLDLEDDLIPEILLRLPPHDPGCLVRCSAVCKPWRRLLTDHAFLRRYRKFHGVPPMLGVLFDLDLDLPCNCFAARFVRTTSFRPRALDHGGCYVRDARHGRVLFSSATNEKNEYDLYVWNPITGERWEMLRPLPLPVSVLSYAAWNVTVLCAAAVREGGGDCDHLDCHGGPFLVTFVGTDDDGVTCGRVYSSETAAWSDAAYAEHPDDLADMDTRPCALVGNRVYCLAAGSMTIVEYDLDRRKLAFIDPPSAYEGHGVLMPAMGGGGLGFAGVRGSCLYLWSREAGPDTTPAWTQSRALELNTLPGPRRHLRQDRCWRLQD >KQK89052 pep chromosome:Setaria_italica_v2.0:IX:19982180:19984271:-1 gene:SETIT_037571mg transcript:KQK89052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSLNPQPLINDEPLTLPASAAKDVQVRDLMSSGWTNEQHSSYISSMEASFVDQLYGHQNHGIHANKKHLGNNGFKVIQEGVCKNIRFERNQPRTRDAGMNYLPENPWVRRFRPRSAGVSRRDDCAEAMADDYGSGTDTVREKVRMHGGEVKPCARQNLIGKSKEVSDQNFAEEEVDASNEPCKKQRPTSSSGAPNDPGT >KQK89270 pep chromosome:Setaria_italica_v2.0:IX:22850240:22851386:1 gene:SETIT_039740mg transcript:KQK89270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGATVPQIKRIVDVSISPPNVIWSNRTKEEEKYLQLNAQASYVLTCALSEDIYDSIMDDDDIHMDAHCIWTTLKERYGKSKCDDEVVALEESFEKCSTSSRINKEPQEEEAIDRHRSNEESTSPSDCEDEDLELGQMSGKDNSNTTKFEKIIENLEGRLERQNEHLIEKIKELKALTKEHKKLKDSHASLVSMYEKLSIKHICATNSSSCVAQLENANCRLKAQIEELPSKHVDLQEKYDDLSCSHEKLVDSHVMLGIAHEVMVTSIKSYQPHIHNCTCSQVQIKLSCANPCYSQANCSCRN >KQK91998 pep chromosome:Setaria_italica_v2.0:IX:53147289:53151154:1 gene:SETIT_036610mg transcript:KQK91998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARALSLLVVLLLLAGAARGKTVKRDVKALNEIKSSLGWRVVYSWVGDDPCGHGSLPPWSGVTCSQQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLRRLDLHNNKLTGPIPPQIGRLKHLRILNLRWNKLQDVLPPEIGELKKLTHLYLSFNNFKGEIPVELANLPELRYLYLHENRFTGRIPPELGTLKNLRHLDVGNNHLTGTLRDLISNGNGFPSLRNLYLNNNELTGVLPDQIANLTNLEILHLSNNKMIGSISPKLVQIPRLIYLYLDNNNFIGRIPEGLYKHPFLKELYIEGNHFRPGTRSKGTHKVLELPDADILV >KQK92841 pep chromosome:Setaria_italica_v2.0:IX:57457264:57459496:1 gene:SETIT_039289mg transcript:KQK92841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARAATGSGPSGEREDAGEMSSASTSSGREVVLHVYEITKTGYEKTDKTIRMINRFFKDGIGVGGIFHSAVQVYGEEEWSYGFRYCDTGVFSCPVGQNSMYTYRESIVLGVTTFSNSEVKQILIQLSCEWPGFSYDFLSRNCNHFTNEFCEKLGARKIPGWVNRFANAGYTANVVAENTALQFRQAKSDIVNASKAAYNKLTTGLGQNNQDKAETPTTNQKRSTPWFQGNWFKAMVSAGAKPSTRTTNFSREAGDGPK >KQK89469 pep chromosome:Setaria_italica_v2.0:IX:30719060:30721042:-1 gene:SETIT_037688mg transcript:KQK89469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTAGPKKAALEHLRKMIEISTEKIRLAKVKEEQAKKAWEAAAQVVKDEEDAKQKLCDDLNHLVQESAATQYTKLEELKKRLESLNPSRASVEVSHATTNSVSQPPMSQNPATPTGPLDNGIEPASIEQQQRPAEAEKKRRPSNARGRGGVMILPKGRGSSGSGWTGAGFDVDSGT >KQK89468 pep chromosome:Setaria_italica_v2.0:IX:30719271:30720975:-1 gene:SETIT_037688mg transcript:KQK89468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTAGPKKAALEHLRKMIEISTEKIRLAKVKEEQAKKAWEAAAQVVKDEEDAKQKLCDDLNHLVQESAATQYTKLEELKKRLESLNPSRASVEVSGVNTAQHATTNSVSQPPMSQNPATPTGPLDNGIEPASIEQQQRPAEAEKKRRPSNARGRGGVMILPKGRGSSGSGWTGAGFDVDSGT >KQK91874 pep chromosome:Setaria_italica_v2.0:IX:52452705:52456627:1 gene:SETIT_039334mg transcript:KQK91874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTMLAPVPTRPRSNPYRRRRGAAPLLLDQAATAAAAGKRPAESSTSASSCFYSEVISASSTSLAAYQRPEKRSRRQDEDEARPAGSECSVVIGGARALPAEVEASESSCLGSVLESDLACPEQLADDAEATEYSSAYEELTPSEPDEEEEVLSGPCSCAEYSLSPLISSPLTDNDDDTTAPSATFSLFLDFAKQFIPCVHPEARAVNNAALDLLTGRRFEDLDDEESYERFRRRERREAVARDYTEVYGSMPGSDGPLVVEQRVVMVNWIIEHSYLTKLQPVTVFMGIGLMDRFLTQGYMKSLRNLQLLGIACITLATRIEENQPYNCVLQKTFKVGINTYSRSEVVAMEWLVQEVLNFKCFVTTTHHFLWFYLKAAKADDRVADLAKYLSLLSLLNHKQLSFWPSTVAAAVTHMRTQDDDLPECLMEQGKDREFGIELSAFRDY >KQK91246 pep chromosome:Setaria_italica_v2.0:IX:48755018:48755692:1 gene:SETIT_038285mg transcript:KQK91246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHLLMIGLERLVAFSFGMSRNKATDGRTWRLRAWRQRQVGNRITWGLSFAEAKTQNPDQVCGECYVSPAFFRGTEGKEGFYQ >KQK86113 pep chromosome:Setaria_italica_v2.0:IX:331955:337423:1 gene:SETIT_034895mg transcript:KQK86113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRNVLALGKHVLKATDRKRKRETAQEHAEGNDAMVLSQHDPRKHLVRSDDRAQFKKSKVASCGIGGILESYWNFKRSGLPVRVLFYQHGDWSDFPEDVVNLAQREFQLKRPITTAVFQNKHILLDFIHMICVDYEMTINKPLAWVDDHGKDFFPDLSSGLYMSKPSQHEKGEAVERAGMSTSVAESSSSVSIGEVVSHGKRINNIAEDNLEAHNKLDEAIGENKSGPSVHLNEHSSGIIQTATGKRSNGPRVDSAVRNLLLQGLGHPFKEKDIIGIYRTPLLDQHGQVRSGLFQEEVEVAKSRRGNANVRYAWLPCSRGTMEEMMMRGALEIAKPQHGHTHGVGTCLAPANCSNSCARYSDFHEDGVIRMMLCRVIMGNVEVVLPGSKQFQPSNENFDSGVDDLQNPKHYIIWDANVHKQIYAEYAVIVKVPPTINEYLVSKDSMSNISEITSSGSTDNLTKGGPRLPVMAPSPVEQEAPKLGHAPRAPSSPWMPFSMLFAAISTKVPRSDMDLVIRYYEEFKRKKISRSDLVIRMRQIVGDQILVSTVMRLQQKFPPMAAAGLPRALGRRE >KQK86112 pep chromosome:Setaria_italica_v2.0:IX:331955:337423:1 gene:SETIT_034895mg transcript:KQK86112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRNVLALGKHVLKATDRKRKRETAQEHAEGNDAMVLSQHDPRKHLVRSDDRAQFKKSKVASCGIGGILESYWNFKRSGLPVRVLFYQHGDWSDFPEDVVNLAQREFQLKRPITTAVFQNKHILLDFIHMICVDYEMTINKPLAWVDDHGKDFFPDLSSGLYMSKPSQHEKGEAVERAGMSTSVAESSSSVSIGEVVSHGKRINNIAEDNLEAHNKLDEAIGENKSGPSVHLNEHSSGIIQTATGKRSNGPRVDSAVRNLLLQGLGHPFKEKDIIGIYRTPLLDQHGQVRSGLFQEEVEVAKSRRGNANVRYAWLPCSRGTMEEMMMRGALEIAKPQHGHTHGVGTCLAPANCSNSCARYSDFHEDGVIRMMLCRVIMGNVEVVLPGSKQFQPSNENFDSGVDDLQNPKHYIIWDANVHKQIYAEYAVIVKVPPTINEYLVSKDSMSNISEITSSGSTDNLTKGGPRLPVMAPSPVEQEAPKLGHAPRAPSSPWMPFSMLFAAISTKVPRSDMDLVIRYYEEFKRKKISRSDLVIRMRQIVGDQILVSTVMRLQQKFPPMAAAGLPRALGRRE >KQK88869 pep chromosome:Setaria_italica_v2.0:IX:17918890:17924578:-1 gene:SETIT_036233mg transcript:KQK88869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPPTITIQVKFAGRTIPVEVPAAATTAELKRLLQPLTNVLPRGQRLVCKGKVLQDAASLSSMQVVGGSKVMLIASQGLHQGDGPITKNSSSSATSVTRTLNVKEHQTQKPEAVVGKSRAERWKLTGVVALHDCDLKAVPEEVWGCGPSVRILDASNNCIKEIPHKISALKSLNKLLLTANDIDDENISWEGLSCLQKLLNLSLSQNRLVSLPSTLGSLTSLHELRIANNRLDNLPIEIGSLKHLQILIANNNRITSLPSSIGDCESLTEVDLSSNLLTELPEAFGKLGNLKVLHLRNNGLTSLPSTLFKKCVQLITLDLHGTEITNDILRQVEGWEEFDERRRQKHQKQLDFRVGSSGVFDEGADDDNMRR >KQK87863 pep chromosome:Setaria_italica_v2.0:IX:9826133:9826973:1 gene:SETIT_038571mg transcript:KQK87863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAAAPAPPPPPPPPRRRVYTALDPRCEWKSTEEADTLVVDVSGFRKEELKVLYNTRRKLKVAGERQVDGGQWARFLKVFPVPKSCDASAIEAKMNIESARLFVILPKGSPLPPPPPSSSKDKHKEDKPGRSQSLGELMRPGNADGSSGSSSASMWSAQEDPGKGKVEDKERKGQAVEEPRQDQQMAIQDLPRSDGGANENAAKNDEGDGKGEDKRWWKKIRVLHVLGFVLVLALVGVGATLLYIVLL >KQK91457 pep chromosome:Setaria_italica_v2.0:IX:49912053:49912961:-1 gene:SETIT_037806mg transcript:KQK91457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRSTTRSQPDRRFLPAAAAASACTWTYVRAEWLEEMGSLFVSSPRNRRWEFESDWRSGTLTPPASWYPVGCGPLLSASHRYRRDKLAKWPLQRPGDASRLRGAGIPLKKETGAAAWVRHFDKPGRRIVQRLLAVVMATFLAAVYAVDKHTVWYALVFPCLDFNP >KQK87077 pep chromosome:Setaria_italica_v2.0:IX:5470325:5476618:1 gene:SETIT_034940mg transcript:KQK87077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSRGVPNSGDDDTGHRSKRRRVSSSGDATDTISAAMGGAGEGGGKKALYHCNYCNKDISGKIRIKCSKCPDFDLCVECFSVGAEVTPHRSNHSYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWLEVAEHVGTKSKQQCIDHYTTAYMNSPCYPLPDMSHVNGKNRKELLAMAKVQGESKKGTSLLPGELTPKAESPFSPSRVKLEEALGDGPAGRSPSHMAGGANKKASNAGQNKDGANISKVEDGHVDRSVGVKKPRYSADEGPSLTELSGYNSKRHEFDPEYDNDAEQALAEMEFKETDSETDRELKLRVLRIYLSRLDERKRRKEFILERNLLFPNPLEKDLTNEDKEVYHRYKVFMRFLSKEEHEALVRSVIEERKIRRRIQELQECRSAGCRTLAEAKIHIEQKRKKEYELNAQKAKESGQLIPNNKSVQKMNRPVKIESDGNLDPKKGGAGLDSPKTTGLTSVKQWDDWDIVGLPGAELLSASEKLLCCQNRLLPSHYLRMQEVLMQEIFKGSVHKKEDAHVLFKVDPTKVDTVYDMVTKKLGNHEEAPTV >KQK87744 pep chromosome:Setaria_italica_v2.0:IX:9044788:9045322:1 gene:SETIT_040385mg transcript:KQK87744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTVGIGGSLGGPRDASHWPPRAQGAPRPPLAHGLLAIEGTVAPKGTSTAASARAASP >KQK89778 pep chromosome:Setaria_italica_v2.0:IX:35842360:35844480:-1 gene:SETIT_039973mg transcript:KQK89778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLWTDDNASMMEAFMASADLPAFPWGAPAGGGASSAAATPPPPQMPAAMAPGFNQDTLQQRLQAMIEGSRETWTYAIFWQSSVDAATGASLLGWGDGYYKGCDEDKRKQKPLTPAAQAEQEHRKRVLRELNSLISGAAAAPDEAVEEEVTDTEWFFLVSMTQSFLNGSGLPGQALFAGQPTWIASGLSSAPCERARQAYNFGLRTMVCVPVGTGVLELGSTDVVFQTAESMAKIRSLFGGGGGAGGGSWPPVQPPAPPPQQPAAGADQAETDPSVLWLADAPVMDIKESLSHPSAEISVSKPPPPPQIHFENGSSSTLTENPSPSVHAPPPPPAPAAAPPQRQHQHNQAHQGPFRRELNFSEFASNPSMAAAPPFFKPDPVGHEHPSPAPPAATASLTTAPGSLFSQHTATLTAAPANDTKNNNNKRSMEATSRASNTNHHPAATANEGMLSFSSAPTTRPSTGTGAPAKSESDHSDLDASVREVESSRVVAPPPEAEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELRGKLTSLESDKDTLHAQIEALKKERDARPAPHAAGLGGHDAGPRCHAVEIDAKILGLEAMIRVQCHKRNHPSARLMTALRELDLDVYHASVSVVKDLMIQQVAVKMASRVYSQEQLNAALYSRLAEPGTAMGR >KQK88653 pep chromosome:Setaria_italica_v2.0:IX:15802737:15803764:1 gene:SETIT_036623mg transcript:KQK88653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRQLLLQARWRCSPSPSLPQLPGFLFLCRALSILPSEASATPPQRRSPPVQVRLPQCSPRSSSDPLGSGFHIDVVDSDLWPTSFGFPLEAARGSEYQDDLQRHDDEEVQDFDDEIDDMRHRKKLFYKLDRGSKEYEENNVTLRRRRNRDKANAKNPKECKNAEPVMSVSSSVPKLKPKRAALEEDAVEVKRERVPTFNQMTDPYHKPFCLDIHVTKGSVRACFVHRVTSRVVAVAHSISKDMKFDLGSRKGKSIKACAAVGALLAKRAIEDDIHNAVYTPRKGDRIEGKVEVVLRAIIDNGVDVKVKLKQRKPIKVFRCCEFL >KQK89292 pep chromosome:Setaria_italica_v2.0:IX:23210831:23215151:-1 gene:SETIT_037658mg transcript:KQK89292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRGREWDGDELGGPPPTPLAAPVVCLLRSSGELAAGAFVGSLVGYGKGLIMNQGMKASLSNAGSSAKTFAALAGVQSFIMCLLRRLRGKDDMINAGMAGCCTGLALSFPGAPQAMFHSCVTFAAFSCIMDGLNKQQAAMALTLDGKSPSAKSPEAGVLPPFTLPPLLDASDALASFCQTLVKPNH >KQK88410 pep chromosome:Setaria_italica_v2.0:IX:14042522:14043628:1 gene:SETIT_039371mg transcript:KQK88410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPPRHRRPTPELFDELIEEILIRLAPYKPELLIRCSAVCKPWRRLLTGPAFLRRYRVFHGAPPMPGFLINVELPDYSVFARFVLATSFRPLTTDHDDWCAHDSRHGRVLFHDPRSPHPEFPDPEILVWDPVTGARWELPLPPVPCCYSVTAVLCAAAAREGGGDCDHLDCHGGPFLVAFLGTTLGGLTFVCVYSSEAAAWGDAIYAEGEHPDALDDMDMRPSALVSNTIYFISSQSKAIVGYDLGRRQLGFIDPPFAAEGYAFLMPLVGGGLGFAGVSGTCLYLWSREAGPDGTAAWTRRRAIELDARPTGGLAGFAEGLGIIFSGRVTKVSIRKNVDTVIPYRSFYTPGNL >KQK90820 pep chromosome:Setaria_italica_v2.0:IX:45955719:45964290:-1 gene:SETIT_034102mg transcript:KQK90820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAKVVLDPAFQGAGHKPGTEIWRIEDFKPVPLPKSDYGKFYCGDSYIVLQTSCNKGGAYLFDIHFWIGKDSSQDEAGTAAIKTVELDTMLGGRAVQHREPQGYESDKFLSYFKPCIIPMEGGFASGFKKPEEDKFETRLYICKGKRAIRVKEVPFSRSSLNHDDVFILDTDKKIYQFNGANSNIQERAKALEVIQHLKEKYHDGVCAVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKAVCDDDIVLETTPPKLYSINNGQLKLEDTVLTKSILENTKCFLLDCGAELFVWVGRVTQVEDRKTASASVEKFIIKENRPKTTRITQVIQGYENHTFKSKFESWPVSNMAGNASTDEGRGKVTALLKQKGVDVKGISKSSAPVNDEVPPLLEGGGKLEVWCINGSAKTALPKEELGKFYSGDCYVVLYTYHSGDKKEEFYLTYWIGKHSVREDQDMAFQLASTLWNSLKGRPVLGRVYQGKEPPQFVALFQPMVILKGGISSGYKKFVEEKGLTDETYCVDGVALIRVSGTSVHNNKTLQVDAVPTSLSSTDCFILQSKNLMFAWIGNSSSFEQQQWAIKVAEFLKPGVAVKHCKEGTESSAFWSAIGGKQSYTSKNVAADVAIREPHLYTFSLRNGKLEVTEVYNFSQDDLLTEDTMILDTHSEVFVWMGQCVDTKEKQKAFDIGQKYVEHAVAFEGISPDVPLYKVIEGNEPCFFRTYFSWDNTRSVIHGNSFEKKLSVLFGVRSEGGPKSSGDGGPTQRASALAALSSALNPSSQGKQSDERPTSSGDGGHTQRASAMAALSSALNPSSKSSSTQPQSHSGQGSQRAAAVAALSNVLTAEGSHSPHSRPSPTADAEKTELAPATPQSETEPDAPEYARTEPDVSQEQTANENGGQTTFSYERLISKSTDPVSGIDYKRRETYLSESEFQTVFGMTKEEFYEQPRWKQELQKKKADLF >KQK88772 pep chromosome:Setaria_italica_v2.0:IX:17071962:17072921:-1 gene:SETIT_039199mg transcript:KQK88772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVIRKRPAPDGLLCPAAVAGGRKKRPRYQFGSIYNYEKLEVLGEGTYGVVVKARDQRTGETVAIKWIRPEYGGGGGGAPDLRAVFREAGCLSACRGHPSIVQIKEVAADEVTGHVFIVMEFVGPSLESRLTRPFSEGETRAIMRQLLRGAEKLHGAGTVHRDIKPDNILVGPGGALKICDLGMAAPARPAGEPYPEETVGALWYRAPELLMGFRTYGPAIDMWALGCVMAELLTGEPLFGGAEPAETVEEMYAKVLELCLCGVDVRILPELSEAGREVLRGLLSVEAEERLTAAEALSHRWFDEEDAPLSSALSSQPD >KQK90372 pep chromosome:Setaria_italica_v2.0:IX:42203609:42204826:1 gene:SETIT_039456mg transcript:KQK90372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVVVLSSSATRHSCKVCRKGFPCGRSLGGHMRSHSLAEVETAVEDDAYNNGEEQQQRRGSDCVMAPGAGGYGLRENPKKTRRLSGLDGGDESGGRQDECGHGDRGELLWPRAPEVDNERCHAPGVGFVEVEEAEREQEDAMLIPAEPAAGLMPAPRRRRRSMRVPAPAPPPAFDKEPEDVALCLIMLSRDILDRRDSTDTGGGYSPEKDRRRRRDYHHDADSDDASALFQYTDIEISTKINKRKPNRSLAGDEKRGRYECPGCGRAFQSYQALGGHRASHKRINSNCCIAKAAPDKPELSVETNASFSTASLDTEYTADITNNTAVVALKAKPPKAIKFECPICFKVFGSGQALGGHKRSHSIAGELYQHAPADGDAGIDEPEQPMIADGFLDLNLPAPGAED >KQK92902 pep chromosome:Setaria_italica_v2.0:IX:57776774:57776905:-1 gene:SETIT_039484mg transcript:KQK92902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLNCNYFYIQVEVLCLPLAEATHLSMDDNVGTQGLIPTPPSKF >KQK89210 pep chromosome:Setaria_italica_v2.0:IX:22041943:22044822:1 gene:SETIT_039431mg transcript:KQK89210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEDSNDLLQAQVELWNQTFSFMKSVALAVALDLGIADAIRHHGGAATLSQILSEIETSSDGHEPVYKLTAASRLVMSNNDGESSASLSPMLSHVLNPFHDSQLSMGLAAWFRHDEEPGRCPFALMLGATVFEMCRGDDAVNASINDAMAADSRFLMRIVLKECGEIFRGIDSLVDVAGGVGGAAAAIAAAFPSLRCSVLDLPHVVAKAPSVSEVQFVAGDMFESIPPANAILLKYVLHDWGDEECIKILENCKQAIPSRDAGGKIIIIDMVVGSKPSDIKLLETQVLCDLDIMKIGGVERDEQEWNKIFLEAGFKDYNIMPLGLRSIIELYP >KQK92563 pep chromosome:Setaria_italica_v2.0:IX:56130209:56131301:-1 gene:SETIT_037727mg transcript:KQK92563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSGGGTPGSPCGACKFLRRKCAAECVFAPHFCAEDGAAQFAAIHKVFGASNAAKLLQQVAPADRSEAAATVTYEAQARLRDPIYGCVAHIFALQQQVASLQMQVLQAKAQVAQTMTAGGPQAAGSPLLQRWPMEPESLSTQSSGCYSAPSCDGSASLQEMYCGFGEQEEGSYTR >KQK89255 pep chromosome:Setaria_italica_v2.0:IX:22654212:22655281:-1 gene:SETIT_036923mg transcript:KQK89255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSESMEAAGGKKDYKDPAPAPLVNAGELGKWSLYRAVITEFVATLLFVYVTLATVIGHKRQSESQPCGGAGVLGIAWSFGGMIFVLVYCTAGVSGGHVNPAVTFGLLLARKVSLVRAALYIVAQCLGAICGAGLVRAFHGTSSYLRHGGGANELAAGYSKGAGLAAEIVGTFVLVYTVFSATDPKRKVRDTHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGPAVVYNQRKAWEDHWMFWVGPLIGSAAAMVYHQLVLRAGAAKAFASWRNNNHTGI >KQK87836 pep chromosome:Setaria_italica_v2.0:IX:9649594:9652349:1 gene:SETIT_035026mg transcript:KQK87836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSSESIFSRKYGLLGKEEAHENAKRIEQLCFTSADEHFKMEPDGDGSSAVQLYAKETSKMMLEVLKRGPRTAAESEAPVADAPLEPGDTVLDISGGKRAFIEADEAKELLSPLTKPGNSYKRICFSNRSFGVDAANVAGPILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSILRYLNISDNALGEKGVRAFSELLKSQENLEELYVMNDGISEDAAKALSELIPSTEKLKVLHFHNNMTGDEGAVYVAEMVKRSPNLESFRCSATRIGSDGGVALSEALGTCTRLKKLDLRDNLFGVDAGIALSKTLPKLPDLVELYLSDLNLESCTVAIVNALKQSAPQLEVLELAGNEINAKAAPAVAECLTAMQSLKKLTLAENELKDEGAVIIAKSLEDGHTDLKELDVSTNMLQRVGARCFARAVANKPGFVQLNINGNFISDEGIDEVKDILKAGKNSLDVLGSLDENDPEGEPDDGDEEEDDEDAEDGDDEDGLDSKLQNVQVDRDD >KQK90171 pep chromosome:Setaria_italica_v2.0:IX:40385354:40386615:-1 gene:SETIT_039667mg transcript:KQK90171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIASKFEVARFDGTGNFGLWQTRVKDLLAQQGISRALDEKKPAKVDDDKWEEMQAQARATIRLCLSDQIMYHGSDLTEHINVFNQLIADLVKAIILLCSLTGSYDHWVTTLTYGKEKVTVDEIVAALLSHEQRRKNNSTEESSGSALVEDGGLAHLGDDSAYRIIGVGDIKFKMCDGQEKLLRGVKHVPGLQRNLISLGILHEEGWLYHAAPDKKTLRVLHGGKTVMIGEKSSAHQYKLKGSIVEGGVMHGNATVAVFYPDVVEVATASSGLF >KQK92016 pep chromosome:Setaria_italica_v2.0:IX:53242943:53244138:-1 gene:SETIT_037853mg transcript:KQK92016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLVAAPAPAAAAPPRGRCGAAAPSEKAGRVALAVPAAATLSLVLWSSPANAGILSGFSGLESRPGPDMPRLEFLEKWNAENQKKYAEFDNRFKNSKVLQDLLEKSKKNKEKNERMIQDKYCLRGAEWGVGDCSTEGMSDQEREDFISELKKRTGAE >KQK88560 pep chromosome:Setaria_italica_v2.0:IX:15080401:15081479:-1 gene:SETIT_039607mg transcript:KQK88560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESAASASGCSNFPAWVLLDTVAKIGRCKNETTAQGTTSAGLHIEVSFELVDPPGLSRCVIYCPESDLTARNSKTPPSPSPSACITGADGAFLLVRVFFPERDGRPMFTDVFVYRAGPGTPSLYLLPQPYPVHLLSNYVGVLSCGGGDASEHCLVVVPERWIGPDHRLMYDLQVFSTKTQSWSTKDARFDPTKVFSVAGGSLAWVDVRSRILLCKEVDDDPEMLLIQLPALMGANVQEFGVDSDDCSPPMDPIRDVTFRNGRFRFIEMESLVLLSDSTSQRPWTAAMFERKVCSEDWERCCSVDSNDLMPADSCFPYLFPEIYDYEEKKLTLNRVVSFLPTLDQ >KQK89939 pep chromosome:Setaria_italica_v2.0:IX:37491319:37495292:1 gene:SETIT_034606mg transcript:KQK89939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQEETMEQAGGVVTEGQEPTDDNDDAKNGEVEAAVSPACAPKAAAAMPPSLPRHRRSKSASSDRNAEACKHGPPHGHGAVEQRCGQAPSAISPCSSKNPTDARRSCATTAGGSVHQAPRDHRPNASPNHRVSLENDVRQLQLNLHQERSMRVMLDRAIGRASSTLSPGHRHFPAQTKELIAEIELLEEEIANREQHVLTLYRSIFDQCMSGPSSGQSSGISSPAHTKNITTRTARRQPSIISSAFCSSKKLPLQPFHIMESLSESGRTKNMLKAKIKHQSFSSETLDIHPISFPPDPKKLPYSGSSSLARTLKDHLYQCPSKISEEMVRCMASIYYLLRTEAPEKPEKARSPFLSRSSTNVILPRRVNGEENSSSNNKCTVEIASISVDKNQMPDVSYAITHYRLLVEQLERVDLSMSDYSIKLAFWINVYNSLIMHAYLAYGIPNSSLKRMALFHKAAYNIGGHAVTANSIEHALLCFKSPRIGRWFESILSTAMRKKCADEKQLVQLKFGLPDCQPLALFALCTGASSDPMLRVYTAKNVMEELERAKREFLQATVVARKSKKKVFLPRLVERYAREACLGPDDVLLWAQREGGVAAAADGRPQQDAAQRGAGSRRKAAQAVEWLPYAARFRYAFPRTMVDKPHC >KQK87299 pep chromosome:Setaria_italica_v2.0:IX:6519370:6519645:1 gene:SETIT_040401mg transcript:KQK87299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRNPHDRTVEDKKSVGGCQQKEVQTYLGTHHE >KQK91262 pep chromosome:Setaria_italica_v2.0:IX:48840658:48845313:1 gene:SETIT_035877mg transcript:KQK91262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAERLRGLRITSLDGDDDETVVPHQPSPAPGAAAADYEDDDEDEEEEAEVTLGVLKKPKHPGILLRHLFPSKAGGIPAWLDPVNLPSGKSSCCGFCGEPLQFVLQIYAPIEDNAAAFHRTLFVFMCPSMACLLRDQHEQWSHKHGNPCRSVKVFRCQLPRTNAFYSTEPPKHDGSDKPLFPGAPVCHWCGTWKGDKICSSCKKARYCSEKHQALHWRTGHKNDCLQLISSSDSSKSVLPAIGKVPASTSWPEFEIEIDYEATFDSDSCDENNSKSLVMQRHGKPDAMMQSWMDQFEADADNKCWASFQERVSRAPKQVLRYCREPNAKPFWALSSGCPANADIPSCSSCKGPLCYEFQIMPQLLYYFGVGNQPDSLDWATIAVYTCQGSCDQSVSYKEEFAWVQLYPTTTTRR >KQK90158 pep chromosome:Setaria_italica_v2.0:IX:40308381:40310102:-1 gene:SETIT_038067mg transcript:KQK90158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRKFLGENLEGCSVEELHSLEVKLEKSLHVIRGKKTQLLEQQIAKLKEKERTLLKDNKELREKQRNVQPQPVAPALNPRCLPPWPRNLPAPCNDNADVETELYIGLPGRERTSNRDSG >KQK89738 pep chromosome:Setaria_italica_v2.0:IX:35478209:35481028:-1 gene:SETIT_036020mg transcript:KQK89738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNLMDKVNALGERLKISGAEVSRKMSVGVSNMSFKMKEFFQGQNMADKIVDEATLETMDAPDWATNLEICDMVNTERANSVEVIRAIKRRIMLKNPRVKYLSLVLLETIAKNCDKAFSEIAAERVLDEMVKLIDDPQTIVNNRNKALMLIEAWGESGDDLRYLPVFEETYKSLRSRGIRFPGRDDESLAPIFTPPRSVPAAEQYSEAAQEGYQEIPDESFVPARTVPAVQINEAFEVARNSVELLSTVLSSSQKEVLQDDLTTTLVQQCQQCQHTIQRIVETAGDNEAQLFEALSIHEELQKVLAKYEELKEPVHVEPEPEPAMIPVTVEPEESPRAVSKEDAHVKKSGGSGDRSGGDDLLQDLDDMIFGKKGGTSSQRDTTPKKDQKDDFITF >KQK90216 pep chromosome:Setaria_italica_v2.0:IX:40662849:40663457:-1 gene:SETIT_038125mg transcript:KQK90216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSMGNTEEERAEGRKQAFAAAEILEEALKECSKGRPFFGGDSVGYVDIVLGGFVPWVHLIDRSTGSKQFDAGKTPLLAAWLEHFGSLDAAKAVVPDLERLVAISKMRKA >KQK87245 pep chromosome:Setaria_italica_v2.0:IX:6256966:6257660:-1 gene:SETIT_037804mg transcript:KQK87245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLHLRRLLAPRPTLPAAAFSTAVTPTPRVSGIVDEICGLTLLEASSLADALRGRLGVDELPPLAILTGGAVPLAGGAAGPGAAGEEAKAKEEKMAFDVKLEGFDAAAKLKIIKELRAFTNLGLKEAKELVEKAPAVLKAGVPKEEAESIAEKMRAVGAKIVLE >KQK87398 pep chromosome:Setaria_italica_v2.0:IX:7122567:7126470:1 gene:SETIT_036975mg transcript:KQK87398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPWWCETGGPVFSRAPKEKTEQREQRGGDTTASRLTAAMAMRQLARLQQHLSPSLLCRHTPRHAWPPTADASFLCRGLASSSSSAAAAAAGREKSSRRTLGYLLGVAVAMVGASYAAVPLYRRFCQATGYGGTVQRRESVEEKISRHARDGTTTAREIIVQFNADVADGMPWKFIPTQREVRVKPGESALAFYTAENRSSAPITGVSTYNVAPMKAAIYFNKIQCFCFEEQTLLPGEQIDMPVFFYIDPEFETDPKMEGVNNIVLSYTFFKVNDS >KQK89295 pep chromosome:Setaria_italica_v2.0:IX:23345679:23346817:1 gene:SETIT_037122mg transcript:KQK89295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTTMMNSSWLLLALVLLSCFHCYPAAHHGRKHARPPLTAAVVIGSVVHSGSEPTKAISGTLVAVRCHDGYGRTVFQKEAVTDRRGTFHVQLPHEASSRLRSVTACSVHLQQPSGNAPPCAARGLHLVGPKRHGGGARIFSAGTFAVRTPELCGQKGLFFPPIPFVPEPPNVGGVPIPPNPVTPAPPSLVPPLLPTPSPPSVLPPLVPQPPPSSIVPPLLPLVHPPPPPPPQLLPPLFPGIPPSSASKSRRPGTP >KQK90848 pep chromosome:Setaria_italica_v2.0:IX:46159019:46162651:-1 gene:SETIT_036521mg transcript:KQK90848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRFLGTAPGCLERVVGPTPAASSLGGRSTLPIGRVRPYFIRSGPSPGGAERRGARAARPPRAAMGGDLFALDFDGVLCDSCGESSLSAVKAAKVRWPWVFAKVDSAMEEWIVEQMYTLRPVVETGYENLLLVRLLVEIQIPSVRKSSVADGLSIQEILENWFKLKPILMDEWQEDRESLVDLFGRVRDDWIENDLSGWIGANRFYPGTADALKLSSSETYIVTTKQSRFAEALLKELAGIDFPSERIYGLGTGPKVKVLQQLQQMPQHQGLTLHFIEDRLATLNNVIKEPALDKWNLYLVTWGYNTQKEREEAQGISRIQLVDLPDFGKKLK >KQK91730 pep chromosome:Setaria_italica_v2.0:IX:51472019:51474379:1 gene:SETIT_040187mg transcript:KQK91730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRCPFPFLLLLLLAMLALSAPALPRADAGGGEARKTYIFRVDHRAKPSVFPTHAHWYASAAFASSGAGAAPLEPLHVYGTVFNGFSASVPASRAEELRRHPAVLAAFEDRVRPLHTTRSPQFMGLRARLGLWSLADYGSDVVVGVLDTGVWPERRSLSDRNLPPVPARWRGGCDAGPGFPKSSCNRKLVGARFFSQGHGAHYGAAAVASNGSVEFMSPRDADGHGTHTATTAAGSVAYEASMQGYAPGVAKGVAPKARVAAYKVCWKGAGCMDSDILAGFDRAVADGVDVISVSIGGGGGVTAPFYLDPIAIGAYGAVSRGVFVATSAGNEGPTSMSVTNVAPWLATVGAGTIDRNFPAEIVLGDGRRLSGVSLYSGKPLTNSSLPLYYPGRTGGLSASMCMENSIDPSLVKGKIVVCDRGSSPRVAKGMVVKEAGGAAMVLTNGEANGEGLVGDAHVLPACAVGEKEGDALKAYAANASNPSATISFGGTVVGVKPAPVVASFSARGPNGLVPEILKPDFIAPGVNILAAWTGATGPTGLEGDTRRTEFNILSGTSMACPHASGAAALLRSAHPGWSPAAIRSALMTTAVVNDNRGGPVGDEAEPGRAATPFDYGAGHITLGKALDPGLVYDAGEEDYVAFMCSIGYEPNAIEVVTHKPVSCPPSTGNAASGSDLNYPSISVVLRGGNQSRTVTRTATNVGAQASATYTARVQMAAGSGVSVSAKPEKLVFSPGVKKQSFTVTVTAPSAPGTAAPVYGYLVWSDGGGHDVRSPIVVTWLQPM >KQK89416 pep chromosome:Setaria_italica_v2.0:IX:28204404:28205053:-1 gene:SETIT_038092mg transcript:KQK89416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPANLAAVLVLAVVVCALAAAPAAAYYSGGAPAQESCQTQIEYFTNCLAHDEIREQCCTVVENEKCLCQLKREVSVPCSLHRRHERKCGPIKGPAAPTVKLAELQHLPCFKTLKC >KQK88558 pep chromosome:Setaria_italica_v2.0:IX:15053349:15054039:-1 gene:SETIT_039189mg transcript:KQK88558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHAVAIASLLAVAATAGAVTFDVKNEAASTPGGQRFDRNYGADYARQVLSDASTFTWSVFNQLNPADRRPADGDTVLLAVRDTGGIASTSGSTIELSARYDQVTGVLYHEVAHVWQWGLQDYGAHPGIFEGIADYVRLKAGYVPGHWVKPGQGDRWDQGYDVTARFLDYCDSLRPGFVAQLNAKLKDGYNEDYFVQILGKNVQQLWQDYKNKYRSG >KQK91139 pep chromosome:Setaria_italica_v2.0:IX:48054480:48055993:-1 gene:SETIT_039523mg transcript:KQK91139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELAAAAVEPATRAYFVEYERIVYLDADIQVFENTDELFELEKGHLYAKWSHTPQYKAGYCQQRPDKVPWPTAELGPPPSLYMNAGMFVHETSMATAKALLDTLRVTPPTPFAGEGSSKQGAHRLSSANYMRMLSALWSLEISKDFLNVFFREQYKTIPNVYNFLVAMLWRHPENVQLEKEDIKMLVKKWWDIYNDETLDFKDLPLAPANADEAVKYVTAPTGA >KQK90452 pep chromosome:Setaria_italica_v2.0:IX:42767503:42769134:-1 gene:SETIT_038321mg transcript:KQK90452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVVIRGNSVVMIEALEPVAKSQ >KQK90453 pep chromosome:Setaria_italica_v2.0:IX:42767108:42769325:-1 gene:SETIT_038321mg transcript:KQK90453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGQPPDLKKYMDKKLQIKLNANRVVIGTLRGFDQFMNLVVDNTVEVNGNDKTDIGMVGK >KQK87385 pep chromosome:Setaria_italica_v2.0:IX:7037097:7038378:-1 gene:SETIT_040351mg transcript:KQK87385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRWDRGRRDPPKEAPGHGAGGWTKAARHRWRLRESSSSGSGPRVWYGGPAPWLVTFDLLSVVLAPVVAGCSLCWCGFREQLLLDNNTVTHLNF >KQK90482 pep chromosome:Setaria_italica_v2.0:IX:43018852:43024323:-1 gene:SETIT_035030mg transcript:KQK90482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLQLPQGLSFLRSVGWLEDRKVGSAAKQQLSPTLKLQTDKEVYRPGDSVIVTVEIHSPASLKDDAGQAVSGEDASSLLLDVLSFELKGIEKLDSQWFSVPKPLPGSKQRRGEHMFLDCSALSLVSKVIIASGQTKTYIVRVELPKILPPSYRGISIRYFYYVRSALFGRLVVLGNGDQNKRPVNSSIQLEARVPLQICVSQKSSNLLNEEGTLPFAIDQLGIFWREKDEDSEWIKANDNADLEEGYDSSKDEVSSVSSYNPSKANPEFSLRNSLSMQSLSSRLSTSEPFYNQVERPNFPSYSPIPRLSVSEISDDHDGGLVSPQRKLNHLLPDHPSNGQRFSPDSDVGLPLTPKNVDPAGSEGFTRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGKRRCLEVSITLETSETINPRALHPSRRGSPTITKLHSEHHEVVADLHQTSFLFSIPIDGPMSFSTSKVTVQWSLRFEFFTTPEGTDPARYEHPLLVEKREKGEWVLPITVYAPPLRRRPTHGRNDRSVLPGNIFNS >KQK90481 pep chromosome:Setaria_italica_v2.0:IX:43018279:43025009:-1 gene:SETIT_035030mg transcript:KQK90481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKLQLPQGLSFLRSVGWLEDRKVGSAAKQQLSPTLKLQTDKEVYRPGDSVIVTVEIHSPASLKDDAGQAVSGEDASSLLLDVLSFELKGIEKLDSQWFSVPKPLPGSKQRRGEHMFLDCSALSLVSKVIIASGQTKTYIVRVELPKILPPSYRGISIRYFYYVRSALFGRLVVLGNGDQNKRPVNSSIQLEARVPLQICVSQKSSNLLNEEGTLPFAIDQLGIFWREKDEDSEWIKANDNADLEEGYDSSKDEVSSVSSYNPSKANPEFSLRNSLSMQSLSSRLSTSEPFYNQVERPNFPSYSPIPRLSVSEISDDHDGGLVSPQRKLNHLLPDHPSNGQRFSPDSDVGLPLTPKNVDPAGSEGFTRGRSYNIRIDDQVLLRFSPKNSDSTYYFGDMIGGALTFFHGTGKRRCLEVSITLETSETINPRALHPSRRGSPTITK >KQK92526 pep chromosome:Setaria_italica_v2.0:IX:55955200:55962741:1 gene:SETIT_035008mg transcript:KQK92526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEYEYLEKTVEASGVPSNGAAAPGSGEKEKDKERSSRRRSSGGDEERDDIERRSKRSRSEEGRDRDKERHRDRDRDRDRHRSSRERRDRDRDDKEKERERDKDRRSRDRDREREKEKDKEKEKERERGREKDRQRRSRSRSERRRDDEERDRYRDRDVRRRKEEAAEPEVDPERDQRTVFAYQLSLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQQVMVKPSEAEKNLVQSTASSSGAASGGARKLYVGNLHSNINEEQLRQVFEPFGQVELVQLPLDPLTGLCKGFGFVQFARLEDAKAAQSLNGQLDIAGRVIKVSAVTDQAGMQVSGATTGDLDDDEGGGLALNASSRALLMQKLDRSGITTSLAGGMGTASLNTPVGIPAVSVLGAAPATAPVLHPTVPGLGSIPGATLPISTPSIELAPPSECLLLKNMFDPAVETDPDFDLDIRDDVQDECSKFGQLKHIFVDKNTAGFVYLRFDSITAAMSAQKALHGRWFAGKMITATFMTPQQYEMKFPS >KQK87196 pep chromosome:Setaria_italica_v2.0:IX:6061594:6062175:-1 gene:SETIT_040625mg transcript:KQK87196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVWLNAGHTLMVRTCTYCPLQAADGCQNPFHLIGFLNFTEPDFAIVTL >KQK92275 pep chromosome:Setaria_italica_v2.0:IX:54695479:54697107:1 gene:SETIT_036615mg transcript:KQK92275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILTELIPSPRRAASKPVTAGHLWSAGSNSKKAGNGSDKGHHHADIDDFEAAFEDFDDEFDEEVEDHHFVFSAKSAFSPAHGGRAASQKKRGRRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFDTAEDAARAYDVEARRLRGSKAKVNFPAAGARPRRRGNPRAAPKPQHHHAAAAEQPALLAGEKKQEEIAVKPEITVSFDVDGFFNMTFPAFPAAPLAMESSFTGSTEPESGSPAKKPRYDNESSGGMSSGGGSTLELADELAFDPFTLLQLPYSGGYESLDGLFAVDAAQDVNGVNNGMDGVSLWSFDDFPADSAVF >KQK92274 pep chromosome:Setaria_italica_v2.0:IX:54695479:54697107:1 gene:SETIT_036615mg transcript:KQK92274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILTELIPSPRRAASKPVTAGHLWSAGSNSKKAGNGSDKGHHHADIDDFEAAFEDFDDEFDEEVEDHHFVFSAKSAFSPAHGGRAASQKKRGRRHFRGIRQRPWGKWAAEIRDPHKGTRVWLGTFDTAEDAARAYDVEARRLRGSKAKVNFPAAGARPRRRGNPRAAPKPQHHHAAAAEQPALLAGEKKQEEIAVKPEITVSFDVDGFFNMTFPAFPAAPLAMESSFTGSTEPESGSPAKKPRYDNESSGGMSSGGGSTLELADELAFDPFTLLQLPYSGGYESLDGLFAVDAAQDVNGVNNGMDGVSLWSFDDFPADSAVF >KQK87597 pep chromosome:Setaria_italica_v2.0:IX:8124959:8126224:-1 gene:SETIT_038591mg transcript:KQK87597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVEGEEYYSDSDSDDDVDRYVFLARPPAPAAGRRHAEDDRGASSANDDDDGDGDATGDEEEPERGGGRTKRRPLGREILDGAPPPPPPKRARLELIAPPFAQTPPSVSGSETESDSAALPCVDVRAGESGSEGSHDHEKVHGRRAPGKGGEANNATKRKRGACGKRGRGPGCEADGDRAVTAAAKAGAPPAATSGRFLCNLCERCFDSFQALGGHVLGHRKKAKVAIAAAASLDVDDAGGVIGNCKEEAAVVEANEETANGIAQAGKMVAVAARRGKANGGGGSGGGCHDKIKTVDGVAEHRDDVGFGNKGNGIAVSSHCFTNGGNSRCNEKTGIGAAISNQKAVVGSCHEGANGDGNGVRRTLYKCKVCGTECPTGRALGGHMRKHRKRPPPGGDGGGGEGRSPSPATDGDFQMPLSR >KQK89979 pep chromosome:Setaria_italica_v2.0:IX:38105782:38107422:1 gene:SETIT_037223mg transcript:KQK89979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAVAMELAPLLRAGTGAAAAASSSSCSQRIPLPRRRAVGAIRASPASGRSEGAGELPAPLLPNARRRGRDPLWHGGGFSLGVDLGDARTGLAVGRGITLPRPLTVLKLRGQKLEVTLLDVARQQEADELIIGLPVSADGRETPQSNKVRSVVGRLAVQAAERGLRVYLQDEHGTSIDALDYMISRGVKKSARYVKSDAYAAVMILARYFKSSGQGAQIVLPRQQELQDNLIAHSRRDAEI >KQK89965 pep chromosome:Setaria_italica_v2.0:IX:37830414:37832015:1 gene:SETIT_037058mg transcript:KQK89965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTKVAALAALIFLLLVTYGSCARPVSFNASDFTADPNWEAARATWYGAPTGAGPYDDGGACGFKNVNLPPFSSMTSCGNQPLFKDGKGCGSCYQIRCVNNAACSGNPETVIITDMNYYPVSKYHFDLSGTAFGAMAKPGRNEELRHAGIIDIQFKRVPCNYPGQKVTFHVEEGSNPVYLAVLVEFEDGDGDVVQVDLMEANSGYWTPMRESWGSIWRMDSNHRLQAPFSLRITNESGRKLVANRVIPANWAPNTYYRSIIQY >KQK90502 pep chromosome:Setaria_italica_v2.0:IX:43225218:43226043:1 gene:SETIT_039004mg transcript:KQK90502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMEGDLQDKVTPIKANSSIASSFGMGIPSNGSLELIFTLIMPLSFHSIPILPPSPPPILDLDPGGHGILDSLPALVMWSLRRWLHSVRGGIPRYVALAWRHMVACALCSSSRLLVLVAACDQHLAVGAFAGPVAPHHGRLICLEAPLPIMMSNSTRKWPTSLSLDLVSTNHSWSTTSSSTSVIDGGWLLQSPHEHYVGETVCECISNTPS >KQK90056 pep chromosome:Setaria_italica_v2.0:IX:39197951:39199150:1 gene:SETIT_039810mg transcript:KQK90056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPPSRRAVMAAAPPPNPGSARDRPDRLKGDDIIAALAPHIRFADHLAHRVVNRAWRRSCRLIGRAPPPFPWLMLPPPAASGPAPAAPGSPQRRVFYDIPGGRSYAYPVPASYRYVASRGGWLVLAASDPPRRLVVLNPITAAEVVMSWPFGQDPAEGFHAVMTASLADPACFLVVATDRVVKYCRPALGGDWATLRAQGFRFDTACSDLVSVGATVYLMDERRKVWRADLAAAEPRVERRDASFALPQGEIRWRHYLVESLGHVLLVVSDDHHKRLGLYRLNWDARLWVRTPASGLGDNVLLLGRGCSAVVPASAAAGRSPGTVLVVRQPWRSTVLHMGLNFCDGGEQPWFWTESRLGAGLDDDQLVMRKTVPQRPGQLTTGDSFWFFPAIDQSDCP >KQK90687 pep chromosome:Setaria_italica_v2.0:IX:44778301:44779827:1 gene:SETIT_038940mg transcript:KQK90687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLFSTSLSPRFLALSSPKPAATMASAFLPFRLLLCVVSAPGQLVFEPVAVAVPSEYETEGVEEEEEEGAEEFSEDLKLFVGNLPFSIDSAQLVRLFEQVGSVEMVESCSLSYGHLEWNWSTGQLDRIYHGFGFVTMSSAEEAGAAVEQFNGYVTLPLKQTFQSRPLRVNSGPPSPRDDSAPRAPRGGGGGGNIVDSGNKIYVAGNLAWGVNNSTLENLFSEQGRVLDAKVTYDRESGRSRGFGFVTYGSAEEVNNAISNLDPIDLDGRQIRVTVAESKSRREF >KQK87112 pep chromosome:Setaria_italica_v2.0:IX:5589526:5591889:1 gene:SETIT_035954mg transcript:KQK87112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFCTPAATAAPHATPTPLTSSRKHISMCLSPKLGGGGSQRARLASSAAPQVRALAPATAAEEAAAAPSPAPVNVEYLAAEFAGHGVGFEPVGGSCAVKMALSNGSVAHLLLPSGLVTSYKPAMWHGTVTEVLHTNVTEGPGGRAVIRGGVAVDLRCATGGGGGGWSPGGAWSLRDVRGNPTTSIEVELAAAAPGNAAAARCVVTLHPEALSTELAVTNGASSAPMALSCGVSNHLRVSTPDATYALGLQGSDYRTVEPALSEFSIIPPDYQAAARQPAARHHRWANRGFDMILSGGRDRGGAADDDQPDGEEDDDYKHLTDAVCRVYSHAPREFTIMDRGRRNSVRLHRSGFEELYVFSPGSQYQWYGKYAYVVVGPAMLEPVVLGAGETWQGAQYLRNPNL >KQK87365 pep chromosome:Setaria_italica_v2.0:IX:6903417:6905539:-1 gene:SETIT_034954mg transcript:KQK87365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMCADSGNLMAIAQQVIQQQQQQQQQHHQRHHHHHHLPPPPMQLPPRQAPPMPPAPAPPHGQIPGASLPYGGAAWPHHSEHFFSDAFVGASAADAVFSDLAAAADFDSDVWMDSLIGDPPFADSDLERLIFTTPPPPVPVPAPAAAAHVDAAAQPEAAAPASLPQPAAVAAPAACSSPSFMDASCSEPVLQSLLACSRTAAADPALAAVELVKVRAAASDDGDPAERVAFYFADALARRLACGGGAQPSTAPDTRFASDELTLCYKTLNDACPYSKFAHLTASQAILEATGAATKIHIVDFGIVQGIQWAALLQALATRPEGKPSRVRISGVPSPYLGPKPAASLAATSARLRDFAKLLGVDFEFVPLLRPVHELDRSDFLVESDEAVAVNFMLQLYHLLGDSDEPVRRVLRLAKSLSPSVVTLGEYEVSLNRAGFVDRFANALCYYKPVFESLDVAMARDSPERVRVERCMFGERIRRAVGPEEGAERTDRMAASREWQTLMECCGFEPVKLSNYAMSQADFLLWNYDAKYKYSLVELPPAFLSLAWEKRPLLTVSAWR >KQK87880 pep chromosome:Setaria_italica_v2.0:IX:9930326:9935808:1 gene:SETIT_035104mg transcript:KQK87880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYAEAASSSATSAASSADTAGAPASPSPSSSSRRAAPTLLLLASLAALIILSSGDDGTAHPLKDASLENPEVSFVPSPVGGQFCERVRLSGVPRLHLESYASQIRVKMNVSQSIPEKFHWKIEVCFHRNASMGLCQCEAGEWQGFQDGLWTAVYSPYGNKYVDVKLADKKSARFTLSIQEEFQKWRLACLGIGFILLFLSPTVSKWAPFYYSSSMALGILLVVLIVLFQGMKLLPMGKKSLFYLTIYGSVIGVGSYVFHYFSTLVSSILENFGLGEEMYNPVSLFLVVAIILTGAGFGYWMVRKFILSKDGSVDAGIAQFVKWAMRVVATFFVMQSTLDPRLAMVALASCWSVCALLTGKKVQKKTTQKQKQSKVSSQLMLTQGSPSSPQVQFLSPSSKVGFGRTASRSSATQYGWSNLANGGRVSSALTNQVVPKQDKDEDYYSTFHNIEPRKYSKQEWEEFTQESTRKALAECTATPEFAQWVADNASRLRVEKDDDLSEEETIESSSSSEETGEEPDAADPGLWRLWG >KQK91145 pep chromosome:Setaria_italica_v2.0:IX:48115979:48118664:-1 gene:SETIT_040251mg transcript:KQK91145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNIAFFTSYRPPVPLDIFCCPVPPLSRQSELHLTDGLSYNYNCRPIPPSALKTIIKRLRLAPEAITDDDVGSGQLTGLVFVSEREHNLEALHVALCFIANGEVMVFSLADIYGADLFGGARLEDTGCIAGGYEEPVQECRSPWNVVYKTNLRTGETERLTPPGTFDLSPSVSPSGKKVAVASFQGKRWDGEIKDLKINIYVMSLENPSMERKRVIENGGWPSWGSENVIFFHRKVGDIWGVFQYNLSTSETIRVTPEAFDAVTPAAIDETRIAVATIRQKSEFTDVRTETQYRHIEIFDMNTPEQPLQITQNTRAKADHFNPFVMDGGKYIGYHRCKSDLLQHGDDVPRHFHKLQSPHEDVGVFRVSGVFPTFSKDGSKLAFVDNEFKAVWLADSKGLRVVFDTNGPDSIFSPVWNQKKDILYVCMGPSFKANETLEIHAIPDVSNAARTRRQPRLLTKGKFNNAFPFTNPDGTKFVFRSTRDGGDKNYQNLYIMEDAEYGEVGGGEVTRLTEGNWIDTHCQWSPNGNLIVFASNRDKPTNAPERDHGLDPGYFAVYLMSVSDRSVVRVIRSEYDLSGHVNHPVFSPDGRSIAVTSDLAAVSADPMSLPTFLHSVRPYGDIFSVDIDPDDIEKNKDMERFVRVTHSRYENSTPAWTVFSTHDPHAQWNLLVMEDEYTPACPYAHPDGGESWHMTGQICIPKRHC >KQK92521 pep chromosome:Setaria_italica_v2.0:IX:55949487:55951357:-1 gene:SETIT_035909mg transcript:KQK92521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRLRVISSATGEIVREADLTQQGKRGPHEIRCVRRNVLLQALEEELPKGTIRYSSKIVSIEEDGDIKILQLADGSVLRAKVLIGCDGINSVVAKWLGLAKPSYSGRAAARGFAHYPDGHGFEPEFLQFIGHGFRSGMLPCNETDIYWFFTWTRSEHDKGVDESAAKMKQFVLDKLRGSKVPEEALAVIDRSEMSDVLAAPLRFRPPLSLVTASISRGNVCVAGDALHPMTPDLGQGGCSALEDGVILARCLGEALAGKDAKGSGSAENGRIEAGLREYARIRRWRSVELVATAYTVGFIQQSDNAIVSFLRDKFLSGVLAGRLLKMADYDCGTLSN >KQK92524 pep chromosome:Setaria_italica_v2.0:IX:55949800:55952581:-1 gene:SETIT_035909mg transcript:KQK92524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKDAAEDVVIVGAGMAGLAAALGLHRKGVRSLVLESSPSLRTSGFAFTTWKNAFRALDALGVGDKIREQHLQAQRLRVISSATGEIVREADLTQQGKRGPHEIRCVRRNVLLQALEEELPKGTIRYSSKIVSIEEDGDIKILQLADGSVLRAKVLIGCDGINSVVAKWLGLAKPSYSGRAAARGFAHYPDGHGFEPEFLQFIGHGFRSGMLPCNETDIYWFFTWTRSEHDKGVDESAAKMKQFVLDKLRGSKVPEEALAVIDRSEMSDVLAAPLRFRPPLSLVTASISRGNVCVAGDALHPMTPDLGQGGCSALEDGVILARCLGEALAGKDAKGSGSAENGRIEAGLREYARIRRWRSVELVATAYTVGFIQQSDNAIVSFLRDKFLSGVLAGRLLKMADYDCGTLSN >KQK92522 pep chromosome:Setaria_italica_v2.0:IX:55949487:55952746:-1 gene:SETIT_035909mg transcript:KQK92522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKDAAEDVVIVGAGMAGLAAALGLHRLRVISSATGEIVREADLTQQGKRGPHEIRCVRRNVLLQALEEELPKGTIRYSSKIVSIEEDGDIKILQLADGSVLRAKVLIGCDGINSVVAKWLGLAKPSYSGRAAARGFAHYPDGHGFEPEFLQFIGHGFRSGMLPCNETDIYWFFTWTRSEHDKGVDESAAKMKQFVLDKLRGSKVPEEALAVIDRSEMSDVLAAPLRFRPPLSLVTASISRGNVCVAGDALHPMTPDLGQGGCSALEDGVILARCLGEALAGKDAKGSGSAENGRIEAGLREYARIRRWRSVELVATAYTVGFIQQSDNAIVSFLRDKFLSGVLAGRLLKMADYDCGTLSN >KQK92523 pep chromosome:Setaria_italica_v2.0:IX:55949800:55952152:-1 gene:SETIT_035909mg transcript:KQK92523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSLCCIPDLLHTRNLFPRRRKGVRSLVLESSPSLRTSGFAFTTWKNAFRALDALGVGDKIREQHLQAQRLRVISSATGEIVREADLTQQGKRGPHEIRCVRRNVLLQALEEELPKGTIRYSSKIVSIEEDGDIKILQLADGSVLRAKVLIGCDGINSVVAKWLGLAKPSYSGRAAARGFAHYPDGHGFEPEFLQFIGHGFRSGMLPCNETDIYWFFTWTRSEHDKGVDESAAKMKQFVLDKLRGSKVPEEALAVIDRSEMSDVLAAPLRFRPPLSLVTASISRGNVCVAGDALHPMTPDLGQGGCSALEDGVILARCLGEALAGKDAKGSGSAENGRIEAGLREYARIRRWRSVELVATAYTVGFIQQSDNAIVSFLRDKFLSGVLAGRLLKMADYDCGTLSN >KQK92365 pep chromosome:Setaria_italica_v2.0:IX:55173369:55174300:1 gene:SETIT_038231mg transcript:KQK92365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSRQSGSSRITEEQISDLVSKLQDLLPEARLQSNARVPSARVLQETCNYIRSLHQEVDDLSERLSELLATSDMSSAQAAIIRSLLM >KQK91296 pep chromosome:Setaria_italica_v2.0:IX:49068027:49071411:1 gene:SETIT_034839mg transcript:KQK91296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALECWSGRPSTDEEMVEQVLMKPHGRSDDSLPTCADSAYTGEPTSAPAPPKKWQKLGRNFAGAIAAFKNTLSLDGGGLPRDPSPRAEKPPPLLLRGLAQLYSRGNSNQQLPEKLVADLRRHFDALPNSYAQAGFDMKDVLLHARLVEQATGEDQPAVNIEEIHGRGGGDEGTTFQLTFACVAPLSWQSMSGSLDSPLFCCKKIQIFEKRGLTLGVVMILVQSGNEAVFKNRVESALKSVVKKQRMNSGGVKLPFGLCGCQEEGSRNFDEESMFDPDDGQVLDNEPIRRPQLPTPLPQASVFVSVDEWQTIRSGGEELDRWMLRSEEIEFIDWVGANSFKGAFRGKKVWVNKLRGCDMGSAYDVEIRQDLLQLMSCGQRNILQFHGICFNENHGLCIVTRMMEGGSVHDIIMQRNKRLSLRDTVRIALDVADGLAFMNSYGIAYRDLNSRKILLDRQGNACLGDMGIVTPCNNVGEVTEYETSGYRWLAPEIIAGDPETVSETWMSNVYSYGMVLWEMITGEEAYSTYSPVQAAVGIAACGLRPEIPRDCPPFLRSLMNRCWENSPLKRPQFSEITSILQRQNVR >KQK88819 pep chromosome:Setaria_italica_v2.0:IX:17407380:17409399:1 gene:SETIT_036858mg transcript:KQK88819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLPAAIGRFFCFVQVNQSTVGIKERFGKFEEVLNPGCHFMPWIIGNRVTGQLTLRLRQLDVRCETKTKDNVFVTVVASIQYRAMEDKASDAYYKLSNPKAQIQSYVFDVIRASVPKLELDDAFEQKNEIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEKVKRAMNEINAAARLRVAANEKAEAEKIIQIKRAEGEAEAKYLSGLGIARQRQAIVDGLRDSVLGFSGNVPGTSAKDVMDLVLLTQYFDTMKEIGAASKSSAVFLPHGPGAVADIASQIRDGFLQASTQQAK >KQK89579 pep chromosome:Setaria_italica_v2.0:IX:33309460:33311083:1 gene:SETIT_035434mg transcript:KQK89579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAISAVATEIFSRMVSFLMKRYMDKTEIDEKLDRMEQLLLRIHAVIHEAEGRYITNPIMLKQLKCLVESMYRGYHILDVSRYKPFFARIANEEVNSISAQSASLSPIPFKRSCTLSRTATSFSGSNDLQSVLENLEAAIANMNEFVVLLGGCERCRRPYDTYLYTDNFMFGRHVEKQHIINILLQDPGEHGTPMVLPIIGGFRVGKKTLVSHVCKNERIKSHFSSIVFINGDSICRMDEEKFRNGRMLAVVEFVTDVDDDDWEKFYSTARHKAIDGSKVIIISRIENLARFGTVKTVFLNSLTHEEYRYLFKMLAFGSTDEKDYPHLAAIANEIAIQLGGSLITANVIADLLRRNHDFQFWLCILQRFKRMVHKNLSMYGEHPKDILDNERPIDITTFNSCSSLRMMPPRVERDGDSSERKLDHVPFGDLITGSIYLPNNQFVLVAWESRLPPYTKLVADVTSYEKHGSSISPKKRRSIV >KQK92924 pep chromosome:Setaria_italica_v2.0:IX:57893704:57894204:-1 gene:SETIT_040689mg transcript:KQK92924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPIELTLARLVCQLDMGMKERSTRLLQPVFLAGGQPDVRGALRCRNRSENLLCNYWTTGSRIVV >KQK90301 pep chromosome:Setaria_italica_v2.0:IX:41384267:41385211:-1 gene:SETIT_038946mg transcript:KQK90301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVLPAFPGVLKHGVSTDPFPESARHFANVTGRGEPMIRPSLSFLPGYADIDILDCCNGRLLCRSRTAAGPFRYVICNPATEQWAAVPQSNQSWNICSARLGFDPAVSPHFHVFEFVETGAVDGLEIYSSVTGEWVHSYSRWSDKARLCHDVSTVFLNGFLHMVAFEHQDVLVVDTEGKVRRTIPVPRGYYNGLIGQSRGKLYYLNVVEVYDFKLTVFVLEDYATDHWVFKHSVRMSKLLGTKNSYPTLQYYSLIAVHPECHLIFFISDLDHTIRCYDMDCREVLVICNMGCMREWPKRCLPYVPLFSESSVGWN >KQK87857 pep chromosome:Setaria_italica_v2.0:IX:9789697:9790091:1 gene:SETIT_038503mg transcript:KQK87857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQWNHGSHQNSLHHTEQVAKQCQKEKQEIILDSHTAFGMHQSDYSLVQCC >KQK88341 pep chromosome:Setaria_italica_v2.0:IX:13393693:13396637:1 gene:SETIT_036064mg transcript:KQK88341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGREQQGPPERQPGKNLIKIPSYQEVFGTGASSSSSTPPSYNPPLASAGAPAASSSSSSSGSFSQAFSFLKSSEFYSPPPPPPQPTSTPRPPQASSSAPAPQSKNAILVSHRQRGNPLLKHIRNARWTFADVVPDYVLGQSSCALYLSIRYHLLHPDYLYYRIRELQKNFRLRVILCHVDVEDVVKPLHEITRTALLHDCTLLCGWSLEECGRYLETIKVYENKPADSIREHTDSDYLSRLTHALTSIRHVNKTDVVTLGSSFGSLSQVMNASMEELARCPGIGERKVKQLYDTFHEPFKRVSARPNLVVPDTPDREKASGQPPSTNDSSENTAEKSETSKKKKGSDVRSALTTAFAKYSEKIRSQNGDAANEAGEGASSSNMEDGKTKD >KQK92220 pep chromosome:Setaria_italica_v2.0:IX:54443513:54446031:1 gene:SETIT_034796mg transcript:KQK92220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEDEDQPPPKRPSASPPADQVLGNVLETVLQFLDAPRDRSAASLVCRSWHRAESATREAVAVRNLLAASAARTARRFPNARTLLLKGRPRFADFNLLPHGWDASAFRPWAAAIAAGAFPALASLYLKRIPVTDADLDLLSRSLPASFRDLSLHLCDGFSSRGLASIASHCRALRVLDVVECDMAEEQEVVDWVAAFRPEPTNLESLSFECYEQPVSFAALEALVARSPRLTRLGVNQHISLGQLRRLMAHAPRLSHLGTGSFRPADGGEEGVGFGEVLAAFDSAGRARTLVSLSGFRELAQEYLPTITVVCSNLKSLDLSYTPVTPNQILMFIGQCYNLETLWVLDSVRDEGLGNVAISCKKLKCLRVLPLNAHEDADELVSEVGLTAISQGCPELRSILYFCQTMTNAAVIAMSRNCPELKVFRLCIMGRHQPDHATGEPMDEGFGAIVQNCSKLTRLSTSGHLTDRAFEYIGRYGKSLRTLSVAFAGNSDVALQYILQGCSKLEKLEIRDCPFGDAGLLSGMHHFYNMRFVWMSGCNLTLQGCKEVARGLPRMVVELINGQPENERTEKVDILYMYRSLDGPREDVPSFVKIL >KQK92228 pep chromosome:Setaria_italica_v2.0:IX:54489066:54492415:1 gene:SETIT_037639mg transcript:KQK92228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPAPFRVFSPPSAAATAAAGPAGAQRALIYGRRAPLAGALLFFSIGAVSAAVACRTGCSFSHRRFPFLGARGLSSARMESASTTVPSIVVYVTVPNREAGKKLSQSIISKKLAACVNIVPGIESVYWWEGKVQSDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPITGGNSKYLEWIKNSTRED >KQK92227 pep chromosome:Setaria_italica_v2.0:IX:54489066:54492141:1 gene:SETIT_037639mg transcript:KQK92227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPAPFRVFSPPSAAATAAAGPAGAQRALIYGRRAPLAGALLFFSIGAVSAAVACRTGCSFSHRRFPFLGARGLSSARMESASTTVPSIVVYVTVPNREAGKKLSQSIISKKLAACVNIVPGIESVYWWEGKVQSDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPITGGNSKYLEWIKNSTRED >KQK90663 pep chromosome:Setaria_italica_v2.0:IX:44298588:44299469:-1 gene:SETIT_037127mg transcript:KQK90663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLPFLLLSLALLSLSSPAQGYGVGRWMNARATFYGGADASGTMGGACGYGDLYSQGYGTETTALSTALFSGGLSCGACFELRCAGGGRGSSSCVPGASVVVTATNFCPPNYALPGGAGGWCNPPLRHFDLSQPAFLRIARYRAGVVPVAYRRVPCRRRGGIRFTVNGHAYFALVLVANVGGAGDVRAVAVRGGTRGRWRAMARNWGQNWQSGAPLDGKPLSFRVTTSDHRSVVSYNVAPAGWAFGQTFTGGQFP >KQK87903 pep chromosome:Setaria_italica_v2.0:IX:10073047:10077808:-1 gene:SETIT_037789mg transcript:KQK87903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQVSVPSNSMLNIVTVRCGHCTSLLSVNLRGLIQSLPVQNHLCLENLKVHNFSFSTENYCEYAPSSSKYRMPTMFSTKGDQDHMLHVRAPEKRQRVPSAYNRFIKEEIRRIKASNPAISHREAFSTAAKNWAHFPNIHFGLGPLESSKKLDEAIGAAGHPHKVQDLY >KQK91895 pep chromosome:Setaria_italica_v2.0:IX:52577803:52579876:1 gene:SETIT_035164mg transcript:KQK91895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFWLPLLLAVSAVWVLRAWRARRFGNKARKAATYTYPPGLEPYPLIGHLPQFLANRHRVLDWMTEALERQPTATFVLRRPGGVRGAITANPANMEHFLRASFDNYPKGPKFASLLHDFLGRGIFNADGEAWRAQRKVASHEFNTRSLRAFVARCVHSELHGRLLPLLRRAAASGAGARLDLQDVLERFAFDNICRVAFDHDPRQLPDDGDDGTAADGSFADAFRDAANLSAGRFRYAVPGFWKIKKALNVGSERRLRESIAMVHDFADGIIQSRREEMLRDGFEKHDLLSRFMASQDATYSESEVPLRDVVISFLLAGRETTSSALTWFFWLLSSRPDVRRRIRDEVAAVRARRAQGGVDTIVGFDLDELREMHYVHAAITESMRLYPPVPVNSLRAETDDVLPDGTAVQAGWFVAYNSYGMGRMESVWGRDAREFRPERWLSPADGTFRPDSPFRYVAFHAGPRVCLGKEMAYIQMKSIVACVLEELDVAVDGAYRPRQVASLTLRMADGLPVTVKPRRNC >KQK89379 pep chromosome:Setaria_italica_v2.0:IX:26328208:26334620:-1 gene:SETIT_034285mg transcript:KQK89379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASSSSGGGGGGPHPSASSSTATPPSPASLDGGLLLRLLQNPPPAHPRAETLTAGPVAHHFFVDPAVAAVGPLFAAPTQMQGGCFPWSSASAPQPQQHLLRFPGPQFAPGEPYAALGGSGGGGRGFGSGDAVKAERPRPGAPPPGFGKPSHPPAAARDASNTFGGAPSRELNHHRPRGFGRTSNKELKTVPPFAAGREAVGRMPHGEPRAMPITGGRDVPAGMMYREQQQDCFLSRTPPDMNTNRSFGRMPLREQHTLPTTCGRALHGDQYTHATQAGRMQRSDWGQQEPHLTYTSQREQTWQGPSKEKGLRKLPNANAHDAFGKVPVKEVHHVTVPAGSSVAVGPKEDQVNGLEDGRIREVVLEHGIDGEVVAEAEAEARKFEVSYQKGKVRFAGQDEEYDDDGREEGSIIEQMTESLMIDGNVEAKGVVLQKTITRSKDFRSDFSRGHHVSSQRIRFQRRNRPCRYDIDRFAPSFLSIFESLVPSQDEIAKQKQLLTSLSRIINKEWPNSKLYLYGSCANSFGFSNSDIDLCLSIDDKEMSKVDIILKLADILHAGNLQNIQPLTRARVPIVKLMDPETGLSCDICVNNLLAVINTKLLRDYAQIDIRLRQLAFIVKHWAKSRRVNEAYQGTLSSYAYVIMCIHLLQLRRILPCLQEMEATYFVTVDESNCAYFDQVDKLNNYGAHSRDTVSRLLWAFFHYWAYEHDYTRDVISIRTGRIISKERKDWTRRVGNDRHLICIEDPFETSHDLGRVVDKFTIKILREEFERAANILQFDPNPSVTLFEPYVPPPLPTLMQEETVNGAEVEL >KQK89380 pep chromosome:Setaria_italica_v2.0:IX:26330209:26334582:-1 gene:SETIT_034285mg transcript:KQK89380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASSSSGGGGGGPHPSASSSTATPPSPASLDGGLLLRLLQNPPPAHPRAETLTAGPVAHHFFVDPAVAAVGPLFAAPTQMQGGCFPWSSASAPQPQQHLLRFPGPQFAPGEPYAALGGSGGGGRGFGSGDAVKAERPRPGAPPPGFGKPSHPPAAARDASNTFGGAPSRELNHHRPRGFGRTSNKELKTVPPFAAGREAVGRMPHGEPRAMPITGGRDVPAGMMYREQQQDCFLSRTPPDMNTNRSFGRMPLREQHTLPTTCGRALHGDQYTHATQAGRMQRSDWGQQEPHLTYTSQREQTWQGPSKEKGLRKLPNANAHDAFGKVPVKEVHHVTVPAGSSVAVGPKEDQVNGLEDGRIREVVLEHGIDGEVVAEAEAEARKFEVSYQKGKVRFAGQDEEYDDDGREEGSIIEQMTESLMIDGNVEAKGVVLQKTITRSKDFRSDFSRGHHVSSQRIRFQRRNRPCRYDIDRFAPSFLSIFESLVPSQDEIAKQKQLLTSLSRIINKEWPNSKLYLYGSCANSFGFSNSDIDLCLSIDDKEMSKVDIILKLADILHAGNLQNIQPLTRARVPIVKLMDPETGLSCDICVNNLLAVINTKLLRDYAQIDIRLRQLAFIVKHWAKSRRVNEAYQGTLSSYAYVIMCIHLLQLRRILPCLQVSRL >KQK87777 pep chromosome:Setaria_italica_v2.0:IX:9302256:9302615:1 gene:SETIT_0378541mg transcript:KQK87777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLNLEVMDGSRHWKIVGCSAYTGEGLLDGFDWLVQDIASRIYVLD >KQK89893 pep chromosome:Setaria_italica_v2.0:IX:36817753:36818823:-1 gene:SETIT_038568mg transcript:KQK89893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAALLMDEPQDSDGSEGHLESTSESTDDMKPTLI >KQK86084 pep chromosome:Setaria_italica_v2.0:IX:225126:229701:-1 gene:SETIT_035701mg transcript:KQK86084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLVSLLTAPLISAVLGGAIALVFLAGYLRRKRAAIAHIPPSATAAAPDQPKHVRPSNQAHHKKGHARPHHNAADKDAAKKHHHLDVNTLRGHTDSVTALHFSNDGANLATVCADGAVRVFRIDDTSSKSFKILRINLPAGAHPTGVAFSEGSSSVVVAAQALLGSSLYMYADVSAPPTAQNKQQGKLSPPEIKWSHQKIHGKESVLNLAAARATHGPGDGSTIIISCSEATDIKVWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNKVMQLMGHKSAVTSLCFAPNSEQIITASKDGSIRVWNINGITLMRIQKP >KQK86085 pep chromosome:Setaria_italica_v2.0:IX:225508:229618:-1 gene:SETIT_035701mg transcript:KQK86085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLVSLLTAPLISAVLGGAIALVFLAGYLRRKRAAIAHIPPSATAAAPDQPKHVRPSNQAHHKKGHARPHHNAADKDAAKKHHHLDVNTLRGHTDSVTALHFSNDGANLATVCADGAVRVFRIDDTSSKSFKILRINLPAGAHPTGVAFSEGSSSVVVAAQALLGSSLYMYADVSAPPTAQNKQQGKLSPPEIKWSHQKIHGKESVLNLAAARATHGPGDGSTIIISCSEATDIKVWHGKSGKELGTVDTNQLKNNMADISPNGRFIAAAAFTADVKVWEIVYSKDSSVKEVNKVMQLMGHKSAVTSLCFAPNSEQIITASKDGSIRVWNINVRYHLDEDPKTLRVLSIPLHDSKGSVCQYDHMSISPDGKILAVTSGSTLQWLCVETGAVLDTAEKAHEGDITGIAWAPRTILNGGVPAFILGSAGVDKKVKLWLAPEAGST >KQK89340 pep chromosome:Setaria_italica_v2.0:IX:24559501:24560912:1 gene:SETIT_040249mg transcript:KQK89340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLPHISNPAARKHCSTSRCIVPAVLLLLLAAALATSNVYFTFPSRVRVPFPRPFSSRITRRGEGCDIFRGDWVPDPDAPYYTNDTCSVIHEHYNCMRYGKPDLGFVKWRWRPDGCDLPRFNPWRFLDMMKGKSIAFVGDSLARNHKDSLICLLTRAAEPTPSWPSSKHTVYYYGEYNFTVANFWAPYLVRHEQIDEDGPAHTGLWNLFLDEPDAVWAAHVAEFDYAVVSASSWFYRPSMLYEAGGLVGCHYCLLPNVTDLTPRYALRMATRAALRALSGADGRFRGTAVLRTVDPSQYEGGEPYRRGEKKVEGFELDFRNLQLEEFAVAEKAATDGGGKVRMMLMDTTEAMILRADAHPSKYRGWTPEKHFTLSNDCVHWCLPGALDTWNDMLLYML >KQK91290 pep chromosome:Setaria_italica_v2.0:IX:49029347:49031705:-1 gene:SETIT_040250mg transcript:KQK91290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNRRRPLPPFVPGLLLPLLLAVAPPPAASAVGVNWGFASSHPLPAARVVQGLLLPNSVPRVRLAAASSDALSALAGTGVAVTVGVPDALLRPLASSIKAAAAWVHDNITRYASSVRFEYISVGDEPFLMSHGQHFQPYVVPAAANIKKALTAAKVSSKIKVVVPCSVDTYQNASVLPSKASFRPDVNKTMAELLSFLTNNSSPFMVELNPFLSFQQHKNLSLDYYLFQLMSHPVKDGQNKYDNYFDASIDALVTALTEAGYGDMDIIVGRAGWPTDGAVNATPAIAQSFMTGLVNHLAKKSGTPLRPKVPPIETYLFSLLDEDQRNTASGGYERHHGIFTFDGQAKYYANIGQGPKPLKNAPDVNYVPSKWCVLDNNKDLSNISSSFSAACSNGDCTALLPGGSCSGLGWPGNVSYAFNNYYQQHDQSEDSCNFNGLGLITTVDPSVDNCLFPLAIRTSAATSLHLTLATFRLVVLWLCILFIV >KQK91231 pep chromosome:Setaria_italica_v2.0:IX:48650135:48650395:-1 gene:SETIT_040206mg transcript:KQK91231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NTMKVKILQWHAVASWTWDAQDETCGICRMAFDGCCPDCKFPGDDCPLIWGACNHAYHLHCILKWVNSQTSTPLCPMCRREWQFKG >KQK89084 pep chromosome:Setaria_italica_v2.0:IX:20439742:20444583:-1 gene:SETIT_036128mg transcript:KQK89084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPKSSSTSWSSLFGLGCFSSSHAARSGDSGSGGSRNAAKVASSSRPPEPLPSPEDLDLSLSLAGSDVLAFTVEELRTATRDFSMTNFVGEGGFGPVYKGRVDERVRPGLRPQAVAVKLLDLEGSQGHKEWLAEVIFLGQMRHPHLVKLIGYCYQDQHRLLVYEFMARGSLEKHLFKKYSASLPWSARLKISIGAAKGLAFLHEAAKPVIYRDFKTSNILLDSDYTAKLSDFGLAKDGPGEDETHVSTRVMGTQGYAAPEYIMTGHLTTKSDVYSFGVVLLELLTGRKAVDKNRPPREQNLVEWARPCLNDSRRLNRVMDKGLNGQYPTRAAQKAAAIAHKCLHVSPKSRPQMSAVVEALESLLALDDGTVEPFVYTAPPENK >KQK90589 pep chromosome:Setaria_italica_v2.0:IX:43888983:43889585:-1 gene:SETIT_038405mg transcript:KQK90589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHIFVDVVLLILFIEYLKGRKMGIIEYSILFVFFWSMRTLGDLCPLDIVLLLAALFSFAILVYFYS >KQK86409 pep chromosome:Setaria_italica_v2.0:IX:1700114:1703248:-1 gene:SETIT_036150mg transcript:KQK86409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDGGPDWNGLLKWSIAHGDGTNPPRALSEEDRKWFMEAMQANTIDVVKRMKEITQVMKTPEDVLESQGVTPENIEDMLDELQEHVESIDMANDLHSIGGLDPLLSYLKNSHAGIRARAAEVVSTIVQNNPKSQQLVMESNGLEPLLTNFKSDPSASARTKALGAISSLIRHNQPGISAFRLGNGYAGLKDALSSDDARLQRKALNLTQYLLHNNKADRSIATELGLPKLMMHLASSDDSLVREAALSGLLELAQDKTSGNTLPDQDKLKDILKSRIEGISAMDADDLHAAREERQLVDSLWKECYNEPSSLREKGLVVLPGEDAPQQPPPDVAGKMFEPPLRAWAAARPAPKDDSDTGSEKKDAPQLLLGPGPSSNSNS >KQK87572 pep chromosome:Setaria_italica_v2.0:IX:7974225:7980751:-1 gene:SETIT_033949mg transcript:KQK87572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVRSIESLAAGDGRHNLLRTLGPTLLISMGYIDLGKWLSTVDTGSRFGYDLVLLVVLFNFTAVLYQYQSVCIGMVTGKNLAEICHQEYSKTICFVLGLQAGLSLFTAELTMVAGIAIGFNLVFEYGDVITGICFSSVLVNLLPYTVSHLGKRMAGTFNACLAGFALLCFVLGLLVSQPKVSINMNVMFPKLSGENAYSLMALLGGNVIAHNFYVHSSVVQIQRRSPAPTLGSLFHDHLFSLLFIFTGVFLVNYILISLAADESTNIVLSSFQDGIELMNQMFVSPAAPVVLLVILLFSSHIISLTSIIGSDVILKNFFGVKLPHSAHHLLLKGFAVIPTIYCAKVAGSEGVYQLLIICPVIQAMFVPSSVIPLFRVSSSRSIMGSYRISLYAEICAALAFLLMLFTNIIFAAEILFGDSTWTNNLKGNSGGLVLIPYTVMVLILSGTIAFTLFLAVTPLKSESNEAGTQELSVHPQRETSDITHHREETYLENVAHEEVHWPSVPKDSLEGHEKSALGHTESSEISTESDHDAQPPTAHREINPEAHPTPSIFCEEPKSVEADLTGPISKVCTDAIVEQSTADNIKVERATEKIVQVEIDFFTQKDTDVSHDLEFEKYPGGKAPSFTSDDPPSLTLSRGNTDAGNVSGTDTLSKQPGLGRAARRQLASILDDFWGCFFDYHGKLTQEASTKRVSFFIGLDLRAAGSAVRKDNLSIEAYRSPMMRDEMRGSATALNKWDSSDKELSNPDLSFGLQMGAMGSPSWSQGTHLPNRDIPSSGRTFIEQNAELFSNFHAPSYSDNQFYQPATIHGYHLANYLKGMDASRNLQSSTQLDPRRLPRSSESAITGSTMNPRNQDVLGSLGPSSMQSPTLNRLTTMAVDRSYYDPTYVGESVGSSAYSKKYHSSPDISKVIAASRNAFLDEANLGGPAANLSYLSRLASEKSRYMDSAGGSSSPFNMLSQHNAQRENSMQSSMNINTKSLWAQQPFEQLFGVPSAELNKRDANTARGPSSATKEDFSYTVVEAELVSSLRFCIMKLLKLEGSGWLFGQNGGCDENLIDQVSEAERVSQEKTSDDRDANAMCRGPNCGDYCIWQASLVVSFGVWCIHRVLDLSRVESRPELWGKYTYVLNRLQGIIEPAFSKPRKPLTGCACLTNAGTVGKPIPGSFTTAAEILEVIKGVEQAVSGRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKTLAGH >KQK87781 pep chromosome:Setaria_italica_v2.0:IX:9331662:9332628:1 gene:SETIT_038957mg transcript:KQK87781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQSEWPLSPCVLRVFSLKIGQWEERSFIREGEATGTIANMRSGGPQDRNALQVIKPPIQEDGRLGLHLGKLERGVYFALVHERCKLKWVLMHEADLTGWVLKHGIEQQVHGPWTLHDINYDSNGALRQNYKKDDAIEALMQSRGITMDNILGFHPYKEIIFLCEKTRRGLACHLNGTKVQDLGNLYPTRYDGELLNEEFIEQCIPYAPSWIMGPSREK >KQK86283 pep chromosome:Setaria_italica_v2.0:IX:1087917:1093521:-1 gene:SETIT_034009mg transcript:KQK86283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASAGLVAGSHNRNELVVIRRDGEPGPKPMNQQNGQVCQICGDDVGLNPDGEPFVACNECAFPICRDCYEYERREGTQNCPQCKTRFKRLKGCARVPGDEEEDGVDDLENEFNWSDKHDSQYVAESILHAHMSYGRGADFDGVPQPFQPIPNVPLLTNGQMVDDIPPEQHALVPSFMGGGGKRIHPLPYADPNLPVQPRSMDPSKDLAAYGYGSVAWKERMESWKQKQERMHQMRNDGGGNDDGDDADLPLMDEARQPLSRKIPLPSSLINPYRMIIIIRLVVLGFFFHYRVMHPVPDAFALWLISVICEIWFAMSWILDQFPKWFPIERETYLDRLTLRFDKEGQPSQLAPVDFFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKRYSIEPRAPEWYFQQKIDYLKDKVAQNFVRERRAMKREYEEFKVRINALVAKAQKVPEEGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDVEGNELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIKEAMCFMMDPLLGKKVCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKTKKPPSRTCNCWPKWCICCCCFGNRKTKKKTKTSKPKFEKLKKLFKKKENQAPAYALGEIDEAAPGAENEKASIVNQQKLEKKFGQSSVFVASTLLENGGTLKSASPASLLKEAIHVISCGYEDKTGWGKDIGWIYGSVTEDILTGFKMHCHGWRSIYCIPKRAAFKGSAPLNLSDRLHQVLRWALGSIEIFFSNHCPLWYGYGGGLKFLERFSYINSIVYPWTSIPLLAYCTLPAICLLTGKFITPELTNVASLWFMSLFICIFITGILEMRWSGVGIDDWWRNEQFWVIGGVSSHLFAVFQGLLKVIAGIDTSFTVTSKGGDDEEFSELYTFKWTTLLIPPTTLLLLNFIGVVAGISNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLVGRQNRTPTIVIVWSILLASIFSLLWVRIDPFLAKNDGPLLEECGLDCN >KQK90644 pep chromosome:Setaria_italica_v2.0:IX:44179991:44181897:-1 gene:SETIT_035575mg transcript:KQK90644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPLLTALLLAHALAYLAWTAAARRRQSRCYLLDYVCHKPCDTRKISTEEAGDLIQRNKRLGLADYRFLLRVIVRSGIGEETYAPRSILEGREDSPTLQDALDEMDAFLDEAVAGLFARTGVAPRDVDVLVFNVSMLSPAPSLASRVVRRYGLREDVAAYNLAGMGCSAGLVALDLARNALRTRPRALALVVSSESIAPNWYSGTDKSMMLANCLFRSGGAAVLITNDPARRGRAKMELRCLVRAHIGANDDAHACALQREDAEGRVGISLSKALPKAAVRAFAANLRRVAPSILPVSELARFAARLLSWRLLHPSSGKKQGGAGDAPRINFKTGVDHFCLHPGGTAVIEAVKQSLGLDDGDVEPARMTLHRWGNTSASSLWYVLSYMEAKGRLEVGDRVLMVTFGSGFKCNSCLWEVTGDMADKGPWESCIDSYPPERLANPYMDKFGWINDVEGDTLML >KQK86439 pep chromosome:Setaria_italica_v2.0:IX:1883910:1890943:-1 gene:SETIT_035133mg transcript:KQK86439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGVVAEPKTKYDRQLRIWGDQGQAALENASLCLLNCGPTGTEALKNLVLGGIGSVTVVDGSKVEASDLGNNFLLDEGCLGQPRAKSICSFLQELNDAVKAKFVEETPATLIDTNPSFFSQFTVVIATQLPESSLLKLDDICRKANIVLIAARSYGLTGLVRVSVKEHCVIESKPDHFLDDLRLHNPWAELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGNLPSTSQEKREFKDLIRAHMLNVDEENYKEAVESSYKVSATPGIRNEIQQIIDDNSAEVNSSSTDFWILVAALKEFVANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAEADCLAMENRVKDILKRIGRDPDSISRAYIKTFCKNARKLRVCRYRSIMEEFSSPIVSEVQRYFTDEDYSYAMNFYVLLRAVDRLAANYNRLPGIFDRLKTAAASVLSEMGLSGASLTEDLIAEMCRFGGAEIHAVAAFIGGVASQEVIKLVTKQFVPLRGTFIFNGIDLKSQVLEL >KQK86441 pep chromosome:Setaria_italica_v2.0:IX:1884257:1890890:-1 gene:SETIT_035133mg transcript:KQK86441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGVVAEPKTKYDRQLRIWGDQGQAALENASLCLLNCGPTGTEALKNLVLGGIGSVTVVDGSKVEASDLGNNFLLDEGCLGQPRAKSICSFLQELNDAVKAKFVEETPATLIDTNPSFFSQFTVVIATQLPESSLLKLDDICRKANIVLIAARSYGLTGLVRVSVKEHCVIESKPDHFLDDLRLHNPWAELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGNLPSTSQEKREFKDLIRAHMLNVDEENYKEAVESSYKVSATPGIRNEIQQIIDDNSAEVNSSSTDFWILVAALKEFVANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAEADCLAMENRVKDILKRIGRDPDSISRAYIKTFCKNARKLRVCRYRSIMEEFSSPIVSEVQRYFTDEDYSYAMNFYVLLRAVDRLAANYNRLPGIFDSEIDEDIPRLKTAAASVLSEMGLSGASLTEDLIAEMCRFGGAEIHAVAAFIGGVASQEVIKLVTKQFVPLRGTFIFNGIDLKSQVLEL >KQK86440 pep chromosome:Setaria_italica_v2.0:IX:1883910:1890890:-1 gene:SETIT_035133mg transcript:KQK86440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGVVAEPKTKYDRQLRIWGDQGQAALENASLCLLNCGPTGTEALKNLVLGGIGSVTVVDGSKVEASDLGNNFLLDEGCLGQPRAKSICSFLQELNDAVKAKFVEETPATLIDTNPSFFSQFTVVIATQLPESSLLKLDDICRKANIVLIAARSYGLTGLVRVSVKEHCVIESKPDHFLDDLRLHNPWAELKQFAKSIDINDKDPVVHKHTPYIVILVRLAEKWADAHDGNLPSTSQEKREFKDLIRAHMLNVDEENYKEAVESSYKVSATPGIRNEIQQIIDDNSAEVNSSSTDFWILVAALKEFVANEGNGELPLEGTIPDMTSLTEYYVSLQKIYQAKAEADCLAMENRVKDILKRIGRDPDSISRAYIKTFCKNARKLRVCRYRSIMEEFSSPIVSEVQRYFTDEDYSYAMNFYVLLRAVDRLAANYNRLPGIFDRLKTAAASVLSEMGLSGASLTEDLIAEMCRFGGAEIHAVAAFIGGVASQEVIKLVTKQFVPLRGTFIFNGIDLKSQVLEL >KQK88590 pep chromosome:Setaria_italica_v2.0:IX:15321741:15322253:-1 gene:SETIT_040125mg transcript:KQK88590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSSSKLAFLAVLVLLLQASSLAVARRHHHDEPDPCRDGAPGLLGHKDHRCSSPAVSPHGGTRAVMTVNGFDKGEDGGGPSECDGKYHHDSEMLAALSTRWYADGRRCHKAIRITSAHNGRTVVARVVDECDSRHGCKDNIVDTSKAVWKALGLDTKIGEVPVTWSDA >KQK88797 pep chromosome:Setaria_italica_v2.0:IX:17246126:17248043:-1 gene:SETIT_035901mg transcript:KQK88797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMISICTLQDGISSPIAAHILDFCDDGSGGDLFAAVNAASDMFTASSEDASSSSVTTPPAPCSHGDNVSSGAAAAASAFSPMASLDSTLSALLEEDDPPVPDTELFLPIDYQFAAAVAGDEPQPEQQFDQVPVALPVAAAAAEQPALQTQMSSTASELMHLTSSGYSDECFAAAMAGGGYVGLDEALCQQQPQPPLQPGALLPPGVMDTAAQGYAVAQGGFFGAGCTGMVMSMMGMEEIGEYQRMMESASAALSATHSADADSAAAAQMAAFGGSAGEMQMGGGMSPGQLPAAGAVTEASSLEDASFKSARISTEERREKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDYGEPSRAMQNHDEYDQIAGLKGEDMLDPDALQAHLSGMNSYMYNHTVESWM >KQK92352 pep chromosome:Setaria_italica_v2.0:IX:55069401:55069775:1 gene:SETIT_039178mg transcript:KQK92352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVLPLLVATLVVAVTAFSAGADAAWTPIANPRSLVIRQIGNFAVIVYSNADPRKFRPLALVSVVRGETQPAGTGVTDYRLVLNVRNTATGSTGLYQCVVRGRLGSRATTWELRSFVVYKQAI >KQK89978 pep chromosome:Setaria_italica_v2.0:IX:38097669:38098949:1 gene:SETIT_036483mg transcript:KQK89978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHTCKLCFRRFHNGRALGGHMRSHVMAAAVTAAYSPPPPLPRPQSPPLSLASTSSTEMDDKPAQHKPVASCGLRDGAKKRSRIGAPEFSGGWAAGGDSSVVQDGESDTESPPRFAVSRRRSKRSRRRAQPPESTPDPEQPASSVSDATTEEDVAMSLVMLSRDSWTRSRSGPKHHRAQASSEAEQNDDDDADEAEHGHDVARPRGRHQCGACRKVFRSYQALGGHRASVKKGKGGCVPVPLPLPPPTPPTAPPSKARRVETSPVIHQCPFCFRVFESGQALGGHKRTHMPYAGAPAPSTPAKCGDSSGSIDLNVPAAVDDDFELSAVYDAEFGSSTRQ >KQK89365 pep chromosome:Setaria_italica_v2.0:IX:25495653:25496683:1 gene:SETIT_039565mg transcript:KQK89365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKQFEILALDGSNFSTWVTDVKVSLSTRGLYKCIDEPVAGETTPSSMSKFGALYIIWNHIHPDLKTEYRMEEDPHELWKSLSQRYEQQKTIVIPEVTHEWNDLRLQDFKTVDEYNHVVHNVCSKLQFCDKEPLDEDKIEKTLKNHEISIWNSTRRPLGTAPLPEVHANVKKNGPKGNTRTGNSSGKGKHKRARKPRGNFKKGKGISKPKNDNKIICYRCGCYNHVAKKCRTPKHLVDLYMKSTGQGQKSQKYEAYFTSQVLEIGAMDPIPHGAGPSDTKTPPTEEDNFLDVDNMLVEYASNDMFGDII >KQK88698 pep chromosome:Setaria_italica_v2.0:IX:16356601:16358342:-1 gene:SETIT_0375382mg transcript:KQK88698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISGETVGEVRPVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVITWAQLGIHHKPVGLLNVDGYYNSLLTFIDKAVEEGFINPSARRIIVLAPTAQELMDKLEEYVPYHDRVASTLNWEMGHLGY >KQK86597 pep chromosome:Setaria_italica_v2.0:IX:2837000:2840902:-1 gene:SETIT_037146mg transcript:KQK86597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYAFVARGTVILAEYTEFTGNFTTIASQCLMKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQVPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEISKLAKVQAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKSKESRLIHSTASIILLLRAKKLMIFSSFSSVLWPSHCAQVLHFVLVFAKCWMVTGLIVSNHRVFLDAMYV >KQK86598 pep chromosome:Setaria_italica_v2.0:IX:2837466:2840747:-1 gene:SETIT_037146mg transcript:KQK86598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQSLIYAFVARGTVILAEYTEFTGNFTTIASQCLMKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESVGRQVPIAFLDRVKEDFTKRYGGGKAATAAANSLNREFGSKLKEHMQYCVDHPEEISKLAKVQAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKVRRKMWLQNMKIKLIVLGIIIALILIIILSVCHGFKCH >KQK86912 pep chromosome:Setaria_italica_v2.0:IX:4464206:4468191:-1 gene:SETIT_035509mg transcript:KQK86912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDASGAETYTTDEALSRLGFGRFQALLLGFLGTGWVAEAMEVMLLSFVGPSMKEEWGVSGAAEGLITSVVFAGMLLGACVGGLGSDRYGRRAGFLFTAIVSGVPGLLCAFSPNYATLLALRFVVGLGLGASHVLPTWFLEFVPAESRGSWIVVFTCFWTLGTILEALLAWAIMPILGWRWLLALSSTPCFILLIFSSVIPESPRYLCSRGKINEAMLVLERIARMNNKALPPGTVTSEPKRIDDNYDPSVTTVLLMTEDRLDDDTSTKSNSKSIFKAFWSRDLIRSTLLLWLVYFASYFAYYGLVYLISELSSGRSQPKDSSLYINVLVTSFAEFPGLLLAALLVDRIGRKVTMGGMILLCCAFLAPLATQLREDLSIILLFCARSCVMGCFAVLHVYSPEIYPTSCRNTGVGFASIIGRIGSIVAPLTTTALLENHHQKEVVLVMDLALFLAGVACTLFPLETKGREIH >KQK92384 pep chromosome:Setaria_italica_v2.0:IX:55262270:55263229:1 gene:SETIT_037634mg transcript:KQK92384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPRAVICQPFSPCPALPACRTSTSTIGSGPSFEFPRPQHPGEQSRRTPFCARASVGAPRRAYPRIEATARRGARTENPKVRNQRLQKKFNGTATKPRLSVFCSNRQLYAVLADDHNKKVLFYGSTLQKSICGDPPCGTVEAARRVGEELVRACEELGISEISSYDRNGFARGDKMMAFEVPVSQHGFLPR >KQK87399 pep chromosome:Setaria_italica_v2.0:IX:7142437:7142892:1 gene:SETIT_040760mg transcript:KQK87399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVPYQSFTYSNGGRKKRRMVLCWINVCDVVSCATMPLDMPGKDQHLGRFSPLHSELFRLPFLTLT >KQK89989 pep chromosome:Setaria_italica_v2.0:IX:38341485:38347945:-1 gene:SETIT_034407mg transcript:KQK89989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAWEMHPLCCLAGEWPGSGGELAPGDRSPPPEPAEAGAEAPAVAGVLYKWTNIGKGWRPRWFAIRGGVLAYSKIRRRVAAEPHPASEAAGGVRLIGVARGAGGAGERPIGFVHLKISSFSESKSDDKRFYIITPTKTLQLRTGCAKDRATWIEALVTARSEYSLNGDLSGDQNDTSFSTEKLRNRLHTEGVGEAIIKDCEQIVHSEFSQYQTQMKQRCEEYLSFIGSLPREVEVVNSVDTTVTEKPQLELFKHDCSSSGKCSEYSNTESSDDAGKQEISELSDGDEFHFYDTRQSFSDFATSPDLTRKGLNNGNEDHKSVESLTADNANEYLLSYSKRRTKLPEPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPLSSLQKCFEELEYSYLLDRAYECGLRGNGLMRILYVAAFAVSGYASTDSRPCKPFNPLLGETYEADYPEKGIRFFSEKVSHHPMVMACHCEGKGWKFWGDSNLKSKFWGQSIQVDPDGVLTLEFDDGETFQWSKVTTTINNLILGRLYCHHHGTMNISGNRQYSCKLTFKEQSFLDRNPRQVQGVVKDADGTKVATLTGKWDESVHCVISDDASKVKSHGSHHSAGATLLWKKNEPSANPTRYNLSSFAITLNELTPELKEKLPPTDSRLRPDQRHLENGEHEKANGEKLRLETRQRMARKMQESGWKPRWFQRDSEDGTFRYVGGYWEAREQRKWDGCNDIFGNLSSSPKLQSSTLYASSSI >KQK86835 pep chromosome:Setaria_italica_v2.0:IX:4066426:4067719:1 gene:SETIT_037323mg transcript:KQK86835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAASSTAAFAAKPRLPRARLSVACSATGGDGSNGSSVSLASSVKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKNSIKKLTTSLNKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELASRLLPRGFIWPVAAYRELINGDLVVDDKDIGYY >KQK86837 pep chromosome:Setaria_italica_v2.0:IX:4066426:4067719:1 gene:SETIT_037323mg transcript:KQK86837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAASSTAAFAAKPRLPRARLSVACSATGGDGSNGSSVSLASSVKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKNSIKKLTTSLNKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELASRLLPRGFIWPVAAYRELINGDLVVDDKDIGYY >KQK86836 pep chromosome:Setaria_italica_v2.0:IX:4066426:4067719:1 gene:SETIT_037323mg transcript:KQK86836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAASSTAAFAAKPRLPRARLSVACSATGGDGSNGSSVSLASSVKTFSAALALSSVLLSSAATSPPPAAADIAGLTPCKESKAFAKREKNSIKKLTTSLNKYAPDSAPALAINATIEKTKRRFENYGKFGLLCGADGLPHLIVSGDQRHWGEFITPGLLFLYIAGWIGWVGRSYLIAISGEKKPAMREIIIDVELASRLLPRGFIWPVAAYRELINGDLVVDDKDIGYY >KQK90075 pep chromosome:Setaria_italica_v2.0:IX:39347223:39349476:1 gene:SETIT_036544mg transcript:KQK90075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWFYRKGPSGFSGASTAEEVTAGVDGRGLVAVITGASSGLGLETACVLALRGVHVVMAVRNVSAGLAAKEAIAAKIPGARIDVLELDLSSMASVRRFAADFDSLNLPLNILINNAGVMTRNCTRSRDGLELHFATNHIGHFLLTNLLLENMKSACRDSGVEGRIINLTSSGHMLTYPEGICFSKIHDPSGFNDFIAYGQSKLANILHSNELSRILKEEGVNISANAVHPGVITTNLFRNRTIVSVLLNSIGRIMCRDVEQGAATTCYVAMHPQVKGISGKYFANCNIASPTSQASDAELAKKLWQFSLQTVASVKHVNANPVKMNNLAPVS >KQK87513 pep chromosome:Setaria_italica_v2.0:IX:7661650:7662167:1 gene:SETIT_040530mg transcript:KQK87513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCSACAITSWCDFLCCIEVRLLHFSILTQSYG >KQK87296 pep chromosome:Setaria_italica_v2.0:IX:6478429:6479169:-1 gene:SETIT_039014mg transcript:KQK87296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDRENKVLLYACRNCDHQEVSDSNCVYRNVVDHAAGEFTQVLFEDVASDPTLPRTKSVRCAACGHGEAVFFQATARGEEGMTLFFVCCNPSCGHRWRD >KQK89607 pep chromosome:Setaria_italica_v2.0:IX:33739228:33741525:-1 gene:SETIT_036298mg transcript:KQK89607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQINVYKYLSTLLEWRECFEDQALKEDRELCTIDKAAGETGVAQTRPSLYSLNQRLMQFADWLLEIVALGDLDAFFPAATREYAPIVQEVWKDAAIQATYKRNNEFHFLPDVASYFLDRVVEISSNEYEPTETDILYAEGINQWNGLSLLEFSLDDRYPFTESYVDKLDDPSMLTKYQLVRINSKGLNGGLRCLEMLEEVRAIIFCISLADYDQMWVRGSGELCNKMIASRDMFENVISHPSFEDTTCVLLLNKYDSFEAKVNRVPLTVCDWFADFSPVKPHHTHQSLASHAYYYIALKFKDLYSSIGDRKLFVFQTKALERKTVDDAFRYIREVLRWDDVKNSDAFGSVEESLCSMDMSSSS >KQK92677 pep chromosome:Setaria_italica_v2.0:IX:56726466:56730474:-1 gene:SETIT_036005mg transcript:KQK92677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAVMDYFSSCWGPRSRAGHRGKGSDAAGRQEGLLWYKDAGQLATGEFSMAVVQANQLLEDQSQVESGSLSLADPGPQGTFVGVYDGHGGPETSRFINDHLFNHLMKFATEHKCISVDVIRKAFQATEEGFLSLVSREWALKPQIASVGSCCLVGVICSGTLYVANLGDSRAVLGRLVKATGEVLAMQLSSEHNACYEEVRQELQSAHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEFNREPLHSKFRLRETFQKPILSSDPQITEHRIQPNDQFVIFASDGLWEHLSNQEAVDLVQNSPRNGIARKLVKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVIFLDSNAMSKASWSKSPSVSIRGGGVTLPAKSLAPFSAPAQLNSTY >KQK92678 pep chromosome:Setaria_italica_v2.0:IX:56727445:56730474:-1 gene:SETIT_036005mg transcript:KQK92678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAVMDYFSSCWGPRSRAGHRGKGSDAAGRQEGLLWYKDAGQLATGEFSMAVVQANQLLEDQSQVESGSLSLADPGPQGTFVGVYDGHGGPETSRFINDHLFNHLMKFATEHKCISVDVIRKAFQATEEGFLSLVSREWALKPQIASVGSCCLVGVICSGTLYVANLGDSRAVLGRLVKATGEVLAMQLSSEHNACYEEVRQELQSAHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEFNREPLHSKFRLRETFQKPILSSDPQITEHRIQPNDQFVIFASDGLWEHLSNQEAVDLVQNSPRNV >KQK92676 pep chromosome:Setaria_italica_v2.0:IX:56726466:56730474:-1 gene:SETIT_036005mg transcript:KQK92676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAVMDYFSSCWGPRSRAGHRGKGSDAAGRQEGLLWYKDAGQLATGEFSMAVVQANQLLEDQSQVESGSLSLADPGPQGTFVGVYDGHGGPETSRFINDHLFNHLMKFATEHKCISVDVIRKAFQATEEGFLSLVSREWALKPQIASVGSCCLVGVICSGTLYVANLGDSRAVLGRLVKATGEVLAMQLSSEHNACYEEVRQELQSAHPDDPQIVVLKHNVWRVKGLIQISRSIGDVYLKRPEFNREPLHSKFRLRETFQKPILSSDPQITEHRIQPNDQFVIFASDGLWEHLSNQEAVDLVQNSPRNGIARKLVKVAMQEAAKKREMRYSDLKKIDRGVRRHFHDDITVVVIFLDSNAMSKASWSKSPSVSIRGGGVTLPAKSLAPFSAPAQLNSTY >KQK90727 pep chromosome:Setaria_italica_v2.0:IX:45359968:45362884:-1 gene:SETIT_038821mg transcript:KQK90727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQEKQEKLKKRREAYHQQKRTKKQQKIHKKCMHERERYANMQPNQKKAKLEQVSANRARQRDTLSKKSIAMENPANRNENFTARTMEKTPALPDEDCSTNTKVIDDLESLKQPTNMKEDIPYSMCPGSKDGEETNQSFIDDEPYGDDGVVYEEDTDEEDNIISGQAEWEDMEVEIKENESTVPEDSNINDPYDIVYSNIPNNTHMLKPVENCKYCDAKKFHHEPEGLCCRKGQIKLANLETPHQLMRLWTSNDSDAIHFRKNIRFFNGHFSFTSLYCRLDRDTTTMRNSGIYTFRAHGQIYHNIRSFAKDGSDPKHLELYFYDDDPTLEHRYRYCRKEMYEQDKHVLLIITNTLRNNPYSEQFRSLGQEENLEDYRVMLNLDQRLDQRTYNAPITSEVAAVWIEGNERRNTFDRNVILHGNNNKIQGIRSYTGCYDPLSYPLFFPRGELGWHADIPKVGITTEDIESSQLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDAVGKRTVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEEITNELEFGQTPQDRPDLVVRVFRAKLEQMKKQLLEEHILGKLRYYSINGTYRIGRRSR >KQK88731 pep chromosome:Setaria_italica_v2.0:IX:16655397:16669205:-1 gene:SETIT_033971mg transcript:KQK88731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFPGGTPDPQQLQATMLAIEQACSLIQLHMNPSEAEKVISSLHSSLMPYQACRFILETSQMPNARFQAAGAIGDAAVREWGILTDDNKRSLILYCLNYVMEHASSPDGYVQSKVSAVAARLLKRGWVEFSNQEKAAIFFEVEQSIRGIHGPNRQFAAINFLENLVSEFSPSTASAMGLPKEFHEQCECSLELHFLKDFYCWAQSAVFNTADKILNSNETIPEERACSAGLRLMFQILSWNFKHTVEPESSDAKINSGLRIDTINLKKFERSLVKPGSMWRDVLISSGHTTWVLNFYTTLRQKYSYDTLWGDSPIAVSCRQLIVQLCSLAGSVFPNDNGDAQIKHLITILSAVVLWIEPPDVIAASIRNGGSESEFIDGCHALLSMASLTTGSLFDNLLKSIRHYGTINLLSALTSEAVKSVLVNQSEEETWGIDSLDILLETWNVILGDVDADKSPIAVDGALAASSLFKIIVESHLKAAADSAFEDTDDTEYFHVSVSKRDEQLALYALIARAAPDTTIPFLAQLFSERFARLNQRNGESDPTQTLEELYWLLLVTSHVLTDSGEGETLLIPDALQAGFSNVIEAAQHPVVTLSWSIINFSRQCLDPGIRAKYFSPRLMEAVIWFLARWVATYLVPLDVSRGQVSRGEIDSIGTNGSQHSRKLLNSFAWENNQGELVLDFVVLISMLALTTYQGENELQTLTCQKLLATVVRRKHTCAYLVQLDSWRDLTRAFASGRSLLSLSGRLQRSLAETLACAASCIKDPEASAQYLRDLMGPVAGCLVENASRSDLKSVAHQADVVYMVCCLLERLRGAARAAQPRTQKVLFEMGRTVMNPLLTLLEVYKNQSTVIYMILKFVVDFVDGQAVFLDAKETSALVSFCLRLLQIYSSHNIGKVMLSLSSSLRSESQAEKYKDLRALLRLLTNICSKDLVGFLSDCDGDGSPDIAEVIYVGLDIVTPLISLDLLKYPKLSRDYFVLMSHLLEVYPEKVAHLNRDAFARIIGSLDFGLRNQDSDVVERCLAAVNALASYHFKERLGGRGGLNSQLMESEGSNGKVQESISSHFLRLLLQILLFEDFRLELAGHAADALLPLLFCEQELYQGLVHEVLEKQQNPTMKSRLATAFHNLTSSNNLSSALDRPNRQRFRKNLLNFLVDISSFMQIK >KQK88234 pep chromosome:Setaria_italica_v2.0:IX:12444007:12445978:1 gene:SETIT_038702mg transcript:KQK88234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSCKSATIAAGGLLLLWVSFLAEPVRGGLVPALYVLGDSQADVGNNNHLALSPLRANFPRNGIDYPEQQATGRFSNGRNFVDFLAGKLGLTSPPPYHSISNTTPGRYSTFLTGVNFASGGAGVLGLTNKGQCFSFDHQIERDYLNVYSGLVQQLGKPQAMAHLAKSIFTVAIGGNDIIFRALPPTVTVELLAVELQVLSPQRFIELLAQNLERQLQRLYELGMRRLFFVGAAPIGCLPLMRELNLLTQECHPGANDLSVRYNAEVASLLRSMSARHPDFRYSFFDGYTALMQYIDKPQANGYAEVKAACCGLGDNKAMYRCGRVSCVCPNRTDHIFWDLVHPTETTSGNLTSVAFAGSAPFVSPVNVSQLCD >KQK89910 pep chromosome:Setaria_italica_v2.0:IX:37005823:37010538:-1 gene:SETIT_039355mg transcript:KQK89910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQEEAEVTESSPSSDPPITIGLAVSSSKSSKYAVKWALKNFGARERTRFMLIHVRQMVTLVPTRLGNYVPVDQVRDDIASAYEKEVECEAQNMLLMYKNMCNGKVEAEVLVVKGDDVAETISGVVSACQIHKLVVGVSSQGNFMRKSKGTRTSSRICKSVPSFCMVYSVSKGGLSTVYSPGSEGDNSSEIFQVNESSNSELYSDDKSSVSDITPSRISRPNLPGGNLDNSSSADHNRPRSLQEYLTGSALTSIVEKDQSGSPCGTDQITESSNLPISDKSPTVSRALQELMRSEDKANTPCATNKISGSSNLPTTDKATTVSNALQELMLSEDKDNANFEREKLRIKLGHMQGVCKLVHDESTSASQQMIDLIQKRAQEEARLVEVHSRINTAIEAARKEREQRYAVEAQARHVKELAKEEALKKQYFQLRASREADNIQKLEKLLEFGGKSHIIFTWEEMESATSSFSEALGSGANGTVYKGKIHQTTVAIKVLKSDDSRITKHFEREIEVLGKTRHRHLLLLLGACLDRACLVYEYMENGSLEDRLQCKGGTSPLPWYHRFRIAWEIALALIYLHSSKPKPIIHRDLKPANILLDSNFTSKIGDAGIATLLPLRDASSTHTIRKDTDLVGTLFYMDPEYQRSGQVSAKSDVYALGMVFLQLLTAKSPMGLADTVERAVAQRRLVDILDQRAGKWPVKAAYELAQLGLSCLEMRSKDRPDLKSNVLVVLERLNNIATTACDSVQQVPTAPPSHFVCPILKRVMQDPCIASDGYSYERVAIEMWLHENDVSPLTKTRLPDKNLVPNHALLCAINSWKGEAGAGGLTD >KQK91873 pep chromosome:Setaria_italica_v2.0:IX:52442849:52444506:-1 gene:SETIT_038595mg transcript:KQK91873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKGGMAEGQKNARTAGGCAGTGCGGGVGGGGKPAWVDVLVRTKFWKTCEAHKGVARGEGCVFCLDCYEVTCPRCGHGDKPGHRAIKIRRYNLRSVVLAADLQDLGIDVPKIQQFILNSQNILYLRPVKRSKKFRPRSGAHVSPDDFSGPEAEERMRNLHKNMKSPASEHGNEPRALDNLPGTSEVAPVANLGHVENNTFRRRLRKQGVPNRAPFF >KQK86618 pep chromosome:Setaria_italica_v2.0:IX:2935291:2943646:-1 gene:SETIT_034306mg transcript:KQK86618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAAARMLPDGGADDEERWLAEGIAGVQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDEMRKLEMFFREETRRGSCSVVDLYELVQHAGNVLPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPLRGLFLRSYLSQISRDKLPDIGSEYEGDAESINDAVEFVLQNFIEMNKLWVRMQHQGPVREKEKRGKERNELRDLVGKNLHVLSQIEGVDLDMYKETVLPRILEQVVNCKDDLAQFYLMDCIIQVFPDEYHLQTLETLLSAFPQLQPSVDIKTVLSQLMDRLSNYAALSPEVLPEFLQVEAFVKFSNAIGKVIEAQPDMPVVGAVTLYVSLLTFTLRVHPDRLDYVDQVLGACVKKLSGKAKLEDSRATKQIVALLSAPLEKYSNIVTALELSNYPRVMDYLDISTTKVMAVVIIQSIMKNTTCISTSDKIEALFDLIKGLIKDMDGAQDDELDEEDFKEEQNSVARLIHMLHNDDHEEMLKILCTVQKHILLGGPKRLTFTVPSLVFSALKLVRRLQGQDGDVTGEDVPATPKKIFQILHQTIEALSCVPSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEIADSKAQITAIHLIIGTLQRMNIFGVENRDTLTHKTTGYSAKLLKKPDQCRAVYACSHLFWTDDQDGIMDGERVLLCLKRALRIANAAQQMASATRGSSGSVTLFIEILNKYLYFFEKGIPQITNTVIQDLIELIRTEKQSESTVADPSTEAFFSSTLRYIEFQKQKGGSIGEKYEQIKTSS >KQK89158 pep chromosome:Setaria_italica_v2.0:IX:21409527:21415920:1 gene:SETIT_036703mg transcript:KQK89158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSAGSRGGGGCGGLDAQIEQLMECRPLPETEVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGSANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCRNHTFIQFEPAPRRGEPDVTRRTPDYFL >KQK91663 pep chromosome:Setaria_italica_v2.0:IX:51106197:51108381:1 gene:SETIT_036079mg transcript:KQK91663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANSTQEPGAPAVQLLTVRVNAHASRCLSPPAELELELVLCCAVLCCAVPVVGAMDPYKYLKIRFNPDGSLSRYGEAPLLPAAPAGEPVAVAVATEGGEDADQGPRRIVVHSNDVPLSDAAGTGLRLFVPSGGHARLPLVVYFHGGGYVLFRAASEPFHNTCAALAAAAPAVVASVDYRLAPEHRLPAAFEDAADAVLWARPHAAAGRPVFVMGSHNGASIAFRAALAAVDAGVELRGVVLNQPHLGGAERTPAEAASVDDRVLPLPANDLLWELALPVGADRDHEYCNPESMLARVGAARLRRLPPCLVLGRRKDPPRDRTRTLVNALRKAGVAVEASLDGAGYHAMELFKANCAAEFTAQVSDFVRRHSTAGSSAAAGDVVVGMSKL >KQK86955 pep chromosome:Setaria_italica_v2.0:IX:4683325:4686414:1 gene:SETIT_035481mg transcript:KQK86955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRITMSYSGYVAQNLASSFGLRCTSAAAAGAGAGAAPGAGCRFIQDALSRPFCLFASSRRSDSHHDAEDHNHPKPSPKALPQAASAGGGHSLLLPRSSSTKAPVDEPPASLAVGLLSVFASGMGSTGGIAGASSLAASPSISAGFSPAALLPFLQATKWLPCSDIVTAATASRSSGRPAGASTAPASRAAGASTATAPRAAAPSPRPAPRRAVPLPGPAPVPSPAVAAPSKVGIQALVGSASIASGSAGIGSGAIASGAAGMVRKSGASLATGARVSRRAGWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPVLQALGYSSSDVFIKRVVAKGGDIVEVRDGNLLVNGVVQDEDFVLEPADYVMDPLSVPEGYVFVLGDNRNNSFDSHNWGPLPMKSILGRSVLRYWPPSKISDTIYEHDMAQFTVATS >KQK86954 pep chromosome:Setaria_italica_v2.0:IX:4683325:4685237:1 gene:SETIT_035481mg transcript:KQK86954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRITMSYSGYVAQNLASSFGLRCTSAAAAGAGAGAAPGAGCRFIQDALSRPFCLFASSRRSDSHHDAEDHNHPKPSPKALPQAASAGGGHSLLLPRSSSTKAPVDEPPASLAVGLLSVFASGMGSTGGIAGASSLAASPSISAGFSPAALLPFLQATKWLPCSDIVTAATASRSSGRPAGASTAPASRAAGASTATAPRAAAPSPRPAPRRAVPLPGPAPVPSPAVAAPSKVGIQALVGSASIASGSAGIGSGAIASGAAGMVRKSGASLATGARVSRRAGWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPVLQALGYSSSDVFIKRVVAKGGDIVEVSFYPNGSNLFMFSFNFTLLFNKQFWGLYDSFMICTHRHSLYLIGT >KQK86956 pep chromosome:Setaria_italica_v2.0:IX:4683596:4685077:1 gene:SETIT_035481mg transcript:KQK86956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRITMSYSGYVAQNLASSFGLRCTSAAAAGAGAGAAPGAGCRFIQDALSRPFCLFASSRRSDSHHDAEDHNHPKPSPKALPQAASAGGGHSLLLPRSSSTKAPVDEPPASLAVGLLSVFASGMGSTGGIAGASSLAASPSISAGFSPAALLPFLQATKWLPCSDIVTAATASRSSGRPAGASTAPASRAAGASTATAPRAAAPSPRPAPRRAVPLPGPAPVPSPAVAAPSKVGIQALVGSASIASGSAGIGSGAIASGAAGMVRKSGASLATGARVSRRAGWLSRWVSSCSDDAKTVFAAVTVPLLYRSSLAEPRSIPSKSMYPTFDVGDRILAEKVSYIFREPEILDIVIFRAPPVLQALGYSSSDVFIKRVVAKGGDIVEVSFYPNGT >KQK90910 pep chromosome:Setaria_italica_v2.0:IX:46548093:46551430:1 gene:SETIT_039547mg transcript:KQK90910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESPNLEDTGDHQPSSEASLASDVIYDDSPVPPCIGSAHQAEIPNLLTEDERHELMAGSLNGSTLHGYGYPIVVGLALPIMWASPSEVNKKEEELPMQNFPESTTRGSSSGDVQSQVTSACPINNNTSKCDPTFQDQHIVVPAVQTECDANQAHDDQMAPCPTQEGLSVTNYPTMKQIGTEQLNPLPYSPIALWTDLEAELFLLGLYIFGKNLNLLSRFLGTKTLGDVLSFYYGKFYKRDAYKRWSDCRKAKTRKCILGERIFQGWRQQELISRLKSKIPKEDHNSLIEVFKSFSDSQTSLKEFVFALKSIVGTEVFVEAVGVGKGKHDLTGFVMDQSKPNQALSVHSDLPTGKDCSSLASEDIIKFLTGDFRRSKTRSNDIFWEAVWPRLLAKGWHSEQPKDVSTTKNCLVFLVPGIKKFSRSKLTKGTHYFDSVSDVLKKVAADPVLLELEADGVGHGLTAEKNGSITDMKLNQDSPLDGYQELPKFTIIDTSLVEGEEPFNVRELRNLPADANISFVLSHHASNMVSYSSSEEEDASDRLSDDQEDHGRVTTEVKEIEMVSVGSLRNMVTANGHSSNGSGDKIDLTGIYGIKSKPEKRKYLSPVSKRRRLSTCSNEQSSRRSFSFSKGGGLEKEKSKPLSTSKPAAVDAGDAFQTKTIARCSTKEKPSKQKTDVSNSVTSDGQNERMVTENLIEDRSFEHKADPVAEIHSKITADETKYAKERAHVSGPINLNKLETPHVDKESVSIGTTSSEDQSGMKADEAPSISNSNMTRDLSEATGGPVAQQPEPALEANPRRHGTRNRPPTARALEAVAFGLLGSGKRKGDPKNMARSRPSQRARKATKDPVPTASG >KQK91790 pep chromosome:Setaria_italica_v2.0:IX:51808461:51811874:1 gene:SETIT_035868mg transcript:KQK91790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIALGTGGIKPCVSSFGADQFDDTDPAERTKKGSFFNWFYFCINMGAFISGTIIVWIQDNSGWGIGFAIPTISMALAIASFFAASNMYRFQKPGGSPLTRVCQVVVAAFRKQHAELPNDMSLLYEVDSQTSAIEGSRKLEHTNELKFLDRAAIVIAADVKSACTDPWKLCTVTQVEELKILIRMFPIWATTIMFFAVYAQNSSLFVEQGMVLDKRVGSFNIPPASLSTFDVISVIIWVPLYDRILVPIARKFTGREKGFSELQRMGIGLVLSTLAMVAAALVELKRLEIARSEGLIHEKDDVPMSILWQIPQYFLVGAAEVFTVIGQLEFFYDQGPDAMRSLCSAFALVTGSVGSYVSSIILTLVSYITTQGGGPGWIPDNLNEGHLDQFFWLIAGISFANLMVFLGCASRYRYKKVQ >KQK87796 pep chromosome:Setaria_italica_v2.0:IX:9417633:9418775:-1 gene:SETIT_037463mg transcript:KQK87796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKFGATCSRAAASLFSPRHRRLLLPSTPTPPRFLPFSHRLLPRTLSTIAMAAPNGAAATDAVHEPPQKISKIAPLLKVKKLSDKAVLPSRGSALAAGYDLSSAAEMVVPARGKALVPTDLSIAIPEGTYARVAPRSGLALKHSIDVGAGVIDADYRGPVGVILFNHSDVDFAVKPGDRIAQMIIEVIATPEVAEVEDLDATVRGEGGFGSTGV >KQK86106 pep chromosome:Setaria_italica_v2.0:IX:301489:304113:-1 gene:SETIT_034467mg transcript:KQK86106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTAASASASASASAFLFLSPPRSRSHLKPRPRPIATILCSSATSSSTRSLSEQLEPLTRTLLHDKPTPIAADRPTPEPTWVNPSRPKPTVLSLRRQRRRSPSAHPSSAPLQPLLRAIRALPEDADLAATLDAFFPQGSPPSPSDALLLLNYLHPSWRKSLSLLAWLRALPSAAFPLDTIFFNVALKSLRAARQWPHAERLALDMLDAGVPLDNITYSTLITAARRCRHFAKAVEWFERMYAADGVLPDEVTYSAVLDVYAQLGMKEEVLALFDRARGSGWKPDHVAFAVLAKMFGEAGDYEGIQFVFKEMQEVGIKPNIFVYNALLEALGKTGKPGLARNLFDEMTAQGVEPNARTLTALAKIYGRARWGRDALQLWEQMREMKLPADNILCNTLLSMCADVGLVAEAEQLFNEMKDPECRDVPKPDKWSYTAMINIYGSNGDTDQALQLFTEMLKGGIEPNIMSYTIVIQCLGKAQRIRQAVEVLEAGLEKGLKPDDRLCGCLLSVVALSSGEETEVVLSSLEKVNQNLVKLIRMLGEDQVGVDDLTKELKGVLNAAAPEVRRPYCNCLIDICQNHGFPPQRAREVFQLAHTYGLYSKLHSRKDGEWSLDLRSLSVGAAKTAFDDWMQTISELLVQQKALPESFSVYTGSSTHKFAQGLASAFATHLEQMAAPFRPSESHVGSFISSRDDLVSWLQMSSSSAVVAG >KQK92927 pep chromosome:Setaria_italica_v2.0:IX:57922723:57927129:-1 gene:SETIT_034899mg transcript:KQK92927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWRSIIPSCLIQEHARSVVHTADCSFSDVSGVALLCIAKEAELMFEFLRLEAPIDDELITQCTAIRLCALSLTNCTRDNSVSASAVMLGMVKEAEMMCSWMRKNNKPIDFSFYAAPSEMWDCNVIRRSTMEFMVNKLLKNSSAAKHKTVKEEPAAEHMTVKEEPAAEHMTVTEEPARSGPGGDGDNIAADGAANTTGGSQYKKGAEKSNKWKGGENFGGEKSNKRKRKEEDVLGKIWCWERLVIPPDSSVKWSDYRSYLEKYYESNASGFVAAAAAKNPQNVTDMGLAVAKFCLEMEEELLSVWNTQVLHRFGEPVAACAIVESSLIKEHALLICGTGAELDLPSAIAFVCITKEAELMCELLKHGAQPSSQFIQLSSVIRICALGLLKGHQSFASAAAMMGLANEAEEMCDWMKRENKLVTLSLPEQRGLEVSHLIRNTALDVMASILHESSFPSSKTPDDAMP >KQK92928 pep chromosome:Setaria_italica_v2.0:IX:57922723:57927242:-1 gene:SETIT_034899mg transcript:KQK92928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEEEEEEEEEEEESGKITKERPLPHIVKYHDLLEKLWGWDRLLDLGIAVPLSVYTTYLEEYHRHNVHAATTFTSLSAPAGTCLTNEKRLVSALKLRVETEQETSLMIWRSIIPSCLIQEHARSVVHTADCSFSDVSGVALLCIAKEAELMFEFLRLEAPIDDELITQCTAIRLCALSLTNCTRDNSVSASAVMLGMVKEAEMMCSWMRKNNKPIDFSFYAAPSEMWDCNVIRRSTMEFMVNKLLKNSSAAKHKTVKEEPAAEHMTVKEEPAAEHMTVTEEPARSGPGGDGDNIAADGAANTTGGSQYKKGAEKSNKWKGGENFGGEKSNKRKRKEEDVLGKIWCWERLVIPPDSSVKWSDYRSYLEKYYESNASGFVAAAAAKNPQNVTDMGLAVAKFCLEMEEELLSVWNTQVLHRFGEPVAACAIVESSLIKEHALLICGTGAELDLPSAIAFVCITKEAELMCELLKHGAQPSSQFIQLSSVIRICALGLLKGHQSFASAAAMMGLANEAEEMCDWMKRENKLVTLSLPEQRGLEVSHLIRNTALDVMASILHESSFPSSKTPDDAMP >KQK92929 pep chromosome:Setaria_italica_v2.0:IX:57924092:57927242:-1 gene:SETIT_034899mg transcript:KQK92929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEEEEEEEEEEEESGKITKERPLPHIVKYHDLLEKLWGWDRLLDLGIAVPLSVYTTYLEEYHRHNVHAATTFTSLSAPAGTCLTNEKRLVSALKLRVETEQETSLMIWRSIIPSCLIQEHARSVVHTADCSFSDVSGVALLCIAKEAELMFEFLRLEAPIDDELITQCTAIRLCALSLTNCTRDNSVSASAVMLGMVKEAEMMCSWMRKNNKPIDFSFYAAPSEMWDCNVIRRSTMEFMVNKLLKNSSAAKHKTVKEEPAAEHMTVKEEPAAEHMTVTEEPARSGPGGDGDNIAADGAANTTGGSQYKKGAEKSNKWKGGENFGGEKSNKRKRKEEDVLGKIWCWERLVIPPDSSVKWSDYRSYLEKYYESNASGFVAAAAAKNPQNVTDMGLAVAKFCLEMEEELLSVWNTQVLHRFGEPVAACAIVESSLIKEHALLICGTGAELDLPSAIAFVVCAPTLPEQTKQNMLFRIVPPECYSFLNPSD >KQK87622 pep chromosome:Setaria_italica_v2.0:IX:8273328:8277648:-1 gene:SETIT_036288mg transcript:KQK87622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFSMYRGNLHIGGRDSIAAAPRRWEPPRPTLTVKRFRRLLRNRSLAIARLAAEQQRRGSPSSAGADGGRGAAEHDGEARGEEEADRLEELVGQQPQQQHQDGEGEQQQQAEEGAGEEEQQQQQQALAEEVEQEEGAVEDADMNDAGEIVVVGDGNGDAEEGQGESEGVDANQEEVSYPDQIDEKKRELNEKLDILNKKKHDLVQMLKQVLNAEEEIRRRSMQASLRVAMPQPSENATDGSSISRLGPRMTVDVNFGDVAGDSDAGSNQGTPGRPLHHFHSISPSTASFVRSPFGSLQGHTPRSPATFSMASPSRFAASGHQGQHPGLHSASLPGGNYVASSPSPAASGGSSSVFRDPRPPSST >KQK91604 pep chromosome:Setaria_italica_v2.0:IX:50778631:50779130:1 gene:SETIT_040701mg transcript:KQK91604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSQQRTSIKELERPKVSLARSYLSELISSSSLAPFSV >KQK86399 pep chromosome:Setaria_italica_v2.0:IX:1649093:1651838:1 gene:SETIT_037466mg transcript:KQK86399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLHFLETSALEALNVEKAFQTILTDIHQIISKKALAAQEAAGSGPPGQGTTINVADSSTNTKKGCCSS >KQK86400 pep chromosome:Setaria_italica_v2.0:IX:1649114:1651838:1 gene:SETIT_037466mg transcript:KQK86400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIEGKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFENIQRWLRELRDHADSNIVIMMVGNKSDLNHLRSVPEEDGQALAEKEGLHFLETSALEALNVEKAFQTILTDIHQIISKKALAAQEAAGSGPPGQGTTINVADSSTNTKKGCCSS >KQK86477 pep chromosome:Setaria_italica_v2.0:IX:2116617:2120734:1 gene:SETIT_035834mg transcript:KQK86477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLSCKFADPDNVVSSSAAAAAAARRVRPDTMSCGSDGCRDGGSSGSDEFRRPRPSKVAADDSVAPARCSDAAAPARSSDAMAPASWIERKLLVDPKMLFVGDKIGEGAHGKVYKGKYGDQIVAIKVLNRGSTPEEKATLEARFIREVNMMCKVKHENLVKFIGACKEPLMVIVSELLPGMSLKNYLNSIRPSQLDTHTAIGYALDIAHAMDCLHANGIIHRDLKPDNLLLTANRKKLKLTDFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRRGEKKHYTNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFQQKRPTLPEDTPQELVFIVQSCWVEDPSMRPSFSQIIRMLETFLMTIPPPPPSEPNEDEESEETRSSLSSRSSSGSSLVSRATSKLSVVRHLFASKKAGNGKA >KQK90201 pep chromosome:Setaria_italica_v2.0:IX:40583853:40584754:-1 gene:SETIT_037288mg transcript:KQK90201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEDLKLLGLLVSPFVIRVRMALSTKGVSYEYVEQDLFNKSELLLKSNPVHKKVPVLIHNGKPLCESLVIVQYVDELFAGPSILPTDLYERATARFWAAFVDDKLCPAWFGIVMAKTEDERAEKVTETSAAIGQMEEAFAQCSKGKAFFGGDSIRYLDIVLGSFLFWFDAVRTMYGVEIISANKVPLLAAWAERFGESAEVKKVVPEVDVAVQYANKLLAAYAAAAAKRIGSD >KQK88036 pep chromosome:Setaria_italica_v2.0:IX:11050067:11053339:-1 gene:SETIT_0373932mg transcript:KQK88036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >KQK87844 pep chromosome:Setaria_italica_v2.0:IX:9739731:9744601:1 gene:SETIT_034539mg transcript:KQK87844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVYYQYKSEKNIYSMQVPHAFISVSELKQLIKTSDKHGRGRTRGRETEDIIISNAQTGEEYADERALVLQNTTVHVRRISIPGQLSEKIVLSPVREVTEGYSAPSNKSVVTDLNSKSCNSTGVQDEDAAIAAVIDAAELKLEQHPSKRGQGSGRHNYGHGPLEGETPPPGYVCRSCGIPGHFIQHCPQESKTPPPGYICYRCRIPGHFIHHCPTIGDSKFDNNKMSRSLAPVVTVSPVNGILEALVPAAPVSAADDLPAELHCRLCKKVMIDAVLTSKCCFDSFCDKCIRDYIITESKCICGVKTLADDLIPNQTLRSTISNMLGTRANSGGSGTTKHRSSSGSNPDPQLQSHIPSATSEREMKQSTNLQLSAASAPNDGLQVATEGDLVNQPLEKSPANVRTLRKDEGSSAEVSAEKAVASAEVLKVKDGSGLTSKITTVSGALEHNATRSNQLKKKRKKADSTKNVNPNIVNNVEYGYNVPFDPAYCNPFVSGYPWVPEPYMYSSMGMPYGGYPMDPYGVNPFNGMPLQALAMQGYPANYQRPETQPTHYHGSEAVAARPRLAERPKDTSRLPQSSERNRQLGSPHRSESRSRTRSSSERRDHGRSDRASDDYYENHSSRRRTRDPSPVYGDKQSSRRSRPSSRSLNREDSSDDERNFKRRWGRRSSVTVETRH >KQK92068 pep chromosome:Setaria_italica_v2.0:IX:53520284:53526146:-1 gene:SETIT_034053mg transcript:KQK92068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLKENFGGVQAKHSSEEALGRWRKVVGVVKNPKRRFRFTANLDKRSEVTAMKRKNHEKLRVAVLVSKAALQFVHSITPSGEYKVPADVKEAGFGICAEELSSIVEGHDLKKLKSHGGVESLASKLSTSESDGLATSADKLAKRQDLFGVNKFAEAESRGFWVFVWEALQDMTLMILAACAFFSLIVGIATEGWPKGAHDGLGIVASILLVVFVTASSDYRQSLQFKDLDKEKKKITVQVTRSGYRQKLSIYDLLAGDIVHLSIGDQVPADGLFLSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTESLFRRKINDGTYLSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKIKEVDGASDTKSLFSELPDSVMTMLSQSIFNNTGGDVVFNQDGKREILGTPTETAILEFGLSLGGDFSAVRKASTLVKVEPFNSAKKRMGVVIQLPEGALRAHCKGASEIILASCNKYLNEEGNVVPLDKATIDHLNATIDSFANEALRTLCLAYIEVQEGFSANDQIPADGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGIAIEGPDFRTKSEEELTQLIPKIQVMARSSPLDKHTLVKHLRTKLEEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNIMWRNILGQSLYQFLVIWYLQTEGKWLFGINGDNSDLVLNTLIFNCFVFCQVFNEVSSREMEKINVFEGILNNNVFVAVLSSTVIFQFIIIQFLGDFANTTPLTFNQWIACIFIGFIGMPIAAIVKMIPVGSS >KQK86437 pep chromosome:Setaria_italica_v2.0:IX:1875770:1877045:-1 gene:SETIT_037251mg transcript:KQK86437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYGVVPCPVHSSLSPKTGLTRPSRRQRYGAGALTARGPRQAYYGYDDQSSPSLPCLLLRSDLHPLASPKILSSSIHHPLGPHQPPPIPVSSMTKHPRDAAAGDVTLSLALSLGGLLPGEHGAKKPRRTGGGGGEGEFVCKTCSRAFASFQALGGHRTSHLRGRHGLALGMPTTATPGKQAAAAKDPKAPAPHAAASHQCHVCGLGFEMGQALGGHMRRHREEAAAAAQAPPVLLQLFV >KQK86957 pep chromosome:Setaria_italica_v2.0:IX:4686672:4690316:-1 gene:SETIT_035802mg transcript:KQK86957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLPLTSLVLLALLLLSAPSPSASASLSFLADSFNASVSTFADPELEDPAPEPTFLEEVIDAVSEKYDWDPDAEVRVWPLDADAVRVGAVQRYDFRARAGGVAALARFSDEAVEWRRPTSPAVEEVDGPDGIDVVPGDGAFGFSRGVRDVELVGPVELKLAGDEDGGLVELQLPSGNVTYTRLKKILVADGVGLEVIGAQKVSLSHPHSIGLLANGSLLSSSNNLSQIWPWSTTCAPLLQVGVVGSVVIVVHQTSVSGGHVKTELRSHDTMELLPDKCQVNVSNRLISACLFCSISPRLIKLERILKSWFSKRNMENSSMHFIEAKVTSIPLVKFRLELERDITEEDPIWKDVPEWKTLPIVQRVTLDIVARVEDDDRLKAISVKKVRQPFPIVDTTSWGSLTSNVSFTQFMSFVLPPEPLSLDVKW >KQK87109 pep chromosome:Setaria_italica_v2.0:IX:5584908:5585430:-1 gene:SETIT_040583mg transcript:KQK87109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNQFCIVRLLTCKNEIREYSSTVLYLSDFFPTRTTFIAYLCCFFL >KQK87846 pep chromosome:Setaria_italica_v2.0:IX:9747018:9748140:-1 gene:SETIT_037632mg transcript:KQK87846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTTYSGKNKQKEKERKTEALSSGTHTTSSLSVTPSRRTAHRPRTAHTMAAASASLLHLATPIGSLRLSLRLRRHPTARPVASRLAPPRAYKVTIEHGGESRVVEVEGDETILSRALDEGLDVPHDCKLGVCMTCPARLVSGEVDQSDGMLSDDVVAQGYALLCAAYPRSDCTIRVIPEDELLQVQLATADD >KQK90621 pep chromosome:Setaria_italica_v2.0:IX:44006470:44007643:-1 gene:SETIT_036960mg transcript:KQK90621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPSPPPPAPPLPPAPAPAKPAKKRPRASRRPPTTVLTTDTSNFRAMVQEFTGFPAPPFAPAPPPAVRPRLFGGPSSFLMRPSPLKYPVLLPPSTCTTTLANTAINASGGSSNNITASTSSLVDALALFAKSNAMPSGAGAAAAAAATSGGSGAADHHYHGIGMGGFNPFDDFDPPAAAAEGEGGDPGGGHGFFSSFATGGDKYGRH >KQK88654 pep chromosome:Setaria_italica_v2.0:IX:15807404:15810491:1 gene:SETIT_034870mg transcript:KQK88654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVTLLAHLAAGRFGRVVDLTAGGASTAAAAHRALHLLLRTAPLPPLPHLVSLARWSRAHFHAPLPLPLHALLLARLAGGGHYPLLRSEIHALVAARLHSPASILRALPAPSPSPSPSAPLITDMLVLALARDSQPLLAYEAFLLAGADYPRHRPSAHSVNALLAALVRADRVNLAERAFREALRRRVSPDLFTFNIVISGLCKIGQLRKAGDVAKDIRAWGLPPSVVTYNTLIDGYFKSRLAGKMYHVDVLLKEMIDAGLSPNVITFNVLINGYCKESNITAAVKVFEEMKRQGIAVDVVTYNSLVSGLCSEGKVEEGVKLVKEMEDLGLACTVSTLNSVLNGFCKNGMMVEAEDWIDGMAQNGIKPNVVTYNTLVNGYRRLGKMEEAVAVKEAMAGKGISPNVNTYNCLLAGITSSSDRRSVSGLLNEMKDKGVRADVVTYNVLIGALCCKGEVWNAVKLLDEMFVVGLEPKHLTYNTIINGFCEKGDIKAAHEIKNRMNKCKKRANVATYNVFLKYFCKMGKMEEANELLNEMLEKGRVPNGMTYEIINVGMVEKGFVPDIRGYAADISKTLTSS >KQK90972 pep chromosome:Setaria_italica_v2.0:IX:46915693:46918600:-1 gene:SETIT_035539mg transcript:KQK90972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQGARNFARRFSRLAAAAETAAAPAVPRMPAFDHVPLPYDGPSAAEIARKRAEYLSPSLFHFYSKPLNIVEGKRQYLYDEHGRRYLDAFAGIATVCCGHCHPDVVDAITAQAGRLQHSTVLYLNHAIADFAEALASKLPGDLKVVFFTNSGTEANELAILMARLYTGSHDIISLRNSYHGNAAGTMGATAQKNWKFNVIQTGVHHAVNPDPYRGAFGSDAEKYVRDVQEIIEFGTTGQVAGFISEAIQGVGGIVEVSPGYLPLAYETVRKAGGLCIADEVQAGFARVGSHFWGFETHGVVPDIVTMAKGIGNGIPLGAVVTTPEIAQVLTRRCYFNTFGGNPLCTAGGLAVLKVLEKEKLQENAFVVGSYLKDRLRGLQEKHEIIGDVRGTGFMLGVELVTDRQLKTPAKEEICHAMEHMKDMGVLVGKGGFYGNVFRITPPLCFTKEDADFFVEVMDVALSKL >KQK88805 pep chromosome:Setaria_italica_v2.0:IX:17298871:17301111:-1 gene:SETIT_035044mg transcript:KQK88805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNLAQSTAAAASVAPRTPRHAAAAPASVSVRKAGGAPPSSSGLRLQRQACCEPSVAPARPVACRAAAAAAERASRRRAGVPVFVMMPLDTVKKCGTALNRRKAVQASLAALKSAGVEGVMVDVWWGIAESDGPGRYNFAGYAELMEMARKTGLKVQAVMSFHQCGGNVGDSVNIPLPRWALEEMEKDQDLCYTDQWGRRNFEYVSLGCDAMPVLKGRTPVECYTDFMRAFRDHFADYLGNTIVEIQVGMGPAGELRYPSYPESNGTWRFPGIGAFQCNDRYMLSSLKAAAEAAGKPEWGHGGPTDAGSYNNWPEDTIFFRRENGGWSTEYGDFFLSWYSQMLMEHGDRILTGASSVFSASPVEVSVKVAGIHWHYGTRSHAPELTAGYYNTRHHDGYLPVARLLARHGAVLNFTCVEMRDHEQPQDAQCMPEALVRQVGAAARAAGVGLAGENALPRYDGAAHDQVVSTAAERAAEDRMVAFTYLRMGADLFHPDNWHRFAAFVRRMDGAGSCREAAEREARSVAQATGSLVHEAAVALRS >KQK89986 pep chromosome:Setaria_italica_v2.0:IX:38240657:38245572:1 gene:SETIT_034824mg transcript:KQK89986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILPETSSDGKALTDAWDYKGRPASRASTGGWGCAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADSYLGRYLTIAIFTAVQATGVMILTISTAAPGLRPPPCADAKGASPDCVPANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDEAHDGERSKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGVCAAGILVGLAVFLLGTRKYRFKKLVGSPLTQVAAVTVAAWNKRALPVPSDPDMLYDVDDAAAAGADVKGKQKLPHSKECRFLDHAAIVDGESPANASKWTLNTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMDRGIGGSGFLIPAGSLTVFLIGSILLTVPLYDRLIAPVVKRLTGNPHGLSPLQRVFVGLFLSVAGMAVAALIERHRQTTSKHGVTITVFLLMPQFVLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCAIGFFFSTLLVTIVGKVTGHDGHGGWLADNLNDGRLDYFYWLLGVISTINLVFFTLAAKGYVYKEKRLADAGIELADEEAIAVGH >KQK89985 pep chromosome:Setaria_italica_v2.0:IX:38240657:38245572:1 gene:SETIT_034824mg transcript:KQK89985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTISTAAPGLRPPPCADAKGASPDCVPANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDEAHDGERSKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGVCAAGILVGLAVFLLGTRKYRFKKLVGSPLTQVAAVTVAAWNKRALPVPSDPDMLYDVDDAAAAGADVKGKQKLPHSKECRFLDHAAIVDGESPANASKWTLNTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMDRGIGGSGFLIPAGSLTVFLIGSILLTVPLYDRLIAPVVKRLTGNPHGLSPLQRVFVGLFLSVAGMAVAALIERHRQTTSKHGVTITVFLLMPQFVLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCAIGFFFSTLLVTIVGKVTGHDGHGGWLADNLNDGRLDYFYWLLGVISTINLVFFTLAAKGYVYKEKRLADAGIELADEEAIAVGH >KQK89926 pep chromosome:Setaria_italica_v2.0:IX:37206774:37207625:1 gene:SETIT_039427mg transcript:KQK89926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELQETSSSSATTTSSCTTSCCSSTVTDSSSSPPSPAAANAAPAPRKRQAAEPEADAEVGGEEEEEEEFAGKATAAKKKRKRSSEGKHPTYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPDLAEVLPRAASAAPKDVQAAAALAAAFESPSSPSSEPGAGATEPCHAQDEPATKNAAAPGEAAADEAAVPPPASQSQPATPSSGVEEERQLFDLPDLLLDIRDGFGCFPPMWAPLPDVEEVNAELRLEEPLLWE >KQK90243 pep chromosome:Setaria_italica_v2.0:IX:40930260:40931681:1 gene:SETIT_040141mg transcript:KQK90243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVLTRTLAGTRLRPRQPTPHQPSPALHPAPGSSIHLSTTSPPPPHPPAMRATSLAPATAATSARWRPRQCRRQRSSDAIPAVPLGTALGPPALEACEVAPERVHTQPPPLVARRDVVPHEESGRGSWAGHTTTHLAYLVPAPYETSGSLFIAPPSLKSDLHTRIADRANPPFRFLCLAVSSTRRRPPPHASPTMANTVPQSGRAGGGRRWRAPTRGDLLTLLVAAMLCSASYCLGIWQNSRGAADSRVLGPSAAATVPTPSCGGGGGARAADANEPLEFEAHHAAESAGLSVSAVATRTTGARRALRGAGTAPASRAVARTGNPVPAGAEATAAGKR >KQK90312 pep chromosome:Setaria_italica_v2.0:IX:41540794:41541248:1 gene:SETIT_040612mg transcript:KQK90312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDSWPNYLCINFVFEYDLYSLSFDMQVYKSWVYLD >KQK90919 pep chromosome:Setaria_italica_v2.0:IX:46656958:46658618:1 gene:SETIT_036424mg transcript:KQK90919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHAAAAPFLLVLLLQLCSSGLALPSKFIDQTCPPERLPAVALLSNGGPFLCNPPAPRVPVAVFPHDVDPVQFALNLEYTEAEFFLHGAYGAGLDEAAPGLARGGPPPVGARKANLDEETRRVVSEFALQEVGHLRVIQQTVGGFPRPLLNLSADNFARVMDNAFGYRLNPPFDPYTNSLNFLLACYVIPYLGINGYVGTNPIIDGYKTKELVAGLLGVEAGQDAAFRTLLFRRRGEAVPPYNVTVAEFTDRVSALRNRLGRCGVKDEGLTVPRELGAEGAICTNVLSADRDSLSYSRTPAELLRILYLTGDEHVPGGFYPDGANGKIARSFLGKPRGANESPKN >KQK86850 pep chromosome:Setaria_italica_v2.0:IX:4118497:4119020:-1 gene:SETIT_040352mg transcript:KQK86850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTITLGYKGSSVVDMVRKLVIVHLEVELEFLIVALHASE >KQK92019 pep chromosome:Setaria_italica_v2.0:IX:53248887:53253406:-1 gene:SETIT_037745mg transcript:KQK92019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVEKALTKLGSFTISRKAKKELSSIGGDISRFSSTVEEKAKWVFEKLKGHKKSLPDLLREHNLPPGLFPRNIICYEYDESNSKLVVHLSKPCEVSFKDSSIIRYAPRVKATLSRGKLSGIEGMKTKVVVWVKVASVSLESYKSDKVCFIAGVKKLRQKDAYEVPREAVSVEEF >KQK92439 pep chromosome:Setaria_italica_v2.0:IX:55474910:55478816:1 gene:SETIT_034599mg transcript:KQK92439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARRLHRLPTATAAAKRSAGTLASSLGGIGARPSTAALAAAATAAAGAGRASECQSLLLRMSRRRGASRREIVSSLLASCPTTPQPQVFDLLIRTYTQSRKPREAFEAFRLLLDHRVPVPASASNALLAALSRAEWPHLAAEAYRLVLSSDSEVNAYTLNIMVHNYCKTLEFDKVDAVISEMEKRCVFPDVVTHNVMVDARFRAGDVDAAMAVVDSMANKGLKPGIVTYNSVLKGLCKHRRLDKAKEVFRAMDQCGVAADVWSFNILIGGFCRVGEVEEAVKFYKEMQQRGVTPDMVSFSCLIGLFSRRGKMDRAAEYLSKMRGSGLVPDGVIYTMVIGGFCRAGSMSEALRIRDEMVGCGCLPDVVTYNTLLSGLCKQRKLLDAEELLNEMKERGVTPDLCTFTTLIHGYCREGNIEKALQLFDTLLHQRLRPDVVTYNSLIDGMCRKGDLTKANELWDDMHALEIFPNHVTYSILIDSHCEKGQVEDAFRFLDEMVDKGIVPNIMTYNSIIKGYCRSGNVRKGQQFLQKMRQDNILPDLITFNTLIYGYVKEEKMDEAFNVFNIMEKEMVQPDVVTYNMLINGFSEHGNVQEAGWIFKKMGERGIEPDRYTYMSMINGHVAAGNSKEAFQLHDEMIHRGFAPDDKF >KQK92440 pep chromosome:Setaria_italica_v2.0:IX:55474910:55477715:1 gene:SETIT_034599mg transcript:KQK92440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARRLHRLPTATAAAKRSAGTLASSLGGIGARPSTAALAAAATAAAGAGRASECQSLLLRMSRRRGASRREIVSSLLASCPTTPQPQVFDLLIRTYTQSRKPREAFEAFRLLLDHRVPVPASASNALLAALSRAEWPHLAAEAYRLVLSSDSEVNAYTLNIMVHNYCKTLEFDKVDAVISEMEKRCVFPDVVTHNVMVDARFRAGDVDAAMAVVDSMANKGLKPGIVTYNSVLKGLCKHRRLDKAKEVFRAMDQCGVAADVWSFNILIGGFCRVGEVEEAVKFYKEMQQRGVTPDMVSFSCLIGLFSRRGKMDRAAEYLSKMRGSGLVPDGVIYTMVIGGFCRAGSMSEALRIRDEMVGCGCLPDVVTYNTLLSGLCKQRKLLDAEELLNEMKERGVTPDLCTFTTLIHGYCREGNIEKALQLFDTLLHQRLRPDVVTYNSLIDGMCRKGDLTKANELWDDMHALEIFPNHVTYSILIDSHCEKGQVEDAFRFLDEMVDKGIVPNIMTYNSIIKGYCRSGNVRKGQQFLQKMRQDNILPDLITFNTLIYGYVKEEKMDEAFNVFNIMEKEMVQPDVVTYNMLINGFSEHGNVQEAGWIFKKMGERGIEPDRYTYMSMINGHVAAGNSKEAFQLHDEMIHRGFAPDDKF >KQK86396 pep chromosome:Setaria_italica_v2.0:IX:1631163:1635974:1 gene:SETIT_034164mg transcript:KQK86396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSTATVASSSYHCDLLLFPTARRSWHGPRRSRGGAGARLAVLERAGTGGGLAVLERAGAGSGAGLAALERAGAATAAARREEVVPAGNGRNSYEVESLIDRLSNLPPRGSIARCLETARHRLTLQDFAAVYREFSRRGDWQRSLRLFKYMQRQSWCRPDEHIHAIVIGVLGRQGPALLDKCLEVFHDLPAESRTALSYTSLIAAYARNALHEEARALLDQMKAAGVAPTAATYNTVLAACARATDPPVPFDMLLGLFAEMRHDVSPSVRPDLTTYNTLLAAAAVRSLADQSEMLLRTMLEAGVSPDTVSYRHIVDAFASAGNLSRVAELFSEMMATGHTPDASAYLGLMEAHTHVGATAEAVAVLRQMQADGCAPTAATYRVLLDLYGRQGRFDGVRELFREMRTAVPPDTATYNVLFRVFGDGGFFKEVVELFHDMLQTGVEPDMVTCENVMAACGRGGLHEDAREVLEYMSREGMVPTADAYTGLVEALGHAAMYEEAYVAFNMMTEIGSLPTIETYNALANAYAKGGLFQEAEAIFSRMTNNAGIQKNKDSFDALIEAYCQGAQLDDAVKAYMEMRKSRFNPDERSLEGVLNAYCIAGVIDESKEQFEELQSSVAVPSIIAYCMMLSLYARNDRWTDAYDLLEEMKTNRASSTHQVIASLIKGEYDDSSNWQMVEYALENSTLEGCDYSIRFFNALLDVLWWFGQKARAARVLDQAVKFGLFPELYRDTKLVWSLDVHRMSVGGALVAVSVWLNKLYDRLKGDEDLPQLASVVVLRGEMEKSTITRGLPTAKVVYSFLNDTLSASFHFPKWNKGRIICLKSQLKKLQSAIDSSNGSATAGFIPMTNSHLPSPGSKIYTREAQADNGTGHLPDEPLVEEKESELLAL >KQK89590 pep chromosome:Setaria_italica_v2.0:IX:33545746:33548086:-1 gene:SETIT_039100mg transcript:KQK89590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQQLENGEEHINAQREGAKNKEIIEEEANESQELTEEQVDEFLHNEQLAASEGNNADIDSKYTSQIGMQFKDREDAHRFFCFYGFLAGFEVVTTHIYRTSSRKRNNEVYKVEMKCHRYGKESDQKQNEEEAEQEPMLVEDEPNEANAKEVEKRNTNVQIRTNCPVVVVMIAILSYLRGGILALPYKNKDVANYRTKINKEVKGNDMTKALEYFRQRKYENPTFFYEFSFDEEKKVKNIFWREGCSLKYYAEYGDCVSFDATYMTKRCNLPFAPFVGVTGHGRTYMFGCAFISDESTPSFAWIFETLLKSMGGKQPKTIITYQDKAMKAAIKMVFPNTVHRNCFFHIKYKCYNKNGEFEDIVNNSLTKQEFEFLWQKMIKDYGLQDNKYFNKMWEDRANFIPVWFKDNFYPFLQSTGRSEGSNARLKENVGPTYSIISFLKEFQRMVDATNIREDVEDKHSKEKRPKQLMYGYNVEKQAKRLKYKEIEKGKCFEVWPKTNQVYKPHRIRKYIVLTDLTEGRQEFSCICGKFNKDGILCAHILKVILEEEINQLLEKYIIDRWRKKDNKMNLQLREEVPKTNEMLRFNIQINSKASNNEEVMQYLSEELDRINYNLDLILSGVDNDEGQSNAANLDGIIELNNPQVKQKGRPALPKRLKPLIEEIKQKIIKQENKKIAKAKRR >KQK90110 pep chromosome:Setaria_italica_v2.0:IX:39753329:39754815:-1 gene:SETIT_040301mg transcript:KQK90110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRPPPLLSPSSPSADFHSSVPVPQRRFPVASSWAGTTALCFLESQSSASSSSKRRMRTCDSSTPRSSASCSTRRALPARYAGFSWRPEGIGCQFNPDGTWCLL >KQK87835 pep chromosome:Setaria_italica_v2.0:IX:9647662:9648273:1 gene:SETIT_039423mg transcript:KQK87835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLCLLAAAAADAATIVVTNKCGYTVWPAALPGGGTVLNTGDSWSIDVPAGTRNGRVWGRMGCGFIANGTLGQCQTGDCGGTLVFGKVGFSPVTLAEYSLGGGSGGGADYFEISLVHGFNAPMSFLPSGGGASRCASAGRRAPCRRSITFNCPFEQRAKAGCNNPCDGRSGSCGPNNGTEYLKKSSPQTITHPRGTSNTNLH >KQK92339 pep chromosome:Setaria_italica_v2.0:IX:54994488:54998864:1 gene:SETIT_035380mg transcript:KQK92339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTLSHPAAAAAAAAGSGKSLSPAFPAAQSARLPKTRPHAPAAVSAAAAAAVHADSAEDRVSALSQVSGVLGSQWGDEGKGKLVDVLAPRFDIVARCQGGANAGHTIYNAEGKKFALHLVPSGILHEGTLCVVGNGAVIHVPGFFGEIDGLESNGVRCDGRILVSDRAHLLFDLHQVVDGLREAELENSFIGTTKRGIGPCYSSKVTRNGLRVCDLRHMDTFGDKLDVLFKDAASRFQGFEYSKSMLKEEVERYKRFAERLEPFIADTVHVLNESIQQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVVGDLIGVVKAYTSRVGSGPFPTELFGEEGDRLRKAGMEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLSGLSEIKVGVSYNQADGQKLQSFPGDLDTLEQVQVNYEVLPGWQSDISSIRSYNELPQAARLYVERIEELVGVPVHYIGVGPGRDALIYK >KQK90061 pep chromosome:Setaria_italica_v2.0:IX:39248559:39249304:1 gene:SETIT_040024mg transcript:KQK90061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYAMISIGVLGFLIWAHHMFTVGLDVDTRAYFTAATMIIVVPTGIKIFSWIATMWGGSIQYKTPMLFVVGFIVLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYILSMGAIFALFARFYYWVGKIFGLSGMPRRIPDYPDAYDGWNALSSFDSYISVVGIRRFFVVVAITSSSGKNKRCAESPWAVEQNPTTLEWLVQSPRAFHTFGELPTINET >KQK86120 pep chromosome:Setaria_italica_v2.0:IX:365779:367036:-1 gene:SETIT_037417mg transcript:KQK86120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGTNHLPPPLPQNQNHISLHPSAMGTASDAPAGAAAAEDQQQQNPNPQQQQPAKRTLVFTYGTLKRGFSNHPLLQELSQGGDASFVGAAVTAPRLPLVCGPYRVPFLINLPGEGGHRVAGELYAVTPRGLARLDELEGVSRGHYERLPIAVDLAEGGCARVDAVAYYAHRDYAAELWRRSGEKGYPEYSHAVAHGYVRRKDRPQGQTFLEQIRIFVSSQS >KQK92576 pep chromosome:Setaria_italica_v2.0:IX:56195472:56198406:1 gene:SETIT_037298mg transcript:KQK92576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFTERERERERERGEQHRLLNLFFSPAYLQGRISRSGREERSGEFLFLRRMSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFRGPNESIYQGGVWRIRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGDAAALMMRDRPAYEQKVKEYCEKYAKPEDAGVVPEDKSSDEELSEEEDDSGDEEILGKPDP >KQK93084 pep chromosome:Setaria_italica_v2.0:IX:58683384:58686069:-1 gene:SETIT_037161mg transcript:KQK93084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDATSSHPSRYVKLTKDQDAPTEDIRPGELNQPVHVPQLEGRRCSECGQVLPESYEPPADEPWTTGIFGCTDDPETCRTGLFCPCVLFGRNVEALREDIPWTTPCVCHAVFVEGGITLAILTAIFHGADPRASFLIGEGLVFSWWLCATYTGIFRQELQRKYHLKNSPCDPCMVHCCLHWCANCQEHRERRGRLAENNAVPMTVVNPPPVQEMSMSENRAPAVPENGAANAEHEAAKTEHDDIEVIPL >KQK91967 pep chromosome:Setaria_italica_v2.0:IX:52959421:52964284:-1 gene:SETIT_034746mg transcript:KQK91967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLPRTAVAVAVYALVALTAAAAGGGEQPLSRIAIHRATVAPQPGAFVDASPALLGLQGKDREWVTVTYSNPKPSKDDWIGVFSPANFNDSICPSENQWVEAPLLCTAPIKFQFANYTSRDYGNTGKGSLRLQLINQREDFSFALFSGGLSNPKLIAHSKSVTFINPKAPVYPRLAQGKSWNEMTVTWTSGYGTNEATPFVRWGIQGQIQILSPAGTLTFSRNTMCGPPARTVGWRDPGFIHTSFLRDLWPNSMYTYQIGHRLFDGSIVWGHQYSFKAPPYPGEDSLQRVVIFGDMGKAEVDGSNEFNDFEPGSLNTTNQLIKDLKNFDVVFHIGDITYANGYLSQWDQFTAQVEPIASTVPYMVGSGNHERDWPGSGSFYGNLDSGGECGVPAQNMFYVPAENREQFWYSMDYGMFRFCIANTELDWRPGTEQYKFIEHCLSSVDRQKQPWLIFLAHRVLGYSSATFYADEGTTEEPMGRESLQSLWQKYKVDIAMYGHVHGYERTCPVYENVCVAKGSDRYTGAFTATTHVVVGGGGASLADYTAARARWSHARDRDFGFAKLTAFNHTTLLFEYKKSRDGSVHDHFTVSRDYRDVLACGVDNCPSTTLAS >KQK87322 pep chromosome:Setaria_italica_v2.0:IX:6655935:6657851:-1 gene:SETIT_037444mg transcript:KQK87322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESLLSRQQLQQPRPPWADEITTVSEGRRDDADADPLLRRIRSLTIAPPLLSSQSESEAESSLTDILVRKPSTSSAASGNLNPNVLAELFSMYREWQEENAKRISQKQEEIENKIETADALAVKLLQRFNYSLYSMRSTSHNLAEGNNGLLRLVLHLYT >KQK87321 pep chromosome:Setaria_italica_v2.0:IX:6655320:6657943:-1 gene:SETIT_037444mg transcript:KQK87321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASESLLSRQQLQQPRPPWADEITTVSEGRRDDADADPLLRRIRSLTIAPPLLSSQSESEAESSLTDILVRKPSTSSAASGNLNPNVLAELFSMYREWQEENAKRISQKQEEIENKIETADALAVKLLQRFNYSLYSMRSTSHNLAEVHPLQIEVGELKGRLTEVISNCDALCKRIAAEGPESLRSSVEPFTAGRAEAEGRGSLGSKQEPPVEKSNS >KQK89786 pep chromosome:Setaria_italica_v2.0:IX:35921257:35924303:1 gene:SETIT_035718mg transcript:KQK89786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSYSKPADQLERQVRQGLAAVQTGTRAGRRGQVLLSGPALRLVGSAAQRRHCTEKHHGSRGSAAAVFPFRSTRHGQREWCASRRHLWWMAPVVCTAPGAPCASAPDPFVNGRRGRETNRLVVAIPSPFPLCFASPANISSSLPSRPVASPPPHAKRSRNRRSLRPPLLGRLPFACERKEKEGPSWTRLEASRGREEMAIDHESPFKELRLKNRRIMGGGGPEPEEEAASAAYGDQWPRWLQPLLSARFFAHCKTHSDSHRSGECNMFCLDCSAAAGTGALCSLCLAHGHRDHHTIQIRRSSYHDVIRVSDIQRFMDIAGVQTYVINSARVVFLNERPQQQKPGCGGKAASASANLCEVCARSLLDNFRFCSLGCKVVGCAPDAAKARSWLLRPASDGNASSSALRNADKKQSFSPPTPPTLPTKRRKGIPHRAPFGSLIVEY >KQK92470 pep chromosome:Setaria_italica_v2.0:IX:55680014:55682141:1 gene:SETIT_035907mg transcript:KQK92470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGRWLKSFLSGKKDRPHADAMAMAAAAPPASGAPKDKRWSFRRAAQEGRAAEATPAAGRGGTDDGLGLSAADIEFDQKKHAVAVAVATAAAADAAVAAAHAAAAVARLSSRRANLPPASLAEDAAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLLRKQANATLRCMQALLTAQSHLRAQRMRVLQEHHHPPPPPPRPRQSPQHPRHRRSYEMDRSCEENAKIVEMDIGEPVRRGAAKDRQLLVEHHGRCSPAPSAMTELSPRAYSGHFDEFSVATARSSPQHASSSASEACPSYMANTESSRAKARSQSAPRQRTDALERQPSRRKGTPPRGAKMQRSSSLAGAAPRGGGGQSSPWPAGLRLDASSASLKDSECGSTSSVLTAATVYSRTRSLVGFEVRRGLY >KQK92469 pep chromosome:Setaria_italica_v2.0:IX:55680014:55682141:1 gene:SETIT_035907mg transcript:KQK92469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGRWLKSFLSGKKDRPHADAMAMAAAAPPASGAPKDKRWSFRRAAQEGRAAEATPAAGRGGTDDGLGLSAADIEFDQKKHAVAVAVATAAAADAAVAAAHAAAAVARLSSRRANLPPASLAEDAAAVRIQATFRGYLARTALCALRGIVKLQALVRGQLLRKQANATLRCMQALLTAQSHLRAQRMRVLQEHHHPPPPPPRPRQSPQHPRHRRSYEMDRSCEENAKIVEMDIGEPVRRGAAKDRQLLVEHHGRCSPAPSAMTELSPRAYSGHFDEFSVATARSSPQHASSSASEACPSYMANTESSRAKARSQSAPRQRTDALERQPSRRKGTPPRGAKMQRSSSLAGAAPRGGGGQSSPWPAGLRLDASSASLKDSECGSTSSVLTAATVYSRTRSLVGFEVRRGLY >KQK90839 pep chromosome:Setaria_italica_v2.0:IX:46101646:46102151:1 gene:SETIT_038371mg transcript:KQK90839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRSLVNSRGCSRSSREGGLKVEVKGNSGITVTGCERGRRECAQSMPYLCPYLFSFTSLIDLCQLSPERTD >KQK90953 pep chromosome:Setaria_italica_v2.0:IX:46786165:46787775:-1 gene:SETIT_038802mg transcript:KQK90953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRWSQPPSTAAHSTAAVQVRVHVLRQLGKPVAAARDGVPDDEVVPRTAGPEIIPGSRGVEEDAAVARVDGAPTAGDGVGQGRRGGGHEAGNPVVGAEEPQHRGRAVELPEPAAEAGVGDEAEPALADEGSVNEELGLFRGESEEDLVDEIIRQLRRRHGQTDAGGGARVGPRRRRE >KQK87915 pep chromosome:Setaria_italica_v2.0:IX:10154311:10154826:-1 gene:SETIT_039853mg transcript:KQK87915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSDAPPYEQAGTLSYKAPEMMLEMPVYDARVDAWSLGCVMAEIINNGGLPLQGDGEDGQLRAIFDVLGVPDDETWPEFSSTPFAAKVVPELQVVHRKNCLRELFPEAALSKEGFEVLDGLLTCNPGKRLTADAALKQQWFAKVDALELPRKDEVASALPGKKKLRMVPAA >KQK92941 pep chromosome:Setaria_italica_v2.0:IX:57988757:57991265:1 gene:SETIT_037178mg transcript:KQK92941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQAGTAQDVDKSTTIARKVFRLLKWVNDLHALISPAAKGTPLTLVLLGKSKNALLSTFLFLDQFVWLGRTGIIKNKEATDRVGRISLYCWMASSVCASLVELGELKRLSKSMRKLARELRDTDKYENEQYQNKMKQSDERLLAVVKAAMDVVVAIGLLQLAPKKITPRVTGAFGFITSLISCYQQLPSRAPVAKVKV >KQK92940 pep chromosome:Setaria_italica_v2.0:IX:57988757:57991265:1 gene:SETIT_037178mg transcript:KQK92940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQAGTAQDVDKSTTIARKVFRLLKWVNDLHALISPAAKGTPLTLVLLGKSKNALLSTFLFLDQFVWLGRTGIIKNKEATDRVGRISLYCWMASSVCASLVELGELKRLSKSMRKLARELRDTDKYENEQYQNKMKQSDERLLAVVKAAMDVVVAIGLLQLAPKKITPRVTGAFGFITSLISCYQQLPSRAPVAKVKV >KQK92943 pep chromosome:Setaria_italica_v2.0:IX:57988757:57991265:1 gene:SETIT_037178mg transcript:KQK92943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQAGTAQDVDKSTTIARKVFRLLKWVNDLHALISPAAKGTPLTLVLLGKSKNALLSTFLFLDQFVWLGRTGIIKNKEATDRVGRISLYCWMASSVCASLVELGELKRLSKSMRKLARELRDTDKYENEQYQNKMKQSDERLLAVVKAAMDVVVAIGLLQLAPKKITPRVTGAFGFITSLISCYQVVTSGLCLGVVVHVFFRNGSAMLNW >KQK92944 pep chromosome:Setaria_italica_v2.0:IX:57988757:57991265:1 gene:SETIT_037178mg transcript:KQK92944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQAGTAQDVDKSTTIARKVFRLLKWVNDLHALISPAAKGTPLTLVLLGKSKNALLSTFLFLDQFVWLGRTGIIKNKEATDRVGRISLYCWMASSVCASLVELGELKRLSKSMRKLARELRDTDKYENEQYQNKMKQSDERLLAVVKAAMDVVVAIGLLQLAPKKITPRVTGAFGFITSLISCYQQLPSRAPVAKVKV >KQK92942 pep chromosome:Setaria_italica_v2.0:IX:57988757:57991265:1 gene:SETIT_037178mg transcript:KQK92942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDATRAELGLVVLYLNKAEARDKICRAIQYGSKFISNGQAGTAQDVDKSTTIARKVFRLLKWVNDLHALISPAAKGTPLTLVLLGKSKNALLSTFLFLDQFVWLGRTGIIKNKEATDRVGRISLYCWMASSVCASLVELGELKRLSKSMRKLARELRDTDKYENEQYQNKMKQSDERLLAVVKAAMDVVVAIGLLQLAPKKITPRVTGAFGFITSLISCYQQLPSRAPVAKVKV >KQK92483 pep chromosome:Setaria_italica_v2.0:IX:55753452:55760899:-1 gene:SETIT_034063mg transcript:KQK92483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLFKSKIRWQHRSNDPASPAGQPQQGQGSQQPSSPSPASSPSGTGAASALSVSTASSSPPSAAATPTGAAGAGAGAGGGEDYISSEEEFQMQLAMALSASSNGDYVGDLDGDQIRKAKLMSLDRFSAHRDEGHTADSLSRRYWDYNFLDYHEKVVDGFYDIFGSSMESSRQGKMPSLADLQTGIGDLGFEVIVINRAIDSTLQEMEQVAQCILLDFPVANIALLVQRIAELVTDNMGGPVKDANDMLTRWLEKSTELRTSLQTSLLPIGCIKIGLSRHRALLFKILADRVGIPCKLVKGSNYTGGDDDDAINIIKMDNEREFLVDLMAAPGALIPADILSWKGNSLNSNRKLSLNRTAGPSSSIDANLDPSALPPEPKGGQLPLFSSDDWILDSKSGYETAAVAASSQTSSSGTSSVPAGSVFDSSWTLVSHEQSDGPSTSAGTSSQEKVVLQGEHPRNLNRLPDLQENPESRNLFADLNPFGGIESKKTSVAFKGPDNRNNELQKRRENVAPSGGRPQQRLVMKNWSPYNDVSNNKQYNYVEDSFARRNVGNNAASPSSSQMPRPATRNSNLNAGLRNDTSYVAQPHNYDNIMIGTSAMKITSTAETGKVPERVLHGGLDKVPTNSRLEDQHGLVQLPQERLPWDNPAEGRVPMNRVQNQAKQHMENLDSKQDHKKLLPDPKKSPLDRFMDTSTPSRNMDVRSQRLDFDDVSECEIPWEDLVIGERIGLGSYGEVYRADWNGTEVAVKKFLDQDFYGDALDEFRSEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLYKILHRPNCLIDEKRRIKMALDVAKGMNCLHTSVPTIVHRDLKSPNLLVDNNWNVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRMPWSGMNPMQVVGAVGFQDRRLDIPKEVDPLVARIIYECWQKDPNLRPSFSQLTSALKTVQRLVTPSHQETQSPPVHQEISVNSTP >KQK86828 pep chromosome:Setaria_italica_v2.0:IX:3975885:3977776:-1 gene:SETIT_037273mg transcript:KQK86828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWWAVPTIWLPVVCYFFVKSILMGHTIQEVVLIALFGIFIWTLIEYTLHRFLFHIETKTYWSNTAHYLLHGCHHKHPMDSLRLVFPPTATAILCFPFWNLVAFFATPSTTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLNHHFRIQDKGFGITSTLWDTIFGTLPPSATTGKKN >KQK86827 pep chromosome:Setaria_italica_v2.0:IX:3975885:3978475:-1 gene:SETIT_037273mg transcript:KQK86827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQEFTVDLNKPLVFQVGHLEERYQEWVHQPIVSKEGPRFFGNDVLEFLTRTKWWAVPTIWLPVVCYFFVKSILMGHTIQEVVLIALFGIFIWTLIEYTLHRFLFHIETKTYWSNTAHYLLHGCHHKHPMDSLRLVFPPTATAILCFPFWNLVAFFATPSTTPALFGGGLLGYVMYDCTHYYLHHGQPSKDPAKHLKRYHLNHHFRIQDKGFGITSTLWDTIFGTLPPSATTGKKN >KQK87107 pep chromosome:Setaria_italica_v2.0:IX:5571850:5572993:-1 gene:SETIT_037562mg transcript:KQK87107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEEYRCFVGNLSWSTTEESLRDAFGKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDSDRDRGSRYDRGRDYGGGGGGRAPRGGGGGGGGDCFKCGKPGHFARECPSGDDGRGDRYGGRDDRYGGGGSGRYGSDRGGDRYSGRSRDGGSYGGDRYNRDRSGPY >KQK87108 pep chromosome:Setaria_italica_v2.0:IX:5570284:5572993:-1 gene:SETIT_037562mg transcript:KQK87108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEEYRCFVGNLSWSTTEESLRDAFGKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDSDRDRGSRYDRGRDYGGGGGGRAPRGGGGGGGGDCFKCGKPGHFARECPSGDDGRGDRYGGRDDRYGGGGSGRYGSDRGGDRYSGRSRDGGSYGGDRYNRDRSGPY >KQK87106 pep chromosome:Setaria_italica_v2.0:IX:5570284:5573855:-1 gene:SETIT_037562mg transcript:KQK87106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEEYRCFVGNLSWSTTEESLRDAFGKFGNLTEAKVVLDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGLDLDGRNITVDKAQPQGPGRDRNGDRDSDRDRGSRYDRGRDYGGGGGGRAPRGGGGGGGGDCFKCGKPGHFARECPSGDDGRGDRYGGRDDRYGGGGSGRYGSDRGGDRYSGRSRDGGSYGGDRYNRDRSGPY >KQK86150 pep chromosome:Setaria_italica_v2.0:IX:476009:476970:-1 gene:SETIT_037775mg transcript:KQK86150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATASRPLAGAYVPLPLPARGLPSRVALLPRAPPAVQGLTLDRPAQLSVRARGRSVRCLAGLSPEMRATLDKVVGSSKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVGGQPNLLLIVVERGRVVP >KQK86151 pep chromosome:Setaria_italica_v2.0:IX:474785:477049:-1 gene:SETIT_037775mg transcript:KQK86151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASATASRPLAGAYVPLPLPARGLPSRVALLPRAPPAVQGLTLDRPAQLSVRARGRSVRCLAGLSPEMRATLDKVVGSSKVVLFMKGTKDFPQCGFSHTVVQILRSLDVPFETLDVLANEALRQGLKEYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQETLEKAMCS >KQK92729 pep chromosome:Setaria_italica_v2.0:IX:56980289:56982210:-1 gene:SETIT_036649mg transcript:KQK92729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMAVPVRLASASSSLSLLLLVLLPLLASACDRCVHHSKAAYYASSLTLAGGSCGYGAEAASMGGGFLAAASPALYRAGVGCGACFQVRCKDRKLCAAAGARVVVTDRARTNRTDLVLSSPAFAAMARPGMAARLAKRRTVDVEYKRVPCEYKHRNLSVRVEEKSRAPSELTIRFLYQGGQTDIVAVDVAQVGSSNWKFMTRDYGPAWSTSQAPPGPLQLRVVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQIADIAQEGCFPCDTHEWE >KQK92731 pep chromosome:Setaria_italica_v2.0:IX:56980836:56981529:-1 gene:SETIT_036649mg transcript:KQK92731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGMAARLAKRRTVDVEYKRWVGKVKHNPGDEINRLFFLSFFLSPTAAPCELETGRRAQFCQRCAMCRVPCEYKHRNLSVRVEEKSRAPSELTIRFLYQGGQTDIVAVDVAQVGSSNWKFMTRDYGPAWSTSQAPPGPLQLRVVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQIADIAQEGCFPCDTHEWE >KQK92730 pep chromosome:Setaria_italica_v2.0:IX:56980836:56982115:-1 gene:SETIT_036649mg transcript:KQK92730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMAVPVRLASASSSLSLLLLVLLPLLASACDRCVHHSKAAYYASSLTLAGGSCGYGAEAASMGGGFLAAASPALYRAGVGCGACFQVRCKDRKLCAAAGARVVVTDRARTNRTDLVLSSPAFAAMARPGMAARLAKRRTVDVEYKRWVGKVKHNPGDEINRLFFLSFFLSPTAAPCELETGRRAQFCQRCAMCRVPCEYKHRNLSVRVEEKSRAPSELTIRFLYQGGQTDIVAVDVAQVGSSNWKFMTRDYGPAWSTSQAPPGPLQLRVVVTGGYDGKWVWADREVLPRRWRAGEVYDTGVQIADIAQEGCFPCDTHEWE >KQK91181 pep chromosome:Setaria_italica_v2.0:IX:48326672:48330023:1 gene:SETIT_036278mg transcript:KQK91181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVAVLVAAAALLAAAAAHEHHGEAPTCSGGSARVLAEFRPGEVTVDGHSDDWDGVEASEFALLPALDPDEDKAYSGGKVAVKAVHDGVNVFFMLQVDGAYAYSKGKSSKCPSVALMFQVGDNATYYNMGGCKDMPGSCTSKSCRGHEVDIMHFEIGNAIPGRLYGGNHIDNAAGNGGDRFGHLVDVYAWNPHCRYLDGLGPKENNSNAQNDWHGAWWHSSLTVHSGFVDDDNPYGKQDEKGTYYFEFSRPLRTMDQFQQDAQFTIGQPSNMAVAFWYPTDGKEWSDSEHYSSSCNWLILDIQPSSEATYYRPAPNRSWDAVTGFALLLSVVAICLSIFVGHRVSKNRSAAQFTPLEQIQFAEERH >KQK86132 pep chromosome:Setaria_italica_v2.0:IX:414183:417969:-1 gene:SETIT_039302mg transcript:KQK86132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKATPVKPAPPSTQRNGSATPGSKGRAKQASEEASAASAKARSAPKPRKITKEKPAAAKKKRDKPEPQEGSKRKKQQASGDAATPAKKRKKGDGPEPKPRKEPKPAKKQQPSGKPEKPATPSRKQQPSGKPEKPATPSKKQQQSSGKPEKPATPAKKQQSPGKPEKPGTPAKKKQSTGKADKPAPTPKKQQQSPGKVRKSAAPATSPMKKREKPTPTKRKRGDDEPPKELRSPKRASGDGDVPASTPVKKRKDQKAAAAGMGSCSFPMARVRQLMRAEDATIRAANEAVFLINKASELFLGKFAEDAYRNALKDPTAVCTQKGLKFLSDFVPQRVTAEDALKATADNKS >KQK91989 pep chromosome:Setaria_italica_v2.0:IX:53111785:53112147:-1 gene:SETIT_039813mg transcript:KQK91989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQGMFPDDDVKLRGAGRATTTTEASGGHGRGGQPRGTERDGGGGGGMGVVAVGGQRGALYDSFELNAMVARLNRLLNGDGAGGRGVARRPRRAAGSWLAAPKALFRKIKGAFVGGRWGDG >KQK88362 pep chromosome:Setaria_italica_v2.0:IX:13589759:13590520:1 gene:SETIT_040636mg transcript:KQK88362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQHGGGGGGGGGGGGGGPAQQFGAQQVEMPPPFSPAGGAGQRISLAEAPSPISSRPPAPAQQQYDELGVSSAGAGGFDAEGLAAAAAGEEGASGGSAGNRWPRQETLELLKIRSEMDAAFRDATLKGPPLGAGL >KQK87064 pep chromosome:Setaria_italica_v2.0:IX:5388851:5389887:1 gene:SETIT_038527mg transcript:KQK87064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGSKPITSAPWCWARAYQLVSLKKAHGNHAQLTSPSITR >KQK87063 pep chromosome:Setaria_italica_v2.0:IX:5388851:5389887:1 gene:SETIT_038527mg transcript:KQK87063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGSKPITSAPWCWARAYQLVSLKKAHGNHAQLTSPSITR >KQK92347 pep chromosome:Setaria_italica_v2.0:IX:55044088:55045620:-1 gene:SETIT_039035mg transcript:KQK92347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CAKYNKHKSRLTKLSKAQPRAQNDWYCSPRRTETHTRFHPHQIESNRLKTPTPPLEQAPTRRPPLLQIKSSRTKHTHRRSSVPSPTNHSPPPPPPIVAMSGRPPHLPGPVTTTEGQNPLIPMFQLAPVVAAVPSPTNHSPPPPPGTIVATSERPPHLPRPVIATGGQNPLIPPFHPAPAAAAVPSPTNHSPPPLPPGTIVAMSERPPHLLRPVIATRGQNPLIPPFHPAPAPNLYGAAVIPPRPHAPPPSAASGDPTLQFRAPLPRPADALLHSVQNAQSSSFHPPQGYSAMPQHGTRAPSQPLQQNWRPQILREPQGGSIVPPCGAWASQPLPRKRRLEDGECSDSKRIKKVTMQVTFIPVLDFLDKYPPIEPYEFKVGSTVGSIPEPQTLETTKTGASVVDGYVELFEDTHAKNKSWGGDFDVTDMLVSEEPPFCVTIKEPKLEASISNSNKDLDQLVTVLLKPRYGENGKRPAYVDDFIARVKNIRKSKVSAAAKTYVDHQEPPSVG >KQK88824 pep chromosome:Setaria_italica_v2.0:IX:17430391:17431165:-1 gene:SETIT_039320mg transcript:KQK88824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIDLGRGGSRGAGAGAGGAPAKPRLVMLVADPCRESTAAMEWALSHAIVEGDDILLLHVNMPHPHNGAAGGHVPSRSSSGGSAGFPIAAFLGGGGAGAGAGADGGGDFMEAMRGACKARYPRARVHGERVEPATEGREAKAQTILAESQRRRVELLVIGHRRVSSFLGLRSSSGSSRGHDSTAEFLIEHSKCLCVSVQKKGQNAGYLLNTKTHKNFWLLA >KQK86611 pep chromosome:Setaria_italica_v2.0:IX:2903639:2905552:-1 gene:SETIT_035127mg transcript:KQK86611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPLTLSSTALLRLIKSLSPAAGPGTHLTALAIHCLLFKEGLLHAGAHLPTALLSAYAALGRPRHARDLFDEMPERGLVARTAMARAHAASGQATQALAVFGGMLADGVLPDNVALAVALTACHGVGLSSAAARKPGKMVHALIVTSGIVPDVFVSTELIRVYGECGELSVSRRVFDEMPVRSTVSWNAMVHQYVRHSNVGAAYKLFLAMPRRDVVSWNTMIAGYCLVGQCREALGLFRQMVSPSSCPVRPNGPTMSTVLAACAGAGCLETGIWVHAYIDRNRLNDDGSLDRSLIDMYAKCGSIEKALQVFEKAPGKRDLYSWTTVICGLAMHGRASDALRMFDAMQDNGIRPDDVTLVGVLNACAHGGLVDEGLCHFYSMEEKYAIVPKIEHHGCIIDLLGRVGRLQEAYNMIRTMPMKPNAVIWGAFLNACKVHSNVELGEIAAAEVSRLDPNDPWARVMLSSMYAKAQDWSSLARERKEMNSLQMKKTPGCSSIELDGEVHEFVAGGFQHPQLGEICTILENIEAG >KQK90599 pep chromosome:Setaria_italica_v2.0:IX:43966902:43970039:1 gene:SETIT_037330mg transcript:KQK90599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRLGRRVIHFANLPLKLMLPPAPLSSVQEFAVRTVPSASKVDIRRCLESMYGFSVAEVRTLNMEGKKLRRGPYLAAKPDYKKAYVTLRAPLTVSPDLFPIGVILGERERKASAAAARRKAVEGAEAEGQREGKGKHWMEDDREGFSRAGCGKVVYGNPGRLDQRRRGRAKAKEGAGEEGSKFPWSGMQLATEKPRRVRHSPPKKKVGIALKQKSRKVSLQRRSKKKLEA >KQK87919 pep chromosome:Setaria_italica_v2.0:IX:10175129:10178824:-1 gene:SETIT_035859mg transcript:KQK87919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTKYYEILGVPKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGHVDPFDIFSSFFGPSFGGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGTSKKLSLSRNVICSKCKGKGSKSGASMRCPGCQGSGMKVTIRQLGPSMIQQMQTACNECKGTGESINEKDRCPGCKGEKVVQEKKVLEVHVEKGMQHGQKITFPGEADEAPDTTTGDIVFVLQQKDHSKFKRKGDDLFYEHTLSLTEALCGFQFVLTHLDNRQLLIKSNPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVEFPDSLAPEQCKALEAVLPPKPTSKLTDMEIDECEETTMHDVNNIEEEMRRKQAHAAQEAYEEDDDMPGGAQRVQCAQQ >KQK92320 pep chromosome:Setaria_italica_v2.0:IX:54898039:54899623:-1 gene:SETIT_037247mg transcript:KQK92320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNADPPQHHVSTPPLLLAVRHIPFPGVHRPRALPAPDVLTPIARRLEELASAAAAHPLLKPLFAVHSHLSSFSQSRRLLVAARRDALLSGEHCFAAVLGDSVAGVVVANGINNFLSLYNTVLVVRLVLTWFPNTPPAIVAPLSTICDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTAAALPAELPDSAAAPEHHRHSSAATSSCSAPLDLTANQRKWMQRMQSRKSQGDGDH >KQK91819 pep chromosome:Setaria_italica_v2.0:IX:51986468:51989866:-1 gene:SETIT_035422mg transcript:KQK91819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGPASASAAAADAGGGAPADGGDRNALLGMPVPVAAGAAAALAALIVLAAVAAAVYFARRRGARPPSLSRVEHAPSSTSGSGSSRPASSARKDKAGGADRAAGTGAGASSSDVASSSAAASSLESPVKRKPEAVRVVAGGAAAGVEMGWGRWYELAELEVATGGFCAENVVGEGGYGTVYRGVLAGGEVVAVKDLFDHKGQAEKEFKVEVEAIGKVRHKHLVGLIGYCAEGPKRMLLYEFVENGNLEQWLHGDVGPVSPLTWDIRMKIAIGTAKGIAYLHEGLEPKVVHRDIKSSNILLDKKWNPKVSDFGMAKVLGSGSSYVTTRVMGTFGYVAPEYASTGMLNESSDVYSFGVLLMELVSGRSPVDYNRPAGEVNLVEWFRGMVGGRRVEDLVDPRVAAPPPPRVLNRVLLVCLRCIDADAHKRPRMGQIVHMLEGDEFPFRTEHRSPRASHRTSTGTRPSLLSENVAAADDSDKSMWR >KQK91852 pep chromosome:Setaria_italica_v2.0:IX:52308145:52310237:-1 gene:SETIT_035597mg transcript:KQK91852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQYQELPCGGQVLDIDTALKDGILGGALEPGDAGAGDAGKQPVELRKMMDELDAAGDGGGDEAVPAVFICPISLEPMVDPVTLCTGQTYERANISRWLALGHRTCPTTMQELWDDALTPNATLRQLIAAWFSRRYTRFKKRSADFHGRAADLVHGLRGTAVPRRQPLKGQARIAALRELRTLAATHQSVTKAIAEAGGVALLTSLLGPFTSHAVGTEAVAILVSGVTLDADAKAALMQPAKVSLVVDMLNEGAADTKINCVRLIRILMDERGFRPETMASLSLLVGVMRLIRDKRHPDGVVAGLELLNSICAVHRPARGMIVSIGAVPQLVELLPELATECVEPALDILDALSAIPEGRVALKDCPRTIPNAVRLLMRVSEACTRRSLSMLWTVCRMAPEECAPAAVEAGLAAKLLLVIQSGCAPELKQKASELLKLCRLNYTDTLFISKCKLTRTIQ >KQK87676 pep chromosome:Setaria_italica_v2.0:IX:8630110:8631891:1 gene:SETIT_035552mg transcript:KQK87676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLTLTAAADSLHPPECGVGETCEKATSYQFAVLFIAFAFLVLGSAGIRPCSMPFGADQFDPNTESGKRGINSFFNWYYFTFTAAMMISATVIIYVQSNLSWPIGLGIPTGLMFLACVLFFMGTKLYVRVTPEGSPFTSVVQVLAAAFKKRSLKQPKDPKQDLFNPPHTSAIVTKLAHTDQFRCLDKAAIVASTEEVRPGGAAPADPWRLCSVQQVEEVKCLIRIAPVWSTGIIYYVAVVQQSTYVVLSALQSDRHLGRGSFEIPAASFTVFAMLAQTLWIPLYDRILLPKLRKMTGKEEGFTLLQRQGIGIALSTVAMVISAIVEDRRRAIALSQPTLGKTMMGGDISAMSSLWMVPQLMILGLSEAFNLISQIEFYYKEIPEHMRSVAGALAFCNLALGNYLSGFLVTIVHRTTGSGRNWLAQDLNKGRLDLFYWTIAGIGVFNLIYFLICARWYRFKGASN >KQK87430 pep chromosome:Setaria_italica_v2.0:IX:7279411:7281443:1 gene:SETIT_036925mg transcript:KQK87430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVKVSNLSLNAVKREITEFFSFSGDIEYVEMQSESEWSQLAYVTFKDSQGADTAVLLSGATIVDRAVIITPAENYQLPPEAHKQLSGASPTTESTVRKAEDVVSSMLAKGFVLSKDALNLAKSFDERHNILSNATATVASIDRQYGLSEKINLGRAIVGSKVKEVDERYQVSELTKSALAAAEQKASIAGSAILSNQYVSAGASWLTSAFGMVTKAAGDMTSMAKDKVERAEEERKAIMWEERNGLVSEYAKMHLDEPSSWEPAVLPLESVDEQKLQAV >KQK87429 pep chromosome:Setaria_italica_v2.0:IX:7277073:7281443:1 gene:SETIT_036925mg transcript:KQK87429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRTVKVSNLSLNAVKREITEFFSFSGDIEYVEMQSESEWSQLAYVTFKDSQGADTAVLLSGATIVDRAVIITPAENYQLPPEAHKQLSGASPTTESTVRKAEDVVSSMLAKGFVLSKDALNLAKSFDERHNILSNATATVASIDRQYGLSEKINLGRAIVGSKVKEVDERYQVSELTKSALAAAEQKASIAGSAILSNQYVSAGASWLTSAFGMVTKAAGDMTSMAKDKVERAEEERKAIMWEERNGLVSEYAKMHLDEPSSWEPAVLPLESVDEQKLQAV >KQK90776 pep chromosome:Setaria_italica_v2.0:IX:45712712:45716216:1 gene:SETIT_035289mg transcript:KQK90776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKHLFPAAGLQQQASNPRSSSPLFSCNFCCGSFWLCRCHAPLHALLLSSSLLFTCCLPPSAPATSRSFSSPSECSKPSSSSAPGRLLPRRGWISYSLFCYLKKCSCQPVTILTNLACVVGKTWQKQLLVLPTKLYGNTGQGTDTNQRTYFSLYANQSCTALDKTRPMFYSVYSLKGSKGPVFPLRSILVFFIALFGFYVCYFSFNQLTFENEEKMTNEEEQTKSICSKPAVPHEQRRYVHFPKPMTYDRGECACTPVRFFVIVSMQRSGSGWFETLLNSHPNVSSNGEIFSVRDRRENISTILRTLDKLHSMDWLTSAAKNECTSAFGLKWMLNQGLMEHHEDIIDYLNRKGAMVILLFRRNTLRRLISVLANNHDRRTKQLNGIHKSHVHSKEEAEILARFKPKMDVSTLIPSIRNAEHSMRTCLGHFSKTRHMILYYEDVISDKNALSRVQEFLGVPVTKLSSQHVKIHTRPLPDLVENWEDVSDMLNGTKYARFLDDADYVK >KQK90706 pep chromosome:Setaria_italica_v2.0:IX:45207444:45209751:1 gene:SETIT_036691mg transcript:KQK90706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMWAVHVKRAGEANGSNLSENPDGASLPGSTPYPQNPINPKPPIELLPCSPLPMAATLFSTSLSPQFLSLSAKPTPSAPSATAAFPSGPPQLRALSAAAAGWRPLAPVRAAAAVAEELEAEVQAGGEEDEEFSADLRIFVGNLPFSVDSAQLAGLFEQAGSVEMVEVIYDKLTGRSRGFGFVTMSSVEEVEVAVEQFNGYVLDGRSLKVNSGPAPPREQSSPRGPRGEANRVYVGNLSWGVDNSALANLFNKQGEVLEARVIYDRESGRSRGFGFVTYGSSEEVENAISNLDGADLDGRQIRVTVAESRPPRGQY >KQK90222 pep chromosome:Setaria_italica_v2.0:IX:40751433:40753452:-1 gene:SETIT_040002mg transcript:KQK90222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLASSAALVLATILGSLCLVALSEDEQLENLRFVRRAQDAPLVSHYNYIIIGGGTAGCPLAATLSEHSRVLLLERGGLPYRNMSNQQHFTDALADTSPASPAQRFISEDGVVNARARVLGGGSCLNAGFYTRASNDYVHAAGWDARLVNSSYRWVERALVFRPDVPPWQAALRDALLEAGVTPDNGFTFDHVTGTKIGGTIFDSSGQRHTAADFLRHARPGGLTVLLYATVSRILFRQQEGAPYPVAYGVVFSDPLGVQHRVYLQDGGKNEVILSAGTLGSPQLLMLSGVGPQAHLEAHGVQVLVDQPMVGQGVADNPMNSVFIPSPVPVALSLVQVVGITRTGSFIEGVSGSEFGIPVSEGVRRLARNFGLFSPQLQTGQLGTLPPKQRTPEALQRAAEAMRRLDRRAFRGGFILEKILGPVSSGHIELRSTDPRANPAVTFNYFQEKEDLDRCVHGIETIERVIQSRAFANFTYANASVESIFTDSANFPVNLLPRHANDSRTPEQYCRDTVMTIWHYHGGCQVGAVVDDDYRVFGVQRLRVIDSSTFKYSPGTNPQATVMMLGRYMGVKIQAERWRK >KQK90226 pep chromosome:Setaria_italica_v2.0:IX:40809023:40810228:-1 gene:SETIT_036183mg transcript:KQK90226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGWSSLPADFLRLVSSRLTSADDVLHVRQVCHHWRAPVPVAPFRPWVVATRAKPIRVGPLGDCSHWLPAGLKRVQLATPPGLPYCCGTPRGWLALADDERSPTRLVLWEPRSGAEIPLPPLACVVQVFISADPLASSSSSWLAVATQVRSEFHRDILFWRPGDRAWSAAADRVYTSERLHSVAFLGGKMYCMDYSKRLAIYGLNLGTNSPPMLLQSMHAVSLLNMLCNRRCGKKLHGTRAAHFVSCNDELLLVVLFNNGHPSFAEPPLEFGERLEDLGGYSLFLGRGDAFALSPQEFPAIKRNCIYYAVHFLNVQIKDWVFVFNLESDVLEEFPFPTEHKEDPANTWWPVSWFCPKRPIFRSGSKAEANLDAIIR >KQK86178 pep chromosome:Setaria_italica_v2.0:IX:632514:636965:-1 gene:SETIT_036241mg transcript:KQK86178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGDVSSISPLVSFVLGAAMATVCVLFFMSASPTRRLADISAFSTGNSTASTDDLRLASSADSGVADGDGDVVSATPAPAPAPVEAASPWGDLEEVLRRAATKDRTVIMTQINAAWTRPGSLLDLFFESFRTGEGGVARLLDHLVIVTMDPAAYQGCQAVHRHCYFLRTSNGVDYRSEKMFMSKDYLEMMWGRNRFQQTVLELGYNFLFTDVDVMWFRDPFRHISMAADIAISSDVYMGDPYSLRNFPNGGFLFVRSSAKTLDFYRAWQQGRWRFFGKHEQDVFNLIKHEQSAKLDLAIQFLDTTYISGFCQLSKDLNKICTLHANCCVGLGAKLHDLRGVLDVWRNYTAGTPEERRAGKFQWKLPGICIH >KQK92072 pep chromosome:Setaria_italica_v2.0:IX:53541091:53543188:-1 gene:SETIT_036746mg transcript:KQK92072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSTHPPSPTSGSSAAPASSSDATMVGGGGGGGAAGSGAPSGAKLLQILNVRVVGSGDRVVVLSHGFGTDQSAWSRVLPYLTRDHRVVLYDLVCAGSVNPEHFDFRRYDTLDSYVDDLLAILDALRIPRCAFVGHSVSAMIGILASIRRPELFAKLILIGASPRFLNDNDYHGGFELPEIQQVFAAMAANYSAWATGYAPLAVGADVPAAVQEFSRTLFNMRPDISLHVCRTVFNTDLRGVLGMVRSPCVVVQTTRDVSVPASVAAYLKAHLGGRTTVEFLQTEGHLPHLSAPGLLAQVLRRALARF >KQK91863 pep chromosome:Setaria_italica_v2.0:IX:52371902:52373300:1 gene:SETIT_037496mg transcript:KQK91863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGARSSRPGSSAIRASIHYYCPVLAYALNQLHIKLANRQAGRGMALAEGNGVVVFSEEQEALVLKSWALMKKDSANLGLRFFLKIFEIAPSAKQMFSFLRDSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRETTLKRLGATHFKYGVADGHFEVTGYALLETIKEALPADMWSLEMKNAWSEAYNQLVAAIKQEMKPAA >KQK91862 pep chromosome:Setaria_italica_v2.0:IX:52371902:52372788:1 gene:SETIT_037496mg transcript:KQK91862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGARSSRPGSSAIRASIHYYCPVLAYALNQLHIKLANRQAGRGMALAEGNGVVVFSEEQEALVLKSWALMKKDSANLGLRFFLKIFEIAPSAKQMFSFLRDSDVPLEKNPKLKTHAMSVFVMTCEAAAQLRKAGKVTVRETTLKRLGATHFKYGVADGHFEASHASNHPNCDRPF >KQK91861 pep chromosome:Setaria_italica_v2.0:IX:52371902:52372623:1 gene:SETIT_037496mg transcript:KQK91861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTGARSSRPGSSAIRASIHYYCPVLAYALNQLHIKLANRQAGRGMALAEGNGVVVFSEEQEALVLKSWALMKKDSANLGLRFFLKIFEIAPSAKQMFSFLRDSDVPLEKNPKLKTHAMSVFVMVRTFSR >KQK92311 pep chromosome:Setaria_italica_v2.0:IX:54867789:54868475:-1 gene:SETIT_039091mg transcript:KQK92311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAARAASTTHRILLPLFLFAALCESDPDLLLDYCVADTAAAASLHLNGLPCIDPARARAEHFATSALSRATNPSSATPFGFNATVTSPAASLPGANAQGLAMARVDLAPGGVAPPHSHPRASEVALVLAGSVLVGFADTSYRLYTQLLRAGEAFVFPRGMVHFMYNVDVAAPAVVLSGLNSQSPGAQLVPLSAFRTEPRMPEEILEKAFKINGQDVHRIQRNLGG >KQK91789 pep chromosome:Setaria_italica_v2.0:IX:51807754:51808237:1 gene:SETIT_038431mg transcript:KQK91789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDEAAEGSRLLLQEEGGGGDQEPLLLPQVRSPFPFFLLLCVWFKFYCAACRFILASLRDCS >KQK91052 pep chromosome:Setaria_italica_v2.0:IX:47406338:47412358:1 gene:SETIT_035449mg transcript:KQK91052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYSGAGARQQQQTEAVADRVHRYRGVLLVVLAPVLLISFVLLLMPRAPTSASGGGLLAAGGRRWGPRAVEDGPNKYAVIFDAGSSGSRVHVYCFDENLDLVPIGKEIELFKQKKPGLSAHANDPQEAAESLISLLEEAEKVVPVELRKQTPVRVGATAGLRALGTERSEEILQAVRELLRDKSSFKSQPDWVTVLDGSQEGAFQWVTINYLLGKLGKPYSDTVGVVDLGGGSVQMAYAISEKDAVKAPDVSDDEDSYVKKLLLKGTTYYLYVHSYLHYGLLAARAEIFKAGEGNDHSDCMLEGHHGKYSYGDNTFEASGSPSGASYSKCRALAVRALKVDEPACTHMKCTFGGVWNGGGGDGQKNLFVASFFFDRAAEAGFVDPKAATAEVKPSDFEEAARRVCKLNVKDAHATYPDVSEENIPYLCIDLVYQYTLLVDGFGVDPYQDITLVKKVSYGDSYVEAAWPLGSAIEVASSS >KQK89409 pep chromosome:Setaria_italica_v2.0:IX:27815041:27820646:1 gene:SETIT_039695mg transcript:KQK89409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFEGPPSFSDLVDRVMRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVAVVNHNGTQESEILHHVLAETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGVEDISMVHKVICESSMVNTEGTSVGESPVIKKGMKFNSLEELKFFLADYAVRLHKPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKCLGRRILGIIRKDSEISVPSLVESIFAFSGYRVKYSKAWRTKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWCIDSCGMMHPDNGVLKHILQRVFWCFPQCREVFQHCRPVILVDGTFLTGKYKDTLMMAVGVDPEQQLVPLAFALTESENNESWSWFMKLVRLHVLGPSRIVCMISDRHHGLLNCAKDHMDGFPPLVHRWCTRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFSEKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMGWGIMTTNYSESLNAVFKGIRSRPVSGIIEYSFEKCNAYFVNRWQKARAMLDEGYRIGKVADYYLSEAELTSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLNEVSCTYNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYMPNPNLMRNKVGTMQKKHFTGDMDVMAAPVYPLLESAYDLQHRAHHLADLNEDLKPLRARVHSPLSWDEWYAEYLQRAGFLDLAVQTHTFHLPFGEMAITMQDVAMILGLSLDGQPVTGIIQNENWHDMVEMHIGIRPPEPEDGENSKKTSGPWHRDDAHPTFYHVWKHVWPVRGNPDRRYRAYTNEFDVLTQHQIVFSLTCYRDRELWRCMTPMILYYVVEFHMPHRVMRQFWRMQPCPPLELSTSQQLHRINRRKRYKENNWRVKHGQYLVMWQNKQGCDPEGRPYWRPGPNNEYIRWYCTSTRTKVKPSWSNVPLKDAPSDSDADIADAYDTVTRYGTQTEHAPLHDYMGQQLARLSNEAGVIMEHAVGEGDGLLRQAATPSTAAGPSRGSRGKEPTSPQASEDSEGEQSEDDDPTYGEELEISAMIDAPPVTQTQGENLDLIPGCHAGGVVLGTTPTLAAPMCCPRVQGGSVAQEILLALRMSGGHDTERCMSQV >KQK86369 pep chromosome:Setaria_italica_v2.0:IX:1495682:1499210:1 gene:SETIT_035957mg transcript:KQK86369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWASAAYTAAALVCAAAATVVALVHIYRHLLHYAEPIYQRFIVRMIFMVPVYAVMSFLSLILPENAIYFNSIREIYDAWVIYNFLSLCLAWVGGPGAVVVSLSGRTLKPSWILMTCCYPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYKDGNFSVNQSYLYITIIYTISYSMALYALALFYAACRDLLRPYNPVPKFIMIKSVVFLTYWQGVLVFLVAKSRLIKNADKAADLQNFVLCVEMLIAAIGHLFAFPYKEYAGPNARPAGGFRESLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDNAQTKYPSGSTAPSGQGVELAGITVVASNSPVTSSASSNQADQEETMTTPIKDKVDPPGGLYDLTDLLDVDLSNYPAKVPAITDVRKQ >KQK86370 pep chromosome:Setaria_italica_v2.0:IX:1497281:1499210:1 gene:SETIT_035957mg transcript:KQK86370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCCYPAIPLDGRFIRRCKQGCLQFVILKPILVVITFILYAKGKYKDGNFSVNQSYLYITIIYTISYSMALYALALFYAACRDLLRPYNPVPKFIMIKSVVFLTYWQGVLVFLVAKSRLIKNADKAADLQNFVLCVEMLIAAIGHLFAFPYKEYAGPNARPAGGFRESLLHALKFNDFYHDTVHQFAPTYNEYVLYNHNEGDNAQTKYPSGSTAPSGQGVELAGITVVASNSPVTSSASSNQADQEETMTTPIKDKVDPPGGLYDLTDLLDVDLSNYPAKVPAITDVRKQ >KQK92760 pep chromosome:Setaria_italica_v2.0:IX:57135290:57138734:1 gene:SETIT_038144mg transcript:KQK92760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAAGVQLGSSKPQIATQAEMAEARVPLAYRDQCAHLLIPLNKCRVAEFYLPWKCEPERHAYEKCQYELVMERMLQMQKIREAQEAKVKGGASIGLIPATAKLA >KQK86939 pep chromosome:Setaria_italica_v2.0:IX:4592937:4593400:-1 gene:SETIT_040703mg transcript:KQK86939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSYMRLCTRSILEGELQVWSRDENILSLWN >KQK86265 pep chromosome:Setaria_italica_v2.0:IX:1016577:1017978:-1 gene:SETIT_036555mg transcript:KQK86265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCSNLEGATETHQQQDDPRTLLTYLTFLEQKIAHLRGIICSAPRPPRQIVSAELSCIAVQLEDDDDRLPPAGSYEVIELGKEEILAPHVHSCKVCGKGFKRDANLRMHMRGHGEEYKTAAALAKPATGRDAPPPPGAAARCLYSCPFVGCKRNREHRSFQPLKTAVCVKNHYRRSHCDKSYTCRRCNVKRFSVLADLRTHEKHCGRDRWVCSCGTSFSRKDKLFGHVAAFDGHAPALPPEEDDHSAANGHGSASDQLLMDTEAVSRMASTECFSDSMFDGLSCSDIKGFALNVDGQCLDEGRGSLSPMDLYSCDYPGFDLFGAPGIADF >KQK90377 pep chromosome:Setaria_italica_v2.0:IX:42227741:42229847:-1 gene:SETIT_037928mg transcript:KQK90377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTARTVKDVNPHEFVKAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVAVEA >KQK91725 pep chromosome:Setaria_italica_v2.0:IX:51458253:51463019:1 gene:SETIT_035958mg transcript:KQK91725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGDNALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTSNTENKDHASSSNSDSIMAEAEPASNAAADDKKQPKDDDDLLQQALAMSMEGGASGSAAVADAAMAEAGAVDPDLALALQMSVQDANMSSDSDMSKVFEDRSFVKSILNSLPGVDPNDPSVKDLLASLHGQGEEKKEDKSDKSDEPEDGKN >KQK91722 pep chromosome:Setaria_italica_v2.0:IX:51458253:51463019:1 gene:SETIT_035958mg transcript:KQK91722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGDNALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTSNTENKDHASSSNSDSIMAEAEPASNAAADDKKQPKDDDDLLQQALAMSMEGGASGSAAVADAAMAEAGAVDPDLALALQMSVQDANMSSDSDMSKVFEDRSFVKSILNSLPGVDPNDPSVKDLLASLHGQGEQEKKEDKSDKSDEPEDGKN >KQK91723 pep chromosome:Setaria_italica_v2.0:IX:51458253:51462670:1 gene:SETIT_035958mg transcript:KQK91723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGDNALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTSNTENKDHASSSNSDSIMAEAEPASNAAADDKKQPKDDDDLLQQALAMSMEGGASGSAAVADAAMAEAGAVDPDLALALQMSVQDANMSSDSDMSKVFEDRSFVKSILNSLPGVDPNDPSVKDLLASLHGQGEEKKEDKSDKSDEPEDGKN >KQK91724 pep chromosome:Setaria_italica_v2.0:IX:51458253:51462596:1 gene:SETIT_035958mg transcript:KQK91724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYAPSRFQAQADAVNLICGAKTQSNPENTVGVMTMAGKGVRVLVTPTSDLGKILACMHGLEVGAEANLAAAIQVAQLALKHRQNKRQQQRIIAFIGSPVKYDKKVLETIGKKLKKNNVALDIVDFGESDDEKPEKLEALIAAVNSSDSSHIVHVPPGDNALSDVLLSTPIFTGEEGGSGFAASAAAAAATGASGFEFGVDPNVDPELALALRLSMEEERARQEAIAKKAAEDTSNTENKDHASSSNSDSIMAEAEPASNAAADDKKQPKDDDDLLQQALAMSMEGGASGSAAVADAAMAEAGAVDPDLALALQMSVQDANMSSDSDMSKVFEDRSFVKSILNSLPGVDPNDPSVKDLLASLHGQGEQEKKEDKSDKSDEPEDGKN >KQK92407 pep chromosome:Setaria_italica_v2.0:IX:55332489:55333303:1 gene:SETIT_038259mg transcript:KQK92407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPEAPAQQYHGVLLKQPPTPWKRLEICIRFYKTELIAICTNPWGTLVIVPNLSFAPALSLDRPLSVLPATSDFWSPSCHSTLEAS >KQK87074 pep chromosome:Setaria_italica_v2.0:IX:5458671:5459025:1 gene:SETIT_039775mg transcript:KQK87074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QWCIADEQTPDDVLQQALNWACGPGGADCTMIEPNKSCYLPNTVRDHASYAFNNYWRKFKKHGGTCYFNAAAIVTDLDPSHNSCHFEPAT >KQK89935 pep chromosome:Setaria_italica_v2.0:IX:37479559:37480835:-1 gene:SETIT_036065mg transcript:KQK89935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEKGWKARDVAGAFSMEAVDEEDDAVAVIPPPQTPLEPMEYLSRSWSVSASEISKILVGGGKKSSGVAAAGSRLPEMTIPEDSVLATSIVPLPCHQQHRDARRNSMSSGHHQSIGRWFQVHHRETCRVKQRGKEKQRAEKAHVHAMVSVARVAAAVAAVAAATSCDAQATKMAAAMASATELLASHCVEAAQHAGARHEQVAGAVQAAVGVRSPGDLMTLTAAAATALRGAATLKQRVQRETRSNASVLPYEKGSEKGHSWSTDIWCKEGELLKRTRKGDLHKTRLSIYINKRSQVRRFSFSSKCWSD >KQK89936 pep chromosome:Setaria_italica_v2.0:IX:37478850:37480880:-1 gene:SETIT_036065mg transcript:KQK89936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEKGWKARDVAGAFSMEAVDEEDDAVAVIPPPQTPLEPMEYLSRSWSVSASEISKILVGGGKKSSGVAAAGSRLPEMTIPEDSVLATSIVPLPCHQQHRDARRNSMSSGHHQSIGRWFQVHHRETCRVKQRGKEKQRAEKAHVHAMVSVARVAAAVAAVAAATSCDAQATKMAAAMASATELLASHCVEAAQHAGARHEQVAGAVQAAVGVRSPGDLMTLTAAAATALRGAATLKQRVQRETRSNASVLPYEKGSEKGHSWSTDIWCKEGELLKRTRKGDLHKTRLSIYINKRSQVMLKLKSKHIGGALSKNNKIVVYGVYSELPTWAEPGKDSMEETCSFGLSTAQGLVEFECESSASKQKWVDDVQNLLRQVALQDQVGNKLGLLKLN >KQK88283 pep chromosome:Setaria_italica_v2.0:IX:12877395:12879900:1 gene:SETIT_039514mg transcript:KQK88283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDGGERSSKASAGSSSGCSTPFRFNVHAREFVPVSPAASPMGASALAAVGYYSPFLQLPSGSGGGGGLGAVDWNFFAEPDPTFFLPDFGHAEIAGAAGITGHPKGASPADIAHKIIKQVEYQFSDTNLVANDFLTKIMNKDPEGYVPLSVISSWKKIKAMGVTNQLLVRALRTSEKLVVSDDGRRVRRAQPFTERHKEELQSRMVIAENFPDDSTRNSLEKIFGVIGSVKNIRICHPQEPSSARSSKSDANALLVSNKLHALIEYETSQQAERAVYRLNDGRNWRKGLRVRAVLRRSPKSVTRLKRLDLDHLAASDEERSPDSPTAAAHLPDHSQEDQHAAGSKKPWGSGRGRQHAGANAAPQTTHSTAGAAWHLDSFAASPRHAPQGPRMPDGTRGFNMGRGRPSPAVAAAAAAVRVV >KQK87026 pep chromosome:Setaria_italica_v2.0:IX:5138403:5138963:1 gene:SETIT_038618mg transcript:KQK87026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLFSSAGFYRWTAASQPADPFAAHIWANVAIPCCKQHFLWLVHRHRLPSAALLRHRNIIDSPSCTFCGAHEDQDHLLLRCNRARRIWRLLGWPSVPYLSSFRELWTLPELPDGTVPSARSAILTAILWHIWKGRNELVSNGVHVPPRTTFTAIATDLELWKHRTKDESARTSLVLWSLHFRNIVI >KQK86245 pep chromosome:Setaria_italica_v2.0:IX:910017:910528:-1 gene:SETIT_038241mg transcript:KQK86245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPYQKNLDQKPACATELSVEQYNEHGSLDATTLSEAAPLLTMWGQAMQASCISESARYNIMPYFFQLLDAKRLEHGSTDRCMSRLMFKG >KQK86833 pep chromosome:Setaria_italica_v2.0:IX:4004076:4004408:1 gene:SETIT_039997mg transcript:KQK86833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QSTGTTSPPPSSSLQSILHVLPCSSKRLEFRSSKRGGMGFVLVISLPFIFFSILLGFGCYFLGKHRGREEMRAGVGAQIYGTPLPPPGVVGGSSPAHEPFHMKKEGAENV >KQK91824 pep chromosome:Setaria_italica_v2.0:IX:52030318:52034005:-1 gene:SETIT_034070mg transcript:KQK91824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSMAAASTLLLPVLLLIATANHCADAADSPSSPDAAALLNLSAALTDPSGYLATHWTPDTALCSWPRVSCDVADRRVISLDLSGLNLSGPIPAAALSSLPLLQTLNLSNNILNSTFPDEIIASLRSLRVLDLYNNNLTGPLPAALPNLTDLVHLHLGGNFFSGSIPRSYGQWTRIRYLALSGNELTGEIPPELGNLSTLRELYLGYFNSFTGGIPPELGRLRALVRLDMANCGISGEIPPEVANLTSLDTLFLQINALTGRLPTEIGAMGALKSLDLSNNLFVGAIPASFASLKNLTLLNLFRNRLAGEIPEFIGELPNLEVLQLWENNFTGGIPPNLGVAATRLKIVDVSTNKLTGVLPSELCAGEQLETFIALGNSLFGGIPDGLAGCPSLTRIRLGENYLNGTIPAKLFTLPNLTQIELHDNLLSGELSLEAGKVSSSIGELSLFNNRLSGQVPTGIGGFVGLQKLLLAGNRLSGELPPEIGKLQQLSKADLSGNLISGEVPPAIGRCRLLTFLDLSGNKLSGRIPPELASLRILNYLNVSHNALEGEIPSAIAGMQSLTAVDFSYNNLCGEVPATGQFAYFNATSFAGNDELCGAFLSPCRSHGVATSAFGSLSSTSKLLLVLGLLALSIIFAAAAVLKARSLKRSAEARAWRLTAFQRLDFAVDDVLDCLKEENVIGKGGSGIVYKGAMPGGAVVAVKRLPAIGRAGAAHDDYGFSAEIQTLGRIRHRHIVRLLGFAANRETNLLVYEYMPNGSLGEVLHGKKGGHLQWATRFKIAVEAAKGLCYLHHDCSPPILHRDVKSNNILLDADFEAHVADFGLAKFLRGNAGGSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRKPVGEFGDGVDIVQWVRMVTGSSKEGVMKIADPRLSTVPLYELTHVFYVAMLCVAEQSVERPTMREVVQILADMPGSTSTSIDAPLVIEPKEDASSEKPPRQQEGPHDSPPQQDLLSI >KQK87286 pep chromosome:Setaria_italica_v2.0:IX:6424298:6425086:-1 gene:SETIT_039141mg transcript:KQK87286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQVDLAAVTRRNVEGGSMAEEEGLKTSNEPRRTKCILCTVSSSFRLYGVLTDIFAFGIGQLLKKSKKSNLLELLVIDGIAQLNECETVLPCSCLALAMMRRDFFIFIKQNL >KQK91219 pep chromosome:Setaria_italica_v2.0:IX:48547866:48552791:1 gene:SETIT_034628mg transcript:KQK91219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGCPRSRSPPPAPHPPPPSHRAPTPTPTPGAGVCPACRLPKNTYKNASEAPPAPTCRSKRRRGLNRGPGVGGGGAEMAVERDIDDLPRNDANYTALTPLWFIERAALTHPARASVVHGPVRYTWADTYRRCRRLASALARRSVGHGSTVAVIAPNVPAIYEAHFGVPMAGAVVNCVNIRLNAATVAFLLEHSSAEVVMVDQEFFSLAEDSLRIIADQKKGSFKQPLLIVIGDQTCDPAALQDALRKGAIEYETFLESGDPEFAWKPPQDEWKSIALGYTSGTTSNPKGVVLHHRGAYLMSLSGALVWGMNEGAVYLWTLPVFHCNGWCYTWALAALCGTSICLRQVSAKAIFSAIAHQGVTHFCGAPVVLNTIVNAPPADTLPLPRIVNVMTAGAAPPPSILASMSKLGFRITHTYGLSETYGPSTVCAWKPEWDSLPADERARLHARQGIQYVGLEGLDVVDPKTMAPVPADGTTMGEIVMRGNGVMKGYLKNPKANAEAFENGWFHSGDLGVRHADGYIEVRDRAKDIIISGGENISSLEVEKAVYLHPAVLEASVVARADEQWGESPCAFVTLKDSVDRSDEAALARDIMRFCRERLPGYWVPKSVVFGPLPKTATGKIKKHELRAKAKELGPVRKSRM >KQK91541 pep chromosome:Setaria_italica_v2.0:IX:50453852:50454427:1 gene:SETIT_038751mg transcript:KQK91541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRQATIRSSPNHSNPHLGTSIRPNHQQEIPLTMSLIRRSNVFDPFSLDLWDPFEGFPFGSGSSSGSLFPSFPRTSSETAAFAGARIDWKETPEAHVFKADVPGLKKEEVKVEVEDGNVLQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPENAKTEQIRASMENGVLTVTVPKEEVKKPEVKSIQISG >KQK88318 pep chromosome:Setaria_italica_v2.0:IX:13128983:13130008:1 gene:SETIT_038158mg transcript:KQK88318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKLWDDVVAGPHPETGLEKLRKAATSRPIVIDKDAVAAAGSYKRTQSMPSTPTTPGTPSSSTTPRGGNNVWRSVFHPGSNLATKSMGANLFDRPQPNSPTVYDW >KQK92390 pep chromosome:Setaria_italica_v2.0:IX:55279362:55281511:-1 gene:SETIT_038128mg transcript:KQK92390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGWLRRAAAVAVPRLPSGLPLVPPPPPAPLTEAQSLVVPGLGAAVGPSMELMAVPKKKVSKYKKGLRNGPKALKPVPVIVRCRCCGRVKLPHFYCCSGERGNPSESSS >KQK90505 pep chromosome:Setaria_italica_v2.0:IX:43244339:43244767:1 gene:SETIT_039083mg transcript:KQK90505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLHLYIHETVAGSPPLVGSNSSSFGSIGAIDDELREGPEPASQYLGRAQGFLVQADLGNPAASCTILSLAFTEGDYGGSTLVVDGRVDLGADGKAVVERGVVGGTGRFRRARGYSLMTKFGNPTPGTVVFEMDLYVKISG >KQK92039 pep chromosome:Setaria_italica_v2.0:IX:53355192:53356893:-1 gene:SETIT_036085mg transcript:KQK92039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRRWLPFGGGCCCCCGSGGGGRGVADGLVWDVPLKAHASGEYSVAVAQANEALEDQAQVLAAPGATLVGVYDGHGGPEAARFVNRRLFSLIQDFAAENGGLSAEVIQKAFGATEDEFVGMVQKSWPSQPRIMSVGSCCLVAAIEGGTLYVANLGDSRAVLGRRAGGGGRGAAKKRVVAERLSRDHNVADEDVRREVAAMHPDDSHIVLNSHGVWRIKGIIQVSRSIGDVYLKRPDMCRANPLLQQSLCPFPLRRPVMSAVPSITTRRLRPGEDRFLILASDGLWEQLSDEAAVGIVAGSPRKGVAMRLVRAAQLEAARKKEVRYEKIRTIEKGHRRHFHDDITVVVLFLDRCRSGPEDIDGTYAPVDVFSCSPAGDHEDPTRPVLR >KQK92138 pep chromosome:Setaria_italica_v2.0:IX:53930589:53931133:-1 gene:SETIT_038133mg transcript:KQK92138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTKETDPCCGVLWRACGGPPLHMVFLRGDGRWSPTADVARPPCVVLSQWRLRGSLREIRIRWFHSVRWSVVVQQHYSGGFCIAVAVRCSLLLSVPCRRRPTPRGFGEL >KQK90924 pep chromosome:Setaria_italica_v2.0:IX:46666067:46666513:1 gene:SETIT_039487mg transcript:KQK90924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRQAVPLLLLLAAVAALVGSATAGAELTALHARMAAEWAWSAASSSDDDSCWGSPEECPVVHDVGAEGAGAGAAARARMRLQQAYYYDVNTAATLLPTAQYISYGALMRDSVPCSIPGASYYNCQPGAEANPYTRGCSEITQCRG >KQK88309 pep chromosome:Setaria_italica_v2.0:IX:13055257:13058157:-1 gene:SETIT_035160mg transcript:KQK88309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAVAAGQGGEYPGRLTLYVFLTCAVAATGGLILGYDIGISGGVTSMDTFLLQFFPSVYRKEQTARGGSQYCKFDSQLLTAFTSSLYLAALVASFFVASVARSLGRKWSMFGGGVSFLAGAVLNAAAQNVAMLIVGRILLGVGVGFAGLSIPIYLSEMAPHRLRGTLNIGLQLMITVGIFSANLVNYSAAKIRGGWGWRLGLGLAAVPAAIITAGSLFLPDTPNSLIARGHHEEARWVLRRIRGTDDVDDEYGDLVAASEVSGAGVRGPWLDILERRHRPQLCMAVLIPFFQQLTGINVIMFYAPVLFRTIGLGGDASLMSAMITGLVNISATFVSIATVDRLGRRKLFFQGGCQMLVCQIIIGTLIGVKFGASEDGAIPKASAAAVVAFICVYVAGFAWSWGPLGILVPSEVFPLGIRPAGQAISVAVSMLCTFAVAQGFLPMLCRMRFGLFYFFGGWVIAMTLFTAAFLPETKGVPIEKMGVVWRTHWFWQRFVADEDDGRAGTRDVEMDYRKANGIVVG >KQK90191 pep chromosome:Setaria_italica_v2.0:IX:40498478:40506622:-1 gene:SETIT_033868mg transcript:KQK90191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTLAIIRKKLKKRKKGKDGTDFGEVAECGADAQVLVQQEDVQGAVHTGDGVADEKSNLNVVKLGDVEVAGDGAQHVDDLGLEDSLSVLFTRSGRKSRQVSEKEAEGAEVACPHEEEGLDKGSVLDPDTASKVTKRRRRRTKEEMKNAAVQDRKASLPRKAKAKANGGTSTGHYKVGPQQTLGGSSSVSPKLENKSVGKEKAADDGLCHRSLGESLLQEDVEAHKVLKDGSRNPSNGSTHHFEISARASNHPVLKPCSGKLAEKTSCTAANMINVGVSDAHACSQTLGKDSSDDVNFSQGKSPTSTIRRKTGLKPKQVPRKPVRQKEALSSLDADSKPAETTESIEPNAAVLTEGNFDQLAVLGAKDSCSSHDMAAPSNDVDMADVAVPLDYEDTENASKVKRVTRSSKKRKHGDMAYEGDVDWETLMQEQGLFSNPLAGFADQSIKSKDKIKTSEVYEGGGDNGLAAVRAGLKAKAVTPLEKIKFKEVLKHKGGLQEYLECRNMILSCWSKDVKHLLNLAECGVSVVPLKDELPRHTLIRDVYLFLDQNGYINAGIASDKVAKEHDTPEVVDVPKLNESNQMESVSIQDGIVSVPLQNGDFEYGTGIECCRTVCVKNTVEASNDKNCPTVDCDALELLPYLKSEEQPTEEKNLGVSTEGRDASLPSNNLDIQGRSYLDSSVGKVEVSHQPEAPEIETCGNNCQSDRAESGVCRKRVIIVGAGPAGLTAARHLQRQGFSITVLEARDRIGGRVYTDRTSLSVPVDLGASIITGVEADIATERRADPSSLICSQLGLELTVLNSACPLYDVVTGNKVPDALDDDLEAEYNGLLDEMALLFAQNGDSAIGLSLEDGLEYALRKHRAAQHMDIVERDDHLKSLTNAGAMDISKSASTEKEIAHCGKDDKVDVLSPLERRVMNWHFAHLEYGCAATLKSVSLPYWNQDDVYGGFGGPHCMIKGGYDTVLCSLAKGLDLRLNHIVTEVLYGHGESGASCKDGKHVKVSTSNGNEFIGDAVLITVPLGCLKAQTIKFSPSLPDWKLSSINRLGFGVLNKIVLEFPEVFWDDNVDYFGATAEETDLRGRCFMFWNLRKTVGAPVLIALLVGKAAIDGQSISSDVHVNSAMVVLRKLFRDSSVPDPVASVVTNWGLDPFSRGAYSYVAVGASGRDYDILGRPVANCLFFAGEATCKEHPDTVGGAILSGLREAVRIIDLVNTGNDYIAEVEALQTYQMQSDSERSEVRDMSNRLEACALSTALSKNTSDVTYPIVSKESLLQEMFFSAKTTSGRLHLAKELLKLPTDVLKSFAGSKEGLSTLNTWILDSLGKNATQLLRHCVRLLVLVSTDLVAVRLSGIGRTVKEKVCVHTSRDIRAIARQLVSVWIEVFRKEKASNGLKLLRRMPSIELSKTKSKDLQSGKPTLRVPNETLDNNKVASQRQRARFASSHSPPKTSKKFENKETKLEIGMATRSDGNSFSPKQQHGIESKVEHGIPMSEEEAAAFAAAEAARAAAIAAAQAYASVEAEISVPRELPKIPSFHTFAMRDHHLDESDTRKKGVKDNFGRLECILENGSKNGKAKNSSPDDANCADVDSLKMSGDNGTQRSHSNEKACLANTRDHSTDIGVLLDGRAWVDTDTICIDGVKDPLAIERWQAQAMEADKEFYSRIRIPDDEDATSQKQACRSSASQGADSKPASERQSRGVEHIKQGLVNFIASLLMPLYRGKKIDRDGYKTIMRKAVNKIIETCSEGEKLMTTHEFLDVKRKNKIESFVDKMVDRHLHVVSKPAKP >KQK89751 pep chromosome:Setaria_italica_v2.0:IX:35564652:35567940:-1 gene:SETIT_034129mg transcript:KQK89751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAIDRSGPNESPISSRGRPSTPSSNHRPSTPSSNHRPSTPSSNHRPSTPGGTRRSSVGTPSTPRSRSNGGGPFKSEPNSPPSAAAQNARPRLSFDRSPRSADSKPVVERRVPKIGTPPDKQPRREAELQARLESAQEDLKKAKDQLAFIVGERDRLVGELNEAKRVADETHEKLQDALMAKRWAEEATEIEKFRADELEQAGIDEAQRREEEWQREIECVRGQHAADLETLVNTTEELERLRRDLSMANEAKKAALGHADDAMKIAEVNAEKVEILSIEVVRLKGLLDSTAASEESKNRETEVLVKNLESEVSSLKVKLEEAKVLEERLAEAEKTIQELKSQIADAQKVESDIRQQLEEWKEKSASLEMKLEEVTLSEKFKSDSLASTTEQLDKIHSMLQDRESEIEVLKGKTTALEIEVARLLAEVNDSNEHLDASQQEVFGLQTTIDVLRNKLETAELAASEALNNEKTANTKIEDLTEEKTKLISELDDARDREEKEKRAVEDLTAALDKACCEAQEAHDRFQKKEDDYEHALAQIGDLKMSLKSMEESYEAMLDEANHDITRLRETVEKLEAEVSKYREECESKELDLITASKQSEQEIAALKVEVEQVAASLQGAEHEIEAVTEEKERLQEKLRNTEAAVAEANKAVQEAKTEKEQLQEKLAHTESAVAEASMATQEAKTEMERLQEKLTYTESAVAEADKAVQEAKAESSQLKERLLDKENALQNLTQENDEFRMREADAMKKIEELSALLAEAMTKKHPEEEEKLVIVDEVHNSAREEFTRSVAENEDAEESDDKKLHLEVDADDMRSNGDMNHEEKDDSKVEQEELKIGSVQESEKVVFEKEAQAENRKQETESSNDELDSKKEDSSTENTNGTTVPEDTASKVAMSPTKPQQQQKKNKPLLKKFGSLLKKKNSK >KQK87811 pep chromosome:Setaria_italica_v2.0:IX:9488234:9492357:1 gene:SETIT_036196mg transcript:KQK87811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRTHLLAVLVLLAAAPFVADAQPPAADPAADACADPSVDGACHNVPKALRLKLIAIPTILVASVIGVCLPLFSRSIPALRPDRNLFVIVKAFASGVILATGYMHVLPDSFNNLSSPCLPRKPWAEFPFTAFVAMLAALFTLMVDSLMLTFYNRKRSGGGNTSGRRAGAAVADHESPAHGHWHGHGHGHGHGHGDIVVAESGAVAKPDDDEARKVQLSRNRVVVQVLEMGIIVHSVVIGLGMGASQSVCTIRPLVAAMCFHQLFEGMGLGGCILQAEYGLKMKSGLVFFFSTTTPFGIALGLALTRVYRENSPTALIVVGILNAASAGLLHYMALVELLAADFMGPKLQGSVRLQLVSFAAVLLGAGGMSVMAKWA >KQK86780 pep chromosome:Setaria_italica_v2.0:IX:3693848:3698184:1 gene:SETIT_036255mg transcript:KQK86780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVADGDDEEAFFLALDAAEAAALDSSSKRRRLSTTSSPTPATPPAASEGSYLAALKGSHSSAWQQQQQQALNYAHKRPDGSKTLAAGTSGTQVASGSCFKCGDPGHWARECPQSAPTAGGGGVIGGGAGGGYVNAGGEVEEKACPCGAGSCLVLTSNTPRNPGRKFYKCPLRDNGGCNFFEWCDAPSPGPANARSNTVFQSETSATDMLCPCGAGACLILTTKTGKNVGRQFYRCPGNQGGGSCGFFKWCDEQQPRVGAPLQASPQYQTDATSSIQNSNKRSSSSCFKCGQENHWARDCPNQSSDPYPDKGGRTITSASSPDGCFKCGKAGHWSRECPTSNSGGGGGGGTGASRAKSSSALGSWNSQRY >KQK89218 pep chromosome:Setaria_italica_v2.0:IX:22127223:22128282:-1 gene:SETIT_038594mg transcript:KQK89218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANMPPSFSTVAAAAGSCSASSITADAASGYHVLRIESYSRIKSTTPNGKYVLSHTFRAAGLLPPNTADYIYLMVQLVFSFIDQVEKQKPSYVRTVKPNKFVSHGSWGHGKFVKSSDLEQSGPLKDDCFTVRCDIIVIGEPRTAATTVPGASFVMVPPPDSSQHFGALLLGGKGADVRFLVADEAFAAHRCVLAARSPVFDALLFGPMKEGTATGNCIRIDGMVPQVFQSLLHFIYTDSLPEMEEQDKEASATMAQHLLEAADRYGMERLKLICEDILCRYIDVSTVATSLVLAEQHRCQGLKEACFEFLKSPKTLDEAMATDGFQHLAKSSPALFELMSKITGR >KQK92040 pep chromosome:Setaria_italica_v2.0:IX:53358131:53360169:1 gene:SETIT_038075mg transcript:KQK92040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGVWQLQKLVVNYCDWGGSSRGIRAFMEAHLPAFKEKNPHLEVVTELVRGQHPNLKGIYKNHNERVVCVRNLPPEDILLQATRLRNSLGRKVVKLRTRHVTKRPSVQGTWTTDLKM >KQK86383 pep chromosome:Setaria_italica_v2.0:IX:1557180:1564358:-1 gene:SETIT_034308mg transcript:KQK86383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLLALAAACALLVAAGAGVTGATAEEAKNSTNKFRQREASDDMLGYPHLDGKLEVVVPSFVHYLEVLEGTDGDKMPGWPAFHQSNAHSSPLLYDIDKDGVREIALATYNGVVNFFRVSGYVMMDKLEVPRRKVHKDWYVGLNPDPVDRSNPDVHDSSIAKEAASKESPPIDQNKSGSMQGGEALKIASEQHSVETKPNSTQAQENAELLNNVNNTHSGNISSVTTAAENISHAQRRLLQTDDKSDDKTGSSKTHESDSGADTVENNESLEEDADASFDLFRDPEDLPDEYNYDYDDYVDESMWGDEDWKELEHEKAENYVSIDAHILSTPVIADIDKDGVQEMVIAVSYFFDPEYYDNPEHAKELEGIDVEKYVASSIVVFNLDTRQVKWTAELDLSTKSVNFRALVYSSPSVVDLDGDGYLDILVGTGYGLFYVIDHRGKVRNKFPLEMAEIHAPVIAADINDDGKIEMVTTDSHGNVAAWTADGEEIWEVHLKSSIPQRPTVGDVNGDGHTDVVVPTVSGNIYVLSGKDGSKIQPFPYRAHGRIMSPVLLLDMSKHGENTKGLTLATTSFDGYLYLIEGSSGCADVVDIGETSYTMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKEWRSSNQGRNNAAYRYNREGIYVKHGSRTFRDEEGKNFWLEFEIVDKYRVPYGNQAPYNVTVTLLVPGNYQGDRRIVVSSMYHQPGKQRMMLPTVPVRTTGTVLVEMVDKNGLYFSDEFSLTFHMHYYKLLKWLVLLPMLGMFGVLVILRPQEGAPLPSFSRNID >KQK90769 pep chromosome:Setaria_italica_v2.0:IX:45658317:45659869:-1 gene:SETIT_040048mg transcript:KQK90769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVVPLLLLLLLVVWPCPAASAGRNDDPPGASCYKRLFSLGDSITDAGNLASVAPNTSVLAFPYGETFFRRPTGRFCDGRLIVDFIAEALKLPFLTPFLAGKTAADFRQGANFAVSGATALSQQFFKDMGLDLAILPPFSLDVQLEWFKRVLHLLGPTEKERQDIMSSSLFLLGEIGINDYNHPFFQNRSFVDEIRPLVPKVIEKIENATKVLFGLGAKTIVVPGTIPVGCMPRYLTMFQSDNPGDYDAAGCIRWLNDFAEEHNRALRRMLGQLRPRDDHPGVAVVYADYYGAILEITRNPLKHGFRKDVALTACCGDGGPHNSGTLIACNATSVLCPDPSRHISWDGVHLTEAAYQFVAGGVLDGPYAAPPILSKCRC >KQK90968 pep chromosome:Setaria_italica_v2.0:IX:46886404:46892245:1 gene:SETIT_034293mg transcript:KQK90968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPKLDRTPSIRDRVEDTLHAHRNELVALLSKYVNKGTCILQPHHILDALDEVQGSEGRALAEGSFLDVLRSAQEAIVVPPFVAIAVRPRPGVWEYVRVNVHELSVEQLTIPEYLCFKEALVDGQHNDPYLLELDFEPFNVSVPRPNRSSSIGNGVQFLNRHLSSIMFRNRDCLEPLLDFLRGHRHKGHVMMLNDRIQSLGRLQSVLTKAEEHLSKLPADTPYSQFAYQFQEWGLEKGWGDTAEHILEMIHLLLDILQAPDPSTLETFLGRIPMIFNVVVVSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMVLRLKKQGLDVTPKILIVTRLIPDAKGTSCNQRLERISGTQHTYILRVPFRNENGILKKWISRFDVWPYLERFAEDAAGEIAAELQGTPDFIIGNYSDGNLVASLLSYKMGITQCNIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADIISMNNADFIITSTYQEIAGSKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPHTEKAKRLTSFHGSIESLIYDPEQNDEHIGYLDDRSKPILFSMARLDRVKNITGLVEAFAKCSKLRELVNLVVVAGYNDVKKSKDREEIAEIEKMHELIKTYNLFGQFRWISAQTNRARNGELYRYIADTHGAFVQPAFYEAFGLTVVEAMTCGLPTFATLHGGPAEIIEHGISGFHIDPYHPDQAANLMADFFERSKQEPNHWVKISEAGLQRIYEKYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRELVKTVPLAIDQPQ >KQK88955 pep chromosome:Setaria_italica_v2.0:IX:18968478:18970031:-1 gene:SETIT_038248mg transcript:KQK88955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTAALLSSHGGAGGRSIPQALAAVLVCPLSKKPLRYCEASGSLVSDAVGVSFPVVDGIPCLVPKDGRLLDDHQEKSEQDSSTGDSSG >KQK91167 pep chromosome:Setaria_italica_v2.0:IX:48249047:48249654:-1 gene:SETIT_040288mg transcript:KQK91167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRQVHLRQNAANFTARSPVHFIIHTIRTWRTTTPQNCKAIKGVRKRDRICGPQGSCLRFSSRKKDLRSQRLCHHGTHRRTGSSTRIKTAEESNRKPGEETFFAGVSWRMLFAAPHGGK >KQK92974 pep chromosome:Setaria_italica_v2.0:IX:58126244:58130333:1 gene:SETIT_035102mg transcript:KQK92974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAPAPSRKTETYTDTKRRDDVRGANIAAARAVADAVRTSLGPRGMDKMISSGDQEVIITNDGATIVSRMSLVQPAARMLAELSRSQDAAAGDGTTTVVVLAGSLLRRAQSLLSAGAHPTAAADALHRLATRAIEILHSMAIPIELSDRESLVKSASTALNSKVVSQYSTLLSPLAVDAALSVVDPAHPDLLDLRDIRIVKKLGGTVDDTELVRGLIFDKKASHAAGGPTRVENARIAVIQFQISPPKTDIEQSVIVSDYAQMDRILREERNYILGMVKKIKAAGCNVLLIQKSILRDAVTELSLHYLAKAKIMVVKDVERDEIEFITKTLNCLPIANIEHFRADKLGSADLVEEVSVGEGKVVKITGIRDMGRTATVLVRGSNQLVIDEAERSLHDALCVIRCLVNKRFLIAGGGAPEIEMSMQLAAWAKELQGMESYCIKEYAEALEVIPYTLAENAGLNPISIVTELRNRHARGEKNTGINVRKGQITNILEENVVQPLLVSTSAITLACECVRMILKIDDIVTVR >KQK90748 pep chromosome:Setaria_italica_v2.0:IX:45519520:45521061:1 gene:SETIT_039698mg transcript:KQK90748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESTAWSTSPLLLLGTLLSLVASLLFLLRRSHGCRNATPASKGQLPPGPPALVFLAKFLALRRSIFDLGPILRELHTRYGPVISVRHFRTVVFVADRHIAHRVLVQGGATFADRPPLFDPGRLLYTAGARDMSSSPYGPYWRLLRRNLAEALHPARVSLYAPARRAALDVLVADLLRARGGDSSNPVLLRPAFRLALFQMLVYMGLSARLDAEVLDEVQDILLKILRSITCFPIFSFFPAITKKIFRKRWEAYVTVSRRLDEILLPLIQARRAARRGDDPPCYVDSVLALRLPDEGDRPLTDAEVVSLCSEFLNAGTDTTVTLLEWIMAELVNHPDVQAKVYEEVKSKPELNDDDLQEARYLKAVVMEGLRLHPPAHFVLPHGLQSDAEIAGYTVPKGAEVNVLLGEFGRDEKVWTAPLEFRPERFLDGGEGFDVDITGSKEIKMMPFGAGRRMCPGYTLGMLQVEFFVGSLVRELEWLPVAEGVAVDMTERLDFTVVMKQSLRARIIPRN >KQK90138 pep chromosome:Setaria_italica_v2.0:IX:40094751:40099444:1 gene:SETIT_034907mg transcript:KQK90138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAGGGAAAGTRSLDQTPTWAVAAVCAVIVAASILLEGLLHHLGQLLSKKRKKALFDALEKVKSELMTLGFISLLLTVTGRYVSRICIPEGAANTMLPCVRSGHSEAKEPSGHGRRHLSEDSTDLFSCPKGMVSLVSADGMHQLHIFVFFLAVFHVTFSFFTMSLGRAKTRIWKVWEKELPTYEFQYDPSKFRLTHQTSFVRQHASSWSKSAVMLYVVSFFRQFFRSVRRTDYFTLRHGFIAAHLSPGTRFNFRKYIKRSLEDDFKTVVGISPPLWASALAVMLFNVHGWHNLFWFSTIPLVVILAVGTKLQAIIAMMAVEITERHTVIQGMPVVKLSDDHFWFGKPRLVLHLIHFASFQNAFEITYFFWIWYEFGLRSCFHDSFELIIARVCLGAVVQFMCSYITLPLYALVSQMGSQMKRTIFDEQTAKALKKWHKAVVKKKHNKESSDDPSQTPSTDTTTGGTEASEWRRPHEVPVRHLHRYKTIAHVGGTRSPLSDSDYSDTEDAEPLSSSQTRHLIPPAKQRSLDTGGAEVRVDVAAAAPPRAVLQDSFSFPRPPPHHVPDKRASV >KQK92847 pep chromosome:Setaria_italica_v2.0:IX:57488464:57490138:-1 gene:SETIT_040142mg transcript:KQK92847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGAIVMHSAAGKQQAPKSKTSSLFLYILLLYILLPVLVLYVAALAVSPFYSRPCPPGSGAAVSRLAAAADAKGNNAGRSSSSSTSAMLSPPTKARPNPKPSEDAAPTGLRRIVFGIGASSSLWASRREYIKVWWRPGEMRGFVWLDKPVPELYSRNASTGLPGIRISANTSGFPYTHGAGSRSALRITRIVSESFRLGLPGARWFVMGDDDTVFFPDNLAEVLSRYDHTQPYYIGNPSESHIQNLIFSYGMAFGGGGFAVSAALAAQLARMQDGCIQRYPALYGSDDRVHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLQPVFPTVKSRTTALRRLFAGPQSVCYDGGKEWTVSVAWGFAVVVVRGVLSPREMETPMRTFLNWYRRADYTAYAFNTRAVARQPCQRPQVHYMRQSRLDRRRNTTVTEYERHRVPAAPCRWRIPDPAALLDRVVVLKKPDPDLWKRLRCAVHSPRRNCCRVVSSPTKGKDRRMTIDVGVCREGEFARV >KQK90106 pep chromosome:Setaria_italica_v2.0:IX:39722023:39726822:1 gene:SETIT_039791mg transcript:KQK90106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAELGHLLVFAFLFFFATFMVGSVITDVTMGALCPGRDECSLAIYLTGLQQAVTGLGALVLTPVVGNLSDRYGRKALLALPATASIVPLGILAYGRTKGYFYAYYVTKMLTAMVSDGSMMCLSLAYVADRVPEARRAAAFGVFTGVCSAGFVASTFAARFLPVSTTCQVSAVAAVVTAAYMKAFLQETDGGASSCRSEEAASLPLCLPSSSSEESSPRLPPLRKAPSLSEIATLLTSSSTFSRATVVTFFHGLGETGLLNTLLYFLKAKFHYSKNQYANLLLIMGITGSFSQLAVMPLLVPKLGEQKLLIIALIASCGHAFLYSIAWSSWVPYLAASCVVLSTLVNPCIRSIISKKVGPFEQGMVQGCITGISSIANVISPLVFTPLTAWCLSEATPFYLKGFSLACAGFATLVALATSISMRPAEVQPDRK >KQK92611 pep chromosome:Setaria_italica_v2.0:IX:56342090:56345372:1 gene:SETIT_034042mg transcript:KQK92611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSATPRLGSTSDLFFPLSFSLALLCCIAVSNAAGDEAAALLAIKASLVDPLGKLGSWNSASGSSHCTWDCVRCNARGVVTGLNLAGMNLSGTIPDDILGLTGLTSIVLQSNAFEHELPQALVSIPTLQELDVSDNNFAGHFPAGLGACASLTYLNASGNNFAGPLPADIANASALETLDFRGGYFSGTIPKSYGKLRKLKFLGLSGNNLGGALPAELFDMSALEQLVIGYNEFSGAIPAAIGKLANLQYLDLAIGKLEGPIPPELGRLPYLNTVYLYKNNIGGPIPKELGNLTSLVMLDVSDNALTGTIPAELGHLTNLQLLNLMCNRLKGGIPAGIGELPKLEVLELWNNSLTGPLPPSLGSAQPLQWLDVSTNALSGPVPAGLCDSGNLTKLILFNNVFTGPIPAGLTTCSSLVRVRAHNNRLNGTVPAGLGRLPRLQRLELAGNELSGEIPDDLALSTSLSFIDLSHNQLRSALPSNILSIPTLQTFAAADNELTGGVPDEIGDCPSLSALDLSSNRLSGAIPASLASCQRLVSLSLRSNQFTGQIPGAIAKMSTLSVLDLSNNFFSGEIPSNFGTSPALEMLNLAYNNLTGPVPTTGLLRTINPDDLAGNPGLCGGVLPPCGSSSLRASSSETSGLRRSHMKHIAAGWAIGISALIVACGVVFIGKQLYQRWYVNGGCCDDAALEEDGSGSWPWRLTAFQRLSFTSAEVLACIKEDNIVGMGGTGVVYRADMPRHHAVVAVKKLWRAAGCPEEASTAEGRQDVEAGGEFAAEVKLLGRLRHRNVVRMLGYVSDNLDTMVLYEYMVNGSLWEALHGRGKGKMLVDWVSRYNVAAGVAAGLAYLHHDCRPPVIHRDVKSSNVLLDTNMDAKIADFGLARVMARAHETVSVVAGSYGYIAPEYGYTLKVDQKSDIYSFGVVLMELLTGRRPIEPEYGDSTDIVGWIRERLRSNSGVEDLLDAGVGGRVDHVREEMLLVLRIAVLCTAKSPKDRPTMRDVVTMLGEAKPRRKSSSATVAATVVDKDKPVFTTSPDSGYL >KQK88183 pep chromosome:Setaria_italica_v2.0:IX:12203740:12207044:1 gene:SETIT_040118mg transcript:KQK88183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARLRGRGEPALNYGEKTSEKLPLSYTGLKKGRVEQDGLIQEEYKEYEHDEKFIDSDNDIDASDKDLLEDAVEMDVKGLRDNKKARGSKLKSYEINRLAQVNDDEDSDDDGLELPDSDGGDRLKFKSWNEEDINNPTLFVGQVFQSAKKLREAIIEYSVRNRVEILLPRNDNRRIKGHRAEGYPWTLYASLDRRFVHNCQKEWVLRRCTFRWLVSKYTNLCRANEKMSITSFGKTAQKDLNLTISRIKLARARRLILSSIHGDEVQYNLGSTFYLNCAKNLFSTCYMSLDACKRCFLTGCRPMIYLDGCNIKTKFRSQFLIAVGIDPNDCIYPIAMAVVEVESLALWKWFLETLKDDLKIDNTYPWTIMTNKQKVFSELEHRFCVRHLYSNFQEKIQGAYFSDFPKYDILLNNNCEMFNSYILEARELLILSMFERIKCQLMTRHYNKQKELAEDFQGGFFPKIRKKVAKNAEFANLCYALPSRSGMFHVQFKEYQNIVYIQAKICDYRKWQLTGVRCCHAIACLRHKRISEWACVNGPEVLPPVYEKKIGRAPKHRKKQPQKIQGKYGPKLSKHGVTIHCKHCSEAYHNSGGCSLKKMGFTSEEEKKLVAETQARLQLETEQAADTTQSTMQYNQPLGPLPNSQYIISKQPLPRPTPLTTNTKVGKAATTKRRKASTSNNDGAGKKAKSNTGSKRG >KQK87821 pep chromosome:Setaria_italica_v2.0:IX:9542766:9546497:-1 gene:SETIT_033970mg transcript:KQK87821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMDVNHPFGIRQMENGNGSYMSETSTSDENSADGSGLVVEPYGVPCMVEIFHFLCSLLNVVEQIGLDEDLPLFALKLINSAIELGGSSIQKHPKLLSLVQDELFRNLMQFGLSMNPLILSIVCSIALNLYHHLRTELKLQLEAFFACIIIRLAQPRFGATYHQQEVAMEALVDFCRQKNFMVEMYANLDCDITCRNVFEELANLLSKSAFPINCPLSSMHILALEGLIAVIQGMADRIGNATSRPELMPVELDEYTPFWTVKCENFSDPRHWVKFVRQRKYVKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAQTFDFQEMNLDTALRLFLETFRLPGESQKIQRVLEAFSDRYYEQSPQAFANKDTALLLSYSIIMLNTDQHNMQVKKKMTEEDFIKNNRNINGGSDLPREMLSELYHSICLNEIKTTPEQGMGYFEMSPSRWIDLMRKSKSTSPYIVGDSQPFLDHDMFAVMSGPTIAAIAVVFDHSEHEDVLLTCVDGFLGVAKISAFHHLEDVLDDLVVSLCKFTTLLNTSLVEEPVTAFGDDLKARLATETLFTIANRYGDYIRTGWRNVLDCILRLHKLGLLPARVASDAADDSELSPEAVQGKAAPSAVPPSHIPVMGTPWKSSGLMGRFSQLLSLDSEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLQPDSLLQLARALIWAAGRPQKVASSPDDEDTAVFCLELLIAITLNNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAIFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVGDAYSENITQEVARLVKANAAHIKSPMGWRTVLMLLSMTARHPDASEVGFEAIMFIMTEGAHLSLANYGFCIDASRQFAESRVGLADRSIRALDLMSDSVRSLALWSQEIKGAGEEGEKRLEAIREMWLKLLQSLKKLSLDQREEVRNHALALLQRCLTATEEICLQSATWSHAFDLVIFALLDDLLEISQNHSQKDYRNMEGSLVLAMKLVAKVYLQLLPDLFGLSSFCKLWLGVLSRMEKYIKIKVRGKRSDKLQEVIPDLLKNILLVMKNKGILAKRSTIGGDSLWELTWLHANNISTSLLPEVFPSQEYEQQSSAGSPRGPNSVEA >KQK88651 pep chromosome:Setaria_italica_v2.0:IX:15789552:15792976:1 gene:SETIT_039151mg transcript:KQK88651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGLRTLQRTIEAFRRGNYSLEYYDVNKSEVMGHIHGYYKEAFDRLPSSLDSLFHEVGVCFGFFDPVSNIIANTAAYGCSSSLVKKEANLEGQGGRGKKRKRPQAGTSSKAKGKKASSTSEDATRQRGKVICADAVSAKARSLRGLVTFLTTYFRYLTTSEALRYLRLAKADLLVAVRLIEEDRDSEAFTIHHPTTKVALTCAALSAMHPHVTGSDDPKVSPLLAIQGRLSIATLSHPTELSMEGSHGTADSEEVMRYAISRFPTSIKKMQYPFELELTLMKVLQDRIHGFYLKAISCIPAPCLRSRHHRGLLKDGHCYGQFDPVTNIILNTIWYDIVFPPHQEFEVDMIYDEALARTECRSLHGLTTLVTKLFPELSTYDATQYLLLDNATLDRVISRANLSGYKVSSQPHDAYKAAARAANHPNPTALATFALGSMQEGLELKALLEVKPTLSPDDVQIISTYLLQYQRSKPVGLVQKLTKGASEIVSAKRKDFEAHQSSVYRCVQAALRKHSQDKGEEYELLAICGVNAEIPLNGKFGDYENYDGYPYSHINIWARLKGSQVADVAPTLLFVQHRNDSEDMKNSQSLCLPVSESSKDAGNWRGRMGLPLGPRCAR >KQK90263 pep chromosome:Setaria_italica_v2.0:IX:41065358:41068864:1 gene:SETIT_039681mg transcript:KQK90263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDAAEKFRFCIDRGGTFTDIYAEVPGRSEGYVMKLLSVDPSNYDDAPIEGIRRILEEFTGERIPRSSKIPTGRIEWIRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPNIFDLKVSKPSNLYEEVIEVDERVELVQEGDGSSVEGISGELVRVAKPVDVETLKPLLKGLLDKGIRCLAVVLMHSYTYPNHELLVEKLALEMGFKHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKEYLSGFMSRFEGGSEQVNVLFMQSDGGLAPERRFSGHKAVLSGPAGGVVGYSQTLFELETMKPLIGFDMGGTSTDVSRYDGSYEQVLETQIAGAIIQAPQLDINTVAAGGGSKLKFQFGAFKVGPESVGAHPGPVCYRKGGDLAITDANLILGTVIPEYFPSIFGPNEDMPLDYEATRKAFEDLAAEINSHRKSQDSSVKDMTVEEIALGFVNVANETMCRPIRQLTEMKGHDTKNHALACFGGAGPQHACAMARSLGMSEVLVHRYCGILSAYGMGLADVIEDLQEPYSAVYNADSAAEASRRESLLVKQVKEKLREQGFVDESIRTNSYLNLRYDGTDTAIMVKQPEQGSGNDYATEFEKLFQQEYGFKLLNRKILICDVRVQGIGGTNILKPHELTHISTKPVQESSCQIYFSYGWQETPLYKLENLGYGHVLEGPAVIMNGNSTVIIEKDCKAIITKYGNIKIEISAAPSTVKISEEVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLNYWGDNLHEGDVLVTNHPCSGGSHLPDITVVTPVFDNGNLVFFVASRGHHAEIGGITPGSMPPFSKCIWEEGAAIKTFKLVERGVFQEEGIVQLLQSPCSDELSGYKIPGTRRIQDNLSDLRAQVAANQRGIALIKELINQYGLATVQSYMSHVQKNAEVAVREMLKAVASRVQKENGSCVIEDEDYMDDGSVLHLKLTLDASKGEATIDFEGTSPEVYGNWNAPEAVTTAAVIYCLRCLVDVDIPLNQGCLAPVKILIPKGSFLSPSDKAAVVGGNVLTSQRVTDVVLMAFQACACSQGCMNNLTFGDDTFGYYETIGGGCGAGPTWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHRFSIREKQWRF >KQK91353 pep chromosome:Setaria_italica_v2.0:IX:49406585:49408912:1 gene:SETIT_036699mg transcript:KQK91353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKNRQKLFLPLPLTRSSGSHQTWHLDTTSAMVQIKEFRIVMPMSMEEYEIGLSYTIMKMEQQNTNSKEGVEVLQQVPFEDEKLGKGQLTSKVYHLQSKIPSWMKGFAPASALTVYEDSWCAFPNSRTVIKCPLFSKCSLTIDTVTKPDNGCSENVHNLTSEQLAAREVEIVDIASISRDYWSKVISAPNVDLTTFKSQRTERGPLLKGWMDSCSPVMTTYKLVIMDAPIWGLGERLEDCIIAGERALFLACHRLCFAWIDEWYGMTVDQIREMERQTDMLLKKTLKKPGKAGSKHEGKRKTLKDEIAVVGSCT >KQK86062 pep chromosome:Setaria_italica_v2.0:IX:120870:122492:1 gene:SETIT_039723mg transcript:KQK86062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPLPFAHTPNTMNSTLASISFQSPCFKLQPASQLRLWPPRQQETSGAHTRKQGLPLKPLHAVLGADRNRRLKNANLRGSTLPSSPLSDVVQEFYSSLNEKNSKRLDKLIAPDCIVEDTAYYKPLDVKCTRIYFKRLMESMGENVKFAIDEVCQGAEHTAAVMWHLEWNGYIIPFTKGCSFYIGSENGAVLLIRKVHIFDESPLKPGKWALEILNIVTSLLNMFPKIAE >KQK90237 pep chromosome:Setaria_italica_v2.0:IX:40893895:40897917:-1 gene:SETIT_036872mg transcript:KQK90237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLARAPPLHHSHPPHTATAGLLPRSASAATPRRRVPPSSRARVRASNSDPPQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVVLNHLLSPSPTPAQLRSEALGLCLAAFSATLPFLGRFLEGADAANRVPLPDRSRQVFVMSENLSAAQKEDLAWASYVLLRNTNTTSVLIAIGDVLCVRGYWDPPANTSKYAMIEWFKSQIQQVGLNDLRNALYFPNSSDSQLAKILPDGILSLLAQPVLRSPDLANGETKTEGVILLASNANYAYTEKDRVWIRTVANKFQSA >KQK90238 pep chromosome:Setaria_italica_v2.0:IX:40893334:40897917:-1 gene:SETIT_036872mg transcript:KQK90238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLARAPPLHHSHPPHTATAGLLPRSASAATPRRRVPPSSRARVRASNSDPPQQQQVNLSVLRFTLGIPGLDESYLPRWIGLGFGALVVLNHLLSPSPTPAQLRSEALGLCLAAFSATLPFLGRFLEGADAANRVPLPDRSRQVFVMSENLSAAQKEDLAWASYVLLRNTNTTSVLIAIGDVLCVRGYWDPPANTSKYAMIEWFKSQIQQVGLNDLRNALYFPNSSDSQLAKILPDGILSLLAQPVLRSPDLANGETKTEGVILLASNANYAYTEKDRVWIRTVANKFQSA >KQK91005 pep chromosome:Setaria_italica_v2.0:IX:47155523:47157997:-1 gene:SETIT_034597mg transcript:KQK91005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSLMANTEGYRLVRCPKCLNVLPEPPNVAVYRCGGCGTTLRAKIRASNGQHVAKKQVRQDSDNYSVATTASNGVPRQTKDHASTEITMDSSCIADAPSTEHGRNGTGSNESGDVVPPEKNDLEVENKESKDHHDFEGQDTNSRMEDPADLENSNGKSTCGDSGEVENHIMEQPAENSETCRVREDDGTECHLNASENNMLSSEMSKAAVNMQDAEQKESSQEQKESGQAEHAANKKSYLVRVLSRSCDLRATVNSLDFHSARTSLQSKSFRASEPLQSKIMNTVDELKDDLSELFHKPSESKPKAYPPRPSKQDGHMTRAALTSSAPLAAYHPAAKHSGYAARISRSGQVAPRGLPSLRYRRHRVYPCHHNVQMEMRPCRHECCHSCQPPCYRSCKQEPAAMHKPPPAKEIKRRPVPRNHCRPVLRGAPFVICSNCVRLVQLPTDFAVPSRGTRRLQCGSCSEVLSYSYRDPGRKKPQSPFGGDEYSTDDYEAHVHHQAAADDHHYAAAGFEQADPVSYSEEYGLSFGVSQSTSTEDGQPLYVSRNSSFNTVDERAGGRDGKLHRLMGYSSASELLRHSPDLFESFDGRAPNNARAHHVVDRKGKGVCHGGEPDDAGKRSMARSGGLPLQGILKKGIHSLESLKLRS >KQK93115 pep chromosome:Setaria_italica_v2.0:IX:58850646:58868381:-1 gene:SETIT_039430mg transcript:KQK93115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGGGEPIPLSRFGALVAQLESVVASAKQKPPDALLCFDLLSELSSAIDEASKETIQLWQRKCEDALQSLLVLGARRPVRRLASSAMGRIIEKGDAISVYSRASTLQGWLVDTKRAEPMACAGAAQCLGEIYRLFGRKITAGLIETSSMISDALKLLLVMSSLLHFDEYDFVRQDALLLLENALEGSGGGGGAAAYQDAFRIIMRGGVSDRSFIVRVVAARCMKAFANIGGPGLGMAEFDTSMSYCVKGLEDSVSSVRDSFAEALGALLALSVNPDAQVKKGGKKQTASAKKFEDGVQKHLIVPFVKANGANAKKLRIGLALSWRFFLQMMHMKYGTPDSELQNYAIQAMEILQGNYSPDPHALACVLYVLRVGVADQMTEPAQREFLVFLGRKLESSNYTAPMRVATLRILSYLLRSLGEVPVEFKDVLDNTVVAALSHSSAHVRVEAALTLRALAEVDPTCVGGLVSYGVTTLHALRETVSFDKGKNLNVELDSLHGQATVLAALVAISPKLLLGYPARLPKSVLELSKKMLNGFSRNPVAAIAEREAGWLLLASLLASMPKEELEDQVFDVLLLWAGPFTGNPESYLRHIQDWASELRVLSVAIEALTAFIRSFVYPTITTVDGGILLNPVLAYLGGALSLISSLRSKQLPDVKSALDLFATRTLMAYQSLSNPLVYKSEHQQMLELCSSPFCDPSGWEESSCLKFLLDKRDASLGPWIPGRDSFEDELRAFDGGADGFLPCVWDDEISNFPQPESVRKMLVNQMLLCYGSIFACQENAVKIRLLNNLDQCLKSGKKYPWFTCLITNACVAILSGLKEFLTLRGAQSLPIDILSMIQSIFKAILGETEISTAQRRAACEGLGLLARVGNDIFTARMARSLLGELVTATDLSYTASVAFSLGCIHRSAGGMALSTLVTPTVSSLSHLSKSSNSNLQLWSLHALLLTIEAAGLSYVSQVQGTLFLAMEILLLEENGYGDLRQGIGHLINAIVAVLGPELAPEISSSNEMATLLESVRFAQQLVLFASQAVPVHSHVQGLIPTLYSRQPSLRYLAVSTLRHLIERDPVRPAN >KQK88392 pep chromosome:Setaria_italica_v2.0:IX:13905694:13910364:1 gene:SETIT_034667mg transcript:KQK88392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCYARNVHGVDADGGGGGVGATTITVSAAGAAEDAAEVGGGGGRGGGRRSGRPSPAGTPRGGRAGATPARSSAAGSPWAGSPLGLPDGIAPSPATSASTPRRFFRRPFPPPSPAKHIKASLVRRLGQRSPASASQAPKPPVEVPIPEHGAGASGAGEVERELDKSFGYDRHFAAKYELGKEVGRGHFGHTCLARARKGDMRGQVLAVKVISKAKMTTAISIEDVRREVKILKALSGHSNLVKFYDACEDALNVYIIMELCEGGELLDRILSRGGRYNEGDAKIIVDQILNVVAFCHLQGVVHRDLKPENFLFSTKDEHSPMKIIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSTEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDTPWQSVSPEAKDFVKRLLNKDYRKRMTAAQALSHPWLRDEHRQIPLDMLVFKLVKAYLRSTPLKRAALKALSRAVTEDELIYIRAQYNLLEPNSRDGRICIDNFRMALLQNSTDAMKESRTLEILNALEPLAYRRMDFEEFRAATISPYQLEAVSRWEEIASTAFEYFEQEGNRAITIEELAQEMNLSSAAYSIVRDWIRPSDGKLSFLGYTKFLHGLTMRSGNARRHN >KQK92374 pep chromosome:Setaria_italica_v2.0:IX:55223495:55224040:1 gene:SETIT_039927mg transcript:KQK92374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPSTAVSSILRPTPPPDFSFRTIPLPQIRRAAAGAASSRLVLARADSSGAARGEGAETVFFDGGAHYGDLAANLLLGLTLLWLPLTLAAVSRAFILRYRFTSRRVTVVSGLSGADRTDFPYSSVTSVVVVPRFIGEWGDIIITLRDGTKVDLRSVPRFREVADYCRSMAAAEGSLVTQ >KQK90441 pep chromosome:Setaria_italica_v2.0:IX:42719171:42720171:1 gene:SETIT_037828mg transcript:KQK90441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVILDGSTVRAFVADEAAFARSVDTRFAALDANGDGVLSRAELRRALESFRLLDGDGFGSAEPAPLPAEVAALYDAVFEQFDADHSGAVDRAEFRDEMRRIMLAVAEGLGSQPLQVAVDDEGGSFLLEAAQHEAKAIAAKVDAERKAAAADADAAAAAK >KQK89472 pep chromosome:Setaria_italica_v2.0:IX:31443067:31451180:1 gene:SETIT_034121mg transcript:KQK89472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPSAEGFLQASSCLPCTAEEERELVAALTREAEENVRDGDLRYLVSQSWWMEWQRYVGLVSDEENDTEQLPQAMNRPGEIDNSKLISAETINGSEEPELQRTLREGEDYTLVPQGVWRRLYGWYKGGPEIPRKVILDSQPNKVYIVDVYPLCLKLIDGRDNSERNIRISRKAKINELYSMVCSIMSVEQSEIVIWDYYQKLKSKKLANFNETLDEAQITMDQEILLEMKLDESRTGFNTRSTNNELALIPLGPSTSSFSIAGGPTYSNGFSSGIGSSFSQDNSFGPLLRDSTDGYNSFSNGTKDDTHGLSGLHNLGNTCFMNSAIQSLVHTPPLVEYFLEDYTREINTENPLGLQGELAIAFGELLRKLWSSGRTSVPPRAFKSKLSRFAPQFSGYNQHDSQELLGFLLDGLHEDLNRVKKKPYIEAKDADGRPDDEFAEECWNYHKARNDSIIVDKFQGQYKSTLVCPVCNKISVTFDPFMYLSLPLPSTVTRMITVTVFSGTGDALPMPFTVTIQKNGNCRDLTKALTDACCLKSSETLLLAEVYDHRIYRYLTNPIEGLYTIKDEDILVAYRLPVGHEKLLRLEILHRRADRFGADPQFNINRKLIGCPLVTCIPNDSTGKSDIYAAVSDVLVPFVRAKAHGPDVSAVKLSGNGPSLDGIVLTDNGTTCEEGLSTSSGDDNAVDDDLLPFQLSLTDEKGIARNAINTDSNRVLGIVMRVLMDWSDREREMYNTDYMDELPEVFKPGFLSKKTRQEAVNLFSCLDAFLKEEPLGPDDMWYCPGCKEHRQASKKLDLWRLPEILVVHLKRFSYSRYMKNKLDTFVNFPIHDLNMSKYVKHTSRGNLQPMYELYAVINHYGGLGGGHYSAYAKLVEEDNWYHFDDSHVSSVSEDDIRTSAAYVLFYQRVGGSSAVANSVPVDIEMVDSLET >KQK92977 pep chromosome:Setaria_italica_v2.0:IX:58135762:58139264:-1 gene:SETIT_035609mg transcript:KQK92977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYYVVFGALAAVVAGLELGKSGKDRVATTSAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYRQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRILGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLIAIVSGLFANLLAENLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFAISAVTLVLPVVTNFLVPPSSVKGGSISFGGSLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMASILQRRLMVVSDLHKSSTTQEMIGEDEPLNP >KQK92976 pep chromosome:Setaria_italica_v2.0:IX:58136179:58139133:-1 gene:SETIT_035609mg transcript:KQK92976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFYYVVFGALAAVVAGLELGKSGKDRVATTSAFNSFKNNYVLVYSLMMSGDWLQGPYVYYLYRQYGFDKGDIGRLFIAGFGSSMLFGTIVGSLADKQGRKRACITYCISYILSCITKHSPEYKILMIGRILGGIATSLLFSAFESWLVAEHNKRGFDPQWLSITFSKAIFLGNGLIAIVSGLFANLLAENLGFGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSESKDLMAQFKVAAKAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNEEDIPHGFIFATFMLSSMLGSSIASRLLARKLKVEGYMQIVFAISAVTLVLPVVTNFLVPPSSVKGGSISFGGSLQLLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCVVLYNVNAFPITVMFGMCSIFLFMASILQRRLMVVSDLHKSSTKAQEMIGEDEPLNP >KQK92229 pep chromosome:Setaria_italica_v2.0:IX:54492645:54494172:-1 gene:SETIT_035816mg transcript:KQK92229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTLLVLLLAATAASAADLSVYHNVHPPSPSPLESIIALARADDARLLFLSSKAAASPGVTSAPVASGQAPPSYVVRAGLGTPVQQTLLALDTSADATWAHCAPCDTCPAGSRFIPASSSSYAALPCASDWCPLFQGQPCPANQDAGASMPTCAFSKPFADASFQASLGSDTLRLGKDAIANYAFGCVGAVTGPTTNLPKQGLLGLGRGPMSLLSQTGSRYNGVFSYCLPSYKSYYFSGSLRLGAAGQPRNARYTPLLSNPHRPSLYYVNVTGLSVGRAWVKVPAGAFAFDPATGAGTVIDSGTVITRWTAPVYAALREEFRRQVGAPSGYTSLGAFDTCFNTDEVAAGGAPAVTLHMDGGVDLTLPMENTLIHSSATPLACLAMAEAPQNVNSVVNVVANLQQQNVRVVVDVAASRVGFAREPCN >KQK86896 pep chromosome:Setaria_italica_v2.0:IX:4345490:4347324:1 gene:SETIT_035779mg transcript:KQK86896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRVLVGNLALRMPVAPPAAGAGAGVHPSTSPCHCKIRLGKMPAQLVPAPLAPFDGGEQAPASGALAAAFHLSKADLEWFNGKPSLFSSRGEACLKVAVYAGRKGSTCGVSSGRLLGKATIPLDLKGAEAKPAVLHSGWISIGKRGGKGSPAAAELSLTVRAEPDPRFVFEFDGEPECSPQVLQVRGSMKQPMFTCKFGCRSNSDLRRPGMQPEREGASGKERKGWSVTVHDLSGSPVAMASMVTPFVPSPGTDRVSRSNPGAWLILRPAGDGAWEPWARLECWRERGGAGASDSLGYRFDLLLPGVDHAVPLADSSIPSSKGGKFAIDLTAAQPLSRGGTPGCSPRGSGDFSNWPLGNYRGFVMSAAVQGEGRCSKPTVEVGVAHIGCAEDAAAFVALAAAVDLSMDACRLFSHRLRKELSHPQADLLR >KQK87103 pep chromosome:Setaria_italica_v2.0:IX:5561437:5566625:1 gene:SETIT_035514mg transcript:KQK87103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRFSPALQASDLNDFIAPSQDCVISLNKNSSAARRLQIKQKENTVSTKPPEEAVKISLKDCLACSGCITSAETVMLEKQSLGDFIARINSGKTVIVSVSPQSRASLAAFFGLSQSQVLRKLTALFKSMGVKAVYDTSSSRDLSLIEACNEFVSRYQKNQSSSGQEAGASLPMISSACPGWICYAEKTLGSYILPYISSVKSPQQAIGAAIKHHVVEKLGLKPYDVYHVTVMPCYDKKLEAVRDDFVFSVDGKEVTEVDSVLTTGEVLDLIQSKSIDFKTLEESSLDRLLTNVDEEGHLYGVSGGSGGYAEIVFRHAARAIYKREIEGPLDFRTLRNSDFREITLEVEGKPVLKFALCYGFRNLQNIVRKIKMGKCEYHFIEVMACPSGCLNGGGQIKPVQGQSAKELIQQLESVYTQDVSISDPFDNPITKRLYDEWLGQPGSENAKKYLHTDYHPVVKSVASQLQNW >KQK89434 pep chromosome:Setaria_italica_v2.0:IX:29518554:29520063:-1 gene:SETIT_039697mg transcript:KQK89434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKSLEFDQDPIAIGAFSAVLKGIPVVCAAGNTGPTASSVFLTVAAGSVNRSFPADVQLLKGPRVVGETLTQATNSSSKPYPLLYSEEQQHCDYSAEDSSIAGKIVVCEANGGLADKSIIRDLRSAAAGGVVLINADINGYTTVLRDYGPGVMQVTAADEINITDYATLTNNHSAATFTFNNTEILVRSSPTVASFSGRGPTDILAPGLNILAAWPPLGMTAVESFNIISGTSMAMPHTSGIAALVKSAHPDWSPVAIKSAILTTSDAVDKNGKLILDEQRKRAGAHATGAEHVNPTRAADPGVVYDLGVPEYAGYICALLGDRALATIVRNSSLSCSGLLKTPEVQLNYPTITVPLQPTPFTVNRTVTNVGPAESTYMVKVDVPGSLTVHISPLTLVFSRAGEKKTFSVTVSGQGADGQAVVDGSLSWVSGNHVVRSPIVAIFGLARPRLMS >KQK92355 pep chromosome:Setaria_italica_v2.0:IX:55103596:55106608:1 gene:SETIT_038758mg transcript:KQK92355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCLWPCATPEVAGVGAGHKKGGLFRTKPRTPPEVVQYVAELLTYILNHKEGFSGGKRDSKLEHKTIELSKSIKEMKAILYGNGEEDPCDEACKQLTKEFFKKNNDIFRHIIIFLPHLDLETQKDVTQVIANLQRQRVDSRLVASEYLEANSDLLDILMSRYNNVDIAIHYSTLLRDCIRHQVAARYVLESKHFRSFFDYIQFPDFNVQSDVFKTFKELITRHKSTVADFFSKNYDWFFAEFNSKLILPASNYIIRRQAIQLLRDILLERSNAAVMVRYVSSKENLMILMNLLRDQSKAIQVEAFHVFKLFVLNKEKPPEISAILHTNRNKLLRFLKDFTVDKEDKKFEADKGRVISDILTLALKSR >KQK92191 pep chromosome:Setaria_italica_v2.0:IX:54251553:54254199:1 gene:SETIT_035508mg transcript:KQK92191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPPEDFQCAANGNCAAPPRKPPPAPAAGDGELRWLRRCVEAAGKGFAIGAGLKGGLALFSVLVRIRSRRSPRSRKAGAMTNEEAVVLALKETVRYGLFLGTFAGSYVSVDEYIAAVWGRKRTARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGKICKPLTWSNGDIFLMCLASAQILSAYILKQDSLPSSYKSFLNKHGGKDLTILQGVKDIVNHTAISNLAGIEKYYKSVGVDIKLDPNMKVPCPIVHGNQSCTGHVFSFLLQAYGRAVPVYVPVYLVPALVVHRQHLMKSPSTILGKSLLGIARSSLFLSVYCASAW >KQK92192 pep chromosome:Setaria_italica_v2.0:IX:54251553:54255045:1 gene:SETIT_035508mg transcript:KQK92192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPPEDFQCAANGNCAAPPRKPPPAPAAGDGELRWLRRCVEAAGKGFAIGAGLKGGLALFSVLVRIRSRRSPRSRKAGAMTNEEAVVLALKETVRYGLFLGTFAGSYVSVDEYIAAVWGRKRTARWRSLLAGLIAGPSMLLTGPGTQHTSLAIYILMRAAVLASRCGIKSKRFGKICKPLTWSNGDIFLMCLASAQILSAYILKQDSLPSSYKSFLNKHGGKDLTILQGVKDIVNHTAISNLAGIEKYYKSVGVDIKLDPNMKVPCPIVHGNQSCTGHVFSFLLQAYGRAVPVYVPVYLVPALVVHRQHLMKSPSTILGKSLLGIARSSLFLSVYCASAWAWTCLLFRTFQSANTPLVILGTFPTGLALFIEKKSRRIEISLYCLARAIESFFTCMTDAGLCPPILQIKRADVVVFSIATSIIMHCYAQEREVFRSKYLNVLDWVFGVPPPLDNEGESGYQPDDEAKKCQ >KQK86345 pep chromosome:Setaria_italica_v2.0:IX:1371285:1375483:1 gene:SETIT_034719mg transcript:KQK86345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEKPASKGHGFFGLFDWGKKSKKRLFVGSASSSLDPKNAGDGKDIDDSTTSTRSNSILEDAPSLKESSEHSCSSSVIDEEAQARRCPTVVARLMGLDSMPVASPSELNPMPSTAQQPFQTNNHDDFTGRSYVGSPHKMPGSPIDRFKMEALPPRLAKRTLSVAQYKLLSPMKNPNHISSRNAADIMEAASRIIRPGLENISSYRVHDVGHTNAARAYNPGEIIGVQQRSQKLNEALRKRDGPASFRPPSGKPLDGRSRSSEGTSSSRISQSNGCAPVGPKVKSGNRSSNVAQAMHAQGGMRKGSRKLETRRNPENSLVERNGLHQPKDNNQMGSTSSSSVLVPNNRRQNTMASKHKVNSNPANPSRQRSNIHQINASPRKAGAASTFAGNNTQGSRKMDLQPTARANVRNDSIAKAIPKPRRSQNRRMYSDTSQSSDSVNSDRSQRRIRHNIVIDEQSSFSTNKKKISTEIVSFTFTSPVDKSLHSVHSPNHSVEKQFIENLNAVSTSSNTSNTKLDVIDGDYLGLLLEQKLRELTSGVRSPYFNPAKGVKVHGTSTALEDTASACETSSIASTDYDRESMQSFNDGKATLPQTDLATKSGQKGEACLCCSPVIVLCL >KQK91905 pep chromosome:Setaria_italica_v2.0:IX:52612663:52613413:1 gene:SETIT_038336mg transcript:KQK91905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFQFCIISPSVERDNLSLGEFRRISCCLAVAQIGFHRRRPGQSLAATGHPCPLLRCRVTAIHRRRWPCMDEIINKT >KQK90376 pep chromosome:Setaria_italica_v2.0:IX:42223928:42225692:-1 gene:SETIT_039507mg transcript:KQK90376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACGSVWMMRYAPHALMVLAQVFFTLLYFVTEAAFNRGLNPYVYVTYRHLLAACVLWPFAYFYEKTLRPKMTLMLFLEIFVLSLLGGSLTLNMYFSSMKYTSPTFVTSMVNAVASITFVIAIILRMEIVNVRSLRGLAKIAGAMVSFAGVTTISLYKGAAIRSLWKAPIHMHGSGVAVAHESWVKGSLLAVASCICWSICFILQASSVKRYPAKLSLTAWISMVGGMQAAVFAAFMQRNLEDWLIGFGLKFWCIVYTGIACNGLTVFIQLWCNKKKGPVFVTMFNPLLTVMVTTVAYFIFGENLYVGSIIGGVLVILGLYMLLWGKDRDQEHKATKEQDSGLDCEKQETVISDVSSAWNDKRTKH >KQK87539 pep chromosome:Setaria_italica_v2.0:IX:7845026:7848650:1 gene:SETIT_035308mg transcript:KQK87539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARWAVLLALLVASAGAGGARAAGVKGANWLGGLSRASFPKGFVFGTATSAYQVEGAASTNGRGPSIWDAFAHIPGKVAGNQNGDVAVDQYHRYKEDVDLMKSLNFDAYRFSISWSRIFPDGEGRVNPEGVAYYNNLINYLLQKGMTPYINLYHYDLPLALEQKYGGWLSSKMADLFTEYVDFCFKTYGDRVKHWFTFNEPRIVALLGYDAGSNPPQRCTKCAAGGNSATEPYIVAHNFLLAHAAAAARYRTKYQAAQKGKIGIVLDFNWYEPLTNSTDDQAAAQRARDFHIGWFVDPLINGHYPQIMQDLVKERLPRFTPEQAKLVKGSADYIGINQYTASYMKGQKLLQQTPTSYSADWQVQYVLARNGKPIGPQANSNWLYIVPWGMYGCVNYLKEKYGNPTVYITENGMDQPGNLTRDQYLRDVTRVRFYKSYLAQLKKAIDQGANVAGYFAWSLLDNFEWLSGYSSKFGIVYVDFNNKLERHPKASAYWFRDMLKKN >KQK87540 pep chromosome:Setaria_italica_v2.0:IX:7845026:7848650:1 gene:SETIT_035308mg transcript:KQK87540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARWAVLLALLVASAGAGGARAAGVKGANWLGGLSRASFPKGFVFGTATSAYQVEGAASTNGRGPSIWDAFAHIPGKVAGNQNGDVAVDQYHRYKEDVDLMKSLNFDAYRFSISWSRIFPDGEGRVNPEGVAYYNNLINYLLQKGMTPYINLYHYDLPLALEQKYGGWLSSKMADLFTEYVDFCFKTYGDRVKHWFTFNEPRIVALLGYDAGSNPPQRCTKCAAGGNSATEPYIVAHNFLLAHAAAAARYRTKYQAAQKGKIGIVLDFNWYEPLTNSTDDQAAAQRARDFHIGWFVDPLINGHYPQIMQDLVKERLPRFTPEQAKLVKGSADYIGINQYTASYMKGQKLLQQTPTSYSADWQVQYVLARNGKPIGPQANSNWLYIVPWGMYGCVNYLKEKYGNPTVYITENGTQ >KQK87538 pep chromosome:Setaria_italica_v2.0:IX:7845129:7847688:1 gene:SETIT_035308mg transcript:KQK87538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARWAVLLALLVASAGAGGARAAGVKGANWLGGLSRASFPKGFVFGTATSAYQVEGAASTNGRGPSIWDAFAHIPGKVAGNQNGDVAVDQYHRYKEDVDLMKSLNFDAYRFSISWSRIFPDGEGRVNPEGVAYYNNLINYLLQKGMTPYINLYHYDLPLALEQKYGGWLSSKMADLFTEYVDFCFKTYGDRVKHWFTFNEPRIVALLGYDAGSNPPQRCTKCAAGGNSATEPYIVAHNFLLAHAAAAARYRTKYQAAQKGKIGIVLDFNWYEPLTNSTDDQAAAQRARDFHIGWFVDPLINGHYPQIMQDLVKERLPRFTPEQAKLVKGSADYIGINQYTASYMKGQKLLQQTPTSYSADWQVQYVCEFLTLNKFYLNVFILDIFT >KQK87541 pep chromosome:Setaria_italica_v2.0:IX:7845026:7848650:1 gene:SETIT_035308mg transcript:KQK87541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLNFDAYRFSISWSRIFPDGEGRVNPEGVAYYNNLINYLLQKGMTPYINLYHYDLPLALEQKYGGWLSSKMADLFTEYVDFCFKTYGDRVKHWFTFNEPRIVALLGYDAGSNPPQRCTKCAAGGNSATEPYIVAHNFLLAHAAAAARYRTKYQAAQKGKIGIVLDFNWYEPLTNSTDDQAAAQRARDFHIGWFVDPLINGHYPQIMQDLVKERLPRFTPEQAKLVKGSADYIGINQYTASYMKGQKLLQQTPTSYSADWQVQYVLARNGKPIGPQANSNWLYIVPWGMYGCVNYLKEKYGNPTVYITENGMDQPGNLTRDQYLRDVTRVRFYKSYLAQLKKAIDQGANVAGYFAWSLLDNFEWLSGYSSKFGIVYVDFNNKLERHPKASAYWFRDMLKKN >KQK86872 pep chromosome:Setaria_italica_v2.0:IX:4227807:4231437:-1 gene:SETIT_036787mg transcript:KQK86872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGVAFEAARKIIMHPLYAPRSSPWLDLKVFYIRVSNCVVDESAPEHLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKKTEEATFVSTDNIRMTGSVRFQVFDKNDLLLTGDLDLSNANGVVGESKNSSKKWNMKCQSSTSCNGFLKGKLSTGSEYVQPVIEVYVAGTFSGTPIILTKAIQLISRRKSEMKLKLDSIPENEATEQQKEESAEDSLKVSEFQDSKPETDVDVDYNSLYSRQDFLEGEDGELSWFNAGVRVGVGIGLGVCVGIGLGVGLLVRTYQSTSRNFRRRLP >KQK86871 pep chromosome:Setaria_italica_v2.0:IX:4228227:4230578:-1 gene:SETIT_036787mg transcript:KQK86871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGVAFEAARKIIMHPLYAPRSSPWLDLKVFYIRVSNCVVDESAPEHLTLNHIPLSPDTVIEVNGQRSSMHTEFISSSLRRDRVDKKTEEATFVSTDNIRMTGSVRFQVFDKNDLLLTGDLDLSNANGVVGESKNSSKKWNMKCQSSTSCNGFLKGKLSTGSEYVQPVIEVYVAGTFSGTPIILTKAIQLISRRKSEMKLKLDSIPENEATEQQKEESAEDSLKVSEFQDSKPETDVDVDYNSLYSRQDFLEGEDGELSWFNAGVRVGVGIGLGVCVGIGLGVGLLVRTYQSTSRNFRRRLP >KQK87561 pep chromosome:Setaria_italica_v2.0:IX:7918010:7918770:1 gene:SETIT_038359mg transcript:KQK87561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTWTKISLQTKTEMIFPQDVALHKSTDLPSKEEEGTKEGKERFRHLLSLSLLVLRPARSYWVPGQRRPWRRAG >KQK88719 pep chromosome:Setaria_italica_v2.0:IX:16512985:16515304:1 gene:SETIT_040527mg transcript:KQK88719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKEGLRRGAWSPEEDQRLVAYIRQHGHPNWRALPRQAGLLRCGKSCRLRWINYLRPDIKRGNFSADEEALIVRLHLELGNRWSAIAAQLPGRTDNEIKNVWHTHIKKRLEDDAEANAAAAGGGRPKQQKARKSKPAAAAKKATAAAAAVSDSSEQQPFTTASPGLSSSVSSGETTFSTATESTAAVSSGDNAATTSASPQPGAAKAEMEMESFSSAEFPPIDESFWSSPDVVDMGLGAMDEELGLAGPPSLSTRDEDMEFWLKMLLEAGDMRDLSVL >KQK91834 pep chromosome:Setaria_italica_v2.0:IX:52149150:52149622:-1 gene:SETIT_040430mg transcript:KQK91834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTAPHWYTCYPALDFLTPMLLETLEAPPRH >KQK89186 pep chromosome:Setaria_italica_v2.0:IX:21646789:21651346:1 gene:SETIT_038846mg transcript:KQK89186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMQSSSKEQMVHKAACKSHEQSVLKQGAVDDEDSGDATCSAVENRYPGWPGTSVFRMLIPSHKVGAIIGHKGEKVRRLCEETKACVRIIGGHLCAAEQAVIIFGKELPDEPLPPAMDALLRVYQQIINDDALDVGSNSTFVTRILAPSEQAASLIGEQGAMINSIMQASQTNIRVLDGDLPPVALEEDRVIEIWGLPSAVHKALELVASHLRKYLVDRSVIPLFDRHVPMPMLHTDMPLCHYIDHPDGPVHADSPGYHSVCSEDFQREPWIDTSYLRVRHPIENLLHADTFEHRWEAPTSFRRYRSVTPPNHGRSAYGPEASSPMEAYQSAPMELRSHCNLRYGWHATPISPTASFERVRSLISVYGQQAHPRRQTYQSAEMGKHRRFVKTLHGSEAYPTRVSPSDASELPPNPGISARGREASPPFRMHPPTTVENLLHCRVSACGPEAPPHQAPPSSTSRSAAVASQVKKKMQVPIFYAEAVIGPSGERIEYIRRTSRSSILINDSEEGIMSIEITGTAATDVLTAEQLIKNFMAEAAAASPGHSFDFIPSYLPASRSPQVDSLTTEKSGVTSLPAPRLQMIY >KQK93045 pep chromosome:Setaria_italica_v2.0:IX:58488413:58490777:1 gene:SETIT_035505mg transcript:KQK93045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASVSKVVLGSVAFGVFWVLAVFPSVPFMPIGRTAGALLSAVLMIIFHVISPDDAYASVDLPILGLLFATMVVGTYLKNAGMFKHLGTLLAWKSQGGRDLLCRVCIVTALASALFTNDTCCVVLTEFVLELAAERNLPAKPFLLALASSANIGSSATPIGNPQNLVIAFNSKISFPKFLLGILPAMLAGMAVNMVMLLCMYWKDLEGTSPDLVSDGKQMETVEEGMASKTPSPAKLTNGGGYSSPLMTEDISTKHPWFMQCTEQRRKLFLKSFAYIVTVGMVIAYMVGLNMSWTAITTAIALVVVDFRDAEPCLDKVSYSLLVFFSGMFITVSGFNKTGLPGAIWNFMAPYSKVNSVGGISVLSIIILLLSNLASNVPTVLLMGGEVASAAALISPAAVIRSWLLLAWVSTVAGNLSLLGSAANLIVCEQARRAPRNAYDLTFWNHIVFGVPSTLIVTAVGIPLIGKINV >KQK87562 pep chromosome:Setaria_italica_v2.0:IX:7918864:7919300:1 gene:SETIT_040603mg transcript:KQK87562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMMATQKSKNLRGPRDHPMWPCGGKEKELSASEASGLIGLQEEKDE >KQK92591 pep chromosome:Setaria_italica_v2.0:IX:56262536:56269211:-1 gene:SETIT_040113mg transcript:KQK92591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLRSPPPVPAAFRRSRAVVRASSQSSSSSSSAVSSSSSAPKARFVARRSESVSVQQLARPLAEYMSLPASQYSVLDAERIERVDDSTFRCYVYRFRFFALEVCPVLLVRVDEEPNGCCIRLLSCKLEGSPLVEAQNDKFSASMVNRVFCSSTLQGSTLQQLTSDTTIEVTIDIPFPFRAIPVTAIESSGRQVLEQLLGVMLPRFLNQLVKDYQAWASGDSSRKPLGTEAVQVLVASLADDSLVARDAALAALCDIAPLNPMLVLDCCATVSRGGRRRFGNMAGVFLVMASAVRALDNSDAEREFLRKIAKIATAEIVSSKVPDTVNLSPCVFELNARQ >KQK87512 pep chromosome:Setaria_italica_v2.0:IX:7659213:7666654:1 gene:SETIT_034756mg transcript:KQK87512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHGPTSRRGALRRLRERPRLGAARAQRVTVGADRRWSVCRASDAKTETGAGRSQQVASPTHLHQRQAQGSGGGGGGGGGGGEIRPSRREPREEEEMQRRRGQTWAGVGKTAQAAAAHAALFCFTLLLALRVDGRTTYSWWIIFIPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSIVAAPLLIAFELLLCIYLESLRVRNHPSVNLKIVFLPLLAFEAIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKPPTNGEASSSSAAIRYRDWESGLVLPSLEDHEQEGLCGLPDIGGHVMKIPLVVFQVFLCMRLEGTPPSARYIPIFAVFSPLFILQGAGVLFSLARLAEKVVLLLRNGPVSPNYLTASSKVRDCFAFLHHGSRLLGWWSIDEGSKEEQARLFYAESTGYNTFCGYPPEVVRKMPKKDLAEEVTGYNNFFCSMNFYVTTYLGSLHDIISKTLTSCLPAFRFGGYRRHWESNLKLQSVPNRNMKGFKMRRFFVGFAMRERYAWSYFPADTELYASLVLISARSVRSAVCQLKSACLYMMFKPH >KQK87511 pep chromosome:Setaria_italica_v2.0:IX:7659213:7666654:1 gene:SETIT_034756mg transcript:KQK87511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHGPTSRRGALRRLRERPRLGAARAQRVTVGADRRWSVCRASDAKTETGAGRSQQVASPTHLHQRQAQGSGGGGGGGGGGGEIRPSRREPREEEEMQRRRGQTWAGVGKTAQAAAAHAALFCFTLLLALRVDGRTTYSWWIIFIPLWLFHGIVARGRFSMPAPSLPHGRHWAPCHSIVAAPLLIAFELLLCIYLESLRVRNHPSVNLKIVFLPLLAFEAIILIDNFRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFLIAATTFTLLKLSGDVGALGWWDLFINYGIAECFAFLVCTRWFNPMIHKPPTNGEASSSSAAIRYRDWESGLVLPSLEDHEQEGLCGLPDIGGHVMKIPLVVFQVFLCMRLEGTPPSARYIPIFAVFSPLFILQGAGVLFSLARLAEKVVLLLRNGPVSPNYLTASSKVRDCFAFLHHGSRLLGWWSIDEGSKEEQARLFYAESTGYNTFCGYPPEVVRKMPKKDLAEEVWRLQAALGEQSEITKCTKQEYERLQNEKVLCRICYEGEICMVLLPCRHRTLCKSCSDKCKKCPICRVPIEERMPVYDV >KQK87789 pep chromosome:Setaria_italica_v2.0:IX:9376782:9377089:1 gene:SETIT_039945mg transcript:KQK87789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSMDCRVPEGEVLNCIHLGLLCVQENPADRPSMLDVLVMLHDHAASFAAPSKPAFAFAYDEISSVNRHQKGAAATLLSSNEMSVSEFEPR >KQK92097 pep chromosome:Setaria_italica_v2.0:IX:53645526:53648580:-1 gene:SETIT_035531mg transcript:KQK92097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRSRHPKALGLRCYPASHRSLTLVLWSLAALVVVVNFHLIIIHKEEDESMSTHEIRRSIMRELEAVEEEKFRLSPPRSRRNPRAVRRKGERKPPTVVDEFLDESSAVHDMFFPESNMAVDPINGRNDSMYFYYPGRVWLDTDGKPIQAHGGGVLYDKKTKTYFWYGENKDGKTYKAHSKGADRVDIVGVSCYSSKDLWTWKNEGVVLRGEEKNVTHDLHKSNVLERPKVIYNDWTGKYVMWMHIDDANYTKASVGVAVSDSPTGPFTYLYSKRPHDCESRDMTIFKDDDGKAYLIYSSEDNSELHIGPLTDDYLDVTDVMRRFLIAQHREAPALFKHEGTYYMVTSGCTGWAPNTALAHAATSVMGPWETLGNPCVGGNEVFRSTTFFSQSTFVLPVPGLPGSFIFMADRWNPSDLRDSRYVWLPLTIGGVPDEAADYSFMFPLWSRVSIYWHKQWRLPEGWRDS >KQK88999 pep chromosome:Setaria_italica_v2.0:IX:19391506:19392596:1 gene:SETIT_038438mg transcript:KQK88999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIQVSENLGRNHAELLKDRSRGGGITKDQQDYLETKLDSRRKISPFIFYMLSEMLFSSNK >KQK88414 pep chromosome:Setaria_italica_v2.0:IX:14054195:14054605:1 gene:SETIT_038611mg transcript:KQK88414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLSVCAVALLLSPASADPALLDPAVGGDSWHADSPAEAPTAVAGTLGWAEDEVAGGIAVAPGRRAFRPRHQPSALSPEQRRDLEHESRCGPRVPVRGGVFPWSGRKPRCRGGGDGGAATAPAVHHLQPLIDAP >KQK89460 pep chromosome:Setaria_italica_v2.0:IX:30316989:30317204:-1 gene:SETIT_039008mg transcript:KQK89460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGLQRTDRAITTCHLPYLEPWSTEQTKVSSSLDTTSMLSITTQALCRIICLYQESSTRAIVTRTNNKPINK >KQK92003 pep chromosome:Setaria_italica_v2.0:IX:53184105:53186260:1 gene:SETIT_036972mg transcript:KQK92003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGEYDAAFAATIAAATFAIAAREEKLAAQKKPVPIEAVPPALSPVKRAGSMKKPGGGSKISRWFSGKEPVEDDDDGPVNVSVRKPLKPAPGKPEDIAPDHKVTPKTLETSLSVKKGSGSSNKAADRKGSKKFEQEQAIQKVPSAVRPATSYHSRRNGEGTAGVTGTGSKADDWEKAKLARVREEYEKMIETIAEWETEKKTELDKKRAKALEEYNQEMTRINKIAGGARSMAEERKYNDEKKIKEKAHKIRSTGKVPRTCACF >KQK92004 pep chromosome:Setaria_italica_v2.0:IX:53184105:53186260:1 gene:SETIT_036972mg transcript:KQK92004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGEYDAAFAATIAAATFAIAAREEKLAAQKKPVPIEAVPPALSPVKRAGSMKKPGGGSKISRWFSGKEPVEDDDDGPVNVSVRKPLKPAPGKPEDIAPDHKVTPKTLETSLSVKKGSGSSNKAADRKGSKKFEQEQAIQKVPSAVRPATSYHSRRNGEGTAGVTGTGSKADDWEKAKLARVREEYEKMIETIAEWETEKKVKARRQKDQKETELDKKRAKALEEYNQEMTRINKIAGGARSMAEERKYNDEKKIKEKAHKIRSTGKVPRTCACF >KQK89157 pep chromosome:Setaria_italica_v2.0:IX:21413032:21413138:1 gene:SETIT_040374mg transcript:KQK89157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCITTLVVEDICFPGCSRVILRLQLRDLS >KQK87560 pep chromosome:Setaria_italica_v2.0:IX:7917613:7917796:1 gene:SETIT_038506mg transcript:KQK87560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERSQKENHDRTFRSQNSALTASIYASENLTAIHHQDENVMRIDKSLCKG >KQK90266 pep chromosome:Setaria_italica_v2.0:IX:41081651:41086253:1 gene:SETIT_035511mg transcript:KQK90266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVDDKVSYFQAVTGISDPDLCTEILAAHNWDLQVAVSSITANPSSPSASASTSSREPAPSAPLADAEFVAPPPPMPPPPQQQQQQQQQPGIAWKLVTLPFYVVSGGVGLVAGTFRLGAWVAGGVLSRSLSLLGLAGQAGGDRLLELPPSAAEAADFVAEFEREFGAARGPRFVAEGFSDVLQRAQREFKLLFVYLHSPDHPDTPAFCGGCLCAEPVAAFIDENFVAWGGSIRRTEGFKMSNSLNASRFPFCAVVMASTNQRIMLLQQVEGPKSPEEMITILQRVVEECATSLVAARIEAEERLNNQRLREEQDAAYRVALEADQARERERQEELERREREAAEAERKRKEEEEALARAAQEAAEKEAALARRRQEKAMALGAEPEKGPGVTRVLIRFPTGERKERRFHSSAAITSLYDYVDSLDLLKAEKYSLVSNFPRVTYGPEKHSLTLEEAGLHPQASLFIEIEQ >KQK89114 pep chromosome:Setaria_italica_v2.0:IX:20885386:20887280:-1 gene:SETIT_040130mg transcript:KQK89114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAVRTRLATTISLPSDCVPLPAPGRRVPLSSFDAHWAALPPVCHVFLFPAPSPPRPSQDIARALRSSLAAVLQAFHPLAGEVAYSPDSRTVSIVLGDDARVAFVEAETDLAFARLVVEGAEYEQDVDALRQLVPDIRRDELPAPVMAVQVTEFVGGDGCVAVGLAVHHAAVDGRGLWRFIEMWAAAAAAAAAGVHQGRVTGNPAPPLQDRSLVSFDGDEEVAGVFLRQVTPDLPRVSHLPKRLAAATGVRTPPSTFAALAAHGWVSLARASGFTDGAPVFAAFLADCRALISPLAPDDYAGNCVVPCMISLNGEELAGADGPARAFLAIREAVEDVRRDPLADCSRRAGRTVVLAGSSRFPAYAVDFGFGRPVRVEKTSLNHDGEISLLAGREAGSVQASVVLSSDKMPAFREMFGVDV >KQK93091 pep chromosome:Setaria_italica_v2.0:IX:58721028:58722004:1 gene:SETIT_038784mg transcript:KQK93091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIGWLGFLSVRNSVLSWIAGCFGKRQRPTPPSEFSWISVMGKPILNEDGSCTIASCTMCIEAQHRLAFERVNGRGSFTFKAKVPAELKKACSKRGIWNREDGADIREILKAIAQKGGVLTERVPNNIKLPISGYHCLHDIGGFQLMRLICAHGPVIGILWAELDDYDRAIGDIVYRRTPMEYRFPNSGAYHAVVCFGYKYDPQREELHIRVMDNHAEDGPLRWISFAALEEFYLPLIPEPVELHKLRRKKKREEHSVSAYVTHSMVTLEKKLMTWIRCRELDRFYKPRQQD >KQK92420 pep chromosome:Setaria_italica_v2.0:IX:55378783:55382652:-1 gene:SETIT_0345851mg transcript:KQK92420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVKPAPGGRMLTVLRVHLPSEIPIVGCEITPYVLVRRPDGGILTDDVSEASPVDGYFMRYKWYRIQSDRRAAVCSLHPTEQATLQCIGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERAISALNENGTEEEELFGKFGSGSSSSGIISAALSGSTPNLSQSSGVNNGPTPVYPTGTEKSSGETWFEVGRSRTYTATADDIGHVLRFECVVVDLETRGTVRAPTSVMTSRVIPAPTPTPRRLIPVNAADAMGHFDLDNRTTSFGTFTVLSYNILADTYATSDTYSYCPTWALSWAYRRQNLLREIIGYHADIICLQEVQNNHFEEFFAPELDKHGYQALYKKRTTEVYAGSPQAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPAAQKKLALTRLVKDNIALIAVLEAKFGNNGTENPSKRQLLCVRRGMVVLEILLDVGKMMIVMGVSSIGRQTGKLVLFAITITSVKWSTMFGLRRMTRKPSSLPDS >KQK92421 pep chromosome:Setaria_italica_v2.0:IX:55378783:55383138:-1 gene:SETIT_0345851mg transcript:KQK92421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVKPAPGGRMLTVLRVHLPSEIPIVGCEITPYVLVRRPDGGILTDDVSEASPVDGYFMRYKWYRIQSDRRAAVCSLHPTEQATLQCIGCLKSKIPVAKSYHCSAKCFSDAWQHHRVLHERAISALNENGTEEEELFGKFGSGSSSSGIISAALSGSTPNLSQSSGVNNGPTPVYPTGTEKSSGETWFEVGRSRTYTATADDIGHVLRFECVVVDLETRGTVRAPTSVMTSRVIPAPTPTPRRLIPVNAADAMGHFDLDNRTTSFGTFTVLSYNILADTYATSDTYSYCPTWALSWAYRRQNLLREIIGYHADIICLQEVQNNHFEEFFAPELDKHGYQALYKKRTTEVYAGSPQAIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAIIPAAQKKLALTRLVKDNIALIAVLEAKFGNNGTENPSKRQLLCVRRGMVVLEILLDVGKMMIVMGVSSIGRQTGKLVLFAITITSVKWSTMFGLRRMTRKPSSLPDS >KQK86519 pep chromosome:Setaria_italica_v2.0:IX:2402356:2406696:-1 gene:SETIT_037569mg transcript:KQK86519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAMGRAAAADEEEDVEFVDYDQDDEDAMEEDGRAVRALPVPHIVSPAVVRTRGRFAGCSPSVLAPSRDRFDSLTDEGDNGYGPQRSIEGWTILVCGVKEDAEEEDLHNVFGEFGRVKDLHLNLERRTGYAKGYALIEYESFEEAQAAIRAMNGTQLLTKTVYVDWAFSRGPIKNAMSTRPPRPRSRTPPRRLNALMLTPY >KQK86169 pep chromosome:Setaria_italica_v2.0:IX:574762:576433:1 gene:SETIT_035156mg transcript:KQK86169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLLPSELLAGLPQQWLLGLLLLLPVVLLASSYLFLATKTTKNGARCLPPGPAQVPVLGNLHLLGPLPHRNLRDLARRHGPVMLMRLGTVPTVVVSSAAAAREVMKEHDIDCCNRPVSPGPKRISYGLNDIIFAPYGQQWREMRKLFIVELLSMRRVQAASYAREQQVDRMIARLSRAAPATAVALNEHVFAVADGIVGTVAFGNIYGTERFAHRERFQHVLDEAMDVMASFSAEDFFPNAAGRLVDRVTGLVARRERIFRELDAFFETVIDQHTDPARVVPENGGDLVDVLVNLWKENRGTFRFTRDHVKALIMNTFIGGIDTSSVTVLWAMSELIRKPRVLRKAQDEVRAVVGGKPRVDPDDVPKLPYLKMIVKETLRLHPPATLLVPRETVRDVRIGGYDVPARTRVFVNAWAIGRDPASWADAEEFHPDRFEGSNVDYNGGHFELVPFGAGRRICPGLAMGETNVSFTLANLLYCFDWALPEGMAAEDVSMEEAGGLTFHQKMPLVLVPTRYHNRTATA >KQK89478 pep chromosome:Setaria_italica_v2.0:IX:31697293:31700000:1 gene:SETIT_035142mg transcript:KQK89478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAYVLYQPVAIQKTLILPSLLMFGTGLLKYGERTWALKCANIDSIRSSLDVSDDRNRRQPYDGRVRTAGELDSEEILLGAHYIFNACKSLFTDDLITSGTEREAITTGIEFNGGKYMYELIEMQLSLMYDILYTKAAVIYTWYGSCIRVISPAATLVSFLLFELNSSHAYAGQRRNCDRTELRSRIAKKVGLEKWWNKIHYSSTIKISDSIRDLLLKEIPRRPLGDMRNARGRWTLQKIRYPGGGGSLYDEISWSVNDTDFDQSILIWHVATDVYLCCRRRQPAADGVEEAERLAKAVKELSDYMLFLYVVQPHMLPGPVRSTRYDNNCDDLVTLWEQHSTDQNMDSALTPRENLARLLYREYRDKYDDDDASSPAVSVQMEDNNPHGLAYIDAAGLAGMLLSEGSGIPDVLEMIAGVWIEMLCYATRHCSEVSHAKQLSSGGELVTAMGLLVEYTARYGIHGEVTTKNGEPGMTAAEVSVHLDSISITGATGEICEEPDGMNAAANGVSEDHGHDACTEGTESP >KQK87824 pep chromosome:Setaria_italica_v2.0:IX:9555187:9555770:-1 gene:SETIT_038101mg transcript:KQK87824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESVKCECCGLREDCTLDYIASVRASFHGQWLCGLCCEAVRDEACRKKAQPGVEEAVRAHMAFCKMFKSNPAVRVADGMRQMLRRRSGDMSKPESAKKYSTSQVGDESSVTLY >KQK89036 pep chromosome:Setaria_italica_v2.0:IX:19823099:19830819:-1 gene:SETIT_034477mg transcript:KQK89036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSTSPDPTASSSLLPLPPADAACPSPPSSPSSSTTTATSSAPSSSSSSSSTYRSRLLILRARRALEAGDVDAALALLRVHAPAALADHRLLFHLHKQVRALPSLVCIYLCKLVVGTSDMIEFVWAMQRFVELVRRGTEADRDAALDCLRTALAPCALDAYPEAYEEFKHIMLVLIYDKDDQFSPVVNEWSIKRRFELAGLLSSILRAQLQAYDPILSMTLRYLISIHKVYCTHQGISSPLSDLTERLLFEDRDPPVVPQECSLEAPPFDEVDVQALAHAVELTRQGAVDSLKFAKGDLYQAFQNELCRMKLDVTLLDKLVHEYCIYRGIVEGTADLKCSQNNYVNNETQLECEMTNNQNGDCSTSDITRDDSWSRRLRRVRSSTSGQRRRKRWRGRVDDLDYACEALLDVNKHDSLSPALDMDEDTVVEQQDLVANSNTSDTKNMEDQKYEVVLEMQDLTRKGMASKVVEEISSIDPDFFQQNPVLLFQLKQVEFLKLVAAGEHVAALKVASTHLGPLAATNQALLKPLKETLVTLIKPNGDVLNAVSLPVLASSLQVAMSRRLGIEEPQLMKIVRAAIHTHTEWFKLQMCKDRFEHFLKIDSLKEVDPPVGSCSMPKDHTDECGNGSSQITTCSSGKVPDEGSSPQVSSEVACDESAILKVMEFLALPRADAIQLLMQYNGNAETVIQHIFQ >KQK89037 pep chromosome:Setaria_italica_v2.0:IX:19823373:19830819:-1 gene:SETIT_034477mg transcript:KQK89037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSTSPDPTASSSLLPLPPADAACPSPPSSPSSSTTTATSSAPSSSSSSSSTYRSRLLILRARRALEAGDVDAALALLRVHAPAALADHRLLFHLHKQVRALPSLVCIYLCKLVVGTSDMIEFVWAMQRFVELVRRGTEADRDAALDCLRTALAPCALDAYPEAYEEFKHIMLVLIYDKDDQFSPVVNEWSIKRRFELAGLLSSILRAQLQAYDPILSMTLRYLISIHKVYCTHQGISSPLSDLTERLLFEDRDPPVVPQECSLEAPPFDEVDVQALAHAVELTRQGAVDSLKFAKGDLYQAFQNELCRMKLDVTLLDKLVHEYCIYRGIVEGGSHVLPGTADLKCSQNNYVNNETQLECEMTNNQNGDCSTSDITRDDSWSRRLRRVRSSTSGQRRRKRWRGRVDDLDYACEALLDVNKHDSLSPALDMDEDTVVEQQDLVANSNTSDTKNMEDQKYEVVLEMQDLTRKGMASKVVEEISSIDPDFFQQNPVLLFQLKQVEFLKLVAAGEHVAALKVASTHLGPLAATNQALLKPLKETLVTLIKPNGDVLNAVSLPVLASSLQVAMSRRLGIEEPQLMKIVRAAIHTHTEWFKLQMCKDRFEHFLKIDSLKEVDPPVGSCSMPKDHTDECGNGSSQITTCSSGKVPDEGSSPQVSSEVACDESAILKVMEFLALPRADAIQLLMQYNGNAETVIQHIFQ >KQK91357 pep chromosome:Setaria_italica_v2.0:IX:49430496:49433317:1 gene:SETIT_036042mg transcript:KQK91357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHAWREKTTCRPFLLQLQSCRAAGEGQRETMRPQVAGAEANCGQARGPGGRLGVALLRLLPHLHSTPRAHLADLPSRRTQRRGPAGKAMTMMAPQPQPLPLAQPPAAAAFGDTTLTKVFVGGLAWETHKDTLREHFERYGDILEAVIISDKLTGRSKGYGFVTFKEADAAKKACEDATPVINGRRANCNLASLGAKPRPQPPHLLRPSPPATPAPHAPALPSPHQPAPAIAVGSRGVSPVPWYYHPSTTPPPPPPPPAAHYAHGAHQQYHGVLPFYPAATTYGYSPNYVADLSYNAKLGQAAAAAAGTAGSYMQGHFTYPAAQGGMVAPNGMMPVYPFYHYQYHGSQGLGVPAAHFFPPASAAAVATVPAIMSKPTVMAPPPKVEQVTGCS >KQK86959 pep chromosome:Setaria_italica_v2.0:IX:4711114:4712199:1 gene:SETIT_039685mg transcript:KQK86959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLKSVNDGNPASLPMLLHPTVSNPSPTSSSSTSSRSSAQQPHQQQRSTSATSSPQGQQQVQGAEQAPLRCPRCNSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKPRPMPTPVAKPALSCKAVGGAPSLGLGVGLGMGAGPVPWASSQQAAAAQLMALLNSARGVQGGGGHGGSNMHRLLGLDSMAHLPIHVLPGAGNASGAPASLWPPAASRPIPTPPPHVDSQLGMGPLGQHDVLSTLGLKLPSPSPSLAASYYSDQLHAVVSSAAGRPHEYDAPGTTSLPCTTAAASLPPPASSVSAALSSATVGLDLPPISLPAPEMQYWAGPAAMSMAWPDLPTPNGAFP >KQK90072 pep chromosome:Setaria_italica_v2.0:IX:39330122:39331053:-1 gene:SETIT_037142mg transcript:KQK90072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSAKGSQERSPAVAAVSTHQSLPSWGMTSSKAQVRLGSGLLTSLVTQLPVWDEKQCSRAAGLSTRYWKPTTMKPPLGAEMTPGLAELRTPVPGRRWAVPEMTSRTKYSGLAGGRCGGVGVGAATVRRSPAERSAARTRCGLGLAGETRVETVRRARLGGGASVRRRSAPSGRRTSQTSRQGNEGGGQQGNEASARMTWYATPCRGRMRGGEERVGKSEMKRRRSSPPTLGIGSMGHATMACRKRGIPNTVL >KQK91607 pep chromosome:Setaria_italica_v2.0:IX:50790163:50793806:-1 gene:SETIT_034194mg transcript:KQK91607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASAAAGKLAAALHRRTHRVTSALAHAALEWVLIALLLINGLLAYAIDRFADYFGLAPPCLLCSRVDRLFQADGGEAGGARWLRDALCGDHAAEISALGYCLRHRRLAEGREMCEDCLSSTKEKTRDAAEKSARACACCKAVVRTSSRELEGTREEHVEEKITEEVTDDDQGYVLLAQEDHEEEEEEQDEVEKQERQSEVEGQHQQEKDEAMAAVQDESLEVMAQGQGEEIALEDDRLVPVVALDEMTIADDSGLHRDVEEADGMNQVAEDEQDSRDVDIGVVLEEKRMLDSSVATPADVIEDSVVPISPIPCPETVASPSHPDHNSNSQDDEDVPEDAVEVGDSTAEEDHIFVPHETVSEDDRTAEVDTNCEVSIGSDICEREQDDHVVPFQDLATHEEQVAPLAGADDQPSPLEILASTEQEAGEAEQEEMTTNTGSDYQPNEQNEVEEDKAPETPTNGVAAQLSDRMFLLERKRSLSLSLDGSVASEMEGGEPSTVDQLKSALQAERKALGALYAELEEERSAAAIAASQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELVTKREREKQELERELELFRQKVQRYEDKERRRMASFKANGGSPSGSGSGTSVSSSGEDSDGHSDDYCELGESPDGGNIQMSSSDAALSSMRDPDSTKHLVALDDSLTYFEMERLSILEELKTLEERLFTLEDDDVTANAAAGHSSGDMDLSADVLHSPEDILTGDKARCRGRTSISRGKSLLPLFDAVGNETCDQMPSARVGEADQADDSATKPASVLAKEQERLAIIEEVDHVYERLQALEADKEFLRHCIKSLKKGDKGMDLLQEILQHLRDLRNVELHVKNAGDAIAANSV >KQK88936 pep chromosome:Setaria_italica_v2.0:IX:18763308:18764558:-1 gene:SETIT_038803mg transcript:KQK88936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVVLSMDVHCDSCAKKIRKAVMKVPGAESVTASFETGLVVVEGPADATALRARLQAMTKKDVKVVSDGAEENGEAAGAGAGSASAYTYPATPILLEMELHCRRGRRHHGRAGAPGEGHRDGRRIGGGDEPRGQDEEARQGRQRPPEARRRPRVRPRVAEGGRGASGGAGDAGDVWGGDDARRAAGGVGYGRRQLQPLVTVVVGTASWVPATRLSASSVSACAVFVVRCTSAAGRLLVPGTTGRSVRRATLGGAGARPTVGRLLYASRRIVRTAVAGVPTAGARAGGLLSVRRTTGSEPWQLLNPVKKRCLTTRSRRQGTAVI >KQK92594 pep chromosome:Setaria_italica_v2.0:IX:56280331:56282226:1 gene:SETIT_037174mg transcript:KQK92594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINRIAVGSHEEVYHPGALKAAFAEFISTLIFVFAGQGSGMAFSKLSPGGSTPTGLIAAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGILYWIAQLLGSTVACFLLRFSTGGLPTGTFGLTGISVWEALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWGYQWVYWVGPLIGGGLAGVIYEVLFISHTHEQLPTTDY >KQK92724 pep chromosome:Setaria_italica_v2.0:IX:56945799:56946512:-1 gene:SETIT_037539mg transcript:KQK92724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEMTMRIMSVLSGAARDSEKGFRILDPYSLLTHDIMTMEDDDGRPDFFSSRAIERIAEVVAHTGIDDMRYEVRYKAGKVERVDTDFCTICRILADGYPLSTSIIPGLKFYGLPYGKVYKSRYNAKNFLEKIPKDYGVDCHFVVLVGASRSEIRDSYYFANTYGDKYCLRINRVTGTKSGGIGKLRAKDVAEKPFQFIRLAKVE >KQK92725 pep chromosome:Setaria_italica_v2.0:IX:56944071:56946717:-1 gene:SETIT_037539mg transcript:KQK92725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEMTMRIMSVLSGAARDSEKGFRILDPYSLLTHDIMTMEDDDGRPDFFSSRAIERIAEVVAHTGIDDMRYEVRYKAGKVERVDTDFCTICRILADGYPLSTSIIPGLKFYGLPYGKVYKSRYNAKNFLEKIPKDYGVDCHFVVLVGASRSEIRDSYYFANTYGDKYCLRINRVTGTKSGGIGKLRAKDVAEKPFQFIRLAKVE >KQK89332 pep chromosome:Setaria_italica_v2.0:IX:24268905:24271530:-1 gene:SETIT_036505mg transcript:KQK89332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPQPRVCVTGGGGFIASWLIKLLLSRGYTVHASLRDPCDPKNAHLMQLDKARENLHLFKADVLDCETLTPAIEGCRGIFHLATPVPEHKIVDPEREVLDPAVKGTLNVLKICSTLKVHKVVVMSSNAAVTSNPNWPQDRPKDESCWSDKEFCEEKEDWYSVAKLVAEQEALEYAEENGLNVVTLCPPYVFGPLLQSTVNTSSKLLIYIIKGGPDVMTNRLWDIVDVRDVADALLILYEKSESSGRYICSPNLICTRDLVDLLKKMFPKYHYIDEIVDADHGAAPLSCQKLRDLDWEPRKLEETLTDSVESYEKAGLLQDVAGDPCRLPSLIRMWL >KQK91240 pep chromosome:Setaria_italica_v2.0:IX:48717048:48721301:-1 gene:SETIT_034581mg transcript:KQK91240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRTLLHFSAFCPDYRTYALLLKACARCSDLYAAMEIHCHLTKVGLLSNQHLTPPLLKLYIAHDRMLEARELFWSMLEWSTDPFHGNLMLMGLLRSGQLDKAYQIFKRMPVKDLISWNSMIAGAVRSSHLKDAMNLFSRLVRSGLVPDGFSFSSVLSACAKAGARRYGVWVHQLMTELGVEMNHILSSALVDMYAKCGRIDVATEIFNIVKRNHISVWNTMISGLAAHGLGSDVVILFRKMKSEEVVPDGVTFVALLTACSHCGMVEEARQYFKSMTTEYSITPEVEHYGALVDTLSRAGLLDEAYNLVKSMNVKPDAVIWRALLSACRRYRQTKLGEVTVEHMACHGSGDYTLLSNIYSSANRWNDSEELWKQRKQKKIRKSKGLSWVELGGSTHEFKAGDRSHPDTEDIYRVLHGLSKRAKVEVFKQPELEQLKSLHTYKEKFSGVKTEWPATILVFDIETTGFSRREDRIIEFAVRDLMGGKNSTFQTLINPEKDVRNTYIHGISNSMLCRPDVPRFGDLIPILLQYVWSRQMDGKPVLWVAHNGRSFDVPFLFFEFRRCKVEMPGDWLFVDTLPIARQLVDSEGSKLSSVSLEKLRERYKIPLTGSAHRAMQDVTTLCYVLQKLTFELKLTVPQLLEKSFRATDLPATRSDK >KQK89716 pep chromosome:Setaria_italica_v2.0:IX:35296889:35297221:-1 gene:SETIT_038661mg transcript:KQK89716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAATAASTGGFHLVLPASHRRPPPPPSLAISSTRGRGGGRLRAAGEAAPEYDVFSGGGGALLGRPLEDVYKVRVERGAVARARAEALRVMETWSSWRTGGRCRMPWDWQ >KQK86135 pep chromosome:Setaria_italica_v2.0:IX:423481:424791:1 gene:SETIT_038671mg transcript:KQK86135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRHRRLASLTKLLTTHVNAARHRDALSLFSRMLSAPDLPPPTDPSFAHAFPLAIKSATALRVPRAAAFFHAFAAKCGLLYSPFLASALIASYGAGAGASHELARRLFDELPARNAIVWSAMISVHVRAGDLIAAASALDTMDVAPTASCFNTVIAAVAESGEHPTRAIEVYRHMRRVGVAPSFITLLALVPACTAMGALTSIKEVHGFAVRHGMSMRSHIGSSLIEAYGRCGSLAGSQRVFNQVQDRDVVVWSSLVSAYAFHGRAEVAMSLFRHMKDQDDVRPDNIMFLSLLAACAHSGHADDALQYFDVLTKSYGVEACGDHYSCLVDVLGRAGRLHQAYELIRTMPVKVTAKAWGALLAACRKYGEVGLAEVAGRALFEIEPENAGNFVSLANIYSGRGMHEDAERVRREMEQRGVQRLPGSSWMIHHKSSC >KQK88360 pep chromosome:Setaria_italica_v2.0:IX:13556507:13563295:-1 gene:SETIT_034375mg transcript:KQK88360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSDHHEQKLTSPRGLIHKILRRTNSRRSPTAAEQQTSPVSPETSNAIFSKQKDPDDVINDPEKASTHSIRIEDEKSDLLGYEVYSGKLTLDNRARSASSEQSGSGSSGNCFDARLSTEALIWGSNVLKLEDIVSVSYNSGLRYFTVHSCPLEKRSSGLSCFMKPRRTQKDLKFLSPSPHEAFRWVNSFADQQCYVNLLPHPMASSKKHSSELIPFDAMLDPYVKSRSPPRILVILNPRSGHGRSSKVFHGKVEPIFKLAGFKMEVIKTTHAGHAKSLVSTIDFSTCPDGIVCVGGDGIVNEVLNGLLCRDDQNVSASVPIGIIPAGSDNSLVWTVLGVKDPISAALSIVRGGLTPIDVFSVEWIQSGIMHYGTTVSYFGFVSDVLALSEKYQKRFGPLRYFVAGFLKFLCLPKYSFEVEYLPISDANGAEHKILVGQEKVDASDLYDDVVRRSRAECLPRASSLSSIDSIMSTGIMSGAELEVCSPRANNEPSELVRALDPKSKRLSLGRASTFKEPEEVLHPQSHGTSTPSWRRSKSKSRTEKAWPGLTSTNDAKSSKVNTAHDKEDTSSTISDPGPVWDSGPKWDAEPKWDNQPNWEPETSIELHSPQEDIELGLTKELVPSLDERWTVRKGRYLGVLVCNHSCKTVQSLSSQVVAPKAEYDDNCLDLLLVGGSGRLRLLRFFVLLQFGKHISLPNVEYVKVKSVRLKAGPNTHDGCGIDGELLHVKGQVRCSLLPQQCQLIGRPAKNPVQ >KQK89725 pep chromosome:Setaria_italica_v2.0:IX:35397868:35403708:1 gene:SETIT_034294mg transcript:KQK89725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLEDLGVSAFINILSAFVFLLLFAVLRIQPINDRVYFPKLYLAHKRTHDHSSRSAVRRFVNLNICTYITFLSWVPGALRVTEPELIAHAGLDSAVYLRIYTLGLKMFLPIAALALLVLVPVNVSGGTLLNLKKEVVFSDIDKLSISNVNPGSNRFFVHLLMAYVFTFWVCFMLYKEYSNVAFMRLHFLASQKRCADQFTVIVRNIPRISSHSTSETVDEFFRRNHPDHYLGQQPVYNANRYAKLVKKRDRLQNWLDYYQLKFERHPEKRPTRRTGCLGFCGREVDQIDYYQARISELERKMASERQKVLNDPKAIMPVSFVTFDSRWGAAVCAQTQQSKNPTQWLTDWAPEPRDVYWQNLAIPFFSLSIRRFLISVAVFALVFFYMIPITFVQSLANLEGLEKVAPFLKPVIEVKVVKSFLQGFVPGLALKIFLYILPTVLLIMSKVEGYISLSSLERRTASKYYYFMLVNVFLGSIIAGTAFEQLYTFLHKPATQIPMTIGVAIPMKATFFMTYIMVDGWAGIANEILRVKPLVIYHLKNMFIVKTERDRERAMDPGSIGLGENLPTLQLYFLLGLVYAVVTPILLPFIIIFFAFAFLVYRHQIINVYNQEYESAAAFWPQVHSRIIASLLISHLTLFGLMSTKEAAFSTPLLIFLPLLTIWFHKYCKSRFEPAFRKYPLEEAMEKDNMEHASEPNLNLKSFLANAYLHPIFHLFEEAAKEEETVEVRIDKAQKQQHHHRQEEETHVRSSSQYHEESHLRSTHEMHYHHEESQYSRSTQYHEGIHVRSDMDSPSPPHFVYHYDIQP >KQK89140 pep chromosome:Setaria_italica_v2.0:IX:21297754:21303357:1 gene:SETIT_040214mg transcript:KQK89140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSVGITIPRAGSVSRVKDATPAFDRNLSQGTDGISRPPKDDNASLQVSQEAAKNDGSAKGAPEAGSDMKVSLSQPDDNTHEQTGTFSFGTRREQVSSIDKLETPEFVSSQRKRNLESADKSKPNSEMLRMKLWEILGGTSQNKQAVASPNPDNFETPDQPKSQTVKAPSSGNKEVFTSPFPDNIKTPDPLNRQTVNFSRCKPSLDPIESDSDSPKVVEIKPVTRSLGRKKAPAASKKQSRSAKKPLSTFCSTPKKKMLDNVFTFNDKCTPKTVVKHAIGDSGSLRNLRSSNRKAKVEAQKIHYSDRISDKTTQDDKEGKLSSRNTPSDNKVEKATSFSSLSRTGKTAESCSRSPKRGRRLDVMPKVGPRKMQFSENLLAKTRNDEQNKLYSPRKISLKSKENDSSTSPLKKSDRIPCGHTVAENNFNSPPSGAANPSPEPKIYPWDHDVSPEITGKFGQNVASPWTDRFRDTPDDYPSPTLAPKVNTSPQISKELDGDLYTSKYPKSVDRAFDQLVVVLGRFQTKIKSETSKKSSEILAATGEIIRQQLEGVEVQMQADVDKLVNVGKSKRKRLESTFEEQQEKLRILHEKFKEEVNKQLLDCRTSLEDFESYHAELKGVADKQTRKRMSGLKYVLKELIAETAD >KQK92638 pep chromosome:Setaria_italica_v2.0:IX:56555199:56557650:-1 gene:SETIT_035642mg transcript:KQK92638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSKSWIVISWNLSIHKKNLCSFRNDEYLQQPLMEVAARDFSELPQEILMDIFSLMETPDLVRAGSVCCSWNLSYASICRFGLYKWPQTPCLIYTSESAGDNIAFLYSLAEKRTYKLTLPEPPIHRRYLIGSSLGWLITADERSEMHLVNPVTSEQIPLPSVITIENVTPIYDETGVICKYHLSRHMAGHAQVLPSTSLLRKLRDFLFLKAFLFYDESARSYIVVLIHAPVGRLSFARLRDEKWTRLPPHENFDDCIYKDGLLYAVTLLGQIIAFDLSGTVVTTKIIMDRKDKYGVERVYIVQAPWGDLLLVRRPEVWIDEAPAEHGHACTNQETFENRTRRIVIYKVCIASGKLEQINSLNDHVLFLGQNQSLCLNAEEYPQLKPNNVYLTDDAKNVSMKRRSRCRLIIGILDLETKIMDEIVSPRPWSNCMAPLLIIPNPRKMDPPPPPP >KQK92637 pep chromosome:Setaria_italica_v2.0:IX:56555199:56558224:-1 gene:SETIT_035642mg transcript:KQK92637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSKSWIVISWNLSIHKKNLCSFRNDEYLQQPLMEVAARDFSELPQEILMDIFSLMETPDLVRAGSVCCSWNLSYASICRFGLYKWPQTPCLIYTSESAGDNIAFLYSLAEKRTYKLTLPEPPIHRRYLIGSSLGWLITADERSEMHLVNPVTSEQIPLPSVITIENVTPIYDETGVICKYHLSRHMAGHAQVLPSTSLLRKLRDFLFLKAFLFYDESARSYIVVLIHAPVGRLSFARLRDEKWTRLPPHENFDDCIYKDGLLYAVTLLGQIIAFDLSGTVVTTKIIMDRKDKYGVERVYIVQAPWGDLLLVRRPEVWIDEAPAEHGHACTNQETFENRTRRIVIYKVCIASGKLEQINSLNDHVLFLGQNQSLCLNAEEYPQLKPNNVYLTDDAKNVSMKRRSRCRLIIGILDLETKIMDEIVSPRPWSNCMAPLLIIPNPRKMDPPPPPP >KQK86270 pep chromosome:Setaria_italica_v2.0:IX:1029901:1033142:1 gene:SETIT_037274mg transcript:KQK86270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGGESECAVAAARGDEREQCRCWQGRRGGSRRWCARQRWRQRTAASCSPGHCGVGEGWEPRPGAVGLAVPTPRARDCDLAKNERYRRPDPTLNASRRGEVCGGGGGGGERIRKETMQALARASRGIAAAVRPSAVEAAHGGQLQQARGIVVQVRDGNLDRALSVMERKIRSSGMERLIRARTHHHVKDSEKRVLARKALMQRVKSQELGKKLREILIKKISEGISRISTSAVYQ >KQK86271 pep chromosome:Setaria_italica_v2.0:IX:1029901:1032955:1 gene:SETIT_037274mg transcript:KQK86271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGGESECAVAAARGDEREQCRCWQGRRGGSRRWCARQRWRQRTAASCSPGHCGVGEGWEPRPGAVGLAVPTPRARDCDLAKNERYRRPDPTLNASRRGEVCGGGGGGGERIRKETMQALARASRGIAAAVRPSAVEAAHGGQLQQARGIVVQVRDGNLDRALSVMERKIRSSGMERLIRARTHHHVKDSEKRVLARKALMQRVKSQELGKKLREILIKKIRGQ >KQK92018 pep chromosome:Setaria_italica_v2.0:IX:53244778:53246272:1 gene:SETIT_035926mg transcript:KQK92018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDGDEDSGRRRPPSQHRPSGGGSGDLASSAKLVAEAAKLALQDHSLEKVDKGRVAGAAADLLHAASQYGKLEGKPVGGYLGKAEEYLHQYGRKEGGAGGKHQGEEETKYGKKPSGGHGGGRYEEEEEGYKKKPGGGSGYGGGRYEEEEDHRKKPSSAGYGGGRYEQEDDYQKKPTSGGYGGGRYEQEDGYNKKPTSGGYGGGRYEQEDEYKRPPSGGSGGAYGGGRYEEDEYKKKPSAGGYGGGRYEEDDYKKKPSGHSGGRYEEEEGYKKTGGHSGGKYGEEDDKKKKKHGDDESEGGGIGDYLKLAQGFMNKKNGEGESGGGMGDYLKLAEGFMKKR >KQK92017 pep chromosome:Setaria_italica_v2.0:IX:53244778:53246272:1 gene:SETIT_035926mg transcript:KQK92017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYDGDEDSGRRRPPSQHRPSGGGSGDLASSAKLVAEAAKLALQDHSLEKVDKGRVAGAAADLLHAASQYGKLEGKPVGGYLGKAEEYLHQYGRKEGGAGGKHQGEEETKYGKKPSGGHGGGRYEEEEEGYKKKPGGGSGYGGGRYEEEEDHRKKPSSAGYGGGRYEQEDDYQKKPTSGGYGGGRYEQEDGYNKKPTSGGYGGGRYEQEDEYKRPPSGGSGGAYGGGRYEEDEYKKKPSAGGYGGGRYEEEDDYRKKPSAGGYGGGGRYEDEYSKKPSGGHGGGRYEEDDGYKKPSGGGYGYGASSGGGHGGRYEEDDYKKKPSGHSGGRYEEEEGYKKTGGHSGGKYGEEDDKKKKKHGDDESEGGGIGDYLKLAQGFMNKKNGEGESGGGMGDYLKLAEGFMKKR >KQK92624 pep chromosome:Setaria_italica_v2.0:IX:56443184:56445629:-1 gene:SETIT_034329mg transcript:KQK92624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVGVLMICRSLLLLLLPVLAAAETLQTYIVQLHPHEGGREAVAAAESRLDWHGSFLERSVSWEQERRPSSRLLYSYHTVFDGFAAQLADAEAAALRALPGVASVRADRRLELHTTYSYRFLGLNFCPAGAWARSGYGRGTIVGVLDTGVWPESPSFDDRGMPPAPVRWTGVCQGGEHFNTSNCNRKLIGARFYSKGHRANYPTNPSEAVSLLEYVSPRDAHGHGTHTASTAAGAAVAGASVLGAGAGEARGVAPGAHVAAYKVCWFNGCYSSDILAGMDDAVRDGVDVLSLSLGGFPIPLFEDSIAIGSFRATARGVSVVCAAGNNGPARSSVANEAPWVLTVGAATLDRRFPAYVRLGNGRVLYGESMYPVKTSLKKGGKDLELVYAVGGTRESEYCLKGSLDKAAVAGKMVVCNRGITGRADKGEAVKEAGGAAMILANTEVNRQEDSIDVHVLPATLVGYREAVELKKYISSTPRPVARIVFGGTRIGQARAPAVALFSARGPSLTNPSVLKPDVIAPGVNIIAAWPGNLGPSGLEGDARRSNFSVLSGTSMAAPHVSGIAALIRSAHPSWSPAMVRSAIMTSADITDRRGKAIMDGDGGRADVFAMGAGHVNPARAVDPGLVYDIQPGDYVTHLCTLGYTHMEIFKISHTGVNCSAVLQKNRGFSLNYPSIAVAFKNGAKSAVLQRTVTNVGTPNSAYTVQVAAPPGVKISVAPTTLSFVEFGEQRSFRVNVEAPSPPAAKDSAEGYLVWKQSGGEGKHVVRSPIAVTWVVE >KQK92579 pep chromosome:Setaria_italica_v2.0:IX:56207477:56210194:-1 gene:SETIT_037811mg transcript:KQK92579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYNHIWSTAKKNVMAVLLGVTISDRCVTFVSVTGESMYPTFTAANSVLQGDFVLAERRCLEKYKFSHGDVVLFKCPSNHKELFVKRLIALPGEWIQLPGSPKVTKIPEGHCWVEGDNAARSWDSRAFGPIPIGLIQGRVTHIIWPPSKIGQVERKMPEGRISPV >KQK91792 pep chromosome:Setaria_italica_v2.0:IX:51815130:51818692:-1 gene:SETIT_037202mg transcript:KQK91792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSRIVLRDLDSIDSPASMASSKKVVTRDEWERKLRDVKIRKEDMNRLVMNFLVTEGFVDAADKFRIESGTQPEIDLATITDRMEVKKAVQSGNVQEAIEKINDLNPTILDTNPQLYFHLQQQKLIELIRAGKISEALEFAQEELAPRGEENQTFLEEIEKTVALLVFEDVKNCPYGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLLWTQNQLDEKVAYPRIDDFATAELKDPSI >KQK87610 pep chromosome:Setaria_italica_v2.0:IX:8220024:8220166:-1 gene:SETIT_039980mg transcript:KQK87610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSINVSASVVFLLLVGAPTWGAGAVPTNADDAAAMKSIADSTGAA >KQK88014 pep chromosome:Setaria_italica_v2.0:IX:10899773:10902244:1 gene:SETIT_037781mg transcript:KQK88014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAVVATVAAASSSSYLGVPPRATAAARAPARAHIAAAGMSSRASSFVGGGGGGLAVAAASIAARPRRAGSGGGGALGCKCLFGLGVPELVVIAGVAALVFGPKQLPEIGRNIGKTVKSFQQAAKEFETELKKEPGEGGDQPPPATPTAVGDGEEKKGLEASSSSKEST >KQK89638 pep chromosome:Setaria_italica_v2.0:IX:34303233:34305574:1 gene:SETIT_036449mg transcript:KQK89638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTRPRAAAAVAGVLAAAVAALCLHGAAAQLCETYYDDTCPDAYNIVKQVLIDAHKSDGCDGSLLLDGVPGVINSEKGAPPNNNSARGFPVVDKAKAALEGACPGVVSCADILALAAEISVELSGGPKWGVLLGRLDGTTADFNGASNLPAPFENLTVLQGKFKAVGLNDVDLVALSGAHTFGRVQCANIADAPADRLYNFSGTNRPDPTLDSAYRAFLSQRCPRNGNSMVLNDLDPTTPDIFDKNYYTNLEVNRGFLTSDQELKSSPQARGTTAPIVDQFARSQDAFFKSFAQSMINMGNIKPVTDPSVGEVRCNCRKVNDS >KQK89639 pep chromosome:Setaria_italica_v2.0:IX:34303233:34305574:1 gene:SETIT_036449mg transcript:KQK89639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTRPRAAAAVAGVLAAAVAALCLHGAAAQLCETYYDDTCPDAYNIVKQVLIDAHKSDVRIYASLIRLHFHDCFVQGCDGSLLLDGVPGVINSEKGAPPNNNSARGFPVVDKAKAALEGACPGVVSCADILALAAEISVELSGGPKWGVLLGRLDGTTADFNGASNLPAPFENLTVLQGKFKAVGLNDVDLVALSGAHTFGRVQCANIADAPADRLYNFSGTNRPDPTLDSAYRAFLSQRCPRNGNSMVLNDLDPTTPDIFDKNYYTNLEVNRGFLTSDQELKSSPQARGTTAPIVDQFARSQDAFFKSFAQSMINMGNIKPVTDPSVGEVRCNCRKVNDS >KQK86953 pep chromosome:Setaria_italica_v2.0:IX:4680111:4681666:1 gene:SETIT_038264mg transcript:KQK86953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSCACPIVYREGRNLEEIETASCVFHPHSGGYGRAVLSLTARGRQPREMICDLDWGWGNCEEASPRQVGNQSTTGGWSALLCFEV >KQK91042 pep chromosome:Setaria_italica_v2.0:IX:47313989:47314435:1 gene:SETIT_040558mg transcript:KQK91042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPSVNKDIHKLRFKFFVLSCCTLIVLPLLC >KQK87403 pep chromosome:Setaria_italica_v2.0:IX:7158202:7161037:-1 gene:SETIT_035906mg transcript:KQK87403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAALRSPTAAAAGPSRRPAAPGASSLHFDRRRGFAFGSKLNSRTKRRSSVVRASWSPSESLPPSSSIAPLRMESPAGQLLSQILHTHPHLLPAAAEQQLQQLQTDREAEKDKDKESGAGEKPAPASGDLVLYRRIAEVKEKERRRALEEILYALVVQKFVEAGVSLVPALSHSIDSSGRVDQWAETVEERLQRLHSPEAYEMIENHLDLILGQRQGDATIAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKSLPWGSEEEDDALNQVMTTDSMPSTQASSSHPEMASWTSPNFSTGGPSQSIKPCRLRSYVMSFDSDTLQRYATVRSKEAFGIIEKHTEALFGKPEVVITPEGTVDSSKDEHIRISFAGLRRLILEAVTFGSFLWDVESFVDSRYHFVTN >KQK87402 pep chromosome:Setaria_italica_v2.0:IX:7158202:7160680:-1 gene:SETIT_035906mg transcript:KQK87402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLSMEMGWLPDAFARYAHGLERQQLNSRTKRRSSVVRASWSPSESLPPSSSIAPLRMESPAGQLLSQILHTHPHLLPAAAEQQLQQLQTDREAEKDKDKESGAGEKPAPASGDLVLYRRIAEVKEKERRRALEEILYALVVQKFVEAGVSLVPALSHSIDSSGRVDQWAETVEERLQRLHSPEAYEMIENHLDLILGQRQGDATIAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKSLPWGSEEEDDALNQVMTTDSMPSTQASSSHPEMASWTSPNFSTGGPSQSIKPCRLRSYVMSFDSDTLQRYATVRSKEAFGIIEKHTEALFGKPEVVITPEGTVDSSKDEHIRISFAGLRRLILEAVTFGSFLWDVESFVDSRYHFVTN >KQK87404 pep chromosome:Setaria_italica_v2.0:IX:7158202:7161042:-1 gene:SETIT_035906mg transcript:KQK87404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAALRSPTAAAAGPSRRPAAPGASSLHFDRRRGFAFGSKQLNSRTKRRSSVVRASWSPSESLPPSSSIAPLRMESPAGQLLSQILHTHPHLLPAAAEQQLQQLQTDREAEKDKDKESGAGEKPAPASGDLVLYRRIAEVKEKERRRALEEILYALVVQKFVEAGVSLVPALSHSIDSSGRVDQWAETVEERLQRLHSPEAYEMIENHLDLILGQRQGDATIAAISKLRVGQVYAASVMYGYFLKRVDQRFQLEKSMKSLPWGSEEEDDALNQVMTTDSMPSTQASSSHPEMASWTSPNFSTGGPSQSIKPCRLRSYVMSFDSDTLQRYATVRSKEAFGIIEKHTEALFGKPEVVITPEGTVDSSKDEHIRISFAGLRRLILEAVTFGSFLWDVESFVDSRYHFVTN >KQK91509 pep chromosome:Setaria_italica_v2.0:IX:50314325:50315898:-1 gene:SETIT_038710mg transcript:KQK91509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKRKLQWRRGRDGIVQCPHLFFAALALALVVADPFRLSPLAGVDYRPVKHELAPYGEVMGSWPRDDASRLRRGRLEFVGEVFGPESIEFDGEGRGPYAGLADGRVVRWMGEEAGWETFAVMNPDWSEKVCANGANSTTRTQHDKEEFCGRPLGLRFHRETGELYVADAYYGLMIIGRSGGVATSLAREAGGDPIRFANDLDVHKNGSVFFTDTSMRYSRKDHLNILLEGEGTGRLLRYDPGTGAVSVVLQGLVFPNGLQISEDHQFLLFSETTNCRIMRYWLEGPRTGELEVFANLPGFPDNVRSNGKGQFWVAIDCCRTPAQEVFAKRPWLRTAYFKFPLTLKMLTRRATTRMHTMLALLDGEGRVVEVLEDRGREVMKLVSEVREVGRKLWIGTVAHNHIATVPYPLDD >KQK91305 pep chromosome:Setaria_italica_v2.0:IX:49116992:49119958:-1 gene:SETIT_035865mg transcript:KQK91305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREGDACVALLRSKLHGLIERNRALEEENKQLRHQVSRLKGQVSSLEGQDTDKRMLWKKLENSATSISYSKEKQFVQSNDDAKEAVDLNSSLCHGRQQFSRATLVRSRAPRVPNPPPSPTCIQPKTNVRKEGCMAPPPPPPPPLPSKLQRSPKAIQRVPEVVELYRSLVRREGKNDAKSGSVGIPAATNSREMIGEIENRSAYVLAIKSDVENQGNFVNFLASEVQNAAYREIADVEEFVKWLDGELSYLVDERAVLKHFPNWPEKKADAMREAAFTFRDLKNLESEASSFHDDRRVATPMALKRMQALQDKIEQGIHNTERVRDSASGRYRDLKIPWEWMLDSGIINQLKMASLKLAKEYMNRIVNTLKSDPFANDEELLLQGVRFAFRIHQLAGGFDEGCRKAFQELKAYASKSE >KQK88217 pep chromosome:Setaria_italica_v2.0:IX:12366242:12366650:1 gene:SETIT_038826mg transcript:KQK88217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKINVDAAVSKNSGIVAAAAVARDSTGSFLGASAVTLEGITNPETVEALACRELQTMGIIKEIKARVVSDFQSVDFVHERRETNFSAHVLARSSLYETLGRHVWYLEPPAGVCNSYNIYV >KQK90127 pep chromosome:Setaria_italica_v2.0:IX:40007641:40007889:-1 gene:SETIT_040182mg transcript:KQK90127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCDDKCGCAVPCPGGKDCRCLSRGGGGGGSGGQREHTTCTCGEHCECSPCTCGRATLPSGRESRRANCSCGPNCNCASCSAT >KQK89423 pep chromosome:Setaria_italica_v2.0:IX:28844147:28844704:-1 gene:SETIT_039229mg transcript:KQK89423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFCEATTLESEGKDSLDKHGSFILEIPQEPCMFNVSLESATLCVSSTRRDYNHLKVETKKNNGQVMPKKMGATSTTTP >KQK87157 pep chromosome:Setaria_italica_v2.0:IX:5827979:5831706:1 gene:SETIT_035458mg transcript:KQK87157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQAAFLARFPQLAAQPGRDRAGMGGPARVSVAAIGSAAKVGVGMRAVARRGVRCRASLIEPDGGRLVDLVAPEEGGRRAALRREAASLPHRVRLGRVEKEWVHVLSEGWASPLQGFMREHEFLQALHFNAIRGEDGRMANMSVPIVLSLGDAQRRAILADGATRVALVDDRDRPIAVLSDIEIYKHNKEERIARTWGTTAPGLPYVEEAITNAGDWLIGGDLEVIEPIKYNDGLDQYRLSPAQLREEFARRNADAVFAFQLRNPVHNGHALLMTDTRKRLLDMGYKNPVLLLHPLGGFTKADDVPLSWRMKQHEKVLEEGVLNPESTVVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPTAKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDTKQKKMDFFDPSRKDDFLFISGTKMRTLAKNRESPPDGFMCPGGWKVLVEYYDSLVPSEGSSKLREPVAA >KQK89958 pep chromosome:Setaria_italica_v2.0:IX:37727119:37746332:1 gene:SETIT_033831mg transcript:KQK89958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNPAVAAEVRSLIQGADESTFDPIYRELCQLADCSPDGCVLLLQVCVDEVLLNVGGAKNPQSKRDLVAIIFRYCLDKPYFSTSFCEALRAIPVSNGFLETLSNELELSAAERVGVGLALSDSENPDLSLEGRKFTITQIEELCSNHAHSVSNDRIQEIVVFLLQTEGLSKHMDSFTNIISLLNVKERPFYVPISLQEANCNQANSSRHTELCIGSLDDDFDSLLSEIGKQISLPDIITELGYGCTSDIAHCKEILSHFEPLDDMGISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTIDSSQLTAWNIDVLVDSINEIAPGTNWAHVMENLDHEGFNIPDEASFRLLMSIYSRACKDPFPLHAICGTLWKNTEGQLSFLKHAVALPNDTFTFAHCTRKMAFPDLGNLNQGNQAWYCLDLLEVLCQLAELGYAKPVRTMLDYPLIHCPEVLLLGVSHINTTYNLIQHEVLSHVFPSMLKNTMHSRLMNYMWHINPYLTLRGFVDAHSDINCLLRTVEICEDIKILSTVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQRATFLEECVKFLKEIVSTNYGAVEGSIHHPQATISNICQDSCPVFIKVLRSHSGQLLSNQLVDELKRVEAVYESRNGGDVGRDMPPPDGGSEDIEAQANIYFQQMFAGQISIDAMIQMLSRFKESKDKREQSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGAMLPVDQRGSGSQSIENIESSEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQAKTMMPIGQPPLASTSSDLGVNPKATVSLSSQASHHSSTASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQVVGNPDFSNKDVSTSQTSAAAEVSSGIVPSMNHVELQPDINSTSRATSLPNMLNQYAAPIRLPPNSMVEDDKVALIMPEQVTSHSLTQVAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRSAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQIIQILVNDNLDLGCALTETVATRKAVEMIDGDIKQPFSQLRRQKELQGSAYYDVSPYTQGLSRVPDVLRPKPSGNLSAVQRRVYEDFITVWHSQSSQNAAATTPATTVAVAPTDSSIASAHGPILAPSASSSFSTLQFAPFTSANQSTELIPDKTDPGATQLSGVSAQVGTADSSGQVSGIANVASVFPPMASGDLLVGELATTTKDIGAAIQPSPTVAINRLGSAFPELLNTGDALERYQHVWQKLEALIANNGKDGEIQSIIAEVPDILFRCVSRDEAALAVAQKVFRSLYENASKNTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNMDIIVGLIRSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDSSSVSELFNVVDVLSKLATRPGSPDSLQHLIEIARSTFNNTANYAAAKDEKVIQSRDKKVLSVRPLMNNEEDNADGIAFANAADFQDKVAVLFSEWCQICDHPAMGDSVYNNYIVQLQQNGLLKGDDVTDRFFISLTELAIAHSLVSDQTIAPSGLSQQSSQQQQISYFSIDSYSKLVTSVVKSVDLGPNKGSLLHKILAVTARIIQKDAEEKKVSFNPRPYFRLFINWLSELTTSDLHHDSANFQILTAFANAFHILQPLRVPAWSFAWLELVSHRCFMPKLLMCNLQKGWPFFQRLLVDLFKFMEPYLRNAELGQPILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDAALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEANVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASASAQINQSPQMDIFQIETATEMFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLGDGGH >KQK89957 pep chromosome:Setaria_italica_v2.0:IX:37727119:37746332:1 gene:SETIT_033831mg transcript:KQK89957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFNPAVAAEVRSLIQGADESTFDPIYRELCQLADCSPDGCVLLLQVCVDEVLLNVGGAKNPQSKRDLVAIIFRYCLDKPYFSTSFCEALRAIPVSNGFLETLSNELELSAAERVGVGLALSDSENPDLSLEGRKFTITQIEELCSNHAHSVSNDRIQEIVVFLLQTEGLSKHMDSFTNIISLLNVKERPFYVPISLQEANCNQANSSRHTELCIGSLDDDFDSLLSEIGKQISLPDIITELGYGCTSDIAHCKEILSHFEPLDDMGISKLLGAVVCTRIGVGETQNTYSIFLSAFGNSQTIDSSQLTAWNIDVLVDSINEIAPGTNWAHVMENLDHEGFNIPDEASFRLLMSIYSRACKDPFPLHAICGTLWKNTEGQLSFLKHAVALPNDTFTFAHCTRKMAFPDLGNLNQGNQAWYCLDLLEVLCQLAELGYAKPVRTMLDYPLIHCPEVLLLGVSHINTTYNLIQHEVLSHVFPSMLKNTMHSRLMNYMWHINPYLTLRGFVDAHSDINCLLRTVEICEDIKILSTVLDSTPFAFSIRLATAAFRKDHSNLEKWLTEKLSTQRATFLEECVKFLKEIVSTNYGAVEGSIHHPQATISNICQDSCPVFIKVLRSHSGQLLSNQLVDELKRVEAVYESRNGGDVGRDMPPPDGGSEDIEAQANIYFQQMFAGQISIDAMIQMLSRFKESKDKREQSIFNCMISNLFEEYKFFPKYPDAQLKLAAVLFGSVIKHQLVAHLALGIALRGVLDALRKSIDSKMFMFGTTALEQFMDRVIEWPQYCNHILQISHLRGTHAELVSAIEQALAKISLSQNEPNLGAMLPVDQRGSGSQSIENIESSEASWQFINSTPTQLDRTISSFALQQRNQGFLGERSKGSTNTSQAKTMMPIGQPPLASTSSDLGVNPKATVSLSSQASHHSSTASGLSQPSGFLRSRSSAPSGILRQPSYTTGFGAALNIETLVAAAERRDTPIEAPPSEVQDKIFFMINNISTSNMEAKAKEFNEVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEIMKATYENCKVLLQSDLIKSSSEERSLLKNLGSWLGKFTIGRNQTLRAKEIDPKILIVEAYERGLMIAVIPFTSKILEPCHSSIAYRPPNPWTMGILSLLVEIYNLPNLKMNLKFDIEVLFKNLSVDMKDVKPSSLLKDRIRQVVGNPDFSNKDVSTSQTSAAAEVSSGIVPSMNHVELQPDINSTSRATSLPNMLNQYAAPIRLPPNSMVEDDKVALIMPEQVTSHSLTQVAPPQTPSPSPFSLSQLMAAIPRADIYFRINEKLNSLGPQLQYSKIMDVALDKAIKEIIGPVIQRSVTIASRTTKELILKDYAMESDDGTISRSAHLMVGTLAGSLAHVTSKEPLRVALLSHLRSLVQNLISNSETTEQIIQILVNDNLDLGCALTETVATRKAVEMIDGDIKQPFSQLRRQKELQGSAYYDVSPYTQGLSRVPDVLRPKPSGNLSAVQRRVYEDFITVWHSQSSQNAAATTPATTVAVAPTDSSIASAHGPILAPSASSSFSTLQFAPFTSANQSTELIPDKTDPGATQLSGVSAQVGTADSSGQVSGIANVASVFPPMASGDLLVGELATTTKDIGAAIQPSPTVAINRLGSAFPELLNTGDALERYQHVWQKLEALIANNGKDGEIQSIIAEVPDILFRCVSRDEAALAVAQKVFRSLYENASKNTFVTWLLATLVAVRDVCKLVVKELTSWVIYSDEEKKFNMDIIVGLIRSDLLNLGEYNVHLAKLIDGGRNKTATEFAISLIQTLVTQDSSSVSELFNVVDVLSKLATRPGSPDSLQHLIEIARSTFNNTANYAAAKDEKVIQSRDKKVLSVRPLMNNEEDNADGIAFANAADFQDKVAVLFSEWCQICDHPAMGDSVYNNYIVQLQQNGLLKGDDVTDRFFISLTELAIAHSLVSDQTIAPSGLSQQSSQQQQISYFSIDSYSKLVTSVVKQSVDLGPNKGSLLHKILAVTARIIQKDAEEKKVSFNPRPYFRLFINWLSELTTSDLHHDSANFQILTAFANAFHILQPLRVPAWSFAWLELVSHRCFMPKLLMCNLQKGWPFFQRLLVDLFKFMEPYLRNAELGQPILLLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMTDVDAALKAKQMKAQVDEYLKRPEGSLFLTDLKQKLLLPQNEANVAGTRYNVPLVNSLVLYVGMQAVQQLQQNKANASASAQINQSPQMDIFQIETATEMFRNLVMTMDTEGRYLILNAIANQLRYPNSHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYSFWTRSFTHCAPEIEKLFESVARSCGGKGADDGIGLGDGGH >KQK91368 pep chromosome:Setaria_italica_v2.0:IX:49460314:49461742:-1 gene:SETIT_039643mg transcript:KQK91368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIEDYYDRIDELNDTFKEHFSYVIIRPRCPSPRCFHLWHRQFRMTNTNPTSLGSKCFTEPCAYEYVSSDLQFFSMKFAGDFSHGEKMTIYGFVAVRDDIDHLRNYIFYRSSDHAQEITPDAPDLLLIPPARGISAPFNVIVEYCLKVKNNGVWRMQSPMAPKVQLHKVRLFGPLGPLDIRFALLRFAVEATIDVKVKRAMAGYSLSTVTAYTCGLLDETVLYNAYASSVCCGGLSSSMVAVASAVVAVEL >KQK91375 pep chromosome:Setaria_italica_v2.0:IX:49481783:49487435:1 gene:SETIT_034277mg transcript:KQK91375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAMTSSSPQPPPPSHRHRRRPQPATPTPNANPKPKPRAKALPLLSDVGVGRDPAAIKYYARVASNLAGAGRLRDFLLAAEGLRAAAGDDPSFAARISARLLSRGVAAAVRDRGLPHVLEFLRDAQRVRVPAAEMLDADASDAVAAACRMLLEERRMAEFVEVVEALARYGFYVQGIVNPMDVLKIFVKKRDPDMAIRYARIFSNSQLLLCNTMEAFGKRKELKNALTVFGALKDQLGGINMFACRSIIDICGHCGSSVQARIIFEGLLADKITPNAYVFNSLMNVNAHSLSYNLSVYKHMQNLGVAPDLTSYNILLKTCCNAREFNLAQEIYEEMKKKECDGLLKLDVFTYSTMMKVFADAKMWKMASNIKEDMQAGGVRLNLVTWSSLINAYANSGLVDRAIEILEEMIRDGCQPTAPCFNIILTACVKSCQYDRAFRLFYSWKKSGIKISLSPEQKSLDGAFTFCKEYPSDGGTILVVPFRPTVTTYNILMKACGTNAERAKSVMNEMRRNGLCPDLISWSILMDIHGTSQNRDGAVQALRRMQRIGIRLNVSAYTVAIKACVENKDLKLALHLFDEMKAHQLKPNLVTYKTLLTARSNYGSLQEVQQCLAIYQEMRKAGYQANDYYLKELIVEWCEGVLSSGSDNRDFYNLDLQPKRKESFNLFLEKVVTVLQKDVDHNQIVDVRGLSKVEARIVVLSVLRKIKEQYLLGRAVQDDVVIITGHDKTSRTEVETSAVDVEHAIVTVLTDDLGLEVLIGPGSWPPVSAKPKAPTKSISNLEQVSNKVTRRPQGVIKIPVNSLNHWLKKKAVRVVQ >KQK91152 pep chromosome:Setaria_italica_v2.0:IX:48151412:48155506:-1 gene:SETIT_035049mg transcript:KQK91152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPLLKPPATAAAVSPRTAAAPRATGLRSACARPTRRLQCSAPAAVAGGSAEMDDSAPGWAERSLEELGSLPDNDTFCLMALSPLDGRYGRFIRDLMPFFSEFGLIRYRVLIEVKWLLKLSQIPEITEVPPFSEEAQLFLNGIIQDFCIDDAKVVKKIEKTTNHDVKAVEYFLKQRCSSNPEIAKVSEFFHFGCTSEDINNLSHALALKEGVNEVMFPTMINICKALCSLATQNSAYPMLSRTHGQPASPTTVGKEMANFVARLSDIGKSFSEVNILGKFAGAVGNYNAHVVAYPEVDWPKVAEEFVRSLGLQFNSYVTQIEPHDYISKLFNLFSQFNNVLIDFDRDMWSYISLGYFKQVPKAGEVGSSTMPHKINPIDFENSEGNLFLANGTLSAISMKLAISRMQRDLTDSTVLRNLGVGLGHSLLAYKATMRGISKVQVNESRLAEDLEQTWEVLAEPIQTVMRRYGIPEPYEKLKELTRGQAVTKDSMQQFIDGLDIPEEVRSKLSKLTPHSYTGLAEDLAKNIEKFVDLESGFMIK >KQK91253 pep chromosome:Setaria_italica_v2.0:IX:48786383:48786873:1 gene:SETIT_040506mg transcript:KQK91253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAGAGSGNASGGGGGGAGASACCYYALLGIRKNASATDIRTAYRRLAMTR >KQK91254 pep chromosome:Setaria_italica_v2.0:IX:48786383:48788052:1 gene:SETIT_040506mg transcript:KQK91254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAGAGSGNASGGGGGGAGASACCYYALLGIRKNASATDIRTAYRRLAMKWHPDRWASDPGAAGEAKRRFQRIQEAYSGKAAHVCSAPPRLGCTGFDRIVRLAQSCRTRGRRPCTTPGSSIPWTTTTRISPTSCRRCW >KQK89853 pep chromosome:Setaria_italica_v2.0:IX:36462604:36464685:1 gene:SETIT_036621mg transcript:KQK89853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPARSAALLAAVAVVVLASAASCRGQLANNYYAGKCGNASVETIIQDAVKARLAWDKRMVAGLLHLQFHDCFVDGCDASILLDGPNTEKTAVQNSGIFGYDFIDDVKTALENVCPGVVSCADIIIAATRDAVAMCGGPRYQVQLGRRDGMVSQASRASILPGPNVDIPTAISLFSKKNLNSFDMAILMGAHTVGVTHCSVIHDRLYDFNGTGLPDPGMDPLYVWILTTFACPKGQAFDNIVYLDDPSSILLVDKSYYAQIMKRHGVLAVDQALGDHSSTAWMVNFLATTDFFPSMFSYALNKLAALDVLTGTAGEIRKNCRRTN >KQK92820 pep chromosome:Setaria_italica_v2.0:IX:57377475:57379326:-1 gene:SETIT_039220mg transcript:KQK92820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVRGMSIKAADDLLLHNAAAADDGLNKNKGGRIRIAGGRLKIAGEELRQRLHQINASKEDHEIAQLRRRRRLPFSVRAQLLPVFMEVGMVRTLSRGDKKKMKVVEWAVDSLSAIASTFPSSLVIAIYHAKLLAVLGEYEAAERECNRALCIEEPVDPKLHDIPLGSSSGEDYSARVLAAKNEIYGIKDDQENLLNVKVETLVEYYRGSNQPAVDTISGAVIQLKRLNSWSLWICPFSDSDCNGCWASKPQDLIQQFLLMHFQGVSKNLKSVVDPKLNFHVKTYGDGYSPFDAEPSSVQEMRTKKCREAAVILKSLEKELKTFPKRKSGAKVHKALDSLQRLWIKLLEASHIDYREAILPLMSSFKWVWNRVFFLEITDCFAKDNDTSRQSYHMADISDAVFLDCLGLYVENDNMDHQIGGEGELEDLKTLCPDVMSEIDEE >KQK91065 pep chromosome:Setaria_italica_v2.0:IX:47530270:47534748:1 gene:SETIT_033992mg transcript:KQK91065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDHGSSRHMSASQKELGDEDARVVRVGDAERTNERLDFAGNAVRTAKYSPLTFLPRNLFEQFHRLAYVYFLVIAVLNQLPQLAVFGRGASVMPLAFVLIVTAVKDAYEDWRRHRSDRAENGRLAAVLSPGGAQFLPTKWKDVRVGDVVRVVSDESLPADMVLLATSETTGVAYVQTLNLDGESNLKTRYAKQETLSTPPERLAGAVIRCERPSRNIYGFQANLELEGESRRIPLGPSNIVLRGCELKNTAWAVGVVVYAGRETKAMLNNAGAPKKRSRLETHMNRETLFLSAILVVLCALVATLSGVWLRTHEEELELAQFFHKKDYLKRDKNNDYENYNYYGIVAQIVFIFLMAVIVFQIMIPISLYISMELVRLGQAYFMIRDTRLFDESSNSRFQCRALNINEDLGQIKCIFSDKTGTLTQNKMEFRCASIDGVDYSDIARQRPAEGDRVWAPKISVNTDRELVKLIRDGGHTEQGKQTRDFFLALATCNTIVPMVTDGPDPKKKVIDYQGESPDEQALVSAAAAYGFVLVERTSGHIVIDVLGEKQRYDVLGLHEFDSDRKRMSVIIGCPDKSVKLFVKGADSSMFGIIDKNVNSDVVQATEKHLHSYSSLGLRTLVIGMRELSQEEFQEWQMAYEKASTALLGRGNLLRGVAADIERNLCLLGASGIEDKLQDGVPEAIEKLRQAGIKVWVLTGDKQETAISIGYSCKLLTRDMVQIVINSRSRDSCRKSLDDAMAMVNKYQSFSADPQLRVPLALIIDGNSLVYIFDADWDEKLFEIAIACDVVLCCRVAPLQKAGIVDLIKKRTSDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMAYMILYNFYRNATFVFVLFWYVLYTGFTLTTAITEWSSVLYSVIYTAVPTIVVAILDKDLSRRTLLKYPQLYGPGQREENYNLRLFIFIMMDSIWQSIACFFIPYLAYRKSVIDSSSLGDLWTLSVVILVNIHLAMDVIRWNWITHVAIWGSIVATWICVMIIDSIPIMPGFWAIYKVMGTGLFWALLLAVTVVGMIPHFAAKAFSEYFTPSDIQIAREMEKSQDTHDATHPEVQMSSVSRA >KQK86640 pep chromosome:Setaria_italica_v2.0:IX:3055683:3056192:1 gene:SETIT_038584mg transcript:KQK86640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHVMVDRRRSLQLLAKCREFQAGSFRTVTNSEQETEEKNWPCSHTCVLCDQQDETALHLVVNCCYAKEVWYRMSTWVGVAAISNNTNVSDIKWWETNMQAFNTKQKRSHAAILMYTAWHLWKERNRRIFQNQAMRPDQLLGLIQSDVLLRRMATGFPLLKEELLFSQ >KQK92570 pep chromosome:Setaria_italica_v2.0:IX:56165026:56166981:1 gene:SETIT_034911mg transcript:KQK92570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRRYAARLLSSSSTGGATTAAPSSPPPPPAASWLHAAADDCCAFCDLNRSGPQEGPDAIKHKGHIAGWVPESDVRGDGRVRQAQHLTSPEVKKCKTDHGRNVNKTSVGLRAPRGFSGVSEAGAEPAVKPGPLVVNDAAIGQEVKCKFSLMSDAAKPEVTGGDSLVNGSTTELDVLKDAPFANEAVHDPEVTDKVSTETKAATVTSIAPEVAGMPSRKAVTDPGVTVTMLRTGSLSTEGADGPEVTGAASIMHETTKLESAGEDYIASKPAAEPEDSSRASSNVDATAALDKSQLHSCNPNIGNVQLGNAGETVASAVQPSGCDAAKVGSSVNSTSNGPVGAKGPTVEGGMPNDRSVTPRVSYVFDIVARSIGTSGRTDIICYARRRGKRKLELLKVKMENIELEDGVVCEEGTLERTGRCESVLSNAGSVDVKLADIKKELMDDSAASKVKKTKKNRFECNIDYCQMTFKTKTELSVHKKNMCTVKSCSRQFRSHRYLRRHQSVHNDDMPYKCPWDGCGMAFKWSWDRTEHFKVHAGVKPYKCTTPGCNKIYKFVSDFTRHRRRCKPQR >KQK88040 pep chromosome:Setaria_italica_v2.0:IX:11079665:11081751:1 gene:SETIT_035792mg transcript:KQK88040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMQLGMGSALETLCGQAVGAGQVDMLGVYIQRSWLICGATAVVLTPAYLFTGPILRALRQPDDIAAVAGTYCRWVVPQLFAYAANFPLQKFFQSQSRVWVVTLISGAGLAAHVALNYVFVTRLGHGLLGAAIVGNVTWWLIIVAQMGYLVSGCFPEAWRGFSMLAFKNLAAFVKLSLASAVMLCLELWYYTAVLILVGFLKNAQLQIDVMSICINYQLWTLMVALGFNAAVSVRVSNELGANRPKAAKFSVIMAVLTSGSIGAVFFAVFLTWRTGLPRFFSEDEDVLREAAKLGYLLAGSIFLNSIQPVLSGVAIGAGWQALVAFVNIGSYYFVGIPLAALFGFKLKMDAMGIWVGMTLGTLLQTAILLFISYRTKWDKQAMRAEERVREWGGRNEALPSATQVAPATEYAGRLSNGSQNLELQPQQRTG >KQK92887 pep chromosome:Setaria_italica_v2.0:IX:57716492:57720080:1 gene:SETIT_036922mg transcript:KQK92887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSAAAAAALDAWWDDVNNSPLWQDRTFHALAALYGVVALVALVQLIRIECRVPEYGWTTQKVFHFLNFIVNSVRSTVFVLRRNVQVVHPEIFQHVLIDLPGLAFFTTYALLVLFWAEIYYQARALPTNGLRPAFYTINGVVYAIQIVLWLLMWWKPVQAVVILSKLFFAATSLFAAFGFLLYGGRLFLMLQRFPVESKGRRKKLNEVGYVTTICFGCFLIRCIMMCFSAFDKEADLDVLNHPILNFFYYLLVEIVPSSLVLFILRKLPPKRGIAQYHPIH >KQK91146 pep chromosome:Setaria_italica_v2.0:IX:48119792:48122085:-1 gene:SETIT_034598mg transcript:KQK91146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVSLLDAHLSRCRSARHLLQIHAQFLASGLLADAFAASRLLLFTTSAAAGRLLPRPLDHSLRLLRLVRSPNSFSCNIVLKAALRHGLPHFCLPLYASMPALPDAYTHPLLAAACAARGEVAEGRQVHSRSVRHGFVDNVYLRNALTHMYSACGCVADARRVFDEGPVRDAVSWNTILAAFVRAGDVEQAIGVFARMPERSAAAVSLMVALFGRSGMVDEAKRTFDGAEYRDAFTWTAMVSCFERNGQFVEALGVFLDMRAEGWPVDEAVMVSVIAACAGSEVIRNGELCHGLVFRAGLGSRLKVQNALIHMYSSCLDVAAARRLFDSGESLDHFSWNSMIDGYLKNGRVGDAKVLFSVMPDKDNVSWSTMISGCVQNNQSSDALTVFGNMKAHGIRPDEVTLVSVISACTNLSALEQGKSVHEYIREYQYDITIVLGTSLIDMYMKCGSLDAALEVFDMVDEKGAPCWNAVIGGLAMNGLVTKSLDMFSEMEASGTAVPNEITFTGVLSACRHAGLVEEGRQFFKLMQNKYQIVPNIRHYGCMVDLLGRAGYVKEAEDLIQSMPMSPDVPAWGALLGACWKHGDSEVGERVGRKLVELDPHHDGFQTMLSNIYASEGMWQCVKDLRGSTKQYVAKAAGYSVVESSHSS >KQK90963 pep chromosome:Setaria_italica_v2.0:IX:46846599:46857460:-1 gene:SETIT_034176mg transcript:KQK90963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPPPAVPASSLECVSSCRAASWKGGGRPYECSVLSCAWNAPRALTGALASTAQCSSCGHTEAGGGWRRRGRSRRSNNSLPHITLDEDINKGRFGYGPSPAYSESFFRPWSTSVNPTWRTYCYSSSESFFISPETLWEDLKPVVSYLQPEELNFVHDALKLAYEAHSGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDVVTFERIENEFGPTVRRIVEGETKVSKLGKLQCKSEGSSKQDLKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYVNPIGFAELRKRVEDLYKAHEQELEEANRILRQKIGEDQFLDLVSVETEVRSVYKELYSIYKTTLKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSKGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVNGNLVSPIHVLANAEVVEIITYDKLSSKYAFQRHQQWLQHAKTRSARHKIMKFLKEQAALSAAEITAEAVNNFVADIEDESDSELSIPSTKKEDSKFNWEKILNSDKLSFVNKSSDGFLPVNNVHPKVNGKQNKTVKELGIKINGHSTIRGDSFSELMRPGNSTCKDVFPGLDHWKSGKISGWHNTEGSSIQWLCIACVDRKGMMAEVTSALTACGITICSCVAEVNKRRGMGVVLFHFEGSYENVVSACSSVDMILGVLGWSVGCSWCPLGVLEC >KQK90964 pep chromosome:Setaria_italica_v2.0:IX:46849740:46857460:-1 gene:SETIT_034176mg transcript:KQK90964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPPPAVPASSLECVSSCRAASWKGGGRPYECSVLSCAWNAPRALTGALASTAQCSSCGHTEAGGGWRRRGRSRRSNNSLPHITLDEDINKGRFGYGPSPAYSESFFRPWSTSVNPTWRTYCYSSSESFFISPETLWEDLKPVVSYLQPEELNFVHDALKLAYEAHSGQKRRSGEPFIIHPVEVARILGEHELDWESIAAGLLHDTVEDTDVVTFERIENEFGPTVRRIVEGETKVSKLGKLQCKSEGSSKQDLKAEDLRQMFLAMTEEVRVIIVKLADRLHNMRTLTHMPQHKQYAIAMETLQVFAPLAKLLGMYRIKSELEYLSFMYVNPIGFAELRKRVEDLYKAHEQELEEANRILRQKIGEDQFLDLVSVETEVRSVYKELYSIYKTTLKSKSSINEVNQVAQLRIIIKPKSCNGVGPLCTAQQICYHVLGLVHGIWTPIPQAVKDYIATPKPNGYQSLHTTVIPFLNESMFHLEVQIRTEDMDLIAERGIAAHYSGRGVVSGPVRPGISSGRNSKGKVICLNNTGFALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPKGEIKNLPKGATVVDYAYLIHTEIGNKMVAAKVSHEEKWQYIINDGLHYCLHMLFCR >KQK90279 pep chromosome:Setaria_italica_v2.0:IX:41224487:41226059:-1 gene:SETIT_036349mg transcript:KQK90279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASEKIVNRDEITDTALSLFAGDDSIHIPESYIRTDEVLADEVVGKDEAYELPVVDMAKLLDPDSSAMETEKLGSACRHWGFFQLTNHGVDEAVAQLIKDSTAEFFSLPLDIKNRVAVLGKGAGLEGYGHHYSRGPGDKLDWAEGLILITQPVHERNMKLWPTNPPTFRDALATYSVEMTKLARRLLGFMAADIGDDKGELVEAFTGKRQSMAMHYYPPCRHPDKVMGITPHTDGLGLTLLLHVDDTPGLQIKKDGRWYPVRPLPGAILINIGDLLDVLTNGAYTSVEHRVIPDAERGRTTVVFVEEGTVGEMVTPLPGLLKEQEPRYKSLDIDDYIKGILKAIPEGTRFADTLKI >KQK87871 pep chromosome:Setaria_italica_v2.0:IX:9870606:9873715:-1 gene:SETIT_037898mg transcript:KQK87871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQPEVLWAQRSEKIYLTISLPDAKDVVLKTEPKGLFTFSAVAHGEPFSFTLELFDSVLPEGSKTKTKMGLRNIICSIQKEKKGWWKQLLKSEEKHPYIKVDWNKWCDEDEESEASGDSDDDFDGANEGDESGDDDGMLYLPDLEKLRGK >KQK87872 pep chromosome:Setaria_italica_v2.0:IX:9869993:9873804:-1 gene:SETIT_037898mg transcript:KQK87872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQPEVLWAQRSEKIYLTISLPDAKDVVLKTEPKGLFTFSAVAHGEPFSFTLELFDSVLPEGSKTKTKMGLRNIICSIQKEKKGWWKQLLKSEEKHPYIKVDWNKWCDEDEESEASGDSDDDFDGANEGDESGDDDGMLYLPDLEKLRGK >KQK92777 pep chromosome:Setaria_italica_v2.0:IX:57205906:57208306:-1 gene:SETIT_040704mg transcript:KQK92777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPCPSERLKAHEVLRHPWICENGVATDQALDPSVLSRLKQFSAMNKLKKLALRVIAERLSEEEIAGLRQMFKAVDVKNRGVITLGELREGLRRYGTELEDREISDIVEAADKDNNVTINYEEFIAATVPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNKVGLGWQPM >KQK92780 pep chromosome:Setaria_italica_v2.0:IX:57206452:57207047:-1 gene:SETIT_040704mg transcript:KQK92780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNVS >KQK92779 pep chromosome:Setaria_italica_v2.0:IX:57205906:57209508:-1 gene:SETIT_040704mg transcript:KQK92779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQCPNGTLGSDYYNRPASRFADGYLEEDSYSDLKKFEKPWPEVNSFKPTAAGILKRGLDPTSITVLERKTADLREHYIIGRKLGQGQFGTTYLCTEISTGCEYACKTIPKRKLITKEDVEDVRREIQIMHHLSGHKNVVAIKDVYEDGQAVHIVMELCAGGELFDRIQEKGHYSEQKAAELIRIIVSIVAMCHSLGVMHRDLKPENFLLLDKEDDLSIKAIDFGLSVFFKPCQVFTELVGSPYYVAPEVLHKRYGPEADVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPKISESAKNLIRKMLCPCPSERLKAHEVLRHPWICENGVATDQALDPSVLSRLKQFSAMNKLKKLALRVIAERLSEEEIAGLRQMFKAVDVKNRGVITLGELREGLRRYGTELEDREISDIVEAADKDNNVTINYEEFIAATVPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNKVGLGWQPM >KQK92776 pep chromosome:Setaria_italica_v2.0:IX:57205906:57207436:-1 gene:SETIT_040704mg transcript:KQK92776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNKVGLGWQPM >KQK92781 pep chromosome:Setaria_italica_v2.0:IX:57207578:57208091:-1 gene:SETIT_040704mg transcript:KQK92781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSSNCLRNHKFRTSLLTILNILYECQAILGFVKMEWPLIKLLTPVFSLG >KQK92778 pep chromosome:Setaria_italica_v2.0:IX:57206218:57208717:-1 gene:SETIT_040704mg transcript:KQK92778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDIKSDPYSQVFTELVGSPYYVAPEVLHKRYGPEADVWSAGVILYVLLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPKISESAKNLIRKMLCPCPSERLKAHEVLRHPWICENGVATDQALDPSVLSRLKQFSAMNKLKKLALRVIAERLSEEEIAGLRQMFKAVDVKNRGVITLGELREGLRRYGTELEDREISDIVEAADKDNNVTINYEEFIAATVPLNKIEREEHLMAAFTYFDKDGSGYITVDKLQRACGEHNMEDSFLEEIILEVDQNNDGQIDYAEFVAMMQGNKVGLGWQPM >KQK89944 pep chromosome:Setaria_italica_v2.0:IX:37515977:37519728:1 gene:SETIT_036342mg transcript:KQK89944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIFDKMKVEFVDQDECVQVVADNIRGTGEVPERYVRSEIMSDPAIDDAKGYNLPVIDMSRLLNPEFSEEETAKLGSACEHWGFFQLVNHGFNGELLQQIKADITEFFNLPLEEKLAVAIPPNGIQGFGHHFVFSKEQKLDWVDLLFLATRPVEERSLAFWPTKPSTFRDTLDRYSLGLANVSAQLFKFMANNLGVNHEALLGTFKGLPQSMRINYYPPCSQADKVLGLSPHTDGVGMTFLLQVNDVEGLQIRKDGKWFPVKAIPGALVVNIGDVLEILTNGKYKSIEHRAVINPVKERITIATFHSVHLGCTIGPLQELLKTDEAHYKVLDSVEFTKGYFAAKLEGRRYLESLKLGI >KQK90020 pep chromosome:Setaria_italica_v2.0:IX:38663288:38665655:1 gene:SETIT_039073mg transcript:KQK90020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRRFLYLVAKDCADRSYSLRRIDTSRFFFRGPTEGTPTPLDGGCAGAADPSAVEDGGRLPDPMINLRPLPLGPYPGSMGFVLFKNNVNNGEHDKIVAIDGTGRSLICDSDVPPTVHYLPTLFSPKFRPFSLTVGFSLYHRSTYIDSYAVVAGTSIVVSHNAGGRTYRFDTASKTWRKAGNWVLPFTCLAEYVPEHKLWFGVSSTNDGNRFCAADLVASPDSGEMRPPVVRGLWKELGEPPPEWSLGQTYAVHLGSSRFCIVRFFVVVGGPVHVCPVSYSTFRVEEELQAVITGVDVD >KQK92267 pep chromosome:Setaria_italica_v2.0:IX:54659316:54665121:-1 gene:SETIT_034387mg transcript:KQK92267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRRGGGGGTVGAPWLVLLLLGCCGGGIWPRGRIFAAADTDPSDLNVLNTLFTSLNSPGQLNGWQANGGDPCGQSWKGITCSGSGVTKILLSNLGLSGNLAYNMNNLGSLVELDMSQNSLGGGSQIQYNLPYMKLERLNLAGNQFGGNLPYSISTMTNLKYLNLNHNQLQGNISDVFSSLYSLTDVDLSFNSLTGDLPQSFTGLSSLKHLYLQNNQFTGYINVLANLPLEALNVANNRFTGWIPSQLKKINSIQTDGNSWSTGPAPPPPPYTAPPPPNRRNSPDGSSSSGGKSGIGGGGVAGIIISLLVVGAVVAFFLIKRRKRKAAKAEHYEQHQPFTSFPSNEIKDMKPIDESTTVDIESLASPASISLKPPPKIERHKSFDDDDFSNRPVAKKSNITPIKATVYSVADLQVATDSFSFDNLVGEGTFGRVYRAQFNDGKVLAIKKLDSTVMPFQSSDDFAELVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDDYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDSEFNPHVSDAGLASFIPDAEFQAAEQSAGYTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDRCPLIICFSAF >KQK92269 pep chromosome:Setaria_italica_v2.0:IX:54659316:54665303:-1 gene:SETIT_034387mg transcript:KQK92269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRRGGGGGTVGAPWLVLLLLGCCGGGIWPRGRIFAAADTDPSDLNVLNTLFTSLNSPGQLNGWQANGGDPCGQSWKGITCSGSGVTKILLSNLGLSGNLAYNMNNLGSLVELDMSQNSLGGGSQIQYNLPYMKLERLNLAGNQFGGNLPYSISTMTNLKYLNLNHNQLQGNISDVFSSLYSLTDVDLSFNSLTGDLPQSFTGLSSLKHLYLQNNQFTGYINVLANLPLEALNVANNRFTGWIPSQLKKINSIQTDGNSWSTGPAPPPPPYTAPPPPNRRNSPDGSSSSGGKSGIGGGGVAGIIISLLVVGAVVAFFLIKRRKRKAAKAEHYEQHQPFTSFPSNEIKDMKPIDESTTVDIESLASPASISLKPPPKIERHKSFDDDDFSNRPVAKKSNITPIKATVYSVADLQVATDSFSFDNLVGEGTFGRVYRAQFNDGKVLAIKKLDSTVMPFQSSDDFAELVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDDYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDSEFNPHVSDAGLASFIPDAEFQAAEQSAGYTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSRRPDDQDQDFI >KQK92266 pep chromosome:Setaria_italica_v2.0:IX:54659316:54665121:-1 gene:SETIT_034387mg transcript:KQK92266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRRGGGGGTVGAPWLVLLLLGCCGGGIWPRGRIFAAADTDPSDLNVLNTLFTSLNSPGQLNGWQANGGDPCGQSWKGITCSGSGVTKILLSNLGLSGNLAYNMNNLGSLVELDMSQNSLGGGSQIQYNLPYMKLERLNLAGNQFGGNLPYSISTMTNLKYLNLNHNQLQGNISDVFSSLYSLTDVDLSFNSLTGDLPQSFTGLSSLKHLYERMPVHLFSSPIHISLELFCINPFLFFLRYLQNNQFTGYINVLANLPLEALNVANNRFTGWIPSQLKKINSIQTDGNSWSTGPAPPPPPYTAPPPPNRRNSPDGSSSSGGKSGIGGGGVAGIIISLLVVGAVVAFFLIKRRKRKAAKAEHYEQHQPFTSFPSNEIKDMKPIDESTTVDIESLASPASISLKPPPKIERHKSFDDDDFSNRPVAKKSNITPIKATVYSVADLQVATDSFSFDNLVGEGTFGRVYRAQFNDGKVLAIKKLDSTVMPFQSSDDFAELVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDDYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDSEFNPHVSDAGLASFIPDAEFQAAEQSAGYTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQPEPEFRPPMSEVVQALVRLVQRANMTKRMLDGDTSRRPDDQDQDFI >KQK92268 pep chromosome:Setaria_italica_v2.0:IX:54659848:54665121:-1 gene:SETIT_034387mg transcript:KQK92268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRRGGGGGTVGAPWLVLLLLGCCGGGIWPRGRIFAAADTDPSDLNVLNTLFTSLNSPGQLNGWQANGGDPCGQSWKGITCSGSGVTKILLSNLGLSGNLAYNMNNLGSLVELDMSQNSLGGGSQIQYNLPYMKLERLNLAGNQFGGNLPYSISTMTNLKYLNLNHNQLQGNISDVFSSLYSLTDVDLSFNSLTGDLPQSFTGLSSLKHLYLQNNQFTGYINVLANLPLEALNVANNRFTGWIPSQLKKINSIQTDGNSWSTGPAPPPPPYTAPPPPNRRNSPDGSSSSGGKSGIGGGGVAGIIISLLVVGAVVAFFLIKRRKRKAAKAEHYEQHQPFTSFPSNEIKDMKPIDESTTVDIESLASPASISLKPPPKIERHKSFDDDDFSNRPVAKKSNITPIKATVYSVADLQVATDSFSFDNLVGEGTFGRVYRAQFNDGKVLAIKKLDSTVMPFQSSDDFAELVSNISKLHHPNLNELVGYCMEHGQHLLVYDFHRNGSLHDLLHLSDDYSKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDSEFNPHVSDAGLASFIPDAEFQAAEQSAGYTAPEVDMTGQYTLKSDVYSFGVVMLELLTGRRPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVLALCVQVGVTVFIYTSRMKQTYPSK >KQK88988 pep chromosome:Setaria_italica_v2.0:IX:19221389:19222437:-1 gene:SETIT_037905mg transcript:KQK88988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYIALRMCAQLILEVYDPEDRMKMELANIILTKHSDDKPLVEAVRKQFFAEHLWHPCSWYVDSAFVERLKETEAELNTSDNETGTSSRQTIANIRFGDLMDDPLACMLGSPIRDMGSHNPSENTRAVLKRTEPRNRKRSHRPSSPSEC >KQK87652 pep chromosome:Setaria_italica_v2.0:IX:8489563:8493054:1 gene:SETIT_039574mg transcript:KQK87652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYPVTALGRDDRKRLLDLTRVGKTDLAKALAEELFSNEKALVRIDMSEHVGASSVTSLIGSPPGNICLQISHDMLQAPGYENGGQLTEQEMRRPYSVILDDGRLTDAKGRAVDFTNTIIIMTSNLGAHHLDGYPDNDAARERILADVRRHFRPELVNRLDEMVVFQPLSSSSAGDQLLRKVARLQLKGVALRLAGKGIDLDVTDAALDVMLSETRGEVKMYGARPIRRFVQKVVVTRISNMVVREEVHEDCCISIDADEEVKELVFTVTKKPADQKK >KQK92746 pep chromosome:Setaria_italica_v2.0:IX:57025839:57028584:1 gene:SETIT_035374mg transcript:KQK92746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKYRPSSAHNGPHWSTNSGAPVWSNDHSLTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRVLDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWRPTCGVKSLLEDEAVTVGGTNHSHATKDLYDAIAAGNFPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDVIPLQPVGRMVLNRNIDNFFTENEQLAFCPGVIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDAAKNAPRSLCSIDLCLQTMIKKENNFKQPGERYRSMDPARQERFIKRWIDALSDPRLTHEIRSIWLSYWSQTDRSLGQKLASRLSAKPSM >KQK92745 pep chromosome:Setaria_italica_v2.0:IX:57025839:57028584:1 gene:SETIT_035374mg transcript:KQK92745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYKYRPSSAHNGPHWSTNSGAPVWSNDHSLTVGPRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDITHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETIRDPRGFAVKFYTREGNWDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRVLDFFSHHPESLHMFTFLFDDIGIPADYRHMDGSGVNTYTLVNRAGKSHYVKFHWRPTCGVKSLLEDEAVTVGGTNHSHATKDLYDAIAAGNFPEWKLYIQTIDPDHEDRFDFDPLDVTKTWPEDVIPLQPVGRMVLNRNIDNFFTENEQLAFCPGVIVPGIYYSDDKLLQTRIFSYSDTQRHRLGPNYLLLPANAPKCAHHNNHYDGFMNFMHRDEEVDYFPSRYDAAKNAPRYPIPSVPLTGRREKTMIKKENNFKQPGERYRSMDPARQERFIKRWIDALSDPRLTHEIRSIWLSYWSQTDRSLGQKLASRLSAKPSM >KQK92653 pep chromosome:Setaria_italica_v2.0:IX:56629035:56630486:-1 gene:SETIT_039798mg transcript:KQK92653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELVSEWKNHVTHRLDEILPANTSIQSSLIKERALSITSDNFFVPSTIAFVCITKEADLTHELLKHGAKPTDDMIQQSSVIRMGALGLVNLKGCQSIASSAAMVGMAKEAKMMCDWMKRESKLLTFSMSEPPELEGACFIRNRTLGVMINILQESTFPSSKVSGKSDQILLM >KQK90273 pep chromosome:Setaria_italica_v2.0:IX:41196379:41197493:-1 gene:SETIT_037936mg transcript:KQK90273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKQLAEKRERLIELERKRFGGVRLKPLPHQFAYFDCSIEDVNPLNCLPADSKDADLIVPDSLEIVSPSQAPQTQASNNYDDKESNQIELLPESPFASAAPAGNSISTII >KQK90274 pep chromosome:Setaria_italica_v2.0:IX:41196379:41197593:-1 gene:SETIT_037936mg transcript:KQK90274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVDKMKPMHYCPTRFFEIDPDFYPDEYEASSRMVRKQLAEKRERLIELERKRFGGVRLKPLPHQFAYFDCSIEDVNPLNCLPADSKDADLIVPDSLEIVSPSQAPQTQASNNYDDKESNQIELLPESPFASAAPAGNSISTII >KQK91812 pep chromosome:Setaria_italica_v2.0:IX:51930740:51937986:1 gene:SETIT_034149mg transcript:KQK91812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSLVEGDLAGPEMAQPYMKKDDDDDEEVEYSPFFGIEKGAVLQEARAFHDPQLDVRRCSQVITKLLYLLNQGETFTKVEATEVFFAVTKLFQSSDAGLRRLVYLMIKELSPSSDEVIIVTSSLMKDMNSKTDMYRANAIRVLCRIIDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLAQANPEIVKRWSNEVQEAVQSRAPLVQFHGLALLHQIRQNDRLAVSKLVSSLTRGSVRSPLAQCLLIRYASQVMRESSTNTQNGDRLFFDFLESSLRHKSDMVMLEAARKITEMDVTSRELAPAIAVLQSFLSPSSKPVLRFAAVRTLNKVAMTRPLAVTNCNVDLESLISDTNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSMLNFLSNSLREEGGFEYKKAIVDSIVTLISEIPDAKEIGLLHLCEFIEDCEFTYLSSQILHFLGNEGPRTSDPSRYIRYIYNRLILENATVRASAVSTLAKFGALVDTLKPRIFVLLRRCLFDTEDEVRDRATLYLQTLGGEVAIGNNEKDAKDFLFGSFDVPLANLEASLRTYEPSEEPFDISLVSRDIRSLPLQEKKAPGKKAPAAAASAPVSAVDAYEKMLSSIPEFSGFGRLFKSSEPVELTEAETEYAVNVVKHIYDSYVVLQYNCTNTIEEQLLENVTVCVDSSVAEEFSEICSKPLASLPYNSTGQIFVAFEKPERVPAIGKMFNLLKFTVKEVDTFTGEADEDGVDDEYQLEDFEIFAADYMLRVAVSNFRNAWENMDPESERVDEYGLGVRESLAEAVSAVINILGMQPCEGTEVVPRNARSHTCLLSGVFIGDVKVLVRLSFGLSGPNEVAMKLAVRSDDPEVSDKIHEIVASG >KQK86498 pep chromosome:Setaria_italica_v2.0:IX:2277431:2279330:1 gene:SETIT_039443mg transcript:KQK86498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMISPVNDLAASHPLAANAAAAARASQPPVSNPESLFDDLGGMAAYAAAAGATVWSSQYPPYAPTATTSGGFNSSPSPSPMLSRSMTGTFFPPPAMVEPPYYWHPQTQPGFPPRFAPVPLPFAQGVMPPPVPVPVQITRAEPSYYHPQIQGSVASGSAAEAVAIVHAVPDTQKAQEATAPAHRRRGRPRKTDTAAVAAAKPVIKKPKRATVRSSRAAAPQPTGSSVAGSATMAGQVQIHQQQDQAASSAVEFQVQHPDQAASVIAVATSNQQTAPCTTNPMALLCDQEQWQLQPSCSNILSAGDQAAAAGPYADTSVAGVRFQPTDEELIFYLRLKHAGHKMPVDFFKDFDVYHEHPETSRAACGVVNGCWYAFSPRNRKYKNGGRPARSVFDASGVQVGYWKSNTKLAPVLAGGKADGALVGEVTSLTFHLGQQPKGTQTPWKMKEYAIPQNQHAPDGSAMRLNDWVVCKLFYKERVIKNAAAEEDQLSEAGENDVWAEGDEGTWRVPVVQTLGNSEQDLCVDYQIETNADDYLPWI >KQK86644 pep chromosome:Setaria_italica_v2.0:IX:3081054:3086092:-1 gene:SETIT_036279mg transcript:KQK86644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGEGGGDPAAARRRWDLTNKGPESAPIVKEAVEISTDEESDVVICHPNGDSNTDGCDEATSGNHDDDSPEDQVTSSVKDPNVEGDTQEDKCVNQESLKLIDQEQSAPPKSPAKSASSGSERPKRVVPQPFSLSTQRRSSGGNGGVSSPSINKEKSGDKSSISPASMTKKSTPVAPRKTLQPEQTFHPQEEDSCSVTSSTTTSTRAGRTKTTVPVPPSFVCANRADKRREFYTKLEEKHKALEAEKDEAEARKKEEQEAALKQLRKSLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKFTSSRRKSCSDTAQTPEGKNTSASSARTHRHSIGSSKDANRVQCSLKNGVATKTRAVKPELKAL >KQK86643 pep chromosome:Setaria_italica_v2.0:IX:3081365:3085917:-1 gene:SETIT_036279mg transcript:KQK86643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAFSCILPIVKEAVEISTDEESDVVICHPNGDSNTDGCDEATSGNHDDDSPEDQVTSSVKDPNVEGDTQEDKCVNQESLKLIDQEQSAPPKSPAKSASSGSERPKRVVPQPFSLSTQRRSSGGNGGVSSPSINKEKSGDKSSISPASMTKKSTPVAPRKTLQPEQTFHPQEEDSCSVTSSTTTSTRAGRTKTTVPVPPSFVCANRADKRREFYTKLEEKHKALEAEKDEAEARKKEEQEAALKQLRKSLVIRAKPMPSFYQEGPPPKVELKKVPPTRAKSPKFTSSRRKSCSDTAQTPEGKNTSASSARTHRHSIGSSKDANRVQCSLKNGVATKTRAVKPELKAL >KQK89392 pep chromosome:Setaria_italica_v2.0:IX:27450887:27451681:-1 gene:SETIT_040173mg transcript:KQK89392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSIETVIEVKAEAEQPSDVRISASTSGFDEEISLYDGKFCGSGSMFKHIVAVKKQEELHVVLKMNESTYKWTFKAGVGVVIAPEHPVSGFTQYFVMNVSFRTKGKAASAWQWSCICNDVRVSRMCL >KQK88316 pep chromosome:Setaria_italica_v2.0:IX:13125330:13126808:1 gene:SETIT_038605mg transcript:KQK88316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGRGNGERQNGGRRLPKFDSVGGAAVRSPSVLVQKRASAATAAADLTDDLIVDILSRLPVKSICRFKCVSRHWHPTPSTTQLSGFFYPRYLLDHEDEITAIPDFVGISGAEEVPFPDPSLPFLPGYRWIRSKDSCGGLLLCGCWKVNPRDEFNYVVCNPAADKWVVLPEPPDDAIFSHFHVFQLLEEDQYGYITGLDIYSSETGAWSHKENGWGDEVVPVESGGVFMNGMLHLLSHESTILAVDTEGKTWSIIPLLERRLCYMNTRKHITNKISVWILEDYSAGQWIFKYNISTSQLFGEVDLMMERDYSLITIHPEANVVFFVSNSEDLLMSYDMDRGKVRVICCSQSLFITHFFHIFHMFHFFMDWLIKTRSIMPKVKVKNWYPKMIWMLSHVLGLQLLLN >KQK86313 pep chromosome:Setaria_italica_v2.0:IX:1263143:1269372:-1 gene:SETIT_035310mg transcript:KQK86313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPSGSVVSGEAELVPTLSSLEPVYGEGSQLDEARLRFARLGDRFQAVYGARPALFARSPGRVNLIGEHIDYEGYSVLPMAIRQDMIVAIRKSDSGQVRVSNVDDKYPVCVYPADPDKEIDIKNHKWGHYFMCGYKGVYEYCRSKGIDLGKPVALDVVVDGTVPQGSGLSSSAAFVCSATIAIMGVLEKNFPKKEVAQFTCLSERHIGTQSGGMDQAISIMAKPGFAELIDFNPIHATDVQLPRGGTFVIAHCLAESKKAETAATNYNNRVVECRLAAIVLAIKLGMDTKKAVSSVTTLSDVEGLCVSFAGKEGSSDPGVAVKKLLHEEPYTAEEIEKITGESLTSVFKSSQTSLDVIKAAKHYKLFQRASHVYSEAWRVYAFRDTVSSKLSDEDKLKKLGDLMNESHYSCSVLYECSCPELEELVKVCRDHGALGARLTGAGWGGCAVALVKEPIVPQFILNLKEKYYKSRIDRGVIQQSDLGLYVFASKPSSGAAILKL >KQK89430 pep chromosome:Setaria_italica_v2.0:IX:29508886:29509541:1 gene:SETIT_038308mg transcript:KQK89430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASLRQVTYWTRPASCVGRRGAYRRLALAVHPDAAPARRCLALAVGVPTRRCLEEVAREEVAPRPAAAWCSPLASRPAAA >KQK92043 pep chromosome:Setaria_italica_v2.0:IX:53367175:53369985:1 gene:SETIT_034742mg transcript:KQK92043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGSRAFELVTKFCYGENLEITEDNVAMLRCAAEHLEMTDDSNGGNLVGRAEAYLEAVALMSLAGAVTVLRKSEELLPVAEEVDLVGRCIDAIAHITCNNSQFSAALGSTAGGYNSVSVSKAVDAWWADELTSLRIDTFQRVLIAMKARGFKGIALGTLIMLYAQKSLRRLDMHGRDKKKMDPRQEHEKRVVLETIVSLLPKEKNSMSVSFLSMLLRAALHLDTTLACRLDLEKRMSSQLGQAVLDDLLIPSSSLDAGTTFDVDAVQRILAGYLEHESEAAQLDYNTDDDFISAASPPNDVGMVGRLMEAYLAEIASDANLPIDKFTGLAEMIPERARFNEDGMYRAIDIYLKAHPHLSEPERKKVCKAMDCQKLSREACAHAAQNDRLPVQTVVQVLYHEQRRLREAPTHAPSGASSFYGGESPPPSLPYKPTPSLMGRHARGGAAPAEEVSQLQRENDELKMELLRLKMRLRDPSAPLPPTGAAPPPSGRHSMPKKPGAGGGFMNNVSKKLGKLNPFVRHDAMGVPKVRTKPPKDRRHSIGW >KQK92044 pep chromosome:Setaria_italica_v2.0:IX:53367175:53369985:1 gene:SETIT_034742mg transcript:KQK92044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRFDTPAMKRTSDWVLSQEYPSDITIQVGEATFNLHKLPLASRCGYIRKQVSGINGSKFTTHIDLTGMPGGSRAFELVTKFCYGENLEITEDNVAMLRCAAEHLEMTDDSNGGNLVGRAEAYLEAVALMSLAGAVTVLRKSEELLPVAEEVDLVGRCIDAIAHITCNNSQFSAALGSTAGGYNSVSVSKAVDAWWADELTSLRIDTFQRVLIAMKARGFKGIALGTLIMLYAQKSLRRLDMHGRDKKKMDPRQEHEKRVVLETIVSLLPKEKNSMSVSFLSMLLRAALHLDTTLACRLDLEKRMSSQLGQAVLDDLLIPSSSLDAGTTFDVDAVQRILAGYLEHESEAAQLDYNTDDDFISAASPPNDVGMVGRLMEAYLAEIASDANLPIDKFTGLAEMIPERARFNEDGMYRAIDIYLKAHPHLSEPERKKVCKAMDCQKLSREACAHAAQNDRLPVQTVVQVLYHEQRRLREAPTHAPSGASSFYGGESPPPSLPYKPTPSLMGRHARGGAAPAEEVSQLQRENDELKMELLRLKMRLRDPSAPLPPTGAAPPPSGRHSMPKKPGAGGGFMNNVSKKLGKLNPFVRHDAMGVPKVRTKPPKDRRHSIGW >KQK89632 pep chromosome:Setaria_italica_v2.0:IX:34172166:34174641:1 gene:SETIT_0349341mg transcript:KQK89632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAQDEERPLIHHLHPQGESSEFTSDGTVDISNQPALKRSTGNWRACFFILGSEFTESVACFAIAKNLVTYLTGALRESNVDAATTVSTWIGSSFFTPLIGAFFADTFWGRYWTVLIFLSIYVTGMFILTVSAFLPLLMGSSYDLSFHRVTAYLGLYLVALGNGGIKPCVSALGADQFDATDPVERVTKGSFFNWYYWSVNIGSLLSATVLVWVQDNIGWGVGLAIPTVFMVCGFSVFVGGRKLYRYQKVGGSPLTRLFQVVVAAVRNYHLVLPEDCSALHEVATSTEGNRKIQHTSQFRFFDKAAIVAPFSGDKGPMSPWKLCTVSQVEELKMLLRMFPVWASMVLFFAVTSQMSSTFIEQGAAMDNRVGPFTVPPASLATFDIISVMVCIPIYDGVLVPLARRTTGKERGLSQLQRLGVGLALSVAGMLYAALVEARRLALARTGTPMSIMWQAPSFAVLGAGEVFTAIGILEFFYDESPDGMKSLGTALAQLAVAAGNYLNSAVLAAVSAITARGGKPGWIPDDLNEGHLDYFFWLMAALGVVNLLHFLHCSMRYRGNTNTVS >KQK88214 pep chromosome:Setaria_italica_v2.0:IX:12358376:12360568:-1 gene:SETIT_040104mg transcript:KQK88214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSSSSTVRVTTDGYGSSRPTRTQVPVVLAHGSVAPRLPPIGASDEASYVVQQSTQLQPADSSCYHSAASRARRGGIEVVDPENNSPSSIRDPIPSLPLTHADAGADAAAAPVAFNRSLSRAQRHLIHPDLPSAPIPSRELGMGSCVSKKAARAGAGAGARGNKVAAPLPAAEKESARHALPPVAEAHVEEEEVKEVVLSETPVPRPPRPPPPEPEPIKRSKEQPEADEAASEACSASDTASVESAAKEKAMAKLQKPGVEREAEKRAVSDAPTKKGRTAPEERERESKPRGGGGGAGTGRARSPSPSSTHRRQQPGGGQQHPPAPRPRREHPPVVSGIGCRSGRFSPSAARRAAESVVRRTHSAREADMALPSKRSLSAAINGVNAGGYGGGGGALSRRDPRRAVRAAVGLPDGHAIHRPASPMRKAAKEHGTPERASRPRVRDGGDEHPAALAGLGGERKKVAEGEEGAALGQNPSVAMECFIFL >KQK87782 pep chromosome:Setaria_italica_v2.0:IX:9341405:9345198:1 gene:SETIT_036125mg transcript:KQK87782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGRRLAGHEYLSPRTIYFIQWKSMSSFSAGHGRRPKKKLYHREPGLDKAMDLQKKPALLLRLRDLILAQRTGSLLVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGSASREPIAVTLTEKARRISNEEDRARELMEPILVKNLRKLLMMSMDCQIPLEKIELIQSELGLPKNFKNNMLPRYPEFFSIRDVKGLDYLCLENWDSSLAVTVREEKINFEGFQIGCRGIPKDGNILGPFAFKLKYPAGFRPNRKYLEEVVRWQKMAFPSPYLNARRVESATPQARKRAVAVLHEILSLTMVKRLTSDKLDVFHNEYRLPCRLLLCLVKNHGIFYITNKGARSTVFLKEAYENSDLIDKCPLLKFHDQFASLIGRPCSNSDNPLPI >KQK91226 pep chromosome:Setaria_italica_v2.0:IX:48630302:48631819:-1 gene:SETIT_036400mg transcript:KQK91226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDIDSTCSTPFASAPSSPGRSPAIGGFGGGGGGYFFSAPASPIHHLLFSTSSSASAASGPGGRGCAGDAEFEFGGPGGPMISADELFHNGQIRPLTLPPLPDLDPGSDDDEDSGRAPARGRDLTPRSASVHRRARSMSPLRSASPRLKLINALVPAPDASGGARDEAAPPVTASSRSSSSSSTSSSSSAASSARGSRRWVFIKDMLLHRSRSEPGNSAHAHDGPTTASAGATKPERAWPFSPSWAARDRLAARLRPSRAPPATEAAGGHGGEDARPRGQGRGRRRRSTTVAAAHERLYAAPNRAQAEEMRRRTFLPYRQGLLGCLGFSSRGYGALHGLTKTLNPVFSR >KQK87023 pep chromosome:Setaria_italica_v2.0:IX:5125810:5129451:1 gene:SETIT_036692mg transcript:KQK87023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGDSAEGVGGGDWPFAGVGGDAFAAEYSSVFAELGWPGGLAGELPVLDLPEAAAAPPAEVTRPAEEVMAPARSGDAAASSSSSGDGDGAAPGSDDRRPAAAETASEKPAAAKKGQKRARQPRFAFMTKSEIDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSTDPSVVITTYEGQHCHHIGSYQRGGGGGAAAAHIHHSAAAVALAEQMSSFIPAHQLYSLPPLHPQSSPSSETIVSPPASTSLQHLNGGADELRRASYSPRVSMVQSPTTPSSSVSPAVSVEKAGLLDDMVPQGVRHG >KQK89884 pep chromosome:Setaria_italica_v2.0:IX:36708176:36710169:1 gene:SETIT_038730mg transcript:KQK89884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTFIMIKPDGVQRGLIGEIISRFEKKGFYLKALKLVNVERCFAEKHYSDLSAKPFFQGLVDYIISGPVVAMVWEGKSVVTTGRKIIGATNPLASEPGTIRGDFAVDIGRNVIHGSDSIESATKEIALWFPEGLANWQSSQHPWIYEK >KQK91417 pep chromosome:Setaria_italica_v2.0:IX:49684217:49685311:1 gene:SETIT_036508mg transcript:KQK91417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPRATAQHCGAATMASVCSFVEEEYIDLDLSSWGEFEFRVRRSGGAADELLCRGRLAAAAPPHKAATATRAGGKVQEVDAGGGGCGGAGRRSAATVAPLQHSHSAGFRDAPSPVVRMRKEGSRRRKAARTVHAKLLASRAFFRSLFARTSCSDEQCRGADVRPRARASPPSGEAKSGSCKAPFGQIKNGNHHHSSSGGRAAPTTLRSSIEQEKLMDEEELAAAAVRQRKSFSGVIKWRHAATAPAVAAPSKPLSSSSARRSSGAVAAATGVGPGLKRSSSARSESEGLIQGAIAYCKRSQQQLGLARKSVSDAALCSGPSWPGNPARSAGVAYCH >KQK91978 pep chromosome:Setaria_italica_v2.0:IX:53051073:53053661:-1 gene:SETIT_036851mg transcript:KQK91978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAATPTRPPHQPAASPSPSPSSLRLWRSAALRNVRNQWSHLHAATEQWLAAAADGRSHASLLVNAHISHRDMPGTDLGVLKDMPGIRDKASSKLALREEQYGDMLLSCYKNMVRALCRLVKASRSMRCFSKVSPNGSVAQFSERQDDLNDLGDGGGAPVFKWFSILEFESLAQELVEMFVSDLKLKRLLVLELLSISFKEGAEHQTSLDWGDELYDGESDELQSIGLQSGETCPLPENWCAYISGSQRPASHPSHEVLQVYLTAWLANVNIKKSRIDAIFELVEEEMKIKLS >KQK88650 pep chromosome:Setaria_italica_v2.0:IX:15771291:15779321:-1 gene:SETIT_034311mg transcript:KQK88650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEDMENGRSYQERPRTFPTVRNKSSIPLVFRLLMRINPRALIILSLLVFSGVLYVGASTSPVVVFVFCICTLSLFFSLYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMTCILAFVILGIYLFRTTTPQQEASGLGRATSAYITVASFLLGALCSGIAGFVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSAIVVVCMAVFGVAILYSTLYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVVSSVGILSIRGTRDSGLISPIEDPMAIMQKGYSVTIMLAVLTFGVSTRWLLYTEQAPSAWLNFALCGLVGIITAYAFVWISKYYTDYKHEPVRLLALSSSTGHGTNIIAGVSLGMEATALPVLVISVAIISAYWLGQTSGLVDESGDPTGGLFGTAVATMGMLSTAGYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVASFAQLPFKEVDIAVPEIFVGGLLGSMLIFLFSAWACSAVGKTAQEVVNEVRRQFIEKPGIMDYKEKPDYGRCVAIVASASLREMIRPGALAIISPMAVGVIFRILGHSTGQPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSESHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >KQK88188 pep chromosome:Setaria_italica_v2.0:IX:12241225:12243222:-1 gene:SETIT_037039mg transcript:KQK88188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEQFLAVAEEAAKNAGEIIRKGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLRKHFPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIRKIPTLGVVYNPIMNELFTAVRGKGAFLNGSPIKASSQDELVKALLVTEAGTKRDKTTVDDTTNRINKLLYKIRSIRMCGSLALNMCGVACGRLDLCYEMGFGGPWYG >KQK88189 pep chromosome:Setaria_italica_v2.0:IX:12240617:12243300:-1 gene:SETIT_037039mg transcript:KQK88189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEQFLAVAEEAAKNAGEIIRKGFYQTKNVEHKGQVDLVTETDKACEDLIFNHLRKHFPDHKFIGEETSAALGATADLTDDPTWIVDPLDGTTNFVHGFPFVCVSIGLTIRKIPTLGVVYNPIMNELFTAVRGKGAFLNGSPIKASSQDELVKALLVTEAGTKRDKTTVDDTTNRINKLLYKIRSIRMCGSLALNMCGVACGRLDLCYEMGFGGPWDVAAGAVILQEAGGHVFDPSGGEFDLMSRRMAGSNGLLKDKFVKELGDTN >KQK86639 pep chromosome:Setaria_italica_v2.0:IX:3052366:3052830:-1 gene:SETIT_038888mg transcript:KQK86639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAKSSEAAPATGNATSQWSSGLCDCFDDCGLCCLTCWCPCITFGRVAEIVDRGATSCGASGTLYALLASLTGCHWIYSCTYRSKMSAQFGLPENPCCDCCVHFCCEPCALCQEYNELKARGFDPKLGWDLNAQRGAGAGKAMYAPAEQGMGR >KQK90529 pep chromosome:Setaria_italica_v2.0:IX:43429702:43431191:-1 gene:SETIT_036181mg transcript:KQK90529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAEYSSQELLQAELQLWHQSLGFFKSAALAIALDLRIADAVHRLGGAATLPQILAEAGISPCRLRDMRRVMRVLTVSGIFIVQHPDEAAAAAAAESRHDAAVYKLTAASRLLVRDKSSTATGQLPHFLTVQLLLGPVRDSPVSMGMRKWFRQQGDQQQPGLSPFAMAYGGQTVWERAEHDAAAFRFNDAMAADTAFLMPIVLRECAEVFRGLTSLVDVAGGLGGAAATIAAAFPDLKCTVLDLPQVVAKAPSGTDVHYVAGDMFESIPPANAVFLKWILHDWRDDECVKILKNCKQAISPRDAGGKIIIIDMVVGSGPSDDIKHAETQVLYDLLIMNINGVERDEQEWKKIFFEAGFKDYKIIPVLGVRSVIELYP >KQK87938 pep chromosome:Setaria_italica_v2.0:IX:10318507:10318779:-1 gene:SETIT_040405mg transcript:KQK87938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRFRDLEIMVSWIAAATRGLQATRTGGILRRKIA >KQK87966 pep chromosome:Setaria_italica_v2.0:IX:10468993:10469644:-1 gene:SETIT_038281mg transcript:KQK87966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYECEICTFTDMSCTWYQMLLTRNVSIMTNQRKSLIKHGFMWILQNAFMFIGCPVPGNGIKFHDLHKCYPEWFYGWRLLESGYNV >KQK89736 pep chromosome:Setaria_italica_v2.0:IX:35461939:35466419:-1 gene:SETIT_033974mg transcript:KQK89736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGILKNSASSRMPPQGAGKPPTAPTSAPTVVFGRRTDSGRFISYSRDDLDSEISSVDFQDYHVHIPMTPDNQPMDDEDGGAARAEERYVSGSLFTGGFNSVTRAHVMDKQDSGAGAGGRRGRGKGASACMVEGCDARAMRDARGDDVLPCECDFRICADCFTDAVKAGGAACPGCKEPYKNTEWEDLAGAAEVTRALSLPRGPAGANGHKMERRLSLVKQTNVNQSGEFDHNRWLFETKGTYGYGNAIWPQDGTDDDADGGAPAGPGHPKELLAKPWRPLTRKLRIPAAVISPYRLLVLIRLVALAFFLMWRIKHQNDDAIWLWGMSIVCELWFAFSWVLDQLPKLCPINRATDLSVLKEKFEMPTPSNPTGKSDLPGIDIFVSTADPEKEPVLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHDIEPRNPDSYFNLRRDPFKNKVKPDFVKDRRRIKREYDEFKVRVNGLPDAIRRRSDAYHAREEIQAMNLQREKMKAGGDEPFEPVKIPKATWMADGTHWPGTWLQPSQDHARGDHAGIIQVMLKPPSDMPMYGNINEKSPLDFAGVDTRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYVYNSKALKEGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPVYVGTGCLFRRIALYGFDPPRSKDHSPGFCSCCLPRRRKASASNANPEETMALRMGDFDGDSMNLATFPKKFGNSSFLIDSIPVAEFQGRPLADHPSVKNGRPPGALTIPREMLDASIVAEAISVISCWYEEKTEWGIRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTQRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFASSKMKVLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLTYLLIITITLCLLAMLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKQVGDDVEDEFAELYIVKWTSLMIPPLTIIMINLVAIAVGFSRTIYSTIPQWSKLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWIAIKPPSQAANSQLGGSFSFP >KQK91044 pep chromosome:Setaria_italica_v2.0:IX:47326981:47328954:1 gene:SETIT_035197mg transcript:KQK91044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKKPRASAPDPPAAAARLPWHPPAPPVPTALLISLAALLVRVLVSMGPYSGQGAAPKFGDYEAQRHWMELTLHLPPSDWYRNTSDNDLAYWGLDYPPLSAYQSLLHGRIINASLPDAVALRSSRGYESPESKLLMRWTVLSSDLLVFFPAALWFVWAYIKGGIGTSGEEKREGWLWLLAMVLISPCLVLIDHGHFQYNCISLGLTLGAIAGVLSRNELIAAALFTLAINHKQMSLYFAPAFFSHLLGKCLKRKYPIVEVMKLAFVVLGTFALVWWPFLRSFEAALQVISRLAPFERGIYEDYVANFWCSTSVIIKWKILFAIKPLKLMSLSATVLAFLPSFVQQVKSPSNLGFLYSLMNSSFSFYLFSYQGMFYC >KQK91045 pep chromosome:Setaria_italica_v2.0:IX:47326981:47329574:1 gene:SETIT_035197mg transcript:KQK91045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPKKPRASAPDPPAAAARLPWHPPAPPVPTALLISLAALLVRVLVSMGPYSGQGAAPKFGDYEAQRHWMELTLHLPPSDWYRNTSDNDLAYWGLDYPPLSAYQSLLHGRIINASLPDAVALRSSRGYESPESKLLMRWTVLSSDLLVFFPAALWFVWAYIKGGIGTSGEEKREGWLWLLAMVLISPCLVLIDHGHFQYNCISLGLTLGAIAGVLSRNELIAAALFTLAINHKQMSLYFAPAFFSHLLGKCLKRKYPIVEVMKLAFVVLGTFALVWWPFLRSFEAALQVISRLAPFERGIYEDYVANFWCSTSVIIKWKILFAIKPLKLMSLSATVLAFLPSFVQQVKSPSNLGFLYSLMNSSFSFYLFSYQVHEKSILLPLLPASLLALKEPQMFGWFVYFALFSMYPLICRDQLLLQYIAVLGLFFLIYYSPGGSHGKRFKISCGAKVVLSLPFLCSLLLHITYLQIEPPKRYPFLFDALIVFVSFSQFVILTLYTNYKQWMLDTHSRSVGVKKDL >KQK91733 pep chromosome:Setaria_italica_v2.0:IX:51502380:51510175:-1 gene:SETIT_034724mg transcript:KQK91733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRPPVVVLSSSSGEDDGGGRRGPSARRSRTPASAPARAQSQAASGSRKKPRRESSAGRGRRRASGPAPLDSLKAEFDMLSEDFSECFDDLGMSGSIRKTKELWVDKYKPHSLAELAVHKKKVEDVKKWFEEKLTAPKQTVGGWTLVLTGQTGVGKSATVKAIAEDLGVDLCEWTTPVPTLWAEHVHANSGLRYISKLEEFENFVEKIRKYAMLHPTSITSQRKLNIILIDDIPVTSGNAAFARLGKCLTGLIHSTQVPTVISLTHYHKSESNDTAMWNSEDLESLLQDAGAHKIAFNPVTTNSIKKILMRICKEERYDASEELLHQIAISSGGDIRHAIMSLQYYCLDPRRHSSALATNGTRAGSKSRDSLVPRQESYGLSSALSSPCGRDETLTLFHALGKFLHNKREINGGVDIDLDPFPLKEKLRRNLLKMDVPEKILSQAHGKVRTVADFLYENVLDFIDNEAVDDAWAVVSYLSEADCLLAGSPITSYNSENMAQLIAASVTARGVLFGNAHVASSRWHTIRSPKLWQTEQSFRSNKDQILNERFDCSSSCASCNFSDLVTEFRPLERWIGPRNNGPISSSWHHGVGGSHLVNKLDADGSNYEEEDDDVIEEC >KQK92334 pep chromosome:Setaria_italica_v2.0:IX:54975471:54978833:1 gene:SETIT_037269mg transcript:KQK92334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPHLTENGTIMIQFGHQMPDYDSPATQSTSESHQEVSGMSEGSLNEHNDQSGNHDGYSKSDENKMMSALSLGNPETAYAYPKPDRTQSFAISYPYADPYYGGAVAAYGPHAIMHPQLVGMVPSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHQHAMKRARGTGGRFLNTKQQAEGPGGGSSDAQRNGGLFTKHEHSLPPGDRHYHPRGGA >KQK92336 pep chromosome:Setaria_italica_v2.0:IX:54975471:54978833:1 gene:SETIT_037269mg transcript:KQK92336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNGTIMIQFGHQMPDYDSPATQSTSESHQEVSGMSEGSLNEHNDQSGNHDGYSKSDENKMMSALSLGNPETAYAYPKPDRTQSFAISYPYADPYYGGAVAAYGPHAIQMHPQLVGMVPSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHQHAMKRARGTGGRFLNTKQQAEGPGGGSSDAQRNGGLFTKHEHSLPPGDRHYHPRGGA >KQK92333 pep chromosome:Setaria_italica_v2.0:IX:54975471:54978833:1 gene:SETIT_037269mg transcript:KQK92333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNGTIMIQFGHQMPDYDSPATQSTSESHQEVSGMSEGSLNEHNDQSGNHDGYSKSDENKMMSALSLGNPETAYAYPKPDRTQSFAISYPYADPYYGGAVAAYGPHAIMHPQLVGMVPSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHQHAMKRARGTGGRFLNTKQQAEGPGGGSSDAQRNGGLFTKHEHSLPPGDRHYHPRGGA >KQK92335 pep chromosome:Setaria_italica_v2.0:IX:54975471:54978833:1 gene:SETIT_037269mg transcript:KQK92335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQNGTIMIQFGHQMPDYDSPATQSTSESHQEVSGMSEGSLNEHNDQSGNHDGYSKSDENKMMSALSLGNPETAYAYPKPDRTQSFAISYPYADPYYGGAVAAYGPHAIMHPQLVGMVPSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHQHAMKRARGTGGRFLNTKQQAEGPGGGSSDAQRNGGLFTKHEHSLPPGDRHYHPRGGA >KQK92332 pep chromosome:Setaria_italica_v2.0:IX:54975471:54978833:1 gene:SETIT_037269mg transcript:KQK92332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFGHQMPDYDSPATQSTSESHQEVSGMSEGSLNEHNDQSGNHDGYSKSDENKMMSALSLGNPETAYAYPKPDRTQSFAISYPYADPYYGGAVAAYGPHAIMHPQLVGMVPSSRVPLPIEPAAEEPIYVNAKQYHAILRRRQLRAKLEAENKLVKSRKPYLHESRHQHAMKRARGTGGRFLNTKQQAEGPGGGSSDAQRNGGLFTKHEHSLPPGDRHYHPRGGA >KQK86167 pep chromosome:Setaria_italica_v2.0:IX:532402:535041:-1 gene:SETIT_038902mg transcript:KQK86167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRLHLPPGPMRLPVLGNRHQIMGALPHRSLGELARRHGPVMLLRLGSAPTVVVSSAEAAREVLKTHDAAFCSRPDTPGARRLTYGYKDVAFTPYSDCWREMRKLIVVELLNARRVQATWPAREAEVDKLIGRLTSGGRRPLYLEDHIFTLMDGIVGTVALGSIYGSEQFAHKKHFHDLFDEAMAVKSSFSAEDYFPNVLGRLVDHLTGLVSRREKVFWELDAFFDKIIDSHLHPSRPTPDNGPSFIDVLIGLTKEHEGTFSWFTRDHIKGMLSDAFIGGVDTSSVTVVWAMAELIRNPEVLKKAQDEIRAAVGNKERVEPNDLPKLKYLKMVVKETLRLHPAVPLLVPRETMRHIKICGYDVPAKTRIFVNVWAIGRDPAIWSNPKEFDPERFAGNGVTFNGAHFELLPFGAGRRMCPGLAMGMTTVEFTLANLLHCFEWELPDGMTAKDVSMAEAGGLTVNKKVPLVLVPTRFKWQRS >KQK92604 pep chromosome:Setaria_italica_v2.0:IX:56304728:56313984:1 gene:SETIT_034096mg transcript:KQK92604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSGEATASLAPEPRGRADEDGRSPREPPEPRRRADEDGRSPREPAFEDAMEEASTASASPVACREGDSEAAAEASPSSSPSAWRSQGDGPAAAEEVETYDSPSASSSGCAAMEGEESPSVVESREEPGSVDTGSAASPSEQLARGAEEHESPMATPRAGSPLREETESSMHSAPSSPARSATSTSSSPLSQIKQQARHVRTGSFQRFRQQMQRAWKWGPIGGGGGGERSPREQLLRTSLNIEAMANQKRQWYQIHSKAQDHWQYKEPASLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVARSEIVDLRKIQYHGPIPSMEPQILFKYPPGKRAEVRENDLPSFCFPEGVKARLIERTPSMSDLNEMIFGQEHLSRDDLSFIFSLKVSDNAPLYGVCLHVQEIVQKAPGILGVVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPVPRSVKEQDGVKGDFDSSNGIPYIDWTEYAVPANSISGLISSSGVPSERDMPSYLFRSCGPNSPESISASEISDSSYVREVDKEGRHSFQQYEDCLSENLESRCDSFGRENYIHDNGQTSPDLLSMHSPISRRLERAQSVESFLDSSVKVARSDDEDEVSLKHEMIVDDEKVIGWAKAHNNEPLQIVCGYHALPLPPRGGELVFRPLEHLQPVKYSRAGLSLLGFGETILDNGLTLAETNKVNARLAAAEEALALSIWTTATLCRALSLESVLGLFAGVLLEKQTVVICPNLGVLSAIVLSIIPMIRPFQWQSLLLPVLPRKLIDFLDAPVPFIAGVQHKPPDMKMKGSSLVRINVQKDQVKACSLPQLPRYKELVSDLGPIHARLSCENALAKRHPIYKCNEVQVLIYRTVWMYRIF >KQK92605 pep chromosome:Setaria_italica_v2.0:IX:56304728:56315303:1 gene:SETIT_034096mg transcript:KQK92605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELSGEATASLAPEPRGRADEDGRSPREPPEPRRRADEDGRSPREPAFEDAMEEASTASASPVACREGDSEAAAEASPSSSPSAWRSQGDGPAAAEEVETYDSPSASSSGCAAMEGEESPSVVESREEPGSVDTGSAASPSEQLARGAEEHESPMATPRAGSPLREETESSMHSAPSSPARSATSTSSSPLSQIKQQARHVRTGSFQRFRQQMQRAWKWGPIGGGGGGERSPREQLLRTSLNIEAMANQKRQWYQIHSKAQDHWQYKEPASLFEHFFVVGLHSYANVGVIEDAFAKKKAWESNVARSEIVDLRKIQYHGPIPSMEPQILFKYPPGKRAEVRENDLPSFCFPEGVKARLIERTPSMSDLNEMIFGQEHLSRDDLSFIFSLKVSDNAPLYGVCLHVQEIVQKAPGILGVVSPLNPTSYKPSRFLVSAPRCYCLLTKVPFFELHYEMLNSIIAQERLDRITQFASEIALAEPVPRSVKEQDGVKGDFDSSNGIPYIDWTEYAVPANSISGLISSSGVPSERDMPSYLFRSCGPNSPESISASEISDSSYVREVDKEGRHSFQQYEDCLSENLESRCDSFGRENYIHDNGQTSPDLLSMHSPISRRLERAQSVESFLDSSVKVARSDDEDEVSLKHEMIVDDEKVIGWAKAHNNEPLQIVCGYHALPLPPRGGELVFRPLEHLQPVKYSRAGLSLLGFGETILDNGLTLAETNKVNARLAAAEEALALSIWTTATLCRALSLESVLGLFAGVLLEKQTVVICPNLGVLSAIVLSIIPMIRPFQWQSLLLPVLPRKLIDFLDAPVPFIAGVQHKPPDMKMKGSSLVRINVQKDQVKACSLPQLPRYKELVSDLGPIHARLSCENALAKRHPIYKCNEVQAEAAWQFLNVMRSYLESLCSDLRFHTITNVQSNNDRVSLLLKDSFIDSFPSKDHPFVKLFVETQMFSVLSDSRLYSFENERT >KQK86691 pep chromosome:Setaria_italica_v2.0:IX:3317657:3322015:1 gene:SETIT_034917mg transcript:KQK86691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFLLSPPTLPLPSPTATATATASSYLISAVHGRRRRPLEHGCRCGRPPETAFSDSSGGRDSYDDEEAAPRTLGLDGSSASSSGRQTVRSAAPRHQGTLKGGDTSDADHEGWQKREYTTDGFSKSRSKSGYFKAFGVDLSPDNVAVAIVYFVQGVLGLSRLAVSFYLKDDLHLDPAETAVVSGFSALPWLVKPLYGFISDSFPLFGYRRRSYLFLSGLLGALSWSLMATVVDDKYSAALSIILGSLAVAVADVVVDSMVVERARGESQSTSGSLQSLCWGSSAFGGVVSAYFSGSFVDTYGVRFVFGVTALLPLMTSTVAVLVNEERLPLGERSVSLSVSGSELIESSKQRIMQIWNSIKQPSIFLPTLFIFLWQATPQSDSAMFFFITNKLGFSPEFLGRVTLVTSVASLLGIGLYNSFLKEVPLRKIFLVTTILGSALGMTQVLLVTGLNRKLGISDEWFSIGDSLIITVLGQASFMPVLVLAAKLCPPGVEATLFATLMSISNAGSVAGGLVGAGLTQFLGVTRDNYENLALLIAVCNLTSLLPLPLLGLLPNESPTDSAPTKND >KQK87488 pep chromosome:Setaria_italica_v2.0:IX:7548934:7549212:1 gene:SETIT_039076mg transcript:KQK87488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRTPTLPDDVADGRRPVIELRTAPTDFCFPTQNQTRHCYVRYPEYHRCVKAKDGDDRTECDKFQRYYGSLCPTDWVVEWNRQREEGIFPGPI >KQK91505 pep chromosome:Setaria_italica_v2.0:IX:50307772:50309502:1 gene:SETIT_036238mg transcript:KQK91505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDKAGCPPAALPLDRVMAALAANAEQLGRRWEAALRGRCGKGEDVAAVGKRVEGSGQVIEMHTPLFYATCALGGILSTGLTHLAVTPLDLVKCNMQVDPSKYRDIPSGFGVMLQEQGLGGFFKGWMATLVGYSCQGACKFGFYEFFKKCYSDIAGPDNAERLKTLIYLAASASAEVIADVALCPMEAVKIRVQTQPGFARCLTDGLPKIVQCEGAFGLYKGLLPLWGRQVPYTMMKFACFETIVEMVYKHAVPKPKDQCSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAQGATVADVSY >KQK91506 pep chromosome:Setaria_italica_v2.0:IX:50307468:50310750:1 gene:SETIT_036238mg transcript:KQK91506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDKAGCPPAALPLDRVMAALAANAEQLGRRWEAALRGRCGKGEDVAAVGKRVEGSGQVIEMHTPLFYATCALGGILSTGLTHLAVTPLDLVKCNMQVDPSKYRDIPSGFGVMLQEQGLGGFFKGWMATLVGYSCQGACKFGFYEFFKKCYSDIAGPDNAERLKTLIYLAASASAEVIADVALCPMEAVKIRVQTQPGFARCLTDGLPKIVQCEGAFGLYKGLLPLWGRQVPYTMMKFACFETIVEMVYKHAVPKPKDQCSKPLQLAVSFAGGYIAGVFCAAISHPADNLVSFLNNAQGATVADAVRTLGMWGLFTRGLPLRIIMVGTLTGAQWATYDAFKVFVGLPTSGGVSRSCAAASPLHRVAHEKQN >KQK87661 pep chromosome:Setaria_italica_v2.0:IX:8550419:8555181:-1 gene:SETIT_034107mg transcript:KQK87661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEGNLDAVLKEAVDLENIPLEEVFENLRCSHGGLTSEQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGVTQDQVILMAARASRIENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAYNKSQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVVCFLDLSLGLEVVQVHEPSFD >KQK87659 pep chromosome:Setaria_italica_v2.0:IX:8548257:8555181:-1 gene:SETIT_034107mg transcript:KQK87659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEGNLDAVLKEAVDLENIPLEEVFENLRCSHGGLTSEQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGVTQDQVILMAARASRIENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAYNKSQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGGYLAVMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYANWGFTSIKGIGWGWAGVVWLYNLIFYFPLDILKFLIRYALSGRAWDLVIEQRIAFTMKKDFGKEERELKWAHAQRTLHGLQPPDAKLFPERVNELNQMAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIDTIQQSYTV >KQK87660 pep chromosome:Setaria_italica_v2.0:IX:8549170:8555181:-1 gene:SETIT_034107mg transcript:KQK87660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKEGNLDAVLKEAVDLENIPLEEVFENLRCSHGGLTSEQAQQRLQIFGPNKLEEKEESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLLINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWTEEEAAILVPGDIVSIKLGDIIPADARLLDGDPLKIDQSALTGESLPVTKGPGDGVYSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMFVEIIVMYPIQHRAYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFERGVTQDQVILMAARASRIENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSDGKMYRVSKGAPEQILNLAYNKSQIERRVHAVIDKFAERGLRSLAVAYQEVPDGRKESPGGPWHFVALMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIAALPVDDLIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGGYLAVMTVIFFWAAYKTNFFPRIFHVESLEKTAQDDYQKLASAVYLQVSTISQALIFVTRSRSWSFVERPGFLLVFAFLVAQLIATLIAVYANWGFTSIKGIGWGWAGVVWLYNLIFYFPLDILKFLIRYALSGRAWDLVIEQRVIYTAYVSCTVPV >KQK86177 pep chromosome:Setaria_italica_v2.0:IX:625803:627829:-1 gene:SETIT_035913mg transcript:KQK86177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVAAEATGRQAASFVLGCVAALTVVLLLQRRPEDLITRPVAPVQFFGGRSSSSSSGRDGTCSCSSPSSTPTGPPPVIVAADHHSDATKQPGAAGAATDATADDLSRLPASPAHRQQEEGRDAEEDEDAEFRGLAAVVARAATADDRTVIITCVNHAWAAPGSLLDLFLESFRIGDGIAHLLSHVLIVAMDPPAMERCRALHPHCYLYTIPGVDFASAKFFLSKEYLELVWSKLKLQRRVLQLGYNFLFTDVDVMWFRNPFKHVTAYADMSISSDVFFGDPDNIDNFPNTGFFHVRPNNRTIAMTRAWHEARERYPGRNEQPVFNAIKKGLVRDLGLRVQYMDPAFMGGFCSYGKDLRKICTMHANCCVGLANKLRDLATVLGDWKNYTRMPHWAKHAAKWTVPGACIH >KQK87823 pep chromosome:Setaria_italica_v2.0:IX:9549446:9553378:-1 gene:SETIT_037347mg transcript:KQK87823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRVSQLARRRLLSLPSAAAAARRAAPVSAEAVSGGGSIPLPRGCGAGVAASGWSGGGPGLRLARSLCTYDERDDRALEEEAEKKFGWILKIFFLGTAGLVGYQFFPYMGDNLLQQSISLLRVKDPLFKRMGASRLGRFAVDDQRRMKVVEMGGAQELLNVLEGAKDDKTRKEALKTLVGLSKSEEAAGFLDRAGAYAIVSSTPNSPEYAEIETYKASLLKAFDQLKS >KQK88622 pep chromosome:Setaria_italica_v2.0:IX:15577430:15578978:1 gene:SETIT_040229mg transcript:KQK88622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFRNIAPPPPVHQQPLQLPPPPPLPPPPPHSTWEKRHSPGPPPGFAGVRLPPLKSPCQRSPPQHVPQPAPNAHRSPGTSLPPPPQHASQGAAVPAPAMNNNTVAPPLQRRSAPKKPPHPAAKKKPSVPCGLCGVLCMTARHLEDHEKGRKHRNKAACLAGEMNVRCPVCNVHLSSGLNVEQHLAGKQHLRQLRLNGGA >KQK89205 pep chromosome:Setaria_italica_v2.0:IX:21871724:21873464:1 gene:SETIT_040369mg transcript:KQK89205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTVEDLVRKRTKDDDEIMLIILLPAMYLLSYQWREGKGS >KQK89206 pep chromosome:Setaria_italica_v2.0:IX:21872961:21873458:1 gene:SETIT_040369mg transcript:KQK89206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSILFYIFLLLVFFTNLCEMCMFLVIKIHTIYFVEKWIQQWRI >KQK89204 pep chromosome:Setaria_italica_v2.0:IX:21871845:21873464:1 gene:SETIT_040369mg transcript:KQK89204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTVEDLVRKRTKDDDEIMLIILLPAMYLLSYQWREGKGS >KQK88039 pep chromosome:Setaria_italica_v2.0:IX:11069900:11071883:1 gene:SETIT_039552mg transcript:KQK88039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSMPAAPNGARPDSRTPAHPLSSLPASMALQARRAAAPQGASPSPAQASKATPDSIATNPTWLSMPPAFVPNCSSPMVNSDLNATYAELGSHPPGGFLSYFEPSDYSHPKEVPRETPMSPNFVYASGPTPYAPFQTPQPWMAEGWTSQSAPKQVTTHSSLGSQAAPVVDVENIDDNGVNPSTLSKKDAGGSRTERRMIWTSDETMRLVSAWLKNSNDPIKGNRKRNDQYWGALTSMFNSTTPSDRIREVKQLKEQWHRVNRTANAFQGSWIKVQRLRASGESDEQVMDKAMAFYEEDFEEGQFKLIACWKALRDQPKWHAYNEDLNESNKRKNSESEAVDLTSSPDVLNGLPRPIGCKKAKDESKGKGKGKGSSSTLDEIDKLREGQAKSKEDRIEVLERHQQIAADKKESARLNHLAAREKKEAKLLEKEGKLRDKESKLLETYKSLLTFDTRHMLEDLKAEHMIAAKSMRERIFSKSDYRALCTYVRSKLSQD >KQK87787 pep chromosome:Setaria_italica_v2.0:IX:9374886:9375578:1 gene:SETIT_039555mg transcript:KQK87787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALVVRLVGALSDWAAFNTTARYAVGVMSSDQVGFPATDKAVVHRIMGLVQCTPDQAPGACRRCLQALIDEMPAVFNATVGGRFLAVWCYLRFEVHEFYDSSPMLNLVAPPWSPPPSPASADQTAYQEDDDHDASLLFDLPTLRLATDNFSERE >KQK87172 pep chromosome:Setaria_italica_v2.0:IX:5904751:5907896:-1 gene:SETIT_036039mg transcript:KQK87172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEEANRAAVESCHRVLALLSNPRGQLVPNKDLVAATGEAVGKFGSLTAKLSNSNGNGLQGHARVRKIKKPLPIFDSNLFLESSAVAVAAATPAKAPSASPITGLQLFPRYQMEGSSSKDPIRIHTQFPKRLMLENPAAALEGPSSQAPPVHMVQPVSVAPPAGTPTPALPAAHLHFIQQQQSYQRFQLMQQMKIQNEMMKRSIGDQGGSLSGGGGGGAKGVNLKFDTSNCTASSSRSFLSSLSMEGSLASLDGSRANRPFQLVSGSQASSTPELGLVQRKRCTGREDGSGRCATGSRCHCSKKRKLRIRRSIKVPAISNKVADIPADEFSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDPSMLIVTYEGDHNHNRVVAQPA >KQK92025 pep chromosome:Setaria_italica_v2.0:IX:53297643:53300430:-1 gene:SETIT_037954mg transcript:KQK92025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGVGFFLVLIGWPFFGMLLEAYGFIVLFSGFWPTLVVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >KQK92027 pep chromosome:Setaria_italica_v2.0:IX:53297896:53299920:-1 gene:SETIT_037954mg transcript:KQK92027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDLKKIGLGLTGFGIFFSFLGIIFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGVGFFLVLIGWPFFGMLLEAYGFIVLFSGFWPTLVVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >KQK92026 pep chromosome:Setaria_italica_v2.0:IX:53297643:53300430:-1 gene:SETIT_037954mg transcript:KQK92026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFEMNDLKKIGLGLTGFGIFFSFLGIIFFFDKGLIAMGNILFLSGLGLTIGLKSTMQFFTKPKNYKGTISFGVGFFLVLIGWPFFGMLLEAYGFIVLFSGFWPTLVVFLQRIPIIGWIFQQPFVTSFLDRYRGKRVPV >KQK92206 pep chromosome:Setaria_italica_v2.0:IX:54329289:54331373:-1 gene:SETIT_036724mg transcript:KQK92206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQSKRPASSNESTEAGEKLAFAEDEAQALTARNMEHDDAELDDVDDDEDELAGGRAARPACGLGEKKRRLAMEQVRALERCFETDNKLDPDRKARIARDLGLQPRQVAVWFQNRRARWKTKTLERDFAALRARHDALRADCDALRRDKDALAAEIRELRQKLSSRPETAVKLEEAVANEAAEERQATVGAAVCKDGSSDSDSSVVFNDVEASPYSGAAFEQPGLLGFGPPFLDTSAATTGCSPLPMFETKWQQGPTYPYDSYKAGGGYGFTEEWLASSDVIGSDGAVSFFSEEHASSLNFGWCASGTEAWE >KQK88271 pep chromosome:Setaria_italica_v2.0:IX:12769683:12773484:1 gene:SETIT_035506mg transcript:KQK88271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRAARARKKSPPLPAPMAAPPPLLLLLLAVSSCAAAAAATGAHVVGEDYVRPPPARFHRKSLLSIFPWSEKKASASATDPQQVHISLAGEKRMRITWITNDNSVPSVVDYGTKKGTYTMTSQGDSTSYRYLLYSSGKIHHVVIGPLEDNTIYYYRCGGQGREFQFKTPPSQFPLSLAVVGDLGQTSWTTSTLNHIKQCEHDMLLLPGDLSYADFMQHLWDSFGTLVEPLASTRPWMVTEGNHEKEHIPFLESGFQSYNARWKMPYEESGSTSNLYYSFEVAGAHIIMLGSYTDYDETSDQYAWLKADLAKVDRKRTPWLIVLLHAPWYNSNWAHQGEGDSMMAAMEPLLYAAHVDMVVAGHVHAYERAERVYNGRLDPCGAVHITIGDGGNREGLAHRYRNPKPTWSVFREASFGHGELKIVNSTHAHWTWHRNDDEEPVRTDEVWIYSLSGSGCIQEGSHELRKILMSP >KQK90090 pep chromosome:Setaria_italica_v2.0:IX:39527297:39527795:-1 gene:SETIT_040820mg transcript:KQK90090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSCRYCLLWISVVVLAAPHSEFPHAYIFVFS >KQK92020 pep chromosome:Setaria_italica_v2.0:IX:53266135:53274245:1 gene:SETIT_034339mg transcript:KQK92020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHQTVAAGVDSGSAEPGAAAFDLTTANPEANAYGHNPPAVTGGSAVTPDGGAQAADGSAYPAEHAALNGTAGEMANYQSTENGAAVTNEMGEPVPEPSYEEAAVSAEEARLWSVVTANSLDFNAWTTLIDETEKNAESNILKIRKVYDAFLAEFPLCFGYWKKYADHEGRLDGANKVIEVYERAVLAVTYSVDIWYNYCQFAISTYDDPEIIRRLFERGLAYVGTDYRSNILWDEYVKYEESLQAWSHLAVIYTRILEHPIQQLDRYFNCLKELASTRDLSEILTAEEASVYGVASENSAQVLDGETHPDDPDKSSKPEAENLAKYVSMREEMYKKAKEYESKIIGFELAIRRPYFHVKPLDNPELENWHNYLDFIEKEEDINKVIKLYERCVIACASYSEFWIRYVQCMEDKGSLELANNALARATHVFVKKQPEMHLFSARFKELNGDVSGARAEYEHLYSVLCPGFLEAIVKHSNMEHRLGDDASACSVYDKAISTEKEKEQSQLLPTLLIQYSRFLFLAIQDLERARDTLTELHEQLNITKPVLEAVIHLESIFPCVKRIEFLDSLVERFITHESSQGEGSSLGDKEEISSIFLEFLDLFGDAKSIKKALTRHTALFSCKRSILPSKKRKADDAIMSDRDKLAKIGGTQPVMGTDPNAPNPPVWPATSEASGQQWGAGYAPQAAYPAYGTYDYSHQMPQPAAQAAYGAYPQAYPAQGYTQQSYAQPAAMAAAPVPAAAPAPAPTAAYPQQPAAAAPQPYYGTTYY >KQK90291 pep chromosome:Setaria_italica_v2.0:IX:41289842:41292123:1 gene:SETIT_040305mg transcript:KQK90291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGQQQPPVGVPPPQAWPPFAAAACWRPASEFFAMHNFLAACA >KQK90292 pep chromosome:Setaria_italica_v2.0:IX:41289842:41292123:1 gene:SETIT_040305mg transcript:KQK90292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYGQQQPPVGVPPPQGYPGKDGYPPAGYPPAGYPPPAQGYPPQGYPPQQGYPPQQGYPQQGYPPPYAQPPPPQRPQGSGGPSFMEGCLAALCCCCLLEACF >KQK88301 pep chromosome:Setaria_italica_v2.0:IX:13010039:13010530:-1 gene:SETIT_038326mg transcript:KQK88301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAIELPLLVRCRGRCKKGPRSPLPRVKEEALREGEGEPAPPCWSSLAPLRRLPPPGDPPPVWRENVLILEAAQHQTL >KQK88006 pep chromosome:Setaria_italica_v2.0:IX:10776178:10777707:-1 gene:SETIT_036172mg transcript:KQK88006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNAAVGAAVHRRAVLEGDALLVRALVWPLGDAVHPVVAQGHVEAGHRLAAEVVAGDGEVPELGQQADALRHHAGQLVVPHVEHLEALHVADALRQLPGELVVAEVQHLELLQLADLRRDAGADAGVEEHQLHQRVGHVADARRQAAGELGVGEHDDRRRRVGQRPRRQGHVEAVVVGEDRVEAELPAEHGVGEVAVEFVEAEVEVLERRQRREERRERAGEEVVADVELEEEPHVLHRPRERAVEAVGVEVEERHVGEEPQVVGEEPRDAAVVEVDAGDGEDVRVVGRRRAEDARVVAHEGAAPVGGQVLGVLGDGVLPRLQRDVRRVEAVVERREGQRGRRRRRRRGGRSREWLGEAWKDEAEEEEVSESSLARCWT >KQK92818 pep chromosome:Setaria_italica_v2.0:IX:57364525:57365019:1 gene:SETIT_040470mg transcript:KQK92818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFIGGFGWNSIGFKSYKLSQSRPKGWFLKFRLILLSLVRGTTKFN >KQK88483 pep chromosome:Setaria_italica_v2.0:IX:14573621:14575549:1 gene:SETIT_0364741mg transcript:KQK88483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QYANLFRAQTFASRSIPVNFHQLIRNAGISTTRNLLADDAMVPISSPLTPPLGDGEETDKKGAVVKRLKVQAIKKDIKQSPKKVNLVAKLVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAAHNHGLDPDKLIVEEAFVGKGLYLKRLSYHAKGRCGVMVRPRCRLTVVVREATAEEEAKIAKLRVSNYKKLTRKERQLMPHRLIEVSPRWARKRKEEAGATA >KQK86785 pep chromosome:Setaria_italica_v2.0:IX:3738623:3739327:1 gene:SETIT_038748mg transcript:KQK86785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKRDQEEEEEAMAGEKKGLQLLDFWVSPFGQRCRIALAEKGLPYEYLEQDLSNKSELLLRSNPIHKKIPVLLHDGRPVCESLIIVQYIDEAFPGQGAPLLPADPYARAQARFWADYVDKKVYDCGSRLWKLKGEAQQQARKEMVEILKTLEGALGDGKFFGGEAFGFLDVAFVPFTAWFLTYERYGEFSVEEECPRLAAWAKRCAERESVAKNLYPPEKVYEFVGGMKKRLGIE >KQK87434 pep chromosome:Setaria_italica_v2.0:IX:7298076:7301843:1 gene:SETIT_034403mg transcript:KQK87434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLARALRQSNSRLSSRCPAVAASCRWISPTAAAGSPEAGAAVAPADPELPPPREPVGGARVELPPNPEDALEVFVDGHAVRIPKGFTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTNTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGADRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVTDAVGSNIRVDSRGPEVMRIVPRINEDINEEWISDKTRFCYDGLKRQRLNDPMIRGPDGRFKAVTWRDALAVVAEVLHQVKPEEITGVAGKLSDAESMMALKDFVNRMGSDKVLCEGNGPNPPADLRSNYLMNTGIAGLEKADVFLLVGTQPRVEAAMVNARIRKTVGATQAKVGYIGPPADLNYDHEHLGTGPETLVEIAEGRHPFCSVLQSAKNPVIIAGAGLFEREDQDALFSTIETVAKKFNVTRPDWNGLNVLLLHAAQAAALDLGLVANPAESIKSAKFLYLMGADDISLDKLPEDAFVVYQGHHGDKAVYRANVILPSSAFSEKEGTYENTEGCTQWTIPAVPTVGDARDDWKIIRALSEVAGAQLPYDSLSAVRDRISTVAPNLIHVDEKEPCTISAEVKPPVKQQVSSTPFKTVIENFYMTDAITRASKIMAQCSATLLKK >KQK89100 pep chromosome:Setaria_italica_v2.0:IX:20676985:20677431:1 gene:SETIT_039088mg transcript:KQK89100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLHAKLGLFFPSILLLTAQQIIATPKVYISPPFGSHFAIQVQYYLILVGTSVAPKHIPTTPHTTLATGH >KQK87401 pep chromosome:Setaria_italica_v2.0:IX:7153903:7158154:1 gene:SETIT_036997mg transcript:KQK87401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYAAHVVAKIQPAASLAATPRSNPRRPSSLRVGALSGSTTVQQKKFVAKSATSVVEGGDAFVGVKQNTRPIIVIDNYDSFTYNLCQYMGEVGANFEVYRNDEITVEEIKKISPRGILISPGPGTPQDSGISLQTVTELGPSIPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSLVHYDEKLDGTLFSGLPNPFQAGRYHSLVIEKGSFPRDALEIVAWTDDGLIMAARHRKYKHIQGVQFHPESIITTEGRFMVKNFIKIIEGYEALNCIP >KQK86610 pep chromosome:Setaria_italica_v2.0:IX:2888759:2891562:1 gene:SETIT_038948mg transcript:KQK86610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHIMKQSNSASYLEELEEEAEWERMKLLEIEQGEGLRARKREAEERIRDYDPKQGGVYYTRFYYAYDLASFDHDEESPIPPMRFTNAVYKSNDDYELCEAVNILSMKVGSLDIEFPIHVYGTVIVRDSLDKYCEIIRICHCYCFVWRLERVISYGLDMASDIITLQNNLASSQSNDTTLWDDESLILTGPKRGLALISNAYVETNLIIKGDEKQEDRQLSKGILTIPGMEQRVLIRSCTSSIKERLVLHGRLAYITSGEKIAPIFPLLRSVVAVHVKEKLLLTITAHTEDGEFTKCINCTPRVNGSYLDEVTIGFAALRVKVVWSIIDF >KQK90128 pep chromosome:Setaria_italica_v2.0:IX:40018478:40022191:-1 gene:SETIT_038674mg transcript:KQK90128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAVADYMIPGPKRTITLEVEAGDTVASVMEQVQRRRGYPVALQFLTCDDTPMRPDGGATLAGYNIHPTRGTKLNLEKIFASATRSYYQMASHQSRRGKDTMEIFARNWMTQEERIASTLVVKEERITTTLVVKPTDTIYSVMAKMEEITGPPADPAADDLQRQIAVRLHPRDAGGPQDRGPHESGGGTVFSVFGWNRLQEKTLKALGIAAVEEKMMRLAVADYIPSPARTITLEVEAGHTVASVMEQVQRRRRNPVAMQDLTCDGRPIDASPRRRDSGGLQRDRGLEAGATLTIDSKTVIVLEVERSDTISSVMAQLEDKLGVPPVMQWISCNERSLRCDDAGTLADHGVQKESTLFVFYSPGASWWYERKVETLKSLGIVDVERADDGAANKMEIFVRDCLIPCCRGRRVRTIVLEVEAGDTVASVMAQVQGRLGYPPALQSLFYNMRELLHDSGGTLADYNVRDGSVLKLDMSRRVVDHRHDGGPQDQGEREPSAARAAAGRAHG >KQK89572 pep chromosome:Setaria_italica_v2.0:IX:33117507:33120700:1 gene:SETIT_039594mg transcript:KQK89572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLTQALMRRRPLSQLFNQQELLVHRKIQKSEVSDPVQRPQILPPYRSSSSQSGALRIPATASRRTRYPAACASRRVAEPAGAEGAGCFAPFTVHMASESGDGNCNAWAARYPSGVLSPYKFNRRSVQSSDVSLKITHCGVCYAVIWTRNKHNNSKYPLFPGHEIAGVVTEVGSDFFTFNCTDTDGTITKGGYSTHIVVHERYCFKIPDGYPLAKAAPLLCAGITVYTPMVQHNMNQPGKSLGVIGLGGLGHMAVKFGKAFCLKVTVFSTSESKRDEAINILGADNFVISLNTQQMEVQAYQIICTRKEQISRPLPFSLFCYLILIQMSIGGVMALVSFPSEIKIHPASLNLGNSLLIVGGTKDIQEMVNFCAANKIYPEIEIIKMDYINEALMRLLN >KQK88331 pep chromosome:Setaria_italica_v2.0:IX:13223392:13225741:-1 gene:SETIT_040035mg transcript:KQK88331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFSILLVLLSKLALNTSMRPYMLLAYRNLIGAAAVAPLTLIFERDVTRKMPNLVQWGWISLNATFGIILAMGLYYYGLHSTNATYSVIFLNLIPVMTSLIAITLRVENLILTSWCGRMKLLGILTCVGGMMMVRLYKGKLVHHPWPTHLLKSQFHAATSPTHHHNMVAGTLFLCGSCLGYAFWLAKVFPYRYWATTLTCLSGSLQAFVIGILIDSKKSAWTLKWDLQLLTVIYSGVFTTSVAFMLMSWAVKRRGPIYPPMFNSLAMIATVVMDSVLLDTNIFLGSILGTLLVILGLYTFLLGKGKELQHAAAAQKANQKQYTSNGEQGGHELQLRHGDEIA >KQK89566 pep chromosome:Setaria_italica_v2.0:IX:33034878:33036144:-1 gene:SETIT_038711mg transcript:KQK89566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLRVTIEDDDGGETNGSAALVSPLENDDLIADSLLLLPALPSSLLHASLVCKRWFRVLSNPRFLHEFCAHHRKPPLLGLFYCNWGRIIFTPMLDPPDEIPVRRFALEVPIGTNLLCCHHGRVLMHDVGKQYFMVWEPITGKLCRISKPSSFSPYQMTLTAAAIVCASTDRGHIHGACHSDPFQVVVVAGDSERFYGCVYSSETRAWGNLFWIMRPPQIRTTRATYGSQLFRNSICLLLIEEKIAILEFDWSRQNLVIIDVPYALDFYDFFTARSQFLIKLSDGGGLSFVAQKVFTVHVWKRTSDGDGVATWMLVNTIDLSSVLSLGLPEDLWMHLVILASDGDGNVLFRKTSSRVVYVVNLESRKVKKLPKTYPFKVGHPFSSFYTPEWLSFPSLLNKKFAH >KQK86997 pep chromosome:Setaria_italica_v2.0:IX:4983718:4986149:1 gene:SETIT_035167mg transcript:KQK86997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAYVAAILSFLFLFSLHRLLGSDRHRKINGKNKEMQRLPPSPPAIPVLGHLHLLGKPIHAALARLAERYGPVFSLRLGSRETVVVSSAAHARECFTEHDVCFANRPRFPSLLLISFGGATLPMCGYGSYWRNLRRVATVQLLSAHRVSCMLPVISVEVRAMARRMFRSAAAAPGGAARVELKRRLFEVSLSALMEVIARTKTSRTEADADTDISPEAQEFMKALDVFIPLLSASNKWDYLPVLRWFDVFGVRSKILAAVTARDAFLRRLIDAERRRLDDDESEKNKSMIGVLLSLQKSEPEVYTDTTIMALCSSMFSGGAETTSTTAEWAMSLLLNHPEALKKAQAEIDACVGPSRLLNADDVPRLGYLQCIISETLRLYPVVPTLIPHESTADCAVGGHHVPAGTMLLVNVYAIHRDPGMWADPAAFRPERFEAGGGAADGLFMMPFGMGRRKCPGEALALRTLGLVLGTLIQCFDWGAVGGAAGVDMAEGVGITLPRDVPLEAMCKPRQGMLRVLQEL >KQK88762 pep chromosome:Setaria_italica_v2.0:IX:17028112:17029864:-1 gene:SETIT_038525mg transcript:KQK88762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIREIEKFKLIHRKKSERVTVQRTIMWLYPEDLLVLRLHIS >KQK87862 pep chromosome:Setaria_italica_v2.0:IX:9820171:9821928:1 gene:SETIT_039906mg transcript:KQK87862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFGFNKEELRVLVDNHGYLQVRGQRPAAVGGSDWIRFQKRLKLPDNCNIDGIRSKFANETLTVTLPKMTHPSPPDGYAPEPAPTMQEENEDEDDDGEEEKEEGEEGTVGKVDAGGRRPIRWLLATVAAVLFVGITGYVVWRKLSERN >KQK89564 pep chromosome:Setaria_italica_v2.0:IX:32955957:32960090:-1 gene:SETIT_036336mg transcript:KQK89564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSAPAQAPRKWEGLVDEALEREVLEVCLDQAPERRRVREAFKDVQLNIDHCLFKGEYNGIGTKETYERNSRGLEIFSKCWFPENQRMKAIVCFCHGYGDTCTFFLDGVARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFAKVKGNPEHRGLPSFLFGQSMGGAVALKVHFKQPNEWNGAILVAPMCKIADDVVPPWPVRQVLIFMAKLLPKEKLVPQKDLAELAFKEKKKQEQCSFNVIAYKDKPRLRTALEMLNTTQEIERRLEEVSLPMIILHGEADLVTDPDVSKALYEKAKSQDKKLCLYKGAYHAILEGEPDNTIFQVLDDIISWLDQHSTKEQGSSP >KQK89563 pep chromosome:Setaria_italica_v2.0:IX:32955957:32960131:-1 gene:SETIT_036336mg transcript:KQK89563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSAPAQAPRKWEGLVDEALEREVLEVCLDQAPERRRVREAFKDVQLNIDHCLFKGEYNGIGTKETYERNSRGLEIFSKCWFPENQRMKAIVCFCHGYGDTCTFFLDGVARKIASAGYGVFALDYPGFGLSEGLHGYIPSFDTLVDDVAEHFAKVKGNPEHRGLPSFLFGQSMGGAVALKVHFKQPNEWNGAILVAPMCKIADDVVPPWPVRQVLIFMAKLLPKEKLVPQKDLAELAFKEKKKQEQCSFNVIAYKDKPRLRTALEMLNTTQEIERRLEEVSLPMIILHGEADLVTDPDVSKALYEKAKSQDKKLCLYKGAYHAILEGEPDNTIFQVLDDIISWLDQHSTKEQGSSP >KQK90538 pep chromosome:Setaria_italica_v2.0:IX:43514598:43515232:-1 gene:SETIT_040644mg transcript:KQK90538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTASSRELALLRKRWRPRMKLERVMELRLPRSRGRWAASCRSAATTGAPAYRWAPARAAGGR >KQK89976 pep chromosome:Setaria_italica_v2.0:IX:38086696:38088475:1 gene:SETIT_040582mg transcript:KQK89976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGMVETRIAMGFAGHLAAGLGCFSFVDLYTPHLLSEDPVYGGYEAFEPLSYKFTNARGHGGFLHLDNDESVYHSYP >KQK88455 pep chromosome:Setaria_italica_v2.0:IX:14392790:14395967:1 gene:SETIT_038197mg transcript:KQK88455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRASLSRSVKEIRVLFCQSSPASAAAREFVKKNYGDIKSRNPSLPFLVRECSGVQPQLWARYEMGVERCVNLDGLTEAQIDKKLEELAKAGESANAK >KQK93015 pep chromosome:Setaria_italica_v2.0:IX:58329580:58330139:1 gene:SETIT_038624mg transcript:KQK93015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRATKRDQSVRRWRALPRVQRERPRHVPALLDWQDEERSDSGGHGAWASAWYACPRQRLWQGGMRASSSSSSNDSARGAHRVLDEMLEEGRRDKRGDVQSTRHVIDRYMRGRV >KQK87267 pep chromosome:Setaria_italica_v2.0:IX:6332984:6333476:-1 gene:SETIT_040468mg transcript:KQK87267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTPTTFSRYGIFAQFFHKFWFCCCIKSFVMYSSKERYCCCCWQYQVNL >KQK90851 pep chromosome:Setaria_italica_v2.0:IX:46195090:46195470:1 gene:SETIT_038873mg transcript:KQK90851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFCIENETSQHLFFDCVVAKVMWGRISQALGLDIGVSFESIGSCWLISNKRFTAVNVFSSAALWGIWKLRTDFCFQKITWQNMEHLLMRIVRLAQKWQILCPADKEELLTSNLRMVATRPKMLTG >KQK91714 pep chromosome:Setaria_italica_v2.0:IX:51424703:51427011:1 gene:SETIT_036475mg transcript:KQK91714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVARVFVVVLALICIFVREGRAATFTFVNRCTGTVWPGIQSNAGSSRLDPTGFVLPPGTSRAVPAPSGWSGRVWARTGCAQDATGKMVCATGDCGSGSLECNGQNAATPATLAEFTLAGGAGDDFYDVSLVDGYNLPILIEPAGAATGATTCAAAGCTADLNARCPAELRTEGGAGCRSACDAFGKPEYCCSGAYANPNTCRPTAYSQVFKSACPKSYSYAYDDPTSTFTCAGGRDYTITFCPVATPSVKSSGGPGATTAPPTGGLTPTLPGAGTGATPQMPRPAGQQGGPDGQGVMLGDNSWLASLATGDASSAPPTSRPVFRALPLAPALLLLGLLL >KQK90750 pep chromosome:Setaria_italica_v2.0:IX:45526552:45527869:-1 gene:SETIT_036566mg transcript:KQK90750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVAAVLSCALLVVAATGYYTPPSPATCGLKVGYYHEKCPHAEAIVKHVVGAAVRKNPGVGAGLIRMLFHDCFVEGCDASVLLDPTPANPQPEKLSPPNNPSLRGFEVIDAAKAALERACPGVVSCADIVAFAARDASAFLGGRGVSFDMPAGRLDGRASVASRALDFLPPPVFTLPELVASFAAKGLGVEDMVVLSGAHTVGRSHCSSFVPDRLAAPSDINPSFAASLRGQCPASPSAGNDPTVVQDAVTPDALDNQYYKNVLAHRVLFTSDAALLTSPETAKMVSDNANIPGWWEDRFKKAMVKMASVEVKTGNHGEVRRNCRVVN >KQK86107 pep chromosome:Setaria_italica_v2.0:IX:304334:305557:-1 gene:SETIT_036296mg transcript:KQK86107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDTSAKPRNLGGSLPVPNVQDLAARPADELTPAVLHRYLRGADAPALSAATVTVPVVDLGRLLDPSSSMDIEAASLRAACEDWGFFQVVNHGVPDEVIHDVREDLKAFFQLPLAEKQALAQGPGGIEGYGQAFVVSEDQKLDWADMLFLSTLPPEYRSLNFWPSRPATFGGSLQRYAAEVQRVAAGLLRAMARNLGVADTEEMTRIAAAQGMRINYYPPCPQAHDKVLGLSPHSDAVGLTLLLQASPVAGLQIRREGAWIPVEPLPGALIANVGDVIEVLTNGRYKSIEHRAVVNARHERISVAAFHTAMFGGTYGPLEEIIVGQGEAPRYRTVGVEDYVKLVLSSKLEGKNIMDAMKINNAP >KQK90903 pep chromosome:Setaria_italica_v2.0:IX:46503682:46506742:1 gene:SETIT_038791mg transcript:KQK90903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESEVAASSPQPSATTPSSIASKKQHGKRSRNQNPEKGTLIIEMLGSKGELILPEGITARFRNICGAIVKDKLQTWITTSNWKNVPTTTKDVLWATLKEKFTFPEGQEDSARKFAKGLLGRCFRNWRFILNTDYVKKGKNVRDDFKEKALSRNAMKATENPYHLGAGGYATKIAKWRREEEEQRIAGLPDLFEGLDERSRNWVLARVLVCTPEGKVTFKHPTTKKIYKRLEQLTELQNKGLFKSDRKRDQLISTIGTAEHPGRVRGMSSTLPWGKAFQNDQGSYRKRDRYKKDLEEKMRTITKQELIEFFATQQAQAMTNPRYVAPSTTGSIAKVRYPIDKIQLYTPCRLVIPCGRKQNKFREVATGMAVTARVPKGTPPEYSWVQVFTVLDESCELDIPTDKGIEVLGDAMNQYILWHRRDIVLNINASPETSRSSQDEPMPTQSHVQGATNKVKQPMPLSPILEGLTEEEWTSFLQGDDPTSPRPPSLPPQPPAVPRMVRTYDNKDPSTQVDKFLNVLKNKASSSNEKSVACGLSRRKEIDEGLNFFASDEVPDRYKHGKPFLYRWDLLEDPWELNKLHGWIMDAMKQGIRAITARIPKKAFLGACYYEICHKQPPGSMLCRYYVCEFLRNNGRYRMNLKD >KQK91804 pep chromosome:Setaria_italica_v2.0:IX:51881093:51881436:1 gene:SETIT_040380mg transcript:KQK91804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPGSKDFFSYLCDGNSLWLYYHSVLLVAVSL >KQK91414 pep chromosome:Setaria_italica_v2.0:IX:49672559:49673793:-1 gene:SETIT_038992mg transcript:KQK91414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLPDDVLEAILRRLPRRSLAESRRVCTAWRAAVDALGLQRPHLLPHAVRGVFVNFFDYRWPRFFARPSPERPWIHGNLDFHPGEFCVLPTTRRWERASCPPMELRDYVACLVFDPAISPHYEVFLIPSVPEDTRETPPPFMPVSGDLDPYRSMEWPFSPCTLHVLSSSTRQWEARSFVREGKAVGTVEDVQLDLLFCRISLTNGTYEVIRTPIDIEEGKHARPFLGKSEKGVYFATIADHDILRVWILSELCGNTDWILKIIVTSGAQPCGECYAA >KQK90898 pep chromosome:Setaria_italica_v2.0:IX:46441585:46445056:1 gene:SETIT_036134mg transcript:KQK90898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARALCPPYATMRGGWKCCSRLVLVGQFVWLQLVMAASCTDPLQHPAPAKSEEWVTERVNQLKEKISGLFEACTTIAEQLKLVDTLQHLSIDHHFDKQILATLSRIHGTEFNSSCLHEVALRFRLLRQQGFCVSPDEFNRFKDENRNFHLDITNDARGLLSLYNAAYLFTHGEAELEEAILFAREHLESMRNNLEHPLAQQVNRALHLPLPRTLRRVEALHYISEYKGEPTHNSSLLEFAKLDFNLLQRLHLKELKALSRWWKDLYNEEVLTYSRDRVVECYLWSYTAYYEKEYSRARMILAKLIAVIILTDDTYDVRATLEECRKFNEVIQRWDESATSLLTDYLKKLYLKLMNIFKEFEDDLKPKEKYRVAFSRKAFQAL >KQK89164 pep chromosome:Setaria_italica_v2.0:IX:21477268:21480073:1 gene:SETIT_034610mg transcript:KQK89164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSISYPPDDYLPAVEDNMGRLFIRSLSFDDMEDAADSPSSLPPGFGHGKLIIEGSLSFKRREADNVQMQTLMSVRSPKPDRDSCNVSPSAGTSSSSRFGPARDRPPDHPVDGSESPRHQAAALRLQKVYKSFRTRRQLADCAVLVEQRWWKLLDFALLKRNSVSFFDIEKPETAVSKWSRARMRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYHRWLHCESKQPFFYWLDVGEGKDVNLEDHCPRWKMHKQCIKYLGPKERESYEVIVENKRLMYKLSRQIVDTTGSARGTKWIFVLSTCKTLYIGQKQKGVFQHSSFLAGGATSAAGRLVVEDGHLKAVWPHSGHYRPTEQNFQEFMNFLKDRSVDLSDVKLSPSEGEEDGDFSLRGNHSQLDLTKLCQQEQNQEQEAQSVQRHGEAEDEAETCNAEPTQPPTETSTPAATIRKASSDNRLQGKRPPRLLISSNNRIPLPPAPHCSSSSRPSPSPGGKEIDPDSAMLGECLDFCKRNLFAEDWLDDHGLDDLAEVPEELILSRINSKRAMRSYQLGKQLSFQWSTGAGPRIGCVRDYPSELQFRALEEVSLSPRGGRPARFPSPRLGALTPNSIPAGKCGSLTADGDGVSMSLKPRQRSATWTAF >KQK89954 pep chromosome:Setaria_italica_v2.0:IX:37574084:37575722:1 gene:SETIT_036273mg transcript:KQK89954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEWEMAMGVELGMGMGTYHGHHNASSITTAPMSSHHSGGASYSTAHHHHYYGMPPMGDAMRVDELLDLSTGAGAHDFFPTAAGAAAADNGHHSGAMGEPSPTANSSDHQTSLLSFADEFYIPSEEAAELEWLSKFVDDSYSDMPNYSSHAAMAAAAAANAAGNGGGNSGGQDSCVTAAPGRGARSKRSRATAAAAAAWHSLVPRPPSQSSPSSSCSSSDFPSSNKPGRPNGANGSRGKKSPGPGGAAGAEVGMEGGVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLMPEYRPAASPTFVLTQHSNSHRKVMELRRQKELILIRGSHRDAAAAAAAASAAAGSAGPRPELMFRDYGVC >KQK88630 pep chromosome:Setaria_italica_v2.0:IX:15634091:15634583:-1 gene:SETIT_040684mg transcript:KQK88630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPIPLNHPPTQSRLTTYTGYAPRMIRSTSKLTNN >KQK92919 pep chromosome:Setaria_italica_v2.0:IX:57872022:57875459:-1 gene:SETIT_036856mg transcript:KQK92919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQYEKKEKIGEGTYGVVYKALDKATNETIALKKIRLEQEDEGVPSTAIREISLLKEMNHGNIVRLHDVVHSEKRIYLVFEYLDLDLKKFMDSCPEFAKNPTLIKSYLYQILRGVAYCHSHRVLHRDLKPQNLLIDRRTNAVKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGARQYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRVLGTPNEQSWPGVSCLPDFKTAFPRWPAQDLAAIVPNLEPAGLDLLSKMLRYEPSKRITARQALEHEYFKDLEMVQ >KQK86649 pep chromosome:Setaria_italica_v2.0:IX:3118258:3120235:1 gene:SETIT_037052mg transcript:KQK86649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWRGAIGGYASHRTDPTARGPIQRHHRRPGLALAPPGMASSTASWSRYGAVPTSAPPPPAGPEDLAVADGEAAAPSSSSPATAAEAGVAFFSRARAYAGAAAGRPRAWREVLDPTAFSRPDSCWEARARARRNLAYFRANYALAALVLVFLGLVYRPVSMLVFLALFVAWLGLYFGRGEGEPLVCLRREVDDRVVLVVLSAATVLAVALTRAGLNLLVSLVVAAAVIGLHAAFRVNYYLDERDAFDVAGTSFTDSGYGYTLPR >KQK89155 pep chromosome:Setaria_italica_v2.0:IX:21388507:21390608:-1 gene:SETIT_036093mg transcript:KQK89155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMQPEVKQTKRKSRGSRKERCRTQAPLLPGLPDDLAIACLIRVPRVEHPNLRMVCKRWNRLLSGNYFYSLRKKIGVAEEWVYVFKRDREGKISWHAFDPLHQLWKSLPPVPSEYSEALGFGCAVLSGCYLYLFGGKDPLRGSMRRVVFYNARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLQSAEVYDPNRNRWACITEMNNGMVPFIGVVYDGKWFLKGLDSHRQVTSEVYLPSSNAWSTIDDEMVTGWRNPSISFNGRLYSVDCRDGCKLRVYDDSTGTWTRFMDSKHHLGSSRAFEAAALVSLNGKLCIIRNNMSITLVDVSDPTMSVETDSARMWETVARKGQHRSFVANLWSTIAGRNLKSHIIHCQVLQV >KQK88136 pep chromosome:Setaria_italica_v2.0:IX:11816810:11820073:-1 gene:SETIT_036072mg transcript:KQK88136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSWYTSIIVLVLLFGGSKANPAATSHGQLDVNHKKPLQTFRPHNIAHRGSNGELPEETAAAYLRAIEEGADFIETDILASKDGHLICFHDVTLDATTDVANRREFANRKRTYEVEGAKMTGWFVVDFTLKELKSLRVKQRYSFRDQRYNGKYQIITFEEYILIALYADRIVGIYPEIKNPVFINQHVKWSNGKNFEDKFVEILLKYGYKGEYMSEDWFKQPLFIQSFAPTSLIYISNMTNSPKVFLIDDTTVRTQDTNQSYYEITSNAYFAFIRNYVIGIGPWKDTIVPPKNNYLGQPTDLVARAHALNLQVHPYTFRNENSYLHFNFNQDPYVEYEYWLNEIGVDGLFTDFTGSLHKYQEWTTPYPKKEKNAEALLHEIANMLKADGY >KQK86587 pep chromosome:Setaria_italica_v2.0:IX:2800333:2803510:1 gene:SETIT_034678mg transcript:KQK86587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKRACRLALLAAAAAYLLFLLLFELPSFALSTAPPRHANAATHRARRRELEAAASALPSSSPLRPHKAAFPRRAPLAVSSVRFHRPSSSSSSSSIDASASAAFAAARPHLAHLLSHSPSASPSSSSPSPSPSAAASCPATVSAPPGVRLASGVAVELPCGMAVGSRVTVVARPRAARREGGGPVMVSQFMVELLGTKAVQGEEPPRVLHFNPRIRGDFSGRPVIELNTCYRMQWAQPQRCEGFASRPGEDTVDGELKCEKWIRDDYSKSEESRMKLWLNRLIGRPSIDWPYPFAEGKQFVLTITAGLEGYHVNVDGRHVTSFPYRTGYNLEDATELSLKGDLDIESVFADYLPNSPPSFAPQSYLEMSEQWKASPLPTEPVELFIGILSATNHFAERMAVRKSWMISTRRSSNVVARFFVALNGKKEVNEELKKEAEYFGDIVIVPFMDHYDLVVLKTIAIVEYGVRVVPAKHIMKCDDDTFVRIESVLDQVKKVQSGKSMYVGNINYYHRPLRSGKWSVTYEEWPEEAYPPYANGPGYVISSDIAQNILSEFDNNALRLFKMEDVNMGMWVEKFNTTRQPVEYLHDVRFYQPGCFDGYFTAHYQSPQHMICLWRKLQAGSAQCCDVR >KQK87387 pep chromosome:Setaria_italica_v2.0:IX:7049021:7049411:1 gene:SETIT_038436mg transcript:KQK87387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVLLNVQNQLLITTILALFQSKNRKCSHVIVGPKLTVKSSCWVFVFLLFSPYECFGQGKS >KQK91241 pep chromosome:Setaria_italica_v2.0:IX:48724713:48725351:1 gene:SETIT_038386mg transcript:KQK91241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLHLLAATTSDIGHGCASTTTSLSYLQRCSNVKVNPWNVDPEPCTMCMKRFSEAMCINGCLTVRWR >KQK91242 pep chromosome:Setaria_italica_v2.0:IX:48724891:48725311:1 gene:SETIT_038386mg transcript:KQK91242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSLHLLAATTSDIGHGCASTTTSLSYLQRCSNVKVGTMPSTCLLFCF >KQK90387 pep chromosome:Setaria_italica_v2.0:IX:42302214:42303925:-1 gene:SETIT_035169mg transcript:KQK90387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTLTSSTQDYLLFLFPAATTYLSPLLAVLLLATSLVWLFPGGPAWAAVAVSRRRASTATPPPPGAPGVVTALAGPTAHRALASLSRSLPGGAALSAFSVGLTRLVVASRPDTARELLSSAAFADRPVKDAARELLFHRAMGFAPSGDYWRALRRISSAYLFSPRSVAASAPRRAAIGEGMLRRISGGGGEAVAMRRVLHAASLDHVMATVFGSCYDPESPEGVELEEMVKEGYHLLGVFNWGDHLPLLSWLDVQGVRRRCRTLVGRVNVFVARIIEEHRQRKKSGDNGEPAAAGDFVDVLLGLEGEEKLSDSDMIAVLWEMIFRGTDTVAILLEWVMARMVLHRDIQSKAQAELDAVVGRGGAAMSDADVARLPYLQRVVKETLRVHPPGPLLSWARLAVHDAVVGGHLVPAGTTAMVNMWAIARDPAVWPEPEAFRPERFEEEDVSVMGGDLRLAPFGAGRRVCPGKAMALATVHLWLAQLLHRFEWAPADGGGVDLSERLGMSLEMEKPLVCKATPRW >KQK91258 pep chromosome:Setaria_italica_v2.0:IX:48824496:48825336:-1 gene:SETIT_037842mg transcript:KQK91258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTNSWNLEIASPVAAPRLFRAAVMDWHTMAPKLASHIVASAHPVEGDGNVGSVRQFNFTSGMPFGFMKERLEFLDVDKCECKSTLVEGGGIGVAIETATSHIKVEPAAGGGSVVKVDSTYKLLPGVDVKDEIVKAKESVTAIFKAAEAYLVANPDAYN >KQK90725 pep chromosome:Setaria_italica_v2.0:IX:45341124:45342347:1 gene:SETIT_038806mg transcript:KQK90725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHGPFLLPDDVLADILARLPRRSIGCFRAVCRAWNAIASSPSADRLFAKRPAAVTAITEKNRSLEVDDERRPVYIVRFDFFRGRWHPDVHSHKRGPCPRAVSLDDVTIAAEAFRSWDGVLCTRVFPREPQPGAGAGAGADYMLWNPLTNACAVVSAPAGEGRIIGGYAHPATGRFHLLHCSDVAVPRHRDLVAPITARILAVGDGTAWREVPLPSSLSMMGERDHSVSLHGNLHWLVQPAGSGKVALLVFDTAQEKFWVMAAPERPGLDPATARSRVVPGGKLCVLALTQQPPVALEVWMLDDYSDTRSWRFRETVRLDSIRLPPRSDWPRWFAAAAAVEVVEGVHEGEEIFIQHEHGIIAYSVRNKVWRNVSVGQSCAALLVHRESVMRPEISFGKALRVFRRRT >KQK88922 pep chromosome:Setaria_italica_v2.0:IX:18666335:18671123:1 gene:SETIT_035348mg transcript:KQK88922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAVAATNGGRGSAGTKPHAVVVPYPLQGHVIPAVHLALRLAERGFAVTFVNTESVHHQTTRALAVLGGGAGGGDIFAGVRASSAEQLDLRYEVVSDGFPLGFDRSLNHDQFMEGVLHVLPAHVEELLRRVVVDPPSTCLVVDTFFVWPATLARKLGVPYVSFWTEPALIFSLYYHMDLLTKHGHFNCKEPRKDTITYIPGVPSIEPTELMSYLQETDTTSVVHRIIFKAFDEARRADYVLCNTVEELEPSTIAALRAEKPFYAVGPVLPAGFARSAVATSMWAESDCSRWLDAQPPGSVLYISFGSYAHVTRRELHEIAAGVLASGARFLWVLRPDVVSSDDPDPLPEGFAAAAAGRGVVVPWCCQVEVLSHAAVGGFLTHCGWNSILESVWAGVPMLCFPLLTDQITNRRLVAREWRAGVSIGDRGAVHAAEVRARVEGVMGGEEGVKLREQVRKLRATLEAAVAPGGSSRSSFDEFVDVLKRRCGGGQ >KQK88923 pep chromosome:Setaria_italica_v2.0:IX:18669114:18671123:1 gene:SETIT_035348mg transcript:KQK88923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQESGVVAPGGGGNKPHAVVVAYPLQGHIIPVAHLALRLAARGFAVTFVSTEAVHDQTARAMGVDDPDGYDVFAAARASVASGGEGEEELDVAYELVSDGLPVGFDRSLHHDDFMGALLHALPAHVEELLRRVVVDPAATFLVADTFFVWPATLATRLGVAYVSFWTEPALIFNLYYHMDLLTHNGHFRCNEPRKDTITYIPGVPSIEPTELMSYLQETDTTSVVHRIIFKAFDEARRADYVLCNTVEELEPSTIAALRAEKPFYAVGPVLPAGFARSAVATSMWAESDCSRWLDAQPPGSVLYISFGSYAHVTRRELHEIAAGVLASGARFLWVLRPDVVSSDDPDPLPEGFAAAAAGRGVVVPWCCQVEVLSHAAVGGFLTHCGWNSILESVWAGVPMLCFPLLTDQITNRRLVAREWRAGVSIGDRGAVHAAEVRARVEGVMGGEEGVKLREQVRKLRATLEAAVAPGGSSRSSFDEFVDVLKRRCGGGQ >KQK92316 pep chromosome:Setaria_italica_v2.0:IX:54882624:54885550:1 gene:SETIT_036599mg transcript:KQK92316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSLVPVLDYFARREFLAAGLRPNAATLPYPDGGAGATCTVHYWAPPGEPRLPPLLLVHGFGPRATWQWRCQVGPLSRHFHVIVPDLLGFGGSAYPFETAPPPSEATQAATLAALLDALPGLEGRRVAAAGTSYGGFVTYWLARAVGAGRVGPVVIASSDLLKTAADDRGFLKRAGEGWGGVDEILLPAEPAAMRKLIELASYRPPPRAMMPDFMLRDFIQKLFTDNRERLVHLLKGITVGTDKFQVTPISQDVLIVWGDHDQLFPLEKAFAVQRYSDDFRTHAL >KQK92315 pep chromosome:Setaria_italica_v2.0:IX:54882442:54885908:1 gene:SETIT_036599mg transcript:KQK92315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASLSLVPVLDYFARREFLAAGLRPNAATLPYPDGGAGATCTVHYWAPPGEPRLPPLLLVHGFGPRATWQWRCQVGPLSRHFHVIVPDLLGFGGSAYPFETAPPPSEATQAATLAALLDALPGLEGRRVAAAGTSYGGFVTYWLARAVGAGRVGPVVIASSDLLKTAADDRGFLKRAGEGWGGVDEILLPAEPAAMRKLIELASYRPPPRAMMPDFMLRDFIQKLFTDNRERLVHLLKGITVGTDKFQVTPISQDVLIVWGDHDQLFPLEKAFAVQRSLNGSARMEVIKNTGHAPQLEDPARFNKVMLDFLLAAHKPDPSVNGGSQ >KQK88684 pep chromosome:Setaria_italica_v2.0:IX:16201502:16207661:1 gene:SETIT_034815mg transcript:KQK88684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLCLLALGPSCHKPAKVTGVGPQLPSRSTRTTSLFFPSSPLLLVDLSGLAVSGHRGRHSEERRGSGRRSAAMYKNQLQELAQRSCFNLPAYTCLREGPDHAPRFKAAVNFNGEQFESPGFFTTLRQAEHAAAEVALAALARRGPSYSLAARILDETGVYKNLLQEVAQRVGAPLPSYTTERSGLGHLPVFTCTVELAGITFTGDPAKNKKQAEKNAASAAWSALKQLVREEANSSNEPENNDEQEQIRIARALLNYRLKEKMAMANYPHASPFPKKFPMQPERKPSFGQSSQSSYSKILPLFRPKSNSRCRPESPASTDGVSQTALRTLESLNPKSRFPAAEAAPYVPVGHYRMPCHSMAPSVTIRTAVPVFSAPPLPPPAARTQQQLPPLMSHPPPIRMASPVRIRPASPMFGPSAPVQGPKPVMSVQLKDVQQQSRREPVKPVIPVQVKDVQYQPMKGSVSPVVPVQVKDAQRRPLVGSLSGVIPIKVKDVQTQAPKESLAAPIPAIRPSVKIEAPAQAKEASAAVTSEVPCSAAGNTTAVECTTSSEVTPTRQSRAADGDDSKAEAVHEAEAQAVAEAAIRQLEIN >KQK89031 pep chromosome:Setaria_italica_v2.0:IX:19667105:19671098:-1 gene:SETIT_038383mg transcript:KQK89031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCNASYVTILRIHALASHLDTLDKQCEGRDDGIEPEDGVWWTYPEDGRTKQVLRWEMLAKRVSSNKH >KQK90915 pep chromosome:Setaria_italica_v2.0:IX:46599260:46600083:-1 gene:SETIT_037607mg transcript:KQK90915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFAFFALLALSASAASAYISPLQALASGILAPSAVLIQQQLAILQQQCQAHLAVQSIMTLQQQQQLLVNPIATMLPNVFNQLALANPITAAYWQQQQFLPNVFNQLALTSPFAQLQQQQLVSSVLNQVGLANPITAAYLQQQQLLPNVFNQLALASPVAQLQQQQLVSNVFNQVALANPYLQQPFIGGAIF >KQK86203 pep chromosome:Setaria_italica_v2.0:IX:740406:748747:1 gene:SETIT_035559mg transcript:KQK86203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSHLHLLGCPQTLALSPHSSRAPSAAPRLGLGLRLRLRRLRPVAALGPADAGELLGRVEAFLYTVADAAVSAAPEAAEAGAGAKEAAGDWLSGITNSMETVLKVESALAMRSLQPQVKAIQERYAGDQERIQLETARLYKLSGVDPLAGCLPTLVTIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIGARQNGQGISWLFPFTDGHPPLGWSDTLAYLVLPVLLVISQYISAQVMQPPQSNDPNQQGAQAVTKFLPLLIGYFALSVPSGLSLYWLTNNILSTAQQVWLQKLGGAKNPVKEYIEKLSREELTNVRKNESAVQSEPLPNLSKPQPSQEPKTTGPQRGERFRKLKEEESRRKEVPGQAKQSEQSSTESNVLDGAQNSGSSSGDNKDEQESHENGSIVANSNGGLDHSRNERTLNGTSEKEAVDGHSSVSKPTSPDAPKLMDQENGNDVV >KQK92116 pep chromosome:Setaria_italica_v2.0:IX:53767300:53770368:-1 gene:SETIT_037191mg transcript:KQK92116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRTKRPEWKSLMPLQLSRKSAMRFFLFPKVQSAGQSPDDTPVYLNVYDLTPMNGYIYWAGLGIFHSGIEVHGVEYAFGAHDFPTSGVFEVEPRQCPGFRFRKSIFLGTTCLDPIQVRQFMELQSVNYNGDTYHLITKNCNHFCKDMCYKLTGNKIPKWVNRLARIGAICNCLLPESLKISPVGHDPNSQSEDSEKRRLRNPFSCFSSISSHRQLPSSSPFPPSPVKERLAHCSSRKSSTASLRNR >KQK86332 pep chromosome:Setaria_italica_v2.0:IX:1331455:1332069:1 gene:SETIT_039769mg transcript:KQK86332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGLEKGERGRTATGLAYIVASQSDEFLKLAMKEHKPPPERSTHWSRPIEDTLKINSDGAYNGSTSDGGWGYAIRDSSGEVIRAGAGRVPYLMDAFHAQVLACLAGIKAAGDRGTMNVEAETDSLMLKMAIEGREFSLAPAGGLIHEIKSIISNCFMQFSVVCNKAAHALAARGCKCSPIADLHWDGVPEGTENLVASDIAESLS >KQK86571 pep chromosome:Setaria_italica_v2.0:IX:2730826:2737079:1 gene:SETIT_035657mg transcript:KQK86571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSRPEEVVALVKLRVAAGQLKRQIPPEEHWAFAYSMLQKVSRSFALVIQQLGTECLRGVSKTAVVAIAQVCIFYLVLRALDTVEDDTSIPTDVKVPILQEFYRHIYDPNWHYSCGTNNYRVLMDNFHHVSTAFLELGEGYQKAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGYGLSRLFHATGTEDLAPDSLSNSMGLFLQKTNIIRDYLEDINEIPRSRMFWPRDIWSKYADKLEDFKYEENSEKGVQCLNDMVTNALIHAEDCLQYMSALKDHTIFRFCAIPQIMAIGTCAFCYNNVNVFRGVVKMRRGLTARVIYETDSMSDVYTAFYEFSLLLESKIDDNDPNAALTRKRVDSIKQTCKSSGLLKRRYAE >KQK86574 pep chromosome:Setaria_italica_v2.0:IX:2730826:2737839:1 gene:SETIT_035657mg transcript:KQK86574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSRPEEVVALVKLRVAAGQLKRQIPPEEHWAFAYSMLQKVSRSFALVIQQLGTECLRGVSKTAVVAIAQVCIFYLVLRALDTVEDDTSIPTDVKVPILQEFYRHIYDPNWHYSCGTNNYRVLMDNFHHVSTAFLELGEGYQKAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGYGLSRLFHATGTEDLAPDSLSNSMGLFLQKTNIIRDYLEDINEIPRSRMFWPRDIWSKYADKLEDFKYEENSEKGVQCLNDMVTNALIHAEDCLQYMSALKDHTIFRFCAIPQIMAIGTCAFCYNNVNVFRGVVKMRRGLTARVIYETDSMSDVYTAFYEFSLLLESKIDDNDPNAALTRKRVDSIKQTCKSSGLLKRRGYHLDKSPYKPMLVSPIIFKIVLIFCSIISQVVSVISSDHDCSSAVGYYIWGTVYQVKPLLPFFHMET >KQK86572 pep chromosome:Setaria_italica_v2.0:IX:2730826:2737839:1 gene:SETIT_035657mg transcript:KQK86572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSRPEEVVALVKLRVAAGQLKRQIPPEEHWAFAYSMLQKVSRSFALVIQQLGTECLRGVSKTAVVAIAQVCIFYLVLRALDTVEDDTSIPTDVKVPILQEFYRHIYDPNWHYSCGTNNYRVLMDNFHHVSTAFLELGEGYQKAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGYGLSRLFHATGTEDLAPDSLSNSMGLFLQKTNIIRDYLEDINEIPRSRMFWPRDIWSKYADKLEDFKYEENSEKGVQCLNDMVTNALIHAEDCLQYMSALKDHTIFRFCAIPQIMAIGTCAFCYNNVNVFRGVVKMRRGLTARVIYETDSMSDVYTAFYEFSLLLESKIDDNDPNAALTRKRVDSIKQTCKSSGLLKRRGYHLDKSPYKPMLIMIVLLLLAIIFGVLYTK >KQK86573 pep chromosome:Setaria_italica_v2.0:IX:2730826:2737839:1 gene:SETIT_035657mg transcript:KQK86573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSRPEEVVALVKLRVAAGQLKRQIPPEEHWAFAYSMLQKVSRSFALVIQQLGTECLRGVSKTAVVAIAQVCIFYLVLRALDTVEDDTSIPTDVKVPILQEFYRHIYDPNWHYSCGTNNYRVLMDNFHHVSTAFLELGEGYQKAIEEITRRMGAGMAKFICKEVETVDDYDEYCHYVAGLVGYGLSRLFHATGTEDLAPDSLSNSMGLFLQKTNIIRDYLEDINEIPRSRMFWPRDIWSKYADKLEDFKYEENSEKGVQCLNDMVTNALIHAEDCLQYMSALKDHTIFRFCAIPQIMAIGTCAFCYNNVNVFRGVVKMRRGLTARVIYETDSMSDVYTAFYEFSLLLESKIDDNDPNAALTRKRVDSIKQTCKSSGLLKRRGYHLDKSPYKPMLIMIVLLLLAIIFGVLYTK >KQK89387 pep chromosome:Setaria_italica_v2.0:IX:27090746:27091776:-1 gene:SETIT_037838mg transcript:KQK89387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGKGSGDLFSSGKLVVEAATSVFQKKSADNIDKKEVAGAAAEILHAASSYGKLEGKPAGQYIEKAEGYVKEFGTRPTAGAEKPAGDAPAPAPAGDAPKPAEPAEAPKEPAPAPPAEEGKSEGFGLNDVIKGAEQLVEKRGGGEESAGGLFNMAQGFLK >KQK92054 pep chromosome:Setaria_italica_v2.0:IX:53420998:53422362:1 gene:SETIT_038382mg transcript:KQK92054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKNRSLTGGARGEVDPARGRHVAAAPAELLPLLLVRVLVAAQRLRVDELAAAVLALVLTAEVLRFPKT >KQK90509 pep chromosome:Setaria_italica_v2.0:IX:43265465:43267150:-1 gene:SETIT_037448mg transcript:KQK90509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIRRPVQGASLEEPVAPAARKGEGIAVVHGGRWSEVGGVECEVGKEEDPLLSSFRGRHCESTTDDMSSSAVKVGTWGGDRGSPVDITAAPRRLESISLRWGKVIDWISFTYRDGDGNLHTAGPWGGAAKGQGEGDENITLDASEYVTEVAGTIGPIGDAPHTISSLKFVTNRATYGPFGRGAGTPFNVPLDNASVVAMFARAGDYLDAIGFYVLPL >KQK88099 pep chromosome:Setaria_italica_v2.0:IX:11485427:11488085:-1 gene:SETIT_035987mg transcript:KQK88099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATADIRLSIAHQTRFALRLAAALSSPSAAAAPAPVTNAVFSPLSLHVALSLLAAGAGGATRDQLAATLGGDGPGVAEGLHALAEQVVQLVLADGSGAGGPRVAFADGVFVDASLKLKPAFGEVAVGKYRAETHSIDFQKKADEAAGQVNSWVEKITSGLIKEILPPGSVDHTTRLVLGNALYFKGAWTEKFDASKTKDSEFHLLDGSSVQAPFMSSTEKQYIAYNNNLKVLKLPYQQGGDKRQFSMYILLPEAQDGIWSLAEKLSSEPEFLEKLIPMQKVPVKQFKVPKFKISFGFEASKLLKGLGLQLPFSPEADLSELVDSPEGQNLCVSSVFHKSFVEVNEEGTEAAAASAATVVLRSFTMPMDFVADHPFLFLIREDMTGVVLFVGHVVNPLLAP >KQK90058 pep chromosome:Setaria_italica_v2.0:IX:39200671:39204250:-1 gene:SETIT_035783mg transcript:KQK90058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRRRAWPAAAAVVTAVVTAAAAVVFAAQAADMVQERLTVGMTIVADAAFTGAVCLDGSPPAYHLHRGSGAGARSWLLQFEGGGWCNDVRSCAERAGTRRGSTHLMTKVEVFSGILSNLPAMNPDFYNWNRVKLRYCDGGSFSGDSAYINDSSVLYFRGQRIWDAIITDLLQKGLAKAENCKSEIHFFYAFKSWVCVLQVLLSGCSAGGLATFFHCDSLKERLGGATTVKCLSDAGFFLDLNDISGINNIRQFFSSLVSVQGVQKNLNKDCQNSTDYPYLCFFPQYALPYIRTPYFILNSAYDVYQFHHIFVPPSSDPRGQWSRCKMDPGACSTSQIATLQGLRNAMLTSLKQIEGEPEAGMFINSCFAHCQSELQDTWFAPDSPMIHDRKIAEVIGDWYFERDAAKEIDCAYPCDSTCHNLIPSDQELRMY >KQK90057 pep chromosome:Setaria_italica_v2.0:IX:39200744:39204250:-1 gene:SETIT_035783mg transcript:KQK90057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRRRAWPAAAAVVTAVVTAAAAVVFAAQAADMVQERLTVGMTIVADAAFTGAVCLDGSPPAYHLHRGSGAGARSWLLQFEGGGWCNDVRSCAERAGTRRGSTHLMTKVEVFSGILSNLPAMNPDFYNWNRVKLRYCDGGSFSGDSAYINDSSVLYFRGQRIWDAIITDLLQKGLAKAENCKSEIHFFYAFKSWVCVLQVLLSGCSAGGLATFFHCDSLKERLGGATTVKCLSDAGFFLDLNDISGINNIRQFFSSLVSVQGVQKNLNKDCQNSTDYPYLCFFPQYALPYIRTPYFILNSAYDVYQFHHIFVPPSSDPRGQWSRCKMDPGACSTSQIATLQGLRNAMLTSLKQIEGEPEAGMFINSCFAHCQSELQDTWFAPDSPMIHDRKIAEVIGDWYFERDAAKEIDCAYPCDSTCHNLIPSDQELRMY >KQK87609 pep chromosome:Setaria_italica_v2.0:IX:8215887:8216675:1 gene:SETIT_039567mg transcript:KQK87609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALGWGVKSADPCDGSWVGVSFDDARRVASIRASRAGLAGWLYASDLSKLTFLTELDLGFNGLTEQTGGDLPLLPTPLQHLRTLDLRSNRFLGVPEGFFAAFPALETINLDDNPTVGPRFRPDDVLTCSGLQSFSANNISLSLFPDYLGSAAAFPALESLSLARNELHGAIPAGFGNDGNIVPRRQWSEFTPHRAHRPVHRRHEEPRGGPFANTVSADVSANPRIDKPC >KQK90383 pep chromosome:Setaria_italica_v2.0:IX:42279585:42279738:-1 gene:SETIT_040563mg transcript:KQK90383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQCGILNIGVTVYMIKTYGYPEQSYSKLFNLPLTDNVVD >KQK90959 pep chromosome:Setaria_italica_v2.0:IX:46824905:46827387:-1 gene:SETIT_036734mg transcript:KQK90959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPSFVVGIIGNVISILVFASPIKTFRRIVRNRSTEDFRWLPYVTTLLSTSLWTFYGLLKPGGLLVVTVNGAGAALEAAYVTLYLIYAPRETKAKMVKLVLAVNVGFLAAVVVVTLAALHGGARLLTVGVLCAVLTIGMYAAPLGAMRTVVKTRSVEYMPFSLSFFLFLNGGVWSVYSVLVKDYFIGVPNAIGFVLGTAQLVLYMAYRKAAPARKDDDDEAASEEADEEEGLAHLMGQVEMAQRRVRLHKGLSLPKPTGAPLSSPRNGFGSSIIKSLSATPVELHSVMHQHGHGRFEPVKKHDDAEANE >KQK92930 pep chromosome:Setaria_italica_v2.0:IX:57928726:57933089:-1 gene:SETIT_034702mg transcript:KQK92930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHGYPSAGRLNELVVANPRKEDVAERSKEESERKYFSRLIKQMEMQKQIEMEMKEEGKQMQMEEEEYFMPKPKIVKYHDLLEKLWGWDRLLPKATSVSLSVYITYLEEYHRRNVHAVTTDTSISALAETCLSNEKQLVSELKLWVTREQETSLMIRRSIILSCLIQEHARSVVHTADKSSFSDVSGAALLCIAKEAGLTCELLRRGADPIDDYLINQGRVIRSCALSLMNCTSVYSSAAMLGMAKEAEMMCMWMLKNNKPVDFYDDPIPREIRDRHTVRSGTLNFMVRILEKSSAEHKIDKEEPASRSGPRGDGYGIAVDGAANTTRGSMEEGFWEKLWGWERLVPLCSYAKWSDYGRYLEEYYKHNANEFVAAAAAKNPQNTNTTDMGAAVAKVCLKMEEELLRCSLDDSSTIIESSLIKDRALKICGTRDIPSIVAFVCIKEEADLMCELLKHGAKPSDDIIQLSSVIRMCALSLVYLREPQSIASAAAMVGMANEAKRMCDWMKRENRLITLSLPQPRELHRSCVIRMKALDVMTRMLHECFFSSSKPNTVRTAATSGHPDALPEEGASSQASVEDSPKPKRRRKPNKPDALPEEGAGSQASVEDSPNPKRRPNPIKT >KQK92931 pep chromosome:Setaria_italica_v2.0:IX:57929201:57933089:-1 gene:SETIT_034702mg transcript:KQK92931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHGYPSAGRLNELVVANPRKEDVAERSKEESERKYFSRLIKQMEMQKQIEMEMKEEGKQMQMEEEEYFMPKPKIVKYHDLLEKLWGWDRLLPKATSVSLSVYITYLEEYHRRNVHAVTTDTSISALAETCLSNEKQLVSELKLWVTREQETSLMIRRSIILSCLIQEHARSVVHTADKSSFSDVSGAALLCIAKEAGLTCELLRRGADPIDDYLINQGRVIRSCALSLMNCTSVYSSAAMLGMAKEAEMMCMWMLKNNKPVDFYDDPIPREIRDRHTVRSGTLNFMVRILEKSSAEHKIDKEEPASRSGPRGDGYGIAVDGAANTTRGSMEEGFWEKLWGWERLVPLCSYAKWSDYGRYLEEYYKHNANEFVAAAAAKNPQNTNTTDMGAAVAKVCLKMEEELLRCSLDDSSTIIESSLIKDRALKICGTRDIPSIVAFVCIKEEADLMCELLKHGAKPSDDIIQLSSVIRMCALSLVYLREPQSIASAAAMVVSALPPSCLPPICVPNFNKYIYPFLFCKFTYILTLVAK >KQK92932 pep chromosome:Setaria_italica_v2.0:IX:57928405:57933183:-1 gene:SETIT_034702mg transcript:KQK92932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHGYPSAGRLNELVVANPRKEDVAERSKEESERKYFSRLIKQMEMQKQIEMEMKEEGKQMQMEEEEYFMPKPKIVKYHDLLEKLWGWDRLLPKATSVSLSVYITYLEEYHRRNVHAVTTDTSISALAETCLSNEKQLVSELKLWVTREQETSLMIRRSIILSCLIQEHARSVVHTADKSSFSDVSGAALLCIAKEAGLTCELLRRGADPIDDYLINQGRVIRSCALSLMNCTSVYSSAAMLGMAKEAEMMCMWMLKNNKPVDFYDDPIPREIRDRHTVRSGTLNFMVRILEKSSAEHKIDKEEPASRSGPRGDGYGIAVDGAANTTRGSMEEGFWEKLWGWERLVPLCSYAKWSDYGRYLEEYYKHNANEFVAAAAAKNPQNTNTTDMGAAVAKCLKMEEELLRCSLDDSSTIIESSLIKDRALKICGTRDIPSIVAFVCIKEEADLMCELLKHGAKPSDDIIQLSSVIRMCALSLVYLREPQSIASAAAMVGMANEAKRMCDWMKRENRLITLSLPQPRELHRSCVIRMKALDVMTRMLHECFFSSSKPNTVRTAATSGHPDALPEEGASSQASVEDSPKPKRRRKPNKPDALPEEGAGSQASVEDSPNPKRRPNPIKT >KQK88994 pep chromosome:Setaria_italica_v2.0:IX:19294451:19295180:1 gene:SETIT_039713mg transcript:KQK88994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRWFGTDFFAYAIGSNLFPFNCLLCSRYVHFPFLLELRFCSVINCTDTNIRIFIRNTSSVIDWTTNRYRVELYRSMDSACQLY >KQK92736 pep chromosome:Setaria_italica_v2.0:IX:56997580:56999914:-1 gene:SETIT_038854mg transcript:KQK92736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISAALAISFLPSPTRFAVPSSSSRIKRAARFRCCAEPSSQEQETSAAPPTPPPEKPERASPPSLLGISTSTWSAGVAGLGFLETGYLTYLKLTGSEAFCPISGGGCGDILDSDYSVVFGIPLPLVGLVAYGLVTALSLQENGADLLPGLDDLDIRLTLLLLATSMATASAYFLYILSTKFVGLSCSYCLLSAFLSFSLLFIRVKDFGFKRIQKFAGIQVAVAVIIALALTNSYSSATTQLKGTDDFVLEPYETEITTESSPFAISLARHLHSIGAKMYGAFWCSHCNEQKQMFGREATKILDYVECFPNGAGKGKKMTQECQAAGLEGFPTWFINGKVLSGDQELEVLAEASGFVAEGTEQSK >KQK88789 pep chromosome:Setaria_italica_v2.0:IX:17201490:17202213:-1 gene:SETIT_040313mg transcript:KQK88789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQLGLYTGGSNSRRRSGGRSAAAVKQLLSRLRSTWRRRRAARPRRRAAVSFGYDLQSYSQNFDDGLASSDRRRL >KQK90169 pep chromosome:Setaria_italica_v2.0:IX:40378749:40383140:1 gene:SETIT_034832mg transcript:KQK90169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDPSLAYADYFAAGGGVGTLVPEVDAGEDDGHLYGGVHAHHHGLDMFGAARGLVPGAMAVAAASAAHGKAADALGDFAGLSEHHHHRLGGHGQAPLTSLSLHGPAEAASMALHHHQLGGALRQQHQAAAWPPSQQQGAWHLRGSRFLRPTQQLLQEFCGLPVETAGTATAPKPPTTKPASEDGAGEGSSAPAPSAQIQAKDAAELQRLKAKLYAMLQEVERRYRRYREQMRAVAGSFEAVAGERAAAAYTRLASRTISKHFRSLRDGVAAQMQAVRRALGEKDADGGVPSAGMAKGETTPRLRVLDQCLRQHREYQAGVLESQPWRPQRGLPERAVSILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYAEEMKDPQQEGGACSNANSNANNPSSYSASELGQGRGGASGEDGAERKPTRAQLVHDAGSLASVVSIGSSSRDPQNINFGMMDGHLDFGAYNDDHATGTGHGFGGGVSLTLGLQQHAGDDPHGGVNVAFAAAPSAAHEFLFMAGGEHQQQMVAAGSVHGHHQGQFGAGMEGDAASHYHRGLSAATGFQLLHDLAG >KQK89519 pep chromosome:Setaria_italica_v2.0:IX:32196890:32197496:-1 gene:SETIT_037860mg transcript:KQK89519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLISVSRSVAATLLLLLSAAAAAEAQAGAACHNDIVALRTTCYEYVQEGGRAVPPSSNCCATLMGLTNVPCVCDYLGSDLDIDLDKVFYIGRHCGVAIPRACGGQSATRTGPASRRFHCAVRSYMWHSSWLDNLRSVRQVEGIHQNRTALQLAMQ >KQK90837 pep chromosome:Setaria_italica_v2.0:IX:46097073:46097702:-1 gene:SETIT_038633mg transcript:KQK90837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLHRRRQPEMDDVSGGAPGACSKNKKSRHLARSLTYHHPYQGRHLPPTPPSPAPNPQRPQSVVLYTTSLRGVRRTFADCCAVRAALRGLRVAVDERDVSMDAALRRELQGILAARGRGFSLPQLLVGGVLVGGADEVRHLHESGELRRVLEGAPGQDPAFVCGACGGFRFVPCNACDGSRKVFVEAEGRARRCIECNENGLVRCPNCCS >KQK89075 pep chromosome:Setaria_italica_v2.0:IX:20249484:20250696:-1 gene:SETIT_037548mg transcript:KQK89075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDSGSGRRSSRFTVTCSLLRQYMAQNKQQRQAQVANLARLFQAPPPPPLPPVAPAGENERRTVQLFPVRAGATVAHPAQQMPEVMVAPMTIFYGGQVIRVDNVPADKGMALMQMAKSVNAPPPPEKVVVVDVPDEEPEVVAEPSAAITAIQARRLSLQRFLRKRKERNDPDYNDDELPPKKIGGGGGEPWEDDVPDASWLSL >KQK91235 pep chromosome:Setaria_italica_v2.0:IX:48676391:48678075:-1 gene:SETIT_037232mg transcript:KQK91235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSESSKPKPAPAPSRPPSRDFLAHLEAYLARRDGVDKLLKISRYSARLALSAGPPLPPSASARLKSFESSVGLSRKAFRLGKFVQSLNALRAHPHPPPALAVLACGGEGVYYFVEQFVWLAKAGLLPAHLLPRLQLLSAWAELLGYVGSIAIKLEEVAKIESSIKERLAEGCGEESEAVRTMRGKLLLKRMSVVQDVADAVMALGDVTDGKGWLGSSTLMASAGLLSALISTHKNWKSC >KQK89509 pep chromosome:Setaria_italica_v2.0:IX:32108072:32109365:1 gene:SETIT_037005mg transcript:KQK89509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALPRGLLIGVCAVLLAAVLAHAAEPEAASMVVGLAKCADCTRKNMKAEAAFNGLKVAVKCKNADGMFETKALGEVDKSGAFSVPLAADLLREDGELKQDCFAQLHSATNQPCPGQEPSWIVRPSRDDDEKKKTFVAVAGKMHYSSKECASAFLCDHFHKKPIMIPHIPKETIVIPHFHNKPVPEYKPPTPVPVYHAPVPEYKPPTPVPEYKPPTPAHSHPTPIYHPPADQKTQNPETDPEKFKKLLPFIKKNPFFFPKFRKFPPGKVEIKA >KQK90488 pep chromosome:Setaria_italica_v2.0:IX:43094478:43099046:-1 gene:SETIT_0362372mg transcript:KQK90488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPDAYAQTKAEAEKLVMENNGIRELLTCCIRPASIFGHGDIIMPTMDRYGRTQFIIGDGKNCDDFVYVENVVHAHLCAEKTLSTEEGAETSGGKAYFVTNMEPMNMWDFIYMVSEELGYKRPVKIRIPALVVMPVSYVIEWGYKVLRRYGMHQPQMLTPARIKYVTLNRTFSCKKAIEELGYKPIVTLMDGLKKATESYILLRDKYSP >KQK91767 pep chromosome:Setaria_italica_v2.0:IX:51680390:51682684:1 gene:SETIT_037038mg transcript:KQK91767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEEDAVAAAAASAWPGSSRRRHLLQFLLHASKRLDLRPIVKYSALEFFAGRFLPELPRKLGFCGARSGRAVRSWLLEPLRDSNLELFALVAVWIASKIHDLRPLSVKSLKALGDRIIADQHFTCRDFANAELVFMEVVEHNIGSSSIAFVYLEDLLIHFREISKLGDLLDLDVCMEILDILYETEDTSLLFNSPCSLAASTLVAAYAISVPKQTWEFPILPWVRFATSYDEEEIMKIVLTILLHVLKPDGIREKDKGDFDVRCLL >KQK88911 pep chromosome:Setaria_italica_v2.0:IX:18536939:18537680:-1 gene:SETIT_040748mg transcript:KQK88911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSCMLCLLSSSGVDVCHLLTLLGGRTVLSSTRRRRAPAPAPAGFCLYYCFSDNIGSIT >KQK88226 pep chromosome:Setaria_italica_v2.0:IX:12391016:12393761:-1 gene:SETIT_038239mg transcript:KQK88226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLVAAAASSTSSPLARLVSRRGLAGAADGHGPAKVPLWKDPMSPSKWKEEHFVLASLSMWGAVIYGGIKFFTGGKKEDKAEAAPAQAA >KQK86514 pep chromosome:Setaria_italica_v2.0:IX:2385517:2385705:1 gene:SETIT_039275mg transcript:KQK86514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTRSRTQPIIAYKNYRENGGENNYFPRNRVNYMDRLALPGHRELDRSRACSHSGVATLLS >KQK86338 pep chromosome:Setaria_italica_v2.0:IX:1357502:1359334:1 gene:SETIT_037892mg transcript:KQK86338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVEKGSGSPDPDERPAAGEPKACTECHTTKTPLWRGGPCGPMSLCNACGIRYRKKRREAMGLDANKAAGGEQQQQQQRKKKAAAAAASKREREKGAEADEVTVELRTVGFGKEVVLKQRRRMRRRRRLGEEERAAILLMALSSGVVYA >KQK86339 pep chromosome:Setaria_italica_v2.0:IX:1357502:1359334:1 gene:SETIT_037892mg transcript:KQK86339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSVEKQGSGSPDPDERPAAGEPKACTECHTTKTPLWRGGPCGPMSLCNACGIRYRKKRREAMGLDANKAAGGEQQQQQQRKKKAAAAAASKREREKGAEADEVTVELRTVGFGKEVVLKQRRRMRRRRRLGEEERAAILLMALSSGVVYA >KQK90290 pep chromosome:Setaria_italica_v2.0:IX:41283324:41287703:1 gene:SETIT_0339101mg transcript:KQK90290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQVAASSFNIPLSSVFISETSTDKVPNASPTAASASSDLYGAAVLDACQQIKARMEPIASRGTHNSFAELAQTCYMERVDLSAHGFYATPDIGFDWTNGKGTPFLYFTYGAAFAEVEIDTLTGDFHTRTADIVMDLGFSINPAIDIGQIEGAFIQGLGWVAMEELKWGDNNHKWIRPGHLFTCGPGAYKIPSVNDIPLNFKVSLLKGAPNPKVIHSSKAVGEPPFFLGSAVLFAIKDAIFAARADEGHSEWFPLDNPATPERIRMACVDSITKKFADADYRPKLSV >KQK89175 pep chromosome:Setaria_italica_v2.0:IX:21539493:21543189:1 gene:SETIT_036452mg transcript:KQK89175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQSRPLSVALSRHVGAWTVDNPASSSPTLHPSSSQSRGAMDFHRHLFLAAAVALLLADGFVPATAASLGAGGFDPSRVVQLSWRPRAFLHKGFLTDAECDHLIALAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKKQDEVVKRIEERISAWTFLPPENGESIQILHYQNGEKYEPHYDYFHDRNNQALGGHRIATVLMYLSNIEKGGETIFPNAEVITCHCCVTVLRMSVAVTQTFHRGSYYNPRTTPGLSVQEMDMQLNR >KQK89176 pep chromosome:Setaria_italica_v2.0:IX:21539493:21543918:1 gene:SETIT_036452mg transcript:KQK89176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCQSRPLSVALSRHVGAWTVDNPASSSPTLHPSSSQSRGAMDFHRHLFLAAAVALLLADGFVPATAASLGAGGFDPSRVVQLSWRPRAFLHKGFLTDAECDHLIALAKDKLEKSMVADNESGKSVQSEVRTSSGMFLEKKQDEVVKRIEERISAWTFLPPENGESIQILHYQNGEKYEPHYDYFHDRNNQALGGHRIATVLMYLSNIEKGGETIFPNAEGKLLQPKDDTWSECARNGYAVKPVKGDALLFFSLHPDATTDSDSLHGSCPVIEGEKWSATKWIHVRSFDLPVKQSGSSDGCEDDNVLCPQWAAVGECAKNPNYMVGTKEAPGFCRKSCKVCAE >KQK92087 pep chromosome:Setaria_italica_v2.0:IX:53606452:53609152:-1 gene:SETIT_034867mg transcript:KQK92087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVAGGLMMAKLAFWLGWIALLQGCMVKALPYDYSASIECLPEPLEPQYGGGILRNADFNAGLRGWSPFGYSSIAESKSAAGNGFAVALNRTRPYQSVSQKVYLQGDTHYTLSAWLQVGDGSADVRAVVKTVGDFVHAGGVVAKAGCWSMLKGGLTAASSGPAELYFESNATVDLWVDSVSLNPFSKVEWEAHRAESVVSARKKTVRLQATDSAGKPLAGAAVSLDAVRTSFPLGAAMSSHILTNSAYQAWFASRFAVATFENEMKWYSTEPAPGREDYTVPDAMMAFAKSNGIAVRGHNVFWDQPSQQPKWVQSLPYPQLLAAASRRIRSVVSRYAGQVIGWDVVNENLHFSFYEGRFGWDASTAFYAAARLLDTGNALMFMNEFNTLEQPGDMAALPDRYLQRLRQIVAAYPENGAGMAIGLEGHFTNPNIPYMRAALDTLAQAGIPIWLTEVDVAGSPAQAQHLEEVLREAYAHPAVQGIVLWSAWRPEGCYVMCLTDNNFKNLPQGDVVDRLIAEWRAAPRAGATDEQGFFEADLVHGEYKVTVSHPALNNSVSRSVKVELGSGSENYFVDIQV >KQK87616 pep chromosome:Setaria_italica_v2.0:IX:8257415:8258122:1 gene:SETIT_039049mg transcript:KQK87616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASSSPLAMEHFNYKALAALLSATLLLLLAPHLMAADPDPLQDFCVADLNGKPTVNGYPCQPSSSAGDEFLFSTRIASGGDTLANPNGSNVTELDVNEWPGLNTLGVSMNRVDFAPGGTNPPHVHPRATEVGIVTRGELLVGIVGSLDSGNRYYSKVVRAGETFVIPRGLVHFQFNVGKEEATMVVSFNSQNPGIIFVPLTLFGSSPPIPTPVLVKALRVDAEVVELLKSKFTGGY >KQK88806 pep chromosome:Setaria_italica_v2.0:IX:17333722:17333944:-1 gene:SETIT_040395mg transcript:KQK88806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLEEWIFVHKNSFKMHCALISFIPSHVFPDK >KQK86870 pep chromosome:Setaria_italica_v2.0:IX:4228611:4229047:-1 gene:SETIT_040514mg transcript:KQK86870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTDRTCEERSLAVLRSCLISRTTSCTVIFIWEDDFSQPALLLFLYGKMIAQWKFSSKYGYNNQGINVQC >KQK89527 pep chromosome:Setaria_italica_v2.0:IX:32461673:32463799:1 gene:SETIT_038982mg transcript:KQK89527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMVMMSHPLLGQANTPVYAPSSVGSTITIAQSYMIDSICISTPCLLHIPVGRAGKTKEVSKGLAIPVGSLFEGKPIPHHYACVTVLEINSNYGDHEIEIPAAEGIHCLGQSIGNTIRWHNQDILLSSVPSKHAIVDSTPPGPAPPAAASKEQWVSASPAVASKPVDWPEDHPTPDQASPQQQQVDLPEESQQQQEKVGYLYPYAICEVRHNFPSQWGDNHDKLAKAYQHYITSGGIHNPERPVEMVVHTNFPCYEQPSNFVHCGYYICERIKVLGRYITHPERVRGYRSYIGMPRRHGSRLHEQQLLNIGVDLCHFILHELAQEDEYVSLCEWENQEYRQC >KQK86680 pep chromosome:Setaria_italica_v2.0:IX:3277007:3280706:-1 gene:SETIT_036486mg transcript:KQK86680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGMLNSVKVESRPSEVTANGQPRPMDGLHDGGPPPFLTKTYDMVDDPTTDAVVSWSATNNSFIVWDPHVFGTVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRNLLKNIRRRKPPHSSPNQQSLGSYLEVGHFGYDEEIDQLKRDKQLLMAEVVKLRQEQQNTKSDLQAMEEKLKDTEQKQQHMMAFMARVMQNPEFMHQLVSQHEMRKGLEDAISKKRRRRIDQGPEADSMGNGSSLEQGSHAVFEPQEPVESLANGVPSDLESSSVEAKGFEVQQGVSSGGSERLKGRPSGELNDDFWEDLLHEGGLGAEAGNAVVQDDMNM >KQK86679 pep chromosome:Setaria_italica_v2.0:IX:3277007:3280707:-1 gene:SETIT_036486mg transcript:KQK86679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGMLNSVKVESRPSEVTANGQPRPMDGLHDGGPPPFLTKTYDMVDDPTTDAVVSWSATNNSFIVWDPHVFGTVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRNLLKNIRRRKPPHSSPNQQSLGSYLEVGHFGYDEEIDQLKRDKQLLMAEVVKLRQEQQNTKSDLQAMEEKLKDTEQKQQHMMAFMARVMQNPEFMHQLVSQHEMRKGLEDAISKKRRRRIDQGPEADSMGNGSSLEQGSHAVFEPQEPVESLANGVPSDLESSSVEAKGFEVQQGVSSGGSERLKGRPSGELNDDFWEDLLHEGGLGAEAGNAVVQDDMNM >KQK86681 pep chromosome:Setaria_italica_v2.0:IX:3277007:3280889:-1 gene:SETIT_036486mg transcript:KQK86681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHGMLNSVKVESRPSEVTANGQPRPMDGLHDGGPPPFLTKTYDMVDDPTTDAVVSWSATNNSFIVWDPHVFGTVLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRNLLKNIRRRKPPHSSPNQQSLGSYLEVGHFGYDEEIDQLKRDKQLLMAEVVKLRQEQQNTKSDLQAMEEKLKDTEQKQQHMMAFMARVMQNPEFMHQLVSQHEMRKGLEDAISKKRRRRIDQGPEADSMGNGSSLEQGSHAVFEPQEPVESLANGVPSDLESSSVEAKGFEVQQGVSSGGSERLKGRPSGELNDDFWEDLLHEGGLGAEAGNAVVQDDMNM >KQK86314 pep chromosome:Setaria_italica_v2.0:IX:1271533:1279236:-1 gene:SETIT_039768mg transcript:KQK86314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAAASADGGAFLEFVDYAVSMLSSSGGDGDADGYESPGDGPAPARPPWGWAVAQVLKSCCAYSSGVTAAIVLSDLFQSWTEQRKSLTSKRKVELTKLINTRNKRRRLPNTVTIDSIHEKNFLSPKSVLEAVIIDVFVLPGTNIYMLTLGDMWSASTIDLYLHRRYYDYIGQYGMLKKGREVMLTGCCLRTAMEGSGHARILPTEYMVILLDEDQDEDAMLLAAQFCTYSFSSMILEESRNDVPYSFYARIEKIESLEPLRCTERKQIVLVDNDDAKMKFILWGEQVLLANLFSVGSMLALDRPFITNFVDNNHEESQELCLEYGSATQVYVVPIAQQEEQVPFTPTQIKSQGPRLSCVPTDNVASQVTLPRDLHGSVDFSKYPFRVYVSDLHDKMVGVCLFGTITSVCKASASGTTFYLELEDTTGVVLMKLIFIGPWSFGRVGVGHMVYISGLTCTMSSTNILEISWREKEPGSLFVNLSLLPALLNSSCLHNLAPLSDLPRLTNRTHICRIRLDHIDCNSLKVLLFHNICGCVVNEHSGELQCSFCKGACSSGCAHGFQLHLTIADDSAKVFAWCVGQTAVEFLQISPDEYLELPEDERAMYLYTLQNESFMVAIANTSKRVDGCAVSDEALPVWEITRAQKCE >KQK88180 pep chromosome:Setaria_italica_v2.0:IX:12181805:12183250:-1 gene:SETIT_037076mg transcript:KQK88180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALHQTTSFLGQALVSRPAAAADAGGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILAKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTAAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >KQK88179 pep chromosome:Setaria_italica_v2.0:IX:12181805:12183212:-1 gene:SETIT_037076mg transcript:KQK88179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAASPCAAPSRALPRASGTCMLIVSCFCLLMIDPWTTHHQLRTCRYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHSRWAMLGALGCVFPEILAKNGVKFGEAVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDKVYPGGAFDPLGLADDPDTAAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLFDHVADPVANNAWAYATNFVPGK >KQK93017 pep chromosome:Setaria_italica_v2.0:IX:58346023:58348167:-1 gene:SETIT_034538mg transcript:KQK93017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEANLQGSSQPSIAPASYQDGQARQRIDEQDETSQERSSSYKASEIANRFIEVIDHVDTAAPIDSVKGAVSKFGGILDWKEKRKQAQDELDKVQEEVTEYHKRSTAAEAGRAQALQELGSTTRAADKLRLSLKKAQIEDAQARQESELAEGRLRELQRNASERAAAKAELDAARDRRSAALADLQAARAEVGSLEKVRAAAAAEADTATARAREAAAESRESGKAVEDLAAELIELKAELESSHAAHVDAEEKRLRLALALEQDKSQWQIELEDAQQEAKRLRDELMAACDVEMKAEAASELLANLKAELFACAVEWTLGEDEKPTVSSQPMLEKVKKELEDVKASVERAKDEAKCLRVAAASMRDDLDKEKAELAALRRREGLSSASIPYLKEELSRVTSELAVAEAAAKADNGESRMAEEVGEARREAEEAKAKARSAREEVAKAREEAGVAKAAVATVEARLEAVAREILAATTSEEIATASAGALLQAESKPSKRSQSKPIVEGGVTLTVEEYDELSRRAREMEEIAGKRVMEAVKLIKEAKDAEVRSLEKLAKQDRQTEQRRQALEAATLEAEEAEFEKLSAERELRQWRAEHDPQWPRTGLAEISLLDDPDAGDRLGNPHILSPRGRYVPRTELMGSTAEAEAEAEARQRKTTFFPRMVMFLARKRAQSWK >KQK90840 pep chromosome:Setaria_italica_v2.0:IX:46103935:46107121:1 gene:SETIT_036054mg transcript:KQK90840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGWTAARWPPPHPVARVPSRLGASPSLPISFAPAAAAPRTLVLSFVSLPAVALGPTMAVACFNFNPFLSPLVAAPPGRSGRAAFLRSRAASLGPLACGAVVGRGRWRLAAAAEPRAVQEQPARSEASGETGAAVAPKASSKLVLVVGGTGGVGQLVVASLLSRNIKSRLLLRNPEKAESLFGKQDESVLQVYKGDTRNPNDLDPQMFEGVTHVICCTGTTAFPSKRWDGDNTPERVDWDGIRNLVSALPRTIKRLIFVSSIGVTKYNEIPWSIMNLFGVLKYKKMAEDFVRSSGIPFTIIRPGRLTDGPYTSYDLNTLLKATAGERRAVVIGKGDKLVGEVSRLVVAEACIQALDIESTEGQIYEINSVKGEGPGTDPVKWEQLFSSAQST >KQK91047 pep chromosome:Setaria_italica_v2.0:IX:47348338:47351934:1 gene:SETIT_035386mg transcript:KQK91047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMYGRDPWGGPLEICPDSATDDDRSRNLDIDRGALSRTLDETQQSWLLAGPGDQGRKKKKYVDIGCLVVSRKLFVWTLGVLLAAAVFAGVVAGIAKAIPRRHRPPPPPDDYTVALHKALMFFNAQRSGKLPKHNNVPWRGNSCMKDGLSDPAVRRSLVGGYYDAGNAVKFNFPAAFSMTLLSWSVIEYSAKYEAVGELGHVRDIIKWGADYFLKTFNSTANSIDRVIAQVGSAATSPGSTQPNDHYCWMRPEDIDYPRPVVECHACSDLAAEMAAALAAASIVFRDNKAYSQKLVHGATTLFQFARDRRGRYSAGGSDAAKFYNSTSYWDEFVWGSSWMYLATGNSSYLTLATHPKLAKHAGAFWGGPDYGVFSWDNKLTGAQVLLSRLRLFLSPGYPYEEMLRTFHNQTSIIMCSYLPIFKSFNRTKGGLIQLNHGKPQPLQYVANAAFLASVFSDYLEAADTPGWYCGPHFYSIEVLRGFARTQVSW >KQK88626 pep chromosome:Setaria_italica_v2.0:IX:15599003:15608430:-1 gene:SETIT_034230mg transcript:KQK88626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCLHIQGPGKIAMSRPLDFAVLKYKNQRLAEQLEVHKFEYRTLEGRFNDLKEKQRTHNETLVLVKSHWERLLADLDLVSVCKNESLHSSCGTGQNNVQKERDFLNRLLEAGATESSGCSPSYHLGNGVAPEQSSTVNVLQKAFLPSSDLWHVNNDLVYVALTKLPENEHSRQLHSATSNIFSKLHKVIHAIDNLHLEHRQLAGNYQKQRDSSAWNRAEQKRLKEELTSAVAKLEESKHKLAALKAQGDNKHGTPVLVPKLGNKDVSAEKVRDKQRELQDLEATHKELMELISKRLEEIRRLHMERIEILNKLATFQDILTGFRNIRSSKAFELVNDQLQKSQAELDDHQTLLKKLQVDTDSFVWQERQFNQKVDLAEIPQKVSAYCVSRIADLEKDVLKLCNEKNMLVLKLEEASREPGRNQVISEFKALVSSLPREMGAVQSELSKHKDASLQLHSLRAEVSSLSSILTRKEQEIEQTSCRSAHAGSDISQLQSLVLDLKENIKELKLFVELYKHESTDSRQLIEYRDRELSEWARVHVLKYSLNESKLEQRVIAANEAEAMSQQRLATSEAKIAELGQKLETSRRDLVRLSHILKSKYEECEAYVVEIESIGNAYEDIMSQNQQLLQQIIERDDHNTKLFMEGVKAKQSHDALHLEVSSLKRNLQHASMLMDLYNKKIVRLEDQLRGWSERVRRLSEDGMQQSISLGNSQRKLAGLHGEAPKLMQSMDELQAKVGSNRLEVAELLTELEKERFSKKRIEDDLDLMSSKANSLREKKDNSAVLQKLHHEVKEYRGILKCGVCHERQKEIVIAKCYHLFCNQCIQKSLGSRQKRCPSCGLSFGVNDVKPIYI >KQK88627 pep chromosome:Setaria_italica_v2.0:IX:15598572:15608454:-1 gene:SETIT_034230mg transcript:KQK88627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCLHIQGPGKIAMSRPLDFAVLKYKNQRLAEQLEVHKFEYRTLEGRFNDLKEKQRTHNETLVLVKSHWERLLADLDLVSVCKNESLHSSCGTGQNNVQKERDFLNRLLEAGATESSGCSPSYHLGNGVAPEQSSTVNVLQKAFLPSSDLWHVNNDLVYVALTKLPENEHSRQLHSATSNIFSKLHKVIHAIDNLHLEHRQLAGNYQKQRDSSAWNRAEQKRLKEELTSAVAKLEESKHKLAALKAQGDNKHGTPVLVPKLGNKDVSAEKVRDKQRELQDLEATHKELMELISKRLEEIRRLHMERIEILNKLATFQDILTGFRNIRSSKAFELVNDQLQKSQAELDDHQTLLKKLQVDTDSFVWQERQFNQKVDLAEIPQKVSAYCVSRIADLEKDVLKLCNEKNMLVLKLEEASREPGRNQVISEFKALVSSLPREMGAVQSELSKHKDASLQLHSLRAEVSSLSSILTRKEQEIEQTSCRSAHAGSDISQLQSLVLDLKENIKELKLFVELYKHESTDSRQLIEYRDRELSEWARVHVLKYSLNESKLEQRVIAANEAEAMSQQRLATSEAKIAELGQKLETSRRDLVRLSHILKSKYEECEAYVVEIESIGNAYEDIMSQNQQLLQQIIERDDHNTKLFMEGVKAKQSHDALHLEVSSLKRNLQHASMLMDLYNKKIVRLEDQLRGWSERVRRLSEDGMQQSISLGNSQRKLAGLHGEAPKLMQSMDELQAKVGSNRLEVAELLTELEKESKKRIEDDLDLMSSKANSLREKKDNSAVLQKLHHEVKEYRGILKCGVCHERQKEIVIAKCYHLFCNQCIQKSLGSRQKRCPSCGLSFGVNDVKPIYI >KQK90554 pep chromosome:Setaria_italica_v2.0:IX:43600786:43601064:-1 gene:SETIT_040110mg transcript:KQK90554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKSSNNMSKVALMVVVLLVASQITPSHSLGLGHAFVNNKIGRKPWIPGRQEVQAVSSTAKQRPRPSNGSNNPNNGHAFTNNKIGRKLLIT >KQK91300 pep chromosome:Setaria_italica_v2.0:IX:49089941:49092589:1 gene:SETIT_035264mg transcript:KQK91300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRSPRLLLQVFFLLAASSPLGSAGIGGLGVGPESNGASVSPRNSRRLLQIGDGNEDSLFHLPHARTFSHKSRSRKRAPTPAAAPTSAPSPSPFISPPESSPSTSPHASGSMPRQSPSHHHPTAAPPHLDRSRPTTKPDEHDRSVETPVHSSHKHSWTTYGLVAAGIAVFILVSAASVLCFRAKKMGTVRPWATGLSGQLQKAFVTGVPSLQRSELETACEDFSNIIGSTSSCMLYKGTLSSGVEIAVASSLVTSAKDWSKENESQYRKKITSLSKVSHKNFMNLLGYCEEEHPFTRVMVFEYAPNGTLFEHLHIREAEKLDWMARLRISMGIAYCLEHMHQLKTPVVPRNFDSTTIYLTDDFAAKVSDLEFWNDAKGHNSTNDNLTSSSDLESVVRKYGIVLLEILTGRAPHSEVDGPLEHWASRYFDGQMRLEELIDPSMGSFPEDAARALCEVVRSCIDPDPKKRPRMAEVAARMREITALGPDGATPKVSPLWWAELEIMSSET >KQK89495 pep chromosome:Setaria_italica_v2.0:IX:31888283:31889410:1 gene:SETIT_038706mg transcript:KQK89495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNWKDVPTTTKDVLWATLKEKFTFHEGQEESARKFVEGHLERCFRNWRSTLNKEHVQKGKNAREDFGRIPQEMWEEFIQQKNTPEAKAQSEQNTKKAMKAAENSHHLGAGGYAAKIAKWRREEKEWRIAGLPDLFEGLDERSRNWVLACDSKVKFKHPTTDEIYARLEQLTERQKKGLFKPDREKDQLTTAIGTTKHSGHVQGMSSTLPWGNAFHNDQASYRKRDRYKKNHEEHMREIAKANPIVSDDQQQEEPTMQLAHTGFIALSSAGSIANVRYPVDDIQVQVVTVLDESCEIDIPTDEGIEVLDDAMNQYIL >KQK90785 pep chromosome:Setaria_italica_v2.0:IX:45753730:45754224:-1 gene:SETIT_040776mg transcript:KQK90785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFVKLIAISIPRHVLDLQKKVRPIPSHFILASKHTLSKYFQYGCTIFEQN >KQK91499 pep chromosome:Setaria_italica_v2.0:IX:50242836:50254419:-1 gene:SETIT_033993mg transcript:KQK91499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDGDGGVGVDNGRRCGVENEATATALRRVVSCGRGGSGAERKLSANARGRRGAGAERGLGASTHGGRGASAEDRHGAGHGPGSCQQLHISQIEAGLPEAAPVLIDVDLMSTPSKADVNDNVDVLNNQDKSPLKVDLRSEAKMAAEENRRLSSGKKLHPFFASRKMNKCASQDQDVINIEDAHGFCAFERDPPFYPIHVAYQLEINVDNHLLVSGASCQASLLDLHGRPEVPQNGCEPAYYLWTDKYRPETAAQVCGNSEHVKFLAEWLKGWDERGHKTGAANGDTNDSSYQDESDADSAYHASDCDNVLLITGPVGCGKSAAVFACAREQGFNVIEVNTSDMRNGAYVRQKFEEATKSHGLEKWSQEEIINSLKGDFLDPDSGTPDRTEYQHSMSRATRLVIDCDQQKSPVGYYPGSKVSDEASKQVLNKTLILFEDVDTVFDEDRGFISTILKMAETTKWPIILTSNRKDPSLPNLLDQFALDFKYPLTSELLSHVAMICKSEGVDVTVPELKHVVDICLGDIRRTVMLLQFWYQGKQQFTERPNECLCGPFSLDLDAVHSTKQYTEVDKTILVAEEKKKQMEASYLEGLQLQVIAPSVKGRSAATTRKAKKSKLKRGQAAERNDISPCKNDLDDFHDLPDIPLPSDQQPKRNRHGLLLLSESDDDPADVHTEKRDIFTVTEVGFFSQPSEAPHIHSQDVSHQFLFPIESKETFGIADSFQNPPESNMSGSISQVCDTFMSQGVSCVPESSFIVEGTSASISDDEFLSRAVSNDLSTFHDSGTYTMFRTVLEDNDNAKNVMAERQKDVEDVVGETSEAYVESFGRNEQASCSTAGFQLMDECSRAESIWLLSGKKSNDSCKVEQVQDTWNRLRSCCPELSHEADHNRAASGALKLASGVSDLISELDLMLSCCYPLTKDMLDPSSTPCAEPDDFSWYNNQVEMGSVYAQHALCMFSRESQDIDDGSVALSQELLFASTAAISLGKIISSGLMKSCGSANVSHMKNPTTCISKRREHQVHLFGTMSQVVPSKLSQSLRGPVFVDYLSSIGQIARLENLRLSESKGINKQRRSRQPRHYLSSLPLSPADVVLLSESSCFSGRREKVLEQAPCST >KQK93059 pep chromosome:Setaria_italica_v2.0:IX:58551189:58555473:1 gene:SETIT_034173mg transcript:KQK93059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRSQKRLIRRQDSNIGCMSGLIRIFYSRRDAKLLLDRKQGSRRHTFSGFPGRGHSRKNSRDLDEIDEDGANMDECSPSKPTVKRLMEDELGKVKQLKVPNDEVQRILADLGHDVCLDKSSTQNSKSKGDPNHSTSITMSAPARSLDPSGSNCMEEAEENELEFAVADFLGQIHRCHDEQPHQNSKNKGELCSELKVLIQTKLNELDNAPCSLAYEQTPECEEKDMVDGKRLCSSSVTQPKKFRDALEMLSSDTELFLKILQKPNSHILESVQGHQNRHIGTRLVQIPENNNSNKDTKSLSQPELATKTHGKGSRHIPFWKKERPNRRHSAEGTNISQPIKKIVILKPNPIGGIEPAVSASSTQDPELSASESSKFSIKEVRRRFTIVTSEARKGRPSVCEDSLQKDQHWFKSSPFTIKNDTRQLTEQTSEEKFSSTAIKDSRSSTSSRQKQRNDGPNEINSNIITSSKDGSVFYDEAKKHLAEILKDKSQMAKYPTLRISRSLVRMLSLPQCSTPSPRSSPRAKDCIYLSPEEANVDAIYKAKREELAKEESQTGEISESVACEAQHEQDGHWVKEDSQETTQDDVEPNTLRTEEIDKLDCLEKNGSAWHIPVEQCRHKPLQDMVEVAEPVQEHVGMVSSSPENDATECQEPTTPRSSAPIELISQFSPDGSHEKQEQPSPVSVLDFFFHEDVNSPNNENIIKCELHEDILRLQYTTGDGSDHGVFWADKDVRLGYIKELLELSELCTYQNLEVWYLEDELISPCLFEELHQGNQVDDIKLFFDCICEAVTEVQGIYFRSLASLSSLKYNIRGPPTGGNLISEINKHVERHLHYQFPSTLDQLVNMDLEGGNWMDLRSEWEEITVVIWDCILDELLEEVVYDLWL >KQK89652 pep chromosome:Setaria_italica_v2.0:IX:34529734:34530617:-1 gene:SETIT_040435mg transcript:KQK89652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNLQWCPTFNNLTTLTLGGWCRYKDFDPLIVFLWNSPNLEQFTLELSKNMMVYSCFCPHVHYSLYES >KQK90448 pep chromosome:Setaria_italica_v2.0:IX:42759626:42761080:1 gene:SETIT_036263mg transcript:KQK90448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQMKDSAAQFFGLPLESKNSVAVRDGGFQGFGHHVNASSGDKIDWAENMFLFTEPVQDRDMDLWPANPPTFRHALDSYSVEISNLARRLLGFMATDLGVSQEALQGAFFGGGDADDDTGRQPKQQCTAMHYYPPCRHPEKVLGSLPHTDTLGLTVLMQVDDTPGLQIKRGGRWIPLRPLLGAVVVIVGDILDVLTNGTYVSVEHRVVPDAERGRTSVVMFHEASVQGFVTPLPELLTGGEARPRYRSIGTLEYRKGSSRALAQGRRFVDTLRM >KQK90449 pep chromosome:Setaria_italica_v2.0:IX:42759626:42761080:1 gene:SETIT_036263mg transcript:KQK90449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAKLVIREKITGATAAVFAGSVEIPEKFIRTTEVQEAGAVVSEDEVFELPVVDMAKLLDPELSASETAKLGSACRDWGFFQLTHHGVDEAAMQQMKDSAAQFFGLPLESKNSVAVRDGGFQGFGHHVNASSGDKIDWAENMFLFTEPVQDRDMDLWPANPPTFRHALDSYSVEISNLARRLLGFMATDLGVSQEALQGAFFGGGDADDDTGRQPKQQCTAMHYYPPCRHPEKVLGSLPHTDTLGLTVLMQVDDTPGLQIKRGGRWIPLRPLLGAVVVIVGDILDVLTNGTYVSVEHRVVPDAERGRTSVVMFHEASVQGFVTPLPELLTGGEARPRYRSIGTLEYRKGSSRALAQGRRFVDTLRM >KQK93072 pep chromosome:Setaria_italica_v2.0:IX:58638882:58640615:-1 gene:SETIT_036654mg transcript:KQK93072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYKQKQRAVAAALLFLLVAHASCSTKQHKSSKQHKAHAAPIPTTPPATAPTTTPPPPSSYSSPPSGGGGYPPANNGSGADSGSAGGWLNARATWYGAPNGAGPDDNGGACGFKGVNMPPFSAMTSCGNEPLFKDGKGCGSCYQIRCLAHPACSGIPETVIITDMNYYPVAPYHFDLSGTAFGAMAKDDRNDELRHAGIIDIQFKRVACQYPGLTVTFHIERGSNPNYLAVLVEYSNGDGDVVQVDLMESRAEDGEPTGVWEPMRESWGSIWRMDTRRPLQGPFSLRVTNESGKTLVADNVIPADWQPDSVYSSIVQFD >KQK92371 pep chromosome:Setaria_italica_v2.0:IX:55196161:55197186:-1 gene:SETIT_039032mg transcript:KQK92371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTTSRQARHDLRYCPSPLALPRSQSFPARCPSDAGVHVVRLTSSTLGSLELEKALPRAPEPAAARAPLRLAPRTPTMTPPNEPEDIDAWALMAGLEDHSPLLAAPFGRHSFSFPVAAAPQELAASAKVTPLPLPQPHAAAAAVDGVEGKAGRAKARPPRRAVLYFTSLRGVRATYEDCCLARAILKGYGVRLDERDVSMHRGFRDELNGLLGVPGGGALAKFLAPAAPALPSLFVDGELVGNAEELKRLHEAGELAARLAGCESAAAAGDAGACEACGDVRFVLCETCSGSCKVYVDDESEQEEDDASGDDGSGGGGFRRCPECNENGIVRCPVCCCCG >KQK86299 pep chromosome:Setaria_italica_v2.0:IX:1186274:1188128:-1 gene:SETIT_037654mg transcript:KQK86299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASPALAGAHSFAASVSGNLRIPLPSVPAPSPTPARRAALSVVAKVKVSTPQDDRIARHVRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKQCTLASASTMHKSLSKELEYSAGPTIEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAAREHGLEF >KQK86300 pep chromosome:Setaria_italica_v2.0:IX:1186457:1188061:-1 gene:SETIT_037654mg transcript:KQK86300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASPALAGAHSFAASVSGNLRIPLPSVPAPSPTPARRAALSVVAKVKVSTPQDDRIARHVRLRKKVSGTTERPRLSVFRSNKHLYAQVIDDTKQCTLASASTMHKSLSKELEYSAGPTILFLADRLLFVCDRRLIFGFQEVAQKIGEVIAKSCLEKGITKVVFDRGGFLYHGRIKALADAAREHGLEF >KQK92852 pep chromosome:Setaria_italica_v2.0:IX:57520499:57522952:1 gene:SETIT_036850mg transcript:KQK92852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKRALYNDMSRYVCCAGYMPCSGRCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMVCLQQVACIFSIVAAIVGSEELSEASQILNCLSDLVYWTVCACMQTQHKIEMDKRDGKFGPQPMAVPPVQQMSRIDPPPVGYAPQPAGYAPQPAYGQPHGGYPPAPGQGYPPAGYPQGGAYPPAQGYPQGGAYPPPAQGYPQGGAYPPPGYPPQGSYPPPQGSYPPQGYPSK >KQK92851 pep chromosome:Setaria_italica_v2.0:IX:57519440:57522952:1 gene:SETIT_036850mg transcript:KQK92851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQANIEKMHLRQSYRNVWHTDIMSTMQADFPYCCLALWCGPCVSYMLRKRALYNDMSRYVCCAGYMPCSGRCGESRCPEFCLATEVFLCFGNSVASTRFLLQDEFNIQTTQCDNCIIGFMVCLQQVACIFSIVAAIVGSEELSEASQILNCLSDLVYWTVCACMQTQHKIEMDKRDGKFGPQPMAVPPVQQMSRIDPPPVGYAPQPAGYAPQPAYGQPHGGYPPAPGQGYPPAGYPQGGAYPPAQGYPQGGAYPPPAQGYPQGGAYPPPGYPPQGSYPPPQGSYPPQGYPSK >KQK91263 pep chromosome:Setaria_italica_v2.0:IX:48849055:48850962:1 gene:SETIT_035653mg transcript:KQK91263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAERVVGERRMRQIQRFARNAKLTVVCLLLTVVVLRGTVGAGRFGTPQQDLIELRQHFVSHPHRALAEHHDARSRASTTTTTTSSSSSSSSGRGDDEPDPPPRTLRDPPYTLGPKISDWDEQRANWHRRHPETPPFLNDVKPRVLLVSGSSPKPCENPVGDHYLLKSIKNKIDYCRVHGLEIFYNMALLDAEMAGFWAKLPLMRALLLAHPEVEFIWWMDSDAMFTDMAFELPWERYGPYNLIMHGWDEMIYDDKNWIGLNTGSFLLRNCQWSLDMLDTWAPMGPKGPVRIEAGKVLTKSLKDRPVFEADDQSAMVYILATQREKWGDKVYLENGYYLHGYWGILVDRYEEMLENYKPGLGDHRWPLVTHFVGCKPCGKFGDYPVERCLKQMDRAFNFGDNQILQMYGFTHKSLASRRVKRIRNETSNPLEMKDELGLLHPAFKAVKTST >KQK90827 pep chromosome:Setaria_italica_v2.0:IX:46034340:46038000:-1 gene:SETIT_034412mg transcript:KQK90827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERARHATRVSPMPPPAGGDDPDADAAPSCSGSADEGDPQERSLPNGDMYTGQWRGAVPHGAGKYLWADGCMYEGEWRRGKATGRGRFSWPSGATYEGEFLDGFMHGAGTYVGAAGDTYRGAWAKNLEHGAGEKRYANGDRYDGEWRAGLPDGCGHYAWRDGTEYAGGWHAGLIHGRGALVWANGNRYDGGWEGGRPRGQGTFRWADGSLYVGFWGREAPGGAVHQKGVYYPSPAAASGSPRARDPREVFARELPECVRSGTEAQSALTSLRSLKWLMRSVSGRGSSSSGRSNGSAGSLVHFWGSDGEVKCDLGDDWRRRSVREGRGLPPPSLAPVPHLTNGAPLRVFKRQGVTIAKGHKNYELMLNLQLGIRHAVGRQGQAILDLKSSAFDPKEKVWTKFPPEGSKYTPPHNSCDFKWKDYCPKVFRTLRKLFKVDPADYMLSLCGDDALRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKMLLKMLPAYYNHVRAFEDTLVTKFFGLHCVKSGTHQKKVRFVIMGNLFCSDHPIHRRFDLKGSSLGRITDKPPAEIDEYTTLKDLDLNFTFRLQKQWYQEFQRQVDKDCEFLEQEKIMDYSLLVGVHFRGAIDVDGEKLATPRVSRWDRDHFRSDPNRWSKIKLGANMLSRAELTIRKNDGDVFGEPTGEYCDVILYFGIIDILQDYDIGKRLEHAYKSFQYDSASISAVDPKQYSRRFKDFIYKAFQEDKVDS >KQK90012 pep chromosome:Setaria_italica_v2.0:IX:38527867:38528375:-1 gene:SETIT_038279mg transcript:KQK90012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAQLGCARRRPPSNSRRRRLLPTLFQCSRRRLYSTAAMLLLPTTMNQPLRCQRRPNCSLAGGNAVPRTLVMRSTKCRIESSAEG >KQK88725 pep chromosome:Setaria_italica_v2.0:IX:16609722:16624285:-1 gene:SETIT_034081mg transcript:KQK88725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQATATVKEALAALYHHPDDSIRTAADRWLQEFQHTLDAWQIADSLLHDESSNLETLIFCSQTLRSKVQRDFEELPSGAFRSLQDSLYVLLKKFNKGPPKVRTQICIAIAALAVHVPVEDWGAGGIVNWLSDEMKAHPEFITGFLELLIVLPQETSSYKIAARPERRRQFESDLCSSANVAINLLTACMAIDQLKEQVLEGFSSWLRFCHGISASELASHPLVHMALSSLNSDQFLEAAVNVTSELIHATVSHGSGTTAEQMPLIQILVPHIMGLKEQLKDPSKDEEDVKAIARLYADMGESYVDLIAAGSDDSIHIVNALLEVTSHLEFDISSMTFNFWHRLKRNLIRRESYVSFGSEVAIEAERNRRLQIFRPKFETLVSLVSSRVEYPEDYHTFSEEDRRDFRHVRYAVSDVLLDATDVLGGDSTLKVLSTKLAQAYGSCNNEQNPKWQPVEAALFCIQAIAKSVSVEEREILPQVMSLLPCLPQHEQLLQTVCSTIGSFSKWIDAAPAEISILPPLVDILNKGMSTSEDTAAAASMAFKYICEDCRRKFSGSLDGLFQIYHIAISGVGGYKVSSEDSLHLVEALSVVITTLPQESARTALELICQPVINPLQELIQQGDQVLQQVPARQLTVHIDRLSSIFSNVKHPEVVAEAVDRYWPTLKSIFDQRAWDTRTMESICRSCKFAVRTCGRAMGTTIGAMLEEIQTLYQQHKQSCFLYLSSEVIKIFGSDPSCAGYLTSLIQILFSHTVQLLRTIQDFTARPDIADDCYLLASRCIRYCPNLFVPTEMFQRLIDCAMAGITIQHREACKSILSFLSDVFDLPNSSDGGNYREFINTIVLQRGATLTRIMIAALTGALPSGRLEEVSYVLLSLSRAFGENMLNWARESINLIPPQALTDAERLRFLNIISDAASGSSLSCGTIQLRFVQSIDRSVAIVILSCSTFVHVPYIVYAGDRGRVVLTRKQCYTGCNRQITQNGDLPWA >KQK91133 pep chromosome:Setaria_italica_v2.0:IX:47991327:47996275:1 gene:SETIT_034688mg transcript:KQK91133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAAAASAAPVASFQFQPSLSSLRFTPVAAPAARFRIQACGLRCWVAAKLKLRKALKRHGWQLQRNPDARGNDKIHDYLEAASLTEKITHRNTHLAYDSGGEMASTSSDILDSSTVQENPMDLGSNPSETHSPVQEEEYALSNDHSDSEPSLCIAVIGATGELARSKVFPALFALYYSGFLPRSVGIFGYSRKKITDEGLRSMIEANLTCRVDHHENCGDKLNEFLKRTYYIDAGHDNKDGMARLNSRMAQIEGTRATNRIFYLAVPQEALLDVALPLADSAQTKRGWNRIIIEKPFGFTGLSSLRVTQSLLSRFEEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETATEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRNEKVKVLRSIRKVDLEDVVLGQLKDTSDKVDRYTKSMTPTYFAAAMYIDNARWDGVPFLIKTGMGLMKNRAEIRIQFRHVPGNIYRERFGHDIDLDTNELVLRDQPEEAILLKVNNKVPGLGLQLDASELNLLYRDKYNTEVPDSYEHLLLDVLDGDSHLFMRSDELAAAWNVLTPIIHEIDQNIVAPELYEAGGRGPINAYYLAAKHGVRWDDDW >KQK91132 pep chromosome:Setaria_italica_v2.0:IX:47991327:47995465:1 gene:SETIT_034688mg transcript:KQK91132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAAAASAAPVASFQFQPSLSSLRFTPVAAPAARFRIQACGLRCWVAAKLKLRKALKRHGWQLQRNPDARGNDKIHDYLEAASLTEKITHRNTHLAYDSGGEMASTSSDILDSSTVQENPMDLGSNPSETHSPVQEEEYALSNDHSDSEPSLCIAVIGATGELARSKVFPALFALYYSGFLPRSVGIFGYSRKKITDEGLRSMIEANLTCRVDHHENCGDKLNEFLKRTYYIDAGHDNKDGMARLNSRMAQIEGTRATNRIFYLAVPQEALLDVALPLADSAQTKRGWNRIIIEKPFGFTGLSSLRVTQSLLSRFEEKQIYRIDHLLGKDLIENLTVLRFSNLVFEPLWSRTYIRNVQVIFSEETATEIQGRYFGNYGIIRDIVHSHILQTIALFAMEPPVSLDGEDIRNEKVKVLRSIRKVDLEDVVLGQLKDTSDKVDRYTKSMTPTYFAAAMYIDNARWDGVPFLIKTGMGLMKNRYTQ >KQK92726 pep chromosome:Setaria_italica_v2.0:IX:56955072:56955574:1 gene:SETIT_040366mg transcript:KQK92726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEFKFELDFKFEWDLRYRLESEIFRWALELLVQIKCICVHMNLLNA >KQK87596 pep chromosome:Setaria_italica_v2.0:IX:8119253:8124471:1 gene:SETIT_035119mg transcript:KQK87596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRAATAALSLGAGAGAVAVASTEDPAATLKVCAHLPPRLLRDSVTAATVALDYKWSLFGLEPGTPAWQSAKHDAHLRSANRLQELCFRNGGIYIKLGQHIAQLEYVVPEEYVQTMRESMLKKCPVSSYEEVRGVFAKDLGESPETFFAEFDPVPLASASLAQVHAARTHDGQKVAVKVQHDHLTDTSVVDIATVDLLVNALHYIFPTFDYRWLVDEVRESAPKELDFLNEAKNSEKCLDNFRRLSPHIASSIYAPKVYWNLSTSRILTMEFMDAKEVTDVHGIKEIGIHPSDVSNLVSKAFAEMIFKHGFVHCDPHAANMMVRPMPQDSRKFFGWKQPQLVLLDHGLYKELDYTTRISYASLWKALVFADAKAIKENSIKLGAGEDLHALFAGVLTMRPWQRVIDPSPDHLVLDRKTTDYSELQNYASLYFSEISELLRRLPRVILLMLKTNDCLRAVNHALVGGTSLESFMIIGRVSSEAVLDAKKMSRRSFLDRLMIWLEEAILEARFFSLKLMLCFMQLRKLLPS >KQK88847 pep chromosome:Setaria_italica_v2.0:IX:17728588:17737537:-1 gene:SETIT_034060mg transcript:KQK88847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVQTNGQLGDDDIIIIDSQSDENKVGAKSSIREDLFKEHKEPVCKTMDNDVNEETSITDDDSEADSFEFFVHESDNEQALGSEKDTEVPLTEEEVEELVCEFLEVESKAAQAQESLEKESLDKIETEVRLELSERLQGEELESAVSTEMEQFQVQWENELDNLETRSSILLEQLDAAGIELPRLYKSIESQVPNVCETEAWKSRAHWAGSKVPEEANQSIKKADEYLQSCRPVRRKHGRLLEEGASGFLAGKIPVGDGDSIQCHERSWSSFNELIKSKESAENTFGSSNWASVYLASTPQEAAALGLQFPGVDEVEEISEVDGVFDDIKGLDEVELSEEQRRKYRKGKCLTSNASFPVLQENFGLVSSCHEKPLSENGVLGAKSDLPSSKKLKIDENKLSIEELFQKRSETVIIESDDEMQIDRKPGEGSSARVEKVADIIDLDDPSQSPKLSDKSLPKAFKCTICTEILNASEVHRHPVLDVTVCGPCRFLVIEKNRLEDPVSGGYCTWCAQSELLQSCSSCKLLFCRNCLLKNLGEECLSEAIATGWQCCCCVPSQLEVLISECDKALSGVESSDSESSDTHLSGPETNGPVSKRRMKKRIRRIMDDTELGEETKRKIAMEKARQDHLKSMQEQSAGKLRSENVGTSFGAPSEVSLKDAGDGHIVNLAREEDEEPVRIPSSMSFKLKPHQVEGIRFMWENVIQSVKKVKSGDKGLGCILAHNMGLGKTFQVITFLYTVMKCAQLGLRTALIVTPVNVLHNWRKEFIRWHPAELKPLRVFMLEDVARVKRPDLLTKWRVKGGVLLIGYSSFRSLSLGKHVKDKNVANEITYALQCGPDILVCDEAHMIKNRRADITQALKQVRTQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTSDDVKIMNQRSHILFEQLKGFVQRMSMNVVKNDLPPKKVFVITVKLSQLQRKLYRRFLDVHGFSSSGYSEKSHSSFFAKYQTLAQV >KQK87649 pep chromosome:Setaria_italica_v2.0:IX:8451869:8459978:1 gene:SETIT_033903mg transcript:KQK87649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEDEDEYKDALGAADPPPSSPSPTSKPKPAAAAGGGGGGLGRRLFASIPLPASLSAAIGRFSSPKPPAPNVGLGLLLHAGPATPADGSGTPASDAASAISSPHLPPLVSLQPQHGEDRVAGLGAGMGEEDLGLVPAEEQVWPTAEDREKEGLAVDECSANRNDFSLLGQEEGEQCQGDELGTAVDGCMVQDQEEVVEQEGATEDCAAVVEDQSNNAAVEQCAGNETRAVKDDNAVEVNEKVTEQEGAVSILDAAEDGVAVASQEEEEDVVVAEQGEDVISVQDQLEVVELCTGDQLRTTTEDNAGRDQDLVKQEEATEYYTTLEAVEQCTNDGSKEVKDGNIVEEVERAVELEGAPGLLDAAKDGTPVELQEEDVVVAEQEEDEDVISVQDQHKVVDQCSGDQLITATDDNAAQDQDVMKHEAKDCATGESQEEGDVVVAEQSEDSISVQDQHKVVELFTGNQLKASADDNAAQDQEVLEQEGATEYYTTLEAVEECTNDESRVAKDGNVVEEKERPVKQEGAVGVLNAAKDDIIVELQEEDVMVAEQCEGDIFVHNQDKVVELCTSGQIKTTVVDNAAEGQEVVEEECAIVDRDVATDDIAVEDQEKEVKQSAGDESRATKDGNAVEVNEKMVDQEDVIDKHGVIKDGNGVVLLEENIVVVVEQGGDAMSLPDVSNVVEQYTIDQPRATTDDNAAENQEIGEQGAVVERVVTTDGIDIEDQEKEVEHSSGDELTSTKNENGVEDNEKVDQEDIIDKQGVIKDGSGVDSQEEDVVVTEQGGDGISVRDVGNVKQYTSDQRTTMEDNVVECQEVVEKEGSIFERGATAGGTTVEDQDKEVEQSAGDESRATNDENGVEDATDKQGTAKDGSGVESQEDEDVVPAEQGGDDISVRDEGNVMEQCTSDQQRTTPDDNAAEDQEVVEQEGVVSILGAAKVDIAVESLQEEDIVVADQVEDGVSVQDQDKVVEQCTSDQLRTSTYGYAEEDQEARRENIGFSAGYPQRPGKLNCRFYMLNGSCSYGSSCHFNHPQLKAKLEVSNFPSEQRNREVEFLELNRVGLPIREDPDWSSASDDSDGCCSADSSGGPLCKQEHVDYPERPECPFLQRFGNCKFESACQYYHPKDKFPSRHHPKDKFPSRYHSKDKFQSRYLPKRDPPLAELKVYPDRPGEPECPFYIKTGSCKFRADCKFHHPKDLTPSMQGSASPKRSVAANEHHPAARIPSMQGAASPKRLVANEHHPAARIMLQDHMYQQQKCPERPGEPDCRYYMQFGKCKFQSACIFNHPKDILSSGWRPAACPFYMKTGTCQFGSACEFSHPKDRCATTGEAIDDGTDNEHDFDTKSENVLQQQEKTIYPQRPGEPECSHYMKHGYCKFQKSCIFHHPTDHLSNK >KQK86566 pep chromosome:Setaria_italica_v2.0:IX:2703647:2708849:1 gene:SETIT_034422mg transcript:KQK86566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASARGSVWEIQPGDVGAAGLGAADGGAFLAALRSAAAAAGPGAAGDAVWAAVAAAGVLLPEHPHALHQLVYYSVYAGWDRAARGPPPYWFPSPIDSKQTNLGRLMEANGPKLLKSSYKDPISSFSHFYRFSVENQEVYWSMVLKQLALKFQQEPKAILSTSDRSKKGGTWLQGAVLNIAECCLLPCPSLKRTDDSTAIVWRDEGLDDHPVNRMSLKELRSQVIAVANALDTMFQKGDRIAIDMPMTCNAVIIYLAIVLGGFVVVSIADSFAPQEIGTRMGVSKAKAIFTQDFIIRGGKKVPLYSRVVQGTSSKAVVIPANGDYLGVTLRNGDMSWKDFLSRAAGRSSIYSPAYQSVDAITNILFSSGTTGEPKAIPWAQLSPIRCAADTWAHMDVRPEDIGCWPTNLGWVMGPIILYSCFLNGATLALYHGSPLGRDFCKFVQDAGVTVLGTVPSLVKSWKAANCAKGLDWTKIRVLGTTGEASDIDDNLWLTSRTSYKPIVECCGGTELASSYIQGSLLQPQVFGAFSGASMSTGFVILDEQGTPYPDDVPCAGEVGLFPLYFGATNWLLNADHNKVYFDGMPIYKGRQLRRHGDIIQRTVGGYYIVQGRADDTMNLGGIKTSSVEIERVCNRADEGLLETAAVSVKPAGGGPEHLAILAVLKDRSAQYDVNVLKSKFQRAIQKNLNPLFKVSYVKVVPEFPRTASNKLLRRVLRDQLKQELSNHSKL >KQK90643 pep chromosome:Setaria_italica_v2.0:IX:44165049:44166425:1 gene:SETIT_040233mg transcript:KQK90643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIALLLVLLVVAAAYSASAAASHRRRSRCFLLDYVCYKPPDDLKFPTETMRALLDRSERLDGPARRFLLRVVVRSGLGEHTYAPRTLVAGRADGRATHQDCLDEMDPFFHGAVEELFARTPGLGPRDVDVLVVNVSTFHPAPSLASRIARAHGMRDDVAAYNLSGMGCGAVLVAVDLARNALRARSTRPALALVVSAECIVPNWYVGNDRSMMLGSCLFRCGGAAVLLANDPALRGRRAKMELRLVERATVAADDDAHGAIVQREDDEGRVGISLSRSLPKVAVAAFAANMRRLVPRVLPATELVRFAAAVACRKLLRRRGGGAATGSKINFKAGADHFCLHPGGVAVIDAVKRSMGLEERDVEPSRMTLHRWGNTSASSVWYVLSYMEAKGWLKVGDRVLMVAFGSGFKCNSCVWEVTGDMADKGAWAHCIDSYPPERLANPYMEKYGYINGGQGD >KQK86924 pep chromosome:Setaria_italica_v2.0:IX:4521688:4522173:-1 gene:SETIT_040488mg transcript:KQK86924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAVMSAVISLHLLFLLLSSATAAASPATTLPPDGQGWAAARRLLLRQPTAATNTFHVKGGAHQPGTATTTKPNVEFNASTRSAPGSRFNPRQN >KQK89066 pep chromosome:Setaria_italica_v2.0:IX:20167578:20172321:-1 gene:SETIT_034155mg transcript:KQK89066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAAPPEFVEVRCAGCGETLEVEPALTEFACPDCGTQQALPPELMPQPPPRPRRALPIPGRGPAAAAPVPVPVLAPAPARMACGACAALLSVPAGLARFACPLCGVELTVDGGRLRVYFASPPRVSVSVLAAPPAGITLRPSPHRRPEGQVERHNHPTCSIHREERFSSSKTGAIHTMLAQKEPSIHSARREESRIKPLNKTIAKSSARKTKFPACSESTGVEKVRQEPPIHASSASSSQVCPSNFSVRAHGQQPVEDIASHGQEINEYRAGSSTIQHEMIETPNQVNCVEQAQGEYHSNATGWNLKRKRSGNSANVQKGKGLSSYPNGGFHLRRSSRLSKQPENPINNEPVQQPAALNQCNSDTLNIDKIISNLCASPLPQHQMPQSSSSQSGQVDAATGPPQSNHGASRDGKFPLCYSQLYPPEVPGEHSLDRIGDEQPHSPEAQFHVMHVQQEDAQRGHSLLGSAVKSSGKRRGRGPQPTRLIQPRREVDRPVLTPNIIDKWDVNPPCPKVASTITILLKQKYPGSTYLPAGQRREVPPNGEVVLHWQQYPPETRDAILNEFLQRYKWAPGREAECLKLFERRAARQFAGILSEEKRKVRVKFAAVDKSTEATGTHRSNGHAESEDEDQEEEPEDQQALERHDDEDPLLWKPSPPAWMYPSWWERLCEHWAKEEVLKMSSQNRKNRYIGGRAHHTSGSRSIAMHRQIMVIENGGMPVSELEVFNKTHRRNAGTGEFVSERAKRTVEGFKKRMEEAGDKIHPHLAWVQEVGGRNRGRYYGLTGIIDKNKVDELAKSTPNCFAIKGHRQKFTQEQVQQMINQALQGLNETWEKKFKSLEQSVCGGIDPEHAPGSSAAREGGQEDQSGRHQDASDAQSEESREDDDEEVVSTSV >KQK92264 pep chromosome:Setaria_italica_v2.0:IX:54642931:54643674:-1 gene:SETIT_038763mg transcript:KQK92264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSHIPMPALRQLLRPVELSSSSGGGGPRAVSQVPVQIFLATLYPFLAAREANKYLRPDIFPAPPRVGPPRGKAPARNCGSFLHQFVFLLIFCVSASSLQFLKGKKFLFIEEQETQHWFHRIE >KQK87853 pep chromosome:Setaria_italica_v2.0:IX:9782235:9782838:-1 gene:SETIT_038324mg transcript:KQK87853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTFCNNDWRSFRALCHVQESESYHAHGCRKDRALLKLIELNLHHSSDISQDSEHYYSVPSRYTSDLLIYQWQTNLLEE >KQK92702 pep chromosome:Setaria_italica_v2.0:IX:56847406:56848815:1 gene:SETIT_036659mg transcript:KQK92702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVKVFGSPTSAEVARVLMCLFEKDVEFQLIRVDAYRGPKRMPQYLKLQPHGEALTFEDENTTLSESRGILRHISHKYAKQGNPDLIGTGALERASIEQWLQTEAQSFDAPSAEMVYSLALLPPNLPKQQNDNGSGFNNGSGSGFNNSGRERDVGNASAGSKQRSPAGWPAANQNQNQQQAKHQKEEEMVRLFEQRKKDLEKLLDIYEQRLEEAKYLAGDNFTIADLSHLPNADRLASDPRSRRLFETRKNVSRWWNDVSSRDTWQYVKSLQRPPPTDANANSKNGQHQQQQGQHTQSTDEHNRKNYQQQQQQVQHERY >KQK88787 pep chromosome:Setaria_italica_v2.0:IX:17195367:17196293:-1 gene:SETIT_038268mg transcript:KQK88787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLGLYTGGSRRRVDGRSGGRSSVASAAAKQLLSRLRSTWRRSAGRPRRPAVKFGYDLQSYSQNFDDGLASSGHCTAFSDSAVCS >KQK87406 pep chromosome:Setaria_italica_v2.0:IX:7186764:7188744:-1 gene:SETIT_037394mg transcript:KQK87406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEIFGGHHHSIVASRVAMLAHVLFLTTAVLMLVWLLHYRGGINIQSEDPEQIFNVHPFVMSWGFILLIGEAILAYSTIPMDHRTQKMAHMMIHLVGLILGIFGVYAAFKFHAAAVVPDLMSLHSWLGITAIALFGLQWLFGFVTFWLPAAHERTRNAAKPAHVMAGLAIFMLAVCAAQTGLVEKSAAAASAAEMKLINVTGIFILLYGVAVASAVAMRNAFS >KQK91629 pep chromosome:Setaria_italica_v2.0:IX:50926433:50927615:1 gene:SETIT_037075mg transcript:KQK91629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAAAACRQLLVGHVPQMTRPLEPAASTTRRAIKGTLPTPQFTKQADPSELLRAGSELTVMNGGGAGMGEDPMYPLPPPPMMEAPQPHGHVRATANHQQQRPAAANNWAGNDANTLLVVATLITTLTYQLGSSIPGGYWQDTQLPVDGKRPHTAGDPVMRDLHPQRYWVFMVASWMGFAGSMLMTLSLLVRMPVDSRHVRWSFAVAYASLVLTFRLSQPKTHISLDILIWVAVTAFLWLMISVRTEHRARIVRLFCCAGDN >KQK91866 pep chromosome:Setaria_italica_v2.0:IX:52395539:52397647:-1 gene:SETIT_040212mg transcript:KQK91866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVPNARCNPSKYLAEDCGKTAQIALLVAGIVFGAMVTCVTCVVYQLLKRRSASIRTKRSTKRLLSEASCAVPFYSYREIDRATDGFSDAKRLGTGAYGTVYAGRLGDGPLVAVKRIRQRDNDAGLGCVMHEVKLVSCVCHPNLVRLLGCCIEQGHQILVYEFMPNGTLAQHLQRERGPAAMPWTVRLRIAAETAKAIAYLHSEVDPPIYHRDVKSSNILLDHEYNSKVADFGLSRLGKASLGDSSHISTAPQGTPGYVDPQYHQNFHLSDRSDVYSFGVVLVEIITAMKAVDLNRPPSEVNLAQLAVDRIGRGRVDDIVDPYLDPHRDAWTLSSIHKVAELAFRCLAFHSEMRPSMAEVADELDQVQRSGWAPSADDAAFMSTSSSICSSSAASTRGTDRSWGAGRSRTERERAAANSSAVQDQTAKDAAESPVSVHERWFSDRSSPSSNSLLGNRSQH >KQK87773 pep chromosome:Setaria_italica_v2.0:IX:9271658:9271867:1 gene:SETIT_040568mg transcript:KQK87773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSDSSAHIYIHTRTHSIELSLVQINLTTCKALAGTYAHLISSELKRPI >KQK89026 pep chromosome:Setaria_italica_v2.0:IX:19651810:19654842:1 gene:SETIT_037636mg transcript:KQK89026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTARKKIQKDKGLEPSEFETSVAQAFFDLENANQELKSDLRDLYINSAFQMDVAGSRKAVVIHVPYRLRKAFKKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVVRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYHLDGSKIIKIFLDPKERNNTEYKLETFSAVYRRLCGKDVVYEYPVTDTA >KQK87174 pep chromosome:Setaria_italica_v2.0:IX:5921778:5924032:-1 gene:SETIT_036716mg transcript:KQK87174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMDSYFYAGCFHDEVPFYPHGAAPPSPELPFGLIASPGEPEPPFAPTAAALQNQNYSVSGPELLLQSMGAGATHVSGGDAHVHTQVFDDTLSGRMGGGLDQDQTMGEEGEDEPRQQRRQEPAGATVESSRGFRHMMRERQRREKLSQSYADLYAMVAARSKGDKNSIVQSAAIYIHELRGAREQLRRRNEELKARILGHDAGRQCVKVQFEVDEPASAVDSMISALRRLKGMDVRARGIRSTMSGRRLWTEMNVETTIAAGEVEKAVEEALMQEVERKQPAEAGSGGPGFPGTSSSGGRGWGPQASSHVQNVF >KQK90236 pep chromosome:Setaria_italica_v2.0:IX:40886877:40892277:-1 gene:SETIT_034984mg transcript:KQK90236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRTCPAVAGDATAEELLERARGLVPPALAAARAATGFGGRWKAIAVRLERVTPCLSDLSSHPCFSKNALCRELLQSVAATLAEAAELGARCREPPKAGKLQMQSDLDALAGKLDLNLRDCALLVKTGVLSDATVPVAQAEAAATAGAQTDVRELLARLQIGHAEAKHRAVDGLLDALRENEESVLSALGRGNVAALVQLLTATAPKVREKAATVLCLLAESGTCEGLLVSEGALPPLIRLAESGSVVGREKAVITLQRLSMSPDIARAIVGHSGVRPLIDVCQTGDSISQSAAAGALKNISAVPEVRQALAEEGVVRVMINLLDSGVVPGSKEYAAECLQNLTSSNDNLRRAVVSEGGLRSLLAYIDGPLPQESPVAALRNLVATVSPDTLVSLCVLPRLVHALRDGSVGAQQAAAAAICKVSSSTDMKRLVGEHGCIPLLVRLLEAKSNGAREAAAQAVASLMGYPPNARDIKKDEKSVPNLVQLLEPSPQNTAKKYAISCLLALSASKRCKKLMISHGAIGYLKKLSEKDVAGAKKLLEKLERGRLRSLFSRK >KQK91159 pep chromosome:Setaria_italica_v2.0:IX:48190842:48191198:-1 gene:SETIT_039799mg transcript:KQK91159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALLRRYSSSASSSSIDPLLLPFGGGRRPRRRSSRSRMRGDSRSKKATTRPPAAPAISERDILEDARMVKQVRELRRLVPACREPCGLGELFQDAATYIEDLQVQVKVMRMLLDKLSDE >KQK90886 pep chromosome:Setaria_italica_v2.0:IX:46370900:46372286:1 gene:SETIT_035952mg transcript:KQK90886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLLSSRLPTTTSSSSTTAASTRPAPRLLSFRKTSTTAAARRRGRGPLLASSAAAPAPVAQPFRALPASETTVLVTGATGYIGRYVVRELLRRGHRVLAVARARSGIRGRNSPEDVVADLAPAQVVFSDVTDPDVLLADLSAHGPVHAAVCCLASRGGGVQDSWRVDYRATLHTLQAARGLGAAHFVLLSAICVQKPLLEFQRAKLKFEEELAAEAARDPSFTYSIVRPTAFFKSLGGQVDIVKNGQPYVMFGDGKLCACKPISEEDLAAFIADCIYDEDNINKVLPIGGPGKALTPLEQGEMLFRLVGREPKFIKVPIQIMDAVIWVLDGLAKLFPGLEDAAEFGKIGRYYASESMLLLDPETGEYSDEKTPSYGKDTLEQFFERVLREGMAGQELGEQTIF >KQK91216 pep chromosome:Setaria_italica_v2.0:IX:48532295:48533261:1 gene:SETIT_037551mg transcript:KQK91216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLVSAGAATAASGGGKVVMADGSVRALSEPVSVAELMMDHPRHFVVDARVLKEQGRREQQHHQQQGGAGGGGAKVAPLPADHVLGAGGVYVLLPATRGKVSADEARRALSAARSLARSRSMPGLRRKLSSKKGREEAAPDVPARREETAESPEEEKEEARPDGFEEHRPEFLSRELSSRGWKPSLRTIEERVAPKKTPHWLF >KQK92194 pep chromosome:Setaria_italica_v2.0:IX:54260543:54261000:1 gene:SETIT_040411mg transcript:KQK92194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDYAIFSGLASVVACLAMYGSMSTVRGLHHTHHGRQPNPGSGSGATQRP >KQK89969 pep chromosome:Setaria_italica_v2.0:IX:37941285:37942148:1 gene:SETIT_038860mg transcript:KQK89969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGSGGTVGHDKKICGLGWGWEGEARSILWQQVVAVDTTSQQRQDEGSTDYSKLIFQKLLRGFILGFNRYYSFSSRRCARRQRGTCSDFVNLEI >KQK86911 pep chromosome:Setaria_italica_v2.0:IX:4457657:4458540:-1 gene:SETIT_037908mg transcript:KQK86911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGGRLRWLWRAPARALGRARDLYVRGLTGCARYIPSDAAFGYPVFVPAAAPLSRSRSAGGSEAGDEDLQELVRAASQRRVEQRRAELRAVARSQSMAAALSMARIDEDAPCDFGGGDGGGPGSALYARSQSCAGRRARGHRKVVALV >KQK87235 pep chromosome:Setaria_italica_v2.0:IX:6206307:6208274:-1 gene:SETIT_039535mg transcript:KQK87235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRAWTWVVLLLLALLPSCRAAEAPSPQPSADPELAVDDGLRTGYHFQPPKHWINDPNGVMYYKGVYHLFYQYNPKGAVWGNIVWAHAVSTDLVDWIMLPPAIYPTAPFDVNGCWSGSATVLPDGTPVIMYTGIDGENRQVQNVAYPKDLSDPYLREWVKPDYNPVIAPGPGMNATAFRDPTTAWQGPDGLWRLVIGTKDNHRGLAVLYRSRDFKRWAPAKRALHSGDTGMWECPDFYPVTTSPAVGSGGGGSGNGTKHVLKVSLDLTRFEYYTFGEYDHATDTYVPDAALADGNDGLRYDYGNFYASKTFLDTAKQRRVLWGWANESDSATADIRKGWAGVQAIPRKIWLSSDGKQLVQWPVAEIESLRGAHANVTDTLVAGGSHFEVTGLASAAQADVEASFQVMDLDKAEPFDPAWRGADAQTVCAARGADARGGVGPFGLWALASDEHGERTAVFFRVFKGGDGGKHVVLMCNDPSMSSHADDLYKPTFAGFVDVDIAQTGGKIALRTLIDHSVVESFGAHGKTCILSRVYPTKAVGDKARLYVFNNGESDVKVTHLNAYEMRSAKITSDAVEPTKR >KQK90674 pep chromosome:Setaria_italica_v2.0:IX:44511693:44512847:-1 gene:SETIT_0368902mg transcript:KQK90674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKIGLRVLTRPMPERLPHIYRTLGENFNERVLPSIIHETLKAVVAQYNASQLITQRETVSREIRKILTERARNFSIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKRSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTIAASANKVFLDSNDLLLNLQQLNVSKQQKK >KQK89237 pep chromosome:Setaria_italica_v2.0:IX:22364356:22366157:1 gene:SETIT_040189mg transcript:KQK89237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVATLILALLACTKVAAEGPTICDTAKCGKGTCREMPGPIPLLTTSYNCTCDPGWTQPKLIGLIIPSAPCIIPDCPFDPSCFNLSLAPPKGIPITDPCVAINCGPGECKKGDGFSYSCECQPGYVNFLNLTAFPCVKNCVFGTDCSRLGIAPPPAPPPSTAPPPPASPPP >KQK86667 pep chromosome:Setaria_italica_v2.0:IX:3214355:3221305:-1 gene:SETIT_034745mg transcript:KQK86667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRGRWAPGIRAVALVLLAVAAAAGVAAADPDPDEFERAFPIVEPDHGHTKLRLSEQGLEAIRRIENPIAIVGVIGPYRSGKSFLLNQLLSLSCDKGFGVGHMRDTKTKGIWIWGTPVEMDVDGSKVSVLYLDTEGFESVGKSNVYDDRIFALATVLSSVLIYNLPETVREADISRLSFAVEIAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVNEALQRVPNDNGDKYIDEVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMEDKELEPLYVKRREQLKQLVASIIKPKIVQGKNLNGKDFVSFLQQILEALNKGEIPSTGSLVEIFNKAILDRCLKVYREKMDGLGLPVPVDKLQQVHEMANAEAKIIFDKQHFGKHHAAQSVLKLEDEIKKVYRNFLLANEYQSSKLCEARFSECEDKMDHLQVLKLPSMAKFNAGFTHCNRSFVRECVGPAKESYERRMSKMLVKSRALFIKEYNNKLFNWLVTFSLVMVVIGRFVIKFFLLEIVAWVMFIFLETYTRMFWSAESLYYNPAWHIIVSSWETIVYSPILDLDRWAIPIVIMLSFGILYWRCFGGRRKRGRGSLLPLYKNSYKNSSRPRSD >KQK90249 pep chromosome:Setaria_italica_v2.0:IX:40988380:40989518:-1 gene:SETIT_039605mg transcript:KQK90249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLWALAPALVCGGKRGKGDDAAAVVDPMGAALGQLGEWLCRAAVQPPPPPPRRVCGTPGGPPVTARRVRLSDGRHLAYEESGVPRDTARCRVVFSHGFTGSRLDSLLASQKVAEELGVYMVGFDRAGYGESDPNPARSVRSAALDMEELADALGLGDKFYVVGFSLRCHAVWGALRHIPHRLAGAAMLAPVVSYWWPGFPADLAAEAYGRQARRDQWALRVSHHAPGILHWWMDQRWLPTSTVVDNTTHLPNKRDAEVRRALAADGTLQRKREMATQQGIHESYYRDMTVMFGKWEFDPMGLPEPPCPLHLWQGDEDGLVPVVLQRHVAGKLGWVNYHELPGTGNFLSAVPGLGDTVLRTLFC >KQK86693 pep chromosome:Setaria_italica_v2.0:IX:3327081:3329324:-1 gene:SETIT_039772mg transcript:KQK86693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYNDTYESPPDAKAVAAHQNYMLLCFLLPGEGGLNEEFFIYQSDTVVLKRLGPCGHAFINFNAGSNTGIVCREENDEFAVAHLTVTPKEGGGNCALWSTCPVTAELCYILSKVGSWRTSSVPIRYGEGEAQELIWWQTDAVIAFGDSICWVDYLRGMLFCDVFSPNLELRYVPLPVIPYEGYRHPELGDRGGVFAYRSVCVTQDGAAIKFIDAANNNLWFNGNQSGPSSITSWILSSDRFTWMQDDTLPWTSSYATKMPPLDMKYPQIVYFALKEEICSGTLLVPVNMVSKTLGRRTAYALRSSLSSDEDESDRTSCNLFYNEPFLPCGFSKFLGLDVPRSR >KQK91416 pep chromosome:Setaria_italica_v2.0:IX:49677718:49683073:1 gene:SETIT_038749mg transcript:KQK91416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLRSAVHRAVEASGGRSSLLTRTVRTSLGTVVHHAGQAVAGGARLITVRSGNRNYKSAKVTAKRLEEAALSYKGEERVQLLRRWLVALKEAQRAATADLYVDYESGGEPMNFFHVFLYSQGLECMVLSMILGAPTDEEVSLISEIFGMCLSGGKDVHNALLSSVKDLARLFSSYHDEVLAKRGELLQFAQGAVSGLKINAEIARLDNEITQLQQQINSMDALRATSKSNRNRTSQTATEGFKKAVAEVRLCSRMEELVLKKKSIHPGDSLETHFEKIEKLKVLSESLSNSSAKAEKRIMENRLQKEESLIFRVTKTNEVSGIEKELVAEISGLEEQRDQLEAELKKVNTKLKAATVKLKKTREERDQFDEASNQIVLHLKTKEEELARSIASCKVEASTVSAWISFLEDTWKLQSLFEELKEKQANEELDRCGDCFAKLIKHHVSACLEELSTSIDRIKTFVDNLKIFSDRSVSAEDGANGSSKQSNPRKYLEEEYLQTEKKVVAAFSLVDSIRAVYSSNQEYYKTRRDESDVKNLFATVDKLRVEFESVPRPVLQIEIKEQEEKAKRSRSLKVSASPRHSRSDSPIAPQLRTRLPSESDSELAKFDPEYKADEISGWEFDDL >KQK88549 pep chromosome:Setaria_italica_v2.0:IX:15006610:15016495:1 gene:SETIT_034059mg transcript:KQK88549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPLASAKPSPRAAGAACPPLLFSPLKPFPLLRFPPRRPAAARLRLRPRAAAAGEASSPGEEVFGGRKELTGVQPLVEALPPAARTAAELAVAAAAIAAGYGIGLRAGGGSRAVAVAGAAVLGAASVAGAAAVNSVVPEVAAVGLHNYVAGHDDPTNLDNGEVEAIAKKYGVSTQDAAFKAELCDLYARYIYSVLPPGDEDLKGSEVQAITKFKRALGLDDVDAANMHMEIGRHIYRERLETSDRDADMEQRRAFQKLIYVSNLVFGDQSAFLLPWKRLFGVTESQIDIAMRENAKNLYSSQLKSIGRGLDIGTLIDVRRAQLAYKLSDEIAAEMFREHAKKLVEENISSALDIMKSRIPDSLTKAVEEVKSVIRFNSLLTILSKHPQADRFARGLGPISLGGEYDHDRRADDLKILYKAYATEVLSDGIVDDEKLAPLNELRNVFGLGKREAEGILSDVKAHIYRRTLAKAFNTELASVPSKAAFLQILCEKLQFDPELASKMHEEIYRQKLQQFVADGELSKEEVEALMAFQVRLCIPQETVDAAHTEICGQLFEKVVKEAIASVDGYDADRREAVRKAAQSLNLKKEAAMVIFSKAVRKLFLSYIQRAKEADNPIETAKELKKLISFNTVVVSELLADIKGELSSTAETEASSAASESEGEDDEYEWESLDTLRKTRPDKELKEKLRKSIQKEITLKDDIPLRDRTELYETYLKFCISGETTNVSFGTAISTKKDNSEFLMLKQLGDILGLTRKEAQDVHIKFTEKAFVQQAEVILADGKLTEAKAEQLAKIQKQFGLPTENAQKIIKGITTTKLSSAIEASVARGQIGIQQVRGLKDANFQLDSLIAEPLRESIYRKTVEEIFSSGTGDFDEEEVYVKIPADLIISAEKAKSIVQDIAKVRLENSLVQAIALLRQKKRDDVLSSLNDLLACDAAVPAPQPLSWPTPGELDDLYAIYLKGTPKPEKLSRLQYLLGISNETANKIRDTASEGTLPIAAADEKEELAF >KQK91524 pep chromosome:Setaria_italica_v2.0:IX:50392916:50395283:1 gene:SETIT_036451mg transcript:KQK91524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQLLTFPLQTVNARQQTERDPSKPAFKDGAARQLYLVVKNEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRNRAEAKALERSRRGLGDGSVGMLQSLTVAALSGCVNVLLTNPIWVAVTRMQTHRKANIQQSPQDLICANDKALEASTTENTPYKTIDVFQELYKEAGVLGFWKGVIPSLIMVSNPAIQFMLYETLLKRIKKRRASNLKGADGLTALEIFLLGAVAKLGATVLTYPLLVVKVIN >KQK91525 pep chromosome:Setaria_italica_v2.0:IX:50392636:50396027:1 gene:SETIT_036451mg transcript:KQK91525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQLLTFPLQTVNARQQTERDPSKPAFKDGAARQLYLVVKNEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRNRAEAKALERSRRGLGDGSVGMLQSLTVAALSGCVNVLLTNPIWVAVTRMQTHRKANIQQSPQDLICANDKALEASTTENTPYKTIDVFQELYKEAGVLGFWKGVIPSLIMVSNPAIQFMLYETLLKRIKKRRASNLKGADGLTALEIFLLGAVAKLGATVLTYPLLVVKARLQVKQRIDGDKRHHYKGTFDAITKLVQYEGLRGMYKGMGTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKPS >KQK91526 pep chromosome:Setaria_italica_v2.0:IX:50392636:50396027:1 gene:SETIT_036451mg transcript:KQK91526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALINGLAGAGGGIIAQLLTFPLQTVNARQQTERDPSKPAFKDGAARQLYLVVKNEGWERLYGGLMPSLVGTAASQGVYYYFYQIFRNRAEAKALERSRRGLGDGSVGMLQSLTVAALSGCVNVLLTNPIWVAVTRMQTHRKANIQQSPQDLICANDKALEASTTENTPYKTIDVFQELYKEAGVLGFWKGVIPSLIMVSNPAIQFMLYETLLKRIKKRRASNLKGADGLTALEARLQVKQRIDGDKRHHYKGTFDAITKLVQYEGLRGMYKGMGTKIVQSVFASALLFMIKEELVKGARLLVTGNTSLVKKLPSKPS >KQK86122 pep chromosome:Setaria_italica_v2.0:IX:373645:377260:1 gene:SETIT_034004mg transcript:KQK86122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGTWHSGDSVRGRGGPHGEVAEENPAEGGRGSEPRRRHGIRSIEGGYPRVLQSRNGVRMPTLLAGEDDEPNGPEPNWAYLIKRTDLPTSLRTAASLAGTLDAAAIAAAAGFRIRPGLIQSPKLLRISPVVPSRRAERAGMSSHRKNFRRRADDEEDANGDGGSHPKPATKTQTLTVPKPKSPPRRQGASRLSFADDEDDDDAEEGPLAPRRRPTASVRPARTASPAAASLHRLTPARERHRSSPAAAIAAVSAPKPSNFQSHAGEYTPERLRELQKNARPLPGSLMRAPPPTLAPEPRSQRLAGAPASSTPTTSTAAATEPVVILKGLVKPMAEASIGPRKPLQKEDEDKSEEEEGGDEEDEGPVIPDRATIEAIRAKRQQMQQPRHAAPDYISLDGGGVLSSKNAGGESSDEDDNETGGRIAMYTDKSTDGLRSTKGVFGGINNRGPAASLGALSDGIREVEDNMDDDDDEEERRWEEEQFRKGLGRRVDDASAQRTANGAPASAQVQPQAFGYSVGSHHQPSLSGAVPAASVFASGSVEFLSIAQQADVANKALQENIRKLRETHKTTVSALVKTETHLNEALSEISSLDSGLKDAEKKFVYMQELRHYISVMCDFLNDKAFYIEELEEHMQKLHENRALAISERRAADLADESGVIEAAVDAAVSILSKGSSSAYLSAASNAAQAAAAAARESSNLPPELDEFGRDINLQKRMDLKRREENRRRRKAKSESKRLASAVKNNDIEKIEGEISTDESDSESTAYVSSRDELLRTADVVFSDASEEYSSLQIVKDKFEGWKTQYPSAYRDAHVALSAPSVFTPYVRLELLKWDPLHKTIDFFDMDWHKVLFDYDVKDNESASGGSTDTDVVPVLVEKVALPILHHRIKHCWDVLSSKRTENAVDAIRMVIGYLPASSKDLHQLLASVKSRLTQAIADLSVPAWGSMVTRTVPGATQYAAYRFGVATRLLRNVCLWKDILADHVVEELALDGLLTGKILPHMKSIILDFHDAITRAERIAASLSGVWSKQSQKLQPFVNLVVELGNKLERRHTSGISEEETRGLARRLKNILAGLNEYDKARAISKNFQLREAI >KQK91016 pep chromosome:Setaria_italica_v2.0:IX:47199086:47203913:1 gene:SETIT_035676mg transcript:KQK91016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKKRNKKKKGNQGKNTADVTSNAGEAAPQNHNHESAPRDHYKGSDADDAMSSVGEGVPQYQNHEPTLPLDHNDSTNAHDTTSSIGEGISCYQNNEPTLTQNHKASNAVPADQRSIGMSESSVELDMHRLYEAKLDKLHETIKQLEDEKSLWLQKLSTMEGELEKLHSKVGFHAQNEVLLKEKLSNLQIGYDMLIKKEEVLANKVRCIDDINGTLTHQEALLKERLSELEETNKTLVEQVKVLEEASNNTFEENQILVKKSDELDSRLQALEARAALSEASNTEKVSDNKVVDRMDYTSPLLHQQTIGFTEMMSKGNELIADRGLSSSVEITPDNSYGQINNTPSNAYASNHPEETSMQLPEIGTSNSVAQAHVDVSEHRFDGLTSEEIIPVPLDDIQIHEDGPQQPGADDEIDEVPFSDAPIIGAPFRLISFVARYVSGADLVNQK >KQK92214 pep chromosome:Setaria_italica_v2.0:IX:54423444:54424595:1 gene:SETIT_039807mg transcript:KQK92214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SASPAMQEISSKAASTTSPDRATTAAPAPVRYRPSPMVIFSACLVLLGAGGPLLLRVYFVHGGERLFLSTMLQLSGWPLLLPPICVSLFRRRSQGVANLLLPPRLAGAGAVLGAFYAISCFVYSLGSQALPLSTSSLLLATQLAFTAVFAFLFAGLRFTPFSANAVVLLTIGPAVLGVGPGSGKPAGESSRTYWTGFCEAVAAAALAGLVLPLVEVAMARYGRRTGPAARVPPPYVTVMQMQAVMGAAGTMVCLLGMAIKSDFQALPNEAATFGLGKTNYYLVLIWDAISWQLLNLGIMGLITCASSLLAGIMIAVLLPLSQVLSVIFLHEKFDGPKGIALVLCLWGFASYLYGEKVQKKREAQKIVEQQLAKKTEDLESAAP >KQK87891 pep chromosome:Setaria_italica_v2.0:IX:9992162:9993235:-1 gene:SETIT_038645mg transcript:KQK87891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACVEPAVAVRNHAAAGMAGLKRRRIAVGTAEQYEDISRLGEGAFGAVVKARHRATGRVVAIKRVGEAQGEHAALLREARFLEDACGGGANPFVVGFHGVVRRPDAFDLSLVMECVGPSLHDLLRQRGRGSPPLPESTVRSAMWQLLTGTKKMHDGHIVHRDIKPANILVGDDHRIVKLCDFGLAMSTDERPPYTQAGTLWYMAPEMLLEKPDYDERVDIWSLGCVMAELINNGRPLFQGFHGEGQLCAIFDVLGTPDDGTWPWFSSTAFATVVMPELDMQRENNLRELFPESKLSKEGFEVLSGLLTCNPEKRLTAAAALKHPWFDKIDVLELPKKEELPSPMPLQPKRRRIHAV >KQK91976 pep chromosome:Setaria_italica_v2.0:IX:53017007:53035464:1 gene:SETIT_033844mg transcript:KQK91976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPLPRLTSALRGHYDADKAYLLRKTVLQALTLPRPHDEWELARKIVPGWDDASSEVRQAYKQFIGAVVELLNGEVVSEELHEVAQTVFALFGGDDTEYDAAQRAFVKRNELERLVGYTVQDSVLRKLAQLAQKLGSLLRASSHEFIHRIADDVDENERREFGADFDFKPPARFVIDVSLDIPLESAELGSETFLKGQYDGWSTSATRNSTAVRGSVSLRWLKDQCDLITRSGGSLSGDELAMTLCRVLISNKAGDEIAGELLDLAGDTAFEIVQDLLLHRKELVDAIQHGLAILKSEKMTSSNQPKMPTYGTQVTVQTESERQLDKIRRKEEKRGKRGVDTGNSDIGVDDFSSLLLASERKQPFDDMIGTGEGADSFTVTSLPQGTTRKHMKGYEEVKIPPTPTASLKPNEKLIEIRELDEFAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTATFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPETGLFFFDSSYRPVPLAQQYIGISERDYTKKSELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAAKAGELELFSSADHPQFPLIKKDVGKAKSREVVEFFESGFGIHNAGMIRSDRNLMERLFGDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGITWEEVLGDPSMGAKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVEIYNEMLRRHMSESEVITMVAHSSEFENIVVREEEQDELEALARKACPLEIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMSSLLLEYCKAVDRKIWPHLHPLRQFDKDLSPQILWKLEERNVDLDRLYEMEENEIGALIRFSHQGKLVKQYVGYFPYVNLSATVSPITRTVLKVDLHITPEFVWKDRYHGMSERWWIIVEDSENDTIYHSELFTLTKKMARGIPSKISFNVPIFEPHPPQYYIRAISDSWLHAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYEDLYRFSHFNPIQTQSFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRKRLVTQLGKKMVEMTGDFTPDLMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVTDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICSHSPNKPVLIFVSSRRQTRLTALDIIQLAASDEKPRQFLSMADNSLDMVLSQVSDSNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETNTLNSYLSRLVETTFEDLEDSGCIRIDDHSVKYLILGKIASQYYLSYLTASMFGSNIGPNTSLEAFVHILSAAAEFDELPVRHNEDNLNRTLSGNVPYPVDQHHLDDPHVKANLLFQAHFSRVELPISDYATDLKSVLDQSIRIIQAMIDVCANSGWLSSALSCMHLLQMIIQGLWFERDSSLWMLPSMNDDILGHLRSRGVLTVPALLDLSREELHRLLQPFSASDLYQDLQHFPHVDVKLQLQNEQERSKSRTLNIRVQLKNSRRSASRAFAPRFPKAKQAAWWLVLGNSTSSELYGLKRISFADWVVNTRMELPQMFNIQETKLILVSDSYLGFDQEYSLEHLTKC >KQK91974 pep chromosome:Setaria_italica_v2.0:IX:53017007:53031778:1 gene:SETIT_033844mg transcript:KQK91974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPLPRLTSALRGHYDADKAYLLRKTVLQALTLPRPHDEWELARKIVPGWDDASSEVRQAYKQFIGAVVELLNGEVVSEELHEVAQTVFALFGGDDTEYDAAQRAFVKRNELERLVGYTVQDSVLRKLAQLAQKLGSLLRASSHEFIHRIADDVDENERREFGADFDFKPPARFVIDVSLDIPLESAELGSETFLKGQYDGWSTSATRNSTAVRGSVSLRWLKDQCDLITRSGGSLSGDELAMTLCRVLISNKAGDEIAGELLDLAGDTAFEIVQDLLLHRKELVDAIQHGLAILKSEKMTSSNQPKMPTYGTQVTVQTESERQLDKIRRKEEKRGKRGVDTGNSDIGVDDFSSLLLASERKQPFDDMIGTGEGADSFTVTSLPQGTTRKHMKGYEEVKIPPTPTASLKPNEKLIEIRELDEFAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTATFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPETGLFFFDSSYRPVPLAQQYIGISERDYTKKSELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAAKAGELELFSSADHPQFPLIKKDVGKAKSREVVEFFESGFGIHNAGMIRSDRNLMERLFGDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGITWEEVLGDPSMGAKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVEIYNEMLRRHMSESEVITMVAHSSEFENIVVREEEQDELEALARKACPLEIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMSSLLLEYCKAVDRKIWPHLHPLRQFDKDLSPQILWKLEERNVDLDRLYEMEENEIGALIRFSHQGKLVKQYVGYFPYVNLSATVSPITRTVLKVDLHITPEFVWKDRYHGMSERWWIIVEDSENDTIYHSELFTLTKKMARGIPSKISFNVPIFEPHPPQYYIRAISDSWLHAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYEDLYRFSHFNPIQTQSFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRKRLVTQLGKKMVEMTGDFTPDLMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVTDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICSHSPNKPVLIFVSSRRQTRLTALDIIQLAASDEKPRQFLSMADNSLDMVLSQVSDSNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVFIL >KQK91975 pep chromosome:Setaria_italica_v2.0:IX:53017007:53035855:1 gene:SETIT_033844mg transcript:KQK91975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPLPRLTSALRGHYDADKAYLLRKTVLQALTLPRPHDEWELARKIVPGWDDASSEVRQAYKQFIGAVVELLNGEVVSEELHEVAQTVFALFGGDDTEYDAAQRAFVKRNELERLVGYTVQDSVLRKLAQLAQKLGSLLRASSHEFIHRIADDVDENERREFGADFDFKPPARFVIDVSLDIPLESAELGSETFLKGQYDGWSTSATRNSTAVRGSVSLRWLKDQCDLITRSGGSLSGDELAMTLCRVLISNKAGDEIAGELLDLAGDTAFEIVQDLLLHRKELVDAIQHGLAILKSEKMTSSNQPKMPTYGTQVTVQTESERQLDKIRRKEEKRGKRGVDTGNSDIGVDDFSSLLLASERKQPFDDMIGTGEGADSFTVTSLPQGTTRKHMKGYEEVKIPPTPTASLKPNEKLIEIRELDEFAQAAFQGYKSLNRVQSRIFQATYYTNENILVCAPTGAGKTNIAMIAVLHEVKQHFRDGILHKNEFKIVYVAPMKALAAEVTATFSRRLSPLNLVVRELTGDMQLTKNEIEETQMIVTTPEKWDVITRKSSDMSLSMLVKLIIIDEVHLLNDDRGSVIEALVARTLRQVESMQSMIRIVGLSATLPTYLEVAQFLRVNPETGLFFFDSSYRPVPLAQQYIGISERDYTKKSELFNTLCYEKVVESIKQGHQALVFVHTRKDTGKTARTLIDLAAKAGELELFSSADHPQFPLIKKDVGKAKSREVVEFFESGFGIHNAGMIRSDRNLMERLFGDGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITTHDKLAYYLRLLTSQLPIESQFLGSLKDNLNAEVALGTVTNVREACAWLGYTYLFIRMKTNPLVYGITWEEVLGDPSMGAKQRAFIIDAARALDKAKMMRYDEKSGNFYCTELGRIASHFYLQYSSVEIYNEMLRRHMSESEVITMVAHSSEFENIVVREEEQDELEALARKACPLEIKGGPTDKHGKISILIQVYISRAPIDSSSLHSDAQYISQSLARIMRALFEICLRRGWSEMSSLLLEYCKAVDRKIWPHLHPLRQFDKDLSPQILWKLEERNVDLDRLYEMEENEIGALIRFSHQGKLVKQYVGYFPYVNLSATVSPITRTVLKVDLHITPEFVWKDRYHGMSERWWIIVEDSENDTIYHSELFTLTKKMARGIPSKISFNVPIFEPHPPQYYIRAISDSWLHAESLFTVSFHNLTLPQTQITHTELLDLKPLPLSALGNKTYEDLYRFSHFNPIQTQSFHVLYHTDNNVLLGAPTGSGKTISAELAMLHLFNTQPDMKVVYIAPLKAIVRERMNDWRKRLVTQLGKKMVEMTGDFTPDLMALLSADIIISTPEKWDGISRSWHSRSYVMKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERSIRFVGLSTALANARDLADWLGVTDDGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPAYAAICSHSPNKPVLIFVSSRRQTRLTALDIIQLAASDEKPRQFLSMADNSLDMVLSQVSDSNLRHTLQFGIGLHHAGLNDRDRSLVEELFSNNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKTKRYIDYDITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESNLREHLHDHINAEIVSGTISNKEEAIIYLTWTYLYRRLVVNPAYYGLEDTETNTLNSYLSRLVETTFEDLEDSGCIRIDDHSVKYLILGKIASQYYLSYLTASMFGSNIGPNTSLEAFVHILSAAAEFDELPVRHNEDNLNRTLSGNVPYPVDQHHLDDPHVKANLLFQAHFSRVELPISDYATDLKSVLDQSIRIIQAMIDVCANSGWLSSALSCMHLLQMIIQGLWFERDSSLWMLPSMNDDILGHLRSRGVLTVPALLDLSREELHRLLQPFSASDLYQDLQHFPHVDVKLQLQNEQERSKSRTLNIRVQLKNSRRSASRAFAPRFPKAKQAAWWLVLGNSTSSELYGLKRISFADWVVNTRMELPQMFNIQVLTRSTLLSISRSVENFCSEFGAVRPTVFCMFSTKVALCCHCQCT >KQK90094 pep chromosome:Setaria_italica_v2.0:IX:39534755:39539023:1 gene:SETIT_034890mg transcript:KQK90094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGSGSRGRRAGEAAAPPVVQVSSSGSGEEEGPSEEEEEEESEGSSEGARRRDGGGGKARVSATEGEAAASGGDAEGPNLPSCPICMIAWTADGAHRVSCIPCGHVYGRYCLERWLQQCGKKKAQCPQCGKRYKQNNIINLYVPEIAVPNNDLEKQVLLLREKNDSLEKQQAKLLEEIKEHKRQIMLQQNVIYESSSKRQKMTEQTSNGMPDVAPIASVTEDIDRRNLCSFVLQNEFLVEGARVMGIDASSQIIFTSGRGPGVGAEHILTKINMFARQRMQKIHLPPDTKAIRDICILPGGCAVFASLGRKLSLFSVATNNVVLQYDLPAPGWSCSGDHTSPTHLYAGLQNGMILVFDIRQTSAPLHSMTGLSTHPVHTIHSAVDGTGSRMVFSASSIGPCIWDVDGSEDRPNLLSGMENQGVCISLACAPPSSDLLVASYRPKVELQDDSATPQAITPQSPAPTGSGKLGRHTLLRRTATTSFAKDQTCSGNVSDLRMSKSAIIPCGGNQHLFAYGDESLYRVRTWRLPSFQTYTDLRPHRQPILDLRFAESPTGERYLGCLSAEKLQVFTVR >KQK86489 pep chromosome:Setaria_italica_v2.0:IX:2225682:2227841:1 gene:SETIT_037944mg transcript:KQK86489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNFTSSPRESSPRGAAHAHPRQEKNHVVRQKLHLRARGSKPCQTAWVTSPRELGPRLALPSVAPLPNKTAPLPRGAAAASATRSSLVESVQVPGRLLLLSPTKRRRDEDEDTGDDHILEPANISFTRAFCSNYSRHLGFEG >KQK87389 pep chromosome:Setaria_italica_v2.0:IX:7050809:7057154:1 gene:SETIT_033999mg transcript:KQK87389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENIDLVLEFLRKNRFAKAEAALRGELTGRGDSNGPTTQRRVAEPKEDEERDDSVGSNVGPKGAASVRSADSSREFIVKEIDVGALPNGSDGRKGSGIGQPQENNMGDLYPWTFSIANSTAEQLAELLVSEEVPRHRQGAMMAEKRDRGVGTEQPGPVLEQKVSFGRGKGKVDAAGRAETNEQGHSRDKNLVPEKEENMNGCTVKTVLPFSAENPSSSYNSAHHENDRKEVKKSINADGVAKSVKGQLDEGNRQYYFEKSQDTDQVADRCFDLQLVGDNQREELPKLPPVRLKSEDKLVNMNWEEKINHHGSGAKQSSAADNAFMIGSYLDVPIGQEITSSGGRRTIGSSWLSVSQGIAEDTSDLVSGFATIGDDSLEYPIGEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKATGHTRGPDRHDRPTKDEDDDQSFVEEDSYISGEQYFHGKNIAQVGTSVGPMVHGIPDSDMMAQYDGQLLDPEELNLMHSEPVWQGFVSQNGELGMLGNGKFLNDSERPHPDDPFVEDDQHGSVRSIGVGISSDAADIGSEVRESLIGGSSEGDIEYFNESSLSVSGKRHSQQETEKKRVGGKGAKHDQINYAVDMQKVNLPPGAADGGFSFPPPLHSGKNHDSDAKSLWSKKDEMYCINDPDECQNGMVSDDMLATWRKKNSESSLRSSRDEMTSDVVRSRNSSASYDETEDTANVRHHKLDDAQEEDTGTTLDDEEAAALQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVSEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNRESGGEVYFTMPRLQSIAIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEIKVIDLGSSCFETDHLCAYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVMGIIGSIEQAMLAQGRETYKYFTKNHMLYERNQESNRLEYLIPKKTSLRHRLPMADQGFIEFVAYLLEVNPKKRPSASEALKHPWLSFPYEPISS >KQK89139 pep chromosome:Setaria_italica_v2.0:IX:21271472:21272236:-1 gene:SETIT_039445mg transcript:KQK89139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTEVPVALTPTPATAVQYRGVAMLPDGKWHAYIVNRDGRPFNVGRFETATAAALAHDRAILAVLGADTSAVVLNFRAAFSDIELRFLRGRHAPMRPTGVVAMVQKSGDGSYDVELSRFAAHAFDAYMDPELALDVANFRLRHSDMLHRLKEKASITAGTNASQETCAKAKLDAEREAFVQAAKNKATDELWVKQYHQRRQQVGRTFEDENRWPLVLPDVNVHVDWFPGEELIYLPHGSSYVDEMILRKDVPK >KQK88113 pep chromosome:Setaria_italica_v2.0:IX:11629335:11633260:1 gene:SETIT_037408mg transcript:KQK88113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPVAAPKSSRRPCRGLPTRRLLTSPCDCPCRAHASPMPTTGVSPLAAAVRVVLGRPRATARRPPATVRSSSLTVRGSRAGDFLGLISSPSQPVSASPSCFFFLRTGQAKTEPSRTAVTRRPNTLHPPQQFQIATTHTSSTFPATTQSHPKRRPCANSPESGAFPAAIVVSHQAPPPTKILQAPPTIFKSTKKRASPAILPLLICCTSTSFPPNPKHQN >KQK88114 pep chromosome:Setaria_italica_v2.0:IX:11629335:11633260:1 gene:SETIT_037408mg transcript:KQK88114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNSPVAAPKSSRRPCRGLPTRRLLTSPCDCPCRAHASPMPTTGVSPLAAAVRVVLGRPRATARRPPATVRSSSLTVRGSRAGDFLGLISSPSQPVSASPSCFFFLRTGQAKTEPSRTAVTRRPNTLHPPQQFQIATTHTSSTFPATTQSHPKRRPCANSPESGAFPAAIVVSHQAPPPTKILQAPPTIFKSTKKRASPAILPLLICCTSTSFPPNPKHQN >KQK89664 pep chromosome:Setaria_italica_v2.0:IX:34708931:34711000:1 gene:SETIT_037523mg transcript:KQK89664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKSTPLRLPHPFPLSFARGRRRARPPGSSSKRLLASGVRASLRSPLSWPGKAWIRTWGADFSSCSCRMADGGILVAICQYGGEFTSGPNGNLIYKGGEAHAVDVTRDMSLESFKDEVSKVFHVDVTDMSFKYFLPNNNRTLITISCDRDLQRMVDFTTSAAQVEVFLISRVENRSIVTYSGASAIKPGTTAPGDNKKRPPSKNK >KQK89665 pep chromosome:Setaria_italica_v2.0:IX:34708931:34711000:1 gene:SETIT_037523mg transcript:KQK89665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSKSTPLRLPHPFPLSFARGRRRARPPGSSSKRLLASGVRASLRSPLSWPGKAWIRTWGADFSSCSCRSMADGGILVAICQYGGEFTSGPNGNLIYKGGEAHAVDVTRDMSLESFKDEVSKVFHVDVTDMSFKYFLPNNNRTLITISCDRDLQRMVDFTTSAAQVEVFLISRVENRSIVTYSGASAIKPGTTAPGDNKKRPPSKNK >KQK90981 pep chromosome:Setaria_italica_v2.0:IX:46973780:46979532:-1 gene:SETIT_034304mg transcript:KQK90981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGRGLRSPKRDSWKTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECPPEITDKSHIKVWLEKHRKLRIALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLFCMSAVGLYNIIHWNPQVYQALNPYYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTCLVYPALILAYMGQAAYLSKHHDFYSSSQVGFYIAVPDKIRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTFLTSLVIVLCWHRPPLLAFAFLLFFGSIEALYFSASLIKFLEGAWLPILLALILMAVMLIWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFEDELVETLATFIKLDASYRCSEASEWEPEAEMEPGERERRLTVIASNQLRHRASYDLQDSAQHSSASTVEMRATAAGAGGGPTATADDEDGDTGEISPARKQVRFFIDSHVASPDAAQSAKQVAEELEALAAARESGTAFILGHSHVQCKPGSSVLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >KQK90982 pep chromosome:Setaria_italica_v2.0:IX:46973780:46978419:-1 gene:SETIT_034304mg transcript:KQK90982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGRGLRSPKRDSWKTTLLLAYQSLGVVYGDLSISPLYVYKSTFAEDIQHSETNEEIFGVLSFVFWTLTLIPLIKYVSIVLRADDNGEGGTFALYSLICRHANVSLLPNRQIADEELSTYKLECPPEITDKSHIKVWLEKHRKLRIALLIMVMIGTCMVIGDGVLTPAISVFSAVSGLEFSLSKDHREYAVIPITCVILAFLFALQHYGTHRVGFLFAPIVLAWLFCMSAVGLYNIIHWNPQVYQALNPYYMFKFLKKTRKYGWMSLGGILLCMTGSEAMFADLGHFSYSAIQLAFTCLVYPALILAYMGQAAYLSKHHDFYSSSQVGFYIAVPDKIRWPVLVLAILASVVGSQAIISGTFSIINQSQSLSCFPRVKVVHTSEKIHGQIYIPEINWLLMILCIAVTVGFRDTKHMGNASGLAVITVMLVTTFLTSLVIVLCWHRPPLLAFAFLLFFGSIEALYFSASLIKFLEGAWLPILLALILMAVMLIWHYTTIKKYEFDLHNKVTLEWLLALGDKLGMVRVPGIGLVYTDLTSGVPANFSRFVTNLPAFHRVLVFVCVKSVPVPHVFPAERYLIGRVGPPGHRSYRCIVRYGYRDVHQDVDSFEDELVETLATFIKLDASYRCSEASEWEPEAEMEPGERERRLTVIASNQLRHRASYDLQDSAQHSSASTVEMRATAAGAGGGPTATADDEDGDTGEISPARKQVRFFIDSHVASPDAAQSAKQVAEELEALAAARESGTAFILGHSHVQCKPGSSVLKRLAVDVGYNFLRRNCRGPDVALRVPPASLLEVGMVYVL >KQK89558 pep chromosome:Setaria_italica_v2.0:IX:32890871:32893088:-1 gene:SETIT_039676mg transcript:KQK89558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSMKEWWPASFMALIQVVTTGQMLFTKVVVDDGLSVCTLLTYRFFMGAILVIPLAMIFEKGKLKELNLKAFIWIFTSAFVGFTIPGLYYIGLGDTSPGYAINFYNIIPIATFILAVLFRKEPLIMKSLVGNIKVIGTIVCVGGTLVISLYKGKVLHLWPTNIIGYHTKHSGAAFGHHHMRGTILLIVSCLSLAVWYTVQAQMLKVFPYKYWSTVATCFVGCIQMAVVGVAMNREKAILNTAAKFVMISWVVTQRGPTYPSMFCAVSVLFTTVLDSLLLGHDLSVGSILGMIMILAGLYLFLLGKRKELAPGSEEKEKDQLQAQSEDKIKESGSNV >KQK89724 pep chromosome:Setaria_italica_v2.0:IX:35348111:35351624:1 gene:SETIT_035312mg transcript:KQK89724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPPPEPSSDSNKGWGDNEEIHVSSGGVQAYTDDDADCESRRPLLLGTPAAAECYSVPAAVLPFLFPALGGLLYGYDIGATSGATISLKSSKFSGTTWYNLSSVQTGLVVSGSLYGALIGSILAYTIADFLGRRKELILSSISYLIGALLTAAAPNFAIMVVGRLLYGIGIGLAMHAAPMYIAETAPSRIRGMLISLKEFFIVLGMLLGYIAGNLYVEVVSGWRYMYATSTPLCLIMGVGMCWLPSSPRWLLLCAIQGKRNLQETKEIATLCLCRLRGQASPDLVSEQVNLILEELSYIDEEKQAGFSEIFQGKCLKAMIIGCGLVFFQQVTGQPSVLYYAATIFQSAGFSGASDATRVSILLGLLKLIMTGVAVLVVDRLGRRPLLIGGVSGITFLFLLSLLHITEDASYVALSFGPIGWLMISEVFPLKLRGRGLSVAVLVNFASNALVTFAFSPLEDLIGTGLLFCGFGVIAVASLVFIFWIIPETKGLTLEEIEASL >KQK87522 pep chromosome:Setaria_italica_v2.0:IX:7772650:7774751:-1 gene:SETIT_037532mg transcript:KQK87522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQSRKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNRSLEGLQSNVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGEYMPITRGEKRSIEVVKVTDEMKESGAYKKLRLERMNKRHQGMRQKKAAEAEKEEKK >KQK87952 pep chromosome:Setaria_italica_v2.0:IX:10383514:10387867:1 gene:SETIT_035474mg transcript:KQK87952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALAKRGAGLAAALAALARGRGMCSASAPAAAGRAAALSSEELMRMERDCSAHNYHPIPMVFSKGEGSHIVDPEGNKYIDFLSAYSAVNQGHCHPKVLRALIEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKKIPKNEAMLVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLKKVFEEHGDRICGFLFEPIQGEAGVVIPPDGYLKAVRDLCSKHNILMIADEIQTGIARTGRMLACDWEGIRPDMVILGKALGAGVVPVSAVLADKDIMLCIRPGEHGSTFGGNPLASAVAVASLKVVRDECLVESKVRTGVQGPVTEGPAEIPSNHKRSAREGFA >KQK87953 pep chromosome:Setaria_italica_v2.0:IX:10383514:10387867:1 gene:SETIT_035474mg transcript:KQK87953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALAKRGAGLAAALAALARGRGMCSASAPAAAGRAAALSSEELMRMERDCSAHNYHPIPMVFSKGEGSHIVDPEGNKYIDFLSAYSAVNQGHCHPKVLRALIEQAERLTLSSRAFYNDKFPIFAEYLTSMFGYDMMLPMNTGAEGVETAIKLARKWGYEKKKIPKNEAMLVSCCGCFHGRTLGVISMSCDNDATRGFGPLVPGHLKVDFGDIDGLKKVFEEHGDRICGFLFEPIQGEAGVVIPPDGYLKAVRDLCSKHNILMIADEIQTGIARTGRMLACDWEGIRPDMVILGKALGAGVVPVSAVLADKDIMLCIRPGEHGSTFGGNPLASAVAVASLKVVRDECLVERAAKLGQEFRDQLQKVQQKFPQIIREVRGRGLLNAVDLNNDALSPASAYDICIKLKERGILAKPTHDTIIRLAPPLSISPEELAEASKALSDVLEHDLPQMQKEIKKPESEAEKPVCDRCGRDLYG >KQK89099 pep chromosome:Setaria_italica_v2.0:IX:20666900:20667370:1 gene:SETIT_040772mg transcript:KQK89099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLITSLIIFRERVMLHGTYVWNLKKKINSQNILQ >KQK92325 pep chromosome:Setaria_italica_v2.0:IX:54920261:54920584:1 gene:SETIT_038901mg transcript:KQK92325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSSTEKLVCVVVAVLAVLSPLYIDRRPAAESDDDEEEGGGSALWLPALLVTLILAINVTCFMDRRVVRFDPYWIHRVWGSSGGLLAMLMLLGFVLKCKASLFSS >KQK86393 pep chromosome:Setaria_italica_v2.0:IX:1619585:1620484:1 gene:SETIT_039417mg transcript:KQK86393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDRGFRTRDDASSIALLRSIRSGVADFSFVHRADVCAAVPEDLVANLEPVPGTDLDKGGYNAIWYLYCPKRFKNAKGDHSGHRQRSIAGGDTCWHSESSPKPVKGLDGATVCNLSYGRKEGSSRSFNRMGWCMLEYDDKHGGGGDNVLCKIYRSSSSLARAKSKPTTQRLSGCKRKATGDHAQSLPSKMSHAQMAMLESLFPAEEEQFQHNTQPLLPAKEQQFLQHNTQPLLPAEEQQFLQHNTQSLLPAEEQRFLQHNTQSLLPAEEQQFQFED >KQK89642 pep chromosome:Setaria_italica_v2.0:IX:34411412:34413044:-1 gene:SETIT_038869mg transcript:KQK89642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFVTRRSKPELVTSSRPTPHEIKHLSDLDDLRNHHEYTPVVSFFLSAGAMPEDTAKAMRVALAEALVHYYPLAGRLRELPSGKLVVDCTEEGVVFVEAEAEVRLAELGEPLVPPFPCVGELLCSDVGDPRVVLGKPLFFMQVTRFRCGGFAVGLHMIRCIADGFGWNQFIRAIADLARGETVPLILPVWERELLTARVPASIACASPVFKPLVEGSGIDDVLYSTPFDSLVHRLFHFGPGEIARLRSHVTAHLRRSCTDFEVLTAVTWRCRTAALGYEPRRNVYICFPCNARDLLHVPEGYYGNADLYCVLEVSVGRLCGGQLGRTIELVCGGKRSLLTPEYARSMVDLVASMRGRRLVFNGVYVVSDVTHLGGDGLDFGWGEWVAGGVVAPTVASFHMRCKNADGEEGVAVSMVLPGAMMERFEEELACRVLVPYMQSEPSSYFTTMSWGA >KQK89101 pep chromosome:Setaria_italica_v2.0:IX:20681655:20683592:-1 gene:SETIT_038662mg transcript:KQK89101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPSRLLALLTAGNPPPFRLLLQLHGHLLVSGLLSSSSPFAPRLVSAFALTELASPRPLLHALALLASLPSPPDSASPYNAALRALSLCQHPHLLDLHCLPLYRALLNSGSARPDHLTFPFLLKTCARVRERFYSGSAVLAHVIRLGFNSDVFVLNAAMHYWSVCGSMADARRLFDESPVRDVVSWNTLIGGYVRRGLPGEALEVFWRMVEEGTVRPDEVTMIGAVSGSAQLGDLELGKRLHEFVECNGVRCTVRLMNAVMYMYVKCGSLELAKLVFERIDTKTAVSWTTMIVGHATLGTMEDARKLFDEMPERDAFPWNALMAGYVQSKQGKEAIALFHEMQEAKVTPNEITMVNLLSACSQLGALEMGMWVHHYIERHRLSLSVALGTSLVDMYAKCGNIKKAICIFKEVPEKNALTWTAMICGLANHGHADEAIEHFRRMIELGLQPDDITFIGVLSACCHAGLVEEGREFFSLMDSKYHLKRKMKHYSCMIDLLGRAGHLDEAEKLVNTMPMDPDAVVWGALFFACRMHGNITLGEKAAMKLVELDPSDSGIYVLLANMYAEANMRKKADKVRAMMRHLGVEKVPGCSCIELNGVVHEFVVKDKSHVDTNAIYDCLHEITLQIRHTVNMIDISATGIM >KQK91462 pep chromosome:Setaria_italica_v2.0:IX:49939768:49942155:-1 gene:SETIT_034604mg transcript:KQK91462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGDGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVVPGSAEKPMIGVQFRGEEKQFSAEEISSMILNKMKETAEQYLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSSSDGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVSPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDMDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEHKKKVDAKNSLENYAYNMRNTIRDDKIASKLPEADKKKIDEAIEGAITWLDNNQLAEVDEFEDKMKELEGICNPIIAKMYQGAAGPDMAGGMAEDAPAAGGSGAGPKIEEVD >KQK88724 pep chromosome:Setaria_italica_v2.0:IX:16608454:16608953:1 gene:SETIT_038087mg transcript:KQK88724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLAPLWEAVLPSHAPPTSPLETAESITQIFSTSPPPIGHTAALAVLWVTWKARNKMVFYAHRLSPRDIARQLCEHVKLWICRAPSRLDVEPLQAYSLFLPPHVLLSPSTNPLVEF >KQK90432 pep chromosome:Setaria_italica_v2.0:IX:42669153:42670873:-1 gene:SETIT_039390mg transcript:KQK90432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPIANLSAVVEAAKAVATEMLPAAVTRDAVVEATRASAAWLMTHLWAWLAVARALAVDHLPAGAAAAAQSAAGSAVDASGPWIQTAAKLLNGVYGWLVAAVVEKLPDVAAERLLSDAAAWVMRGRGAAVYTTLALVLLAVAFLGGAVCALTCRTMKGPGLGGARVPRALFKTSPRRYATERAARKARRGTGCRLLPAGFVIALVAYLAAKFFSTICRNVDDLSAVGEPLFLAPLGDIIMDMEASWPPAPLLAAGEALMWSSRNGLPRGSSIWVSAGGAARPEYFAS >KQK92528 pep chromosome:Setaria_italica_v2.0:IX:55965500:55966489:1 gene:SETIT_039640mg transcript:KQK92528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRAWLPRHAREVPAHLAVACSLRTNWCLWFGDGALQVLDARHSDTFLEKAYGDGTLQYEVLGEHLLQEKASAMFSTVFNLKQLASPSTASVLSIGAWTSMKSETGALDVKTVVTAHAAATNGRNLAHNEAPHSTFQAMRDTASDGKIVSVRILQLLL >KQK88766 pep chromosome:Setaria_italica_v2.0:IX:17051171:17051866:1 gene:SETIT_037996mg transcript:KQK88766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWGKLWFAVALQKGGSSVRPVFGQCDYSRQVWLQVGQATDNQFPSLNSASTLIDWWLSIRRAMINAKARGLDSTIMLVCWRLWKERNGRAFATDIARTPQQLLPLIADEASLWVQSGARNLEAFGWKPPDR >KQK90456 pep chromosome:Setaria_italica_v2.0:IX:42792740:42795943:1 gene:SETIT_036772mg transcript:KQK90456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPLFPRLSPTPPPPLRARARGVGALPSTGRRRPALLAVIRAKGKDEASFTDRILDYIEGGPKLRRWYGAPDLLPKDGGAEDEEEDSPDIVEPRDAVLVTDGDSEIGQMVILALILKRARIKALVKDKRSTEEAFGTYVECMVGDMEDKSFTKKALKGVRAVISPANDGFFSAPIDLKGVEHIVLLSQLPVYRNSGGLQAIMNSKLKKLAERDEEVVLSSGIPSTIIRTGSLQSTPGGERGFDFTKGVAAKGRISKEDAATICVEALDGIPRKTLIFEVANGDEKVADWKAWLVEQIKRDEEVQ >KQK87602 pep chromosome:Setaria_italica_v2.0:IX:8153446:8154115:-1 gene:SETIT_038342mg transcript:KQK87602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCTMTDLTEEPPSPLCVKDCPGVHVIGSLLAVFDLHVLILNSFVIVLGFLQGRISCVVHVNPHNQTIVHQDCYPE >KQK89866 pep chromosome:Setaria_italica_v2.0:IX:36581105:36582082:1 gene:SETIT_039543mg transcript:KQK89866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQQTLSRRSAMIPAGAFYHPQGQPPFAIAMTAPAASYSAAVPMQALPTAWYHATVPIMQGPPPMPRPFGVTVRSVWADNLGAVRLDMGYFAAHARCVAVKVHYPGVVVHGAGGQQDPGAEKRYAVVKANVDALKPLQVGLAVCTDDGRVAAWEFNLSDFDPAADPHAAQSLLHLQSRGLNCLEHRLRGIPMEELAMLLRFSGLLGNRPGVSWVTHTGAYHLAYLMKVINGGKPLAGDMDGFLGSVRRSLGEDVYDVATMAADCPDMPVGLEHIAGKLRLPPPLSTNPLAGAGSVLALEAFLKLKPQRFRDDVTRYRGVLQGLHTI >KQK86724 pep chromosome:Setaria_italica_v2.0:IX:3457278:3457870:-1 gene:SETIT_039030mg transcript:KQK86724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKMLVLVAAALAVAFLPAFAAATEHWVGDDKGWALRFNYSTWAETKQFKVGDTLVFKYSEPSHTVVEVNGADFAACNIPEDSKAMTTGQDQVTLGAAGRRWFVCSVGAHCKNGMKVKINVLAAEEAATAEVPSTAPPPPSSPAAKVQARLAQAVLAVIAAVLVF >KQK86683 pep chromosome:Setaria_italica_v2.0:IX:3281194:3283793:1 gene:SETIT_037225mg transcript:KQK86683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGYQKAPDLEAGGSELLYPGMTESPDLRWAFVRKIYVILSVQLAMTALVSAFVVKVPAISLFFVSSNAGIALYIFLLILPFIVLCPLHYYHQKHPVNLLLLGLFTVAISFAVGMTCAFTSGKVILEAAILTAVVVISLTAYTFWAAKRGHDFNFLGPFLFAALMVLMVFSLVQIFFPLGKISVMIYGGLASLIFSGYIIYDTDNIIKRYTYDEYIWAAVSLYLDVINLFLSLLQVLRAADS >KQK87868 pep chromosome:Setaria_italica_v2.0:IX:9849267:9850044:-1 gene:SETIT_040389mg transcript:KQK87868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLRRLRASHPRLHPHGVPSQLPGPHRRVAEPEHDPSRGERAQASGKEPLLDSSGFDPSTASARDRDDAKVSAAQLMVMVPGALRFFPVKQKVMDGWESGSFLEALGERALLVSWKDFMASLMKWNETKQWKPLMIAPAVIRKYKIENEQDALRVVDLLFVPRSDGLSW >KQK86821 pep chromosome:Setaria_italica_v2.0:IX:3939680:3940219:1 gene:SETIT_038519mg transcript:KQK86821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTTEHPGVSRQHPFSSCISLSETGLIYSFPNMHSAFFFYNFFNERRT >KQK89403 pep chromosome:Setaria_italica_v2.0:IX:27708661:27711520:-1 gene:SETIT_039517mg transcript:KQK89403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLLDDDKKPVQNELFKVDQTYDYLPQDYCSQITIEASLSTDLLVKINDIFVTQAQLLCLLDLEIFLNDDVISAYICCIKDQAHLQSRDDVKFYFENPFISEMLKRDGNVGLNGDGNCITKIVLIPINIKDTHWYLAVINTQRCEIQVLDLLCWEFNRGDLTATQNLISHNWKGLQVTTWTITEQLQAPIQKDSSWNARLGRYYLILLYRFEDINHFRFKLAGILLCWKTNTTGSNQIHATEANANDVSKMGSLDGQKEPKASEPLSEETKYKSLMSVLYEMSANELISGICDYIQSITCAKTLDLTVKKLQEILKEDLPIDHDSFNLVIQKFMLDGIQMVKKTRGSISKHYLDIQFWMITDFGRHPNFRKKLDVEQLATFFHSWPSINYSVSSCKLILIPILESNGAFNLVILDQDTRNVYILDPTLLDPIYQYNPNAKYVKKLLCIAEYLAKAMSKACPGPDGMRIFSYGFMFIWKDAELHLPVLKDGYELRKQILAQLLTYKENECEDNMPAGVQDFLNCIKATQSYK >KQK88082 pep chromosome:Setaria_italica_v2.0:IX:11383989:11387195:-1 gene:SETIT_038922mg transcript:KQK88082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLSRVSEFWTTWGLRITCYLSFSAHVLLVVFARSRRHGTSRWQRGVLWLAYQTAEITATYALGDLSLSGTDDDAASPSRQQQLIAFWAPFLLLHLGGPDNITAYALEDNQLSERKCYEICAQLVGVFFTLYNYIYLGGSRALLAASVVMALLALAKYAEKACALYQGKLGNMRSSSEGMSSSHMDLFVSSSGRGVDNEQALLYAHKLFHFCKRAMFDSSVHMPADDDSSGGGYATSVKIFSLEWKGIFKVVEMELSLMYDILYTKAAVVHTWKGYLLRLISPVATAAAASLFWTWAYPEHGLEPADVRFTYIMLATAFLLDVLWLVRALGSSWTYSFLTAQPPELLWLQHEVVCSGRWRRLHRILASLDPCWLVFGKDPASYRRWSGVTGRYNLLQECTRRADGSDRVPSTSSHKFLTSLFGCQKEDVKEMLFQRIKQRLPLSKDYQTHPEDAYDMVDITTRWGHVAVRKFPDKSKLKDLELKLNFGREFQEDVLVWHIGTCIFLYCTDQPLTTGPLVKAIEVLSEYLMFLVAARPHMLPGLVLRSLFEDTFRALGQEWKKTCEAESNGRSFGARREKLAMALHEESLENQGRSRRERDGKNLLMLDGIEVGMELLKFHDEHMHQLLELVFDVWVDKLMYASTRCSRESHAKQLSRGGELTTIVWIMTRPPPSTPSRRTITPRVAPPPDAALRLHPLTPHPHLTSCLHPRGVE >KQK92756 pep chromosome:Setaria_italica_v2.0:IX:57101343:57102158:-1 gene:SETIT_038310mg transcript:KQK92756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRKLFSAVLLVLLLLVATEVGGPVGVAEARTCLSQSHKFRGPCVRRANCANVCRTEGFPDGKCRGLRRRCFCTTHCRE >KQK92719 pep chromosome:Setaria_italica_v2.0:IX:56910012:56914560:-1 gene:SETIT_035207mg transcript:KQK92719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGSSLRTGTHPEKKPPGRATEPLPPHRPSLSLNQHQSTASSAARAGAAGREVPPLKEFSLAELRAATGGFVAENIVSESGEKAPNFVYKGRLEHSRRAIAVKKFTKAAWPDAKQFAEEAKGAGKLRHCRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVANYIAEALEYCSTEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTSESVIYSFGTILLDLLSGKRIPPSRALDMIKGNNIQVLMDSHLEGNYSTEEATTLVDLASQCLQYEPRDRPNTKKLVSVLEPLQIKSEVPSYEMLGIPKYEEEVPPTPAPQPQHPLSPMGEACSRMDLTAIHQILVNTHYRDDEGTNELSFQEWTQQMRDMLEARKRGDFAFRDKDFKAAIDCYTQAQCVYPDWPTAFYMQAVALSKLNMQSDAMDMLNEASQLEEKRQKNTKS >KQK92718 pep chromosome:Setaria_italica_v2.0:IX:56910772:56914415:-1 gene:SETIT_035207mg transcript:KQK92718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGSSLRTGTHPEKKPPGRATEPLPPHRPSLSLNQHQSTASSAARAGAAGREVPPLKEFSLAELRAATGGFVAENIVSESGEKAPNFVYKGRLEHSRRAIAVKKFTKAAWPDAKQFAEEAKGAGKLRHCRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVANYIAEALEYCSTEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTSESVIYSFGTILLDLLSGKRIPPSRALDMIKGNNIQVLMDSHLEGNYSTEEATTLVDLASQCLQYEPRDRPNTKKLVSVLEPLQIKSEVPSYEMLGIPKYEEEVPPTPAPQPQHPLSPMGEACSRMDLTAIHQILVNTHYRDDEGTNELSFQEWTQQMRDMLEARKRGDFAFRDKDFKAAIDCYTQVDTRLTYIFFF >KQK92720 pep chromosome:Setaria_italica_v2.0:IX:56910308:56914415:-1 gene:SETIT_035207mg transcript:KQK92720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGSSLRTGTHPEKKPPGRATEPLPPHRPSLSLNQHQSTASSAARAGAAGREVPPLKEFSLAELRAATGGFVAENIVSESGEKAPNFVYKGRLEHSRRAIAVKKFTKAAWPDAKQFAEEAKGAGKLRHCRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVANYIAEALEYCSTEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTSESVIYSFGTILLDLLSGKRIPPSRALDMIKGNNIQVLMDSHLEGNYSTEEATTLVDLASQCLQYEPRDRPNTKKLVSVLEPLQIKSEVPSYEMLGIPKYEEEVPPTPAPQPQHPLSPMGEACSRMDLTAIHQILVNTHYRDDEGTNELSFQEWTQQMRDMLEARKRGDFAFRDKDFKAAIDCYTQFVDVGTMVSPTVYARRSLCHLMCDQPDAALRDAMQAQCVYPDWPTAFYMQAVALSKLNMQSDAMDMLNEASQLEEKRQKNTKS >KQK91574 pep chromosome:Setaria_italica_v2.0:IX:50591143:50591879:1 gene:SETIT_037979mg transcript:KQK91574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTTQPVPSVSVRAQLTAGKQGGLPGFPSLGSDCFGTTTPEGLPVPDARPLATFFFFPWAHFSFFSRTKQVKKKAFSMSLHVDFFRFAFPDEICMAGRPGTVGGVAAQHLDVHGFMLSCRGGNHFASFCSPTAV >KQK90980 pep chromosome:Setaria_italica_v2.0:IX:46970256:46973343:-1 gene:SETIT_036029mg transcript:KQK90980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATACPPLSLPSTSLLRGGGSARAGPRRRQLSAVRCSAVGEAVAEEASVVTAEEPLLVSAIRGKKVERPPVWLMRQAGRYMKSYQLLCERYPNFRERSENVDLVVEISLQPWKVFKPDGVILFSDILTPLPGMNIPFDIVKGKGPVIYDPLRTAAAVNAVREFVPEEWVPYVGQALNLLRQEVKNEAAVLGFVGAPFTLASYCVEGGSSKNFTMIKKLAFSEPTILHNLLQKFTTSMANYIKYQADNGAQAVQIFDSWATELSPTDFEEFSLPYLKQIVDSVRETHPDLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMAEGRKRLGSNIAVQGNVDPGVLFGSKEFISKRIYDTVQKAGNVGHVLNLGHGIKVGTPEENVAHFFEVAKGIRY >KQK92835 pep chromosome:Setaria_italica_v2.0:IX:57429375:57432915:-1 gene:SETIT_036375mg transcript:KQK92835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATPRASLILPRASSHSHSQPSAGGLTSDRVAASHRRRGDFVFVVNPSGANGRTGKQWKQLLPHLRTRLADQCNICECITSGPSHAIDVTREAIKDGADAVIAVGGDGTLHEVVNGFFWKGSPVCALDRGPDHSTALGLIPLGTGSDFARTFSWTNDPRDAIDRIERGVKSKLDIGVMEGPNREPHFFVNVADTHLSAKAGYFASMYKRFGNLCYVFGALRAFWGHNNQDMRIKVNGGEWRTVHKVTALCIGNAKYFGGGMKITPTADPVSGDLEVVILQDFKWYDFLLKLHRLYGGTHLSVNGVSSIRVQSIEVSEVTASGDIFVQSDGEHFGFLPTKFSVLPGAVDFFC >KQK90950 pep chromosome:Setaria_italica_v2.0:IX:46774036:46778353:-1 gene:SETIT_034237mg transcript:KQK90950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKPAMRRGKPALGPEKKRRHGPRLPTAMRRELDAMGPGGSDDEELSDAGAQDVYEYEEGVPEEEAGKNGRYDAVAKYEYEFDSDASEADEDVPSEEGEDMEEDDDGEDEEKQIRILQETTGMPREAFDGKKRKQPLELPLQHGDGPVTIHDLLDNIQGKPGYSKVRKRLQQQEKKTMIVAAPLPKVDREKLERGVANQELKRELTKWERNVKGNREAPTLFFENDANLGVNTVAAIASKFKPRSEFEKRMAEITRSSEIMEAHKNDGAKILELNKIDVEDVRERQNRLAKMRSLLFRHEMKAKRVKKIKSRTYHRMLKKDKLKVASAEFEADPEAIKDYAMKQEFKRAEERMTLKHKNTSKWAKRILKRGLSVQDEGTRAAITAQLQQNALLTRKMNSMKDDSSSEESSDDDEDENDSEAKILNRGKEKILKVLEEDKEIPNSGVFSLPFMERAMKKQEEAAYEEARQALEDYDDSLRKLEDDNTEQNEDSIKVTGKRTFGPAKNTHEDVNKRQKLHETESSDSEYDSDPAQHLGNNEPTTKQDDIQLGTALLDDEQNDLYKSFDDIMKNPGPKTTFEVGMLAGDSWKKVNSSKGNGNSNVNGVTNKSKLQAPPIVDPNPKQQGSNPDSDSEEEMVEGFLTMSDTKENYELPSQAELIRQAFAGDDVEAEFEKDKMEVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMIKEHAIAKRNREEALKRRKDSKLKHVIISEHVDKKVEKYLVRNLPFPYTSKDVYEQSIRMPIGPDFNPAISVSALNRPAIVKKPGVIIKPIQYEEVDPHMKPDEPKRVIQRVTPHPNAKKASAKQSKGATSHKRK >KQK90949 pep chromosome:Setaria_italica_v2.0:IX:46773663:46778492:-1 gene:SETIT_034237mg transcript:KQK90949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKPAMRRGKPALGPEKKRRHGPRLPTAMRRELDAMGPGGSDDEELSDAGAQDVYEYEEGVPEEEAGKNGRYDAVAKYEYEFDSDASEADEDVPSEEGEDMEEDDDGEDEEKQIRILQETTGMPREAFDGKKRKQPLELPLQHGDGPVTIHDLLDNIQGKPGYSKVRKRLQQQEKKTMIVAAPLPKVDREKLERGVANQELKRELTKWERNVKGNREAPTLFFENDANLGVNTVAAIASKFKPRSEFEKRMAEITRSSEIMEAHKNDGAKILELNKIDVEDVRERQNRLAKMRSLLFRHEMKAKRVKKIKSRTYHRMLKKDKLKVASAEFEADPEAIKDYAMKQEFKRAEERMTLKHKNTSKWAKRILKRGLSVQDEGTRAAITAQLQQNALLTRKMNSMKDDSSSEESSDDDEDENDSEAKILNRGKEKILKVLEEDKEIPNSGVFSLPFMERAMKKQEEAAYEEARQALEDYDDSLRKLEDDNTEQNEDSIKVTGKRTFGPAKNTHEDVNKRQKLHETESSDSEYDSDPAQHLGNNEPTTKQDDIQLGTALLDDEQNDLYKSFDDIMKNPGPKTTFEVGMLAGDSWKKVNSSKGNGNSNVNGVTNKSKLQAPPIVDPNPKGSNPDSDSEEEMVEGFLTMSDTKENYELPSQAELIRQAFAGDDVEAEFEKDKMEVLNEENPEPEKPALVPGWGQWTDIQQKKGLPSWMIKEHAIAKRNREEALKRRKDSKLKHVIISEHVDKKVEKYLVRNLPFPYTSKDVYEQSIRMPIGPDFNPAISVSALNRPAIVKKPGVIIKPIQYEEVDPHMKPDEPKRVIQRVTPHPNAKKASAKQSKGATSHKRK >KQK88093 pep chromosome:Setaria_italica_v2.0:IX:11466346:11467207:1 gene:SETIT_038124mg transcript:KQK88093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSATPQMLKEKRHIDQLHLWQVFFANPYEWWDNRQSKPWANYPDFKHKDTREKIWLQPDDPPWVRKQLELHDLEVAKNGHKGNGRLLKNHDWKAEDFDYDDDEVQHSAEA >KQK90838 pep chromosome:Setaria_italica_v2.0:IX:46098663:46101523:1 gene:SETIT_037371mg transcript:KQK90838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSPSLHLPCNSRTGFLGKTQGIRPRVIPAGRVGFVRTVVECKESRIGKKPIDVPSNVTLTLEEQFVKAKGPLGELSLSYPGEVKVVKEESGKLRLYKTAETKRANQMHGLFRTLTDNIIIGVSKGFDKKLQLVGVGYRAAVEGNDLVMNLGFSHPVRMAVPEGLQVKVEENTRIIVSGYDKSAIGQFAATIKKWRPPEPYKGKGIRYADEVVRRKEGKAGKKK >KQK88413 pep chromosome:Setaria_italica_v2.0:IX:14049632:14052284:1 gene:SETIT_039399mg transcript:KQK88413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSLVASLEHTIGDRSRSRSLGPDDALRLFDELIRQQRSRPGSVIAYNHLLAAAARGSNNGAARAVSLFGRMAGAGAAVPDACTYSILIASCCRAGRVDLAFSPLATALKADLRMTPKSFTPLLRGLCGERRVAVRAEAVDVARRMMPELGCAPNAFSHSAILKGLCDDGRSLEALELLRTLAQNGDETGVVSYTIVIDGLFKKTSCKGQGVLQWMVDEGVAPNCAVYDCLIRGYGTAGQWKRAVTMFKEMVGKGIKPHVSNYNSLIGALCKHRRSSEARKFLDYMLKSGEKPDVNTYGILLHGTLALVQFTMETNALCKTGRMEDAMSQFNQMIHEGVRPDCMAYTFLVEGFCTSRHFILVIRNLCSEGRLEEAHNLFNLFVSVGGKPDIVMYGTLINWYCLSRKMEKAMKLFNAFVSSGFKPDNIIYSDLINGFCKKMLSKEIKPTVISYGIILDGLFKAGRTTAAMEHYPKMIDRGVKLDIVIYNTILGGLCKNNFGDEALRLMVMDLIEDGLLEEADDMFLSMEKSGCAPDSRMLNCIVRILSEKGQVLKAGTYLSKIDEKNFPIYASTTQALISLFSDGKHEEHKKLLPEKYQYFSKD >KQK91110 pep chromosome:Setaria_italica_v2.0:IX:47864612:47865738:1 gene:SETIT_037974mg transcript:KQK91110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPLLAAATTAVSSPYVSSTARVPASPVRRRHRGVAVRCAPNGDVASASDTKSNLKVGSPIVILEAPVMLKTAASVPSLRHNSGQVKAGDVGRIMARKPKDVWAVRLAAGMYLLDGKFFRPLDADEDNEESSRGE >KQK88137 pep chromosome:Setaria_italica_v2.0:IX:11820971:11821305:1 gene:SETIT_040479mg transcript:KQK88137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNASALLLPSVSNQPSAFIIRHSDDDLREWGASADHNFEKNFK >KQK91712 pep chromosome:Setaria_italica_v2.0:IX:51405373:51408183:-1 gene:SETIT_036351mg transcript:KQK91712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSAHVALCSFALLLLLPAAWSATFTMTNNCGYTVWPGLLSGAGTAPLPTTGFALAHGASATVDAPASWSGRMWARTLCATDATTGKFTCATGDCGSGSVQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNVPMLIVPQGAGAAAGGGSTNGSADGGKCMATGCLVDLNGACPADLRVMATAAATGAGGPVACRSACEAFGTPQYCCSGAYGNPNTCKPSTYSQFFKTACPRAYSYAYDDSTSTFTCAAGTSYAITFCPSTTSGKYSGENPQAAGVQPTNGSMVYLGGEQLATGDAHVGAARSSLLIFAAATLAAVALL >KQK91711 pep chromosome:Setaria_italica_v2.0:IX:51405373:51408040:-1 gene:SETIT_036351mg transcript:KQK91711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSAHLLCSSCFQVSARSLLLFRSFALSISCFLAVFVICAESSSNFSALAAAWSATFTMTNNCGYTVWPGLLSGAGTAPLPTTGFALAHGASATVDAPASWSGRMWARTLCATDATTGKFTCATGDCGSGSVQCNGGGAAPPATLAEFTLDGSGGLDFFDVSLVDGYNVPMLIVPQGAGAAAGGGSTNGSADGGKCMATGCLVDLNGACPADLRVMATAAATGAGGPVACRSACEAFGTPQYCCSGAYGNPNTCKPSTYSQFFKTACPRAYSYAYDDSTSTFTCAAGTSYAITFCPSTTSGKYSGENPQAAGVQPTNGSMVYLGGEQLATGDAHVGAARSSLLIFAAATLAAVALL >KQK90901 pep chromosome:Setaria_italica_v2.0:IX:46477077:46478118:-1 gene:SETIT_0401261mg transcript:KQK90901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMLSNRLAPLLSKRCFSSLQTSSPFSSLSPIQPWLFIGLGNPGEKYQSTRHNVGFDMIDAFAESQGIPLTTHYFKALFGEGMVDGVPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRLQPKGGFGRHN >KQK89265 pep chromosome:Setaria_italica_v2.0:IX:22774658:22778286:-1 gene:SETIT_039382mg transcript:KQK89265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRTADSAITGTGGKWTPLQHVSPGTMAMPGPERTYLHPGEERVHEKMSMRCECKAFVKIKWNQKKDYWFFERIRLEHNHPLHPSLTITQFLRIQKDKDPIVMGIVDQMHRCDASHNTTVNVLAELYGGRQNFTFTEMDLRNRKATTTREERENDIPKLLEFFREMKAQNEYFYYELQDEQANTFEWLFGAFKNCMSGSRDPRCILIVICNLKYLIKLHTIINHPLTPTEFEPAWNKLVDEYGIWEDDTIQGLWERRKLWVAAYLKPLMTSTQRSESVNKMIKGSSFTGHMTCMSKFARRMLDFIQHTNHIAGGETHWSQSVHTSLITKYRETYIYSTAFHIDPHPNEVDVYLVTHTNQSWQYAWFQHSFRVEADVRSDYIIKRYTRGARTMVTWDRHDIVTSIPGYESDQYKTKKLVEITMAAVRACRKTSLGFKKGCEYWFKKGCLHWLSGVNTGASHVGDHTEEQSDVIPHIIGEPAASWAEQDAAVESAVQISECAPREARTKGRKRGRKQVVNEHASSNKAQGQQTCGYCGSLGHYSTGCDLNPDNINKKRGASGSLRGKMGWKEGENTH >KQK92884 pep chromosome:Setaria_italica_v2.0:IX:57681031:57684829:1 gene:SETIT_036556mg transcript:KQK92884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARGSRERAARLLRLWVVVMAAAAGARAQLQVGFYDMLCPAAEIIVQEEVSKAVSGNPGVAASLVRLHFHDCFVRGCDASVLLDSTPGNQAEKDASPNTSLRGFEVIDSAKTRLEQACYGVVSCADVLAFAARDALALVGGNAYQVPSGRRDGNVSVAQETSGNLPPPTASVSQLNQIFGSKGLTQADMVALSGAHTIGNAHCSSFDSRLYAYGPNAGQDPSMDPSYLAALTQQCPNQSGGGPDGTVAMDPVTPTAFDTNYYASIVAKRGLLASDQALLADPTTAAQVVAYTNSPDTFQADFAAAMVKMGAIGVLTGTAGTIRTNCRVAS >KQK89064 pep chromosome:Setaria_italica_v2.0:IX:20139118:20143881:-1 gene:SETIT_040267mg transcript:KQK89064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAGGVTRAGHLPRQEDRREGDEGSVESEEEEEVESDDEGSEASSPSDLGEPDAGSDEDPTFDPDADGDLEVESVLRSRMSRMSISASARKGRKGAAVLKMGKEEIDLLAMVDRLKQDDQLEKLKVYECKAYLRMHKLRLTGKKEVLLNRIREHIEVKNNGEEKYPVSNFVLNCKGDACKGDVVIFEQNIYRRKKGAPREVKGRLCGQRTNAGRIIKESYGTAKQQHTFTIEILWSKGYKPWPPLHPLLIKGRNLYKDKTMRQPWPDEEERNRVIQEKHERGDVARKSRAARIHEKEIEKLSRLKRNRMKDKIKEQQNMNQKQPQELKQKVESTNTVQQRVGERKGPSPQNGEPGNTRQQHISSKAISTHHNEVFPQMGATRNFQQEFNGNQVSSNQHGGPQPFSEPTHTQQMFKDSHHHHSYQQRNEVLSQEVAMITSRKAFLGRQAPSQHNGGSGSTKHHPISSKPTPSTFKYPQQPPKHQNHNEEDGPKRTYREHHHQNNADHSTEYDESSFHPQGKFTQHASPYQHGSNFHQNAPGSHQAHQPQRPRNQHQSKAYYATKYNDSFQPQGKSTHHANAYQHSSSSHQYAQANHRVHQPLRPRNQDFNSSDPSYGQDYHHQGCHDYRGITRGQYHPQQSQNQNYYSRRPMTQDQYLTQQNHHPNYNDHRKTNQKQYHPRQNQPQQFLEQQPQPRPCRYYYKQGWCPYGEGCWYSHDI >KQK87874 pep chromosome:Setaria_italica_v2.0:IX:9887831:9891542:1 gene:SETIT_036684mg transcript:KQK87874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEGRSRGGGGGADGVMKGRRDASRRRLSGGVSVRRRVRAVDATAPAPPTTTPLQRLLAACRRAFGGPGTVPAPDDVALIRGIIDKMGPEDVHLSAVTKAAAACGVQRRRRRPIITRTTIYECTNFSVVVFLLPPGAVIPLHDHRGMTVFSKLLLGSLHVTSYDWATGAGAGAGADDDPPVVAGAPAAPGAPPALTTRLARLVLDADLRAPCGTLVLFPESGGNMHRFAASTACAVLDVLGPPYSGDRDCTYYQDLPYCPQSHRLLAGADDEEAAGVDDTARAADEQRRRLGWLVETGKPRELEMYEVPYKGPPIL >KQK91105 pep chromosome:Setaria_italica_v2.0:IX:47802339:47805354:-1 gene:SETIT_037601mg transcript:KQK91105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAMGFAEALQAYTGLTPGAAATILALMLATYLLVSSLFVAPAAPSPAAPPKQREEKEQEGEKDPMPFVYPDPVEVGEVTLEQLRAYDGKDPAKQILIAIRGQVYDVSRGRLFYGPQGPYSLFAGRDATRALALMSFDPNDLTGDLDGLSPDELEVLQDWEEKFKERYPRVGHLAGQDAAGSDRIAAQPDHGEEDA >KQK87012 pep chromosome:Setaria_italica_v2.0:IX:5070158:5070436:-1 gene:SETIT_038277mg transcript:KQK87012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGGIIDDLRKSNTTSIASSEPDANSKP >KQK87013 pep chromosome:Setaria_italica_v2.0:IX:5069016:5071344:-1 gene:SETIT_038277mg transcript:KQK87013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGILVCHWVRHCSYLSQISAMMQKKLTLLQTVAAAGVFSAVSCWYGFMFGRESARRELGGIIDDLRKSNTTSIASSEPDANSKP >KQK90109 pep chromosome:Setaria_italica_v2.0:IX:39742518:39743540:1 gene:SETIT_039529mg transcript:KQK90109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVVQVFGQPASTDVARVMACLLERKLEFELVRTDAFRRGHKLPELVKMRAHSRDICRYVCTEFPRWCTRGLYGAGALERASIEKWLQAEAQSFDAPSAALAFHLAFAPRPVGVPPPSSPAAATDGYDGGGEEEARRAAAVAESERRLLRVLDVYDDALGRSAYLAGDEFTLADLSHLPSAHRVARSARGRALLASRGNVARWYAAIAARPAWRQVVMVQGRSARGPRAFVAPEEATAPTVAAASEICVQTI >KQK90873 pep chromosome:Setaria_italica_v2.0:IX:46290827:46291128:1 gene:SETIT_040609mg transcript:KQK90873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPVALSIFRPIRRDSLFAVNLTCCNAM >KQK88325 pep chromosome:Setaria_italica_v2.0:IX:13189564:13193687:1 gene:SETIT_040043mg transcript:KQK88325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSGFCPATRTLRSLRPPEDAPVSFPSFALSRLPSPLPAHPAFADASTGAELSFAVLPARVRSLATALRGALGVAKGNVALVLAPPSLDVPVVYLAVLSLGATVSSVSPLSTATDVARAVGLCNPSVVFATAATVGKVPAPAGRKLTVILLDSPQFESFLHGQESGEDGPAVEVRQSDVAAINYSSGTTGRTKAVAQSHRRLIASALQFRSAQPRTPGGPVVTLLGVPMSPSYGFHMLMRGVLKAETTVVVTAPCGGAAGMASVAAMCRATQMFVAPPVVVAMAPGGVGQEGFPDLVRIDCGGAPLSTVAASAFQERFPGIELSLLMAQLRGGLMAKMIGHEESCRVKSTGYVGGNEANVPAFDSDGWLKAGDHCYFDEDGFLYIVDRLKEVIKYKAYQLEDMLHLIPGLLDVAVVPYPDQEAGQLPMAFVVRQKGSNLTEDQIIKFVAKQ >KQK89790 pep chromosome:Setaria_italica_v2.0:IX:35982185:35985626:1 gene:SETIT_037741mg transcript:KQK89790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTNAQRQVERTGRSGTPRNQYLQDLVTQFQNATDEESKEKIAANLANFAYDPFNYAFMRQLNVLELFLDCITEPNERLVEFGIGGICNSCVDPANASVIVQCGGIPLVIQCLSSPVRNTVTYALGALYYLCNPSTKKEILKPDVVRIIREYAAVGAINTSFSNMANAFLEKHVDS >KQK90712 pep chromosome:Setaria_italica_v2.0:IX:45269843:45272593:-1 gene:SETIT_035574mg transcript:KQK90712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKRWQGCVFWLAFLLCSASGIMIPSENSPSEFAKIVQSKQTKQARVCGADPELLRSLAGSDAEVMLTIPNEQLEHIAEFPEEADLWIITYVARFLPAARITHVVAGDDVLTNSPGDAYFLVPAMLNLRSALAAAGLDGRVKVSSAVSAETLTAPAWSGVAGHVLRFLSSAGAPLFLKSPSSEASDAKADAAYGAMRALGVPGVPVIAADLGATGGVEAAPYYYYSYPGGKSSERGKRRSLATGTFCVALQNADPAALQAGLNWACGSGHADCSAIQPGGPCYSQNNLPALASYAYNDYYQKMSSTGATCSFNGTATTTNNDPSSGSCVFAGSSTAGGSNSSTPVGASPPTSLAPPTGFTPPVGSSPPSSDFSPPAVGTTPPSGFAPPAGGGFAPPAGGGFAPPDGGFGTPPGFGPPGSFNGSGSFGPSGTLNPYGGARGAMSSGAGLTALSAVAVAVLLVP >KQK90713 pep chromosome:Setaria_italica_v2.0:IX:45269559:45275073:-1 gene:SETIT_035574mg transcript:KQK90713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKRWQGCVFWLAFLLCSASGIMIPSENSPSEFAKIVQSKQTKQARVCGADPELLRSLAGSDAEVMLTIPNEQLEHIAEFPEEADLWIITYVARFLPAARITHVVAGDDVLTNSPGDAYFLVPAMLNLRSALAAAGLDGRVKVSSAVSAETLTAPAWSGVAGHVLRFLSSAGAPLFLKSPSSEASDAKADAAYGAMRALGVPGVPVIAADLGATGGVEAAPYYYYSYPGGKSSERGKRRSLATGTFCVALQNADPAALQAGLNWACGSGHADCSAIQPGGPCYSQNNLPALASYAYNDYYQKMSSTGATCSFNGTATTTNNDPSSGSCVFAGSSTAGGSNSSTPVGASPPTSLAPPTGFTPPVGSSPPSSDFSPPAVGTTPPSGFAPPAGGGFAPPAGGGFAPPDGGFGTPPGFGPPGSFNGSGSFGPSGTLNPYGGARGAMSSGAGLTALSAVAVAVLLVP >KQK90242 pep chromosome:Setaria_italica_v2.0:IX:40919144:40919741:1 gene:SETIT_038951mg transcript:KQK90242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEANKHLCGKAFTFYDELTTLFGSTDSEHGPMLCVGGIGDRTPSGESEGNLDPMAEENIAWSEDNIGRSSVGRVSQRLGKVHVVDSPLPKKSKSMEYYVKHISESMIQRTINERNAISREEEEVMEMLHLVEQGGVPNGSELYFIATELFR >KQK92789 pep chromosome:Setaria_italica_v2.0:IX:57237746:57241239:1 gene:SETIT_035775mg transcript:KQK92789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPMPMERKQASYSNLDERYGIQGEKYQGQQYSHIYFTRLHHMRNLLHALVPSWKPQLPVTTVLGLEEGKDCIIVGTLYKHMKLKPSILDEYSKERSAIPLVKPHNFMHPDDHLVLEDESGRVTLAGAIPPAAFVTGVVVALHGKETSAGNFLVEDVLEAGLPPQTALSSADEDKYVVFVSGLSVGSGTFNPLQFQLLIDHITGHLGDENEQTIASNIVRVVVAGNSVHIAPRFVNGQTVASKDQSRIAEPIKELDIMLTQLVASLPVDVMPGCQDPANFSLPQQPLHRCLFSGASTYNTFSSCSNPHQFELDSVQFLGTSGQNIDDLYKYSDAKDKLEFMERTLRWRHLAPTAPNSLGCYPYTDKDPFLIESCPHVYFVGNQDKYETRLLEGPEKQKVRLICIPRFSESGVAVMLNLRNLECSTLSFSTSFDA >KQK86922 pep chromosome:Setaria_italica_v2.0:IX:4509089:4510292:1 gene:SETIT_036954mg transcript:KQK86922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAAPIYAGWAPPAAATMLAATNLSPRLRQLPTSPARANVSLCPQHHGNGRRARNADLRCRRRLLTARGERPDDDDDEDDQDPSATGGGFDYAVALFNRGEFHACHDVVEELWYGAEDPARTLLHAILQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLNLGGGDDDDPFSRFRDDVAAVLQFLYRTQKELAACTDDLCLTMDGSPSSYQLLGNFAAGQQLYRLQADDTHEDGASTIVFSVPDHPASRAVPSCRVKLPTLHATEQNLTELQRAYQYI >KQK89172 pep chromosome:Setaria_italica_v2.0:IX:21516770:21522346:-1 gene:SETIT_034736mg transcript:KQK89172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVVRVHLPSEIPIVGCEITPYVLLRRPDGAVSTDDVPETAPNDGQYMRYRWYRIQSDRKVPICSVHPMEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHKVLHERASSALNENGAEEEELFGRFGSGGSGVLSTAGSGSLSNFGQSPAVNNGPVPLYASGTDKNSGETWFEVGRSRTYTPTADDIGHALKFECVAVDAEKRSPMGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLGHLDLDSQTSSSGTFTVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLLREIIGYHADIICLQEVQLNHFEDFFAPELDKHGYQALYKKRTTEVYSGNPMAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQKRVALSRLIKDNIALIAVLEAKFGNHGAENPGKRQLLCVANTHINVHQDLKDVKLWEVHTLLKGLEKIAVSADIPMLVCGDFNSTPGSSPHGLLAVGKVDQLHPDLAIDPLGILRPPSKLNHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTGLCMAPHHRISDSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >KQK89170 pep chromosome:Setaria_italica_v2.0:IX:21516041:21522714:-1 gene:SETIT_034736mg transcript:KQK89170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVVRVHLPSEIPIVGCEITPYVLLRRPDGAVSTDDVPETAPNDGQYMRYRWYRIQSDRKVPICSVHPMEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHKVLHERASSALNENGAEEEELFGRFGSGGSGVLSTAGSGSLSNFGQSPAVNNGPVPLYASGTDKNSGETWFEVGRSRTYTPTADDIGHALKFECVAVDAEKRSPMGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLGHLDLDSQTSSSGTFTVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLLREIIGYHADIICLQEVQLNHFEDFFAPELDKHGYQALYKKRTTEVYSGNPMAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQKRVALSRLIKDNIALIAVLEAKFGNHGAENPGKRQLLCVANTHINVHQDLKDVKLWEVHTLLKGLEKIAVSADIPMLVCGDFNSTPGSSPHGLLAVGKVDQLHPDLAIDPLGILRPPSKLNHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >KQK89173 pep chromosome:Setaria_italica_v2.0:IX:21516041:21522714:-1 gene:SETIT_034736mg transcript:KQK89173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVVRVHLPSEIPIVGCEITPYVLLRRPDGAVSTDDVPETAPNDGQYMRYRWYRIQSDRKVPICSVHPMEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHKVLHERASSALNENGAEEEELFGRFGSGGSGVLSTAGSGSLSNFGQSPAVNNGPVPLYASGTDKNSGETWFEVGRSRTYTPTADDIGHALKFECVAVDAEKRSPMGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLGHLDLDSQTSSSGTFTVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLLREIIGYHADIICLQEVQLNHFEDFFAPELDKHGYQALYKKRTTEVYSGNPMAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQKRVALSRLIKDNIALIAVLEAKFGNHGAENPGKRQLLCVANTHINVHQDLKDVKLWEVHTLLKGLEKIAVSADIPMLVCGDFNSTPGSSPHGLLAVGKVDQLHPDLAIDPLGILRPPSKLNHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >KQK89171 pep chromosome:Setaria_italica_v2.0:IX:21516526:21522714:-1 gene:SETIT_034736mg transcript:KQK89171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVVRVHLPSEIPIVGCEITPYVLLRRPDGAVSTDDVPETAPNDGQYMRYRWYRIQSDRKVPICSVHPMEQATIQCLGCLKSKIPVAKSYHCSAKCFSDAWQHHKVLHERASSALNENGAEEEELFGRFGSGGSGVLSTAGSGSLSNFGQSPAVNNGPVPLYASGTDKNSGETWFEVGRSRTYTPTADDIGHALKFECVAVDAEKRSPMGPPTSIMTSRVIPAPTPTPRRLIQVNGDVLGHLDLDSQTSSSGTFTVLSYNILADAYATSDAYSYCPTWALSWTYRRQNLLREIIGYHADIICLQEVQLNHFEDFFAPELDKHGYQALYKKRTTEVYSGNPMAIDGCATFFRRDKFSHVKKYEVEFNKAAQSLTDAIIPAAQKRVALSRLIKDNIALIAVLEAKFGNHGAENPGKRQLLCVANTHINVHQDLKDVKLWEVHTLLKGLEKIAVSADIPMLVCGDFNSTPGSSPHGLLAVGKVDQLHPDLAIDPLGILRPPSKLNHQLPLVSAYSSFARMVGVGYDLEHQRRRMDPATNEPLFTNCTRDFTGTVDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRIRR >KQK91443 pep chromosome:Setaria_italica_v2.0:IX:49828646:49836272:-1 gene:SETIT_034123mg transcript:KQK91443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPPESASTAPGEFIGRVRDLLPFLLHVPVTYRFVKKDAVLEGFVTDGGYACACPADASCGYRGKVLSALQFEKHAGAESKNQNGHIFLSNGTSLYALFQALRDVPAEAFAEEFEAAAGVPMTMPAAEASPAPQGPRQGHQPGAPASWEPNGVRVDGATAEPPSAPAPARRDVEMLTEEEKASLCLLGLRESCSTTQSDLMHGIEGPAAEQIEDAAGGDHVMPDAKEIRNGAGEQRPRYSSLSTITPVKVRVTETKYQLDSYLKDVRGLLSTGLLEGFKVTYKKDEVEKIGRISGQGYSCGCSECNYSSNVMNACEFEQHSGQSSNNQNDHIFLETGISLFKVVKALKHYRLNMLGEFFEDTIGFPPNMDEYNKWKASFQKRKDYSDAVASDGSSTQSSRELTVGEMISSLKESAGNSISNLNWSASKRRSDRQFKRGGTETSTPVLSGSEDKGVSGLSTGTSKKNGTEETLSENTAGPLSTNGVKPDSPEPTTIIPDYSKHDPISLGLSLPSSATISQEPLPNCNIDSKSKETKSRDTTLHPLIFKEGGLPDNNLLTYKLKNGEALKQGYKRGTGIVCNCCNQEFTPSHFEEHAGMGRRRQPYRNIYTSEGVTLHKLALQLQDRLNSNEFGNANVSSFSDYPNLTSSGCGKEPSTTSGPIIPLKRTLQEIVVETEMCYFCGDGRTTLGNIDPDTIVFCNQCERPCHIRCYNDRIGKKKVPLEILKEYTRFCFLCCEKCRLLRDHLDEGLEKCEEIAFLRRIRSNICWRLLSGMNASSELYMPQVIDILKDAFAETAEHSGVFSDMVYAKNVEGETDFRGMYCAVLTASTHVVSAAILKVRMEQVAELVLIATRSECRKKGYFILLLKSIEEHLRAWKVNLLTAPVDPEMAPIWSEKLGFTILSDEEKKLMMDQSCPSVMFENLVLMQKSLA >KQK91442 pep chromosome:Setaria_italica_v2.0:IX:49828185:49836272:-1 gene:SETIT_034123mg transcript:KQK91442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPPESASTAPGEFIGRVRDLLPFLLHVPVTYRFVKKDAVLEGFVTDGGYACACPADASCGYRGKVLSALQFEKHAGAESKNQNGHIFLSNGTSLYALFQALRDVPAEAFAEEFEAAAGVPMTMPAAEASPAPQGPRQGHQPGAPASWEPNGVRVDGATAEPPSAPAPARRDVEMLTEEEKASLCLLGLRESCSTTQSDLMHGIEGPAAEQIEDAAGGDHVMPDAKEIRNGAGEQRPRYSSLSTITPVKVRVTETKYQLDSYLKDVRGLLSTGLLEGFKVTYKKDEVEKIGRISGQGYSCGCSECNYSSNVMNACEFEQHSGQSSNNQNDHIFLETGISLFKVVKALKHYRLNMLGEFFEDTIGFPPNMDEYNKWKASFQKRKDYSDAVASDGSSTQSSRELTVGEMISSLKESAGNSISNLNWSASKRRSDRQFKRGGTETSTPVLSGSEDKGVSGLSTGTSKKNGTEETLSENTAGPLSTNATISQEPLPNCNIDSKSKETKSRDTTLHPLIFKEGGLPDNNLLTYKLKNGEALKQGYKRGTGIVCNCCNQEFTPSHFEEHAGMGRRRQPYRNIYTSEGVTLHKLALQLQDRLNSNEFGNANVSSFSDYPNLTSSGCGKEPSTTSGPIIPLKRTLQEIVVETEMCYFCGDGRTTLGNIDPDTIVFCNQCERPCHIRCYNDRIGKKKVPLEILKEYTRFCFLCCEKCRLLRDHLDEGLEKCEEIAFLRRIRSNICWRLLSGMNASSELYMPQVIDILKDAFAETAEHSGVFSDMVYAKNVEGETDFRGMYCAVLTASTHVVSAAILKVRMEQVAELVLIATRRLLYTSPKVYRGAFESLESKPSYGTC >KQK88370 pep chromosome:Setaria_italica_v2.0:IX:13631457:13633117:-1 gene:SETIT_039508mg transcript:KQK88370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPVSVCMIGGDGETSYAKNSAMHEAISHLCAAAMPRCLPVADLGCSSGPNALALASAAVDAVRRHQPSVAEQRCREISVYLNDLPNNDFNTVFKDVPPFLREHEEAESGGEGPLVMVFGAPGSFYGRLFPAETLHLVCSSFSLHWLSQVNLQLVFRKNWWMDYGVLINKGSVSAGRTSSPAVNAAYTRQFEQDFKRFLAAGAEEVVPGGWMVLSLCDRYPEFIAEILQDMASQGVLAAAKVDSFNEPFYSPCLEELRGAVEQEGSFEILGLERHGILERGPRGARPWRGSCACWTSGLLVQHFRVEGIGDAYGRAAEERFMGPAAEEDTTVVVLVVSLRRRK >KQK89708 pep chromosome:Setaria_italica_v2.0:IX:35251318:35252632:-1 gene:SETIT_038780mg transcript:KQK89708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELHIGIWPPELEEGDEEKKTSRVSSAWSREQFSICPQGADEEVVERHARVWLWHFVSDFLPTDAAGNTMSWMVLPLLGQNWDNIRGYSWGSAVLAWLYKQLCDACRRTAKDANLGGCAYLLQIWIWERISYAEPVHGPPARRYKFYTNELDCVTQTQRAGPYKEYLRWYYGATRTRIKQGWMIDLVENPPSDDSDDIANEYDTMTRLGTQAGVHLCMTTL >KQK90141 pep chromosome:Setaria_italica_v2.0:IX:40128750:40131634:-1 gene:SETIT_034274mg transcript:KQK90141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGGDGAPPPASPGTSLSDYLDRPNAIHRRAASLAIVRSGAGAGDDGPRVADGPGREDRRTQSTRRVSLSLWRPRAPAAETAAADSRTPEGGKGRGPAAWRSWRPVRALAHLGKRRAGCLFSVEVDAVRGVPASMEGFRLAVTVRKAETRDGAVQTMPCRVRGGAADFDETLFVRCNLYFTGGAGTGKPLKLEPRRFVVSVVAIEARGARLGAHTVDVSDLVLDSIKKIGSEGRRVRWFDKAFALSGKAAGGELLLKLGFQLMEDAGLSLYAQAEEKTADVSPASSRARAHNKNSFSISSTPRLSPSDPSISPSMRAYKQLVDRLRIEENGDPVRSVMIPRKPGDDELSASTTDAGDVYSLPEYEVVEKGVETVKEVVHYQAQRDVLRELDSIAEQIEAIEAMMANGGKKSPKPVDRQQQRLDADEEMVTVEFLRKLEADGDTKKLKQPVTPRSQSPSPRKAAAPPVVPDLGRGIGPAVQTRDGGFLVSMNPFDLPLASRDGPPKLAMQVSRPFVLPGAMAATGFDVLQKMAAAGGADVVRGKLASLGGMDNITGKTPEQVGFEGIAEAVIGGRRTEGASSSAGRSVQLVRKLATALSEGRSERVATGIWSAGDDPETLEEVLAFSLQKLEAMAVDALAVQAEMADEDAPFEVAAATGDASVFDSLVPSDEWSESGGSDGRVTLVVAIQVRDPSRRYEAVGAPMVAVVQSARLLGAAGHGAGRFKVRSLHVGGVQMRCAPSGAGGSASWGAERQKLTAMQWMVAHGPGRAGKRATTPTARARAKVQRADVVWSLSSRVLAGMWLKTVRNPDVRIGASST >KQK89077 pep chromosome:Setaria_italica_v2.0:IX:20302307:20305709:-1 gene:SETIT_040283mg transcript:KQK89077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAVGNIVRRVLHIIKEEDISSTAVGIEGLSVTVDSDDEFDSEHDDRPALSAAVLAAHARNALRAPSLQTLLEDIPVTPAISRTASSTGDSDGKSKSAGDKSSTRKLKHDVIAAIGDLIDEIDSCYEPISEQAVELIHQNEVILTLGRSRTVKEFLYAAKEKKRSFRVFVAEGAPRYQGHVLAKELVEKGVQTTVIIDSAVFAMISRVNMVIVGAHAIMANGGVIAPVGMNMVALAAQRHAVPFVVVAGSHKLCPLYPHNPEVLLNELKSPSDLLDFGEFSDCMNFSTQDGSPLLNVVNPTFDYVPPKLVSLFVTDTGGHSPSYMYRLIAEYYSADDLVVQRKSTA >KQK88502 pep chromosome:Setaria_italica_v2.0:IX:14712865:14717484:1 gene:SETIT_034105mg transcript:KQK88502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPTPTPTPTPLPPPPAARPARYDFLNSKPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSASTAAAPAVGRGRGKPPGEDDGDDDGGDEEKGYDENQKFDEFEGNDAGLFSNADYDDDDREADAVWESIDQRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLADLSAQEWESIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGTETPWAQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEIAGKLQAARQLIQRGCEECPKNEDVWLEACRLASPDEAKAVIARGVMSIPNSVKLWMQAAKLETSDLNKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLHRAVECCPLHVELWLALARLETYDQARKVLNKAREKLPKEPAIWITAAKLEEANGNTQSVSKVIERGIRSLQREGMDIDREAWLKEAEAAERAGSVLTCQAIVKNTIGIGVDDEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRDSLDALLKKAVNYNPRAEVLWLMAAKEKWLAGDVPAARAILQEAYAAIPNSEEIWLAAFKLEFENNEPERARMLLAKARERGGTERVWMKSAIVERELGNVGEERRLLEEGLKLFPSFFKLWLMLGQMEDRLGHGAKAKEVYENGLKNCPSCIPLWLSLASLEEKISGLSKSRAILTMARKKNPAQPELWLAAIRAELRHANKKEADALLAKALQECPTSGILWAAAIEMAPRPQRKGKSTDAIKRCDHDPHVIATVSKLFWLDRKVDKARIWFNRAVTLAPDIGDFWALYYKFELQHGNAETQKDVLKRCVAAEPKHGEKWQAISKAVENSHQPVEALLKKAVVALDADETLNAGGA >KQK93114 pep chromosome:Setaria_italica_v2.0:IX:58839971:58845929:-1 gene:SETIT_038862mg transcript:KQK93114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLATSITRNTGEVLSSSGQNPTDSTSENDVYYGEDEDNMISSSKQEQVHRAASISSQFPQRNKHLRYRTRVFAAECVSHVPIAVGAEPAHFDLLLARSAIAKGTYLSNDWLVLKLQELVSLSYQISTGQFEGMQPIGVKLLCLIMDKFGMAVDPEFPGHILLEQFQAQLVSAVRTAISTASGPLLLEAGLELATRVMTSSVIGGDRVALNRLFSLISRPLSDIEGLFYPSFADWVVCKIKVRLLTAHAAVKCYTYQFLRMKENAPDEYQQLAPSLVNSSTVLGKYWIGVLKDYVSISFGLHSKINYKPFLDGIQSLLVSSKVQKYLEEVWALILQATALDAAPMEFDMNKSDGLLEQTFISGHCMVKLDRTEFEFLWGLSILVLFHARQSVENSTLKISLDFRHDKNFGGFIVHGLDDKKPCDQVLPVLLSLTTEVFFSNNFLSVDICQELLQALTYADCSSAPIIRLFTQIIRLCPDSFFEVEAFVSAALELFSRYLSMILQCRGGSSQKHPSNTLISELSIASETMACRMKGEHLWKLMILLVSTSQQSFQQVSTNLCLSNIISFLQNILPLMRKCFTGLTKLICSHFSLNTQESCSMLIIALRRNLHRVQHTCTLANCSQSLP >KQK88324 pep chromosome:Setaria_italica_v2.0:IX:13169073:13187039:1 gene:SETIT_033846mg transcript:KQK88324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPEPVVLFAQTILHSQLDEYVDEVLFSEPVVITACEFLEQNAAPSTPNISLVGATSPPSFALEVFVHCDGESRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVLRGTYRSLTLVIYGNTAEDLGQFNIELGLDHSLANIVSSPSEGKLEDLPPALYSSKLSFEESLSSLKPFHFQATDVDLSIEAKKVLHLTLKMYQMSAVENLIPNLRSAVISAISKYVTASTNYILRTWNQDLTNAFTKSDSDSQEIDKILTDASNELSEIWKNVHAVADSNDNDFAIGVDEELPTTKILVELFNRCFPYYKNISLLDLQCPSQSAVVKVISDLPTDKLSIDGVPFLISASVELAELSKLIIFCGPIEDPSPVATARRIFKSEHLEGLLSYKATIGLITSSKYSFLQFDTDPYLLSLIQERSFFPLSAALLSSPILHLASGPAAEILMEIASSIESIILSLLFCRSGLSFLLSQPEATELIVLSLQDAENMNKTECITLRQAFVLLSKGFFCRPQEVGMITELHLKVGSAANRILSVPLNSDELLWVLWELCAISRSDSGRQALLALGYFPEAISVLLKSLSSYKDLESVMAKNGGSPLGLAIFHSAAEILEVLVADSTASSLKSWIGFAVDLHKALHSSSPGSNRKDAPTRLLEWIDAGVVYQRNGARGLLRYSAILASGGDAHLSSGNVLVSDSMDVENVVADSNSNSDGQVIDNLLGKLVADKYFDGVALCSTSVVQLTTAFRILAFISDDKAVASSLFEEGAINVIYIVLMNCKSMLERLSNSYDYLVDEGAELSSTTELLLDRTHEQAIVDLMIPSLVLLINLLHTLRETKEQYRNKKLLSSLLQLHREVSPRLAECAADLSFMFPTFAVGFGVVCHLITSAVACWPLYNWAPGLFHYLLENVEATNASVPLGPKAAFSLLCLLGDLFPDEGIWLWKRELPSLSAIRSLSTSTVLGPQVEKEVNWYLQPEHVAILLVRLMPQLDRLARIIDNFATSALMVIQDTLRIFIVRVALEKIECAVVLLRPIFIWLDDKVDETSLSEREVFKVHQLLQFIVKLSEHPNGKALLWKMGVARILRKSLQNCSSASFSDDMTFGRASSTNDLMFKWRIPLFKSLAYIFSTDPPNNEKTIAEEPLSEESVHECSSIMHHLLMFCQVLPVGREMLACSLAFKELASSYTCRSAVALIFSQIHTSNQDVLEKDESEANHNSSTVDNWRCFSSLFKCWKKLTKYIGSNQPTDYLVETIYSLTLGAIALSQYGENLEGLLILRYLFGLPSDPSGSLESSGESLNEIALFMKTFEEKICQGFENSKTSVGKSLLRQVLNSTTLLHSILESSGLSTDSIQMVLEEGTDSLSKVARSVVMTAHLMPSLVDMPVNDESPFLFPWKVIVDSEEPIDCQEGEFAKRLVWELPDSSLDRQVMHGQSARRKLALGENASRRVRDNQVPELTGQFSRGLNTTNASSGHNTRRDTFRQRKPNTSRPPSMHVDDYVARERNIDGASSASNIVNSTPRGTLSGRPPSIHVDEFMARQRERQNPVPAPTGDAPQVKSQTSLDDNLHAKPENTRQPKADLDDDQEIEIVFDEESGSDDKLPFPQPDDSLQSPPVIIGENSPGPIVEETENQENERIPFSQRVTSLQKDDESPGVDISSQTAMLSEANDPLERKYLVPSPEKNSFRDRVSPSSLSGRSSAQAPHQQSSRSRYEKRSPQKFSETSVSSGSRGHEHRHSNNHPPLPPMPPPISSMPTQNPDLVNRQSSSYGSRDRPTSNASGYPTQSFDASMPSAFTGLQGQTQYMLTGAGGSSTNDLPNADAKLLWNTFPVNRIPLETFSSGLSARPMPPPQPYSAVATQHATMSSSSPATLYNQGSVVQPSPTASIDSNLGLNSASGSMLASNLLPSFASQFLMARPSMPASFFGTPLPQVQLSSALPQNISNSQPSVSSVQPRPPPPPPPPQQPHPSQTLQQLGAIQLPQQDQPLSYPQSAILPQVPLQFSNQLPIPQLQLYHQSQQESMQAMRQVGEQSQLQNQGVQADSFSQQQQDSGINLNQFFSSPEAIQSLLSDREKLCQLLEQNPKLMQMLQDRIGQL >KQK90936 pep chromosome:Setaria_italica_v2.0:IX:46736547:46738057:1 gene:SETIT_035460mg transcript:KQK90936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSIAWSTSPLLLLCTLLSLVASLLFLLHHAHGRKNPTRACKGKLPPGPPTLVFLTKFLVLRRSIFDLGSLLRELHARHGPVISIRLFRTLVFVADRRLAHRVLVQDGATFADRPPLFDPGRLFTAGERDISTSPYGPYWRLVRRNLAAEALHPARVSLYAPARRAALDVLVAELLRARGGDSSSAVQMLVYMSLGARLGEEVLDEVQDIQMQILRSITSFPIFSFFPAVTKKLFRRRWESYVAVRRRQDEIFLPLIQARRGDDPPCYADSVLALRVADEGNRPLTDAEVVSLCSEFLNAGTDTTLTLLEWIMAELVNHPDVQAKARYLKAVVLEGLRLHPPAHFLLPHGVQSDAEIAGYAVPKGAEVNVMHGGFGRDETVWTAPLEFRPERFLDGGEGCDVDITGSKEIKMMPFGAGRRMCPGYTLGMLQVEFFVGSLVRELEWLPLAQGEAVDMTERLDFTVVMKHSLRARIIPRN >KQK89247 pep chromosome:Setaria_italica_v2.0:IX:22563506:22565916:-1 gene:SETIT_034701mg transcript:KQK89247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLRRKQLDVIVRMLHLNQQQLPNGGEGQGEEEAYKLLVMDPACVSLLSPVLKVGDLRRHGVTLTLGIDRPRQAVPDAPAVYLVRPTPSNVDRIAADAAAGLYASFHVNFSTSVPRPVLERLAAACAAAPPACAGRVARVADQYIDFVCLEEGLFSLAQPRAYVALNDPAAADADITSLVDAVALGLFCVFATLGVVPVIRCARGGPAEMVAAALDARFRDHLLAKPNLFTEAASAAVASFQRPVLCLFDRNFELSVGVQHDWSYRPLVHDVLGLKLNKLKLPAEKYELDDSDKFWVTNSWSPFPKVAEEIEAQLAKYKQDVDEVNQRTGGGSGVEFDGTDLIGNTRHLMNAVNSLPELTERKKMIDKHTNIATALLGHIKERSLDGYCDCENDMLVNGTVDRNTLLSLLKGKGTKEDKLRLAVTYLLSFETPPSSELEQVEAALRESEVDMSAFQYVKRIKALNTQFAAASGTATKSNIVDWAEKLYGQSLSAVTAGVKNLLSDGRQLALTRTVEALMEGKPNPEVDDYLLFDPRAPRSGTGGQFKGPFREAAVFMIGGGNYIEYRSLMELEQRTQPSKHVIYGATEILSGAEFIHQLAELGQKAGLGGGSSNIPPGAAQ >KQK91953 pep chromosome:Setaria_italica_v2.0:IX:52902406:52902897:-1 gene:SETIT_040398mg transcript:KQK91953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSPLYFSQPVARLANVYDISLLCTVTEVYINVRRNQLCADLNLSLPSACQPSTIASKTIYIAHVIFCSSTWYTILH >KQK88393 pep chromosome:Setaria_italica_v2.0:IX:13933324:13933671:1 gene:SETIT_040097mg transcript:KQK88393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVAPKGCVTVRVGAEGEEQRRFAVPLGHLKHPLFGALLEEAEREYGFRHQGAIAIPCRVDRFVQVEHLIDQDLHGSCAQHLVDLDSAAAPPHHHHHHHHHHLHLPRFVGCFRA >KQK87829 pep chromosome:Setaria_italica_v2.0:IX:9587596:9592366:1 gene:SETIT_036176mg transcript:KQK87829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLAVLRDYAARNELDKIIFSGDDILFGSDYTFPANTPTAFTSKQNNRPYPLSAAVFLAQHHDLKHTDFIQAARLRRIPPVSLPDRKTFLDFLRYGHNSLPSADPLLPSAFPPQETHLHPPSPPPEDPAAAEEATTGAQIRALERPFKDRNALLDARGRDFLAVFQAALRRQDEQRKAGGKDAAASSRPDSGAGASALAKPKVVDRALGDGVVPIILVPSASQTLITIYNVKEFLEDGVFVPSEERMRATKGGKPESVTVQKKLIRAERAGAAGGAVSFEVRDKPASLKSDDWGRVVAVFVLGKEWQFKDWPFKDHVEIFNRVIGFYVRFEDDSVEAAKVVKQWNVKIISISKNKRHQDRTAALEVWERLEEFMRART >KQK88792 pep chromosome:Setaria_italica_v2.0:IX:17219694:17220255:-1 gene:SETIT_040299mg transcript:KQK88792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVMGLYTGGNQRRVHARSGGRSSAAAVTKLLSRLRSTLRRRAARRRRQAAVTFGYDLHSYSQNFDDGLSSSGSHRL >KQK89870 pep chromosome:Setaria_italica_v2.0:IX:36594747:36597095:-1 gene:SETIT_038078mg transcript:KQK89870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNSERMDRETIDAGIHAFHQQWPLASASPGINDHPACRRTATAAEVLTIFSRGLPIAINEWELHNLLLVPDLLGFEASSPPCTRTSPPRQDSTCLCNG >KQK89869 pep chromosome:Setaria_italica_v2.0:IX:36593464:36597095:-1 gene:SETIT_038078mg transcript:KQK89869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNSERMDRETIDAGIHAFHQQWPLASASPGINDHPACRRTATAAEVLTIFSRGLPIAINEWELHNLLLVPDLLGFEASSPPCTRTSPPRIIYELKDCLEVEKRNNSFIPQLDEISVN >KQK90809 pep chromosome:Setaria_italica_v2.0:IX:45885191:45887079:-1 gene:SETIT_039746mg transcript:KQK90809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEYSGTYVADIVRKVPRRGPLRKKVKSSGARAADIIKEDVQEDPSPMKVDIDQAIDLMRFAFKEGMAFLDNGSGRSLDERMLVDMSGYMFNMLFEGPGVSRRDAPRQMRVITPTLDKDIAHAFAKEARKCIAYEFQGDLFGMVADVCSIASTCKRYLVLFARDVNVVERLLGIVPDPDAHDLSLKVPVDLMLSEAGLSLSNVLGQGNGLAQSDAIFTELKTLVVKASASSYCFHPCAFQLHSTLASASQNLVETFQFFQAIDALSNLIQGSPQFNEKLRSLIQGRGLNLDSNLEKPGETSWGSNDEKYLVLKVQWAFTDYLPLVLLLMRDVLGATHELSLALDRNDLDAHNCMVLLQESKKQLLVMRDEGWPAFLREVEFLCTESDMDVLDMGEQFEHRRWIINDQLRELDKRFSKETSELFCLASCLNPRNLFQAFDKENLIKFARFYPSEFPDTAVTALDLQLQAFITDVRSDARFHEMSALSYLSVKMVETGRNTQYPLVYLLLKLGLILPGTPAPAKVASSLTVVST >KQK91835 pep chromosome:Setaria_italica_v2.0:IX:52155967:52159679:1 gene:SETIT_035001mg transcript:KQK91835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTVPSDSNEFLFWFAQVFMKGVVSTGYVTSNTPRPASVTDVLTDVASTCRARVRPGRRRRRHAPPLPILQPISRAFNPSGGPPDPSPDIPRRRERSRALHKSHNRSIGLGMDFSSGDVKAAIMRPAGVVVGGDAAAGTPALVRGWFEFQRSAAPARFLCFHDGGWVDVDGEAVGQLRRAFQDRRAMVEAACGGKAYLFDFLRMVRIDAATGEEAALGWIDDRGACFFPAPDCGGGRKRRRDGAPAEDEAESSSGVDERSGESRGAEAAAKRRKAGGAWGSAAARLEENDKYYQVVSKLFLSYGMANLGAAITAVRKVAQGARARAFQRQGQLLAAARGDAAGTPKFAWYGASAEDVATVVGRGFARTNAPRLGARKHGDGLHLSPPQCPYSSAMLTKADGNGEAHIVLCRVLMGRPEVVPAKSSQSRPSSDDYDSAVDKQENPQWYVVWSKDMNTRVLPEYVVSFKCPKLQPVQESSEATSKPKTPSPARDMFPRLLAEIELLVPDKCGLLQEYYSSFKMGQLKKDQFIRFLRSYIGDKVLTTVAKKLRGC >KQK87279 pep chromosome:Setaria_italica_v2.0:IX:6379994:6383675:1 gene:SETIT_035548mg transcript:KQK87279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITTQLRSALLSPAASSSRGGGRRRRRAPSNVRCDASPPASGASASAAASLDPDFDKKAFRHNLTRSDNYNRKGFGYKKETLELMSQEYTSDVIKTLKENGNHYTWGPVTVKLAEAYGFCWGVERAVQIAYEARKQFPEERIWLTNEIIHNPTVNKRLDEMGVEIIPVDAGIKDFDVVGKGDVVVLPAFGAAVEEMYTLNEKKVQIVDTTCPWVSKVWNMVEKHKKSDYTSIIHGKYSHEETVATASFAGKYIIVKNIAEANYVCDYILGGQLDGSSSTKEAFLEKFKNAVSPGFDPDVDLDMVGIANQTTMLKGETEEIGKLVERTMMQKYGVENVNDHFMAFNTICDATQERQDAMYQLVKEKVDLILVVGGWNSSNTSHLQEIGELSGIPSYWIDSEQRIGPGNRISYKLNHGELVEKENWLPAGPITIGVTSGASTPDKVVEDALQKVFEIKRQEILQVA >KQK89429 pep chromosome:Setaria_italica_v2.0:IX:29060548:29060905:1 gene:SETIT_040726mg transcript:KQK89429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRTPFVVLLLCIVLGWTMQTMKLLWSFWKIFICISN >KQK92078 pep chromosome:Setaria_italica_v2.0:IX:53564653:53570112:1 gene:SETIT_036146mg transcript:KQK92078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTATPSRRSVFDAAYIRSEFSAAGISAHFIPLIWKYVLQNPWCGDLCGVPSLPATAYALLQQKFRPTTSTLTTAADSKDRTTTKLLIRLQNGESVEAVIMRYDTTLGKYDGKPRSGGVRSTLCVSSQVGCKMGCRFCATGTMGFKSNLSSGEIVEQLVHASRYSQIRNVVFMGMGEPMNNYNALVEAIGVFTGSPFQLSPKRITVSTVGIVHAINKFHNDLPHVNLAVSLHAPDQDIRCQIMPAARAFPLEKLMNALQSYQNKSNQTIFIEYIMLDGVNDQEEHAHQLGKLLETFKAVVNLIPFNPIGSSSNFKTSSDQNVKKFQKVIRGIYNIRTTVRQQMGQDIAGACGQLVVSLPDERSTGGATLLSDIEDFRI >KQK92462 pep chromosome:Setaria_italica_v2.0:IX:55650030:55650450:-1 gene:SETIT_039146mg transcript:KQK92462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSSSAMAAAAAAQGEGELREHFARFGEVRSVIMMRDREMGHDRGFGFVVFKDEADAVAALSDGDKPRHFICGRMVGVKRARDSRALRASSAAPSSGERATPTRHPGLDGVSP >KQK87495 pep chromosome:Setaria_italica_v2.0:IX:7557028:7557673:1 gene:SETIT_040616mg transcript:KQK87495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGRGRGKGDDLEHGGGPGSFDDLDRSGGSNPRLGVH >KQK87496 pep chromosome:Setaria_italica_v2.0:IX:7557340:7557498:1 gene:SETIT_040616mg transcript:KQK87496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPGRGRGKGDDLEHGGGPGSFDDLDRSGGSNPR >KQK90269 pep chromosome:Setaria_italica_v2.0:IX:41107288:41111412:1 gene:SETIT_034213mg transcript:KQK90269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASASTNLDSGPPRRSPRLKKIHIIYDEDSDRDSSTFKRVKTEVIDSEEIASPSTSELSVASFSDKDGEQDCHDVSLKVIRAQCKAKNRKTSKITSERCSIEQFDLDKPLIALKQKRPKTSPAKENRKMEALTSSPCAVEVEDTTSKRHDTLSPTQSSPFKATMHDPTLEKLGRRAKDLEQSKIAIKCTEEIAGEQICCAEVKNTAGSLVSCEKTDILCEIKIEDMDCSEGFGTSSCSIKNSERLSFELQQELMEGDERIPQSCFMNQPNQLADVSDHSCEQTCGVKENSFDDITAGKATEIVSSLGIIDEVSNRQKTSENVSNSDVDKSSTGNGSLACSFSQSCHDCIDNDEYWNTGVAHGNEPESVKILEELSPIDESSTDMVSLPKMVESDLCGSTEMNCTSLEEVVQMQGECQLDSVVCCGVRPKHMLLDAEIGHTVGDYTFSFDKTLDLTQPINFVAQDGRLESIVYDVLNNHAQRMTSENRSSVGLPDTAVIQSTVVDFNSNCSEDKKSSDNKISLLDNVEWPLKDKLHSTIHYDICRSVNNEGPEELVLQHQLFKSCTDKLNPTGVTPEISNAEESHKLSSGAPNSSVTSLETDGQIKKSEFFIDEESIEEHGPKKLLSKRKIMSPTSQEKLCNALTGIDLCDGVQRLKRKIVIEDCDKTRISLPQPAPKQDRSMFSTDRRLKGRTNVSPTSKGVLKSTGSPPHQQTTCSCMRNSSVVLDTEKAVEFSQRQMHDIENIAAKLIRSLKHMKSIVDESLSSEAYSLLPNSNIAEIRAASEDALEVEKTTRKWLSIMNKDCNRFCKILSLAKKSAVSHPEVPRKQRKITFADETGGTLCHVKVFKEGQTSLLSECQSDL >KQK91267 pep chromosome:Setaria_italica_v2.0:IX:48897622:48899187:-1 gene:SETIT_036558mg transcript:KQK91267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASLASYAAAAMPTLDLRPEMAHAHQPAMSPSHHAWDGNGAAVAPTPMPKRLDGKVAIVTGGARGIGEAIVRLFVKHGARVVIADIDDAAGEALASALGPQVSFVRCDVSVEEDVKRAVDWAQSRHGGRLDVYCNNAGVLGRQTRAAKSILSFDAGEFDRVLRVNALGAALGMKHAALAMAPRRAGSIVSVASVAGVLGGLGPHAYTASKHAIVGLTKNASCELGAHGIRVNCVSPFGVATPMLINAWRQGHDDAGDADLDLDITVPSDEEVEKMEEVVRGFATLKGPTLRPRDIAEAVLFLASDESRYISGHNLVVDGGVTTSRNLIGL >KQK87450 pep chromosome:Setaria_italica_v2.0:IX:7366244:7368194:-1 gene:SETIT_036644mg transcript:KQK87450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLSAKSDYESLRDARISENMARMEMLGLRRCAGELSDIAAVSSQRTAGSATPRKTPRPRVMSMTPLRRSGRLAAATPTGSASSRRLSARLNGQSVQYKALPPKGALSKLAVATAGETDEDDDEEENLALVVDKKRVEALQERRCDSKGRGGVYDPVLGICCHFCRQKKLCGEEDCKRCGEGDLKQPCLGKTDCSSCHSSNGILCRACLKVRYGEEMEEVRKNKNWMCPHCIEEKGIKKFWICNSSFCLKKRKIPPTGIAIYNAREQGYESVAHLLMDRLKQQAF >KQK87451 pep chromosome:Setaria_italica_v2.0:IX:7366582:7368194:-1 gene:SETIT_036644mg transcript:KQK87451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLSAKSDYESLRDARISENMARMEMLGLRRCAGELSDIAAVSSQRTAGSATPRKTPRPRVMSMTPLRRSGRLAAATPTGSASSRRLSARLNGQSVQYKALPPKGCLPNLLLFRGFGSCATRVLCDEKRLKTSFFCRLIGALSKLAVATAGETDEDDDEEENLALVVDKKRVEALQERRCDSKGRGGVYDPVLGICCHFCRQKKLCGEEDCKRCGEGDLKQPCLGKTDCSSCHSSNGILCRACLKVRYGEAEMEEVRKNKNWMCPHCIEEKGIKKFWICNSSFCLKKRKIPPTGIAIYNAREQGYESVAHLLMDRLKQQAF >KQK88621 pep chromosome:Setaria_italica_v2.0:IX:15574559:15574831:-1 gene:SETIT_039180mg transcript:KQK88621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTASRKRTKASALPDEIVEEILARLPAKSLRRFQCVSRPWRDFIRPAGYCEPFHACRHPAGSPTTTTAVEEELLSCSQFPQWNVFPVT >KQK91644 pep chromosome:Setaria_italica_v2.0:IX:51000558:51008983:1 gene:SETIT_033987mg transcript:KQK91644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTANPSMDYPSGDSDHVSKRSRPVGMAEEVNLPVNMLPVTYPQSHNYQQEDFHKTVARTLNQGSAPMSMDFHPLQQTLLLVGTNVGDIGLWDVGTKDRLALRNFKVWELGKCSMTLQASLVKDPAVSVNRIIWSPDGTLFGVAYSRHIVQIYSYNGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFSFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFMVKIWDMDNTGLLTTIDADGGLPASPRIRFNKEGTLLAVSTLDNGVKILANADGLRLLRTLENRSFDASRNATETVTKPLINPLTAAANAAAASSSGTPAPAAITAMNGDTRGLVDVKPRITDESLDKSKVWKLMEITESTQCRSIKLADNMRASKISRLIYTNSGVAILALTASAVHLLWKWPRSDRNSSGKATASVSPQLWQPPSGIFMTNDMTDNNPEDAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQIPSGRPSNILDTRVQFHQDQMHFLVVHETQIAIYETTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPASYLPPSISSSVHPVVVAAHPSEASQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSNLSTPPPNGASSSDQPER >KQK91647 pep chromosome:Setaria_italica_v2.0:IX:51000558:51009385:1 gene:SETIT_033987mg transcript:KQK91647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTANPSMDYPSGDSDHVSKRSRPVGMAEEVNLPVNMLPVTYPQSHNYQQEDFHKTVARTLNQGSAPMSMDFHPLQQTLLLVGTNVGDIGLWDVGTKDRLALRNFKVWELGKCSMTLQASLVKDPAVSVNRIIWSPDGTLFGVAYSRHIVQIYSYNGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFSFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFMVKIWDMDNTGLLTTIDADGGLPASPRIRFNKEGTLLAVSTLDNGVKILANADGLRLLRTLENRSFDASRNATETVTKPLINPLTAAANAAAASSSGTPAPAAITAMNGDTRGLVDVKPRITDESLDKSKVWKLMEITESTQCRSIKLADNMRASKISRLIYTNSGVAILALTASAVHLLWKWPRSDRNSSGKATASVSPQLWQPPSGIFMTNDMTDNNPEDAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQIPSGRPSNILDTRVQFHQDQMHFLVVHETQIAIYETTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPASYLPPSISSSVHPVVVAAHPSEASQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSNLSTPPPNGASSSDQPER >KQK91646 pep chromosome:Setaria_italica_v2.0:IX:51000558:51009385:1 gene:SETIT_033987mg transcript:KQK91646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFGTPTNPAALLKHPRTPTTANPSMDYPSGDSDHVSKRSRPVGMAEEVNLPVNMLPVTYPQSHNYQQEDFHKTVARTLNQGSAPMSMDFHPLQQTLLLVGTNVGDIGLWDVGTKDRLALRNFKVWELGKCSMTLQASLVKDPAVSVNRIIWSPDGTLFGVAYSRHIVQIYSYNGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFSFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFMVKIWDMDNTGLLTTIDADGGLPASPRIRFNKEGTLLAVSTLDNGVKILANADGLRLLRTLENRSFDASRNATETVTKPLINPLTAAANAAAASSSGTPAPAAITAMNGDTRGLVDVKPRITDESLDKSKVWKLMEITESTQCRSIKLADNMRASKISRLIYTNSGVAILALTASAVHLLWKWPRSDRNSSGKATASVSPQLWQPPSGIFMTNDMTDNNPEDAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQIPSGRPSNILDTRVQFHQDQMHFLVVHETQIAIYETTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPASYLPPSISSSVHPVVVAAHPSEASQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSNLSTPPPNGASSSDQPER >KQK91645 pep chromosome:Setaria_italica_v2.0:IX:51000558:51009385:1 gene:SETIT_033987mg transcript:KQK91645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKYFEDEVINGNWDEVERYLGGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSIPKPGGFPPLGAHGPFQPAPTPVPPLAGWMSNPPAVTHPAVSGGAIGFAALLKHPRTPTTANPSMDYPSGDSDHVSKRSRPVGMAEEQVNLPVNMLPVTYPQSHNYQQEDFHKTVARTLNQGSAPMSMDFHPLQQTLLLVGTNVGDIGLWDVGTKDRLALRNFKVWELGKCSMTLQASLVKDPAVSVNRIIWSPDGTLFGVAYSRHIVQIYSYNGGDDIRQHLEIDAHVGGVNDIAFAHPNKQLCIITCGDDKTIKVWEATSGAKQFSFEGHEAPVYSVCPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYDAPGHWCTTMAYSADGSRLFSCGTSKEGESHLVEWNESEGAVKRTYQGFRKRSMGVVQFDTTRNRFLAAGDEFMVKIWDMDNTGLLTTIDADGGLPASPRIRFNKEGTLLAVSTLDNGVKILANADGLRLLRTLENRSFDASRNATETVTKPLINPLTAAANAAAASSSGTPAPAAITAMNGDTRGLVDVKPRITDESLDKSKVWKLMEITESTQCRSIKLADNMRASKISRLIYTNSGVAILALTASAVHLLWKWPRSDRNSSGKATASVSPQLWQPPSGIFMTNDMTDNNPEDAVHCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRIDEVKSKLRGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQKNRFLQIPSGRPSNILDTRVQFHQDQMHFLVVHETQIAIYETTKLEPVKQWPVRENSPPITHATFSCDSQLIYASFMDATVGIFNASSLRLQCRILPASYLPPSISSSVHPVVVAAHPSEASQFALGLTDGGVYVLEPLESERKWGNPPPAENGSTSNLSTPPPNGASSSDQPER >KQK89000 pep chromosome:Setaria_italica_v2.0:IX:19391651:19392089:-1 gene:SETIT_040655mg transcript:KQK89000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDKGIIQMDSKFDVQTGETTGIDCLPKCWQTLL >KQK88690 pep chromosome:Setaria_italica_v2.0:IX:16312451:16322375:-1 gene:SETIT_034357mg transcript:KQK88690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAEVAVVEAAAAAPASEGTAPAAAAAANGEEGMRMEGWLYLIRSNRFGLQYSRKRYFVLEDAALRCFKSAPSSKREDPVRSAVIDSCIRVTDNGRESVHRSDFYIFTLYNASNHYDQLKLGARSSEEAARWIRCLMESALKSPRKDEHIVACSHRRWQAFRLSRRNSRMHSIDWTLFSSAHNDPMASDVIAPSPWSIFGCKNGLRFFTEANDGGSRGTYWDDHPAIMAVGVVDANSEAVFQTLMSLGQSRSEWDFCLQEGKVVEHLDGHTDIIHKKLRGDWLPWGMRKRDLLLRRYWRREDDGTYVILYHSVFHYKCRPERGYIRACLKSGGYVISPINQGRQSVVKHMLAIDWKFWKSYLFTSSAKYITIRMLGRVAALREFFRAKNGNCACLEFSSGELTRDMGLPQGENERINLEMRQENESRRLEGPTEGSLGGSNRHLSSTGSFVQLNDAADEFFDVPDESEYDQREIVFPSDESTHAVDQRHAKLSTAAVFVKRLHDLAVQKRGYVDLQGAADADNGPCCYGYTLPKDSSYTVPSTWAMTDPTTFLIRGETYLHDRLKIKANSTLMQMVGADWIKSDKREDDLAGRPGGLVQKCAAQGGTKFFFIVNIQVPGSTTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTSEELPEFLLGTCRLNYLDASKAVSIDEC >KQK88688 pep chromosome:Setaria_italica_v2.0:IX:16312451:16320992:-1 gene:SETIT_034357mg transcript:KQK88688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALKSPRKDEHIVACSHRRWQAFRLSRRNSRMHSIDWTLFSSAHNDPMASDVIAPSPWSIFGCKNGLRFFTEANDGGSRGTYWDDHPAIMAVGVVDANSEAVFQTLMSLGQSRSEWDFCLQEGKVVEHLDGHTDIIHKKLRGDWLPWGMRKRDLLLRRYWRREDDGTYVILYHSVFHYKCRPERGYIRACLKSGGYVISPINQGRQSVVKHMLAIDWKFWKSYLFTSSAKYITIRMLGRVAALREFFRAKNGNCACLEFSSGELTRDMGLPQGENERINLEMRQENESRRLEGPTEGSLGGSNRHLSSTGSFVQLNDAADEFFDVPDESEYDQREIVFPSDESTHAVDQRHAKLSTAAVFVKRLHDLAVQKRGYVDLQGAADADNGPCCYGYTLPKDSSYTVPSTWAMTDPTTFLIRGETYLHDRLKIKANSTLMQMVGADWIKSDKREDDLAGRPGGLVQKCAAQGGTKFFFIVNIQVPGSTTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELGVDIGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNTSEELPEFLLGTCRLNYLDASKAVSIDEC >KQK88689 pep chromosome:Setaria_italica_v2.0:IX:16312451:16322207:-1 gene:SETIT_034357mg transcript:KQK88689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAEVAVVEAAAAAPASEGTAPAAAAAANGEEGMRMEGWLYLIRSNRFGLQYSRKRYFVLEDAALRCFKSAPSSKREDPVRSAVIDSCIRVTDNGRESVHRSDFYIFTLYNASNHYDQLKSPRKDEHIVACSHRRWQAFRLSRRNSRMHSIDWTLFSSAHNDPMASDVIAPSPWSIFGCKNGLRFFTEANDGGSRGTYWDDHPAIMAVGVVDANSEAVFQTLMSLGQSRSEWDFCLQEGKVVEHLDGHTDIIHKKLRGDWLPWGMRKRDLLLRRYWRREDDGTYVILYHSVFHYKCRPERGYIRACLKSGGYVISPINQGRQSVVKHMLAIDWKFWKSYLFTSSAKYITIRMLGRVAALREFFRAKNGNCACLEFSSGELTRDMGLPQGENERINLEMRQENESRRLEGPTEGSLGGSNRHLSSTGSFVQLNDAADEFFDVPDESEYDQREIVFPSDESTHAVDQRHAKLSTAAVFVKRLHDLAVQKRGYVDLQGAADADNGPCCYGYTLPKDSSYTVPSTWAMTDPTTFLIRGETYLHDRLKIKANSTLMQMVGADWIKSDKREDDLAGRPGGLVQKCAAQGGTKFFFIVNIQVPGSTTYSLALYYMMDTPLEKVPLLERFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEINYFRGSNYLELCL >KQK91894 pep chromosome:Setaria_italica_v2.0:IX:52572579:52574159:-1 gene:SETIT_038912mg transcript:KQK91894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFWLVQLLMLAVTAAWVLRTWIAPRRFGKKAPQTTTYPPGLEPYPLIGHMPQMMANRHRLLDWMTEVLARQPTCTIVLHRPGGLRGAITANPANVEHFLRASFDNYPKGPRVVSLMHDFLGRGIFNADGEAWRAQRKVASHEFNTRSLRAFVARCVHSELHGRLLPLLRRAAASGAGLDLQDALERFGFDNICRVAFDHDPRQLPVDGDDDDGTAPADGGSFAGAFRDSANLSVGRFRYAIPGFWKVKRALDVGSERRLRESIAMVHGFADRIIQSRREEMLRDGFEKHDLLSRFMASQDESFSESEGGLRDVVTGFLLAGRESTSSALTWFFWLLSSRPDVQRRIRDEVAEVRARRAQGDAESVVGFDLEELREMHYVHAAITESMRLYPPVPANSLHVQADDVLPDGTAVRAGWFVGYNLYAMGRMESVWGEDAREYRPERWLNPADGTFRPDSPFRFVAFHAGPRACMGKEMAYIQMKSIVASVLEELDVAVDGAYRPRQVASLTLRMAEGLPVTVKPRRD >KQK91232 pep chromosome:Setaria_italica_v2.0:IX:48655168:48657227:-1 gene:SETIT_040166mg transcript:KQK91232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHAATRLPRSPPRVVSSRSRLAMAAADYDRAYRPYAAPAPAPAPAPAPAGEYDRPYRNEVVPYGDRRLDIVVKPPARSPPPPLPASTRSGGGGGSGGGGVGGSAWCFSDPEMKRRRRVASYKAYSVEGKVKASLRRGFRWIKAKCSELIHGCQWSAHARNTAAVPHPHHGLPHDFSNTGGVPGRAGRCIMRRRKFSVRPRWAGLKPASAHVWSELSAAGPGGRGSLGVFPGSCRDAAC >KQK86728 pep chromosome:Setaria_italica_v2.0:IX:3475208:3481350:1 gene:SETIT_034819mg transcript:KQK86728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFHPIPFSVNLSCVLELPTTPPLPPPTTAPANSAAPQAKLGMAGALASSPLVHLTTSRLRLPRPRASVPSPAPGCSRGVCSGWRLTVGWRAARRCDRLRCFSNDGGGGEEGEKRTEEEASAAAAPAEELGSERSRSGSFSSSSSSSGTPGVSSEPSLLSFSVDNIDTVKLLELLGPEKVDLADVKAIKENLFGYTTFWLTKEEPFGDLGEGVLFIGNLRGKREEIFAKLQRQLRELTGDKYNLFMVEEPNSEGDDPRGGPRVSFGLLRKEVSEPGPTTLWQYVISLLLFLLTMFSCVELGIASKISSLPPEIVSYFTDPNATGPPPDMQLLLPFVESALPVAYGVLAIQIFHEIGHFLAAFPKNVKLSIPFFIPNFTLGTFGAITQFKSILPDKKTMFDISMAGPVAGAALSFSMFFVGLLLSSNPVGASDLVEVPSQLFQGSLLLGLISRATLGYRAMHAATVSIHPLVIAGWCGLTTSAFNMLPVGCLDGGRALQGAFGKEALFGFGLTTYSLLGLGVLGGPLSLPWGLYVLICQRTPEKPCLNDVSDVGTWRRAALIASVFLVVLTLIPLWDELAEDLGVGLVTSF >KQK90178 pep chromosome:Setaria_italica_v2.0:IX:40421218:40424134:-1 gene:SETIT_037155mg transcript:KQK90178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVVLHVYDVTNSDSEKTNNTILQINRIFKDRIGLGGIFHSAIQVYGEDEWSFGFCETGSGVFSCPIGKNPMYTYRERIVLGETECGIAAVNRILRELSREWPGHSYDLLSRNCNHFCDVLCERLGVPKLPGWVNRFANAGDTAVVVAENTAVKFRQAKTEIVNASRVAYRFMAGLASKNQASPESPGNNQNRGSPIQGAWFKNIISAGAKPSSSGSTPSQDTDDGSPLWRQNSTEQSTRQNSTEQSTRL >KQK86886 pep chromosome:Setaria_italica_v2.0:IX:4292353:4296483:-1 gene:SETIT_034047mg transcript:KQK86886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLPLLLLLALAAGAAGAAGGTDADALLAAKAALSDPTGALRSWNATSPDHCAWAGVTCAPPGGGRGGGIVVGLDVSGLNLSGALPQALSRLHGLQRLSVAANALYGPIPPSLARLQQLVHLNLSNNAFNGSFPPALARLRGLRVLDLYNNNLTSATLPLEVTQMPMLRHLHLGGNFFSGEIPPEYGRWPRLQYLAVSGNELSGRIPPELGNLTTLRELYIGYYNSYTGGLPPELGNLTELVRLDAANCGLSGEIPPELGRLQNLDTLFLQVNGLTGSIPSELGHLKSLSSLDLSNNALTGEIPESFSELKNLTLLNLFRNKLRGDIPDFVGDMPSLEVLQLWENNFTGGVPRRLGRNGRLQLLDLSSNKLTGTLPPELCAGGKLQTLIALGNFLFGAIPDSLGQCKSLSRVRLGENYLNGSIPKGLFELPKLTQVELQDNLLTGNFPAVIGVAAPNLGEISLSNNQLTGALPASLGSFSGIQKLLLDRNSFSGAVPPEIGRLQQLSKADLSSNKFEGGVPPEIGKCRLLTYLDMSQNNLSGKIPPAISGMWILNYLNLSRNHLDGEIPPSIATMQSLTAVDFSYNNLSGLVPGTGQFTYFNATSFVGNPGLCGPYLGPCRPGIAGADHTPHGHGGLTNTVKLLIVLGLLVCSIAFAAAAILKARSLKKASEARVWKLTAFQRLDFTSDDVLDCLKEENIIGKGGAGIVYKGAMPNGELVAVKRLPAMGRGSSHDHGFSAEIQTLGRIRHRHIVRLLGFCSNNETNLLVYEYMPNGSLGEMLHGKKGGHLHWDTRYNIAIEAAKGLCYLHHDCSPVILHRDVKSNNILLDSNFEAHVADFGLAKFLQDSGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWAKMMTDSSKEQVMKILDPRLSTVPLHEIMHVFYVALLCTEEQSVQRPTMREVVQILSELPKPSTKQGEEVPNACDGSASGPLHPAPAGSNEAPTGEARDQQQQQTSSPSSPPPDLISI >KQK89342 pep chromosome:Setaria_italica_v2.0:IX:24644374:24645896:1 gene:SETIT_038111mg transcript:KQK89342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVLTSYLVAAAILQCLWSLSLAFVDIYALLVKRSLRNARAVCIFTIGDGITGTLTLGAACASAGITVLIGNDLNICAENHCASFETATAMAFISWFALAPSCILNFWSMASR >KQK89578 pep chromosome:Setaria_italica_v2.0:IX:33206793:33208170:-1 gene:SETIT_038667mg transcript:KQK89578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEDAEGRYITNSQMLVKLGVVVDAMYQGYYVLDTFKYKPYEEMPTQEQVSNSYALSCLARLNKRICAAPSAMGINSSVNHELEAVLGNLKTIVANITEFVILLGGCNKMPKKPYDTYLYIDNCMFSRLVEKQEIINILLEDNSPHGAPVVVPVIGDYNVGKKSLVGYACNNSMVRSNFSAILRLNNDSFQKVGHETFLCVRTLVIVEFSSDVDDSEWVKFYSAASRMDTGSKVIIISRFEEVARFGTVKPIRLRNLSHAEFMYLFKVLAFGGTDPDNHPQMASIGMEIAKMLQGLILSGNVLAGILRKNQNVQFWLLILKRFRNSVERNLSLFGEHPKQLVERDRPTDITMFVSPSAAPVHLMPPRGGSSFHKKELSKVTFGDLLQGSSRTVPKDEFQILASQSRIPPFTKFIATCIEEKCWIATESRMLYHVA >KQK86342 pep chromosome:Setaria_italica_v2.0:IX:1362026:1366705:1 gene:SETIT_034873mg transcript:KQK86342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKCSCAIISQRRYLIDKCLTKREKVAKMCLGEENGPGLLPGFPSRLMLPPRGKNGRGTGGPCPPLSILCLRVSPLGSSSSLGCAPSPSLPRSSQIQTPVLKSAQSHQNPNPNHPNSPIPVPAKTLAQPHLPIPLAAAAAAAMAGSSGGAASSSRTAPENRFYYPPHVRRQQQQQQQRLQGQRSLSPSLSPSPSPRSARQKPPPPPGAVAVAVAASADIDSRMDSDDSSSTTSSKPSVASTATTTTNTAGELNVITAGAAAAEEAGNLERFLTSTTPSVPVQYLSKTSLRMRRSSDAMDSRPYFCLGDLWESFREWSAYGAGVPLVLNGSDSVVQYYVPYLSAIQLYADPSRPTSRNRRPGDESDGESMDTSSESSSDNDVDRLRVSSVEATHRLENGGLQSDDCETDASSSFPIFEYLERDPPYGREPLTDKVSALADRCPALKTFKSCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTFHCLATPCKDCDPSTPACPGFGGINRSANATGKLSLPTFGLAPYKFRASIWASDGTQERDRVTSLMQEADGWLRRIQVDHPDFRFFATHFSTTWR >KQK86340 pep chromosome:Setaria_italica_v2.0:IX:1362026:1365536:1 gene:SETIT_034873mg transcript:KQK86340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKCSCAIISQRRYLIDKCLTKREKVAKMCLGEENGPGLLPGFPSRLMLPPRGKNGRGTGGPCPPLSILCLRVSPLGSSSSLGCAPSPSLPRSSQIQTPVLKSAQSHQNPNPNHPNSPIPVPAKTLAQPHLPIPLAAAAAAAMAGSSGGAASSSRTAPENRFYYPPHVRRQQQQQQQRLQGQRSLSPSLSPSPSPRSARQKPPPPPGAVAVAVAASADIDSRMDSDDSSSTTSSKPSVASTATTTTNTAGELNVITAGAAAAEEAGNLERFLTSTTPSVPVQYLSKTSLRMRRSSDAMDSRPYFCLGDLWESFREWSAYGAGVPLVLNGSDSVVQYYVPYLSAIQLYADPSRPTSRNSESSSDNDVDRLRVSSVEATHRLENGGLQSDDCETDASSSFPIFEYLERDPPYGREPLTDKVSALADRCPALKTFKSCDLLPSSWMSVAWYVSNTIVCSLNFDFILAMVMLISSLP >KQK86343 pep chromosome:Setaria_italica_v2.0:IX:1362026:1366705:1 gene:SETIT_034873mg transcript:KQK86343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKCSCAIISQRRYLIDKCLTKREKVAKMCLGEENGPGLLPGFPSRLMLPPRGKNGRGTGGPCPPLSILCLRVSPLGSSSSLGCAPSPSLPRSSQIQTPVLKSAQSHQNPNPNHPNSPIPVPAKTLAQPHLPIPLAAAAAAAMAGSSGGAASSSRTAPENRFYYPPHVRRQQQQQQQRLQGQRSLSPSLSPSPSPRSARQKPPPPPGAVAVAVAASADIDSRMDSDDSSSTTSSKPSVASTATTTTNTAGELNVITAGAAAAEEAGNLERFLTSTTPSVPVQYLSKTSLRMRRSSDAMDSRPYFCLGDLWESFREWSAYGAGVPLVLNGSDSVVQYYVPYLSAIQLYADPSRPTSRNSESSSDNDVDRLRVSSVEATHRLENGGLQSDDCETDASSSFPIFEYLERDPPYGREPLTDKVSALADRCPALKTFKSCDLLPSSWMSVA >KQK86341 pep chromosome:Setaria_italica_v2.0:IX:1362026:1366705:1 gene:SETIT_034873mg transcript:KQK86341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKCSCAIISQRRYLIDKCLTKREKVAKMCLGEENGPGLLPGFPSRLMLPPRGKNGRGTGGPCPPLSILCLRVSPLGSSSSLGCAPSPSLPRSSQIQTPVLKSAQSHQNPNPNHPNSPIPVPAKTLAQPHLPIPLAAAAAAAMAGSSGGAASSSRTAPENRFYYPPHVRRQQQQQQQRLQGQRSLSPSLSPSPSPRSARQKPPPPPGAVAVAVAASADIDSRMDSDDSSSTTSSKPSVASTATTTTNTAGELNVITAGAAAAEEAGNLERFLTSTTPSVPVQYLSKTSLRMRRSSDAMDSRPYFCLGDLWESFREWSAYGAGVPLVLNGSDSVVQYYVPYLSAIQLYADPSRPTSRNSESSSDNDVDRLRVSSVEATHRLENGGLQSDDCETDASSSFPIFEYLERDPPYGREPLTDKVSALADRCPALKTFKSCDLLPSSWMSVAWYPIYRIPTGPTLKDLDACFLTFHCLATPCKDCDPSTPACPGFGGINRSANATGKLSLPTFGLAPYKFRASIWASDGTQERDRVTSLMQEADGWLRRIQVDHPDFRFFATHFSTTWR >KQK90381 pep chromosome:Setaria_italica_v2.0:IX:42272107:42275225:-1 gene:SETIT_037158mg transcript:KQK90381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEFPDEVLKSVFPLLDGKDLVFCMLVCRQWRDIAKDDYFWKCICSRKWPSICKQPPSDANYKKLYLTFSQPPTMQHLPVPRLTFEDLVFYIDMWLEGSLIFSQAISGCTLRAGLQCTPRGIPDILAAHLKSLDCIMMLEVEPRLSIPMGPAITVSVLAHRKDSNKMACIINKSTFDYIDSNAARALAYEYLRFSPRHPFISDIRAWMSLLFLYKGDNVVEVFGIELDFCDAARSETEILWLLDMLDWK >KQK91206 pep chromosome:Setaria_italica_v2.0:IX:48446717:48460468:1 gene:SETIT_034058mg transcript:KQK91206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAATTRFPARLAAKRPRAATAATRVAVAGAGVRSPLFRALRCGPVPVPGRMACFCSDAGGGSGSEAAEDAEAAGGEEGEGNNASSAIVPAAFRPEDCHTVIALPLLQRPLFPGFHMPIYVKDPKLLQALVENSKRSGPYAGAFLVKDDEGTNPHTVTSSESDNSIHVLKGTELLKRLHDVGTLAQITRIQGNLVVLLGHHRVRITEMVAEDPLTVKVDHLKEVPYDKDDDVIKATSFEVISTLRDVLKISSLWKDQVQTYTQHMGDFNYPRLADFGAAISGANKLLCQKVLEELDVCKRLKLTLELVKRELEISKLQESIAKTIEEKVTGEQRRYLLNELLKAIKKELGLETDDKTALSEKFRKRIEAKKDKCPPHVLQVIEEELTKLQLLEASSSEFSVTRNYLDWLTVLPWGDYSDENFDVHHAQRILDEDHYGLADVKERILEFIAVGKLRGSSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLADVAEIKGHRRTYVGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVIEMIPSPLLDRMEIISIAGYITDEKMHIARDYLEKNTREASGIKPEQVEVTDDALLALIENYCREAGVRNLKKHIEKIYRKIALKLVRQGLSNEPPRDITIVEANEEPASFDVATKVEDENSKKSLAKDASVDVHPTDSSLENINVVPLTTESAVGHNEHSNEAPTEKILEETAKVFNTSSTPEANESVQRTTEALIDIPVEKIIVNASNLGDFVGKPVFQAERLYDQTPVGVVMGLAWNSMGGSTLYIETAKVGESEGKGALVVTGQLGDVMKESAQIAHTVCRAVLLEKEPNNSFFAKSKLHLHVPAGATPKDGPSAGCTMVTSMLSLAMGKSVKKDLAMTGEVTLTGRVLPIGGVKEKTIAARRSGVKTIIFPSANRRDFDELASNVKEGLEVHFVDKYNEIYDIAFTSDAESPRHKKASG >KQK86138 pep chromosome:Setaria_italica_v2.0:IX:430985:437800:1 gene:SETIT_034549mg transcript:KQK86138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLHNHITYFPRPSESPSSVRGEEGAASPARPVRQLLPATSPLPVRRSTPATAMILRRLHLHGSVSRRSLASAAAATLRGTAPRTLPSPELLRRLHDSAGDGASSESEHARKQGPLTLYRNLVSQGRLTHDSYQENVASELDNLLRRLQQYEMEMEDYHAKLYIWENSREKERRRLLVEEAEDKQRDGVWIDEERGFLDKLVTRRRRGSIEPGVGKWVSYLNREKKLDKLVGQRPIAPVAPKGLYLYGNVGSGKTMLMDMFYGATEGVIKHRRRFHFHEAMLEIHDHMHDVWKRRDDDKSVQTSAFSWISSLPFDAKIKEWLIGEEKYKQGTQQKHILLAVADKFLVDRQANKTGASILCFDEIQTIDVFAVVALSGILSRLLSTGTVLVATSNKAPEDLNQDGMQRDIFLELLSKLDENCNKILVGTEKDYRRLIPTEGSTQVHYFWPVTSDTCRMYEAMWHDITNQTGGNIISVTIPVMFGRSIEIPQSCNGVARFDFEYLCGRPVGAADYIAIARNYHTIFISEIPAMSMKIRDKARRFITLIDELYNHHCRLVCLAASSIDDLFQGTEEGPLFDLESFQFETEAEGTKLRRDVLAEGNVGMRPSTSGLVAILSGQEEMFAFRRAISRLIEMQTPLYLERVQHAHPSFQLQGPAVASNNRARVSQSAPSV >KQK87160 pep chromosome:Setaria_italica_v2.0:IX:5852142:5854695:1 gene:SETIT_037305mg transcript:KQK87160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVDVGTELSLGLPGGGAEAAKAAKRGFEDTIDLKLKLPTAGMEEAAAGKPEPAAEKAKRPAEAVAADAEKPPAPKAQAVGWPPVRSYRRNVMTVQTVKSKKEEEPEKQQPAANASSNSSAFVKVSMDGAPYLRKVDLKMYNSYKDLSIALKEMFSTFTTGNNMNEGKLVDPVSGADVVTTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKSSEAIGLAPRTKDKCKNKS >KQK90228 pep chromosome:Setaria_italica_v2.0:IX:40836579:40838418:1 gene:SETIT_035965mg transcript:KQK90228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTSSLHLPKLLLLPNPRRTRHRPSFVPAAAAKASGVNGHAPPEKPAPNGKAHINGVNGKKGVNGVNGKKGVNGHADRIHLTVSTGGGGQDGTGLRVAFQGAPGAYSEFAAKTALPGCDTVPCRAFADALAAVERGGADRAILPVESTMEGTALRNYDLLLRHDLVVAQEINLFVHYCLLAMPGVRAAEVRRVISHPMALAHCGRALARLGVDREPVEDTAGAVEMLRSNRMLDTAAIASPRAADLYGLDVLAHGLQDESWNVTRFLLLSRPPPPVALPVDADAKTSMVVAHRGGSMMVLLKVLSAFSSRNINLTKLEVINNDGAAAPAAAGAGARPPVMILDTSARGAPTLRAFPHVLYVDCAGAAHDPRVREAIQEIEKFAVFVRVLGCYAADSTVYDLQ >KQK90402 pep chromosome:Setaria_italica_v2.0:IX:42408133:42410816:-1 gene:SETIT_037676mg transcript:KQK90402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVALALAAAVLLLSSTLAASEFCVCRSDQPTTVLQKAIDFSCGPQGNADCSAILLGGGCYNPNTVAAHCSWAANSYYQNNKAKGATCDFDGAATISTTDPSFSGCTFPSSASASGTTAGTTTVGGATTGTLSPGVGTGFNGTSTGMGSSLGPTGTMDGAAAGLLPGAQLAAFLAAAILSFLALH >KQK92478 pep chromosome:Setaria_italica_v2.0:IX:55742082:55745504:1 gene:SETIT_034108mg transcript:KQK92478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYLKNYSDDEFSVAGEKPEVEFMDYQNDDTLQDYASEDGPVIVTVPFPFEDGKPKSVLVGETSTDTISIENTSSESVTLWSVRIFSSNPENSYVLSMMRPPLNDANEEAKQDFLGLTSVEDRSLLPGHTLTIWLSCMPKDIGLHTSIVHVDIGDEKIERVAFLLADDNISKALLSEEPYSRRRGQNKKFEPAPIVPGCRPTRQHAQGFKYKLPQFAIPAHIRELIESKQRPDVLSEELSMINYAQFFSTLLVMEELNLEEEMRAYDMEGVSMRRRGRNFLSLEVPGLAERRPSLVQGDFIVARYARNDSRPYQGFIHKVEADEIFLQFDNQFHLNHHDRNQYHVSFTYNRVNMRRLYKSIHEAEFLGPDILFPCQSPCRALKRWPFKPLNPHINTEQADAVAMILGCRGVPPYVIYGPPGTGKTMTIIEAILQLYTAKKKANILICAASNTAADHVLEKLLLASYLIRPSDIFRLNAQSRQYEDVNSDFIRFCFFEDRVFKCPPLQALLQYKIVISTYMSSSLLLAEGIRRGHFTHIFLDEAGQASEPEAMVPLSGLCGRNTVVVLAGDPMQLGPVVYSKQAEKDGLGISYLQRLLFDFEPYETGNPNYVTKLVRNYRCHPAILELPSELFYGGELIACKEDEASPAYDCIGLPNKSFPVLFVGIQGCDEREGTNPSWFNRIEVSKVVTDIGVITPYRQQVAKIKKALEAFEMPDLKVGSVEQFQGQEREVIIISTVRSTVKHNEFDKFFNLGFLSNYKRFNVAITRAKSLLVIVGNPHIITKDRHWDRLLRYCADNGSYQGCPLPPPESYSYSDETKYDEDQGGPAGWDYNQEATSYNCNQEATSYNCNQEPSDSGYRGDNDAKSAATNNRTKWSEELPEDENQPFNNAEADPEEEMPKQRVEEGAEQGDVQPNDQCSTNDDQVHDAYPAKYTFPPGWCDISGIPASGWD >KQK89818 pep chromosome:Setaria_italica_v2.0:IX:36157679:36158856:-1 gene:SETIT_038345mg transcript:KQK89818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGEEEKVTLDLRRLDVVGPKTPLVDDDGLEVCTVELILSPAEEVVDHGPFSIEQLQREHWLLHLEQEQCFHPKP >KQK89817 pep chromosome:Setaria_italica_v2.0:IX:36157963:36158856:-1 gene:SETIT_038345mg transcript:KQK89817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGEEEKVTLDLRRLDVVGPKTPLVDDDGLEVCTVELILSPAEEVVDHGPFSIEQLQREHWLLHLEQEQCFHPKP >KQK88178 pep chromosome:Setaria_italica_v2.0:IX:12160938:12170328:1 gene:SETIT_034792mg transcript:KQK88178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGYSIWSAFCDTFYVLRFDLLDAQHPSTRHSTSLCAYGSNFPFFGALRNLSVTMTPSQLSRPHFKLRLHIARGLEGYGYPMGPHRPGYWPVLTSGPARLERAGQGREDTWSTSQGAEKEPENGVFKMLRSDVTRFLTTILIGTTVVNIGATAIVTEAATAMFGEAGVSAATGVMTVAILLLTEITPKSVAVHNATEVARFVVRPVAWLSLILYPVGRIVTFLSMGMLKILGLKGRSEPYVTEDELKLMLRGAELSGAIAEDEQDMIENVLEIKDTHVREVMTPLVDVVAIDAVATLIDFKNLWEIHQYSRVPVFEERIDNIVGIAYAMDMLEYVEEVEKLKEITVKEIAHMPTYFVPDSMSVWNLLREFRIRQVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVRREDGTFDVDANTSIDQLSEELGIKIPEGHHYETVSGFVCASFGYIPEEGAKMLVILEKDYREENVEYQEEGSDRQDDREKTQAYELEILEGNARKVGKVLFKPISSECVDVDDKGVNRLISKKIIKRKKKDSGDPCNSDDDECPDITENGCPAEILSHSDDNSAELEDASSSSAKR >KQK88059 pep chromosome:Setaria_italica_v2.0:IX:11213921:11215558:-1 gene:SETIT_040023mg transcript:KQK88059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDQKHFFKPMVGGFAETMSIPARFANNFNGHISEVVSLKSPSGKTWSIGVGNNDTDEVFLQSGWKDFVSAHSIAEGDYLLFKYSGVSSFDVLVFDSSGCEKTSPHFAKNHGYERIEGSAGVEGARRGSHKFKGGKDCTPQLLPSDEDEDGDDDSDLELAVQKNTSKSISKSCKRKLYRDIEQVHCQVKSDEDDLELDHEGDAPTGYYFCKNGPVSDYHLTEEDREEISSIRIPAQSTNPVFVQVMHPSHVRAQKPGVVSISSEFASKYLGAGRGDIILRRVSIKGKWHARFTCNRFSRGLTGRGWCGFVGDNGLLDHDVCLFELMKGQRRPTMTVHVLRKVRGHFVLLR >KQK90497 pep chromosome:Setaria_italica_v2.0:IX:43201351:43207876:1 gene:SETIT_034282mg transcript:KQK90497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSSLPADTPYSDFHHRFQELGLEKGWGDCAKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTESHKRLTSLHPEIEELLYSQTENNEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQGDKASALLVDFFEKCKEDSSHWSKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >KQK90499 pep chromosome:Setaria_italica_v2.0:IX:43202220:43207876:1 gene:SETIT_034282mg transcript:KQK90499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSSLPADTPYSDFHHRFQELGLEKGWGDCAKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTESHKRLTSLHPEIEELLYSQTENNEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQGDKASALLVDFFEKCKEDSSHWSKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >KQK90500 pep chromosome:Setaria_italica_v2.0:IX:43202204:43207876:1 gene:SETIT_034282mg transcript:KQK90500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSSLPADTPYSDFHHRFQELGLEKGWGDCAKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTESHKRLTSLHPEIEELLYSQTENNEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQGDKASALLVDFFEKCKEDSSHWSKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >KQK90498 pep chromosome:Setaria_italica_v2.0:IX:43202540:43207876:1 gene:SETIT_034282mg transcript:KQK90498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAGDRVLSRLHSVRERIGDSLSAHPNELVAVFTRLKNLGKGMLQPHQIIAEYNSAIPEAEREKLKDGAFEDVLRAAQEAIVIPPWVALAIRPRPGVWEYVRVNVSELAVEELRVPEYLQFKEQLVEEGPNNNFVLELDFEPFNASFPRPSLSKSIGNGVQFLNRHLSSKLFHDKESMYPLLNFLRAHNYKGMTMMLNDRIRSLSALQGALRKAEEHLSSLPADTPYSDFHHRFQELGLEKGWGDCAKRAQETIHLLLDLLEAPDPSTLEKFLGTIPMVFNVVILSPHGYFAQANVLGYPDTGGQVVYILDQVRAMENEMLLRIKQCGLDITPKILIVTRLLPDATGTTCGQRLEKVLGTEHCHILRVPFRTENGIVRKWISRFEVWPYLETYTDDVAHEIAGELQANPDLIIGNYSDGNLVACLLAHKMGVTHCTIAHALEKTKYPNSDLYWKKFEDHYHFSCQFTTDLIAMNHADFIITSTFQEIAGNKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADMSIYFPYTESHKRLTSLHPEIEELLYSQTENNEHKFVLNDRNKPIIFSMARLDRVKNLTGLVELYGRNKRLQELVNLVVVCGDHGNPSKDKEEQAEFKKMFDLIEQYNLNGHIRWISAQMNRVRNGELYRYICDTQGAFVQPAFYEAFGLTVVEAMTCGLPTFATAYGGPAEIIVHGVSGYHIDPYQGDKASALLVDFFEKCKEDSSHWSKISQGGLQRIEEKYTWKLYSERLMTLTGVYGFWKYVSNLERRETRRYLEMLYALKYRTMASTVPLAVEGEPSSK >KQK92488 pep chromosome:Setaria_italica_v2.0:IX:55777935:55785945:-1 gene:SETIT_035563mg transcript:KQK92488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPNPPPPRPSAAGKPPTAMAAPPRKSRWGPPPPGAAPAGDKAAPSTSAARTPTPTHPADTRRHPAPPAPAPHAPRNPASPAAALRPPPQAQPPPAETPSPPPYGFHNLDRRTVLLADGTVRTYFALPPDYPFESAPLPPHLLPRAGPDLWPPHQPPPPQMPMPMQMPPHEAKRKHPTDQDEGFPRHHKQLRLDEAHHPPQLPPHAAVDRHALRRAFLKYAKMLNESSSQKRRFLEGSRVPCLACGRDFADVHGLVMHAYNPPNTDSLVDHLGLHKALCVLMGWDYTKVPENSKGYQSLPADLVRASREDLIVWPPTVIMHNTATGRKKDGRLEGLGNKDMDKKMTELGFSGGKSKSLYGKEGHLGLTLIKFANNPAGLKEAERLAEFLERQDHGRIGWSRARATHSIDSDQNPLLVETDIRTGERKRIFYGYLAIASDLDELDSDSRKRAFLKSRREFDPSD >KQK86794 pep chromosome:Setaria_italica_v2.0:IX:3761486:3761914:1 gene:SETIT_040387mg transcript:KQK86794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGEYLGQEWSLYLRHITVISAISCIYKQDDPSWKTKEIH >KQK90561 pep chromosome:Setaria_italica_v2.0:IX:43664856:43668986:1 gene:SETIT_035593mg transcript:KQK90561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPCCPLALLPLLPLLLCLASLRAGSAASITTGTPDGSERWGYVEVRPKAHLFWWYYKSPQRTSSPAKPWPTVLWLQGGPGASGVGLGNFLEVGPLDVNLKPRNSTWLQKADLIFVDNPVGVGYSYVEDDTLLVTTDWQQAADATTLLKALVKEVPTLQSSPLFLVAESYGGKYAATLGASVARAVRAGELKVNLAGVALGDSWISPEDFTLSYTPLLLSVSRLDDNAGDEANKKAENVKRQITGGQFADAQRSWTDLLDIIGTRSGNVDMYNFLLDSGMDPVSADTPTVSSPSNVQALRYATYLGSQDSDSNTIDSIMNGVIKEKLRIVPKDLKWVEVSQAVYNTLVNDFMKPRIDEIDELLSYGINVTVYNGQLDVICSTNGAEAWVQKLKWDGLKSFLSLPRQSLYCGQSKGTKAFVRSYKNLHFYWILGAGHFVPADQPCIALSMIGSITQSPAS >KQK89764 pep chromosome:Setaria_italica_v2.0:IX:35736290:35737021:1 gene:SETIT_039755mg transcript:KQK89764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APTPPRSDFSGRSTQFRPPPWSPSPPPDLDMSDATTAPAVAAGAGDPAVDAAAHKPVAPSPETTRRGGSSVVAAISQAAQQQQQQQSRLDDDQLAELREIFRSFDRNADGSLTQLELGSLLRSLGLTPSADQLDALITRADTNSNGLVEFSEFVALVAPDLLADSSPYSEDQLRRLFAIFDRDGNGFITAAELAHSMARLGHALTVKELTGMIKEADTDGDGRINFQEFSRAITAAAFDNIFS >KQK88571 pep chromosome:Setaria_italica_v2.0:IX:15150521:15152216:1 gene:SETIT_039204mg transcript:KQK88571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHKRRLQTATRIGTPRKKKKNSARKPGSQQVDESGGGGGGREEVMARTDSCLARVGAGAVIGGAVGGAVGACYGTFEAFRYKIPGLLKIRYIGQTTVGSAAIFGLFLGAGSLIHCGKSY >KQK92331 pep chromosome:Setaria_italica_v2.0:IX:54967520:54969110:-1 gene:SETIT_036574mg transcript:KQK92331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSAVDMEEESGAAAAAAAAEEIRRLPAEVNWEMLDKSRFFVLGAALFSGVSAALYPAVVVKTHLQVAPPPAAATATAAAILRRDGLRGFYRGFGASLAGTVPARALYMAALEATKSSVGSAAVRLGVSEPAASAVASAAAGVSAAVAAQVVWTPVDVISQRLMVQTSATCRYRGGADAFRKILLADGVRGLYRGFGLSILTYAPSNAVWWSTYAMAQRCLWRVVGAERSESYPSLMAVQGASAAVAGGAAALVTMPLDTVKTRLQVMEADAARPTLASTVRGLLKEGGWAACYRGLGPRWGSMSLSAATMVTTYEFLKRLSAKEGSLG >KQK92997 pep chromosome:Setaria_italica_v2.0:IX:58236767:58247971:-1 gene:SETIT_034039mg transcript:KQK92997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGMRGLSVFISDIRNCHNKEQERLRVDKELGNIRTRFKNEKGLSPYEKKKYVWKMLYIYMLGYDVDFGHMETVSLISAPKYPEKQVGYIVTSCLLNENNDFLRMVINTVRNDIIGRNETFQCLALTMVGNIGGKEFSESLAPDVQKLLISSSCRPVVRKKAALCLLRLYRKNPDVVNIDGWADRMAQLLDERDLGVLTSVMSLFVSLVSNNAEAYWNCLPKCVRILERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYFPTIEDPNARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPAMHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKLPTVSTSTVAILLSTYAKILMHTQPPDVGLQQQILTIFKKYESYIDVEIQQRAVEYFELSRKGSALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSSALVVADQPSANGSTPAANHLTLVKMPSQTVTDSQESSATYEEAPNPPVEAPKENGPVEVSEATNITETNHETKVEPPSTSRSTSPADLLADLLGPLAIEGPPAVEQYPAQGLDANQSPVGDLALATLEDQSNSVQPIVNVEEKFHILCTKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFLGNKNTSPLMSVRALILPPSHLKMELSSVPDTIPPRAQVQVPLEVANLRASRDVAVLDFSYTFGTALVDAKLRLPVVLNKFLQPITLSPEEFFPQWKALTVHLLKVQEVVKGVKPLPLPEIANLFMSLHLAVAPGLDNNLNNLVACTTFFSEATRAMLCLIRVETDPQDRTQLRLTVASGDQYLTFELKEFIKEHLIDIPRTQAAPPPAPVQPQLPAAAPATYNDPGAMLAGLL >KQK92996 pep chromosome:Setaria_italica_v2.0:IX:58236767:58244508:-1 gene:SETIT_034039mg transcript:KQK92996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALQYFPTIEDPNARRALFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLLVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVTNAKEIVEELLQYLNTAEFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWYRVVQFVTNNEDLQPYAAAKAREYLDKPAMHETMVKVSAYLLGEYGHLLARRPGCSPKELFAIINDKLPTVSTSTVAILLSTYAKILMHTQPPDVGLQQQILTIFKKYESYIDVEIQQRAVEYFELSRKGSALADVLAEMPKFPERESALLKKAEDAEVDTAEQSAIKLRSQQQTSSALVVADQPSANGSTPAANHLTLVKMPSQTVTDSQESSATYEEAPNPPVEAPKENGPVEVSEATNITETNHETKVEPPSTSRSTSPADLLADLLGPLAIEGPPAVEQYPAQGLDANQSPVGDLALATLEDQSNSVQPIVNVEEKFHILCTKDSGVLYEDPHIQIGLKAEWRAHHGRLVLFLGNKNTSPLMSVRALILPPSHLKMELSSVPDTIPPRAQVQVPLEVANLRASRDVAVLDFSYTFGTALVDAKLRLPVVLNKFLQPITLSPEEFFPQWKALTVHLLKVQEVVKGVKPLPLPEIANLFMSLHLAVAPGLDNNLNNLVACTTFFSEATRAMLCLIRVETDPQDRTQLRLTVASGDQYLTFELKEFIKEHLIDIPRTQAAPPPAPVQPQLPAAAPATYNDPGAMLAGLL >KQK91746 pep chromosome:Setaria_italica_v2.0:IX:51560561:51564629:1 gene:SETIT_038018mg transcript:KQK91746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQWMILACVVAVEAAVAALVTLPAPRAVRGQIVALTSLLLQPMASVIPFAAFQLLDIYWKKEHRLMCTSEICTAEERIRFEKSMFKAQRNVILCVSACLLYWCIYRVVKYNKDIKALEETEKRLKEE >KQK86913 pep chromosome:Setaria_italica_v2.0:IX:4470727:4471292:-1 gene:SETIT_039350mg transcript:KQK86913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGEAVTQYMTDDAHPPARVPGHRQPSSIVFVGTVVGACVGGLGSDRYGRSISGFLCAFSPNYPTLLVLRFVVGLGLGASHVLSVWFLEFVPAENRGS >KQK92160 pep chromosome:Setaria_italica_v2.0:IX:54058761:54062195:-1 gene:SETIT_035226mg transcript:KQK92160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSVVIALAAVLVAAQYASLADAYGPRVIIVGAGMSGISAGKRLWESGVRELLFLEATERVGGRMHKHNFGGLNVEIGANWVEGIGGERVNPILPIVNDTLKLRNFYSDFDSVVGNFYRENGGLYDKDYVQKRMDRADEVEDLGANLTKMMDPSGRDDISILAMQRLFNHQPNGPSTPVDMALDYYKFDYEFAEPPRVTSLQNTEPTPTNADFGEDSNFVADQRGFESIIHYIGSSYLSTNANGKISDRRVLLNKVVRQIAYNNRGVVVKTEDGSSYAADFVVVSTSLGVLQSDLIQFKPQLPFWKIFSIYRFDMAVYTKIFLKFPRRFWPVGDGKQFFVYASRRRGYYGMWQSFEREYPGVPVLLVTVTDDESRRIEQQPDDVTKAEAVAVLRKMFPDVDVPNATDIYVPRWWSNRFFKGSYSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSADILINRIFKNEEYKVRGKYEDQAAEVNGQVAERKKFHRLNEW >KQK92159 pep chromosome:Setaria_italica_v2.0:IX:54058768:54062195:-1 gene:SETIT_035226mg transcript:KQK92159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSVVIALAAVLVAAQYASLADAYGPRVIIVGAGMSGISAGKRLWESGVRELLFLEATERVGGRMHKHNFGGLNVEIGANWVEGIGGERVNPILPIVNDTLKLRNFYSDFDSVVGNFYRENGGLYDKDYVQKRMDRADEVEDLGANLTKMMDPSGRDDISILAMQRLFNHQPNGPSTPVDMALDYYKFDYEFAEPPRVTSLQNTEPTPTNADFGEDSNFVADQRGFESIIHYIGSSYLSTNANGKISDRRVLLNKVVRQIAYNNRGVVVKTEDGSSYAADFVVVSTSLGVLQSDLIQFKPQLPFWKIFSIYRFDMAVYTKIFLKFPRRFWPVGDGKQFFVYASRRRGYYGMWQSFEREYPGVPVLLVTVTDDESRRIEQQPDDVTKAEAVAVLRKMFPDVDVPNATDIYVPRWWSNRFFKGSYSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSADILINRIFKNEEYKVRGKYEDQAAEVNGQVAEAK >KQK92161 pep chromosome:Setaria_italica_v2.0:IX:54058509:54062265:-1 gene:SETIT_035226mg transcript:KQK92161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSVVIALAAVLVAAQYASLADAYGPRVIIVGAGMSAERNIALRAGISAGKRLWESGVRELLFLEATERVGGRMHKHNFGGLNVEIGANWVEGIGGERVNPILPIVNDTLKLRNFYSDFDSVVGNFYRENGGLYDKDYVQKRMDRADEVEDLGANLTKMMDPSGRDDISILAMQRLFNHQPNGPSTPVDMALDYYKFDYEFAEPPRVTSLQNTEPTPTNADFGEDSNFVADQRGFESIIHYIGSSYLSTNANGKISDRRVLLNKVVRQIAYNNRGVVVKTEDGSSYAADFVVVSTSLGVLQSDLIQFKPQLPFWKIFSIYRFDMAVYTKIFLKFPRRFWPVGDGKQFFVYASRRRGYYGMWQSFEREYPGVPVLLVTVTDDESRRIEQQPDDVTKAEAVAVLRKMFPDVDVPNATDIYVPRWWSNRFFKGSYSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSADILINRIFKNEEYKVRGKYEDQAAEVNGQVAEAK >KQK92158 pep chromosome:Setaria_italica_v2.0:IX:54058509:54062265:-1 gene:SETIT_035226mg transcript:KQK92158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSVVIALAAVLVAAQYASLADAYGPRVIIVGAGMSGISAGKRLWESGVRELLFLEATERVGGRMHKHNFGGLNVEIGANWVEGIGGERVNPILPIVNDTLKLRNFYSDFDSVVGNFYRENGGLYDKDYVQKRMDRADEVEDLGANLTKMMDPSGRDDISILAMQRLFNHQPNGPSTPVDMALDYYKFDYEFAEPPRVTSLQNTEPTPTNADFGEDSNFVADQRGFESIIHYIGSSYLSTNANGKISDRRVLLNKVVRQIAYNNRGVVVKTEDGSSYAADFVVVSTSLGVLQSDLIQFKPQLPFWKIFSIYRFDMAVYTKIFLKFPRRFWPVGDGKQFFVYASRRRGYYGMWQSFEREYPGVPVLLVTVTDDESRRIEQQPDDVTKAEAVAVLRKMFPDVDVPNATDIYVPRWWSNRFFKGSYSNWPIGVNRYEYDQLRAPVGRVYFTGEHTSERYNGYVHGAYLAGIDSADILINRIFKNEEYKVRGKYEDQAAEAK >KQK86989 pep chromosome:Setaria_italica_v2.0:IX:4919880:4924885:1 gene:SETIT_035239mg transcript:KQK86989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGDDGRVVVDLRSAAESAAGAGGEEAHATPLHEIESLCMRCGENGTTRLLLTLIPNFREVVLMAFECPHCGERNNEIQFAGQLQPKGCCYRLEVPSGQSEILNRQVVKSDSATIKIPELDFEIPPEAQRGKCSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDQFLLKLRSLGSGEAAFTFILDDPAGNSYIENPHAPSSDPLLSVRFYERTREQQAALGFLAEPEQPGEAVLPVSAVGSNSGGLQAEPHGAVGALAGRRAIAQGNPDEVAAALCRYAAPEEVDVLPSSCGACGAECVTRFFATKIPYFREVIVMATTCDICGYRNSELKPGGEIPAKGKKTTLRVQNVKDLTRDVIKSDSASVKVPELELELTSGTLGGMVTTVEGLIVKICEEDLNGTCAFAFFPLKALERIHGFHLGDSTLEWKKKKWDDFKDRLSKLLSLQEPWTLILDDGLAASFVAPATDSLEDDKQLTIEEYQRSWEQNEELGLNDMDTSSADHAYNTTST >KQK86988 pep chromosome:Setaria_italica_v2.0:IX:4919880:4924885:1 gene:SETIT_035239mg transcript:KQK86988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGDDGRVVVDLRSAAESAAGAGGEEAHATPLHEIESLCMRCGENGTTRLLLTLIPNFREVVLMAFECPHCGERNNEIQFAGQLQPKGCCYRLEVPSGQSEILNRQVVKSDSATIKIPELDFEIPPEAQRGKCSTVEGIIMRAVDELQALQDERKKVDPQKAEAIDQFLLKLRSLGSGEAAFTFILDDPAGNSYIENPHAPSSDPLLSVRFYERTREQQAALGFLAEPEQPGEAVLPVSAVGSNSGGLQAEPHGAVGALAGRRAIAQGNPDEVAAALCRYAAPEEVDVLPSSCGACGAECVTRFFATKIPYFREVIVMATTCDICGYRNSELKPGGEIPAKGKKTTLRVQNVKDLTRDVIKSDSASVKVPELELELTSGTLGGMVTTVEGLIVKICEALERIHGFHLGDSTLEWKKKKWDDFKDRLSKLLSLQEPWTLILDDGLAASFVAPATDSLEDDKQLTIEEYQRSWEQNEELGLNDMDTSSADHAYNTTST >KQK87838 pep chromosome:Setaria_italica_v2.0:IX:9667855:9671971:-1 gene:SETIT_035695mg transcript:KQK87838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVICGEHGVDATGRYSGASAQQLERINVYYNEAGGGRYVPRAVLMDLEPGTMESIRAGPFGSIFRPDNFVYGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPVGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTSEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEYDEEEQDGDEEHV >KQK86844 pep chromosome:Setaria_italica_v2.0:IX:4097340:4098707:-1 gene:SETIT_038985mg transcript:KQK86844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVRPPRAVTFLLAPCDLPSQHPGGVLTTLWSLPPPPPELEPDTGRPAARSIELDMATPLIAGLSVAAAAMSGRYMIRTWQAFRTRAAMPRVRRFYPGGFQGEMNRREAALILGVRERATMDKIKEAHKRVMVANHPDAGGSHYVASKINEAKDILMGKGKSGASVF >KQK86705 pep chromosome:Setaria_italica_v2.0:IX:3364517:3365287:-1 gene:SETIT_037657mg transcript:KQK86705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGYAAAVRGLGIAVAAALLLSCQCAAAQPSAGSGCMPELVRLSPCMDYLSGNATTPDGPSCCSALLGTLTSSPGCLCMVLGGTAASLGVAVDGARAAQLLGACKVQAPPASQCNAVGAPTSSPAAPRTTTPGVPAAAAPSDANANPAGTGSKSTPASTLPYSDGSTGKPGAIFIFAAAALAFLHRF >KQK89153 pep chromosome:Setaria_italica_v2.0:IX:21367299:21371418:1 gene:SETIT_034698mg transcript:KQK89153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQHGFGLWEPAPLRLRATPQHLHGRLAQQVANVPSLTRKRPTGTKQLKTNSYSNSTCSPKPKPPSPRHRAAPASSRPTPPSSSPRPPTLSLSLSPLRPIYLYIRHLAPPHASHPHPPACRRDSMATSSDSSAPAPASDRAAAPAPEGDALPGAGGPEIAFFDVETSVPQRAGQGYALLEFGAILVCPRRLVEVASYATLIRPADPASAVSAASVRCNGITRDAVSGAPPFRDVADAVYDLLNGRVWAGHNIVRFDSARIREAFAEVGRPPPEPKGLIDTLPLLTQRFGRRAGDMKMASLANYFGLGKQRHRSLDDVRMNLEVLKYCATVLFLEASLPEVLTVENLVERAMTRSRANGAASPEAPKPEANSSPDSSKRQRTVCPADGALPEGSNQGTSDPSTSRESVELVSHIEEMKLDTTTQLDASSSGYSGFLEPDDISTEYIKISVAPLHQFGRRLSVQHKDSPLQLCSAGLKVQFGVSTKFLDNAGRPKLNIVVDIPDNLSKVLEFCDDLARRSSQESGSTSEWRALVKKYGYVNRPTVRLNIPTIVSGDSAIYTTDICQKEPSGNIQKLVFSNLDATELDSLFVRGSKVDAFFSLEIYDYQQNAGIRLVAKRLVVHSK >KQK86638 pep chromosome:Setaria_italica_v2.0:IX:3043548:3048021:1 gene:SETIT_038933mg transcript:KQK86638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDHGKKMLKLLVLTHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGIGRNFLRHLRRTRVIVHVVDAGADDPVNDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAHDRLSSLALEISSIGCEERQDIIEGGEKQLGDYPRPQAVVAASVLRHIGIDEMLKEIRAALRKCFDHKLPEQ >KQK88375 pep chromosome:Setaria_italica_v2.0:IX:13705154:13707084:1 gene:SETIT_039554mg transcript:KQK88375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVSIYYGGTVERDEYGCVKFVGMQCEVVIFDEKPSFSELVARAREELHCHENDEITVEGILHLGSPLNIQRKMVPIRCAGQWEKYVRTVMNGHSPSVEVVVRPVGVDRNSRRFSRPMGQRAHFDPPVAEPVMDVDVAPTIPDAESAPNEVVGHGCWIVDDVADSPNGFLFTQNDPINEFSDLSLSDQAFAEGRDDELLEAPEAGPSMVIEEVVCDKERCPWRVCARKQNITGKWKITKVVGQHNCADHELTVRHPQLTSTLIAKRLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWRMIYGDWESGYEQLPVLFNAIKAVNPGMHYEYIPKPNAWKEDGRQIFGRAFWCFPQSVEAFRLCRPVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHVVGPGREVGVISDRHQGILHAVQEQIEGYAPLHHRWCTRHLAENLLRKDGVKDNFDLFQVAARQLEDYYFQR >KQK92232 pep chromosome:Setaria_italica_v2.0:IX:54498145:54502201:-1 gene:SETIT_037303mg transcript:KQK92232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRIPLFGSQQQQTDPNFQDIPTQSWYPPSVAGSSSRPSTPTSSSATPHQRASDHPQPSSRGQPSPAEAAGIIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTQNNVRDELRKETLQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLTDLERQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKIRTAYHKQALLHLAGQTSLR >KQK92230 pep chromosome:Setaria_italica_v2.0:IX:54498145:54502201:-1 gene:SETIT_037303mg transcript:KQK92230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRIPLFGSQQQQTDPNFQDIPTQSWYPPSVAGSSSRPSTPTSSSATPHQRASDHPQPSSRGQPSPAEAAGIIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTQNNVRDELRKETLQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLTDLERQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKIRTAYHKQALLHLAGQTSLR >KQK92231 pep chromosome:Setaria_italica_v2.0:IX:54498522:54501309:-1 gene:SETIT_037303mg transcript:KQK92231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRIPLFGSSQQQQTDPNFQDIPTQSWYPPSVAGSSSRPSTPTSSSATPHQRASDHPQPSSRGQPSPAEAAGIIARLKDKSIEELQRLLKDKEAYNAFFNSLDQVKTQNNVRDELRKETLQLARENLEKEQRILELRNQCTIIRTTELAAAQDRLTDLERQKDDIMRSYSPAALLDKLQTSMAKLDEESEELHQKFLEKDIDLPTFVQKYKKIRTAYHKQALLHLAGQTSLR >KQK88822 pep chromosome:Setaria_italica_v2.0:IX:17420118:17420363:-1 gene:SETIT_040632mg transcript:KQK88822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDSMNRTSHSDNNRKKKTPYLVSVKKRGRLSCVYYSL >KQK90305 pep chromosome:Setaria_italica_v2.0:IX:41435154:41437083:-1 gene:SETIT_039300mg transcript:KQK90305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTKKSASAAAHLTDDLIVEILSRLPAKSVCCFKCVSWHWYGLITNPEHRKKIPQTLSGFFYRSCRLNHEKDMIIFPDFRHGQRRPFSDPSLTFITGYKLIIPKICCNGLLFCLCWKVYPRDESDYVVCNPATEKWVVLPDSDHDSSACAYRLFFDPAISLHFHVFQIIEEDEDYMGTSLGKTWRTIPLLETMRVENFCRGPFSFIGQSQGLLYYINMRDCDTSKLSVRILEDYDGDEWLFKYSISTTHIFEEKNPMFDRDYCLIAIHPECNLIFFVWRCEEDMHMLVSYDMDHGKVCVICSLKEFFYDEFLPYLPYVPFLSGSLPGHS >KQK93018 pep chromosome:Setaria_italica_v2.0:IX:58349087:58351698:1 gene:SETIT_036436mg transcript:KQK93018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPVSRAAFASVLLGRRAVGASLVAARCASSAASPAAAVTAYDHASFVKEIAATDPPEHLNSLLNVLQARGEKIVSPGAKRGLIPVVVPLSESPAGNLTSLLRWPTAPTGMEMPVVEVGKHGLWLLAKNVKQYIHRILVEADINADTGDDVWDAVGEAGENLYTKGDFKESQLADLDVYLLKKVGLFPDVIERKTLRHLEKGDNVSALITGEFYSRDQFPGFGRPFVFNAEILKRVGRTSEAKDSARVALKSPWWTLGCSYEEAAELAGWEDEQIEFIREKVTEEGKREDLKKGKAPEQVVLDEAAFLMDLACVDGNWDDVVDRIAECYREAGLDDVAKFIAYRE >KQK88628 pep chromosome:Setaria_italica_v2.0:IX:15610076:15611806:-1 gene:SETIT_038108mg transcript:KQK88628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPPPERAARVTRFLKPYLLRMHFSNKYVSAQVIHSPTATVACSASSQEKLLRPDMGSTRDVAAAAKIGKLLGERLLLKGIPAVSIHMKREQKYHGKVKAVIDSVREAGVKLL >KQK92455 pep chromosome:Setaria_italica_v2.0:IX:55590194:55594325:-1 gene:SETIT_035145mg transcript:KQK92455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQQHRPTAMSRAELFRTVRQATLNHARQLYHRLVIRLPHLLAVTLLVAAAQLAPPSLAGAPRAILDAARANAPLAAAACAGLAAAACAYAASRPRPVYLVDLAGYKPGGVHAATRARSIRHFARAGRFTDESIAFQARMLERAGVGEATHFPASLLTVPVDMCLRTAREESEAVVFGVVDDLLARTGVRAEDIGVVIVNSSCFSPTPSFTSLVVNRYGLRHDVVTHNLSGMGCSAGIIAIDLAKHLLQVHPDTYALVVSTENITLNAYLGNHRPMLVTNTLFRMGGAAVLLSNRAADRRRAKYQLVHTVRTHRGASDRSYGCVTQEEDPEGNVGVSLSKELMSVAGEALRTNITTLGPLVLPLSEQLRFLSAVLLRRVLLRSDAKPYVPDFKLALEHFCIHAGGRGVLDELERSLGLSGWHMEPSRMTLYRFGNTSSSSLWYELAYCEAKGRIRKGDRVWQIAFGSGFKCNSAVWKALRTVDDAGETNPWADEVDRLPVHVPKVAPIQFDDQAPKPKEDDGHAA >KQK92321 pep chromosome:Setaria_italica_v2.0:IX:54902156:54908237:1 gene:SETIT_034145mg transcript:KQK92321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGTYSLLHMRPPASGCRPLSLQRLPPIHFRRTAPTSHSQRRLHIHLPARGPAAGLTRATADPPSASSAVDAAAEDEGAGGGAGSAVLLDVSGMMCGGCAARVRAILAADARVETAAVNLLAESAAVRLRAPAPPGAGEALAARLTECGFPAAARRGGAAAGAGESARKWREMAARKEELLARSRGRVAFAWTLVALCCGSHASHILHSLGIHVAHGTFLDLLHNSYVKCGVATTALFGPGRDILFDGFRAFKQGSPNMNSLVGFGSAAAFAISAMSLLNPELEWNSTFFDEPVMLLGFVLLGRSLEESARLKASSDMNELISLLSPQSRLIVTSSSDDPSSDTILNSDAITVEVPVDDVRVGDSILVLPGETIPVDGNVIGGSSFVDESMLTGESLPVAKETGLPVFAGTVNWDGPLKIRATCTGPSSTIAKIVRMVEDAQAREAPVQRLADSIAGPFVYTVMTLAAATFSFWYYIGTHLFPEVLLNDIAGPDGDSLLLSIKLAVDVLVVSCPCALGLATPTAILIGTSLGAKRGLLIRGGDVLERLAGIDALVLDKTGTLTEGKPVVTSIASLAYEEAEVLRLAAAVEKTALHPIANAIMNKAELLKLDIPITSGQLTEPGFGCLAEVDGCLVAVGTLDWVHNRFETKASPTELRDLRNHLEFMLSSEASSSNQSKSIAYVGREGEGIIGAIAISDILREDAKLTVDRLQQESITTFLLSGDREEAVTSIGRIVGIRNENIKSSLTPQDKASIISTLQGEGHRVAMVGDGINDAPSLAAADVGIAMRTHSKENAASDAASVVLLGNRLSQVVDALSLSKATMAKVQQNLAWAVAYNIVAIPIAAGVLLPQFDFAMTPSLSGGLMALSSIFVVSNSLLLQLHGSFQNTEKRQSDLSSRLN >KQK88492 pep chromosome:Setaria_italica_v2.0:IX:14614186:14615609:1 gene:SETIT_037244mg transcript:KQK88492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGKWSKEEDNLIKNHVENFLWFNAGLKRCGRSCRSRWLNYLRPGLKHGNFTLAEERIICEMYSKRGSCWSVIAAQLPGRTDLAIKNYWNSTLKKKNPAARTPPACSTSSDAGTPARDLQLVAYSSEESSTAGSSPANAKPVLADPPPVPVVTDGQGPIAAVPVSRPVRIEQKQAMVSRSRLEKQQQPPPPACDQTGERIMDIVCAPMSPVPLSFIEPEELACIYEFDDIDSFLPWFDHH >KQK88218 pep chromosome:Setaria_italica_v2.0:IX:12374517:12377070:1 gene:SETIT_037108mg transcript:KQK88218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDFLRMIKRLEVSLMPLRRDPFYEFIYIIQDLKKKEREFMAKEAELNRREQEIKRREEALARAGVLIEPRNWPPFLPIIHVDISNDIPVHLQRVQYVSFASLLGLVICLFWNILCVTGAWITGHDARIWFLAVIYFITGCPGAYFLWYRPLYRAMRKDSAFSYGWFFLFYFFHIAFCIYAAVSPPFYMGRALAGILQAISEIGENAAVGIMYFMGFAIFVLEVLLSMWVFQRVYWFFRGKGTEAQMRPDAASRAPPF >KQK90187 pep chromosome:Setaria_italica_v2.0:IX:40457043:40458152:-1 gene:SETIT_036974mg transcript:KQK90187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLVMSRRRGAGGGLRIKKNARGFMCGCGGAKAVSISDGSDKQSPMATPQTAASTTPLTTVSATTTATTTTVAAKARRTSRAGDRPAAEAPSSFSSSSFYADTTTDDGPSSMESTPSLSALLRQLGDLERSVRFLHAGTGAAPGHGAEAGSGAGAKQNGGGGRRHRRTVSEGGGGGSGRVEESVAVVKESADPLADFRRSMLQMIVEKEIVGGAELRELLHRFLSLNSPHHHHLILRAFAEIWEEVFAGYERTPDFLVAHRRKKQQQQLATTTRGA >KQK91549 pep chromosome:Setaria_italica_v2.0:IX:50485344:50486931:1 gene:SETIT_037871mg transcript:KQK91549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIRRSNVFDPFSLDLWDPFQGFPFGSGSGSLFPRIPSDSETAAFAGARIDWKETPEAHVFTADVPGLKKEEVKVEVEDGNVLQISGERSKEQEEKNDKWHRVERSSGKFLRRFRLPENAKTEQIKASMENGVLTVTVPKEEVKKPEVKPVQITG >KQK88624 pep chromosome:Setaria_italica_v2.0:IX:15581581:15586201:1 gene:SETIT_034638mg transcript:KQK88624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWPEDRSGQWLRLVTTGTGRGGRRSSMAGERDGGRAEAERSAHPPNPPPATRPVRPGIFFSKRKSITRNFIGNCSTLNRPYAAGSPPPPFSAPSLAANAMSGAMDTAVSHNATDAAAAAKLGGGLASLLPEVQTLELLVAVSIFVAIHSLRQRRSQGLPTWPLVGMLPSLLLGLRGDMYEWITGILKARGGTFTFRGPWFTNLHCVVTADPRNLEHLLKTRFGSFPKGPYFRDNVRDLLGDGIFGADDEVWRRQRKAASLEFHSAEFRALTASSLVELVHRRLLPVLADAEAGGGAVDLQDVLLRLTFDNVCMIAFGVDPGCIRPGLPEIPFARAFEDATEATIVRFLTPTAVWRAMRALGVGNERVLQRSLAGVDEFAYDVIRKRKEELEAGYDAGRRSDLLTVFTKMRDEDGRPAYSDKFLRDICVNFILAGRDTSSVALAWFFWLLGKNPAVEARILEEIEGIVAARKAPGEVEEEFVFQPDEVKRMDYLHAALSEALRLYPSVPVDHKEVVEDEVFPDGTVLRKGTKVIYAMYAMGRMESIWGDDCREYRPERWLRDGRFTSESAYKFTAFNGGPRLCLGKDFAYYQMKFAAASILLRYRVHVVEGHPVAPKIALTMYMKHGLKVTLAKRDKATRL >KQK89131 pep chromosome:Setaria_italica_v2.0:IX:21202001:21204385:1 gene:SETIT_038759mg transcript:KQK89131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGPGVVHICREVEWTPYTHDQLSNIAFSPMCYRDMELWRSTTPLILYYVVEMHLLHRVMWQFGRAQQCPPMEYSTLQALHKIDRKKRYKENDWRQRCDPRDGSYWHAGPHKEYLRWYYVAMRTRIKPAWTTDPIENPSSDDSDDIADETASASTAPVRSSRSQGKAPATPEPNDPTYGEHFEMSNMFDAPPVTQTQGESSQVVSPVSPSCNLNC >KQK91880 pep chromosome:Setaria_italica_v2.0:IX:52501124:52505455:1 gene:SETIT_034211mg transcript:KQK91880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLATGAADQAPAPTALGALRRRLPRVVTNTGLIDDSPASPSTPSPAPRPRTIVVANQLPIRSHRPASPEEPWTFDWDEDSLLRHLHHTSPPSMEFIYIGCLRDDIPAADQDAVAQALLDTHNCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVHMEQLKTVLGLPKTEAKVAELMEMYMGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVAEVQAETYAMVQRINEVYGEPGYEPVVLIDEPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNEKLDRMLRQGKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPENEKKLRHDKHFRYVSTHDVGYWANSFLQDLERTCKDHSHRRCWGIGFGLRFRVVSLDRHFKKLSLESILMAYRNAKTRAILLDYDGTLMPQAINKSPSAESVRILNSLCRDKNNEVYLCSGYDRRTLHEWFPCENLGIAAEHGYFLRMADLHHPCGLQLEADRRAGDVPLQGDDGRFNHRGQGDDPRVELRGRRP >KQK91879 pep chromosome:Setaria_italica_v2.0:IX:52502114:52504457:1 gene:SETIT_034211mg transcript:KQK91879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLATGAADQAPAPTALGALRRRLPRVVTNTGLIDDSPASPSTPSPAPRPRTIVVANQLPIRSHRPASPEEPWTFDWDEDSLLRHLHHTSPPSMEFIYIGCLRDDIPAADQDAVAQALLDTHNCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVHMEQLKTVLGLPKTEAKVAELMEMYMGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVAEVQAETYAMVQRINEVYGEPGYEPVVLIDEPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNEKLDRMLRQGKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPENEKKLRHDKHFRYVSTHDVGYWANSFLQDLERTCKDHSHRRCWGIGFGLRFRVVSLDRHFKKLSLESILMAYRNAKTRAILLDYDGTLMPQAINKSPSAESVRILNSLCRDKNNEVYLCSGYDRRTLHEWFPCENLGIAAEHGYFLRGTQNGRPASPLRTAAGSRSQSR >KQK91881 pep chromosome:Setaria_italica_v2.0:IX:52501124:52505455:1 gene:SETIT_034211mg transcript:KQK91881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSYSNLLDLATGAADQAPAPTALGALRRRLPRVVTNTGLIDDSPASPSTPSPAPRPRTIVVANQLPIRSHRPASPEEPWTFDWDEDSLLRHLHHTSPPSMEFIYIGCLRDDIPAADQDAVAQALLDTHNCVPAFLPPDIAERYYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSANKIFADKVLEVINPDDDFVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYKTLPVREELLRALLNSDLIGFHTFDYARHFLSCCGRMLGLSYESKRGHICLEYYGRTVSIKILPVGVHMEQLKTVLGLPKTEAKVAELMEMYMGKGRVVMLGVDDMDIFKGISLKLLAMEELLRQHPEWRGKLVLVQVANPARGRGKDVAEVQAETYAMVQRINEVYGEPGYEPVVLIDEPLQFYERVAYYVIAEVCLVTAVRDGMNLIPYEYIVSRQGNEKLDRMLRQGKPEEKKSMLVVSEFIGCSPSLSGAVRVNPWNIEAVADAMESALVLPENEKKLRHDKHFRYVSTHDVGYWANSFLQDLERTCKDHSHRRCWGIGFGLRFRVVSLDRHFKKLSLESILMAYRNAKTRAILLDYDGTLMPQAINKSPSAESVRILNSLCRDKNNEVYLCSGYDRRTLHEWFPCENLGIAAEHGYFLRSKRDAEWQTCITPADCSWKQIAEPVMCLYRETTDGSTIEDRETILVWNYEDADPDFGSCQAKELVDHLESVLANEPVSVRTTPHSVEVKPQGVSKGLVARRMLASMQERGQCPDFVLCIGDDKSDEDMFQLIATAACGDSLASKAEVFACTVGRKPSKAKYYLDDAAEVVRLMQGLSYVSEELALANHRDEDEDSSLDVWE >KQK86066 pep chromosome:Setaria_italica_v2.0:IX:143128:144416:1 gene:SETIT_038171mg transcript:KQK86066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKTPAAAAALLLWLVVVASSPRAALGNCRDECEAACIGWPIVCKLSCASACMGQAGIATMSTTASAAAAPPKHPAASAPAPSPSGGGVLVLRKGLKASSAN >KQK91033 pep chromosome:Setaria_italica_v2.0:IX:47260943:47263231:-1 gene:SETIT_038333mg transcript:KQK91033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWTLLEGFLLLANALAILNEDRFLAPRGWSMSEVSGNGQTKSLKGQIVGLIYATQFLRMPLIALNVLIIVVKLVSG >KQK86087 pep chromosome:Setaria_italica_v2.0:IX:230919:235622:-1 gene:SETIT_037109mg transcript:KQK86087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAVAPEVAAALARGGAVVALESTIICHGMPYPKNLQTAFEVEAVVRDAGAIPATIAILDGVPHVGLSSEQLKRLAISGRQFQKTARRDIAHVVASGGNGATTVSATMFFAHKVGIPIFVTGGIGGVHRHGEQTMDISSDLTELGKTPVAVISAGVKSILDIPRTLEYLETQGVTVAAYRTNEFPAFFTEISGCQVPCRVDSPEECAKIIHANSNLHLGSGILIAVPVPTQHAASGNIIESAIQKALKEAEYSQHLRF >KQK86086 pep chromosome:Setaria_italica_v2.0:IX:229956:235625:-1 gene:SETIT_037109mg transcript:KQK86086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAVAPEVAAALARGGAVVALESTIICHGMPYPKNLQTAFEVEAVVRDAGAIPATIAILDGVPHVAMDISSDLTELGKTPVAVISAGVKSILDIPRTLEYLETQGVTVAAYRTNEFPAFFTEISGCQVPCRVDSPEECAKIIHANSNLHLGSGILIAVPVPTQHAASGNIIESAIQKALKEAEYSQHLRF >KQK87548 pep chromosome:Setaria_italica_v2.0:IX:7869094:7870652:-1 gene:SETIT_038926mg transcript:KQK87548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGADGAGSSSRQRMHVVIFPWLAFGHLLPGLELAQRLASRGHRASSLVSTPRNLARLPPLPAALAPRVDLVPLPLPRLDGLPDGAESTNDVPFDAFELHREAFDGLAAPFSAFLDAACAQGGIVPCAMLLHLPASIFAALAGQPPRPEQAGSGQQHQATGDGQPAAVAPRFETERNRIMYSTEGASGISVGQRFFLTVQRCNFIGKRTCAELEPEALPRLPTLFGKPVVPFVLLPPSPDGGRAAAGKDGDDAITPYEVPLSIELVHELALGLELAGVPFLWALRKPTGGVADADVLPPGFEERTRGRGLVAMGWVPQISILAHGAVGAFLTHCGWNSTIEGLLFGHPLIMLPIYGDQGSNARLMEGRKIGVQVATDEDDGSFDRHDVVTAVRAVMSEDESRSVFVANAKKLQEIVADRACQERCIDEFVERLRSSCLERARPFSLVHLQPDL >KQK89769 pep chromosome:Setaria_italica_v2.0:IX:35786412:35789924:-1 gene:SETIT_039961mg transcript:KQK89769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSRTKARTRAPPKARRKAPTRASRWASERGGGGGRTETAMEGWTPRRHRFWLRAEIEVDRGSSILRGPGFGFAAVVREPLVKLRRPQYDFEGWDWDYFSWPHDRLDANLEMRDGDPEATLEADQKAIESFLNQSMLQPESYDMYQHKQEQHTAALPRDHLKVSDRFIKKQFLTNLPVSD >KQK92710 pep chromosome:Setaria_italica_v2.0:IX:56882745:56884655:1 gene:SETIT_035042mg transcript:KQK92710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSKWCDANYVPLTPLSFLESTALVYGGRTAIVCGDRQFSWRETRERCLAGASALAHLGVGRRDVVAVIASNTPAMYELHFSVPMTSGVLCTLNTRHDAAMVSVLLKHSDAKVFLVETQFLAVAHDALRLLADASANLPLVITISDMDGGGMDYEALLRSAPRGFEIRWPADERDPISLNYTSGTTSRPKGVIYSHRGAFLNTLATLLINDVVTMPVYLWTVPMFHCNGWCMVWGTAAKGGTSICIGSVSPKVIFEKIVRHGVTNMGGAPTVLNMIVNAPASERKPLPRRVRISTGGAPPPPQVLAKMDELGFEVVHGYGLTETYGAATVCAWKPEWDALPPAERARIKALQGVPHNMLHEIAIKDPVTMETLPSDGRAVGEVMLRGNTVMSGYFKDAAATEEAMRGGWLRTGDLGVRHPDGYLQLKDRSKDIIISGGENISSIEVESVLFGHPAVLDAAVVARPDDHWGETPCAFVTLKDGARATAEDIIEFCRARLPRFMAPRTVVFSDLPKTSTGKTQKYLLREKARGMGSLRKPDRSRL >KQK86636 pep chromosome:Setaria_italica_v2.0:IX:3036600:3037064:-1 gene:SETIT_038822mg transcript:KQK86636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAKSSEAAPATGNATSQWSSGLCDCFDDCGLCCLTCWCPCITFGRVAEIVDRGATSCGASGTLYALLASLTGCHWIYSCTYRSKMSAQFGLPENPCCDCCVHFCCEPCALCQEYNELKARGFDPKLGWDLNAQRGAGAGKAMYAPAEQGMGR >KQK89689 pep chromosome:Setaria_italica_v2.0:IX:35058486:35059402:1 gene:SETIT_040044mg transcript:KQK89689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDPELIWAVPPNTCLKDPNYITSRAILSTRNDCERRWSISFGSVEDDPHNYYPSEFLNTLTPNGLPPHMLKLKINCPIILPRNIDPANGLCNGTRFVVRGLQKNAIDAEIVLGQYYGTRRKQFPFRLSFTITINKAHRQTIPNVGIYLPKPVFSHGQLYVAPSRETAAKNIKILTAEKDDDEEDQKQDNKVETSEKNKKKQKRKSKSNMSDKKKVNKKDTTDTYTNNIMYSEVLTK >KQK90331 pep chromosome:Setaria_italica_v2.0:IX:41655948:41658731:1 gene:SETIT_036359mg transcript:KQK90331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSPPGRRRIFLRGALPSNPHGGEHREQPADNWQAPTQEFFLPMLLRLKIVGVHSVVVPGGHDHGATFAAAPRRRSRSTAAGVARRTRRVVVTMALKEEPESSRSGFAGGGPSWDPGLEIQVPFEQRPVNEYSALKDSVLYSWAELSPGSFFLRLGGLWMITFTVLAAPIAAASFNPGKDPLKFVLAAGIGTLLLVSLVVLRIYLGWSYVGDRLLSAVVPYEETGWYDGQMWVKPPEVLARDRLLGSYKVKPVINLLKQTLVGTGALLVGAVSLFAFAAPVEDFLHSMNQPPSAASSKPSLRREELLRLPVEVMQDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWDDLLN >KQK90195 pep chromosome:Setaria_italica_v2.0:IX:40551853:40552363:1 gene:SETIT_040831mg transcript:KQK90195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVPKSYHRLAVAFVPPKAKKVKLRRCTDSCVTALHGMPHKNTRC >KQK92490 pep chromosome:Setaria_italica_v2.0:IX:55798090:55801988:1 gene:SETIT_039084mg transcript:KQK92490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHSVCPLSVVLQVHIVYLGHNNGLSPSLTSESHLQLLSRVFTKPDEATEAILYNYSCGFSGFAALLNSTQASTLSETEGVISVFRSRMLELHTTRSWDFMGLNLHTQMEQSSQMHLKFGGDVIVGILDTGVWPESESFRDDPHYGPIPSSWRGTCVKGDSFDPATACNRKLIGARYYLAGIESELGPLNTTGGEEYRSPRDRVGHGTHTASTAVGSVAPNASYFGLGRGAARGGAPRARLAVYKVCWYKDLTGRCSDADILAAFDDALCDGVHVVSASLGSSPPLMPLFATSTEIGAFHAMQRGVVTVFSAGNDGPDASMVQNVSPWGLTVAASTIDRRFPTVITLGNNATVVGESFLANGMMKKQLVESSSVFSDGTCTFDQLINRTAASGKIVLCFGTAGPVSSEGAALAVYAGNGDGVIFADTISRKSSQDNFWPTVHVDLHQGTQILYYIRASRKATVHVSSSKTVVGKTPAPVVAYFSSRGPSSISPHILKPDVTAPGVNILAAWPPKSSPTVLPLDKRSTDWNFDSGTSMSCPHVSGIAALIKSVHPTWSPAAVKSALMTTAYMDDDTSDVMLAGGTLKAADAFDVGAGHVDPLRALDPGLVYDVGARDHVLFLCALGYTAEQIRQLVLPGSSLDTSCPGGGGGAAHAADLNYPAIVLPDLSAPVTVKRTVTNVGPNRGAVYRAAVASPQGARAEVWPRELAFSPYHGDTASYYVSVAPAKPSRGRFDFGEIVWSDGFHRVRTPLVVRVTNLPDDG >KQK87295 pep chromosome:Setaria_italica_v2.0:IX:6468910:6471708:-1 gene:SETIT_035343mg transcript:KQK87295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVSGPYFEPDFDPLLDRFGTPGVVVDNETREDCTLVKVDSVNRDGVLLEMVQLLTDLDLVISKSYISSDGGWLMDVFHVTDQIGRKLTDPSLPEFIQRALVPFHRPRNGPSPKFTTCLGNVVGPGGPDVSDCAALEFTVHDRPGLLSSITSVLVDNGCHVASGQAWTHNGRAAGVLYVTATADAAGATALLHPSRWARIERLVNAVVDARENVTGERHWVCMSEPVHGRVHTERRLHQLMHDDRDYESGPAPTPVDEELFSMGEKAATARTARRTETRVSIDSWEERGYAVVKMTSRDRPRLLFDTVCALTDMHYVVFHATVGSQGPLAIQEYYIRHKDGRTVDSDAERQKVSRCLVAAVERRATHGMRVEVRAADRSGLLSDFTRVLREHGLSLLRVELKRHKDEAVGIFYLVTDTGSEVRTEAVRAVQTRVAEMDISLDIVKEVPGWPPVRKTSVPAPPVAGQQAQERPRSSLGSLLWSHLGKLSNNFGYIRS >KQK91539 pep chromosome:Setaria_italica_v2.0:IX:50447161:50451740:-1 gene:SETIT_035477mg transcript:KQK91539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLFIAGHMRRAAPAVITRVRARQILDGHGAPAVEVELHTNKAVHRASAAGAGAPEGAAADAAGDSERRKILARAVADAVRVINDKVSEALVGMDPQQQAQIDQAIMDLDKARHKAELGANAMLAVSIAACKAGAAEKEVPLYKHIADLVGKSATTLPVPAITVINGGKHAGNGLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGAESCNIGDHGGFAPNISSISEGLDLVIAAIERAGYNGRIKLAIDVAATDFCVGKKYDLEFKSKKKSGQNFKTADDMIEIYSQLCSEYPLVSIEQPFDKDDWEHSKKLTTLELCQGTTY >KQK91540 pep chromosome:Setaria_italica_v2.0:IX:50447675:50451665:-1 gene:SETIT_035477mg transcript:KQK91540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQEYLEKHLLSRKIEEAVNAAVRAKAPDPVLFIAGHMRRAAPAVITRVRARQILDGHGAPAVEVELHTNKAVHRASAAGAGAPEGAAADAAGDSERRKILARAVADAVRVINDKVSEALVGMDPQQQAQIDQAIMDLDKARHKAELGANAMLAVSIAACKAGAAEKEVPLYKHIADLVGKSATTLPVPAITVINGGKHAGNGLPIQEIMILPVGAKNFEEAMQMGSETYHHLKDIILEKYGAESCNIGDHGGFAPNISSISEGLDLVIAAIERAGYNGRIKLAIDVAATDFCVGKKYDLEFKSKKKSGQNFKTADDMIEIYSQLCSEYPLVSIEQPFDKDDWEHSKKLTTLELCQVAGDDLLMSDPERIKRAVNEYTCNALVLKVNQVGTVTEAIEVVKQAKDAHWGVMVSHRSGDTEDSFVADLAVGAAAGQIKAGAPCRGECLTKYNQLLRIEEELGNEGVYAGDNWRTASTS >KQK91779 pep chromosome:Setaria_italica_v2.0:IX:51753546:51756348:-1 gene:SETIT_035388mg transcript:KQK91779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSKAVSESDMSVHSTFASRYVRASLPRYRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMAAINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKRKAEGKPFDKPNIVTGANVQVCWEKFARYFEVELREVKLRDGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLEVKNRETGWETPIHVDAASGGFIAPFLYPELEWDFRLPWVKSINVSGHKYGLVYAGIGWCIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRHGFEGYRNIMENCHENAMVLKEGLEKTGRFNIVSKDEGVPLVAFSLKDRSRHDEFEISDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREEFSRTLAERLVLDIEKVMYQLDALPSRLPPPPPPALLVKKKSELETQRSVTEAWKKFVLAKKTNGVC >KQK91123 pep chromosome:Setaria_italica_v2.0:IX:47942270:47942863:-1 gene:SETIT_039001mg transcript:KQK91123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSEARIGGDQLLHPLTFHNTPPQSLSSSGDAVPPHLSSPPPPSPPAQLTECMTPLIGMMPCMDYLTNLTVLAPPAECCDNLKLVIRDAPICLCHGMTGDMNDLMPLPIDPVRMIILPLACGAMLPLQTLFSCNTQQVPPIMPPMAAPTPANPLVSPIR >KQK88973 pep chromosome:Setaria_italica_v2.0:IX:19101434:19103608:-1 gene:SETIT_035502mg transcript:KQK88973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWLAVLFYLLADTAAAYFCSSLEGLSRLLRLPPAIAGATLLSLGNGAPDALSALASFDGRGKGGGGGAAAVGLSGVLGGAMFVSAAVLGVVALRAGGQGVAVDRASFFRDAAFLLLALAAVAVVITAGEVGIWGAVAFASLYLVYVLAVAFTPEHWTRRQDEEEDHGPAAASSELHSVIETKFYTDQEARDLLLADTAAPLLQYYAGDNISGGGNNKSTFWTVMRVLALPLTLPRRLTIPDASKERWSKTTAVTTATLAPIFLAFLCSHRATGSPFPAVLLGGLVGIVLGLLAFLTTEPSAPPTRFLAAWLAGGFAMSVAWAYVIANEVLSLLVSASVVLYVDAAALGVTVLAWGNSLGDLIANVAVASRGGPCGAQVAVSGCYGGPVFNVLVGLGLSLLLSCWAGYPQTVKIPRESGLYRTLGFVVVGLVWALVMLPRRGMRVDRTLGFGLLAIYLCFICINISQLKNER >KQK86248 pep chromosome:Setaria_italica_v2.0:IX:923061:924281:1 gene:SETIT_038820mg transcript:KQK86248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDTGDDASIALLHRLRAGATVHFVHHADVCSAAPEDLVTDLEPAPGTSVWYFYCVKKYKSTHGRPGGHRQRAIAASDTCWHSEAGAKEVKGSEGGGTVCHLSYGRKDGRSFSRLGWCMMEYDDATGGSDYVLCKIYRSPRAQVKPSSAASKTSKRKAGGEHPEARPVKLFHEQDTFFFTDDYAVPSTVAQVNVGGEEEQHCRSMLPAEEHCVDLDFLDHDVLPLTDDEMAILESLLPAEEQQFLQHNTQPPLPAEEQQFLQHNTQSLLPAEEQQFLQHNTQPPLPAEDQQFLQHTTQSLLPAEEQQFLQHNTQPPLPAEEQQFLQHNTQPPLPVEEQQFLQHNTQSLLAAEEQQFQFEDSTQFTIGKLLGDDVNVYEFMISRCCGTPTAMAPPDAGFFHGLAF >KQK88327 pep chromosome:Setaria_italica_v2.0:IX:13197886:13198509:-1 gene:SETIT_039206mg transcript:KQK88327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNAAAILPTHAGDDLHRRRRRRCCCVCCLLAALLIGVTLLVLFLTVLRVRDPTTRLVSSRVIGFSPGPPGPELQFNLTMLLTVGVHNPNRASFSYESGSAELRYRGVLVGVAGVEPGRIPSRGDGTMELMMTVLSGSFGAELPQLVRDMEAGAVPLDAGARVPGKVGLLGGVIKLPAVAYSDCHVIFGVPEMKVRSQVCHDHTKL >KQK92453 pep chromosome:Setaria_italica_v2.0:IX:55577983:55578502:-1 gene:SETIT_040597mg transcript:KQK92453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAILFSWTHLRILQHINSRRIYFESELPTACLCS >KQK92950 pep chromosome:Setaria_italica_v2.0:IX:58022538:58025182:1 gene:SETIT_036796mg transcript:KQK92950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLCHPVRITTCGKFVRRNAAKVFCSMETPPPSQSTIKVVIIGATKEIGRTAIAAVCKARGMELAGAIDSQCIGEDAGQISGMEEPLELPVLNDLTMVLGSIAQSRATGVVVDFSEPSTVYDNVKQAAAFGLSSVVYVPKIELDTVTELSAFCEKASMGCLVAPTLSIGSVLLQQAAIQASFHYSNVEIVESRPNPLDLPSQDAIQIANNISDLGQIYNREDMDTSSPARGQLLGEDGVRVHSMVLPGLVSSTSINFSGPGEMYTLRHDVTNVQCLMPGLIMAIRKVVRLKNLIYGLEKFL >KQK91741 pep chromosome:Setaria_italica_v2.0:IX:51543578:51546761:-1 gene:SETIT_035589mg transcript:KQK91741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPPPGYVRNPVAVAEAETTAKKEREKAEKKKEKRSDKKALLHGETSKHSKHSHKKRKHEDVSTVGKETKKVSSESVEQLEKSGLSEELGAPCFIQTVRDSPESSQDSSKRRKVVLPSPSQAKNGNVVRIKIKSNQDSQAAVLEKPRVPEPPLVQQMRSSSLLSKQNSIQHHNKVNGRSAAAQQRINGDSQAVQKRSVTEPPAKVMQRVVQQPAVKITQPVDPQLSVKAAVGRSDLLPPKSSGIVDPLPARSVGRSDPQPVKMTQRVQHPPAKILQKDLQLPSEDIQRKSYAVSTKVAPKEFRSPAVGLPEAPQLPLLQKPKDLSVLKQQQVPVASLPKEEPCTSGRNAESAPVQEPKLSRSDRKKIRKAEKKEKKYRDLFVTWNPVSVEMGCSDLGEQDWLLGSTRNTDASMTCRASDCSVPFQSMEQQPSLQPRATLLPDLHIYQLPYVVPF >KQK91742 pep chromosome:Setaria_italica_v2.0:IX:51543868:51546557:-1 gene:SETIT_035589mg transcript:KQK91742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPYPPPGYVRNPVAVAEAETTAKLQKEREKAEKKKEKRSDKKALLHGETSKHSKHSHKKRKHEDVSTVGKETKKVSSESVEQLEKSGLSEELGAPCFIQTVRDSPESSQDSSKRRKVVLPSPSQAKNGNVVRIKIKSNQDSQAAVLEKPRVPEPPLVQQMRSSSLLSKQNSIQHHNKVNGRSAAAQQRINGDSQAVQKRSVTEPPAKVMQRVVQQPAVKITQPVDPQLSVKAAVGRSDLLPPKSSGIVDPLPARSVGRSDPQPVKMTQRVQHPPAKILQKDLQLPSEDIQRKSYAVSTKVAPKEFRSPAVGLPEAPQLPLLQKPKDLSVLKQQQVPVASLPKEEPCTSGRNAESAPVQEPKLSRSDRKKIRKAEKKEKKYRDLFVTWNPVSVEMGCSDLGEQDWLLGSTRNTDASMTCRASDCSVPFQSMEQQPSLQPRATLLPDLHIYQLPYVVPF >KQK89915 pep chromosome:Setaria_italica_v2.0:IX:37107264:37109890:-1 gene:SETIT_035222mg transcript:KQK89915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEDAAAPAAGRVEYGGRVTPFLVLSCVLACCGGFLFGYDLGISGGVTSMNSFLKRFFPEVYRQKQDSKVSHYCQFNSELLTLFTSSLYIAGLVATLVASSITRKFGRRASMLIGGAFFIAGSVFGGAAVNVPMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAINNGFELCLSLGILCANILNYFVVKIKAGWGWRISLSMAALPGAFLTMGAIFLPETPSFIIQHDGDADKARVLLQKLRGTESVQKELDDLVSASNLSRTTRYPFRNIFKRKYRPQLVIALLIPFFNQVTGINVINFYAPVMFRTIGLKENASLLSSVVTRLCATFANIVAIILVDRSGRRKLLLVGNIQMILSLFTVGAILAAKFKDHEEMDKDYAYLVLIIMSVFVAGYGWSWGPLTFLIPSEVCPLEISRSAGQSIVVAVTFLMTFVISQTFLAILCRIKSATFFVFGAWICLMTLFVYMFLPETKRLPMEQVKHVWRRHWFWKKIVREEQEEKKQAGTIALSSS >KQK89718 pep chromosome:Setaria_italica_v2.0:IX:35301069:35303417:-1 gene:SETIT_034780mg transcript:KQK89718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPGGSNGHHHHHHQQVSSMESGPAAGRRFNWKAPAIVLVFELLESIAFSGVALNLVVYLATVLHGSTAFNAAHVDTWNGTTFIVPVIGAFLADSYWGKYRTILASISFYLVGLVLLTVSAAVPSLRPATACQMGESCAPASKTQFSVFFAALYLTSIGTGGVKSALLPFGAEQYDDDAERPERKQAFFSWFFAAINLGIFIAGTLVSWLQQNVAWALGFGVGTACLLAAALAFVAGTPWYRVQMPTGSPLKDIVRVLVAAFRKRKARLAQADGAAVPLHEEEEDAAANGGQRLSRTKGLRCLDKAAVIVKEQDREQEGEWSLCSVSEVEGVKILVRMLPIWLTCVLYAASLGQMTTTFIQQGMVMDARLGGRFKVPAASLVSVEVVFMLLWVALHDAAIIPLARRATGRPGGLTQLQRMGVGRFLVVLALGTAALVERRRLRQAPSAPMSILWQVPQFVLVAGSDVFCGIAQLEFFYGEAPAAMRSICSAFSFLALSLGFYVNSLVVTLVAAVTGRPGWLAPDLNAGHLDYYFWLWTVISIANLLLYMVLAARYTPKQVAAAAVEPGGSSSSSD >KQK89719 pep chromosome:Setaria_italica_v2.0:IX:35301069:35304372:-1 gene:SETIT_034780mg transcript:KQK89719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGRRSRADERGVGPLLPEMQSGMSPPPGGSNGHHHHHHQQVSSMESGPAAGRRFNWKAPAIVLVFELLESIAFSGVALNLVVYLATVLHGSTAFNAAHVDTWNGTTFIVPVIGAFLADSYWGKYRTILASISFYLVGLVLLTVSAAVPSLRPATACQMGESCAPASKTQFSVFFAALYLTSIGTGGVKSALLPFGAEQYDDDAERPERKQAFFSWFFAAINLGIFIAGTLVSWLQQNVAWALGFGVGTACLLAAALAFVAGTPWYRVQMPTGSPLKDIVRVLVAAFRKRKARLAQADGAAVPLHEEEEDAAANGGQRLSRTKGLRCLDKAAVIVKEQDREQEGEWSLCSVSEVEGVKILVRMLPIWLTCVLYAASLGQMTTTFIQQGMVMDARLGGRFKVPAASLVSVEVVFMLLWVALHDAAIIPLARRATGRPGGLTQLQRMGVGRFLVVLALGTAALVERRRLRQAPSAPMSILWQVPQFVLVAGSDVFCGIAQLEFFYGEAPAAMRSICSAFSFLALSLGFYVNSLVVTLVAAVTGRPGWLAPDLNAGHLDYYFWLWTVISIANLLLYMVLAARYTPKQVAAAAVEPGGSSSSSD >KQK90330 pep chromosome:Setaria_italica_v2.0:IX:41649465:41653801:-1 gene:SETIT_039864mg transcript:KQK90330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLMDCSAIACTAALLILLLLPPCASDDRIVPGKPLSPGATIVSEDGSFALGFFSPSNSTPAKLYLGIWYNDIPEFTVVWVANRDAPVTNATSPTPTLSLAAGTTDLVLSDADGRPVWTTNVTGAPSTPAPPPTGLAAVLLNNGDLVIRSPNGTALWQSFEHPADTLLPGMKIRVRYRTRTGERLVSWKGAGDPSPGSYSFGADPERIIQLFLWNGTRPVMRSAPWTGYMVAGQYQANTSLVYVVFVSTEEEMYLTYSLADGAPHTRYVLAYSGEYQLQSWNRSAAAWSVLGEWPAGGPCSRYGRCGANGYCDGTAGDAVPACKCLDGFEPASAEEWSGGVFSGGCRRKEALRCGGDGFLALTGMKSPDGFRRVGNRTLEECAAECRRNCSCVAYAYADLRLSSSSSTGDATRCLVWAGDLMDTVRMGDVTGSDTLYLRIAGLRAGEKARANALKIGLPAVLTSSVLLLAGISFAWFKFKGIDCNSTTLGNGKRLKKLILGSMGTSDQLGERNPGQDFVLPFVRFDDIVAATRNFSEAYKIGQGGFGKVYMGMIGGQEVAIKRLSKDSEQGTEEFRTEVILIAKLQHRNLVRLLGCSVEGDEKILIYEYLPNKSLDAIIFDNSRKMLLDWPTRFNIIKGVARGLLYLHHDSRLTIIHRDLKAANVLLDAEMRPKIADFGMARIFSDCQIKANTRRVVGTYGYMAPEYAMEGVFSIKSDVYSFGVLLLEVVTGTRRSSMDGIMGFPNLIAYVWNMWMEGNIKNLADSSITNSCLLDEVLLCSHVALLCVQEKPDDRPVMSKVVYALDNGSNTLPSPNHPAYFAHRSNEIEQARDDIQNSMGSFTLTNIEGRLNKGRASCDVLVLLDWSLLIFLCICSASAHASLSSYRSLL >KQK88236 pep chromosome:Setaria_italica_v2.0:IX:12454731:12457830:1 gene:SETIT_036317mg transcript:KQK88236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAATVTSSPRSMVAVAFLAAATTFLLAAATAAPVPAIYVFGDSLADVGNNNHLPTLLRADFPHNGIDYPGRKATGRFSNGKNSVDFLADSLGLASPPPYLALSRSNANYANGVNFASGGAGVSNATNKGQCISFDKQIDYFSSVNASLAQSLGQAQTTAHLAKSLFAITIGSNDIIHYAKSSSAAAGNNQPFVDALIQTLTGQLQRLYDLGARKLVFLGTGPVGCCPSLRELSAAKDCSAVANDASVRYNAAAASLLGGMVARHPDMRYALFDSSAALLPFINTPAAYGFAEAKAACCGLGDMNAKIGCTPLSFYCANRTSHVFWDFYHPTEATARKLSSTAFDGSAPLIFPMNIRQLSAL >KQK92862 pep chromosome:Setaria_italica_v2.0:IX:57556699:57557775:1 gene:SETIT_039187mg transcript:KQK92862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSTQMACSRANWYEAMTKILLDLCIAEKNQFNWSNKCLTKLGWQHIKQLQNKLNAMRRAFQSWKDLQVQSGLGRDKETGGVAADFSFWDDDEGETSAGAAQTSSAKPPPFLDELYTLYGRIREATPSVGNEANAHDFYLDPMAASSARNLSKRPTREISVDSPLKKKSGSLEDYVREPSETVATRSQKCGDREQEELDRAMQLIEEGGIEEGSELYCQALYLCKNAVYRRAFTEMKTKEG >KQK87657 pep chromosome:Setaria_italica_v2.0:IX:8537706:8540768:1 gene:SETIT_034395mg transcript:KQK87657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVKRCDCLPLAVKVLGGLLRRKSRTRDAWTDVSSHGTWSTIGIHEDINKAVYLSYEDLPSHLKQCFVYCSLIPQDYLISSRVIVQIWIAAGHVHNKMSCKAPETLGEEYYKELVSRNLLEPEKGYYRISAWNMHDVVRSFAQYIIKDEGILISDGQDVNRTLSTAKLRHLSISNKAVRHDTLQTQALLRTLMLFEGSTTVELKDMLNNLSCLRVLHLIDVDLVELPDSICHLKHLRSLYLSGTSISTIPQGIGDLKFLQSIDLDGCANIHQLPNSILKLRKLRSLTLSDTAITSVPRGLGKLEDLVDIRGFPTHYSNESTGGWCSLEELRPLSKLQSLEIICLEKAPSGSMAAKANLSSKHHLTRLHLVFTSRLGDNGEVEGNISEEEHRRTEEVLDNLCPPTCMERLEIKGYFARGLPQWMRTMSAFGSLRRLALDDYACCTHLPNGLGQLPFLDHFWVNRAPSVQCVGHDFLFPSLGGQADGKVTRDNNRQPHHTSRGAGVAFPKLRKVGFEGMLGWTQWEWEQHVPAMPALEELSIGDCKLQRLPSGLAHHACRLRELWLTNIQLLVSVDNFPSLVKLWSYDNPRLERISNNPSLQFIDITRCPTLKELDGLPSLRSLEWQDEDAKSLPEYLREAKLKKLRVDCSRKWGKIQHVQQVKAYVKEEEESDESSQEDDEANEEEDNQSEEGEVDKDADQSEEDEEDEYWYIYYTKEPYSFDAYLGESTDEEEEEKEEEQEEEEER >KQK87656 pep chromosome:Setaria_italica_v2.0:IX:8537706:8540768:1 gene:SETIT_034395mg transcript:KQK87656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVKRCDCLPLAVKVLGGLLRRKSRTRDAWTDVSSHGTWSTIGIHEDINKAVYLSYEDLPSHLKQCFVYCSLIPQDYLISSRVIVQIWIAAGHVHNKMSCKAPETLGEEYYKELVSRNLLEPEKGYYRISAWNMHDVVRSFAQYIIKDEGILISDGQDVNRTLSTAKLRHLSISNKAVRHDTLQTQALLRTLMLFEGSTTVELKDMLNNLSCLRVLHLIDVDLVELPDSICHLKHLRSLYLSGTSISTIPQGIGDLKFLQSIDLDGCANIHQLPNSILKLRKLRSLTLSDTAITSVPRGLGKLEDLVDIRGFPTHYSNESTGGWCSLEELRPLSKLQSLEIICLEKAPSGSMAAKANLSSKHHLTRLHLVFTSRLGDNGEVEGNISEEEHRRTEEVLDNLCPPTCMERLEIKGYFARGLPQWMRTMSAFGSLRRLALDDYACCTHLPNGLGQLPFLDHFWVNRAPSVQCVGHDFLFPSLGGQADGKVTRDNNRQPHHTSRGAGVAFPKLRKVGFEGMLGWTQWEWEQHVPAMPALEELSIGDCKLQRLPSGLAHHACRLRELWLTNIQLLVSVDNFPSLVKLWSYDNPRLERISNNPSLQFIDITRCPTLKELDGLPSLRSLEWQDEDAKSLPEYLREAKLKKLRVDCSRKWGKIQHVQQVKAYVKEEEESDESSQEDDEANEEEDNQSEEGEVDKDADQSEEDEEDEYWYIYYTKEPYSFDAYLGESTEEEEKEEEQEEEEER >KQK91854 pep chromosome:Setaria_italica_v2.0:IX:52325056:52325578:1 gene:SETIT_040737mg transcript:KQK91854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSNLIRSASASILTSPMKDPASAKRAGTATARSRRSPPACPALVAVALPPPALRYLSSTTATSKRRRRCGDALRCSVGRRSGRRQAAA >KQK92145 pep chromosome:Setaria_italica_v2.0:IX:53998946:54002503:1 gene:SETIT_034589mg transcript:KQK92145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWQFSQGVHPNTSKLAIEPMASEASVPKREGFADLVLQGPEPPSLWYELIGMLRKAVRYRSADKHFTLSVCAMSILHSLFPILEWSKSYSLKSFRSDVMAGLTLASLSIPQSIGYANLAKLDPQYGLYTSAVPPLVYAVMGTSREIAIGPVAVVSLLLSSMVQKIADPAIDLASYRKMIFTVTFLTGVFQFAFGLLRLGFLVDFLSHAAITGFMGGAAIVIGLQQLKGLLGLSHFTSNTDIVSVTRAVWVSVHEPWHPENFFIGCSFFLFILGMRFIGRKNKKLFWVSAIAPVLSVALSTLMVYMTRADNRGVKIIQKVDAGINSSSVKQINLNGPYVTECAKIALICAVIALTEAIAVGRSFSVINGYKLDGNKEMVAMGFMNVAGSLSSCYVATGSFSRTAVNFTAGCKTAVSNVVMAATVMVALELLMKLLFYTPVSILASIILSALPGLINVHEICILWKVDKMDFLTCMGSFLGVLFGSVEIGLSVAVGVSFAKVIVHSVRPQVQILGRLRGTNIFCNIKQYPMVCQTPAVLTTRIDTSFLCFINANFIRERITGWVTEKLEEIRSVVLDMSNVVNIDTAGLAALEELHKELVSRGIQMAIASPGWQVIHKMKLAQLIDGTGEAWIFLTVGEAVEACLANKKGGDLEC >KQK87707 pep chromosome:Setaria_italica_v2.0:IX:8785224:8788985:-1 gene:SETIT_036350mg transcript:KQK87707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSVPTAAAVVLLLLAVAAATVRGQALVPGVMIFGDSVVDAGNNNRLATLVRADFPPYGRDFPATHAPTGRFCNGKLAMDYTVESLGLSSYPPAYLSQEAQSNNKSLLNGANFASGAAGYLDATAALYGAISLSRQAEYFREYQSRVAASAGEQRAKSLTSGSIYVVSAGTSDYMQNYYVNPVLGAAYTSDQFADALMQPFTAFVEGLYSLGARRIGVTSLPPMGCLPGAVTLFGGGSTGCVERLNNDSLTFNRKLDSAADAVKRQRPDLKLIVFDIYQPLLDLVNNPTSAGFFESRRACCGTGTIETSVLCHQGAPGTCTNATGYVFWDGFHPTDAANRVLADALLLQGLQLIA >KQK91909 pep chromosome:Setaria_italica_v2.0:IX:52657567:52663688:1 gene:SETIT_034015mg transcript:KQK91909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPRGGSPVTGDRYLDLLVRYVARNAGALLDGTVTLRLHPVGLHYVASRLEALRELEALRRILRLLTSLKVVAAGPGRDPAPLSLLPFARLRVLELRGCDLSTSAARGLLELRHTLEKLVCYDSTDALRHVFASRIMDIKDSPVWSKLSYVSCASNGIVLMDESLQLLPAIETLDLSRNKFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEVSSRIVKLVVRNNALTTVHGIENLKSLMGLDLSYNIISNFSELEILGTLSLLQNLWLEGNPICCARWYRAHVFSFFRNPENLKLDDKGMNTQEYWEKQVLFACRQNQPAGYGFYFPAMDDHEDEDTLTLKMRKISRLASIVEGERNLSDDGTDQQSTPCDSDSSKKDETAGADHDIRIASLINTAELLKKEKSSDWLREFKEWMDDNADKTDGENLSADVTYGNGSYARQKKRQKAHKETSNNMSDLVHVSEGGSSSNLLESDSSFTDNAHSGSNGIVKESSNEVNANQVHLTMHLNYFQRPPPLELVGTSHTDPFSELEDGSRNMLANGTPSNTMSKLIESSPYNAYPSPQSPPQYKEDILHRRLFLEEEFLQISGHLHSVGSLGSDSSCSDDSSDDFCSCNSEDDCAAMQTKMELALNGQVALFPFVDSDHEGNKYFSAEKSLSDHSAEDEPIRTDHREFDIEEFHDSNQRNGHLGQNSGHLVGQKGKQRFKRRIFALKNHNGTKIESIKMNGDQVDEHVLAEGNGHLTCDPSKSTPKKEGSESHYSRILPKNVSTNITSCTTGEHMIVKDFINLEVAKNDKSETCEQVACCAYLFQEAGALVQREVALLQSSQNKLYVLLLDMVCDGKETKPRVLGSYTLESLEKVSIGLGLQALRVHMVDDTTHLFFTRTSKEAQDVLWLLSVTNTNFPKLNHEIHLQSWENIQVKLFEKCICGSAKKGIFLYSMLMFWRNDAEGTMVW >KQK91910 pep chromosome:Setaria_italica_v2.0:IX:52657567:52666329:1 gene:SETIT_034015mg transcript:KQK91910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPRGGSPVTGDRYLDLLVRYVARNAGALLDGTVTLRLHPVGLHYVASRLEALRELEALRRILRLLTSLKVVAAGPGRDPAPLSLLPFARLRVLELRGCDLSTSAARGLLELRHTLEKLVCYDSTDALRHVFASRIMDIKDSPVWSKLSYVSCASNGIVLMDESLQLLPAIETLDLSRNKFAKVDNLRKCTKLRNLDLGFNHLRSISSLSEVSSRIVKLVVRNNALTTVHGIENLKSLMGLDLSYNIISNFSELEILGTLSLLQNLWLEGNPICCARWYRAHVFSFFRNPENLKLDDKGMNTQEYWEKQVLFACRQNQPAGYGFYFPAMDDHEDEDTLTLKMRKISRLASIVEGERNLSDDGTDQQSTPCDSDSSKKDETAGADHDIRIASLINTAELLKKEKSSDWLREFKEWMDDNADKTDGENLSADVTYGNGSYARQKKRQKAHKETSNNMSDLVHVSEGGSSSNLLESDSSFTDNAHSGSNGIVKESSNEVNANQVHLTMHLNYFQRPPPLELVGTSHTDPFSELEDGSRNMLANGTPSNTMSKLIESSPYNAYPSPQSPPQYKEDILHRRLFLEEEFLQISGHLHSVGSLGSDSSCSDDSSDDFCSCNSEDDCAAMQTKMELALNGQVALFPFVDSDHEGNKYFSAEKSLSDHSAEDEPIRTDHREFDIEEFHDSNQRNGHLGQNSGHLVGQKGKQRFKRRIFALKNHNGTKIESIKMNGDQVDEHVLAEGNGHLTCDPSKSTPKKEGSESHYSRILPKNVSTNITSCTTGEHMIVKDFINLEVAKNDKSETCEQVACCAYLFQEAGALVQREVALLQSSQNKLYVLLLDMVCDGKETKPRVLGSYTLESLEKVSIGLGLQALRVHMVDDTTHLFFTRTSKEAQDVLWLLSVTNTNFPKLNHEIHLQSWENIQVKLFEKCICGSAKKGIFLYSMLMFWRNDAEDDSFFIRSIFVIEGSILVCIEDLDQFGRVPDDSDPPYFSLDASCSINNIQEVVMDQRNGKCLTLILDNHRQEEFHNSIQNPSNKQSDEIDAVHTWKLEWFSEEALLKFISVLKALYSTAAASSLPVKCIS >KQK92310 pep chromosome:Setaria_italica_v2.0:IX:54855396:54860229:-1 gene:SETIT_035833mg transcript:KQK92310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGWGSLFGCFGSSSHGSKSKRSSRKKKKKSKQPKVAAAAGGSGSGSGKPRSLQSRLSFTELSGMVSPEDLSLSLVGSNLHVFSIAELRAVTRDFSMTNFIGEGGFGPVYKGYVDDKTKPGLRAQPVAVKLLDLEGGQGHTEWLTEVIFLGQLRHPHLAKLIGYCYEEEHRLLVYEFMTRGSLEKHLFKKYAASLPWSTRLKIAIGAAKGLAFLHEAEKPVIYRDFKTSNILLDSDYKAKLSDFGLAKDGPEDDETHVSTRVMGTQGYAAPEYIMTGHLTAKSDVYGFGVVLLELLTGRRSVDKSRPPREQSLVEWARPYLTDARRLDRVMDPNLAGQYSARAAQKAAAVAHQCVSLNPKSRPHMSAVVDALEPLLALDDCPVGPFVYVAPPEDNSNNDKGSSGRRGRRKSSDGAAAAVVRPE >KQK92735 pep chromosome:Setaria_italica_v2.0:IX:56996046:56996995:-1 gene:SETIT_039942mg transcript:KQK92735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAGPKNSQLKLKRRIQKAPRPRRTCGEAASRRRQATAMPKKMGVNTKAEAARARRSAAEAERRDREARAQEEAYWQAAEGPKSRSVRRREEDAENRAEAAARRAENRRLAELEQQQLAAAARRPDRKAARVGGPAVPKVTEAELARRREEERLRLQREAEAAKKRQARTADEEEYERVVLVANTNRDDSVIEARSVEDAIAKMTIAAEPALPPDRHPERRLKVSYKAFEEAEVAKLKEEKPGLTLHQYKDIIWKLWKKSPDNPLNQVQALTQFFPP >KQK91068 pep chromosome:Setaria_italica_v2.0:IX:47557856:47558590:1 gene:SETIT_040353mg transcript:KQK91068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPGTGGMVPGMPGSRKMPGVPGLDNDNLEVLCSRSMPRGNSLRNQSLLLNKPSTVHKASSINSRLPRQASAAALIGMSALFPRSILV >KQK86569 pep chromosome:Setaria_italica_v2.0:IX:2717737:2721204:-1 gene:SETIT_036764mg transcript:KQK86569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPHGGLDDQIERLMQCKPLPEPEVRALCDKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >KQK86570 pep chromosome:Setaria_italica_v2.0:IX:2717164:2721309:-1 gene:SETIT_036764mg transcript:KQK86570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPHGGLDDQIERLMQCKPLPEPEVRALCDKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLRLIARAHQLVMEGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCREHTFIQFEPAPRRGEPDVTRRTPDYFL >KQK89316 pep chromosome:Setaria_italica_v2.0:IX:23769443:23773238:1 gene:SETIT_037469mg transcript:KQK89316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAVSQVPAGWGEDEMSVLPRHTKVVVTGNNRTKSVLVGLHGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEPPTGNEDDDKFDCENMQWNSSDMASDDAQSPKAMRSRSRQHRGFHRKSLSRSMSCDSHSKMSVSSSARAHTKVDLSKLELTALWRYWRHFNLDASPNPSREQLIDAVQRHFMSQQLDESQVIIGFVQAAKRLKTTMKVA >KQK87057 pep chromosome:Setaria_italica_v2.0:IX:5338684:5345824:1 gene:SETIT_037197mg transcript:KQK87057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKVVLQRIENKISRQVTFAKRRNGLLKKAYELSILCDAEVALVLFSHAGRLYQFSSSSNLLKTLERYQRYIYASADAAVPSSDELQNNYQEYVQLKSRVEILQHSQRNLLGEDLAPLSTSELEQLESQVDKTLKQIRSRKTQVLLDELCDLKRKEQMLQDANRVLKRKLDEVEAEVAPPPQPQLPWQGGSGDAMLSDGPPQPEHFFQALESNPLSLQPTFHTMDMNQQPVPAPGSCYPPAWMA >KQK87329 pep chromosome:Setaria_italica_v2.0:IX:6697339:6697940:1 gene:SETIT_038890mg transcript:KQK87329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLYSSSLLLLLLLSSHGLHATEGRALRFQPKDPPKAGEGAATMAESLIGSRPPRCEGKCAPCGRCEAVQVPVAPRVDGGRGEAEPGRARLFSRAAAAGGDRVQESYTDYKPLNWKCRCADRRALDP >KQK88945 pep chromosome:Setaria_italica_v2.0:IX:18884734:18885599:-1 gene:SETIT_039002mg transcript:KQK88945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAKSLILCTALAACLTLAAAGWSQGTATFYGGPDGSDTMGGACGYDNLYNAGYGVLNAALSQTLFNDGASCGQCYLITCDGSRQGGQYCKPGTSITVSATNLCPPNYALPNGGWCGPGRPHFDMSQPAFENIGIAQAGIIPVQYQRVQCKRSGGVRFSIAGSNYFLLVNIQNLGGSGSVGAAWVKGEKTGWIQMSRNWGANWQALAGLVNQGLSFAVTSTGGQYIQFLNVAPGWWQFGQTFTNNYQNFAY >KQK90275 pep chromosome:Setaria_italica_v2.0:IX:41198020:41199305:-1 gene:SETIT_036277mg transcript:KQK90275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFPELAKLAFSRVQQMEPQNVGKILGCILLREPDEDEMVQLAYGNDATVHAKINDAKATLAAIYARCSAQHHHQIGAAHRASAAAAGYHPAAAGVRHHFSPAPAAYGFQYWPEPAPVPKAQPDFGLVDAAAEAHYALQQQNHNGLDDHHHYDAAGGYYYAAAEDAFHNGGAAGGGPPPRAAARRANGLSTRRPCYYFIKGVCKNGQNCNFPHHQAYSDGSSDDSHHNSSGGTPGALEKLEMEITELLYSRHGQPLSIASLPTLYGERYGKGLQADGYLTESQRHGKAGYSLTKLLSRLNKIRIIERPHGQHSVVLAEDAARYTEFRGERGGGDMGSVPASSHQIYLTFPAESKFVEEDVATYFGYI >KQK89715 pep chromosome:Setaria_italica_v2.0:IX:35293599:35295258:1 gene:SETIT_035871mg transcript:KQK89715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWSNSTGTSSGGGGRGIGGRGFPNRQYANNSSDMIHGGSSSGGSRRRDRWGRPPPQFCYRPVDAAHRQSQPVSEQQAASSTSSRIAQRTHSTSTTDKSSASGTAAPPSTREPDDKASRSAANFECNVCFDMAAEPVVTKCGHLFCWECLYQWLHVHSHHRECPVCKGQVAEDAIIPIYGRGGSAASVDNAPPRPTGARVESSRQQQLPSFPPTLRFDDDEEDPFDLPGMMNFGFGATATSLREAVMSFMPPSAEDMDDFDDYAYEYDTDDFDEVYAYNWLGFPVFASAGAEAGNPSSQAYADMINIRNNIVGTNAGIYHQQEVRYPGANPHNRGRRGRRNRPRPSADNSSTNGMVMGGSGAFYHDNGASSNFTAGASSRPNGGWVERRGRSSRNSNSVGGRGGMQDSRRQRTNYN >KQK86094 pep chromosome:Setaria_italica_v2.0:IX:258812:260174:1 gene:SETIT_037046mg transcript:KQK86094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLLLLLLLLLLASSPAALADVPPSDKLIFILAGQSNMAGRGGVVANRWDGVVPSDCAPSPSVLRLSPDLRWEQAREPLHQGIDASNNHAVGVGPGMPFANALLRSGRAGAPVVALVPCAVGGTRMADWARGTDLYAQMLRRARVALETGGRIGALLWYQGESDTVRWSDANEYGRRMGMFVRDIRADLGIPHLLVIQVGLASGLGHYTQLVREAQKGIKLRNVRFVDAMGLPLQDGHLHLSTQAQVQLGHMLAQSYLNYGTSRP >KQK87352 pep chromosome:Setaria_italica_v2.0:IX:6820953:6823096:-1 gene:SETIT_038206mg transcript:KQK87352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSNAQSEASAPSVPKNPAMASCRKKKTDDDVTFLEDLKDHIDEFIHASMDEHKTCFKKTIQKMFGMSKVVAERSAAGAKEAEVESALPLQTSVSQ >KQK87374 pep chromosome:Setaria_italica_v2.0:IX:6956752:6957060:-1 gene:SETIT_039354mg transcript:KQK87374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SELAVELGQESVVLEVDCYNLKTSLKSTDGSRSSISGFWRDIMELSRSFSSFKCVCVRRKANSVAHPCARMVSPTERSCFWLDVIPDWLIGLAAGDCIHASD >KQK91859 pep chromosome:Setaria_italica_v2.0:IX:52360048:52364093:1 gene:SETIT_040205mg transcript:KQK91859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDAEEASPPVSSSELEQEEDDDDCYLSDQEDDALEESVLQVLEDEHLEDCHWSSSSVITKESLLLAQREDLRKVIELLGLREHHARTLLIHYRWDVERIFELLDQKGRDRLFSEAGIPLQYANNAGPTSSTEVTCDVCYEDVPPSAASEMDCGHNYCNDCWTGYFIVKINEGQSRRVRCMAPKCNAICDEAVIRKLVIARRPDLAERFERFLLESYIEDNDTVKWCPSVPHCGNAIRVKGDIYCEVECACGCQFCFNCSLQAHSPCSCMMWELWIKKCRDESETVNWITVNTKPCPKCHKPVEKNGGCNLVACICGQAFCWLCGGATGRDHTWSSISGHSCGRFTDDQTKKTEQARRDLYRYMHYHNRYKAHTDSLKQEAKLKNDIQGKISISENKESKIKDYSWVINGLNRLFRSRRVLSYSYPFAFYMFGDEIFKDEMTPEERELKQNLFEDQQQQLEFNVERLSGFLEKDFQNFTDDEVMDTMKHVINLSNVVDRLCKQMYQCIENDLLYPLRTPHNIAPYKSKGLDRASELDISWDSAEQSSQSTKRIQDEHRSQHASTNLGKRAPELHGSSSENRVRPHKRERQDANGGGALFDLNVPAEVADKI >KQK87742 pep chromosome:Setaria_italica_v2.0:IX:9034726:9035118:1 gene:SETIT_039558mg transcript:KQK87742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGFSEVAPPQFEFGSELTAIPFPTETPAASSLEVVAADAAAAGCDDGQRLRRRISNRESARRSRARKQRRLNELRGSAAVMERRRRELAACAQAARGRLALVRLANAGLRAEAAALSRRLAAASRALALG >KQK90519 pep chromosome:Setaria_italica_v2.0:IX:43338034:43339669:-1 gene:SETIT_036969mg transcript:KQK90519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAAIAALPRREVAAGDPPPDCAVCIAEVAAGEAARVLPRCGHAFHVECVDMWLRSHSTCPLCRCAVADEEAPVPAPRAPEADPESPNFPTNVLFFGSQDAVSTRGAAQTPTEPPPRTGARQPAPTVPAQAPQLIQGPIAGVAAVVEAARVATLRRLLGCGGAATVPPTPPQHEQQDRDLEAGLGGGESSGSPPPAKPH >KQK91551 pep chromosome:Setaria_italica_v2.0:IX:50495420:50495774:-1 gene:SETIT_038472mg transcript:KQK91551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACPFSETGVDVYMLRAVASSPFHCPAPGTIKRNETATTSDSGWLCREFIWLVS >KQK92021 pep chromosome:Setaria_italica_v2.0:IX:53274758:53276989:1 gene:SETIT_034682mg transcript:KQK92021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIDTRPLESVQAAVGIFDRGGDQSRLISPDTNEEEIAILTKELAACKLQLEVRESQHKQAALEIEALEKAVRDLSDQYERDRARIAQLEAENAAIASRQAAADGERGAMRDELAAARAELGEARASVAFVLREVEAMETRAILERESTRDALARILRLNETVLSSAVAAIRAEEERSLFFQEATLEFFNSDRNLEVVRRQVEMAERMEEELLAKTVEIEYLRSELQQVKEIYVLPAESSDATTVITAAAGCNNFDEHDQVQACELGVRDTEAQTEFTFQHSAEECFVSEIFRNDGHVTTCDETKMEIEVSEDVAEDKQGADVMVQDTTVLEGNSDDQGTSCLDAEISGEDHNAIQSDSRNILAENNQEPAETDSVLPNSTACQGNDLHLQHHEEAKADASFVLESSRDDFQSVHSDAKDTSIAEPGNVAIVETQEQRAEAAAAPTSTPREGNPDTCVVATEIVSNHDDEFYTKELEPERGQGGSKLDGYVLVSKSGDGDVAARDKQLDAARAEISDLRFSLEEAVRRAELAEEAKAALERELREELRRKQQHTPLRRRAPSDSEDGGRPAALEGAPLTPARTRSTPPHAPSGTTPSTGALRNARPGGEDMPTPRGLTLGKVLNMKYK >KQK90777 pep chromosome:Setaria_italica_v2.0:IX:45716741:45721617:-1 gene:SETIT_036057mg transcript:KQK90777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPWLLVAHGSVTALVVVSFLCGQWPIFEGTFIQSINHFLTFGAYHYLLRFVQAVCGTGARDLVLGVEQYCCDRPNPILQVFYVAIIGVTYFMIVQTSFEYIPGYYVSGWHRYLSIVAVAVGAILFVLTSFSDPGTVTAENFSQYVSAYPYDNIIFVEKECSTCKITRPARAKHCRICDKCVARFDHHCGWMNNCIGEKNTRYFVAFLVWHFLICVYGALVLGFILAGELKERKVIYILTAYYGIENSFLGLFPHVAQWLLAVHNTQILLSVFLAILALLLGGFCAYHAHLCLTNTTTNESFKWQDYIMWMKKENEAKANAAALKASMGSSNSEAHKAPPSKWRSFFVRSRRPSVEPVVKNNIYDRGMIRNMCEVIVPLSERKSFSRRKSD >KQK91303 pep chromosome:Setaria_italica_v2.0:IX:49104845:49105695:-1 gene:SETIT_037484mg transcript:KQK91303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSCGRKPPPERASGNWSDGETSTLIDAWGPAHLRRHPRHLLLNDWRAAASAVNAHRATAGRRFNRTRLQCQTRVRTLKKRYKEELSRQPPSRWPHLRQLHPFLASADGPPPGFPAATRAPAPAVKQEVEEEVGGSVGLAASWTVPRRPRNGAARSSSSGFCPGAVVTKLADVYERVEMARIGAGNLKMEMEAQRAMLDAVKVEQQWKMENA >KQK88129 pep chromosome:Setaria_italica_v2.0:IX:11751012:11753356:1 gene:SETIT_036839mg transcript:KQK88129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRGRKHWCKLCKKSFPSCNSLGGHMNLAIRDKCMQKKLARSTLSLPKISAGAGGYRLRERQHSTWLLRDSSVDEDQTMVPKTECQLCFKVFASCHALSMHMRAHTRHEKKMVAKEASRKSSGYCDHNDAVPTPVMLTYGIEEVNAARVLLMISGHSGMDSASEHCNEDYEMDGNSAYRMQKNERELDYSFHGQIGGADLMMPESPSSDAKLKFSSLSRVLKATESHDCKLCGKVFTSSKGLASHKKFHKADSRLLCLNLPVTQFSVLIPRSPKSEQNPWWIASGLLSDRVLGVV >KQK89660 pep chromosome:Setaria_italica_v2.0:IX:34607912:34610609:1 gene:SETIT_039150mg transcript:KQK89660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVAGVLLLVLGGLTPSPAAADVCDNIKQVAATLPKNTSSSPLHFATTTFGQAPDVVYALALCRGDVLNDTACGECVADTFGKINSTLPPQQKCYTAVSYFGGVCILVYNVDDFLAPSNTTAANGDDAPFTLWNVKNFTGDADDVRLTVGLIHELLVETVQRAASAAPRRFATGVVDTGTTFPPVYSLAQCTPDLSAGDCQACLQRLLGTVNSTMALRMGGQVHVIRCYFRYETYLFYESQPMLRLGPSSAQAPAPTPTTEGKHKRHMSKLWAIPIAVVPLAVAAFLCFIFCSPWFRRYRKGKAMRLQAGSRRTQDLDGDEELVWDGKNSEFSVFDFEQVLEATNHFSEENKLGQGGFGAVYKGQFANGSEVAVKRLASHSGQGFTEFKNEVQLIAKLQHRNLVRLLGCCSQEHEKILVYEYLPNKSLDFFIFDENKRLLLDWPKLLAIVEGTAHGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSNNTQANTTRRVVGTYGYMAPEYASEGIFSIKSDVFSFGVLVLEILSGKRNSGSNQCGDFINLIGYAWQLWEEKKWIDLIDASLVPKSHSAEMMRCVNIALLCVQENADDRPTMADVVSMLNSETTILGEPRQPAYYNVRVGNEETATTHSYTVSMM >KQK91697 pep chromosome:Setaria_italica_v2.0:IX:51317826:51320145:1 gene:SETIT_035755mg transcript:KQK91697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCRLLRVGWGLQPLCASRLAAALCAAVVVALALAPASDATSASLVGINYGRVGNNLPPPQSVLPLLEGLGIGRVRMYDADPAVLRAFARTGVELVVGVPDECLAAVADPGGAARWLKENLVPFLPDTKIAVLTVGNEVLTGSNSSSLSRVLLPAMQSLHGAVGALDLDKQITVTTAHNLGVLGTSYPPSAGAFRKDLLPYLCPILDYHARTGSPFLVNAYPYFAYSGDPKGVQLDYALLEPGYPGVPDPNSRLHYPNLLVAQVDAVYHAIATANAAASRVVEVRVSETGWPSAGAANETGATPQNAARYNSNAMRLVAEGKGTPLKPGVPLRAYVFALFNENLKPGLASERYYGLFKPDGTPAYELSFKLPRDNSTFGHGGGGFGGNGNGNSGYISGGGNSNGYYDISASTRDPPGRWRMWAQAAVAGAAAVLMVAA >KQK89029 pep chromosome:Setaria_italica_v2.0:IX:19658829:19661917:-1 gene:SETIT_035847mg transcript:KQK89029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPGSKESQNYDNNNQKVHPQPIDENMNQNGDSMDTMIGRIFNNISSLKSAYIQLQEAHTPYDPDKIQEADKLVIEELTKLSELKHTYREKNPKPVAASPQDSRLLSEIQEQQNLLKTYEVMVKKFQSQIQTRDTEITHLQQQIDEAKLRKSKLEKKLKQRGLLNKESEESDEEENYFSIELTPSLFTSAVDNAYQSMHDFSKPLINMMKAAGWDLDAAANAIEPGVVYTRRAHKKYAFESYICQRMFSGFQEESFSIKDSNISVSNEAFFHQFLAVRAMDPLDVLSQNPDSIFGKFCRSKYLLLVHPKMEGSFFGNMDQRNYVMSGGHPRTPFYQAFLKLAKSIWLLHRLAYSFDPKVKVFQVKKGSEFADIHMESVVKNIILDEGAERPKVGLMVMPGFLIGTSIIQARVYLSGVKCAD >KQK91323 pep chromosome:Setaria_italica_v2.0:IX:49250069:49258662:1 gene:SETIT_035567mg transcript:KQK91323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRIAETTCGLYYSYDRDLTLNLQRASKLAAGRIHKPLWKQADPRFVWNKNLLEELIEAKLDEFIIPLIQGSFQSAQFTLKDRPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLTSSFIQVRGSIPLLWEQIVDLSYKPRLSIIEHEETPKVVQRHFHDLSQRYGETVVVDLTDKRGDEGDLSNAFAAEMGRIHGVRYVHFDFHHVCHGGNFDNLQALYNQIEEAIQKQGYFLMDSKGEILLEQSGVVRSNCIDCLDRTNVTQSFLARKSLDSQLQRMGALSSSESISISDNNNDIFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSQGSTSPFQTGGFESASYLPVASAIIVGGITATTFTLSQVGRNAQHFISSIICAGLTVGVVALVKANGKQFCSRPRLCGLI >KQK91322 pep chromosome:Setaria_italica_v2.0:IX:49251663:49258662:1 gene:SETIT_035567mg transcript:KQK91322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRIAETTCGLYYSYDRDLTLNLQRASKLAAGRIHKPLWKQADPRFVWNKNLLEELIEAKLDEFIIPLIQGSFQSAQFTLKDRPVRITLFSRRCNRRLGTRMWRRGANLEGATANFVETEQLVEYEGLTSSFIQVRGSIPLLWEQIVDLSYKPRLSIIEHEETPKVVQRHFHDLSQRYGETVVVDLTDKRGDEGDLSNAFAAEMGRIHGVRYVHFDFHHVCHGGNFDNLQALYNQIEEAIQKQGYFLMDSKGEILLEQSGVVRSNCIDCLDRTNVTQSFLARKSLDSQLQRMGALSSSESISISDNNNDIFKKLWVEHGDELSLEYAGSYALKGDLVRYGRQTLPGLIKDGMSALSRYYLNNFHDGVRQDALDLISGYYTVSQGSTSPFQTGGFESASYLPVASAIIVGGITATTFTLSQVGRNAQHFISSIICAGLTVGVVALVKANGKQFCSRPRLCGLI >KQK91026 pep chromosome:Setaria_italica_v2.0:IX:47227356:47231261:1 gene:SETIT_037034mg transcript:KQK91026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIPVPCLEDNYAYLIVDESTKKAAAVDPVEPEKVLKAASEVGAYVDCVLTTHHHWDHAGGNEKMRLQVPGIKVYGGSLDNVKGCTDQVENGTKLSLGNDIEILCLHTPCHTKGHISYYATSKEGEDPVVFTGDTLFIAGCGRFFEGTAEQMYQSLIVTLGSLPKSTQVYCGHEYTVKSLKFMLTLEPENEKMKQKLEWAEKQREANQPTVPSTIGDEFEINTFMRVDLPEIQAKFGAKSPVEALREVRKIKDNWKGGNEIYCRAML >KQK91027 pep chromosome:Setaria_italica_v2.0:IX:47227526:47230952:1 gene:SETIT_037034mg transcript:KQK91027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIIPVPCLEDNYAYLIVDESTKKAAAVDPVEPEKVLKAASEVGAYVDCVLTTHHHWDHAGGNEKMRLQVPGIKVYGGSLDNVKGCTDQVENGTKLSLGNDIEILCLHTPCHTKGHISYYATSKEGEDPVVFTGDTLFIAGCGRFFEGTAEQMYQSLIVTLGSLPKSTQVYCGHEYTVKSLKFMLTLEPENEKMKQKLEWAEKQREANQPTVPSTIGDEFEINTFMRVDLPEIQAKFGAKSPVEALREVRKIKDNWKG >KQK89300 pep chromosome:Setaria_italica_v2.0:IX:23421051:23422571:-1 gene:SETIT_035290mg transcript:KQK89300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRALPLPHFTLPPLAGEDHLFVAALRSHISASPTPAAASLSRFLPGLTPLRLTHLILLLGPLLAKAIPHGLLAALIPSPPPPLPLAVLLHSLPPRRCAELLASVLPSVTPHAFPDLLQHVLLTARLAAGAQRAAAVPALDVLFSSCARDKKLSRATLAYRAMRAHGLLPTVLSCNVFISAALRLRRPEITVSFFREMRRCRMSPNVYTANMVMRAHCALGRVAEAAQVLDEMSDWGISRTAASFNTLIAAYCRDDGGMEPALRLKKRMEQEGLTPNEVTYNAILHGLCKKGTMQQANRLVSEMRANGLAPNTVTFNTLIHGYVKIGDNEAASRVHEEMVKAGVGVDMVTYNALILGLCNEGKVKKAGRLVQKLCRAKLEPNASTFMSLIVGQCKRQNSEQALDVLNAMKKSGFHPNYDAYNMVVSTFCKNKDFEGALDVLKDMLARCLAPDKDLLHEFFEGLSEAKKLHLAEDLRSVANGERFISDAYYTGDYRNKDEEKNEW >KQK91212 pep chromosome:Setaria_italica_v2.0:IX:48506776:48507964:-1 gene:SETIT_037737mg transcript:KQK91212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSMETQARAFADEVRGGLEGKSWMLDLGHPLLNRIAESFVKAAGIGAVQAVARESYFMAIEGEGGSVSGATGSRKRSFPELNGTNSGSKSAEAMVKNVSKESLQWGLAAGVHSGLTYGLTEVRGTHDWRNSAVAGAITGAAVALTSDRASHEQVVQCAIAGAALSTAANVLSGIF >KQK87662 pep chromosome:Setaria_italica_v2.0:IX:8559210:8576364:-1 gene:SETIT_034035mg transcript:KQK87662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMEGNGGAGKITIGVCVMEKKVFSSPMEQILERLRAFGEFEIIIFGDKVILDDPIEIWPNCDCLIAFYSSGFPLQKVQAYAALRRPFLVNELEPQYLLHDRRKVYEHLEKYGIPVPNYALVNREYPYQELDYFIEQEDFVEVHGKRFLKPFVEKPVNGDDHRIMIYYPSSAGGGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPMEKQMARDVCSAFKQMVCGFDLLRSDGRSYVCDVNGWSFVKNSYKYYDDAACILRKIFLDAKAPHLSSTIPPTLPWKSEPVQPTEGLTRQGSGIIGTFGQSEELRCVIVVIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRAETKLKSAIQLQDLLDATRQLVPPTRSGRESDSDAEDIEHVEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWIKVPKRNGDGQEERPIEALMILKYGGVLTHAGRKQAEELGRFFRNNIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLEDASTEMNEAKARLHEIIISNTKEKDTEGSAEFPWMVDGAGLPVNASQLLPKMAKLTKEVTAQVKLLAEGEDEKLALTSSFSKYDQAKALGKTTIDVARIAAGLPCGSESFLLMFARWRKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNAHLDLEGLKELFKVAQTLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEAISVAEPKFIEDEAIFLPTKEAEHQQKIHVRNEDGRRSSSTSEKSLDQEDEDDRETKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDECLQGEDSLVCQSALDRLHRTKELDYMSNIVLRMFENIEVPLEDEKRFRIEMTFSRGADLSPLEDKASESSSLLQEHTLPIMGPERLQEVGSCLTMDKFEKMVRPFAMPPEDFPPAAPPQALGYFTKGAGVLERLASLWPFHKGAGATNGK >KQK91955 pep chromosome:Setaria_italica_v2.0:IX:52900648:52905008:-1 gene:SETIT_037608mg transcript:KQK91955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSQSEHLCYVRCTYCNTVLALQVGVPCKRLMDTVTVKCGHCNNLSYLSPRPPMVQPLSPTDHHPLGPFQGPCNDCRRNQPMPLASPTSDASPRMPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTTVSTATSNSAPEPRVVPAPQERAKEQVIESFDIFKQIERSI >KQK91954 pep chromosome:Setaria_italica_v2.0:IX:52900426:52905141:-1 gene:SETIT_037608mg transcript:KQK91954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSQSEHLCYVRCTYCNTVLAVGVPCKRLMDTVTVKCGHCNNLSYLSPRPPMVQPLSPTDHHPLGPFQGPCNDCRRNQPMPLASPTSDASPRMPFVVKPPEKKHRLPSAYNRFMREEIQRIKAAKPDIPHREAFSMAAKNWAKCDPRCSTTVSTATSNSAPEPRVVPAPQERAKEQVIESFDIFKQIERSI >KQK91832 pep chromosome:Setaria_italica_v2.0:IX:52103207:52106699:-1 gene:SETIT_037501mg transcript:KQK91832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSALRLILGSSSASRRQILSEMGYKFTLLSADIDEKEIRKEKPEELVVALAHAKADAILEKMKNNGMMKEIVDSQETTLMITADQVVVHDGVIREKPSTPEEARKFIKGYSESHAATIGSVLVTNVKTGARREGWDKAEVYFHKIPDEVVESLIEEGNVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKALTEKLIKESLSEP >KQK91831 pep chromosome:Setaria_italica_v2.0:IX:52103387:52106399:-1 gene:SETIT_037501mg transcript:KQK91831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCFSRFFPYGSVLQFIYFPFLVCLLRGLQSADIDEKEIRKEKPEELVVALAHAKADAILEKMKNNGMMKEIVDSQETTLMITADQVVVHDGVIREKPSTPEEARKFIKGYSESHAATIGSVLVTNVKTGARREGWDKAEVYFHKIPDEVVESLIEEGNVFYVAGGLLVEHPLTSPLVEAIVGTIDSVMGLPKALTEKLIKESLSEP >KQK88290 pep chromosome:Setaria_italica_v2.0:IX:12943153:12943658:-1 gene:SETIT_038501mg transcript:KQK88290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYTLQHFSEYMIIKFSCKSGISLTQKINFLALKGYGPSSQCSTKSTAPRLT >KQK93116 pep chromosome:Setaria_italica_v2.0:IX:58880189:58882933:1 gene:SETIT_037855mg transcript:KQK93116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGLINANPVIHEKKERRVRQAPETTDENAVEPIDQLEIFDHIRDIKDPEHPYSLEQLNVVTEDSIELNDESNHVRVTFTPTVEHCSMATVIGLCIRVKLIRSLPPRYKVDIRVAPGSHSTEAAVNKQLNDKERVAAALENPNLLDMVEECLSPTFD >KQK87370 pep chromosome:Setaria_italica_v2.0:IX:6936582:6942396:-1 gene:SETIT_034136mg transcript:KQK87370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKPSSAAHGDAPAAEAPRRRGGGGKRKSSGSSFTPSKRQAKERNAAFHVPPHLLHSGPLTRAARQSPHKLSGTPPETGPASSAAAAGDGVSGGQGEVDAIRPEREETPAAEQPLVDEVFEAVRSRGAGVHVVPTFAGWFSWKEIHLVEKQTLPSFFNGKSEKRTPEVYLAIRNSIMVKFHANPQLQLESKDLAELSTGEADARQEVLEFLDHWGLINFHPFPPAGQEESKPEESQDNSHDEDKASLIEQLFKFEPVQSYIMPLPKKEDVGAPPPLPSLFPDPVLLEDVVAAAEPSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYNEGKFDPGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEGLEIFGGKWAEIAEHVATKTKAQCMLHFLQMQIEDRFHDGEDINQNTPGSTEQATTEKGIAETSEKMEVEDKEEGRDTVDEKVSEKTDGNCEETKPEGASAVENKDTQNSGGKDSAASPNTEEPKQSSDEHPIVKENSGDVDTSGEKLSNVALDILKSAFEAAGHSPEYQGSFAAAGNPVMALAAFLAGLVEDDNATTSCRSSLKAISEVSPALQLASRHCFILEDPPNDLKDIYVSVSNKSNKSTDGDQTKDEEMTQNSVDTEKKENNEKEDNSLSMEKHNNSSISHNDHQESDDKSISRDDCPVVEPKTSNAKESGDSTAIVDKSATDNTKVVVAGSNICASDPKQVKDKPSVEVEARDDSSSKGKDELNKTEDAVASPANVQEQKQSETLENGKMGEPNSIESVVANEEKGSGVTANQNDSITRLRRAAATAISAAAVKAKFLGDQEEYHIRRLTAMMIEKLFQKIEVKMSLFAEIEQVVLRTREYTEKTRKKLLLERNAIIAARMGALPSRPNQPGVAGSRLPPGYGNPAVRPPNAMPRPSS >KQK87371 pep chromosome:Setaria_italica_v2.0:IX:6936582:6942471:-1 gene:SETIT_034136mg transcript:KQK87371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKPSSAAHGDAPAAEAPRRRGGGGKRKSSGSSFTPSKRQAKERNAAFHVPPHLLHSGPLTRAARQSPHKLSGTPPETGPASSAAAAGDGVSGGQGEVDAIRPEREETPAAEQPLVDEVFEAVRSRGAGVHVVPTFAGWFSWKEIHLVEKQTLPSFFNGKSEKRTPEVYLAIRNSIMVKFHANPQLQLESKDLAELSTGEADARQEVLEFLDHWGLINFHPFPPAGQEESKPEESQDNSHDEDKASLIEQLFKFEPVQSYIMPLPKKEDVGAPPPLPSLFPDPVLLEDVVAAAEPSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYNEGKFDPGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEGLEIFGGKWAEIAEHVATKTKAQCMLHFLQMQIEDRFHDGEDINQNTPGSTEQATTEKGIAETSEKMEVEDKEEGRDTVDEKVSEKTDGNCEETKPEGASAVENKDTQNSGGKDSAASPNTEEPKQSSDEHPIVKENSGDVDTSGEKLSNVALDILKSAFEAAGHSPEYQGSFAAAGNPVMALAAFLAGLVEDDNATTSCRSSLKAISEVSPALQLASRHCFILEDPPNDLKDIYVSVSNKSNKSTDGDQTKDEEMTQNSVDTEKKENNEKEDNSLSMEKHNNSSISHNDHQESDDKSISRDDCPVVEPKTSNAKESGDSTAIVDKSATDNTKGSNICASDPKQVKDKPSVEVEARDDSSSKGKDELNKTEDAVASPANVQEQKQSETLENGKMGEPNSIESVVANEEKGSGVTANQNDSITRLRRAAATAISAAAVKAKFLGDQEEYHIRRLTAMMIEKLFQKIEVKMSLFAEIEQVVLRTREYTEKTRKKLLLERNAIIAARMGALPSRPNQPGVAGSRLPPGYGNPAVRPPNAMPRPSS >KQK87369 pep chromosome:Setaria_italica_v2.0:IX:6936871:6942396:-1 gene:SETIT_034136mg transcript:KQK87369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKPSSAAHGDAPAAEAPRRRGGGGKRKSSGSSFTPSKRQAKERNAAFHVPPHLLHSGPLTRAARQSPHKLSGTPPETGPASSAAAAGDGVSGGQGEVDAIRPEREETPAAEQPLVDEVFEAVRSRGAGVHVVPTFAGWFSWKEIHLVEKQTLPSFFNGKSEKRTPEVYLAIRNSIMVKFHANPQLQLESKDLAELSTGEADARQEVLEFLDHWGLINFHPFPPAGQEESKPEESQDNSHDEDKASLIEQLFKFEPVQSYIMPLPKKEDVGAPPPLPSLFPDPVLLEDVVAAAEPSVEYHCNSCSVDCSRKRYHCRTQADFDLCCDCYNEGKFDPGMAKTDFILMDSSEVSGASGTSWTDEETLLLLEGLEIFGGKWAEIAEHVATKTKAQCMLHFLQMQIEDRFHDGEDINQNTPGSTEQATTEKGIAETSEKMEVEDKEEGRDTVDEKVSEKTDGNCEETKPEGASAVENKDTQNSGGKDSAASPNTEEPKQSSDEHPIVKENSGDVDTSGEKLSNVALDILKSAFEAAGHSPEYQGSFAAAGNPVMALAAFLAGLVEDDNATTSCRSSLKAISEVSPALQLASRHCFILEDPPNDLKDIYVSVSNKSNKSTDGDQTKDEEMTQNSVDTEKKENNEKEDNSLSMEKHNNSSISHNDHQESDDKSISRDDCPVVEPKTSNAKESGDSTAIVDKSATDNTKVVVAGSNICASDPKQVKDKPSVEVEARDDSSSKGKDELNKTEDAVASPANVQEQKQSETLENGKMGEPNSIESVVANEEKGSGVTANQNDSITRLRRAAATAISAAAVKAKFLGDQEEYHIRRLTAMMIEKLFQKIEVKMSLFAEIEQVVLRTREYTEKTRKKLLLERNAIIAARMGALPSRPNQPGVAGSRLPPGYGNPAVRPPNAMPRPSS >KQK91814 pep chromosome:Setaria_italica_v2.0:IX:51951681:51953007:-1 gene:SETIT_040122mg transcript:KQK91814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SQSFIGFNYGTIADNLPPAASTASLLMSTSIGKLHHHEPQPDLIAALAGSNISILLGIPNGDVPNLASSPAAAIIPTMVTVSAISVGNELLKSGDPTLAPQLLPAMQNLLAALPAGFTTTAQHTRMVARSPRCTPWPCTSASDPPSSGAFHPDLAGSLDPVLDFLHQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNADRVDAAFGLTYTNMFDAQLDAKGYTDVDIVIAEIGWPYKGDADEAGATVDNARAYNGNLVAHLKSQAGTPRTPGKSVDTYIFALYDEDLKGGPESERPFGLLGKSSSTAAPTILTPSPPQQGMLQPSRGATPTPTGFCQTTAAVPGSTQGQQVTQTSSCYIPCRSRVAAS >KQK90098 pep chromosome:Setaria_italica_v2.0:IX:39569326:39572938:-1 gene:SETIT_036804mg transcript:KQK90098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPYATAAVAEAALGRAMTPAEALWFRYTAGVPDYHLYCLNILFLFVVFTLAPLPVALLELRAPAAVSPYKLQPRVRLSRAEFVRCYKNVLRIFFLVIGPLQLVSYPAVKMVGIHTQLPLPSLGEMAAQLLVYFLVEDYLNYWIHRLLHGEWGYQKIHRVHHEFTAPIGFAAPYAHWAEVLILGIPSFVGPAIAPGHMITFWLWIILRQVEAIETHSGFDFPFTPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYLYGTDRGYRFHKAYLAKLKDLGQNDGEKGDGNGQSYAKLD >KQK90176 pep chromosome:Setaria_italica_v2.0:IX:40412000:40414928:1 gene:SETIT_035562mg transcript:KQK90176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSGSCVQGTVYHWIVLFAVWLCGSQHVLSQKTTLEPKDKFLLSDPPIGLFDPIEISPSVLPHNPNPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYTIDKTASDCSIPLAPLVADVICCPQVNSLMNIFQAAYGSGNDTLALNQASANACFSDIMSILASKGANTNIPELCTLRPSNLTDAACPVKDIASFEKIVNVSKLIDACSSVDPLKECCRPVCQPAIAEAAIHISSGGANMFGSSSIPGSVAGIDVVSDCKGVVHSWLSMKLSSEEANSAFRVLSGCKVNKVCPLEFDEPSSVVKACAKASSSTPSCCAALHSYIGTRQKQIFVTNLQAINCATMFGSMLQKAGVVEDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIEFDNTTGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVSPKSGSSGTSRTGIGVLLPLVFLTTTISI >KQK90177 pep chromosome:Setaria_italica_v2.0:IX:40412000:40414928:1 gene:SETIT_035562mg transcript:KQK90177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSSGSCVQGTVYHWIVLFAVWLCGSQHVLSQKTTLEPKDKFLLSDPPIGLFDPIEISPSVLPHNPNPVEPLSPMYPNYTSYDPVLTGKCHVNFSALSYTIDKTASDCSIPLAPLVADVICCPQVNSLMNIFQAAYGSGNDTLALNQASANACFSDIMSILASKGANTNIPELCTLRPSNLTDAACPVKDIASFEKIVNVSKLIDACSSVDPLKECCRPVCQPAIAEAAIHISSGGANMFGSSSIPGSVAGIDVVSDCKGVVHSWLSMKLSSEEANSAFRVLSGCKVNKVCPLEFDEPSSVVKACAKASSSTPSCCAALHSYIGTRQKQIFVTNLQAINCATMFGSMLQKAGVVEDIYGLCDIDLKDFSLQAFGQQGCLLRSLPTDIEFDNTTGISFTCDLSDNIAAPWPSSSSVQSLSLCAPEMSLPALPVSPKSGSSVGTSRTGIGVLLPLVFLTTTISI >KQK88069 pep chromosome:Setaria_italica_v2.0:IX:11274154:11275239:-1 gene:SETIT_038500mg transcript:KQK88069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFIKEIQPKITVFVAVMLKRNVQPPGPFLKHIMFHLLSGYFQGICISTFPT >KQK89043 pep chromosome:Setaria_italica_v2.0:IX:19859478:19860949:-1 gene:SETIT_037719mg transcript:KQK89043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTYKAADEPPASNSSGYRKDKVRRKKLTAQKRKEIKEAFDLFDIDGSGTIDARELNVAMRALGFEMTPEQINQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDARDELFKAFRIIDQDRNGKISDIDIQRLAIETGEHFTIDEVREMIEAADENGESPACPCLPLPGR >KQK89041 pep chromosome:Setaria_italica_v2.0:IX:19859478:19860949:-1 gene:SETIT_037719mg transcript:KQK89041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTYKAADEPPASNSSGYRKDKVRRKKLTAQKRKEIKEAFDLFDIDGSGTIDARELNVAMRALGFEMTPEQINQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDARDELFKAFRIIDQDRNGKISDIDIQRLAIETGEHFTIDEVREMIEAADENGESPACPCLPLPGR >KQK89040 pep chromosome:Setaria_italica_v2.0:IX:19857938:19861135:-1 gene:SETIT_037719mg transcript:KQK89040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTYKAADEPPASNSSGYRKDKVRRKKLTAQKRKEIKEAFDLFDIDGSGTIDARELNVAMRALGFEMTPEQINQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDARDELFKAFRIIDQDRNGKISDIDIQRLAIETGEHFTIDEVREMIEAADENGDGEIDLEEFMKMMKRTNLVSGF >KQK89042 pep chromosome:Setaria_italica_v2.0:IX:19859363:19860949:-1 gene:SETIT_037719mg transcript:KQK89042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTYKAADEPPASNSSGYRKDKVRRKKLTAQKRKEIKEAFDLFDIDGSGTIDARELNVAMRALGFEMTPEQINQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDARDELFKAFRIIDQDRNGKISDIDIQRLAIETGEHFTIDEVREMIEAADENGDGEIDLEEFMKMMKRTNLVSGF >KQK89044 pep chromosome:Setaria_italica_v2.0:IX:19857938:19861135:-1 gene:SETIT_037719mg transcript:KQK89044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTYKAADEPPASNSSGYRKDKVRRKKLTAQKRKEIKEAFDLFDIDGSGTIDARELNVAMRALGFEMTPEQINQMIAEVDKDGSGTIDFDEFVHMMTDKMGERDARDELFKAFRIIDQDRNGKISDIDIQRLAIETGEHFTIDEVREMIEAADENGDGEIDLEEFMKMMKRTNLVSGF >KQK86692 pep chromosome:Setaria_italica_v2.0:IX:3323626:3325199:-1 gene:SETIT_038422mg transcript:KQK86692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLQYYINEKGDKVYTTKKESPLGVPTQSAHPARFSPDDKYARQRYLLKKRFGLLPTQKPALKY >KQK92251 pep chromosome:Setaria_italica_v2.0:IX:54576904:54577781:1 gene:SETIT_037895mg transcript:KQK92251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEESLRHSLWHLLRQTSFQLGTWCWLTQTVRAQASAQASSSETPQTVTQVSGMGSLEKAPTFLSIRLEVQTRSQTKTSSELFSRPRAALRRCSSSRRRLMRMNWPATSAGIAAPSRGHHASTIASRACAEIGAARIRFGRISHEPPEMYE >KQK86746 pep chromosome:Setaria_italica_v2.0:IX:3550098:3555948:1 gene:SETIT_033915mg transcript:KQK86746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRAVVVVAVNGQRYEAAGVEPSTTLLEFLRTRTPVRGPKLGCGEGGCGACVVLVSKYNPATDEVTEFSASSCLTLLHSVDRCSVTTSEGIGNTRDGYHPVQRRLAGFHASQCGFCTPGMCMSIFSALVKADKEASRPAPPTGFSKLTTSEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGDEPAHISKLPGYNSDAVCTFPEFLKSEIKCSMEHTNSSPVAVSDDGWYHPKSIEELHRVFNSNWFDENSVKIVASNTGSGVYKDEDLYDKYIDIKEIPELLVINRSSKGIELGSVVSISKAVEVLSDGNLVFRKIADHMNKVASPFVRNTATIGGNIIMAQRLQFASDIATILLAAGTTVTIQMVSKKLSLTLEEFLQQPPCDSRTLLLSIFIPDWGSDGITFETFRAAPRPFGNAVSYVNSGFLARTSVDVKSGEHLIKDICLAFGAYGVDHAIRARKVEDFLKGKSVSSSVILEAVQLLKETITPSEDITHPEYRISLAVSFLFTFLSSFASSFNEPAKVSVTNGSHTNETTNGSTGYSAEEHLKVDSSDVPICSRQEMVFSNEYKPVGKPIKNAGAELQASGEAVYIDDIPAPKDCLYGSFIYSTHPHAYVKGINFKSSLASQKIITVITAKDIPSGGKNIGSSFPMLGEEALFADHLAEFAGQNIGVVIAETQRYAYMAAKQAVVEYSTENLQPPILTIEDAIQHSSYFQTPPFLVPKPVGDYNQGMSEADHKILSAEVKLESQYYFYMEPQVALAIPDEDNCITIYSSTQLLEITQSVVARCLGIPFHNVRVITRRVGGGFGGKAMKPMHVACACAVAAFKMRRPVKMYLDRKTDMIIAGGRHPMKAKYSVGFKSDGKITAVHLDLGLNAGIAPVLSALLPGTIIGGFKKYNWGALDFDIKVCKTNVSSKSTMRAPGGAQGSFIAEAIIEHVASTLSVDTNTIRRKNLHDFDSLAVFYGESAGEAATYSLVSMFDKLASSPDYQYRATMVEHFNSSNKWKKRGISCVPITYEVHLRPSPGKVSIMNDGSIAVEVGGIEIGQGLWTKVKQMTAFGLGQLCPDGGECLLDKVRVIQADSLSMIQGGFTGGSTTSENSCEAVRQSCTELVERLKPIKENLEAKAGTVEWSALIAQASMESVNLSAHAYWTPDPTLRNYLNYGAGISEVEIDVLTGATKILRSDLMYDCGQSLNPAVDLGQVEGAFIQGVGFFTNEEYATNSDGMVIHDGTWTYKIPTVDTIPKQFNVELINSARDKKRVLSSKASGEPPLLLAASVHCAMREAIRATRKEFSVCTGPENSAVTFQMDVPATMPVVKELYGLDVVERYLQSLSAGPSTA >KQK91795 pep chromosome:Setaria_italica_v2.0:IX:51832016:51833810:1 gene:SETIT_036541mg transcript:KQK91795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHNYSCSRDVNCLAALLLAALCLGAAVVARGQLTDDFYDDCCPQAEDIVKARVSAAMKAEARMGASLLRLHFHDCFVNGCDGSILLDGSNSEKLAGPNLNSARGFEVVDAIKADLEKACPGVVSCADVLALAAKYGVLLSGGPDYDVLLGRRDGLVANQSGANSNLPGPFDPISDIIKKFRDVGLNTTDVVVLSGGHTIGRGRCTLFSNRLSNFSATSSVDPTLDSSLASSLQALCRGGDGNQTAALDAGSADAFDNHYFQNLLSQKGLLSSDQGLFSGAGANATKALVQLYGANSERFLCDFGRSMVKMGNILPLTGSAGQIRKNCRAVN >KQK86867 pep chromosome:Setaria_italica_v2.0:IX:4215124:4218566:-1 gene:SETIT_035333mg transcript:KQK86867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEAPLLIPHPAGKEDAEAEGGKSCLWWRRCGGACSEGWWGEATAEAGRLASLAAPMIAVALLQLTMQLISTIMVGHLGEVPLAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALFTYRSITVLLIVSVPIAILWVFIPDILPFIGQDPLIASEAGRYALWLIPGLFAFSVAQCLSKFLQSQSLIFPMVLSSLTTLTLFIPLCWFMVYKVGMGNAGAALAVSICDWVEVTVLGLYIKFSPSCEKTRAAPTWETFQGIGNFMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSICISTVVLVYNLPYGIGTAASVRVSNELGAGNPDGARLVVVVALSIIICTAVLVSVTLLSLRHFIGIAFSNEEEVVNYVTRMVPLLSISVLTDNLQGVLSGISRGCGWQHLGAYVNLGAFYLVGIPVALVLGFALHLGGAGFWIGMIAGGATQVTLLSVITAMTNWGKMVGSPFLSDNCCFSLFP >KQK86866 pep chromosome:Setaria_italica_v2.0:IX:4214586:4218566:-1 gene:SETIT_035333mg transcript:KQK86866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSEAPLLIPHPAGKEDAEAEGGKSCLWWRRCGGACSEGWWGEATAEAGRLASLAAPMIAVALLQLTMQLISTIMVGHLGEVPLAGAAIANSLTNVSGFSVLMGLACGLETICGQAYGAEQYHKLALFTYRSITVLLIVSVPIAILWVFIPDILPFIGQDPLIASEAGRYALWLIPGLFAFSVAQCLSKFLQSQSLIFPMVLSSLTTLTLFIPLCWFMVYKVGMGNAGAALAVSICDWVEVTVLGLYIKFSPSCEKTRAAPTWETFQGIGNFMRLAVPSALMICLEWWSYELLVLLSGILPNPALETSVLSICISTVVLVYNLPYGIGTAASVRVSNELGAGNPDGARLVVVVALSIIICTAVLVSVTLLSLRHFIGIAFSNEEEVVNYVTRMVPLLSISVLTDNLQGVLSGISRGCGWQHLGAYVNLGAFYLVGIPVALVLGFALHLGGAGFWIGMIAGGATQVTLLSVITAMTNWGKMADNARDRVFEESLPTQAY >KQK87974 pep chromosome:Setaria_italica_v2.0:IX:10553105:10554451:1 gene:SETIT_039434mg transcript:KQK87974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYRNNVCLGMKLRLADTSLNTLPDGVMYSLKPLWISQSSTLVLPHLILLHCWSLHCPGSLLLKGISTCPS >KQK91251 pep chromosome:Setaria_italica_v2.0:IX:48767802:48768917:1 gene:SETIT_038630mg transcript:KQK91251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTAMHDSKAATEYQVLYQQRTDIGCERSYSYYILMVGSQQPRSIRGSTSSAPMVEAVSRGLPALSAALVPGAQQHTAVEAEEFRWMRRPMVHNNKFLFETEGRLGLSRCDQRERMVDLWIKQDYDKNGSASFRLSCRMSTVLQRYSNGCYHCVSRARYACGVCEHGAPLRQETQEEDMLVEFVDMVHCNSKGKVLRTFSLGGPFILFT >KQK86631 pep chromosome:Setaria_italica_v2.0:IX:3028124:3029850:-1 gene:SETIT_037556mg transcript:KQK86631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQRSQLGQIDPRRARFPCCIVWTPIPFITWLVPFIGHIGICREDGVILDFAGPNFISVDNFAFGAVARYIQVNCDECYKLLEPEGGATWDGALKKGTQEFQNRNYNLFTCNCHSFVVNNLNRLFYSGHEKWNVVSLAAVMFLRGRWVSTASAVKTFLPFAVVLSVGTLLGGMTFLIGLAAFAAVMTGWFLVGTYCIKGLIEL >KQK86632 pep chromosome:Setaria_italica_v2.0:IX:3028366:3029105:-1 gene:SETIT_037556mg transcript:KQK86632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQRSQLGQIDPRRARFPCCIVWTPIPFITWLVPFIGHIGICREDGVILDFAGPNFISVDNFAFGAVARYIQVNCDECYKLLEPEGGATWDGALKKGTQEFQNRNYNLFTCNCHSFVVNNLNRLFYSGHEKWNVVSLAAVMFLRGRWVSTASAVKTFLPFAVVLSVGTLLGGMTFLIGLAAFAAVMTGWFLVGTYCIKGLIEL >KQK90682 pep chromosome:Setaria_italica_v2.0:IX:44687845:44689722:1 gene:SETIT_037716mg transcript:KQK90682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHNLRVCILEREPLRRNPANPSASTGMAEAQSPPSDSLAPPHRRRSRRLVFDRRYGWIFDEWTDPADQALSGGRGMFCAVTMAGSLVNAAASSINYVSSSVSSILESSNSFCLPAYVPNLSFNKKQQAWLRELENSGVVADLKVIDCSTHSAFESTTTDCPRLTRQHDLL >KQK90683 pep chromosome:Setaria_italica_v2.0:IX:44687845:44690372:1 gene:SETIT_037716mg transcript:KQK90683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHNLRVCILEREPLRRNPANPSASTGMAEAQSPPSDSLAPPHRRRSRRLVFDRRYGWIFDEWTDPADQALSGGRGMFCAVTMAGSLVNAAASSINYVSSSVSSILESSNSFCLPAYVPNLSFNKKQQAWLRELENSGVVADLKIFGTDSLQEDGNANGTCSLLLCEDVEVHNRNFCII >KQK90684 pep chromosome:Setaria_italica_v2.0:IX:44687845:44690881:1 gene:SETIT_037716mg transcript:KQK90684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHNLRVCILEREPLRRNPANPSASTGMAEAQSPPSDSLAPPHRRRSRRLVFDRRYGWIFDEWTDPADQALSGGRGMFCAVTMAGSLVNAAASSINYVSSSVSSILESSNSFCLPAYVPNLSFNKKQQAWLRELENSGVVADLKCPDNWCHIAILV >KQK87305 pep chromosome:Setaria_italica_v2.0:IX:6556987:6559474:1 gene:SETIT_034820mg transcript:KQK87305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLASSVLCSSSGSTSRSAAVPRAIRIPLFPKNQHRPPLRPLRSSSVARRSLQQEQEERADPASSVAVASGERHEEPTASHHVGGEDDGTTASGHAGGAEGGQGDGDGEEKRSTDEQQEVDWKSDEEFKRFMGNPSIEAAIKLEKKRADRKLRELDREPDANPVAGLLRGLAKDQLAREKQRLELAEQTFKALDLNKLKSCFGYDTFFAVDVRRFGDGGIFIGNLRRPVEEVRPKLEKKIAEAAGTEVTLWFMEEKGDGDITKQVCMVQPKAEIDLQLEITRLSTAWGYLSAVALAVTTFGTVALMSGFFLKPGATFDDYVSDVLPLFGGFLTILGVSEIATRLTAAKYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYITSVVLAVSAFIADGSFNGGENALFVRPEFFYNNPLLSFVQVAIGPYADELGNVLPNAVEGVGVPVDPLAFAGLLGIVVTSLNLLPIGRLEGGRIAQALFGRSTAALLSFGTSVLLGLGAISGSVLCLAWGLFATFIRGGEEIPAQDEITPLGSDRVAWGFVLAVVCLLTLFPNGGGTYSTSFLGDPFFRGGI >KQK88652 pep chromosome:Setaria_italica_v2.0:IX:15801919:15802283:-1 gene:SETIT_039982mg transcript:KQK88652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQFSISWNSIQCAASAPSAGRLISAKASHMEGSSEPTMKDGDIGAPALPTEVLTEIFARLPSKSVGRFRCVSHAWCDTLTSPYFVELHYRRANQLVHPRLLLTPVGASYDSHLYSWRLGM >KQK91287 pep chromosome:Setaria_italica_v2.0:IX:49020578:49025012:-1 gene:SETIT_039626mg transcript:KQK91287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTTEPRLPLPPPPLLLLLLFAAACSCGLAGAAGGERGGGSCDLSVERGGALYSFALAAPMPAHRHGVLSEDGFYKVAVNDSTLWFQLCDEMLFNFDPPMCLNCEDCGGPLRCGTQCSALVSNNMGGYDVCTTIGSLSKSHISLIDESNPRKGIVVKMFSPKCSISVSVLCDSSVAQATTFKHPSGCARSVSASGSGWGWLGTLFITIMSLLGGYILLGAVYRYYFLGLHSVEAIPNLDFWISLPRRIKTMFVPATRSRISYNRDGLGAYAPANH >KQK91324 pep chromosome:Setaria_italica_v2.0:IX:49267323:49270265:1 gene:SETIT_035778mg transcript:KQK91324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLVLSECCGLAPLRLRAGRGAIAAPSAPASLSAAALPRRGPAAAAIHRDWALRVSAPTRLTSTVEGEDRGSSPLGEEAAGGEFDPGAPPPFGLAEIRAAIPKHCWVKDPWRSMSYVLRDVVIVLGLAAAAARLDSWLVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLPESLYKSLDFMTRKLRFTMPFPLLAFPLYLFKRSPGKKGSHFNPSSDLFQPNEKKDIITSTASWLAMVGVLAGLTFVMGPIQMLKLYAVPYLVFVAWLDMVTYLHHHGHEDKIPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYKEPKKSGPLPLHLFGVLAESLKQDHYVSDTGDVLYYQTDKKMT >KQK92825 pep chromosome:Setaria_italica_v2.0:IX:57393666:57398434:1 gene:SETIT_036040mg transcript:KQK92825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLKLASPKPLAPAPSPLLRGRGAAQARPLPARRVPLPPRVAVQHHDAPAAAPRIGSFDKVLEALIAGTDFSEEDAEATLRLLLEEKDEARIAAFLVLLRAKGETYEEIVGLANAMLSCCVRVDGLDDAVDIVGTGGDGADTVNISTGSTILAAAAGAKVAKQGSRASSSACGSADVLEALGVNIELGPEGIKRCINEVGVGFMMSANYHPAMKIVRPVRKNLKIKTVFNILGPLLNPARVPHAVIGVYHENIVTKMAKAAQKFGMKRALVVHSKGLDEISPLGPGYILDVTPGKIEKMLFDPLDFGIPRCTLEDLKGGDPAFNAKVLQDVLAGQKGSVADALVLNAAASLLVCGKVKNLHDGVALAQETQRSGKAINTLESWIKISNSSK >KQK86488 pep chromosome:Setaria_italica_v2.0:IX:2184905:2192078:-1 gene:SETIT_034408mg transcript:KQK86488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLTASASVSSPVTPSAHLLRLSRTPPFPHLRRRCSPPKPLALTRRPPLLVASRSSLLFASRPSLLFAPRAYGGHGHSHNHHHHHGHDHHHHGHGHHGVDAHGGGGGAAVMRVARAIGWADVADALREHLQLCCISLGLLLIAAACPHVALLNTVGCLQATLIAVAFPLVGVSAALDALVNIADGRINIHVLMALAAFASIFMGNALEGGLLLAMFNLAHIAEEYFTSKSMFDVRELKENHPEFALLLETSGEESVQFSNLSYTKVPVHDLEVDSHILVRTGESVPVDGEVYQGSSTVTIEHLTGETKPLERTVGDAIPGGARNLEGRMIVKVTKSWVDSTLNRIVQLTEEGQLNKPKLQRWLDEFGEYYSRVVVALSLAVALLGPLLFKWPFFGNSVCRGSIYRGLGLMVAASPCALAVAPLAYATAISSLASKGILLKGGHVLDALSACQSIAFDKTGTLTTGKLTCKAIEPIHGHLGVSLAVLDHSVGKELPAVAVESFECLPGRGVAATLSGVKARNNENELSEASIGSVDYISLYRSNGESEQIKQAVKISAYGPEFVQAALSVDKKVTLFHFEDEPRPGVCEVIYTLREKAKLRIMMLTGDHESSAQRVAKAVGIDEVHSSLKPEDKLNKVKAVSRERGGGLIMVGDGINDAPALAAATVGMVLAQRTSATAVAVADVLLLQDNICGVPFCIAKARQTASLVKQSVALALTCIVFAALPSVLGFLPLWLTVLLH >KQK86376 pep chromosome:Setaria_italica_v2.0:IX:1518388:1521539:-1 gene:SETIT_035032mg transcript:KQK86376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARAGRRGGPEARLLVALLLLALVVSGHCLDAHHRGLKRRRRKHEIHSPIKTVVVVVMENRSFDHILGWLRRTRPDIDGLTGRESNHLNASDPSSPEIFVTDEAGYVDSDPGHGFEDIREQIFGSADTSAVPPPMSGFAQNARGMGLGMAQNVMSGFKPEAIPVYASLADEFAVFDRWFASVPTSTQPNRLFVHSATSHGLTFNARKDLIHGFPQKTIFDSLEENGLSFGIYYQNIPATLFYQSLRRLKHLVKFHQYSLKFKLHAKWGKLPNYAVIEQRYFDCELFPANDDHPSHDVARGQRFVKEVYETLRASPQWNETALLITYDEHGGFYDHVPTPVVGVPQPDGIVGPDPYYFKFERLGVRVPSFLISPWIEKGTVIHEPSGPQESSQYEHSSIPATVKKLFNLHSNFLTKRDAWAGTFENYFKIRKTPRTDCPETLPEVTKSLRPFGPKEDSSLSEFQVELIQLASQLNGDHVLNTYPDIGRTMTVGEANRYAEDAVARFLEAGRIALRAGANESALVTMRPALTSRASMSSGLSSEL >KQK87396 pep chromosome:Setaria_italica_v2.0:IX:7094480:7098088:1 gene:SETIT_034686mg transcript:KQK87396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVTAAELLYGMPLLRSVTGGDGGGGGRGADPGGCGVLLAELKQLWGEIGKSREERERMVRDLEAECMRVYRRKVDEATGERALLHQSLAAGEAEIAALTAALGADNSPQLKVNKWTMSLTERVSSATSLLEELRAIKAERSRQFADISWEIEKIAAEIAGRSYGYEGSPRASEVEEHDLTIRRMNEYKARLTSLQKEKSDRLHKVLEHVTEVHSLCDMLGEDFIALVNEVHPGLHETADPGKPTSISDSTLGSLAQVVAMLTSEKAKRAAMLREAVAPLVELWELMDSPEEERRGFRKVTAVLNPEKESLSSGVLSVATIKKTEEEVERLTRLKAGRMKELVLKRRLELENICRNMHVEPDASTVPEKSIALIDSGLVNPSELMANIDEQIARAREELQSRKDIMDRINKWLLACEEEQWLEEYNQDENRFSTGRIARLNLKRAEKARLIITKIPAIVDNLMSRTLAWESERKKPFLYDGARLVAVLEEHKQARLRQEEERRRLREQKKLRTLLSEKEAMPHLKRPGSSFGRAAEPCAVNRKRVDAGRHASSAPSVRSGASSSGSSGGAASAAELLRPRSSAAGAGAGHCGEFFKGARRLSGPPFNYVAVAKGGGSMSSSLALS >KQK90067 pep chromosome:Setaria_italica_v2.0:IX:39283636:39284475:1 gene:SETIT_038681mg transcript:KQK90067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVGPTAGGGITLPSMGAPPPPLHPTPTSPTARPHHHYYLFSIKQLNTLGAAAVLAFSTTVPLSEIAFAVLLLPYLLILARRPGKPNPAAPVFPGLAGRLRLAVHTAVGFLVGAALPALYILDGLRAGDTAGVAAAAPHAFLLSAQVFTEGIVAAWPGTFSLPVRAAVPVMHSARRMFAASEWLREELQERDELGRGPPVAPRRVVAGRALAAANLVFWGFNLFAFLLPFYLPKALRRYYLGTDREDDGEDRSRVNEKQQKQLQEGEGKKDS >KQK87962 pep chromosome:Setaria_italica_v2.0:IX:10440762:10444985:1 gene:SETIT_036471mg transcript:KQK87962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMRYEGAQLCLHCTGQRRLGLSMGAPKQRWTSEEEAALRAGIARHGVGKWRTILKDPEFSSTLCYRSNVDLKDKWRNMNVIVSTSSSRDKGKTAVRKTRTTPKNNDHTVVISTAVTSDIDDEIVDEKPIAAVPSEAQNTSNPKKSHSRLDNIIMEAIKSLNEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLATSGKLIKVNRKYRIAPSSPNSEGRSPKMLLLEDVQREPVKIGSNDSKILTRSQVDAELARMATMTGEEASAAAARAVAEAEAIMAEAEAAAREAEAAEAEAQAAQAFAEAAFLTLKNRNTANLMAQA >KQK87961 pep chromosome:Setaria_italica_v2.0:IX:10440762:10443753:1 gene:SETIT_036471mg transcript:KQK87961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMRYEGAQLCLHCTGQRRLGLSMGAPKQRWTSEEEAALRAGIARHGVGKWRTILKDPEFSSTLCYRSNVDLKDKWRNMNVIVSTSSSRDKGKTAVRKTRTTPKNNDHTVVISTAVTSDIDDEIVDEKPIAAVPSEAQNTSNPKKSHSRLDNIIMEAIKSLNEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLATSGKLIKVNRKYRIAPSSPNSEGRSPKMLLLEDVQREPVKIGSNDSKILTRSQVDAELARMATMTGEEASAAAARAVAEAEAIMAEAEAAAREAEAAEAEAQAAQAFAEAAFLTLKNRNTANLVIVLVHGSLCYMSYCPFTC >KQK92642 pep chromosome:Setaria_italica_v2.0:IX:56571087:56572742:1 gene:SETIT_038916mg transcript:KQK92642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAASLRAAASAMAEAGGDPAVLHAVLVKTASSSRAAYNFLLSRYPPSLSLPLLSRLPFRPTAASLTSYLSSESSSSPSSALPLLRRVLGVSPAFLADGPLSSLLRSMPPPLAPHLHALAFKLALSSSPYSASCLITLYFRFRSPTAARRLFDEIPVRDRDPVCYSSTIVGLAQNGQYEESLSVFAGMRSNAVDSTMYALSGALRAAAGLAALEQTCGIHAHAVVVGLDGNVAVGTALVDAYGKAGVMDDAVKVFEGLGDDRNLITWNAVLSAHAQQGDVPAVVELFNRMMELGFAPDGLSFLAILTACSNAGADAEAEFWLEAMQSKYNVKPGIEHYTCVVGAMARVGRLEDAESVACKMPCKPDAAVWRTLLMGCVVHRKDDSTYVMLANVYSAAGKKDEEAASWTAMRDCGVRKEGGRSWIEGRGQVHVFVANEKRHEQLLEIYDKLNELIQEVEKLGYKEAGEGSWHHSERLALAYGLISGAVPSGKVLRIVKNLRICAHCHEFFKYASMVIDRVIVVRDVNRYHTIKK >KQK89414 pep chromosome:Setaria_italica_v2.0:IX:28033759:28034046:-1 gene:SETIT_039937mg transcript:KQK89414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCPFVRVLVGNLALRMPVAPLAAGASAGVHPSTSPCHCKIRLGKMPAQLVPTPLVPFDGGEQAPASGALAAAFHLSKADLEWFNGKPFRRSSPR >KQK90955 pep chromosome:Setaria_italica_v2.0:IX:46807836:46811486:1 gene:SETIT_039893mg transcript:KQK90955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVQCNNPHPCIRLLLPLLAATAVAAGASPEIDDLYALSKLKSSLLTGSAGNSTSLADWDITSSSTATSPPWQHCNFSGVTCDASSRVVAINLTGVPLYGGVLPSAVSLLDALSSLTVASCFLLGPIPASLASMPLLRHLNLSHNNISGFFPYGPPAPYFPSAEVIDVYCNNLTGPLPPFGRSLTRLRHLNLGGNYFSGSIPEEYGDIKRLEFLWLCGNWLSGRVPPSLSRLKRLKVMNLGYGNSFDGGIPSEFGELEALVDLEMALCHLTGPIPPELGHLTRLEILYLYSNNLGGEIPAELGSLKNLTYLDLSFNELTGKIPASFAGLSRLRLLQLFANELQGVIPKFVGELPQLEILQAWQNNLTGELPANLGKNGRLLTLDVTDNHLTGAIPPHLCSGRRLQSLILMWNKLSGPIPEDLGNCKTLTRVRLNNNFLSRSIPAGFLDLPKNTMLDLSHNLLSGELPDVTPSAGLSFLSVASNSLSGAVPPEIGHLKKLSTLNFSANELTASVPRELSHCESLTVLDLSRNQLTGEIPKEITNLKVLTTLNLSRNRISGELPLEIREMISLGVLDVSYNNLSGRVSVSQLQGVFVLSDASDFEGNPGLCVEHVTAASCYRLQRSLARCDKPRMLLWLVPSVSTVAVAMAVFLGVRWREAAKRRPASWKMTRFHNLDLEMDDVLGSLREENVVGRGGAGTVYRCATRGGSEVAVKRLPGPGRRRDHGFRAEVATLGGVRHRNIVRLLGFASGAEGNLLLYEFMPAGSLGGVLHGDNGALLGWHTRHRVATEAARALCYLHHECLPRILHRDVKSSNILLDAAMEAHVADFGLAKFLSRGASGSGTGAVAAEECVSAIAGTYGYIAPEYAYTLRVDEKTDVYSFGVVLLELVTGRRPLGDFGDEIDLVHWARSAVPTPSDTTAVLAVADPRLPREPADLIARLFRVGTSCVREDSQARPTMREVVHVLSSFVPVTPSVL >KQK90523 pep chromosome:Setaria_italica_v2.0:IX:43397423:43401678:1 gene:SETIT_035106mg transcript:KQK90523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGAAGVAALLFATVFTGVTGDTNSDDVTALSTFYTALNSPSQLTNWVPQNGDPCGQSWLGVTCSGSRVITIKVPGMGLNGTLGYNMNLLTELSELDASNNNLGGSDIPYNLPPNLERLNLEKNNFIGTLPYSISKMATLNYLNLGHNQLSDINVVFNQLTNLTTLDFSYNSFSGTLPESFSNMTSLSTLYLQNNQFTGTIDVLTDLPLTDLNVANNQFSGSIPDKMKSISNLQTSGNSFSNSPAPAATAPPPDTRPSRPSPSGSPSQSNHNSSPSRGRDTGNGGSGGKSSKVTGAAVAGIVISLVAVGALVGFFLIKRKSMRHQQGGDPEKNEHLSPLASGKIKQLRPIRSILLSPTGKELKKNVSMNLKPPSKIELHKSFNENDPTNKPVAKKVNLSSIRATAYTVADLQVATESFSADNLVGEGSFGRVYRAQLSDQKVLAVKKINFSAIPDHPSDFFVKLVVNIAKLNHPNLSELDGYCSEHGQCLLAYEFYKNGSLHDLLHLSDGNSRSLSWNSRVKIALGSARALE >KQK89272 pep chromosome:Setaria_italica_v2.0:IX:22885494:22886983:-1 gene:SETIT_035595mg transcript:KQK89272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSRSDQSIHVLLVSYPTQGHINPLLQFGKRLAAHPGVRCTLAVTRHALCSGRQPQPGAVHVVTFSDGCDLRGYDEVGDERGYLARLESAGSESLGELLRAESARGRPVRAVVYDTLLLWAPRVARRHGAACAAFFTHACAVTVAYAHAWAGGLTLPVQDAPPESLPGLSVTLGPADLPKDLSDPGSHLVYRELMLEQCRALEVADHVLVNSFHELQAQEAEYMASRWGAKTIGPTVPSAYLDNRLAEDVSYGFHLHTPMTAESKAWLDERDAHSVVYVSFGSLVALGSDQMAEVREGLYNSGKAFLWVVRASETSKVPKGFTDKVKERGLIVTWSPQLDVLAHPSIGCFVTHCGWNSTMEGLGAGVPMVAMPQWADQPTNAKYIEDVWRVGVRVRPDVEGMVMKQELERCVREVMEGEAGKQFRKNARSWSHKAKKAMAERGSSDSNMVDFLTKLRTD >KQK92971 pep chromosome:Setaria_italica_v2.0:IX:58116663:58117349:1 gene:SETIT_040291mg transcript:KQK92971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIHLFGAHSRNLMCTQSDCRYATMLIARSFSTYCCLVSCNSFQVGLRVAGDPENGKQHE >KQK86952 pep chromosome:Setaria_italica_v2.0:IX:4676125:4679650:1 gene:SETIT_035029mg transcript:KQK86952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLHHWLDLNLRPDLLATSKRLSFSDADFPSPGRGGSVQPKPGLETIGEEGDDLAQALALSQSNTPPPSPGTTGPLYPTPGGTPTTTPSAPVATSLSLAPPGPSQSQQSQEAVAATRMSEQRRQAELFGEYQQQQHHHQQALAAQKGLQQAWASAAAGRLQSQELMLYTVDGGAAGYETRWEELHPVSQGLLLRIEDNIREYRDDSERLDQCSRLDDLSPFSFEFDAGQITQEAVSISTTMNREKISIESLMTVIKEIMWNTGFAIRSYVKLRPRFVHLSAGIANHSGSSGAQTDFSQLLTTAPSFHCYSSATRRPSPFVQHTIARFEDHLGECCKWILELEQLVQTKNDKTFAESLESLSKVMSNVHDYLIHLASKAEHIHQSVETMKTQYLNNRRCRGDLSNPFLKANRREEAKQQATARIKHPMLHLPPPGQPTTVVVVPVISSQLQQTSFPTVATSPRSYPTLPLPSVLPPSHTQTSPAPLTTNPLSSPWPVLQFTPFGSFSTLELGSTQAASLLGTGTPFSATSLFPIPSGGMHHMLCATG >KQK87867 pep chromosome:Setaria_italica_v2.0:IX:9844384:9846834:1 gene:SETIT_034313mg transcript:KQK87867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPRRGIRAYAATALLTGRNLIAIILPPRRRLPCARAMLLPRVHLRLASTAAVRLHPPPSGAFSHDLAFARQVLDRIPAPDARAYNALIRAYSWRGPFHAAIDLYRSMLRRVAPNKYTFPFVLKACSALADLRSGRAVHAHAAAAGLHTDLFVSTALIDLYIRCARFGPAANVFAKMPTRDVVAWNAMLAGYAQHGMYQHAIAHLLDMQAYDRLRPNASTLVSLLPLLAQHEALSRGTSVHAYCLRACLDQKEEQVLVGTALLDMYAKCKRLVYACRVFHGMPVRNEVTWSALIGGFVMCDRMVQAFSLFKDMLSQGLCFLSPTSVASALRVCASLADVCMGTQLHALLVKSGIHADLTAGNSLLSMYAKAGLIDETMSLFDEMSIKDTVSYSALLSGYVQNGKAEEAFLVFKKMQACNVEPDVATMVSLIPACSHLAALQHGRCSHCSVIIRGLASETSICNALIDMYAKCGRIDLSRQVFDKMAARDIVSWNTMIAGYGIHGLGKEATTLFLGMKNQGFAPDDVTFICLISACSHSGLVTEGKHWFNMMTQKYGILPRMEHYICMVDLLARGGFLDEAYQFIQSMPLKADVRVWGALLGACRIHKNIDLGKQVSRMIQKLGPEGTGNFVLLSNIFSAAGRFNEAAEVRVIQKVKGFKKSPGCSWIEINGSLHAFVGGDQSHPRSPDIYQELDNILIDIKKLGYQADTSFVLQDLEEEEKEKALLCHSEKLAIAFGILSLGEDKTIFVTKNLRVCGDCHTAIKYMTLVRNRSIIVRDANRFHHFKNGQCSCGDFW >KQK89785 pep chromosome:Setaria_italica_v2.0:IX:35915891:35917827:1 gene:SETIT_038881mg transcript:KQK89785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQPVTGPCVTGGWRARVAAFLGREVDEQGTRTSGVLISWLREHFGHCPQDADAETVGHYCRAWILHLFACVLFPDGTGDTASWMWIHCLTDWHQAGSYSWGSAVLSFLYRQLCEACRRSSGSPSVGGCVYLLQLWMWSRLPVGRPEIMLRRPWFPDEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSGRTLQSSVRDIEQFRPRVRDPETRSFLERLSNRLRRAAARCGCRTATTRDVHVPSLREGGVGTSSQGPSGSKSIASEEDDDDDDDDDGGDDEQRAEEIGPSQLQEAPLTQPTQAVGGTRLRHPRSPYTPGTDALGHKGKGKTRRQ >KQK88748 pep chromosome:Setaria_italica_v2.0:IX:16787672:16795447:-1 gene:SETIT_033866mg transcript:KQK88748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLGAFVPDTAVRWRGVVTGDVARRMGVAAEARALAGRLERVGAAVRDAEARAARGDEGAARWLANARAAAYEADAAADRCRIAVRRRRALPRLLSSCCEADVPGADIAADIKSLNRKLQVILKEKNRLQLRSFLGDHHATPVRTALRHRKSQGTGAPDTDIIGSRIEDDAAGLVRQLTEADGEADRAVVAIIGPDGIGKTALATKVYGSERIRRGFGARSWVRVPREYTEAGLLSQVIDSFGGDTTGGESFADLEKTLARLVGKRRFLLVLDDVRYGGVWEDVLRRPLEGAGRGSRVLVTARRGSIAREMGAGHVHRVKKLAADDGWLLLRAAARVVDGDAAEELKDVGERIAEKCGGVPLAIKAVAGVLRTREASAKEWAEVLASPAWLVKGLPEDAMKPLYLCYDDLPCHLKQCFLYCSLFPSDLTMDRRVLVQQWVAEGFVQIRADAGVEEVAEEYFDELIGRHLLQPAEGVEHGGAARCTMHDMLRALAQLLSQGEDLTGDSYRLLVDSDVTFAPRRVSLPGRNLAVVLEKILKLEGLRTLLLKKNPLTIEGSIFTRLEHLKVLDLSETAVELIPDNLGNLVYLRFLNLSHTRIQAIPESIGNLWSLKFLLLRGCKSLHALPKGIEHLRGLRDLDLAGTVIDDAAFRVGHLRSLTSLRWFAVTSKEARAAQDRSGWPLDELKNLSQLRTLHIQKLEKTAGRSEATEMSLAAKKGLQELELSCSGTVRPLQTPELVRKIEDIFEEMNPPLCLESLKLVNYFGTRFPRWLSVTFLPNLRDLDIVGCNFCQSFPPLGRLPELRSLYIADSSALKDIGAEFMGTNQPHQVPFPKLENLHLQGLQQLKIWRDIEPGALPSLQVLQLESCPKLQHLPAGLIHVTSLTELRIADMVSLAAVDDIATLRELSVWNTPSLKSISNLPSLEDINMCHCPVLEIVENVDRLQTVHIFDHDLQEMPRWIEAHASKLRSLNFTSTVGLLKRCLVDGPDWPVIKDIKEVHGYSTGSSYMYYTRNPYIFENNVSAEDNLDINENSADPDIVDDVSVSSSGTGYLEIRGFFDSKAVKTGATRTEDNVFRTNMERSMPRFTRRRLHKLAEVVPEDDEDEDGADSVVLFPTDQTRGAAVVERGHPAVSDVHTNNDDLGSLSKETTQESRAITNDGTHNDTIDRSVFTRRRGSKTLNDVPFGAGTNADPYVTKSTASIGRNLVREGSRAINITEIDQALNFSTARSKKHTSKKGENVAADVNIAEDISLAHSKQVTSKKGKDFADTTTATICSSSMVNIQKHVKNQDPNFANGSTDATPMPENPSREEAPKKGEDVTSRSLIHEASHKASITVTTQSLDATSLCGKQQSPNKGEDVFVAPCAASAVDQKEDSNINSPVKLNDEEYKAISDTETNCDLGSCKLPTSLAFRKQQTVSADPGDDLTASTMKLLSMGSGILEKPSSKCAVGAVKDSSVEIAKRITPCVSRPMRTISHAIDVIEAPMKPQANTASRFPTNAVVSHGGTQDDVPCSIDAKEDDDSHQAPKVYTAIWADTDTDTLRARFLDSMRHLRRMASRRRHRRRKHCSKNKWNIGPELVAVLLLVSVVQLFFILWVVDGEMKPSPPPEVREEEAQICPPELPKREIVRVTRTTIKEKARAFSSVGSAAKDEGGEAGGAGGALSAAVFKRFHSSAPVARAEGGCAEAGEDGDDLGFGGVRLDVEEIGAASRPEPRNKRKNPLGARPTKLAWHSSRILPLLSRSVDNVSLSRRQRGRRRRKGQARGGSRRRSEAAAEAGVETGAREAHPWRRRRRPPHVQPLR >KQK88747 pep chromosome:Setaria_italica_v2.0:IX:16788787:16795447:-1 gene:SETIT_033866mg transcript:KQK88747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLGAFVPDTAVRWRGVVTGDVARRMGVAAEARALAGRLERVGAAVRDAEARAARGDEGAARWLANARAAAYEADAAADRCRIAVRRRRALPRLLSSCCEADVPGADIAADIKSLNRKLQVILKEKNRLQLRSFLGDHHATPVRTALRHRKSQGTGAPDTDIIGSRIEDDAAGLVRQLTEADGEADRAVVAIIGPDGIGKTALATKVYGSERIRRGFGARSWVRVPREYTEAGLLSQVIDSFGGDTTGGESFADLEKTLARLVGKRRFLLVLDDVRYGGVWEDVLRRPLEGAGRGSRVLVTARRGSIAREMGAGHVHRVKKLAADDGWLLLRAAARVVDGDAAEELKDVGERIAEKCGGVPLAIKAVAGVLRTREASAKEWAEVLASPAWLVKGLPEDAMKPLYLCYDDLPCHLKQCFLYCSLFPSDLTMDRRVLVQQWVAEGFVQIRADAGVEEVAEEYFDELIGRHLLQPAEGVEHGGAARCTMHDMLRALAQLLSQGEDLTGDSYRLLVDSDVTFAPRRVSLPGRNLAVVLEKILKLEGLRTLLLKKNPLTIEGSIFTRLEHLKVLDLSETAVELIPDNLGNLVYLRFLNLSHTRIQAIPESIGNLWSLKFLLLRGCKSLHALPKGIEHLRGLRDLDLAGTVIDDAAFRVGHLRSLTSLRWFAVTSKEARAAQDRSGWPLDELKNLSQLRTLHIQKLEKTAGRSEATEMSLAAKKGLQELELSCSGTVRPLQTPELVRKIEDIFEEMNPPLCLESLKLVNYFGTRFPRWLSVTFLPNLRDLDIVGCNFCQSFPPLGRLPELRSLYIADSSALKDIGAEFMGTNQPHQVPFPKLENLHLQGLQQLKIWRDIEPGALPSLQVLQLESCPKLQHLPAGLIHVTSLTELRIADMVSLAAVDDIATLRELSVWNTPSLKSISNLPSLEDINMCHCPVLEIVENVDRLQTVHIFDHDLQEMPRWIEAHASKLRSLNFTSTVGLLKRCLVDGPDWPVIKDIKEVHGYSTGSSYMYYTRNPYIFENNVSAEDNLDINENSADPDIVDDVSVSSSGTGYLEIRGFFDSKAVKTGATRTEDNVFRTNMERSMPRFTRRRLHKLAEVVPEDDEDEDGADSVVLFPTDQTRGAAVVERGHPAVSDVHTNNDDLGSLSKETTQESRAITNDGTHNDTIDRSVFTRRRGSKTLNDVPFGAGTNADPYVTKSTASIGRNLVREGSRAINITEIDQALNFSTARSKKHTSKKGENVAADVNIAEDISLAHSKQVTSKKGKDFADTTTATICSSSMVNIQKHVKNQDPNFANGSTDATPMPENPSREEAPKKGEDVTSRSLIHEASHKASITVTTQSLDATSLCGKQQSPNKGEDVFVAPCAASAVDQKEDSNINSPVKLNDEEYKAISDTETNCDLGSCKLPTSLAFRKQQTVSADPGDDLTASTMKLLSMGSGILEKPSSKCAVGAVKDSSVEIAKRITPCVSRPMRTISHAIDVIEAPMKPQANTASRFPTNAVVSHGGTQDDVPCSIDAKEDDDSHQAPKVYTAIWADTDTDTLRARFLDSMRHLRRMASRRRHRRRKHCSKNKWNIGPELVAVLLLVSVVQLFFILWVVDGEMKPSPPPEVREEEAQICPPELPKREIVRVTRTTIKEKARAFSSVGSAAKDEGGEAGGAGGALSAAVFKRFHSSAPVARAEGGCAEAGEDGDDLGFGGVRLDVEEIGAASRPEPRNKRKNPLGARPTKLAWHSSRILPLLSRSVDNVSLSRRQRGRRRRKGQARGGSRRRSEAAAEAGVETGAREAHPWRRRRRPPHVQPLR >KQK88045 pep chromosome:Setaria_italica_v2.0:IX:11105375:11107583:-1 gene:SETIT_036530mg transcript:KQK88045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSSLTLPTWRSQLQNNSLVSTDEDGTHDGIKTIPIQKGCEFTTNSVLCVCIITWNMNGKMSVEDITKLVRSNRKFDLLVVGLQEAPKCDVSQVLQETMADTHILLGQKSMQSLQMLLFGSKSSEKYIGEMKVDKHAVGGFGGMIGRKKGAVAMYINFSGIRMVFVVCHLAAHEHKVEKRNSEFQHISRSLFSKYGKPYAQSADITVWLGDLNYRLEGISSIPARKMIEENRQSKLRGKDQLLQEAEKGEVFNGYYEGTLSFKPTYKYDVGSSIYDTSHKVRVPSWTDRILFKVDHSSGLDAVLSSYESLDCVRSSDHKPVKAHLCLSAQRG >KQK88044 pep chromosome:Setaria_italica_v2.0:IX:11105613:11107486:-1 gene:SETIT_036530mg transcript:KQK88044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSSLTLPTWRSQLQNNSLVSTDEDGTHDGIKTIPIQKGCEFTTNSVLCVCIITWNMNGKMSVEDITKLVRSNRKFDLLVVGLQEAPKCDVSQVLQETMADTHILLGQKSMQSLQMLLFGSKSSEKYIGEMKVDKHAVGGFGGMIGRKKGAVAMYINFSGIRMVFVVCHLAAHEHKVEKRNSEFQHISRSLFSKYGKPYAQSADITVWLGDLNYRLEGISSIPARKMIEENRQSKLRGKDQLLQEAEKGEVFNGYYEGTLSFKPTYKYDVGSSIYDTSHKVRVPSWTDRILFKVDHSSGLDAVLSSYESLDCVRSSDHKPVKAHLCLSAQRG >KQK88046 pep chromosome:Setaria_italica_v2.0:IX:11105305:11107583:-1 gene:SETIT_036530mg transcript:KQK88046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSSLTLPTWRSQLQNNSLVSTDEDGTHDGIKTIPIQKGCEFTTNSVLCVCIITWNMNGKMSVEDITKLVRSNRKFDLLVVGLQEAPKCDVSQVLQETMADTHILLGQKSMQSLQMLLFGSKSSEKYIGEMKVDKHAVGGFGGMIGRKKGAVAMYINFSGIRMVFVVCHLADITVWLGDLNYRLEGISSIPARKMIEENRQSKLRGKDQLLQEAEKGEVFNGYYEGTLSFKPTYKYDVGSSIYDTSHKELRSQACESASLPQCAARMISLSS >KQK87376 pep chromosome:Setaria_italica_v2.0:IX:6959403:6959770:1 gene:SETIT_040744mg transcript:KQK87376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSKDTLGFGILLDSTATSSWWLANGLENF >KQK86823 pep chromosome:Setaria_italica_v2.0:IX:3959749:3961090:1 gene:SETIT_037791mg transcript:KQK86823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVCRTAGSLALAGAAGLGAVRSTEYLASKIEGKVGDGTNAISDLSFRQTMASRLLLQRIQSAGSLSGGHGRRQLLSFSCGEGLCSPLPPAGDRSVCSSAYGQIKMDTRITDHEPHLDRFSDPQVAHEDRQFIQFLDRMLDAIKNPQSLARIQRGKLANGLEALDDDI >KQK89286 pep chromosome:Setaria_italica_v2.0:IX:23118017:23121393:1 gene:SETIT_039902mg transcript:KQK89286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEEGRVLKDLPALKRWLQAFAVMWKRPYKVLHSYAQCRYTVVYDKKRCPWRVCARKQNIIGKWKITKVVGAHNCANHELTVKHRQLTSTLIAKRMMGILKEQPNMKVRTIIRTIAEIYGGYVTTYGKAWRAKQRAWQMIYGDWESGYEQLPEKQIFGCAFWCFPQCVEAFRHCRPVFSVDGMFFIGKYRGTLLAISCDANNMLVPLAFALVERENNDSWEWFLRLVRIHVVEPSREVGVVSDRHQGILNAVQEQIEGYPPLHHRWCTRHLAENLLWKDGVKDNFELFQVAARQLEDYYFQRKLEQVRSATNAEDLDKWTRSHDTSGWRYEFQCSNMAKSFNKLLLGICGMPMNAIAQFTFYRLVAWFNERHAKAEALQSAGERWAEKPKRHLSIANERAATHEVQCFDLTIGTYQVEHRDGTTSNGEIQESRIHVPRQYHFVCSHVVVAARHRNFDIESMIPHEFSVETLVRTWSPRFVPFRDPREWPSYDGPKYIVDPAYHWNKCGSRKRTRHKMTMDQKMLGLSIRGNSVIGPCVSKGWRARVAAFLGREVEDQGARTSGVLISWLWEHFGHCPQHADAETVGHYCKAWIMYLFVCVGQYSWGSAMLCFLYRQLCKACHRTSASASVGGCVYLLQLWMWARLPVGRPEAMGRRLWFLGQTPRRQPMWAYLWDQVKVGHTRLERAYLDYINELDMLTAYSLNWQPYEGEGALPFAVSVMCVSDDDLYRMKCPLVCFYAVEFHMPDRVARQFGIRQIWPTPAISTRVELHK >KQK89559 pep chromosome:Setaria_italica_v2.0:IX:32893307:32893745:-1 gene:SETIT_039401mg transcript:KQK89559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSFKAEWGPAICMVLIELFTTGQMLLTKVVVDAGLFVFALLTYRFFIGTVLVVPLAIIFERKSK >KQK92545 pep chromosome:Setaria_italica_v2.0:IX:56037080:56037763:1 gene:SETIT_040238mg transcript:KQK92545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPANSTTFSGDMWAELRLADARDVPHIYSLIHQMAEFELLTDLFTATEELLTSTLFPSPTPPPFTSFTALVLDLSPSPVVPDSSSIIGSLRLDLSAFPLADPEAAAFASPRGGGRVTAGFVICFPNYSSYLSKPGLYVEDIFVRAPWRRRGLGRMMLSSVAGRAAELGMGLVEWCVLDWNKNAIDLYVGMGADMFPDWRICRLTGAALDKYKGNQEEAADEKAAE >KQK91370 pep chromosome:Setaria_italica_v2.0:IX:49470243:49471156:1 gene:SETIT_038023mg transcript:KQK91370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKAVPVVSGHCRKPAGQTRTATRWTVSILPAWRCGVKRASQRGPAAPRARKKTKEGTGRRRAAILVAPCSCTHTQWYGGAAPDMASKTTTPFLMQTSGLVDRFRLTRPTTLVLLKKNRIESNVWWKF >KQK92709 pep chromosome:Setaria_italica_v2.0:IX:56879242:56882097:1 gene:SETIT_039736mg transcript:KQK92709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYELHFAGPMAGAVFFVDSHLLDVGRAALKRLAESGVNALPVVLIISDDEGDPSSGDSDGMDYEDLIKNATSQFDIRWPVSELDPIALNYTSIATVLSYDITAMATYLWTVPMFHGNGWNLTIARHRVTHMGGAPTVLNMIVTAPAADRKPLPATVRVVTGGAPPVPQVLLEMEALGFVMYHVYGLTEDWDALPAEERARRKARQGFHHVAVQDVEVKNPVTTESVPHDGRTLGEVMFRGNTVGDLAVRHPYGYIQVKDRAKDIIISGGENISSIETPCASVKLKEEGSATEAEIVSFCRKRLPHYMAPKTVVFEDLPKTSTGKTQKFVLREKARAMGSLTKTANSKL >KQK90531 pep chromosome:Setaria_italica_v2.0:IX:43445070:43446902:1 gene:SETIT_039647mg transcript:KQK90531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSWRFFPPAAARRRDEEEGVALVPPSPAPEPEPEPPGEHVPAPACREDDAAAGPAAAAAAAAASDMDGVLTAPVGHPAAAGPLPRPRPEPGLFLNCGDMPVVRAADFAANPSAAPPLFRYCKDNATLDVLFPDWSFWGWPEVNIRPWAPFLDEAARENRRVPWTERQPYAYWKRNPDVSATRADLLRCNATGAVDWNARLFRQDWAAAERNGFPGSDPARQCAYRYKVYVEGRAWSVSEKYILACDSPVLLVDTSYRDFFSRGLVAGRHYWPVDAARKCPAIKLAVDWGNAHPAGTARMGAEGSGFAREELAMDNVYDYMLHVLTEYARLLCYKPTVPEKAVELCPESLACPAGGRAREFMMDSKERYVADNESCTLPPPFTADEIEEMTRRDDDVRSEIRELEKQRS >KQK90899 pep chromosome:Setaria_italica_v2.0:IX:46457796:46462008:1 gene:SETIT_035043mg transcript:KQK90899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALISAATSCWKAAPEVHPSVWGDFFINYIPEPLQISDEEMLDRVNQLKGEVSGLFEACKNVVEKMDLVDVLQHLGIDHHFKEQIATTLSSIHRGEFNSSSLHEVALRFRLLRQHGFWVSAGEFNKFKHEDGSFINDITNDPKGLLSLYNAANLLTHDEGALEEALLFARRHLELMKSSLKSPLAEQVERALKIPLPRTLKRVEAVSYIPEYSGEQRYNPAILELAKLDFNLLQRLHQKELKTISQWWKDLSEDIKLEYVRDRIVECYFWAYSVYYEQEYARARMILARLFVLTSLLDDTYDDHATLEECRELTKAIERWDESDISLLPEYIKKLFLKVIRNFKEFEDELEAHEKYRIAYARKGFQLISKSYLQEAEWSHHNYIPSFNDHVNVSTISAGAQLLCVGLLVGMGDVATKEAFEWTIGNNDAIRACAEVFRFMDDMADFKRGRNKMDVATSVECYIKEHNVSAEVALAKFGSFVDDAWKTLNHAIFEHHALLPVLQRVTNLAMSMMLVFLDQRDGYTNSKELKETLKSQFVKHVPL >KQK92561 pep chromosome:Setaria_italica_v2.0:IX:56114442:56114958:-1 gene:SETIT_040839mg transcript:KQK92561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYNNILLIRFSNKEPQGATKKQLETRSTMSKLR >KQK92910 pep chromosome:Setaria_italica_v2.0:IX:57801063:57813131:-1 gene:SETIT_0338601mg transcript:KQK92910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAEANWERLVRAALRGERLAGGYGHPVTGIAGVVPSSLGNNVHIEEVLRAADEIQDEDPTVARILCEHAYALAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKRDGGAIDRSQDIAKLQEFYKLYREKHKVDELIEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEDMTKEISPEDAKNLISEEMKKVMQKDAARTEDVVPYNIIPLDALSTTTNAIVTFPEVRAAISILQYHRDLPRLPGTFSVPDARNSDMLDLLQCVFGFQEGNVKNQREHIIHLLANEQSRVGKPSGNEPKIDDGAVHAVFSKALDNYIKWCNYLPTRGWEQCRRYVIWRGTCNGRIEGTGVSDQLGHGSPLACKHFFSYTYVSFMITPCPHYLARELEEILRKQTAEPAKSCSSDGSVSFLENVISPLYDVIAAEAANNKNGRAPHSAWRNYDDFNEFFWSNKCFNLDWPWKLSNPFFSKPSRKEKGLLGRNHHYGKTSFVEHRTFLHLYHSFHRLWIFLIMMFQALTIIAFNNDSFDMKTVLQLFSLGPTYVAMKFVESLLDILMMYGAYSTSRGSAITRENNYVGRGMHESPLDYIKYVAFWIIILGAKFSFTYFLQERFSFSIKPLVKPTRAVINFRGLQYAWHDFFSKNNHNAITILCLWAPVVSIYLLDIHVFYTVMSAIYGFLLGARDRLGEIRSVEAVHRFFEKFPEAFMEKLHVAIPKRKQLRSSGQ >KQK92909 pep chromosome:Setaria_italica_v2.0:IX:57801063:57813131:-1 gene:SETIT_0338601mg transcript:KQK92909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAEANWERLVRAALRGERLAGGYGHPVTGIAGVVPSSLGNNVHIEEVLRAADEIQDEDPTVARILCEHAYALAQNLDPNSEGRGVLQFKTGLMSVIRQKLAKRDGGAIDRSQDIAKLQEFYKLYREKHKVDELIEDEMKLRESAVFSGNLGELERKTLKRKKVLATLKVLWSVIEDMTKEISPEDAKNLISEEMKKVMQKDAARTEDVVPYNIIPLDALSTTTNAIVTFPEVRAAISILQYHRDLPRLPGTFSVPDARNSDMLDLLQCVFGFQEGNVKNQREHIIHLLANEQSRVGKPSGNEPKIDDGAVHAVFSKALDNYIKWCNYLPTRGWEQCRRYVIWRGTCNGRIEGTGVSDQLGHGSPLACKHFFSYTYVSFMITPCPHYLARELEEILRKQTAEPAKSCSSDGSVSFLENVISPLYDVIAAEAANNKNGRAPHSAWRNYDDFNEFFWSNKCFNLDWPWKLSNPFFSKPSRKEKGLLGRNHHYGKTSFVEHRTFLHLYHSFHRLWIFLIMMFQALTIIAFNNDSFDMKTVLQLFSLGPTYVAMKFVESLLDILMMYGAYSTSRGSAITRENNYVGRGMHESPLDYIKYVAFWIIILGAKFSFTYFLQERFSFSIKPLVKPTRAVINFRGLQYAWHDFFSKNNHNAITILCLWAPVVSIYLLDIHVFYTVMSAIYGFLLGARDRLGEIRSVEAVHRFFEKFPEAFMEKLHVAIPKRKQLRSSGQ >KQK91782 pep chromosome:Setaria_italica_v2.0:IX:51775272:51777335:1 gene:SETIT_034843mg transcript:KQK91782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLKGMAALTLSASVPSFMPPQCVGSVCPQPTLPQYLIYFVGLYMIALGAGGIKPCVSSFGADQFDDTDPVEKTKKGAFFNWFYFCINIGSLISGTVLIWVQENYGYGIGFGIPTFFIALAIGSFFLGSEIYRFQIPGGSPLTRACQVVVAATRKRKVDLPVDGSLLYELDGKSSAIEGSRKLEHSSEFSFLDKAAVILWNERDGYHDPWRLCTVTQVEELKILLRMFPIWATGIVFFTVCAQNSSMFIEQGMALDNQIGSFKIPPATLSSLDVISVVVWVPIYERLVVPIARRFTGKERGFSELQRMGIGLFVSTIAVAVAALVEIKRLQVARAEGMVHQKVPVPMSILWQAPQYLLVGVGEVFTSIGQAEFFYNQSPDAMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRNGQLGWIPDNLNEGHLDRFFWLITGLSSLNLLVFLYYAQQYKCKRASVA >KQK91781 pep chromosome:Setaria_italica_v2.0:IX:51772544:51777131:1 gene:SETIT_034843mg transcript:KQK91781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLEEERRQSLLVRATEPEDLAEYTGDGSVDFRGSPILKRNTGNWRACSLILGTEVCERLAYYGISKSLVTYLSTRLHEGNVSAARNFTTWQGTCYLTPLIGATLADSYWGKYRTIAVFSTIYFLGMAALTLSASVPSFMPPQCVGSVCPQPTLPQYLIYFVGLYMIALGAGGIKPCVSSFGADQFDDTDPVEKTKKGAFFNWFYFCINIGSLISGTVLIWVQENYGYGIGFGIPTFFIALAIGSFFLGSEIYRFQIPGGSPLTRACQVVVAATRKRKVDLPVDGSLLYELDGKSSAIEGSRKLEHSSEFSFLDKAAVILWNERDGYHDPWRLCTVTQVEELKILLRMFPIWATGIVFFTVCAQNSSMFIEQGMALDNQIGSFKIPPATLSSLDVISVVVWVPIYERLVVPIARRFTGKERGFSELQRMGIGLFVSTIAVAVAALVEIKRLQVARAEGMVHQKVPVPMSILWQAPQYLLVGVGEVFTSIGQAEFFYNQSPDAMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRNGQLGWIPDNLNEGHLDRFFWLITGLSSLNLLVFLYYAQQYKCKRASVA >KQK91783 pep chromosome:Setaria_italica_v2.0:IX:51775272:51777335:1 gene:SETIT_034843mg transcript:KQK91783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLKGMAALTLSASVPSFMPPQCVGSVCPQPTLPQYLIYFVGLYMIALGAGGIKPCVSSFGADQFDDTDPVEKTKKGAFFNWFYFCINIGSLISGTVLIWVQENYGYGIGFGIPTFFIALAIGSFFLGSEIYRFQIPGGSPLTRACQVVVAATRKRKVDLPVDGSLLYELDGKSSAIEGSRKLEHSSEFRNLHTVSIDTFSPLNSFLDKAAVILWNERDGYHDPWRLCTVTQVEELKILLRMFPIWATGIVFFTVCAQNSSMFIEQGMALDNQIGSFKIPPATLSSLDVISVVVWVPIYERLVVPIARRFTGKERGFSELQRMGIGLFVSTIAVAVAALVEIKRLQVARAEGMVHQKVPVPMSILWQAPQYLLVGVGEVFTSIGQAEFFYNQSPDAMRSLCSAFALVTVSLGSYLSSFILTLVSYFTTRNGQLGWIPDNLNEGHLDRFFWLITGLSSLNLLVFLYYAQQYKCKRASVA >KQK88710 pep chromosome:Setaria_italica_v2.0:IX:16405175:16407313:-1 gene:SETIT_036536mg transcript:KQK88710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACASSSRGRPNQGKNQKSSKVAAVPSTPAAASPEMEEKSRFKWRIDGFSSLLDKQKGWTNSGYFEIKGLKWYLQLNLKDRKSGDTKDYVSLVLVLSKTSDLKPDIIVEASFKLLIYDQAYGKHKEHEVNHHFQTEESRKSRVSCMIPVETLKEPSSGFVIGDSCVFGVELIKLSTAKANHSSDKVQVIQKTNGFSAREAYTWVIDDFLALKGRCYSPEFEIGGLKWYLTMYPSGIDDSGEFASLYLHMAKPDASLQSSGVLVELSLSIKDQVTSNRNTMTGRCQFLATKEEGDGWGWAKFMAAKSVEDWYLVKGSCLIEADVAIVGSSKMA >KQK89952 pep chromosome:Setaria_italica_v2.0:IX:37537171:37538277:1 gene:SETIT_039148mg transcript:KQK89952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCRARLVSVLLLFLLALLRRPLPVACLHVAADAPLTATEHRQDGAAWRSFQQLLDARRGSRVAGLSELKRYLARFGYMPAGAEHEPATDAFDAHMEAAVRRYQSALSLPVTGQLDSATLDRIMAPRCGVGDNGHGATASVSLATAGGAVSRFTFFKGEPRWTQPDPLVLTYAISPTATVDYLPPETVRTVFRRAFARWARVIPVGFAETDDYDAADIRVGFYVGGHGDGIPFDGPLGVLGHAFSPRNGRLHLDAAERWAVDMDAETAHSAVDLESVATHEIGHVLGLGHSSSPKAVMYPSLSPRQKKAELTVDDIEGVQWLYGPNPGFSLSSLYQQDSSMATGTSSWLAGSASLVSAVLVILVTLL >KQK87583 pep chromosome:Setaria_italica_v2.0:IX:8064733:8069153:1 gene:SETIT_038871mg transcript:KQK87583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKPCSRSAQPSKNPVGALPVSDSESEEPIHDTDFDEEEEILREKPKEEKVKVNRKREEGNVMEVMGKEKKEEGKLKNGKGGGGILTSKLFSELDISELTAKAIREMSYTHLTEIQARSIPHLMAQSDVMGVAKTGSGKTLAFLIPAIELLHSSHFLKENGTGVIVICPTRELAIQTHNVAKDLMKYHSQTLGYVIGGNNNMKSEANQLAKGINVLVATPGRLLDHLRSTSSFNYKKLKCLIIDEADRILEKNFEEDMKQIFKRLPRDRQTVLFSATQTQKPTVEGLQQGYCVIPSEKRFLVLYAFLRMIVRQGQKGLKEQKVMVFFSSCSSVKFHAELLNFLGVQCDDIHGQLKQQKRTSTFFRFSNEEKGILLCTNVAARGLDIPDVDYIHRVGRTARGDKGKGSALMFLLPEELKLLIHLQAANISLTEYDFSEKHVPKLQPKLEHIVGGNDFLNESAKQAYRSYLLAYNSHSLKGIFDIHQFDLKKVAASFGLKDPPKVSLNLESSASKHRKMRKLDGRRRQRIGPSNPYGRRGGYDLKWLLARF >KQK88380 pep chromosome:Setaria_italica_v2.0:IX:13772720:13775354:-1 gene:SETIT_035603mg transcript:KQK88380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAHDGHRSFFPVGNPFRMILPRGARLTPKLTEVLTSYEDGLASSLRKLKPEAASSVLTLSWMRLAVDCLSELHTNIATLITELELPVSDWDEKWVDIYLNSSVKLLDICIALSSELARLDQGQLLVQYVLHVLDSGNQVPSQEQLKRAEVSLKEWMERSSERSPRLDNCSTALQELSGNFCLMKVKHSAKGKVLMRALYGIEAVTVFICSVLVAVLLGSSKPLMEFNVPEKFGWSKAFNDLHKAISGELSRQLSGDRVAAVKELEEVEVCARQLHALTSAAQLEEDNASLVHAVSHSKEVAMSDTTSQEGGHEDNLKLTEDNSREREVIMLQSITKEDGIDTAGIKEDGKTISHTKELMVLEGTSGGHQDDNRNQQDDNRNQSAGVGSEITGLERREQLLNCISSMSKSAKGLRLGLDSLSKRVGDFFQIVLTGRDALLCNLRISDGSKVGAEVRS >KQK88421 pep chromosome:Setaria_italica_v2.0:IX:14093577:14099037:1 gene:SETIT_035750mg transcript:KQK88421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDYGNAMMRNPDAGVQSRTKGPNRANTLQLKLIGQGHPTGLTSNLLKLFEPRPPPEYKPPIEKPKLPAYTGIAQFVSQFAEPGDPEYAHPFTKGETRAAKKARIRQLKLEEGAAKVAEELQKYDPQSDPNATGDPYKTLFVARLNYETSEHRIKKEFEAYGPIKRVRLVTDKETKKPRGYAFVEYAHTRDMKNAYKQADGRKLDNKRLLADVERGRTVPNWRPRRLGGGVGSSRIGAEGADHKCAARVQQLVGQPRSEEPRRDDHHADRNFEKSRKRVREKDQDERTRERSHDRTCDRESREGRHNCRDHDRTLDKNQGRDREGNRGRERDRSCHDKSKHRDHGRDYDRRGERQHKRTHNHHRDRGRDHGKDYEHADNRDGGRHLHERGAYGNGDPRHERNMAGYGQDYGYNEQRKSHDAYGYGQDGLGQETEHSEAT >KQK93068 pep chromosome:Setaria_italica_v2.0:IX:58604437:58606077:-1 gene:SETIT_035728mg transcript:KQK93068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGAAEACCWEAPCAAAQPQEDNTFLRWIIGGDDGSSAVMDHIPASSAHQPLPSLPPLDTPPPPNFALKPNAEAEAEAANDGTTAALAVEQLAEAATLAEAGDVLGARETLLAMAPDIGAPGTPLLRSALYLKDALRVALSGSSSSSNPNSVVLIKKLGAYKAFSEISPVLQFAHFTCVQAVLDHLAAAACIHVLDLDIGVGDQWASLMHKLARRRPGAALKVTALVSGTASLELQLVHDNLSSFAAETRVQFRFAAFNLDAVNPAELLAIAAGEGEAIAVHLPAGSVRGPVLELVRRLGAKLVVSVDRGCDRGELPFAAHLLQAFQWCASMLESMDAVVGAGSDVIERLVIQPRVESCVLRRYRAAANGEKTPPWRAMLASAGFVPVPASSFAEAQADSLVKKVPVRGFRVQKRGAGELVLHWQRGELLSVSAWRLTSSS >KQK88737 pep chromosome:Setaria_italica_v2.0:IX:16702606:16706014:-1 gene:SETIT_038615mg transcript:KQK88737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSARSWFTKLQTREKSICKKKELPPNGKESGDDAPSSATKQRVAAAKQYIEKHYKEQMKHLQDRKERRCSLEKQLADANVSEEEVNNILKQFEKKETEYMRLQRHKMSVDDFDMLTMIGKGAFGEVRVCREKTTGNVYAMKKLKKSEMLRRGQVEHVRAERNLLAEVDHHCIVKLYCSFQDSEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIEAIHKHNYIHRDIKPDNLLLDKHGHLRLSDFGLCKPLDYSNFPDLNEKDVTPTKSSSTQGDGKQQSMPKRSQQEQLEHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPMTTCRKIVNWRTHLKFPEEASLTADAKDLISKLLCNVDQRIGTKGAEEIKEHPWFSEVEWDKLYEIEAAYLPQVTDELDTQNFEKFEESSDNVQCSAKAGPWRKMLSSKDLNFVGYTYKNFELVNDHDVPGMAELKKKEKIHLKERIRITRSRNRSKSSNMTKTPPKEA >KQK89325 pep chromosome:Setaria_italica_v2.0:IX:24113770:24117607:1 gene:SETIT_034263mg transcript:KQK89325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHLLTSLVVVFLILPAAAAGGDARRALHEPLFPIEWTPPPSTTAPPAPGFASDPSTPVSPVDNGGPALLPAPPPPDTVTADASSSRTGPTPRSRGGGGTPKAAIVVASAAAAAVLALLAFAAAFLLTGRLARHSAQAHKPPGLAAAAHPGPASAVVLHADAVGTSAAGSSSGATPYRKARSERARRGMCRDVDTVPSPELRPLPPLRRAGSSDEDAAYYTPGQRSAGSGGGEAAGTWSEASASSPRTTTPSRRSLPSLTSDFFPQTPAAAAAAATVAPPPPAPPAPRSRRTLQRTRFSAGSASDMIKQMVSPPSNPPPPPPPPPPPPPAPRCNNATPKPPPPPPGPSAGPFSARRLLKPEQPEGPSVAVPRAPVMAVKRDNDGVSIRTQDDAAGDEARPKLKPLHWDKVRACSDRDMVWDRLKLDEDMIEVLFTNNAANAPARDTPKKAGVPQCRPEEKVLDPKKAQNIAILLRALNVTLEEVSDALLDGNAECLGADLLETLVKMAPTKEEELKLRNFTGDLSKLGSAERFLKAVLDIPFSFKRVDAMLYRANFDSEINYLRKSFETLEAACDDLKGSRLFLKLLEAVLRTGNRMNVGTNRGQAKAFKLDTLLKLADVKGTDGKTTLLHFVVQEIIRSEDAKSEKAPESQSRNIVKDEQFRKQGLKVVSGLSTELGNVKKAASMDFDVLHGYVSKLEAGLGKIKSVLLLEKQCTQGQNFFATMHEFLKEAEMEIEHVRCEEKRALGRVKEITEYFHGDAAKEEAHPLRIFMVVRDFLSMLDHVCREVSQQDRTVVGSARSFRMSASTTAMLNLHSQHARESNSDDESSSL >KQK87564 pep chromosome:Setaria_italica_v2.0:IX:7922275:7924207:-1 gene:SETIT_037678mg transcript:KQK87564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPCLPFPSTPPSCPCGAGAARSSVPMLAAAPSLSSPSSTAASAATRFQLGVRSRGRAGVARAGGRGDGEGAKDAGAAAFFGEDGVVEDMDGYLDYLSLEYDSVWDTKPAWCQPWTILLTGTAVVACSWVLQSVIITAGVSFIICAWWYIFLYSYPKAYTKMIAERRRKVASGAEDTYGMEKIQ >KQK92627 pep chromosome:Setaria_italica_v2.0:IX:56456312:56459430:1 gene:SETIT_035012mg transcript:KQK92627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWEWFCCGRSNAGSAEVRLPEPFHLPATLPKWPQGGDFAKGTICIGGLDVVNITKFRSIWSCSGASFYEPEGVPDGFHCLGHYAQQNDRPLQGFLLVAREAASHLLISSKPALEKPLDYSLVWTNAALNEDDNSECGCVWLPSPPNGYKALGYVVTKGPKKPSLEAVRCVRDDLTDTCENFHSIVNLENACQIWKTRPCHRGVKGHGIPVGTFSCETDPTESEESIIPCLKNFDSNLKAMPNLEQINALIKHYGPTVFFHPQETYLPSSVSWFFENGATLHKKDIKMGDAILPGGSNLPAGGINDGEYWIDLPDDDRNEYVKVGNLKSAELYVHVKPAHGGTFTDIAMWVFCPFNGPATIKVGIASFALQKVGRHIGDWEHFTLRVSNFSGELSSIYFSQHSGGEWVDACKLEFISGNKAIVYSSRNGHASYAHPGCYLMGSEKLGVGVRNDVARSDFSVDSSTQYKIISAGHLGDAVVEPCWLQYMREWGPTITYNSRSEIDTVLSFLPFFLRFTAEAIFNSLPAELYKEEGPTGPKEKNNWEGDERG >KQK91829 pep chromosome:Setaria_italica_v2.0:IX:52098066:52102132:-1 gene:SETIT_040463mg transcript:KQK91829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSPLLMSEMCPWMTSKGDILGSRKRMFLEGFTLVWFADDCSCC >KQK91625 pep chromosome:Setaria_italica_v2.0:IX:50897991:50910481:1 gene:SETIT_034262mg transcript:KQK91625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASELKISHQQPTENISQVKTCRCGAGDSNFQTTNKSEAGENSPTTCPNCQVLKSGNLLLSSKGIGWTVWKKRWFILTRTSLVFFRSDPNAPPPRGSEPIVTLGGIDLNNTASMIVKEERKTITVVFPDGRDGRTFTLKAETTEDLNEWRSALENALAQAPSVANTAGQHPVTTTDITEPAEAAVEQSEDKSVIGRPAEFALVDADGTTAFLEKALKFIEDYGVKVEGILRQSADVEEVKRRIRDYEKGKNEFSPEEDAHVIGDCIKYILREMPSSPVPASCCTSLVRAYRTDKTRRLDAMNRVIYEVFPEPNRQLLQRILKMMQIVGSHKAVNRMSASALAACMAPLLLRPLLLGECEIDSDFSMAGDSSFQLLQAAAAANHAQAIVIIMLEEYDQIFDDIEDGSYSSDAYTESDIDKEYSTDNDIHEDDGSYGSGEDDVEEDLNDNTEHSSGNRGERDSNIRVGNADDQVISGPACDENDKVVQPPVRTTRVEHGVPTEESNQISSLQKVDETSQMESNLPSQSKQESTNESKRNSWGRTSARKDLSTEEANCCGGDDDEAHIVKLENNKSHLQSKITMEVKENTVLQASLERRKEALHERRVALEKEVENLRDQLQKERNLRASLESGLMNMRRGQVSFPSTIDSKTKADLEEVAAAEADIMNLKQKVSDLRGQLNNQAQLSSTSLCESCNNKRLLNTDKIVDGEQNAALSTEISSSVKAPTVPDMFWATNQMVQKMLVSKDGQDGSLTTRWNFAQRQNSNNAVTNKAQGSSTKAEESGMAPSSALAKLTSRLNFLKERRALLASEMQNLDLGRPQAQGPTAPPKGDSS >KQK91606 pep chromosome:Setaria_italica_v2.0:IX:50788078:50788611:-1 gene:SETIT_039916mg transcript:KQK91606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKLQMGAKQANAAADTKSATVDSAVTASAIAASYDDQIRPLLDAVDRLRHLKVTQEGIQLPTIVVVGDQSSGKSSGICTRVPLVMRLQDDPFADSPKLQLEYGSGRVVATIEAKVADAINAATVEIVGSGKGISDAPITLVVRKRGVPDLTLFDLPGITRVS >KQK89895 pep chromosome:Setaria_italica_v2.0:IX:36881593:36884478:1 gene:SETIT_034623mg transcript:KQK89895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPSRSQHAKHHHPGTAPHTRFRSQVQVLEAPLDPGSDPEYQDFQFRFVPEVFELQMGGVGGGGCGGRNGDGKAAEKVLGFEFDKVRISIASSDDEADGDAPPRSSFSGASHPPEPVDDMDTVFVAVDGREKPVPKPVISWDASPPPSGAASPHSSIDSSGAAATVTSIAPSCTVTSRSAKTSVSSSAASDGSGWSNGTGSGAGGSAGKPHKGGDPRWKAISAARARDGPLAMGSFRLLRRLGCGDIGTVYLSELSGAGAVSGGAVRPCWFAMKVMDKASLESRRKLSRAQTEREILQLLDHPFLPTLYAHFETDRFACLVMEFCPGGDLHALRQRQPGKHFPEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRSSLHPDPRNAQTCAQPACIQPTCFMPKLFSQRSKKNSSSNATKKSKGGEPRQHQQAPAGLPELVVEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELMYGKTPFKGQTNRATLFNVVGQQLRFPDCPGTSNASRDLIKGLLAKEPQSRLGVKRGAAEIKQHPFFEGVNWALIRCSTPPGVPRAVEPAAVAVPMPAKPTAAPVERVEINGSSKRMAGAGAESGGKFLDFEFF >KQK93005 pep chromosome:Setaria_italica_v2.0:IX:58272930:58277263:1 gene:SETIT_035045mg transcript:KQK93005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSHPHLAAHLRRLLLSPSARLARRAMASTATATAQAGGISGGAAAAEYEEVLGRLSSLITQKVRAHSGNRGNQWDLMAHYLKILELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFHTGLFTSPHLMDVRERFRLDGVDISEEKFLKYFWWCWNKLKEKTDDDIPMPAYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVEAPVVCGVSSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPDEAMIALKQRASELGISLQVADPLEPYHLKGHHLGLHGEHQYINAGLAVALASMWLEKQGHKDRIPLNRTDPLPDHFIRGLSNASLQGRAQIVPDSQVNSGADKDSSLVFYLDGAHSPESMEICAKWFSHVTNDDRRLPSSSDHSPNSKSQKILLFNCMSVRDPMRLLPHLLDASTQNGVHFDVALFVPNQSQYNKLGSNTSAPAEPEEIDLSWQLSLQTVWEKLLQDKGIISANSSDASQVFASLPLAIEWLRKNARENRSTSFQVLVTGSLHLAGLTRLARAEI >KQK93006 pep chromosome:Setaria_italica_v2.0:IX:58272940:58276979:1 gene:SETIT_035045mg transcript:KQK93006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSHPHLAAHLRRLLLSPSARLARRAMASTATATAQAGGISGGAAAAEYEEVLGRLSSLITQKVRAHSGNRGNQWDLMAHYLKILELEEPIARMKVIHVAGTKGKGSTCTFTESILRSCGFHTGLFTSPHLMDVRERFRLDGVDISEEKFLKYFWWCWNKLKEKTDDDIPMPAYFRFLALLAFKIFSAEQVDVAVLEVGLGGKFDATNVVEAPVVCGVSSLGYDHMEILGNTLGEIAGEKAGIFKKGVPAYTAPQPDEAMIALKQRASELGISLQVADPLEPYHLKGHHLGLHGEHQYINAGLAVALASMWLEKQGHKDRIPLNRTDPLPDHFIRGLSNASLQGRAQIVPDSQVNSGADKDSSLVFYLDGAHSPESMEICAKWFSHVTNDDRRLPSSSDHSPNSKSQKILLFNCMSVRDPMRLLPHLLDASTQNGVHFDVALFVPNQSQYNKLGSNTSAPAEPEEIDLSWQLSLQTVWEKLLQDKGIISANSSDASQVFASLPLAIEWLRKNARENRSTSFQVLVTGSLHLAGDVLRLIKK >KQK87113 pep chromosome:Setaria_italica_v2.0:IX:5596250:5600392:-1 gene:SETIT_034586mg transcript:KQK87113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAPAPTVADQATNLLQKLSLESNNDGSNAAKKPSGLPYGSANSGDAQSPASQVDRSITPLLQEAMDPNFFYQPSGYASPAYYYPSGYDGSANEWDSRYVGHEGMEMPPQSVYGDMYHGYGYAPYGPYPSGSPAPNAGHDGQSYGSQQYQYPTQYYQSPTPTNATHGVNGASSQPEMPSVVSHPTRILVDATKTSANGSATGMPTANNSSLPRKQTNLANNGSYGRGHMQGGGPSASNYGHSGLRSPAQWYDGPVYSNGHQRPTASSTSYRSSSSSVKSQSQRPTANLMGIHTQMPSSGMGLTSPSYPSRMYPDNRLFGQYGQYGNTLKGGLGFGSNVYNSRNNGRWGVMDTKYKPRGRAPFGFGGENQDGFTELNRGPRSGGFKHQKQFGPTVTIAVKGQALPSVGKQSSALTDKGQFNQEGFPVAYKDAKFFVIKSYSEDDVHKSIKYNVWASTPNGNKKLDAGYREAQEKSSDCPVFLFFSVNTSGQFVGVAEMVGPVDFDKTVEYWQQDKWNGCFPLKWHIVKDVPNNILKHITLDNNDNKPVTNSRDTQEVKLEQGLEMLKIFKEHVSKTSILDDFGFYENRQKLMQEKRAKQQSLQGQGGDVSQEKDKDATDGNLGAQKHALSKEGTLAEEASNASKPVAESGVSNGN >KQK91780 pep chromosome:Setaria_italica_v2.0:IX:51767564:51770029:1 gene:SETIT_040178mg transcript:KQK91780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILVLSEALPLIFTSPHVWLGWIDTISSHNIMFFVGLYMVAIGYGGQNPCIISFGADQFDDTDEKEKAQKSSFFNRHYFHTKCCFPDIRDYYCVGAFFLGHTMYRFQKQGGSPVARVCQVIVAATRNFNEELPCDPALLYEIPGQGSAIEGSRKLEHTTGLEFFDKAAIVTTSDVESVSLLTTRRICTVTQVEETGTMILFNTVLEQMFSTFVEQEMIMDKHIGFFEIPPASFQSVVFYERILVPVFRKYTGTANGITPLQRIGIGLILSMLSMVSAALVESNRVHIAEAEGLVHQKVAVPMSILWQGPQYFLIGAGEVFSKIGLNGFFYGESSDAMRSLCLAFSLANISAGSYLSSFIISLVPAFKARGGNPGWIPDNLNEVDLDRFYWMMTGLCSLNLLAFVFCAMRAS >KQK89181 pep chromosome:Setaria_italica_v2.0:IX:21582666:21583487:1 gene:SETIT_039010mg transcript:KQK89181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGRTTTVRVLGGELSPFTARARLALELRGVAYELLDEPLGPRKSDRLLAANPAYGKIPVLLLPDGRAICESAVIVQYVEDVARAAAGAGGEGEGAALLLPEDPYERAMHRFWTAYIDDKFWPAIDAVSLGPTPEARAQATADARAALRLLEGAFKDCSSGAGFFSGSDAAPGLLDLALGCFLPALRACERLHGLSLIDASATPLLDRWSHRFAAHPATRRVLPDTDKVVEFTRFLQAKFGVDVSK >KQK88466 pep chromosome:Setaria_italica_v2.0:IX:14459954:14460337:-1 gene:SETIT_039887mg transcript:KQK88466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQQPTPVRNRRKANQPTHHLSPLPVSALPRPARGRGTAAMNGINPNGGILSYGTLEGYAMWVATGVASAFFASLERCSCIHLHTAEDDGDEEDLEEARDRPLMLSRPQALPEYYYDRSGSSASFAKM >KQK86935 pep chromosome:Setaria_italica_v2.0:IX:4575551:4578038:-1 gene:SETIT_034540mg transcript:KQK86935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRRKSAREMARGEREQQQQPAAAASSKGQAWFCTTGLPSDVVIEVGDMTFHLHKFPLMSRSKKIHDLITNKESREATGGEQEEGAGEIREEETEVVLEEDEEADVHRIRLPEFPGGAEVFELAAKFCYGVKLDLTPATAAPLRCAAERLGMTDDHSDDNLVSRADRFISQTVLRNPRDAIRALKSCEGLLPLADSLGLVSRCVDAIAAKAAASTPTALFGWPIPDDARAGDRQRRKNSAAAGATWFDDLAGLSLATFTRVIAAMKERGVGPEVIEGALIAYAKRSIPGLSRTGRHVGGGGAAAAAAASAPASSDGDQKALLETVIANLPEETVKSSAHTGTAVGATAARVLFGLLRTASILHASEASRDTLERRIAARLPDAAVDDLLVPSYSYLVETLYDVDCVERVVRYFLEGRGVAEEGNEDDEGSEAETPGREASRRAMLAVGRLVDAYLGEIATDANLKPDKFCDLAWALPDGARVYDDGLYRAVDIYLKAHPGLTEEEKEKVSGVVDGRKLTLEACTHAAQNERLPLRTVVQVLFFEQLQLRRAIARTIMANEGGAAGSGEEGGGDSDGGGTWRVATRGNQMLRLDMDSMRSRVQELERECTSMRKAIEKMDRRGGAAADRGAPSAATDGRWGSMVTKRFGCKFPAQVCQSQQRTVVARPRRPRIEQSP >KQK86315 pep chromosome:Setaria_italica_v2.0:IX:1273696:1274433:-1 gene:SETIT_038918mg transcript:KQK86315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSVWIRTFSSPACVQPIESSDDGSHHSAMAPSSEEESRKKMDKKHRRRISQALRSLSWGAKKEEPTNKDGGCEEMSDSETTFVSAKSSELRSSSTDVDDSEPPSFRLSPPPIFPTGSIERRPPASPVKIVRKLPFGYVIGRQLDVPAPPPPSVTTLARRIKKVVPVMAALHLRSRSQMVKKKVGRALKEACRRGRREGAEEADFCGGHDDEDVFWKKDVKGLRCRRVEDDDASY >KQK87269 pep chromosome:Setaria_italica_v2.0:IX:6339749:6341774:1 gene:SETIT_038344mg transcript:KQK87269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTNFIVTVAVVGAGVLLFTTDIRRSGALFRRNARQLRQWLEEDTASAASKSAKEGAPKKLDSTIPKEKPKEDNH >KQK88033 pep chromosome:Setaria_italica_v2.0:IX:11034974:11038742:-1 gene:SETIT_036873mg transcript:KQK88033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLHAKPEVSEDMKMIPIDNHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSISQRLQLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEKSYIFGEGGAKRTAEEMDMKLLGEIPLEISIRTGSDEGNPIVISSPNSASAQAYVHAAEKVTERLKELANERLKGPEILL >KQK88034 pep chromosome:Setaria_italica_v2.0:IX:11035270:11038779:-1 gene:SETIT_036873mg transcript:KQK88034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIASRAVSLGGRRSYSASPKGGPSIAGVGDIIAVASGKGGVGKSTTAVNIAVALAKEFKLKVGLLDADIYGPSVPTMMNLHAKPEVSEDMKMIPIDNHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSISQRLQLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEKSYIFGEGGAKRTAEEMDMKLLGEIPLEISIRTGSDEGNPIVISSPNSASAQAYVHAAEKVTERLKELANERLKGPEILL >KQK88035 pep chromosome:Setaria_italica_v2.0:IX:11034974:11038922:-1 gene:SETIT_036873mg transcript:KQK88035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIASRAVSLGGRRSYSASPKGGPSIAGVGDIIAVASGKGGVGKSTTAVNIAVALAKEFKLKVGLLDADIYGPSVPTMMNLHAKPEVSEDMKMIPIDNHGVRCMSIGFLVDKDAPIVWRGPMVMSALEKMTRGVAWGNLDILVVDMPPGTGDAQLSISQRLQLSGALIVSTPQDIALIDARRGANMFRKVQVPILGLVENMSCFKCPKCGEKSYIFGEGGAKRTAEEMDMKLLGEAYVHAAEKVTERLKELANERLKGPEILL >KQK88825 pep chromosome:Setaria_italica_v2.0:IX:17433160:17434074:1 gene:SETIT_040774mg transcript:KQK88825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGLPRAQLPHLHLPPPITPQPRLLAHAIWGDDDEVGAPELARLGFVGAEAHGAAAGLRQHPAAT >KQK88002 pep chromosome:Setaria_italica_v2.0:IX:10743826:10744372:-1 gene:SETIT_040370mg transcript:KQK88002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVHTLFLLVRLELGLLASSTTRQKLVYPDGFDFRLLVLLSLQSPSATAAVATRLPKMNL >KQK89693 pep chromosome:Setaria_italica_v2.0:IX:35100728:35104834:1 gene:SETIT_035241mg transcript:KQK89693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTRDMLCGAAVASSALSSSPASGGRRRRSPPPLLPLVAALLIISTSSSLVWCAAAAAAKGGAGRNVITHIKGFEGPLPFHLETGYVEVDEEHGARLFYYFIASERNPAEDPLILWITGGPGCSALSGLLFEIGPLKFDVAGYTEGFPRLVYFEDSWTKVSNVIFLDAPVGTGFSYSREEAGLNVSLTGSGRQHHTFLRKWLAEHPEFASNPLYIGGDSYSGYTVPVTALDIATHNDDPKLNLVGYLVGNAGTDDRYDSGGKVPFMHGMGLISDELYEAAKAGCGGDFYRRPDPANARCASAMMAINMVTFAVNPVHILEPFCGAAVRGPSIFQGYGGGRRSMLVQDDVGHPGFLEKSRLNLPVECRDNGYRLSYIWADDPEVRETLGIHEGSIGSWSRCTMLTHFTHDLTTVIPHHVNLTKAGYKALVYNGDHDMDMTFVGSQEWIRSIGYPIVSDWRPWFANRQVAGFTRTYAHNLTFATVKGGGHTAPEYRPKECQAMLDRWTSAAGQL >KQK88891 pep chromosome:Setaria_italica_v2.0:IX:18258090:18258154:-1 gene:SETIT_039724mg transcript:KQK88891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRKIQMGLIGKLGSCTRTF >KQK86708 pep chromosome:Setaria_italica_v2.0:IX:3370583:3371020:-1 gene:SETIT_040429mg transcript:KQK86708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHIHTMEFYETWVKIRGVQYILYLNPVANKLKGWSVCSIKREVAVKC >KQK92341 pep chromosome:Setaria_italica_v2.0:IX:55008153:55010605:-1 gene:SETIT_039127mg transcript:KQK92341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMEPKDIDWSRVVSRYVRDETYEGIEAPHWADLTDPNAGRADIDDEAWFCRPDCRHPKTAEDFLRLSPSPKGKLLRSVSAMLPFGERDVNATNLRDGNNNLKWRGGGAGGAVPTFTPPKSKAAPKKRFQEDSENQDPALATPPPRQAPSRPPFGAPRWNKSAKEAIKSSAEKRPDNAEKEALLNKHAPPRQLKSTLSARNLFSGKDILGQISDFYDELKRMVGGGGGQPVTDTQEEHSSNPMNGIDAMEKVACDAGVSDPISSETAKKVARQETVQKSPSPMKGKKVGLKVEAGKQRSPSVLKEVKATPPTPQRFPSPSPNRIKNVKSGGMAAAGLPLKKPLKDKGTLTKDLESKKDAVRQPFGVKDMNNTRACDAEGSSGSMFWFLKPCTFLVE >KQK91264 pep chromosome:Setaria_italica_v2.0:IX:48852028:48855297:-1 gene:SETIT_035578mg transcript:KQK91264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTGAPAITASSSSFLSSSSFSLKASKTSHRRPGGRMPATIRCVSSPTAVDTSYKTSVPRNANMAKLQAGYLFPEIARRRSAHLLKYPDAKIISLGIGDTTEPIPDVITNAMAERAHALSTIDGYSGYGAEQGEKKLRAAIAAAYYANLGIEDSDIFVSDGAKCDISRLQVLFGSNVTIAVQDPSYPAYVDSSVIMGQTGLYQQDVQKYGNIEYMRCNPENGFFPDLSTVPRTDIIFFCSPNNPTGAAASRDQLTKLVKFAKDNGSIIVYDSAYAMYISDDSPKSIFEIPGAKEVAIETASFSKYAGFTGVRLGWTVVPKELLFSDGHPVAKDFNRIVCTCFNGASNIAQAGGLACLSPEGLKAMHDVVSFYKENTEIIVDTFTSLGFNVYGAKNAPYVWVHFPGRNSWDVFAEILEKANVVTTPGSGFGPGGEGFVRVSAFGHRDNIIEAARRLKQLYK >KQK93055 pep chromosome:Setaria_italica_v2.0:IX:58538769:58542755:1 gene:SETIT_035973mg transcript:KQK93055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGLASRGALPAAPPLPRWLTRHSLSPCLKIRNHIYSMPSPTYKVPSVTKCQASLATNYIRETSEVVDLDWENLGFGLVETDFMYVAKCGPDGNFSKGEVLPFGPIAVSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENATRMITGAERMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLSPINLIVEDKFHRASPGGTGGVKTIGNYASVLKAQKIAKSKGYSDVLYLDAVHDKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVDELLNADEVFCTGTAVVVSPVGSITYLGKRVEYGNQGVGVVSQQLYKSLTSLQMGLVEDFMDWTVQLN >KQK93056 pep chromosome:Setaria_italica_v2.0:IX:58538769:58542289:1 gene:SETIT_035973mg transcript:KQK93056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGLASRGALPAAPPLPRWLTRHSLSPCLKIRNHIYSMPSPTYKVPSVTKCQASLATNYIRETSEVVDLDWENLGFGLVETDFMYVAKCGPDGNFSKGEVLPFGPIAVSPSAGVLNYGQGLFEGLKAYRKTDGSILLFRPEENATRMITGAERMCMPAPTVEQFVDAVKQTVLANKRWVPPTGKGSLYIRPLLMGSGAVLGLAPAPEYTFMIFVSPVGNYFKEGLSPINLIVEDKFHRASPGGTGGVKTIGNYASVLKAQKIAKSKGYSDVLYLDAVHDKYLEEVSSCNIFVVKDNVISTPAIKGTILPGITRKSIIEVAQSKGFKVEERLVSVDELLNADEVFCTGTAVVVSPVGSITYLGKRKWKIHF >KQK88607 pep chromosome:Setaria_italica_v2.0:IX:15473448:15473877:1 gene:SETIT_040698mg transcript:KQK88607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNPPHGGVNRRARVPEGANISACQKPTADRGVGGEGCFSPTSRGEKVSF >KQK91280 pep chromosome:Setaria_italica_v2.0:IX:48976524:48979107:-1 gene:SETIT_034267mg transcript:KQK91280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRSCRRDGVQCNVRSRRERRGGGLLVSLRLLLLLLLAAAAAMPARGQRSDGVVIAQADLQGLQAIRQALVDPRGFLSGWNGTGLDACSGGWAGIKCARGKVVAIQLPFKGLAGSLSDKVGQLTALRRLSFHDNIIGGQVPAAIGFLRDLRGVYLHNNRFAGAVPPALGGCALLQTLDLSGNYLSGSIPSTLANATRIYRINLAYNNLSGVVPGSLTSLPFLESLELGNNNLSGVMPPTIGNLRLLHDLSLGNNLISGSIPEGIGNLSKLRSLDLSDNLLGGSLPASLCNLTSLVELNLDGNDIGGQIPECFDGLKNLTKLSLKRNVLDGEIPATVGNLSALSLLDVSENNLTGEIPASLSGLANLNSFNVSYNNLSGPVPVVLSNKFNSTSFVGNLQLCGFNGSAICTSASSPVVSPSPPLPLSQRRTRKLNKKELIFAVGGILLLFLLLFCCVLLFWRKDKKESSSPKKSAKDTTTTKTVGKPGSGAGSGTDGGGDSGGKLVHFDGPLSFTADDLLCATAEILGKSTYGTVYKATMEDGSYVAVKRLREKIAKSQKEFEAEVNALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPKGNLNSLLHARAPDSSPVDWPTRMNIAMGVARGLHHLHTDANMVHGNLTSNNILLDEGNDAKIADCGLSRLMSAAANSSVIAAAGALGYRAPELSKLKKANTKTDIYSLGVVMLELLTGKSPGDTTNGLDLPQWVASVVEEEWTNEVFDLEFMKDAAAGSETGEELVKTLKLALHCVDPSPPARPEAQQVLRQLEQIKPSIAVSAASSFTGEPSHTTATATSVTDETKSTITE >KQK87964 pep chromosome:Setaria_italica_v2.0:IX:10457760:10461259:1 gene:SETIT_034783mg transcript:KQK87964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGDDANGGGGVRRRQRGCLCTKADFFPEESFSSWSAYGRALRSTGSRLADRLTSRSAESAELHEVRARSGADMRRDLTWWDLVWFGVGAVIGAGIFVLTGQEAHDAVGPAIVVSYVISGVSAMLSVFCYTEFGVEIPVAGGSFAYLRVELGDFMAFVAAGNILLEYCIGGAAVARAWTSYFATLLNHKPNDFRIHASSLSAEYSELDPIAVVVIALVCVFAVVSTKGTSRFNYVLSIVHIAVIIFIIVAGLTRADTANMRDFMPYGVRGIFSASAVLFFAYIGFDAVSTMAEETKNPARDIPIGLVGAMTLTTALYCVLAVTLCLMQPYGAIDKDAPFSVAFADRGMDWGKYIVAFGALKGMTTVLLVGAVGQARYLTHIARTHMMPPWLAQVHPRTGTPVNATVAMLAATAVIAFFTDLNILSSLLSISTLFIFTLVAVALLVRRYYVSGETTVADRNKLAACIAAILATSAATAGCWGVDVKGWVPYAVTVPAWLASTACLWAFVPQARAPKLWGVPLVPWLPSASIAINVFLLGSIDYKSFMRFGIWTVALLVYYLFVGLHASYDTAKALAAELALTAKVEEGDGKPARGAVHNGDY >KQK88879 pep chromosome:Setaria_italica_v2.0:IX:18081717:18086585:-1 gene:SETIT_039959mg transcript:KQK88879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSESSRSCKRDVEDSIDAMDSWKEDDEREDADGRKSQSSKVRKHGCADRVEDPDDARRGSSTNRYEPRRKSVSGSGQAYSDDEEDYNVRKNSRVSKVPRRSPEEKSEISSDVYKDRGGDSSRRRREDKNDSDSSRRSGSRTSSHDVSHSEGGSKAEGPYNHGRHEEKDVRHSEGIDGSREKQWHRDLEEKHYKRGLDDACTSERADEASKLPYLDDGGNAREQSMNVKEKPRDDYISHRGMERNKESEESREYMRNHQREDSKGTNDYGTTTEWSHGPERLDGGSFQGRSAYRKDSRGRYESSKGLSSYGNRYDNSDSIEIRPNRNLDFGREGSVSGRRTSMAAHEDLTAGTNDPAEEDKRNYRSEEDSKERYYDDAQNRNQNTGKGSVDSPTARAGLKGPMTSDTPVAGQSGSSSLASPIDQQGSKGSKLYRGVRGRPNGRDPQRMGGPVPMMPPPPFGPLGLPPGPMQPMGPNMSHSPSPLGPGIFMPPFPGPLVWAGARGMDVNMLAVPPNLLMPPLAAGPGFSPSVGAGPNHNIQLDQTNTGRGGPTDAPVPGFNPVATPSHEMLHDKPPGGWTPQRNSGPARKAPSRGEQNDYSQNFVDTGLRPQNFIRELELTSVVEDYPKLRELIQRKDEIVSNSASAPMYYKCDLKEHVLSPDFLGTKFDVILVDPPWEEYVHRAPGITDHIDEYYSLSSSLGACPFLAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPGLRHDSNTLFQRSKEHCLMGIKGTVRRSTDGHIIHANIDTDIIIAEEPSDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKGLSTSNFNKEAYIKNFADRDGKVWQGGGGRNPPPDAPHLVVTTPEIESLRPKSPQKNQQSVPTIGSSSSTNRRPGANTSQNVVTVVGSETMMPAPWASTPMAGFGMLEGGAGPDSNDAFGTYGFSAPFGRSSADHMDFNTPRLL >KQK90800 pep chromosome:Setaria_italica_v2.0:IX:45829437:45832371:-1 gene:SETIT_037988mg transcript:KQK90800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGSSKQRSEEEWRAILSPEQFRILRQKGTELPGTGEYNKFKADGVYNCAGCGTPLYKSDTKFDSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGFKTPTDERHCVNSVSIKFTPAS >KQK87317 pep chromosome:Setaria_italica_v2.0:IX:6609243:6610644:-1 gene:SETIT_035848mg transcript:KQK87317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSFVVRRKRSRSPPRGFDSARRTRTRPVPETERAGEDGKSAPALLPDDMLLEVFKRLPPPRDVVRCAAVCRRWRRLVAGAGAACLPAPPHHFGFFLNYGPSPLPPFVPTAGVALGVGSLPVPPACGAVLADSRGRRLLLRELGPASARELRLLVCSPLEKTHVRVPSLYTAGHRVACSVLVPGEGSAFRVVVVLFGADPNHFEVLVYSSASSCWEAATGPVNREVVVRRGPSVVIGDVVYKLQVEDKYIMVVEAVKMKLSAVPLPNTGTKLYDGNHWIGKTADGRLCFFAMREQLTLVKWVLEAPGMWAEQQHVNLRASMHPALVGDLAQMKLSAKMSDQLRGCKLVSFAAFCEATGTLFFVMADWVVALDRTTGRLQRLWRNADVSRPLGDVYPCEMLQWPPVLKDLGEAHDHKAGGVC >KQK88881 pep chromosome:Setaria_italica_v2.0:IX:18145012:18145629:-1 gene:SETIT_038771mg transcript:KQK88881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPAAPIRPRGRADIPEWTGKPLSPYDDPHTWKFLGEPILLPKSSEDPDVGSIGKGRQDDCNCQFPGSIACVRFHVAEKKIELKCELGSAFYEMGFHHMGEDLALTWTKDEQRKFNTTIQKNLPSSRSKYNFWDKLRAVFRSKGRKGLVSYYHNVFQVRRRAYQNRLTPKCPDSDEASIEPGFLHNQGGGQSSRSSSAASRTRRSS >KQK87924 pep chromosome:Setaria_italica_v2.0:IX:10200635:10205308:1 gene:SETIT_037198mg transcript:KQK87924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASASAPSSSRALLALTLSIACLAQLAAGDTNGVYEPCSDAWIQRGDGFSFGVVFAGYNAFFSGNTQLSPCDRRLNLASSAQLAVFRPKVDEISLLTINTTTGFNPASAGGFMVAFAGRKYAARSAPIFVSNTSFTVSSFTLVLEFKKGRLQNLHWKKDGCGACTGKSNFVCLGKQTCAIRTQSCKTQGPVDCSIGIQLAFSGTDRHESVLNSWYEVSNLRQYSLYGLYSNLKDTLSGQFNKFF >KQK89385 pep chromosome:Setaria_italica_v2.0:IX:26778654:26779271:-1 gene:SETIT_038410mg transcript:KQK89385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTFVITPPRHIEAIVFQPVVRAPMFGMDGDVFNVAFFVLDISVAQLGSRLLVWHSCHSPCAECE >KQK88367 pep chromosome:Setaria_italica_v2.0:IX:13623130:13628456:-1 gene:SETIT_034344mg transcript:KQK88367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFQKKIAYRRPGAISTRAGRQRGLGAPGVGVPPAGAGGGAPAWPGGTGVGAPRAAGAGAAARGRGWRRAGGRWGRGRVGPRVAARGRLVGARGAGAAGARLTASWGGRWSGRLGARRRVGGGRTRTGAGQTAISSNDPNTKPNNCHFASILPCLPCLLLLTPHFSKIPSARAPESRGGGGFAEQMHRAIRAAAAAAGRALSSATASPSPPHGPRAPGWAATRWLCSGREALSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDAPIRVPVSSDKFWLLTKNRAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGTKRETFQPGVELRGRITLLAEGCRGSLSEKIIRNHKLRERGQGQHQTYALGIKEIWEIEEGKHEPGSVIHTVGWPLDKKTYGGSFLYHLDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPAVRKLLEGGTAIQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKALIEGSSMELYWENLKKSWIWEELHKARNYRPAFEYGFIPGMGLSALERYIFKGKTPYTLKHGKPDHEATDAANMHAPIQYPKPDGQITFDVPTSLYRYCLQQE >KQK88365 pep chromosome:Setaria_italica_v2.0:IX:13621025:13628456:-1 gene:SETIT_034344mg transcript:KQK88365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFQKKIAYRRPGAISTRAGRQRGLGAPGVGVPPAGAGGGAPAWPGGTGVGAPRAAGAGAAARGRGWRRAGGRWGRGRVGPRVAARGRLVGARGAGAAGARLTASWGGRWSGRLGARRRVGGGRTRTGAGQTAISSNDPNTKPNNCHFASILPCLPCLLLLTPHFSKIPSARAPESRGGGGFAEQMHRAIRAAAAAAGRALSSATASPSPPHGPRAPGWAATRWLCSGREALSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDAPIRVPVSSDKFWLLTKNRAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGTKRETFQPGVELRGRITLLAEGCRGSLSEKIIRNHKLRERGQGQHQTYALGIKEIWEIEEGKHEPGSVIHTVGWPLDKKTYGGSFLYHLDDRQKFKQHPAVRKLLEGGTAIQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKALIEGSSMELYWENLKKSWIWEELHKARNYRPAFEYGFIPGMGLSALERYIFKGKTPYTLKHGKPDHEATDAANMHAPIQYPKPDGQITFDVPTSLYRSNTNHEHDQPPHLRLRDPTLPEKVNLPVYAGPESRYCPARVYEYVSDEKGDPKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >KQK88368 pep chromosome:Setaria_italica_v2.0:IX:13622644:13628456:-1 gene:SETIT_034344mg transcript:KQK88368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFQKKIAYRRPGAISTRAGRQRGLGAPGVGVPPAGAGGGAPAWPGGTGVGAPRAAGAGAAARGRGWRRAGGRWGRGRVGPRVAARGRLVGARGAGAAGARLTASWGGRWSGRLGARRRVGGGRTRTGAGQTAISSNDPNTKPNNCHFASILPCLPCLLLLTPHFSKIPSARAPESRGGGGFAEQMHRAIRAAAAAAGRALSSATASPSPPHGPRAPGWAATRWLCSGREALSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDAPIRVPVSSDKFWLLTKNRAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGTKRETFQPGVELRGRITLLAEGCRGSLSEKIIRNHKLRERGQGQHQTYALGIKEIWEIEEGKHEPGSVIHTVGWPLDKKTYGGSFLYHLDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPAVRKLLEGGTAIQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKALIEGSSMELYWENLKKSWIWEELHKARNYRPAFEYGFIPGMGLSALERYIFKGKTPYTLKHGKPDHEATDAANMHAPIQYPKPDGQITFDVPTSLYRSNTNHEHDQPPHLRLRDPTLPEKVNLPVYAGPESRYCPARVYEYVKISHC >KQK88366 pep chromosome:Setaria_italica_v2.0:IX:13621025:13628456:-1 gene:SETIT_034344mg transcript:KQK88366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQFQKKIAYRRPGAISTRAGRQRGLGAPGVGVPPAGAGGGAPAWPGGTGVGAPRAAGAGAAARGRGWRRAGGRWGRGRVGPRVAARGRLVGARGAGAAGARLTASWGGRWSGRLGARRRVGGGRTRTGAGQTAISSNDPNTKPNNCHFASILPCLPCLLLLTPHFSKIPSARAPESRGGGGFAEQMHRAIRAAAAAAGRALSSATASPSPPHGPRAPGWAATRWLCSGREALSYDVVIVGAGPAGLAAAIRLKQLCRAADADLSVCVLEKGSEVGAHVLSGNVFEPRALDELIPKWRQEDAPIRVPVSSDKFWLLTKNRAWTLPSPFDNKGNYVISLSQLVRWMATKAEELGVEVYPGFAASEILYDENQIVTGVATNDVGIAKDGTKRETFQPGVELRGRITLLAEGCRGSLSEKIIRNHKLRERGQGQHQTYALGIKEIWEIEEGKHEPGSVIHTVGWPLDKKTYGGSFLYHLDDRQLAIGLVVALNYRNPFLSPYDEFQKFKQHPAVRKLLEGGTAIQYGARTLNEGGFQSIPYPVFPGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEATFKALIEGSSMELYWENLKKSWIWEELHKARNYRPAFEYGFIPGMGLSALERYIFKGKTPYTLKHGKPDHEATDAANMHAPIQYPKPDGQITFDVPTSLYRSNTNHEHDQPPHLRLRDPTLPEKVNLPVYAGPESRYCPARVYEYVSDEKGDPKLHINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYTVM >KQK92106 pep chromosome:Setaria_italica_v2.0:IX:53694205:53697510:1 gene:SETIT_038058mg transcript:KQK92106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKGEAPAPREPEEEEEDTGGVVKLISAEGFEFVVDKKAAMVSNTLRNMLTSPGGFSETRQGEVRFPEISTHVLEKICQYFYWSLHYSSGKETTEFPIEPEITLELMMAANYLDT >KQK92105 pep chromosome:Setaria_italica_v2.0:IX:53694205:53694833:1 gene:SETIT_038058mg transcript:KQK92105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKGEAPAPREPEEEEEDTGGVVKLISAEGFEFVVDKKAAMVSNTLRNMLTSPGGFSETRQGEVRFPEISTHVLEKICQYFYWSLHYSRLHPDSLATCLCSIASLACLCASLQFTTCMID >KQK92805 pep chromosome:Setaria_italica_v2.0:IX:57298689:57305458:1 gene:SETIT_034726mg transcript:KQK92805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAGPSPRRRLAAAVVAVALALALAPAAARPDKEMREKFYGTLVTNGTHNASGDGSIAEMFGRVLDKEFADSDTPDAPDKSNFNNSVSDHQAVLETVAVITHDKKKNDSQNTNSPKPFQIGDMFGGQDETSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATGGGIIFSCMGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIALFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNTLHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSIFIAVTYMMTWSIVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYFGLSLELGSFLAGVMISTTDFAHHTLEQVEAIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSIVVTVVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPTENSVQNEEKAVMLEAHNRSL >KQK92807 pep chromosome:Setaria_italica_v2.0:IX:57298689:57305458:1 gene:SETIT_034726mg transcript:KQK92807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAGPSPRRRLAAAVVAVALALALAPAAARPDKEMREKFYGTLVTNGTHNASGDGSIAEMFGRVLDKEFADSDTPDAPDKSNFNNSVSDHQAVLETVAVITHDKKKNDSQNTNSPKPFQIGDMFGGQDETSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATGGGIIFSCMGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIALFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNTLHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSIFIAVTYMMTWSIVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYFGLSLELGSFLAGVMISTTDFAHHTLEQVEAIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSIVVTVVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPTENSVQNELPLQEKAVMLEAHNRSL >KQK92806 pep chromosome:Setaria_italica_v2.0:IX:57298689:57305458:1 gene:SETIT_034726mg transcript:KQK92806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAGPSPRRRLAAAVVAVALALALAPAAARPDKEMREKFYGTLVTNGTHNASGDGSIAEMFGRVLDKEFADSDTPDAPDKSNFNNSVSDHQAVLETVAVITHDKKKNDSQNTNSPKPFQIGDMFGGQDETSDDLETVIDKEDNVFVMSNRKTKYPTLQLDLRLIKDLVVIIVSATGGGIIFSCMGQPVIVGYLLAGSLVGPGGLNFISEMVQVETFAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIALFMFLCGLTAALCGAKSSEGVFVGAFLSMSSTAVVSKFLVEKGSTNTLHGQVTIGTLILQDCAVGLLFALLPVLGGASGIFGGVMSMAKLLLVLSIFIAVTYMMTWSIVPRFLKLMIQLSSQTNELYQLASVAFCLLLAWCSDYFGLSLELGSFLAGVMISTTDFAHHTLEQVEAIRNLFAALFLASIGMLIHVKFLWNHVDILLAAVILVIIVKSIVVTVVVKAFGYSIRTAFVVGLSLAQIGEFAFVLLSRASHLHLVGVDYSPSISCVSNILSYLEQTPDCHTCMMKTYNLIIIILQGKMYLLLLGTTALSLVTTPLIFKLIPVVMHLGILMRWFPTENSVQNELPLQEKAVMLEAHNRSL >KQK86666 pep chromosome:Setaria_italica_v2.0:IX:3211943:3212365:-1 gene:SETIT_040627mg transcript:KQK86666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKENEKAWPSPPASPCDPFPHLETLLDSDRCAKRPQPLSLPDSYAPPLPPLLSLAASRHSLAFPNSLSVVLIGSRNSSRSPAPQIERPCWTLG >KQK88661 pep chromosome:Setaria_italica_v2.0:IX:15872036:15877739:-1 gene:SETIT_034648mg transcript:KQK88661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHGLARFLLLTALALVVASVWLAPAAVGDPQATVLNLGCSTYNATPASAFLLALNSAFAGLRANLSAGGGFATAAEPRAAAPAFAMAQCRPYVAGRDCVACFDAAAARLRRSCGAANGGRVILDGCVIRYESAAFFDQATLPGNTQFCNGSAVDAGGFAGTARALVADLAAAVPNAPGLAAAAASGGVYAAAQCVETVGEGGCAQCLNVAMGNIDGCPPNSDGRAVDAGCFMRYSDKPFFPANATVDLAAYLHSGKESSRKGAIIGSIMGGLAFLFLIGLLTFLLIRRSRKLRPQKGDILGATELQGPTSFYYHDLKTATNNFNEKSKLGEGGFGDVYVDHGLLKNGKTVAVKRLIVMETSRAKADFESEVKLISNVHHRNLVRLLGCSRKGSEFLLVYEYMANGERRGTLNWRQRFNIIVGMARGLAYLHQEFHVCIIHRDIKSSNVLLDDDFQPKIADFGLARLLPDDHSHLSTKFAGTLGYTAPEYAIHGQLSEKVDTYSFGVVVLEILSGRKSNDTKLEPETQYLLEWAWKLYESENLLALVDKSLDPEEYKPDEVKRIMEIALACTQSAVAARPMMSEVVVLLLTRNDPELQPTRPTFIDATSRVRGETSTSSSSSASKATISISQVSGR >KQK90346 pep chromosome:Setaria_italica_v2.0:IX:41902730:41904314:1 gene:SETIT_035313mg transcript:KQK90346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALAAYSWFGQVSVVISLVAVCWTMVWRNLEHIRLQQFFARNFNRRARRLAAIVDPYLSVTFEEYEGGRIKSSDAFKEVRSFLTTASTRDVRHLRAESGGGGDKKLVLSMAKGEEVADAFRGATVWWSADSVPPPRDAVPYWSRASRSERRFYRLEFHESHRDLVLNEYIPHVRSRGRDIMVQNRERRLYTNIHREGFDDGWYEEVWTHVPFDHPKTFDKLAMDPAKKKEIIDDLDMFRNGKEYHNRVGKPWKRGYLLYGPPGTGKSTMVAAMANYLDYDVYDFELTSVKTNTELRKLLIETKSKSIMVFEDIDCSLAVTGRRNSKEEEDKDDDDEDKDADPRHQNKKDAKSKVTLSGLLNFIDGLWSACGEERLIVFTTNHVEKLDPALIRTGRMDKRIEMSYCDFESFRFLAKMHLDEDVEGHELLGVVRALLEEVNMVPVDVGEHLTRKSVDDDAGKCLARLVTALAKAKEEAAKPEAAQDEEEDGKKGIVVQAKDAN >KQK92117 pep chromosome:Setaria_italica_v2.0:IX:53774218:53778213:-1 gene:SETIT_035606mg transcript:KQK92117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDINLFRTDKGGNPDLIRESQRRRSAAVELVDEVIALDKAWRERQFELDKIRQELNATSKKIGKLKASKQEEEAKKLMESTDEIKKRLAAKEAEVQEAKSTLDAKLTTIGNIVHDSVPVSDDEANNAIVRTWGEKRVEENLKNHVDLCRMLDIVALEKGADVAGGRGYYLKDEGVLLNLALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKVTGDGEDKYLIATSEQPLCAYHLGDRIYPAELPIKYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCITSPNGNDSWDIHEEMMKNSEDFYQEIGLPYQVVSIVSGALNDAAAKKYDLEAWFPASKTFRELVSCSNCTDFQARRLGIGYGQKKNDEQSKQFVHMLNSTLTATERTLCCILENFQKEDGVEVPKALQPYMGGIEFLPFKQPLDVKQAADSKSNKSKSKGNAA >KQK89987 pep chromosome:Setaria_italica_v2.0:IX:38294223:38298324:-1 gene:SETIT_034826mg transcript:KQK89987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLPETASDGKALTDAWDYKGRPASRATTGGWACAAMILGAELFERMTTLGIAVNLVPYMTGTMHLGNAAAANTVTNFIGTSFMLCLLGGFVADSYLGRYLTIAVFTAVQATGVMILTISTAAPGLRPPPCADAKGASPDCVPANGTQLGVLYLGLYLTALGTGGLKSSVSGFGSDQFDEAHDGERSKMLRFFNWFYFFVSIGALLAVTVLVYVQDNVGRRWGYGVCAAGILVGLAVFLLGTRRYRFKKLVGSPLTQVAAVTAAAWSKRALPLPSDPNTLYDVDDAAAAGADVKGKQKLPHSKECRFLDHAAIVDGESPASASKWTLCTRTDVEEVKQVVRMLPIWATTIMFWTIHAQMTTFSVAQAEVMDRGIGGPGFLIPAGSLTVFLIGSILLTVPLYDRLIAPVVKRLTGNPHGLSPLQRVFVGLFLSVAGMAVAALIERHRQTTSEHGVTITVFLLMPQFVLVGAGEAFTYMGQLAFFLRECPKGMKTMSTGLFLSTCALGFFFSTLLVTIVGKVTGHGGHGGWLADNLNDGRLDYFYWLLAVISAINLVLFTLAARGYVYKEKRLADAGIELADEEAIAVGH >KQK86580 pep chromosome:Setaria_italica_v2.0:IX:2761018:2766285:-1 gene:SETIT_034518mg transcript:KQK86580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSVYANYAAFIRTSKEISDLEGELLSVRNLLSTQSALIHGLSEGVQIDSLSTGHEGSAEEDISTVEDQEPSEIWKWSTDFPDMLDVLLAERRVDEALDALDEAEQMAADAKQKGTLTTADILALKRAISENRQKLADQLAEAACQSSTCGVELRAAASALKRLGDGPRAHSLLLSAHNQRLQLNMQTIQPSSTSYGGAYTASLAQLVFRVIAQALSDSAEVFGDEPAYMSELVTWATKQAMSLSLLVKRHALASCAAGGGLRAAAECVKIALGYSELLEARGLSLSAVLMKQFRPSVEQALDSNLRRIEESTAALAAADDWVLTYPPTGIRPFARSSAGNLALQPKLSSSAHRFNSMVQDFFEDVGPLVSLQLGGSAMDGLLKIFDSYVNLLISALPGSVDDEVNLEGLGNKIVRMAEMEDQQLALLANASLLAEELLPRAAMKLYSMNPARGPDRQNRAAEQREWKRKLHRTVDKLRDSFCRQHALDLIFTDDGDTHLSAEMYINMDNTVEDPEWTPSLIFQELYGKLNKMAGIAADMFIGRERFSTLLMMRLTETVMLWLSEDQSFWEEIEEGPRALGPLGLQQFYLDMQFVILFGQGRFLSRHVHQVILNIIDRAMAAFSATGMDPDRVLPSDDWFIDVAQESISRISGKPRVANGEREVNSPTASVSAQSVSSVKSHGSS >KQK88840 pep chromosome:Setaria_italica_v2.0:IX:17603833:17605446:-1 gene:SETIT_038964mg transcript:KQK88840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIQTDEATKLTTSFEVNLQKDAEGAAGKHSGGGGVLRPSRICHLAILSTAFWAFVFFLHYSSTQGDGGGVASVLFKQAAFSLPPLLSGNTADRGRTPPEQPPPPSALSVQARSPPAVEAAAHLDRSATAPPGDRCAGRYIYMYELPPRFNDDLVRDCKKLWRFYDMCPLLANSGMGRPLGDEGGVFSPHGWYATNQFTLDLIFHVRMKGYDCLTGDPSLAAAVYVPFYASLDGGRHMWNSTSLRDALGVDLVAWLAERPPWRAMGGRDHFMVAGRTAWDFRRYDDVDEQWGTKLLNFPAVQNMTVLVLETSPWRRANFAVPYPTYFHPEAAADVAAWQEKVRGAERTWLFAFAGAPHPWQRETVRPQIFQQCGASSRCKLFRCSGKGDANSCKSPGAVMRVFEISKFCLQPRGDSLTRRSMFDAILAGCIPVFFHPGSAYTQYTLHLPKEPSSWSVLIMHTEVSERNVSIEERLSKIPPETVKAMREEVIRLIPRVVYADPTSRRVDFKDAFDVAVEAVIDRVAKRRRGDADAR >KQK88428 pep chromosome:Setaria_italica_v2.0:IX:14155107:14158196:1 gene:SETIT_037013mg transcript:KQK88428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASCDLLDVDPPELQFPFVLDKQISCPLRLTNRTDRTVAFKVKTTSPRKYCVRPNNGVVPPRSSCTVVVTMQAQKVVPPDLQCKDKFLVQSVVVSDGLLAKYITSQMFVKEVGNVVEEVKLKVAYVMPPEPQSEIAEEHDGLERVLMPMQQIVDNGRSTSELSSGSASLRSAEEVGSPVGRIVKSEEFLKAATPALETKTYAGRAEQSHQLSAIIAKLTEEKNSALEQNRKLRDELELVRREASKQQGSFSLVLLLALGVLCVILGHLVKK >KQK89118 pep chromosome:Setaria_italica_v2.0:IX:20987626:20988334:1 gene:SETIT_039157mg transcript:KQK89118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYGYSIEHILTVDIIPDAAVRKAMNDINAAQRLQLASVYKGEAEKILLVKKAEAEAEVKYLSGVGIAKQRQAITDGLRDRENILNFSHSVTFDTIKELRDGSKNTTVFIPHGPGHVKDISEQIRDGMMQASSSNV >KQK90550 pep chromosome:Setaria_italica_v2.0:IX:43565826:43569296:-1 gene:SETIT_035736mg transcript:KQK90550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHADVDGSGVPLAVLLKRELCNQKVEKPDILFGEVNKSKKGEDFTFLVDKCHRSPGEGASSTGGENAGDDDTISVFAIFDGHNGSAAAIYTRENLLNNVLAAIPPNLTSEEWTAALPRALVAGFVKTDKDFQTKAARSGTTVTFVIIDGWVVTVASVGDSRCILESAEGTVYFLSADHRLDANEEEVERVTASGGEVGRINIAGGAGIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPHVKQVKLSNAGGRLVIASDGVWDALCFQEALNYTRGLPAEAAANRIVKEAVTSKGLRDDTTCIVVDILPPEKLSPPLKRPGKGGIKALFRRRPSDEMSEDQMDRGCFEPDVVEEIYEEGSAMLARRLNINYPAGNMFKLHDCAVCQLEMKPGEGISVHGNMPKHSRVDPWGGPFLCSSCQVKKEAMEGKLHSRSTDVFTQKL >KQK88962 pep chromosome:Setaria_italica_v2.0:IX:19017566:19018504:-1 gene:SETIT_038857mg transcript:KQK88962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLPGSATSQHREIEQEEEEMEAVRRPRVLAEIDPHSEWVHGGEFDTLVVDVTADDGRWHGIHVAVVVVVVDAGFGKEHLKVQVEPAGSLKVSGERAVDGGGRQWCHFTKRFDLPAGCDAAEIKVQLDKGMLYVQVPRPPAGGGAGSSSGKHPAAEMYEDALQGECEIGDGDGGGGWNIGRVAARREEQHPVLRLARGLSRNRQVVLNVLLAVVLLWLVAFGAKNKPGGGQAKND >KQK89227 pep chromosome:Setaria_italica_v2.0:IX:22208509:22209018:-1 gene:SETIT_038441mg transcript:KQK89227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLGTKLIAWWCHADYSSSIITHRASNGRLQIIAVRSLKGALITNSPRPCMISWCVSSSH >KQK87754 pep chromosome:Setaria_italica_v2.0:IX:9112579:9113342:-1 gene:SETIT_039387mg transcript:KQK87754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVDARIHDMRGKIPDDKRMFTNVYLETTHMPARFQYTQRHEAEICEEETMHRRAVAFKYVSHEMVFLPMRVQTHWFLVVVNAYLRTVQVLNSDKQFVGKIVQQVRNMVEGLHCYLDIIQNDEKEDYHRWKDFNVKTWDIDMLDGLPQQEDRTSSGLFMLKYMEHWNGYRLQKGFTQNLIDEFRSKLAAILVNSVFNEEQTMKGSPEI >KQK89023 pep chromosome:Setaria_italica_v2.0:IX:19595760:19603804:-1 gene:SETIT_035010mg transcript:KQK89023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVASRARDKSATTILSLAMPFLPTTTASATATATNPRPLVPSSHRCKNPTPHLRPPPPVRRLPILPAAPFLPLPPRRGMASLAASAAAAASAEVTHLTHRDAAEIDEQLMGPLGFSVDQLMELAGLSVAEAVAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYRPSVCYPKRTPKPLYSGLVTQLESLSIPFITAEDLPDDLSREFDIIIDAMFGFSFHGTPRPPFDDLIQRLVSLAVVGNSDKRPAIVSIDVPSGWHVEEGDVDGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFIPPPILNKYGLQLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLESQVMPDPFDQFRKWFDEAVTAGLREPNAMALTTVNKEGKPSSRMVLLKGVDKQGFVWYTNYGGRKAHDLSENPNAALLFYWNEMNRQVRVEGSVEKVPEEESEKYFHSRPRGSQLGAIVSKQSTVIAGREVLQQAYKELEQKYSDGLIPKPEYWGGYRLTPTLFEFWQGQQSRLHDRLQYSQREVDGSTAWHIERLSP >KQK89022 pep chromosome:Setaria_italica_v2.0:IX:19595760:19603804:-1 gene:SETIT_035010mg transcript:KQK89022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVASRARDKSATTILSLAMPFLPTTTASATATATNPRPLVPSSHRCKNPTPHLRPPPPVRRLPILPAAPFLPLPPRRGMASLAASAAAAASAEVTHLTHRDAAEIDEQLMGPLGFSVDQLMELAGLSVAEAVAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYRPSVCYPKRTPKPLYSGLVTQLESLSIPFITAEDLPDDLSREFDIIIDAMFGFSFHGTPRPPFDDLIQRLVSLAVVGNSDKRPAIVSIDVPSGWHVEEGDVDGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFIPPPILNKYGLQLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLESQVMPDPFDQFRKWFDEAVTAGLREPNAMALTTVNKEGKPYTNYGGRKAHDLSENPNAALLFYWNEMNRQVRVEGSVEKVPEEESEKYFHSRPRGSQLGAIVSKQSTVIAGREVLQQAYKELEQKYSDGSLIPKPEYWGGYRLTPTLFEFWQGQQSRLHDRLQYSQREVDGSTAWHIERLSP >KQK89024 pep chromosome:Setaria_italica_v2.0:IX:19596592:19603804:-1 gene:SETIT_035010mg transcript:KQK89024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVASRARDKSATTILSLAMPFLPTTTASATATATNPRPLVPSSHRCKNPTPHLRPPPPVRRLPILPAAPFLPLPPRRGMASLAASAAAAASAEVTHLTHRDAAEIDEQLMGPLGFSVDQLMELAGLSVAEAVAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYRPSVCYPKRTPKPLYSGLVTQLESLSIPFITAEDLPDDLSREFDIIIDAMFGFSFHGTPRPPFDDLIQRLVSLAVVGNSDKRPAIVSIDVPSGWHVEEGDVDGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFIPPPILNKYGLQLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLESQVMPDPFDQFRKWFDEAVTAGLREPNAMALTTVNKEGKPSSRMVLLKGVDKQGFVWYTNYGGRKAHDLSENPNAALLFYWNEMNRQVRVEGSVEKVPEEESEKYFHSRPRGSQLGAIVSKQSTVIAGREVLQQAYKELEQKYSDGSLIPKPEYWGGYRLTPTLFEFWQGQQSRLHDRLQYSQREVDGSTAWHIERLSP >KQK89025 pep chromosome:Setaria_italica_v2.0:IX:19600111:19603804:-1 gene:SETIT_035010mg transcript:KQK89025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVASRARDKSATTILSLAMPFLPTTTASATATATNPRPLVPSSHRCKNPTPHLRPPPPVRRLPILPAAPFLPLPPRRGMASLAASAAAAASAEVTHLTHRDAAEIDEQLMGPLGFSVDQLMELAGLSVAEAVAEVYKLSEHTRVLIICGPGNNGGDGLVAARHLYHFGYRPSVCYPKRTPKPLYSGLVTQLESLSIPFITAEDLPDDLSREFDIIIDAMFGFSFHGTPRPPFDDLIQRLVSLAVVGNSDKRPAIVSIDVPSGWHVEEGDVDGGIKPDMLVSLTAPKLCAKKFTGPHHFLGGRFIPPPILNKYGLQLPPYPGTSMCVRIGKAPSVDISSLRENYISPELLESQVMPDPFDQFRKWFDEAVTAGLREPNAMALTTVNKEGKPSSRMVLLKGVDKQGFVWYTNYGGRKAHDLSENPNAALLFYWNEMNRQVKFTNSYSTFKGWFLSSAVSHRAGKGKTLISITEQNLTH >KQK89537 pep chromosome:Setaria_italica_v2.0:IX:32629556:32641195:1 gene:SETIT_034056mg transcript:KQK89537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLPLLPLVAAVALALAASTEAAAAFAVASNATAPATVAGKLNVHLVAHSHDDVGWLKTVDQYYVGSNNSIQGACVLNTLDSVVDALARDPARKFVVVEQAFFQRWWAEKSPAIQDIVHKLVDSGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFARIDYQDRAKRKGDKGLEVIWRGSRTFGSSSQIFTNAFPVHYSPPDGFSFEVLDENVIPVQDDMSLFDYNVIERVNDFVAAAIAQANVTRTNHIMWTMGDDFNYQYAESWFRNMDKLIQYVNKDGRVHALYSTPSIYTDAKHASDESWPVKYDDYFPYADSTNAYWTGYFTSRPTFKRYVRVYSGYYLAARQIEFLVGRSSLGLFTSSLEDAMGIAQHHDAVSGTAKQHTTDDYSKRLALGASKVEKGVNTALTCLTSSNGTCVSSVVNFNQCPLLNISYCPSTEEASSATKSLVVVVYNPLGWERSDFIRVPVNDENLAVKNSDGTIVESQLVKVDSVTGNLRKFYVKAYLGITADKPPKYWLVFQASVPPMGWNSYYISRPTGAAYNGTGYATAVVSASHDTIEVGPGPLKMSFSSASGQLKRIFNSVSGVDLPIQQSFFWYRSSEGDDVDSQASGAYIFRPDGNRPTTVSSSSVPLKVIRGPLVDEVHQQFSSWVYQITRLYKNKEHAEVEYTIGPIPVDDDVGKEVITRMTANMVTNSTFYTDSNGRDFLKRVRNYREDWDLQVTQPVAGNYYPVNLGVYVADGKYELSVLVDRAVGASSIHDGQLEIMLHRRILKDDARGVGEPLDEVVCDGEDCEGLTARGTYYVNVEKLGHGAHWRRTYGQQVYSPFLLAFTHEEETSWKSYNVPKSSMMDANYSLPHNVAIVTLQNLDDGTTLLRLAHLFQAAEDPEYSVLAKVDLRKMFGKRTIKELTETNLSANQNKSEMKKLNWKIIGETENDPAPIKGAPVDSQALVVELGPMEIRTFLLKF >KQK90996 pep chromosome:Setaria_italica_v2.0:IX:47091555:47100330:-1 gene:SETIT_034683mg transcript:KQK90996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPAWRALLLVLALALADAAAGRFVVEKNSIQVTSPDELKGKYECAIGNFGVPQYGGTLHGWVEYPRSNRKGCQSFDQFDISFKPKKAGGRPNFVLLDRGECFFTVKAWNAQNAGAAAVLVVDDKPEPLITMDNPEEGKEHLENITIPSVLITKKLGDQLKKSAEAGDMLSVLLDWRESLPHPDERVEYEFWTNSNDECGPKCDMQMDFVKNFRGTAQVLEKKGYTQFTPHYITWYCPETFVTSKQCKSQCINHGRYCAPDPEQDFSQGYDGKDVVIQNLHQICLFKIANETGKPWLWWDYVHDFALRCPMKDKKYTHECASDVIKSLGLDVEKVNKCVGDPEADEENEILKAEQDAQIGNGKRGDVTILPTLVINNRQYRGKLDKVAVLKAICSGFEETTEPAICLSEEIQTNECLDNNGGCWLDKANNVTACKDTFRGRVCECPIVKGVKFVGDGYTHCEASGIGRCEISNGGCWQETKDGKTISACSNEEPDGCKCPAGFKGDGVKSCEDIDECKEKLFCQCKGCSCKNTWGSYECSCGDDKMLYMREHDTCISKEGTTATVGWSFLWVIFFGLVFAGVGAYAVYKYRLRSYMDSEIRAIMAQYMPLDNQEGANQQHHVAHADDI >KQK86832 pep chromosome:Setaria_italica_v2.0:IX:4000195:4000434:-1 gene:SETIT_038606mg transcript:KQK86832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSVSVQRGHIPVLVGEGKELQRVLVHRKVLHHPYFTGLLELAAMEFGHDQKGVLRIPCDVKCFHTIIQLIRSSTRRKK >KQK88547 pep chromosome:Setaria_italica_v2.0:IX:14999064:15001541:-1 gene:SETIT_034593mg transcript:KQK88547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGSRCDRRRRPWHWLVVSWVLVATVVVGEGGAEVTELDFEERRDERRDLLVLRDTLRSALDLHSNWTGPPCHGGRSRWRGVSCDGDGRVVGVALDDAQLTGSLPRSALRGVSRLEALSLRGNALHGALPGLEDLPRLRAVDLSSNRFSGPIPRGYATSLRDLARLELQDNLLNGTLPAFRQRGLVVFNVSYNFLQGEVPDTRALRRFPASAFDHNLKLCGEAVNAECREEAPPSSGGSAAADGGGSDDPVIRPAGGKDREARKPVRFRLATWSVVAMCLIAALVPFAAILIFLHHKKKGREVRLGGRADAAVTGAGDINDKTKQGRGSGSRSTDSAGGKGAELQFFLDDRARFELNELFRSTAEMLGKGRLGITYRVTLEAGPVVVVKRLRNMAHVPRRDFTHTMQLLGKLRHENVADLLACFYSKEEKLVVYEHVPGCSLFQLLHGNRGEGRTPLPWPARLSIAQGTARGLAYLHQSLPYFHRPPHGNLKSSNVLVFFSAAAKSKQQQQKQAVPKLTDHGFHPLLPHHAHRLAAAKCPEFARGGGRRLSSRADVYCLGLVLLELVTGKVPVEEDGDLAEWARLALSHEWSTDILDVEIVADRGHHGDMLRLTEIALLCAAVDPDRRPKVQDVVRMIDEIAASDGPELAGR >KQK87852 pep chromosome:Setaria_italica_v2.0:IX:9781769:9782715:1 gene:SETIT_038389mg transcript:KQK87852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHHSSFPTGGWCSWKRRRRITRDGDPVHCPLNALLSTRPGRTCLPSIRPRPKQILTDRECQTKRFGN >KQK91156 pep chromosome:Setaria_italica_v2.0:IX:48180962:48182914:-1 gene:SETIT_037759mg transcript:KQK91156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRILTGGGSLAALRAARAVKETTGIVGLDVVPNAREVLIGLYTRTLKEIEAVPKDEGYRKAVESFTNHRLQICQEEDDWKRIEDRIGCGQVEELIEEAEDELKLIAKMIEWDPWGVPEDYECEVIEDDTPIPKHVPQHRPVALPEEFFKTLDAVKSDPALQGDAPPQVKA >KQK87382 pep chromosome:Setaria_italica_v2.0:IX:7025688:7027243:1 gene:SETIT_036340mg transcript:KQK87382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCCSGAEEEPLAVPPAGNPAYTPPRAPAHPRGPSMPRPGAASAAKVLPIDVPAFPLSELNRLTGNFGDRALVGEGSYGRVYRAKLGTGEAVAVKMFDNGGSSGQSEAEFCEQLSVVSRLKCEHFTQLLGYCLELNNRIVLYQFATMGSLYDILHGKKGVQGAEPGPVLTWSQRARIAYGAARGLEYLHEKARPSIVHRDVRSSNVLVFDGHDAKIGDFNLTNQSPDSAARLHSTKVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLKDDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLVTARPGGDHP >KQK86904 pep chromosome:Setaria_italica_v2.0:IX:4382284:4383587:1 gene:SETIT_036681mg transcript:KQK86904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKASVKKGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDKIILSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLFGKQSRKDQRQQQQFMRQATASDGMKQEAATGDANGSSGVPAVAYNWHQQAITGAVPPVPGIMMEGHRIGDEVDESIRKLLYKLGGAGPFATLPVPQCVPPMYEGSPSLMPPSCTVDTTSLSEGGVQGSSTLPALELDQNFHFNQVKLDGLDCFFGMSTDQSMRWNEVIPLVCPNNTVASSSQGMQQYCLVDEPANLGMK >KQK87971 pep chromosome:Setaria_italica_v2.0:IX:10547231:10550404:1 gene:SETIT_039143mg transcript:KQK87971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRLAATYPDAFLDGRMMRCYLRHRVRVRRRAGCRCPRARHITVLAEGWPPETAPRPTKTTCGGGGIRIAGPHVHSLDWLLDCV >KQK91707 pep chromosome:Setaria_italica_v2.0:IX:51374187:51374570:-1 gene:SETIT_039572mg transcript:KQK91707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HFRRATAVHLGDGLTVSFWHDHWIGPQSLATTFPALFSFCRRANISVQAARAGDHWDLHLYFRLSAAASAELGVLLSALGQALPTPGVPNRRGIGLRLQPFSSSALYSWHMASTTPDPFVECPLLEN >KQK88894 pep chromosome:Setaria_italica_v2.0:IX:18271109:18271641:-1 gene:SETIT_038190mg transcript:KQK88894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLRQMVSGHAVASRAPWMALQGKGRRRRKMAVARLGGDVRPRRRFLGTALRRLHLRWRLAAMYRRALRRLRAACASGAVQRILESAALVGAARLDAGF >KQK88437 pep chromosome:Setaria_italica_v2.0:IX:14210764:14211200:-1 gene:SETIT_040541mg transcript:KQK88437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVTVDVSIHVCDVISSVGSRLTSGISALVARSVGSSLPGCTFGLGFLFFLLCSCDGLL >KQK90657 pep chromosome:Setaria_italica_v2.0:IX:44274550:44275120:-1 gene:SETIT_038120mg transcript:KQK90657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNVQTGSLLVMSINVISSFAGNCYALTAHRRVRPCRRRCCARWPSWRDIWYATLTRDDGDRELVDPSIAGRRLTRSVSSDPLLWLVGRRSLKVHRLFFLFFYLAWRIGVF >KQK92137 pep chromosome:Setaria_italica_v2.0:IX:53928240:53929199:-1 gene:SETIT_037995mg transcript:KQK92137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPPLATTLVTLMDSTVMRCGGLNPSTLVLTKTATVTASAPIGWRLGLDDFPVKFSPGIFCFHPGFSGDGFIAGGRVLVAVRGRAVRGNSIFCRRFGIGDCFSSFSSALMLESFVGLFVLPAPVMSSAAPNS >KQK89907 pep chromosome:Setaria_italica_v2.0:IX:36986253:36988142:-1 gene:SETIT_035276mg transcript:KQK89907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQQQAASVLQSFPFRAAVLALCVALLPLLPAAPEAGAGGAGQAFLAKACELLHLLFVGIAVSYGLFSRKNSADDGRAGFAAAEKDAAAGPEAAKADARYAWRMFRDSIAPFDDDDEDEELPDSPPGGGGGGGGREKMRSWSALHRPKDPVVVVSNGGGGRSGHAQAPLSLPVRALKPQPAQDASAGGGGETPRARPRRGSQDPAASGGETVLPSPIPWRSRSGRLDAGRPASPSPSPSPRRLSPASSLARETLAKASEEEYYAKRRSPYRSSSSISSPPPAPPPPPPPFLVHGYHPAVERRTAVAKSFKEELQDHSMRGRGEDHYSPDTSIFSNSAYNSNNNSSMAKPRNSFDGGGGGSSSSASVGKSVRTIRAREPAPFQGQSQELPDDAGNDRDALGVHGLEESYGYRAYQSIPRFQYERSVSDPILGGVAVSSDDTESSDDDDDVGGCSTRTNSPRESTPEVDENEVDKKAEEFIARFREQIRRQRIESIKKSAGPRGVKRHGK >KQK88361 pep chromosome:Setaria_italica_v2.0:IX:13566487:13571561:1 gene:SETIT_035456mg transcript:KQK88361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPHHAAASPPQQPPLLPLALLPPRHCPLASAVLALLSVLLATALWLLLVLSPSQGAPPAASERSALLDAGAAVAGPGAASPLSLGHLVFGIAGSAHLWPRRREYVRLWWDPTAMRGHVWLDAGAPAAPGPSSAWEGSLPPIRVSEDTSRFRYTNPTGHPSGLRIARIAAEAVRLVGGGAGVRWVVLVDDDTVLCADNLVAVLSKYDWREMVYVGAPSESHSANTYFSHSMAFGGGGVALSFPLAVALARTLDVCIERYPKLYGSDDRLHACITELGVPLTREYGFHQWDIRGNAHGLLASHPIAPFISIHHVELVDPIYPGLNSLESLDLFTKAMKMEPMSFLQRSICYDQSQKLTFAVSLGYVVEVYPNVLLPRDLERSQRTYIAYNRMSQRNEFDFDTRDVQKSMCKKPILFFLKDVWKDGNITRGSYVRSSGRDDLKRKAPRRLCSALKGSINGTLFMFVQQCGRGTFGSASDSL >KQK92136 pep chromosome:Setaria_italica_v2.0:IX:53910376:53915094:-1 gene:SETIT_037520mg transcript:KQK92136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGQGGAAADNGVSPGNVPVCYYGPAGRVPAALERRVRAAELFLRCATCGLAVLAAALLGADRQTRIFFSMEKEARYSDMQSLVFLVIANGMAACYSLLQGARCMVSILTGGVLISKPMAWAIFSCDQVMAYFTISAVAVAMEAAMIGKYGNTQFQWMKTCHLYKRFCAQAGGAVACAVAASLNMVGISLVSAFNLFRLYGSGKGRK >KQK90853 pep chromosome:Setaria_italica_v2.0:IX:46204147:46205904:-1 gene:SETIT_037835mg transcript:KQK90853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVAVSSSSLNPDAPLFIPAALLQVEDFSPQWWDLVTTTAWFRDHWSREHTQLDEMAEELDAAGLLPDALDDDEDLFYGDLPEHAPAAVEAPQQPAPAANLKTDEVLKALNLTSPKGGDAPRGFREKPRNAEKPTKYAGSPKGSSAPRVIHQPR >KQK90854 pep chromosome:Setaria_italica_v2.0:IX:46205004:46205489:-1 gene:SETIT_037835mg transcript:KQK90854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVAVSSSSLNPDAPLFIPAALLQVEDFSPQWWDLVTTTAWFRDHWSREHTQLDEMAEELDAAGLLPDALDDDEDLFYGDLPEHAPAAVEAPQQPAPAANLKTGTDLSLSLSLSLSLSLSLSLSLSPLVAACLRFRYMSRGELTCIPACAFCVQTRCSRR >KQK90852 pep chromosome:Setaria_italica_v2.0:IX:46204147:46205904:-1 gene:SETIT_037835mg transcript:KQK90852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVAVSSSSLNPDAPLFIPAALLQVEDFSPQWWDLVTTTAWFRDHWSREHTQLDEMAEELDAAGLLPDALDDDEDLFYGDLPEHAPAAVEAPQQPAPAANLKTDEVLKALNLTSPKGGDAPRGFREKPRNAEKPTKYAGSPKGSSAPRVIHQPR >KQK91488 pep chromosome:Setaria_italica_v2.0:IX:50158019:50160159:1 gene:SETIT_039730mg transcript:KQK91488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGGDHHHQEVGVLVDDDEDELEQQARACGGATSGVVEQGVGDGGGGQEAAAGMVFEASSSVGSVSATMAPSQILCWPPPPPAPPQQQLHHHHHHDVGGGGQAPFFPLLPPLPPQPPPPPPFFADFYARRALQFAYDHQHHSGGASTSSDPLGLGGLYMGHHGGSGMMMPPPFASSPFGDFGRMTAQEIMDAKALAASKSHSEAERRRRERINAHLARLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSEITEEACPLPTESDELTVDASSDEDGRLVVRASLCCDDRADLLPDLIRALKALRLRALKAEITTLGGRVKNVLVITGDDSAATGCEGAAADDDQQEEAPMSPQHTVASIQEALRAVMERTASPSAAEEPGSAPSGAGAAGLKRQRTTSLSAILENRSI >KQK91035 pep chromosome:Setaria_italica_v2.0:IX:47269367:47269859:1 gene:SETIT_040308mg transcript:KQK91035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEILHSSYRLLLISMPCHCWTLEARRASTIQTHI >KQK93004 pep chromosome:Setaria_italica_v2.0:IX:58272064:58272646:1 gene:SETIT_038274mg transcript:KQK93004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLILNQVTENNGRKKTAPPGFRETWMADHISVSTGGERTTKTVKQVDAPDYLCTSEISTHARWFTQKAAVAHLPQRSGTRMSTAI >KQK89657 pep chromosome:Setaria_italica_v2.0:IX:34560505:34563149:1 gene:SETIT_038583mg transcript:KQK89657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVVFGVLLFFLTGLIVRPCPAAADVYCDNLRQVAAALPRNTSSSPLHFATAIVGHAPDAVYALAFCRGDVLNDTACGECVASTFDRIFNVTGGQCYAWDGYYGDCHVFYSSDNIVVFPSNATEQNDETPFERWNIKNVTGSAGDVRLIAGLIHELVVETVEAAASAAPRRFATGAMDSGTTFPTIRHVTISGRMSKLWAIPIVAVPLAAAAFLYFIFYSPWLRKYRKGSQELQGEELVWDGKNSEFSVFDFEQVLEATNQFSEENKLGQGGFGAVYKGLFSDGQEIAVKRLASHSGQGFTEFKNEVQLIAKLQHMNLVRLLGCCSQEDEKILVYEYLPNKSLDFFIFDENKRALLDWSKLLAIIVGIAHGLLYLHKHSRLRVIHRDLKPSNILLDSEMNPKISDFGLAKIFSSTNTQANTTRRVVGTYGYMAPEYASEGIFSIKSDVFSFGVLVLEILSGKRNSGSHQCGDFINLIGYAWQLWEEGRWIDLVDASLTPTSHSTEMMRCTKLHCYVVAMLGSETTIIAEPKQPAYFNVRVGNEEALTATESCSINDVTISVTTPR >KQK88770 pep chromosome:Setaria_italica_v2.0:IX:17063068:17067358:-1 gene:SETIT_035708mg transcript:KQK88770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDQGKLFIGGISWETTEEKLSEHFSSYGEVTQAAVMRDKLTGRPRGFGFVVFADPAVVDRALQDPHTLDGRTVDVKRALSREEQQATKSANPTGGRNSGGGGGGDAGGARTKKIFVGGLPSTLTEEGFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKMVEVKRALPREANPGGGGGRSGGGGGYQSNNGHSTNSGGYDGRSDGRYGQAQQGGGGYPGYGAGGYGTGAAGYGYGANPGAGYGNYGAGAYGGVPATYGGPYGNPSAAGSGYQGGPPGSNRGPWGSQAPSAYGAGGYGGNAGYSAWNNSSGGGNAPTSQAPGGAAGYGSQGYGYGGYGGDASYASHGGYGAYGARSDGAGNPATGGASGYGAGYGSGSGNSGYASAWSDPSQGGGFGGSVNGGPEGQSNYGSGYGSVQPRVAQ >KQK88769 pep chromosome:Setaria_italica_v2.0:IX:17064395:17067406:-1 gene:SETIT_035708mg transcript:KQK88769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDQGKLFIGGISWETTEEKLSEHFSSYGEVTQAAVMRDKLTGRPRGFGFVVFADPAVVDRALQDPHTLDGRTVDVKRALSREEQQATKSANPTGGRNSGGGGGGDAGGARTKKIFVGGLPSTLTEEGFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKMVEVKRALPREANPGGGGGRSGGGGGYQSNNGHSTNSGGYDGRSDGRYGQAQQGGGGYPGYGAGGYGTGAAGYGYGANPGAGYGNYGAGAYGGVPATYGGPYGNPSAAGSGYQGGPPGSNRGPWGSQAPSAYGAGGYGGNAGYSAWNNSSGGGNAPTSQAPGGAAGYGSQGYGYGGYGGDASYASHGGYGAYGARSDGAGNPATGGASGYGAGYGSGSGNSGYASAWSDPSQGGGFGGSVNGGPEGQSNYGSGYGSVQPRVAQ >KQK88771 pep chromosome:Setaria_italica_v2.0:IX:17065828:17067323:-1 gene:SETIT_035708mg transcript:KQK88771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDQGKLFIGGISWETTEEKLSEHFSSYGEVTQAAVMRDKLTGRPRGFGFVVFADPAVVDRALQDPHTLDGRTVDVKRALSREEQQATKSANPTGGRNSGGGGGGDAGGARTKKIFVGGLPSTLTEEGFRQYFQTYGVVTDVVVMYDQNTQRPRGFGFITFDSEDAVDRVLHKTFHDLGGKMVEVKRALPREANPGGGGGRSGGGGGYQSNNGHSTNSGGYDGRSDGRYGQAQQGGGGYPGYGAGGYGTGAAGYGYGANPGAGYGNYGAGAYGGVPATYGGPYGNPSAAGSGYQGGPPGSNRGPWGSQAPSAYGAGGYGGNAGYSAWNNSSGGGNAPTSQAPGGAAGYGSQGYGYGGYGGDASYASHGGYGAYGARSDGAGNPATGGASGYGAGYGSGSGNSGYASAWSDPSQGGGFGGSVNGGPEGQSNYGSGYGSVQPRVAQ >KQK90213 pep chromosome:Setaria_italica_v2.0:IX:40648881:40649924:-1 gene:SETIT_037295mg transcript:KQK90213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGHELKLLGTWASPFALRVKLALGFKVLSYEDVEEDLRNKSDLLLSSNPVHEKVPVLIHNGKPICESQIIVQYIDEAFVGTGPSLLPADPYERAIARFWAAYVDDKLLASWLQSFRGKTEEEKAEGLKQTLAAVEHMEAAFKEFSKGKPFFGGDSVGYLDVTLGALVAWVHAGEKLYGFRLFDATRSPLLNAWVERFGALDAAKAVLPEADRLVDYAKMRQADAAAAASNN >KQK92322 pep chromosome:Setaria_italica_v2.0:IX:54910257:54913961:-1 gene:SETIT_036246mg transcript:KQK92322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARDRETAEALVRLAASLDGAVLGLGTAAVAVASWVKYLAVSGQLRLVASAATASIADLRSLLPGDGGEPRVAAVRGYVRPKPGGNILRVPWSGEHGVVTKHTQMCLFTEWRGIFGWTFDLHALLFRSWKEQIVTYFRSVPFVLASSEIGNPIGMVYIDVEKAAQPLPLTTVFHKLIPIETTPYTLFQTIIGNGYPIALLDEEKILPIGKELTAIGLCRANDEGSVEISSCPELPFFLSELTKDEMQAQLASRARILFWGSVVLGTLSVCLVGHAIYRGWKRIKLRREARQAQQLFEEGEDAIQEDDSSDEEVGDGQLCVVCLRKRRKAAFIPCGHLVCCCKCALRMERETEPLCPMCRQDIRYMMRIYDS >KQK88457 pep chromosome:Setaria_italica_v2.0:IX:14403574:14404824:1 gene:SETIT_039559mg transcript:KQK88457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSGTQDKCKACDKTVHFIDLLTADSIPYHKSCFRCSHCKGTLSMCSYSSMDGVLYCKTHFEQLFKATGTFSKNFPTAWHHLIAIYSFYFHSGPKANNEQSKVPNKLSSLFCGTQDKCAACNKTVYPLEKITLEGEPYHKTCFKCARGGCLLTTATYASHNGILYCQIHFWQVFKETGSYSNLLKPAPAKNAAGEPEAAKAEAAKEEASPEQVPEAPEDQEH >KQK90411 pep chromosome:Setaria_italica_v2.0:IX:42469824:42471330:-1 gene:SETIT_039652mg transcript:KQK90411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRDSAALVALVLAVTCSVAVAYDPLDPNGNITLKWDVLSWTPDGYVAMVTMSNYQQYRQIMAPGWTVGWSWAKKEVIWSIVGAQATEQGDCSKFKGGIPHCCKKTPSVVDLLPGVPYNQQIANCCKAGVVSAYGQDPAGSVSAFQVSVGLAGTTNKTVKLPKNFTLMGPGPGYTCGPAKIVPSTVYFTPDRRRKTQALMTWTVTCTYSQQLASRYPSCCVSFSSFYNDTIVPCDRCACGCGHSGGGAHGGRGGRSHGSHGGGGGGAECIAGDSKRALSAGVNTPRKDGAALLQCTPHMCPIRVHWHVKLNYKDYWRAKIAITNFNYRMNYTQWTLVAQHPNLDNVTEVFSFQYKPLLPYGAINDTGMFYGLKFYNDLLMEAGPFGNVQSEVLMRKDARTFTFSQGWAFPRKIYFNGDECKMPPPDSYPYLPNAAPAVAARSGMVAAAAAAFLLAMLLVA >KQK88038 pep chromosome:Setaria_italica_v2.0:IX:11059568:11067598:-1 gene:SETIT_034849mg transcript:KQK88038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRAWRASSNLLGFAASRAATAASAARPLHIRCCSAAAATTNQKPPPQDRRRRSASSSSSTSDRDSIRAIRLKKVEELRGKGFEPYAYKWDRTHTTKELQEEYTHLENGEVCEEAAVSIAGRIVARRAFGKLVFMTVRDDTGTIQLYCEKDSLTEDQFEQLKAFIDIGDILGASGSIKKTEKGELSVYMKNFEILTKSLLPLPDKYHGLTDVDKRYRQRYVDMIANPEVADVFRTRAKVVSEIRKTMESFGFIEVETPVLQGAAGGAEARPFITYHNSLQRDLYLRIATELHLKRMLVGGLERVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIVTRCAMATHGKLKVDYQGTEISLERPWRRETMHSLVKEATGIDFNNFGQDVESAKIAARGLPGIKVGSSESTSLQSCSSVGHVLNEVFETFVESTLVQPTFVLDYPVEISPLAKPHRSYAGLTERFELFICGREIGNAFSELTDPIDQRSRFENQIKQHNAKRAASAKVKSTEDQGDEDDYSYEVCLDEDFLTSLEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ >KQK88295 pep chromosome:Setaria_italica_v2.0:IX:12972120:12974937:-1 gene:SETIT_036439mg transcript:KQK88295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRVLPLPPVLVLPLLLALVASVHGYGGYGDGKLRVGFYKDSCPDAEAIVREIVAKAVHKDPTANAPLLRLHFHDCFVRGCEGSVLINSTKGNTAEKDAKPNHTLDAFDVIDDIKEALEKRCPGTVSCADILAIAARDAVSLATKVVAKGEWSKDGNLYEVETGRRDGSVSSAKEAVKNLPDSFDGIRKLIKRFASKNLSLKDLTVLSGAHAIGKSHCPSIAKRLRNFTENRDSDPTLDRAYADRLRRRCWRPKDKTTELEMVPGSSETFDTAYYGLVTKRRGLFHSDEALLRNDVTKGLVYRYRDSPETTFLRDFGVSMVNMGRVGVLTGSQGEIRKKCAFVN >KQK89122 pep chromosome:Setaria_italica_v2.0:IX:21064932:21067633:1 gene:SETIT_037277mg transcript:KQK89122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTMWIHNPRTAKMREIVADKDAFGDVRVIHSMCGFRASEYFLQNDIRVKPDLDALGVLGDVGWYCIRSILWAVDYELPETVIAQGHPVKNQAGVILACGATLYWADGKTATFNCSFLTNLAFDVTIYGTNGTLHVTDLVIPYEEKSAEFSLASKSTFVKPTIGWDPIPSKHIVTTDLPQEALMVKEFSRLVQNIRDAGGRPEGKWPAITRKTQVVLDAVKASIDKGSEPIEVAG >KQK90749 pep chromosome:Setaria_italica_v2.0:IX:45524601:45526088:1 gene:SETIT_039216mg transcript:KQK90749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDTPAAAAGAASASTAAACSGPGRFVVNLPPGYHFLPTDAELVLHYLRPRLTNHQLPLPTFFDERILHYHPDRLIEKYREYGEDRWFFFTKRERKHEGGSRPNRATPDNGHWNATGSPRRIRSGRALVGRVRTLVFYEAPRRKKTTHEEAGPPPVGKDKADKGVKTEWTMYEYESLASEEEFVATCADGNAKMDVIVLCTIQKKKQKTKHGEESKEKITRTKRKTREKSQDAEQGSCAGVKKARKKRMRAEEAQKEDITLSETEAPGQELAVEAYTGVASFTVDPNADTSHCFFSNTSSPVSTPPQETMMAAAARGDNNHISVHPSNAAMGNSASASRGTVHSTLDLPAAYVA >KQK92277 pep chromosome:Setaria_italica_v2.0:IX:54702201:54703599:1 gene:SETIT_036647mg transcript:KQK92277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILAELIPARVHRPLTVATLWPAAAADGRTTTAGRKRKASDVDESEAATDDEFEAEFRLFEEDEEPSPAASPEAVGGRRSRTAPSPAGTINQTTGGQLRASTSSARKTCSLFFLVFPCVTHARHAVSVSGAAVSTSPGPSSHKKYRGVRYRRSGRWAAEIRDPRQGRRAWLGTYCTAEEAARAYDREARRIRGKSARLNFPIPHEDLPRRRTPVAIDLNVAAVSDDLDTVDVDGDAGDIRHEEMGGEAVRRTIAWIKELITQGPQDERIVPELKMNGALRYAALIAECSRQMEEIAALRRDLETHERQLVRLVSNVLR >KQK92276 pep chromosome:Setaria_italica_v2.0:IX:54702134:54703599:1 gene:SETIT_036647mg transcript:KQK92276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAILAELIPARVHRPLTVATLWPAAAADGRTTTAGRKRKASDVDESEAATDDEFEAEFRLFEEDEEPSPAASPEAVGGRRSRTAPSPAVSGAAVSTSPGPSSHKKYRGVRYRRSGRWAAEIRDPRQGRRAWLGTYCTAEEAARAYDREARRIRGKSARLNFPIPHEDLPRRRTPVAIDLNVAAVSDDLDTVDVDGDAGDIRHEEMGGEAVRRTIAWIKELITQGPQDERIVPELKMNGALRYAALIAECSRQMEEIAALRRDLETHERQLVRLVSNVLR >KQK92454 pep chromosome:Setaria_italica_v2.0:IX:55588096:55589378:-1 gene:SETIT_040197mg transcript:KQK92454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPPKIKIFCWRLALNSIPTGSVLKSRNMVDTAECKLCGADEDTWDHALLYCTMSRCVWAQLDEEVTELIATLCIPDPMHWVSFMHWIPPGSGQCKINTVAKAAYKGAVGVICRDDQGGFIAASALVIPNIIEPETLESMACEEALPLAEDCGIKKMTVASDLSKHPLNVIKNIKEMSRCSYMMIIQSINGRSRSFDYVRFAHEGRESNREAHYLAKHACTLGPGHHVWLGYPRVFLDVNVAIPN >KQK86727 pep chromosome:Setaria_italica_v2.0:IX:3473719:3474226:-1 gene:SETIT_040481mg transcript:KQK86727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKVNEMRIVTLASLRTSCPPRPLASFACSTDVGNLQLCHLLWVVYRKK >KQK92722 pep chromosome:Setaria_italica_v2.0:IX:56939349:56942764:-1 gene:SETIT_034349mg transcript:KQK92722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKRLRRIGEGAATVPVTEEAVKKDIRALLNQIYDFYKAALDQLPTEEIPSLAPRLLSAGVCFGVLDPVSNIIANAISYSPPSPTPTNPDDDDDEEKAAQPHTRESVLSRIVSDTDDFLHLRLSAKTAERMTVARRSLEGLVSFLIFYFRYLAETEALRYLRLAGADLLAAVRLILLDRNSSIHPQRGKPGFSVISLTTKVALGCAAVSAKHPEPPTFLRASQLLAAQLDNASMILPVLHKGRPISSANLKRLSKLLKREPRNIELTDLCYWQPLQLAGSRYHDARKKTKRKKEKLPSGGCAGYVEMRKKRKVKQLPSGASSEYTKRGRRKRKAKEVSSGASAEYTESRKEVTATFRYTQTLKLLLLDKIHAHYLEALARLPGGVLRKCHHSSLLRAGYCYGPMDPVSNIILNTIWYAATFPTHCDFEVTMICTKTLRRIECCSLYGLVAFLRGLFNTLTEHEALWYLLVSNIDAASAVTMAEQHDHVMSGAYQEAYSNAALNSWHPDPDALLKLTMSLLLMAPVELSFLLNDCALSNSEVEQLGMALSSLFEVQVSPLDQEEILSVNQKRFISDIRKKFQDDQEFFASKVNAALSNYSKKNGGHFEIHIICGVNPNVSEGARSHINFWAIPKGSNVASTTPILFFAECSNDADEEEESMCFSLSSASIDSVRCFDCEYYGIKIVHPCDEVYHGNGEDFNIMAIGEHSISNELLISSCQFHVDMVHVLDEDWIYFDSKLDAKIAERNMMDDGAFKIWRWKGRIF >KQK92723 pep chromosome:Setaria_italica_v2.0:IX:56939884:56942646:-1 gene:SETIT_034349mg transcript:KQK92723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKRLRRIGEGAATVPVTEEAVKKDIRALLNQIYDFYKAALDQLPTEEIPSLAPRLLSAGVCFGVLDPVSNIIANAISYSPPSPTPTNPDDDDDEEKAAQPHTRESVLSRIVSDTDDFLHLRLSAKTAERMTVARRSLEGLVSFLIFYFRYLAETEALRYLRLAGADLLAAVRLILLDRNSSIHPQRGKPGFSVISLTTKVALGCAAVSAKHPEPPTFLRASQLLAAQLDNASMILPVLHKGRPISSANLKRLSKLLKREPRNIELTDLCYWQPLQLAGSRYHDARKKTKRKKEKLPSGGCAGYVEMRKKRKVKQLPSGASSEYTKRGRRKRKAKEVSSGASAEYTESRKEVTATFRYTQTLKLLLLDKIHAHYLEALARLPGGVLRKCHHSSLLRAGYCYGPMDPVSNIILNTIWYAATFPTHCDFEVTMICTKTLRRIECCSLYGLVAFLRGLFNTLTEHEALWYLLVSNIDAASAVTMAEQHDHVMSGAYQEAYSNAALNSWHPDPDALLKLTMSLLLMAPVELSFLLNDCALSNSEVEQLGMALSSLFEVQVSPLDQEEILSVNQKRFISDIRKKFQDDQEFFASKVNAALSNYSKKNGGHFEIHIICGVNPNVSEGARSHINFWAIPKGSNVASTTPILFFAECSNDADEEEESMCFSLSSASIDSGKCAALTVNTME >KQK91518 pep chromosome:Setaria_italica_v2.0:IX:50356288:50360521:-1 gene:SETIT_034777mg transcript:KQK91518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLAAASVFSPSRVAAPAAGALVRAGAVVSAGMRRRSGRSGGMRCRAVTPLASAVMSRGAVAAKAAEEEKRRFFEAAARGSGKSNLVPMWECIVSDHLTPVLAYRCLVPEDNVDAPSFLFESVEQGPQGTTNVGRYSMVGAHPVMEIVAKEHKVTIMDHEKGQVTEQVVDDPMQVPRNMMEGWHPQQIDELPESFTGGWVGFFSYDTVRYVEKKKLPFSGAPQDDRNLPDVHLGLYDDVLVFDNVEKKVYVIHWVNVDRHASIEAAYQDGRSRLDQLLSKVHNSNVPTLSPGFVKLHTRQFGTPLNKSTMTSDEYKNAVMQAKEHIMAGDIFQIVLSQRFERRTYANPFEVYRALRIVNPSPYMAYVQARGCVLVASSPEILTRVSKGKIINRPLAGTVRRGKTEKEDQMQEQQLLSDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVSGQLDDRLQSWDALRAALPVGTVSGAPKVKAMELIDELEVTRRGPYSGGLGGISFDGNMQIALALRTIVFSTAPSHNTMYSYKAADRRREWVAHLQAGAGIVADSSPDDEQRECENKAAALARAIDLAESAFVDKE >KQK88676 pep chromosome:Setaria_italica_v2.0:IX:16111870:16117224:1 gene:SETIT_036081mg transcript:KQK88676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRKFDVIGPHRLPSPLPWPSRDRAASSRRSRCSVPRPPTRKRGRHLPSSHGTRGARLLVAQQEEEVGAGGPPMSSGADGSAPGAALDPGPGPEPDREPQPPLPQAPAATLVPAPQQEMVALQTEAPAVTIVMSRPGEEVQAPAPQQEVVALQTEAPAPAVTIVISRPGEEVQVPDAKGVSPASSPQADGVKAMAASVSVTAAAAAKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASTSYWVWRVDSTYGRGRGGRERGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRNVSNMPRLENIPPTGYHPAVV >KQK88677 pep chromosome:Setaria_italica_v2.0:IX:16111870:16117224:1 gene:SETIT_036081mg transcript:KQK88677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRKFDVIGPHRLPSPLPWPSRDRAASSRRSRCSVPRPPTRKRGRHLPSSHGTRGARLLVAQQEEEVGAGGPPMSSGADGSAPGAALDPGPGPEPDREPQPPLPQAPAATLVPAPQQEMVALQTEAPAVTIVMSRPGEEVQAPAPQQEVVALQTEAPAPAVTIVISRPGEEVQVPDAKGVSPASSPQADGVKAMAASVSVTAAAAAKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRNVSNMPRLENIPPTGYHPAVV >KQK88675 pep chromosome:Setaria_italica_v2.0:IX:16111870:16117224:1 gene:SETIT_036081mg transcript:KQK88675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRKFDVIGPHRLPSPLPWPSRDRAASSRRSRCSVPRPPTRKRGRHLPSSHGTRGARLLVAQQEEEVGAGGPPMSSGADGSAPGAALDPGPGPEPDREPQPPLPQAPAATLVPAPQQEMVALQTEAPAVTIVMSRPGEEVQAPAPQQEVVALQTEAPAPAVTIVISRPGEEVQVPDAKGVSPASSPQADGVKAMAASVSVTAAAAAKEAELARSDSFDDYEQCRVCQQKTEEPLVDLGCRCRGDLAKAHRTCIDVWFRTRGSNKCEICQQVAVNIPPPETQASQTSYWVWRVDSTYGRGRGGRERGWFSPLWVAFAILIGGLLLDVLISVSLGVSALPVNIIIGVLIVLGLGTALRLALECCQEWGSRRNVSNMPRLENIPPTGYHPAVV >KQK86476 pep chromosome:Setaria_italica_v2.0:IX:2108688:2111450:-1 gene:SETIT_037993mg transcript:KQK86476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFKRRNGGRNKHGRGHVKYIRCSNCAKCCPKDKAIKRFLVRNIVEQAAVRDVQEACVHDGYVLPKLYAKVHHCVSCAIHAHIVRVRSRENRRNREPPQRFRRRDDGPRPGQGAPRPGGGAPPAAAPAAAPRT >KQK89529 pep chromosome:Setaria_italica_v2.0:IX:32514067:32515536:-1 gene:SETIT_038578mg transcript:KQK89529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPATLAPPLDNEDLLSLILIRLPPLPSSFSSASLVCKRWHRLVRGPDFLHRLRAFHRTPPVLGFFHNSPDSPRFVAIQGMSGIRIAAAVRDLRRDGAGGMWWFVDCRHGRALLRSRDWVDLLVWDPITDHRSLIIVPDQVRAGASDCNAAVLCPGATGCGCSPFSVVVVSTRGHCAFACVYSSLTRAWGELFSIPTPSLECELTEEPGTLVGDAMHWLLGESSILEFRLDDQRLALVERPLETFSVYKRNIRVLRSEGGVLGLAAVKNFSLHLWAREADHCGTAKWVLRRAIELCTLLELPLMQPRVGSIPVWISGLGEDGNVVFLRTTVGMYVLWTKTMQFKMVTNNVLMKTVYPYAKFYFLEGKSMNLVSMPMWQA >KQK90019 pep chromosome:Setaria_italica_v2.0:IX:38629064:38630871:-1 gene:SETIT_038876mg transcript:KQK90019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQTNSVSVVVKGYGTHQFKVIGYNQNIYNFPISSGTFNIGGYDWRLVYYPRVRSRNEFDNDDYIEVDLELLSKAEVRVMVDLFFFNQITNLPYVVACTKEPMRLTKKIVWAACDLMKLSELESSGYVRDDSIIIQCQVTVILLPGVPKTKSLCEIEVPPPEMAQQFGMLLEDMASADVTFEVGGKSFHAHRLVLAARSPVFKAQLFGSMRDTRMESLVIGEMDPAVFKALLHYIYNESLPNMDSADRANRHEMLCHLLEAADRYAIERLKIICERMLLMDLDVENVAMTLALAEQQHCKQLTHACLEFMEPPEKMEAVVATEGYNKLKREYPALLFKVWEWSVHRRKN >KQK92022 pep chromosome:Setaria_italica_v2.0:IX:53277316:53277867:1 gene:SETIT_037934mg transcript:KQK92022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQHQPHLRLKLKTQLDPSLPETSRKSMRTCSLLVAIAAAIAAAATTPVTATLHGGWGVIPDVEDAHVQEIGAWAVAEHVKRANDGLRFGKVVSGEEQVVSGANYRLDIVAVNLAGQNATYNAVVSEQIWTNTRKLLSFDRVK >KQK86500 pep chromosome:Setaria_italica_v2.0:IX:2283576:2287467:1 gene:SETIT_036151mg transcript:KQK86500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNTALPIRSLSLLFSIQASGYKGNTPGRSVAGAGARGLGPDSVLLLEPGNLLLLLLDVGFLGHLGGLVVEHDEVAVGDVEAGEVVDGVLGVVDVLVDDVGGAARVLGVAQPDLPDRAVLAEDVVHLLARDVERQLSRLSFISSAANPRRPPSTLLPPVAGWVSNLREASGAGPTSTAMASTGGAAISAGPTPPSATAAAVEWHQRPPNPKNPVVFFDVTIGSIPAGRIKMELFADIAPKTAENFRQFCTGEHRKNGLPQGYKGCQFHRVIKDFMIQGGDFLKNDGTGCTSIYGTKFDDENFIAKHTGPGLLSLANSGPNSNGSQFFITCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLACIISECGEM >KQK90746 pep chromosome:Setaria_italica_v2.0:IX:45502238:45503895:-1 gene:SETIT_035805mg transcript:KQK90746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPTHAAHNYLAAGYFRRGLPGEALGVVRRLAASTGRVDVFSLSMALKLSAALALPGVVAREVHARVVRSVVESDEILSAALVDAYVKSGLLGYARRVHGTMPVQSVVCSTALLVGCMNEGMYRDAEAIFEGMEEKDVVAYNAMVEGYSKSEEIVEGSLEVYKAMQRAGFRPTVSTFVSVLGACSLLSSPELGEQVHCQAIKSSLFSDIKMGSALVDMYAKCGRVEDGRRVFDHMAERNVVTWTSMIDGYGKNGLSEEALQVFGKMRKRADVKPNHATFLSVLSACAHAGLLSQGQEVFRSMESEYSLLPRMEHYACMVDLLGRFGSVRQAYDFVRGIPARPSSDVWAALLGAATLHGDVEVADAAAREVFELSRAGRPGAYMAFSNTLAAAGKWDGVRDVREMMKRRGVMKDAASSWIGSDNLPLVD >KQK92656 pep chromosome:Setaria_italica_v2.0:IX:56636338:56636974:-1 gene:SETIT_037956mg transcript:KQK92656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEGSISTLLRP >KQK92655 pep chromosome:Setaria_italica_v2.0:IX:56634762:56636974:-1 gene:SETIT_037956mg transcript:KQK92655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KQK91160 pep chromosome:Setaria_italica_v2.0:IX:48203670:48204279:-1 gene:SETIT_040747mg transcript:KQK91160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVEEAVGHSGFVCLPSSPSSTSGFFCRLVCWSLLR >KQK90271 pep chromosome:Setaria_italica_v2.0:IX:41156953:41158703:-1 gene:SETIT_035093mg transcript:KQK90271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQHKEATNTAASDGRLPDGVAPKKKTNVRFAFACAILASMTSILLGYDIGVMSGAALFIKEDLEISDVEVEILLGILNLYSLIGSFAAGRTSDWIGRRLTIILAAAIFFVGAFIMGFSVNYPMLMAGRFVAGIGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINFGILLGYVSNYAFSHLSLKLGWRLMLGIGAAPSVVLALMVLGMPESPRWLVMKGRLADARVVLDKTSDSPEEAALRLADIKAAAGIPADLDGDVDVSVTKRTGGEVRVWKELILSPAPGVRRVLLSALGIHFFQQSSGIDSVVLYSPRVFQSAGIADKNKLLGTTCAVGATKTLFILVATFTLDHFGRRPLLLTSTGGMVVSLVGLGLGLTVIGHHPEGTTIPWAVGVCIASILGVVAFFSIGLGPIAWVYSSEIFPLHLRALGCALGVGLNRVTSGVISMTFLSLSKGITIGGSFFLYAGIASLAWVFFFTYLKETRGRTLEQMGELFGIPNMAGDSYQQQQSPEKERNNVEMASTAATSDARNE >KQK88174 pep chromosome:Setaria_italica_v2.0:IX:12145433:12146255:1 gene:SETIT_038157mg transcript:KQK88174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILPYLLFHPSLSSSSLVARSSSSLRDALFLAALLCPPAALLRCLVSKLHLAADEELLGHAGKAGGMLAPAPSDEEPPVRASTAASEAWTPGCDGWQFQVRFLPT >KQK89993 pep chromosome:Setaria_italica_v2.0:IX:38406335:38406947:1 gene:SETIT_038844mg transcript:KQK89993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALSCSPPAATFVYPTGRGRRSSPRLCPMRVTLDLYLGTSDVDFTSRRIRSVRGGQGTLEQGGNGYAPTHFCCYLSAQFEPLAWCIAFMLHEFLCLLNNISTTSQLLCSLALLIYLLEMIFPPRNACHILFSCI >KQK92854 pep chromosome:Setaria_italica_v2.0:IX:57523381:57527466:-1 gene:SETIT_036727mg transcript:KQK92854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGGGDQGGGMDMAVGFGGGAECSSSSATAAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVAAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKHDLDSFLAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGSGATMSEDEDEPPMLEGPLDMGSDGHDVMGFGPLLPTDSERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSILKQWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHNNSQTSTLKSKRKR >KQK92853 pep chromosome:Setaria_italica_v2.0:IX:57524696:57527207:-1 gene:SETIT_036727mg transcript:KQK92853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGGGDQGGGMDMAVGFGGGAECSSSSATAAAAAAAAAAAAAAEAEERQLLKGEIAVHPLCEQLVAAHVGCLRVATPIDHLPLIDAQLAQSSGLLHSYAAHHRPFLSPHDKHDLDSFLAQYLMLLCSFREQLQQHVRVHAVEAVMACREIEQSLQDLTGATLEEGSGATMSEDEDEPPMLEGPLDMGSDGHDVMGFGPLLPTDSERSLMERVRQELKIELKQVKYIGKKGEKKAILRAEG >KQK92901 pep chromosome:Setaria_italica_v2.0:IX:57769512:57773707:-1 gene:SETIT_034541mg transcript:KQK92901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSLPPGFRFHPTDEELIIYYLKRKINGRQIELEIIPEVDLYKCEPWDLPEKSFLPSKDLEWYFFSPRDRKYPNGSRTNRATKSGYWKATGKDRKVNSHRRAVGMKKTLVYYRGRAPHGSRTDWVMHEYRLDERECETDTGLQDAYALCRVFKKTAPGPKIIEHYGAVHHPIEQPQWMANSVDRSPTLDLSSDVRGDDFESSSFSFPTEAPMDSMHGGFGMQMSTAHEDGKWMQFLSEDAFNATNPFFMNPGSSSFSCLPSKVDVALECARLQHRLSLPPLEVEDFPQDVSLDTKTNILRSNPNEVDILQEFLSVASASQELINGTSSSYPAEIWPGAGTSSASTHYINELSSLVELGVKAKEEADNFYNMGCIGTSAGFASKSVHVDEPVRLVEIADMEELKEEKKQVENLRGVRLHNNDLGEIVVEGDESGNPTECITQYPISETADNSGEAGHLTDPTDAGGLDTAPIFSQSQPDDFAIGFDDVNPNASFDLYEKVDVKHGLFVSRVGAAKTFFHRVEPSKKVSFHLNPLASDVSRAIEKFHFPISVTTKVSGRVSIFSKFKALIRDKFLVTKPSSYQRSLGSKETAAVSELLQIVSLLLTPKEVTGPTTTEQELVKKKAKKVMKPGSGCEGSDAWLVPLSKRSKGISSMFFSGKWAFLTSALAIRTPGCNH >KQK88632 pep chromosome:Setaria_italica_v2.0:IX:15668541:15670817:1 gene:SETIT_039741mg transcript:KQK88632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTHTQQPRSSPPAKEMEKMTTNVDAVQERVLEEILTSNADAEYLAKQCGLAGATDRATFRAKVPMVTYEDLRPYIRRIVYGDRSPILSGPGHPISELLASSGTSGGGEPKLIPTVEDELDRRALLHGLVMPVMSRHVPLLDEGSGFYFYFVKSETKTPGGLPARTVMTSFFRSDHFKKLPYDPRRKYTSPAAAVLCEDTSQGMYAQMLCGLCHRGSVRRIGTVFAYSLLLAIRFLQLNWRQLAADIEAVAGIPELARFIRAECSGDRWAGIIPRIWPNTKCLHAIATGSMRQYVPTLNFYSGGLPIVSTIYGASECYIGLNLRPMCDPSEVSYTIMPNMAYFEFLPLDDDDGGGDAAAPSQRSRQLVELARVEAGREYEPVVTTYAGLCRYRLGEVLLATGFHNAAPEFRFVRRAGVLLSLNSIDKTDEAELQRAVNCASALLLRPTHGHGHGAPLVDYTSRACAASVPGHYVIYWELMPGAAVDGETLGACCLEMEAALNAIYRQCRVADRSRSGLSGLSRATAGEEEQRAQHRQRRWLRRKGGSESAAAWALLPPRLRARPARRRREQRRQRSVVRE >KQK90471 pep chromosome:Setaria_italica_v2.0:IX:42933866:42942153:1 gene:SETIT_034364mg transcript:KQK90471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAFARAVDDGLKLTKRLIMPGGGGLPPPRPPHGMDRDDDPGAAQAAWLRRLLPASPMAYAVVVDPGAVDSPDVPSYQPHVYGRLDPPALIPLQMREVELRVDCAAAGCATAEVALRARWWVHCVTRSRACHCRIVVPMGHQGSILGAEVTVGKRSYNTHVIDTEDNSAVKISLPENGGLLKQEWFSLTIPEVGGGEDIFATIRWSQKLLYSNGQFSVEVPFCFPQFVNPLPKVFMKKEKIQLTINCGVSKEVILQGTSHPLKEKGRHGDKLSFLHEAAVENWSTKDFTFTYTVYSGDLSGGVLVQPSTLRDYDDRDMFCLFLLPGNNENRKVFRKAVVYLVDTSGSMQGKPLESVKNAMSTALSDLIQGDFFNIIAFNDELHSFSSCLEQVNDKTIESAIEWMNLNFVAQGGTDIMHPLSEAMTLLSNSHDALPQIYLVTDGSVDDERNICHTVKTQLMNRGSKSPRISTFGLGSYCNHYFLRMLASIGKGHYAAAFDTGSIEGRLVQWFQKASSTVVSNISIDAIKHIQDFEVDSEYIPDISAKYPLCVSGRYHGKLPETLIAKGHLADMSEISIELKVQHVKDIPLDKVLAKQQMDLLTAKAWLLENKELERKVVKLSIQNSLPSEYTRMVLLQTNLDKIDPAQQAKNKPTKQSSPDERSAMPLRGLTLGFGDVVATRENLTAGFGDIEVHERFEIFDKAVGCCSRMADCFCCMCFIKACSKMNDQCAIVMAQACAAIACLGCFECCSELCCGGAN >KQK89198 pep chromosome:Setaria_italica_v2.0:IX:21758935:21764154:-1 gene:SETIT_035121mg transcript:KQK89198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSREVLGAPPPTTLGANKNKMVYHYHRFIGHLPLLLAGAALVAAAPRLSAALPSPANKLLAHGLLRELAALGVSAPALAVACWAAAVAAWAYAVSRPRPVYLVELSGYVAGEHHEASRAKTIAHFGRCGRFSDESMAFQKRMLERSGLGERTHFPSSLVSVPVDMCLRTAREESHAVIFGVVEDVLRRAAVSAADVGVLIFNSSLLSTTPSFTSLIANRYGMRHDVVSHNLSGMGCSAGIIAIDLAKRLLQVHPDTYALVVSTENITLNAYMGNNRPMLVTNTLFRVGGAAILLSNRAADRRRGKYQLIHTVRTHRGAHDQSFGCVTQEEDDAGCVGVSLSKELMVVAGEALRTNITTLGPLVLPMSEQLRFLATVVLNRVFRARVRAYLPDFKLAFEHFCIHAGGRAVLDTIEKNLELSAWHMEPSRMTLCRFGNTSSSSLWYELAYSEAKGRIRKGDRVWQIAFGSGFKCNSAVWKALRTVEGGEEGNPWTPELDVLPIQVPKVSPIDETAYTFPDGVTYKVAAVAG >KQK91512 pep chromosome:Setaria_italica_v2.0:IX:50330406:50333354:1 gene:SETIT_034236mg transcript:KQK91512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSASAAASAGRGSRAEKVRRIFERFDANGDGGLDRDEMAALVVAVNPRVKFSDDQISAILDEVFRTYAEFILPDGRGLSLPGLLRTYDDGAGDVDRDFLALSLPAVDSDASSPEIAPGDAATPSSPPSGAAVAASLLDDHVKPLGAGGGAPPSVSSRAAAAAAPAWATSPNHGIAFDSSWGLLDDLEILVKRLRSKQQRKGSIDGSVGGGAGNSNFDSFSEAGWSREISGAVDSASSAAPWDETSRDYLTFVKELAVLRTRADASRSREEAFDNHMVIGRALSEHRLFRDALASFRRACELQPTDVRPHFRAGNCLYALGRHAEAKEEYLLALEAAEAGGSQSADILPQIHVNLGIAMEAEGMVLGACEHYREAAILCPSHARALKLLGSALFGVGEYRAAEKALEEAIFLKPDYADAHCDLGSALHAVGDDDRAIQEFQKAIDLKPGHVDALYNLGGLNMDAGRFVRAAEMYTRVLSIRPNHWRAQLNKAVALLGQGESEEAKKALKEAFKMTQRVEVYDAISHLKTLQKKKPKLSKGKDDGQGEQAYVVVEASKFKRVGRKTTLRQDLANALDIRAFERMAKLGHCDVELLRKEMNETDVPVSYSGTGIPEKSIRKAALEVILRRLLSFLKPDTFQGAIKAINERILSVLDAPGSGRVDLGMFFAIIAPICSGPVERRKRVVFDALLWRPASEGSNGQIRRSDALTYIKLLRAVYIPTHGASDMLEMHGESDPTMVSYTEFLEMFNDPDWGFGILSTLVKLEESDHIRHGHHTCSICRYPIIGSRFKETKHSFSLCNRCYSEGKVPSAFKLEEYRFKEYGNESEALIDKCMCFNLHSKKLETDA >KQK88513 pep chromosome:Setaria_italica_v2.0:IX:14746945:14747371:1 gene:SETIT_040580mg transcript:KQK88513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTCAKMSASPNRCMTCKELKRKFEMYLQS >KQK89502 pep chromosome:Setaria_italica_v2.0:IX:32026944:32030364:1 gene:SETIT_038768mg transcript:KQK89502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSAAFFLLVTLAVAASNATPSEASSASASEASVLHAFFASLPPASRRVLRPSWKATNVTTSRGRGGTPSHCAFLGVQCSAAGAVAAVNLSGAGLSGALAVSAPRLCALPALAELDLSRNRFTGPVPAALAACSRVAALHLGWNNLTGAVPPELLSSSRLRKIDLSYNSLTGDIAAAPSGSPVLEYVDLSVNALSGVIPPELTALPALSYLDLSCNNLSGPMPEFPARCRLVYLSLYTNQLAGELPQSLANCGNLTTFYLSYNGIGGKVPDFFASLQNLQVLYLDDNKFVGELPESIGELESLEELAVSNNGFTGTVPDSIGKCQSLTMLYLDGNNFTGSIPVFISNFSRLQMFSVAHNGISGRIPPEIGNCQELVELKLQNNSLSGTIPPEIGKLSQLQGLYLYKNNLSGEMPTEITQLRKLREISLFSNNFTGVLPQALGLNTTPGLAQVDLTGNRFHGKIPPGLCTGGQLSILDLGDNQFNGSFPIEIVECESLWRFILKNNQISGNIPANLGTNRGLSYMDISGNLLKGMIPGVIGSWHNLTMLDFSNNHFSGPIPHEFGALSKLETLRMSSNRLTGPIPRELGYCKDLLRLDLGNNLLSGSIPAEITTLGSLQNLLLGKNNLTETIPDSFTATQDLIELQLGENCLEGAIPISLGKLQYLSKALNISHNRLTRQIPSSLGKLQDLEVLDLSKNSLSGSIPSQLSNMVSLLVVNISFNELSGQLPGSWAKLAEKSPDGFLGNPQLCLESDCVHRFRNQPEKLQYRNRSIILALLMSTLAVMGGVLCVVYYIVKRSQRLSASRGSARSLDTTEELPEDLTYEDILRATDNWSEKYVIGRGRHGTVYRTECKLGKQWAVKTVDLSQYKFPIEMKILNTVKHRNIIRMDGYCIRGSVGLILCEYMPKGTLFDLLHQRKPQVALDWMIRHQIALGVAQGLSYLHHDCVPMIVHRDVKSSNILMDTELVPKLTDFGMGKIVHDEDANATVSAVIGTLGYIAPEHGYSTRLTEKSDVYSYGVVLLELLCRKMPVDPSFEDGVDIATWIRTKLKQADRCSIIDLMDEEIMYWPEDDQEKALDLLDLAVSCTQVACQSRPSMREVVNMLLKIEK >KQK86552 pep chromosome:Setaria_italica_v2.0:IX:2640798:2642937:1 gene:SETIT_038126mg transcript:KQK86552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRPGWVVWVARGSAAAWQRVACNPEMLPPDRVLALICCAPLHLLARVAAFLCVPFLPGPARAPLRPRRRSRAFLVLRPPELVPHPFTYSSNSSSSSSEDDDDDIHEHVG >KQK86554 pep chromosome:Setaria_italica_v2.0:IX:2640798:2642937:1 gene:SETIT_038126mg transcript:KQK86554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRPGWVVWVARGSAAAWQRVACNPEMLPPDRVLALICCAPLHLLARVAAFLCVPFLPGPARAPLRPRRRSRAFLVLRPPELVPHPFTYSSNSSSSSSEDDDDDIHEHVG >KQK86553 pep chromosome:Setaria_italica_v2.0:IX:2640798:2642937:1 gene:SETIT_038126mg transcript:KQK86553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVRPGWVVWVARGSAAAWQRVACNPEMLPPDRVLALICCAPLHLLARVAAFLCVPFLPGPARAPLRPRRRSRAFLVLRPPELVPHPFTYSSNSSSSSSEDDDDDIHEHVG >KQK88423 pep chromosome:Setaria_italica_v2.0:IX:14123927:14125336:-1 gene:SETIT_039309mg transcript:KQK88423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKFALAFKTKTIEFFAEEEDDEDADRFARSPLPGADGVLAGQRVVVLKPDPLNPNPSAGGVGRAASGQEAAVEAALATASSFQAAYLHLQAAHSPFLPEAAAAADAAAVSHLRRLSELKRIARGAPAETPGPDGGGALTAHLEAQVRENQALLRSFDAVVNRLQAALDAKDAAGAALRLDLEALDDANARLSARLDRALAPPPGGDAVGSMLSAGVFDSVLRDALRVAHRFARALAEVLRCAGWDLTAAAAAAYPGVSYSKGGHCRYALLSRVCLSMFDGFDSYEFGAAADNAELEGIELAIRRNESLQQFIEHSDADPIELMNSSPDCEFAQFCDRKYKQLIHPGIESSLFGNSDCGTLPVMSVAGPLYELFVAMASSIWTLHRLAWAYDPAVGIFQVSRGTEFSTVYMENIVRSKGFSGSKELGKTARPKVGFTVVPGFRLGGTVIQCRVYLDHGKREEGIIDSI >KQK89647 pep chromosome:Setaria_italica_v2.0:IX:34443983:34450180:1 gene:SETIT_035971mg transcript:KQK89647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQVTNNEVVQWYGALGFSPEVSGSIPFTTGDLARAAGKLGLWLSREHGCSTSIAFPPDWWPGLAFPTTIKFRPRRRKNQRIGSERETRRNRQRSQRSAVAGHDLTKGEPRTGLGWVGRGLCTGGAAMSSSWNSVGLEVLYQVLGWVAFFAWSFSFYPQVLLNYKRKSVVGLNFDFLVLNLTKHSSYLIYNVAMFFSPFIQRQYHDKYGDKEMIPVAANDVAFSLHAVALTSFTVFQVFIYERGIQKVSKVCISITAIVWTAAIVCLIIAWPKSDWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTMVNFYGNIGKTLLSLETVFFDVLFIIQHYVLYPAKKDENADDFPVLPIDVKHVAAQ >KQK89646 pep chromosome:Setaria_italica_v2.0:IX:34443983:34449481:1 gene:SETIT_035971mg transcript:KQK89646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQVTNNEVVQWYGALGFSPEVSGSIPFTTGDLARAAGKLGLWLSREHGCSTSIAFPPDWWPGLAFPTTIKFRPRRRKNQRIGSERETRRNRQRSQRSAVAGHDLTKGEPRTGLGWVGRGLCTGGAAMSSSWNSVGLEVLYQVLGWVAFFAWSFSFYPQVLLNYKRKSVVGLNFDFLVLNLTKHSSYLIYNVAMFFSPFIQRQYHDKYGDKEMIPVAANDVAFSLHAVALTSFTVFQVFIYERGIQKVSKVCISITAIVWTAAIVCLIIAWPKSDWLWLIDVFNSIQVGMTAIKYIPQAIMNFRRKSTIGWSIGNILLDLTGGVLNFGQMGVQSIDQHTMVNFYGNIGKTLLSLETVFFDVLFIIQHYVLYPAKKDENGKAIISERVAPLIRPSDKPEEDNV >KQK88582 pep chromosome:Setaria_italica_v2.0:IX:15252845:15253288:1 gene:SETIT_039375mg transcript:KQK88582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAKPLAAPLLAVVVLALLLQLASVSCARRHSPAVSAHTPAVMTVNGFERGGSGGGASACDGHFHSNGERIVALSSGWLRLDGTRRCNRMIRITSRGGRSVVAKVVDECDSSRGCDDNIVDSSAAVWKALGLDTDVGRVPVTWSDA >KQK90317 pep chromosome:Setaria_italica_v2.0:IX:41569535:41574991:1 gene:SETIT_035715mg transcript:KQK90317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSKRNKGGRGGGGGGGDDQLEGGSDADSVASMSTALSDLQVAQATEHVSSQEFVLDKYIDDLYEKRGSTREKALGALVDAFESFMLLGLVENKYVTLLSQFTNSIKKGSAKEVCLACRAIGLLAITLGAGSSSHEIMDESHPQLLRILQTWPDAPKMISALDCLAVVTFVSANDLAETQLSLKAIWDVIHPKSGSKVGIVRKPNPPLLAAAVSAWAFLLTTVGSSRRNSDSWKEPITFLSSLLEAEDRAVRIAAGEALALCFELKLLDVSSSEEADVDSDTRETSGSKNQLFLNMQALKAKISGLVCNLSMEAGGRGADKKNLNDQRDLFQRISDFIKSGECPEESLRISGKNGILRVTSWRESIQLNYLRRFLGRGFLKHAQDNDLLHDIFDIKIDQVKNMSTTKKKIFRSEEEKGRALKLNKERRLAQERKQNILNEQYG >KQK86909 pep chromosome:Setaria_italica_v2.0:IX:4437961:4440148:-1 gene:SETIT_036704mg transcript:KQK86909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTDLEPRKLFVGGLPRWGVTPERMRSHFARYGHVVDALVMLCPDGMGRGFGFVEFQDEVAALRALDVRERDKHDAFFGRRVDVKKAEKKQGIRYVPTQSTTYNHQNADSKKIFVGGLGDKITKDDLSSYFEKFGTITDAVVFYDKLTRKARGFGFVTFDSQEAADKVLEKSFHELKGIKVETKNAEPRGSMVRGAWSHRSPANSYDGMYSPYNIPFVTGPYFVPYPYYSPSGIINYGYMMNQIGTSNDTGMMAMLPFPARSAHHGRSYGSEVATLKLETGQQRIDISTSTSMKSDPLKPDSNLLVSAPRSTAD >KQK89533 pep chromosome:Setaria_italica_v2.0:IX:32563141:32566135:-1 gene:SETIT_036222mg transcript:KQK89533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPDDVLIDIFLLLPPHPSCLLRASLVCKHWHSLILDNRFLHRFQALHGLPVLGVFTNSTRIPRFLPVGDPPNRVAAASFSLPDIHWHVLGCRHSHILLIGSTWNQLLVWNPINGHERLISAPPDVDPRFNYGHVPESNAAVVCAANHADHGYCHSCPFFVIWVFTTTHYAYATRYSSESRSWEMMASSPIPSDVDFRPSILVRNILYWPLKSKYILAFELVTHRLYHIECPPETHDIYRRNVHMMKTEDGGLGLAAVTKFNLRLWAWDTDVKGVTGWVLRRIIELDKFLPLELSSLPSMDNRPCGRPPVRILGLVEDDDLVFIWTKTGVFTVQLKTMKHKKVFEADVSASVYPYTGFRIAGAVAHDGGNVAAE >KQK90958 pep chromosome:Setaria_italica_v2.0:IX:46821244:46822249:1 gene:SETIT_037740mg transcript:KQK90958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSLVLAALLTVVVAAGQVTRCAGLGASPNASVVISGAVPCSTGNNINVATAPAFPNATVQFMCYGKAMAGATADSSGNFVITIPGASRDQLTAIMSNQCNLVVTTPLAACDASLAGASGKLVSPMKFLGITTGSGGGGGDLGLGGIISVIIQILTGILSGILNLAPQAFSLIP >KQK87315 pep chromosome:Setaria_italica_v2.0:IX:6591031:6595101:-1 gene:SETIT_037208mg transcript:KQK87315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQPQEDKSDRPSGGGGAGAPREQERRPSKAWGILIFGLIGATTATFAITQVRRSVDWFYTQLNKMQTTSSWRYTSNNSSRGSFSEEAKKRYYQRMQQEYEEEQERVQRIRHMQSVFNRERNKFRRGYESWRENGPPGGYNYIPRDDWYWQTDTSHSEHKTRRTYTPAGPRVYPMSHHYAVLGLDRSRATPYTDAEVKTAFRTKAMEVHPDQNQDDREGAEEKFKEVVKSYEAIKLERKNGVN >KQK88052 pep chromosome:Setaria_italica_v2.0:IX:11139729:11151823:1 gene:SETIT_034168mg transcript:KQK88052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDAELRRACAAAVAASGARGEDVAFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSSRGEANKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFCILNMCKEILSYLPKVVGIDIVELALWAKENTLTIDNQVSTQDGHETSVATQTERKVTVTVENDLVSQAKEEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDIASIESRNNGLEMQSVNNKSLMEELDKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKKAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNGSGHNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNVNKSKSGGNDADDDLGLMDPDGNDLKPDSTSAELGTLNEALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFATLEKIAQSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYELACTRHISSLIYLQFERLFQFNRKVEELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDRSIGAMYRRLQKTLTSDELFPSLWDKCKEFLDKYESFVQMVTRIYVNEPIMSVAEMKEVLASF >KQK88051 pep chromosome:Setaria_italica_v2.0:IX:11139729:11151823:1 gene:SETIT_034168mg transcript:KQK88051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSADDAELRRACAAAVAASGARGEDVAFSIRVAKGRGIFEKLGRLAKPRVLALTVKQSSRGEANKAFLRVLKYSSGAVLEPAKLYKLKHLTKVEVISNDPSGCTFVLGFDNLRSQSVAPPQWTMRNIDDRNRLLFCILNMCKEILSYLPKVVGIDIVELALWAKENTLTIDNQVSTQDGHETSVATQTERKVTVTVENDLVSQAKEEEEDMEALLDTYVMGIGEADAFSERLKQELVALEAANVYQLLESEPLIEEVLQGLDAASATVDDMDEWLRIFNLKLRHMREDIASIESRNNGLEMQSVNNKSLMEELDKLLERLRIPQEFAASLTGGSFEESRMLKNVEACEWLTGAIRSLEVPNLDPCYVNMRAVREKKAELEKLKTTFVRRASEFLRNYFSSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKSCLGPLRKAYCHSLNLLLRREAREFANELRASTKAPKNPAVWLEGSNGSGHNGSSADTSTVSDAYSKMLTIFIPLLVDESSFFAHFMCFEVPALVPAGSPNVNKSKSGGNDADDDLGLMDPDGNDLKPDSTSAELGTLNEALQELLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRKLLDDLESRISVQFSRFIDEACHQIERNERNVRQTGILAYIPRFAVLASRMEQYIQGQSRDLIDKAYTKLVSTMFATLEKIAQSDPKTADIVLIENYAAFQNSLYDLANVVPTLAKFYHQASESYELACTRHISSLIYLQFERLFQFNRKVEELTYTIAAEEIPFQLGLSKTDLRRVLKSSLSGIDRSIGAMYRRLQKTLTSDELFPSLWDKCKKEFLDKYESFVQMVTRIYVNEPIMSVAEMKEVLASF >KQK92461 pep chromosome:Setaria_italica_v2.0:IX:55635665:55637934:-1 gene:SETIT_038993mg transcript:KQK92461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQAADAAGNSPSRRDQNSGAGCCLVRGPIIVGAGPSGLAVAAALSRHAVPFTVLERSDGIADLWTNRTYDRLRLHLPKVFCELPHVGFPADFPTYPTKHDFLRYLRSYAARFAVSPLFGRTVTRARFDAAASLWRVTAVSAEDGGRATEYVSPWLVVASGENAEVVVPKVKGRGRFAGEVLHSSAYRSGERFKGMRVLVVGCGNSGMEMCLDLCEHGAMPFMSVRSGVHVLPREMFGTSTFGIAMKLLKWLPIKLVDRFLLLVAKMVLGDTEKHGLRRPKLGPLEIKNITGKSPVLDVGAWSLIKSGNIKIVPEVESFTGGNGVRFVDGNEMDFDAVIFATGYRSNVPSWLKDSELFTEDGKAKAQQPASSWRGPNGLYCVGFSGRGLLGAGADALSAAADIAGRWQAVAAAAAAAGAKISSV >KQK86969 pep chromosome:Setaria_italica_v2.0:IX:4798276:4801902:1 gene:SETIT_035488mg transcript:KQK86969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVLANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >KQK93089 pep chromosome:Setaria_italica_v2.0:IX:58702171:58703009:1 gene:SETIT_038142mg transcript:KQK93089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSSHHPPHLHLVKQRADEGTQRGVGGGQHGSHRRASARCGLCRHSSELGRLDCTGSVSSEGGRRRSGLAGGLGESGSRGGGGGRLVCGVRLGGTKGTADREVSERG >KQK86272 pep chromosome:Setaria_italica_v2.0:IX:1042213:1045319:1 gene:SETIT_034229mg transcript:KQK86272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLRGKHGDLAILCCLALLLPLLSHGADMPLGSTLSPGNSAPWTSPNNTFSLSFTASPTSPSLFVAAITYAGGVPVWSAGAGAAVDSGGSLRLSSNGDLQLVNGSGAVLWSSNTGGRGVAAAAVQESGNLVLKNSTATLWQSFDHPTDTVVMSQNFTSGMNLTSGPYVFSVDKSSGNLTLKWTSGANTVTYFNKGYNTTFTGNKTLSSPTLTMQTNGIVSLTDGQLTAPVVVAYSSNYGESGDMMRFVRLDADGNFRAYSAARGSNAAAEQWSAVADQCQVFGYCGNMGVCSYNGTSPVCGCPSLNFQFSNPSNPRDGCKRKVDLQNCPGNSTMLQLDNTQFLTYPPEITTEQFFVGITACRLNCLSGGSCVASTALSDGSGLCFLKVSNFVSAYQSAALPSTSFVKVCFPGLPNPAPDAATSSSRGGSGVRAWVVAVVVLGAVSALVLCEWALWWWFCRHSPKYGPASAQYALLEYASGAPVQFSYRELQRSTKGFKEKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDAFLFGAAPGGKMPWPTRFAAAVGTARGITYLHEECRDCIVHCDIKPENILLDEHFNAKVSDFGLAKLVNPKDHRHRTLTSVRGTRGYLAPEWLANLPITAKSDVYSYGMVLLEIVSGHRNFDVSEETGRKKFSVWAYEEYEKGKIFDIIDKKLPGEDIDMAQVERALQVSFWCIQEQPAQRPSMGKVVQMLEGIMDLERPPPPKSSDSFLSTTTGSTGIGSGVSTSIVSSTVASSAPIAPTTSPNLEQEMALARSTSATNRERVSRQLLSPQPYMTM >KQK87947 pep chromosome:Setaria_italica_v2.0:IX:10341698:10345370:-1 gene:SETIT_036755mg transcript:KQK87947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIQRLSSFIAITVFASLLHPCVSIEFRHELSSWTTGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSLIAAGSPFIYDSGNGCGSCYQVVCSGNQACSGYPVTVVITDQGPGGGPCLSQASDGMCLNEGAHFDMSGTAFGAMAKPGMADQLRAAGILQIQYTRVQCEWPGVDVTFSVDTGSNPNYLAVLIEYEDTESDLSGVDIMQSSTGQWVPMQHSWGAVWRLNSGSALQGPFHIRLTFSSGRVLIASNAIPAGWNAGVSYRSGGVAVTRARPRSAGCRGYKAAGAFGDLVYHLLLLFVMLAL >KQK87946 pep chromosome:Setaria_italica_v2.0:IX:10341698:10342928:-1 gene:SETIT_036755mg transcript:KQK87946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIQRLSSFIAITVFASLLHPCVSIEFRHELSSWTTGIATWYGDPNGAGSEGGACGYQYAVDQPPFSSLIAAGSPFIYDSGNGCGSCYQVVCSGNQACSGYPVTVVITDQGPGGGPCLSQASDGMCLNEGAHFDMSGTAFGAMAKPGMADQLRAAGILQIQYTRVQCEWPGVDVTFSVDTGSNPNYLAVLIEYEDTESDLSGVDIMQSSTGQWVPMQHSWGAVWRLNSGSALQGPFHIRLTFSSGRVLIASNAIPAGWNAGVSYRSGGVAVTRARPRSAGCRGYKAAGAFGDLVYHLLLLFVMLAL >KQK89421 pep chromosome:Setaria_italica_v2.0:IX:28514496:28524570:-1 gene:SETIT_034475mg transcript:KQK89421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASATDDGTSPAPNVTEGETPAAADVGAAVEEGEKMNVGVTAAVEENGEVEPEEDPDEQAEDEEEEEVDAGEVEAAYGMEAALMEPLVVLKPSEEDAPEELEGEKEKLDEEPEEVEEGKEECNGVEEKGLVDGSVEAVSDMNEVSKQEHGKCGNTNKDKVVDQSSNVSDSGRSKSDDAQNYELAGGLEIFVDGLPKDCVEEDIAMVFSQCGEVKSVRIIKNSSTEKSKDIAFVCYASIEAAKKALVEFKEGIEVKGEKVRVSACQDNSTLYLGNICKGWTKDQVLTTLKSIGIQECKITFPTYKGGCRGFAFLKFASHYYARAAFRRLMKPDAIFGTDRSAKVSFYQTTIKPSENVIEAKKVYLEYVPLSWDEDKVKECCQQYGKILKVDLFQISKNMESETFSFVEFSSSKSALACVEGINNTKIVDGGFKLSACLARPKSGLKVNSSAASEGATTSKKEKGHTGKAVIDTGSPHKLPKGNKNNLTSRTKEVLGKMNSPSKLSNDYDLKLTTQGAAEVLQTSKPSEGNRDVGKNRNASVNQKPSKKARNNCNADESQQTYQGAAEQISNSSKRKRKSRRNKNIYINERPLKKAHNNSNVDRSSRSKAYASDLEPHAGFIPPTSRVHSNHAYDQRRTAEYDIHPIDRHPYARETAASRSAYSGYTSHAGYEAGYTYVYPPPPPPSGSYYRGNGSYIPRRGDY >KQK89426 pep chromosome:Setaria_italica_v2.0:IX:29025915:29028848:1 gene:SETIT_039384mg transcript:KQK89426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPHGGHPPPIVARNPSDLQMIDLNTLPVQVGANEEVYHEDEDVVCSQPIVPKVGMEFDTIQEARQVYNEYAMKLGFSMRVASSRNSNVTKELIRKEWECSHARKSTLDREDEGEENTSTSTSTNDTATLVGSKKRAATAVLTTATRKRNTIKNLDCKAHMAIGLRNARWRVIVMQPDHTHPMVKAIRVRKHLRSHRSISWTDYELLKTLHHRNISTTQIMGVLADFHGGLGNLTFSSKDVSNMRTHLRGGLTYRDMDATLEYFQKQQAESPSFYYATMIDDNNVVRGLFWVDGRTRELYKSFENCIFFDTTYCTNRYDMPFAPIVGINNHLHSILLGCAMLPDETMETFVWVLERLKGAMGGCEPTNIMTDQDKAMKAAIAIVFPNLISEEEDFAKEFDYCVNRTETPEEFEMLWASIKDKYHLHENEFFQSTTGRSKSMNSLFKKVVHPQDSMLQFITQYDYIMDTRAERENKERCKGEISDPPLWGRYALEKQAVAFYTSEVFGKFQELLRDSTRYKVGAIESDDQGWSIQIVHPNSTRVRMVTIDKDATSYTCSCNMFDRDGLLCPHILKVFTNRDVKKILEKYLLRRWSKEVTTMIPERLLGTEPAFGVPTANKLRYNALCRKMMSLAVEACLGPEKYIVASAGIDTLVQAVRIARGSQEMQQDDASNVATGQQSKTRAVMVKNPTRTKSKGRPKEKVERFKSIVAQAKEKAMKKKAKGKKTAQKIPPCSYCFEDGHSVQTCAYMAKAEALAKDLKETEQKL >KQK89583 pep chromosome:Setaria_italica_v2.0:IX:33422991:33425793:1 gene:SETIT_039940mg transcript:KQK89583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELLPWLAWLLALLLAICLLDLLAHPRRGLPPGPYPLPLMGRVHLLGDQPHRSLARLSKIYGPLMSLRLGAVTVVAVSSPEVAREFLQKRDAVFANAARWMRSQHLRREKVEELVDHVGWLARDDVPVDVGGVAFTTALNLISCTVFSRDMTSLDEHGEPNEFREVVLQIMEAAGCPNLSDFFPAFAGAHLQGCRRRAAKVFARLHRVFDAEIYQRQHGREAGEPRRNDFLDLLLDAGESDNNGTAAMLDHDTLRTMLTDIFSAGSDTSSSTVEWVMTELLRNPESMTKVCNELAAVIGSGRIVEESEIGRLPYLQAVIKATFRLHPPAPLLLPRQVEMTTKRMGYTIPKGARVMINAWAMGRDANVWSEPEKFMPGRFLAREVDFKGGDFELIPFGAGCRICPGMPLAIRMVPLVLGSLLNKFKWKLPIDVETNGVDTAEKLGSLTLVKAVPLCAFTTPV >KQK90457 pep chromosome:Setaria_italica_v2.0:IX:42798332:42800366:1 gene:SETIT_038043mg transcript:KQK90457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGETTLSGFGGGAGAAGAGVDTKVLHAFQTSFVQVQSLLDQNRVLINEINQNHESKVPGDLSRNVGLIRELNNNIRRVVDLYADLSSLFAASDGGRAASEGGSVGTVRQAGAGHKRIRSGLD >KQK87292 pep chromosome:Setaria_italica_v2.0:IX:6458762:6459223:-1 gene:SETIT_039734mg transcript:KQK87292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVVSAATASRGMRVLAVLGRCARAPFRVLVRARDLYVSRMAACAGGGGRGGGPVGLVAMPRCQSHGFYRSAAGADDDVRELIRAASRAGPPRAPGGVGPRSQSVAIGRIDEDRACEFGLEDGERAQAMGPRSKSCAVGPSARTARRVGVAA >KQK92577 pep chromosome:Setaria_italica_v2.0:IX:56198637:56200474:1 gene:SETIT_037618mg transcript:KQK92577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAWQGALAAAFLLLCAAAGVALGAKRVPIPDDLRDVVDDEEDDEWRNWGASHSRGPNRGDGPPPDLSRMDPAALQAELLRGQTGPSFGFVKLRPGTPRSREDVVGIATRWSNVLRTGSVEAKFVAVDFGTLMFTMERGHDILELKEFILSQAEAYEFKIGDQIFRRPGDPPLDQVLEKLKKQKSHKSKDEL >KQK86108 pep chromosome:Setaria_italica_v2.0:IX:307429:308822:-1 gene:SETIT_037659mg transcript:KQK86108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAMGRLLGLSYRLTKQLQARILMLGLDAAGKTTILYRLKTGELATSTTVATVAFNIETIEYKSISFTFWDVGGSAPNRPLWKYYFQDTQGIVFVVDSSDRDRVRLARDELNALLNEEELRDAALLVFANKQDLPNAMTAAEMAEELGLHRSLGTRRRWHIQSARATSGEGLYEGLDWLCTNVNTKA >KQK92223 pep chromosome:Setaria_italica_v2.0:IX:54464798:54473581:-1 gene:SETIT_034394mg transcript:KQK92223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAEKLRWPFSDGSVTDLLDARSLHGSPDIRKRVQFHSSLVQKLALEKEMEGHIGCVNAIAWNSNGSLLISGSDDTRINIWSYNNRELLHDIDTGHSANIFCTKFVPETCDEVVVSGAGDAEVRVFNMSRLSGRRPREISMEPAAVYQCHSRRVKKLAVEIGNPNVVWSASEDGTLRQHDFRECSSCPRAGSANQECRNVLLDLRCGARKSLVDLPKHPLALKSCDISSVRPHEILIGGSDAFARLYDRRMLPPLSSCQTKRKPPPCIKMFCPLHLADNKKTHLHLTHVAFSPNGKEVLLSYSGEHVYLFDADPDSTSSVRYTADYVREQLFLPPFHKVAAKKLAKQRKDSVNATSRKLLRVDMCRKFMQVATRSLVTGKNLMCGIDACGEVLESVGPDIDDDMRHDCLCTRAGLYLKRKWKNDVYMAIRDCNSARNIYPTSYQAHLYMSEALLQLGRLKEASEYAEAVNSLLPPHSELRKQVDDIKQRVASAELEKNRTDQNGNAKADTHGKLRSLSDILFRSDVSGSSSQEGREDSDYDDEMELDYETSVSGDESRENDQGVFRGSLSFRFHQREDQTNEQAGENGSTESTHNDDSALQSDVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPFDCAVATSGIDNTIKLWTPDANATSMVAGPELDVLSAIENNQRKLCRNRQILLPFEFLERFRMHEFAEGFECAQS >KQK92222 pep chromosome:Setaria_italica_v2.0:IX:54464187:54473587:-1 gene:SETIT_034394mg transcript:KQK92222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAEKLRWPFSDGSVTDLLDARSLHGSPDIRKRVQFHSSLVQKLALEKEMEGHIGCVNAIAWNSNGSLLISGSDDTRINIWSYNNRELLHDIDTGHSANIFCTKFVPETCDEVVVSGAGDAEVRVFNMSRLSGRRPREISMEPAAVYQCHSRRVKKLAVEIGNPNVVWSASEDGTLRQHDFRECSSCPRAGSANQECRNVLLDLRCGARKSLVDLPKHPLALKSCDISSVRPHEILIGGSDAFARLYDRRMLPPLSSCQTKRKPPPCIKMFCPLHLADNKKTHLHLTHVAFSPNGKEVLLSYSGEHVYLFDADPDSTSSVRYTADYVREQLFLPPFHKVAAKKLAKQRKDSVNATSRKLLRVDMCRKFMQVATRSLVTGKNLMCGIDACGEVLESVGPDIDDDMRHDCLCTRAGLYLKRKWKNDVYMAIRDCNSARNIYPTSYQAHLYMSEALLQLGRLKEASEYAEAVNSLLPPHSELRKQVDDIKQRVASAELEKNRTDQNGNAKADTHGKLRSLSDILFRSDVSGSSSQEGREDSDYDDEMELDYETSVSGDESRENDQGVFRGSLSFRFHQREDQTNEQAGENGSTESTHNDDSALQSDVAIDMKQRYVAHCNVGTDIKQASFLGEQGEFIASGSDDGRWFIWEKRTGRLIKMLAGDGAVVNCIQSHPFDCAVATSGIDNTIKDQNLMC >KQK89539 pep chromosome:Setaria_italica_v2.0:IX:32651773:32660590:1 gene:SETIT_038673mg transcript:KQK89539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFFQRWWEEKSSNIQTIVRKLVDLGQLEFINGGWCMHDEAAVHYIDMIDQTTLGHRMIKKQFNKTPRAGWQIDPFGHSAVQAYLLGAELGFDSVHFGRIDYQDREKRKADKGLEVLWRGSRTFGSTSQIFANVFPVNYSPPNGFGFEVSDENIMPVQDDLMLFDYNVEERVNDFVAAAIAQANVTRTNHIMWTMGDDFSYQYAESWFRNMDKLIYHVNKDGRVHALYSTPSIYTDAKHTSNESWPVKYDDYFPYADAKNTYWTGYYASRPTFKRYVRVLSGYYLAARQIEFLVGRTSLGLFTTSLEDAMGISQHHDAVSGTAKQHTTDDYSKRLSLGAYKVEKGVSIALTCLTSSNGTCPSSVAKFSQCPLLNISYCPSTEETISAVKSLVVVAYNPLGWERNDFIRIPVNDENLVVKSSDGTIVESQLVEVDNTTRNLRKFYLEAYLGTTTDKPPKYWLVFQAFVPPMGWNSYYVSRSTGYNRTGYVSTMVSPRNDMVEVGPGPLKVSFSSESGQLKTIFNSVSGVDLPIQQSFLWYRSNEGDTVDSQASGAYIFRPNGTTPTVVSSSVLLKIINGPLVDEVHQQFSSWIYQVTRLYKNKEHAEVEYTIGPIPIDDDAGKEVITRLTSSMITNSTFYTDSNGRDFLKRVRNYREDWDLEMTEPVAGNYYPVNLGVYVADGKYELSVLVDRAVGASSIHDGQLEIMLHRHTLRDDAKGVDEPLGEVVCLDGSCKGLTARGTYYVKVDKLGHGAHWRRTYGQQVYSPYLLAFTHEDEISWKSYNVAKESMMDANYSLPDNVAIVTLQNLDDGTTLLRLAHLFQAAEDPQYSVIAKVELRKVFGKRTIKELTETNLSANQKKSDMKKLDWRVLGDTESGHTPLKGGLVDNKALVVELGPMEIRTFLLKF >KQK91703 pep chromosome:Setaria_italica_v2.0:IX:51351901:51353412:1 gene:SETIT_037138mg transcript:KQK91703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASLPQAAALFAALLAVSFGAAAWKAEAAAPPPVVVGSIKCLDCFPNDINAEDAFKGLQVAIKCKSAADENYDYETKAVGPLDDVGVFRIPLAAELLRDDGNLDRDCYAQLHSAPDTPCVGQAPPRIAPTQDGTAAATSAIYLAAAADTVFSPVACACGKKKKKHFMFGPPPPPPRPTPTPTPNPPTPTYGPPTPTPTPVPEPRPPAPEEPEPFFKKKPKMKFMHKKKPCPPLVDDDTTRPAAAGQEKLPKKLN >KQK91937 pep chromosome:Setaria_italica_v2.0:IX:52804521:52806159:-1 gene:SETIT_038947mg transcript:KQK91937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARRAAKGQHAAATPRIINLNLARRSGGGGRPSRGAGRAQPRPAPPPRPVNLGALFEMERRVRGLESAPASPPPPTRSQEEDDDGEQEEKWRFQAEILRAECNFLRMEREVALRKLDRHRGQMEAALKSAVETLVSGRKKIDGKGDVGVAAALDEGIEDLEEMMEELRVEKESGRRAMSGGTRELQRSHGRNFDRQASTLRRRLEKMPPADTEPCVKDIREIALPIAAPPPPPPASHSDDDDRVHSANTSDVEMLRMKMEGMSKGMRERMAEYSRRLEAVAASGENAGCQSRKCGSRHSRKASASSQRSWSGGSTASNGNAPSILDAAAAPHGRSRHAAAAESRHQHQHKVGLVSSGGAGCRSSLWRGDHSHRRALHWVRREM >KQK86648 pep chromosome:Setaria_italica_v2.0:IX:3115824:3116273:-1 gene:SETIT_040421mg transcript:KQK86648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLVPCFIMLDVAAPEHCVFSERSSVSIDRKVPHAH >KQK90035 pep chromosome:Setaria_italica_v2.0:IX:38767476:38767631:-1 gene:SETIT_038631mg transcript:KQK90035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFRSDLGKPEGSLALAACWNWKSNGFCYRMVRGQGASSTLLAQAYPNH >KQK92167 pep chromosome:Setaria_italica_v2.0:IX:54108110:54110297:-1 gene:SETIT_039205mg transcript:KQK92167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGAASSNPAADLSPPPRGAARRSLLVATAAAPSCSDLVAAAPPAAGARSSAAAHVPVPAASARASGAAAPHMFQAPPQEEVRARLLRGSTEQYLVMSPVSSAHVVGLLAEGDATVRRRVIACVRPIVHRVMTNRYPVFLALLHACNGRVNELKDIIGAMCNGKGFLMQFDGKEDHNPKVLRFTYSVVETKRKIKALKELIRVVAWNRPLCKTLVHGLLRKERLMVEREGLAVLRHCFTMLPYEDCSMIFKDTLGAIDEILSSQFGWRCVAVCLENARNGELKDLEATILTRTSAFAKGRWSSYFLQHVLRYGSEQFKARIVERVAKDIVELSVDKFGCYVVEDCFLSTGSLAGLQRVLDAFLDLHDDDLAVAVKGWYSNYAIQKLLAAGKDHLPVRATELARRIEALPEVVRKHEFAKLVMEIINKLFPAQPIQ >KQK89892 pep chromosome:Setaria_italica_v2.0:IX:36817170:36817560:-1 gene:SETIT_039160mg transcript:KQK89892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDENLDYRFILESTKKLFGDIYPDEEFLPRSSAPVHGDDDSDSAE >KQK90398 pep chromosome:Setaria_italica_v2.0:IX:42353875:42355175:-1 gene:SETIT_037311mg transcript:KQK90398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLTYVIPNEWYCAGCQEYANGCPNPSQGGQTELQKPWHGCDKMKERETANMHLSHNNVAHQINPRSSNKFGNAKVKFISSEEVALLNRGRPPYVRSRFAVCPSKGHPSSPPNTKHSPNLKCISPSRSDTQVQALKRCAAASHDQTKIEDRSYFAKCQRKIHPASPPSVKQPSSMKSISPSRSDMQFQTLKQCAAASRDPAKIEGRPDFAMRRSQVHPASPPHVTLSASGM >KQK92733 pep chromosome:Setaria_italica_v2.0:IX:56988452:56992317:-1 gene:SETIT_035024mg transcript:KQK92733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPEVDPRSGYCAATRSFRSKRAPVPLPADRDLDVVAFLASRRHAGTVALVDAATGRRVTFPELWRAVASAATALAAPPLSLRKGHVALILSPNSVHFPVAALAAMSLGAVITTANPLNTPAEIAKQVADARPVVAFTTRDLLPKLPAAGDGLRVVLLEPERLPSDPAAVVATIEEISATPPDPARRRDRVTQDDPATLLYSSGTTGPSKGVVATHRSLISMVQIIMTRFRLEGADRTEAFLCTVPMFHVYGLVAFATGLLGCGATIVVLSKYELPEMLRAINEYGVTYLPLVPPILVAMVAHPKPLPLGQLRKVLSGGAPLSKELIEGFREKYPQVEILQGYGLTESTAIGASTDSAEESRRYGTAGLLSPNTEAKIVDPETGEALPVNRTGELWIRGPYVMKGYFKNPEATQSTVTPDGWLKTGDLCYIDEDGYLFVVDRLKELIKYKGYQVPPAELEALLLTHPEIADVAVIPFPDREVGQFPMAYVVRKKGSKLSEREIMEFVAKQVAPYKKVRKVAFVAEIPKNASGKILRKDLIKLATSKL >KQK90526 pep chromosome:Setaria_italica_v2.0:IX:43411191:43412960:-1 gene:SETIT_035326mg transcript:KQK90526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCAALRALAVVLWVAAAYPVLFRARPVQALAANWGTRALHPLPGDITVRLLRDNGFDKVKLFEADQPALRALGHSGIQVMLGLPNDLLAGVAASVNAAEQWVLQNVSAYVSRYGVDIRYIAVGNEPFLKSYKGKFEAATLPAVQNVQAALVKAGLARQVRVTVPLNADVYESLDGRPSSGDFRPDISGLMVSLVRFLLDNGGILAINIYPFLSMDADPNFPVDYAFFPSPGAPPSQASVQDGNVLYTNVFDANYDTLIAALEKHGLGSIPVVVGEIGWPTDGDKNANVAAAQRFNQGLFDRIIAGKGTPRRPQMPDVYVFALLDEDNKSVDPGNFERHWGVFNYDGTPKYRLNLAGGRPLVPAKGVRYLSKQWCVLRPDASPTDPSIAGAVQYACQYSDCTSLGGGSSCGNLDARANVSYAFNQFFQAANQQKAACNFNNLSTIVTTDPSQGTCRFEIMIDTGRHELTGKSAAGRVGSSWWSAVPLVLGFVSLVVAW >KQK86734 pep chromosome:Setaria_italica_v2.0:IX:3485711:3489342:-1 gene:SETIT_036332mg transcript:KQK86734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLDKVTVHDQVAQNLRKLVSEQDCPHLLFYGPSGSGKKTLILALIKQMFGAGAEKVKMENKTWKIDTGTRTLEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEDQIVQVLEIIGKKENLHLPAGFAARIAAQSNRNLRRAILFFETCKVQQYPFASNQVAPPLDWEQYVSEIATDILTEQSPKRLYAVRQKFYELLVNCIPPESILKKLLTELLKKLDADLKHEICHWAAHYALCMQEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVATFG >KQK86733 pep chromosome:Setaria_italica_v2.0:IX:3485469:3489516:-1 gene:SETIT_036332mg transcript:KQK86733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVDKYRPKTLDKVTVHDQVAQNLRKLVSEQDCPHLLFYGPSGSGKKTLILALIKQMFGAGAEKVKMENKTWKIDTGTRTLEIELAMLSSAHHVEMNPSDAGFQDRYVVQEVIKEMAKNRPIDAKGKRAFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAVRSRCLNVRVNAPSEDQIVQVLEIIGKKENLHLPAGFAARIAAQSNRNLRRAILFFETCKVQQYPFASNQVAPPLDWEQYVSEIATDILTEQSPKRLYAVRQKFYELLVNCIPPESILKKLLTELLKKLDADLKHEICHWAAHYEHKMRLGSKAIFHLEAFVAKFMSIYKEFLVATFG >KQK87772 pep chromosome:Setaria_italica_v2.0:IX:9248387:9250604:-1 gene:SETIT_036185mg transcript:KQK87772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSSQFMQWALSTLQHEQPPPSTPAPAAAYDNNGCDTVSQFPVLGYSVSVDSLVPGEPPAREGQRATNSWSSVDTDSGSGSGGAGACVAAWSPTAMCATAAPSSCSSGTNNRMSWDFNSASAAAQLIIEPQQPNSAAAMAEGGGGGVPQMTQRGSLSPPTRRASAKISASSSSAPYSQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRYVRELQEKLKGLQEDGGGGRGRGMESAVLVKRPCIAAPDGDDDGGARSQHAAAAGPAATGNALPEIEARISDGNVVMVRVHCRDAKGVLVRLLAEVEELHLAITHTNVVQFSASVLIINIIAKVEQGFNTTADDIVGRLNAALHQCVRNSAEQARSCC >KQK91308 pep chromosome:Setaria_italica_v2.0:IX:49135271:49136407:-1 gene:SETIT_037145mg transcript:KQK91308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSLSSSPPRVTAKLPGVPRSSSSYTQLSFGSRHHFQKAAAASAFHKLLLLSSPARNKHARTTCRATDNDQAAPAAAQEAATPSPAPPVPSAEKAPVTPGNGQPQQPVANANGSAPGEPPKRVPLTARERLRAARVLGKYAEPSAAKGASSTPSKSGKPEFGSGVLDALREADAKKGGAGGGRRGSRLPEAPGNLFDDSKRGMPKEGWTFELPFGVDVFLVLVSFTLITTIMFGTAFLVWKLGAIHFNEY >KQK87807 pep chromosome:Setaria_italica_v2.0:IX:9467492:9467920:-1 gene:SETIT_040034mg transcript:KQK87807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSNDKYYVIKPPAAGITELYLGKSEKGIYCASFQGRCRLQVWILNESCSQMEWVLKHDRDLLPLLMKNKLKEPLRGPWILQDINNNYKKDVKKKAILRKKFVWSSDASDDDETEYSVANEPKFTFFELK >KQK89020 pep chromosome:Setaria_italica_v2.0:IX:19589135:19593736:-1 gene:SETIT_037447mg transcript:KQK89020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGADKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERYRAVTSAYYRGALGALLVYDITKRQSFDHIPRWLEELRGHADKNIVIMLVGNKSDLEEERAVSTEDAKEFAEKENLFFLETSALQATNVENAFQTVLTEIFKIHSKKNMAADPKANGAAPSLAGKKVLVPGPAQEIPKSKCCSSM >KQK90419 pep chromosome:Setaria_italica_v2.0:IX:42550468:42553403:1 gene:SETIT_036353mg transcript:KQK90419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAFTAATLLLLLGALGAATANVGDSCSTSADCGAGQWCFDCEPKLSGSHCVRSAATNPFQLINNSLPFNKYAYLTTHNSYAIVGEPSHTGIPRITFDNQEDTVTDQLNNGVRALMLDTYDFKGDVWLCHSSGGKCNDFTAFEPALDTFQEIEAFLSANPSEIVTIILEDYVHAPNGLTNVFNTSGLLKYWFPVSKMPQNGQDWPLVSDMVASNQRLLVFTSISSKQSTEGIAYQWNFMVENNYGDDGMDAGKCSNRAESAPLSDKTKSLVLMNYFPSVPIKFTACLQHSQSLTDMVNTCYGAAGNRWANFIAVDYYKRSDGGGVFQSTDLLNGRLLCGCQDIRACLPGSGVVCSA >KQK87050 pep chromosome:Setaria_italica_v2.0:IX:5278581:5281793:-1 gene:SETIT_034650mg transcript:KQK87050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQPPARRRDRRRRRRRRGGGGNAADVVAVEGGSAAVTTTAPVTAPGAATPARRRNRRRPRRRRDRSSAGAAAADEAAAEEEKAEAPVSGSTSPLPLLRVTCRAAPWIVAAHLGDSVLQTTTESIMNSNKTRKRDFQAGNKVLKVSAADGAACSCLARHEHSVGQIEASRSKLYKRSDVRFPIDNCTAQGSDYYNKDSNFPELKSTDLSGNLDEVYQKRICTGCSSLQKSEEYKENENGASINSSSLEKKKRRKRGGKRHNRRKRSTSQDSLLPTAADNSGLMAVVSENTTSCLEPKEQRENQNQNKVAMTNLVPLDNAERSEAYGVNLNRATEKRCELGTSNLDSTFEKRSELGKSSLDYTNMERNGLQEQDVLCSSNDHNANYLSTSHFAEAYMEKLNLFFSPGRSLGFPKKKLLILDLNGLLADINDDYHNAHMADAKVRGKLVFRRPYCDDFLNFCALNFELGVWSSRKKENVASVVNIVMRDFKPRLLFCWDMSKCTFTGHKTLENMHKPLVLKELRKLWNKEEPDLPWEEGDYSASNTLLVDDSPYKALRNPPHTAIFPRSYSYLNWNDNSLGPGGDLRMYLQNLAAADDVECFVRNNPFGQPFITECDPHWNFYAQIADKGHSPLTCCA >KQK91031 pep chromosome:Setaria_italica_v2.0:IX:47251798:47252267:-1 gene:SETIT_040321mg transcript:KQK91031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITSICLKKILSLSSLKSLNLDNSQITDTASHLSILLI >KQK92772 pep chromosome:Setaria_italica_v2.0:IX:57179046:57183083:1 gene:SETIT_034998mg transcript:KQK92772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPGDRELPETPTWAVALVCAVIVLLSVAMEHGLHKLGHWFHTRQKKAMREALEKIKAELMLMGFISLLLAVGQTPISKICIPTKAGSVMLPCKPKDDGESGGDRRRRLLWYPGEEVNHRRFLAGAVGEDYCKEKGKVSLISTTGVHQLHIFIFVLAVFHVVYSVATMALARLKMRRWKRWESETSSLEYQFANDPSRFRFTNQTSFVKRHLGVSSTPGVRWMVAFFRQFFASVTKVDYLTMRQGFINAHLSPNAKFDFQKYIKRSLEDDFKVVVGISLPLWFVAIFILFMDVQGFGTLIWISFVPLVILLLVGTKLEIVIMEMAKEIQDKATVIKGAPIVEPSDRFFWFNRPKWVLFLIHLTLFQNAFQMAHFIWTLLTPGLKTCYHENLGLGIMKVSVGLGLQVLCSYITFPLYALVTQMGSHMKKTIFEEQTAKAVMKWRKAAKDKVKQREAGFEGLMSTDTTPSHSRPTSPGGGSSPVHLLQKYRGRSEDPESAPTSPGRGQELGDMYPVTDQHRMHRLDPERRRAASSTAVDIDIADADFSFSIQR >KQK92834 pep chromosome:Setaria_italica_v2.0:IX:57424860:57425415:-1 gene:SETIT_040450mg transcript:KQK92834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTFPFKKFEKETVHCNLCLAYREKNNVFPIMHDTLFIMILKTIPISSQFQYKEKGVNILRTRHVLIFNGSFFFASCCQLVLYFIQSTRVVVIRP >KQK92829 pep chromosome:Setaria_italica_v2.0:IX:57414464:57415423:-1 gene:SETIT_037978mg transcript:KQK92829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLLPDEKSKVTEDRLPMCEGMRLVLNRYGFDVKPEMKISNVDVEDWDLLKLATALMIVSYPKGEQIVFGNDYPTLLKDAPKYKDKLREVACFRVYKEMLWARKIRSKALRQLAALIRMAREDYEAEQAMRNHE >KQK91277 pep chromosome:Setaria_italica_v2.0:IX:48954454:48956221:1 gene:SETIT_035354mg transcript:KQK91277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPAGRVSFTRAVLLLGVVALGLWLLSVELAVVGGGADPAVRAAVAGRRTHAHAAVRSPDAWRTREWRRAVDRHAAVLRRHLADGMLAASSVAVCLGGAQEAMALRELGVVGAVAVAGERAPPLAVAGDDRRLPFPDSSVDFVFAGRALDFSRRQADLAGEAARIVKPDGHGHLVVLTSGASDAYSLRSLQALLPSLRLLRSRVINGADGSTLRELVFRKHAGISTTSRSSPNGNNSAGSCTSRDHKLEIIGLAEPLIQEEPAKPWITLKRNIKNIRYLPALADIGFKRRYVYVDVGARSYGSSIGSWFRKQYPKQNHTFEVFAVEADPAFHADYARRKGVTLLPYAAWVRNETLTFEINDGPGNKGYKDDARKPNGRGMGRIRPGAGAMKGVSSGEVRRIPAFDLAEWLKRTVSEQDYVVMKMDVEGTEFDLIPRMIETGAICLVDELFLECHYNRWQRCCPGERSPKYRNTYGECLQLFTSLRNSGVLVHQWW >KQK91655 pep chromosome:Setaria_italica_v2.0:IX:51062245:51063214:-1 gene:SETIT_037699mg transcript:KQK91655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGWDKGTKSTVGEIPLLTTRAGPRDGEAWRQRLKEEYRALIAYTSVNKAKDNDWFRIAAANPEGTRWEGTCWYVHNLRRYEFPLQFDIPVAYPQVAPEIELPTLDGKTHKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPILVDSGMVKHKDDEAAPAEASGSAAPSS >KQK91596 pep chromosome:Setaria_italica_v2.0:IX:50729302:50731698:1 gene:SETIT_039185mg transcript:KQK91596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKEEMEYAAEAASDAEESASTNQRTLSLKGCSSSVEKVYTINSPLKKRKSQYELVDPRLLSLKYKFRNRLSCQEDDSATTESLGNDGIFMNKNSCTDMVSIPEELDSCENTLSLFGGCIEVDSKNGIQGQSMRKKFEIRTFASSSSDSSSHSSGTRETDSWVMHDAEHDHSGLMLQPHDDLERIYNVLEQYDDLMKDELASDDVYGSAAHIMDEKLYSNGVDDFQILSTGQGGYH >KQK90532 pep chromosome:Setaria_italica_v2.0:IX:43447163:43452708:-1 gene:SETIT_035148mg transcript:KQK90532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSATRLTLLLCAAWAATVLYGEMGAYWASYLACSWPSPSPSSSPPNNHVKVAVVADPQLMDSTSLGLPSSSIALQAAEFYTDLNMRRSFQSAILPFKPDVVLFLGDHFDGGPYMSDEEWQESLFRFKHIFSLNEQRSKPHVPIYYLSGNHDIGYSAFFSVHPEVLSRYEKEFGSRNYQFSAGKVDFVVIDAQTLDGSKKSKERSSSWEFIKTLSPGNASNPKVLLTHIPLYRPDNTPCGPHRSSPVINQRESYAALDQGITYQNYLTKETSDLLLSLLKPVLVLSGHDHDQCTVVHSTPFGPVTEHTLGTISWQQGNLYPSFMLLSAGPKMSQNSTDLKPEVMTNLCFLPKQTHIYIWYICQFAVTILLLVFWPTNGLSSVPCMNTFVSFMRSVGAELFSRTKEKDDEEDGEYEMVFDAEGSMHLVKKAVAKAPSATSDSRTVGRGSVVARAAAGKHQLEPDSSILVEMGSEMTSEDGAKLARPSKSKVRKVLQRLFRVIQSIVVIAALNVPLYMMLLFKDWIDH >KQK89373 pep chromosome:Setaria_italica_v2.0:IX:25787478:25790152:1 gene:SETIT_035590mg transcript:KQK89373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFKARRSKPELVSPARPTPLETKALSDLDDQRTLRYYETVIAFFRSCPGCGNRPDDPAKAIKTALAEALVYYYPIAGRLREAAGEKLVVDCTAEGVVFVEADADVRLEEFGKPLLPPYPCVEELLCDAGETRAVVGKPLVLMQVTRLQCGGFVIGFHMCHNIADGFGMVQFVRSVAELARGEAVPTILPVWKRDLLTGCNPSFITHLDAAYNPSPNDLQYKSDDVMLSTPIEDMIVQYFLFGPREIASLRSHVRGSLANSATSFELLTAIMWRCRTIALDYESNQWVRLMITMNARGKWNKHTFIPRGYYGNAHFSPIVEATVNELCSQPLAHTVELVRKTKLSVTKESMKSMIHTIALTRQWPPPMMDRIYEVSDTKWIATNVTRFGWAELVGGGIPLAGDLTSKLGSDHMRCKNEDDEYSTIVSMLLPKPAMERFTNEMSVWLNKHDEKNLVILSAL >KQK91099 pep chromosome:Setaria_italica_v2.0:IX:47759951:47761058:1 gene:SETIT_037203mg transcript:KQK91099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGARRVLEAWRVGVVRYGDALQLQERLVADRKGGRVGDLVLSLQHPPTYTLGKRHEKAERNLLASEAELRALGAELHRTERGGDVTFHGPRQAVLYPILSLRALGLGARRYVEGLESAMIQVAALHGVSARPGDPGETGVWVGDRKIGAIGVRISSGFTWHGLAFNIDPDLGYFDHIVPCGIAGKEVTSLRREVGDGVELPADEVIHDQLVRCLARTLGFTDVEFKDDSESGDMIGAAAATRS >KQK89841 pep chromosome:Setaria_italica_v2.0:IX:36389798:36392374:-1 gene:SETIT_037214mg transcript:KQK89841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFARWLPPAAAPPAAGPAAAPAARPLARRRIPRRAATVVSPRAFGRADFDGFVRRAWQGANAGAERLAFEARQAAQRLDGRFSISRRLAEASRAARERAVEIDAELGIGRRWRSFSVDFSRNWPRYRRELNDFMATPIGRALATLFFLWLALSGWLFRIFIFGTFVLPFAAPLFLGTFANRVAIEGTCPACKRRFVGYRSQVIRCMNCQNIVWQPNNSSSGGARSSRRAEADVIDVEYEEK >KQK90790 pep chromosome:Setaria_italica_v2.0:IX:45772719:45773207:1 gene:SETIT_039280mg transcript:KQK90790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAADTKAKSICTAFPNLRVETCVEKGDPRDVICGAAEKAGADMLVMGSHGYRFLQRALMGSVTNHRVQNCKCPVVVVKRPGTNLRPRARSSTSRLDDDDGPCIYFVSFGAYKILYRVSCNEHLCKYIL >KQK91136 pep chromosome:Setaria_italica_v2.0:IX:48021147:48023267:-1 gene:SETIT_034471mg transcript:KQK91136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPPVRFLPSSAAPAVVDANARIARLARAGNMEGARAAFEAMPLRTTASYNALLAGYFRNNLPDAALRVFHRMPSRDLASYNALISGLSLRHHTLPDAAAALATIPYPPSVVSFTSLLRGYVRHGLLADAIRLFRQMPERNHITYTVLLGGFLDAGRVDEARELFDELPAKDVVAWTAMLSGYCQAGRIAEARALFDEMPKRNVVSWTAMVSGYAQNGQVNLARKLFEVMPERNEVSWTAMLFGYIQAGRVEDAEELFNAMPEHPLPACNAMIVGFGQRGMVDAAKAVFDRMCERDDGTWSAIIKAYEQNEFLMEALSTFRKMLHDGIRPNYPSVISILMVCAALAVLDYGREVHAAMLRCSFDKDVFAVSALITMYIKCGHLDKAKKVFNMFEHKDVVMWNSMITGYAQHGLGEEALHIFNDMRLAGMLPDGITYIGALTACSYTGKVKEGRDIFNSMDTNSAVRPGAEHYSCMVDLLGRAGLLEEALDLIKTMPVEPDAVIWGALMGACRMHKNAEIAEVAAKKLLELEPGNAGPYVLLSHIYTSTGRWEDASEMRKFISSRHLNKSPGCSWIEYDKRVHLFTSGEVSAHPEHSIILKMLEKLDVLLMESGYSADGSFVLHDVDEEQKTHSLRYHSERQAVAYGLLKVPEGMPIRVMKNLRVCGDCHSAIKLIAKITSREIILRDANRFHHFKDGFCSCRDYW >KQK89251 pep chromosome:Setaria_italica_v2.0:IX:22577322:22580029:-1 gene:SETIT_034888mg transcript:KQK89251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMSCFPNLLAAGRKKKNRKVADAKKASGNECPKVKPVEFIDSPVAAGAGEFEKKAAPNDVKLAATAAADTVPVASREGGGDQFVSKESVEGGELSDFDFDFHAPKKPDGDGTEEVIAGDAAEEPADPSPKLKRSCSNIETKRPGPREAPEMPARSRSYGDLGNLMGGLVADTWATPHGAPEASPVSVKTSYTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPRSERPVEARSPGRHGGYTSDTLEEDRKNKKVMVDDSPPASVPNQWVAFCAENSLHDRVSAWVCSIENEPPPFRIAEEDEDYDGGDDEVDDDNERGGCAARPRPLELGESSSGKNHGKPKRCAAADEVVQANTIVQSLNAFSSVAHISGMGLKVMPMIAPFSSLRAVNLSSNLIGEHCTDSPRKM >KQK89252 pep chromosome:Setaria_italica_v2.0:IX:22577322:22581490:-1 gene:SETIT_034888mg transcript:KQK89252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMSCFPNLLAAGRKKKNRKVADAKKASGNECPKVKPVEFIDSPVAAGAGEFEKKAAPNDVKLAATAAADTVPVASREGGGDQFVSKESVEGGELSDFDFDFHAPKKPDGDGTEEVIAGDAAEEPADPSPKLKRSCSNIETKRPGPREAPEMPARSRSYGDLGNLMGGLVADTWATPHGAPEASPVSVKTSYTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPRSERPVEARSPGRHGGYTSDTLEEDRKNKKVMVDDSPPASVPNQWVAFCAENSLHDRVSAWVCSIENEPPPFRIAEEDEDYDGGDDEVDDDNERGGCAARPRPLELGESSSGKNHGKPKRCAAADEVVQANTIVQSLNAFSSVAHISGMGLKVMPMIAPFSSLRAVNLSSNLIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGLSNCTAIRELYLAGNKISDVEGLHRLLKLAVLDLSFNKVTTAKALGQLVANYTPSWRSTSWATRCRPTSATTRCAGPSRASSPTSPTSTSSPSNRSAARGRLQTTASRAPRSAGPGPAAGA >KQK89250 pep chromosome:Setaria_italica_v2.0:IX:22577322:22580029:-1 gene:SETIT_034888mg transcript:KQK89250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMSCFPNLLAAGRKKKNRKVADAKKASGNECPKVKPVEFIDSPVAAGAGEFEKKAAPNDVKLAATAAADTVPVASREGGGDQFVSKESVEGGELSDFDFDFHAPKKPDGDGTEEVIAGDAAEEPADPSPKLKRSCSNIETKRPGPREAPEMPARSRSYGDLGNLMGGLVADTWATPHGAPEASPVSVKTSYTADRVMLKKRSSSQVLPSRSRKLWWRLFLWSHRNLHRPRSERPVEARSPGRHGGYTSDTLEEDRKNKKVMVDDSPPASVPNQWVAFCAENSLHDRVSAWVCSIENEPPPFRIAEEDEDYDGGDDEVDDDNERGGCAARPRPLELGESSSGKNHGKPKRCAAADEVVQANTIVQSLNAFSSVAHISGMGLKVMPMIAPFSSLRAVNLSSNLIVHISPGSLPKGLHSLDLSRNKIANIEGLRELTKLRVLNLSYNRISRIGHGVTGKDKDLLSVAHMHDCI >KQK90718 pep chromosome:Setaria_italica_v2.0:IX:45293443:45296101:1 gene:SETIT_035023mg transcript:KQK90718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLFSCPADDYDPLDMEEAPPAGTSGGGAVLKALGSGKLHIEGSLSFKRAQAASGALHVETEISIRAGDAAAPAPEPAGPLPREVARARFTEPAVESPKHEAAALRLQKVYKSFRTRRQLADCAVLVEQSWWKLLDFALLKRSSVSFFDIEKQETAMSKWSRARTRAAKVGKGLLKDDKAQKLALQHWLEAIDPRHRYGHNLHYYYDCWLQCESKQPFFYWLDIGEGREINLEGKCPRSKLLSQCIKYLGPKEREDYEVVIEDGKFLYKKSGRILDTSCGPRDAKWIFVLSTSKILYVGQKRKGVFQHSSFLAGGATSAAGRLVVENGTLKAIWPHSGHYRPTEENFQEFQSFLKDNMVDLTEVKFWGSLRRVTSESEKTRDQTAAPEETGPCQTLPEAVNNGSTEIEKCEEETAMARPDSSEDDQEAAEEQAPVPREKILERINSKKEMKSYQLGKQLSFKWTTGAGPRIGCVRDYPSELQVQALEQVNLSPRCGSTAAASRFASPLRRSFNQPAAAAPRGCDASTPRGASRSPLQHGTPAVEAAAD >KQK91115 pep chromosome:Setaria_italica_v2.0:IX:47901999:47902508:1 gene:SETIT_039668mg transcript:KQK91115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWEWSVGLCSCFGDVGSCCLTCWCPCVTFGRVAAVVDRGSTCSGCILVANAPQCGRNNNLQESPCLDCCVHFWCDTCALCQEYRELEKRGFNMAK >KQK91207 pep chromosome:Setaria_italica_v2.0:IX:48464412:48477421:1 gene:SETIT_033876mg transcript:KQK91207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRKHQLPHNAASISLAPLDFPSQELEKVNPLNSVMSADVASMDGVDIDMREVYFLIMHFLSHGPFKRTFGELCNELLEHQLLPRRYHGWYSRGGFHSGEENDDGISLPLDYAKLAERYPHIGKDHLVKLLKQLMVNSCHPHNLIGGVSPNAADVPTLLGSNSFSLLASTFDRMGRYVITGSDDRLVKIWAMETAFCLASCRGHEGDITDLAVSSNNAVVASSSNDFIIRVWRMPDGMPVSVLKGHTGAVTAIAFSPRPGAAFQLLSYQIIFVGRSSDDGTCRIWDARYSQQPPRVYTPKPPDVATGKSGDASSSAAQVQPTNHQILCCAFNANGTVFVTGSSDTFARVWNACKSSSEEHDQPNHEMDLLSGHENDVNYVQFSGCAVASRSFSSDSGHISKEENNLKFRNSWFTHNIVTCSRDGSAIIWVPRSRRSHGKVGRWTRAYHLKVPPPPMAPQPPRGGPRQRYQPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLIGHKESTFVLDVHPFNPRIAMSAGYDGKTIIWDIWEGKPVQIYETGHFKLVDGKFSPDGTSLILSDEIGQIFIIGTGQGESQKDAKYDQFFLGDYRPLIRDTNGSVIDQETQLIPHRRNMQDFLCDAGMIPYPEPFQSMYQKRRLGTLGIEWRPPSVNFAVGPTYNATTGEYQNIPIIDPDRWEPLPEITDFIELEPENEVISDDTDSEYNGMDENSSEGEQEIMSGDSSGTSYSSAEIDADNPNSAAHLRRSRRKKKKSEADLVTSSGRRVRKKILDEPDITTVSRPHRCRKSRNGRSSKRKRSPKSRGLRPQRRAARNALSFFSKIGASTEDDEDYSEGSSSDSELNTDSTEAEQLERSGQVRFSREEDVTQPSQFADNKGNSGTGRKLVLRIPRAKIPFALESGKAECSTQDKAVNSLALADHESVEPELTVEHGHSSACKAELLTDGDLHDGSAVHSNNSIRWGEVKMRSSKRCKYSDPAGGLWSTSNNAASQDIEGSGSQEMPHEYCDGIQQSVGQNVQAIQPGIILDNIQENHSTYEYNGENFGDKEKITNDNNACADGANNTVQVHNTSQPSLKLKIKSKGFADGATLSDKSRSATVGNTMNAEHDKGSVQHEEDSSINQPRNVDLLNVSKSSQECTDKSTGLHDSKKPHLDFPKMCTAVYKRSKPNNRKKMDSDEYANDDSTSISNDDGGYQPPEYSPVTAASGRLRRSARKSYAHNDDDTPWDDISQVKDSYSSYEASTSGRRIVSDAREVMWRPPSKTVGLRSARNKRESSNFPDTHPLGKKHQTPLKYSWLMLLEHEDSYRYIPQLGDEVMYLRQGHEEYLQGMRSSDPCPWNRIKGLKAVELCKIQGLDYSSYKGSGESCCKLSIEFIDCTSTGFGKTFMITLPELVNFPDFLVERTRYEASMERNWTHRDKCKVWWRDEEGQEGGNWWEGRIMALKPKSPDFPESPWEKYVIQYKNDGSHHPHSPWELHDVGNLWVPWKHPHINLEIRDRLLSEMEKLQEMSLKNQDRYGVLKLDKVTEKSDFMNRFPVQFSIEVIKTRLANNYYRTLEAVKHDTTVMLTNAESYFSKSAEMTKKISRLSEWVDQTFSLL >KQK86502 pep chromosome:Setaria_italica_v2.0:IX:2293787:2293999:1 gene:SETIT_038879mg transcript:KQK86502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLSTVSVGAGVLPGDVVDAPAGAEADGEVTYMRAGFDRVVGSKDSESFYMVNPEGGAGGGTELSIFLVRV >KQK88964 pep chromosome:Setaria_italica_v2.0:IX:19026443:19035185:-1 gene:SETIT_034299mg transcript:KQK88964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTFLVAPALLRPRGASPPAACGCCRRCSAPRGGHVPRPSARASSSCRSPPCAQPVSLCLNVGAGWPMVLRGSTGRRFQKGLSAAATDADAASQVDDDDEDMVRVTNEALRATIRKSKEVLARHKVILEQISEKKKLISVLAESSIHNEQEPHNDQSDSSFSRLNAVSEGEEINYGRQMYLDTHAQQSEFYTTYGESIYDQSEYYESLEDEDTDFSESFGEANYGNHYQYDRFPRAAPSVYQPEAANGMDHHYVAQISQVREKDLSVNEGANGNSSASGGVDVMNVILVAAECAPCMDIIYPVCMLFLSAYQMLERIGVCGGWIGVGVCGLGDVAGALPKALARRGHRVMVVVPKYGDYMELQEIGEPRRYQVAGQDMEVKYYHAYIDGVDFVFIDNPIFQHVESEIYGGNRTDILKRMVLLCKTAVEVQWYVPCGGFCYGDGNLVFIANDWHTALLPVYLKAYYRDNGFMTYARSVLVIHNIAHQGRGPIDDFSYLDLPGHYMDQFKLYDPFGGDHLNIFAAGIKAADRFLTVSHGYAWELKTPDGGWGLHSIISENDWKFQGIVNGIDTTDWNPRHDVHLQSDGYTNYSLETVQTGKAQCKEALQKELGLPVRGDVPVIAFIGRLDHQKGVDLIAEAMPWIAGQDVQLIMLGTGRQDLEDTLRRLESQHYDRVRGWVGFSVQLAHRMTAGADVLLMPSRFEPCGLNQLYAMMYGTVPVVHAVGGLRDTVQHYNPYEEVGVGWTFEKAEANRMIDALGHCLNTYRNYRNSWEGIQRRGMMQDLSWDNAAKLYEEVLVAAKYQW >KQK86210 pep chromosome:Setaria_italica_v2.0:IX:768809:773076:-1 gene:SETIT_035198mg transcript:KQK86210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQFLGRLPKKPSKSGDKDPIGRPSPSVSHPPLGPRTADRTSNSSSQPPVISSSGLSYGSGMHVGNANSRVNMNGDSASSAFVSLPSFKDVPNSEKQSLFIKKLNLCCTQFDFTDPTKNIKEKEIKRQNLVELVDYIGSASGKFSEASMQEITKMVSANLFRTLSTPPRENKVDGFDLDEEEPVMDPAWSHLQIVYELFLRFIQSPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKMILHRVYGKFMVHRPYIRKAINNIFYQFIYETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKGISMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEILEATQPAEFQKCMVPLFRQIARCLNSSHFQVAERALFLWNNDHIESLIKQNSRVILPIIFPALERNTNGHWNQAVQSLTLNVRKLFSDHDAGLFTECLRKYEEEKAKEKEVKLKQEATWKRLEEIASAKATSGTAVLVSRPLPRQSSAV >KQK90209 pep chromosome:Setaria_italica_v2.0:IX:40638754:40639365:-1 gene:SETIT_038693mg transcript:KQK90209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRDELKLLGTWTSPFVIKVKLALSFKGLSYENVEEEDLYYNKSELLLKSNTVQKKVPVLLHSGKPICESQLIVQYIDEAFRTEAPSLLPVEPSERAMARFWATYIDDKLFASTFRAGRAKTGEEQAEALKETFAAVETLAATFKECSKGKPFFGVLDKSIGTV >KQK90189 pep chromosome:Setaria_italica_v2.0:IX:40493455:40494906:-1 gene:SETIT_035749mg transcript:KQK90189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVKKTLDSLLVLESGLARVPVEGEDAERELPEAVVLAEHDANGAAEVLVPARHGGAERDGRPHPPRRHRPEHVLRPDLAAGHREVGLQEHTVRARADRQTVCDCEGGGELTGATRVRDLTAAGYLTASASARMEPSECATMWKDLTPCRSMTERSIISTCSRSVYSESAGLGLRPNPRRSTANSRRRDRAAGLTWGSTVSVQNPDDEMNPWMNSTSSSVAAPPPPASFVAVRVGRVAVGAVAVGPPRRRVRPVLAVAGAADLAPQHLHDGGVHGDPRRAPRGGAGPRRRGRRRRRAGDHGGPRPGGRQHADHLGAAPLRHDDLARGPRGRRRRRRARAVAGPPRALRHVVRRLVHGAEQHLQRVQQHEHHDAHGLVRRAAGRRQCRRPPRRGAHLHDAPPEENALDSNARVEGRGGSGSGEGGGGGGKEGEGRMLQW >KQK89291 pep chromosome:Setaria_italica_v2.0:IX:23203598:23204122:-1 gene:SETIT_038319mg transcript:KQK89291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMGFSYAQIHVRQERCRMKSLQAEEKEKKAKEAAGGGDDEVGNKRPTGEDDKASVGGCAWASGKVHPCAGTAAPPPPN >KQK86172 pep chromosome:Setaria_italica_v2.0:IX:606667:608356:-1 gene:SETIT_039491mg transcript:KQK86172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAVLDYCSLPQQWQVTVLLVLVPLLLLLVATRRRRSWSSGKGGRRLHLPPGPPRLPILGNLHQLGALPHQSLRDLARRHGPAMLLRLGSVPTLVVSSAEAAVGASTGARCAKLFVVEFLSARRVQASWYAREAEVEKLVGRLSSAGGAPVFLEDHIFGLMDGVIGTVAFGNIYGTEQFAHRKHFHDVLDEAMSAKAGFSAEDYYPNAAGRLVDRLTGAAPRRERVFRDLDAFFDVIIDQHLDPSRAAPEHGPDLIDAFVALMKERRHQQGSLAFTRDHIKGLLSNVFTASVDTSSVTMVWAMAELMRRPAMLRKVQEEIRALVGDKERVQPDDMPKLRYLKMVVKETLRLHPAAPLLLPRETLRHVSICGYDVPAKTRILVNVWAIGRDPASWDNPEEFNPDRFEGKDVDFNGTHFEFVPFGAGRRMCPGMALGVATTEFTLANLLYCFNWELPRGVRPEDVSMEEAGGLTIHKKTPLVLVPTRYKSQC >KQK88839 pep chromosome:Setaria_italica_v2.0:IX:17599747:17601297:-1 gene:SETIT_039123mg transcript:KQK88839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTPVAENFQNKAMDAVDKPDAGSGAVIRSPRMCHLVILSTAFWALVLYSCMQGSDGGVASVLFKPAAFSLPKLSSVVPVDRRPPSEQPPASVAPAVNRSVTAPADDRCAGRYIYMYDLPPRFNADLVRGCRNLSPWMDMCPYLANCGMGPALGDEGGVFQARGWYATDQFTLEIISHCRMKRYGCLTGDPSLASAVYVPFYAAMDAGRYFFNATSTRDALALDLAEWLVRRPEWCAMGGRDHFMVAGRTSWELERKADVDEEWGTKLLTLPAIRNMTALILETSPWDRDNFGTSEARTWSSLAIPYPTYFHPEAAADVAAWQEKVRRAERPWHFSFAGAPRPGSKKTIRAEIIRQCGASSRCNLFDCGSGASCYWPGGATRVFESSEFCLQPRGDTLTRRSTFDAILAGCIPVFFHPGSAYTQYKLHFPSDPNSWSVLIMHTDVTGRNVSIEETLSRISPEAVRAMREEVIRLIPRVVYADPRSTRLDFKDAFDIALDAVIDRVAKRRRGAVVGR >KQK87856 pep chromosome:Setaria_italica_v2.0:IX:9788716:9792081:-1 gene:SETIT_040750mg transcript:KQK87856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPAARTFSPSSSSAGARPRHTLAYPAPQRARALAAPPPSRAVASSRAPLVVSSPPPASPGPPAAAHAKVDRSGRFCSPRAARELALMISYAACLEGADVVRLFDRRISARREPGYVFDKACLLSYNHMSFGGGPLEVGTEEEAEKLTSQNEKDSANEAEVLSAPPKLVYNNFVLRLSRELLVAVASGWDKHVDIIDKIIPQTWKDEPVARILELCILHIAMAEMTSKGTPHKVVINEAVDLAKRFCDGGAPRVINGCLRTFVKDHVDITGTNQAAEPKA >KQK89514 pep chromosome:Setaria_italica_v2.0:IX:32174715:32175455:-1 gene:SETIT_039515mg transcript:KQK89514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRQCLLLTDLPMEVLIIIASDVAVTSYKPMEDLGNLRAFCRVMEFACGDPSVGQHVAMLRIYMEGFKWLNPDRYYNLLALMVGVANPQACTLKGIADFFTGTNPSLNEVSCAAAGGHNVGAYLYALMLYMNNAGAADDDIAKMYIHRLECKDDSVAIGSAGPKKLRNNGCQVCREEATYLVNSVTWHMHGEPLPPAPVRGDFPCAQGDCGKVKGREQATQFCNEDSRIHHEIVEFKKRMGIDQ >KQK92037 pep chromosome:Setaria_italica_v2.0:IX:53341882:53345674:1 gene:SETIT_034715mg transcript:KQK92037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCGMRRHRVELSLVRQFLAEAACCAMASSRCGLLHTGFRLRCWGAAVCARHRGARDPYQGEFPETIEEYLHHGTMKCIAFNRTGTLLAAGCSNGSCVIWDFETRGLAREFRDKDCTAPITSVSWSRYGHRLLASATDKSLTLWDVSTGEKIARITLQQTPLRTSLQPGSPTPSVCLACPLSSAPLLVDLNTGSTTVLPVSVSENGNPPAPNPRNKFADGTPPFTPTAATFDKYGDLIYVGNSKGEILIVDSKTIQVHAVIPTPGGTVVKDIVLSRDGQYLLTNSNDRVIRVYKNMMPVKGSGEEIRNISNNNNDYQSHYDKLKANGASCLILSCELSDAITKIQWKTPCFSGNGEWIVGASANKGEHRLQIWDQTGRLVKILDGPKEALIDLAWHPVEPTIATVSVTGFVYIWAKEHVENWSAFAPDFVELEENEEYVEREDEFDLNPREEEAEEVVIDENAEIDIETYEKNAVFSDVEDSVDEIVFLPAIPSPDAPDEQPEKCLGSSSKLEDSNHSGSPSSMDAVQNGQAIPQASSPMEVDNSTAEDPAEGPNSKRKRRLSVKGLELQQTEKVKKPATKNKSNGKSAKSSAKQMESTNGNSSAVDDEATEDDEINIDS >KQK92056 pep chromosome:Setaria_italica_v2.0:IX:53437548:53441936:-1 gene:SETIT_034758mg transcript:KQK92056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFMKLGSNPDTFQGDGNEVSIVASELVSDITVRIGTTKFYLHKFPLLSKCARFQKLIPTTGDENIDIQIHDIPGGAKAFEICAKFCYGMIVTLNAYNVIAARCAAEYLEMNETVDKGNLIYKIDVFLSSSIFRSWKDSIIVLGTTKAHLPWAEDLKLVSHCIDSVASKASIDVSKVEWSYTYNRKKLPTESGNDSPWNGVKQQQLVPKDWWVEDLTDLDIDAYKQVITAIKAKCMVSKDVIGEAIKAYTYKKLPSLSKVSMIHGDAKVRAMLVTITCLLPSEKGSVSCSFLLKLLKATNLLKCGEMCRKELMKRIARQLEEASVSDLLIPTVDGDTTVYDIDLILSIVEEFVRQDSKHAQKHNGGEVNDHVSAPSASMITVAKIVDGYLAEVAKDPNIPVYKFFSLAETVSGNSRPVHDGLYRAIDMYLKEHPSLGKSDKKRLCALMDCKKLSPDACAHAVQNERLPLRIVVQVLYHEQTRASAAATIRADSIGIGSYESSRSGATTNTEDEWDGVMAVEDLSLSKTTKLDKCDTAGTDAEKNHGGNKAANGRAKGGATPKKALGKMMSSKGQAGERSSSDSSDSAILPRQEHPKRTPARSTTKSAAA >KQK92144 pep chromosome:Setaria_italica_v2.0:IX:53991518:53995869:1 gene:SETIT_034587mg transcript:KQK92144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSAAVESSAAVAAFEVSKRPDTGKLVLNSPSPPSLREELVGVVGKAFRPRASGGAGGRAPPCAWALTALQCVFPVLQWGRSYTLKDFRSDVMAGLTLASLGIPQSIGYANLAKLDPQYGLYTSVVPPLIYAVMGTSREIAIGPVAVVSLLLSSMIQNVVDPAADPATYRSLVFTVTFLAGVFQVSFGLFRLGFLVDFLSHAAIVGFMAGAAIVIGLQQLKGLLGLSHFTNSTDIVSVIKAVCSALHDPWHPGNFLIGCSFLIFILTTRFIGRKYKKLFWLSAISPLISVILSTAAVYATRADKHGVKIIQKVHAGINPSSAKQIHLNGPHTSECAKIAIICAVIALTEAIAVGRSFASVRGYKLDGNKEMLAMGFSNVAGSLSSCYVATGSFSRTAVNFSAGARSTMSNIIMSITVFITLELFMKFLYYTPMAVLASIILSALPGLIDIKEACNIWKVDKMDFVTCLGAFVGVLFGSVEIGLAVAIAISFAKIIIQSLRPQVEVLGRLQGTNIFCSIRQYPVACRTPTVLTIRIDTSFMCFINATFIKERIIEWVREEVEASDGKARERIQAVVLDMSSVVNIDTSGLTALEELHKELVSLGIQMAISSPGWNAVQKMKVSRVVDRIGEDWIFLTVGEAVEACLTEQKGTALEC >KQK88521 pep chromosome:Setaria_italica_v2.0:IX:14786861:14787370:1 gene:SETIT_040117mg transcript:KQK88521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPRCQSANTKFCYYNNYSRTQPRYLCKACRRHWTEGGTLRDVPVGGGRKNRRGGSKAGAAAAKASASASTSAAAAAATQGGSVAVGADTFPDLLRQLMQFQPTAPAGGGYAIDLSAWQQMAAATAPPQGAGDVSALGGAAAAAAEANCGALQYWAGWQPDDMPGLDGPC >KQK92992 pep chromosome:Setaria_italica_v2.0:IX:58210925:58211647:1 gene:SETIT_039429mg transcript:KQK92992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERALGLGLFFLCDLCFAANPTNSSAAGVTQTPTTPSARGGGGARVPVVVIAQPNHRTGHGTPILHGSIMMVR >KQK89194 pep chromosome:Setaria_italica_v2.0:IX:21742876:21747414:-1 gene:SETIT_035227mg transcript:KQK89194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSTAPSSPSHPSSPSSSHSDDDDDDSLHTFTAARLDGAPGGGSASGRPPKPDSSSVSAAAAAAAAAAGTGPKPEPGSAAASDGKEDPKGLFTDNLQTSGAYSAREEGLKREEDSGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECKIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRLMKPEDIPGLREAGWTPDQWGHSKSRSAFSPDYNTYRQQLTSLMRILLKSMNEHPDAWPFKEPVDSRDVPDYYDIIKDPIDLRTMSRRVESEQYYVTLEMFVADMKRMFNNARTYNSPDTIYYKCATRLENFFSGKIASQLAQASTKS >KQK89195 pep chromosome:Setaria_italica_v2.0:IX:21744394:21747380:-1 gene:SETIT_035227mg transcript:KQK89195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLAAPSPSHSGATSGGGASHRKRKLPPSSLSDATADEDDDTTAPSSPSTAPSSPSHPSSPSSSHSDDDDDDSLHTFTAARLDGAPGGGSASGRPPKPDSSSVSAAAAAAAAAAGTGPKPEPGSAAASDGKEDPKGLFTDNLQTSGAYSAREEGLKREEDSGRLKFLCYSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRTHKSMMVIRNNIVVGGITYRPYASQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDADGLTHFLTYADNNAVGYFVKQGFTKEITLDKERWQGYIKDYDGGILMECKIDQKLPYVDLATMIRRQRQAIDEKIRELSNCHIVYSGIDFQKKEAGIPRRLMKPEDIPGLSKYQFCANLFYIVCPLWSGFDS >KQK89008 pep chromosome:Setaria_italica_v2.0:IX:19430030:19430490:-1 gene:SETIT_039055mg transcript:KQK89008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTDPEPDPDSAYTTYSTVVGTTLRGAHVLNVDCYAATKGLLLLLHRRPALLVALAYFPNGDSAERAADDYVSIHLVLDGTTPGAQVAADFTVSRPTASRSVTTGSPATGPSTGGGPGSLSSSGGRRRCNVVVYECPTVTGQRQG >KQK88432 pep chromosome:Setaria_italica_v2.0:IX:14179183:14184721:1 gene:SETIT_039085mg transcript:KQK88432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLKSAVPAELRRAVGEGLAADLPNTTSRLLAFFDNLPLFHQVMRELTDPELSLCRKDEGRAVELKGQGNACFSRREFGEALRFYSQALRHVPINPDRMDVNLVSAIYINRASTMHKLGLFRESLRDCDRAIAVSPNYSKAWYRKGMVKTSLKNYSSAINDLEVALNMEVTSLGKRNIEQEIKLILQKQENVTEVGTSNCDSKDADLPLSGQPQKVIIESISTPNKGRGMASTDDIPPASLIHVEDPLAAIILKSSRETHCHFCFNEAPADVVFCPLCTIPIYCSKRCQEQAVGDISCNQDAHLGHATSLAKLSITSSCKSPSSTLFAEHRHECGGAHWAAVLPADKVLAGRIMARDIEKRMLYGKSAAISGPNLDLVHHYDRHSPVNKLESQIYAIVLLLCLQNHYRSDLLWTEESLSQLVLLIFQIKVNSIAIVRMKSMDGGLELTVNRGVSAAEGANMCSVEQVRVAQAIYVSGSMFNHSCRPNVHAYFLSRALILRSTEFIKSGSPVELSYGPQAGEMHLSDRQKSLQENYYFSCQCSSCSELNLSDLVMNSFCCPQRNCLGAISESTYYRSKENFVNVSLGGSYVSKLSLPDISKVGKDMEEVARSLFGNSDVSLNIDPGCCMNCRSHIDVASAAATSHREASKVDRYWVFIPEIVIAIALHRLKEITLLDKTLILEALQSLKQLKKLRHPYSKALAQAEDTIAEAFVKIGDQEQAQKHCEASIQILEKLYHPKHIAIAHELIKLISILLSLGDTASAAATFARAEAIFSLYYGSHMEKILAYLGTLKRAVRCESTEAC >KQK89120 pep chromosome:Setaria_italica_v2.0:IX:21041801:21042469:1 gene:SETIT_037940mg transcript:KQK89120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASGWTQALAPTAHPHYLHHLLERMAPLRVAAEGAPEPAAADRRLTRLLVNVTVDRSLWPVHLVLGADATVADLVRAAVAAYGREGRRSPLQHPGGGGGDAADGFELHFSKYSLESLRPEEKVLDLGSRNFFLCARRSSAAA >KQK90268 pep chromosome:Setaria_italica_v2.0:IX:41099192:41105561:1 gene:SETIT_037201mg transcript:KQK90268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLDSKKERNLSTCFQLGQKSSAHFCLFSKVRSASPPPGNTPVYLNVYDLTPVNGYFYWAGLGIFHSGIEVHGVEYAFGAHDYSISGVFEVEPRQCPGFKFRKSIYMGTTCLDPLQVREFMEIQSVNYNGDTYHLISKNCNHFCEDICKRLTGNLIPKWVNRLARMGAVCNCILPESLKINAVRHDPDGQAEDSEKRRLTGAFSCFSSISLCQRHFSTSSLFLRSPAKGTSWHTKQSSSARLKKS >KQK89260 pep chromosome:Setaria_italica_v2.0:IX:22722916:22723368:1 gene:SETIT_040554mg transcript:KQK89260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPITVTTIPLQIFSGIGNSYWNRNDMTISFRTRLFLLLRLII >KQK86748 pep chromosome:Setaria_italica_v2.0:IX:3557857:3558727:-1 gene:SETIT_039129mg transcript:KQK86748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSTEAGRSGSRRCAACKNLRRKCAQDCILAPYFPASDPQRYGCVQRVFGASNIARMLQSLPAHERGKAADTIAAEARWRVQDPVYGCAGIVGRLQGEIKAAQCELAWTRAQIAVHAAAAARPRPAVDARLSAPAQAAPPPQQQQQGDALVMQLDPFQGLDALLIDDYRVARGRRSGLMMR >KQK88667 pep chromosome:Setaria_italica_v2.0:IX:15981116:15983961:1 gene:SETIT_037816mg transcript:KQK88667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEKLKRMAGAVRTGGKGSMRRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFVNPKVQASIGANTWVVSGTPQTKKLQDLLPSIINQLGPDNLDNLRRLAEQFQKQAPGASSAEAGASAGAAQDDDDDVPELVPGETFEEAAEEKKESS >KQK86486 pep chromosome:Setaria_italica_v2.0:IX:2178191:2179363:-1 gene:SETIT_038658mg transcript:KQK86486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REQEGGEQGTRMPNRRCGERDGGSTAKPWKRRLYLVCDDWDSGYSIRKFSLPADSGEGAVQGLPTLFWRVVARRQVPHHFASAFGTKIMGGDMIIDVRTRCVVSGPESNSPARPIYFPVGEDRLYVLDSGCLELCRLPPEQSDVESAGDDYSSLDEYDSGSDIDSKDDWFWHQLPMPPFAIIDVTSYAMHPHSHGHSILVSIKSEDLAAATFSFETEVRAWNFLGEWTFPFKGRGHYESELRALVGLSKVKGAFGYIYACDVPNTSNRPPAWKRSKEKVFSKNPADKHVSASLVYLGYQRKFCLVECVLVEEDKACQEVKEDKDDQVLLEKPEGTCVPQRRRYMYRLMTFSLKYDKMGDLRVRHRRVRYYKVPSKVSKACVRQDPVVFWL >KQK91195 pep chromosome:Setaria_italica_v2.0:IX:48394264:48397597:-1 gene:SETIT_036482mg transcript:KQK91195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGCCRRQSDPDGSPAGGGGGRRGFCGPALAALALAAAAAVAFLEGTAGGVYYAGEGWLHECAKWDAEGGRFLVSTFFGAGVTEVRGGGVAEERVVLADPDAAGRVALGLAVDAPRRRLLLVYADRLPRLGYAALAAYDLGSWRRLFLTRLDVPGESTFPDDVAADEDGNGYVTDARGSKIWKVSPDGALLGVIRNATFTQRPGARHNLIGLNGIVHHPNGYLLVIHTSGGDLFKVDPKTETVRVVKVQGSLRRGDGLELLSATRLVVAGMPSRLVESSDDWETASVTGQYVGPIHRVGASATVKDGDVYINHIFGFGLGKKKTHVLAKAVFTPLVAAS >KQK88087 pep chromosome:Setaria_italica_v2.0:IX:11419124:11425902:-1 gene:SETIT_034552mg transcript:KQK88087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAGTPAEGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEALTKQKIEYLRAQCATLTDNDISHFQKVAEKKMLELEASRDLSKIWLHTDMDAFYAAVETLEDPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPGLVFVRPNFERYTHYSELTRKVFQRYDPSFIATSLDEAYLNITNVCIERGITGEEVATELRAAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVLTFVSTLPIRKIGGIGKVTEQMLCQVLGISTCQEMLQKAAFLCALFSEGSADFFLSVGLGLGGTETPEQRQRKSISCERTFTATSDSSLLFDKLANLAENLTDDLQKEGLKGKTLTLKLKTADFEVRTRAVTTRGYINSKEDILIYATKLLKAEMPLSLRLMGLRMSQLHDEKDDSSTSTQKTLDIFFRSSGNDLNVNGANVQSSTNTSGQDISPISVTTKDEYLVHDAGTSASTDQQDFFVHDESIFIPEQRNLVSYSNEAVLSNPVIDDALGEAKLDDVTPSAKVMNAEKFDDVCQLTSHEATASSSKPGQQLWIDDYVCSICGFELPPGFEEERQEHSDFHLAESLQHEETVDSKRPISNESRLAERSCSTTPTPKKKLKSSKEGKHIPIDAFFAKCNKNL >KQK88088 pep chromosome:Setaria_italica_v2.0:IX:11418843:11425902:-1 gene:SETIT_034552mg transcript:KQK88088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAGTPAEGGGDRPWQSYHTAYTNAKAGMEGVDKEKVQKVIYEMSKGSKYFENEQRKEALTKQKIEYLRAQCATLTDNDISHFQKVAEKKMLELEASRDLSKIWLHTDMDAFYAAVETLEDPSLKGKPLAVGSMSMIATASYEARKFGVRAAMPGFIGCKLCPGLVFVRPNFERYTHYSELTRKVFQRYDPSFIATSLDEAYLNITNVCIERGITGEEVATELRAAIHQETGLTCSAGVAPNRMIAKVCSDINKPNGQFILPNDREAVLTFVSTLPIRKIGGIGKVTEQMLCQVLGISTCQEMLQKAAFLCALFSEGSADFFLSVGLGLGGTETPEQRQRKSISCERTFTATSDSSLLFDKLANLAENLTDDLQKEGLKGKTLTLKLKTADFEVRTRAVTTRGYINSKEDILIYATKLLKAEMPLSLRLMGLRMSQLHDEKDDSSTSTQKTLDIFFRSSGNDLNVNGANVQSSTNTSGQDISPISVTTKDEYLVHDAGTSASTDQQDFFVHDESIFIPEQRNLVSYSNEAVLSNPVIDDALGEAKLDDVTPSAKVMNAEKFDDVCQLTSHEATASSSKPGQQLWIDDYVCSICGFELPPGFEEERQEHSDFHLAESLQHEETVDSKRPISNERLAERSCSTTPTPKKKLKSSKEGKHIPIDAFFAKCNKNL >KQK89447 pep chromosome:Setaria_italica_v2.0:IX:30071858:30072169:1 gene:SETIT_039926mg transcript:KQK89447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASPTSPTSTPTMPSTTTPAQVFKGPITRSRAKELQQEVNALLCEVHLNNNKNYILPNLSILLLLSFTKEGDKNTQGNDYKEEPRSNSSSSAEQFERNNHNF >KQK90864 pep chromosome:Setaria_italica_v2.0:IX:46257029:46260981:-1 gene:SETIT_036017mg transcript:KQK90864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRGEASHRGWSSSRGRDWRGRGRGRGGGGGGRPSPPPPSSTASSAAVNLAPASTVDDAAPIMGTCPDMCPARERAQRERLRDLAVLERVGGDPARTSPSLAVKKFCRTISSTNVMASDIRPLPVLRETMDYLLHLLDSSEHPFETIHDFIFDRTRSIRQDLSMQNIVNDQAVQIYEDVVTFHIRSHQRLARSCQDSDASLCFLNMEQLTKCLLSLFDMYHVIHESDSHSKREAEYYSFYVLLHLGCKIPKMVDSLSLWYSRLATQVRQSKEMIFVRNLLRCYQLGNFKRFFCMIAAEATDLQLRLVEPFLNEVRARALMYFNHSGYKLQHHPLEHLSGILMIEELELETLCGICGLEIRTSEGMKAFAPKQTSFSLPTSMPRSNGIYISREVER >KQK90863 pep chromosome:Setaria_italica_v2.0:IX:46256854:46261029:-1 gene:SETIT_036017mg transcript:KQK90863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDIRPLPVLRETMDYLLHLLDSSEHPFETIHDFIFDRTRSIRQDLSMQNIVNDQAVQIYEDVVTFHIRSHQRLARSCQDSDASLCFLNMEQLTKCLLSLFDMYHVIHESDSHSKREAEYYSFYVLLHLGCKIPKMVDSLSLWYSRLATQVRQSKEMIFVRNLLRCYQLGNFKRFFCMIAAEATDLQLRLVEPFLNEVRARALMYFNHSGYKLQHHPLEHLSGILMIEELELETLCGICGLEIRTSEGMKAFAPKQTSFSLPTSMPRSNGIYISREVER >KQK86405 pep chromosome:Setaria_italica_v2.0:IX:1681060:1683102:1 gene:SETIT_038534mg transcript:KQK86405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVLAAVVPVLLALLARSGSPAAAGAATEFVLAGFAGANLTASGAAVVTSSGLLRLTNETNEAFGHGFHPAPLRFKDASTGAPVSFSTTFVVAILPRYPDAHGHGLAFALAPSATVSGAVAGKNLGLFNTSNHLGNGQNEVVAVELDTAMDEEFADINDNHVGVDVNSLKSNCSKPAGYVADAGTVKLNVSLVCGDPLQVWIEYDGASTRLEVTVSPAGVPRPAVPLVSCAVNLSSVVADGTYVGFSAANGAASSSHYVLGWSFRLGGGRAPDLDLSKLPRVPSSRPKKTMHPQLVLALILLAVVALLVVSAGVTLFVVWRRRFTEVEEDWELEYGPHRISYKDLHAATRGFRDVIGAGGFGRVYHGVLRRSGTEVAVKKVAHDSRQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELILVYDYMVNGSLDKHLFDAENRPALSWERRAKIIRDVAAGLLYLHEEWEQVVVHRDIKASNVLLDADMNGKLSDFGLARLYDHGSDSQTTHVIGTLGYLAPEMIKTGKATPSADVFAFGAFLLEVACGRRPVESLSNNNADPAGLVDNVLECWKTGRIRDARDPRIGKCDEDDLELVLKLGLLCSHPDPRCRPSMRQVVQVLEGAAPVPETPPEDLGSSGRTFGYYETFDEFINMFPTTIEVATVTPRLGRTPAPNTST >KQK86211 pep chromosome:Setaria_italica_v2.0:IX:775712:779213:1 gene:SETIT_039210mg transcript:KQK86211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFNLPDAINSCTMHGRQLYYRLVFLLGMDPSLSIEIIAFWLLVEGNSEVDFLRRINSFHGDHFLALVATGKQFIDALHGNLVDLKSRSAREFHSQVMLGICFFLNNVCYKVLTDLRQKAEHGVAIHDIEESPKSPDSNYHVLRRYMKDRHHISTVSTKITCFKTYFDRGCSISRSMPSAISLEDIEESPDSNYHDIHDLESLFNNCTISPQFLSEWDNTFPQSSTMNMDPYVHSPVPQDDRTLFVTFSNGYPLTKKEVYNFFMSNFGDVESLRIEEPVELRPPQYAVVIFGSLETVLLILSGKEKVKFVIGGKHLWARKYVPKNKKNGKSKAWM >KQK86706 pep chromosome:Setaria_italica_v2.0:IX:3365732:3367341:1 gene:SETIT_039058mg transcript:KQK86706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRQRRRSSPSPSLSPSSTRHQPCASAMAAPRRSAPIYVLNGTVEQLTGDPGQGRRWKGSRSTCASATPRSSPPPWPSARVSDEALRALNSSVRHELGDRRKMYAVGRIVLAEQGLFVVVVIFHLECGRDLAYFLVYDDVDASLSMMNYLTDLYEASNAYKSLLFMAQKSPPGPMLQFVGLPPVLCVFSPAAGAADDTACPWRVRDPFIADAAFPLHGKGFWGDVTQGLVHFDLRAATSDGDPAAVDFGFIELPRECQLDLGQMLKVRDERTTLTRTMARVGESIWFVCIDQAEQVADDVLTMWTLELPGERWKKEWEGGGVAGRGAGVPYYPVLTADGALFVVMIAYRGRRSRGDPLVGHVCSVDVLNKRLLCHGLVHDYPFCDPVIFPSDFFRREHGSGRTKLEEHLPPAAGPASLAGSKGSIMSQGAC >KQK91667 pep chromosome:Setaria_italica_v2.0:IX:51126160:51133256:-1 gene:SETIT_034269mg transcript:KQK91667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGGGGCCPPMDLMRSEAMQLVQVIIPAESAHLTVSYLGDLGLIQFKDLNAEKSPFQRTYAAQIKRCSEMARKLRFFKEQMSKANISPSPTQLNEAHLEFDDLEIKLGELEAELTEVNANNEKLQRTYNELLEYNTVLQKAGEFFYSAQRSAAAQQREMEANQSSQTSLESPLLEQEMSTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQEPIDEPVTDPVSGEKVAKNAFVIFYSGERSKAKILKICDAFNANRYPFPEDVTKQLHAVQEVSGRISELKATIDMGLAHRDSILKSIASDFEQWNHLAKREKAIYHTLNMLSVDVTKKCLVAEGWSPVFASVQIQDALQRATVDSKSQVGSIFQILNTKESPPTYFQTNKFTTAFQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLGTLFLIIREKKLASQKLGDITEMMFGGRYVIMMMSIFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCRDSTTEGLIKVRGTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVMSYFNAKFFRNSINVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDELGENQLFSGQKTVQLVLLLLALVSVPWMLIPKPVLLKKQHERRHQGHQYAMLQGTDESVGAELGEHHDESHDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYDKVLMLTWRMNNVVALILGVIIFIFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFAPFSFALIREEED >KQK91668 pep chromosome:Setaria_italica_v2.0:IX:51125805:51133438:-1 gene:SETIT_034269mg transcript:KQK91668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGGGGGCCPPMDLMRSEAMQLVQVIIPAESAHLTVSYLGDLGLIQFKDLNAEKSPFQRTYAAQIKRCSEMARKLRFFKEQMSKANISPSPTQLNEAHLEFDDLEIKLGELEAELTEVNANNEKLQRTYNELLEYNTVLQKAGEFFYSAQRSAAAQQREMEANQSSQTSLESPLLEQEMSTDPSKQVKLGSLSGLVPKEKAMAFERILFRATRGNIFLRQEPIDEPVTDPVSGEKVAKNAFVIFYSGERSKAKILKICDAFNANRYPFPEDVTKQLHAVQEVSGRISELKATIDMGLAHRDSILKSIASDFEQWNHLAKREKAIYHTLNMLSVDVTKKCLVAEGWSPVFASVQIQDALQRATVDSKSQVGSIFQILNTKESPPTYFQTNKFTTAFQEIVDAYGVAKYQEANPGVFTIVTFPFLFAVMFGDWGHGICLLLGTLFLIIREKKLASQKLGDITEMMFGGRYVIMMMSIFSIYTGLIYNEFFSVPFELFGKSAYACRDPSCRDSTTEGLIKVRGTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIVMSYFNAKFFRNSINVWYQFIPQLIFLNSLFGYLSLLIIIKWCTGSKADLYHVMIYMFLSPTDELGENQLFSGQKTVQLVLLLLALVSVPWMLIPKPVLLKKQHERRHQGHQYAMLQGTDESVGAELGEHHDESHDHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSTVFYDKVLMLTWRMNNVVALILGVIIFIFATIGVLLVMETLSAFLHALRLHWVEFQNKFYEAVVKSWVAFVPRTIIFSSVVLEGS >KQK92630 pep chromosome:Setaria_italica_v2.0:IX:56495620:56497743:-1 gene:SETIT_038731mg transcript:KQK92630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNPCAICLGAIGAGGGQAIFTAECSHTFHFSCISTSVSQGRRVCPLCNAQWRELPSVRPVLLPSSMPPTLPLQPLPRMEPMHGVQPPPPRQQPVPAVQPPPRWSPEPEVFDDDDQVEPPVSDHDGQRREAAAASSGPLVVKTHVEYSAVARDSSHDNFAVLVHVKAPGVVDGEAAAGDAPRAPLDLVTVLDVSGSMRRNKLALVKQAMGFVIDSLGPDDRLCVVSFSSGARRVTRLLRMSDIGKGLASEAVESLTACGGTNIAEGLRTAAKVLGDRRYRNAVSSVILLSDGQDNYNVRRRRESVTNYEVLVPPSFVPTGVGDWSAPIHTFGFGHNHDAAAMHVIAEATGGTFSYIENEEAIQDAFAQCIGGLLTVVVQEARIAIASGHPGVRISSVKSGRYESCVDDDGRSASVAVGELYADEERRFLLFLSVPTAEATDGETPLINVRCSYREAAGGAHVDVTVEDTVVARPEHAAYADRSAEVERERVRVEAVEDIAAARAAAERGAHQEAAAILGSRRRAVARSAAARGGDPTSAALGTELRRMCRRVATRECYRESGRAYVLAGLSSHEQQRATSRQLQPLRHHLADGGGGEAMNSVAAAFLQMPTAVGAGDMEVADVATGLMDYFGGGGPAGEAGTSSAVGAAERVSENEAEATSSYLTPAMRAMLLRSRIAREASAEERQQRKAAEEAGSSESKGVPDQ >KQK88072 pep chromosome:Setaria_italica_v2.0:IX:11299347:11303241:-1 gene:SETIT_035266mg transcript:KQK88072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNGGSRKKPCDCCKRYLDHLAGKNQNMSCFLRHMTANFKHSMVMPNRFLKHFAGKLSGTIKLESPNGNLYDVEVTERFNKVILRHGWGDFVDAHRIEENNFLLFRHIENSLFEVLIFDADGCEKVFSCAGIKNNRSLQENTVDSLYISSSSCHDTSESSASERLVRCEKGGSSHCGKTAKMDATSSSSESSGEYNLSENKSFETDDLQTNPGPDYVLSRGSYLSEAQEERVIALIQEIQPESTVYVAVMRKCHIEQPGPYLAIPKEYAFSHCLHERTNVTLQRPGKSKKWHPRFHERKDKRMFMLSGQWLDFVRDNHVQKEDICLFLPAKGGRRFTFTVYLLRATATYSRGGAAFQKVGSCNGRSSTKMASVAPYIVSSKSCLSQSQKKIVEEMVGAIQSEVPIFVAIMKKINVDVTRRYRLIELGKQFATSQLPHRGQTVLLQCMKKIWTTKMVIHGGRRRWFLVGGWSTFARDNGLRVGDICLFELKKTEEELTMKVHIISREQF >KQK90587 pep chromosome:Setaria_italica_v2.0:IX:43866389:43870981:-1 gene:SETIT_035017mg transcript:KQK90587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATNAAAAAAAAAAISGAAASQPSRAPSFLPMRRRCAVRAVHAAEPSKSHGVPAAAKTSAPTVAPEKEAAPVAAPAPAPAPKAPAKWAVDSWRSKKALQLPEYPNAAELEAVLKTIEAFPPIVFAGEARHLEERLADAAMGRAFLLQGGDCAESFKEFNSNNIRDTFRVLLQMSAVLMFGAQMPVVKVGRMAGQFAKPRSDPFEVRDGVKLPSYRGDNINGEAFDEKSRVPDPQRMIRAYAQSAATLNLIRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMSAAGLTADHTLMKTTEFWTSHECLLLPYEQALTRQDSTSGLFYDCSAHMLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMNPSDLVKLIEILNPSNKPGRITIITRMGAENMRVKLPHLIRAVRQAGLIVTWITDPMHGNTIKAPCGLKTRPFDSILAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSDRYHTHCDPRLNASQSLELSFIIAERLRKRRIRSSSGLNNILPLPPFGF >KQK87222 pep chromosome:Setaria_italica_v2.0:IX:6144554:6146470:1 gene:SETIT_0372121mg transcript:KQK87222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AHFVEMDPWVISEVLKPNLECTGFLDVSHIHMLRVEKFLANAEKSQGKYPSFDYISVTPPYLEVNYSTLLDQLARSPLVGKDCFILVEYPLKTDMAESCGNLIKIADRRFGRTNLLIYGPTWSEKKRRT >KQK90007 pep chromosome:Setaria_italica_v2.0:IX:38488942:38489022:1 gene:SETIT_039579mg transcript:KQK90007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSIPVQLSVVVNSCGKNVPQGFQCPT >KQK91787 pep chromosome:Setaria_italica_v2.0:IX:51799242:51801341:1 gene:SETIT_040190mg transcript:KQK91787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNDGGILEIHCLVTAFSLLFKLKSSHASTCPKENSGAKRHSGSWRACSYILVTQCFEELAYYGIQFNLVTFLKTVLHESNVTAARSYTNWQGTCYIAPLAGAIIADSYLGRYLTTVAFFTVYLLGMAAMSISASFLKGGSSQPAVFFLGLYMMGIGAGGIKPCVSSFGADQFDDSSLAERLKKDSFFNWFFFATYIGSFVAGTAVVWVQDHYGWGVGLWLPTLFIALAIASFLLGSSKYRVQKPLGSPIIRVLQVIFAAVRKCNVVLPYDDSLLHELPEKTPMADVHKLQHTPVLRFLDKAAVISSTEDPSDSDPWRLCTVTQVEELKVIIGMLPIWATGIVFFAVLAQFSSTFLEQGRTMNKHLGAFAIPPASLASFDAVSVLIWVPVYDRVLVPVARRLTANARGISELQRLGAGLLLSVLVMVTAAVVETRRLASAHGEGRSSMSILWQVPQYFLVGASVVFACVGQTEFFYNEAPPSMRSLCSALALLTVALGSYVSSLVVTMVEWLTTRGGGPGWIPDDLNDGHLDRFFWLLAVMSALNLAVFVCCARRYKRKSVS >KQK91046 pep chromosome:Setaria_italica_v2.0:IX:47330282:47335053:-1 gene:SETIT_034100mg transcript:KQK91046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVVLAVAVVLAACVPRACHGMTDSQDTSVLRALMDQWQNAPPTWGQSDDPCGDSPWEGVTCANDKVISIKLSTMGIKGVLAADIGQLSGLQSLDLSFNKDLGGVLTPTIGNLKQLTTLILAGCSFHGTIPDELGSLPKLSYMALNSNQFSGKIPASLGSLSNLYWFDIADNQLSGPLPISTSGGMGLDKLFKTKHFHFNKNQLSGPIPDALFSPEMTLIHLLFDGNKFTGSIPDSLGYVSTLEVVRLDRNSLSGPVPSNLKNLTKVNELNLANNQLTGTLPDLSGMDLLNYVDLSNNTFDPSPCPAWFWRLPQLSALIIQSGRLYGPVSPRLFSDQQLNQVILDDNALNGTLDLGRSISGELSLVSFKNNEFSSVTVTSSYNGTLALAGNPVCEHLPNYCNGTQKGLSVPYSTSLVKCFSGTCPAGQSLSPQSCSCAYPYQGIMYFRAPFFHDVTNGTAFQALESMLWTKLALTPGSVSLQDPFFNSDSYMEVQVKLFPSGSGAYFNRSEVMRIGFDLSNQTFKPPKEFGPYYFIASPYPFPEGGSSSKSKGVIIGIAVGCGVLFVALAAAAVYAFVQRRRVQKAKEELGGPFASWARSEERGGAPRLKGARWFSYEELKRSTNNFAEANELGYGGYGKVYRGMLPTGQFIAIKRAQQGSMQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEFMSGGTLRDSLSGKSGLHLDWKKRLRVALGAARGLAYLHELADPPIIHRDVKSSNILMDEHLTAKVADFGLSKLVSDSERGHVSTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVMLELIVAKQPIEKGKYIVREAKRMFDASDAEFCGLKDMIDARIMNTNHLAAFSKFLQLALRCVDEVATARPSMSEVVKEIEVMLQSEGLSSSSTSASTSATEFDVTKGAPRHPYNDPLPKKDKDVSTDSFDYSGGYSFQSRVEPK >KQK88952 pep chromosome:Setaria_italica_v2.0:IX:18958688:18960933:1 gene:SETIT_039593mg transcript:KQK88952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASGAGDGASLAKAGVNDATSPVKKNEPVPFAWKDLPPADVCMPVLGVADELWPELSRSTAAAKGKGKGKSPSSSSTALDAPITAAPLLEQMSRDQSVHKAPAPRLAVTAIAPARLLPWERRADAPEQPPSHRSINNERARGHHQQNGRFVPHPHGRGGGEGYNRGGGSRRPPVGSGANGRGDINVNGNAYRNRGGGGWQRHEHRGGFNGQPRGRGYVDDHRGPGHRPLGPPMGYIDAPHHMHPAPPFMGMVPPPMPYPYYYGMPYGYPGPSQTEPQQQQPVQGQQTPPNQENQQTPEQLRQVIRKQIEYYFSTENLDEDIYLRAQMDEQGWVPLTLIAGFKKVCSTTTDMELILDSILPSTEVDIL >KQK89148 pep chromosome:Setaria_italica_v2.0:IX:21344575:21351051:1 gene:SETIT_036457mg transcript:KQK89148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEIDELIGFLSDPKPQVRSAAVDIVRGLTGGEDGLRALTVRADRALPALLRLLASAAGSGAGEAAADSLVNLSQDAALAARLAALGAVDAAMDVIARRAGEQPALARSLVMLLVNLTHVASGVAALLQVGDEKVQGLYVAKLVRSFCRSSSDSEEQDTFEYVASMLVNISKVEAGRRILMEPKRGLLKQIIRQFDSTNQLRKKGVAGTIRNCCFEADTQLQNLLSLAEYLWPALLLPVAGKKIYTEEDRSKMPLELSSALSHEREAVEDSEIRQHTLEAIYMIVLQDDGRRSFWSVNGPRILQVGYEDEEDPKVMEAYELIGSLLVGKGEGEQEQGGEKSQ >KQK90154 pep chromosome:Setaria_italica_v2.0:IX:40283866:40285598:-1 gene:SETIT_039701mg transcript:KQK90154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATANPDCSPAAEDRENCDLFRCVCCWSKYCSPQIPRIAPGRDYIRVPSDADADDRPLWSVLVGCTSIAEPFHNLRLRLHRFRVAASGRVIGRTDDTLQPLLAVSPDDEENLFVSDATAALAPDGRRLYVLCTHSPGVGGAISSCGSPPALAALQFPVRGFAVDLGTRSLSALPPLPFSHGSYLVRNRLGAGDGGMRLVVRRLDDGEAAWEEAAGVDILYQPFVNSRLSGCHLQGYAVIRDRFILLSLIDSIFFSDCAAGTLTPVTTVGKSPYKPISGRAVHFGGDDDDTVYFVRGANLFAYEFSPEEGKLLAPAMEVDRLWPYYEEWSGSVVHLTGRMLCAVWINMYLPCGCAERHALITTFLVEGGHDGDGGSFVPCGVHVLHSTCRRVGMLRSSAVPRYESFDAFCFLQEYLDHSELLDPSMPITLPEDLLQVPGKYRTPDHYRFVSTI >KQK86807 pep chromosome:Setaria_italica_v2.0:IX:3824350:3824722:-1 gene:SETIT_038478mg transcript:KQK86807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTNGAWCCNMEALSCSCRNQTIKLLLRNRLFYSIFSLSYTLFLLPAYLLQSDV >KQK89239 pep chromosome:Setaria_italica_v2.0:IX:22406156:22409359:1 gene:SETIT_039021mg transcript:KQK89239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNENNVESFEEDSDSNNDCGSYSTPPEYEPSPPRSRRCPDEDDPEYDPTANHQGANNEDEQPMLPPILEALNEDDGTSAIEGDKWVDDLEVNDPTSPSPASPPPKRPVVPRMVSTYEKAPSADVDKFLNVSKKASSSGEKSVARSASQQKEKDQNLNFFASDNVPMDYDHGKQFLYRWDLLEGPWELNKLHEWIMNAMKQGIRGITAHVPTKVFLGVLPYHIVIDFEDLHRLYHRQHLDVNLISVWCLMQWREEELTHDRFKVAYLDPAHISEPEHKLKMTETIKAQIEVAEIQAEKDAIKKAHREEMHKVSVYIAKVMKKKVGKDYIMAPYGFEHHWMCIIILPKLGQAVVLDSASYHRDRYKDFIGIIQNAYKLYILKGRVHNPKRTKAMKIIYHRFQPPGSVLCGYYMCKFIRNNGSNYSKIED >KQK87972 pep chromosome:Setaria_italica_v2.0:IX:10548825:10549320:-1 gene:SETIT_038443mg transcript:KQK87972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLALLKLWILPNSMLASFLQIYHPLYMTLQFNIQINQHRMAYCMKHHNLKPNIDMTSKK >KQK90842 pep chromosome:Setaria_italica_v2.0:IX:46119153:46125694:1 gene:SETIT_034151mg transcript:KQK90842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLEALKDLISDNNPMVVANAVAALAEIQDSSVRPIFEITSHTLSKLLTALNECTEWGQVFILDSLSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKIILLQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLETFPEEPALVQLQLLTATVKLFLKKPTEGPQQMIQAVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDSSLLDELLANISTLSSVYHKPPESFVSRVKAAPRADDEEFADTAEGGYSESPSQGVDGASPSSSVGTSSNVPVKQPGAGSPPAPAAMPDLLGDLMGMDNAIVPVDEAAAPSSPPPPVLLPSTTGQGLQISAQLTRRDGQIYYDISFENGTQGVLDGFMIQFNKNTFGLAAGEALKVPPLQPGATTRTLLQMVPFQNIAPGAPNSILQVAVKNNQQPVWYFNDKIPLHVFFGEDGKMERASFLEGWKSLPDDHEFTKEFPGSVISSIDATVERLAAANVFFIAKRKNANMDVLYLSAKMPRGIPFLIEITAAVGVPGVKCAVKTANKEMVPLFFEAMEALTK >KQK87145 pep chromosome:Setaria_italica_v2.0:IX:5756439:5759088:-1 gene:SETIT_037022mg transcript:KQK87145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLQATVPFVRPGSCWDLKLSGKGASILHSKLGCSAVYNSVEGHHVQKPHIVHSYKVNFTRVSHHLCKSLNERTTRHWLHRFHVNASSDDDFRSSRNIAITLFKRYKNVIDRGGGENLEEFVSAGVNAYALGCTDEGLRKELMDIEDSGLEIEGLGEISLKFKVHSFEIRECILWLSIVFITILCTPQPTVIRWSPNPPVSADVLHQWKGFCALIANAYYVKGMAWLPVKTLQLEQMAVTGNSEEPSLVASRMQLVFSTLEVVSPQWPRV >KQK87144 pep chromosome:Setaria_italica_v2.0:IX:5757430:5758113:-1 gene:SETIT_037022mg transcript:KQK87144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLQATVPFVRPGSCWDLKLSGKGASILHSKLGCSAVYNSVEGHHVQKPHIVHSYKVNFTRVSHHLCKSLNERTTRHWLHRFHVNASSDDDFRSSRNIAITLFKRYKNVIDRGGGENLEEFVSAGVNAYALGCTDEGLRKELMDIEDSGLEIEGLGEISLKFKVHSFEVNILQSI >KQK87182 pep chromosome:Setaria_italica_v2.0:IX:6004390:6005081:1 gene:SETIT_037616mg transcript:KQK87182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARIIFCVVVAAAVLAVVLLATVSPLPHGSGGGHRGATGQRSFTVYVHPTVSAAVQAQQQGKEVARRGEASALVFHHRMTAGPESTSRTVGAASGFVLLLAGERGLAAASVFDTVHLSFDGAAGLSGSLCVEACSRRPEKRGHDAEEEVLQVVGGTGAFAFARGHAVLRRQRPGHGVTAAALCLDISVFSAAS >KQK87997 pep chromosome:Setaria_italica_v2.0:IX:10730012:10732054:-1 gene:SETIT_035446mg transcript:KQK87997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSALSPNYLCLVALRFLVGVGVGGSHVFTSWFLEFVPARNRGTCMIVLSLFWTLGTILEASLAWVVLPVLNWRWLLAFTALPCFLLLPFFGLTPESPRYLCVQNRMSDATAVLERMASSNQSILPPGVLTYHRETKVDHNSLASESECLLPVKEKDCTVDDAMSSESGSLAALRTLLSRNLLRSTLLLWFVFYANSFAYYGIVLLTSELSDANKSCATGVIFGLHQKKDTNIYKDTFITSLAEIPGLILSAILVDWFGRKASMWSMMFACCAFLGPLVLHENELLTTILLFGARACAMGSFTILCLYAPEVYPSYVRSTGAGIATAIGRVGGVVCPLVAVAMLRSCHQMEAIVVFEVVLCLAAVGCIFFPVETKGRGMD >KQK87998 pep chromosome:Setaria_italica_v2.0:IX:10729758:10734373:-1 gene:SETIT_035446mg transcript:KQK87998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYTTDDALTMMGFGRFQALVLVYAGIGWVAESMELMLLSFLGPFIREEWNLSPENESMISSVVFAGMLLGACSWGFVSDKYGRRTGLLFSTIFTSGMGFLSALSPNYLCLVALRFLVGVGVGGSHVFTSWFLEFVPARNRGTCMIVLSLFWTLGTILEASLAWVVLPVLNWRWLLAFTALPCFLLLPFFGLTPESPRYLCVQNRMSDATAVLERMASSNQSILPPGVLTYHRETKVDHNSLASESECLLPVKEKDCTVDDAMSSESGSLAALRTLLSRNLLRSTLLLWFVFYANSFAYYGIVLLTSELSDANKSCATGVIFGLHQKKDTNIYKDTFITSLAEIPGLILSAILVDWFGRKASMWSMMFACCAFLGPLVLHENELLTTILLFGARACAMGSFTILCLYAPEVYPSYVRSTGAGIATAIGRVGGVVCPLVAVAMLRSCHQMEAIVVFEVVLCLAAVGCIFFPVETKGRGMD >KQK88000 pep chromosome:Setaria_italica_v2.0:IX:10730469:10733245:-1 gene:SETIT_035446mg transcript:KQK88000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYTTDDALTMMGFGRFQALVLVYAGIGWVAESMELMLLSFLGPFIREEWNLSPENESMISSVVFAGMLLGACSWGFVSDKYGRRTGLLFSTIFTSGMGFLSALSPNYLCLVALRFLVGVGVGGSHVFTSWFLEFVPARNRGTCMIVLSLFWTLGTILEASLAWVVLPVLNWRWLLAFTALPCFLLLPFFGLTPESPRYLCVQNRMSDATAVLERMASSNQSILPPGVLTYHRETKVDHNSLASESECLLPVKEKDCTVDDAMSSESGSLAALRTLLSRNLLRSTLLLWFVFYANSFAYYGIVLLTSELSDANKSCATGVIFGLHQKKDTNIYKDTFITSLAEIPGLILSAILVDWFGRKASMWSMMFACCAFLGPLVLHENELLTTILLFGARACAMGSFTILCLYAPEVCISFLWHIALFAIPLLINL >KQK87999 pep chromosome:Setaria_italica_v2.0:IX:10729758:10734373:-1 gene:SETIT_035446mg transcript:KQK87999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSALSPNYLCLVALRFLVGVGVGGSHVFTSWFLEFVPARNRGTCMIVLSLFWTLGTILEASLAWVVLPVLNWRWLLAFTALPCFLLLPFFGLTPESPRYLCVQNRMSDATAVLERMASSNQSILPPGVLTYHRETKVDHNSLASESECLLPVKEKDCTVDDAMSSESGSLAALRTLLSRNLLRSTLLLWFVFYANSFAYYGIVLLTSELSDANKSCATGVIFGLHQKKDTNIYKDTFITSLAEIPGLILSAILVDWFGRKASMWSMMFACCAFLGPLVLHENELLTTILLFGARACAMGSFTILCLYAPEVYPSYVRSTGAGIATAIGRVGGVVCPLVAVAMLRSCHQMEAIVVFEVVLCLAAVGCIFFPVETKGRGMD >KQK87336 pep chromosome:Setaria_italica_v2.0:IX:6738842:6742992:1 gene:SETIT_040207mg transcript:KQK87336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSALLTSAGINIAVCVLFLSLYSVLRKQPHNFSVYFGRRLAEEKFQRQDDYFSFERLLPTAGWIVKAYWCTEEEIRQVAGLDSVVFLRLFIFSIRIFSITSLVCIFGVLPVNYHGKEMTHNHIPAESLNVFTIANIIEESRKLWVHCIALYIITISACILLYNEYKYISRKRLAHITGSPPNPGHFTVLVRSIPKSDNELLDDTIRNFFVNYHGSSYLSHQMILRKGHFQRFVDRAERAYRKFVRVRFSASERNGRSSMSRCGVCGVRASSFQIYRNKFIEAKKADLTNPEVVDAQKNCPGALVFFKTRYAAVVASRVLQSSNPMLWVTDLAPEPRDVYWSNLWIPYRQIWLRKLATLAASVVFMFVFIIPVAFVQSMMQLEQLKKMFPSFRGILNKSFFARVVTGYLPSVVLLLSLYTVPPLMMLFSSIEGSISRSGRKRSACLKILFFTVWNVFFVNVLSGSVLNQLNVFTRPKDMPTMLAELVPKQATFFITYVLTSGWASLCSEILQVYNLVYNFFSKCICCCQQNTEYVYSFPYHTEVPKVLLFNLLGFTFAIMAPLILPFLLVYFCLGYLVYRNQILNVYYPKYEMGGKLWPIMHNTIVFSLVLMQVIALGIFTIKHSPVATGFTILLLVGTVLFNEYCRHRFSRIFEAYSAQDVIELDRDDEQSGRMQEIHQHLQDAYSQTPPGEGSSRSGGQVPIELILEDPAQEASESSQELCDTVQEVSEAHEHIIEEGKAHSV >KQK87894 pep chromosome:Setaria_italica_v2.0:IX:10007628:10009357:-1 gene:SETIT_039097mg transcript:KQK87894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLSAAASTIPRLRLATQPRPKLPSYRSHWLRTLSSATHVTPAAAAGAGSLEPPDLPRLAKAARISLSPQEVGQPAAFCSSLCEASVALRKKETDTDCLLAFSVPARQAEEFEPKIRQVVDCECRDAIVEAIPSYDDPYIKVPRVLNKE >KQK86792 pep chromosome:Setaria_italica_v2.0:IX:3759144:3764642:1 gene:SETIT_034113mg transcript:KQK86792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVRSSSGAAAEPPLAVARSSSPPHTPVASSAGASSPAMQTNIGRQASRVDSSSQVAAHAYHPSHSFDAAGTAMDSAPSCRPWERGDLLRRLATFKPSTWASKPKAASSMACAQRGWVNFDLDKIECESCGAHLIFNALMSWSPVEVANAGEAFAEQLDAAHQNSCPWRGNSCADSLVQLPLTQSALIGGFKDRCDGLLQFTSLPVIASSAIENMRMTRSTQIDRLLSQSITFLSGVLGCKAESTAGVDTHQDSSCSYSQAQKLIGLCGWEPRWLPNVQDCEENSTHSAKNAPSVGPDEPFYPHFVEHNKNSFSASAKKDKGKGKLPLRDSGCSMRSPLLDCSLCGATARMWDFRPVLRPSRLSPNNIDVPETGRKLTLTRGISAASGINEWVNDGVERGQDEGRDEAATNEGKSLSIVGVDLNLTMTGGLPSPRSATPAASERFNNGGMGRDLMIGQPTGSEVGDCETSYESRGPSSRKRNLEEGGSTADNPQDRLHHADSIEGNYIDRDGEEVDDAAQDSDVPSKKSRGFDLFDAYRPSSEAGPSRNLSFDPDVGAGMFSHSRAIDLAVIEHPAARDSTRASSVIAMDTVRTSEEDSMESVEYYPGDGNDIDMPSSSAHRNIEMNDALDLNYSNQAQQSANAHAAAGSDAREIGGSSTNEGEEVINAETAPAFGRDQLSLGISGGSVGMGASHEAEIHGNAASLHRADSVVGDAEPIAELTETMGQTGEFVPEEVNRVEPHGDSQDMVSRSVGQADSGSKIYGCTKADSVESGEKMGHATGIESNMRPSLSCNAGMCAGFDPSKDDVTQAGKILTTDDALMGLDYDPGNGLGTCIQAFC >KQK86793 pep chromosome:Setaria_italica_v2.0:IX:3759162:3765694:1 gene:SETIT_034113mg transcript:KQK86793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEVRSSSGAAAEPPLAVARSSSPPHTPVASSAGASSPAMQTNIGRQASRVDSSSQVAAHAYHPSHSFDAAGTAMDSAPSCRPWERGDLLRRLATFKPSTWASKPKAASSMACAQRGWVNFDLDKIECESCGAHLIFNALMSWSPVEVANAGEAFAEQLDAAHQNSCPWRGNSCADSLVQLPLTQSALIGGFKDRCDGLLQFTSLPVIASSAIENMRMTRSTQIDRLLSQSITFLSGVLGCKAESTAGVDTHQDSSCSYSQAQKLIGLCGWEPRWLPNVQDCEENSTHSAKNAPSVGPDEPFYPHFVEHNKNSFSASAKKDKGKGKLPLRDSGCSMRSPLLDCSLCGATARMWDFRPVLRPSRLSPNNIDVPETGRKLTLTRGISAASGINEWVNDGVERGQDEGRDEAATNEGKSLSIVGVDLNLTMTGGLPSPRSATPAASERFNNGGMGRDLMIGQPTGSEVGDCETSYESRGPSSRKRNLEEGGSTADNPQDRLHHADSIEGNYIDRDGEEVDDAAQDSDVPSKKSRGFDLFDAYRPSSEAGPSRNLSFDPDVGAGMFSHSRAIDLAVIEHPAARDSTRASSVIAMDTVRTSEEDSMESVEYYPGDGNDIDMPSSSAHRNIEMNDALDLNYSNQAQQSANAHAAAGSDAREIGGSSTNEGEEVINAETAPAFGRDQLSLGISGGSVGMGASHEAEIHGNAASLHRADSVVGDAEPIAELTETMGQTGEFVPEEVNRVEPHGDSQDMVSRSVGQADSGSKIYGCTKADSVESGEKMGHATGIESNMRPSLSCNAGMCAGFDPSKDDVTQAGKILTTDDALMGLDYDPGNGLGATNGENDYESGLLEFDPVKHHNSYCPWVNGIVAAACCNNIGSSSSSSALSGWQLTIDALDTFHSLGQAQNQIMQSDSAASLYMDDQITHNRKLGRRPSVSRSYGKC >KQK88229 pep chromosome:Setaria_italica_v2.0:IX:12423422:12427152:-1 gene:SETIT_037925mg transcript:KQK88229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVDQARPPSFLFPLSLSCVSPDSSGCGGDLEPSCSILLFSTDHRQLRCSKNRIMEGGGSTTRFYRGTTTTLATNPTSAANGAQKGDREAAVDAEIARVNKLPAHSSYAIHRMKVLNKLRHLLSIKRTTSQDEELELLFATLSI >KQK88231 pep chromosome:Setaria_italica_v2.0:IX:12423420:12427152:-1 gene:SETIT_037925mg transcript:KQK88231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVDQARPPSFLFPLSLSCVSPDSSGCGGDLEPSCSILLFSTDHRQLRCSKNRIMEGGGSTTRFYRGTTTTLATNPTSAANGAQKGDREAAVDAEIARVNKLPAHSSYAIHRMKVLNKLRHLLSIKRTTSQDEELELLFATLSI >KQK88230 pep chromosome:Setaria_italica_v2.0:IX:12423435:12427152:-1 gene:SETIT_037925mg transcript:KQK88230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVDQARPPSFLFPLSLSCVSPDSSGCGGDLEPSCSILLFSTDHRQLRCSKNRIMEGGGSTTRFYRGTTTTLATNPTSAANGAQKGDREAAVDAEIARVNKLPAHSSYAIHRMKVLNKLRHLLSIKRTTSQDEELELLFATLSI >KQK88828 pep chromosome:Setaria_italica_v2.0:IX:17442715:17447740:-1 gene:SETIT_034887mg transcript:KQK88828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAASLASKARQAGSSAAARQVGSRLAWSRNYAAKDIKFGVEARALMLRGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNSKTQKCELEDPLILIHDKKVSNMHAVVKVLEMALKKQKPLLIVAEDVESEALGTLILNKLRAGIKVCAVKAPGFGENRKANLQDLAVLTGGEVITEELGMKLESVEPLMLGSCKKVTVSKDDTVILDGAGDKKAIEERAEQIRSAIENSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYAAKELDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQGNTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTESIIVEIPKEEAPAPAMGGMGGMEY >KQK89727 pep chromosome:Setaria_italica_v2.0:IX:35418652:35421461:1 gene:SETIT_040766mg transcript:KQK89727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVSNARKRQGAKPAAAATPSSAADPAHNNTTSSSAKQAAGSGDRPVRVYADGIFDLFHFGHARALEQAKKLFPNTYLLVGCCNDELTKRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDIHQIDYVAHDALPYADTSGAANDVYDFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYSRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGLNHDEWLANADRWVAGFLEKFEQHCHNMETAIKDRIQERLGRQLSKGMSAGLVQQPVAA >KQK89728 pep chromosome:Setaria_italica_v2.0:IX:35418652:35421461:1 gene:SETIT_040766mg transcript:KQK89728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVSNARKRQGAKPAAAATPSSAADPAHNNTTSSSAKQAAGSGDRPVRVYADGIFDLFHFGHARALEQAKKLFPNTYLLVGCCNDELTKRYKGKTVMTQEERYESLRHCKWVDEVIPDAPWVLTQEFIDIHQIDYVAHDALPYADTSGAANDVYDFVKKIGKFKETKRTDGVSTSDLIMRILKDYNQYVMRNLARGYSRKDLGVSYVKEKQLQVNMKINKLRETVKAHQEKLQTVAKTAGLNHDEWLANADRWVAGFLEKFEQHCHNMVICFSKNVVLPKLAKWNFKLVRLDRRQVNTCNNWGKFAGFQLKQISF >KQK90513 pep chromosome:Setaria_italica_v2.0:IX:43285288:43287650:-1 gene:SETIT_040260mg transcript:KQK90513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEKDLHMSTGDGANSYAANSRLPKKALLMTKPVLQKAIQDMYTSSISSRSAVVVADLGCSSGPNTLLVVDEVMSTLRDCAREAETTDEDDRRSMQVQFFLNDLPGNDFNLVFRSLQQLQDFDVEEEDETVALPCYVAGLPGSYYRRLFPCQSVHLFHSSYSLMWRSKVPDDLSNGTYLNEENIYIGKTTPPSVVKLFQEQFQKDFKLFLTLRCKELVGGGRMVLTFLGRKTEEMLTHGEVGSMFELLAKALQCLVQKGLVEKEKMNSFNLPYYAPSVDEVKALIEEELFDIENIRLFESNWDPLDDSDSDVVLDCASSGRNVANKSIRAVMEPLIINHFGEAILDELFMLFAAMVAKYLEIRKAKYPVIVVSLKKVRH >KQK86947 pep chromosome:Setaria_italica_v2.0:IX:4640647:4642435:-1 gene:SETIT_035134mg transcript:KQK86947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSHCYISNGAPQNGVVVPMETGTLPPQDSHLLDPDEFRRQGHQVIDFIADYYARMGEYPVHPSVNPGFLRRELPADAPSRPERDAFDAALRDVRDLILPGLTHWQSPRHFAHFPASSSTVGALGEALTAGINIVPFTWAASPAATELEMVVVDWLGKALHLPERLMFCGGGGGTLLGTTCEAILCALVAARDRKLADIGSRRIGDLVVYCSDQTHFAFRKAAHIAGIHRDNCREIATCRDDVFALSPAELHAATQADVDAGLVPLFLCATVGTTQTTAVDPIRELSAVAAAHGAWVHVDAAYAGSALVCPEFRHVIDGVEAVDSFSMNAHKWLLANTDCCALWVRKPSLLVAALGTEQEYILKDAAAEGHDVVDYKDWSVTLTRRFRALKLWLVLRCYGVEGLRAHVRSHLRIAASFESMVRADARFEVTVATQFALVCFRLRSPEKLGGEKTANELNRRLLEEVNSTGSGPYMSSAMVGGIYMLRCAVGSTLTEERHVREAWKVVQDQAASLLRKMEINYSVVAE >KQK86509 pep chromosome:Setaria_italica_v2.0:IX:2336478:2340909:1 gene:SETIT_034209mg transcript:KQK86509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESGEASVGAFRIGPSTLLGRGVALRVLLFSSLWRLRARAYAAISRVRTTTVPAVASWLHLRNTHGVLLMVVLFALFLRKLSGARSRAALARRRSLCEKAMRHAGTYEEWVRAAKVLDKMSEQVHEADFYDEELIRSRLEELRRRREDRSLRDVVFCMRGDLVRNLGNMCNPELHKGRLEVPKLIKEYIDEVSTQLKMVCESDADELLLEEKLAFVQETRHSFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIIAGSSVGSIICSIVATRTWPEIESYFIDSLQTLQFFDRIGGIFAVMKRVTTYGALHDISQMQRLLRDLTSNLTFQEAYDMTGRVLGITVCSPRKNEPPRCLNYLTAPHVVIWSAVTASCAFPGLFEAQELMAKDRFGNIVPFHAPFSTDPEQGPGASKRRWRDGSLEMDLPMMRLKELFNVNHFIVSQTNPHISPLLRMKELVRAYGGRFAGKLARLAEMEVKYRCNQILELGLPMGGLAKLFAQDWEGDVTMVMPATVAQYLKIIQNPTYAELQMAANQGRRCTWEKLSAIRANCAIELALDESIAVLNHKRRLKRSIERTAASQGHSNYVRLKTPRRVPSWNRISRENSSESLSEEISAVATSSTQQGTVLVVGTPPSESLSEEISAVATSSTQQGTVLVVGTPNTSHHVRRNSHDGSESESETIDLNCWTRSGGPLMRTASADKFISFIQNLETDTDFIKPCTLEGETTGILSESTFPNDPCPNNSSRVTTPGTEISETESYNTVNTRGSQASTPTSIAVSEGDLLQPESTANGILLNIVKRDALHAQHDSVAELAERSLAEAYVEPCGAISGSDSTEDSKDAADSSNPSPDNADSVTSHQFSADD >KQK91945 pep chromosome:Setaria_italica_v2.0:IX:52852028:52853148:1 gene:SETIT_036933mg transcript:KQK91945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGEGSSGGAASVREPHDFSNVASFSELPFLRSAPPRESPNSGIRIFGIDVPHSSPEGKAAAKETTATTAAATQSSSGGGGAVAAPTDSSRKFECHYCCRHFPTSQALGGHQNAHKRERQHAKRVQMQSAMAAAAAAAAGGAHHHHLLGYPQHRFGVAGPTVATLYPSWPTMRAPGGAVAIGPQFYSGIGSITQPINGNPLTAGLWRGPPAGHGSTSMPPGGERRPVALSVFRGDEPRASTRLLPSPSSSSSLLLSPQGQFVCEQPATTAAEGVSLDLHL >KQK91772 pep chromosome:Setaria_italica_v2.0:IX:51705112:51707060:1 gene:SETIT_036337mg transcript:KQK91772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGSLGIRNWGFYETVKGNLGLQLMSSVPPDRDTKPLLPNGGNFLQHHGHHNAPHQHQHQHHTQHPHHPRGGGGGSGAPSGMPTEPPAVHMDFVRNEAWLHPSQHHHQHHHQHQHPRQPKVLHHLPVGPAGHAGHPGHGGHAVHHHPTGYGMMADAHGVHTLQMMQPQAQQQPQPQPQPQDPPPPKEESMPQPLIEDHPVLKNEPPVKKRQQGRQPKSPKPKKPKKVAAPQENGAPNKPAPRPRGPRKTVGMVINGIDLDLSRIPTPVCSCTGTPQQCYRWGAGGWQSACCTTSISTYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAGEGYNLANPIDLKTFWAKHGTNKFVTIR >KQK92430 pep chromosome:Setaria_italica_v2.0:IX:55427691:55428554:1 gene:SETIT_037515mg transcript:KQK92430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPLPLSPPRAHAALLTCSSTPIAFGLRSHSHPAAPSRGAWPLRARRNKNYRSEEDAATAEPKIITLGRPGKSRRRGNRKQLPQKADADGEGEDEGDDDDERDVAIPEVVTNRMMRRVGVSVGAPLALGVGFFPLFYYLKAVRKVDVPTWIPFGVSFVFFGAALLGVSYGIVSASWDPAREGSLLGWNEARRNWPVFWDSLRGRSRG >KQK89088 pep chromosome:Setaria_italica_v2.0:IX:20486041:20496259:-1 gene:SETIT_034066mg transcript:KQK89088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGVRMKGVEGAGAGAGDEEERNEEVREELELGLSLGRRGWHLPPRQEPAPRSLNWTAVLPEWNPDAAAGSSRAGERASGVPPIPSLGRPPCGWQYGGWVGQLDDEDEDRDLQNKRLRVRRFGEESPQHSGASAFGSESPFLPISDEHAHFKQSRSPEHELEFGLSLFPNDGGSESSRDANNELVDDAENSGGRNSEDVGIKMDLSDDLLHLIFSFLGQKDLCRAGVACKQWRSASVHADFWKCLKFENTRISLQNFVDICRRYQNVTELNLHGVINAEILVLEAIMFLRHLKTLTMGKGQLGEAFFQALAECPLLTALTVTDASLGSGIQEVTVNHDGLRELQILKCRALRISVRCSQLKILSLRRTGMAHVSLNCPQLLELDFQSCHKLSDNAIRQAATTCPLLAKLDMSSCSCVTDETLREIAGACPHLSVLDASNCPNISFESVRLPMLIDLRLLSCEGITSASMAAIAYSRLLEALQLDNCSLLTSVSLDLPNLKNISLVHLRKFADLNLRSPVLSYIKVSRCSALHRVSITSTALEKLVLQKQESLSSLMLQCHNLIDVDLSDCESLTNAICEVFSDGGGCPMLRSLILDNCESLSIVELNSSSLASLSLAGCRSMTFLRLSCPNLQNVNLDGCDHLESAAFSPVGLESLNLGICPKLSVLRIEAPNMSILELKGCGVLSEAFINCPLLTSLDASFCRQLEDDSLSRMAEACPLIEHLILSSCLSIGIDGLSSLHCLHKLTLLDLSYTFLISLKPVFDSCPQLKVLKLSACKYLGDSSLDALYRDGALPLLVELDLSYSSIGQTAIEDLLACCTNLVNVNLNGCTNLQELVCNDCSSVDMPVDFSHPNSAPANNEEISEQSGRLLEVLNCTGCPNIKKVVIPSMANFLNLSKINLNLSTNLKEVDLTCSNLHSLNLSNCSSLEVLKLDCPRLTNLQLLACTMLQEEELESAISLCSALEILNVHSCPKINALDFARLRVVCPSLKRIQSSLIA >KQK87532 pep chromosome:Setaria_italica_v2.0:IX:7809528:7809913:-1 gene:SETIT_040614mg transcript:KQK87532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMSNIYRHRPSLDHYHRKFHPFYLASVQKKPY >KQK86593 pep chromosome:Setaria_italica_v2.0:IX:2819941:2820406:-1 gene:SETIT_040667mg transcript:KQK86593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEHTNARMIFSFRYSYFVFSQVKGLYFVDTVLIHLFFVIS >KQK93051 pep chromosome:Setaria_italica_v2.0:IX:58524834:58527052:-1 gene:SETIT_038117mg transcript:KQK93051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTANMKENGADPYDLKQQENVLAESRMMVPDCHKRLETALADLKATLAELKEANEQGAEIGEAESTITEVEAVVKPTEE >KQK93052 pep chromosome:Setaria_italica_v2.0:IX:58525309:58526242:-1 gene:SETIT_038117mg transcript:KQK93052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRNLKIKTSTCKRIVKELRSYEKEVEKEAAKTANMKENGADPYDLKQQENVLAESRMMVPDCHKRLETALADLKATLVL >KQK87508 pep chromosome:Setaria_italica_v2.0:IX:7639318:7639809:-1 gene:SETIT_040419mg transcript:KQK87508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPALANVGNISLRCLHCIPSPVWPSSDGCSLI >KQK86993 pep chromosome:Setaria_italica_v2.0:IX:4961172:4962996:1 gene:SETIT_039866mg transcript:KQK86993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSMFSTVKVSNVSLKAVQRDIKEFFSFSGDIVHVEMQSGDELSQVAYITFKDNEGAETAMLVTGATIVDMAVIVTPATDYELPAYVLADLEPKDTKPSALQKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLSQKISVGNEKYQVSEKTKSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFSKVTSAANDVGAKAKEKIAAEQEHKNVEGGSAAQPDIPEGPTTHREVDGEFAKIHVSETPEDIPISTVATVPAVINEEPSKASPPADAPKKPEPAHGLIL >KQK87695 pep chromosome:Setaria_italica_v2.0:IX:8726272:8729532:1 gene:SETIT_037183mg transcript:KQK87695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPCQSLPGIGCGSIALARARVQQCLAAASHAPFLPPLLRANGGGRTGRSPASVKAGNAIGFGRRRRRDLRVVVAEASAAAAAKVTTASPGGVSISDVLWPSAGAFLAMAVLGKMDQMVAFKGVSLTIAPLGAVCCVLFSAPDSPAAKKYNMFVAQIGCAALGVLALSLFGPGWLARGAALSACIAFMTITGASHPPAASLPLLFIDGPKFHNLQFWYALFPGAAGCAVLCLIQEVVVYLKKNFKF >KQK87694 pep chromosome:Setaria_italica_v2.0:IX:8726272:8728472:1 gene:SETIT_037183mg transcript:KQK87694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPCQSLPGIGCGSIALARARVQQCLAAASHAPFLPPLLRANGGGRTGRSPASVKAGNAIGFGRRRRRDLRVVVAEASAAAAAKVTTASPGGVSISDVLWPSAGAFLAMAVLGKMDQMVAFKGVSLTIAPLGAVCCVLFSAPDSPAAKKYNMFVAQIGCAALGVLALSLFGPGWLARGAALSACIAFMTITGASHPPAASLPLLFIDGPKFHNLQFWYALFPGAAGCAVLCLIVSKLDLTAS >KQK90218 pep chromosome:Setaria_italica_v2.0:IX:40701586:40702698:1 gene:SETIT_037137mg transcript:KQK90218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEASAVRVIGLWPSPFVIRVLIALKLKGVEYEFVEEVVGRKSELLLRSNPVHKKIPVLLHNGKPISESLIIVQYIDEVWSAAAPAILPADPYTRAVQRFWAQYIDDKFPSAIRTLRGTDAGDKDQAAEQLSAALAALEGGLLKLGQGKHYFGEDSVGYLDIALVSHVGWLKAVEKMTGVALLDEAKVPNLVAWADRLCAHPAVVDAIPDADKFVEFSVMHGSFSKNYSPTKQDLHSLTKQDLTVVPTERSGPAA >KQK88158 pep chromosome:Setaria_italica_v2.0:IX:12028096:12035534:-1 gene:SETIT_034182mg transcript:KQK88158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEPVGAAAGDGGGEAKTGMKGRGKGAARGKGRGRGRGRRRGGGDRAVPAPAMDGVAVGDRVLRERRHPPNALCERDTDDDEYGAETVRDKFSKNGEVKQTGKKRKKGDAGKFSVTKMLKRENAEQKKASSSKDKDCDEKNRKGKKMLTGEQALMCHQCQRNDKGKVIWCNACRNKRFCVPCIERWYPDLSEDDFAAKCPYCRKNCNCKGCLRMRGVEEEQMEEKKLEAKIKGVLVNEVKLEQVECNLDERAYCNNCKTSIVDFHRSCKCCFYDLCLACCGEIRKGEIPGGEDIKMVTHDDYENRGEAYVFGTAYDENTRFSLRGHSSSPNTEPSNGMCSSEGPNKTLLLWKAESDGSIPCPPKELGGCGGSVLDLKCSFPEKMLSELEERAEKIMRSEVFAKAVAERSYQCPCYDHSGNIRTQDVREAANRKGSSDNHLYCPVATGIKEGDLVHFQMHWTKGEPVIVSDVLQLTSGLSWEPLVMWRALREKKTNGNIEDENFAVRAIDCLDWNEVEINIHMFFVGYTRGRTHPTTHWPEMLKLKDWPPSSSFDQRLPRHGAEFISALPFPEYTDPRYGPLNLAVKLPDGALKPDLGPKTYIAYGFNQELGRGDSVTKLHCDMSDAVNILTHTAEVPDETYPPKKIEKIRKKMKEQDLQELYGGLESSTEHNLPPTSTDSQNITVDETTKTSCLDTNALPPIDTEGDVEDKPPSHESKESGKHERTGGALWDIFRREDSDKLQGYLKKHASEFRHIHCNPVKQVIHPIHDQTFYLTEEHKRKLKEEYGVEPWTFEQKLGEAVFIPAGCAHQVRNLKSCIKVAMDFVSPENVDECIKLTEEFRRLPSGHRAKEDKLEIKKIALHALNQVVNFLDVSSDG >KQK89456 pep chromosome:Setaria_italica_v2.0:IX:30281540:30283410:-1 gene:SETIT_036315mg transcript:KQK89456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPARAGATATASGSLPRSTGRRRRNAVVVAAATGTGPAVPQEGALERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNIPWREMTKKVLESDVYEVFERIRDPNLVYPNYYLSPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPEATSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSAQAVGLDLSPYFLAVAAQREEKLSRQNPIRWVHANGEATGLPSDSFDLVSLAYVVKFYQNYNLLCMDLNL >KQK89454 pep chromosome:Setaria_italica_v2.0:IX:30280587:30283026:-1 gene:SETIT_036315mg transcript:KQK89454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKKVLESDVYEVFERIRDPNLVYPNYYLSPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPEATSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSAQAVGLDLSPYFLAVAAQREEKLSRQNPIRWVHANGEATGLPSDSFDLVSLAYVCHECPARAITGLVKEAFRVLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRQVGFINVCSILTDARHRTVTATVPY >KQK89458 pep chromosome:Setaria_italica_v2.0:IX:30281540:30283410:-1 gene:SETIT_036315mg transcript:KQK89458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPARAGATATASGSLPRSTGRRRRNAVVVAAATGTGPAVPQEGALERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNIPWREMTKKVLESDVYEVFERIRDPNLVYPNYYLSPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPEATSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSAQAVGLDLSPYFLAVAAQREEKLSRQNPIRWVHANGEATGLPSDSFDLVSLAYVVKFYQNYNLLCMDLNL >KQK89455 pep chromosome:Setaria_italica_v2.0:IX:30280287:30283456:-1 gene:SETIT_036315mg transcript:KQK89455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPARAGATATASGSLPRSTGRRRRNAVVVAAATGTGPAVPQEGALERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNIPWREMTKKVLESDVYEVFERIRDPNLVYPNYYLSPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPEATSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSAQAVGLDLSPYFLAVAAQREEKLSRQNPIRWVHANGEATGLPSDSFDLVSLAYVHEQ >KQK89457 pep chromosome:Setaria_italica_v2.0:IX:30280287:30283456:-1 gene:SETIT_036315mg transcript:KQK89457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPARAGATATASGSLPRSTGRRRRNAVVVAAATGTGPAVPQEGALERPAWSGETPLSRLVGALIAFKPLYSLMKLASREVIIRTAEKSNIPWREMTKKVLESDVYEVFERIRDPNLVYPNYYLSPFHAYDEGNLSWLAAAEAEPATMSIAKRAIPEATSIEEANQIVRGNWLNAIEEHHLKYSGNCQINDILDIGCSVGVSTRYLAEKFPSAQAVGLDLSPYFLAVAAQREEKLSRQNPIRWVHANGEATGLPSDSFDLVSLAYVCHECPARAITGLVKEAFRVLRPGGTIALTDNSPKSKVLQELSPVLFTLMKSTEPFLDEYYMLDLEETMRQVGFINVCSILTDARHRTVTATVPY >KQK87647 pep chromosome:Setaria_italica_v2.0:IX:8423740:8428646:1 gene:SETIT_035487mg transcript:KQK87647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVEGEVRRDMWGQEYRTSSAECAAALDAYYAAFLSFGRGRVAAALRAVAADPSCALAAAHAAHAVAPRDPAGAAAFLAAAADNLGNATDYERAVFGTLSAMVGEQRKEEVALQRHFELLKNFPRDILSLKRAQHLCFYLGNPDLSLKFVEQVLPENQDQNYIYGMLAFPLLELGKMDEAERAARKGLAINKNDVWSQHNLCHVFQQECRFREATEFMESCSPSWIACTSFLLTHNWWHVAVCYLEAESPLQKVLDVYDQNIMKELEKSDCEAAEVYLNALGLLLRLYVRGHVHPAKERLTTFLDALKDESIWHVEWLLDLLILWALSITGELKSAQNMLESLKSRVSSMDKDRQQVMQKALQLAEAVYQFGNEEHKTVFDTLGPDFDALGYKMIGASDEQVDVFNEVWYVVLISAGETSKAIDVLGKQIRKRDGAPFLWRLLAKAYSLDGRGADASVASEKADALQAAYSY >KQK87646 pep chromosome:Setaria_italica_v2.0:IX:8423740:8427050:1 gene:SETIT_035487mg transcript:KQK87646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVEGEVRRDMWGQEYRTSSAECAAALDAYYAAFLSFGRGRVAAALRAVAADPSCALAAAHAAHAVAPRDPAGAAAFLAAAADNLGNATDYERAVFGTLSAMVGEQRKEEVALQRHFELLKNFPRDILSLKRAQHLCFYLGNPDLSLKFVEQVLPENQDQNYIYGMLAFPLLELGKMDEAERAARKGLAINKNDVWSQHNLCHVFQQECRFREATEFMESCSPSWIACTSFLLTHNWWHVAVCYLEAESPLQKVLDVYDQNIMKELEKSDCEAAEVYLNALGLLLRLYVRGHVHPAKERLTTFLDALKDESIWHVEWLLDLLILWALSITGELKSAQNMLESLKSRVSSMDKDRQQVMQKALQVC >KQK89816 pep chromosome:Setaria_italica_v2.0:IX:36153659:36154599:1 gene:SETIT_038657mg transcript:KQK89816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRASWDEPTTKIFLELCIEQKNQLNWSDRCLTKLGWRNVHSRFRAETGLQLGTKQLQNKLSNLRRQFFGWRAWETSSGLGRDTQTGGVSADATYWEQDQQDTQARSQPQSVKPPPFLNELFELYGHEPQDRGTLLSAGGIREDTPSMGTEGNFVDLEDDPTPATSARFSARAKSKRPVREFSVDSPTKKRSDNLEQYIRELSESVAKRSLLRAPSVQEQINRCLEILKEDGIEQGSELHNQAMFSFGQSAECRTTFMGLDTKDARLSWINFYWNMMHKK >KQK92965 pep chromosome:Setaria_italica_v2.0:IX:58090159:58092925:-1 gene:SETIT_037542mg transcript:KQK92965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVAAASALSSPWKALLQRALDANAHLRHSTYFQLATVGAGGRPANRTVVFRGFQEHCDKIQINTDTRSNKIGEISICPFGEICWYFTDSWEQFRISGSIDAIDGSSADPAKLQHREKAWFASSVKSRLQYLGPQPGIPVVDEEQAKDVHLEPSAGPVDAFCLLVLDPEKVDYLNLKSNQRLIFTRRQKENGSSDWMAEKVSP >KQK86191 pep chromosome:Setaria_italica_v2.0:IX:677656:680591:-1 gene:SETIT_034562mg transcript:KQK86191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYESVKKVTTCHSLLCAWHLLDLEATFSFQEKHKEKKHKKDKKDKDKKEGKEKKDKDRSKDKHKDKKDRKEKHRDKKKDKSKDKSRESVEGIERHDEVLHDQKVGESSRKSEEIKDLKSREDLVRKIPDEKGAASRPIENHAVSNDRSRGGFSASPAIENERPAVNKMHIHSSNASRKIEGLGQQNININQQKNGTSMRHSENFTTSAQRPAAGFAPAPTMEERGRVGRPPSNTEATPRKEGIGQRISNISILVQKRTETTNKDLAKKEVGTTSPLLPNHANTMQKGNGKVGRPMENTAVSMQRLDSPSTSSAAVGMDRGIPRSTIPSPSITIRRPNGMVRSSENLSASANKHDAGALSPAMWKEKEHGGRLPQPNISTDQKVVMSKPPAAVKAADGRAERMEKVRDGAPDVAKKEDKKSDRHEKKKRKEKDKHKEKKKEKEAKKEKAEHNHKEHDKLKDNSINYPIDSLQLKPSAPPLAPPVDDGKSKSVVPDEKKRKSHETNGYLQNIHDMRPTKLPRPALPNNRVENGNASHVAAPLSSVKPEAVNTEKAERLHKKEEKFNGNQQAQQQPPVDPVAAYENGMPSRKSPHPDCKYLSQIYSIPEAPQMTEWSEHEGEDWLFDQGSSTQLRKSDSELEADGAPQVWAQALKIDPADVIALPYVIPF >KQK89317 pep chromosome:Setaria_italica_v2.0:IX:23775678:23778583:-1 gene:SETIT_035028mg transcript:KQK89317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRSRRFLPARPPRRRHPKPAGKAEPPPPAAPTYTRDVVRRATAILRDHPWSAARPLLLSLPGLAWDSHTVARVLKTHPPLQKAFLFFRLAAAASPTFRHDRFTYTSMIHLLGEAGRVPAMLRLLAEMLRAGVAPDAATFTTVMHWLARAGDVDGAMRVWVEMKARSRPTVVSYTACVKILFDAGRAEEARRVFGEMVAEGLRPTCKTYTVLIEHLADAGKFEATLEIMDKMNEACIEPDKALCNILVQKCSRAGETSVMTRILQYMKEHFIVLRRPIFLEALEALKASGDSDELLREVNPHLSYEGIECDPILSDQGYITDKSTIIYLLSAKNWSAIEEMINAMTSKNVKMESHILCDVIKASCADCRLSCGLTVMRYSLRVGCELGRSAYCSLLGQYIRNGSFDLVVEIVEGLIKSGYNLGTYLSAILILRLGYAGQSAYAAHIFRLLTADKNVVSYTALINAYFQAGQVDGALDLFAQMRTTGIPACSGTYEVLTHGLQKARRKQESEYYRRERMNIQWHLQYLDQCSSEDSLCNYLFCGLHG >KQK86095 pep chromosome:Setaria_italica_v2.0:IX:261193:264391:1 gene:SETIT_036756mg transcript:KQK86095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVWLSPAASRLPSLATAPPRFRPVRALPVVLRRRRWRLLRATEQQQGQQVQEQDEVVDSNVLPYCSIDRKQKKTMGEMEQEFLQALQSFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQKLLEASMAYASGNPIMSDAEFDELKLKLKKDGSVIVTEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATTVALGLFFFIDELTGFEINVFQLPEPFGFIFTWFAALPLILFLAQLLTKAIVQDFLILKGPCPNCGTENLSFFGTILSVSSGGTTNNVKCANCSTELVYDSKSRVITFPEPSN >KQK86096 pep chromosome:Setaria_italica_v2.0:IX:261193:264391:1 gene:SETIT_036756mg transcript:KQK86096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVWLSPAASRLPSLATAPPRFRPVRALPVVLRRRRWRLLRATEQQQGQQVQEQDEVVDSNVLPYCSIDRKQKKTMGEMEQEFLQALQSFYYDQKAIMSNEEFDNLKEELMWEGSSVVMLSPDEQKLLEASMAYASGNPIMSDAEFDELKLKLKKDGSVIVTEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATTVALGLFFFIDELTGFEINVFQLPEPFGFIFTWFAALPLILFLAQLLTKAIVQDFLILKGPCPNCGTENLSFFGTILSVSSGGTTNNVKCANTELVYDSKSRVITFPEPSN >KQK90967 pep chromosome:Setaria_italica_v2.0:IX:46878682:46880971:-1 gene:SETIT_035344mg transcript:KQK90967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSYSGDRSSSSSSRTTTTSFDSYQFDFGVNASRSSGSRPLRDQRPGAAANTSTRPATGASWTHQPASAKPAWTHQPSPAAASAAAGPGSGSTSMVGDIFGRSWSSAAPSSGLGIPQANNPALFSDLLGSALGGSGSSRTQSNAPLRSAAAPQASRPTGANPNASANSSTFSMGGMASALPKTTAAPMGSGGYGVGGRPMKPAGMAATAGAQPMGQKKDPFGSIDPFAAKPGSMNAAKQASSAKPDQGFGAFQGVSSITNAGFGSFQSADAGFGSFQSSGATKPSSFTSPPPPAPAPTPAAAAANSSVDPLDNLFASTTAAPAATTASNGGGGGDMFGEMDGWVDVEAEFGGGDSGGATTELDGLPPPPSGLTVSAAKSKGMDSYKGGQYADAIKWLSWAVVLIEKSGKDADIVEVLSSRASSYKEVGEYKKAIADCSKVLDQDKENVSVLVQRALLYESTEKYRLGAEDLRLVLKIDPTNRLARSTIHRLNKLAD >KQK87819 pep chromosome:Setaria_italica_v2.0:IX:9537996:9539489:1 gene:SETIT_0353232mg transcript:KQK87819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGSSPRVRDTESSLEKVKRQLSSGSGRYLLQGPLLKRSETLRKWNERWVILDPTSGKMEYKLRRNETAIKGAILFDASSTITLSPVNFQGMPKYDGCCFYIGTPQKKDYFLCAETPSAAKAWVSTL >KQK92620 pep chromosome:Setaria_italica_v2.0:IX:56416324:56419888:-1 gene:SETIT_037216mg transcript:KQK92620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLEDFQASVESLPSMLHRNYSLMRELDKSLQGVQLENEQRCQQEIEDIKHGLESGSITYDPAKLKFSEEAIEEQKHCVRIADEKVALATQTYDLVDAHIQQLDQFLRKLEEIRQEKEAAAAVAAGTVAPAAATPAASAGVSTADATPKTGRSGERGRGGRKKAKVPTEPPAPPIDLELPVDPNEPTYCICNQVSYGEMVACDNPNCKIEWYHIGCVGVKELPKGKWYCPSCVGFQKKRKGK >KQK92621 pep chromosome:Setaria_italica_v2.0:IX:56416324:56419888:-1 gene:SETIT_037216mg transcript:KQK92621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLEDFQASVESLPSMLHRNYSLMRELDKSLQGVQLENEQRCQQEIEDIKHGLESGSITYDPAKLKFSEEAIEEQKHCVRIADEKVALATQTYDLVDAHIQQLDQFLRKLEEIRQEKEAAAAVAAGTVAPAAATPAASAGVSTADATPKTGRSGERGRGGRKKYVLHLE >KQK87719 pep chromosome:Setaria_italica_v2.0:IX:8857434:8857790:1 gene:SETIT_040472mg transcript:KQK87719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVSDKGLPNEYSLHSQQLFQHGYHKNFESYEYRKEKKT >KQK90460 pep chromosome:Setaria_italica_v2.0:IX:42817670:42820879:1 gene:SETIT_038851mg transcript:KQK90460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGVYHSCTSPSRCNRTAGGGLVSGPQPSPRMITPSAHGAGGGVVWKRKRLARQGRASRRGVGARTGRSPSPPAWPSPSLSPPAAAVAASPAVAPDPGGSEDKMSFFARGSRNQRTFRPKKSAPSGNKGMQLKRHIDATLGSGNLREAVRLPIGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKYEYRWADGVKIKRPIEVSAPKYVEYLMDWIEAQLDDENIFPQKLGTPFPPNFRDVVKTIFKRLFRVYAHIYLSHFQIIMKLQEEAHLNTCFKHFTLFTLEFRLIDRVELAPLSELIDPIILGC >KQK86584 pep chromosome:Setaria_italica_v2.0:IX:2784614:2791512:1 gene:SETIT_0338342mg transcript:KQK86584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFGFAPNPLFAPNPFNMVSDLLLQNPAALASYQQQLQQQQAHHFPSHAYHQTPTSNTQRRPTKPAAAASASPAPPAPQPQQQQQQQPPQRQQAVIDRAQAAARKAREELVKSGEGVTGWKVAQAVLVALKVDSWASLGVQLQDVPLLRDLFLIEGKVNAFIHCYVAARKIVTVYDLEVEICKNEGVLQFEELGLGPFLQHPLVGHYFAVPSDLSMMPKLSSEEIINVLQKFVDNSKKKITVEDFLNYLSEQKSVSGKEKLGVRKCLLWPLGHFMLSSKHSTFTNLGRFCRLHISFLRQARQTELSSLKLPGNKSGSGHSTQEKYLLKNTNYHTHKQALDKRFNSLTNRIKQLPGINKHIHFDSTDDETDCDSSAEEGKFDDNESKNGCSVLDKKDDDKRVNSCPYPSKTEEMERLGLKSETNKKPALEISKARESGKKGKLREKRNIGKLENFITTWKETCREHPVQQVCLVLMVLEMIANYYGRTPSEKKKIINFCSQYPGIGLLNVAVKSMGCGLLDSIYDVIQLSSENNVSSSPLPNTTTEVMEIEPPNKENASCVAIDDVIRRITEYIECNSKVSGDVALQVRALHDCETWVTTQFSANQFSALGHGTFLEFLEKNCHHFPTALSSFLKGGACDSSSLEVSVLQQQVEVLLCQAESNWLEDGDFSEDSLVMILKRQFPSISFDVMQDKSGERLPGYIKRQRKNIQTNTLKFSISLLEKRWFGNFPGRHENVDVLGNDVAEQHYYLGTVCSREAINCLLRAPMLSDLHIWSHWDLLFAPTLGSFVRWLLTTGPIQELSCIVTTDGRFIRVDPSATVDQFLEAIIQRSPFQVAVKLLSLLYIYDGSTNTPMSLLKCYAERAIKLIVDNNNDLMNTNSENCQVSSAKSIRSDSLPNFDDTVHLIAKFVLDCLGHLPLEFRSLAADILLAGLRVVTKNCYSVMLHVATEDWQLCMLHDIGLSLGVAEWVEDCRRLCLTEEVHVQKEKHSSAKLTSTASEVATREDSNLLISSDVDMMDERRKLFPSTNDQVGIDNKDSKVLNPVGTEADIAESHTKQSSMMGETNLEEASLVIETIRREEFGLDQALSYTENSLLKKQHARLGRALHCLSQELYSQDSHLLLELVQNADDNAYPDDVEPTLAFILQENGIVVLNNERGFSAQNIRALCDIGNSTKKGSNRGYIGNKGIGFKSVFRVTDAPEIHSNGFHVKFDITDGQIGFVLPTAVPPYSTTSFSRMLAIEDDKDARSLWNTCILLPFRSKFREGTGMCSIVSMFSDLHPSLLLFLHRLKCIKFKNLLDDTLLIMRREALGDGIVRISHGIETMSWLVVSKRLQGTIVRHDVCTTEIAVAFTLQETEKGDYEPYLKQQPVFAFLPLRNYGLKFILQGDFVLPSSREEVDADSAWNQWLLSEFPSLFVNAQETFCALPCFQRCPGKAVTAFLSFIPLAGEVHGFFSHLPHLILSKLRLTRCMFLDGSTLQWVFPCNTLRGWDEQTKMLLSDGLLHEHLGLGYLSKDIVISDNLSRALGIHDYGPNILIDAISSICRIDGCIESLGLEWLCAWFVTLYLTLLSHSSRNVSLTRSLEDDLLNTVRKIRCIPLSDGSFSSVEDGPIWLPYDIVNSIPESSIQNFPVMYSNLRTVSPDLLSASCKNKYLMEEVRTNDLVDILQKIGVRKLSGHDIIKNHIMISLRNGLDANTEDQLIREYVSFIM >KQK88633 pep chromosome:Setaria_italica_v2.0:IX:15672457:15683843:-1 gene:SETIT_039134mg transcript:KQK88633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAMSEDADPPPPPPPPAQLPPPRRPHKLLQPRGYQVEVFAAALRGNTIAVLDTGSGKTMVAVMLAREHARRARAGEAAPRRIVAFLAPTVHLVHQQFEVIREYTDLDAVECHGASGVGDWNARQWKEAIGTKEIVVMTPQILLDALRHAFLTMSVMSLLIFDECHRACGNHPYSRIMKEFYVDSDWRPTIFGMTASPVATKGASTIGDCEAQIAQLELILDAKVYIVEDRNELERFSPGATIVNKYYDPYLFDLDDLESKLQTLFEEVVKIYNESINAVGDSEDCLFSKASQNLQVSYFKEALFLIEEILPQGYEELMKSESGSAELTRRGYISSKVETLINIFKSFGSSEEVLCLIFVERIMTAKAVERFMRGIENFSRFSISYLTGGSTSKDALSPAVQRFTLDLFRAGKVNLLFTTDVTEEGIDVPNCSCVIRFDLPRTVCSYVQSRGRARRSSSSYVLMIERGNLVQQEHIFRIIRTEYYVKNFALHKSPNTPSHDLPLEEKYIYHVDSTGATITADCCVNLIHKYCEKLPKDRYYMPKPSFDVGLKDGSYQCTLTMPPNAAFRSIVGPQSSTCNLAKQLVCLEACKKLHQLGELNDHLVPLTEEPNDIDTTVTDGKCLSGPGTTKRKELHGTINVHGLSGNWIHGSETATLNTYRFDFVCDQEGEIYAGFVLLMESVLDDDVANSKIDLFLIPNKMVYTTVTPCGKIQLNKEQLHKGKLFQEFFFNGIFGRLFHGSRTSELQREFIFRKGHEIQWSSDNMYLLLPLKHSSYIQRDLNIPWEAVESCSDAVEQLRNLYMEDGNLSYEKLSPNKINEGEEIIHLANRSLHFSRVKDSVVLSLHTGRIYSVLDLILDTTADDSFDEMYNGKASPFSSFVDYYHQKYGIVIQHQGQPLLLLKQSHNAHNLLFSKLKYTDGSTGNPLLLEKEQIHARVPPELLIHIDVTTDILKSFYLLPSVMHRLQSLMLASQLRSDIGCTQHIPSSLILEAITTLRCCETFSLERLELLGDSVLKYVIGCDLFLRYPMKHEGHLSDMRSTAVCNATLHKHGIWRSLQGYVRDSAFDPRRWVAPGQISLRPCPCTCGVETAFVPFSGRYIRDDPSFVVGKPCDRGHRWMCSKTVSDCVEALVGAYYVGGGIAAALWVMQWFGIDIRCDMKLVQEVKSNASRLCYLSKFKDIEELEAKLKYNFSVKGLLLEAITHPSLQELGVDYCYQRLEFLGDSVLDLLITRHLYASHTDVDPGELTDLRSALVSNENFAQAVVRNNMHNHLQHGSGILLEQVTEYVRFNLECNQKENEFLQQAICKVPKVLGDIMESITGAIFIDVNFNVDLVWKIVEPLLSPMITPDKLALPPYRELLELCSHLGCFINSKCTSKAEELIIEMTVQLKDELLIAQGHDRNRKSANAKAAARILVDLKKRGLSLKQCLSKAKQLDTVSSELQSQLTSLEFRHDFPDVNGNLSLEGLSSVGTTVVLPLKMDKGGPRTALFRLCKILQWPMPKFEFVEQRFRTPIVLDGVTTTNFNSFVSTITLHIPDVTVITLQGDQRTDKKSSQDSASLIMLEKLQQLKVCMCKMYLYSKVQNTTV >KQK88958 pep chromosome:Setaria_italica_v2.0:IX:18995185:18997158:1 gene:SETIT_036178mg transcript:KQK88958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERHASDRQRSSTDMASRPAASRSLLFNVFLIATAVLMRRLCSVDASATANQQTRPPALFVFGDSIVDTGNNNALTTLIRCNFPPYGQDFPGHNATGRFSNGRVPSDILASRLGIKEYVPAYLGTELSDFDLLTGVSFASGGCGFDPLTAELVSVLTMDNQLDLFKEYKAKLERVAGARRAGEIVSTSLYMVVTGTDDLANTYFTTPFRRDYDLESYIDFVVRCASDFIRKLHGEGARRINIAGAPPIGCVPSQRTNAGGLERDCVSLYNQASVVLNAALEKEIKRLNGSAELPGSVLKYIDLYTPLLDMIQRPGAYGFDVTNRGCCGTGVFEVTLTCNRYTAHACRDPSKFLFWDTYHLTERGYDLLMAQIINRYGL >KQK87703 pep chromosome:Setaria_italica_v2.0:IX:8763174:8768189:1 gene:SETIT_035341mg transcript:KQK87703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGTPRKDVPGEGESAQDEVLQRQQGREEAGEHEEDDGVEEDEAPTHLPFAPSSELLDDVTTVDPSYTISLIRQLLPQGSNVEKEFSAKQAAPEEGVKSAQLGTKDPWEECGCILWDLAASKPQAELMMKNLVLEVLLANLHVTQSPRVKEICIGIMGNLACHESLVNAISMQNGLIATVVGQLFLDDSACLSETFRFLAAVLRSSASVSWAEALLPDEILSRVLWIVGNTLNSTLLEKSIDFLSTVIDNQDVTAILLQPLIKVGLVDHVISLLASEIEKLSDESKFDRSVSLDLILHFIEELSATDSCLEVMSSSDKLIQVLYKIIKLPDKFEVSSYCASVVIILANILADGKRIVPSLSHDLPFLEGLFDILPLVCDDNQARNALWCILAPLLAQAQGIDMNSSSLNDFVSLLLGKFTLMKDDLENHRVDKEVEMSAEDAYVKHGVSASLSAICRIMERWIAEKSSRSEEEAALTESTIENARKLLTYCQNYDA >KQK86768 pep chromosome:Setaria_italica_v2.0:IX:3644923:3645423:1 gene:SETIT_040817mg transcript:KQK86768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHITVFLLSGFWKVMIVFTVAIPKRSFLHNVIVTIVHANKTKWPS >KQK87030 pep chromosome:Setaria_italica_v2.0:IX:5148050:5149688:-1 gene:SETIT_035614mg transcript:KQK87030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPPRPRVMVLPFPAQGHVMPLMELSHRLVEHGLEVVFVNTDFNHARILAALAGATIPGGGAIDLVSFPDGMGPDGDRTDIGKLLQGLPAAMLGGLEETIRSRKIRWVVADVSMSFVLELVPTVGVRVALFSTFSAANFALRLQVPKMIEDGIIDETGSMKRNERIQLNPKMPAIDATELPWISLGKSPESRRAMIQTVLKNNPTFRLAETIVCNTFREIESAALPLLPIPALAIGPLEAPKSTSAGGHFWAEDEICLPWLDAQAPGSVVYVAFGSLTVFDAERLQELADGLVLAGRPFLWVVRPNFAADGVGEGWLDEFRRRVAGKGLVVGWAPQQRVLSHPSVACFVSHCGWNSTMEGVRHGVPFLCWPYFADQFLNQSYICDLWGIGLRIRADERGIVTKEEIRGKVARLLRDEEIRARALSLQGAACASVADGGASHQDLLKLVNLLRED >KQK90333 pep chromosome:Setaria_italica_v2.0:IX:41678460:41680093:1 gene:SETIT_037205mg transcript:KQK90333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRAARSPVPVKAGGASGGAAGFAATPRQQAALSRVAVAGNTARRGRGAVRASLFSPKPAVAKDARPTKVQELYVYELNERDRESPAYLRLSAKQTENALGDLVPFTNKVYSGSLDKRLGITAGICILIQHVPERNGDRYEAIYSFYFGDYGHISVQGPYLTYEESYLAVTGGSGVFEGAYGQVKLNQIVFPFKIFYTFYLKGIPDLPRELLCTPVPPSPTVEATPAAKAGEPHACVKNFTN >KQK91682 pep chromosome:Setaria_italica_v2.0:IX:51201734:51202391:-1 gene:SETIT_040762mg transcript:KQK91682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELWRARERYGWASRSRRWGQVAAGAGEEAQGGDDEDGACCVGKKELHASMVSAVARVDC >KQK87276 pep chromosome:Setaria_italica_v2.0:IX:6349119:6353808:-1 gene:SETIT_039082mg transcript:KQK87276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAAPSSQPGQTTTYYVSQPTKSSAAISGRPATASSSMSHSQGFHQGSSGVFGYSSDGFDRPDSSQDHHQQQQQHVAQQSRRDKLRVQGFDPPGAGAAGHGLLPIDGDEHAEPGAMFEHAAAAGASNMLSEMFNFPAPPSGPSATELLASQMNATNYRFGLRQGVAGLSGDGGWFGAGAAGRAGLVLGGANMGSLGETSSPKQQGSMAGLATDPAAAMQLFLMNPQQQQQQQSRSSPTSPQPSDVQHHEAFQAFGGGGAGGVVEGQGLSLSLSSSLQQLEMAKQAEELRVRDGVLYFNRQQPQGPAVQQQQLLPMALHGGQVGALGQQLHVGYGPAGVAGVLRNSKYTRAAQELLEEFCSVGRGQIKGGARGGRGASASNPNASKAGGASSSGAAQSPSSASKQEPPQLSPADRFEHQRKKAKLISMLDEVDRRYNHYCDQMQMVVNFFDSVMGFGAATPYTALAQKAMSRHFRCLKDAIASQLRHTCELLGEKDAGTSSGLTKGETPRLRAIDQSLRQQRAFHHMGMMEQEAWRPQRGLPERSVNILRSWLFEHFLHPYPSDADKHLLARQTGLSRNQAESDVVILLDEYCLYNSCLW >KQK89085 pep chromosome:Setaria_italica_v2.0:IX:20446897:20464106:-1 gene:SETIT_033888mg transcript:KQK89085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRPGTAVWVEHPDLAWAEAEVVSSPASPSSKPSVTVVLSTGVKAVVDGRKVLPRDTEADLGGVDDMTKLVYLHEPGVLCNLARRYTLNEIYTYTGRILIAVNPFAKLPHMYDMHMMEQYRGVQFGELSPHVFAIADAAYKAMVSENRSQSILVSGESGAGKTETTKLIMRYLTFVGGRAAGDVRSVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDKSGKISGAAVRTYLLERSRVVQISESERNYHCFYQLCASGQDADKYKLAHPRNFNYVNQSHMYELQGVSDAEEYLKTRRAMDIVGICFSDQEAIFRLVAAILHLGNIEFSPGKEFDSSVIKDEKCKFHLQTAADLLMVDAGLLLSTLCYRTIKTPEGNIIKAVDSSAAVIGRDTLAKTVYARLFDWLVDNINKSIGQDVESRSQIGVLDIYGFECFKYNSFEQLCINFANEKLQQHFNKHVFKMEQEEYKTEEINWSYIEFVDNQDILDLIEKKPIGIVSLLDEACMLGKSTHETFAMKLFQNLRAHPRLEKPKLSNTDFALFHFAGKACPVIYQTDLFLEKNRDYVIAEHQNLLSSSKCSFISGLFASHQDDPSKSSYKFSSVASRFKQQLQALMETLSSTEPHYVRCIKPNSLNCPQKFENGSVLQQLRSGGVLEAIRISLAGYPTRRTYSEFINRFGLLVPEHMDERFDERSLTQRILKQLNLENFQLGRTKIFLRAGQIAVLDSRRGEILDNASRIVQGHFRTFVARKKFLSTRKASISIQLYCRGCLARNVLEAKRQIAAAVSVERYARRWLCRCAYLHLRSAALVIQSGIRYILAVQTLQHLKNAKASTVIQAWWRMQKLHNFHQQYRRATVLIQCCWRQKLAKRALRNLKYAAYEAGALREAKGKLEKSLEDLTLRFTLERRQRLAAEESKALEISKLLKILDSVKLELEASNEENKNNCKKIASLQHQLELSSKDQEALRSSLSQIEEVKRENFSLKEKNAEMEQELLEAQKCSHDNMDKLHDVEKNYVHLRDNLKNLEDKISNLEDENHLLRQKALNLSPRHSRTGESSPAKLAPLPHNITEPRRSRMNSDRHEDYHDVLQRCIKDDMGFKKGKPVAACIIYKCLLHWGVFEAERTTIFDFIIHTINSILKTENENDILPYWLANTSALLCMLQRNLRSKGFIMAPSRSSSDTHLSEKANETFRSPLKAFGQRTCMSHIDARYPAMLFKQQLTASLEKIFGLIRDNLKKEISPLLSLCIQAPKLARGGSGRRANSPDVAVQQPISTHWDRIVKFLDSLMDRLHKNFVPSFFIRKLVTQVFSFINVQLFNSMLLRRECCTFSNGEYVKSGLCVLEKWIVDAEEEHAGASWDELKFIRQAVDFLIIPHKSKKTLEQIKRNICPALSVRQIYRICTMYWDDKYGTHSVSADVVAKMRDMVSNDTQNPVSNSFLLDDDLSIPFTTEEIAEEVPDVDMSNIEMPSTLRHVHSAQFLMQHLQSPYLSR >KQK92427 pep chromosome:Setaria_italica_v2.0:IX:55414226:55415854:-1 gene:SETIT_039081mg transcript:KQK92427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQQRTLVYSFVARGAAVLADHAEVSGNFASVAAQCLQKLPANNNRFTYNCDGHTFNYHVHDGFTYCVVATEAAGRQLPIGFIERVKEDFSKKYSGGKARSATANGLKREYGPKLKEHMRYCDQHPEEIDKLAKVKAQVTEVKGVMMQNIEKVLDRGEKIELLVDKTEDLRSQAQDFRQQGTKIRRKMWWENMKMKLIVFGIVVALILLIVLTVCKDFNCW >KQK91868 pep chromosome:Setaria_italica_v2.0:IX:52418724:52425236:-1 gene:SETIT_034519mg transcript:KQK91868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAPGVSRKLKKVLETRTDSPDLLASLGALSTFYVQNTPQARRNLKSSIEQRALAINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALNSCSASTGDIISTTERMQQELEVITQRQEIVSCFLRDYQLSNEEINALREEDIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQAECKKLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGEMLGWLHQALASERELIVVLLDPDAMTDSGPASRRQSGRDGDSSRGESDVTFVLDRIFEGACRPFKVRVEQVLQSQPSLIVSFKLSNTLEFYGYTIAELLGEDTALCNTIWSLRDAAQQTFFSILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLALIDTYNSMMVPASGKRPNFDPVISALLDPIIQMCEQAAEAQKSKGSLARRGRTSSDPSGNSRDSISVDAILSKNLSTSVLSAESSSKVYLVNCLSAIQEPLKGQEVATSYVNNLSSMIEAHVRALVDKEVDSILRKCGLSNKMPYIKDYGNSDDAKALADVVETSPQMLLECLKAFYGIVTGTEGSLPEFEQLQVPRLRSDACYGLARALAETHELIYKAVMDPKNNYPDPRSLAKHSPEQIRTILEI >KQK91869 pep chromosome:Setaria_italica_v2.0:IX:52421814:52425236:-1 gene:SETIT_034519mg transcript:KQK91869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALAPGVSRKLKKVLETRTDSPDLLASLGALSTFYVQNTPQARRNLKSSIEQRALAINRHFLDASLPAHKALDRVEGEVHALNDSWKKIEEALNSCSASTGDIISTTERMQQELEVITQRQEIVSCFLRDYQLSNEEINALREEDIDEKFFKALLHVQEIHSNCKVLLRTHHQRAGLELMDMMSVYQEGAYERLCRWVQAECKKLGDTDNPEVSELLKKAVRCLKERPVLFKYCAEEVANMRHHALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGEMLGWLHQALASERELIVVLLDPDAMTDSGPASRRQSGRDGDSSRGESDVTFVLDRIFEGACRPFKVRVEQVLQSQPSLIVSFKLSNTLEFYGYTIAELLGEDTALCNTIWSLRDAAQQTFFSILKSRGEKLLRYPPLVAVDLSPPPAVREGISLLLALIDTYNSMMVPASGKRPNFDPVISALLDPIIQVSFLCQLSGVPYKLPL >KQK90303 pep chromosome:Setaria_italica_v2.0:IX:41388830:41393053:1 gene:SETIT_038831mg transcript:KQK90303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPDSKKKRSPAVTGAAAGGGSGLTEGLIVDILSRIPVKSICRFKCVCPSWRSLISHPDSRKKLPQTLARFFYFDEFDSCVRNGRLRPPSLCDFAFLPANTGGGPLDCCNGLVLLNSRSSEQRASYVVCNPATEKWTTVPPVPEPTQAGKICVSSILCFDPAVWPHFHVVRLLEADEDDGFTEEILFEGFEIYSETGGWVFHPHNSGWSPQSHRSRRTYFNGFLHFITRDERAVAALDMKGQTRRTIPVPRSKDVELIGHSQGRLFYADRDDRKLKVEFWSTSFAAPKLSISNLLVELGHGRWTLKHCVNTSRLFADADEYSQSGLLVGVAGIHPHCNSIFLFDSLQGRLMFYNMDSRSARVTRSVSETCLWSFVPYFFLCGHPIFHQLVYMGSCDHS >KQK92035 pep chromosome:Setaria_italica_v2.0:IX:53327755:53328306:-1 gene:SETIT_038809mg transcript:KQK92035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPASRLPKPFALIHARAAAAAAAASQRQAAAVVAEEGEGAMGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVDAIRVDKETMEMLAALGMADLPGVERQPENTNAPAYGGRQQFGGPRRDRV >KQK89746 pep chromosome:Setaria_italica_v2.0:IX:35528967:35532682:1 gene:SETIT_036387mg transcript:KQK89746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATCAAALVVALMMVSWAAVLAAASLDTSPVPFQAGFMPLFGGDNLVRSPDGRSVRLKLDRRTGSGFVSKSAYHHGYFSASIKLPDDHTAGVVVAFYLSNGDVFPRNHDEVDFELLGNRRGHEWRVQTNIYGNGSTSRGREERYLLPFDPTLRHHAYAVAWTPTAIVFYIDGTPIREVVRVPAMAGDFPSKPMSVYATIWDGSAWATEGGRYKVDYAHAPFAADFSKLVLSGCPAAVGVGGGGGGARCAVAVMTAEFAVMTPAKRAAMRRFRRRHLLYTVCHDRVRYNGTVLPECDDADGSERDDFHRWGESKRVSHSRRGYRQPQQLQREDKDAAGGRPSTWPIGSLRAD >KQK87759 pep chromosome:Setaria_italica_v2.0:IX:9182558:9183917:-1 gene:SETIT_040239mg transcript:KQK87759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPAGAINDTRRRLQADGPATVLGIGTANPPNFVRQQDYADYYFRVTKSEHLTDLKAKLRRICYKSPIKKRYFHHTEELLDSHPEFANRTSLSLDARQDILATAVPELAAAKAIAEWGRPATEITDLVVSSYSGAQMPGIDFHLASLLGLRPSVRRTMMYMNGCSGGAAALRLAKDIAENNRGARVLVACAELTLIMFRAPHEAQLGSLVMQALLGDGAGAVVVGADPVSGEQPGFEMVSASQTTIPESKNIAVGRLGADGFLFNPSKELPLLVRENIERCVGDALAPLGTVASWNDLFWAVHPGGPAILDGVEAGLGLDPRKLEASRRVLREYGNMSGPSVIFVLDELRRQQEEMNEMGVMVGLGPGLTVETMALRAIDSQRKV >KQK91179 pep chromosome:Setaria_italica_v2.0:IX:48318130:48318724:1 gene:SETIT_039362mg transcript:KQK91179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVGPHRCHPRSLALNAASIAAVSYLKNLPEPLHRIVHGGAIHRTPGKKARGAPRRRGRGSCGSRKPRASAPRRSTSQLAWSATGASRRRPQRRGGPVEWRPQRGRDRGIRKAALVPLRRALKLPIEVFISAPELCISEET >KQK91340 pep chromosome:Setaria_italica_v2.0:IX:49332374:49335687:-1 gene:SETIT_035845mg transcript:KQK91340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSPATRLPEADSLPDGFVESSGADTASPPSPAPVADDPPLAALDSDRPAATNPGGGETLGDPSLPAPAVEDASSAAAEALETLSLDATAEPERAPGEHGPTGAARDAEESLKQSCAAEQAGSPTAQKQKETAEPKRKVVKRKDRELFELAQQYHKVVAERDAANAVKEKLESLCREFQRQNKMLKEECRRVSTDGQNMRMELSDKFNNAIKDVSVKLEEQKNECIAQLEENNLLRSKLKDLADQYNITQQKYAHQLKEKMLELELADLKLQQQQEKAAQEHSQMQLYAEQVSQLMTTEKNLRLQLASDGERFQQFQDALSKSNEVFETYKQEMEKMISVIKSLKKENEFLKGKCENSDIALVKLIEERELTKKQIEKLKNQKEKLESLCRSLQAERKQGPSASIPETPSSEEGVAVTSQDS >KQK86216 pep chromosome:Setaria_italica_v2.0:IX:797095:800937:-1 gene:SETIT_034276mg transcript:KQK86216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVGLNPGEELDYGGNQEDDDDAGDISPGSKELAAMVEAAAAAESVELDDGAAASAAQYGDDRTPRDGMVFKSYEEVLNFYKRYALRTGFGVCVKKSSFTKAGLCRRLVLVCNKWGNGKEDACYQARPTAKTNCQATVVARLWGDGLLHLTDVNLEHNHALNPSAARFLRCYKTLPSGMSKDLVVRAARGECSATGDIDVPIFDDWGRLKIGEADVVAINSFFADMQAKLPNSFYVMDFYVEGHLRSVLWADSRSRAAYQYFDDAILIDTTCLRNKYHVPLVSFLGVNNHGQLVLLGCGLLSDESTESFLWLFKSWLTCMKGRSPNAVVTDECVAIKAAVREVFPKTRHRISDWHVLRSVSEKLGEFPEYEAMRTELETVIYDSLSDDEFEARWKNWIDRFGLQDNEWITFLYENRHLWAPAFLKDAFWAGLCTFSQRESPSAFFEDSINSETTLVSFLDSYMILLENKYKMEQQDDFDSSNSSRVVISKYPMEEQLSRLYTLNMFIRFQDELKATMHCQVQLDGSASSFIVIDLTEPASEMLNKKYEVVHCMAANKMECNCGLFQFCGIICRHALSVLKWQQVYDILPCYVLSRWRSDFKLLHYSDNPPKDLATSNHVERYDYISLQFLRLVEIGMSSDEKYRHAVRLIKDIKETLLDDNLCRDLEQKLTPAERAIVNGDNHTQPGSSEGGPAKKRRGRPPKKSKEISVDSMDSLLVSTDVTQKGAFHSSSTASNLGSHVRTHGVVDLMEEVNPSELSFDSRYGVQSGHPHNFGNQLHAGNTLQFGQPTSAAEHSRVQWVYPNSMYQDDQVPYGRRTS >KQK87138 pep chromosome:Setaria_italica_v2.0:IX:5726757:5727966:-1 gene:SETIT_037166mg transcript:KQK87138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFRPPPKGPEWYAGDAEAGQAARPLYPMMLEDPRLRWSFIRKVYSILSIQMLLTVAVAAVVVYVRPVALFFVSTPGGFGLYIFLIILPFIVLCPLYYYYQHHPVNLLLLGLFTVAISFAVGLTCAFTKGQVILESAILTSVVVVSLTAYTFWAAKRGHDFSFLGPFLFAGVMILVVFSLIQLFFPLGRVSLMVYGGLAALIFCGYIIYDTDNLIKRYSYDEYVWAAVALYLDVINLFLSLLTLFRAADS >KQK89619 pep chromosome:Setaria_italica_v2.0:IX:33875485:33878243:-1 gene:SETIT_039836mg transcript:KQK89619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQVARFDSPTFDDIISRVNSLFKVGNEEDDLRICGRFDAGNKRSHYVLMPLACDDDWLVYKELVKGSQVACAKLVVDVCPWSMSPYIDKPIEHLTQEDVLPGSDVDEEEDDEEDGADDCSHGSDDSSDEGDFDTSIALYNPSTKCCTYTTGKEDLHNGVMKLVLERAFKHCKPVISVDGAFLTGKYRGVLLIAAGMDGRVCIISDCHQGILNAVEDHMEGYQPIVSRWCMRHFAANIWHRHKNKKVIKQLKLVCAAKAERTFDIRLWKLKGMMNEEATKWLEEKMENKHKWANAFDNGARYGVQNTNISEMLNKVLKGIHAMPISAIVEFTFYKVNSYFVHRWAKARAQIDRRPNQILWGKGAMKHLATEGKKAASMSVELFDPTLYVYSMTGGRIYKVDLSSVTCTCCVPQLLHVPCSHMITAYRVRGVSHLSPAYMTQLCSKNTVLKIWESCFEPILDETHRPEHNGHDYIPDNDKDKIKVGRRKKKLLCNEMD >KQK89138 pep chromosome:Setaria_italica_v2.0:IX:21251986:21255269:1 gene:SETIT_037420mg transcript:KQK89138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWWGRGAGPQAFLAASRRPFPHRPTLPTAFGSARKRFPFPKRARAGGSCARCARRRLGALREAAAADTHRSRLISSLQRRPAQGVTAAQEGKLDTSSRFGRSSASMENRKEEQQGAAVGWMTVPAFGEWDVKNGAVPDYSMDFSKIREMRKQNKRELSRASLGGDEDLLQAQQGKPQAAAQPDKSSAAVAADDHHRRPLHDGSPTGRKKFLSYFQCCIRA >KQK86156 pep chromosome:Setaria_italica_v2.0:IX:487595:489757:-1 gene:SETIT_037857mg transcript:KQK86156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFLNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNMEHLRRIAEEIEKQAVAAGATAQAKENNDDDVPELVPGETFEEVAQEAKA >KQK86155 pep chromosome:Setaria_italica_v2.0:IX:487595:489518:-1 gene:SETIT_037857mg transcript:KQK86155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKERLMKMAGAVRTGGKGTVRRKKKAVHKTATTDDKRLQSTLKRVGVNTIPAIEEVNIFKDDLVIQFLNPKVQASIAANTWVVSGSPQTKKLQDVLPGIINQLGPDNMEHLRRIAEEIEKQAVAAGATAQAKENNDDDVPELVPGETFEEVAQEAKA >KQK89486 pep chromosome:Setaria_italica_v2.0:IX:31809270:31812268:1 gene:SETIT_039705mg transcript:KQK89486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAEFTVSSLEETLIEVNLILDILFLAFYDNFSRCNGGLWISLCSLFKDILCGSYDVGKFAVSVEAKNSFHYAKAQLLLILIETLDFENLLRMIRDEVPLSVGCSTFSVGDILEMDVEISKIPEFLMVESGPLVLAWAVFLCLVLSLPESNANLEIDHTLYARRAFEFAPFNYLLGVLCSSIFRESDESLCMQFWDKNSFVDGPIRSVLHMVEKEYPFQISELVRFLSAVCHGTWPAQCVYNYLERMNGVTTIYAIPGHVAENVNYGHQIESHHPVSIPGIEGIKVPCGTNGYILKVLQGDAVLVRWEVDICATILPMCLRTFTF >KQK92132 pep chromosome:Setaria_italica_v2.0:IX:53873945:53878246:1 gene:SETIT_037685mg transcript:KQK92132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSTSTTSPLPLLLLHRGAANPSPASLSFPSSLRASSLRSRAAAAPPAETLSDDGIPAPPEGEGTGIPLPSSIGDDGEQLAPKQKIRIKLRSYWVPLIEDSCKKIIEAAKTTNAKTMGPVPLPTKRRVYCVLNSPHVHKDSRFHFEIRTHQRLIDIMYPTAQTIDSLMQLQLPAGVDVEVKL >KQK88783 pep chromosome:Setaria_italica_v2.0:IX:17170521:17174699:-1 gene:SETIT_034020mg transcript:KQK88783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGLAAGSHLRDELHVMRGHEEAPAGRSADVKTCRVCGDEVGAREDGQPFVACSECGFPVCRPCYEYERAEGTQCCPQCNTRYKRHKGCPRVEGDEDDGPEMDDFEEEFPAAVKSPKKPHEPVAFDVYSENGEQPPQKWRTGGQTLSSFTGSVAGKDLEAEREMEGSMEWKDRIDKWKTKQEKRGKLNHDDSDDDDDKNDDEYMLLAEARQPLWRKVPIPSSQINPYRIVIVLRLVVLCFFLKFRITTPATDAVPLWLASVICELWFALSWILDQLPKWAPVTRETYLDRLALRYDREGDACRLSPIDFFVSTVDPLKEPPIITANTVLSILAVDYPVDRTSCYVSDDGASMLLFDTLSETAEFARRWVPFCKKFAVEPRAPEFYFSQKIDYLKDKVQPTFVKERRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSQGALDVEGHELPRLVYVSREKRPGYNHHKKAGAMNALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGCVFNRQALYGYDPPRPEKRPKMTCDCWPSWCCCCCCFGGGKRGKARKDKKGGGGGDSGDEPRRGLLGFYRKRSKKDKLGGGSVAGGKKYKKHQRAFELEEIEEGLEGYDELERSSLMSQKSFEKRFGQSPVFIASTLVEDGGLPQGAAADPAALIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCRGWKSVYCTPTRPAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAYGGRLKWLERFAYTNTIVYPFTSIPLIAYCTIPAVCLLTGKFIIPTLNNLASIWFIALFLSIIATGVLELRWSGVSLEDWWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKGAADETDVFGELYLFKWTTLLVPPTTLIIINMVGIVAGVSDAVNNGYGSWGPLFGKLFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFIPKSKGPVLKPCGVEC >KQK90120 pep chromosome:Setaria_italica_v2.0:IX:39981052:39981765:-1 gene:SETIT_040326mg transcript:KQK90120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASPMYLVTDCSAAADRVVRGLVQRGGGGAEGGHGQGARARAVCRRRRVRLRGGVPRARERQSLPAQPAHAHILTPPSSLASSSS >KQK88885 pep chromosome:Setaria_italica_v2.0:IX:18184490:18186015:1 gene:SETIT_036215mg transcript:KQK88885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRRSAARLLGSAYSTTSATPPLDMAPPTDHLPPPPPPLPHLALCSTTESRGGGSSSSLDATFGERCELNRSAWDLIAELSLSDPQVEDDLVDKYFVHVTTRASWLFSPTVPAVSAKKKKKKQAMVGASRVQLRSEFEKKAIVNSKVKKNQNGGQAKDTKVRQEEHEEVASEVWNCKKNDGKGWQCHRKVSRPDSLCDYHFMKKRSYLNPGLASTMEQETVMVAAQPTASKPSTSSKSKPQKMKSSNDFNATEGFYYYAGFGFRNKRHYRSSVDDYVPIKQQEEEDSIKKEHALYLSQTEVKGHEDETNQTTAFGDDIMGIADIDEDSSDYGYNGISTAGSSIAGASNGGNKRKIRRKKQGRKPIKARSLMSLM >KQK89102 pep chromosome:Setaria_italica_v2.0:IX:20692891:20693262:1 gene:SETIT_039867mg transcript:KQK89102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSAAAPVLVAALLAAAATVAVVAALSPPPGYTTQEDINSYFIQQVGKFAVNVYKIAHMIPMSYVSTSQCWSAPAGGGANNYWMVLTATNGSGAAGQYVSTVWGIPGSESKTWKLLSFNSTK >KQK86769 pep chromosome:Setaria_italica_v2.0:IX:3648739:3651002:-1 gene:SETIT_035864mg transcript:KQK86769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTRYYEILGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQSFFGGGSPFGGGGSSRGRRQRKGEDVVHPLKVSLDELYNGTSKKLSLSRNVLCSKCNGKGSKSGASSRCAGCQGSGYKVQIRQLGPGMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLTESLCGFQFVLTHLDNRQLLIKSNPGEVVKPGR >KQK86770 pep chromosome:Setaria_italica_v2.0:IX:3647901:3651164:-1 gene:SETIT_035864mg transcript:KQK86770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRAPKKSDNTRYYEILGVPKDASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGMHDPFDIFQSFFGGGSPFGGGGSSRGRRQRKGEDVVHPLKVSLDELYNGTSKKLSLSRNVLCSKCNGKGSKSGASSRCAGCQGSGYKVQIRQLGPGMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIIFVLQQKEHPKFKRKGDDLFYEHTLTLTESLCGFQFVLTHLDNRQLLIKSNPGEVVKPDSFKAINDEGMPMYQRPFMKGKLYIHFSVEFPDSLNPEQCKALEAVLPPKPTSQYTDMELDECEETMPYDVNIEEELRRRQQQHQEAYDEDEDMPGGAQRVQCAQQ >KQK91662 pep chromosome:Setaria_italica_v2.0:IX:51099960:51104734:1 gene:SETIT_035420mg transcript:KQK91662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMALPVVNATAAVLARVSAAFNAPLARAVVFGVHIDGHLVVEGLLIAVIVFQLTRKSYKPPKKPLSEKEIDELCDEWEPEPLCPPIKEGARIDTPMLESAAGPHTIVDGKEVVNFASANYLGLIGNEKIIDSCVGSLEKYGVGSCGPRGFYGTIDVHLDCEAKIAKFLGTPDSILYSYGISTIFSVIPAFCKKGDIIVADEGVHWAVQNGLHLSRSTVVYFKHNDMASLASALEKLTRGNKRAEKIRRYIVVESIYQNSGQIAPLDEIVKLKEKYRFRVILEESHSFGVLGKSGRGLAEHYGVPIEKIDIITAGMGNALATDGGFCTGSVRVVDHQRLSSSGYVFSASLPPYLASAAVSAVNYLEENPSVLANLRSNIALLHKELSDTPGLEISSHVLSPIVFLKLKKSTGSPTTDLDLLETIAERVLKEDSVFIVTSKKSNLDRCKLPIGIRLFVSAGHAESDISTLSSSLKRVSASVLSHYF >KQK91211 pep chromosome:Setaria_italica_v2.0:IX:48486973:48489934:-1 gene:SETIT_035535mg transcript:KQK91211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLTRSAAARLLSHLRRRTPDPTHPLLIYGAALASLLGPIGDLPAAADSALLRYPARWFSSSSTAAVTKAPMTADGLTVDSIAGKGWTILPEAESDWRSHAAAVAQSIKLIKKRLKWGWILERTKQLAVVLERPDLWDDPVFAGRVSREHGELMGKIKSVNQFEQELIEHIEMLRLAREENDNELETESMSALADMRRSAKEKELNAILSGENDSCSCFIEVQAGAGGTESMDWAAMVMNMYRSWAQRRGYTVTVVEEMPGEIAGIKRATIKVDGEYAFGYAKAEVGVHRLVRISPFDSGKRRHTSFAAVAVIPILGAASSRYQIKDSDLRIERFRSGGPGGQHANTTESAIRIVHVPTGITATCQNERSQHMNKASAMAVLQSRLDQLEIARQAQMNAEHTQSLNEISWGNQIRSYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDEFILNYLSSSLDEADVSA >KQK92728 pep chromosome:Setaria_italica_v2.0:IX:56965965:56967760:-1 gene:SETIT_039783mg transcript:KQK92728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AMIFATILVFCEPSDVFGLWQKHEDAMSEDYRRNNLSPSVGKDIDKFSLHKIDKMYDDASGVPQEIFEEANIECNADDMALSESLNDEQRAVFDEIMSAINNDYGGLFFVDGPGVTGKTFLYKALLAKVRSQDKLAVAIATSGVAASNMLGGRTTHSHFKIPLTLEDDNYFIFKKQRVEALDNNLGDIMDRPQLPFCGKTVMLGGDFRQVLPVVRKGSYVWDSMRHLKLVRNMRAHRDPWFADFLLRIGCGTKEVNADGEVLPDEICVPYTGDGSDLDRLIECLSPGLNENLSNTNYITSRAILSTRNDWVVYHSFDNAIDDPHNYYPSEFLNTLTPNGLPPHVLKLKIGCPVILLRNIDPANGLCNGTRLVVWGFRRNNIDVEIVLGQHAGKRIFLPRIPLCPSDDERLPFQFKKKQFPIRLSFAMIVNKAQVQTIPNVGVYLREPVFSHSQLYVALFRATARSMEEEENNGKKKKVDKTEKKNANDSEKKKVKTNEKKNSASCIGTFTKNIVYKEVLTP >KQK90670 pep chromosome:Setaria_italica_v2.0:IX:44404927:44407766:-1 gene:SETIT_035951mg transcript:KQK90670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPSKFSSGSASTTTPAACRLQGWADLPEGLLQSIIPLLGSFLELLALAATCRSWRSAFSSYPSKSTFCTLLPPLLVRPHIKVRAPHLPSRSDDSVKLRTCQVLDLANLRTALRCQIPKETFEMLHFAGSSYGQLICGGGRNCVVVDVFTGAKVLPPQLPLRFSEDTYFFSGMLTAPLTSHNAHLVVCAALKQGSTQRSLLDWPVGSDSWSELWLNGSRIEQIVEFNGQFIALDYKYRLHTLSLAPQLGLQEIATVWWDDMDECPYLRPWLVVCGDMLLIVDHYIILSFDGAPVNYKAYRLDMSTVPAVWVEVEKLENHVLFIGSDVRSPAFSCVSPGRWGKRNNCLYYAYYDVPWVLHGLGDEADAVWDPDNDDDIVFKRNWYTQLQPFWVYPSMFYADADGK >KQK92386 pep chromosome:Setaria_italica_v2.0:IX:55266191:55266578:-1 gene:SETIT_038476mg transcript:KQK92386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLNEQGDRYKGTVNLVKFCIYECILQIVQVYSYHLYCLVVSCTSARKVQSSHV >KQK92048 pep chromosome:Setaria_italica_v2.0:IX:53387040:53387850:-1 gene:SETIT_038271mg transcript:KQK92048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYWWDRVVLPVRRVWLGVASRFGVRQTGLWRLRQEVSTCEYEDVHVMWEMLSRTTAAPPAPAPRRHSRFRQQPRPWGDRFRLCRGF >KQK87810 pep chromosome:Setaria_italica_v2.0:IX:9479536:9480629:-1 gene:SETIT_039710mg transcript:KQK87810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDWEHQPVLIDVFSSKTRQCEERLFLRTGQAMGTVSDMRRNFLFCMKRYAAYSQGDHYVVCEANFVMRISLSHNEYQIIKLPVGIEVSTKPEALYLGKSEKGVYCALVDDSDQICQLRVWTLKKLCDQMEWVLMHQANLGSILACQKHVRDRQINGPWMLNDINYHQRCGEGEIVVPEKLEWDSASDNVIQAEGGVEYDESIDFLGFHPYKEIVFLSESFRRGVAYHLNSSKVQELGSLYPTNCGQIAGQHQLIRQSFPYTPCWMGECPPSE >KQK87017 pep chromosome:Setaria_italica_v2.0:IX:5102035:5102515:1 gene:SETIT_038286mg transcript:KQK87017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPECCLSSARIERGAADAAVEPNLGTWLARIKLSVASGAERGAAGEAEAAQQVQVECGAGPQTKSNAFSHLIRRAHRQGVQRRL >KQK87839 pep chromosome:Setaria_italica_v2.0:IX:9687426:9689924:1 gene:SETIT_038793mg transcript:KQK87839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDSHGDHPPETHSPPKSTIPANNHTKSTTDQDAPGDDLQIHPTFVAEEPRRTEIESCQEQARYQGPVEDTGVQELTPAAARHQDEDAPSQDHQARPEDSILPDLAQDLRLQADITLTRPGVRLDGPDEDPLVKDLLQRLASREIPQNPPADVTTAQTPQGTRVMGREMKQSISSEKGKGQAGQATRTADRSYLQAAKGESTPMAAYPGDPRARPDQAFCAVTATGSIKRRREVLVGRSAVCWLNGNSHDTGTHHVVEALEEQLHINRHEVRVVKHFPEQYLVFFSDCRAYNRVLHHRGIRNRGRVFNFEPWSEGRNAVESKLEYRVRLRIEGMPVHAWSEAVAAQVIGQHCAIHFVEEQSRRQERTRTYDLWAWSSNPSKIPKKVLLTVTDPDREQQPIDVPRNLVEMHLDPPRGFKGAYNYKLHIHLDVVEDLSFLHGRGGGGGHYRKPRREFLWNYGAADSLGERRSGQGHDDRTGRDYRPRHDRDDYDDNFQRGVRRHRSNSAWGRMTRCRGTVDDCYSSNRYRDSNHDYTGHRSRVGPPDSSNLTWHKKKGPLKSVTFANPMVQILGESLHLPIQCYTDEALIPQDRAEEGMERSDPMREEMLITHCYAGFPSKERRILDMLEAPGWTPVPSPVGGTNTDSSTLRFKPFE >KQK91480 pep chromosome:Setaria_italica_v2.0:IX:50092001:50095616:1 gene:SETIT_034417mg transcript:KQK91480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDNTYGELSGMFCGGLSYDGYADHSSSSDYFRFIDPLPAVVPQIAAEPYSNPSSTVSRANTETDNPEDWEFISDESLNYISRMLMEEDIDEKCSMYQAESAALRAAAKPFYDILGHKFPPSPDHQLTPWSVDSPSESGSSSRTQSVASTVTSSSVGGAVDSNRRYDAGRSGQMESYRGLCGQSSQPLVGPSSDACSAAGVLEDPLITNGRIPEYLFESLPTWDFRRGIEEAQKFLPVRNKLVIDLEAGGVARPQEARKDVSLNARKADVLNSRKNRQSEDLDLMEGRNIKQSAFCSDEPDWIEMFDDLLRQTEKKATDLRELMRNEASKNSQVTQTKGPSGPRTRGRKPTKKDVVDLRTILIHCAQAVAADDRRTANELLKQIRHHSKPNGDGAQRLAHCFADGLEARLAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMIKNASKVHIIDFGIYFGFQWPCLIRRLSKREGGPPVLRITGIDVPQPGFRPTERIEETGQRLAEYAKKFNVPFEYQGIASKWETIRVEDLKIGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDAQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQEIVMKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPKNN >KQK91481 pep chromosome:Setaria_italica_v2.0:IX:50092617:50095616:1 gene:SETIT_034417mg transcript:KQK91481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDNTYGELSGMFCGGLSYDGYADHSSSSDYFRFIDPLPAVVPQIAAEPYSNPSSTVSRANTETDNPEDWEFISDESLNYISRMLMEEDIDEKCSMYQAESAALRAAAKPFYDILGHKFPPSPDHQLTPWSVDSPSESGSSSRTQSVASTVTSSSVGGAVDSNRRYDAGRSGQMESYRGLCGQSSQPLVGPSSDACSAAGVLEDPLITNGRIPEYLFESLPTWDFRRGIEEAQKFLPVRNKLVIDLEAGGVARPQEARKDVSLNARKADVLNSRKNRQSEDLDLMEGRNIKQSAFCSDEPDWIEMFDDLLRQTEKKATDLRELMRNEASKNSQVTQTKGPSGPRTRGRKPTKKDVVDLRTILIHCAQAVAADDRRTANELLKQIRHHSKPNGDGAQRLAHCFADGLEARLAGTGSQLYHKLVAKRTTASDMLKAYHLYLAACPFKRLSHFLSNQTILSMIKNASKVHIIDFGIYFGFQWPCLIRRLSKREGGPPVLRITGIDVPQPGFRPTERIEETGQRLAEYAKKFNVPFEYQGIASKWETIRVEDLKIGKDEVVIVNCLYRFRNLIDETVAVDSPRNRVLNTIRQVNPAIFIHGIVNGSYSVPFFITRFREALFHFSALFDMLETTVPRDDAQRALIERDLFGREALNVIACEGSDRVERPETYKQWQVRNLRAGFVQSPLNQEIVMKAKDKVKDIYHKDFVIDEDSGWLLQGWKGRIIYAISTWKPKNN >KQK88683 pep chromosome:Setaria_italica_v2.0:IX:16191433:16191890:1 gene:SETIT_038173mg transcript:KQK88683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAPTPLPPSQAQGRVVTTVASGGRRREPRAQGGAGATRGRRSERRSVQGLQAAGDVSAVQLQAVGDSSAAQWPTALVRAVRHRNTQASTQAREDRPPWPPV >KQK88726 pep chromosome:Setaria_italica_v2.0:IX:16626875:16630122:-1 gene:SETIT_036835mg transcript:KQK88726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLREMDSALPPGFRFYPSDEELVCFYLRNKVANQRVASGTLVEVDLHAREPWELPDVAKLTAEEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDRVVHEPATRELVGMRKTLVFYRGRAPNGQKTGWVMHEFRLETPNSPPKEDWVLCRVFNKMKLSSEGEEAGSSGIHNGHTATVSAEPSSPPPAFLGSLPDPAAAPADRFYQQRQVTTGSGSSSGALLMNLQAMLQQGSSCFLDYCSPVVHHGVAVGAPHNAGCGDDAAVAMALGHVGFEEHGLGEIEMEYAQAQGGCGLYF >KQK91818 pep chromosome:Setaria_italica_v2.0:IX:51982415:51982696:1 gene:SETIT_039156mg transcript:KQK91818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGALAWQATVIKMLILCLGWEIARGVIFFIPRVLPPLVSLCPLLLVGYTSPWYTSGILQFGKELQRRTQGTIGNLKLQFILHTNPYLCCCNL >KQK88707 pep chromosome:Setaria_italica_v2.0:IX:16385691:16386060:1 gene:SETIT_040550mg transcript:KQK88707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPCISLAGTSQQIEQSGIPQLRVISFQR >KQK87390 pep chromosome:Setaria_italica_v2.0:IX:7062515:7067799:1 gene:SETIT_036077mg transcript:KQK87390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMQAAEAAGRLSALLSLLALRRLLAVLQPLALLLLLPFRWRARPGAVAAAVASDAAPSSASGPSGKKGKASVVLRVPAGSPMVAARRQASARREIAIRRAREGGREYDLIPTPRGETLFTQCWWPYPPSSTIKPRALVVVMHGLNEHSGRYDHLARRLNEIGIKVYGMDWTGHGGSDGLHGYVQSLDHAVNDLKMYLKKVSAENPGLPCFCFGHSTGGGIILKAALDPEVETLISGVVLTSPAVRVQPAHPIIRVMAPVFALLAPRYQFTASHRNGPPVSRDPEALRAKYTDQLVFTGAIRVRTGYEILRLTSYLQQHLHRITVPILVLHGADDMVTDPDGSRALYEQSSSADKSLNLYPGLLHDLLIEPEKDKIMDDIVAWLSLRV >KQK91422 pep chromosome:Setaria_italica_v2.0:IX:49721080:49722223:-1 gene:SETIT_036553mg transcript:KQK91422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNAVQAVRAALYLALALAVANCAFAGRVLDEQPQPAAPAEAPLPDDPLPAPTDPPTDPVVVAPAAGPAAAGAAGAATAGAASGGAAAANVGAAGAGAGDHPLTFFMHDILGGSQPSGRIVTGVVASAAANGQLPFARPNTNIFPIQGAVPLPQGATSLINGNNAPYVAGLGGTSGGGVLVQNNGNPVNGGNKNIPFVNAGDLPSGVTLQNLLFGTTTVIDDELTEGHELGAGVIGRAQGFYVASSQDGTSKTIVLTAMFEGPDAPHGDTLSFFGVHRMAAPESHIAIIGGTGKYENAKGFAAIQTLHPGDEHTTDGVETLLQFNIHLI >KQK87692 pep chromosome:Setaria_italica_v2.0:IX:8716955:8720895:-1 gene:SETIT_037655mg transcript:KQK87692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPAATTARIGAPRGPCLWRSERSAGVLAGSASPRPSRPARDTRARASELQQAPRPPASSAPVPTHKVTVHDRQRGVVHEFVVPEDQYILHTAEAQDIRLPFACRHGCCTSCAVRIKSGQIRQPEALGISAELKDQGYALLCVGFPSGDVEVETQDEDEVYWLQFGRYFARGPVERDDYALELAMGDE >KQK86171 pep chromosome:Setaria_italica_v2.0:IX:602589:604302:1 gene:SETIT_035129mg transcript:KQK86171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLLPSELLAGLPQQWLIGLLLLLPVVLLVPSYLFLASPGTKMTKNGARRLPPGPAQVPVLGNLHLLGPLPHRNLRDMARRHGPVMLLQLGTVTTLVVSSAAAAREVMKEHDIDCCSRPVSPGPKRISYGLNDVIFAPYGEQWREMRKLFIVELLSMRRVQAASYAREQQLDRLIADLSRAGAEAAPVALNEHIFGLADGIVGTVAFGNIYGTERFAHRERFHHVMDEAVDMMASFSAEDFFPNAAGRLVDRVTGLVARRERIFRELDAFFETVIDQHTDPARVVPENGGDLVDVLISLWKENRGTLRFTRDHVKALIMNTFIGGIDTSSVTVLWAMSELIRKPRALKKAQDEVRAVVGGKARVEPDDVPKLPYLKMVVKETLRLHPPATLLVPRETVRDVRIGGYDVPARTRVFVNAWAIGRDPASWADAEEFHPDRFEGSDVDYNGAHFELVPFGAGRRICPGLAMGETNVTFTLANLLYCFDWALPEGMAAEDVSMEEAGGLTFHQKMPLVLVPTRYHHRTATA >KQK91354 pep chromosome:Setaria_italica_v2.0:IX:49409921:49410831:1 gene:SETIT_038484mg transcript:KQK91354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATFVEVLLAIFLPPVGVFLRYGCGMEFWIDLLLTILGYIPGIIYALYVLVA >KQK87054 pep chromosome:Setaria_italica_v2.0:IX:5317921:5320495:1 gene:SETIT_035820mg transcript:KQK87054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRPVTCCCGAVLLAAALLLSAPDATEAYDSLDPNGNITIKWDVMQWTPDGYVAVVTMYNYQQLRHIGPPGWQLGWTWTKEEVIWSMVGAQTTEQGDCSRFQDNIPHSCKKDPVVVDLLPDAPYDMQIANCCKAGVISTFNQDPANSVASFQLSVGLSETTTKAVRVPRNFTLKTPGPGYTCGRAIVGRPTRFFTPDGRRATQALMTWNVTCTYSQFLAQKTPSCCVSLSSSYNSTTVDCPTCSCGCQNPKGTNCVNKDSPHLPSAIDGPGKWTGQPLVECTSHMCPVRINWHVKQNFKDYWRVKITITNFNFRMNYTEWNLAVQHPNLDNITQLFGLNYKPLTPYGAGINDTAMLWGVKPYNDVLMQAGNKIGSVQGELLLRKDSQTFTFGKGWAFPRRVYLNGDNCVMPAPENYPSLPKEPSG >KQK90250 pep chromosome:Setaria_italica_v2.0:IX:40990330:40990958:1 gene:SETIT_039657mg transcript:KQK90250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYLHPYFLSQRAHVGLEFKLRPPLQKRAVGRQRKNRIPSCLGNKGNKAKSKGKWQVQCSNCLGHGYRSTSPKCPLNGKKGVNETTLNTTPAMNTRRQLALNKEAGAGTSQEVNTTTTMEVITTMEVTTPIKKKRAVKKQLTPRKANN >KQK91928 pep chromosome:Setaria_italica_v2.0:IX:52758804:52759606:-1 gene:SETIT_040727mg transcript:KQK91928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLGFVLVEVYDIPIQSFVAENTNFRICQYKAIDFSVL >KQK88765 pep chromosome:Setaria_italica_v2.0:IX:17045180:17051125:1 gene:SETIT_034729mg transcript:KQK88765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPGLFTEWPWKKLGNFKYLLLAPWVAHGGYLAATKGWRAADVGYLAILPSLLLRVLHNQVWITVSRVQNARSRRQIVDRGIEFEQVDRERNWDDQILLSGILLYLGALYVPGGQHLPLWRADGFLLIALLHAGPVEFIYYWFHRALHHHFLYTRYHSHHHASIVTEPITSVIHPFAELVAYELLFAIPLISCALSGTASILAFEIYVLYIDFMNNMGHCNFELVPNWLFKWFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYIYNTMDKSSDKLYEKSLKGKEETVDVVHLTHLTSLQSIYHMRPGFAEYASKPYTSKWYMRMMWPVSWLSMVLTWTYGSSFTVERNVMKKLKMQSWAIPRYSFHYGLTWEKEAINNLIEKAICEADEKGAKVVSLGLLNQAHNLNGNGELYLQKYPKLGVRLVDGTSLAAAVVVNSIPHGTDQVVLAGNISKVARAVAAALCKKNVKVVMTNKQDYHFLKPNMPVDAADNFVFSKTATAKVWLLGEGLDASEQFKAQKGTQFIPYSQLPPRMVRKDSCTYSTTPAMAVPKTLQNVHSCENWLPRRVMSAWRIAGMVHALEGWNDHECGDIVLDMEKVWSAAILHGFRPVAQV >KQK88232 pep chromosome:Setaria_italica_v2.0:IX:12427491:12428570:1 gene:SETIT_039858mg transcript:KQK88232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ACYDLHGWRSASAIVADTASGYHVLKIDGYSRTTGTPTGEFLKSLPFTVGGHRWCIRYYPNGNDPETKDYISLYLHLHRSVTEEVKAHFEFRFVGDVTEQALALEGVHTYDSHYELEGSKHLRDDSFAVRCYVVVTDEFRTMQETPEVTAPASVSVPPSDLHKHVGALLLTEKGADVLFDVAGQTFAAHRCVLAARSPVFSAELLGVMKESDTGAVVHIDDMEAWVFKALLYFVYTDLFPTSTKEEVEGEQDDDVLSQHLLVAADRYNLERLKLLREQKLCEYIDVGTQHDCHGLKKVCFDFLSSPANLKAAVASDGFEHLSRSCPSVMKQIIAMLAN >KQK89209 pep chromosome:Setaria_italica_v2.0:IX:22018901:22020681:1 gene:SETIT_036239mg transcript:KQK89209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSEDSHDLLQAQVELWNQTYSFMKSVALAVALDLRVADAIHHHGGAATLSQILPEIGISQCKLPGLRRLMRVLTLAGTFTIQPPDQASPSDDGHEPVYKLTTASRLLLTSNNDNGGGEGSTVTLSPVLNHVLNPFRDSVLSMGLTAWFRHDGEQHGPCPFALMHGETLWEVSGRDDALNASVNDAMAADSRILMRVVLKECGEVFGGIDSLVDVAGGIGGAATVIASAFPSLKCSVLDLPHVVAKAPSGSNVQFVAGDMFQRIPPANAVFLKWILHDWGDDECINILKNCKQAIPSRDAGGKVIIIDIVVGSKSSDAKLLETQVLCDFDIMKIGGVERDEQEWKKIFLEAGFNDYTIMPVLGLRSIIVLYP >KQK90077 pep chromosome:Setaria_italica_v2.0:IX:39359689:39360408:-1 gene:SETIT_035362mg transcript:KQK90077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTELAPLPKVLLGSLAFGVFWMLAVFPSVPFLPIGRTAGALLGAVLMIVFHVISPDDAYASIDLPILGLLFATMVLGGYLKGAGMFKHLGAVLAWRSQGGRDLLCRVCVVTALASALFTNDTCCVVLTEFVLELAAERGLPAKPFLLALATSANVGSSATPIGNPQNLVIAFNSRITFLQFFFGILPAMLAGMAVNTVMLLCMYWKDLEGASPDEVAAVKEGGGRRGGALAGGLGALAQQ >KQK86154 pep chromosome:Setaria_italica_v2.0:IX:484478:484960:1 gene:SETIT_040378mg transcript:KQK86154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLRSYGQLDVMWHMWHRIEAAFGSNLNKLKDSFNFQNFFFFALIVNSGV >KQK91244 pep chromosome:Setaria_italica_v2.0:IX:48734684:48740111:-1 gene:SETIT_035930mg transcript:KQK91244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLNRRSSLPRAGMEYFDARRKPHNVAKIIAALVLTTLCIFVLKQSPGFGGNSVFSRHEPGVIHVLVTGGAGYIGSHASLRLLKDNYRVTIVDNLSRGNMGAVKVLQELFPHPGRLQFIFADLGDQKSVNKIFAENAFDAVMHFAAVAYVGESTLEPLRYYHNITSNTLLILEAMASHGVKTLIYSSTCATYGEPEKMPITEATPQFPINPYGKAKKMAEDIILDFSKSKGADMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAALGIIPGLKVKGRDYPTADGTCIRDYIDVTDLVDAHVKALNKAEPRKVGIYNVGTGRGRSVNEFVDACKKATGVDIKIEYLSRRPGDYAEVYSDPTKINKELNWTAQYTDLKESLSVAWRWQKSHPHGYGTN >KQK91015 pep chromosome:Setaria_italica_v2.0:IX:47188573:47197924:-1 gene:SETIT_033972mg transcript:KQK91015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPADGGGEQPHKAHRQHKSGAKARKKKGKGKGAAGDDAGGEQKNPKAFAFQSATKAKRLQARSAEIEQRRLHVPVMDRSIGEPPPFVVVVQGPPQVGKSLLIKCLVKHYTKQNLSEVHGPITVVSGKSRRVQFLECPNDINGMIDAAKIADLALLLIDGSYGFEMDTFEFLNIMQVHGFPKVMGVLTHLDKFKDVKKLRKTKQRLKHRFWAEIKEGAKLFYLSGLIHGKYTKREVHNLARFISVIKPVPLSWRMAHPYLLVDRFEDVTPPEIVRLDKKCDRKITLYGYLRGCNMKRGTKVHITGAGDFSLSGVTGLADPCPLPSAAKKRGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKNDDNDIPKKQGKGKDIGADLVRSLQETRYSLNEKLEKGSINLFGRGPSAQFKDSDVDGNAISASQDDQGDAKQVDGVNIANADTMDSNGHSECSSDSEGDDDDDDKLRDRDVELREKLEICNGRLRRKAVSSNFQDDIDDEGTDEDDSDNEDSGDDELSEGSAASDDSGEASASDDETGNNSKWKESLLARTLSRRSASLMQRVYEQPSTKLDGVLSEENNDSEANSSDEEFFVPKGQNKQTNNDLPTFDDIDAEDCSKFFKAELRDWSNEDLINAIRDRFVTGNWSKAALRGQELNENGEDDEEIYGDFEDLETGQVHRIQASENSEGNSGGHKEDDLELSGDEADDDKKNSKREQSNAGGYFDKLKEEMEIRKQMNISELNDLDEDTRVEIEGFRTGTYVRLEVHGVPFELVEHFDPCHPILVGGIGLGEENTGYMQASLKRHRWHRKVLKTKDPIIVSIGWRRFQTTPIYAIEDRNGRHRMLKYTPEHMHCFAMFWGPLAPPKSGVLAVQNLSNNQVPFRITATGWVQEFNNTARIVKKIKLTGTPCKIFKKTALIKGMFTSDLEVARFEGAAIRTVSGIRGQVKKAAKIEPGDVLKRKGENTEGIARCTFEDRILMSDIVFLRAWVNVEVPTYCNLVTTALQPRKETWQGMRTTAELRRAHNIPIPHNKDSVYKPIERKPRKFNPVEIPAKLQQLLPFKSKPKDRPKQKQPTVEKRVPIILEPSARKTQEAIRQLMLLKQEKAKKKKIKEQQKKKAYEKEKAKTEQLTKKRQREERRERYREEDKQKKRARR >KQK91872 pep chromosome:Setaria_italica_v2.0:IX:52435990:52441636:1 gene:SETIT_035397mg transcript:KQK91872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPKPGRSRKRLTLERRSRKKWLHQLLLPLQALSDHKITYIAIEKPFYQMETWKIAEHTLRPPLGSATALATCNPPPSRLGGCQFDPSSSSTRSSLQPGGAEAHLHTTCTGRRPPRRRLYQVGSMSSAVVNGLAGAGGGIIAQIITYPLQTVNTRQQTERSAKKRKAGSGANDTSTLFQMLQLIQTEGWGGLYSGLKPSLIGTAASQGIYYYFYQILKNKVEDVAAARGKKGLGDGTVGMLSWLGIAAVAGSINVLLTNPIWVLVTRMQTHTQAERKILESKKDLLLKEISRANSLEVSILKDRLVKLESEKPHPYGTFQAIREVYCESGIRGYWKGLVPTLIMVCNPSIQFMIYETLAKRLQSKRSGKQLPKRNLTAMEVFLLGAIAKLGATVVTYPLLVVKSRLQAKQEIGRNVMSRYTGTIDAIIKMVRYEGLHGFYKGMGTKIVQSVFAASVLFMVKEELVKLAALLVARSRTMLITKPKKR >KQK89288 pep chromosome:Setaria_italica_v2.0:IX:23144202:23149578:1 gene:SETIT_037411mg transcript:KQK89288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLRYRDERLVPITGKEGLEGPGLSVSQKIFYCISFVGGQYIWSRLQSFSAFRRWGDLEQRPLARRAWGLVQNAEGLYQAASFFNLLLFLYGGRYKTIVERILKARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLLPFSKDKSAGSFGDEANCPICRSSPSIPFIALPCQHRYCYYCLRTRCSATSSYRCPRCNEVVVAIQRQGSV >KQK87079 pep chromosome:Setaria_italica_v2.0:IX:5482875:5489837:-1 gene:SETIT_033948mg transcript:KQK87079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSDGFDDELGGASVAPSPARFELQEDPAFWKDNNVQVVIRIRPLNGSEISLQGQKRCVRQDSSQSLTWTGHPESRFTFDLVADEHVTQENMFKVAGVPMVENCISGYNSCMFAYGQEKEIRRDEKLRFTCKCSFLEIYNEHILDLLNPNSVNLQIREDAKKGVHVENLTEHEISNAREALQQLIEGAANRKVAATNMNRASSRSHSVFTCLIESKWESQGINHHRFSRLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVITNLIAVSNKKSHHVPYRDSKLTFLLQVICKTETTKVLMFCAAETLSTLKFAQRAKYIRNNAIINEDASGDVLSMRLQIQNLKKEVSRLQGLVGSDKTEGLGSHGFVCESPSMFKWDQGHGTFSPLNFDKRTTQRNDYDAALVAAFRREQVKEAQLKATIAAKQIAEQLAAQKTEEVRSFKMRLKFREDRIKRLEQVASGKLSAEAHLLQERESLVKELEVLRNQLDRNPEITKFAMENLQLKEELRRLQSFVDESEREMMHEQIIILQDKLLEALDWKLMHEKDPVNKGLSLFGESAGDEENEFLRLQAIQNEREIESLRKKLTFCVEAKENLERRVDELTIELELTKKHDDTNNECKAVELQDQGEAGLHNLSDAQVELKTLVDAISSASQREAEAHETAIGLAKENEELRMQLKVLIEDNKRLFDLYEHATVNVEANQDGNWPTIPGNEHASDQQGSHPFGENSVNEDLPTAPPAGPSDLHAPNSSSMEEESKIADEKCINEDNLSRNTSAELRLQLEEMHEENDRLMGLYEKAMQERDEFKRKILEQSNSETVEEIRSDEKDVEMSEAADPRNLGVKHVHDSTILALKEVLQLVRTKLELVQDKVVSAQDAVKYFELLERVSRKAEELSASIQLHRLDVQHGQEETNALKSALSESQDKKDTFEGKYFLPAASCWNLDLKIKAIASSKFDSNFALMNQKKEQLNLLLSRKNQLSAMRTRAHESETELRRKIDGLKLKLRSYEAQRKEEEKVLFAIDNLDTSTASTHKPKNFSKATDLLKSEEERIKLSCELQNAREQLRMVHKEIKSMQKCDYIDCEIALLETEIEDCCLSLLEADIEKFIRDNTLTEVWEGEAKNMEALLIDYQDCVFHVNLKEEEIKVCEESLQHQARGLDELHLKLNQAMRELGELLQDGRSLTSCSLDQSMLPVSEKVATDLEAVRIHVAEAKQLLLLDSQANL >KQK93022 pep chromosome:Setaria_italica_v2.0:IX:58376087:58378514:-1 gene:SETIT_037823mg transcript:KQK93022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWISSRRWRGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFQNPKVQASIPANTWVVSGVPQTKSLQDLLPTIINQLGPDNLENLRRLAEQFQKVPGAEGGASAAAQDDDDVPELVPGETFEEAAEKEAEPEEKKES >KQK93021 pep chromosome:Setaria_italica_v2.0:IX:58376087:58378684:-1 gene:SETIT_037823mg transcript:KQK93021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWISSRRWRGAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRIGVNTIPGIEEVNIFKDDVVIQFQNPKVQASIPANTWVVSGVPQTKSLQDLLPTIINQLGPDNLENLRRLAEQFQKVPGAEGGASAAAQDDDDVPELVPGETFEEAAEKEAEPEEKKES >KQK92442 pep chromosome:Setaria_italica_v2.0:IX:55495153:55497576:1 gene:SETIT_034325mg transcript:KQK92442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQARARPRNFSRQFWPVLRHAISECCLIIMLVATAVLSYMATRFARMCRLRSPCMLCSRLDRFLHGKAWFSEELVCAAHRLEISRLSCCQSHNKLACSDDMCDRCLLSCTTSDGKPSNLTNMNVKEKAKSRSRSRHKQLCSCCSLQFKKARNSHRLSEVANIRFPGDDMNKVRSISMASVGHSSDDDSDHLPFEGYRKLKVGHDSESEIHISDSDDDVGNAMPHEARGVAINISSRDVQLQPMISSGNGLSMLPSDNTVMTKPKQPLNTARHADSQSSGTNVAEYLDPAIGHGLDEINWSQINANVSDSNMDMQSRAMPEQVCAEHPKEKTFLVGIEEVGDSFEGVSGSPDEEAMNDFAASTNAGTSSSADTHINCNNSLKNSSGGRGYLKSPRLSEIISARDTNSKTNEEVKTFLSQLSSARGFDGPFSESTASPRIRTQIDEYRQYDATGMAPFLDRNNSNLEPFDVNATSEDEGESSIECLKQQAEVNRKKMSMLYKELEAERSASAVAASEAMAMINRLQEEKAGMHMEALQYLRMMEEQADHDQEAIEKLNDLLTEREKELLDLEAELECYRSRLHDKPFDVGNFNAIDGAIAFGVLDGSDFMRHIMFDFEDEKAKILDSLHSLEETLGMSSINRFDLHGTNDTLQNGPLGDHLVSSQYLQNSELGTSQVPREHLISVSASSQQNDENQSVENQKSSPSCSQLDDANNCSMTSVKHDISLLNTRFKALEADQNFLKQILSSLNCSSDGVQYIQEITSHLRELRRIMAEQRDMTVL >KQK87528 pep chromosome:Setaria_italica_v2.0:IX:7789841:7793019:-1 gene:SETIT_036986mg transcript:KQK87528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPFARRDIEAAGAGSDDSPAAKKGKPELPGARPTLTRSEALAFAAVLALFTAGIFCVFLTAPRHEFGQILRLPRSLADVRLLKDNLAVYARDYQANFILGYCSIYIFMQTFMIPGTIFMSLLAGALFGVIKGGILVVFTATAGASSCYFVSKLIGRPLVSWLWPEKLRYFQSEIAKRKEKLLNYMLFLRITPTLPNTFISMASPIVDIPFHIFFAATLVGLIPASYITVKAGRALGDLKSVRELYDFKTLVVLFLIGSVAVAPAILKRKRTYE >KQK86501 pep chromosome:Setaria_italica_v2.0:IX:2287579:2290133:-1 gene:SETIT_034317mg transcript:KQK86501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPIDLLLLALTTCTLVSSLAVSGAQNTNITSGASLQAGAGAAWPSPSGRFAFGFYATDGGLAVGVWLATAPNVTVTWTAGRNDTPATGGKLWITYDGRLVWSGTDGGQDRSVAVPDQPAAAAAMRDDGSFVLYGANGTVVWSTFAAPTDTLLPGQELAPGAQLFSSVSATNRATGRYRLTNQANDGNLVMYPVQTENVAAAAYWDTSTFQIGFPLTLRLNAAGVLYLTGNSGNYTKNLTNPGAAQSAGEKQVFYRVTLDPDGVLRLYRHAVASSGAWRTEVQWIGPSDRCRVKGACGLNSYCVLDRDAQPGCACPQGFDFIDASNAALGCTETSGAGDCAAAAGLTMAMAAMQNMTWADTPYAVVGAGTSAADCQAACRADCLCAAVLLNGNDGTCTKQQLPLRYGRAGGGYTLFVKNAAAGTVNLGGRKGRGRVGRAATVALVCIGLLTCVSLGALLAAGRLVWASRRAAHRRLADADAEALDEEEAPLSLRSYSYQELEHATCSFREPLGRGAFGTVFRGTLHDGETAIAVKRLEKVVEEGEREFQREVRAIGRTSHRNLVRLLGFCHEGANRLLVYEYMSNGSLAERLFVNNKGGGGYPSWGERVGVALDVARGLHYLHDELESRVIHCDVKPQNILMDASGTAKIADFGLAKLLLPDQTRTFTGVRGTRGYLAPEWYRGAGPVTVKADVYSYGVVLLEVVTCRRSMELEEAGEERTLMELAHEWLLRGEVKRVMGGDEAVDAAEVERVVKVAMWCAQAEPQARPAMRTVILMLEGYVEVPFPPPPASS >KQK90774 pep chromosome:Setaria_italica_v2.0:IX:45699167:45700693:-1 gene:SETIT_035776mg transcript:KQK90774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPWRPVLASATKCCAAEDAAVAPDGLARCRPQQSELSRRLASFRRLSSLANSPASATMASSDGGSGKACGGEAAGEMAGPLQLHSFGLGELRGVTHDFSSSFLLGEGGFGAVYKGFVDAGMRPGLGAQPVAVKQLNAAGFQGHREWLAEVIFLGQFRHPHLVRLLGYCCEDEERLLVYEFMPRGSLENHLFRRISATLPWGTRIKVAIGAAKGLAFLHAATTPVIYRDFKASNILLDSDFTAKLSDFGLAKMGPEGEDTHVTTRVMGTHGYAAPEYVQTGHLNVKSDVYSFGVVLLELLTGRRAMEHVRGRSAHAEQQVKLVDWTRPYLSGGSRRLRCIMDQRLAGHYSVKGARAVAQLAVQCTAPQPRDRPRMAAVVEALERLQGLKDMAVTVGLWPANAPVAGRNAISAKIRAEVKGAAGSRRRSASSKLP >KQK91981 pep chromosome:Setaria_italica_v2.0:IX:53070942:53076011:1 gene:SETIT_034989mg transcript:KQK91981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIKPGGRRTSTLPLPVLLLVVVGVSLQGRCIAQGVGGGGLTRGSFPKGFVFGTAAAAYQYEGAVKVDGRGQTIWDTFAHTFGKISDFSNADVAVDQYHRFRLAVLFKSGICAMYVRHIVFMTTYRMICVTFWDLYFQEDVQLMADMGMDAYRFSIAWSRIFPNGTGQVNQAGIDHYNKVINALLSKGIQPYVTLYHWDLPQALEDRYNGWLDRQIVNDFAAYAETCFKAFGDRVKHWITINEPHTVAVQGYDAGLHAPGRCSVLLHLYCKSGNSGTEPYIVAHNFILAHATVSDIYRRKYKATQNGQLGIAFDVIWYEPMTNSTIDIEATKRAQEFQLGWFADPFFFGDYPATMRTRVAERLPKFTAEEAALVKGALDFVGINHYTTFYTRHNDTNIIGVLLNDTLADTGTISLPFDKNGKPIGDRANSIWLYIVPSGMRKLMNYVKERYNSPPVYITENGMDDSNNPFTSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWAAGYTSRFGLYFVDYRDNLKRYPKNSVQWFKSLLSSS >KQK87641 pep chromosome:Setaria_italica_v2.0:IX:8356305:8357123:1 gene:SETIT_039322mg transcript:KQK87641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPEAASSFGDALIRVNCSHGGRFLPRGPDGALRYVGGETRVLAVPRAATFHDLAARLSREVARGAEVRAIRHRLADEGLEDVIVSVTCDAELAHMRDEYDRLRATRPTARFRVFVITSASAGSGGGGGGFQGRRAAAGLPPLAPKMRRVQSELAQLHRRPSLPAPMRRIQSAQEFARATHVHVQPSFPHRRQQQYCCNCQRRDVCAPAPPPARPVGALPCMSKKVAAPPVPVMKATRRLVFTDAAREKARSRAAQAAMENGRTIWESVRA >KQK86651 pep chromosome:Setaria_italica_v2.0:IX:3131457:3136216:-1 gene:SETIT_037099mg transcript:KQK86651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAVLACEATTATAADAAAAPGAGGGGGAGDVVRLKRSVLAACLTCPLCGRLLRDAATITECLHTSAKNKRSLGSKSEDVKTTEDKSDDPVDETPTSQAKTKRQYRRRGNLEKRTGNKKLLILKGKQKKIKPKLLNKKRKLQALWLYLVAAFDQKGQPPLPQVPSKFLRIKDVDLPASFIQKYLVQKLNLSSEAEVEILCGGKPVSPGMTLHDLADCWLNKGQKGRVRSYVGTPAAGFIAKVFYGRSGASIPETENNQG >KQK91109 pep chromosome:Setaria_italica_v2.0:IX:47861990:47862562:1 gene:SETIT_040549mg transcript:KQK91109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRVTGADEADLLLQQQDGLGLLLPQLGVEQFLQAGPTAYATTPTPAAAEQQQQQPLFPTLDSWNVMYGKKNEVA >KQK91128 pep chromosome:Setaria_italica_v2.0:IX:47969328:47970225:-1 gene:SETIT_037859mg transcript:KQK91128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKLGVQHRHHHHHHNGGISKPPVHHHYGGKRGAGRQGGGGGGKGIKVVYISSPMKLTASAEEFRAVVQELTGRDSNVADHDLAGGAYYAGEPASSSSSYSSFGRVSPTEAGPCALPPTMATGASVGAERAMAAPPPFQGMYDQTAGNLLYGQDYW >KQK92773 pep chromosome:Setaria_italica_v2.0:IX:57193998:57194477:-1 gene:SETIT_038520mg transcript:KQK92773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHHFMVNINVLQIMNIPHLQSMHSKSTFKTLFNLVSTNHQYYASSAPW >KQK86782 pep chromosome:Setaria_italica_v2.0:IX:3713062:3714448:-1 gene:SETIT_037400mg transcript:KQK86782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGTSPLPKDKGPTTEPGKAVGIKRLQSDTPSSPGYHNVYVRRKVESEHSKVNPSQDLKGNGRDKAKEQETHQDVQQDQQEEASRTQVSSPVAESVEIVSSKSPEKTNAEIVPEKTEPPVASGTGIQEDVKQLSIQYWNERFNRLQTYLESCDHSTQEGYLRKLRSLSAAGRSMHAIELEKRAIHLLVEEGKELQRMKALNVLGKVSPNASSKPTPLQRQSQK >KQK91064 pep chromosome:Setaria_italica_v2.0:IX:47520867:47523708:1 gene:SETIT_040196mg transcript:KQK91064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVPARLPDPVPSPILRHSPANSVRRTRSLRSLLADSPSVTFAANLRSGSNASSLENFQFQRSGSRSASGTPAGLGRVSTRRSASERAGSQRDLRDEDARFVYINDADRTNAPPAGLPDNSIHTTKYSVLTFLPRNLYEQFHRVAYLYFLVLVALNMVPQLGVLTPAASVLPLAFVLSVTAVKDAYEDWRRHRSDKNENNREASVLVGGVFRPKRWKEIQVGEVLRVAANETLPCDMVLLSTSDPTGVAYVQTINLDGESNLKTRYAKQETMPTPPEALAGVIKCERPNRNIYGFLATVDLDGRRAVSLGPSNIVLRGCELKNTAWAVGVAVYTGRDTKVMLNSSGAPSKRSHLETHMNRETIMLAVVLFLLCFIVALLAGIWLGDHSDDLAVIPFFRKRDFSSRDDPDAKYNWYGMGAEVAFTFMKSVIQFQVMIPIALYISMEIVRVGQAFFMVQDRHMLDEKRQAKFQCRALNINEDLGQIKYVFSDKTGTLTENRMEFRCASVHGADFSATDGGDGIVGDEHSVIGEDGMILRTKTAVKTDPKLVALLRDGAGATADRARDFFLTLAACNTIVPIADDAAAGERLLEYQGESPDEQALVYAAAAYGFTLVERTSGHIIVDVFGTRQ >KQK88105 pep chromosome:Setaria_italica_v2.0:IX:11526173:11528140:1 gene:SETIT_037275mg transcript:KQK88105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSDACVLRPSIEWIDGAQPQANATVFVAKFFGRAGLVASLAAVPLHHRPALFRSLLYEACGRTINPVSGAIGLMWTGNWDLCQAAADAVLRGESSLRALSTIPAAFKDRDMDGLYGNVGAAAASSASPENSSSTPSKKRRNNGGGGIACFDAVRATGACQQPPPAGLLQSCELDLCLTPASPMAGGRRGCGASDEYSATTTCEDLQATGGDVEPRAPALLNLFN >KQK89338 pep chromosome:Setaria_italica_v2.0:IX:24520315:24522532:-1 gene:SETIT_035839mg transcript:KQK89338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKAGTEEALLLSRPENTVAAEAKRLLRLAGPLVASCILQNVVQLVSVMFVGHLGELPLAGASLASSLANVTGFSLLAGMASALDTLCGQAFGARQYGLLGVYKQRAMLVLALACVPIAVVWANAGQILALIGQDHDISAEAGAYSRWLILCLVPYVPLVCHVRFLQTQSIVVPVMVSSGVTALSHVFVCWALVFKAGMGSKGAALSNAIAYCVNLAMLALYVRLSGACTRTWTGFSTEAFKELRRFTDLAVPSAMMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNTGALMFMIPFGLCTAISNEPEVDTYIAKMIPVLAISFFTDGLHSSLSGVLTGCGEQKIGARVNLGAFYLAGIPMAVLLAFVLHLNGMGLWLGIVCGSLTKLVLLTWITLRINWDSEAIKAKETVFSSSLPIA >KQK90591 pep chromosome:Setaria_italica_v2.0:IX:43898201:43899827:1 gene:SETIT_036074mg transcript:KQK90591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRKTHITSDIFHLMFKFNTRPVGMASARAAAAKSWCGHCGMSLAAPSRPGSSVRCTFCHRVTRVVERHRGVGEGEGALALAAAASPLRADPAGLEVPAGYPGVSGKKKRALLVGVSYRGTAHELKGTVNDVKEMRRLLCGKFGFPGDCILELTENERDPSRVPTRENLLRAMRWLVDGCSSGDSLVFHFSGHGVQKLDMNDDEVDGYNEALCPVDFERSGKILDDEINATIVRPLGRGVKLHAIVDTCHSGTILDLPYLCRLSRTGYWQWENHNRPSGTPKIPNGGLAISISGCSDDQKSADASGFSESSSSIGAMTDSFVKAVEAEPGTTYGRLLSAMRARIRDGQGSRRLPGRLGSFVRWMIPSSSLQEPQLCSSDMFDIYRKPFLL >KQK87466 pep chromosome:Setaria_italica_v2.0:IX:7447048:7448315:-1 gene:SETIT_0347382mg transcript:KQK87466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLSSGRFLLGNKYARTFIFFYSIGLHLLVFTLLYRMSALSYLHMTPGHDESILDAGNQTLSHML >KQK92590 pep chromosome:Setaria_italica_v2.0:IX:56256968:56258932:-1 gene:SETIT_038987mg transcript:KQK92590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEIFLHFSGPTSALPAMLQILAHFASAEALQFTPRLKDVLLRVLPILGNVRDGQRPVFANAFKCWCQAAWQYLGDAPSERPFDTDVMSFMNSVFELLIKVWMGSRDLKVRSSSVEALGEMVGLVTRSQLKSALPRIIPTMLDLCRKDPEVAFVASHSLHNLLNASLLSESGPPLLDFEELTVILVTLLPLVSVNNSKDEHYVSKGLKFLLDYFKSIMPHVNVCCGQLLWQEVPPKEQRASHSWLGRLVRIR >KQK88439 pep chromosome:Setaria_italica_v2.0:IX:14235201:14236588:1 gene:SETIT_039844mg transcript:KQK88439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWYAVASFKGDAIIVVRYDGLSRLSEVIIKSIPVVIMTTGFVTALGAKDFKRVQVGFALFRGRGLMEFLVKYENIPYFCFYCGRLGHAEQECPDEKLNERGVRIGTELCTLPFKKSVGRMLSFQSSVQSARRGLNFSGLQKERVTSFSGSSSLNAGHQGKMQQTKHGRTDGQVEANSIANVAVTPEVAAELAHRVQQIAVETLGQTATPVVAATSAQDTSEEAAKKLETGVPLSLHDQLLLAKSKARGSQELKSLVRSPGVVKDINKQKKKKALKPEAIAQSLKEMRNGGMLLEGLSAPLRGAGLHAGPRNGEIQDPMARSSTMATHNLLGAQGEPHQGQ >KQK86602 pep chromosome:Setaria_italica_v2.0:IX:2859472:2859654:-1 gene:SETIT_039577mg transcript:KQK86602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPLAVFALIATSSVLVISAPLVFASPDGWSNNKNVVFSGISLWIGLVFLVAILNSLIS >KQK88592 pep chromosome:Setaria_italica_v2.0:IX:15369522:15371062:-1 gene:SETIT_039124mg transcript:KQK88592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSGDGAATTAAAAATVVGTTTSHGGGYVNPFSQFQRSRPLSIHIASSSDDSSSSNEIEGEDLNAKKRRKEKVKAKIKKRANKLMKKRIKEESEKHPFFGYYQVPPNYLPPSSQYPSSQFQSVHLGKPPYFDGTDYPKWAYDMKMQLYGLHPSIWKVVVFRNAQAVRVITSSLCAQEFNKVWSVEISNIIWDTLKEAHEGTDQVREGKMDLIHGELELFIMLEEEIVTQIFDRLMLLVSNIRTLGSMDWDDHKGTKVLNTTSSSSISSSISSNAQNELDGEE >KQK90652 pep chromosome:Setaria_italica_v2.0:IX:44230784:44235473:-1 gene:SETIT_034550mg transcript:KQK90652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAPFSCRSLLAPAQQSHPRLPASIRLDLSHPKQPPADPKRRRHHAASHPAFSAAARGRAKKIPVPDTEEPAAGVRVTDRGLSYRLDGAPFEFQYSYTEAPRARPVALREAPFLPFGPEATPRPWTGRKPLPKSRKELPEFDSFVLPQPGKKGVKPVQSPGPFLAGMEPRYQAASREEVLGEPLTKEEVAELVKGSLKTNRQLNMGRDGLTHNMLENIHSHWKRKRVCKIKCKGVCTVDMDNVCQQLEEKVGGKVIHRQGGVIFLFRGRNYNYRTRPSFPLMLWKPVAPVYPRLVKKVPDGLTPDEAAEMRMRGNQLPPICRLGKNGVYVNLVKQVREAFEACDLVRVDCSGLNKSDCRKIGAKLKDLVPCILLSFEFEHILMWRGSDWKSSLPPLEENNFEVTKADEHFSGEEFNEKVRHSGTVLTEIELASIATSHKNCNLGQAEQELKGTMKLNHGTDMVLSSAVEVPGLFHSTGISGTEPSADTNRGLVEKSLDHSARSEHCPDDLEPHPGVTSIGDDLETKRKGNEGIEGTDVLNSSSEVRPSYMVGVLCLLEQAIDSGRALVLSENEFVDSDLVYQKCVAFTKSIPRELVFKRTQTKTSARRNGLDKQVKIKKHLVENKLSSSHIEKKDNANGCLAMRTNGRAQEFLSDVVPQGTLRVDELAKLLA >KQK90846 pep chromosome:Setaria_italica_v2.0:IX:46148374:46151706:1 gene:SETIT_036564mg transcript:KQK90846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTQFAMVEELASLVKDNLHSKHLILSTEEALIAALQQLRCSDDGGREEVDDAADTIELQPAGAYHRLLLHRLAEIYGFAHESVGEGEDRHLVLQRCPETAIPSVLVSDMLWKFDNSDDSTSVVITRNDTDFQKPWKLDVQEDTSAKSSHLKDATDLKPLKQSVVSPAVSLKEREAAYRAARERIFSGDDAKGNGRSYAKCRQVPVVAQRMIAHALGQKVQNSTETIASTAGRGKQLPNRPNIPTRSRNNYYPVAPDNREENNVRNGKPNSASRNSYQTASSQMCRTANSRAATAESLKKEQTGAARRMFAHALGLSAAQGNYGAPPKPK >KQK87785 pep chromosome:Setaria_italica_v2.0:IX:9358312:9359693:1 gene:SETIT_036495mg transcript:KQK87785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDAHHLLHTGGGAGGQRQLLAHAGWAWAGDAACYEAPASAARQQGQRSPCYGGKEVVAAGRPTATTGQQVFQQSCVANPAPAAGQYAAGPRMCAADASESGVTFGGGGAQQEVMMAPRKRKRPDQIQTPVLGLGAADVAAHFQQQLADVDRLVLQHTTKMWVEIGEQRCRHARQVVAAVEAAAAKRLRAKDEEIQRIGRLNWALEERVRSLCVEAQVWRDLAQSNEAMVNALRGELQQAHDAQQARRGVGTDDAESCCCGENGVAGGIGADDNEEEEAGTSSASHKRMCTMCGKGAAEVLLLPCRHLCACAPCAAAAVACPACGCAKNGSVCVNFS >KQK92342 pep chromosome:Setaria_italica_v2.0:IX:55011160:55015796:-1 gene:SETIT_035882mg transcript:KQK92342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPYHQPTSLEEVRTLWIGDLQYWTDENYLYGCFAHTGEVQSVKIIRNKVTSLPEGYGFIEFISHEAAEKVLQTYNGTQMPGTEHTFRLNWASFSSGERRPDAGPDHSIFVGDLAPDVTDYLLQETFRVNYPSVRGAKVVTDPNTGRSKGYGFVKFADENEKNRAMTEMNGVYCSTRPMRISAAIPKKSAGSQLQYSAAKAIYPATAYAVPQLQTVLPDSDPTNTTIFIGNLDPNVTEDELRQICVQFGELIYVKIPVGKGCGFVQYAARASAEEAVQRLHGTMIGQQAVRLSWGRSPASKQDPSAVWSQQADPNQWASTYYGYGYDTYGYAQDPSYAYGAYAGYSQYPQQVDGTGDATCVAGSHPGMEQKEEPYDPMNIPDVDKLNASYMAVHGRAMLGRSLWLKTNPLPQPT >KQK91269 pep chromosome:Setaria_italica_v2.0:IX:48917150:48923084:1 gene:SETIT_033932mg transcript:KQK91269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSRHTVGNEFALGGRDLYRTADQHDPEAVLDGVAMAGLVGVLRQLGDLAEFAAQVFHGLYDEVMSTSARGHGLMLRVQQLEAELPLLEKESCQRDYLYVVSNRGVDWHLNPRVEHGVVTRGDMPRFIMASIKRCHGPPKLFMLDKYDIGGEGACLKRYTDPSFFKMDSACSTALQEGIQSERRPLKAMEIRPNLQNGEIFRPPNAADTASKLEADLSGEAMDEVPINRRRLKYRQLNGSVFQSFRPHMQNLYEKASSEEKPPALDQSEVRISMIDSPDSNTEERDIMVDTSVNMDKVKVTVRKNRSISEEARSSDARSAGSSKGYNSEVDIYVDALTTMDSEAETDSEQRDHRDHHAFARMDPDNACSDAQNATAPRSSSFEKKDLSDVASTNRDMSNQHEEEAIVSTPQIKPVVGEHERTSSLEELFEQEKPASWDHERSSSLEELLTEDFHASESGEREQATEETGGNGSATNASSNGMQDITKKSKEAKENSSLATISFKKIASKRSKYVGGMELIASKVGILPRKLSKKHDPFCDSLRSMAKQLLELKYDGTQDSDLYDFEANGEGCNVKYLEMYDPPVEIKESAVHKIPSDSPHDDVGSRKCQQEELNHESEHDIPPTDSPHDSVPDDRNLFQDSNIVTSPSSQEEEGCASTAPDEHSSTGVLNHMLEHDQEKFEEHPDREVTEDTDTEVVSENASDTGEDLKEDIEESNKSDAYALDDETAEYIEEQAVSDGMNSSPVSSKQSDDPCLITPLTLADEDDTGACKITDSYTPEVEHMTLSETFMDTDLSKVVTESVIDSEDAMPDDKQYYLHLEPTFGQGAVLSSSEIVVQNGQVPLCSSSTVAVTPELTVNTKEKHELHPVVHQEPPNSCNSSTEVFGDPLAPDSRDVPPSIISSFVWMLNGPMQQSLNVLPAQPTYGSAQGNGSSEDAPPLPPLPPMQWRTNKLQMGSSPLSAKIGRPPRPKPPVKHQESEGNSSLDKINENAEILQENSLHIGSSLQNEMVQATVPDDHEANQFLNRDSQENHCGEGDKKHDVEVSNPLSSSEVECVAEFASVKSENLHISQSNELIVIPEEAWSDFGNIKFIPEQEGKHQLSNGVYGFGGLYAAGLSAQKTNEKHEIVVDYKDMDFSSAGCNKAADSEENKSNGAPKQEDMLSPGLTAQQEKGEHGNSDDKAMEFSSALEEELAKSPTHPVPKPPRYPLLPVTSHDRSMLRKAPTLVQPSSKLSDEKNTILEEIKNKSFNLKPVLAKRPSVMGGPRTNLQVVAIIERAHAIRQAVADDDDEDSWSE >KQK90520 pep chromosome:Setaria_italica_v2.0:IX:43350178:43351515:-1 gene:SETIT_039632mg transcript:KQK90520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVQLPPLEDVPAVVSVGEEEKKPEWFNVLLRTNFWEPCMEHAAENRAEKCMFCLHCYNVSCPHCTHDEPGHRLLKIRRYVYRSVVLAKDMQNLDIDVSRIQTYIINGQRVVHLRPMNRSKLFRPPAGTPGCLTCGCWLRVWPNSFCSLTCQEEVDVSQDDFSGPEAERRYRSHQTNMLEETGPSEEPPEAQEDHVPEAQEDHVPEVAEQENEPPAASQSRSFRRRGRKGVPNRAPFF >KQK88596 pep chromosome:Setaria_italica_v2.0:IX:15424541:15426934:-1 gene:SETIT_038975mg transcript:KQK88596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTYTRTFTMDAADISKRIKVEGASGGCPLPEEIVEDILSRLPARSLCRFRCVSRSFDAVISSRAFQDTHYQRNSGDRRLFVRPPGVQEPFYAWHPCTGAGGPAETIMSPRRLPQGSTFPVSKSCRGLVLLKNTEHCTHHVWNPSTGEILTLPDKEPLRARCLFVSYGLCYCPATQRHKVVRLYNGPWGSIFDGYGAKPATICEVFTLNESAYWRPAATEPPPCHPRENYRQLGVFCDGNLHFLDQCGGITVFNVEDETFGTLDPPPVLQRWSNFQLTELGGSLCIYSIVEEQWRSYRECKHIVDVWLLKDYTAAAKWERLCCIDCGDAPEQVERQVMLKSSWIAPLDMYYDGSNQRKIVFGTEHCNVFIVDPNNGTTKLAFTLAIGGRGRRPSMGFFEESLARVGTLSENTAFASPWMRAWSEVLSRLPALADDVWSLNQVCRGWRAIIKSEHFVAAHLRRANPSGKSLQMVFFSDAGMPYAFEPVGKYIDSPGIMPPLVDSRCTIICSKPCHGLNLLSLAYSDFVCNPATRYFKALPPDDDIRHYAAMFTGRLGLGYEQESSRHVLVRLAYTEKNLTTRDYKMVCHMKYLEDIIWDEVDPPPRPIANMLPAHVNGKLYWMVETELGQSSSGLEMIELDVSKRKFEVLKGPPCGRDSGEHMSINELEEMVCVICSNRTVGIIRIWAMEDTGMWSAKYDIQLERFSPEYSPETTMPLAVDPKDGRILLSTGRTLGYYNPKTAELETIYHLGKHTEGMKFVPALFQESLVNPCHYSV >KQK89287 pep chromosome:Setaria_italica_v2.0:IX:23145229:23145619:-1 gene:SETIT_040755mg transcript:KQK89287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSHSHFVNIKDDSPIHFLDVRRPIRNLSINKKILKICN >KQK92960 pep chromosome:Setaria_italica_v2.0:IX:58057534:58062219:-1 gene:SETIT_036323mg transcript:KQK92960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVTSMPQVWDEEGAAKGAADAVTPAPAAAMLGSLAAWLSRTIQPQPPAPRVCGTEGGPPVTAPRVRLRDGRHLAYCETGVPKEQARFKVVFSHGFTGSREDSVRPSQEVAEELGVYMVGFDRAGYGQSDPNPNRSVKSAALDVEELADALGLGPKFYVIGISLGCHAVWGALKYIPDRIAGAAMMAPVVNYWWPGFPADLVAEVYAKQEVGDQWALRVSHHAPGILHWWMEQSWLPTSTVVAGTTPLPNKRDAEIRSKMKEDGTFQQKMEQATQQGIHESYYRDMMVMFGKWEFDPMSLPEPPCPVHLWQGDEDGLVPVVLQRYLASRLSWLNYHELPGTGHFLSPVPGLGDTVLRTLFG >KQK90479 pep chromosome:Setaria_italica_v2.0:IX:42979784:42981402:-1 gene:SETIT_037818mg transcript:KQK90479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCLQQLMDRIDAGEGEQLKNLILSERLSKLVRMRLEMQAPYISKWPQALSIQSQPANVSTSLKQRAVLVDEIWHAAGDVGSDIDWYVKRTVLGGIYSTSEVYMLTDNSPEFRDTWTFVNRRIKDALDLQKTFQEAAYLAEAIGAGMGGTVQGVLNRVFQNRGS >KQK89062 pep chromosome:Setaria_italica_v2.0:IX:20130039:20134944:-1 gene:SETIT_035579mg transcript:KQK89062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLPFRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKENAKGAKVHNAAAAAAGDGPPGTKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSAFDALQLVSYTDEYFHCENCNGELVAESDKLASEEMGDGDDNVRKRRREKLKDMQQRIEEQLKPLVAQLDRVKNLPPPEFGSLQTWERANVGAFANGDPSAADSSRNSQGQYGTPMPYMGETKVEVEIADGVKEEGAESGKNGSELKVLPPWMIKDGMNLTKEQRGETSKVSKLDEESEAKDDKKQDSKDDQSIQEEYIKAYYEALRKKQEEEEAKMRMQQEGETFVSDSQSERQVGKKYKREDEDEGIEWEEQQPTGNATEQYKLADLNAEAQESGDDEDDLEWEEG >KQK89063 pep chromosome:Setaria_italica_v2.0:IX:20129666:20135544:-1 gene:SETIT_035579mg transcript:KQK89063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIPSIEPFNRLVRLAARAFYDDISMKGDNQPKTSRGDNRGMAVVVLDALTRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKENAKGAKVHNAAAAAAGDGPPGTKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSAFDALQLVSYTDEYFHCENCNGELVAESDKLASEEMGDGDDNVRKRRREKLKDMQQRIEEQLKPLVAQLDRVKNLPPPEFGSLQTWERANVGAFANGDPSAADSSRNSQGQYGTPMPYMGETKVEVEIADGVKEEGAESGKNGSELKVLPPWMIKDGMNLTKEQRGETSKVSKLDEESEAKDDKKQDSKDDQSIQEEYIKAYYEALRKKQEEEEAKMRMQQEGETFVSDSQSERQVGKKYKREDEDEGIEWEEQQPTGNATEQYKLADLNAEAQESGDDEDDLEWEEG >KQK89061 pep chromosome:Setaria_italica_v2.0:IX:20129666:20135544:-1 gene:SETIT_035579mg transcript:KQK89061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLPFRRQWVREEDLAKALKLHSKQLRRILRFFEEEKLVTRDHRKENAKGAKVHNAAAAAAGDGPPGTKEGEEKVKMHTHSYCCLDYAQICDVVRYRIHRMKKKLKDELDSRNTIQHYICPSCNKRYSAFDALQLVSYTDEYFHCENCNGELVAESDKLASEEMGDGDDNVRKRRREKLKDMQQRIEEQLKPLVAQLDRVKNLPPPEFGSLQTWERANVGAFANGDPSAADSSRNSQGQYGTPMPYMGETKVEVEIADGVKEEGAESGKNGSELKVLPPWMIKDGMNLTKEQRGETSKVSKLDEESEAKDDKKQDSKDDQSIQEEYIKAYYEALRKKQEEEEAKMRMQQEGETFVSDSQSERQVGKKYKREDEDEGIEWEEQQPTDLNAEAQESGDDEDDLEWEEG >KQK91994 pep chromosome:Setaria_italica_v2.0:IX:53129898:53131032:-1 gene:SETIT_039015mg transcript:KQK91994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDRLVSREREGEGADEQGTRMPKRRRSERDGARTLERRRQQHLYLVFDDWHSGYSIREVNLPSSGSGDGAGAEQPLPQAFLRILIVVIYPGVHQIAPIIDVRERSVAFGPGPNFPACPFFILVGDDKLLAMDVNSFDICLDLKPSDLSWEKLPIQPFNRLHVSSYAVQPDGPIHLVSTKNGATAATFAFDVKECAWKLHGEWALPFTGCGHYEPSLEAFVGISKDPETLGYLYSCPATSTGTGDTGDRRLCPSPKMKRSKENVYSKNPAERHVSATLVYMRRGKYCLVECVSIDDDGADQELPQRSRSYSLPHEATNYILLEDPVAFWL >KQK89598 pep chromosome:Setaria_italica_v2.0:IX:33665237:33665647:-1 gene:SETIT_038480mg transcript:KQK89598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYMESVSREAKQCHDIHHKCFNSIYEKHYLCGKEKTTDDEEPPKALRGRLVLS >KQK87223 pep chromosome:Setaria_italica_v2.0:IX:6149547:6153069:1 gene:SETIT_034713mg transcript:KQK87223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRDPWGGPLEISNADSATDDDRSRDLDRAALMRQLDETQQSWLLAGPGDQAGKKKKKYVDLGCVVLDRKIFMWTVGTILGLGVFIGFIMMIVKLVPHKRPPPPPPDQYTQAVHKALMFFNAQRSGPLPKHNGVSWRGNSCMKDGLSDSTTRRSLVGGFYDAGDAIKFNYPMAWSMTMLSWSVIEYKAKYEAIGELDHIKELIKWGTDYILKTFNSSADTIDRIVAQVGIGDTSKGGSQPNDHYCWMRPEDIDYKRPVTECHSCSDLASEMAAALAAASIVFKDSKTYSDKLVKGAKALYKFGRTQRGRYSPNGSDQAIFYNSTSYWDEFVWGGAWMYFATGNNSYLTVATAPGMAKHAGAYWFGSPNYGVFTWDDKLPGAQVLLSRLRLFLSPGYPYEEILRTFHNQTDNVMCSYLPLFNSFNFTKGGLIQLNHGGPQPLQYAVNAAFLASLYSDYLESADTPGWYCGPNFYTTEVLRKFARSQLDYILGKNPQKMSYVVGFGNKYPKRPHHRGASIPHNGVKYGCKGGFKWRESKKANPNILVGAMVAGPDKHDRFKDIRTNYNYTEPTLAANAGLVAALISIADIPTGRYSIDKNTIFSAIPPMFPTPPPPPSAWKP >KQK91751 pep chromosome:Setaria_italica_v2.0:IX:51599857:51604692:1 gene:SETIT_040286mg transcript:KQK91751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGDVATRSKSQKSSAIQNEQSTPTNPPTAYPDWSQFQAYYNTAGTAPVTPPAFFHSSVAPNPQGHPYMWGPQMMPPYGTPPPYAAMYAQGTPYQQAPMPPGSHPYSPYPMQSPNGTIQTPTSGAGGTETDKSSKNKRKTPLKRSKGSLGSLDVVVAKNNKSPAKPSASSSNEGSSQSESGSGSSSEGSSTNSKSGSRTKDSSERGQGNDSRKGTQSSAVEPTQPSSGPVVLNPMMPFWPVPPPMGGPATTLNMGVDYWGAPASVPMHGKVVAAPTSAPSSNSRDIVLSDPAIQDERELKKQKRKQSNRESARRSRLRKQAEWEEVANRADLLKQENSSLKEELKQLQEKCDSLTSENTSLHEKLKELEDEKSNGNWCKD >KQK87956 pep chromosome:Setaria_italica_v2.0:IX:10418291:10418965:-1 gene:SETIT_039958mg transcript:KQK87956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPASSPTTAAAAPASSSLSPPKPPNNAAAGTMLVEPQMPSPYPDAAVSSVSSSSSSSSSASSSQADRSSTFSLDSSSAATPSSSPPRPHRASDVAWAPIRGRDLGPRDFTLLRRVGAGDIGTVYLCRLEGGGGQAEGGPACAYAMKVVDRRALAKKGKLGRAAAEKRVLRRLDHPFLPTMFSDFDAGADFSCIVMEFCPGGGLHCSRHCMPGRRFPLAPRGST >KQK91882 pep chromosome:Setaria_italica_v2.0:IX:52507887:52509577:1 gene:SETIT_035424mg transcript:KQK91882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSEARRRWTLALVTAAALLERADEALLPAVYREVGAALGASPTALGSLTLCRAVVQALCYPLATCAAARHDRARVVAAGAFLWAVATLLVGASGTFLQMALARGFNGVGLALVVPAIYSLVADYSDDATRGLAFGWVVMAQSMGHAAGNSLGVLLAATSFVGVPGWRLAFYVLALVSASLAALTWLLGADPRPRTGKATATAALAQLVREARDVVRVPTFQIIVAQGVAGTIPWSALSFAAMWLELVGFTHWQTTLITNLNSLANALGALFAGFVGDPLALRFPNTGRIALAQVCTASTVPLSAVLLLGLPDDPTAGAAYAAAFFILGFAMSWCPVATNNPIFAEIVPEKARTTVYAMDRCFESVFASFAPPLVGILAERVFGYQPGASGTSVDVDRENAAALGKAVFAEIAVPITVCCLTYSALYWTYPADRQRAQMAALQAAAEDQDCDCEASVVANATTAADGLNQALLTGNREANSAE >KQK92822 pep chromosome:Setaria_italica_v2.0:IX:57383025:57391873:1 gene:SETIT_034112mg transcript:KQK92822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVTPLTASPLRGHLLHHRRRGRLHARHQPQPQRCLSGTGRLYCVPDGGGGEVSAAPAPPAAEEQAPEEQPHDFNLLAANRSDFNDIIMVIDSPAARYLVLDHNKNVHSILPKTTVWTNSYWDEFVSLPPVVPLGPVALLGLGAGTAAHLMLKFWPWLQLVGWEIDPMIVELSRQYFGMSDLEKPTESGGSLSVRIGDALSPSATVEGGFAGIVVDLFCDGKIIPQLQEVETWLQIAKKLMPGGRIMVNCGGADVEESLSSSWVQNPTIKALCSAFPRQLNWKRLSEKESVNYVALTGPLPDLDEWSASVPSELSTKQPLVVSLNCLDDPSLEQEGLAGVAAVEHVPLSAVASGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSADRAADAALAADLGLRLVHVDANRAEEVADTVMALILGLLRRTHLLSRQASSAPAAVAAGWLGSVQPMCRGMRRCRGLVLGIIGRSAAARCLATRSLAFRMSVLYFDPRYVANGETKRPSIVFPSAARRMDTLNDLLAASDLVSLHCALTNDTMHILNADCLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAITMLQSFFFDGILPTSAISDEDDEISEAGNEDDHLDTQAKDSQSQIFDAEIDESHLTLEYEKKRAVSHHKEPQASGKSVNIGSRSEGRRSRSGKKGKKRPAHRRSQQKPDDLSAVESDSNYSSRRDDDTAMSSRDQVVSSSSRFASPEDSKYKQKSLVDSPMEITSEKKVPILLSRKYPDKLKDGFVVALRARDNSGYHVARQRVVGGGGWILDVVSNATNRDPAAQFLVTFKNKDTMGLRSFVAGGKLLQINRKTEFVFASHSFDVWESWMLDGSLLEGCKLINCRNPSAVLDVCIEILAAASEEDGVTRWLD >KQK92622 pep chromosome:Setaria_italica_v2.0:IX:56425352:56426660:-1 gene:SETIT_037017mg transcript:KQK92622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSFSEQTTAGSAAKSLFSRLQAGAVDAQMRVARRVGEPEGDLDGMAGASEALRVAERRLLQGPAWPRGARRRRGRERGSPSRARWRRRGQGYTQVRSSMVWLLIVSICKKNRGVSGSNPECICAVDGAPLHGLIALAHGSPDPWGASGLPLAPPRRAPSAAAPRPSPALLHGAQVRSACVVEPAARRVLPCLAGRRRTSGVVFAAMHKAYITATITTREIGSRTIESTSTPNIQLETSAAPDPVSKCTSREKWQNRAVTGCFDETEDIS >KQK88243 pep chromosome:Setaria_italica_v2.0:IX:12506290:12507526:-1 gene:SETIT_039629mg transcript:KQK88243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSPGAALTSSPEEEEEKTADGHAGEEAAAEQEQEEEAAAPVVLKKGPWTTAEDAMLVDHVRRHGEGNWNAVQRLTGLLRCGKSCRLRWTNHLRPNLKKGSFSPDEELLIAQLHAQLGNKWARMAAHLPGRTDNEIKNYWNTRTKRRQRAGLPVYPPEVQLQLALAKRRRYDELSPLSGTAVNVQGALDAAAAAAGYTSSRPAPLDLARQLAATSQTVQFLSPTPFSAPSSPWAKPFARNAQYFQLAHSSPVSPSTPTGPLHPVTPDLSLGYGVRGADQQSRLAPLSPSPGPRVELPSNQFGQAMPPTSAAAAGLGGGAALPDQHQNAASLEKMLQELHDAIKVDPPALAPANGDAARPGGGSGAVLERHGGRGE >KQK93044 pep chromosome:Setaria_italica_v2.0:IX:58475069:58481954:-1 gene:SETIT_033916mg transcript:KQK93044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLHRHRSSDRAGERYDFRFSNFRAVQVPSVSDRLFLSIVSVDSGKTIAKSSKAASRSGICQWPDTILEPIWFSKDEVSKEYEECQYKIIVSVGSTKSGILGEIFLNLSNFLNLVDPTAISLPLKRCNSGTVLQLKVQCLGTKSKLSGVRSLRDMSPRLEDRSPTPTNDDMDNRSDCSDSMFNRGVRSSSENHVGTTYQDEPGNRETSFSASGSHRSSNSGDSTADRTNFSPRDNSNGGLYVGRQDSASSHASYVSAGRGDDGFRSNNSSFSSRASGPTMLQGSTPKTFGNGLSQLSMGASDSSKDLLEAAEETIEELRDEAKMWERHSRKLKADLELLKKECSEKSKQQAELAVELSAAQAERDSYRHEIEELKSSLQDVNTRQTITGIPKRADWIDLQKELEEEVKYLKESNADLTIQVNRTQEANIELLSILQELEETIEEQRVEISKISKVKQTADPENGLLVKEDTEWAKKLSIKEDEIKMLREKLDRALNVGNAGGAGSNAVYLELEKENEILRAKIQELEKDCSELTDENLELIYKLKENGMTKGQVPHISNNNELQFEKLTSRIHQLEEELRNKEMLRDGSFFEASMSNADELQRKCADLELKLLKFRSQTCELEEKFQKSQEDLEQRNIELSELRRKINGFHSTEPEASESGGTQKYQYRTADLEDIESEKDTLKARFEMQLQENENLRRSKVEMENFISEIQAEKSQLEERLSASLKESSITSKCLDEVRQDILVLSSSIDSHVSANKVLQRNVIELESCKAELELHISELEQENIELSERISGLEAQLTYLTNEKESSELQIHDSKALIVNLKDKVECQQSEMESQRLEFKQKQQESQRRLSEAQDDSEVLRRSNSKLQSTVESLIEECSSLQNLIADLKKQKLELHGHLTQKEQELDESKKRNFDFSKTVEFLEAKLSALQKDISSKEQSLLSELESIFQEHTEQEERINRAQFMLNKIENEKTLEVENLEREVISLTARVSSTHEERENATLDAIREVSVLRADKAKLEANLQDVSAQLRHYESQLEDLRKESKNKIKGLVDSLNASKQSEEMLTADAEHMKKLMEAAKSNEDMLRKTSNELELKLKSSDYEKQQMLEEISGLNLQVQKIMNLQDEVFKLQSSLDEAKFEKGKLEELLRSVTEDCEELKAQKAMLTDKVSDMQETLKNGEEERRSRIAMHAKLLRLESDLSASEASHVHEAELKNELSRIKRSNSEYQRKLQSLEQENEDLARRVQEIGGDDQADIQSKIQLLETKLAEALEENKLYRAQQKSPMPEGQSAGGDGNDGHTDRVLQLEGELRDMKERLLNMSLQYAEVEAQRERLVMELKATKKGRWF >KQK90142 pep chromosome:Setaria_italica_v2.0:IX:40133168:40137208:-1 gene:SETIT_035094mg transcript:KQK90142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNQCQNGTYGNKYNNYNQFQNERLASRYDDGDDTEDCYPGSSRSSVAVLMQQGLRRTLTSISVLGQKTPNVTEHYTLGRRLGEGKYGTTYLCTEISTGCQYACKSILKKKFVNMQDIEDVRHEIQIMHYLSGQKNIVTIKDAYEDEEAVHIVMELCEGGELYNRITEGNYSEQKAAELMRVIVGIIENCHSLGVMHRDLKPENFLLQDKDDDLSIKVIDFGLSVFFKPGDVFTEVVGSPYYIAPEVLQKHYGPEADIWTAGVILYVLLSGVPPFWADTRRGVYDKVQDGHFDLESEQWHKISDSAKDLIRKMLCPCPSERLKAHEVLKHPWICDNGVATDQTVDPTVSCLHKLSATNKLKKLALQVMTEHLPEQEITSLREMFKAIDTENRGVITFGDLKEGLRRCCSVFKRAGINGLMEAADSDTTTSINWEEFIAATVTLSNIEDKEHLMPSFTYFDKDGSGYITVDKLQKPPMERDMEGLEEIILEVDQNNDGQTNYSEFVAMIQSNSSGLGWQTMESSMNVPLREAPQVY >KQK92128 pep chromosome:Setaria_italica_v2.0:IX:53866940:53870195:1 gene:SETIT_037018mg transcript:KQK92128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKAMEAFVASQAPIYMNQAPPHMSRPSIILKLILGLIWGIIHLAISLFNIWSLLIYNLECYIISSGLLRKYRYLHLDRLKYLAIVVDSKEAKNTVKIRQLLCWLSTMGVKYICLYDIEGVLKKSFEPAMKGSRDGKAGEYLGISASISHSGHKDLVIECVSGSDGKEGIAKAASLLCSTYLNGDTHGDGKKEPTFTEADMASALKAVGCGGPEPDLLLMYGPARCHLGFPAWRLRYTEIMHMGPLKSMKYGAIVKALYNFSKKYQNYGK >KQK89412 pep chromosome:Setaria_italica_v2.0:IX:28011138:28013058:1 gene:SETIT_0396611mg transcript:KQK89412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSSSVPYTSTAKARCKVSATALIKIIEGNVPAELVKSELARAVPIKWDWVVQEHGKNTFIVPYLCQVELQRMIKWNNEIKPKQKLQKVWVHVYGIPYEIRSFLPLWAVGSILGATQKVHMRSMKKTGVIRLMVAVLDANCIPDGADIVEDDCLYEIFFKVDHVVADNSGEPDEFNEDDDVERENQNNQKDHEMEDAEKAHNNGSDASGLEAPPSNLQPDQAPKSGAPNAFEKQVVEQTLDLAVDIDELSAKVLAESDEDNVSLSAMMDSVHDLQPIHDKEQYIGGISPVAMQLEKTKMSETATKESGANSEKQVLGSVESAGGGTTASTHSMLHGYVQYKTAVSDMICAPTDDDGNRKPPAHNNDARHSLGGGAQLNQEDGAQEGSRRSAIQLTQDNSVTSSVASLKNIEIHRLKVSAKNNADNNKSSSPKINLDGEEEDLLDARLNHLCGND >KQK88201 pep chromosome:Setaria_italica_v2.0:IX:12303481:12304193:1 gene:SETIT_039812mg transcript:KQK88201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISSPTPTRLDSDSGKWDPFIPICLYKGKGWIHRSKRARVAATSEDIMGLPEVTGRSRSGEECAVCLQDFGADEKLRAMPCSHAFHQHCISEWLRRKAVCPLCRHRLHTEDDDEQIS >KQK91732 pep chromosome:Setaria_italica_v2.0:IX:51494707:51496384:-1 gene:SETIT_039897mg transcript:KQK91732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGKSSLVHLILNGSAVARPAQTVGCAVGVKHITYGSAGGSSNNVSDAERNFFVELWDVSGHDRYKACRSIFYTQINGVIFVYDLSQRKSKTNLSEWAVEVAETGTFLAPLGSGGPGGLPVPYLVIANKVDIVPRDGTRASSGNLVDLARQWAEKQGLLRCSEELPLTESFPGNSGLLSAAKQARYDKEALIKFFRMLIRRRYFSNEPPAPSPWSLTPREDTILPVETLGGGTDSFQRKSLSGEGFMYNGVVPLPAQRNLTPPPTLNPQQPVTSLDN >KQK88588 pep chromosome:Setaria_italica_v2.0:IX:15310747:15311088:1 gene:SETIT_0388001mg transcript:KQK88588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APHHHPGGHHGGGTPAVMTVNGFRRGESDGHFHSDGELIVALSTEWFARGRRCHRRIRITSARHGRTVEARVVDECDSRRGCRHNIVDSSPAVWRALGLDTDVGEVPVTWSDP >KQK87537 pep chromosome:Setaria_italica_v2.0:IX:7837213:7841667:1 gene:SETIT_034935mg transcript:KQK87537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGCAVPLAASHCRRLQLLMLALALVPLGGRGFRVRAVGADTGGLSRDAFPKGFVFGTATSAFQVEGAASTNGRGPSIWDPFVHTPGKIAGDANADVTTDEYHRYKEDVDLMKSLNFDAYRFSISWSRIFPDGEGKVNEEGVQYYNNLIDHMLKQGLTPYANLNHYDLPLALQKKYKGWLGPKIVDIFADYADFCFKTFGDRVKNWFTLNEPRIVSFLGYDKGLNPPNRCTQCAAGGNSATEPYIVVHNILLSHATAVARYRNKYQATQKGKVGIVLDFNWYEPLTNSTADQAAAQRARDFHVGWFMDPLINGQYPKTMQDIVKDRLPSFTPEQAKLVKGSSDCFGINQYTTYYISDEQTPQQATPSYSSDWGVQYNFERNGLPIGQLANSVWLYIVPTGMYGVVNYLKEKYQNPTIIISENGMDQSGNLTRDEYLHDTVRVEFYKNYLTELKKGIDEGANVVAYFAWSLLDNFEWLSGYTSKFGIVYVDFTTLKRYPKDSAYWFRDMLSGAGSKAATPQTGSQSSAGSPAGSAPAASSGPALLVSLLVSLCLLVPSVFMVSSA >KQK88304 pep chromosome:Setaria_italica_v2.0:IX:13021354:13022124:-1 gene:SETIT_039276mg transcript:KQK88304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAATTKEEQARKAHALAEKCFLAGNVHGARQWMQSAVRLAPGLPGTAQIVAAYDVHAAAARRRPPDSWYAVLDLHPAGPTITHDDVKRQYRRLCLLVHPDKNPCPAADGAFKLVQAAWDALSAGHPPGAAPPAAAKQPPRPPQQRQQAPPPWPRPRPTVVQMPRRAAPAPARAAPRAGATRTPSYAQQATGQKPPPPTTGRRCPACGACTINGRRSFRCGSCQWSSMYNRPPDDDDDDDFFEEDNY >KQK88609 pep chromosome:Setaria_italica_v2.0:IX:15506205:15514888:-1 gene:SETIT_039214mg transcript:KQK88609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLITILTNGEKQRGMATLVEGNLGHIEEALIQDYIEDNDSLALLHDQIHECDIILSQIGSILSGFQVHIGSISSEIRSLQVKSLDISVKLKNRKLVETKLAGFVEEIIAPPGLVDILVNGEVNDGYAKSLEILSKKLKFVQVNPLINASKALKDIKQELERLRQKALSKVSSHIIEIFFAMRKPGTNIQILQQNLLQKHRYLVLFLKEHGSRTYGDLCASYVDTMNKVLSTYFRVYVEALERLKLDIGASSDLTGYTSIIDIITRGREHLRDHRFIFSLGERANILKEIDQPGLVPHIPQVNSRKYPYEVIFRSLQKLLMDTASSEYLFIEAFFGEESLFYQVFEGPFAVIDQHLDLTLPNCHDALCLMLMICITRKHQLVMSNRRLPCLENYFDKAIMYLWPRFKVVFDMYLQSLHQCNAKTLWIDGTHPHHIVRCYVEFTASLVQLNAECGDGQLDMNLECLWSAIDGLLVRLAQNFTTPKLQHLFVLNNYDMAISVLKEAGDEAKNLQRYFEEKLESNMMAFVDDLLMEHFRDLLQFVRSRVSEDLVFYTEHSNIAELEPVVKNFAMKWRSSLEVMHNEVVTSCSNLLSGMAILKAAMAQLLNDYNRLSECVKKIPGGSALNRYLVSITSISYEIRKYSRAL >KQK89304 pep chromosome:Setaria_italica_v2.0:IX:23478711:23489743:1 gene:SETIT_033958mg transcript:KQK89304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNGAGECGGGCRRRKAAHAGAGAVAGFVVCLLVVWATGGCGRPSSGRVAEEEGMLGQFNLSTTQLQALVSLLSSTERECMGKSGLIHGGNQVNGMSCIPDSLCIRDKIYDGKQNWLKDAILQQFCTVQDKYGVNSHAPAVLEAKFLQNVIQEDISSTTQGNLHECELCAGMNGVNVVQNIVSSSNHTVMLFLSALFGSIVVSIVKTIHKRRIQSNKLCESDKVLQIPSAKISRKWSKRALLIGVSIGLCSSGCIFLCMYADVVARRIENLANMCDERARMLQDQFNVSMNHVQALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSEREQFEQQHGWKIKKMEAGDQSLVHDYNPEKLEPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDHDNILRSRATGKGALTSPFKLLKSNHLGVVLTFTVYKYDLPPNATPEERIHATLGYLGASFDVPSLVDKLLEQLASKQKIVVRLYDTTNHTSPIKMYGSDFTVSGDLQHISSIDFGDPTRKHEMHCRFKHEPPLPWSAIIISAAVAIIVLLVGHIIYATLNSLEKAEQDYIVMRELKGQAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQQDFVVTAQESGKALINLINEVLDLAKIESGRIELEAVPFDVRDILDNVVSLFYEKSQAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTERGHIFVQVHLVEELKRAGNIFYDVSAQNREVLDDPDNMKLWNTLSGLEVADSWKSLENFRMFKTSTGETDTINLVVRVEDTGIGITKNAQLRIFTPFMQADSSTSRTYGGTGIGLSITKRLVELMGGEIGFTSKSGVGSTFSFTAIFKENRKGPGDIKRYYFEPTPSDFQGMRALIIDGRNARAEITMYHLQRLGIHCNLVATTESAFSALLEACTSSKSNPNMVLVDTEAWGKGSGFAFYRRLVDLQLKGTHKSSEPMPKIFLLGTSISPAESDYLRLTGYGDCIRKPLRLSTIAASFRKTLGIGVTRQHNRDQSSVLQSVLTGKQILVVDDNAVNRKVAAGSLKKYGAIVTCVDSGNDAIDMLKPPHTFDACFMDVQMPEMDGFEATRLIRSVEKKINDVIQMGEVSADNYGNKPHWHVPILAMTADVIQATFEKCMECGMDGYVSKPFEEQQLYSAVAHFLETGETDPTS >KQK89303 pep chromosome:Setaria_italica_v2.0:IX:23478711:23489744:1 gene:SETIT_033958mg transcript:KQK89303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNGAGECGGGCRRRKAAHAGAGAVAGFVVCLLVVWATGGCGRPSSGRVAEEEGMLGQFNLSTTQLQALVSLLSSTERECMGKSGLIHGGNQVNGMSCIPDSLCIRDKIYDGKQNWLKDAILQQFCTVQDKYGVNSHAPAVLEAKFLQNVIQEDISSTTQGNLHECELCAGMNGVNVVQNIVSSSNHTVMLFLSALFGSIVVSIVKTIHKRRIQSNKLCESDKVLQIPSAKISRKWSKRALLIGVSIGLCSSGCIFLCMYADVVARRIENLANMCDERARMLQDQFNVSMNHVQALAILVSTFHHGKNPSAIDQKTFEDFTARTTFERPLMSGVAYALKVLHSEREQFEQQHGWKIKKMEAGDQSLVHDYNPEKLEPSPVQDEYAPVIFSQETVKHIISVDMMSGKEDHDNILRSRATGKGALTSPFKLLKSNHLGVVLTFTVYKYDLPPNATPEERIHATLGYLGASFDVPSLVDKLLEQLASKQKIVVRLYDTTNHTSPIKMYGSDFTVSGDLQHISSIDFGDPTRKHEMHCRFKHEPPLPWSAIIISAAVAIIVLLVGHIIYATLNSLEKAEQDYIVMRELKGQAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTELDTTQQDFVVTAQESGKALINLINEVLDLAKIESGRIELEAVPFDVRDILDNVVSLFYEKSQAKGIELAVLVSDQVPDVLIGDPWRFRQIITNLVGNSMKFTERGHIFVQVHLVEELKRAGNIFYDVSAQNREVLDDPDNMKLWNTLSGLEVADSWKSLENFRMFKTSTGETDTINLVVRVEDTGIGITKNAQLRIFTPFMQADSSTSRTYGGTGIGLSITKRLVELMGGEIGFTSKSGVGSTFSFTAIFKENRKGPGDIKRYYFEPTPSDFQGMRALIIDGRNARAEITMYHLQRLGIHCNLVATTESAFSALLEACTSSKSNPNMVLVDTEAWGKGSGFAFYRRLVDLQLKGTHKSSEPMPKIFLLGTSISPAESDYLRLTGYGDCIRKPLRLSTIAASFRKTLGIGVTRQHNRDQSSVLQSVLTGKQILVVDDNAVNRKVAAGSLKKYGAIVTCVDSGNDAIDMLKPPHTFDACFMDVQMPEMDGFEATRLIRSVEKKINDVIQMGEVSADNYGNKPHWHVPILAMTADVIQATFEKCMECGMDGYVSKPFEEQQLYSAVAHFLETGETDPTS >KQK92727 pep chromosome:Setaria_italica_v2.0:IX:56956034:56956507:1 gene:SETIT_038366mg transcript:KQK92727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLTLHFRPHLSFLFFLLCDALDSQQQPAIERLRTERARKLAVDSIPGSCCMSASLERGFLITSLLNAIFYSK >KQK91734 pep chromosome:Setaria_italica_v2.0:IX:51503841:51504273:-1 gene:SETIT_040845mg transcript:KQK91734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQANKLLNCMLHKVGVFALDIVKASFLLMCFYLAEVANGQNNLQ >KQK92100 pep chromosome:Setaria_italica_v2.0:IX:53655753:53656190:-1 gene:SETIT_038487mg transcript:KQK92100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYISSSFYLETGSYNQICQSNAPPPNKCMCKTFLELKLFCIFMYPVQSLPVW >KQK88216 pep chromosome:Setaria_italica_v2.0:IX:12363789:12364475:1 gene:SETIT_0389731mg transcript:KQK88216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GESQPEVWPGAPKVAEVLARFKAMPELDQCKKMMDMEGFLNQRIEKLREQLHKAQRENRERETTILLHDAVAGRRPAGLAGLSVEEIASLGWMVENRIHGVRTAIERLHGQGQDGPAAATLQLQLPQVTGLPLVPYGGGGIGPPAPGSRDVMMQAPPHQQAAWLMDVAKAGGDLGALAYSGFGGGRGSFVGGAGTSAAGADMLPPQLGNMGAGFAWADPAGLSFPPPM >KQK86744 pep chromosome:Setaria_italica_v2.0:IX:3539404:3540231:1 gene:SETIT_038651mg transcript:KQK86744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIIFFKCKFMSKCWQMMNLEGVRLKLVDLKDAKEVTNYILSMEEENKYETMILMWSWWDARNKVNSSEQRRTVKEVVHQARNMTWKVCTMLKKTIKKHYKKAQACLAALTAISAQGMMRIQLESDSSNLVTALKSRVFDQSPAGMLLVEARQLIQLDFVSVEVSFTPTIFLSWDPDQPCVWLDPLPDFIKFLAVRDCTEPWTDE >KQK88101 pep chromosome:Setaria_italica_v2.0:IX:11494780:11495741:-1 gene:SETIT_039061mg transcript:KQK88101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAADHPNDHDLIAFVLRPMAVAGHHHGFVGGGIIHLADVYSVAPERLAERYAPAPGTGGGIWYFLCPARCRHRAAAAGALGQGCWASSSETGGVAARPVRGPDGRRVGQSRALSYSSYGARTAAAPWAAAVTRHGWCMVELALDKQGGGGGRAGGDFVLCKLFRSPRNEAPTTAAPGLVSGCKRKAAVDDHPDTPPGMLQAAARKQVQLLCSYSVRLAALQLAAAARPRASSCSSRQRQQQQQPCKYASKIQT >KQK88892 pep chromosome:Setaria_italica_v2.0:IX:18265429:18267105:1 gene:SETIT_036112mg transcript:KQK88892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKTAARLLGSAYSASAAPAPGAAAPAELLLTPPPPSHLAACSAPLESCGWGGYSSHATASGESCELSRSPWDLIAELSLSDPQVEDDLVDRYFVHVTTRASWLFSASMPVSSTKKVAAAARLRAKRRRDAARRLSKKAAASKEKDMKHAEASNKAKVKKEEGEEVPARVYKCKKNDGKRWHCHRTVSQPNTLCNYHFVQKRSYLNPDFDFASAIEPEEPVPVPVPVPVPAAASKPSSNKPRKKKPSSDFNATEGFYYYAGFGPFRSKRHCRSGGTNEPVPAVKQEEEEVPEDASPPAADQAQTAEDTNRAAPHNDVSSCDDDIAGIAGVDEDSSDDDYDGIGIAGSSMDGSGDPHASNGDGKRKTPWKRWRKPVKARSLKSLM >KQK86378 pep chromosome:Setaria_italica_v2.0:IX:1536020:1538702:-1 gene:SETIT_036965mg transcript:KQK86378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLTQVKRTQVINQKEALLGIGEDASWHAKFKDSAYVFVGGIPFDLTEGDLLAIFAQYGEVVDVNLVRDKGTGKSKGFAFLAYEDQRSTILAVDNLNGAKVLGRIIRVDHVSKYKKKEEEDEEERQQKREARGVCYAFQKGECNRGASCRYSHDEQSRGICYAFQKGECNRGASCKFSHDEQKNANTRWSSRDGESSRSERYEDRDSRSRRDDRRAEDRDRYKHDRSPERSRGERQRNDDRYSQGREERSERRKYDDMDRKRSRYDDNSERRERRG >KQK92369 pep chromosome:Setaria_italica_v2.0:IX:55188557:55188748:-1 gene:SETIT_039202mg transcript:KQK92369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIGSLIASETSRERLGGESQKLRGPWNDQERENHLEFLLDLRYDFCTLEYTRNTVVGEEQL >KQK91597 pep chromosome:Setaria_italica_v2.0:IX:50733599:50738567:1 gene:SETIT_034785mg transcript:KQK91597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEDKLKDYEIRREGEAEILFLKSNAVFFNPVQVHNRDMSIAVLRTFVAKRKEEHEALANKRNKSHQKNKQGEASVQNGEDASTSQLDEMDVVSEKDLNQAEGEVDDVPKEATKTPSWKVTRELKPPLVLEALAASGLRSLRYAREVDGLGKVVALDNDKASVESCKRNIKFNGASATNKVEAHLADARVYMLTHPKEFDVVDLDPYGSPSIFLDSAVQAVADGGLLMCTATDMAVLCGTNGEVCYSKYGSYPTKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYVRVFVRVYTSASEIKNTPLKLSYIYQCVGCDSFHLQCLGRTVSKNNSVKHAPAIGPAVSQECSDCGKKFNVGGPIWSAPIHDQDWVVSTLTGVKSMKDRYPAYYKITSVLTTVSEELHDIPLFFSLHNIAGTVKCTSPSLVMFRSAVLNAGYRISSTHVNPLGVKSDAPWDVIWDIMRCWVKNHPIKEQPRDSPGTAILSKPPTLEANFSRAVAALSKAQAKKVKRFLPNPERHWGPKVRAGRKITSKHVSLLGPEAINAALNGAVRHEDGNGAAPDKAAPESEGLKDVPSTKRQKISDDEQANEP >KQK91599 pep chromosome:Setaria_italica_v2.0:IX:50733599:50738567:1 gene:SETIT_034785mg transcript:KQK91599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTATDMAVLCGTNGEVCYSKYGSYPTKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYVRVFVRVYTSASEIKNTPLKLSYIYQCVGCDSFHLQCLGRTVSKNNSVKHAPAIGPAVSQECSDCGKKFNVGGPIWSAPIHDQDWVVSTLTGVKSMKDRYPAYYKITSVLTTVSEELHDIPLFFSLHNIAGTVKCTSPSLVMFRSAVLNAGYRISSTHVNPLGVKSDAPWDVIWDIMRCWVKNHPIKEQPRDSPGTAILSKPPTLEANFSRAVAALSKAQAKKVKRFLPNPERHWGPKVRAGRKITSKHVSLLGPEAINAALNGAVRHEDGNGAAPDKAAPESEGLKDVPSTKRQKISDDEQANEP >KQK91598 pep chromosome:Setaria_italica_v2.0:IX:50733763:50738003:1 gene:SETIT_034785mg transcript:KQK91598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEDKLKDYEIRREGEAEILFLKSNAVFFNPVQVHNRDMSIAVLRTFVAKRKEEHEALANKRNKSHQKNKQGEASVQNGEDASTSQLDEMDVVSEKDLNQAEGEVDDVPKEATKTPSWKVTRELKPPLVLEALAASGLRSLRYAREVDGLGKVVALDNDKASVESCKRNIKFNGASATNKVEAHLADARVYMLTHPKEFDVVDLDPYGSPSIFLDSAVQAVADGGLLMCTATDMAVLCGTNGEVCYSKYGSYPTKGKYCHEMALRILLASIESHANRYKRYIVPVLSVFMDFYVRVFVRVYTSASEIKNTPLKLSYIYQCVGCDSFHLQCLGRTVSKNNSVKHAPAIGPAVSQECSDCGKKFNVGGPIWSAPIHDQDWVVSTLTGVKSMKDRYPAYYKITSVLTTVSEELHDIPLFFSLHNIAGTVKCTSPSLVMFRSAVLNAGYRISSTHVNPLGVKSDAPWDVIWDIMRCWVKNHPIKEQPRDSPGTAILSKPPTLEVCSSIKH >KQK91346 pep chromosome:Setaria_italica_v2.0:IX:49356009:49357575:-1 gene:SETIT_035685mg transcript:KQK91346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIHFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMLVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQK91347 pep chromosome:Setaria_italica_v2.0:IX:49355724:49358683:-1 gene:SETIT_035685mg transcript:KQK91347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIHFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMLVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQK90593 pep chromosome:Setaria_italica_v2.0:IX:43906201:43908119:1 gene:SETIT_036220mg transcript:KQK90593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGGHFGGRPHGAATTTVRCKYCRASLTAIPGERAIQCAHCNCVTRIRRADRIPLPVMGPMTAPFQRARGKKRAVLVGITYAGMRRGCGELRGPINDVKCMRNLLCQRFGFPSECVIMLTDDQRDPFRLPTKDNIRMAMHWLVQGCSYGDSLVFHFSGLGAQVADDDGDEVDGYDEALCPMDAFQKGPILDDEINEVMVRPLVHGARLHAVVDACYSATVLDLPFLCRVARNGYWQWEDHRPPSGAWKGTSGGQAVLFSGYSDGKSNFAVMPDAYASVGAMTHSFIRAVECEPRGVTYGRLLSSMRTIMKNGGGGGFGDLQGPIGAPIHQQHQVANFSGVQNYYSLLSPPVMLQEPNLSSSEMFDIHRKPFVM >KQK90594 pep chromosome:Setaria_italica_v2.0:IX:43906201:43908119:1 gene:SETIT_036220mg transcript:KQK90594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGGHFGGRPHGAATTTVRCKYCRASLTAIPGERAIQCAHCNCVTRIRRADRIPLPVMGPMTAPFQRARGKKRAVLVGITYAGMRRGCGELRGPINDVKCMRNLLCQRFGFPSECVIMLTDDQRDPFRLPTKDNIRMAMHWLVQGCSYGDSLVFHFSGLGAQVADDDGDEVDGYDEALCPMDAFQKGPILDDEINEVMVRPLVHGARLHAVVDACYSATVLDLPFLCRVARNGYWQWEDHRPPSGAWKGTSGGQAVLFSGYSDGKSNFAVMPDAYASVGAMTHSFIRAVECEPRGVTYGRLLSSMRTIMKNGGGGGFGDLQGPIGAPIHQQHQVANFSGVQEPNLSSSEMFDIHRKPFVM >KQK90592 pep chromosome:Setaria_italica_v2.0:IX:43906201:43908119:1 gene:SETIT_036220mg transcript:KQK90592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGGHFGGRPHGAATTTVRCKYCRASLTAIPGERAIQCAHCNCVTRIRRADRIPLPVMGPMTAPFQRARGKKRAVLVGITYAGMRRGCGELRGPINDVKCMRNLLCQRFGFPSECVIMLTDDQRDPFRLPTKDNIRMAMHWLVQGCSYGDSLVFHFSGLGAQVADDDGDEVDGYDEALCPMDAFQKGPILDDEINEVMVRPLVHGARLHAVVDACYSATVLDLPFLCRVARNGYWQWEDHRPPSGAWKGTSGGQAVLFSGYSDGKSNFAVMPDAYASVGAMTHSFIRAVECEPRGVTYGRLLSSMRTIMKNGGGGGFGDLQGPIGAPIHQQHQVANFSGVQPNLSSSEMFDIHRKPFVM >KQK86617 pep chromosome:Setaria_italica_v2.0:IX:2930092:2935114:1 gene:SETIT_035495mg transcript:KQK86617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSALLRALRRPSSEAALRLATSANVQAITGYRHLNNRNLSVFNEFSKQLKGEAKSNPEFQKSMKEFGEKIGVVKEDLKVRTKKTTETIYKSVDDVWSEAEETSKKVTANIKEKVFAAKEEVKENFGLGKEESTSCKDGSPGASKQEKTESSSHSDGTSEDATSSYTLFTKLKSTISSASPVVSGAFAKLKDTRASTLAKQGYEIIKEELSSTSSRKKKHQARHASAKVEKSTRTDLVITPTKKSVLGEKWEAFKDKIRGHPVYKRVDEYTKPVVTKGQEVAEDVRERWETSDNPVVQKIQDLNESLLEETTAAVTFREIRQRDPSFSLTDFVADVQETIKPVLTAYSKGDVETLKKYCTKEVIERCKGERQAYASQGIFFDHKILHISEADVLETKMFGSSPMIILRFQTQQIYCVRDREGEVTEGGQDTIQTVFYSWAMQLLDSDEVPEEESYYPVWRLREMQQAGVKALI >KQK92631 pep chromosome:Setaria_italica_v2.0:IX:56501020:56503420:-1 gene:SETIT_034558mg transcript:KQK92631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPDQSNPCAICLGGMGAAGGQAIFTAECSHTFHFHCISASVAHGHLVCPLCNSQWRELPFVRPPQPAPVPPTLPQQPPPRHEPMHHVQPPPIRYRPFHSVQPPPQPAEPEVFDDDEPVELPSGHDGQRQAAAAASRGALAAVETHTEYSAVARDSSPDNFAVLVHVKAPGIVDGEAAAGDAPRAPLDLVTVLDVSGSMSGEKLALLKQAMGFVIDNLGPHDRLSVVSFSSGAQRVTRLLRMSDAGKGLAMSAVESLVARGGTNIAEGLRTAAKVLDERRHRNAVSSVILLSDGQDNYTMTRSAQGRIPNYEDLVPPSFMRTGTGDWSAAIHTFGFGNDHDAAAMHVIAEATGGTFSYIENEAVIQDAFAQCIGGLLTVVVQEARIAISSGHPGVRIASIKSGRYESRVDVDGRSASIAVGELYADEERRFLLFLAVPAADGETETTLIRVSCSYRDAAGGAGVDVTAADTVVARPEQVVDAERSMEVERERVRVEATEDIAAARAAAERGAYQEAVEILENRQRAVEQSEAARGGDPTSAALGAELREMRRRVASRERYVESGRAYMLAGVSMHAQQRANMMPAMAFNAVGASRNSIAGASRAEGTANEAASFATPAMRAMLLRSRRAREASAEQQQQAKAGEETGSSGPTEIVIGMNEFVCGQHQKPR >KQK90923 pep chromosome:Setaria_italica_v2.0:IX:46660877:46664830:1 gene:SETIT_035768mg transcript:KQK90923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSMSGSSLRTFGRCVTFLARVASELVLQAHPAKLELHTLNSSRSAYGSVSLARDFFDHYHLDAAASAPSSTPLQCSVLLKSLLAVLRTPHAALDRLVISLPEPDAPKLQFTLHCLNGVRKTYWIVCSAEPEVQSLALDRGRFPSRLAIRPRELARLLSNFQSSLQELTIIATDPAAVLPDAGGDVGGKAVELRSYNDPAKDDCDTRLHTQLWIDPAEEFVEYVHAGDPVDVTFGVKELKAFLTFCEGCEVEILLFFEKTGEPVLLVPRFGFDDGSASDFEATLVLATMTVSQLADSNDAQQPAISAQHNGEPRAATTPSVLNHTKIWSELSGNTPKSFEANRETHAQKKSNASTSMLNDTSMPNVANAPRMPPVADNANNTMQHLQMDHLEEHPEVLSAIPRSQHHPSNWVGADDHDDDNEDEELLVQTTPHYMD >KQK87088 pep chromosome:Setaria_italica_v2.0:IX:5508250:5512141:-1 gene:SETIT_034551mg transcript:KQK87088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTAPAVAALLLLFWSAAYGDAQLPYKDPGLPVEDRVRDLLGRMTLAEKIGQMTQIERLVASPQVLKDNFIGSLLSGGGSVPRKGATAAEWMSMITDFQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRDPELVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDPGIVQAMTELIPGLQGDVPQNFTSGMPYVAGKNKVAACAKHFVGDGGTQNGINENNTIIDRQGLMSIHMPAYLDSLRKGVSTVMISYSSWNGIKMHANHDLITGFLKGRLKFKGFTISDWEGIDRITSPAGANYSYSVQAGILAGIDMIMVPNNYQSFISILTGHVNSGVIPMSRIDDAVTRILRVKFTMGLFENPMPDTTLADQLGKQEHRDLAREAVRKSLVLLQNGKPGDAPLLPLPKKAAKILVAGSHANNLGYQCGGWTIEWQGDTGNITVGTTILDAVKAAVDPSTTVVFVENPDAEFVKNGGFSYAIVAVGEHPYTETKGDSMNLTIPDPGPSTIQTVCGAVRCATVLISGRPVVIQPFLGATDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNVGDKHYDPLFRLGFGLTTKGKAY >KQK87087 pep chromosome:Setaria_italica_v2.0:IX:5508250:5512842:-1 gene:SETIT_034551mg transcript:KQK87087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGMKLLARVRRRLVPLLRRHRMALLTAPAVAALLLLFWSAAYGDAQLPYKDPGLPVEDRVRDLLGRMTLAEKIGQMTQIERLVASPQVLKDNFIGSLLSGGGSVPRKGATAAEWMSMITDFQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRDPELVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDPGIVQAMTELIPGLQGDVPQNFTSGMPYVAGKNKVAACAKHFVGDGGTQNGINENNTIIDRQGLMSIHMPAYLDSLRKGVSTVMISYSSWNGIKMHANHDLITGFLKGRLKFKGFTISDWEGIDRITSPAGANYSYSVQAGILAGIDMIMVPNNYQSFISILTGHVNSGVIPMSRIDDAVTRILRVKFTMGLFENPMPDTTLADQLGKQEHRDLAREAVRKSLVLLQNGKPGDAPLLPLPKKAAKILVAGSHANNLGYQCGGWTIEWQGDTGNITVGTTILDAVKAAVDPSTTVVFVENPDAEFVKNGGFSYAIVAVGEHPYTETKGDSMNLTIPDPGPSTIQTVCGAVRCATVLISGRPVVIQPFLGATDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNVGDKHYDPLFRLGFGLTTKGKAY >KQK87086 pep chromosome:Setaria_italica_v2.0:IX:5508250:5513235:-1 gene:SETIT_034551mg transcript:KQK87086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTAPAVAALLLLFWSAAYGDAQLPYKDPGLPVEDRVRDLLGRMTLAEKIGQMTQIERLVASPQVLKDNFIGSLLSGGGSVPRKGATAAEWMSMITDFQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRDPELVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDPGIVQAMTELIPGLQGDVPQNFTSGMPYVAGKNKVAACAKHFVGDGGTQNGINENNTIIDRQGLMSIHMPAYLDSLRKGVSTVMISYSSWNGIKMHANHDLITGFLKGRLKFKGFTISDWEGIDRITSPAGANYSYSVQAGILAGIDMIMVPNNYQSFISILTGHVNSGVIPMSRIDDAVTRILRVKFTMGLFENPMPDTTLADQLGKQEHRDLAREAVRKSLVLLQNGKPGDAPLLPLPKKAAKILVAGSHANNLGYQCGGWTIEWQGDTGNITVGTTILDAVKAAVDPSTTVVFVENPDAEFVKNGGFSYAIVAVGEHPYTETKGDSMNLTIPDPGPSTIQTVCGAVRCATVLISGRPVVIQPFLGATDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNVGDKHYDPLFRLGFGLTTKGKAY >KQK87089 pep chromosome:Setaria_italica_v2.0:IX:5508549:5511690:-1 gene:SETIT_034551mg transcript:KQK87089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGELAGRIRSGVLEPLVDHFTEILIWLLQCYDAQVRRRLVPLLRRHRMALLTAPAVAALLLLFWSAAYGDAQLPYKDPGLPVEDRVRDLLGRMTLAEKIGQMTQIERLVASPQVLKDNFIGSLLSGGGSVPRKGATAAEWMSMITDFQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRDPELVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDPGIVQAMTELIPGLQGDVPQNFTSGMPYVAGKNKVAACAKHFVGDGGTQNGINENNTIIDRQGLMSIHMPAYLDSLRKGVSTVMISYSSWNGIKMHANHDLITGFLKGRLKFKGFTISDWEGIDRITSPAGANYSYSVQAGILAGIDMIMVPNNYQSFISILTGHVNSGVIPMSRIDDAVTRILRVKFTMGLFENPMPDTTLADQLGKQEHRDLAREAVRKSLVLLQNGKPGDAPLLPLPKKAAKILVAGSHANNLGYQCGGWTIEWQGDTGNITVGTTILDAVKAAVDPSTTVVFVENPDAEFVKNGGFSYAIVAVGEHPYTETKGDSMNLTIPDPGPSTIQTVCGAVRCATVLISGRPVVIQPFLGATDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNVGDKHYDPLFRLGFGLTTKGKAY >KQK87085 pep chromosome:Setaria_italica_v2.0:IX:5508250:5511703:-1 gene:SETIT_034551mg transcript:KQK87085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTAPAVAALLLLFWSAAYGDAQLPYKDPGLPVEDRVRDLLGRMTLAEKIGQMTQIERLVASPQVLKDNFIGSLLSGGGSVPRKGATAAEWMSMITDFQKACLSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVALGATRDPELVKRIGAATALEVRATGIQYAFAPCIAVCRDPRWGRCYESYSEDPGIVQAMTELIPGLQGDVPQNFTSGMPYVAGKNKVAACAKHFVGDGGTQNGINENNTIIDRQGLMSIHMPAYLDSLRKGVSTVMISYSSWNGIKMHANHDLITGFLKGRLKFKGFTISDWEGIDRITSPAGANYSYSVQAGILAGIDMIMVPNNYQSFISILTGHVNSGVIPMSRIDDAVTRILRVKFTMGLFENPMPDTTLADQLGKQEHRDLAREAVRKSLVLLQNGKPGDAPLLPLPKKAAKILVAGSHANNLGYQCGGWTIEWQGDTGNITVGTTILDAVKAAVDPSTTVVFVENPDAEFVKNGGFSYAIVAVGEHPYTETKGDSMNLTIPDPGPSTIQTVCGAVRCATVLISGRPVVIQPFLGATDALVAAWLPGTEGQGVTDVLFGDYGFTGKLPRTWFKSVDQLPMNVGDKHYDPLFRLGFGLTTKGKAY >KQK92184 pep chromosome:Setaria_italica_v2.0:IX:54205627:54209840:1 gene:SETIT_034580mg transcript:KQK92184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAKAAAAAAERTEEKEMDLLLSEIPHVTSPQEQRGVGVIGHGNGVHGAAGAHGYAAPRYGEDGYFAMVLNRRDDGAPPQGGGGGGGGGAAGGVGFAAPLPLGSAPSRVSGPFVGGAALSPPPLARAVGDPEQQWLANQLRGLRIGDAQAALQRQGPPPVKSATPTDVSAALGAYNGYNFAAPGSSVHHEHVFLDQAKAVGYVAPRPQCFLSDVGLDGYGGFPRGLDTSIGGFVYNRVGHGTGIGWGQGLVHPDLAESYLLSRHTGAEFFSPNPVALDVRGGPKLQYAYGVPMADNMFARSANQFEAFRCENSLMFDGKKNMNFLERGKERRFQQFANTRALELGNSRTMRYENMVRVKEYIYFMAKDQYGCRYLQQKFDEGKHHVDVIFEGIINHIADLMTNSFANYLVQKMLDPVKLVAISQNMHGTRAVQKLIETVTTREQIVLITSALQPAFIELVNDPNGNHVIQKCLTNFGAEDNKFIFEAAAVNCFDMAIHRHGCCVLQRCISCARGGYQAKLIVEICAHGFELAQDPFGNYVVQYVLNLKIPSANAHLASQFEGGYVYLSKQKVSSNVVEKCLKNFPDDAKAVIVHELLSGSHFEQLLQDPYANYVIHTALLNTRGHLHNALVEAIRPHEDAIRTSPCCKRISRALSRR >KQK87603 pep chromosome:Setaria_italica_v2.0:IX:8177395:8185346:1 gene:SETIT_038686mg transcript:KQK87603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGLAAIFINLAVENFSGWKYTATFAIIKHSYFVGFFVYTVFNLALVFSSVYIVTNFAPAAAGSGIPEIKGYLNGVDTHGILLFRTLVGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSAKYHLSSRWVRIFESDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSHLMWRVFFTSAVVAVVVRSAMNWCNSGKCGHFGAGGFIIWDISGGQEDYSYQELFPMAIIGVIGGLLGALFNQLTLYITKWRRTYLHKKGKRVQIFEACLISLVTSTISFVLPLLRKCSQCPQLETNSGIECPHPPGTDGNFVNFYCSKDKEYNDLATIFFNTQDDAIRNLFSAKTFHEYSAQSLITFLVMFYSLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVKHYKKLNIEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLVSKAVGDFFNEGLYEEQARLRGIPLLDSRPKQVMRNMNAKDACKNQKVVCLPRVSRVVDIVSVLRTNKHNGFPVVDRGQNGESVIGLILRSHLLVLLQAKVDFQNSPFPCGPGVLNRHNFSDFVKPASSKGKSIDDIHLTEDELGLYLDLAPFLNPSPYIVPEDMSLAKVYNLFRQLGLRHIFVVPRPSRVVGLITRKDLLLEEDDNTVMAELQSTS >KQK88505 pep chromosome:Setaria_italica_v2.0:IX:14722969:14723350:1 gene:SETIT_040465mg transcript:KQK88505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQGLNPDVVSYGTVIDGLCKIGRVEPSAATWNDLIKGYCKDGSIENALALLTEACSKGS >KQK92679 pep chromosome:Setaria_italica_v2.0:IX:56746666:56747213:1 gene:SETIT_040341mg transcript:KQK92679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSQRSFFLGLTPVSCLWDGEAHYCRMEVLYFCLLLL >KQK92201 pep chromosome:Setaria_italica_v2.0:IX:54300391:54304349:1 gene:SETIT_036155mg transcript:KQK92201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENHGPKPIRCKAAVCRAAGEPLAIEEIVVDPPKAYEVRIRVVCTSVCHSDVTFWRMKDFPGVFPRIFGHEAFGVVESVGEHAEGFAAGDAVVPTFLGQCSECVDCRSPRSNMCSRFRFAVRPGMLRDGATRFADAQGRPLHHFLGVSSFAEYTVVDVNHVVKVSPDMPPALACLLSCGASTGVGAAWKLAKVEPGSSVAIFGLGAVGLAVAEGARICGASKIIGVDINPDKQELGKKFGVTHFINPKELGEKPVNQAIIEMTDGGADYCFECIGLAVLMKEAFLSTRDGWGKTIILGVEMHGAPLSIPSRQILHGKTVIGSMFGGVKPKQDIPILADKYLNKELELDKFITHEVGLNDINEAFDLLLQGKSVRCTIWMNK >KQK90596 pep chromosome:Setaria_italica_v2.0:IX:43925143:43926756:-1 gene:SETIT_036428mg transcript:KQK90596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIPAEAPAPGPPPPSVHQPQRRRRPCVLLSFSAARDRFLRSRFLSAGLRPFSVRLPSPAGTSTVVHLWAPPRPVRRPVLLLHGFGASATWQWAPYLRSLLAAGLDPIVPDLLFFGASWSTLPDRSETFQARTIKAAMDGMGVRRFAVVGVSYGGFVGYRMAAMYPEAVERVVLVSSGVCLEEKDLAAGLFPVADVGEAAELLVPRRPAEVRRLVKLTFVRPPPVMPSIFLKDYINVMGSDHIQEKTELLHVLINDRKLSDLPKIRQPTLIIWGEQDQVFPMELAHRLERHLGDNSRLVVVKNAGHAANIEKSKEVCKSIVEYFQEQDSSASTGGRRCSCTDCGKF >KQK91364 pep chromosome:Setaria_italica_v2.0:IX:49447133:49452474:1 gene:SETIT_036218mg transcript:KQK91364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGMDGAPPVAEFRPTVTHGGRFLQYNIFGNLFEITNKYQPPIMPIGRGAYGIVCSVMNFETREMVAIKKIASAFDNHMDAKRTLREIKLLRHLDHENIIGIRDVIPPPIPQAFNDVYIGTELMDTDLHHIIRSNQELSEEHCQYFLYQILRGLKYIHSANVIHRDLKPSNLLLNANCDLKICDFGLARPSSESDMMTEYVVTRWYRAPELLLNSTDYSAAIDVWSVGCIFMELINRQPLFPGRDHMHQMRLITEVIGTPTDEELGFIRNEDARKYMRHLPQFPRRPFASLFPRVQPVALDLIERMLTFNPLQRITVEEALDHPYLERLHDIADEPICTDPFSFDFEQQALTEDQMKQLIFNEAIEMNPNFRY >KQK89567 pep chromosome:Setaria_italica_v2.0:IX:33047788:33048900:-1 gene:SETIT_040203mg transcript:KQK89567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NDDLVADSLLLLPALPSSLLRASLVCKRWFRVVSNPRFLRAFRAHHRKPPLLGLFNCNSGRIVFTPMLDPPDEIPVGRFALKVPLSTKLLCCHHGRVLMHDVVEQYFSVWEPVTGELCHISKPPSFVPYKMTFTDAAVLCASTDEGHVHGACHSDPFKVVVVAGDSERFYGCIYSSETRAWDSFLSIMWPPHIRIIHGTCGSQLFRNSICLLLLGEKLAILEFDWARQSLAVIDVPYALDWCNFMSGRTQFLIKPSDGGGGLSFVVQEFFTVHVWKRASDSDGVATWMLGNTIELNSALSLGPPADLRMRIVIMESDDDGNVLFKKSNSPDVFMVNIESRKVMKLPKTYPFQDGHPFSSFYTPGKYVCTL >KQK90199 pep chromosome:Setaria_italica_v2.0:IX:40566426:40567240:-1 gene:SETIT_037383mg transcript:KQK90199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKEDLKLLGLLVSPFVIRVRMALSTKGVSYEYVEQDLFNKSELLLKSNPVHKKVPVLIHNGKPLCESLVIVQYVDELFAGPSILPTDLYERATARFWAAFVDDKLCPAWIGILKAKTEDERAEKVTETSAAIGQMEEAFDKCSEGKAFFGGDSIGYLDIVFGSCLFWFEAVRRMFGVEIISANKAPLLTAWAERFRESAEAKEVVPGADEAVQYANKLAAAAAK >KQK91137 pep chromosome:Setaria_italica_v2.0:IX:48024260:48026861:-1 gene:SETIT_036636mg transcript:KQK91137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVEISHLSFTYPGIDGRPPPGAPPLIEDVCFSLDAGQRCLLLGSNGAGKTTILKILGGKHMVDPSMVRVLGRSAFHDTALTSSGDLCYLGGEWRRDVAFAGYQVNIQMDISAEKMIFGVAGVDPKRRDELIKILDIDLAWRMHKASDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARSNLLTYLKKECEERGATIIYATHIFDGLDDWPTHIIYIAHGKLQLALPLEKVKEMSQLSLMRTVESWLRKERDEDRRRRKERKEKGLPEFDKVVEGSRVIGDPAKSAARVVNNGWAAGRLTSTIAGEENFVFSSNSVLRQ >KQK88800 pep chromosome:Setaria_italica_v2.0:IX:17268346:17270497:-1 gene:SETIT_037331mg transcript:KQK88800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFFSYTSNAPRRDENAGITLLSGPPCCGKTSLLFQFAVNRTAESGRGVVFICSKGRMENNPPFLSQGVDPSMSLLQRIQIKYVEDGDEIRKYFAAFHLLDNFPAAVIVDDFADFFSERSCQQRYGTTRARDLAMVRVLALCHNAIGHANAKLGTLGSCNLLLSDVLQGDTPRSLFIYKRWINSIYAIRGDGTGSYILKNIGSSESESKKARKAKYSVALQYLVLEEISN >KQK91371 pep chromosome:Setaria_italica_v2.0:IX:49474536:49475307:-1 gene:SETIT_040426mg transcript:KQK91371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRPQQQAARRTLPRRGQIKVRIFASLFRCLVPKAAARKEGGKNKEVSSRRVSPSG >KQK87948 pep chromosome:Setaria_italica_v2.0:IX:10346664:10348161:-1 gene:SETIT_039532mg transcript:KQK87948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLFSSLSLVLLLVLQLQAATTAQPAPQLPRSELAAVFRVMVDLLGDPSWPQLHPRPCTDTPWPGLQCELAPDDARVLRATRLHFGPDVATPPCRPGARLDAASLRGLPHLKALSLFGCFVAGGEDAAAAVDLPPALLAGASASLEQIVLKSNPGLRGPIPATLGGLRSLRVLSLSQNGFRGRIPRELGGLAALQQLDLSYNNITGEIPEEIGGMASLTILDLSWNGIGGGMPAAVGKLRRLQKADLSYNRLAGRVPPEVGSLRELVFLDLSHNGLAGPLPGSLSGLSKLQYLLLQDNPLGTAVPGDVVGVLRRLQVLGLSGCGLTGPIPRAAFASLGSLTALSLDRNRLDGPIPATLAALPHLGQLNLSQNRLAGEIALPGDFVARLGRRLDVRGNDELCVGRGLQGSGYLAAPPCADRRDGEGSLERSAAAAAGGGRRGYGYGSVCVLACHVFVSSLVFRWL >KQK87347 pep chromosome:Setaria_italica_v2.0:IX:6795645:6796243:-1 gene:SETIT_038192mg transcript:KQK87347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSASMLFLSYHQLHQPAAEATPRKEAAAAAAGGFRLSLSSVLSIPMFERRREAAAPAVRAEGKTVRERDAGEDIKSSSANKELEEKFEEALRLSCWSS >KQK91624 pep chromosome:Setaria_italica_v2.0:IX:50892385:50895903:1 gene:SETIT_037633mg transcript:KQK91624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVSDSQANGNHQPAPAPAEAEQAVEEGDAGETMEGVASIALLPSGAISGHFIRLPDSICFGLQGTPISCERECSRGEDYRLIKLTIIDFKSKREKVLVVECRGHDAARLQNIDHLHGWEDDIVGLVEKEHGNQKVLLSFECETLKADKDAEDHIIKYMPNLRGLDAVVNIGKMCITGINLNEDDEPRGDN >KQK92123 pep chromosome:Setaria_italica_v2.0:IX:53822129:53827277:1 gene:SETIT_036004mg transcript:KQK92123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSALASAAAIADQRQKIEQYRHILASVLSSSPPDISQAKRFLDHMVSDEVPLVVSRQLLQTFAQDLGKLESDALKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDAVNAEAFINKASFLVTNSQQEVLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRKIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELRPHQKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIDPRKAEKIASRMIYEDRMRGSIDQVEAVIHFDDDTEELQQWDQQISGLCQALNDILDNMSSKGIAIPV >KQK92122 pep chromosome:Setaria_italica_v2.0:IX:53822129:53826093:1 gene:SETIT_036004mg transcript:KQK92122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSALASAAAIADQRQKIEQYRHILASVLSSSPPDISQAKRFLDHMVSDEVPLVVSRQLLQTFAQDLGKLESDALKEVAHYALTQIQPRVVSFEEQVVVIREKLAELYESEQQWSKAAQMLSGIDLDSGIRMLDDTNKLSKCVQIARLYLEDDDAVNAEAFINKASFLVTNSQQEVLNLQYKVCYARILDLKRRFLEAALRYYDISQIEQRKIGDEEIDENALEQALSAAVTCTILAGAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELRPHQKALLPDKSTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIDPRKVIPFAEDLITTSSQPYCQSGLPFISIDSEEKIAAKS >KQK92703 pep chromosome:Setaria_italica_v2.0:IX:56849227:56850282:-1 gene:SETIT_036710mg transcript:KQK92703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRGLCCLASPLRGKTSDADTRRRHSSAACICCIGPHHKPSGGSPDADLSVRLPLTSCCGSGGDVRGGGARTPRTPRTPCTPTARRLCGARSSRTPRRGQVCCFPAPHAAAAESAAAPAGVPAAGAAAARTPRTPTTPIGRRQQGACCVRVPAQGSAKLGRRRSWFRSARQAVAQTTPRLRGAGGRAAGSNSAVKVYDARLPEVEAEAAAATTTEEETCSNDEYALLCREGFSREDVAAVTIQAYFRGHLARRAFKALKSLVRLQAVARGAYVRRQAEVAINCMQAMVRLQMRVRARQMLTKPKDGQLLRAS >KQK92408 pep chromosome:Setaria_italica_v2.0:IX:55333372:55334622:-1 gene:SETIT_039016mg transcript:KQK92408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VESVSCYCRVDGGLKTVVSARKFVPGAKLCMQPDIIPNKRKSRSSRKERSQTQSPLLPGLPDELAISCLMRVARVGHPNMRLVCKRWNRLLSANYYYSLRKKFGMAEEWVYVFKRDRDQKLSWYAFDPVNQLWKSLPPVPPEYSEVVGFGCAVLNGCYLYLFGGKDPVHGSMRRVVFYNARINKWLRAPDMLQKRHCFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNRNRWSCIAEMSTGMVPSIGVVHDGKWFLKGLNSHRQVVSEVYLPASKMWSATGNEMVAGWRNPSISFNGRLYSSDCRDGCKLRVYNREAGSWTRFIDTRHHLGSSRALEAAAFVSLNGKLCIIRNNMSITLIDLSDPTTVIEVDSARMWDAFARKGQHRSFMANLWSTITGRNLKTDIMHCQVLQV >KQK91131 pep chromosome:Setaria_italica_v2.0:IX:47986772:47987433:-1 gene:SETIT_038486mg transcript:KQK91131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNACKHKKNLANLELYESNLVANSNTESVTDETDKLERTVGKYKKQPCFVGI >KQK90103 pep chromosome:Setaria_italica_v2.0:IX:39679732:39680181:1 gene:SETIT_040816mg transcript:KQK90103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKGCLVRVFLEEIQIVALDGCVAFWATCSMD >KQK86198 pep chromosome:Setaria_italica_v2.0:IX:727461:728831:1 gene:SETIT_039824mg transcript:KQK86198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGACYKRERYTIGSASLRPPRHDPPPDADNLLRPGSCLLDVEAYLDNRRNATTASCKARNGALIRVTFFIAHPPRLSHFTVCCPGKSPYQLFADEPSVVAAEEDLVLIRMAFRPVTDACILRKFDFFIYRAAGGGRAPSLTRILPPKPFVFLKNCHVALLPRYEEDPHLYYIVILHKRTVPPHRQEEEDDIRFDIHIYSSKTASWSCKPTSLVKQSDHDRVCHFTSYKVITIGGEGGAVAWVDLWRGFLQCDVLKETVPTLYYGRLPPDLDHSSNRHSDPHIRRDVALIQGRIKVVRLQTKPCPEIPYRRQGWTFAIYSRTAANPCEVGWQQDGNAIELDAKYVSANPMVLQYLPKLPDDTSGTPEPSPETTEKRLRNLTCPMLSLHQDDHVYVMARVSSSDIRWDGSNLGLGLAFDLSKGTLEKVVQVNAARSFSGLVFFIMPSRISKYLDMYPGS >KQK88960 pep chromosome:Setaria_italica_v2.0:IX:19009864:19012213:1 gene:SETIT_035265mg transcript:KQK88960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSSSSNPSSPQPPPLLLPPPEPVPPPPLLPEAMPLPRPSPTVADGVRGLLRSGEALIRAVFRGGSAHRRPHLQHQQHHLHHQQPQQQQHHHRPADIMKRLQRETFSDVMKFKERHDQLDHFLSQYKSGKGFEFLHLPIQVKIALDAVGALFLVDGNEFEQAKATLDTAGKRTGLSSRFIFESKTRGKDTIAAELSTRLGAGGVHLGEATGRPVELTRLQYNARINKWLSMILVPFGAQCNNFLHSSSIQNLRTQASFDGPPSFLEHHHCAAGLRIKGSKFTASFAELIFGSGGLDSGGGGTNRMTTFGQVSWKPADDVKLSFSGLWQVRSLSPRFNNLGILAIPLGSMKQENPTATATEEQTELSVKFQRHAGGTATSHTVESTVAVHGASPAAHLGRSIALMLDCELYETLKTEGWFQVESPKHGPVRWGFSLSDIPENELGWGVRVGGTAEGKTYHLQHLDLEGYLNFNLGKGARLQPGLVYAKMGEKMTPALFLRSSWFM >KQK86527 pep chromosome:Setaria_italica_v2.0:IX:2429632:2434181:-1 gene:SETIT_034348mg transcript:KQK86527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTPKITVGDGRLVAHGRTILTGVPENIVLTHASGAGLVDGAFVGATAGEAKSMHVFTFGTLRELRFMCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRPGTGGGGGDDEESGETVYLVMLPLLEGQFRAALQGNERDELEITLESGDKAVQTAQGTYMVYVHAGTNPFDTITQAVKVVERHLQTFHHREKKKLPSFVDWFGWCTWDAFYTDVTAEGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEESNAVVQEGAQFASRLTGIKENAKFQKNKNDGTKNTSEEQSQTPGLKLLVEEAKREHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESALAYPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRKVLSFYDELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHRALEASVARNFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTVHVSSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGTVRADDVDAIADLAGTGWGGEAVVYAYRSGELIRLPKGATLPVTLKVLEFELFHVSPVRAVAATGVSFAPIGLLDMFNSGGAVEECEAPAADEATAAAVRLRVRGCGRFGAYCSRRPARCTLDAAEVEFTYDDDTGLVTLDIPVAEQELYRWTLEILV >KQK86526 pep chromosome:Setaria_italica_v2.0:IX:2429632:2433464:-1 gene:SETIT_034348mg transcript:KQK86526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCFRFKLWWMTQRMGTSGRDVPLETQFMLLESRPGTGGGGGDDEESGETVYLVMLPLLEGQFRAALQGNERDELEITLESGDKAVQTAQGTYMVYVHAGTNPFDTITQAVKVVERHLQTFHHREKKKLPSFVDWFGWCTWDAFYTDVTAEGVKQGLQSLAEGGTPPRFLIIDDGWQQIGSENKEESNAVVQEGAQFASRLTGIKENAKFQKNKNDGTKNTSEEQSQTPGLKLLVEEAKREHGVKYVYVWHAMAGYWGGVKPAAEGMEHYESALAYPVQSPGVMGNQPDIVMDSLSVLGLGLVHPRKVLSFYDELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRAYHRALEASVARNFPDNGCISCMCHNTDMLYSARQTAVVRASDDFYPRDPASHTVHVSSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCPIYVSDKPGNHNFELLKKLVLPDGSVLRAQLPGRPTRDCLFADPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCRVTKKTRVHDAAPGTLTGTVRADDVDAIADLAGTGWGGEAVVYAYRSGELIRLPKGATLPVTLKVLEFELFHVSPVRAVAATGVSFAPIGLLDMFNSGGAVEECEAPAADEATAAAVRLRVRGCGRFGAYCSRRPARCTLDAAEVEFTYDDDTGLVTLDIPVAEQELYRWTLEILV >KQK92592 pep chromosome:Setaria_italica_v2.0:IX:56270233:56274455:-1 gene:SETIT_035147mg transcript:KQK92592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRATIPTPSLLVTPRPPRLHALPSALASASRHHAARGVGRPRTRLRVAAPPSAPGEAAEQAEPSTSAPDSGEKFSWRDHWYPVSLVEDLDPSRPTPFQLLNRDLVIWKDPKSGEWVALDDRCPHRLAPLSEGRIDETGCLQCSYHGWSFDGSGACTRIPQAMPEGPEARAVQSPKACATKFPTLVSQGLLFVWPDENGWEKATATKPPMLPKEFDDPAFSTVTIQRDLYYGYDTLMENVSDPSHIEFAHHKVTGRRDRARPLTFKMESSGAWGYSGANSGNPRITATFEAPCYALNKIEIDTKLPIFGDQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFTMPGKAWWQLVPRWYEHWTSNLVYDGDMIVLQGQEKIFLAASKESSADVNQQYTKITFTPTQADRFVLAFRTWLRKFGNSQPEWFGNASQETLPSTVLSKREMLDRYEQHTLKCSSCKGAYDAFQTLQKVFMGATVVCCATAGIPAEVQLRILIGAAALVSAALAYAFHDLQKNFVFVDYVHADID >KQK86894 pep chromosome:Setaria_italica_v2.0:IX:4331272:4334277:1 gene:SETIT_036204mg transcript:KQK86894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARGQTLGRSSFSRATSNPAASSSGAAGIKLGPNGAAFVSSGIPDLDRILGGGFLLGSVVMIMEDADAPHHLLLLRCFMAQGVIHKQPLLFAGPMKEPRLFLGTLPAPVSSSKEDGRHRVMGVGASSDGRGSDEGLRIAWQYKKYFGDEKTSGAEHKDNKQEFSNDFDLRKPLERHLLNGQNIECVSTQDADNLRDLQDRCSTFLSKLPGKDGGSLTAGRIAIQSLCAPQCGYFEKDWEMISFIRSLKAMVRSSNSVAVVTFPSTVLSNSFCRRWQHLADTLLSIKAIPDEDKDLAKLLTGYQDMVGFLHVHKVAQTNSQVPVILEASTLSLKLHKRRSLILERLNQAPVDGSSGPSSAVSGSCSSSQGSQLDF >KQK87655 pep chromosome:Setaria_italica_v2.0:IX:8534246:8534906:-1 gene:SETIT_037652mg transcript:KQK87655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSTIFFVSSSIFSPTTSDRIKTDPVSSDKEFQASLIESAEEWMAWVMNPKRELLFARSSSRWLIAWIFVPISCAATGLRKQKNILGMSQHLEVVFGADVGAGGSSPPSMSWQRSRTSSASYMTLVTDALPLRITQDVAQVAERGLELGDLTRHAEEHLHLLLHHACQDAHKLGRELVQQLCHGRGTG >KQK87171 pep chromosome:Setaria_italica_v2.0:IX:5898545:5900473:-1 gene:SETIT_035280mg transcript:KQK87171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEYFYQSLLLSMAAVALLQLVKLALRPRPRLPPGPWKLPVIGSMHHLVNVLPHRALRDLAAAHGPLMMLQLGETPLVVASSKEAARAVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVALKLEEIRAAGPSAPVNLSVVFHSLTNSIVSRAAFGKKRKNAPEFMAAIKAGVGLSSGFSIPDLFPTWTTVLAKVTGMKRSLQDIHRTVDSILQEIIDERKAILDEKVRSGAENAEENLVDVLIGLQEKGGFGFHLNNSRIKAIILDMFAGGTGTSASAMEWGMSELMRNPEVMKKLQGQIREAFHGKSEVTEADLQASNLRYLKLVIKEALRLHPPAPLLVPRESIEPCELDGYTIPAKSRVVINAFAIGRDPKYWDDAEEFKPERFEDGGVDFMGSSYEFLPFGAGRRMCPGFNYGLASMELAIVGMLYHFDWSLPEGVAEVDMEEAPGLGVRRRSPLMLCATPFVPVAGSTK >KQK89792 pep chromosome:Setaria_italica_v2.0:IX:35996547:35996905:1 gene:SETIT_0391402mg transcript:KQK89792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVARWWWTGGLCFVGLWRLVASGPLVSRLVWRSAGPYVGGVESCSLGEEEAPVPGLLLHCRPPPLPHLSLH >KQK87421 pep chromosome:Setaria_italica_v2.0:IX:7247157:7247848:-1 gene:SETIT_0370362mg transcript:KQK87421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDDYSMVNFIPLDLRKESSIQYVLSYIDSCIQYGEDADVKVRDFDLPEEDD >KQK87419 pep chromosome:Setaria_italica_v2.0:IX:7247157:7247848:-1 gene:SETIT_0370362mg transcript:KQK87419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDDYSMVNFIPLDLRKESSIQYVLSYIDSCIQYGEDADVKVRDFDLPEEDD >KQK87420 pep chromosome:Setaria_italica_v2.0:IX:7246943:7247848:-1 gene:SETIT_0370362mg transcript:KQK87420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDDYSMVNFIPLDLRKESSIQYVLSYIDSCIQYGEDADVKVRDFDLPEEDD >KQK90078 pep chromosome:Setaria_italica_v2.0:IX:39372045:39372943:1 gene:SETIT_037494mg transcript:KQK90078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAATMRGGVAHSGRARRVGVLRFLVASVVVTVLLAGLAVLIFWLAVRPKPIDYAVTRAVARHFNVTPPPDATANATFYLTFAARNPNRRVSILYEWVEFRVLYGESAQLAVADEPAFRQPRRNETRLDVRAVARSAAVAERAARELRHDLEAGEVGVDVRMRARVQFKVAGVRSRHYYMEAFCSPVVVGLSPSAARSFQEVPCDVAIS >KQK91642 pep chromosome:Setaria_italica_v2.0:IX:50984451:50988166:1 gene:SETIT_035963mg transcript:KQK91642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAGSNGGGAAPGHRRRSSSHGHGHHHQAPPPPPPQEAAPNRYVFAAATPYPPQYPNPNPPQHYPQYNYYPPPPPSVPVPLPAPYDHHHRPPTAAAGEFPPPPPAHPHHYPGWAGRYPSYGPHLPMPTPYVEHQKAVTIRNDVNLKKETLRIEPDEECPGRFLVSFTFDATVAGSMTVYFFAKEELNCNLTAVKEDLIKPVTVSFKEGLGQKFRQPSGTGIDFSVFEESDLLKQGEMDVYPLAVKAETALSVDPPLEGEDQKMKTPNSQITQAVFEKKENGEYQVRVVCQILWVNGTRYELQEIYGIGNSMEGDTDANDPGKECVICLSEPRDTTVLPCRHMCMCSECAKVLRYQTTRCPICRQPVERLLEIKVSNRSEDQQQAPQSPPRPPPTPQQEDVHP >KQK86478 pep chromosome:Setaria_italica_v2.0:IX:2123384:2127691:1 gene:SETIT_036267mg transcript:KQK86478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERELLETFEAAKKAADAAAGADDSPEADRCLDALRRLRAIRVNTDVLVSTQVGKRLRHLTKHPHSGIQAVATDLFGYWKKVVLEETGKKNGSSENERSSDSSGKVEKARPIKIERNSSSSSMKVEKRDGDVKGQKPDSVKVEKTASNGSKAHPVKVERVSKEVGRTPDTKKPASVPTGPPKLTSLVKCNDATRDKIRELLAEAFAKVSGETRNDDREEVRNILDEVDACDPFRVAVTVESALFERLGRSTGAHKAKYRSIMFNLRADNNTDFRRRVLIGQVRPERLPDVSPEEMASDARKQENKQIKEKALFDCERGGAPKATTDQFKCGRCGQRKTTYYQLQTRSADEPMTTFVTCVNCNNHWKFC >KQK92560 pep chromosome:Setaria_italica_v2.0:IX:56111638:56115998:1 gene:SETIT_037007mg transcript:KQK92560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTPHENIPNNTVSWVLQLNNTHILHVPLTSSLLGTFLQPSSRAGLEGAEARKSNESVCECDAQGDGCKYRRTRGVRPHKEIPRALHRPGPIALRQPPARAASPIPPGRLAAAAEMGFVSFVGRVLFVAAFLLSAYQEFNEFGTDGGPAAKALQPKFNVFVKNISSHLGVAVPHIELKHVIAATIALKGLGGLLFILSSSLGAYLLLLYLAFVTPIVHDFYNYDMEKAEFAQIFGKFTQDLALIGALLFFLGMKNSIPKRQAKKKAPKAKTN >KQK88164 pep chromosome:Setaria_italica_v2.0:IX:12072417:12073995:-1 gene:SETIT_038707mg transcript:KQK88164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHPFQSPRSSREKKSEGFGGGYRWVIEAARRGGFGGGGGGGGVVSMALRSLCSLVRGATRARTCSSSPGIAVQGGLGGARRFHSLRDLVIEHAHGSPAALIAAAFSVFAGISNMIDTDESDKARMDEDTEAEARTEEDPSMRTDAYLRYMQASKDMLREMASYNKALPGKNPIDEVALDQEGMKNDEAMRARFEDWMKQYGRTYQDEEEKARRFKIFKAVARFVDVTNAVADELEFHFCMGLNEFSDWNHEEFAGRCGTKHMSDDEYFSMIGVNEADKKAIKQ >KQK86398 pep chromosome:Setaria_italica_v2.0:IX:1643978:1647840:1 gene:SETIT_035130mg transcript:KQK86398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPKQEELQPHAVRDQLPAVSYCLTSPPPWPEAILLGFQHYLVMLGTTVIIPTALVPQMGGGNEEKARVIQTLLFVAGINTLIQSFLGTRLPAVIGGSYTFVAPTISIILAGRYSGITDPHEKFLRIMRGTQGALIVASTLQIIMGFSGLWRIVVRLLSPLSAAPLVALVGFGLYELGFPSVAKCVEIGLPQILLLVALSQYIPHLVPLLGTAFERFAVVMSIAVVWLYAFFLTVGGAYKNAAPKTQFHCRTDRSGLVGGAPWISVPYPFQWGAPTFDAGEAFAMMAASFVALVESTGAFIAVSRYASATPCPPSVMSRGIGWQGVGILLGGLFGTANGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPGPIIAAIYCLLFAYVGMAGVGFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYTSVAGFGPVHTHARWFNDMINVVFSSKAFVGGAVAYFLDNTLHRRDGTVRKDRGHHFWDRFRSFKTDPRSEEFYSLPFNLNKFFPSF >KQK90304 pep chromosome:Setaria_italica_v2.0:IX:41401484:41409136:1 gene:SETIT_034163mg transcript:KQK90304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAHRDGGAPETERRVFHFGKGRSDGNKAMKDLLGGKGANLAEMARIGLSVPPGFTVSTEACRQYQQQLGGGGGAAMPPGLWDEVLDALRCVERDMGAGLGDPRRPLLLSVRSGAAVSMPGMMDTVLNLGLNDGVVDGLAERSGRRFAFDSYRRFLDMFGNVVMGIPHELFEEKLEAMKVAKGLRNDTELNVKDLEDLVAEYKNVYVVTKGEQFPSDPKRQLHLAILAVFDSWDSTRAKKYRSINRITGLKGTAVNVQCMVFGNMGSTSGTGVLFTRNPSTGEKKLYGEFLVNAQGEDVVSGNRTPQELDAMKECMPEPYAELVENCKILEKHYKEMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIAVDMVNEGLIDHRSAIKMVEPRHLDQLLHPQFENPSSYKDKVIAAGLPASPGAAVGQIVFAAFDAETWHSEGKSVILVRTETSAEDVGGMHAAVGILTARGGMTSHAAVVARGWGKCCVAGCSSIHVNDAEKVVVVGGKVLYEGDWLSLNGSTGEVILGKLPLSLPTLSADLGTFMSWVDEVKQLKVMVNADTPADALAGRKNGAEGIGLCRTEHMFFSSDERIKAMRQMIMADTAEQRQEALGLLLPYQKTDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNVEDVVHMLSCHTNSTHEEILARVEKLSEVNPMLGFRGCRLGISYPELTAMQARAVFEAAIAVNDQGFQVLPEIMVPLVGTPQELGQQVSVIRQVADKVFAHAETTITYRIGSMIEVPRAALIADEIAELAEFFSFGTNDLTQMTFGYSRDDIGKFLPTYMSQGILQHDPFEVLDQKGVGELVKIATERGRRSRPDLKVGICGEHGGEPSSVAFFAKVGLDYVSCSPFRVPIARLAAAQAAL >KQK89389 pep chromosome:Setaria_italica_v2.0:IX:27308445:27311390:1 gene:SETIT_037442mg transcript:KQK89389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSSASTFPTITFEEALRREREYRKRLDRTHPHLLTALNGAPEQQKEVGTDSVTVAFKRKLAPESSVPQQQSSFSCSAVQRQPGNWYPLKKKVKVPHPPSHIQQCPRPNVVPSFWCKICKVDCVTEFNFGAHIGGKKHKAKKLEILGNRNTGRPGSECSGNRNPVQNSHAVSGSRNNETNVSSGIVCADLSSDSRTNVTEESGCTNPPMSSLDFTAI >KQK88182 pep chromosome:Setaria_italica_v2.0:IX:12190099:12193449:-1 gene:SETIT_039951mg transcript:KQK88182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQAELEAAVAALPAKRRRLREAFDRLAACSPVPVPFRWEDLDAHLASVAARFGHFEPGPELDSPHAADVAAASPATTGNFVEHLEEEQEQDRERRGERGAWAEGQGSNAEEGEEGQNASHDRERGVDEGEVREASSARPDGDREGDEAGNEKAAQVAIEASPERDEEAEDDAMGATAASPRQGDGDIDMMMEEAEEAVNASADRDGVEDDEPEEGELPRQRATAVGGAEAAPMRAVAADPSALVGLLCLSGRSSLRARGEFLPTLLGAADPHALLVRAVGEFLASAVRKTNRLWENCVALIECAPRLAAPSADALVKAERVARDWKEMVVGKPWSCGDMSRMAGWGLLTFVASYNIALEFDDDEITCLFGNLAPQMKNNCVELCERLGLIEKMTDSINHFIENGQPLDAIRLAHTFNLTHKYPPLTIMKDYVENAKKTAEDILSKESYTLESLNQVMAKKVNALIFSWSAIDGCNIDAAHRNSIKAEITCLLHKYANKQQSLAGVSAFISSTHQQHNLEEQYHEQLQMPLEQQQQQKRPQELHQMPEEEQQQQKPHEMQQQHPQNRQEQGWVWQNRKGRKKNNKNRKRSQRRQRQQERNKRPRLSSYVRPGIHNQCGQPFSGTQRAPFTARTRAPPYFGPL >KQK92739 pep chromosome:Setaria_italica_v2.0:IX:57014529:57017783:-1 gene:SETIT_035944mg transcript:KQK92739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGKRFPICLLQMRDSVIEVSSFRTVGNHVNKTEKGDCLEELNGYDDRDILRWKNSMRRDFTINGLFFNPMNYKIYDYVNGVRDMRKNKVCTVIPAHISFMEDPARILRGLRIAARLGFQFSSETSNAIHDLSSSIINIDKARLMMEMNYMLSYGAAEPSVRLLGIYGLLDILLPFQAAYLSDQMKDRARYKDLMLMKLLCNLDRLFSADRPCHCSLWLALLVFHTTLVISPQDTLVIKAFAALLYFGSWESAIEFLKEEEEEEEDGAQVSFVPETLGPSQTKLDDLMEQTSHLASLVNASVLTLTSSDALEQSLARFSEPPQFSGVVLASNNDRNRLLKIFGALNSGLTSYDERRWLHKIDYWSLKDGNPAEVRFVLGKVIMDTMSDKSPSESAEDALLFGGSC >KQK86819 pep chromosome:Setaria_italica_v2.0:IX:3933604:3935489:1 gene:SETIT_038812mg transcript:KQK86819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIVRAGVSSSAPAQLRPCPMPCRNRCLPSSVRRPGRRGVACSASAADADVVDLFDAAKLTVDRFVQSGMVVGLGSGPASALAVQYLGTRLRRGSLTDIVAVTSSVLSASEADKAGIRASSYQEGTQIDFAFTDAEVIEEGTLAAVIGRRKTESGEPSFMVEKAMVKSADKLAFITGNDKYLTGVEGSIPVIVKSGNWIDTAEEIDDLFLGDAEVWRRPSFGTAGPLGGDHPLVTKEGHHVLDVIFTTPIPDLGQVAEKLDKVAGVVDHGIICSNQSYAVIASKGEVQVIEEKPSVIP >KQK89643 pep chromosome:Setaria_italica_v2.0:IX:34414821:34416267:1 gene:SETIT_038566mg transcript:KQK89643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFTAHRGKPELVTPARVTPSETKTLSDMDDHYGHRVYIPLVEFFRCRPTDGRHGQPEDPAVAVKAALAEALVYYYPVAGRMQETANGKLVVDCTGEGVSFVEADAAVRLEELGTPLLPPYPCVEELLPDAGNIQVVAGKPIVAVQVTRFLGGGFAIGLQISHCIADGFGMIQFLKAIADMARGQSAPTALPVWERHLLMAREPPDTAYVQQKLMSLLKNATGETPPSMVYRHFFFGPAEVSVLRSHVPGDLGASCTRFELLTAAIWRCRAAVWGFNDDHRAVLAFSANVRRRWEHIPRGYYGNALVYHVVDAAAGELRRSPLSHAVALIRDAKTDMSDEHVRSTADFMASMRGHYGRGCGGGDQPPMVYDEAAYMVSDWTRLGEDDVDFGWAERVGGGVAMPSSHVSFNGTCRNSDGDELVVASMLLPEGVVERFEKEVALLLKQEADSY >KQK89783 pep chromosome:Setaria_italica_v2.0:IX:35889151:35891255:-1 gene:SETIT_036911mg transcript:KQK89783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSANTGHLSHWSSPEPDCGKKRYNVGAGLFNPRLWTCFLNCILQCMVHTVPLVLKLRKADHPDPCPRASIGFCCFCSLKLHADESIRLSGSAFYPERFVNHLKSISSNFESGVQQDAQEFFFDLLEKLDEAYLRCPECKRCSDKSEPFIDLSLEVTMVESLMDALHSFTKVELIEDFMCDGCNSRVNMEKHFKVEQAPEVLVIHLKRFTNSRGKIWDKVKYPLELDINSFMSSSDDTPQKYDLYGVVVHHGIYGRGHYVCYIRSSVDDWYEFNDDKARESIFFSS >KQK89065 pep chromosome:Setaria_italica_v2.0:IX:20145686:20146114:-1 gene:SETIT_038745mg transcript:KQK89065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEATTTATTSRFAAACGALSQFVRAAEAERERGGAWHPAVPVRPLPLMPGADVDEEPEAGPAPTAQLTIVYGGRALVLDDVPEDRAADLLRLAAAAAARGVTGQLSSADDLPVARKASLQRFMEKRKGRAAARAAPYRRP >KQK90764 pep chromosome:Setaria_italica_v2.0:IX:45629905:45630642:1 gene:SETIT_038960mg transcript:KQK90764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGKTSMGRQKIEMKKIESEEARSVCFSKRRAGMCKKAAELSILCGAMVAIVVFSPSGRPFSFGSPSFKAVYNRFRTLIDPAISGESCDGSSEETNTTHELLEYSELEQSIEGEKKRKKRLDETIIERDIDARVMDLLTTEVYSSGLDDLQEFHKKLVAIQDIVKEKIKQVMQEERHPTRPYPPTFIDLVSKYMLDMQIDTHISSTTLNSNHTAADGLDVNGPSTSSVHAVGTSVNYPSNQLDG >KQK92938 pep chromosome:Setaria_italica_v2.0:IX:57984225:57984998:-1 gene:SETIT_039715mg transcript:KQK92938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESEPGNAAPHAHHPHGTQPLAGIAVDYRPEACRHEPDTGEIHVTFTYDHDGRDGSRWRSRHRFLPGSAVAATIRAPAGDASGLNYNLYLSSLEGCREMDEIDFEFLGNDKRALQTNYHVGGGGGREQIHRLPFDPSDGFHHYAIAWDAKAIEWRVDGELIRREERREGEPWPEKPMRLYASVWDASDIDEGRWTGTYHGSDAPYVCSYKDVVVPPVEFWVEDDEQCQDADARDAPAAVVEEETDAVAIAPAMNDE >KQK89197 pep chromosome:Setaria_italica_v2.0:IX:21752355:21753459:1 gene:SETIT_036765mg transcript:KQK89197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLLAVVFLSSLLALHAPMTTTAANSNLFRDYIGAIFNGVKFTDVPINPKVRFDFILAFVIDYTTATEPPTPTNGQFNIFWQNTVLTASDVASIKQSNPNVRVAVSLGGATVNNRPVFFNITSVDSWVKNAVSSLTKIVQEYNLDGIDIDYEQFQVDPATFAECVGRLVTTLKSNGVIKMASIAPFDNADVQRHYQALWNSYGSVIDYINFQFYAYSASTTEAQYVSHFDNQIANYPGGNILASFTTAPTTTSVPINTSLNACQTLQSQGKLYGIFIWAADHSRSQGFRYDTQAQALLANAPSY >KQK87628 pep chromosome:Setaria_italica_v2.0:IX:8296452:8298189:1 gene:SETIT_039691mg transcript:KQK87628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GYDPARLVLWYIYLLFLHAAAAAVFRSPPTLGAAAAAAGLRRDLEVAAAVAFPAVTMENEEGKMVDLYVPRKCSATNRIIIAKDHASVQINIGHLDENGLYDGHFTTFALSGFVRAQGDADSSLDRLWQKRKAEIKQ >KQK92059 pep chromosome:Setaria_italica_v2.0:IX:53456064:53465276:-1 gene:SETIT_033889mg transcript:KQK92059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVHGLWELLAPVGRRVSVETLAGKRVAVDASIWMVQFMRAMRDDSGEMVRDAHLLGFLRRICKLLFLRVRPVFVFDGATPALKRRTLAARRRHRDAAQAKVRKTAEKLLLSHLKSRKLEELAEQIRSDRAKHDAKGKQVGSSRDGENENKNQDQNQNGDTNNSEGTIASINQEKMDEMLAASLAAEEETGFTGEGKHFTSVPLQEGAEIDDDDDDDEGMIIPMTTGDIDPAVLASLPPSMQLDLLVQMRERVMAENRQKYQTIKKEPAKFSELQIQSYLKTVAFRREIDQVQKCSAGKGVGGVQTSKIASEANREFIFSTSFTGDKQMLTQRGEKEQIVDNAQSKREINSAVFRSNPTSSSRTTEPSTSKHLRNFGPDVETYRDERGRIRVSRVRAMGIRMTRDIQRNLDFIKENEQVKSRVHTNVHKGSTVSEEPPDFPEHLFESNKLQSSLSLDEDFLETAEDNHQTSSLVRGSNNISESSCYGNKETMEISFMDDQTEVKDNYDDIFLHLASGTASDLFADNDCLAKNMEEPEGSECIWEEGVIEGETLPIKLDEKGNNSAPENCSDDEVEWEEGDSLVPGVASSSEHNTYNVLKGDLEEEALLQEAIRRSLEDFDKQASENVSTEDMQASVEDRPLQFSDDVPKISEAPVETSSHSGAALVKETNEKSRTEINSDENDMIHGTGQIGIYRQKNEIQPQLVNNDGQVDMHRAHLLEPLPLCSTSTSNLAEKTSDSSKANCDNVMISRTEIPEMHVDDRDKNMDQNSMNPNQTKCSQDVAIIGETLKSPQKDLLVDEPVADTTEPKENDTEGDLMVSTSEINYTQVGDNDDNHGISATYLDEELSRLRQEQVDLGHERRKLESHAESVSSEMFTECQELLQMFGLPYIIAPMEAEAQCAYMEMSKLVDGVVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIESELGLTRQQLIRMALLLGSDYTEGVSGIGIVNAIEVVHAFPEEDGLQKFKEWIESPDPSIFGQLHMETSSKSKKRKPGGNYSDGKGKGLEPECDQGSDDLSANETERIKKIFMSKHRNVSKNWHIPAAFPSESVISAYITPQVDNSTEPFSWGRPDLGLLRKLCWERFGWGKEKADELLLPVLREYNKHETQLRMEAFYSFNERFAKIRSKRIKKAIKGITGKSFPDTDETEQDNPSASKTTKKKDASSSSHARGRGRGKMRSSEIRNMESPEDKETSDPNSFADVVELTKESNNTNKSKKGRPPGCSKGRGRSRKNAGHGATGSQVDSDTKYSSSASDEDSHKTHAGNYKSEGIAPRRSSRKRKQVSYMEDGDEADGNDVPVHQNSENDPGEAAANTDIVGQDTELNPVHQDASELNSNQMQTDTGTAEDISGDSQGFELREDHQADSAPKDYLFTGGGFCMEDGDEQEPAGDRCGAEMEEPGTSDPSDLIIGVSDSGKSASLSTAGECTENAGMEARGASSSEQRRNASRGLSAMPTLTKRRRKS >KQK91698 pep chromosome:Setaria_italica_v2.0:IX:51324993:51326208:-1 gene:SETIT_037240mg transcript:KQK91698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPFAIASRLSPAARLPVRAWRAARPAPGFAPSGRARSLAVASAAQENRDNTAVDVQVSQDGGNRQQGNAVQRRPRRAAPLDISPFGLVDPMSPMRTMRQMLDTMDRLFDDAVGFPMATRRSPAATGEMRLPWDIMEDEKEVKMRFDMPGLERDEVKVMVEDDTLVIRGEHKKEDGVEGAEGSGDGWWKERSVSSYDMRLALPDECDKSKVRAELKNGVLLVTVPKTEVERKVIDVHVQ >KQK91544 pep chromosome:Setaria_italica_v2.0:IX:50468678:50474946:1 gene:SETIT_034801mg transcript:KQK91544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLRKQPSYLFILIILHLVAHEARTLSSDGEALLAFKKAVTNSDGVFLNWREQDADPCNWKGVRCDSHSKRVINLILAYHRLVGPIPPEIGRLNQLQTLSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGYIPSEFGDLVELEALDLSSNTLSGSIPRSLDKLSKLTSFNVSMNFLTGAIPSSGSLVNFNETSFVGNLGLCGKQINSVCKDALQSPSNGPQSPSSDDMLSKKNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDMRGFRVELCGGSSVVMFHGDLPYSSKDILRKLETMDEENIIGAGGFGTVYKLAMDDGSVFALKRIVKTNEGLDRFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLQGGSLDEVLHEKSEQLDWDARINIILGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEILSGKRPTDASFIEKGLNIVGWLNFLASENREREIVDPNCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >KQK91545 pep chromosome:Setaria_italica_v2.0:IX:50470297:50474946:1 gene:SETIT_034801mg transcript:KQK91545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVFFWRRSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGYIPSEFGDLVELEALDLSSNTLSGSIPRSLDKLSKLTSFNVSMNFLTGAIPSSGSLVNFNETSFVGNLGLCGKQINSVCKDALQSPSNGPQSPSSDDMLSKKNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDMRGFRVELCGGSSVVMFHGDLPYSSKDILRKLETMDEENIIGAGGFGTVYKLAMDDGSVFALKRIVKTNEGLDRFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLQGGSLDEVLHEKSEQLDWDARINIILGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEILSGKRPTDASFIEKGLNIVGWLNFLASENREREIVDPNCEGVQIETLDALLSLAKQCVSSLPEERPTMHRVVQMLESDVITPCPSDFYDSE >KQK91543 pep chromosome:Setaria_italica_v2.0:IX:50468678:50474156:1 gene:SETIT_034801mg transcript:KQK91543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFLRKQPSYLFILIILHLVAHEARTLSSDGEALLAFKKAVTNSDGVFLNWREQDADPCNWKGVRCDSHSKRVINLILAYHRLVGPIPPEIGRLNQLQTLSLQGNSLYGSLPPELGNCTKLQQLYLQGNYLSGYIPSEFGDLVELEALDLSSNTLSGSIPRSLDKLSKLTSFNVSMNFLTGAIPSSGSLVNFNETSFVGNLGLCGKQINSVCKDALQSPSNGPQSPSSDDMLSKKNGKNSTRLVISAVATVGALLLVALMCFWGCFLYKNFGKKDMRGFRVELCGGSSVVMFHGDLPYSSKDILRKLETMDEENIIGAGGFGTVYKLAMDDGSVFALKRIVKTNEGLDRFFDRELEILGSVKHRYLVNLRGYCNSPSSKLLIYDYLQGGSLDEVLHEKSEQLDWDARINIILGAAKGLSYLHHDCSPRIIHRDIKSSNILLDGNFEARVSDFGLAKLLEDEESHITTIVAGTFGYLAPGMWKLFPLHPMGKENCMASIYS >KQK87163 pep chromosome:Setaria_italica_v2.0:IX:5865479:5870907:1 gene:SETIT_036110mg transcript:KQK87163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAGAGAGSEGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPSDENVIPVVEELRRRLAPSSATQHDGKENLSGQHDVEGAEEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKISSRNLDRVRKLKSGMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGPNWFPASPTIGSKISRASRASVATVHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQVIYNTRPHHVTCS >KQK87162 pep chromosome:Setaria_italica_v2.0:IX:5865702:5869800:1 gene:SETIT_036110mg transcript:KQK87162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAGAGAGSEGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPSDENVIPVVEELRRRLAPSSATQHDGKENLSGQHDVEGAEEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKISSRNLDRVRKLKSGMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGPNWFPASPTIGSKISRASRASVATVHGNENDVEELEMLLEAYFMQIDGTLNKLTTVSWPCRF >KQK87164 pep chromosome:Setaria_italica_v2.0:IX:5865479:5870907:1 gene:SETIT_036110mg transcript:KQK87164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAGAGAGSEGKKRGASRSWILFDAAGEERVLDADKYAIMHRVDINARDLRILDPLLSYPSTILGRERAIVLNLEHIKAIITSEEVLLRDPSDENVIPVVEELRRRLAPSSATQHDGKENLSGQHDVEGAEEDESPFEFRALEVTLEAICSFLDARTTELETDAYPALDELTSKISSRNLDRVRKLKSGMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGPNWFPASPTIGSKISRASRASVATVHGNENDVEELEMLLEAYFMQIDGTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSLYSLVAGVFGMNIPYTWNDNHGYVFKWVVLVSGLFCAFMFVSIVAYARHKGLVGS >KQK91059 pep chromosome:Setaria_italica_v2.0:IX:47470109:47472157:1 gene:SETIT_036419mg transcript:KQK91059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFGHHGHHGQNPPAPGGHHGGGAHQPTFKIFCKADEGYCLTVRDGNVVLAPANPRDEHQHWYKDMRFSSQVKDEEGNPAFALVNQATGLAIKHSLGQSHPVKLVPFNPEYQDESVLWTESGDVGKGFRCIRMVNNIRLNFDALHGDKDHGGVHDGTTVVLWEWAKGDNQSWKILPWGDEAGSAGNAPRGGYGHGEPTVRIYCKADEGFSVTVRHGAVCLAPTNPRDEYQHWIKDMRHSNSIKDEEGYPAFALVNKVTGEAIKHSQGEGHQVKLVPYNPSYQDESVLWTESRDVGHGFRCIRMVNNIYLNFDAFHGDKDHGGVRDGTNIVLWKWCEGDNQRWKIVPW >KQK91377 pep chromosome:Setaria_italica_v2.0:IX:49490449:49493823:-1 gene:SETIT_037096mg transcript:KQK91377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAPLAMLHAAASASPRPAAAPVATRPAAPCLRARGTGGVPIIYHQRPAQRTRSLLLPAARPGAIGSAAEAAPAEGLAQKLQGVEVFDLSGKAVPIVDLWKDRKAVIAFARHFGCVLCRKRADLLAEKQDVMQAAGVALVLIGPGSVEQANAFCEQTKFKGEVYADPTHSSYDALEFAFGLFSTFTPAAGLKIIQLYREGYRQDWELSFEKNTRTKGGWYQGGLLVAGPGIDTILYIHKDKEAGDDPDMQDVLRTCCS >KQK91501 pep chromosome:Setaria_italica_v2.0:IX:50269804:50271916:1 gene:SETIT_037278mg transcript:KQK91501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRSNGDGHGNAASLYPQRRPHYGYGGGSASFRGCCCCLFLLLTFLALLALAVALVVVLVVKPRKPQFDLNQVSVQYLLVAPPTSAAATPAGVPPAAPGAAYLSLNITLLFTAVNPNKVGIRYGATAFDVMYHGVPLGVAAVPGFEQPAHSTRLLQTRVIVDRFNVLQADAQDLVRDAAIRDSVELRITGDVGAKILVLGFSSPKVQVSVDCAIAISPRSQSLKYKQCGVDGLSV >KQK86057 pep chromosome:Setaria_italica_v2.0:IX:98344:106377:-1 gene:SETIT_033907mg transcript:KQK86057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEDPFGRAQSEHDHRVDDEENLRWAALEKLPTYDRMRQGILRRALDQQEGGGGVEVVDIQKLAGGDGGRALLERLFQDDSERFLRRLRDRIDMVGIELPTIEVRYEQLTVEADVVAAGRELPTLSNAATNFLQGLIGRFGSSNKRNITILKNVNGVLKPSRMTLLLGPPSSGKSTFMRALTGKLDKNLKVSGSITYCGHPLSEFYPERTSAYVGQYDLHNAEMTVRETLDFSRRCLGIGARYEMLAELARRERDAGIKPDPEIDAFMKATAVQGQETNIVTDVTLKVLGLDICADITIGDEMIRGISGGQKKRVTTGEMLTGPAMALFMDEISTGLDSSSTFQIVKFMSQLVHVMNETVMISLLQPPPETYNLFDDIILLSEGYIVYHGPRENILEFFESAGFRCPERKGVADFLQEVTSKKDQQQYWYLDQDQYRYVSVAEFAERFRSSHVGQLMHKELQTPFDKSKTHPAALTTRKYGLSSWESFKAVMSREQLLMKRNSFIYIFKVTQLIILALMSMTVFLRTKMPHGQISDGTKFFGALTFSLITVMFNGFAELQLTIKKLPVFYKHRDFLFFPAWTFGLANIILKVPVSLVEAAVWVVLTYYVMGFAPAAGRFFRQFIAFFATHQMAMALFRFLGAILKTMVVANTFGMFVLLMIFIFGGFVIRRNDIKPWWIWGYWASPMMYSQNAISINEFLASRWAIPNNDTTIDAPTVGKAILKSKGLFTGEWGFWLSIGALIGFIILFNALYLWALTYLSRVTERAAESRVTLPFQPLSLCFNHINYYVDMPAEMKEQGFTESRLQLLSDISGSFRPGVLTALVGVSGAGKTTLMDVLAGRKTSGAVEGDVTLSGYPKKQETFARISGYCEQTDIHSPNVTVYESIAYSAWLRLPSDVDDVTKKMFVEEVMALVELDVLRNALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFESFDELLLLKRGGQVIYAGELGRHSHKLVEYFEAIPGVPKITQGYNPATWVLEVSSPLSEARLNMNFAEIYANSVLYRKNQELIKELSIPSPDYQDLSFPTKYSQNFYGQFVANFWKQYRSYWKNPPYNAMRYLMTLLFGLAFGTVFWQKGKNIDSQQDLYNLLGATYAATFFLGASNCITVQPVVSIERAVFYREKAAGMYSPLSYAFAQACVEVIYNIFQGILYTVLIYAMIGYDWKADKFFYFLFFITASFNYFTTFGMMLVACTPSALLANILITFALPLWNLFAGFLVVRPAIPIWWRWYYWANPVSWTIYGVVASQFGENGRSISVPGGAPVAVNEFLKDNLGIRHDFLGYVVLVHFAYIIAFFFVFGYSIKFFNFQKR >KQK89348 pep chromosome:Setaria_italica_v2.0:IX:24687850:24690307:1 gene:SETIT_040167mg transcript:KQK89348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNYQTSGWVIHHKSDIWAKSSASNGDPQYAVWPMGGAWLCTHIWEHYQYSLDKVFLENAYPLLEGCALFLVDWLIEGPQGYLETNPSTSPEHSFIAPATGGHNASVSYSTTMDISIIREIFLAVIASAEVLGKYDTTLVQRINQALPRLPPIMIAKDCTIMEWALDFEDPDVHHRHQSHLFGLYPGHTITMERNPDICQAAANSLHKRGEDGPGWSLTWKMALWARLMDSKNAYRMILKLINLVPPTEKFGLNGGLYTNLWTAHPPFQIDANFGFTAALAEMLLQSTLTDLYLLPALPRNKWPRGCVKGLKARRDVIVSICWEEGELQEALLYSGRGDSDLKLHY >KQK91828 pep chromosome:Setaria_italica_v2.0:IX:52098066:52102132:-1 gene:SETIT_040295mg transcript:KQK91828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCVDSGRQRSFPSKKADIYKRAFSAAADLQLFHCAWWQLSGSPTPMVLGWGQSAERSY >KQK86684 pep chromosome:Setaria_italica_v2.0:IX:3285848:3286675:1 gene:SETIT_038585mg transcript:KQK86684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHGKCSHDAEACYPPGGMYPYMMESPQIRWAFVRKVYMIVTLQLLLTVAVAATVNLVGAIGAFFRSGTRAALAAIIGVMISPFIVMIPMILLRKRHPINLVLLALFTCCLSFSVGLVCLYANGVIILEAVTITLLVVVGLTAYTFWAAKRGYDFEFMGPFLVAAVLILMVFSFVRILFPMGKTGTMVYGCIAALVFSGLIIYDTDNLIRRFSYDEYVIAAIELYLDIIYLFEAILRVLK >KQK86626 pep chromosome:Setaria_italica_v2.0:IX:2988550:2994382:1 gene:SETIT_034423mg transcript:KQK86626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQETIQVLDVVLRESPSWNYVTVSRSFFSTTFGHRGDIGEGLECWRGYYQSLRPTQMGLSLNIDISATSFFKPVTVIKFVEEFLSIRDTSRPLSDRDRVKIKKALRGVRIETSHQQDQIRRYKITGITPIPMSQLIFPVDEKGTRQTVVQYFWDKYNYRLKFGSWPCLQAGSDSRPVYLPMEVCKIVEGQRYSKKLNDRQVTNILRATCKRPQEREQSIRDMVLHNNYAEDKFAQEFGIKVCSDLVSVPARVLPPPLLKYHDSGREKTCAPSVGQWNMINKKMINGGTIDNWTCLNFSRMRPEEVQRFCMDLTHMCNATGMNVNPRPFVEVKSAAPNHIENALRDVHRRATQMAAQQGARNQLQLLIVILPDVSGSYGKIKRVCETDIGIVSQCCLPKHASRPNKQYLENVALKINVKVGGRNTVLERAFVRNGIPFVSEVPTIIFGADVTHPPPGEDSASSIAAVVASMDWPEITKYRGLVSAQPHRQEIIEDLFTVSKDPQKGHNVNGGMIRELLIAFRRKTNRRPERIIFYRDGVSEGQFSHVLLHEMDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDQKICHPTEFDFYLCSHAGIQGTSRPTHYHVLYDENRFTADALQSLTNNLCYTYARCTRAVSVVPPAYYAHLAAFRARYYVEGESSDGGSTPGSSGQAVAREGPVEVRQLPKIKDNVKDVMFYC >KQK86347 pep chromosome:Setaria_italica_v2.0:IX:1377644:1378762:1 gene:SETIT_039285mg transcript:KQK86347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEDTGDDASIALLHRLRAGATVHFVHHVDVCSAAPEDLVADLEPAPGTSVWYLYCVKKYKSAHGRPGGHRQRAIAASDTCWHSEAGAKDVKGSQGGGTVCNLSYGRKDGRSFTRLGWCMMEYDDATGGGDHVLCKIYRSPRAQGKPSSAASKTSSGSKRKAGGEHTEARPAKLLHEQDTFFTNDYAMPSTVAQVNVGGEEEQHLSTQDGEFVQTMYGLLPSVVAQINVEEWIRGAEMFGGDEEQQSSKPEQDDWSVKVAPLMTEDIAVEDYLLAPETTSGEASGVPATLTPPDDADLFDVELDSEFSVLQEQHTWQMQQPHAAEPDPMAEFAHSLQTPRAMAMALACQHQESYWMMQSFLPGHPSFLMCS >KQK87004 pep chromosome:Setaria_italica_v2.0:IX:5040251:5041486:-1 gene:SETIT_037595mg transcript:KQK87004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASVDQFKDGNSGGKASTKRQFGSRDSLSTELEFHMSVSAKYLLLSAFLASRNPATLDAALFDSTGGSDNHRRKRKSSQASMNMKDTMVEEMLMKGPGTFPLERLLAIFQCITSVSEDALNDVECPDSMMNGSGMTGLMSDVLLQLSTLCNSNFLSKSRSCPLEGSARYRSNIDEDLALKVARSVSFPLSKYIYRR >KQK90991 pep chromosome:Setaria_italica_v2.0:IX:47061397:47065275:-1 gene:SETIT_036380mg transcript:KQK90991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHPEPADPPGRAFPPPPAPAPAAARGAYHRRARSEVAFRLPDDLGLGGGAGPDGDGFDEIGSEDDLFSTFMDIEKISSSGPSDRDRDRDRAAETSSPPRPKHRHSSSVDGSGLFFSPGVGGGAGKDAAASLAEVMEAKKAMSPEQLAELAAIDPKRAKRILANRQSAARSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTGLSAENAELKIRLQAMEQQAQLRDALNDALKQELERLKLATGETTNTSETYNMRFQHVPYNSSFFLLSQQNTASHPGSAQLAPPFHPPHPNVPNHQMLSHPHTLPDIMQQDSLGRLQGLDIGKGPLVVKSESSSISASESSSTF >KQK87970 pep chromosome:Setaria_italica_v2.0:IX:10529088:10530765:-1 gene:SETIT_039102mg transcript:KQK87970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERWAVSAPPSTKKALALRARATPPRKRRRAFISPPSPDQTQTTPQRPPETEEAEPPAPTLPYDLLPEIAARSDVTTLVRCAACCKALRREILRPAFIRRVCREPASGAVVPPCALGFLHAYDKARMEAEQDQPPPAPCFSVAHPACGVLLRGAPRAVRGPYLGGDRSSEMCVYDPMSGDRTFLPSPPYGMRVSDRKASDYGVSYTYVLLTAADGVGGGSFHVLAADFSGLMLHSANIMVQTVSSDNAGDGACAWGPVTMAAHPRSRRSYLQPHCGAVVLCGLIHWLMYDYYGQFHILTYNARTAMAGSIELPKGSLPDHCKVSNLHLASSPDGRLSLHVADKRRYQFGCCGLPVPPATVGGGSEGEQDLAVLDVETKELRRVIKKKNITAFPYEIDLEARLSAMKTF >KQK92755 pep chromosome:Setaria_italica_v2.0:IX:57099920:57100840:-1 gene:SETIT_039471mg transcript:KQK92755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPCLVLDPNGITEEGHTTLLDPTGERVTCDIKPLGEQHEVFAHMEIKGWIPSSDRNDFRTFLYDPMTSDKIELPPFAHHLPRVFQCALSDKPTNIGCIVVILHPNGRCFWYCRIGGPNEWNKYDYDVGSQQYDTKGLVWEKIVIRNLTSCKGKFYFPISGVKHGIIEFNPSPVTRIVTMRGMPRGYNADACFFELDEEPYQFFAFKGASSITGITLYKDRLGKATRFALEPNCVYWIRPHDNSMHIFDIVENTQRVCYHPSGDLPSYPQKHFGCFLAIS >KQK89756 pep chromosome:Setaria_italica_v2.0:IX:35643725:35650591:-1 gene:SETIT_034207mg transcript:KQK89756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAKVSRTITKIRIKGYEDRQQHEEVPSSVKKEKISDLGPIWTNDELMCFYKAYHRHGKDWKKISAAVGHKSPDMVKALYAMHRTFLSLPEHQATSMGFIALVTGHCNVLELSSSHRGNGQTIRASGKAKKHGEATQHKVHEAPHPHGSYHAGKIPGFSPSFKKRYYGGKYPLENELARNSQSHPVGNRTPRIPVIVPADRNAINDATPEFKNAMSSTKRNNDKINNDRANFSMNECSPDGRSGIMEATKGVECQALLETNGDTEICQTQQPLKKRRMDQTMDRGRASKVGHETMMEVKEENKPSGLLKQQMSSMFISADDILILDVLQSLVDEPDKMSKLKINITSNTLRKSDFTLSESKDEGHSPVDLSKQGKPITECSASKTKRKRHTKVPAEEINVVHAIDITEGSSNSDSARGLEDLPESTANIFCEVYPTVPREINPEISMSRRRKMKNKMHRKKKYVMCNKGSDNVEARKLLHCLSSEFLRRWCTYEWFYSAIDFPWFMDNEFVKYMNHPYLRHISRLARSEWSIIRSYRGKPRRFSDNFLVMERKQLEDYRKEVRTYYAQLSDGSLDSLPADVARPFSIGQQVIVRHPSSRELCDGKVVMVDQDCCKVQFDNPELGLDLVQDVDCMPVNWLDNLPDNVRSTLESHDVHNILEMEHVFKVTPSGNRDHTINEVSIPELPISVDITSDEQLEVEYSVDSERTQKESTSDGIVQSTDFPNNNDDHYDQLESYCSAFVRSMQSQAREMVDEVMQATSGGNSSQDEGAGAVNQATNCISPDLGAVTCDSQLPSNLIMNCTATVLAIKRLADSRHPPANIAGILQRFSAMLLPTCSENLAIYRDIEKHISIITSQTVALMPRTL >KQK87979 pep chromosome:Setaria_italica_v2.0:IX:10584136:10585485:-1 gene:SETIT_039530mg transcript:KQK87979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYAAMATPPTLAMGVDVDKLSYEIFSLLETKFLFGAANGCLSSGPGTPGRAFLDGGRVRVLSIDGCGADGEDALLAAAALARLEAGLRKQTGDTDARVAEFFDVAAGSGAGGVLAAMLFLRGPDGRPRYSAQEALAFVAGSVGKKDWGGRRGRWAKLFRGGARGGDRSFRRVFGDATLRDTVAPLLVPCYDLATAAPFVFSRADAVESDAFDFRLRDICAATCGASGAVVPVRSVDCSTAIAAASGGVAAMGNPAAAAITHVLHNKQEFPLATGVEDILVLSIGAGASATVSCGSKTPMPARSPSPRDLARVAAEGVADMVDESVAMAFGHACRSNYVRIQAGKAPTPLHAETAAAAAGAMLAQRNVESVLFCGRRLSERTNAEKVDAVATELVKEQERRSCSPLPNVAIKQVGTPRLSSATTASSGTTTARTASTMPSPASWDSRR >KQK89076 pep chromosome:Setaria_italica_v2.0:IX:20299892:20301516:1 gene:SETIT_039108mg transcript:KQK89076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKRLKKQVEESLPEWRDKFLAYKRLKRLVRLVPADPSAPRRRRAAEAAFLRLLDGEVDRFNAFFLEREEDFVIRHRARRPGAPSELQEAVKKVAAGDHEPAAPRRAAEMRRVRREVVDLHGEMVLLLNYSAINYTGLAKILKKYDKRTGRLLRLPFIEKVLGQPFFATELISGLVRECEATMEAVFQAGGGRSGAGTTPLAAAAAAEQGIFRNTIAALVTMGELRSGSSTYGHFSLPPMASPECDLMLCIKLADPVPI >KQK89282 pep chromosome:Setaria_italica_v2.0:IX:23006492:23009718:1 gene:SETIT_039551mg transcript:KQK89282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSKDFNDGGCLRSSEKKRQPNKERQRPSSSPVRPPSAAACLLPSPAPLSIDPSTVGRLSRRMGLLFVQVLPRGNGDPGSPAATVLQCRRCRLDAASMGAILSREFQGRIGRGYLFDRVVNITLGPNEDREFTTGPHIVNDIYCICCQEIIGWRYEKAYEESQKYKEGKFILERALMCKAP >KQK90143 pep chromosome:Setaria_italica_v2.0:IX:40154923:40155502:1 gene:SETIT_039246mg transcript:KQK90143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTVQNHLPRIDPHPSVRSDTKSPNLRPHKSISNPPASGELQVFAASAMSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KQK88796 pep chromosome:Setaria_italica_v2.0:IX:17241490:17245671:1 gene:SETIT_037953mg transcript:KQK88796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGRGGTAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVYMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >KQK92328 pep chromosome:Setaria_italica_v2.0:IX:54931219:54935238:1 gene:SETIT_035073mg transcript:KQK92328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMNNGWLGFSLSPSAGRGGYGDGGGGGGSGSGDGDGSCSSPAAASPLVAMPLQADGVPLQYSSTTPDWEHAAEAKGPKLEDFMSITCSNKSSSSSSSLYDSCNHAADQAKYHEVHDLQPFSGGSYFHDHGHGIGLGINMNAPPCNGFPDHHHHQFLPHHGEYFLGTPANPSPTPGAMQSTPPMYNAAAAAASAGGVVGGSMSISGIKSWLREAMYVPPERSAALSLSVADVPAAEPPQLLPAPMPVARKPAQTFGQRTSQFRGVTRHRWTGRYEAHLWDNTCRKEGQTRKGRQGGYDREEKAARAYDLAALKYWGPSTHINFPLSHYEKELEEMKHMSRQEFIAHLRRNSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISKYDVKRICASTHLIGNDAACRRSPTRALPDAPAPAELPSSQGGGAASDNSDTSDGHRGAHLLHGLQYAQQQPHPMTFEAGESSGGGTGWMAAAAAAAAASRPVAGVPSVHQLPVFALWND >KQK87978 pep chromosome:Setaria_italica_v2.0:IX:10578708:10580211:-1 gene:SETIT_035673mg transcript:KQK87978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYPAAASPQKAAMDMDKLISHQLFSLLESKFLFGAGAGCQSSGPGTPARAFLDGGRVRVLAIDGCGAGAEDAFLAAAVLARLEAKLREQAEDPDARVADFFDVAAGAGAGGVLAAMLFLRGPDGRPRYSAQEALAFVAGSVGKKDWGGRRGRWAKLFRRSRSGEQVFRRVFGDATLRDTVAPLLVPCYDLATGAPFVFSRADAVESDAFDFRLRDVCAATFAVGREPVAMRSVDGLTAISAACAGVAAMGNPAAAAITHVLHNKQEFPLATGVDDLLVLSIGAGAGASASVPGGSNTPMPTRSPSPRELARVTAAGVADMVDESVAMAFGHTCGSSYVRIQAGRAPTPLHADNAAAAAGAMLAQRNVESVLFRGRRLSERTNAEKVDALAAELVKEQERRRRSPLPNVAIKQVGTPRLSSATTSSSGTATARTVSTMPSPASWDSRR >KQK86512 pep chromosome:Setaria_italica_v2.0:IX:2363772:2364653:-1 gene:SETIT_038643mg transcript:KQK86512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPRSDPAPGASSSQGSGEAFASIQGRDAAGVSSWTGEKKTKFQTTPTPMDLDKTARRDDSEQADKQIVHVIDHHEREQGVGQIEGFDWDDEMVKEDLDSYFKRYHGIPDNDDDEAWAPMDDQQLKEMELRFAIIASKLTRKHYTTLTFCIYLPTLICHLYVEGRGAGGELRKMYPPDTLEENGYFQWLEHDFEWYFDPVYCNFAHLEDYQRLALPNTVSNTQLNYFCGSVFNYAKSYCYTHIAIFLSCDEKPLEALVHSGITRLDDNT >KQK86614 pep chromosome:Setaria_italica_v2.0:IX:2911285:2911600:-1 gene:SETIT_040656mg transcript:KQK86614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFMADHVQNLRSIKPTQFIQISSYNIVTRDVILNC >KQK87578 pep chromosome:Setaria_italica_v2.0:IX:8053745:8056604:-1 gene:SETIT_037160mg transcript:KQK87578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRGADGAAGGPDASSPADPPGPEPDPAADAMDARLPADLLRAVLQRLPPIDLARSACVCRAWHAVASDRAVLEAAFCAPWGVRRVVGDPATQAFWRAASLGRFALSHAVRRGDTVPGVALKYSVQVTDIKRFNNMMSDHGIYSRERLLIPISNPEILLGSTCYIEMDHNAKREVAVFYPEGRPSGTESLANIISKERRSRRILESVRRSLHVDDGTAAYYLSVSEGDPRAAMMEYSEDLRWEQQRSGQ >KQK89324 pep chromosome:Setaria_italica_v2.0:IX:24011510:24012700:-1 gene:SETIT_038708mg transcript:KQK89324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GITSTALEKGGLCYLDMSSEYKHKNTLISVKVDTESNISTTLTLLKALPSTKLAISVKLPDCNARKLKLQYFHENATFATVVCMKPSPAIEFSGTVGAKGVSFGAECRYDTARGKFRKYRVAIGMTSKYYHAAFILADRGDTIKVYGLYHFDKKQKASAVVELTRKLSKNENTLTVGGLYTVDAQTTVKARLNNTGNLAALLRLEVKPRSHLMISGEFDMKALDRPPKIGLALALVP >KQK88401 pep chromosome:Setaria_italica_v2.0:IX:13994261:13994995:1 gene:SETIT_040136mg transcript:KQK88401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLELLAGLTILAALFTGTWPVAVLGRTARAPPAPKAGPIRHHHPPRRTPPPPPPPLSHRHVVRPRPPPHRRSEAQPLSPPPYLHLIFPNHFKGKQTKRCSYDLLDQPQREKVTSPLS >KQK86625 pep chromosome:Setaria_italica_v2.0:IX:2963474:2964130:1 gene:SETIT_039465mg transcript:KQK86625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESKVFWADLSRGLAYCDLRLRGRGNSAVQFDFGFIELPYGYEILFKDLPVHELTEPPEMNRTIGCVGGCIKFICIDRPRGHPCNVMVRAWTLDLDRKEWKAEKGFLWKELWEQVAFMFTDHAELWDVEPRYPVLMPDGTLCLMLGEMRPRRREEVDCIVCRFDMRSKRPLWHGTVVDYHIMWPVILPGNFFTKCYPPPCPRENKLPARKRRRQQSID >KQK92441 pep chromosome:Setaria_italica_v2.0:IX:55481238:55489934:1 gene:SETIT_034218mg transcript:KQK92441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGGGGGAIRVLNVAEKPSVAKAVAEILSRGSMQSRAGRSPYNRVFEFNYAINGRACRMLVTSVTGHLMELEFDDRFRRWHSCDPADLFHAPVRKSVPQDKQAIKQTLEEEARRCQWLVLWLDCDREGENIAYEVIEVCTGANSHLNIWRARFSALIDREIHESVQHLGRPNKLFADAVDARQEIDLRIGASFTRFQTMLLKDAFVIDVTGDDRNLVLSYGPCQFPTLGFIVERFWEIQAHEPEEFWTINCTHTSDEGTASFGWIRGHLFDYPSAVILYEMCVEEPMATVQNVRNQEKLKYPPYPLSTLELQKRASRYFRMSSEHTMKVAEELYQAGFISYPRTETDNFSPNTDLHAIVREQVEHPVWGAYAHRLLTPEERLWRNPSNGGHDDKAHPPIHPTKFSRGENNWSPDHNRLYELVVRHFLACCSQPAVGAETTVEIDIAGEQFNASGRVVLAKNYLDVYRFDSWGGTLLPTYNIGQQFVPTTLTLDSGVTRPPPLLAEADLLSCMDKAGIGTDATMHDHIKKLLDRCYATKDENTRFSPTNLGEALVMGYDEMGYELWKPYLRSMMEADMKSVSIGTKSKSQVLESCLQQMKACFLDARVNKAKLLDAMGTFFARSNRPVNETQNPIEVVRPCAACRESEMVLKQKQNGEFMVGCRSYPQCRNVVWLPGSLSEASVTNQVCPICTPGPVYKIQFKFRRRDIPPNFDVDHLGCIGGCDDILKELTEISRFGSRSQAATPGQVLCTSCGELCISRIANTEANRGRKFYKCEDPGCGFFKWEDELDNATPRGRRGRGSSRQAPASAGRRGGAQARGRRGRGRNTDGGMFVSATGDPSRCCFTCGDPSHFANACPNRR >KQK92566 pep chromosome:Setaria_italica_v2.0:IX:56150819:56151289:1 gene:SETIT_038406mg transcript:KQK92566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLKIITTAQKCVLRTNCPLLVGILVASLGCQTLTRPDPTPIWQTAHVLHLRMQTTCWEAKLAAIG >KQK91640 pep chromosome:Setaria_italica_v2.0:IX:50971692:50977175:1 gene:SETIT_034234mg transcript:KQK91640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATALLLPAAAVLLLCLASGSRATNVTYDHRALVIDGVRRVLVSGSIHYPRSTPDMWPGLIQKAKDGGLDVIETYVFWDIHEPVRGQYDFEGRKDLAAFVKAVADAGLYVHLRIGPYVCAEWNYGGFPLWLHFIPGIKFRTDNEPFKSEMQRFTTKVVDTMKGAGLYASQGGPIILSQIENEYGNVDKAYGAPGKAYMRWAAGMAVSLDTGVPWVMCQQADAPDPLINTCNGFYCDQFTPNSAAKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQRGGTFQNYYMYHGGTNLDRSTGGPFIATSYDYDAPIDEYGLVRRPKWGHLRDVHKAIKLCEPALIATDPSYTSLGQNAEAAVYKAGSVCAAFLANIDGQSDKTVTFNGKMYNLPAWSVSILPDCKNVVLNTAQINSQVTNSEMRYLESSTIASDGSFTTPELAVSGWSYAIEPVGITKDNALTKSGLMEQINTTADASDFLWYSTSFTVKGDEPYLNGSQSNLLVNSLGHVLQVYVNGKIAGSAQGSASSSLISWQKAVTLVPGMNKIDLLSATVGLTNYGAFFDLVGAGITGPVKLSGPSGALDLSSAQWTYQIGLRGEDLHLYDPSEASPEWVSSNAYPINQPLIWYKTKFTAPAGDDPVAIDFTGMGKGEAWVNGQSIGRYWPTNLAPQSGCVNSCNYRGSYSSSKCLKKCGQPSQTLYHVPRSFLQPGSNDLVLFEQFGGDPSKISFVTRQTGSVCAQVSEAHPAQIDSWISSQQKMQRSGPELRLECPKEGQVISSIKFASFGTPSGTCGSYSHGECSSTQALSVVQEACIGVSSCSVPVSSNYFGDPCTGVTKSLAVEAACS >KQK91970 pep chromosome:Setaria_italica_v2.0:IX:52983056:52986607:1 gene:SETIT_036386mg transcript:KQK91970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCEDSAKTQRCKIGRWQVWREFVWGGIAGAFGEGMMHPVDTLKTRLQSQAIMTGAKAQKNIFQMLRTVWASDGLRGFYRGISPGVTGSLATGATYFGVIESTKTWLENANPNLSGHWSHFIAGAIGDTLGSFVYVPCEVMKQRMQVQGTQKSWASAAAKGSISQAHGAQMYGYYNGMLHAGCSIWRDHGLKGLYAGYWSTLARDVPFAGLMVTFYEAMKELTEYGKTKYLPHSDLDVSNSFEGLVLGGLAGGCSAYLTTPLDVIKTRLQVQGPTSRYNGWLDAITKTWTSEGARGLFKGSVPRIIWYIPASAFTFMAVEFLRDRFNDKVDTDARELATLSIDARSEVEKAA >KQK87146 pep chromosome:Setaria_italica_v2.0:IX:5762523:5764795:-1 gene:SETIT_039244mg transcript:KQK87146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRRSGERGGGRAAKRSRRRHLYLVLDDWWWGYSIRKVNLSSSGSDSDDSTEPLQPQAFPPEHPVPPAIIAVLSMRSGFEYRPSAPSTSVPVFDLRRRGFMLGPRPEVDLVLPIFIPVGGGRIFALSADSFQMHPFSMNAVTVERLSVSSYAVHPDGRTIFVSTESGGTFTFDTAAEHLGWTEHGRWALPFAGRAHFDPTFVPRVPMTYRLGLLRSTRGLDPILKTQPRPSLDDWVGLFGDKNTKGHPVRLRGGLLRRPGWKVGKEKLFSEDPAEKHVGATLVSMGGRSQFCLVECVSRVAAPYVCRLTTFSLRFGEDGLPTVGDSRRVRCYKVPESINGFTLSEPVAFWM >KQK87675 pep chromosome:Setaria_italica_v2.0:IX:8629246:8629684:1 gene:SETIT_038372mg transcript:KQK87675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTKLPCLCVFSHMCGQMIRPRCPAVSLSCRSETPNSQQLHACTSTTTARGDDGATGIDPLAPAAPPIRGL >KQK90079 pep chromosome:Setaria_italica_v2.0:IX:39373063:39373275:-1 gene:SETIT_040476mg transcript:KQK90079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSRMGMALTLTPSMITYQTWQKDQHTLI >KQK86487 pep chromosome:Setaria_italica_v2.0:IX:2181168:2182371:1 gene:SETIT_039328mg transcript:KQK86487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKDLLAQQGISRALHEKKPAKVEDDKWEEMQVQACATIRLCLSDQIMYHVMDESPPKKEGSDLAEHMNIFNQLIVDLGKVDVNIDDEDMAIVLLCSYMYWGYERSLISLGILHEEGWLYQAAPDKKTLRVMHGSKMVMVGEKSNAHQYKLKGSVVEGGVMGGNATMAVFYPDVGKVATASSGCSK >KQK91771 pep chromosome:Setaria_italica_v2.0:IX:51700589:51701049:1 gene:SETIT_038411mg transcript:KQK91771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVVQFYTYHPHYTCCLRTGMLYRNNTKKSCSDRITHAGKLLIQDRRPFDNTYNTGPGQTMFTIT >KQK91836 pep chromosome:Setaria_italica_v2.0:IX:52162072:52168019:1 gene:SETIT_035780mg transcript:KQK91836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFFCLKGWGRAPRRPKFRPPNPRCQCRSPRLACHPASVTSPARSTTPAGPHPHAAGLTVTLPIIFPLLVHLRRDLALRPAGMRLHADVLDQMEGEGEEGAAMASSVLSPPLMGAAAAAATAPRLVVGYALTKKKVKSFLQPKLLQLARKNGITFVSIDESLPLSEQGPFDVILHKITSKEWQQVLEDYHEEHPEVTVLDPPNAIEHLNNRQSMLEEVADLNLSNLYGEVCTPRQLVITKDPSSIPTAVSMAGLTWPLVAKPLVVDGTSKGHELYLAYDEASLSMLHPPLVLQEFINHGGILFKVYIIGETVKVVRRFSLPDVNAYDLLNNVGVYRLPRVSCAAASADDAELDPLIAELPPRPLLEKLGRELRSRLGLRLFNVDMIRELGTKDRYYIIDINYFPGFGKMPGYEIMFTDFLLSLAQSKYKKYLSGT >KQK86302 pep chromosome:Setaria_italica_v2.0:IX:1193812:1199708:-1 gene:SETIT_036481mg transcript:KQK86302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGLTKLLAEHAPRAAVQRRVEDYRGRVISVDASLSIYQFLIVVGRKGSELLTNEAGEVTRQGILLAPPIVNHLQGMLNRTVRMLEAGIKPVFVFDGEPPEMKKKELAKRSLKRNDATKDLNRAMEIGDEDSIEKFSKRTVKVTKKHNDDCKKLLRLMGVPVVEAPGEAEAQCAALCETHQVYAVASEDMDSLTFGARRFLRHLTDLGYKKSPVTEFEVSKVLEELGLTMDQFIDLCILSGCDYCENIKGIGGQRALKLIRQHGCIEEVLQNLKQTRFSVPEDWPYQEVRTLFKEPNVCTGIPDFMWTSPDSKGLMDFLSTENSFSPDRVAKACGRKD >KQK86803 pep chromosome:Setaria_italica_v2.0:IX:3802867:3806213:1 gene:SETIT_037221mg transcript:KQK86803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAQAAGEGPRCVGCGGRVKTLFVQYSPGNIRLMKCDNCKAVADPYIECEFMIILIDLILHKTRAYRHVLFNNLSMGSSVDKGILYRSTLIHIALDAFRISFSKGNRADGASSRSIFSTIFNCIEVIGDALLGNIVFMVMLFLGVRFILKLSFDITSRFAVSKSPLFWGLLHGACSKILDREMDPRDARSLKSSRPSLNSKHCPIQLTDWYSNPPRFF >KQK86802 pep chromosome:Setaria_italica_v2.0:IX:3802867:3805479:1 gene:SETIT_037221mg transcript:KQK86802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAQAAGEGPRCVGCGGRVKTLFVQYSPGNIRLMKCDNCKAVADPYIECEFMIILIDLILHKTRAYRHVLFNNLSMGSSVDKGILYRSTLIHIALDAFRISFSKGNRADGASSRSIFSTIFNCIEVIGDALLGNIVFMVMLFLGVRFILKLSFDITRYREVLFAVIISSYFKLFLFTMMVWEFPSSVILIVEMFVLSSNVVALRGKHCTFSPQIIATLATTSLSYFEMLFSGGMHTHQLQSW >KQK86804 pep chromosome:Setaria_italica_v2.0:IX:3802867:3806213:1 gene:SETIT_037221mg transcript:KQK86804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAQAAGEGPRCVGCGGRVKTLFVQYSPGNIRLMKCDNCKAVADPYIECEFMIILIDLILHKTRAYRHVLFNNLSMGSSVDKGILYRSTLIHIALDAFRISFSKGNRADGASSRSIFSTIFNCIEVIGDALLGNIVFMVMLFLGVRFILKLSFDITRYREVLFAVIISSYFKLFLFTMMVWEFPSSVILIVEMFVLSSNVVALRVVSQFPKAHCFGACFMAHAAKFLTERWILGTPGA >KQK87968 pep chromosome:Setaria_italica_v2.0:IX:10515548:10521228:1 gene:SETIT_034228mg transcript:KQK87968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMVVGGGGKDRSSPGGGGAPQVDTGKYVRYTPEQVEALERVYSECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSRLVYENGYMRQQLHNPSVATTDTSCESVVTSGQHHQQQNAAAPRPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMVGMKPGPDSIGIIAVSHNCSGVAARACGLVSLEPTKVAEILKDRPSWYRDCRCVDILHVIPTGNGGTIELIYMQTYAPTTLAAPRDFWTLRYTSGLEDGSLVICERSLTQSTGGPSGPNTPNFVRAEVLPSGYLIRPCEGGGSMIHIVDHVDLDAWSVPEVLRPLYESPKILAQKTTIAALRHIRQIAHESSGEMPYGGGRQPAVLRTFSQRLSRGFNDAVNGFPDDGWSLMSSDGAEDVTIAINSSPNKLVGSHVNSSQLFSAIGGGILCAKASMLLQNVPPALLVRFLREHRSEWADPGVDAYSAAALRASPYAVPGLRASGFMGSQVILPLAHTLEHEEFLEVIRLEGHSLCHDEVVLSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFADDAPLLPSGFRVIPLDAKTDPPSGTRTLDLASTLEVGSGGTTRASSDASSTCNTRSVLTIAFQFSYENHLRESVAAMARQYVRTVVASVQRVAMAIAPSRLGGQLEMKQTPGSPEAHTLARWIGRSYRFHTGAELLRADTQCTDASLKALWQHSDSIMCCSLKAAPVFTFANQAGLDMLETTLIALQDISLEKILDDDGRKALCTEYPKIMQQGFAYLPGGVCVSSMGRPVSYEQAVAWKVLSDDDTPHCLAFMFVNWSFV >KQK92569 pep chromosome:Setaria_italica_v2.0:IX:56161406:56163742:1 gene:SETIT_039063mg transcript:KQK92569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRAANRLVVEEATTDENSVCNLHPATMEELSIFSGDIILLKGKRRRDTICMAVPDEECGENKIRINKVVRSNLRVRLADVVSVHQCHDARYGAAVHVLPLDDTVEGITGDLVQAYLKPYFDDAYRPVRKGDLFLVRGGMRSVDFKVVDIKPAAEYCIVANNTEIVCEGEPVKREDEERLDDVGYDDVGGMRKQLAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARVVANETGAFFFCINGPEIMSKMAGESESNLRKAFEEAEKNAPSIVFIDEIDSIAPNRDKTHGEVERRIVSQLLTLMDGLKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTRNMKLTENVDLEVVAKDTHGYVGADLAALCTEAALQCIREKMDVIDLDDETIDAEILNSMAITNDHLKTALAGTNPSALRETMVEVPNVSWADIGGLEGVKRELQETVQYPVEHPDKFEMFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTKWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGGSVGDAGGAGDRVLNQMLTEMDGMNAKKTVFVIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRHQIFKACLRKSPVAKDVDLGALAKFTAGFSGADITEICQRACKYAIREDIEKEIERERQARPEDMAVDGAEDEEPAQIKAVHFEESMRFARRSVSDADVRKYQAFAQTLQQSRGFGSEFRFSKQPQAAEAAATADADAEDDLYS >KQK90577 pep chromosome:Setaria_italica_v2.0:IX:43804691:43806076:1 gene:SETIT_039722mg transcript:KQK90577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVSVGTNGGGVPALPGDVHREIFFRLPARCLCRARAVCRSWRDLASEPSFLRAHAARTAASPFLLTWSDTVTKRDNTRDCTVHLRIHQDQDDRGRRTGDRPSAAAGDDDGDHGHRSRCCDLRLVLTARYPSISGAMRSWDGILCVEMWVRPQPPPFVAEHVPCSYLLLNPISRACTVASAPTLRVGEPGGRLDRGYIAGAYSHPVTGVFHLLHSSSSGSAVAGCGGEQQTTPRFRLQTVDSPDATWREVPMSGDAGTAMLQTVVGRLRLQSSVTVHGRLHWRVARSQTRPRHDKEELLVFHAADEEFGRMALPRLHEAGAVKQQAISTLAGKLCLLAGLASSSTAVEVWVVEDYDAQDWRLRHVIHANRPSPLHIVHVLDSALGNVGLLVGGVRGDEVEEILFYNCLQKAYNVRPGSSSTSVRQCQGLAVHEQSLLPHNVIFGTMPRVQGIAFLRGIWIA >KQK91513 pep chromosome:Setaria_italica_v2.0:IX:50334348:50338685:-1 gene:SETIT_036935mg transcript:KQK91513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIRGAEAVSVALLFSFAFICARFLLDRLVYKPLAVYLFNTKASKLMNDEARQAKIVKFSESIWKLTYYASVQAWVLMIMKQEPWSLDRMQYFDGWPNQPVARTLMLFYMCQCGFYIYSIGALVAWETRRKDFAVMMSHHVITSILIGVSFLTGFFRIGTIILALHDASDVFLETAKLCKYTEKELGASLFFGLFAISWLLLRLIYFPFWIIKSSSYHSIAFFMKLDEFPTALYYIFNTMLLTLLVFHVYWGKLICMMIMRQLNNKGQVTDDVRSDSEDDE >KQK91191 pep chromosome:Setaria_italica_v2.0:IX:48373224:48376128:-1 gene:SETIT_036751mg transcript:KQK91191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAKYNRSNPAVKRILQEVKEMQSNPSPDFMALPLEEDIFEWQFAILGPRDSEFEGGIYHGRIQLPSDYPFKPPSFMLLTPSGRFEIQKKICLSISNYHPEHWQPSWSVRTALVALIAFMPTNPGGALGSLDYKKEDRRALAIKSREAPPKFGSPERQKLIEEIHEQMLTKAPPVPQALPNGPNDESNQLPAADSFGEHADKADEGGSVSVSSDLTEPQSESGVAENTAEPPVAEVTNPHLPEASHRENIPRVPLAPQNPVVAIQKPKHDRLLTLAAFGLTLAIMALVIKKFLKINGLAGFIEGKF >KQK93011 pep chromosome:Setaria_italica_v2.0:IX:58305911:58313284:1 gene:SETIT_035723mg transcript:KQK93011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGDEVSAIVIDVGSYSCKAGYAGDDTPKAVFPSVVGSIEQTGDTDDSKPEKEADSASDSKNGAKPMDVDKAKTKRKLYVGQELEFRRDHMEVISPMKDGTVTDWDIVDNIWNHAFRRRLLINPEEHPMLIAEPSTNNAQQREKAAELMFENYKVPALFLAKNAVLTSFASGRATSLVVDSGGGSTVVSAVHDGFVLQKSVSTSPVGGEFLTDCMMKALESKGVVIRPRYSFKKKEVGPGEYKIVDLDLPNTTESYRLYCMRAIASDIKESVCRVPDTAFDEVAYANVPTTSYELPDGQTIEVGADRFKVPDILFNPSLSQTIPGVDGFADLMSVRGLPRMVIDSVNRCDVDIRKELFSNILLSGGSSSILQLKERLEKEVLEESPQAARVKVMASGNSVERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGVSYIQRKCP >KQK89481 pep chromosome:Setaria_italica_v2.0:IX:31780790:31783173:-1 gene:SETIT_037193mg transcript:KQK89481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPSISLKLILGLIWGIIHLAIRLFNLWSLLIYNLECYIISSGLLRKYRYLHLDRLKYLAIVVDSKEAKNTVKIRQLLCWLSTMGVKYICLYDIEGVMKKSFEPAMEGSRDGKGISASISHSSHKDLAIECLSGSDGKEGIAKAANLLCSTYLNGDTHGDGKTEPTFTEADMASALKAVGCGGPEPDLLLMYGPARCHFGFPAWRLRYTEIMHMGPLKSMKYGAIMKALYNFSKKYQNYGK >KQK89482 pep chromosome:Setaria_italica_v2.0:IX:31780790:31784042:-1 gene:SETIT_037193mg transcript:KQK89482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPSISLKLILGLIWGIIHLAIRLFNLWSLLIYNLECYIISSGLLRKYRYLHLDRLKYLAIVVDSKEAKNTVKIRQLLCWLSTMGVKYICLYDIEGVMKKSFEPAMEGSRDGKVREYLGISASISHSSHKDLAIECLSGSDGKEGIAKAANLLCSTYLNGDTHGDGKTEPTFTEADMASALKAVGCGGPEPDLLLMYGPARCHFGFPAWRLRYTEIMHMGPLKSMKYGAIMKALYNFSKKYQNYGK >KQK88990 pep chromosome:Setaria_italica_v2.0:IX:19249399:19251884:-1 gene:SETIT_038590mg transcript:KQK88990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLASDSNWKHYKDVVHEANVACLEVIVEIVRMPGPNVVLREEVVVVNRNGTQESEMLHHMLGETECAFDLAIANDDFPNNIFERDEANIDDDNVFMGSKNSEFKEDGVVERDVELPSVPNDKDISMVHKAICESSMVNSEGMPFGESPVIKKGMKFNSLEKLKVFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRESYSMIPRVLTAIAYYNPKIKWFIDSCGMMHPDNGVFKHILQRVFWCFPQCSEAFQHYRHVILVDGTFLTGKYKGTLMMAVGVDPEQQLVPLAFALAESENNENWSWFMKLVRRHVFGPSRLVCMISDRHHGLLNCAKDHMDGFPPLVHRWCTRHFAANMSHRQKSDRVIGKLKTLCKVHTEREFSEKLEDLVKDLNDDAKEWLKGMRWGIMTTNYSESLNAVFKGIQSRPVSGIIEYSFEKCNAYFVDRWQKARAMLDEGHRIGKVADEYLSQAELRSVHHLAEPYGPKRMVYSIRSCGSTNVGGESHGFQHYRVDLNEVLCTCNVPQLLHLPCSHFITACKARGLNYESPLYMSLLYSREHWESSFQPYLDSSQWPAYEGVGISPVVRRVSLGLLERSTLCFIAIHQDSIRDGITSTTLHFYIHIKGTSYCKT >KQK86942 pep chromosome:Setaria_italica_v2.0:IX:4600837:4601337:-1 gene:SETIT_038170mg transcript:KQK86942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLIDIWTLERERMARTRGAQAFSWSVAGGARKGRGTARRPQSCGSSSAATICDGVGVPAADGALVVMNAAEKQAAAASGGGAPAFVQEDAFLSILVDCFGQ >KQK91077 pep chromosome:Setaria_italica_v2.0:IX:47643948:47648195:1 gene:SETIT_034271mg transcript:KQK91077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLKKISSALGCWEKIGGAVLDTVYSTGLRLWNVEEEAEKLRRTEKRIRAVLTDAEQRRFIDDDFVKLWLQELRATAFDVDALLDRLTTMAAVSRMAAAETSRKRKRLWLNIDLGLRQRWELDAWIARINERLDEIEKGKRNLRLRPGDGRRTTPPLQQRQRFPEAAAHGDERHVGRADQKKKIIRALVSESAGLDLPVISIWGTAGIGKTALARLVYSDPEVEKFFTDSNRFWVWLPDRCDVRVATKTIIEAVTRQKCELLSLDILQQRLREHLRKRRFLLVIDNLWAEGFQFWESLRPSLIAGEEGSKLLITTRHERVSRMMSNILNSIQLEGLKDDECWEIVKAYAFSGWSSNDHHDLELIGQRVGANCRGSPLAAKSLGVLLSDTNGQREQWESILSDMQILEGNRNTDIILASLQISYQHLPYHLKQCFALCSMYPPSLEFDKDELVRLWMADGLIKSNGRKRVEMEAGRWFDELLWRSLFETSRSLSNHQKFRVPNLMLDLALRVSKYESLTLSPDDSQVPEHPELVRYATVLCPNDEPLAFDRIYHYQKLRLLKLYPTMKLPSNQVPSALFSNLTCLRALDLSYTELEVLPDSVGCSIHLRYLNLRNTLIKTLPETVCNLFNLQTLDLRYCYWLMDLPEGMSRLVNMRHLCLHLDWDRVTAFRSMPSGIDKLQSLQTLSRFVVVSRDGGKCNINELKNLKIRGELCILNLEATTDDGSKEANLIVKEYLHKLMLKWSDGTCKDEQQLDIEKSERVIEALCPHTNLKHLRIHNYPGRRLPSWVEQLSFLESLEIVSCPRHAQFSMETLQSRRNLRISVM >KQK92151 pep chromosome:Setaria_italica_v2.0:IX:54033933:54034538:-1 gene:SETIT_039118mg transcript:KQK92151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMLLFAAAAAAQGCAPAKFLAGRSSFQRCISLPVLGASLFWTYHAANGTADLAFRAPQGAGGWVAWGINTDRPGSMVGSSVFLASPGASGGAASVLTTVLESTSPSLANGTLRFDVPVAPAAEYAGGAYTIYVTVALPGNNTVQNTVWQAGPLSGGRIAAHPMSGANLQSTMKLDFLSGGTSTGATNSMVHRRNLRNSRG >KQK88572 pep chromosome:Setaria_italica_v2.0:IX:15152642:15155937:-1 gene:SETIT_040794mg transcript:KQK88572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSFRAFEIDVVEGAGEVFLPPEAKESTDEVVPLDFEGAFGAFIGKMWRESRELVNLDTTALVAMVSGISNGGVGKLMAAPEAVTRARFKCNYKFVMDQAQSELQFPILVELGKVVEGKQCIICETVNSEFKEIVSMCGGAEEKIRARYLLKQLTIVPDSPSARMMDLPTTRKRAMKNKVVFGTGDHWRAPTFTANMGFVRAVSQSGMPLLTIEHRPRALIGL >KQK92375 pep chromosome:Setaria_italica_v2.0:IX:55229942:55232697:1 gene:SETIT_035824mg transcript:KQK92375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPISDPSKVTSKTSLSSLPSTIKSNSTRSTLTLPSMRDRSELPTPRTEGEILSSSNLKAFTFNDLKTATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSRPGSGLVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFHAKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWARPHLGDKRRLYRIMDTKLGGQYPKKGANAIASIALQCICGDAKMRPRMSQVLEELEQLQDAKYGSASPLVDIRKASHAVPRSPRSPMRVQPSPRRSLGAAAAASPLPGYRTAQVH >KQK92376 pep chromosome:Setaria_italica_v2.0:IX:55229154:55233210:1 gene:SETIT_035824mg transcript:KQK92376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMDTTARVDHSMNNATYPSKVTSKTSLSSLPSTIKSNSTRSTLTLPSMRDRSELPTPRTEGEILSSSNLKAFTFNDLKTATKNFRPDSLLGEGGFGHVYKGWIDEHTLAPSRPGSGLVVAVKKLKPEGFQGHKEWLTEVDYLGQLHHKNLVKLIGYCSDGDNRLLVYEFMPKGSLENHLFRRGADPLSWAIRLKVAIGAARGLSFLHDAENQVIYRDFKASNILLDSEFHAKLSDFGLAKAGPTGDKTHVSTQVMGTHGYAAPEYIATGRLSAKADVYSFGVVLLELLTGRRALDKSKPGIEQNLVDWARPHLGDKRRLYRIMDTKLGGQYPKKGANAIASIALQCICGDAKMRPRMSQVLEELEQLQDAKYGSASPLVDIRKASHAVPRSPRSPMRVQPSPRRSLGAAAAASPLPGYRTAQVH >KQK92166 pep chromosome:Setaria_italica_v2.0:IX:54102836:54105209:-1 gene:SETIT_039975mg transcript:KQK92166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNKQGDAASSKPTADLEARLHAMRLGDPSSPQLQPYHRASTSSLLLNPQQVLAPTAAPVERPPPHMPQSPPKEPAPTATPDMRLLTHRLQSQPEARSYGAVELSPGDRIRRKTCAWLFGFGVNSPSFNAPAAPTPSVPQKRSELSVSAEEYRPISLPHRSVEQSSSTPIFSASQGPTSASGPQAGATDPFSAPGGNASLNMHASALLSADQVDPRSWSRPPAIPLPLTLEEDRALCRVSQLVPGDVGSADLSACIVRLLKEGCDMVRASVFDGVIGALHFVMGNREWSVVFIELLLARRFDELQAIVHVACEGEGFLKSIANKGYGVRSLKKLFEAVRLHAGLRQHLINCLLNEGLDLFQHRNGPDLLGSIFAMFAWEDSSIVIRHALNNSTGVLWSKFGPKSMVRCFTAARNPELQDLEKIILSHTVELAKGKFSTYFLQKVLKHGGDLLKESITERVIEHLVSLSLDKYGSHVVRACFSQMGSRRLTLLQRVFTEFRGLSDGQLARLVQNWFASLVVSDLLKAGKCLPAVLIRVECDVQESPEETVALARRIQKLPAAGLEQVDQTAPVRIVMEAVREVLS >KQK88103 pep chromosome:Setaria_italica_v2.0:IX:11512607:11514760:1 gene:SETIT_035038mg transcript:KQK88103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTLNAYNVLAARCAAEYLEMFETIDKGNLIYKIDVFLTSSVFRTWKDSIIVLQSTKSLLPWCENLKVINHCIDSIASKASIDPSEVEWSYTYNRRKLPSENGIDSHWNGVRKQPTVPNDWWVEDLCELEVDLYKRVIMAIKAKGRTPAVVIGEALRAYAYRRLLGSLEDAVSNGVDCTKRRAALDAIVFLLPTEEGSVSCGFLLKLLRAACLLESGESHRSDLIKRIGTQLDGASVSDLLIPVNTDENSVYNIDLIMAIVEEFMSQNSDNGKAKFQDDEEIVEVENVSVTTVPSASKLAVAKLIDGYLAEIAKDPNLPLPKLMALAEMASSLPRPTHDGLYRAIDMYLKEHPSLSKSEKKKLCGLMDCKQLSQDACMHAVQNERLPLRVVVQVLFFEQVRASVASARSDPSVELPSVVRSLLPRENGNSIGSSRSAATTTTEEECGVPTSSDINSLRSMRLANNSGGSERSSGSSDMNKNGDDKSASGKAKGMLMPKKILSKLWSGKTNAGENSSSDTSESPGSVNPEEVKSTQSRITRHSVS >KQK92969 pep chromosome:Setaria_italica_v2.0:IX:58111801:58114810:1 gene:SETIT_037135mg transcript:KQK92969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFCGNFDYDTRQYDLEGLFSKDGPIRRIDMKSGYAFIYFEDERDAEDAIRRLDNVSFGHSRRRLSVEWSRQVEPVSRSRDRPTGDVKPTRTLFVINFDPIRTKIQDIEKHFEPYGKIANIRVRRNFAFVRYETQEEATAAVKNTDKSTILDRVITVEYAFRDDDNERDDRYGSPKQGAHDRRRGNPYMRSPSPRYRKDYSPDYNRRGRYPGYDRRDGAMYDRRSPAYDRYSRGRSPVYDRYDRRRSPVYDQY >KQK86720 pep chromosome:Setaria_italica_v2.0:IX:3438918:3443212:1 gene:SETIT_034663mg transcript:KQK86720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAPAAGHAADLLQKLSLDPKSEGGKGSETNEKASGGLNGVSKGAVVSPNPPVLSAEPWAQQDYMDAAMYYGGYPGAYYCGGWGDYSVYVSQDGADALSSGVYGDMYCYPQYGIADGQIYGSQHYQYPSTYHQPKTTASKPAYKAKTGKSTPSSQEDVSTATAADQQPVLLDSSKITPKSIDGVKGPKKETLPLKPNERLGNYQNQGSKTAYSWSGSSTSSEKHPKLSGGSPTSTASNRNNKGQNSPMGPPSSGFMSSIYSGSGMYNTNAYTPSFWYGSHVYGPGLYGGWNALSNGKYRPRGKTYGSYGFGNENLDGLNELKRGPRGGPFKTQQGPGAAADAKGQELPTSDGSNAVKQDQYNRADFVETYSDAKFFIIKSYSEDDVHKSIKYNVWASTPSGNKKLDAAYVEAKEKSSSSPVFLLFSVNTSGQFVGLAEMVGRVDFNKTVEYWQQDKWTGCFPVKWHIVKDIPNGLLKHIILEYNENKPVTNSRDTQEVKLDQGLQVLKIFKDHVCKTSILDDFGFYDNREKIMQEKKSRRQYPVEKVVNRKLLATNNTENEATDGKQSLQKSEAVGEQNVVKNGAVAVAANGVPPRDETPVVENGAMAVAANGVAPKDANPTTEVVAVANGC >KQK91285 pep chromosome:Setaria_italica_v2.0:IX:49013345:49016766:-1 gene:SETIT_036537mg transcript:KQK91285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADYRTPDRLLPAAAEEPAAPPGPPPKPVLSAPAVPAATHDGLRFWQYMLAGSVAGVVEHTAMFPVDTLKTHMQAGAPPCRPALSLGAALRNAVAGEGGALALYRGLPAMALGAGPAHAVYFSVYEFAKSALTDRLGPNNPAAHAASGVLATIASDAVFTPMDTVKQRLQLTSSPYTGVGHCIRTVLRDEGPGAFFVSYRTTVIMNAPYTAVHFATYEAAKRMLGDMAADEESLAVHATAGAAAGALAAAVTTPFDVVKTQLQCQGVCGCERFSSSSIGDVFRTIIKRDGYSGIMRGWKPRMLFHAPAAAICWSTYEASKSFFERLNEERRK >KQK91088 pep chromosome:Setaria_italica_v2.0:IX:47717869:47719924:-1 gene:SETIT_039166mg transcript:KQK91088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLLLPDAVAVAVAAADVTPRTQPQPRRVSVSMARAPRRESDPKKRVVITGMGVVSVFGNDAGAFYDRLLAGESGAGHIDRFDPTGFTTRFAAQIRGFSSEGHIDSKSDRRLDDCQRYALVAARKALESAGLALGSRAMGKIDLERAGVVVGSGIGGVKEFSAGVESLVTKGPSKISPFSVPLAIPNMASALVAIDAGIGFLGPNYSVSTACATGNHCIHSAADQIRLGRADVMLAGGVEAAIAPIALGGFAALGALSRRNADPATASRPWDRDRDGFVMGEGAGVLVMESLEHAMRRGAPVLAEYLGGAATCDAHHVTNQRPDGRAVSLCIKRSLEDAGVSPEEVNYINAHATSSRAGDLAEVKALKQVFKDTSQIKMNATKSMIGHCLGAAGGLEAIATIKAITTGWVHPTINQFNPDPAVDQFDTVRDVKQWHEVNVGISNSFGFGGHNSVVAFAPPFKL >KQK86406 pep chromosome:Setaria_italica_v2.0:IX:1687040:1689769:1 gene:SETIT_034465mg transcript:KQK86406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRWDPTSTERCKQSKRRLVSFHIMEAAILAVLVAVLLLLARGGSAAAGAGAATEFVFTAGFARQNVTTSGAAVVTASGLLQLTNETNEVFGHGFYSAPLRFKDASTGAPLSFSTTFVFAIVPRYPDAHGHGIAFALAPSPAVAGAVAGKNLGLFNTSDNTGQGRSGIVAVELDTARDDEFRDIDKNHVGIDVNSLESVNSTPAAYVDVGTGRLVNFSLVSGNLQQVWVEYDGASMRMEVTVSPAGMPRPAVPLVSYTVNLSSAVADDTYVGFSGANGAASSSHYVLGWSFRLGGGRAPDLDLSKLPPLPPSRSKKMMPLLLILTLFLVAVLVLLLVSGAVVVLVRRRRRIAEEEEDWEIEYGPHRIRYKDLHAATRGFRDIIGAGGFGTVYHGVLRRSGAEVAVKKVAHDSRQGLREFVSEIASMSRLRHRNLVQLLGYCRRRGELMLVYDYMVNGSLDKHLFDAGKPAALSWERRAKIVRDVAAGLLYLHEGWEQVVVHRDIKSANVLLDADMNGRLSDFGLARLYDHGSNPQTTHVIGTLGYLAPEMSKTGKATTSTDVFAFGAFLLEVACGRRPMERNDDLDSPGLVDLVLEHWKAGRIMDARDPKIGEGDEADVELVLKLGLLCSHPDPRCRPSMRQVVQILEGAAPVPETPPKDLENNNRLFYGYIESFDEFATVFPTSGTTTVTTQPSSSHSNDEQQEVISG >KQK92964 pep chromosome:Setaria_italica_v2.0:IX:58085579:58087892:1 gene:SETIT_036382mg transcript:KQK92964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAARYLLGSPGATGFGSKSTAEDVTAACPDLGAVTAIITGATSGIGAETARVLAKRGARVVIPARSVKAAEDMRARIRAECPAADVLVLPLDLSSLASVHAFADRFLALGLPLHLLINNAGKFSHGQLALSEDGVEMTFATNYLGHFLLTKLLLGRMAETAAATGVQGRIVNVSSSVHGWFAGDWAEYLHLVTRRKIPYDATQAYAVSKLANVLHTRELAARLQEAGANVTVNCVHPGIVRTRLNRDREGVLTDLVFLLLSKLLKTIPQAAATTCYVAAHPRMAGVSGRYFADCNEALPSPAATNRQEAARLWQISEAIIDAHHHDRSAALLLLPVPAHTGTAASPPPRRT >KQK88017 pep chromosome:Setaria_italica_v2.0:IX:10924048:10925314:-1 gene:SETIT_037452mg transcript:KQK88017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVGDLMATELRLGLPGTADDSQPQMMKAAVPSAPSTPRGKKRTTTDAVEDAAAAEEASKHDAEAAPPAAKAPVVGWPPVRSYRKSCFKASSKQISKATKEEAAPASSNAAAPSAAASTTTGSFVKVSMDGAPYLRKVDLRMYKGYRELREALEAMFVSSNSGGANLSEFAVTYEDKDGDLMLVGDVPFEMFTSTCKKLRIMKRSEATGLGSARQ >KQK92422 pep chromosome:Setaria_italica_v2.0:IX:55385589:55388347:1 gene:SETIT_035286mg transcript:KQK92422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVGEPPFPAVDKCDASRLGTESTVAADLDGTLLRSRSAFPYYALVAYETGGAPRLALLLLLAPLAAALSRLASSPAAGVRVLVFAATAGARVADVESAARAVLPRFYAADVHPAAWRVFAACGGGRRLVLTATPRIMAEPFLRGCLGADAVAGTELATWRGRATGWVDARRGVLVGERKAQALREMVGHGEMPDVGLGDGKSDYAFMRICKEAYLVPRTPVEAVRADELPKRIVFHDGRLVQRPTPLVALLTLLWLPVGLLLSLVRVAAGALLPMRWLHVAFHALGVRVVVRGSPPPPPRHGGGATGGVLFACCHRTLLDAIFLSVALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRAADAATIRTVLSEGDLAVCPEGTTCREPFLLRFSALFAELTDDIVPVALECRMSMFHGTTARGWKGMDPFYFFMNPRPVYTVTFLDKLPADLTCGGGKSSHEVANYVQKVIASTLSYQCTGFTRKDKYRELADNDGVVHASNNLGW >KQK92412 pep chromosome:Setaria_italica_v2.0:IX:55347152:55349945:-1 gene:SETIT_034786mg transcript:KQK92412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSCVNLSRAVVLHGLAAGGARRRGHRYGGGGALLLPPSLLSKRAAVACCSSPGTAGSRPSSSSFPVRGLGGDSSEAGSTATSPAGHAGGIGIAEFLGAKNFLITGGTGFLAKVLIEKILRTNPDVGKIYVLIKAKDSEAALRRLQNEVVDTELFKCLQEIHGNDYSSFIARKLVPVVGDVREANIGIAPELADEIADQVDIIVNSAANTTFDERYDVAMDINTVGPFRIMSFAQRFRRLKLFLQVSTAYVNGQRQGLVLEKPFRMGDTIAKELGSSEHSSTVLDIEAEIKLAFDYSRRRSVDSASFTQEMKDLGLERANLHGWQDTYVFTKAMGEMVINSMRGEVPVVTIRPSVIESTWRDPFPGWMEGNRMMDPVVLYYGKGQLSGFLADPEGVLDVVPADMVVNATLASMAKHGGTSSSSPPAAAGPGGMHVYHVSSSTVNPLVFGELSRFLFQHFTRCPYSDAAGRPIPVPPMRLFDSMDQFAAYVETDALLRSEQQRRRLSQRARELCARSVEQAVHLGSIYQPYTFYGGRFDNGNTEALLAAMSVAEKARFHFDVRSVDWADYITNVHIPGLRKHVMKGRGVAAANQLLASTSV >KQK89623 pep chromosome:Setaria_italica_v2.0:IX:33929488:33931001:-1 gene:SETIT_040193mg transcript:KQK89623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRPHALVIPYPAQGHVIPLLELAHALVARGFTVTFANSEFNHHRVVAAMADTTTKDGSTGLLDRIRLVAVPDGMDPGEDRSNLVRLTVVMAEHMAPRVEDLIRRSHGETTEDDDTGDGPITCMVADYNLGTWALDVARRTRIKSAAIWPASAAVLASILSIHRLIHENIIDSNDGSALTQATFQLSPDMPVMHTAHLAWNCIGSHEGQEALFRYLIGGVRAVEKCDFILCNSFHGAEHATFARFPKVLPIGPLLTGERRGKAVGHFWRPEDDACISWLDAQPARSVVYVAFGSFTMFDSRQFQELALGLELCGRPFLWVVRPDIVHGDVHDYPDGFLGRVVGAGGRGMVVAWSPQQRVLAHPAVACFVSHCGWNSTMEGVRNGVPFLAWPYFADQFVNKVYICDVWKVGLEAEANESGVITKEHIAGRVEELMSDGGIRERVEAMKKVSHESVKEGGSSHDNFDMFVEAMKT >KQK87357 pep chromosome:Setaria_italica_v2.0:IX:6869657:6872552:-1 gene:SETIT_036849mg transcript:KQK87357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRKHASHFNSSDTEQREAKINELKSALGPLSARCEKYCSEACLTRYLEARNWNVAKSKKMLEESLKWRAAYRPEDIRWPDVSVEAETGKMYRANFRDKEGRTVVIMRPTKENTTSHDGQIRFLVYVLENAILNLHEGQEKMVWLIDFTGWTMAHATPIKTARECTSILQNYYPERLATAFLFNPPKVFETFYKAVKYFLDPRSIEKLNFVYLKDEESMKVLYSCIDPEVLPVEFGGRKNVVYNHEDYSKLMLEDDIKTSSFWADDAKPVNHVARGTLVADVTPQSSVIAAKAS >KQK87358 pep chromosome:Setaria_italica_v2.0:IX:6869865:6871458:-1 gene:SETIT_036849mg transcript:KQK87358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRKHASHFNSSDTEQREAKINELKSALGPLSARCEKYCSEACLTRYLEARNWNVAKSKKMLEESLKWRAAYRPEDIRWPDVSVEAETGKMYRANFRDKEGRTVVIMRPTKENTTSHDGQIRFLVYVLENAILNLHEGQEKMVWLIDFTGWTMAHATPIKTARECTSILQNYYPERLATAFLFNPPKVFETFYKAVKYFLDPRSIEKLNFVYLKDEESMKVLYSCIDPEVLPVEFGGRKNVVYNHEDYSKLMLEDDIKTSSFWADDAKPVNHVARGTLVADVTPQSSVIAAKAS >KQK87356 pep chromosome:Setaria_italica_v2.0:IX:6869657:6871894:-1 gene:SETIT_036849mg transcript:KQK87356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRKHASHFNSSDTEQREAKINELKSALGPLSARCEKYCSEACLTRYLEARNWNVAKSKKMLEESLKWRAAYRPEDIRWPDVSVEAETGKMYRANFRDKEGRTVVIMRPTKENTTSHDGQIRFLVYVLENAILNLHEGQEKMVWLIDFTGWTMAHATPIKTARECTSILQNYYPERLATAFLFNPPKVFETFYKAVKYFLDPRSIEKLNFVYLKDEESMKVLYSCIDPEVLPVEFGGRKNVVYNHEDYSKLMLEDDIKTSSFWADDAKPVNHVARGTLVADVTPQSSVIAAKAS >KQK88262 pep chromosome:Setaria_italica_v2.0:IX:12692517:12692903:-1 gene:SETIT_038376mg transcript:KQK88262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQHRRSISEISQVSYCNIEKSSADPETLSIKEPRIHHKEAPYQRGWLLTVEWPAPHRGIRCWGSQTYRGI >KQK86980 pep chromosome:Setaria_italica_v2.0:IX:4861153:4864871:-1 gene:SETIT_036991mg transcript:KQK86980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRLRLPVVLLSCSLFFLAGFFGSLLFTQDPEDADMPVRRERLLEAALPEMPFGESGEAAPSLIPYQILSWQPRALYFPQFATSEQCENIVKTAKERLAPSTLALRRGETTESTKGIRTSSGTFLSANEDPTGTLAEVEKKIARATMIPRNHGEPFNVLRYEIGQRYASHYDAFDPAQYGPQKNQRVASFLLYLTDVEEGGETMFPYENGENMNIGYNYEDCIGLKVKPRKGDGLLFYSLMVNGTIDPTSLHGSCPVIKGEKWVATKWIRSNTV >KQK89166 pep chromosome:Setaria_italica_v2.0:IX:21483410:21485859:-1 gene:SETIT_038705mg transcript:KQK89166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QDSTCSMQWTIQMKDKQIAVISEKLNSHLVLFSSVQKEVAAVKQVLGDVHFLVGEKENVVADLKDKVQMISVLEKDVVDKLNFLESKISAYQLELRSRARIIYELKDRLEAEKLNNTLLVKDDIIERLTSEKQAMHVELHNMEIALQRFQDLFSSIGHGRMKNFLAISGSQDVNNGQPESIPGTQGGLANEHNSVTAIDEAATTQNVDHQLEISPGSMQVQSPTCLKFGALSSPEPVAAHTEKADCLPELKEDIVMGDLSPTHPTDSVNPEPDSENQQLL >KQK90382 pep chromosome:Setaria_italica_v2.0:IX:42276365:42279581:1 gene:SETIT_034142mg transcript:KQK90382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVLIWSITSTIMLVASGARPPSAASLAHCPKTCGNVSIWYPFGIGPGCFRQGFEVTCNRTTKPWKLFLGNTTTQVTGLYPSGTVLASFVYNIPMAPGVSTYNLSWQSPGRNLNIESYNYFAFLGCGIEVYLFHPATGDLVGHCTSKCSSMAAMLIATGGGSCNGMGCCTVTFPVPFRGFRVTIIKNNDKVPQPFSHITVKAFLSFRPYKFSIMDLLSDTINASIVGSSWAYLSTVIADEPNCKRAQLDNKAQYACSSSNCMDVQNGGYSCACSRNFDGGNPYLLDDCKQEYNPTPKANCSRSCGSTYIPFPFGLEPGCFAKRRFQLYCTSNRTLIAKPPAKYEVTNISLDEGLMYVNKLSESEDANTNYLSIYYGGSDYFGQQLIYGLEKSGLSEEYGAWSCINSECLGVTHGTIYIGYRCKCSPGFEGNPYIQNGCTDIDECLIPNYCNGICYNFLGSYSCCPHGVSFDPVRRQCTSSKRQNILLGTAVGISSGFGVLLFTLAAIVLVKRWKRGSQKKIRRAYFRKNKGLLLEQLISSSESVTHNTRIFSLEELEKATSNFDTTRIIGHGGHGTVYKGILSDQRVVAIKRSKIVEQSEIDQFVNEVAILSQIIHRNVVKLFGCCLESEVPLLVYEFISNGTLHDLLHGNLSAKCWLTWEDRIRIALEAAGALAYLHSSAAMPIFHRDVKSTNILLDDAFTTKVSDFGASRSISIDQSRVVTAVQGTFGYLDPEYYYTGLLTEKSDVYSFGVILVELLTRKKPIFLNCLGEKQNLHHYFLQALRDKTTMDVVDSQVVLEASQGEIDEIALVAEMCLRTKGETRPKMKEVELRLQLLKANISKTCKKGSKRGRETKQSLSSEYRSTSLTMTKGAEIGLVANPSSQAVSRCYTMEQEMIHSAEFPR >KQK86438 pep chromosome:Setaria_italica_v2.0:IX:1878479:1879323:-1 gene:SETIT_037672mg transcript:KQK86438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHPRDQQEEVSLALSLSTTDSSTTTSDSATAGSPASAAASNKRARRRGPVVATSGEGEFVCKTCGRAFTSFQALGGHRTSHLRGRHGLELGVGAKALKQHKAADAAGKGAGDKQEQRPQHECHICGLGFEMGQALGGHMRRHREEMGAAEAADAWVWRSVERLPEELELRRAAAAEPPVLLELFA >KQK89049 pep chromosome:Setaria_italica_v2.0:IX:19965709:19966512:1 gene:SETIT_038295mg transcript:KQK89049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSTSCKIMKKVLLPGSFMISILVPSYWIQLYGKVAPFGAGIFLFIQLISVMRLIKRLNYRWCQINFKAWWPLASRAAAAV >KQK89159 pep chromosome:Setaria_italica_v2.0:IX:21434348:21435995:1 gene:SETIT_035726mg transcript:KQK89159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTNFPKTYQLQNDKLLLPKKEFVTYTLYALIAVALLYLFVDPAAPASSTKPSVAAPWIQEELPPPSPPPSYQGGERSRSSPQPQAVSSAATPCDYSDGEWVPDPRPPPYNGTACEAIKDGRSCMANGRADTGYLHWRWQPRRCDLPDFSPEAFLRWLRNRHMAFVGDSLARNQAESLMCLLSSWSPAELVHREEDGRFRRWVFREHNATVSIFWSPFLVKGAEKSEHAGVRYNELYLDEFDERWMSELGAIDAAVVSAGQWFRIPSIYHDGGRVVGCYGCAAELNHTETSFFAVFRDVVRRTLAEVARRHEHGGKLVALTTFSPSHFEGEWNKGAPCTKTRPYKKGEKGLGYTETEMRKIVVEEAANAAGAASSSSSTVRFAAVDVTTLANMRPDGHPGPYMRKNPFAAADGRPVQNDCLHWCMPGPVDTFNQILLQTILR >KQK86888 pep chromosome:Setaria_italica_v2.0:IX:4317267:4318908:1 gene:SETIT_039725mg transcript:KQK86888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDLDSLKRRDIVEIIYNRTEVVDGTIVTHISNEQRIVVTVKRIEEILGLPRGIEGEKVILPKSAHKQVLDLFLQYPDTLNKDVPSKPNFKNSLIGAKNLINLMKKVPDSKDKVRCFLMVLLNRVLIPASGFHLNERQAALAWDLECVAKTDWCKVVFDDLSDCIVNKHSDTNFFGCSLVLLIAVFEAVVPSHCPKTKPSIIGYNKKTLKTLLDLIKGMKELLDQNGTKSLDKELNEENVNNAKQIFVPVNAERVHWILVVMDFDKHKVQILDSFASETYLVPAYKV >KQK92555 pep chromosome:Setaria_italica_v2.0:IX:56092882:56093792:-1 gene:SETIT_040471mg transcript:KQK92555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFTFSLFVCWQLDVYVWQVGSINSVTSLTWDRGQFFMHSALQLMAGFRRVIDAGRQKAFGRVCCWLESQWHVCS >KQK91070 pep chromosome:Setaria_italica_v2.0:IX:47609412:47612115:-1 gene:SETIT_036390mg transcript:KQK91070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHQQQLQSHSHFLSSRQTSPPERHLLLQGGSIPAEPGLVLSTDAKPRLKWTPELHERFVDAVNQLGGPDKATPKTIMRLMGVPGLTLYHLKSHLQKYRLSKNVHAQANGGNAKNMVGCTMAMEKPPEGNSSPASHINLGTQTNKSVHIGEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLAKQNAGSVGLETAKMQLSELVSKVSTECLQHAFTGFEEMEGSQMAQGHTMQLGDGSVDSCLTACDGSQKDQDILSISLSAHRGKEIAGMAFDIQAKERGSEDLFLDKLSRTPPSHQERRERDSFIMAAKLDLNINDTNDGPQNCKKFDLNGFNWT >KQK91373 pep chromosome:Setaria_italica_v2.0:IX:49479906:49480324:-1 gene:SETIT_040298mg transcript:KQK91373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPAFLVHSDVIRSLDPVGLHVLRFELNAMLASVSFKCRRASCGLIVVVCARAFGFDIKKCIKASLST >KQK92698 pep chromosome:Setaria_italica_v2.0:IX:56833040:56835909:1 gene:SETIT_034646mg transcript:KQK92698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPLPPDPIEPCPPPMDAALPAAVVATILSRLDVRSLLLAAAACRGLRTCASHALAFLPSFHLLEVALTHDLLRPLLPPNPSLRSLRLDCARLEDDAIGCLARSSLHELLLLNCDNISGRLLCELGATCRDLRVLSLNSLGERRGLVVNFSDLQELLNGCSQLESLRLELDFSTFDDPNFGHVWASASQRLSSLEIGYIPMIMLLEFLTAVVEARQHMDYVKAPVFFPSLQKLCLTVDFITDHLIGSISVALPSLTHLDLQDAPIIEPTSASDLTNAGLQQINPHGKLKHISLMRSQEFLVTSFRRVNDLGILLMADKCSNLESVCLGGFSRVTDTGFRAIIHSCSGLHKLRVSHGSHLTDLVFHDIIATSLCLTHVSLRWCKLLTDVGIERLSFNKDLNVLDLRDCRSLGDEAVRALSCLPKLQTLSLDGTDISDEALKYLGLGTCPLTSLSLRGCRKLTNDCIPLLFAGSVKQSLQVLDISRIPSVTDDGIMLLARSRTPLIELRMRENSKIGDAAVMALASMQVDGGTHGSSLQLLDLYDCGGITPLATRWFKKPYFPRLRWLGITGSLNRVMVDALARSRPFLHMACRGEELGTMLWDTYSDWYRHNDDDMDELEQWLLDGEPVSDDDTIMEE >KQK90182 pep chromosome:Setaria_italica_v2.0:IX:40433793:40434288:-1 gene:SETIT_038475mg transcript:KQK90182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCEDTVFLTWESLLFVGNLTWCSLQSLPVKKKTAATVSPENRKVSGKKGWPYD >KQK92394 pep chromosome:Setaria_italica_v2.0:IX:55293005:55294879:1 gene:SETIT_035057mg transcript:KQK92394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAHATPAAPFFPLAGLHKYIAIFLVLLSWILVHKWSLRKQKGPRSWPVIGATVEQLRNYHRMHDWLVEYLSKHRSVTVDMPFTSYTYIADPVNVEHVLKTNFTNYPKGDVYRSYMDVLLGDGIFNADGELWRKQRKTASFEFASKNLRDFSAIVFREYSLKLSGILRQASKAGKVVDMQELYMRMTLDSICKVGFGVEIGTLSPDLPENSFAQAFDAANIIVTLRFIDPLWRLKRFFHVGSEALLEQSIKLVDEFTYSVIRRRKAEIMEARSSGKEEKIKHDILSRFIELGEAGEDSGGLGDDKSLRDVVLNFVIAGRDTTATTLSWFTYMAMSHPDVAEKLRRELCTFEAERAREEGVELVPCGPDADDDESFAARVTQFAGLLTYDSLGKLVYLHACVTETLRLYPAVPQDPKGILEDDVLPDGTKVRAGGMVTYVPYSMGRMEYNWGADAARFRPERWINEDGAFRNASPFKFTAFQAGPRICLGKDSAYLQMKMALAILCRFYRFQLLEGHPVEYRMMTILSMAHGLKLRVSRAV >KQK87850 pep chromosome:Setaria_italica_v2.0:IX:9771567:9774734:-1 gene:SETIT_038805mg transcript:KQK87850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRFPSSNPATDLYKRPRISKPRLEAAICALLPAHFGPGFGPGSARVPRRPLRAIRMAEPGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVLTGAKVREETYTAFENIYPVLTEFRKVQQWYVVPFFYV >KQK89766 pep chromosome:Setaria_italica_v2.0:IX:35738779:35748786:1 gene:SETIT_033918mg transcript:KQK89766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAAAAAASDETLAAVFAQLKPHTVALLDLLRSRRAASSSASSLREMAAFLRSAPAPALQLCFEKQGNAPGQGAGDIGIADAVAEAGLACLEVLLTKCRLTSVNQMVAMLKKLTSGAMLLPLEASEEFRIGIIRCFRAMVLQLQPCLERSCSCKQATVLPTSSTNTSLEARSVVHSKLPAQPEECLLAFLQSQNASAAVGHWLSLLLQASELEASRGHRGSADVRKEALHALRILIAKVGSADALAFFLPGIVSRLGKVLYRSKTMISGAAGSSLSIEQAILGLTEALMIVLNDKENFSALDMAINEDWAHSSGGDGSTEHVLQMLRQLPTKSLSEQIGHDETTDDSTSDANNPSADRKALHVKRTKKWLEETTSNVDKLLSATFPHLSIHSSEKVRRSVVSGVRGLLSSCGSTLKRSKMLLVECLCVLACDDAAAVSEAAQDALLYLFNQGHNFITENEISDIFTRLVERLPQVVLGSEETTALSHAKRLLALTFYAGPQFLINHLHHSPVIATRFFDCLGLCISHSSQFSGSMDKLIVSKPLSVGYLYSVAELKGGAYSKDMTNSSLQATYTPAASKISVIHDNGLSNAILGTVEYELPHVPPWFVHAGSQKLYLVLAGIIRLVGLSTVSGKETAASLSPFVDILLDHFRRLSTVVRSKNIYRDGHRWYMNGEAGHTLRQASSAVCMLNELIYGLSDRSLGMFLQLFQKRSAQMVRTACQNDQLIASVKHNGVTNEREVWGCNEQKGTKDNIIHCIGSILHEYICPEVWDLPTEKDVELCLTELNLPLHFYRDTTALHTVTLEGIGVLGAVLGQDFARSGFMHSSLYLLLRELISSSAQIRIASDAVLRALAAAGGHCSVGQFVVANADYIVDSLCRQLRHLDMNPHVPDVLASMLCYIGASHDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEIAKACKHESTSLPDDAQSFYLKVSSEGQEVKNMIEKRMESSATSERMNADAQLDFMSLEYWEDLLCKLNEMRRYRRIVGSLTGSCLSAATPLLSSTKETACLVALDVVENAIISITKVEEAYKCENQSKGIIEESIQFLSFDELLDGTDASEDADENRLLPAMNKLWPYLIICLRNKISVPVVRKCTEVLSRAISMSGGDFYVRRFHKDGHIVWRLLALSPFRRKRMSMMDEKAIILPYRDTSLTSEEPMAEISSQKIQIAVLDMIATISSSKRSAIALESVLKKVCGLVVGIAYSSLTGLQEAAIRALAGLACMDADLVWLLLADVYYSLNQRDIPLPPNQDVAEISDLLPPPMSAREYLFVQYGGDGVRCDVDPSSVHEVFKRMQDVVLT >KQK89765 pep chromosome:Setaria_italica_v2.0:IX:35738779:35748285:1 gene:SETIT_033918mg transcript:KQK89765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEAAAAAASDETLAAVFAQLKPHTVALLDLLRSRRAASSSASSLREMAAFLRSAPAPALQLCFEKQGNAPGQGAGDIGIADAVAEAGLACLEVLLTKCRLTSVNQMVAMLKKLTSGAMLLPLEASEEFRIGIIRCFRAMVLQLQPCLERSCSCKQATVLPTSSTNTSLEARSVVHSKLPAQPEECLLAFLQSQNASAAVGHWLSLLLQASELEASRGHRGSADVRKEALHALRILIAKVGSADALAFFLPGIVSRLGKVLYRSKTMISGAAGSSLSIEQAILGLTEALMIVLNDKENFSALDMAINEDWAHSSGGDGSTEHVLQMLRQLPTKSLSEQIGHDETTDDSTSDANNPSADRKALHVKRTKKWLEETTSNVDKLLSATFPHLSIHSSEKVRRSVVSGVRGLLSSCGSTLKRSKMLLVECLCVLACDDAAAVSEAAQDALLYLFNQGHNFITENEISDIFTRLVERLPQVVLGSEETTALSHAKRLLALTFYAGPQFLINHLHHSPVIATRFFDCLGLCISHSSQFSGSMDKLIVSKPLSVGYLYSVAELKGGAYSKDMTNSSLQATYTPAASKISVIHDNGLSNAILGTVEYELPHVPPWFVHAGSQKLYLVLAGIIRLVGLSTVSGKETAASLSPFVDILLDHFRRLSTVVRSKNIYRDGHRWYMNGEAGHTLRQASSAVCMLNELIYGLSDRSLGMFLQLFQKRSAQMVRTACQNDQLIASVKHNGVTNEREVWGCNEQKGTKDNIIHCIGSILHEYICPEVWDLPTEKDVELCLTELNLPLHFYRDTTALHTVTLEGIGVLGAVLGQDFARSGFMHSSLYLLLRELISSSAQIRIASDAVLRALAAAGGHCSVGQFVVANADYIVDSLCRQLRHLDMNPHVPDVLASMLCYIGASHDILPFLEEPMRAVSSELEVLGRHDHPHLTVPFLKAVSEIAKACKHESTSLPDDAQSFYLKVSSEGQEVKNMIEKRMESSATSERMNADAQLDFMSLEYWEDLLCKLNEMRRYRRIVGSLTGSCLSAATPLLSSTKETACLVALDVVENAIISITKVEEAYKCENQSKGIIEESIQFLSFDELLDGTDASEDADENRLLPAMNKLWPYLIICLRNKISVPVVRKCTEVLSRAISMSGGDFYVRRFHKDGHIVWRLLALSPFRRKRMSMMDEKAIILPYRDTSLTSEEPMAEISSQKIQIAVLDMIATISSSKRSAIALESVLKKVCGLVVGIAYSSLTGLQEAAIRALAGLACMDADLVWLLLADVYYSLNQRDIPLPPNQDVAEISDLLPPPMSAREYLFVQYGGDGVRCDVDPSSVHEVFKRMQDVVLT >KQK93090 pep chromosome:Setaria_italica_v2.0:IX:58717137:58719599:1 gene:SETIT_040058mg transcript:KQK93090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRKPEAGIPSLPPPRRQRLRPSGKEQVLDRQDTHEEHRLLVAVKEVARQHERLGMCTIVSQ >KQK92654 pep chromosome:Setaria_italica_v2.0:IX:56631058:56631697:-1 gene:SETIT_040088mg transcript:KQK92654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAPKFTLMSWVVYRLSCLTFHGICTNAKNGFKCIAKEADLTCELLRHGASPSDDYLINQSSEMRIGVSKEAETMCKWMRENDMLLDVLPEDLEDSRIIRIQTLYVMIDILEKSSSSAASGNKIDKEESASEMVLSVMGMALLLMKQQPSRY >KQK89213 pep chromosome:Setaria_italica_v2.0:IX:22078068:22079104:-1 gene:SETIT_038782mg transcript:KQK89213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPSGSSSSIVASMVTGHHLLHIDGYSHTKELAPNGRCIRSRSFRAAGHSWHIGYYPNGLLRSGADHIAVYLYHERHAGEPAVKARAKLSLLDQAGEPVLSCTRTNYYMPSNVWGFYNFVRRAALEASPHLLKDDGFTIRCDIFVYTEDAVAATPPSDLPRHLGGLLRRGTARTVAGETFRAHRCVLAARSPVFKAELLGTMREGDRDPAAVVEVDDMDAEAFRVLLEFAYTDALPETMSPEEEAAMCQHLLVAADRYDMERLKMICEARLRKHIDAGSAATILALAELHGCPGLKDACFRFLETPRAMAAVAEAEGFEHLSRICPSTLKELVFRIIDR >KQK91459 pep chromosome:Setaria_italica_v2.0:IX:49930422:49934076:1 gene:SETIT_035899mg transcript:KQK91459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQPPAHRLRWMRALLLALPLLSLPILYAALGAARSSPSPVSSSAPGWQQQQQRHRRPPEPPPRLAYLITGAGPGDGPRIRRLLRALYHPWNCYLVGVAGEGDRADLEAFVRAQEAPRRYGNVRVALAGEWGAVSRRGPTELAATLHAAAVLLREFEGWSWFINLSASDYPLMPQDDILHIFSYIPRDLNFIEHTSNIGWKEYQRARPIIVDPALQVPNKTEVITTKEKRSLPSAFKIFVGSSWVVLSRSFLEFCLLGWDNLPRTLLMYFTNFLSSSEGYFHTVICNSEYYQNTTINSDLRFMAWDNPPRTHPVNLTTEHFDSMANSGAPFAHSFANDNSALDMIDAKLLGRAPDRFTPGGWCLGSSVDGKDPCTFSGRSFVLRPSKGSAKLEKLLLKLLEPDNFRPKQCK >KQK92189 pep chromosome:Setaria_italica_v2.0:IX:54229331:54234105:1 gene:SETIT_035808mg transcript:KQK92189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWLEMWLPPAAGEGAVAAGLFLEGGDAAAHGALLAAMPGCSVSFGAGARSRRRRGAPPGFLSLTMSVKGGRGFVPGTVGLLAGAEEKGGAEEAEALVAGKKAVEEVALAEGGVLVLQEKEKEKDVRAGAGAMNMTKHLWAGAVAAMVSRTLVAPLERLKLEYIVRGEQRNLFELMHAIATTQGLKGFWKGNFVNILRTAPFKAVNFYAYDTYRKQLHKWSGNEETTNFERFIAGAFAGVTATMMCIPMDTIRTRMVAPGGEALGGVIGVARHMIQTEGFFSLYKGLVPSLISMAPSGAVFYGVYDILKMAYLHSPEGKKRVSMMKQQKQEANALDQLELGTVRTLLYGAIAGCCAEAATYPFEVVRRQLQMQVKATRMNAFATCLKIVDQGGVPALYAGLIPSLLQVLPSASISYFVYELMKIVMKVE >KQK92393 pep chromosome:Setaria_italica_v2.0:IX:55289991:55292277:1 gene:SETIT_037761mg transcript:KQK92393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEEENVGPFRRTSSRTRRMATRMASALASSDNRAQAALARLEALESDNAGVEVVDLNDDEYGSTDEEDPVLMQKKQSKIMKRKTRQGKALEKRAARSFMDVLQEANLESLPPNVPTYLKAAVGPPSTSSRRHYCSVCGSSANYTCVRCGTRFCSCRCQVIHNDTRCLKFVA >KQK86258 pep chromosome:Setaria_italica_v2.0:IX:980905:981924:1 gene:SETIT_039192mg transcript:KQK86258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNDAPSQSIHSAKDALDALAGILGGALPGSVAAADDPAAALLNDPDVASAVTGRLRGAGSGAGNDTLCRWLYDVFRANVPELQLTVLRFVPTLAGVYMCRAVSRKLLAGFEAVLLTLYAHATAQRGGAGEAETVSLPNLANSSPYHDAKVPPKAKPAKLDVAVLSPPLEPHGTMRATRRAHIVGAILELYHGKLSRMPLSSKMDLCEFCIAWAGTHSKADGDDKLCLLPATDAAANAEKWRRVPLPWELFQPAVRIVAHCLLGPSRSEELKAQATRTAECLYWRAIETMDAPALLATRSLMRLSQMVEEPIPEPSFSGAIENMAELEAMRANILNTKN >KQK87195 pep chromosome:Setaria_italica_v2.0:IX:6039467:6053895:-1 gene:SETIT_033827mg transcript:KQK87195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPRPSYAHHQLQQHLSSLLSAAAGDPPHPSDDASRTAALSNLRLSFLHPPNRPLLPALAPFLAPPLSVLLADDASYAVRRAAVSAYATLCAVLCSHEAPGGLPDGFVAWALPLLGDPSSAALVTEGLRELLATGDVAPVERFVPPLLASCRDVLEDERTSLAVLRCLLGLLMLIAAKFPHCFRPQFVDIMDLLLGWAFVPDLADADRSMIMDSFLQFQWHWLGNLQFSLGLLPKFLADMEVLVHDPNLAASHNSGRLRPLFACFSTVLQIMASGVAERNNLRELVAGPLEALAPQLLRCASVIASKLGWSERMEEASRCLVLLAEILQERFAEFYVLFVDVLAQSLEVASSAQLVAALKTNLQVLSLQNLGLCASSVGALLDFSSILSKLRLHPNHTVVANSAATYLFCLQHGSEDVVDQAIASLTKELELIKSLLEEGQASYPCIQSLSLESNNERKSKLNSGAHSWAQYSEDQLLSLMKFDLKILLATIALDTRKRNERASSLTSFISEKLDPFGTPFHNFLEMQFQIFSTLHKLSNMELSSIMATSEGCKTQLISCECKKKFVHKYGKYIVQGLNASSSMTLKLEALDWIASFENLIRGMERDVDKFSFSYEAIGDATLSNGILFTVLDCAYDREPKVRCHVALTLELLFLGKLINPMNFLVVAQVLLDKLSDPDSNVKDAFLRLFSIALPITTYAFGLLVDKHSYLNSSDIANMSNHCMNWRHVLAVKQQPRKLHWQQLVSILSYLSLRLKLPLSSWVQRLVFSYRGKKDMLSGQTDMSGDADGNELPKGPSVDRAIIDRIYSVNNHAAVWWGIHEAARHCINLRLRTHLGGPTQTFAALERMLLDVTNVLTPEAKEGEGRYIGPADICLLPMRLLLDFVEALKKYAYNAYEGSFVLSPPPKASSVFFRANKRVCEEWFSRICDPMLNAGLAMHCSDAVVHYCSLRLVDLRNLAASSLRGNSHTGGATESHHGFRERLEADVLKVLRHVSLALCRCHETDALVGLQKWAVSTLYTYFEQDNQLVRSVSDCDKHFSWMSGLIYQSQGQYEKAAAHYSHLLQSEEALTSMKSDGIQYIIERVIECYTSLSDWKCLESWLAELQVLRAVHAGKPYSGALTSAGNELNAIHAMACFDEGDFHSAWGYLDLTPKSSSELTLDPKVALERSELMLLRGMLQSSSKLEGIKEELDKAKLMLDEALSVVPLNGLPEAAACAGQLHCIFAFEEASGLTCQNGPNQSRSIMDSLLKVLHDPIDRMHQDCSMWLKVFKVYRNTQPSSLSTLLLCQKLASLARKQGNFMLATRLNQYLTNHPLKSSDEMDKEILELNIKYEGALLKREKGNNEEALSEMWSLVRASVLSTINCSSDIGTPHSLIARACLKLSTWIEQENSTPILNRIIPKVIEDLSDSNGFQNGTEELLFGDSVAVSTLNYRALAEEIIGTARKTSWQLCPSMGKAWLAYASWCFTHASYSLSGKDSNLQNSLSPVLQSELSPDRYHLTNDEKSEVEEIIRSICADKHADHVGCDYPVTAGPITSLIEQAIHLIETAAGAPGFEAREGEDPPAVLASELIVLLCKSDCAKDNTPLIDKLIEIWWSLRKRRVSLFGHAAHAYFQYLSHSSTELQPSYHHDALKGKTRSYTMRAMLYLLHIMLNYGVELKETLESGVSTVPLLPWQEIIPQLFARLSSHPEKIVRELLESILLKLGKLSPCSIVYPTLVDINACEGEPSEELQHISDFLVKLYPNLIKDVKLAIEELGMITVLWEEQWLSTLQDLHSDVLRRINILKDEAARVAANSTLSSAEKNKINAAKYSAVMTPIIVALERRLASTSREPKTSHEMWFHKEFNAKLKSAITTLKTPPGSPTALGEIWQPFDSIAASLATHQRKSCILLSEIAPQLAVLSTSDIPMPGFEKQILDSSESFAGNHGIVTVSSFCKEVTILSTKTRPKKLVLQGSDGQRYTYLLKGREDLRLDSRIMQLLEAINSLLYSSSDTRSRNIALRFYSVTPVSGRAGLIQWVENVSSIYNVYKSWQKRSQLAQAEAQLSSVSAGNIRNPVPPVPRPSDMFYGKIIPALKEKGIKRVVSRRDWPLDVKRKVLLELMNETPKQILWQEMWCASEGFRNFNSKVKRFSSSVAAMSMVGHMLGLGDRHLDNILMDFSNGDVVHIDYNICFDKGKRLKIPEIVPFRLTQTIESALGLTGVEGVFRVTCEEVMDVLLKNKDTILMLLEVFVWDPLIEWTRGNIQDEAGIAGEEKKGMELAVSLSLFSSRIQEIRVPLQEHKDLFLTNLPATVSALKKFLDTLDHYEVASAMFYHAEKERSNVLQNEMSANSILADATTVAEKSRTSFEIHAHELAEAKAAAVDEANKLKIWVEKYARVLEAIRDRSIVCAESCMQLNCKDEALSLISAVLESGVPLTVVPEPTRAQCSELDREVSQLISELQGGLSSALDSLVEYSLVLQQVLPVNYITTSPISSWAQVLQLSVRNTSQDMLSLAKRQAAEVIAKVQGEGTHLVQQRYRDLLNQMESYITCVERLARECSELMNSIGLDNEMQSKERILSAFMNSIQLPSQKNDGDDTHLSHSESLRQGEIKIPAKGDIQETTSKVLSILGIAVGQLYSDIRAKVSDLSTKAIGKAKFRADDSGLQADAGMGLQFFEQHIEKCALISSVVDEVHEVIGKTLAETSVAYAKPHPRHWASTFQAALHSSINMIEQMTEAFLPEFIRSFVSHNSEVMEAVGLISKIRGSVDKALEKLVEVELERTSLTELEQSYSVKVGRITEQQIALEEAAARGREHLSWEEAEELASQEEICRAQLEQLHETWSQKDLRISSLMKVEDSVINSLLSSKQYFSSLVDRDQESEFHFRQSKALLSILAKPFADLELLDRVCPSNIDRPISSMKDALSLGSSLSDVVWPLAGIWKDHAFFVWELSLLDSILDLCMHEMSSSVEHSINANQLYLTLKKKLAIHVEKQVFRYITERIAPSLILSLDEEISALLQLGQGRRESDQPKRDFAAVGRVALMLEEYCNAHETARTTRTAVSLMKKQLNELTEALRKTILETVQVEWLHDLSSPHVQKAKVLSQNILSDDKFISLILNLSRSNMLDKIQSSVSLITRSIEFLQACESISISAEGQLERAMGWACAGTNTSGAGGSTAKGSGIPPEFHGHLLKRRKLLQVVQKEASDLVKLCTSVLEFEASRDGLYFIPEDKAPEQSMDKGRAWQQTFVNLLTRLDAAYHSFICAEQEWKVGQVNLETAGKGLFSANNQVSVVSVKAKSALVNLQDALVAMYEHACEVSALLSGFKHVSQDRTALTSECGSLLDEVLAIADGLHDVYALGKEAAAVHSSLMTNLSKANATLFPLEACLSADVTIMSEAISKEREKNNASMPLIHGKALFQSYNIKIREACKNIEPLVGPLTENVEGLYSVVMKLGQLSSLHAANLHKVLN >KQK92387 pep chromosome:Setaria_italica_v2.0:IX:55266604:55268124:-1 gene:SETIT_039699mg transcript:KQK92387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSISPADLLVCSGRCSTKRDLRLLHAALLRRCHILPAADAVAALAKLLRFAAVSPAGDLRHASVLLSLHLPFISAAASHLAFFYNTLMRGLAASCSPGAGIELFTAMHRAGAGPDAFTFTFVLKSCARCHSPGRLPSDLHAQAFKHGCLGARSSHAHVHNALLHAYACRAAVDDARRVFDEMHVRDVVSFSGLLTAHLKGNHLDSARMVFDQMLHRDVVSWTAMISAYAKARRPQEALALFDAMPVQPDEVTMVSVVSACSALGDLATGERLRQYVDSNGFGWMVSLRNALMDMYAKCGCLTEARALFYGMTVRSLASWNTLISAYASHGDVDSTVALFHQMLADDNSVKPDGVTLLAVLTVYAHKGSVEEGRTVFNAMQRGNYGNVELTIEHYGCMVDLLGRAGQLEEAYKMIEQMPIQSNDVIWGVLLGACRMHGNIDMAEKAVNKLRSLNPQEGGYYILLIDMYTAAGRTADATEVRRVMNETGAKKTTGWSSWTTACLPQQ >KQK89296 pep chromosome:Setaria_italica_v2.0:IX:23394492:23406201:1 gene:SETIT_033857mg transcript:KQK89296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVPKWKIEKTKVKVVFRLQFHATNIPSTGWDKLFLSFISADTGKVTAKTNKANVRNGSCKWPDPIYEATRLLQDPRTKTYDDKLYKLVVAMGTSRSSILGEVDVNLAEFAEALKPASIALPLRGSDFGTLLHITAQLLTTKTGFREFEQQRETGARSSQQLLNQRSHDPAEVAAASSDIGTDKVNARIKLKETSLGYPLVEDSAGSTEDYENSSHTSDGIFTEKNDPYGSHEISSFRSSGDLPLCPTSQSPTPEKGAHRGKHLSPQGSSDWTHGWSPEYSADKDLAAAHDENNRLRTRLEVAESAFSQLKTEATSLEHVTDKLGTETQGLAQQVAVELMSRNQLTTEVSLLRTECSNLKQELEEIKSSKLLQKKSDAEATDSAHHLQTEWLQGLLLLESKLQQTRNNALHGLQASDLDFLLTDLGALQRVIENLKQGVQPGQMKENHYAEHLGPLLNTGHLSNSGHHVTLKKSTGGSTGTMEEKMCELLQKLEDSKTEKENLLEKMSQMERYYESFIHKLEESQKQTAIEFENLRKEHNSCFYTVSVLQAQKQKMHEEMNDQLMRFVEDRTALEAQNKELERRAIATETALKRVRFNYSAAVERLQKDLELLSFQVLSMYESNETLAKQSFLEDFDSLSEEHSAVADLCGNKEPEQYRPGVKQIRLEGVYAEKEPRVFLADNGTLDKMDGQKNLRSFKIEELRARSEFHVHSNTDSRGNHSNIEGPQRTSRAMESELLDMFIASMECQIFSDVLRESHYAALDIIKCMQGRLHMLEMQLHDSNDATQSLVLKLNSALDQAKSVKETEAGYILKCDDLTVKNQILEAKLHDITVENALFMEKLTESERLVQEHRDCESKYMVCAEEKKRFENLLTKESLLTDQLKDELRSLREGFEAMKDEFDKQSSINNDIQMVSTSLQDQLGELCSKIMSFNKEVNISGLDEASLLHELESKNYTAVVKSLELFHQQTCNKVLHVHQEKEVVVEMCDALQRRSEKAESELHDMKQKYVCDLDATKQKLNFSEELVEKLQQELQDMAHKLRISSDSQEKYSITNSGLTSKLSQMEVELQHATSENEALVEKLKEFVVTVEELERTKISLAQHEEDTRTLTQLLQSKDEMLVQRESEIKCLHDDLRCTDENLLREKILKEELESALASLTSQLGEKDQVLLSFDEHKTESIHLKDQLLDMEKANSIMKDALSKSEQIQRDLNCENLSLHSQLSNLENQLGIVLEAMLSSGIEASYMRSQVKEAVVQLNMLRNDFEKLELKNKDADELLRVHMSTQAELADRNSTLQAAIHSLEINLSSVIQEKKGLEDLMKVHEEALTKVSNNKSHDIAVDNSDKVLEDKDEISQLRVLLTDLEEQVDNVKSTKDEIEILNIILRSKLEEQHTVMSSLLQNQRHELTNLIEQNKDLAQKLAEQSLKAEEFKNLSIILRELKEKAEAGRKEKEGSLHAMQDSLRIAFIKEQYESKVQELKGQVFVNKKYAEEMLLKLQSALDDVETGKKNEIALAKKIEELSMKISEMEVEMQDLSADKRELSNAYDSMMTELECTKLNLDCCNEEKQKIEVSLEECSEERNRIRVELDLVKKLLENMALTDNNTSHDSSGSCTPGSTSVGHILGDGKAESVSKATPNIIEMNSELQECEIQSRSLTSNLSREAEDVGKVGGHEVSKNSENCDKECESSIENHLNGHNSIKDISREHKKLATGLNLFQKELERLKNENLSPLLPLDINLIDPSLSGLERTLSQLDMANEHLESIFPSFKELPGSGNALERVLALEIELAEALQAKKKTDILFQSSFLKQHNDEAAIFQSFRDINELIQDTIELKRRQVAVESELKEMQGRYSELSVQFAEVEGERQKLEMNLKNRSPWKS >KQK88890 pep chromosome:Setaria_italica_v2.0:IX:18250052:18252337:-1 gene:SETIT_039489mg transcript:KQK88890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRALLSRLRLAPLLHDGHHLRRFLSAAAAPPPDEGPPASRLQALRRSYHSALPHQGLSWSVDERSLTDAFSSFGTITEDGARGRCSTRCCGGCEPLHRAQSRELFDEMPVRDVIACSVAIYRHARSGSFHQSVGLQTCSVGVLLGALLAECIHVGRLGSNPFVATALVDAYAKCGCPMNALTFLEALLAFKRLCCCFGLVPNNIVTMINVAQAYAGCGGLVMCKSAHAYAVKIGLDLDVSRDFRKTIVRDVVSWTMMMGFLLEQVHACEVISLFVQMRSNGIVPDRVVMVSLVQACAPLSDARRGIVSWTAMVSGYLGSGKALEGMHLFGKMRCEDIFVIDSVTLVSLLTGCYKIARFELCVQLHGHSYKSGLYLHRHVPNTLMAVYGNCGYASSAHKVFGDMISRDVVSWNTMILSYVDDGLIIFRRMINENHINPCQEHIGCLVDMLARSGRLDEAAEVASFTSKVGSNSWKALMGGGHLHSDTELTEVAAKKVLNIKSFDYGHMVLLSNAYASAGKYIVAESIRSCYSKQTKKEDSGS >KQK90329 pep chromosome:Setaria_italica_v2.0:IX:41646316:41646812:1 gene:SETIT_040526mg transcript:KQK90329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHDAHPLPSTRRVAASVGLNQSVVLYSIHTMDTSDFHLTACRASS >KQK91142 pep chromosome:Setaria_italica_v2.0:IX:48091157:48097285:1 gene:SETIT_034714mg transcript:KQK91142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAISHLRRGAQRHALLCLSLPRRRFSSTAASPLAAAARRLLSTTVDAGASSSGEHYKPPPFEPFRAATLTPSAPPLESPPIEDEPPSSPPPPEEAAASEAAHEQATLACQEVELEGLKAGVEAVKSREESPEEKEAWWLLGRAVVNYCGSAVGTVAANDPSTSQMLNYDQVFIRDFVPSAIAFLLKGESDIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRSVPLDGNSEAFEEILDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLILNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMISVNDGSKNLIRAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDAINKFNIYPEQIPSWLADWIPVKGGYLIGNLQPAHMDFRFFSLGNLWSIVSSLATQRQAEGILNLIEAKWDDIVANMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRRDLARRAVEVAEKRLSDDKWPEYYDTRTGRFIGKQSRLYQTWTIAGYLSSKMLLDCPEMASILICDEDFELLEGCACSLNKSARIKCSRRAAKSQVLV >KQK89258 pep chromosome:Setaria_italica_v2.0:IX:22659482:22667193:-1 gene:SETIT_034046mg transcript:KQK89258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEARRLAVVPQLDIEQILKEAQHRWLRPAEICEILKNYRNFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKNDQKTVKEAHERLKSGSIDVLHCYYAHGEDNINFQRRTYWMLEEDFMHIVLVHYLETKGGKSSRTRVNNNMIQEAAVDSPSSQLPSQTIEGESSFSGQASEYEDAESADIYSGGAGYHSFTRMQQHENGTGPVIDSSVFNSYTPTSSIGNYQGLHAMTQNTSFYPGNQHNSPLVLNGSSTMVAANGRANQTDVPSWNPVIELDNGPVQMPPLQFSVPPDQGTSTEGLGIDYLTFDEVYSDGLSLKDIGAAGADGESFWQFPSATGDLSTAENSFPQQNDGSLEAAIGGYPFLKTQSSNLSDILKDSFKKTDSFTRWMSKELPEVEDSQIQSSSGVYWSTEEADNIIEASSREPLDQFTVSPMLSQDQLFSIVEFAPSWTYVGSKTKILVTGNILNNSQVTERCKWSCMFGEVEVPAKILADGTLICYSPQHKPGRVPFYITCSNRLACSEVREFEFRPTVTQYMDAPSPHGATNKVYFQIRLDKLLSLGPDEYQATVSNPSLEMIELSKKIGSLMMSNDEWSNLLKLAVDNNEHSTDDQQDQFAENLIKDKLHVWLLNKVGVGGKGPSVLDDEGQGVLHLAAALGYDWAIRPTLAAGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPSPDFPERTPADLASANGQKGISGFLAESSLTSHLQALNLKEANMAEISGLPGIGDVNERNSLQPPSGDSLGPVRNAAQAAARIYQVFRVQSFQRKQAAQYEDDKGGMSDERALSLLSVKPSKPGQLDPLHSAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKIILRWRRRGAGLRGFRSTEGSTEGSSGGTSSSLIQDKPSRDDYDFLQEGRKQTEERLQKALARVKSMAQYPEARDQYHRIYTVVSKMQESQAMQEKMVEESAEMDDGYLMNELQELLNDDTSIHGYF >KQK89257 pep chromosome:Setaria_italica_v2.0:IX:22659052:22667417:-1 gene:SETIT_034046mg transcript:KQK89257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVEARRLAVVPQLDIEQILKEAQHRWLRPAEICEILKNYRNFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKNDQKTVKEAHERLKSGSIDVLHCYYAHGEDNINFQRRTYWMLEEDFMHIVLVHYLETKGGKSSRTRVNNNMIQEAAVDSPSSQLPSQTIEGESSFSGQASEYEDAESDIYSGGAGYHSFTRMQQHENGTGPVIDSSVFNSYTPTSSIGNYQGLHAMTQNTSFYPGNQHNSPLVLNGSSTMVAANGRANQTDVPSWNPVIELDNGPVQMPPLQFSVPPDQGTSTEGLGIDYLTFDEVYSDGLSLKDIGAAGADGESFWQFPSATGDLSTAENSFPQQNDGSLEAAIGGYPFLKTQSSNLSDILKDSFKKTDSFTRWMSKELPEVEDSQIQSSSGVYWSTEEADNIIEASSREPLDQFTVSPMLSQDQLFSIVEFAPSWTYVGSKTKILVTGNILNNSQVTERCKWSCMFGEVEVPAKILADGTLICYSPQHKPGRVPFYITCSNRLACSEVREFEFRPTVTQYMDAPSPHGATNKVYFQIRLDKLLSLGPDEYQATVSNPSLEMIELSKKIGSLMMSNDEWSNLLKLAVDNNEHSTDDQQDQFAENLIKDKLHVWLLNKVGVGGKGPSVLDDEGQGVLHLAAALGYDWAIRPTLAAGVNINFRDVHGWTALHWAAFCGRERTVVALIALGAAPGALTDPSPDFPERTPADLASANGQKGISGFLAESSLTSHLQALNLKEANMAEISGLPGIGDVNERNSLQPPSGDSLGPVRNAAQAAARIYQVFRVQSFQRKQAAQYEDDKGGMSDERALSLLSVKPSKPGQLDPLHSAATRIQNKFRGWKGRKEFLLIRQRIVKIQAHVRGHQVRKHYRKIVWSVGIVEKIILRWRRRGAGLRGFRSTEGSTEGSSGGTSSSLIQDKPSRDDYDFLQEGRKQTEERLQKALARVKSMAQYPEARDQYHRIYTVVSKMQESQAMQEKMVEESAEMDDGYLMNELQELLNDDTSIHGYF >KQK88460 pep chromosome:Setaria_italica_v2.0:IX:14427470:14430237:1 gene:SETIT_037078mg transcript:KQK88460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCSVVTAPALSASAPSSRRRATAAVSLPGVRRSRLPSRGVRCSAGQGGVKVPAKLAELWEAAKGAPPLAVLAGVAAAVAIYKVGSGLLAPRPPQPQRLETKTAPPPPVPEPVQVGEITEEELKQYDGSDPEKPLLMAIKGQIYDVSQSRMFYGPGGAYALFAGKDASRALAKMSFEQQDLNGDISDLTPMEFSSLNDWEYKFTSKYVKVGTVRRAAPAEEGYAGISPEIREEVMPMPVLEAEAEAEAEPDLEPEPIDDEAP >KQK90427 pep chromosome:Setaria_italica_v2.0:IX:42594581:42597971:1 gene:SETIT_034727mg transcript:KQK90427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIQKRKTSKSISENRYPNLPQPPADPNGVTLATIPVPFSCSIDPIPMAATGFRVHPAAFFSAHPREAGAKQLPFHHCSPHRILVARRTWLLRARSSNGRPQIGASFSNSNEVLDGKPAGDGPPKQGGGTVSITVVGASGDLAKKKIFPALFALFYEDCLPEHFTVFGYARSKMSDEELRNMISMTLTCRIDKRENCGDKMEEFLQRCFYQSGQYNSEEGFAELDRKLKEKEEGKVPNRLFYLSIPPNIFVDVVRSASRTASSSTGWTRFIVEKPFGRDSESSGELTRSLKKYLAEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLKVEDVVVGQYKGHTRGGRSFPGYADDPTVPKGSVTPTFAAAALFIDNARWDGVPFLMKAGKALHTRRAEIRVQFRSVPGNLYRRSVGTDPDTATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSERYRREIPDAYERLLLDAIEGERRLFIRSDELDAAWAIFTPVLRELEDRRVAPELYPYGSRGPVGAHYLAANYNVRWGDVTSDDGSF >KQK88084 pep chromosome:Setaria_italica_v2.0:IX:11403387:11403951:-1 gene:SETIT_038467mg transcript:KQK88084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPRVRSQSHTRTKPNQALHLHYNTAALPITDYLAAPGGDQRTRKNQAKLREKKPH >KQK89318 pep chromosome:Setaria_italica_v2.0:IX:23798134:23799875:1 gene:SETIT_039386mg transcript:KQK89318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQTADAVAVVIQHPPGGGVGGGRVERAHGALSAAAVMAANPGHYVAAVIPGDDAAAAASRARKRRLKLLRPDDTLALGGVYRLVSFEEVLREFVSKRHATLSRRMVVATAAADAQRPESDRSLAQEQAQLPSPDQEATSSPPDPTANDLRPSDLEPDFSAALVMLGGRLGLARHGQWRPALPSIAEGSVAC >KQK86362 pep chromosome:Setaria_italica_v2.0:IX:1464890:1466839:-1 gene:SETIT_039388mg transcript:KQK86362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPASRIRARGAPRPPRPLASRTKRRYLTEVVASEKSQVIAHIRQHYKRALKRLPPSLIPRVAKAGVCIGFLDPVCNIIANTVSYKEEEQGRAAGQDREGQQRRGTMPRRARRLLTSYFRYLTAWEALYYLRRSRADLLVAVHLVEEDHATRGFALDHPTTKVALACAARSAMHPRPDAFMSASVSLASRRRQMCSLLAPLRPISDVTAERISRMSDEGLQPPAAEPHQELMRLAAARTRAFTNVPPPVELQSCFEQSTKKVLMDKIHGFYLEAISRIPKTLLRSRLHRALLKAGHCYGPFEPVGNILVNTIWYDAAFPTSLELEVDMIRNESLAIAESCSLHGLVAFLRALGPEPDDELSTPGNLWNLLLHNACILDKCISTAPGLLRESSITEFVAYRAAAVAAYHPNPAALAHFAAYKRALTPDDVQTISRALSRNNTPDKPARQVKELTPRASQIVAAIRKEFQAHQRLIRERVEAALLEHARMQARRSSSIVLAVTFHFWSGTSSTNCRETITRSMLSVGSTLKYPTPEDDKSGLLFNYHGCPFSHINVWVTRRGSHHADAPPILLFVECSNDSEDMKTAPFPCLVSESSADAGMLS >KQK87921 pep chromosome:Setaria_italica_v2.0:IX:10192088:10192527:-1 gene:SETIT_038229mg transcript:KQK87921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEWRPRPLGSELIEPHRARGRTWLSRSGNGEPARREPIAGRDSAGPAKKRRSRDRKTGRGTGNGGSRSRAEEAGGQAGDGSPGPLEAGGC >KQK88200 pep chromosome:Setaria_italica_v2.0:IX:12287434:12288660:-1 gene:SETIT_040017mg transcript:KQK88200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLVAAALNMLPPLPDASIVLTSEHGEERFEEVEVYKDILAYGRVIEPGEEAMAGKKVGPEEGEAAGVKKGCWEVALRSVPLVIHDEGLVRAIVDLAPDLGDVSTWVSTAAIRDVLKEHPGPVNCIRVEVSKCPSPKLVRKWMKLIWAKKRGHPLQELVLVNRNRPADEAFHLRLLPDGPGGLRKLSLGFFALKPLDAGHLSLCPAVLRLMGCDLCWQDLSALLLELRALQLLVLVCCHVTSGCGPEGLTIVSSSLTRLEKALCTASGGIRLDYAPRLTILSAGVQPLGTGGQLRLDLRTLGALRELHGLTILLHDLGTSTLPSSCSLHCADQLPVIISSPVSAVDDPEPEPMDPDPPQPDNSWGAPPHVQPARSHRVLGSAAVHALSDRTHRHGTQLQHSVSFRML >KQK92217 pep chromosome:Setaria_italica_v2.0:IX:54433864:54434550:-1 gene:SETIT_038141mg transcript:KQK92217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQHLLLELRQQLLLELRQVRVRGWRFPVASDCRRSSGVARRPAVVTAETAGKRRRRRSRAAVDPRLGGGGGGGEHRRIGKRSRRRTRIRRREPPETGRAAAEAGASG >KQK88580 pep chromosome:Setaria_italica_v2.0:IX:15238997:15239901:1 gene:SETIT_039728mg transcript:KQK88580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACPNRKARGLSYLILLSLVMAAAESGSALKLEPTGTSSHFPPLLDCAPAPAPAPAARSKNGTAFRANVLSLLAALPSAAASAPTGSTATRSSAAGRDRAFARGACFGLGAPRGSSLGDCISCLSADAQDVASGCGATSRRAGVWRAGCFLSYADTNASTAREDAFRGWFYDDDAPTTALGSQCTASRTAAECFRCLNESARVVRALVVRGRLSMVRGTAVVFVGYGCYLRVPLFPPQPRWEQRRFQPRRCPTPWKLKRKKNQAVFSDSSTPWM >KQK88058 pep chromosome:Setaria_italica_v2.0:IX:11195846:11197140:-1 gene:SETIT_039078mg transcript:KQK88058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KCHINLQWLLLPLRPIFRSISALLRRPTPGAVPKLCLGLETATAQQDGQSDTQQQRLHQPSQIQRFKKSSPAALSGGTTRSGNGSGGGKRSSRAPRMRWTTALHAHFVHAVELLGGHERATPKSVLELMNVKDLTLAHMYRTVKGTTADRSCAA >KQK91802 pep chromosome:Setaria_italica_v2.0:IX:51869885:51872074:1 gene:SETIT_036290mg transcript:KQK91802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICCCGGAEEDTFAAPASRHPAAPTSRNNAGPGKPRGPNAPRSGGPPKVLPVDVPAISMGELNNITGNFGQKALIGEGSYGKIYKAVLISGELVAIKKLDPSVSSDSLADFSAQLSMVSRLKNEYFLQLLGYYLDDSHRILVYQFASHGSLHDALHGKKGVKDAAPGPVLSWAERVKIAYGAARGLEYLHEKVQPSIVHRDVRSSNVLLFDGYDSKIADFNLTSQPPDGAARLHSTRVLGTFGYHAPEYAMTGVLTHKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLGDDYPPKAVAKMAAVAALCVQYESDFRPNMTIVVKALQPLVNKAAAATAGGPSST >KQK89521 pep chromosome:Setaria_italica_v2.0:IX:32258408:32260058:-1 gene:SETIT_038604mg transcript:KQK89521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSTSTNSSRQTHTHARTVPSDSESRGANSMAAHSWLLAFAAALVLIHGARSATFTIANGCGYTVWPGLLSSAGSGPLPTTGFALAPGESRAVAAPAGWSGRLWGRTLCAANAASGRFACATGDCGSGDVQCNGGGAATPATLAEFTLDGSGGLDFFDVSLVDGYNLPMVVTPTASSSSGGGGTSSGKCAATGCAAELNAACPAGLRVDAAADGPVACRSACDAFGDAQYCCSGAYGSPSACRPSAYSQFFKAACPRAYSYAYDDATSTFTCAAGSTDYTVTFCPGVPTSVKSTGQNPQAAGLPQQMNNGTTMVFFGGNAQPSSAAAANLLVAVAVTAAVAVSALVL >KQK87812 pep chromosome:Setaria_italica_v2.0:IX:9494880:9496107:1 gene:SETIT_039539mg transcript:KQK87812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSQTPRRVFVLTLLVLTSFSLAVTTFAQTEPADEAPPATRTHGVCGGPDVGGKCHSVPRALHLKLIAIPAILVASMAGVCLPLVSRSVPALRPDGNLFVVVKAFASGVILGTGYMHVLPDSFNDLTSPCLPPRPWAEFPFTAFVAMLAAVFTLMVDSLMLSFHTRGKGKASAAVAHHGHGSPPPQGHCHVHGHLDMSSESASPESAVDEVEDDDVEAGRTRLLRNRVIVQVLEMGIVVHSVVIGLGMGASQNVCTIRPLVAALCFHQLFEGMGLGGCILQAEYGARMRSVLVFFFSTTTPFGIALGLALTRVYSDSSPTALIVVGLLNAASAGLLHYMALVDLLAADFMGPKLQGSVRLQLVSFFAVLLGAGGMSVMAKWA >KQK87048 pep chromosome:Setaria_italica_v2.0:IX:5272567:5274417:-1 gene:SETIT_037535mg transcript:KQK87048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSGVCAKRIVVDARHHMLGRLSSIIAKELLNGQKVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRSPAKILWRTIRGMIPHKTKRGEAALARLKTYEGVPPPYDRTKRMVIPDALKVLRLQPGHKYCLLGELSKEVGWNYHDTIRELEEKRKEKAKVAYERRKQLAKLRVKAEKTAEEKLGSQLEILAPIKY >KQK88256 pep chromosome:Setaria_italica_v2.0:IX:12658530:12659528:1 gene:SETIT_038317mg transcript:KQK88256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKDDAPTWADQWGSGDDGSSNGKADDSKKTVAGNVKAAASEGFVKAKAAALVGASKVKSGTSSGIKWVKEQYQKRASK >KQK88121 pep chromosome:Setaria_italica_v2.0:IX:11666499:11667574:1 gene:SETIT_038186mg transcript:KQK88121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKPPLQQAAAALLLLLLVVLVAAAPWLQTAGAASGFCSSKCGVRCGRASARARGACMRTCGLCCEECNCVPTGARGGVNECPCYRNMLTAGPRKRPKCP >KQK90354 pep chromosome:Setaria_italica_v2.0:IX:41978617:41981274:1 gene:SETIT_040242mg transcript:KQK90354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCTSKAALEHRRPARYYTRGRRVRSRSRSIMPEAPQSQQLSDSRGRMTGFSVSEIVHVETANRGKSEHSKTFHLTQMQWHHSQRDCKGCSNEDAWFDSVSILEDDSDDEFKSVNGDSSDEDEDQMKQYESASRFADALSRIGEICRGVPMTLSVEQYLKRDNVKSDDHGCRSQSMSVCATKCLPTSFSFQGLKDKNDTDDDNKESPTPSRLQKLLHSISFNDRMQQLTGGSPAKKKSTVIRLSYKTTACDGCEDSSELGKSKKYVVRPKVGQTIPCGGEKPTTGCWSRIDPSLFKLRSETFLKDKKKCAAPNYAAYYPIGVDLFACPKKVHHIAQHIELPQIRTHPKLPSLLIVNIQMPTYPASMFLGDSDGEGFSLVLYFRISEYYDKEVSEHFKDSIMRFFENESEKVKGFTSESTIMYRDRLKIMAGLVNPDDLQLGSTERKLVQAYNEKPVLSRPQHNFYEGENYFEVDLDIHRFSYIARKGLDSFRERLKNGILDLGLTIQAQKQEELPEQVLCCVRLNKIEFVNHGQVPTIVTVDEK >KQK87864 pep chromosome:Setaria_italica_v2.0:IX:9827012:9831430:-1 gene:SETIT_034326mg transcript:KQK87864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNAAQFKETALINPRKMASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRSSIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGLSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPSTKFSYASHKAVSEYKEAKALGIDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGSILPIYKEVVAELKAAGASWIQFDEPTLVKDLDAHELAAFSSAYAELESALSGLNVLIETYFADIPAESYKTLTSLSGVTAYGFDLIRGAKTLDLIRSSFPSGKYLFAGVVDGRNIWADDLAASLSTLQSLEAVAGKDKLVVSTSCSLMHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAALASRKSSPRVTNQEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYISAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPHPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALTNMVSATKLIRTQLASAK >KQK87865 pep chromosome:Setaria_italica_v2.0:IX:9827012:9832390:-1 gene:SETIT_034326mg transcript:KQK87865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLEKVATDLRSSIWKQMSEAGIKYIPSNTFSYYDQVLDTTAMLGAVPERYSWTGGEIGLSTYFSMARGNATVPAMEMTKWFDTNYHFIVPELGPSTKFSYASHKAVSEYKEAKALGIDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGSILPIYKEVVAELKAAGASWIQFDEPTLVKDLDAHELAAFSSAYAELESALSGLNVLIETYFADIPAESYKTLTSLSGVTAYGFDLIRGAKTLDLIRSSFPSGKYLFAGVVDGRNIWADDLAASLSTLQSLEAVAGKDKLVVSTSCSLMHTAVDLVNETKLDDEIKSWLAFAAQKVVEVNALAKALAGQKDEAYFAANAAALASRKSSPRVTNQEVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPVLPTTTIGSFPQTVELRRVRREYKAKKISEEEYISAIKEEISKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPHPMTVFWSKTAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKKEVEDLEAAGIQVIQIDEAALREGLPLRKSEHAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIINMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALTNMVSATKLIRTQLASAK >KQK89425 pep chromosome:Setaria_italica_v2.0:IX:28974128:28979310:-1 gene:SETIT_034043mg transcript:KQK89425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWPWKKKSSEKSSNTDALQSSNQAEQDEKVPKYVQISPERYSHLLESIQQIEILNAKIKVLNEKVSAAQSEMNTKDALVKQHAKVAEEAVSGWEQAEAEASALKLQLETVTLSKLAAEERAAHLDGALKECMKQVRTVKEEGQQKLHDVVFAKTKHWENIIAELEAKLVDYEQDLIRAGAENDALSRSLEERANLLLKVNEEKAQAEAQIEVLKSTIQSGEKEINSLKYELHVVSKELDIRNEEKNMSVRSADVATKQHMEDVKKISKLEAECQRLRGLVRKKLPGPAALAQMKMEVDSWGRDTGDNRLRRSPSRSSTLQYPMSPSPDYARENLENMQKENELLMARLLSMDEETKMLKEALSKCNHELQASKNICAKTSGKLRSLELHMSSANLYKSPTNSYIDTSASSQKGSNPPSLTSMSEDGVDDTRSCEESWANTLVSELLHIKKDKGNKHNLTENSNQMGLMDDFLEMERLACLSSEAQECEGIVKEKVGKIGATLSPVTEKESEKNSSPALQLPGSPFSSDHLIASPSLSKLQLRISALLSSQSPQNNVVKVLDGIRNILRDIEHEVESVNVTKLQQEDTFEVTGNGSSTKEAKPLGLMDHRLTNAILNIHEFVKLFIRQTSELQGSSYYNVVSQKIEQFSRLVDEVLSDGNGLNEIVIALSEILLESGEFKLTLLRESANEAETNNLDCVDKSTLLENKAHHEPSQDSMSGASSLIPHSSSDPDFGGPSSGGAFDVKTTVRICTPEECEKLKLQKRNLDIELMKCNEMIETTNLKFSVMEKNLEDLTLKLAACENSNSLAETQLKCMAESYKTLETQKVKLEEEIRVLQTKIDTLSADLAEERRSHQEDIAKYRDLKEKMERYEKSSLFVAENSDIELKKEKEIAAAAEKLAECQETILLLGRQLQTLRPPPLESLSSALNTQPVGQFPEDAGPARSVHSKKPSGQFDADYTFSSAPGTGNVSPLSGYNRHKSPSNAAGSPYLTSPGSSKRPKHRSRSSSSSFSNQLPEKHGRVFSRLFSKGKSDR >KQK92754 pep chromosome:Setaria_italica_v2.0:IX:57092342:57096219:-1 gene:SETIT_036865mg transcript:KQK92754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVQRLLRAAASGGAATAVARRRMASLAQEQAPSAAAAQKGFPFAAERMRGLPAAERNVQWVFLGCPGVGKGTYASRLSRLLGVPHIATGDLVRDELASTGPLAAQLAEIVNQGKLVSDEIIFNLLSKRLKKGEDQGESGFILDGFPRTVKQAEILDGVTDIDMVVNLKLREDVLVEKCLGRRICSQCGKNFNVACIDVKGENGLPAIYMAPLLPPNNCMSKMTTRADDTEEVVRNRLRIYNDMSQPVEDFYRDQGKLLEFDLPGGIPESWPKLLHVLNLEDQEELKLAAA >KQK88538 pep chromosome:Setaria_italica_v2.0:IX:14927785:14930440:-1 gene:SETIT_040255mg transcript:KQK88538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHVATSPLRPHSSLSARGLRLRPRCPPPRAPRPPASSSSSSRSLPLPASRPSAPPAAASAPSPPHPPAAPRPCARAASPPRPPLPPPPTRSTASAPPRTSSASSTPAPRAPLPSSPRRSPAASKVDGDGEGEAAVPASPAASGKELWEWASLVSPFFFWGTAMVAMKGVIPRTGPFFVAALRLLPAGALLVALAAARGRKQPSGWEAWLAVAAFGLIDAACFQGFLAEGLQKTSAGLGSVIIDSQPLTVAILASLLFGESIGAIGAGGLVLGVAGLLLLEVPALSLEGNDATIWGSGEWLMFLSAQSMAVGTIMVRWVSKYSDPIMATGWHMIIGGLPLLVISVLNRDPALSGHIQELTWSDILALGYTSVFGSAISYGVYFYNATRGSLTTLSSLTFLTPMFASIFGYLYLGETFSPVQIGGALLTLVAIYMVNYRSIVGEK >KQK89995 pep chromosome:Setaria_italica_v2.0:IX:38411283:38414176:1 gene:SETIT_035741mg transcript:KQK89995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAPLRLAVIGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYAPPATSSDPLGAAATHSSLYASLRTNLPRESMGFLDFPFSAAAPGSADPRRFPGHEEVLRYLEAFARRFDLLRLVRFETEVLRVRREGRGKWEVTSRKLGDKGSGQEEVYDAVVVCNGHYTEPRIAVIPGVDAWPGKQMHSHNYRVPEPFLDQVVIIIGAAASADDISRDIASTAKEVHIADRSAPVCTCVKQPGYDNLWLHSMIDRAEEDGSVVFQDGSSIKADVIMHCTGYLYDFPFLGDDSTITVDDNCVDPLYKHVFPPEVAPQLSFIGLPWKVIPFPLFELQSKWVAGVLSGRIVLPSKEEMMEDVKAFYSKLEARGWPKRYTHNFSNYQVS >KQK89994 pep chromosome:Setaria_italica_v2.0:IX:38411283:38414657:1 gene:SETIT_035741mg transcript:KQK89994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAPLRLAVIGAGAAGLAAARELRREGHAPVVFERAAAVGGTWLYAPPATSSDPLGAAATHSSLYASLRTNLPRESMGFLDFPFSAAAPGSADPRRFPGHEEVLRYLEAFARRFDLLRLVRFETEVLRVRREGRGKWEVTSRKLGDKGSGQEEVYDAVVVCNGHYTEPRIAVIPGVDAWPGKQMHSHNYRVPEPFLDQVVIIIGAAASADDISRDIASTAKEVHIADRSAPVCTCVKQPGYDNLWLHSMIDRAEEDGSVVFQDGSSIKADVIMHCTGYLYDFPFLGDDSTITVDDNCVDPLYKHVFPPEVAPQLSFIGLPWKVIPFPLFELQSKWVAGVLSGRIVLPSKEEMMEDVKAFYSKLEARGWPKRYTHNFSNYQFEYDDWLAEQCSHPPIEEWRKRMYAINGINKAIRPESYRDEWDDDHLVAEANEDFKKYL >KQK90669 pep chromosome:Setaria_italica_v2.0:IX:44374372:44374825:1 gene:SETIT_040695mg transcript:KQK90669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRRALRLPDAASSILKVFSCTLLHQFLACMQLILQCNSSMCALKQ >KQK89767 pep chromosome:Setaria_italica_v2.0:IX:35754261:35756773:-1 gene:SETIT_036120mg transcript:KQK89767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLFAAASHASLIPLFSPDPAAARLVRGTLRSRTSGLRLRGAPRAAGRGRGRGRGSGGIPDEWGERSPPGAPEPPAQPDLPVDEDEWGGDTAEGNSRPIVVDEWGEPAEPEPEPEPEPPSAADPPSPSADNEWGKDPSAPAPAPAAEEVDEQAERREDLKRCLVDTVYGSELGFRASTEVRGEVVELVTQLEAVNPTPAPVEAPDLLDGNWILIYTAYSELLPILAAGATPFVKVKQISQEIDSKSMTIVNASTLTTPFASFSFSATASFEVQSPSRIEVQFKEGSFQPPAISSTVDLPEQVDIFGQKISLGPVQQVLNPLQQTFASIAGSISGQPPLKVQIPGNNRGRSWLLTTYLDKDFRISRGDGGLFILAKEGSPFLDQL >KQK87747 pep chromosome:Setaria_italica_v2.0:IX:9069053:9071529:-1 gene:SETIT_035794mg transcript:KQK87747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGFCAAGAAAMELGDVMGMQQGVAVTAPSLRESDLGLLKRAGLTQAAAAAASYPSPFLDEQKMLRFSKAAHALPSGVDFGRPNEQAFLLSRTKRPFTPSQWMELEHQALIYKYLHAKAPIPSSLLISISKSFRSSNRVSWRPLYQGYTNADSDPEPGRCRRTDGKKWRCSKEAMADHKYCERHINRNRHRSRKPVENQPKKTAKDTPAAAAAGSLPCAVSQGSLKKAKVNDSKPGTVSYWTDSLNRTMLSKEKANQQTEDNNTPLIKSTNRQPTLSLLSQLKQHNKPDKFSPAVDNASISSDTILKAWESINKHSQKSISSTQLHDAESLQSVLQNFSLAKNENMESEKNKYSDSMLVSSTFYHSAEGPRTTCLTPNMTQVQADCISSSWEMPQGGPLGEILTNSKNSNDSNKKCDSRSYGWLLNLDHAP >KQK86745 pep chromosome:Setaria_italica_v2.0:IX:3541829:3547403:1 gene:SETIT_040152mg transcript:KQK86745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAAATVVLAVNGQRYEAAGVDPSMTLLEFLRTRTPVRGPKLGCGEGGCGACVVLVSKYDRATDEVTEFSASSCLTLLHSVDRCSVTTSEGIGNTRDGYHPVQRRLAGFHASQCGFCTPGMCMSIFSALVKAEKAADRPAPPDGFSKLTTSEAERAVSGNLCRCTGYRPIVDACKSFASDVDIEDLGLNCFWRKGSEAADVSKLPSYNSGAVCTFPEFLKSEIKSSVDQANGATVMDSEDGWYHPKNIEELHGLFDSDWFDENSVKIVASNTGSGVYKDQDLHDKYIDIKGIPELSVINRSSKGIELGAVVSIAKAIEVLSDGNLVFRKIADHLNKVASPFIRNTATVGGNIIMAQRLPFASDIATVLLAAGSTITIQVASKRICLTLEEFLQQPPCDPRTLLLSIFVPDWGSDDIAFETFRAAPRPFGNAVSYINSAFLARTSSDHLIEDMCLVFGAYGVDHAIRARKVENFLKGKSVSPSVILEAVKLLKETVSPSKGTTHPEYRISLAVSFLFSFLSSLPNSSSAPAKVDTLNASYTNGITNVSTEYSPVEHLKVDSNDLPIRSRQEMVFSDEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSSHPHAHVKGINFKPSLASQKVITVITAKDIPSGGENVGSSIMQGDEALFADPVAEFAGQNIGVVIAETQKYAYMAAKQAVVEYSTENLQPPILTVEDAIQRSSYFQIPPFFAPKPVGNYNQGMSEADHKILSAEVKLESQYFFYMETQVALAIPDEDNCITIYSSTQMPELTQNVVARCLGIPFHNVRVITRRVGGGFGGKAMKPTHIACACAVAAFKLRRPVRMYLDRKTDMIMAGGRHPMKVKYSIGFKSDGKITALHLDLGINCGISPDGSPAMPRAIIGALKKYNWGALEFDTKLCKTNVSSKSSMRGPGDVQGSFIAEAIIEHVASALSVDTNTIRRKNLHDFESLAVFYEESAGEPSTYSLVSMFDKLALSPDYQHRAEMIELFNNSNKWKKRGICCVPCTYEVSLRPTPGKVSIMTDGSIAVEVGGIEIGQGLWTKVKQMTAFGLGQLCPDGGECLLDKVRVIQADTLSMIQGGFTAGSTTSETSCEAVRQSCAILVERLKPIKESLEANANPVEWSALIAQASMASVNLSAQAYWTPDPSFTSYLNYGAAISEVEVDVLTGATTILRSDIVYDCGQSLNPAVDLGQIEGSFVQGVGFFTNEDYATNSDGLVIHDSTWTYKIPTVDTIPKQFNVEMFNSARDKKRVLSSKASGEPPLVLAASVHCAMREAIRAARKEFSVCTGPANSATTFQMDVPATMPVVKELCGLDVVERYLESVCAAGSNTAKA >KQK87875 pep chromosome:Setaria_italica_v2.0:IX:9895157:9897359:1 gene:SETIT_040571mg transcript:KQK87875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSQSLVFTCCPRHLHHIIALQEWCFMCVVILLLLRRWKGGAVVDNCWSSSIA >KQK87437 pep chromosome:Setaria_italica_v2.0:IX:7302905:7309518:-1 gene:SETIT_034757mg transcript:KQK87437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMESLIGLVNRIQRACTVLGDHGGGGEGSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEGGQEYAEFLHAPRKRFTDFAAVRKEIADETDRITGKTKAISNVPIHLSIYSPHVVNLTLIDLPGLTKVAVEGQAESIVQDIENMVRSYVDKPNCIILAISPANQDIATSDAIKLARDVDPSGDRTFGVLTKLDLMDKGTNAVDVLEGRQYRLQHPWVGIVNRSQADINRNVDMLSARRKEKEYFESSPEYGHLAHKMGAEYLAKLLSQHLEAVIRAKIPSIIALINKTIDEIEAQLDKLGRPIGGDAGAQLYTILDMCRAFDRVFKEHLDGGRPGGDRIYGVFDNQLPAALKKLPFDRHLSMQNVRKVISEADGYQPHLIAPEQGYRRLIDSSLSYFKGPAEASVDAVHLVLKELVRRSIAATDELKRFPTLQSDIAAAANESLERFREDGRKTVLRLVEMEASYLTVEFFRKLPTEPEKAADKNTPVSDRYQDNHLRRIGSNVSSYINMVCETLRNTIPKAIVHCQVKEAKRNLLNRFYAHVGSKEKKQLSAMLDEDPALMEKRDALVKRLELYKSARNEIDSVAWK >KQK91378 pep chromosome:Setaria_italica_v2.0:IX:49494214:49497994:1 gene:SETIT_036102mg transcript:KQK91378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFRFALIRAARSRSRAELQTAQRRRPSDLAQRFAHSAAAPARPSFGIAFDVDGVILRGRSPIGGAPRAIRRLYSEDGTLKIPFLFLTNGGGVPEHRRALELSQLLGVNISPTQVVHGHSPYRELVDRFEDDLIVAVGKGEPAVVMSEYGFRNVLSIDEYASYFKDIDPLAPFKTWKVGQTDSYMSAKVHPSYDVYSERVKGVFVVSDPVDWGRDLQVLCDILSTGGLPGSGKGDQPPLYFAADDLEYQAAFPSERLGMGAFRIALESIFNQINDRPLKYTSYGKPNPFVFKNAANILEKLVMSMYPNSQTSKEVKDCQFSTIYMVGDNPRVDINGASKAGHPWSSVLTRTGVFRGKDNDPQFPADTVVDTVEDAINYILEKECIC >KQK88752 pep chromosome:Setaria_italica_v2.0:IX:16905281:16906939:-1 gene:SETIT_035365mg transcript:KQK88752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAMAAAASSRAFLRPHRLLLLRHAHPLRRRLSATASAPVSNAASAGVVDVLRERGLVEATTSEALAAARPGELKVYCGFDPTAESLHLGNLLGLVALSWFRRCGHTAVALVGGATGRVGDPSGKSAERPELDVAAVEANSDAIKSLVAQILGRVPEPAHHSQPCKNEQPLVNSAADSSAKMGSFVILDNYDWWKDITLLDFLKEVGRFARVGTMIAKESVKKRLASEDGMSYTEFTYQLLQGYDFLHMFKNMGVNVQIGGSDQWGNITAGTELIRKILQVEGAHGLTFPLLLKSDGTKFGKTEDGAIWLSSKMLSPYKFYQYFFAVPDVDVIRFMKILTFLSLDEIQELEESMKKPGYVPNTVQKRLAEEVTRFVHGEEGLVEALKATEALRPGAQTQLDAQTIEGIADDVPSCSLAYDQVLKSPLVDLAVSTGLLASKSAVKRLIKQGGLYLNNIRIDSEDKLVEEGDIVDGKVLLLSAGKKNKMVVRIS >KQK87881 pep chromosome:Setaria_italica_v2.0:IX:9939992:9944866:1 gene:SETIT_034651mg transcript:KQK87881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAGTSGCGGSGWGGGFRSLMRRKQVDSDRVRAEGQPQLAKELNVPELVAIGVGSTVGAGVYVLVGTVAREHAGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALVLEYTIGGSAVARGISPNLALFFGGPDSLPWLLARHQLPWFDIIVDPCAAALVFVVTVLLCVGIKESSFAQGVVTVLNACVMIFVIIAGSYIGFQIGWVGYKVSDGYFPYGVNGMLAGSATVFFAFIGFDTVASTAEEVKNPQRDLPLGIGVALAICCALYMAVSIVIVGLVPYFAMDPDTPISSAFAKHGMQWAMYVVTSGAVLALCSTLMGSLLPQPRILMAMARDGLLPSFFSDVNKQTQVPVKSTIVTGICAAALAFAMDVSQLAGMVSVGTLLAFTIVAVSILILRYVPPDEVPLPPSMQESFRLNQECDEEKDRDLLGDGNCNTSQTNDVIVLVESMKDPLIEKRQHKGKMDETKRRKIAAFSIGSVCVGVLILTSSASVTWLPFLPICIGCIIGVVLLFAGLGMLSWIDQDDGRHSFGHSGGFTCPFVPVLPVMCILINTYLLINLGGGTWMRVGIWLLMGVLVYIFYGRTHSSLTDVVYIPVAQADEIYRSSSGYVS >KQK93106 pep chromosome:Setaria_italica_v2.0:IX:58814267:58818718:-1 gene:SETIT_034079mg transcript:KQK93106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFEEQVVADLVEDPNGGLVVLSSGFPLASLAAALLLQLHLHHRPGDAAGGGGCLLVLSAPDPLKARILRRLQDRLQVLDVPPDLPAQQRASLYASGAALFLSPRALAADLLTSRLHPSHVRALLLLSAHRSSDTSSDSFIARLLRQRHLLPVYAFSDRPHAMVAGFSKAERAMKSLYVRRLHLWPRFHVLAAADLERAPPDVVDVRVPMTPAMRGIQAAVLAAMDACLKELRRTNKVDVEDLTVDKGLFKSFDEIVRRQLDPIWHTLGKKTKQLVADLRTLRKLLDYLVRYDAVTYLKYLDTLRVSEGVRSVWILADSSHKIFELAKRRVYQVVRADGIKVSTDKKGTPTKKRKVAHNSTDKGKEYENEDSTADKHNTQKVNADAGIVLDEVLEEAPKWKVLQELLQEIAEEQTKGDGENAQAEDESGIVLVTCKDERTCFQLQECISKGPHKVMQEEWEKYLLGKAELHGLQKKNKKKSEQPKGFGVLDGEVQMGPSESAGPVSISKLETNALLAAASELRNVTKEADVKDGSNVSCSKRRLVKEKAKGKSKKTTEKRQASNRKNKSKGGNDNDQGTALEVEGQSGKTDEHADIDASKVSTDASVSSSTAGDACNYSSDFRGLTNGNPLPPVQFHALDGDQHILDVWKPSIIIVYHPDITFVREIEVYKAENPSRKLKVYFLFYEDSTEVQKFESSIRRENEAFESLIRQKSLMMIPVDQDGRCIGPTLANEPDPLLSQNSITRKAGGKKTPEKEMQVIVDMREFMSSLPNVLHQKGIRIVPVTLEVGDYVLSPLICVERKSIADLFQSFASGRLYNQVDTMARYYKIPVLLIEFSQDKSFSFQSASEIGDDVSLTNIISKLSLLVLHFPRLRIVWSRSLHATVEIFVSLKTNQDEPDEKKAIRVGVPSEDGIVEDDVRAENYNTSAIEFLRRLPGVTDSNYRAIMDGCNSLAELALLPVEELTGLMGSQKGARTLKEFLDAKCPTML >KQK88705 pep chromosome:Setaria_italica_v2.0:IX:16382562:16383461:-1 gene:SETIT_037086mg transcript:KQK88705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTHSTSGVGAALVGMQPRPATYTNPTPLLTLARPSSPSARAGPRASFAVCSRRNRPLALAATLGSCPLEQGTTAAAVRPHPRPCPLAQGAAVLAAATASSRWASPACFMLQFLTQSTRVLTAERMQAACTASPRLAHQRASYLEARRRFPEFEARRSGPTQPGLPLASWSSAGWWSPPEEPMGARGSPPLPELLGAAVASTALLGAAVPTPATPLGATPTPFAACCSHSAMAVHWWEVNGERGGWVHGGAREAGRGKVG >KQK87942 pep chromosome:Setaria_italica_v2.0:IX:10328293:10329466:-1 gene:SETIT_036762mg transcript:KQK87942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDPTVCVFGEDVGHYGGSYKVTKGLADMFGDLRVLDTPIAENSFTGMGVGAAMKGLRPVVEGMNMGFLLLAYNQISNNCGMLHYTSGGQLKIPLVIRGPGGVGRQLGAEHSQRLESYFQSIPGLQMVACSTPYNAKGLMKAAIRSENPVVLFEHVLLYNLKEKIPDEEYVLCLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSIKKTHRVLIVEECMRTGGIGASLRSAIIDNFWDYLDAPIMCLSSQDVPTPYASTLEDATVVQPAQIVAAVEQICQ >KQK90772 pep chromosome:Setaria_italica_v2.0:IX:45680056:45686745:1 gene:SETIT_035372mg transcript:KQK90772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAATLYGAKTQTETLRPGPLRPANIIRSKFPTYKNGSNGIVIKLADGPEIPPLKEIVAKETADLLDRRQRLSVRELAMKFEKGLNTATLLSNEVKWRQVALLERDILLKNLKSVLESLRGRVTGKTKDEIEESISMVEILAVQLSKREAELLQQKEEVTKLAKSLKQASEDAKRIVEEERANAHTEIESAKDAVQRVQQAVQEHEKMCQSTGKQDMDELKKEVREARRIKMLHQPSKAMDLENEIRILRKTFAEKSTDCVNLLKELELHKRLKENSTPSFDLEGLQCLGSVLCIVALSGTHMDLSNISIQWFRIHPKESNKEIISGATRPVYALEPHDVGRYLQAEIDVGGEIAVAKTAGPVDPDAGLVDYVETLVRKPETEFNVVVLQLNGIEQPKESVHVLNVGRLRMRLTKGKSVVAKEFYSSSMQLCGVRGGGEAASQAIFWQPRNDLSLVLAFETARERNTAIMLARRFAIDCNIILAGPGDKTPW >KQK87348 pep chromosome:Setaria_italica_v2.0:IX:6801485:6814170:-1 gene:SETIT_033937mg transcript:KQK87348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEDEFGDLYTDILIPTQTPASTSAPSNPAPVETLPRPPPNPNPTPAAAAPAAAEDDDDWLLGGSDPIPGVDPTGDWADEDDDGGAPPPPVKREAAAPAKPPPAADDLDPLMGGGAGDSGPAIPGLSSSAAAGAAGSEDWDSDSEDDIQIVLNETDGRRGLGEDEGDDEDGEDLVIVADGPHIPGMEEQDWGEDPASAGVEGDRKDGGEPGKAVAAPGGRIGYSGGGPGFHPQHHSMFKYVRPGAPGASIGGAPGGPGQFRPPGPSGPFSGRGRGDWRPAGGRGMNKNFHSGYGSTPWGGSGRGFGGGLDFTLPPHKTIFDIDVDAAFEEKPWKHPGADISDFFNFGFDEEKWKDFCKQLDQLRLESRMQSRIRVYESGRSEQDYDPDLPPELAAATGHPDISVDNRNKTDNGHTDFDAQGRGPANVRTPVMTGRPIQVENIYTDRIPTADSRPHRMRESDSVIEIVCQGRDSMDDETVDQTEKDSQGGNKKGSHDVEEDKPYPSDKINNSSHNSNLGIKTEHKKQLPVSSESDMLSTGVNANAHSPPSYKTRGSPRGARSLKGRSLGQNSIREIESSNEVIPRQSSSKRRHDSRRENPVEGQETKVDSEGSLVAADDVADKLSTEDHFDDNDDDGRLALVDSVEVDGDDATSEPPSDTNEDDILDHSGKKQKPISMVEQPAGHNSSEPDELRTSENSKGRSGSSKDQQKRLESGEEVLQDRHPRRVNDVRRHHDVEERNLRRKDEFSRDGKPDVERSHLPSRGREDPHQTYANRDRVDIRSRSYDRVRETEIWPRREDSVHGRRGKEEDLRLEYNAEVGARHNRNKARPTDRNDRDEDLHSRKRLDDGDWRGSRQRERGDVVLNRRESLDDSHIKRNKDDENLRRMKPENEDMVPGYRARDDNNRRKRERDDGVDQKRRDDSGRMREKVDDRHHAKHKDDNWRQREREDRQRPKHENALTLQREEGRGTGRGRVMDDKLVSGGKKKDELRSSVLSKEPQERTRQNEPSRRGQGAEENSLQNKGRSDVHPRDDNSNSERSSRQEKQNNNRLSGSSDARHAGRDRHRESTRKGRSSEPGEHDLHRSSKRRREDHESHRTGKVETKEANEQENSRGHAASSKKSQNPQPDNSLVNQVEEDAISDDENHEDSRRGRSKLERWTSNKEIEYSNIDDDSTQTFPTIKTDVQAPIADLLGKSEVSAAVGNSDIKSSVDTGQTSDKIAEERDRHLDTVERLKRRSERFKLPMPGEKDAPQSKKVDNEVQLPQNEPAAADMEVKPERPARKRRWTSGS >KQK91822 pep chromosome:Setaria_italica_v2.0:IX:52004551:52008006:1 gene:SETIT_037807mg transcript:KQK91822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAVMVISTQAKPRLLKFYNFQPPEKHQDLVRGVFQLLSARPDSVSNFVEVDAIFGPGTKMVYKHLATLYFVFVFDSSENELAMLDLVQVFVETLDRCFKNVCELDIVFNFNKLHTILDEMILGGQVIETSSEQIMKSVEEIARLEKQSSTTSLIPKSISERFSR >KQK90272 pep chromosome:Setaria_italica_v2.0:IX:41162415:41165893:-1 gene:SETIT_035581mg transcript:KQK90272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECPPPVRREDGEIDWAEAARKYLDVVSSGHEGAAVRATLEVKRMASHAPDPAVRLAVWALVGLLDRSPPPRLQAAASQALCSVVRVDGGKFADEIVDAGFLPVAQRLLPESEEVPQRILLRCLSCILSFHATSRVVFARGGGAEVILDLFQGCSGATKRHLVEILSALALVREVRRLILADGKVEYLVEAISFGNLVSRTRAAQSAGLLGASTNGRSSLVEMGAPLALVGLMRDGDSSAKLVAANALGIVSSIGHHLPLIHQSGAIPLYAELLKENPPLAKDIVEDVFCILVSIRDNTGAVLENLAGILTGQDDLAISSAVDVLLALAEYKSIITFLKSSGVITVLVDLLQNRNHDVVEKVTGVVAQLSYEECIREGLMEAGAIPILLDLLHGRLEDLTEFAAAEALINFSEDPSCREYAPMLQRVPELSAFRDHLFHFRISQGHLIQSARRRIEQRLNSQ >KQK86513 pep chromosome:Setaria_italica_v2.0:IX:2372680:2377038:1 gene:SETIT_037690mg transcript:KQK86513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDLVARTGRHQQRYEDGRRLVAGCIPFRYRANNDETSDDKHKKLVEVLMINSQSGPGLLFPKGGWENDETVEEAAAREAIEEAGVRGDIVHLLGFYDFKSKTHQDACCPEGMCRAAVFALHVKEELTSWPEQSTRQRTWLTVPEAASQCRYQWMQEALLTGFSEWHDKWSRSGGGTNCDPA >KQK88512 pep chromosome:Setaria_italica_v2.0:IX:14746945:14750265:-1 gene:SETIT_036754mg transcript:KQK88512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGAVRGGRPLLGGGGGGGGKRGGRPSMAVVAALLLACAALLLLLALGALSLPGASDGAGPRGAGLSRPRLRSRFRRSASESGLEMRGEKGEPWTEVLSWEPRAFVYHNFLSKEECEYLISLAKPQMKKSTVVDAATGGSKDSRVRTSSGMFLRRGQDKIIRIIEKRIADYTFIPVEHGEGLQVLHYEVGQKYEPHFDYFHDDYNTKNGGQRIATLLMYLSDVEDGGETVFPSATVNSSSSPFYSELSACAKRGLSVKPKMGDALLFWSMKPDGSLDPTSLHGGCPVIKGNKWSSTKWMRVHEYKI >KQK91737 pep chromosome:Setaria_italica_v2.0:IX:51516368:51518347:1 gene:SETIT_039943mg transcript:KQK91737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASRSHLPATGDVDALLRLVAACRAPAHLPSLRAAHARLLLLLHPSHPSAAPARVKLIQAYAACSALPAAHAVLESSCPLDRGGTTTTAVCFNVLIRALTAASLHRDALRLFVSMRPRGPACFPDHYTYPLALKSCAASKELLLGLQIHSAVAKLGLDANRYVAHSAISMYARCGRPEDAYRVFDGMQHRDVVSWNAMISGFARAGLFERAVEVFKEFVALQCSIPDAGTMASILPAMGNAKAEDILFVRKAFDEMQFKELISWNAMLAIYANNGYHVKAVELFLRMEKDGIGVKPDSVTLATVLPPCGELSAFSVGKRIHENIKRKMMLPNLLLENALLDMYANCGCLKDAREVFDSMSARDVISWTSIISAYGKHGHGREAVDLFEKMLGQGLEPDSIAFVAVLAACSHAGLLDVGKRYFGSMTSRYHITPKAEHYTCMVDLLGRAGCISEAYDFITTMPIEPNERVWGALLQACRIHSNMDIGVVAADSLFRLVPEQTGYYVLLSNMYARAGRWADVTSVRSVMANKGIKKLPGASIVELGDRVHTFHIGDRYHPQSEMIYQKLDELLGRIRGMGYNPEVEATLHDVEEEDKEGHLSVHSEKLAIAFLLINTSPGTPIRVTMNLRTCGDCHFAAKLISTITSREIILKDTNRIHHI >KQK90511 pep chromosome:Setaria_italica_v2.0:IX:43281933:43285232:1 gene:SETIT_037279mg transcript:KQK90511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLTIHVKILITEFLAIISCRPLHCIPPRNGKASVSSSFWRRCQQTAEFVARPSLLLRSAFRPSASCCLAKSLDPNPGAFCKCSGAWGRRQSRRRRLPPLSHGEASRAHKCRIHTHRVWQRQDPEIERQRGVGRRSRHRLAGGRSSQANCARRQLGPSTPRRGLEELNPTTSAERDFCCSGSVVAWSASIDLMAPRCRLSTSNVNLHLQASGELGRAASRLAQHWAYQPPLRVLL >KQK87457 pep chromosome:Setaria_italica_v2.0:IX:7401685:7402829:1 gene:SETIT_0366071mg transcript:KQK87457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RERKRQPAAKAAVAGMATPTSSGGGGSPPAASDLVPPSYPEMIVAAIAALAEENGSSQAAIARRIEAEARGDLPASHPALVAAHLSRMSAAGELVAVAGGKYALPPPPPPPPAPLAPESPADDEDDDCADEEEAPEPLPQPPAKRGRGRPPKVRPPGFPAAGPGPVGAPGAAAAAPRRRGRPPKPRDPHAPPKIPRPRGRPRKNPLPEGMAPRPRPGAPTTAKAARPQFAEVGFV >KQK86749 pep chromosome:Setaria_italica_v2.0:IX:3561684:3567827:-1 gene:SETIT_034457mg transcript:KQK86749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHAVLARLPPGSVRLVIAFGLLLLVSLLVLRRRPAGPLTRASAGGGRIPDTAALFLSLSAGANASIKADLRALTAGPHLAGTADAAGPAAHVLGRLRAAGLQTLTREYSPLLSYPGNASLALLRPDGSLLARLSLDEPADEVRPRRLVPPYHAYAPSGGAVAEAVYVNLGREEDYAALERIGVGVRGRVAVARRGGGYRGGVVARAAEKGAVAVLIAGRPDGGVERGVVLLGGPGDPLTPGWAATGRAERLGFDDEAVKRRFPKIPSMPVSAETAVEIIRSLGGPAIPADWQEAGLGVDAGGVGPGPTLVNFTYQEDRKFETIQDIFGVIKGSEEPDRYVILGNHRDAWTYGAVDPNSGTASLLDIARRLGIMLQSGWKPRRSIILCSWDAEEFGMIGSTEWVEENLADLHSKAVAYLNVDCAVQGVGFFAGSTPQLDKLLVDVTRQVKDPDVMGKMVHDTWNEMDGGISIERLARTDSDFAPFLHHAGIPSVDLYYGKEFPGYHTALDSYNWMEKFGDPLFLRHLAITEIWGLLALRLADDPVLPFDYQVYASQLQEHTNALSALMSNSQAVNLMNGFINDLSGAATEVLKEAKKLQQLDLYDEHARMRRRSLNDHLLLAERSFLQAEGLQGRAWFKHLLYSPPEDYESKLSFFPGIADAISRSGNLSAEEREVSIQHEVWKVSRAIQRAASVLRGEFSRQNEPSNLSSLVTP >KQK91420 pep chromosome:Setaria_italica_v2.0:IX:49705911:49708741:-1 gene:SETIT_034514mg transcript:KQK91420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSGKGVADLEIGLASPGSEGAPSPASSAGASGERPDHSPARAAKRPGLVMSFSGKRLDQSPAASPSRPLLVMSHSSNRLDSSPARPVLVMSRSSNRLDQSSPASSPATARGPVLVMSGSSNRLDSSQPSPSPSPSPTAAAAVLVLSNSGKRMDQAGRKKYVKQVTGRHNDTELHLAAQRGDLEAVRQIIAEIDAQMTGTGEEFDSEVAEIRAAIVNETNEVEETALLIAAEKGFLDIVVELLKHSDKESLTRKNKSGFDALHVAAKEGHRDIVKVLLDHDPSLGKTFGQSNVTPLITAAIRGHTEVVNLLLDRVSGLVELSKANGKNALHFAARQGHVEIVKALLDADTQLARRTDKKGQTALHMAVKGTSAEVVQALVNADPAIVMLPDRNGNLALHVATRKKRSEIVNVLLLLPDMNVNALTRDRKTAFDIAEGLPLSEESQEIKECLARAGAVRANDLNQPRDELRKTVTEIKKDVHTQLEQARKTNKNVYGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNDDHGVAVAVHAAAFKVFFIFNAIALFTSLAVVVVQITVVRGETKAERRVVEVINKLMWLASVCTTVAFISSSYIVVGRHFQWAALLVTLIGGVIMAGVLGTMTYYVVKSKRTRKIRKKVKSTRRSGSNSWQHNSEFSDSEIDRIYAI >KQK92114 pep chromosome:Setaria_italica_v2.0:IX:53747969:53748264:-1 gene:SETIT_040832mg transcript:KQK92114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTNVKDKTISETTPKDCKNAKPSLHNVKLSTIA >KQK92001 pep chromosome:Setaria_italica_v2.0:IX:53166967:53170901:-1 gene:SETIT_035072mg transcript:KQK92001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRLLLLLALICLHAAPRWASAQQPEEATVIVKGSTRIAETDESYVCATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGAESPCTPFRNMSNGLFGFSVGCLSMDRWDKLNDLFQKTGAIVTFGVNALYGRYNVRRSIWAGKWNSTNAYDFVKYTISKGYPVGSWEFGNELSGHGIGAKVDAKLYGKDVIEFKSILQQLYKAPLSQPLLLAPGGFFDQQWYSQLLQTSGHGVVNALTHHVYNLGGGDDVHLIRKILDPKYLDRAEDTYRDMQLTIQRHGTWTSAWVSESGGVFNNGGPLVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGNYGLLDTQTFLPNPDYYSALLWHRLMGNGVLSIDSIAPRRLRAYAHCRRQQQGITLLLINLSNTTGYNVTLQNDINIGKRPDLEKRSSFSHRLRKAVSWLGSKASSDTKKREEYHLTPKDGDVQSKTMLLNGVQLELGDDGSVPAMNPVLAAVDSPVYLAPTSIAFIVLPEFEAKACS >KQK92000 pep chromosome:Setaria_italica_v2.0:IX:53166967:53171346:-1 gene:SETIT_035072mg transcript:KQK92000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRLLLLLALICLHAAPRWASAQQPEEATVIVKGSTRIAETDESYVCATIDWWPPEKCNYNQCPWGQSSILNLDLDHPFLAQAIQAFDNLRIRLGGSLQDRVVYDVGAESPCTPFRNMSNGLFGFSVGCLSMDRWDKLNDLFQKTGAIVTFGVNALYGRYNVRRSIWAGKWNSTNAYDFVKYTISKGYPVGSWEFGNELSGHGIGAKVDAKLYGKDVIEFKSILQQLYKAPLSQPLLLAPGGFFDQQWYSQLLQTSGHGVVNALTHHVYNLGGGDDVHLIRKILDPKYLDRAEDTYRDMQLTIQRHGTWTSAWVSESGGVFNNGGPLVSNTFINSIWYLDQLGMASKYNTKVFCRQTLIGGNYGLLDTQTFLPNPDYYSALLWHRLMGNGVLSIDSIAPRRLRAYAHCRRQQQGITLLLINLSNTTGYNVTLQNDINIGKRPDLEKRSSFSHRLRKAVSWLGSKASSDTKKREEYHLTPKDGDVQSKTMLLNGVQLELGDDGSVPAMNPVLAAVDSPVYLAPTSIAFIVLPEFEAKACS >KQK91403 pep chromosome:Setaria_italica_v2.0:IX:49609231:49609726:1 gene:SETIT_040508mg transcript:KQK91403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLILARSFRAASTHGHHRVKRGSAPCLAPTAAALSPGSGAHPPAHHDRSSRAAFSPCAATEAPQVLATLVAAGLPPRSALSRRHRGPIDSPSPAAPVGARFPTHAMAASRCLPPRSGQAQRRPSEITPPPPF >KQK86125 pep chromosome:Setaria_italica_v2.0:IX:387680:388150:1 gene:SETIT_040455mg transcript:KQK86125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLRQESHEAWSYPYLRHGCMKLRLLVYVVAHAGTMRLAIMFAHRR >KQK86436 pep chromosome:Setaria_italica_v2.0:IX:1873177:1873706:-1 gene:SETIT_039957mg transcript:KQK86436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGVAVADECVARFQELRTGRAHRFVVFKVDDSQQQVVVDKVGARDAGFGDLTASLPPDDCRYAVYDHDFTVGEATAAGPGGEAPRSKIFFVSWSPATADVRSKMVYASSCEGFKKELDGVQIDVQATDPSELTLDVLNDHVS >KQK89021 pep chromosome:Setaria_italica_v2.0:IX:19591252:19591655:-1 gene:SETIT_040581mg transcript:KQK89021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDCNSFHAHMCLRLQPLSMAGERSPNSTFPSSLAPVGILHCSGLAVGSGLATKHDCPAPVGLGQGLGHRVPNQLCPV >KQK91092 pep chromosome:Setaria_italica_v2.0:IX:47741048:47744254:1 gene:SETIT_038381mg transcript:KQK91092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNRESAARSRARKQAYTNELENKISQLEEENERLRGHKAPEPVVQYVPQQEVKNQLQLQLRRTNSANF >KQK89284 pep chromosome:Setaria_italica_v2.0:IX:23099840:23104159:-1 gene:SETIT_038999mg transcript:KQK89284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPRPSQSQYCQASLAASFAGAGWARSKAIWPVPTRPALVLAAAHSRRKKQQSGGTSLHKATTTAGDTSSSRLEARSLAAQEHRVYVGGKLLLQSFLDSPSNQLRLSFQLVSATVAGGDGRGVMGGEAVLESILGAREETELDVKLAWHAALGKPGAVVVTNHSDFPVYLKLLSCPAAAGSAGIAVCFACNGWVYPVGKHPHRLFFTNDAYVKEDTPSPLLAYREDELAVLRGEEGAGEQPFQEWDRVYDYALYNDLANPDLRKDLARPVLGGSREYPYPRRTKTARPPTRTDPLTETRVSLDKQIYVPCDERVGTAAIAAPSPPNLGGHFKSIAEIYGLVGLDDVGRLAKAKQIINSGAATPKLPVPQVISVNPMSWRRDEEYARQMLAGTNPVCIKRVTKFPLTSELDRTVYGDQDSKITKDHIQRNMSGLTVQQAVEDGRLFVVDHHDWVMPYLKRINELPGEEEKGEISQRKAYAARTILFLNDDSTLRPLAIELSSPHPDDERLGAVSTVYTPPDASEETLSADKFTAWDLAKAHAAVNDTSKNNFVIHWLNVHATMEPLIIATNRQLSVLHPIHKLLKPHFRNTLHVNAVARQIVLGSGDKRKNGDIFRGIQEVTYLASKYALEMSSKAYKNWNFTELALPADLIKRGVAKGDPKNPEKVDLLIKDYPYAVDGLEIWTAIKKWVTDYCTIYYTNDSAVTGDSELQAWWREVRHVGHGDLQGAQWWPAMDRLADLVETCTTIIWLGSAFHAAVGLGQYGYQGFVPNSPTLASRPMPEVGAAVTEAEFLGSITPRKETLALMGMSAKSLARTGEVFLGQRPDSELWTSERRAGEALTRFQARLEVVADRIQRRNADRTLKNRAGPVEVPYTLLTPTRKPGPVIRGIPNSITN >KQK92086 pep chromosome:Setaria_italica_v2.0:IX:53600955:53603515:1 gene:SETIT_037002mg transcript:KQK92086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIDAINRGQVIAVPTDTIYGFACDACSAGAVNRIYEIKGRVQTRPLAICVADVSDISRFALVDHLPHGLLDSLLPGPVTVVLKRGENSILERSLNPGLDSIGVRVPDLDFIRSIARGAGSALALTSANLSGRPSSVSVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSREETTTVLGKFGFVEAS >KQK92085 pep chromosome:Setaria_italica_v2.0:IX:53600955:53603515:1 gene:SETIT_037002mg transcript:KQK92085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKACAKAAGERLPLVRPPTGRALARSFVKVSRLPSQHETRSQVSCSIRVSENAADRFEATAENIFPATKDHVMKAIDAINRGQVIAVPTDTIYGFACDACSAGAVNRIYEIKGRVQTRPLAICVADVSDISRFALVDHLPHGLLDSLLPGPVTVVLKRGENSILERSLNPGLDSIGVRVPDLDFIRSIARGAGSALALTSANLSGRPSSVSVKDFEDLWPHCSYVFDGGILPSGRAGSTIVDLITPGVYKILRDGSSREETTTVLGKFGFVEAS >KQK86146 pep chromosome:Setaria_italica_v2.0:IX:464456:466233:-1 gene:SETIT_035611mg transcript:KQK86146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPSAASRHSLASYSDTLADFLDQWNSVILDVASIAATFAVLFPSPESHPKPLPAAETQHNPAPEPAEREPSPAPLPERVPEPNLPGEPQGPEPNAVPSPERERELSPSPEQEREPSPSPEQERQPSLAPEPEPKPNPKPAPEPEPEPVPRPAPNPVRARKDGDPSAAELELRCKQMNFRELRRFVTAHVRDREWLRKVGPAALRRAEDPASLVLRAVGRYYISAESGDAEAACMLLLELYVRAGCPRPPGQGHGEAAELRQEAREAALTWRSRLLRVSGRVGDAGARGARGLAFFMAAFGVPVEFPAQELYELLVAADISACTKVLKFSKHFVKKMRDVVVEMINKDMHLQAIRIILAFELQNAFPVESTLTHIMEKLDHDRKDESEGQALARDEEELTLLRSISKCMENHKLCPSEFPNFAERIALLEERVGKPKQAFAGIKRKRTIEEDCVE >KQK89838 pep chromosome:Setaria_italica_v2.0:IX:36357283:36358097:1 gene:SETIT_038340mg transcript:KQK89838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRAGIKLLLLLVRGWREHKVHRPTCVHVDSVHGRYDEERHQEHHAKLLLLLLRHLLCRSSALHLCRMMRTICRAFI >KQK92199 pep chromosome:Setaria_italica_v2.0:IX:54287776:54290097:1 gene:SETIT_040231mg transcript:KQK92199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQSPKPIRCKAAVCRAAGEPLTVEEIVVDPPKAYEIRIKIVCTSLCHSDITFWRGKASIPFSQVVESLGEHVEGFAAGDTVVPTFLSQCDRCPGCASEHNNLCSTVPFVFGPGMRRDGTTRFHDSQGNPLHDLVAVSSFSEYTVVDVTQVIKIDPAVPPKLACLLSCGASTGVGAAWKVAKVEPGSSVVIFGLGSIGLAVAQGAKMCGASKIIGVDLNPDKEEVGKSFGVTHFVNPSQLGNSSVSEEIGKLTGGGADYGFECIGVSSVMTDAFTSTKPGKGKTIILGFEKNNEPISLPSLDLLSGKCVMGSYFGGMKPKTDVPILAQKCMNKELELEGLVTHEVGLQEINQAFNLLLQGKSLRCLIWMDK >KQK90951 pep chromosome:Setaria_italica_v2.0:IX:46781538:46782293:1 gene:SETIT_038262mg transcript:KQK90951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHRGRLLPDRLRTSADPFSSPFTSTIDFFPERADLKNLLSSSCGSGREGKRKQMKDRGSRRPPRSGIIAAATRVADRNPILFFLF >KQK87816 pep chromosome:Setaria_italica_v2.0:IX:9515550:9519335:-1 gene:SETIT_037559mg transcript:KQK87816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANAGNKIRNAKLVLLGDVGAGKSSLVFRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNPASFTRAKKWVQELQAQGNPNTIVALAGNKADMLDARQVPAEEAKTYAQENGLFFMETSAKTAINVNDIFYEIAKRLLQGQQDPSPQAGMVLNQRPNERMVSSSSCCS >KQK87817 pep chromosome:Setaria_italica_v2.0:IX:9516161:9519194:-1 gene:SETIT_037559mg transcript:KQK87817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANAGNKIRNAKLVLLGDVGAGKSSLVFRFVKGQFVEFQESTIGAAFFSQTLAVNDETVKFEIWDTAGQERYHSLAPMYYRGAAAAIVVYDITNPASFTRAKKWVQELQAQGNPNTIVALAGNKADMLDARQVPAEEAKTYAQENGLFFMETSAKTAINVNDIFYEIGELSPF >KQK90125 pep chromosome:Setaria_italica_v2.0:IX:40002024:40006823:1 gene:SETIT_034891mg transcript:KQK90125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEGAGSGESPPAAAGEAASAGATLNIRCANGSKFTVRADLAATVGAFKEVVAGSCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAPSAASGAPAAAAAAAAASPLASSTPSSGPAGGLGGLFPGVGAPRSGRPSGIFGPGFPELEQVEQHLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMNNPQLREIMDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTASNPFAALLGNQGSNQPGQPATNAPTPGSESTTGTPAPNTNPLPNPWSSNAGSAQGAARSGPTGNARTGATGGLGGLGSPDLSSLLSGLAGNPRSGAAGGLGGLGSPDLGSMLGGVPDASFLNQMLQNPAMMQMMQSLMSDPQTMNQLREMFQNPEFLRQLTSPETLQQLLSFQQTLLGQLGQNQSSQDGNNSGSATGTRGNPSLDTLMSMLSGLGSGGGLGVPNNSNVPPEELYATQLTQLREMGFIDNAENIQALVATAGNVHAAVERLLGNLGQ >KQK90124 pep chromosome:Setaria_italica_v2.0:IX:40002168:40005456:1 gene:SETIT_034891mg transcript:KQK90124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEGAGSGESPPAAAGEAASAGATLNIRCANGSKFTVRADLAATVGAFKEVVAGSCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAPSAASGAPAAAAAAAAASPLASSTPSSGPAGGLGGLFPGVGAPRSGRPSGIFGPGFPELEQVEQHLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMNNPQLREIMDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTASNPFAALLGNQGSNQPGQPATNAPTPGSESTTGTPAPNTNPLPNPWSSNAGSAQGAARSGPTGNARTGATGGLGGLGSPDLSSLLSGLAGNPRSGAAGGLGGLGSPDLGSMLGGVPDASFLNQMLQNPAMMQMMQSLMSDPQTMNQLLNFNPNARNLMESNTQLREMFQNPEFLRQLTSPETLQQLLSFQQTLLGQLGQNQSSQ >KQK90123 pep chromosome:Setaria_italica_v2.0:IX:40002024:40006823:1 gene:SETIT_034891mg transcript:KQK90123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEGAGSGESPPAAAGEAASAGATLNIRCANGSKFTVRADLAATVGAFKEVVAGSCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAPSAASGAPAAAAAAAAASPLASSTPSSGPAGGLGGLFPGVGAPRSGRPSGIFGPGFPELEQVEQHLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMNNPQLREIMDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTASNPFAALLGNQGSNQPGQPATNAPTPGSESTTGTPAPNTNPLPNPWSSNAGSAQGAARSGPTGNARTGATGGLGGLGSPDLSSLLSGLAGNPRSGAAGGLGGLGSPDLGSMLGGVPDASFLNQMLQNPAMMQMMQSLMSDPQTMNQLLNFNPNARNLMESNTQLREMFQNPEFLRQLTSPETLQQLLSFQQTLLGQLGQNQSSQDGNNSGSATGTRGNPSLDTLMSMLSGLGSGGGLGVPNNSNVPPEELYATQLTQLREMGFIDNAENIQALVATAGNVHAAVERLLGNLGQ >KQK90126 pep chromosome:Setaria_italica_v2.0:IX:40002024:40006823:1 gene:SETIT_034891mg transcript:KQK90126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEGAGSGESPPAAAGEAASAGATLNIRCANGSKFTVRADLAATVGAFKEVVAGSCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAPSAASGAPAAAAAAAAASPLASSTPSSGPAGGLGGLFPGVGAPRSGRPSGIFGPGFPELEQVEQHLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMNNPQLREIMDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTASNPFAALLGNQGSNQPGQPATNAPTPGSESTTGTPAPNTNPLPNPWSSNAGSAQGAARSGPTGNARTGATGGLGGLGSPDLSSLLSGLAGNPRSGAAGGLGGLGSPDLGSMLGGVPDASFLNQMLQNPAMMQMMQSLMSDPQTMNQLLNFNPNARNLMESNTQLREMFQNPEFLRQLTSPETLQGWQQFRQCDRYARKP >KQK90122 pep chromosome:Setaria_italica_v2.0:IX:40002168:40005668:1 gene:SETIT_034891mg transcript:KQK90122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEGAGSGESPPAAAGEAASAGATLNIRCANGSKFTVRADLAATVGAFKEVVAGSCDVPAPQQRLIYKGRILKDEQTLESYGVETDHTIHLVRGVAPSAASGAPAAAAAAAAASPLASSTPSSGPAGGLGGLFPGVGAPRSGRPSGIFGPGFPELEQVEQHLSQNPNLMREIMNMPAMQNLMNNPDLIRNMIMNNPQLREIMDRNPDLAHVLNDPSVLRQTLEAARNPEIMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMGGEGNTASNPFAALLGNQGSNQPGQPATNAPTPGSESTTGTPAPNTNPLPNPWSSNAGSAQGAARSGPTGNARTGATGGLGGLGSPDLSSLLSGLAGNPRSGAAGGLGGLGSPDLGSMLGGVPDASFLNQMLQNPAMMQMMQSLMSDPQTMNQLLNFNPNARNLMESNTQLREMFQNPEFLRQLTSPETLQQLLSFQQTLLGQLGQNQSSQDGNNSGSATGKFLVTFADHVFFHLD >KQK90600 pep chromosome:Setaria_italica_v2.0:IX:43970900:43972100:1 gene:SETIT_039969mg transcript:KQK90600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVTRVPRPLPAAGRPILLAALARSGGGFASISAPSSSTSGGGGGGRFSAGGGGRGGGDDSGAGAAAASAAVAALGEAEPSDGDADAIVLHVGGMSCSGCAAKVKRILENQPEVAAAAVDVEKATAVVWTTPEAKATKDWQKQLGEKLANHLTTCGFQSHVQDEGEAEPSDS >KQK91918 pep chromosome:Setaria_italica_v2.0:IX:52707437:52710550:-1 gene:SETIT_037026mg transcript:KQK91918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITLLSLAPTATFLHIPASTSTSSPFAAAPGILTSRRPAPRALPLRARPPQRVTVVCSGAAAAAEASDAAAPTEKFRLDNLGPQKGSRRRPKRKGRGISAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLKDIVRGGFKDGDEISLETLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSAAAKEKLEAAGCNLTVLPKRKKWLSQNYLKNQARAEEYFAKKKGSAGESDGASA >KQK91919 pep chromosome:Setaria_italica_v2.0:IX:52708539:52710600:-1 gene:SETIT_037026mg transcript:KQK91919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITLLSLAPTATFLHIPASTSTSSPFAAAPGILTSRRPAPRALPLRARPPQRVTVVCSGAAAAAEASDAAAPTEKFRLDNLGPQKGSRRRPKRKGRGISAGQGASCGFGMRGQKSRSGPGVRRGFEGGQMPLYRRIPKLRGIAGGMHIGLPKYVPFNLKDIVRGGFKDGDEISLETLKSRGLINPSGRERKLPLKILGDGDVSVKLNIKAGAFSAAAKEKLEAAGCNLTVLPKRKKWLSQNYLKNQARAEEYFAKKKGSAGESDGASA >KQK91098 pep chromosome:Setaria_italica_v2.0:IX:47757693:47759380:-1 gene:SETIT_038031mg transcript:KQK91098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIDVLLQEWQRMGFDQAQVAEKFAGCDLFVTCEPCIMCATALSILGIREVYFGCANDKFGGCGSIMSLHKGSTSDDLSGSQPPKPKGFKCTGGIMAEEAVALFRCFYEQGNPNAPRPHRPVRIPQQ >KQK89097 pep chromosome:Setaria_italica_v2.0:IX:20664143:20664531:1 gene:SETIT_040593mg transcript:KQK89097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAPAAPCLICTLPVCRYRCWPHSQAPVPSAPTPHYKRRGRHRRKARPLSGRRVYLGDAGSA >KQK86064 pep chromosome:Setaria_italica_v2.0:IX:128121:132322:1 gene:SETIT_035754mg transcript:KQK86064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVHHLLALLLRPLPPPAYHPRHSFSPRPARLHSFFPAPGCRLGTHAVALAEPDLVPPDEEEEDDDARFVVVTFYKFVPLEDPRAEVASHLHFLQGRDIHGRIYLNEQGINAQYSGPRKDAVAYADWVKKDHRFCDMLVQTSPALTGHAFPRLKLRYKPSLVQLEGGSLHLPLLDPSMRATPLTPSKWKERLKAKACLDVPSSETPGDTSGRRLLLLDVRNGYEWDVGHFEGAERPNVDCFRSTSFGLSEEMSDPLNGLDKEKTDILMYCTGGIRCDVYSTILRKKGFRNLYTLEGGVSNYLKAEGPAGWVGNLFVFDGRLSLPPATFRRQLSQEEEAAGAAAVEKERWVGRCYSCGSEVVELRHRNCANIDCNRLYLCCGWCAEELAGCCCSDCKVAPRLRPLLPGHQRYLKWHVYRDGLLTAHGEDDDAADRGFY >KQK91144 pep chromosome:Setaria_italica_v2.0:IX:48113618:48114691:-1 gene:SETIT_037415mg transcript:KQK91144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWLTAAAAVVSLPPPRIRLRFTKPSPLPPPRRLQFGTSKIRTSSSRACLAAASASTPPAPGGGLYSAATYELTAENVDRVLDDVRPYLIADGGNVTVVSVEDGTISLKLEGACGSCPSSTTTMNMGIERVLKEKFGDAFKEIRQVFDGDQPPAETTPEAVNRHLDILRPAIANYGGTVDVLAVDGEDCLVKYDGPESIGSGIKAAIKEKFPDITNVVFTQ >KQK89774 pep chromosome:Setaria_italica_v2.0:IX:35808994:35809744:-1 gene:SETIT_040085mg transcript:KQK89774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQPSPWMRDSEGCLVQWWPRGREFLALHAFSGYKEAPAVLPMGDGVGGTVIIRMDTVLFDGIYSFETLRLVPGSSGWRATPLPKPPVAWPRTRSGTFSLDTEEEGARWRVEGAWQLPFEGRALHVLELGCVIGLAAETRVLCACDIRAGTPPVVRHVWRETFPWPWEESGVGGDGRRRPDSRPRDLPSLAYLGKGRCCICRPTSTMEPYRDAPPITYDGGGAEAVAERGA >KQK91456 pep chromosome:Setaria_italica_v2.0:IX:49893550:49896336:1 gene:SETIT_034600mg transcript:KQK91456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGEAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQADMKMWPFKVVPGPADKPMIVVTYKGEEKKFSAEEISSMVLTKMKEIAEAYLSTTIKNAVITVPAYFNDSQRQATKDAGVIAGLNVTRIINEPTAAAIAYGLDKKAASTGEKNVLIFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYATITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSQIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTKDNNLLGKFELTGIPPAPRGVPQINVTFDIDANGILNVSAEDKTTGKKNKITITNDKGRLSKEEIERMVQEAEKYKAEDEEVKRKVEARNALENYAYNMRNTVRDDKIASKLPAEDRKKIEDTIEDAIKWLDGNQLAEAEEFEDKMKELEGICNPIISKMYQGEGGAAGMDEDVPNGAGTGGGSGAGPKIEEVD >KQK86875 pep chromosome:Setaria_italica_v2.0:IX:4239931:4242342:1 gene:SETIT_039115mg transcript:KQK86875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNAALAAGAAAARPAAMAAAAAASDHYARLLQLCQTAANPSVGRAIHAHAIKAGLLVSAYLCNNLLSYYAGAGVIGGPFRDARRLFDEIPAARRNVFTWNSLLSLYAKSGRLADARAVFAEMPERDAVSWTVIVVGLNRAGRFWEAVKTFLDMVGEGLTPTQFTLTNVLSSCAATEASGIGRKVHSFVVKLGLSSCVPVANSVLNMYGKFGDAETAKAVFERMPVRSVSSWNAMVSLYAREGRMDLAVSMFEDMEERSIVSWNAVIAGYNQNGLDDMALKFFSRMLSDSSMDPDAFTVTSVLSACANLRMLKMGKQMHSYILRTGMPYSGQITNALISTYAKSGSVETARRIMDQAVISDLNVISFTALLEGYVKLGDMKQAREIFDVMNNRDVIAWTAMIVGYQQNGQNDEAVELFRSMIKSGPEPNSYTLAAILSSCASLACLDYGKQIQCRAIRSLQEQSVSVSNAIITMYARSGSVPLARRVFDRIRWRKETVTWTSMIVALAQHGLGEEAVGLFEQMLRVGVKPDRITYIGLFSACTHAGFVDKGKRYYDQMQNEHGIVPEMSHYACMVDLFARAGLLTEAQEFIQRMPVAPDAIVWGSLLSACRVRKNADLAELAAEKLLAIDPDNSGAYSALANVYSACGRWNDAARIWKLRKDKAVKKETGFSWTHVHNKVHVFGADDVLHPQRNAIYKKAAEMWEEIKKAGFVPDLNSVLHDVDDELKEELLSRHSEKLAIAFGLISTPEKTTLRIMKNLRVCNDCHMAIKFISKVVEREIIVRDATRFHHFRDGFCSCKDYW >KQK88029 pep chromosome:Setaria_italica_v2.0:IX:10985591:10985909:1 gene:SETIT_040690mg transcript:KQK88029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWYVVPSFSFQELLSCKAVSPVLIAQSFLKK >KQK89777 pep chromosome:Setaria_italica_v2.0:IX:35830894:35831306:-1 gene:SETIT_038994mg transcript:KQK89777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNNVVTRVRTSDGDTDDFPIRIGLHQGSALSPYLFALEMDEEGYISIFGINATTKWGY >KQK88130 pep chromosome:Setaria_italica_v2.0:IX:11754718:11756793:1 gene:SETIT_039342mg transcript:KQK88130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAGEPAMGVAWLSSDRGEVDGCGGSFWAVKEAISTEKTSCGRKKNPPPPAITSANPIDRSIMAGPGKRRRSRPPYADRHYRYNTSRRLVRSALLETINGFYAAALDRLPVGEMPALVLRLLKAGLCVGFSDPVSNIVLNTVSSYSRRVPERKPAVALAPKSESDDSDEGEKKRKAAAAAKRWRRRALSRAVADTSNVKYWPPFRPLLRDMPVASRSLEALVAFLTYYFRYLPVSEALEYLRVAGADPLAAVRLILEDRNSSGRSFSFASQTTKTALRCAAMAAWHPKPRALVNRPYSFASQMEQVSELLDADGGRISCSAVEAIHGLLKLRRKLRGLAAGVTPPQFHLELNRPPPFVPTKSLQSVLLDRVYGFYLDALALLPTADLGQRYHRGLLKAGHCYGPFKDPVSNIVLNTVWYETVFPPREELSVAMVCSRSLVLVTCRSLGGLVAYLRACFDTISEHQAMRYLLFTEVDLWGAIDMAWQDEKDTLREAR >KQK89745 pep chromosome:Setaria_italica_v2.0:IX:35502728:35508705:-1 gene:SETIT_034241mg transcript:KQK89745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGRSYLPAEVRNGLETLKRRRLERMRLSAQNEVGDNPAVAARSGGDALRSPVNCGVRLHSNNGTGVSGNVQDKDPFAKRKVEKFDMSNLEWINKIPECPVYSPTREEFEDPIAYIQKISPEAAKYGICKIVAPVSASVPAGVVLMKEQPNFKFMTRVQPLRLAEWAEDDTVTFFMSGRKYTFRDYERMANKVFSKKYSSASCLPARYVEEEFWREIAFGKMDFVEYACDVDGSAFSSSPHDQLGKSNWNLKNFSRLPNSVLRLLQMPIPGVTDPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAFKTWYGIPGDAAPGFERVASQYVYNKDILIGDGEDAAFDVLLGKTTMFPPNVLLDHNVPVYRAVQRPGEFVITFPRSYHAGFSHGFNCGEAVNFAIGDWFPLGSLASKRYALLNRTPLLAHEELLCRSAVLLSQKLLTCDPEFLDKSEHPYSQYCVKSCFVRLMRFQRRARGLLAKMGSQICYKPKTFPNLSCSMCRRDCYITHVLCGCNFDPVCLHHEQEVRSCPCKSNRVVYVREDILELEALSRKFEQDISLYKERLCIGSSKEAEISDLNVERVPNFGTTPDFSNNKTGISGFVTADDGKSCPAVSILTSPAHHETPRFSEARAINTSVTEVTYAMDEISSGMDDACNGLGSCNASAMECSDNSDSESEIFRVKRRSTSSDKPTSDAKISNLSEQQVLRRLKKVHPEVQRASKRLEEPDTCSVRSVRMSQKSSNPASSDDEREDMVPISWRIKRRQLETQHNDASHGAKPQSCPPSSSSREEFAERTRDATAELRPKRVKIRLPSSASRQLEQQRSSGQRFARDDKLSLGCPWTF >KQK92012 pep chromosome:Setaria_italica_v2.0:IX:53224685:53229886:1 gene:SETIT_034165mg transcript:KQK92012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFLEFFSNFDWEKFCLSLRGPVPISSLPDMTAEPPRMDSGELLLDKSFLDTCSTAYGVVPRTQENQGRPFVSKHFNIIDPLRANNNLGRSVSKGNFFRIRSAFAYGAKRLGKLLECPKEDLITELNQFFTNTWIRHGSGNRPDVQPLKVVPSVASNSDSNHNTKSSQDESVSSLSSSSHPSAKAVSDSNSVSSSYREDNGCVMNEELPSVSESSDMRHDEQVLANLMDSVKLHGSNEQIQLPMQISSHLSVAHSPLLAPIAFSQKHLAGVQPPNLVGAPWLPNMQFLHGFVTPTQYIHNPNLAPNVEDGSENEKPITSDANHDTDKTWHQYGIGYSRQFDPEVRDPRIYDIDGKERPSFPNGVHGAPLERHMEFTHENNGADDETYNSMFQNQTSREGNVDYSKRSGCVNASHGSSSRGKALDASSWDEVSVNTTRSSRNKWGKEPGFAAPATSTHSKTGGQMGNANDHLPTEVDDGPRNGTLVPIINETSEIVAGSDSFSTQTRTSAPFLFGSPHQRQADNSGLTFVPTGTPVPFAVLPFIPGNSNGSGPQFEKSDGIDQLSAKIAASISTMTEPSGEHKPDILKGDLVNHRHNLQYARLCQNARSMGPVLYPFPGHAPWDGPGGPVAPNVNWTQMIGPGQRVLPVMPLQPAAERGTGVFQHYGEDAPRYRGGTGTYLPNPKVPFRDRHSNSRNYRGGYNGDRSDYSDKEGSWINSTQRNPNRSYGRSQSERSGMRSDRQANDESQSDRQRRTYRNDSYRHEASSQYLVQGQSFGSASSMRKPGNIAHGVYTPQSTASNGAGALSGPPGPPFFMMYSYESGANHGPSTSEPIEFGSLGPLPAENGDDIPRSTRQVMPNGFYGQRRGPYRGGSSHSSPDQPSSPQPRRQ >KQK92013 pep chromosome:Setaria_italica_v2.0:IX:53224685:53231317:1 gene:SETIT_034165mg transcript:KQK92013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRFLEFFSNFDWEKFCLSLRGPVPISSLPDMTAEPPRMDSGELLLDKSFLDTCSTAYGVVPRTQENQGRPFVSKHFNIIDPLRANNNLGRSVSKGNFFRIRSAFAYGAKRLGKLLECPKEDLITELNQFFTNTWIRHGSGNRPDVQPLKVVPSVASNSDSNHNTKSSQDESVSSLSSSSHPSAKAVSDSNSVSSSYREDNGCVMNEELPSVSESSDMRHDEQVLANLMDSVKLHGSNEQIQLPMQISSHLSVAHSPLLAPIAFSQKHLAGVQPPNLVGAPWLPNMQFLHGFVTPTQYIHNPNLAPNVEDGSENEKPITSDANHDTDKTWHQYGIGYSRQFDPEVRDPRIYDIDGKERPSFPNGVHGAPLERHMEFTHENNGADDETYNSMFQNQTSREGNVDYSKRSGCVNASHGSSSRGKALDASSWDEVSVNTTRSSRNKWGKEPGFAAPATSTHSKTGGQMGNANDHLPTEVDDGPRNGTLVPIINETSEIVAGSDSFSTQTRTSAPFLFGSPHQRQADNSGLTFVPTGTPVPFAVLPFIPGNSNGSGPQFEKSDGIDQLSAKIAASISTMTEPSGEHKPDILKGDLVNHRHNLQYARLCQNARSMGPVLYPFPGHAPWDGPGGPVAPNVNWTQMIGPGQRVLPVMPLQPAAERGTGVFQHYGEDAPRYRGGTGTYLPNPKVPFRDRHSNSRNYRGGYNGDRSDYSDKEGSWINSTQRNPNRSYGRSQSERSGMRSDRQANDESQSDRQRRTYRNDSYRHEASSQYLVQGQSFGSASSMRKPGNIAHGVYTPQSTASNGAGALSGPPGPPFFMMYSYESGANHGPSTSEPIEFGSLGPLPAENGDDIPRSTRQVMPNGFYGQRRGPYRGGSSHSSPDQPSSPQPRR >KQK92892 pep chromosome:Setaria_italica_v2.0:IX:57733941:57739048:1 gene:SETIT_033927mg transcript:KQK92892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSNALILPCKRKNKGQGKAKDGKKAKKEDPKMSKTKLKKLQKLEVRLLTLTNWFPFFALLFSIYSYFWFGCAQEEKRKKLLQAQSIEILQKHKISEDAYSLLHASGTIGQVETLKEKCRRAMQLSKAGLDVPEELSLFKRNDDQKFSENSDPVEHILPPKFVEPVKSEDPGRVHEKNMKNDSRKAMECQPKMDVGVSIPEPKTEEPSDNGHLLANQKIQSSIPSCSGTELDLQDKEPGQGEAAMQECINPPIVVPVSRPHEVEKARRDLPIIMMEQEIMEAIYENSIVILCGETGCGKTTQVPQFLYEAGFGTSDRADRRGMIGITQPRRVAVLATARRVSYELGLKLGREVGFQVRHDKLVGSNCSIKFMTDGILLRELQGDFLLKRYSVIILDEAHERSLNTDILIGMLSRIIKGRKNLYVDQQDKIRSGVKIKPEDMISQLKVVLMSATLQLKDFISNRRLFDVIPPAVKVPVRQFPVTVHFSKRTHDDYLGQAYKKVMSIHKRLPPGGILVFVTGQREVDYLCKKFRRASKVQTAKKPEKVDGDDNGPFPEVDDKEILEAYDIDRNKSEHPDDIFYSYDDDDDMDPGPNSFSSDNETESEMDTDTDDEESVTYETTEEDAPVLSFLKDAENSSVLKASFGALSGISGVPESVEKSSDATSEEKSSPSVSCFSKCTERMPVSHGRLRVLPLYAMLPASQQLQVFQDIPKGERLVVVATNVAETSLTIPGIQYVVDTGKEKVKNYDHATGMSSYEVQWISKASASQRAGRAGRTGPGHCYRLYSAAAYGKDDLFPEFAEPEIKKIPVEGIVLMLKFMGIHKVVNFPFPTPPNKESLVEAERCLKALEALYSHDDYDGKLTPMGKAMAQYPMSPRHSRLLLTVIKILKSQQGFARSNFILGYAAAAASVLSFTNPFLKQLDECDINGESEEHNTNPEANDPCERKRRKKHNAMVREAQEKFSNPSSDALTIARALQFFELSENPVEFCRINSLHLKTMEEMSKLRKQLLRLIFHHSKFCKEFAWNYGDSDDVEQAWRNESSKRPLQMNEEELLGQGICAGWADRVARRNHTYSRASGDDRKVRAIRYQSCALNDTIYLHRSSSVAQVAPELVVYSELLSTKRLYMHGVTTIKPGWLLKYAGSLCTFSAPLEDPKPYYDPMNDQVYCYVSPVFSRHNWQLPLHSLPIKDNTSRLQVFVCALLKGDVLPCLRNAKDFLALSPSFAFGPASQRRVGDLLDRMHIKQKSKIGKKLIDSRAALRDAWNADPNFLYPEIKAWYQDKFHSQFDLKWEQMHQEVLLEGHELFPKRSKKVKG >KQK91626 pep chromosome:Setaria_italica_v2.0:IX:50910939:50916710:1 gene:SETIT_034855mg transcript:KQK91626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPPQPQGAMATGAAILTVPCSACRRPKRSSQRRGSGSGSLSVRASSDANTVTLLDYGAGNVRSVRNAIRHLGFGIRDVRSPEDILAADRLVFPGVGAFGSAMDVLNSTGMADALREYIQRDRPFLGICLGLQLLFDSSEENGPVSGLGVIPGVVRRFDSSKGLIVPHIGWNALEITKDTQLLQGADGHHVYFVHSYHALPSDANRDWISSICNYGDSFISSISMGNIQAVQFHPEKSGATGLSILKNFLSANSSGAKVPARRKASKLAKRVIACLDVRSNDNGDLVVTKGDQYDVRDHTSSKEVRNLGKPVDLASQYYIDGADEVSFLNITGFRDFPLGDLPMLEVLRCASEKVFVPLTVGGGIRDFTDANGRYYSSLEVASEYFRSGADKISIGSDAVYAAEAFLQTGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVNSPEDVPFKTVKVSSKGPSGEEYAWYQCTVSGGRDSRPIGAYELAKAVEELGAGEILLNCIDCDGQGCGFDIDLVQMVSDAVTIPVIASSGAGAVEHFSEVFEKTNASAALAAGIFHRKEVPILAVKEHLVDAGVEVRM >KQK88478 pep chromosome:Setaria_italica_v2.0:IX:14523638:14525837:1 gene:SETIT_035359mg transcript:KQK88478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSLFAAARRLLRLGGRGRSGMPPSRAAASSSRSNSKEKRPPRARPLSLQSRLWPLGHPGTLLVPEIELWAARPGNRLRSVELLSIVKELRKRRRHRQALEVSEWMKLKGHVKFLPKDHAVHLDLIGEIHGVGAAETYFNNLSDNDKTEKPYGALLYCYTRELLVDKALAHFQKMKELGFLFSTLPYNNLMGLYTNLGQHERVPSVMAEMKSNGIIPDNFSYRICINSYGARADIFGLENTLEEMECEPQIVVDWNTYAVVANNYIKGNLREKAYSALQKAESKLDKRNSESYNHLTSLYCHLGDKSEVKRLWALQMSNCKRHINKDYMTMLAVLVKLDEIEEAESVLKEWESSGNAFDFHVPNILLTGYRQKDLLDKAEMLLDDFLKRGKVPPPSSWAIVAIGYAEKGNVVKTYELTKNALRVYTPNSGWIPSSSMIEMILQYLRDEGELKDVETFVDLLKVAVPVNSDMAEEALSRARAREEKKAEEANA >KQK86759 pep chromosome:Setaria_italica_v2.0:IX:3605681:3608792:1 gene:SETIT_034852mg transcript:KQK86759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTCVGPSAAGRNGFLANVTLWRPRGDPNPAPALPPPSSPASDKAPEPVTIPDSEHSSHHSSRSSDQPPPAAAAQTQPQDNPPAKKPVPKVKRVQSAGLLADSVLKRDVNTARLKDLYTIGKKLGQGQFGTTYLCVEKATGREFACKSIAKRKLLTEEDVEDVRREIQIMHHLAGHANVVSIVGAYEDAVAVQLVMELCAGGELFDRIIQRGHYSEKAAAQLTRVIVGVVEACHSLGVMHRDLKPENFLFVNQKEDSPLKTIDFGLSIFFKPGEMFTDVVGSPYYVAPEVLLKHYGREVDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGDLDFSSEPWPSISESAKDLVRKMLIRDPKKRLTAHEALCHPWVCVDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMLDTDNSGHITLEELKTGLHRVGATLMDSEINALMEAADIDNSGTIDYGEFIAATLHINKVEKEDKLFAAFSYFDKDGSGYITQDELQKACEEFGIGDTRLEDIIGDIDQDNDGRIDYNEFVAMMQKGDNPLGRKGHQSNANFGLGEALKLR >KQK90844 pep chromosome:Setaria_italica_v2.0:IX:46137920:46141044:1 gene:SETIT_034842mg transcript:KQK90844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLLLLLLAASAAPARAGDPYAYYDWEVSYVSAQPLGVKQKVIGINGQFPGPPLNVTTNWNVVVNVRNALDEPLLLTWNGVQQRKTAWQDGVLGTNCAIPAGWNWTYAFQVKDQVGSFFYFPSTPLHRAAGGYGAITINNRDVIPIPFGFPDGDITLFIGDWFNRGHKELRRALDGGTLLGAPDGVLINGLGPYQYNESVVPPGIVYERINVEPGKTYRFRVHNVGVSTSLNFRIQNHNLLLVETEGSYTSQQNYTNLDIHVGQSYSFLVTMDQNASTDYYVVASARFVDAAIIDKLTGVAVLHYSNSQGPASGPLPDPPNDQYDTAFSINQARSIRWNVTASGARPNPQGSFHYGDITVTDVYLLQSRPPELIDGKLRSTLNEISYIAPSTPLVLAQLFNVPGVYKLDFPNHPMNRLPKVDTSIINGTYKGFMEIIFQNNATTVQSYHLDGYAFFVVGMDYGLWTENSRGTYNKWDGVARSTIQVFPGAWTAILVFLDNAGIWNLRVQNLDTWYLGQEVYINVVNPEDNSSTLPDNAIFCGALSSLQKEQSHRFVYSDAVPVALWRNTFSLLFLLASFTIWLQ >KQK86344 pep chromosome:Setaria_italica_v2.0:IX:1366923:1367590:1 gene:SETIT_038544mg transcript:KQK86344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKYLLMLICTLRLARAARNKALRSMRDLEEFRGGSEGERGGAGPLSSWWSGDPEAKRRRRVAGYKAYAVEARVKASLRKGFRWIKDRCTGLVRRY >KQK92553 pep chromosome:Setaria_italica_v2.0:IX:56088265:56089250:1 gene:SETIT_036833mg transcript:KQK92553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPAPFASSPPPSPSSSSNASITMVIITVVGIFAAFALLASYYAFVTKCGLLRALWSRHPPWRWRARGGGGREPSVIRAAASDDRRGLGLPLIRMLPVVKFTAAACGDAGGCSMAPRISVSECAVCLSEFVERERVRLLPNCSHAFHIDCIDTWLQGSARCPFCRSDVTLPAAARFPASCVPAAATTVPSRRDTELPCDSIVIEVRGEHERWFGHRGAGTPAGGRRPRHQKQLRKSESVGDEAIDTRKTGEEFAVQPLRRSLSLDSCCDDKHLYVSVQELRAATQRQAFDPPVHS >KQK92817 pep chromosome:Setaria_italica_v2.0:IX:57360047:57364394:1 gene:SETIT_035646mg transcript:KQK92817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESAAPKATAAAAGRKTRVGPYELGKTIGEGSFAKVKLARDSRTGAVCAIKVLDRNHVLRHKMVEQIKREISTMKLIKHPNVVQLHEVMASKTKIYMVLEFVDGGELFDKIVNSGRLSEDEARRYFHQLINAVDYCHSRGVYHRDLKPENLLLDSHGSLKVSDFGLSAFAPQTKEDGLLHTTCGTPNYVAPEVLADKGYNGMAADVWSCGIILFVLMAGYLPFDDSNLMRLYKLICQANISCPTWFSSGAKKFIKRIIDPNPDTRITIAEILEDEWFKKDYKPPRFEQGEDVNLDDVDAVFNDSEEHLVAEKREKPESMNAFALISRSQGFNLGNLFEKEMMGMAKRETSFTSQCTAQEIMSKIEEACGPLGFNVRKQNYKMKLKGDKTGRKGHLSVATEVFEVAPSLHMVELRKTGGDTLEFHNFYKNFSTELKDIVWKAESDTSKKQTK >KQK89670 pep chromosome:Setaria_italica_v2.0:IX:34846099:34847884:1 gene:SETIT_037189mg transcript:KQK89670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEEVGLALALSLGSSGHHELKEQPSPSSRSWARLEPSLSLSLPTKDSRLSAPVRIAAVKRELPMEEDDEATADRALYSVASSALVAADDDEGCNSRKKLRLTKEQSALLEDRFKEHSTLNPKQKAALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCETLTEENRRLHRELQQLRALNHPHPATFFMPAATLSICPSCERLAGAPATTTTGADRPKAGGGPGRAAHLFSPFTHSTAC >KQK88978 pep chromosome:Setaria_italica_v2.0:IX:19118895:19122174:-1 gene:SETIT_037123mg transcript:KQK88978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGHVESLAQRAAAGAGAVDGVEAVLRRVPETLPPEVLEKMQAPAKDPAVPVIASAAELQEADGVLFGFPTRYGAMAAQMKAFFDSTGSLWEEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLVHHGMLFVPIGYTFGAGMFNMDDIRGGSPYGAGVFAGDGSRQPSDTELALAEHQGKYMASIVKKLAHHA >KQK88554 pep chromosome:Setaria_italica_v2.0:IX:15027677:15030601:1 gene:SETIT_035744mg transcript:KQK88554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEPFLLVPFPPVGDDNLVPDDTTPSQNNSKPSGSVPGGTTPRHNNSYLIILSIAIPVGITLCLISAIVTCALRRTTRSRQQTLSCAAYSEDIEEIQALLLDAVVIRAATDNFDEVNKLGEGGFGQVYKGLLPDGLEIAVKRLSKESKQGIRELKNELLLVAKLQHRNLVKLIGACLHEEEKLLVYEYIPNKSLDAFIFDEENRKKLVWETRYKIICGIARGMVYLHDESRVKVIHRDLKPGNILLEKDMNPKISDFGLARIFERDHTKDVTRRVAGTYGYMAPEYAVLGHISTKSDVFSFGVIVLEIVAGRRNTTSSEGMVAEHLLSYVWENWTIGTVTDVVDPYLNHNCAENDVLKAIHIGLLCIQENPSDRPRMSDVLLMLVGRSTTLPAPLRPAFLFSLDDATHAHPRGANELPKRPNKCNSSCNKVTITEVEPR >KQK88553 pep chromosome:Setaria_italica_v2.0:IX:15027677:15030234:1 gene:SETIT_035744mg transcript:KQK88553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVEPFLLVPFPPVGDDNLVPDDTTPSQNNSKPSGSVPGGTTPRHNNSYLIILSIAIPVGITLCLISAIVTCALRRTTRSRQQTLSCAAYSEDIEEIQALLLDAVVIRAATDNFDEVNKLGEGGFGQVYKGLLPDGLEIAVKRLSKESKQGIRELKNELLLVAKLQHRNLVKLIGACLHEEEKLLVYEYIPNKSLDAFIFDEENRKKLVWETRYKIICGIARGMVYLHDESRVKVIHRDLKPGNILLEKDMNPKISDFGLARIFERDHTKDVTRRVAGTYGYMAPEYAVLGHISTKSDVFSFGVIVLEIVAGRRNTTSSEGMVAEHLLSYVSRSLAYFLSS >KQK92819 pep chromosome:Setaria_italica_v2.0:IX:57370724:57373025:-1 gene:SETIT_036106mg transcript:KQK92819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRPTVHGREACSRMKGKMIGGRTGRHGRVGWTWTARPFWTVPRQIGTSHKASAQPGNPTSRPLSRGPRPRSPSHDHTVRSHHTGTQQPSGREAIAAVTRWHSRLRGALGLPLPNSPLPSRSASLRVGTLARYYLAQLSSGFSTLHSTLLHLHSVHILPSALLLSGMSKKIVVRLELHDNKDKQKAIKAVSVLVGIDAISVDMAARKMTVIGTVDPVDVVSKLRKSWAAHIDSIGPAKEPEKKEEKKEEKKEGEGKKEEGDGKKEGDGKKEGDGKKEEGGDGKKDGDGKKEDGGEGKKEGDGDGKKEGDGKKEEGGGGGEKKPTPLPIPWHHLPPQYMNMVTADYMNQYRPPPPPPPAYYNPYAPAPYYYVRNMSMEENPNSCAIC >KQK89648 pep chromosome:Setaria_italica_v2.0:IX:34479416:34481275:1 gene:SETIT_036302mg transcript:KQK89648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPVLGMVSFQVVFAGLNIFYKLAVSDGMDLRVLVAYRYLFASAFLAPLAYFLERKSRTKVTWRVLGLSFVCGLTGGSVAQNLYIAGMKVTTATFATATTNLLPAATFLLALAFRQERLAIRTFSGQAKVAGTFLGIGGAMLLTFYKGVDITPWHSSVNLIAATSHHPAAAGNEATANYAMGSLLCITSCFFYALWLVIQSKLSREYPHHYTSTALMCVMTTLQSSAFALCFDRDAVQWRLRFDIRLLAVVYAGIMASGVMLVVMSWCVKRRGPLFVSVFNPLMLLVVAVLSSLLLGEKMHLGTALGAVLIVAGLYTVLWGKGHETPANEVAKVSELPTTVNNDDKRVDVAAPPRLFAANSI >KQK90003 pep chromosome:Setaria_italica_v2.0:IX:38458978:38459683:-1 gene:SETIT_037756mg transcript:KQK90003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELVTNFRSCNNGVVAASNSPCGNARKSTSAHSERALARLAPASWVNLLRAGEVGRANLAALVEDEVEADGHVEVNAEDIGLNRGAEADGGVEVDEPLQQGAALVVLGQADLDEAQNVSAHPQLQRVDRAAPAVAVGRRRDDWAGAVGCASVRGGGEEEAQGQEEGELCSHW >KQK92688 pep chromosome:Setaria_italica_v2.0:IX:56775453:56776986:-1 gene:SETIT_036276mg transcript:KQK92688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPATMAWTAAVVGVGLVYWFVWVMGAAEVKGKRAVDLKMGSITRDKVQDKYTQYWSFFRRPKETATTAASAEKVPAFVDTFYNLVTDIYEWGWGQSFHFSPSLPGRSHRDATRVHEERVADLLGAKPGHRVLDVGCGVGGPMRAIAAHSGSNVVGITINEYQVNRARAHNRKAGLDSRCEVVCGNFLSMPFPDASFDGAYSIEATCHAPKLQDVYGEVFRVLKPGGLYVSYEWVTTSLYRAEDPDHVECIHGIERGDALPGLRRQDEIASIAKEVGFEVLKEQDLALPPALPWWTRLKMGRVAYWRNSLVVRVLTLLRIAPKGVSEVHEMLYETAQHLTRGGETGIFTPMHMVLLRKPAAASEEAK >KQK91769 pep chromosome:Setaria_italica_v2.0:IX:51693031:51698622:1 gene:SETIT_034751mg transcript:KQK91769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMVMAGYGLDKAVRASVSFDTPCGALLRELEQIWTEIGEREQDKDRMFLELETECMRVYRRKVDSASAERAQLRQSLMAKEAELKALVASIGENTTQFKVDQKHTSLKDQLAAVTPLLEELRAKKEERIKQISNVQSQIEKIKAQISDHSYQNNDGSVKHLNDDHDLSTRRISDLQMQLRNLQKEKSDRLQKVFIYVDEVHCLCAVLGMDFANTVKEVHPSLHGTNSENSTNISDSTLEGLTQTILKLKAEKKTRVLKLQEIVEKLHKLWNLMESAEQERRQFAKVAAVLGSSEEEITSPGILSLETIQETEEEVERLTKQKASRMKELVLKKRLELEDVCRNAHMEPDMNTAPEKIIALIDSGLVDPCELLSSIEVQIAKANEESLTRKDIMERVDKWLSACDEETWLEEYNQDDNRYSAGRGAHLNLKRAEKARILVQKIPTMIDNLIAKTFAWEDERNVPFLYDGVRLVAILEEQKLRRAQREEDKRRCRDQKKLQSLLLKEKELIFGSKPSPKKTNSFNRRTSSHHSNGNGTGFMTPVPRRVSAGSATPELLTPRSYSGRYNNYFKDNRRLTATPLNFSAVSKDDSMSSFASISGSEPDSPLYLH >KQK87994 pep chromosome:Setaria_italica_v2.0:IX:10695090:10699462:-1 gene:SETIT_036191mg transcript:KQK87994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTSEMPEAKEKLKRSGSLGGNDAYVRADKIDLTSLDIQLEKQLTKTWGKANLKSQGPKEEWEIDLAKLEIRYVIAQGTYGTVYRGTYDGQDVAVKLLDWGEDGFATEAETAALRTSFKQEVAVWHKLSHPNVTKFVGASMGTTDLKIPANNSNGGARTNLPARACCVVVEYLAGGTLKQYLIKNSRRKLAYKVVVQLALDLARGLSYLHSRKIVHRDVKTENMLLTPQRNLKIADFGVARVEAQNPKDMTGATGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVHQNLRPDIPRCCPSTFANVMRKCWDANPDKRPDMDEVVQLLEALDTSKGGGMIPDGQSPGCLCFTKARGP >KQK90410 pep chromosome:Setaria_italica_v2.0:IX:42468042:42469340:1 gene:SETIT_039953mg transcript:KQK90410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKKDPTFVDLLPGTPYNMQIANCCKGVLNSWLQDPVSAVASFQISVGRSGTTNYTVKAPLNFTLKAPGPGYSCGVAQEVKPPTKFISQDGRRTTQAHVTWNVTCMYSQFVAQRAPTCCVSLSSFYNETIVNCPQCSCGCQNNITRPGSCVEGNSPYLAPVVNGPGTSSLAPLVQCTPHMCPIRVHWHVKLNYREYWRVKITVTNWNCRMNYSQWNLVVQHPNFDKVTTIFSFNYKSLNPYGVINDTGMLCDLLMVAGPDGNVQSELLFRKDPSMFTFDKGWAFPRRIYFNGDSCVMPPPDVLKASSLVLPIAIWTALLFLSLRM >KQK91602 pep chromosome:Setaria_italica_v2.0:IX:50769328:50770487:-1 gene:SETIT_037686mg transcript:KQK91602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRLRSKEGSSKVTGVMVCFGGALLISLYKGKVLLLWHAIVRAGHKDSNGAAGQHHLRGTLLLLGNCICYACWYPIQVKVLGVYPWKHWSSVVTCFFGGLQTFAIGIIMRRDKVAWQIGWNFQLLTIVYSAALGTAAKYWLNLYAVEKRGPVYPPMFSTLSAVFIIILGTLLLGESLTAGR >KQK91805 pep chromosome:Setaria_italica_v2.0:IX:51892270:51899105:1 gene:SETIT_033964mg transcript:KQK91805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVPSASGSGIWSRRRDEITFDRLQKFWNDLPPQARQELLKLDKQTLIEQARKNFYCSRCNGLLLESFSQIVIYGKSLQQEASDISQLRTTTESRITQGEQDGAQDPSVHPWGGLSTTKDGVLTLLDCFIKAKSLRVLQNVFDNARAREREREMLYPDACGAGGRGWISQGMVNYRGHGTREMCALHTAHLSCDTLVDFWSALGEETRSSLLRMKEEDFIEKLMYRFDSKRFCRECRRNVIREFKELKELKRMRREPHCTSWFCVTDTAFQCEVFEDAVIVDWRQCLSEPDGSYHHFEWAIGTDEGESDIFGFEDVGMNAQVHRNGINLDQFEDYFITLRAWRLDGHYTELCVKAHALKGQSCVHHRLVVGDGFVTMTKGESIRNFFEHAEEAEEEDEDDAMDRDGNDFDGDGSHPQKHAKSPELAREFLLDAAAVIFKEQVEKAFREGTAQQNAHSVFVSLALKLLEERLHIACKEIITLEKQNKLLEEEEKEKREEQERRMKRRTREREKKLRRKERLKEKENKGKRLVELKSPDDVSSLALSNLSTSTNDDSTNTLDSRDSATEEEDNAEVVNPRSCDSHIDQSSCMEINGQNSVRCNAMAEFSLMDSSDLCTSEQSKSSKRSPRLREDFPQDQSCWYDDCQDEYGRIGDLQWQSRERARSSDRSCNAVSTTNNRTRDRHAYNSCSCGHQEDYGVVNNCFLSTARSGREMKMARKSGVDKPRVQYRRCYPLDNFVVSKATRIASTQKNAILKQVWEPMDARKTTNLDNTDNVACSIDNVDPLESVDCDTSGCQKLAARCESQPLASESSSDVCKSDQQCGTTERSQAAACDGTLTVNKQNCYPGNDEGSRHDEELMMNSAGSDGSSSCMSEGDRESSSSSMTSLSAQNPESSSSESEESPDRTKSTVGTPSSRTASRSLLEACAGNGFREYQPKATRLAHNDRLGFNTSPFQDQLLRQQSMHVSPYSPATMGLHNRSWAAQTNGNFHYARPTHLYSSPLVFGAPGNHFVDYPVQYSSVNPYLAPAFSHMPPEPIHTTPASFRAMPLSTPFRNGPQHIAGHAHREMNLERHPAKLTTLSGKDLLEDKNRSGLKDLPEDKNKSQDADASFSLFQFNLPIASPVTPSSKDDKNGELTARTPLLQVQAQLCSREQADVKEYNLFSSKDNGIFSCSKDNDIFSFM >KQK86071 pep chromosome:Setaria_italica_v2.0:IX:159516:173286:1 gene:SETIT_039413mg transcript:KQK86071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPLQASKSRFVVGSHVWVEDPDEAWMDGLVEEINGDELVINCTSGKKVTANVSSAYPKDTESPRGGVEDMTRLAYLHEPGVLQNLKSRYGLNEIYTYTGNILIAVNPFQRLPHLYNNHMMGIYKGAEFGELSPHPFAIADRSYRLMINDRISQAILVSGESGAGKTESTKMLMQYLAFMGGKAQAEGRSVQQQILESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDENGKISGAAIRTYLLERSRVCQISDPERNYHCFYMLCAAPPEDCKKYKLGDAKSFHYLNQSNCIALDGMDDSKEYMETRRAMGIVGISSDEQDAIFRVVAAILHLGNVEFAEGSEADSSVPKDEKSQFHLKTAAELFMCDEKGLEESLCKRVMATRGESITKNLDPRAAALSRDALARIVYSRLFDWLVNKINSSIGQDPDSKILIGVLDIYGFESFLTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIQFVDNQEILDLIEKKPGGIIALLDETCMLRNSTHETFAEKLYQKFKDNPHFSKPKFSRSDFTIHHYAGNVTYQTDHFLDKNIDYAVNEHQVLLNASKCSFVSSLFPPCEESTKSTKFTSIGSSFKQQLQSLLETLSATEPHYIRCVKPNNVLKPAIFENINVLQQLRCGGVLEAIRISCLGYPTRRTFYEFVNRFGILQPKVLGRSHNEITAAKMLLDKANLTGYQIGKTKVFLRAGQMAELDALRTEVLGHSAKKIQSKVRSFLARKKYIQLQLCATQLQAVCRGTIARRCYENLRKEAASLKIQTCYRMHHSRKYYVDIYSASTTIQSGLRGMAARIILHYKRQTKAAVIIQSRCRCYLARSQYVRMMKATITAQCGWRRRVARRELRNLKMAAKETGALQAAKSKLEKEVEELTWRLQLEKRIRADMEEAKTQENKKLQLQLQELQLQLKDTKDLLKREHEAAKEASEKASAVPEILADTARVDELTSENEKLKILVASFEEKLQKTEQKFEETEKAREELLNKATDAESKINELKNTMQRIWLTEAYNLMNSEGKPVAAITIYKCLLHWRIFETDKTNVFDRLIQIFGSAMQKQDNNADLAYWLSNSSSLLIILQKSLKPPGSSGTTPMKRPQTQTSFLGRMVFRASNITVDMDLVRQVEAKYPALLFKQQLTAFVEGLYGMIRDNVKKEISSVVSLVIQVTPSIESHPQAPRSAKAGLITDQGSYWQMIVNHLNDLLKVLQENCVPTIFARKIFTQIFSFINAQLLNSLLVRRECCSFSNGEYVKQGLDELESWCTQAKPEYAGSAWDELKHICQAVGFLVIFKKFRISYDEIINDLCPVLSVQQIYKICTQYWDDKYNTESVSEEVLDEMRKVVNEGSGQQGAPPDSSTFLLDEEISMPLSLEEIASSMDAKEFQNVSPPQQLLENAAFQFLRS >KQK88943 pep chromosome:Setaria_italica_v2.0:IX:18877403:18878274:1 gene:SETIT_039578mg transcript:KQK88943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPRPLAVFLAVATLLAAPAVVAAWSKGTATFYGGSDASGTMGGACGYGNLYSTGYGTATTALSQALFSGGASCGQCFQITCDSQTDGRWCRAGVSVTVTATNLCPPNYAVPSDNGGWCNPPRAHFDMAQPAWVQIGVYQGGIIPVLYQRVRCVKQGGVRFTITGFNHYELVLISNVGGSGSVASAWVQGTSTNRVPMSRNWGANWQSLAGIAGQALTFGVTTTGGQTIVFQNVVPVNWAFGMSFISNLQFSY >KQK91999 pep chromosome:Setaria_italica_v2.0:IX:53160828:53166158:1 gene:SETIT_034955mg transcript:KQK91999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRISPSMRSITISSSNGVVDSMKVRVAPQPPPPPPPPLGPGRRGGGGGWGAGWYWRAVAFPAVVALGCLLPFAFILAAVPALEAGGSKCSSIDCLGRRIGPSFLGRQGGDSTRLVQDLYRIFDQVNNEEFPSNEKLPESFRDFLLEMKDNHYDARTFAVRLKATMESMDKEVKRSRLAEQLYKHYAATAIPKGIHCLSLRLTDEYSSNAHARKQLPPPELLPLLSDNSFQHYILASDNILAASVVVSSTVRSSSVPEKVVFHVITDKKTYPGMHSWFALNSISPAIVEVKGVHQFDWLTRENVPVLEAIENHRGVRNHYHGDHGTVSSASDNPRVLASKLQARSPKYISLLNHLRIYLPELFPNLNKVVFLDDDIVVQRDLSPLWEINLEGKVNGAVETCRGEDNWVMSKRFRTYFNFSHPVISRSLDPDECAWAYGMNIFDLAAWRKTNIRDTYHFWLKENLKSGLTLWKFGTLPPALIAFRGHVHGIDPSWHLLGLGYQEKTDIESVRRAAVIHYNGQCKPWLDIAFKNLQPFWAKHVNYSNDFVRNCHILEPQYAKE >KQK89443 pep chromosome:Setaria_italica_v2.0:IX:29957797:29962768:-1 gene:SETIT_034510mg transcript:KQK89443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDTQACSVISPLAPPVTSADISGPEVICSTATANCSIEKVTSVPVMPDSVSEGQDKTKYLSNSTGRVAGLPYQFLSLSGHQEVMQNSQGHTGKQMDASDANLVGCNQSDQEEHLDFTSLSSFKRIAECHLGFVPLASNRNLFKPRKWIEFTNSNAGSSYSPAGQFSPVACLREDPVSKQEKAVKDHDDSPVTGFSISNILNKENPDNFTQAKRGGLKGTKDTLDYSRIYNSFLIDGRLKDCVDLLESMEQNGLLDMKKIHHASFFSMCKKQKAVLEAVRFCTLIDNPKISTFNMLLSVCANSQDFDGALQVMELLKEAGLKPDCKLYTTLISTCAKCGKVDAMFEVFHEMVSAGIEPNVNTYSALIDGCARAGQVAKAFGAYGIMSSKKVKPDRVVFNALISACGESGAVARAFDVLSEMMAESSESKGSGPILPDHVTVGALMKTCIQAGQADQAREVYKMLQEYNIKGTPEVYTIALRSCSLTGDLGFALKIYEDMNKIGVKPDEMFLSALVDVAGHARRADAAFEIMKDARAKGLHVGTIAYSSLMGACCNAKDWKKALQLYEEIKSIKLTPTVSMMNALITSLCDGDQVLKAVDVLNEMKGIGICPNEITYSVLFVACERNGEAQLGLDLFEQLKIDGIGINPTIIGSLTGLCLQMFDSDLSLGNIIVNFSLGKPQIDNKWYAP >KQK90528 pep chromosome:Setaria_italica_v2.0:IX:43422122:43425236:-1 gene:SETIT_034909mg transcript:KQK90528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLQSSYSPDDASSPEESAAASSPDSSPLRLPSKSAAPAVDDTALALSAAASASRPLDPSLHLVAFNPTADQLWAPIVGPQHPHAPISSASGNRNHKLGHVEDAAVLPFLFDEQYNTFHRFGYASDPSGLHIVGDAQSQAPEPDTVYNLAPSEHKRRRLLAKADNQEEPLPPDARNPASEEWILHNKQSPWAGKKEAPPAELTEEQKQYAEAHAAKKAEKEARGEGKGERAEVVVKSTFHGKEERDYQGRSWITPPKDAKASNDHCYIPKRCVHEWVGHTKGVSAIRFFPKYGHLLLSASMDCKIKIWDVLGSRTCMRTYMGHSKAVRDISFSNDGTKFLSAGYDRNIQYWDTETGQVISTFSTGKVPYVVKLNPDEDKQHILLAGMSDKKIVQWDMKSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSIALHPNSNWLAAQSLDNQILIYSTKERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGSCWFWDWKSCRRFKTLKCHNGVCIGCEWHPLETSKVATCGWDGVIKYWD >KQK88154 pep chromosome:Setaria_italica_v2.0:IX:11999158:12001305:-1 gene:SETIT_039877mg transcript:KQK88154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTPGCYASSQKFIDPTTEYNDLDLLSHPASSINHYPPVATTINNDGHVALSASSSNKIYAPQFHGVSSNMTPDWHGTNVAEYSEDSWINSDITLRYINKLLMEEDSDDKFKPHHGESALRAMEEPLYRLIGQNYPANTQLLPLCSSDHMKTLDGSISNGQPCSSFSVAISSGNNRYNDDLQAFEAPWSLSAIVGETRQFTQDTYTMELGLNVGGLSIVEKTSRDNQSLQINDRESRKHALFEVQCQKMNSCKEDFDLLEGRSNKQFATSFNEPTRNEIFDKVLLCSDHELIDQGIILQEAMASKSTINSQTDQGRTSAQRKKKGKKQQRKEVVDLRTLLIHCAEAVSVNNHTLANDILSIIRQHASTSGDDSQRLAFCLADCLEVRLAGTGSQLYRNLMAKRTSALGTLKVFHLCIAICPFLRAPYYFSNKTITDVSKGKPRVHIIDFGICFGFQWPSLFEQLAKREGGPPKVRITGIEQPQPGFRPNESSMNAGHRLADYASMFNIPFEYQGISSKWETIKIEDFNIDKDDVLIVNCIYRLKNLGDETVSIDSARNRVLNTIRMMKPKVFIHGVVNGSYSTPFFLTRFKEVMYHYSALFDLLDKTVPRDYEARMILERDIYLSVVLNVIACEGSERIERPESYKKWKLRNQKAGFEQLPLNPDTVKGTRAIVRQYHKDYIVNEDDQWLLMGWKGRILYGIATWKTSESYNGD >KQK91739 pep chromosome:Setaria_italica_v2.0:IX:51538581:51539530:-1 gene:SETIT_038167mg transcript:KQK91739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAMPRTAMGGIGDDAMGKGDGLTGTTRIGQRTWWRLERQRGGVGKRAIVDAGQGAIGDRSGMKSTAELYCELLRTESRIQCAHRGGCSAGSSSSSMIRVLFI >KQK89463 pep chromosome:Setaria_italica_v2.0:IX:30398092:30398752:-1 gene:SETIT_039981mg transcript:KQK89463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPTALPKPSGLGACKRKEAPAAFDQVRLARSKIRLHKGYKEASTIKEKRKIEVIAAPKKGRQRPGQLRCRAAGATGKRVPVPSCSCSFEIEAF >KQK89862 pep chromosome:Setaria_italica_v2.0:IX:36546057:36546744:1 gene:SETIT_0338254mg transcript:KQK89862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPWEDLLPSEWSQIFEFSEQGRSRSTSKKHSYILQPVSGKAKYTKIQLTEAKKTGQALQNAAVDLDDVTLSLSKDGYRDFLKMADNFSSFNQRLRYAHLRPSLPVKSDPQAWWKYACKVVTQEIKKS >KQK91727 pep chromosome:Setaria_italica_v2.0:IX:51467645:51470141:1 gene:SETIT_037893mg transcript:KQK91727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAYKMATEGMNVKEECQRWFMEMKWKKVHRFVVYKIDERSRAVLVDKVGGPGEGYEELVAALPGDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPTASRIRAKILYATSKQGLRRLLDGVHYEVQATDPSEMGFDVIRGRAQ >KQK91728 pep chromosome:Setaria_italica_v2.0:IX:51467848:51469690:1 gene:SETIT_037893mg transcript:KQK91728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDVLCCVLCVRGLQATEGMNVKEECQRWFMEMKWKKVHRFVVYKIDERSRAVLVDKVGGPGEGYEELVAALPGDDCRYAVFDFDFVTVDNCQKSKIFFIAWSPTASRIRAKILYATSKQGLRRLLDGVHYEVQATDPSEMGFDVIRGRAQ >KQK86737 pep chromosome:Setaria_italica_v2.0:IX:3498185:3499277:1 gene:SETIT_039181mg transcript:KQK86737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTATAAACKTSNGRDVRVSLRRLATPPACSVVQLYTDDDVPMVPISVVAADGDLLLVHMVVAVKGVYAKKFPHNFFVYKADPELPSLLPLPPPPSVWKAQAEVTGIARRGEEFVVANLRRHNVVVDATTMTEVEAAVVWLYRSSSATGQWETKQLDLPYAKEEGIVEYFWCTDTVFSFRGFPGIETWRGDRDLPNMYRAVSICRGHLKFVDIDNGNDDEGPSHYDDNYDGCTITTWTLRMPELEWEEDSSLLLQDLSSLPSYQDSPLPRTVPRFPVGDMEEDSILHFIKKSLVQYALYENPIEAKDSGGFDYSNVFFNIPFVSTQLFH >KQK89880 pep chromosome:Setaria_italica_v2.0:IX:36662637:36666003:-1 gene:SETIT_035647mg transcript:KQK89880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSTAAVAAVEVGAPDSLPPPAAEETDAAAAAEEAAAAAKRWPGWPGDSVFRLVVPVLKVGSIIGRKGELIKRLVEETKARVRILEGPVGATERIVLVSGKEDPGLELPPAMDALIRVFKRVIGIADGAAEGTQASAAPGVCAARLLVPGAQAINLIGKQGATIKSIQESTGATIRVISVDERERPFYVTDDERIVEIQGETEKVVKALQAVSNHLRKFLVDHSVLPLFEKTNTTVSQDRSGDGWSDMSHPSIGSAQVNQPPPVVDEYILPVKRDSLYLEREPLVDHNIHRSGVSLYGRDPALSTLRPSGMHGAGPLLTQITQTMQIPLTYAEDIIGVKGANIAYIRANSGAVVTIQESLGSPDDITVEIKGTSSQVQAAQQLIQDSLAAHREPVRSSYVGAGLDPVYRSSYSQYGSSTYSSSSLPSYSSIDDGRYPSSGLGGYGSSYRY >KQK88976 pep chromosome:Setaria_italica_v2.0:IX:19113048:19115876:-1 gene:SETIT_0344662mg transcript:KQK88976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILSLLNHNDVGKDMHATIMESGCTSSPIFQENHSESNAGQIPSCVDHDRQESGKRKAEVPGMRASTSLGVMDQTYGIKHQRRRNKDSDLEHGSEIINLCPTANLLDEVERLLRENPDPANLEKAKSILKVQEKDLLDALVKLSEASYDAVYFSANGQPGNTHDDGKADEEVLPNPANSSDETPPVTTRQAGAGAGNHVKIQGVAATALPLSTAPPSLMQTRPSLAPASPSSAPAALGESSRGLSTAHQPHAQPVVVGSASAPPAPSKTRLIITKRKTTAEQRWRMWEFAHRVGWSIQKAGADAVDAFCAQVGVPERALRNWMANNRRLAKVPPPSSPPPRSIIKRTKTTAEQRKRMREFAYRVGWSIQKAGADAVDAFCAQVGVPERALRNWMANNSRLAKVPPPPSPPPRSIIKRTKTTAEQRKRMREFAYRVGWSIQKAGAGAVDALCAQVGVPECALRNWMANNRHLANVPPPSLSSPPLPSHHQVQDHPPADTPPQGSMTEQGKSPEPEAAAAPADDGAGKGDEDEEASEVTQRGRGHRARKPNKCYADSFWM >KQK89943 pep chromosome:Setaria_italica_v2.0:IX:37512026:37514156:1 gene:SETIT_0338421mg transcript:KQK89943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGGGESLVVDGWSLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGSDLSIVGEAGGPSKDKNTNAKKPEELKPLPLSQRDMVFNIGKSVAENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNASSRLAKHSMPQLPRPRENTSKVDHNRGRRVGEMRSRLPKPTKSQNVAANSVPDKGSLPISVPNPGVSERTSTFAGSTTSTSNTEKPTIEKNNSVLGTGLRTEVPSVSEMQAASTVPTSKQNVPVNNRAKRKYVPTVGNVNRGVPRTSERTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPSEKAPRSNYRSASSRGRGHG >KQK89942 pep chromosome:Setaria_italica_v2.0:IX:37512028:37513670:1 gene:SETIT_0338421mg transcript:KQK89942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGGGESLVVDGWSLRPSRVWKDGQWTEWSRARERKSKSNKGDSPLEKRQRTDLLQAGSDLSIVGEAGGPSKDKNTNAKKPEELKPLPLSQRDMVFNIGKSVAENKSDALAFKRPGLQKEGSKVVYGVPKHGKKKKFMEVSKHYDAGQSDKISEGNASSRLAKHSMPQLPRPRENTSKVDHNRGRRVGEMRSRLPKPTKSQNVAANSVPDKGSLPISVPNPGVSERTSTFAGSTTSTSNTEKPTIEKNNSVLGTGLRTEVPSVSEMQAASTVPTSKQNVPVNNRAKRKYVPTVGNVNRGVPRTSERTSSDSGEPQRTSSDSAEPRRSNRRIQPTSRLLEGLQSSLIISKVPSEKAPRSNYRSASSRGRGHG >KQK91455 pep chromosome:Setaria_italica_v2.0:IX:49890218:49890753:1 gene:SETIT_040268mg transcript:KQK91455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDASPDAKYAYTIVYVTDVEKAAAFYAAAFGYAVRRLDQSHKWAELESGATTIAFTPLHQRETDGLSGQVQLPDATAARGPVEICFVYADVDAAYRRAVEHGATPVSAPEQKPWGQKSGFVRDMDGNVVRIGSHVRE >KQK93007 pep chromosome:Setaria_italica_v2.0:IX:58287549:58288272:1 gene:SETIT_038217mg transcript:KQK93007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPESFRFMLLLLLLTLVLVGAELMTGRLCHGRRIPGPEVVVVESPPPPSEGYGLLFSSNYKYKQQRRMSRWRRLQDFSESKREVPSGPNPLHN >KQK89824 pep chromosome:Setaria_italica_v2.0:IX:36221671:36225055:-1 gene:SETIT_034922mg transcript:KQK89824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEIGAFTAARMAAPAFRPAAAPAPAAAAPLQPMRAVAARSLRTVATETLTADLAGATNGAVHAQMNSEAATEITSQAVIANSRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHQSHQKVIDLVKEYNAQNTDGNIIAIMLDTKGPEVRSGDVPEPIMLKEGQEFNFTIKRGVSTADTVSVNYDDFINDVEVGDILLVDGGMMALAVKSKSADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDARVIHELKDYLKGANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEDVPLLQAEIVQTCRSMEKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPLKAVKVMHTVALRTESSLYNPTTSPGLVAPAQVWSGPFRLPILFSPSNSPHGDLSFVQCSLLSHG >KQK89825 pep chromosome:Setaria_italica_v2.0:IX:36220485:36225213:-1 gene:SETIT_034922mg transcript:KQK89825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEIGAFTAARMAAPAFRPAAAPAPAAAAPLQPMRAVAARSLRTVATETLTADLAGATNGAVHAQMNSEAATEITSQAVIANSRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHQSHQKVIDLVKEYNAQNTDGNIIAIMLDTKGPEVRSGDVPEPIMLKEGQEFNFTIKRGVSTADTVSVNYDDFINDVEVGDILLVDGGMMALAVKSKSADTVKCEVVDGGELKSRRHLNVRGKSATLPSITEKDWEDIKFGVENGVDFYAVSFVKDARVIHELKDYLKGANADIHVIPKIESADSIPNLQSIIAASDGAMVARGDLGAELPIEDVPLLQAEIVQTCRSMEKPVIVATNMLESMINHPTPTRAEVSDIAIAVREGADAIMLSGETAHGKYPLKAVKVMHTVALRTESSLYNPTTSPGLVAPAQALHNECSPSQLSKMFGSHSTMMANTLRTPIIVFTQTGSMAVLLSHYRPASTIFAFTNEERVKQRLALYQGVIPIHMQFSDDAEETFSRAISSLLKAQHVKKGDYVTLVQSGVASIWRDESTHHIQVRKVQG >KQK92484 pep chromosome:Setaria_italica_v2.0:IX:55763817:55764723:1 gene:SETIT_037865mg transcript:KQK92484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHPAAAPTGGKKDLRRLPHVYSKVLELPLPADTDVEVFEGPDAFHFVAAGARGTGMVQVRTVRIHPGVTKVVVRAGGTGGGDEPGADDMELDRWRSRLPEASCPAMAVAGYVDGQLVVTVPKGRGGDEGAEGGQGEVTWRCCSGGKISGRLVVVQ >KQK91821 pep chromosome:Setaria_italica_v2.0:IX:52006699:52007201:1 gene:SETIT_040519mg transcript:KQK91821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRETFLFHCFLGSKLHTSLCRGQSETYKISLQ >KQK90976 pep chromosome:Setaria_italica_v2.0:IX:46953860:46955160:-1 gene:SETIT_036729mg transcript:KQK90976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALLATPSRGQLLDTHFYDKACPAALPTIKRVVEEAVAAEPRMGASLLRLHFHDCFVNGCDGSILLDDTPFFTGEKRASPNVNSVRGFDVIDRIKAAVNAACRGNVVSCADIVAVAARDSVVALGGPSYDVPLGRRDARTASQAAANNSIPAPTFNLDRLASSFASHGLSLQDLVVLSGGHTLGFSRCTNFRDRLYNETDTLDGALAASLQAVCPRATGSGDDNLAPLDPTPARFDGGYYASLLRGRGVLHSDQQLFAAGGVADALVRFYAANGDAFRRDFADAMVRMASLSPLTGTRGEIRYNCRKVNYS >KQK86075 pep chromosome:Setaria_italica_v2.0:IX:183928:186775:1 gene:SETIT_036442mg transcript:KQK86075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFYDHDPDITRWGLHLLLPAAHGCSAHHHTQTTATTPYTAYTPQHPSSSSAEIKVEHVPHCSDDAVDNDEIIAQALQEELSHVALAEASGASSSHDDNHSAVLTQHWFRPRTIHVASASQEAETREEPFSSCSSPGDDNVQHGEACLIDLMDDFSVIDGEVGKRLNDMVPVPHVPKTNGEIPSVDEAVSDHQRLLDRLVLYGLVELKVNGDGNCQFRALSDQFYRTPEHHRFVRQQVLKQLELHPEFYAGYVPMDYREYLKKMSKSGEWGDHVTLQAAADSYGVKVFILTSFKDTCYIEILPVVEKSRRVICLSFWAEVHYNSIYPEGEELPITEKKRRSWWPF >KQK87062 pep chromosome:Setaria_italica_v2.0:IX:5377092:5379138:-1 gene:SETIT_036402mg transcript:KQK87062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSRLIMLAVLAVVSVCAAPRALAARELAAGEDDAAAAMALRHEAWMAEHGRAYKDEAEKARRLEIFRANARLIDSLNAAGKHGHRLATNRFADLTDEEFRAARTGYRRPAAPAAGSHGGGRFRYENVSLADAPQSVDWRAMGAVTGVKDQGDCGCCWAFSAVAAVEGLNKIRTGRLVSLSEQELVDCDVDGEDQGCEGGLMDDAFQFIARRGGLASESGYPYDGDDGPCRSAAAAARAASIRGHEDVPRESEAALAAAVARQPVSVAINGADPAFRFYGGGVLSGACGTELNHAVTAVGYGTAGDGTRYWVMKNSWGASWGEGGYVRIRRGDRGPGVCGLAKLPSYPV >KQK92467 pep chromosome:Setaria_italica_v2.0:IX:55670751:55671195:1 gene:SETIT_038761mg transcript:KQK92467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPSRSGRSRRRTLSAALLLVVLLLLSSSAPGTCTSARGRAGRVAPEEGYSWDPGTTAARRGLVGPGSSPPTCRSRCGGCHPCRPVHVAIQPGRSFPLEYYPEAWRCKCGNKLFMP >KQK89924 pep chromosome:Setaria_italica_v2.0:IX:37195645:37197281:1 gene:SETIT_036783mg transcript:KQK89924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMTTMEKLLLFHKLESDLFHRLVHDLAQDPAAMRWVIALWLWLESVGHHNFIRRVAALPGPVVLRFVEEAVACLRCLAGQCQAATADVEDGRGKRLPCTNALLTEPIDDAGYFQSHREILDGVTHYYRSVCLAVCNVNNSTTCMPNNTAGVPVAPPMVSSPIHTTPRVAPLPLNPMAASFPLNPMATPWIPMQSPLMEAPWIPMQSPLPDDYRSLFITFSKGYPISREDIMEFFDSVFGPCVETVMVEKVAPGQQPVYGRVILRSAAMIPVVLDGRQTAKFMIKGKHLWARIYIPSSRLSYP >KQK92314 pep chromosome:Setaria_italica_v2.0:IX:54876915:54880758:1 gene:SETIT_036010mg transcript:KQK92314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTAAATNMKPPPHGTSTVLALLSLSLLLLRLLLRLRLAAFRDAALSLHLLARLRLRPVHLRLPGGATTLRVWCPSSPSSKPPLLLLHGFGGDAKWTWARNLPLLSRHFHVYAPDLVFFGAHSRSASPLRSVAFQARCAADAMRLLGVHRYDVAGISYGGFVAYRMAAAEARGAVGRVVVMTSGVAAAPGEMREMAAREERTVEEALLPKTAEGLRFLVRRSMHRPPPWMPDFVLDDFIQLMCVDQRKERAELLQELLKNGAGFDPLPVLTQETLIIWGDKDRVFPVDLGHRLHWHLGERSRLEIVKDAGHALQLEGAEHVNKFIKSFLLDERRIGPGVAVAQKIQTTMDLRQRTPPSTSSSHGCNHDRAVANLEGTQRPHLRQQDIFGARCASTGT >KQK92313 pep chromosome:Setaria_italica_v2.0:IX:54876915:54880406:1 gene:SETIT_036010mg transcript:KQK92313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTTAAATNMKPPPHGTSTVLALLSLSLLLLRLLLRLRLAAFRDAALSLHLLARLRLRPVHLRLPGGATTLRVWCPSSPSSKPPLLLLHGFGGDAKWTWARNLPLLSRHFHVYAPDLVFFGAHSRSASPLRSVAFQARCAADAMRLLGVHRYDVAGISYGGFVAYRMAAAEARGAVGRVVVMTSGVAAAPGEMREMAAREERTVEEALLPKTAEGLRFLVRRSMHRPPPWMPDFVLDDFIQLMCVDQRKERAELLQELLKNGAGFDPLPVLTQETLIIWGDKDRVFPVDLGHRLHWHLGERSRLEIVKDAGHALQLEGAEHVNKFIKSFLLDERRIGPGVAVAQK >KQK93047 pep chromosome:Setaria_italica_v2.0:IX:58497700:58502207:1 gene:SETIT_036468mg transcript:KQK93047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETELAVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIALPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTERLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRDIFHLPDDLTEEEKLEPLKNINDDPRIRLLNRLYAKKRKELQERQKLKDVQVQEEQKDERSLDELLCFINGDGGSGGGKTAKSKKKNKRRKDQAKNPPKADSEPVNKEHVTPCKVDGGNISRLPCQSPDMQDDVEDPFEDADLDDGLDPAMKEELDREVEDFARRLNSVWPERMHLGQDRRIESHIGGNGSLQRFPGFNHR >KQK88993 pep chromosome:Setaria_italica_v2.0:IX:19276942:19278015:-1 gene:SETIT_038596mg transcript:KQK88993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPGSASSIVAGTVTGHHLLHIEGHSRTKEELPNGRCVQSRPFTVGGLSWRVWYYPNGAQPECADYISIFVCLDDSTAAAERPEPFRARARFSVLDRDGEPVPCHTHTTEVREFSGDSTGYGFDRFVRRGFLEKSEHLKDDCFTIRCDIIISEQLRTEDRAAASPLTPVPPSDMHRHFGDLLVTQDGADVTFQVAGKTFRGHRCILAARSPVFKAELLGAMREGSATGACVQIGDMLPEVFKILLHFIYNDSLPEMEGQEEAVLAQHLLEAADRYDMQRLKLICEDKLFRHLDVSTAATTLVLAEQHHCHGLKEACIEFLKSPSVLEAVVATDGFEHLSKSCPALLKELMCKLAAR >KQK87993 pep chromosome:Setaria_italica_v2.0:IX:10689556:10690738:1 gene:SETIT_036828mg transcript:KQK87993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAAPPIPTQETGAAAPPWADMETDCLAHVFRRLDLEDLAAAAPLVCRGWRRAVADPSLWRALDLRRDHVARFMPWAPLAAAFARRYGVPRFALGGFLRICVARAQGSADDVALPPLLASPAADLDHISLQCPRLRRLALPQLPAGDEARLPDLIPRWPLLEHLELDAKPSSASFPALAAQLGRHCPNFVSLKTSGAVKPEDAAALARSLPRLRSLCLDRSYLPKQELLAIIAGCRDLRELSARGCVGFDEGDEEVARRGARMERFDVTGSRMIDELQDELAGGGDDLCDSSYVDVM >KQK92154 pep chromosome:Setaria_italica_v2.0:IX:54043170:54044873:1 gene:SETIT_035929mg transcript:KQK92154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQQRGLLLMAMAVAALLPDGAVAVAAGRCTTSTPVKTYDKCIALPTQGATLAWTYDARNATLDAAFTGSFISPSGWVAWGVNAGAPAMTGARVLAAFSDPSTGALLALPFVLSPDVKLQASPLVSRPLDIPLLASSASLLAPARTVRDGAKVTIAATIRLSPNRTRVHLVWNRGLYVQGYSPTIHPTDASDLASHATVDILTTATEASPIASATLQWLHGSLNALSWGLLLPVGAAVARYLRPCAYAGPAWFYAHAAMQATGYTLGAAGFALGLVMGSASPGVTYKLHRGLGIAAATAGSLQTLAMFFRPKTTNRYRKYWKSYHHLVGYGCVVVGVVNVFQGFEVMGLGASYWKLGYCLALATLMGACVALEVNAWVLFCRRQQEEKLMRREVEDVVVKDRAAAF >KQK86435 pep chromosome:Setaria_italica_v2.0:IX:1870205:1872230:-1 gene:SETIT_037963mg transcript:KQK86435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARSGVGVNDECMLKFGELQSKRLHRFITFKMNDNFKEIVVDQVGNRETTYEDFTNSLPENDCRYAIYDFDFVTAEDVQKSRIFYILWSPDTAKVKSKMLYATSNQKFKSGLNGIQVDLQATDASEITLDVISARAR >KQK90679 pep chromosome:Setaria_italica_v2.0:IX:44569925:44570401:-1 gene:SETIT_038732mg transcript:KQK90679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLEVAELVLLAAAASALAAALILFCVHNHRESRKRRPPPELPLSQQVPTAVPAKTSRSRHLVVLLIIMLCPWRRQRARIEPAAAADSQADSSPAAAAAEGVASWTERWLGPTSRALYTIDEEDGEDGDGEEQQEEQEQAEPPDTPFYTPMASPARPG >KQK91053 pep chromosome:Setaria_italica_v2.0:IX:47413392:47415424:1 gene:SETIT_035138mg transcript:KQK91053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPTGASSYAASVPWSLLAYGLLGLALLRQAGRLLHRMWWRPRRLERALRAQGLRGRPHSLLAGDLRENARLNREAWSRPLPLGCHDIAPRVAPFLCGVVREHGRRACLCWFGPVPRVIVADPGLARDVLSNKFGHLEKPSFPALTRLLAEGVAGLEGEKWVRHRRILKPAFHLEKLKSMLPAFSACCEELVGRWAESAGADGWCELDVWPELQNLTGDVISRTAFGSSYLEGRRIFQLQAEQGERLVATIQKIIIPGYLYLPTKNNRRMHEINDEVTSLLRGLIEKRMQAMKEGEIGGKDDLLGLLLESNMRHTDEHGPSSLRMSTEDVVEECKLFYFAGMETTSVLLTWTMVLLSMHPEWQDRAREEVLGLFGRNKLEYDGLTQLKTVTMILHEVLRLYPPFIAISRKTHKEVEIGGVTYPAGVVVDLPVLLIHHDPDIWGSDVHEFRPDRFAEGVSRAPPAFFPFGWGPRTCIGQNFALLEAKMALSMILQRFEFELAPSYTHAPHTVITLQPMHGAQIKLRAI >KQK87955 pep chromosome:Setaria_italica_v2.0:IX:10416694:10416904:-1 gene:SETIT_038539mg transcript:KQK87955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGCLELVRCLSMMKRRVDSWALSLYPGSFLASTEPQTESNRGGGASDGKSMDRGQGEE >KQK86541 pep chromosome:Setaria_italica_v2.0:IX:2532343:2533573:1 gene:SETIT_039114mg transcript:KQK86541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFAAACFVLLLLNCRVAESRRHRHHHSPKAAYRTHKLFVFGDDFADDGNGDSDSPTGRFSDGLVQSDFLAKIMGHSESPPPYTGDDWDNGIDASGLNFAVAGAAALDVTGGVLNISAQVQQLRNLVRDGLVDDRDFKESVALVAYSGNDYTSQDNLDDQVAKVVDELASVVSQLQDLGVTKVLVNTVPPFGCSPWLARLSDYSSCDGDGNANSDKHNAALRDRLGGDIVTDLVAPKEGSALYAGKFTELLRPCCEGTGDGGYCGLDGGYSLCDHPEEYFYWDLVHPTHAGWRAVMQLLQGPIMAFLGISNLEHL >KQK91163 pep chromosome:Setaria_italica_v2.0:IX:48225915:48226263:1 gene:SETIT_038516mg transcript:KQK91163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDKYGLSNVETPSTKIQNVSIDPQFVPEDAIIWFHSIQNQGRSRSFCR >KQK88433 pep chromosome:Setaria_italica_v2.0:IX:14185258:14192428:-1 gene:SETIT_034103mg transcript:KQK88433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQYSPAAVEKSWYAWWESSQYFEADAASSKPPFVIVQPPPNVTGVLHIGHAITAAIEDAIIRWRRMSGYNALWVPGMDHAGIATQVVVEKKLMREKNLSRHDVGREKFLGEVLKWKEQHGGAILKQLRILGASLDWSRECFTMDEQRSKAVTEAFVRLYKDGLIYRAHRLVNWDCTLRTAISDIEVDHEDLAGETPLEVPGYRSPVQFGVLISFAYPLEEGLGEIIVATTRIETMLGDTAIAVHPQDERYKHLHGKYALHPFNGRKLKIICDAELVDPSFGTGAVKITPAHDPNDFKVGEQHNLEFINIFTDDGKINEMGGSQFEGMPRFAARTAVIDALKNKGLYRDTKSNVMSLSLCSRSKDVVEPMMKPQWFVNCNSMAKEALDAVKSKKIEIIPPQYEQDWYRWLENIRDWCISRQLWWGHRIPAWYVTLEDDKDKDMGSYSDHWIIARDENDAILEAKQRYPGKKYQLYQDPDVLDTWFSSGLFPLSVLGWPDDKPDLSTFYPSSVLETGSDILFFWVARMVMMGMQLGGDVPFQKVYLHPIIRDAHGRKMAKSLGNVIDPIDVINGISLENLQKKLEDGNLDPKEVEKAKEGQRKDFPNGIPECGTDALRFALISYTSQSDKINLDIKRVHGYRQWCNKLWNAIRFAMNKLGDQYAPPATIAVCSLPPVCKWILSVLNKAVGKIESSLEAYKFSEATSSIYSWWQYQLCDVYIEAIKPYFNESQEFESARGASRDTLWVCLDTGLRLLHPFMPYITEELWQRLPQPKEACTKDSIMISEYPAAVHEWTNDQIENEMEIVLDTVNKLRSLRPSTDTYERRPAFVLCRGLETAATMRSYQSYITTLASVSSLQILAEDDPTPLDCATHIVNKDLSVFLQLRGALDTKAEREKLRRKREEIQRQHDALSQKMNASGYREKAPQSKQDEDARKLAALLGELEIVDEAESKLDAN >KQK90475 pep chromosome:Setaria_italica_v2.0:IX:42960333:42961402:-1 gene:SETIT_037147mg transcript:KQK90475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKRLKKQIEESLPEWRSQFLNYKELKRRVNAVSSPAPTPAAEADFLTLLNAEVDKFNAFFLEQEEEFVIRQRELQERIQRAAEAKPSPAAEAEMARIQREVVDFHGEMVLLLNYSSINYTGLAKILKKFDKRTGGVLRLPVIAGVLQQPFFTTDLISELVRDCEAMMEAVFPPSAVSAASRDLEERQALAAAEQSIFRNTVAALLTMQEVRSGSSTVGHFSLPPMTPLPESDWLAQSVQPPPSPLIPTQ >KQK89882 pep chromosome:Setaria_italica_v2.0:IX:36680192:36681436:1 gene:SETIT_040095mg transcript:KQK89882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRRTSVQFGRSPICVESLHPAGESATRLRHLARLQLDLDEVVQVSQVDAGLLPNGIPSYSVTITNTCLDCTVRDVHVSCGEFASTELVDPSSFQRLAYGDCLVRDGGPIGPGETVSFEYSNSLIYSMDVASVSCGDI >KQK91302 pep chromosome:Setaria_italica_v2.0:IX:49102537:49103750:-1 gene:SETIT_040716mg transcript:KQK91302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFFSSLIIAGLHQLRKEGERLNSLKSICVIVSDSGFTLVNC >KQK91793 pep chromosome:Setaria_italica_v2.0:IX:51820150:51823794:1 gene:SETIT_038225mg transcript:KQK91793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRRLGGGGTVAADRSGSQGSSRAATRHARSATGLGRVTGYIRWLFLTESPSSGKIQTDLSAIQGGVFGITTSFPNSLQTQVDFESPLGFY >KQK86675 pep chromosome:Setaria_italica_v2.0:IX:3250326:3253157:1 gene:SETIT_035961mg transcript:KQK86675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARPLVSVKALEGDMATDSAGTALPDVLRAPIRPDIVRFVHKLQSCNSRQPYAVSRRAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTKIWRRWHRRVNVHLRRVAVASALAATAVPSLVLARGHRVESVPELPLVVSDSAESIEKTAQAIKILKQLGAYADAEKAKDSVGIRPGKGKMRNRRYINRKGPLIVYGTEGSKIVKAFRNLPGVDVANVERLNLLDLAPGGHLGRFVIWTESAFKKLDEVYGTFETPSAKKKGFVLPRPKMANADLSRLINSDEVQSVVKPINKLVKRREARKNPLKNMAAVLKLNPYLGTARKMAALAEAARVKARKEKLDSKRTKLSPEEASKVKAAGKAWYKTMISDSDYTEFENFTKWLGVTQ >KQK87310 pep chromosome:Setaria_italica_v2.0:IX:6582973:6583296:-1 gene:SETIT_040424mg transcript:KQK87310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAQVVCPRLSSCLISSICECDENALLVYI >KQK86218 pep chromosome:Setaria_italica_v2.0:IX:813645:813965:1 gene:SETIT_038914mg transcript:KQK86218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPNAKAAVKDVTALEAKSKDMLKCRRRKGSVTMPMPENMRPTVARDEQRKANVLATTNASKYHLPASVGEPPLGWSSAMFPPGSAKDAPKVTVAMSTEPMLRVWVEL >KQK92923 pep chromosome:Setaria_italica_v2.0:IX:57891865:57897139:-1 gene:SETIT_035451mg transcript:KQK92923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARGPPVTLRDFLELGCDSSSDGFRSYPRCLPWSDEALQVPVRLLVEADHLRRSPSRSPSSLFSLAKSPGPGALARISSLSRSFSRRIKEGFWRRREDEEEDDLYFDDRDSCGFPSPQVSSCSASDSESEYAEADDVAIDEKMACPATSQPAFQCSSSAEHDCTDAGAPGAAGDGKKMQAVAADGDSAVGRGKLGMEDKQQLSPVSVLDFPFDDDDGDERSDAGTCSPSFHRCPPPPDLLHSRTMTKQAQLLHKIRRYDGIAQAVDPVDLEARFTTTSESGESVDASTHPATTSSCTDTTSSATTTKTASRHGEEHQSVEQPSQEPEEPDEYRLLARLLLEDTVAVVDEVSQVLLLEFFTEGLDRLRCSSAAGSVVGAIISPRVDDERDKVAAEALVRAAAEWLRGAGAQWGIRDVMLSGKAALEDMERGRRWMCVGEEEREVGAAVEGFVMDELVDELVEELAPCWHGDGRRRSSR >KQK89355 pep chromosome:Setaria_italica_v2.0:IX:24826813:24827425:1 gene:SETIT_038473mg transcript:KQK89355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELQQTYMIISTWTVIRTIMQTQKKTEWGLYVPCKTGALFPFPHHSHGLDTVCY >KQK88600 pep chromosome:Setaria_italica_v2.0:IX:15449288:15450551:1 gene:SETIT_036998mg transcript:KQK88600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWDQLLTGKQRETPSIQAELQLELLQTMESFSQEHVIGIPLASFAYAQEETQGKPSCSALVHKKNKSSFIYRMSKLSKKTDNYMQGFKEHLNLGPKFSETIKGKLSFGAKVLQAGSIDKVFREYFVVGKDEKLLKAFQCYLSTTAGPISGMLFISTEKIAFHSDRPLSIACPKGGRTRVPYKVLIPAKRIKSASVRGNLYNPDEKYIDLVTVDGFDFWFMGFISYEKSFKYLRHVISELR >KQK88601 pep chromosome:Setaria_italica_v2.0:IX:15449288:15450551:1 gene:SETIT_036998mg transcript:KQK88601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWDQLLTGKQRETPSIQAELQLELLQTMESFSQEHVIGIPLASFAYAQEETQGKPSCSALVHKKNKSSFIYRMSKLSKKTDNYMQGFKEHCKNTTIILLLKIKLVMLVSLKFSSLINLFFFAVNLGPKFSETIKGKLSFGAKVLQAGSIDKVFREYFVVGKDEKLLKAFQCYLSTTAGPISGMLFISTEKIAFHSDRPLSIACPKGGRTRVPYKVLIPAKRIKSASVRGNLYNPDEKYIDLVTVDGFDFWFMGFISYEKSFKYLRHVISELR >KQK88363 pep chromosome:Setaria_italica_v2.0:IX:13604630:13605215:1 gene:SETIT_038399mg transcript:KQK88363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISKQGCTKRKLQNARTRHELKWQIIKSHGMGNSFTQTQLLVQLGRIGTAIQQYVYLSNSPSIQLGPH >KQK87129 pep chromosome:Setaria_italica_v2.0:IX:5675520:5682645:1 gene:SETIT_034476mg transcript:KQK87129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYSKPGHASGRDAVFWSDKMNEYLIDSLLHQQSIGNRGEARFFSVAYDSIVTGVGERFGVAIDRNNIKNRLKYIKESFYECRNILSEDTRIKWCPESRRFNADPNVWRELIQRKPEAKKWMTKTIDHYDRLMELFGKEREKCLVVESSKDTPKKKARTEPPKQQRLQRTPLNGHSVAESSNKLSQKRESSDKLSQKKESADKMANKSEIPDEAITNVEVDLSELCRTENGIVAIPVRANTYGKGLPYAPDNWPCSGDIWYWKVGNRASGAGHWADRYLTPPSRFREATRKRVGFASRIQVEEFIKREFPDVDPSTFFSMFIWKIPAEGHRIQKGTQQVRLHEPEPVMADPVFPCKARNNLCNLEREGFIESAPAQACNICCTVPGFCRECCCILCNRTIDYSFGSYSCIKCEAVVEENYICGHVAHLDCALRCYMAGTVGGTIGLDVQYYCRRCDNKTNLMMHVEKLMETCQSLESRDDIERILNMGLCLLRGSRQMRAKGLENHMGSAMAKLKCGVDLVEVWKVENNEGGATLCAGENSPHTSGVTVLGTRQVSEVGMLTEHPDLIDPNDLGIAVENLPVYNPGDPNTISAKFEDEIDLALQELKKSQEAEYRVAEQRLYSQKDRILSLYRQLEYERAQLSDPMPLSDTSQYGVLLANVLSRVHQSKCEEEKFKCMLKVAGGFGKAPESVTKEFFGLPADK >KQK89749 pep chromosome:Setaria_italica_v2.0:IX:35550266:35551158:1 gene:SETIT_0374121mg transcript:KQK89749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPLQRNPARAPPPPPQQQAAAAAATSAAARQGPPPPHPHPPPLHPHPPPPPPVPSPGILHSPSGFLNLLSPTPRSPYPLLSPGFQHPPPLTPNFPALSPLPGTGILGPGPMPPPSPGLWFPQSPSGLLSPSGFLPILSPRWRDM >KQK86848 pep chromosome:Setaria_italica_v2.0:IX:4113641:4114512:1 gene:SETIT_039298mg transcript:KQK86848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPLDLLLDIVARLHDAATIVRCAAASRHLRRGILEPSFRRLLDLRAAASSGPPDPVVAASYEIVDHHDRSCRDNDVIETARRLRLDSSLLGSHSFMSSRFELLDGDLRVCNTFTGHVTSLPPPSGLSVGRDDGCYIYRCAFLAIDVADASFVLLVMDVKLRPRTFWLEDGEWGPLRRLSSPSHAAVVGDTVHWLCIKTDFGRFPSLRSPFHSTRQNRLVILALEADAVDTAEVIELPQACLESFGRRITTSSPD >KQK87364 pep chromosome:Setaria_italica_v2.0:IX:6898174:6899093:-1 gene:SETIT_037786mg transcript:KQK87364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSYTLRAATSSGGGTGLGFALGRIGGRRGGGGAGAPGLVVPAAGAAARGRSVSATPAAEKPVPGDQGVGMEQPKQQQQPQVPPQDAGAKNKRDDMHKTTGDVMSHSFGEGYSTRSDEEGFGGVYGGTDPVEHHGTEIHPSHPEYDTSQGSEVKEKEKARHLKDDKRAT >KQK88775 pep chromosome:Setaria_italica_v2.0:IX:17080106:17084669:-1 gene:SETIT_034741mg transcript:KQK88775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERLEAAPASGDEYTQDGTVDLHGNPVLRAKRGGWKACGFVVVYEVFERMAFYGISSNLVLYLTTKLHQGVVPSANNVTNWVGTIWMTPVIGAYVADAHLGRYRTFMGASVIYLIGMILLTLAVSLPALRPPKCGDGTADPNCTHQATSAQLGVFFLGLYILAVGTGGTKPNISTIGADQFDDNHPRERRHKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWTVGYALPTLGLAVSIVIFTAGTPFYRHKPTSDSPFAKMARVIVAAARKWAVAAPVDPRDLHELDEEHYAKKNAARLPHTPNLAVLSKAAVKTAGAPAAASRWSLSTVTQVEETKQMLKMLPVLAITFVPSAMMAQINTLFVKQGTTLERHIGPHFEIPPASLQGFVTISMLVSVVLYDRVFMPLARRATGNPRGISLLQRMGVGLVIHIAIMGIASVTERHRLAVAHEHGIFTSKDTTIPLTIFVLLPQFALMGVADAFLEVAKIEFFYDQAPEGMKSLGTSYAMTSLGVGNFLSSALLSTVSHVTRRGGRAGWILNNLNASRLDKYYAFFAVLNCANLLVFFVVCRLYVYNAEISRVVDVGSGGGVASSGEKKREVAMQPASVGAVEITL >KQK91757 pep chromosome:Setaria_italica_v2.0:IX:51621681:51627235:-1 gene:SETIT_034672mg transcript:KQK91757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERDGFISWLRGEFAAANAIIDLLVVHLRSVADPGEYDHVAAAVQQRRHHWAPVIHMQQFFPVADVAFALQQVGWRRRAQPAQALGAAASPAAPPPPPPRRQPFSQSHHSHQHHRHGGHYRPDPARGGGAVPAAGSDKDGRDIHNHKEGKVMKEVENMVDTKSLRLDSPIIDEGEKNSKLQAVSEGSSKVVPTPVEHSTTEIVDGKKVNSVEGLKVYEGLVNVAETNKILTLVNETKASCRRGGLEAGQTVIIGKRPTKGHGREIVQMGIPIIEGPPDDENQRETRVEPVPGLLHDLFDRLSQQEIIAFKPDYCVIDFFNEGDYLHPHHSPPWYGRPLCTLCLTDCDMVFGRAISGERGDHRGPLKLSLTAGSLLLLEGQSADLAKRAIPATRKHRILLSFGKSVARKHIPAESARFTPPLTPPMPWGPSSRPGNMARHPQSPKHFGYAPASGVLPAPAVGPHHVSPSDGMQPIFVAPAPVSAAAIPFTPAVPLPNTTAAWIPEATPRPPPPRFPGPGTGVFLPPGSGHPLPHQMMPGSPGHGEPNSPQGSASAYLQNKIAGKEMSNGHLSPKSSPTNRSYTTEEKPECNGSSNGGGSFAEEKSAVSKEHQNGSLKNVGSSKVQPHGHASK >KQK90246 pep chromosome:Setaria_italica_v2.0:IX:40974107:40978496:1 gene:SETIT_035612mg transcript:KQK90246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMMRRLKSIASGRSSVSDPGGDSGSKRPKFEQDGAGDIVIEPHLTDDKPMRIDQESSSSSNRDAEASTSTSMKPVKTEEPGTDLLPKEMNDMTISDDKADGHNDKRDKIIVTTIGGHNGKPKQKVSYMAERVVGTGSFGVVFQAKCLETGDTVAIKKVLQDKRYKNRELQTMQLLDHPNVVQLKHHFFSTTQRGEVYLNLVLEFVSETVYRVAKYYNRMNQRVPIIYVKLYAYQMCRALAYIHRVVGVCHRDIKPQNLLVNPHTHQLKICDFGSAKKLVPGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVVAELMIGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYSEFKFPQIKAHPWHKLFGKRMPPEAVDLVSRLLQYSPNLRCTAVDACAHPFFDELRDPKVSLPNGRPLPPLFDFTAAELEGLPIELVHRIIPEHMRK >KQK86588 pep chromosome:Setaria_italica_v2.0:IX:2804480:2804990:1 gene:SETIT_038341mg transcript:KQK86588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGPSPSSSDSEKCLKEKEQLIHLLREFQAKTQCKESPGFDAAVRFLIGATYLLIFAAIFMLMVEQGTQDGQLAAT >KQK91073 pep chromosome:Setaria_italica_v2.0:IX:47621713:47623377:-1 gene:SETIT_036680mg transcript:KQK91073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEESGAASRYFCHMCSLIIRPELGIEEVKCPHCHTGFVEEMAGDRRAGGDADTRGRAVSVNASDAALEREVSLWAPVLMDYLAASSGRHGLDAGGGGGDLAAFARRQYRNIALLQLLNALQEGDAADAGRERVVLVSPSDARAMLMGQERGAGAGGAALGPGGLTLGDLFLGPGLDLLLEYLAETDPSRQGTPPARKEAVAALPMVRAREAFTCPVCLDEVAAGGEAREMPCKHRFHDPCIVPWLEMHSSCPVCRHQLPAEEPAEPAGGGRRAADEASGNAHSGDDGRSSGRRHWFSWPFGGLFSQRSNGSSSSSS >KQK91072 pep chromosome:Setaria_italica_v2.0:IX:47621713:47623377:-1 gene:SETIT_036680mg transcript:KQK91072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEESGAASRYFCHMCSLIIRPELGIEEVKCPHCHTGFVEEMAGDRRAGGDADTRGRAVSVNASDAALEREVSLWAPVLMDYLAASSGRHGLDAGGGGGDLAAFARRQYRNIALLQLLNALQEGDAADAGRERVVLVSPSDARAMLMGQERGAGAGGAALGPGGLTLGDLFLGPGLDLLLEYLAETDPSRQGTPPARKEAVAALPMVRAREAFTCPVCLDEVAAGGEAREMPCKHRFHDPCIVPWLEMHSSCPVCRHQLPAEEPAEPAGGGRRAADEASGNAHSGDDGRSSGRRHWFSWPFGGLFSQRSNGSSSSSS >KQK88081 pep chromosome:Setaria_italica_v2.0:IX:11365813:11367232:1 gene:SETIT_038695mg transcript:KQK88081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGVIAEAGWSSVDMPLPSHEEESAIMEQLLGTFPSNCVEGDQGLPWLIQASNAFYSHSNASSSTFSSTNSNSSGSLTFVVPSEYGGYCLSDSNEALDLNSCTAPMHLHMLQEQGAAQFMDTILNPPYGSGDSSCEDLEDSSMNLLDYVGNSDKRKHLEQGKLDGPTRSRKCARRSDSKRVKKTMQREGQDGSVAAANGQSLSCCTFENDSKAFPRPSVVVNPNSKAQTDRRSATESQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDEMWMYAPIAYNGMNIGIDLNLSQHR >KQK88904 pep chromosome:Setaria_italica_v2.0:IX:18397902:18399085:1 gene:SETIT_038796mg transcript:KQK88904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMMKTWVGERSQKKKKKMSTKLTLLPMKSYFFVEENIDSKTAREKASTTVITVISGELTAKQLEMEFRNIISGNLWKWTARKVAENKFRMRFPIAKMVVDYNNFKLGLLIEPWNSTMGAKGKLQQARFKVRGIPTNQRAMRTIAKVCSLVGKTMTIDEKIRFN >KQK92351 pep chromosome:Setaria_italica_v2.0:IX:55064789:55067105:-1 gene:SETIT_039332mg transcript:KQK92351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSLIHFDLLELQKHPLVSRRDPPDQHVVLRGGAAGVRRRDHHLVPHAALVRAHVAVGHADVLELGAPVHAVHQHGEARDLRRVGAHRHVGGLRIHGPRRRRAGDEGSEDDEEDGSCNTTTATATSSEGHTVQVSFWAADPPAMSYLTTSASSDGYFMYRGDPDTPSLEPVPLPRTHRLPRVTEFGIVPRGDEGQYLLVARTLGRLVPREDKLHMYSSVDQAWSIKLPPDAPGAEHIAEEKVDFRKGVLVCDVLREPFDVRFIPLPSPLPKNRERLKEFHLGDPAMQLRDVTFSNGVIKFIEVEHRWIVTTIVPEKPKPIDPSEKDVLYDSDLIMERKCKDVDEKPKQIRKGDGWRAGCVIDIDEISVDDAIYSSLMPGLGEEHDKSLKFRNMYSAFPTLSTDVDDLVYLKSTVKANETNGWVVALDLAKKTLTAIGSYSFARQLDPCIYAFRLCSLSNYLNMASDSSQTTKAKDSMDPLIIKQ >KQK90306 pep chromosome:Setaria_italica_v2.0:IX:41446274:41447343:-1 gene:SETIT_039891mg transcript:KQK90306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSVGNVMLLADTDDKIHYLKQGEQTLMDYVAELKRLWADLDHYDPIELPHPECVAWVKKWVEKKRVLQFLRGLNLEFEGRRAALFHQADLPSLEEAIAAMAQEETRLKVIKGRGMLRGGTSRGGASRGGRVSFRANHAMSDGCAAESVTVSPNELNEFRKWKEIGKGSQLNNQETTSSSDTVAAVVHSDSGTFNQNYISNWILDSGKEDWKKSWSWNLA >KQK86065 pep chromosome:Setaria_italica_v2.0:IX:132551:141567:-1 gene:SETIT_033943mg transcript:KQK86065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPETRLESAVFQLTPTRTRCDLVVVANGWKEKIASGLLNPFVAHLKVAQEQIAKGGYSITLEPDPEIDAPWFTRGTVERFVRFVSTPEVLERVTTIESEILQIEDAIAVQGNDSLGLRSVEDHNGKSVDCMEGSKTIFDPDADMALVPYKAGTQPTLPVQNNGATQEENSKAQLLRVLETRKTVLRKEQAMAFARAVAAGFDIDNLVYLITFAERFGASRLMKACTHFIGLWKQKHETGQWIEVEPEAMSARSEFAPFNPSGIMFMGDNMKQTMETMSVSNGDANGEDASKADQRTSQHSGAPHEFFHGPYQSAYPPWAMHPPYSMQGMPYYPGMNPYYPSPYPSMDDTRHHHSERRASKKHSSDSKDSETSDDGSDQSGSERETSYGHRSHKKDKRTGKKKPSVVVIRNINVTSKRHGSSDSESQTGSDVASEDSDDLNTKSRKKKNKSSISKKKDARKIILESADEYNKDEMSNGQDGDPGNWNVFQSFLLRADEKTRDNDTDLFASEKEPPPARRKESTGIDDSILLAERDSAGANERSTVGFNIENGRIRPQQMLSGDELMMSGEGSGVASDCIKEIEAGDGRYRRGASDDFMIYGQEKSMHRGSSLDPLAEAQYKNPSLVEKNAHSMADESFMIPLRFTSEDNLGPESRTAIDIDVELPSTVQKVSDAKAGEQLFYEPDELMPERGCEDISFGYDPALDYNSQMQSQPAAMVVDAPVEEAALSNVDEVKPEKDKRLRSSQESLDKRRKDALVRRLSSSKGPMTDAQKRAQNLRAYKADLQKAKKEQEEEQIKRLERLKLERQKRIAARSSTSSASTAPQQPKVKPSPKVSPSTYKSSKFSDAEPASSSPLRKLPAKTTTGTDPRKTVKASKLNDNTNAVSKSTSSLTDVKKEKGGRAESSSERLKKLAEPKNNGLTDHPSNSKSASVDHPRRRSMPQDTQTKKISAIMQLDQSKSATLPELKVKSPQAPAVVKNGVAAKEKKEVSHGAKAPTTETAGVKKTDGNISRMNSSDDSVVVEKTVVMLENEVVSTPLVIPHSGRNAAKETSSDDRTEKPSPELEYAAIRGPPSPLILPDAESPVTNGPDDQGNSYEVVTECRKDEPERPTLAAMEKPYQAPFARVTSLENASDYSQLPVQESGSLVHVDSIKARVPEPVYSVSVEGNEVNEKPRSKEPKGFRKLLKFGRKSQASALTEGAMDSDASSVDDAPAGEGSMLKNLISQEDSSASSKASRSFSLLSPFRSKHKVIVL >KQK88975 pep chromosome:Setaria_italica_v2.0:IX:19109333:19112434:-1 gene:SETIT_034232mg transcript:KQK88975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYRRLLLLRRLSNLHPSPFPTPAIGSSSPPTLAGLLTPMGRRHFAFSSAEEAAAERRRRKRRLRIEPPLNAIRRGPPPPRDPNAPRQPDTTSALVGPRLSLHNRVQSLIRSGDLDGASVAARAAVSSRVRPTVFTCNAVAAAMVRAGRHDDAVALFEFFFRRSNIVPNIVSYNTLILAHCEAVRVDDAMQVYRDMLSSAPFSPSSVTFRHLTKGLVAAGRIRDALDLLREMLNRGAGADSLVYNNLIAGYIDLDDWDKAFELFNELTERCAVYDGVVHTTFMEGYWKQGKDKEAMDNYQSLLQRGFKMTPATCNVLLETLFKHGKHKEANELWETMMDNHTPPTFIGINAESYNVMVNQCFKEGKFEEAIEVFHRQPRKNVQMDVGCFNNIIGKLCENGMLAEAEKLFEEMETKSVLPDVYTYTYLVDSCFKEARVEDTMQYFHKMADGREHGPKFNIGFFNLMFKGLTEAGRIDDALKVYGRMPDKEIKPNTTTFEILVKALCKAGDLDRARDLVMDMARGAVEPPQEFRESVIDIFKKSNRQEEIEKAFEEKPLPTPQPRTENQPRTEYRPHNAVGVSQGRQPGFSSASPVQLGFGYSQPQQPAFKDTKNQQPGFDSSRQWHSGFGAPQAQHPGYGAPQPVQAVVGPPQPPQAQFGASQGVPGYSNHPSQVGYGSQLPQSGYGFAPHQEQVGFGNQQQSNSVARHGQTAVGTAEGESPQGKLGYGGAQGLQHASHLPHHQAGFGMPQVQNDNGFPHVQQQSNFVARHGQTAVGTAEGESKFGGSYGQPRNDNSKGPPRFGAQTDHHYSTGYRASYGTQGFNGARGEYETASKDQLDPTSSEDRQQVAF >KQK92450 pep chromosome:Setaria_italica_v2.0:IX:55568141:55576716:-1 gene:SETIT_034225mg transcript:KQK92450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQLHAAVSSPLPPPPLSLRRALPSVTPRALRFHEFPWRFRVRHHGRGVGARRADNPPGNRGVGAFNSRSGADDNDTNVKNAETPNTSTATANSSRSPLQGKNMAAIISIAICFLHRIVSRRMQLMNLPPWISNGITSLPFACISDPTRKPMPLKLDVTFPPLPDVRWSISRLYYLFNSQLERNIVLSIVTLLITCFSFVIVGGLLFHKFRKKQHSLEDCFWEAWACLCSSSTHLRQKTRIERVIGFFLAIWGILFYSRLLSAMTEQFRTHMHKVREGAQLQVIEDDHIIICGVNSHLMSILNQLDKFHESSIRLGLATARKQRILLLSDLPRKQVDKIADNMAKDLNHIDVITKSCSLSMPKSFERAAAHRAKSIIILPGKNERYEVDTDAFVSILALQPITQLASVPIIVEASNSTTCELLKSINGLNVQPVEMVASKLFVQCSRQKGLLKIYKHLLNQRKNVFNLFSLTGLGGMKYMDVRRKLHDAVVCGIFRSGKIYFHPSEDELLKETDKLLLIAPVHGRRRPVYTVLSTPKETQTSIHYSESRVDQGSFSMAATVEKETRLKSIVKRPLKSLSKSSDYMLGPKECVLIVGWRPKVTEMIREYDSYLGPGSTVEILSETPVKERSSVVNPLLQSQLKNVKVSHRVGCPLNYDTLKDTIINIRKSAKSDKKVPLSIVVISDRDWLTGDATQADKQLAYTLLLAENICEKHGITVENLVSEIVDTGLGKQISKIRPSLSFIGAEEVMSRVTAQVAETSELNEVWKDILNAEGDEIYIKEIGLYMKEGERIPFSELSERAVLRREVAIGYVKDGKQHINPTNKLEPLSLEMTDSLIVISEFEGEQPIIMDTETSR >KQK92451 pep chromosome:Setaria_italica_v2.0:IX:55568492:55576716:-1 gene:SETIT_034225mg transcript:KQK92451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQLHAAVSSPLPPPPLSLRRALPSVTPRALRFHEFPWRFRVRHHGRGVGARRADNPPGNRGVGAFNSRSGADDNDTNVKNAETPNTSTATANSSRSPLQGKNMAAIISIAICFLHRIVSRRMQLMNLPPWISNGITSLPFACISDPTRKPMPLKLDVTFPPLPDVRWSISRLYYLFNSQLERNIVLSIVTLLITCFSFVIVGGLLFHKFRKKQHSLEDCFWEAWACLCSSSTHLRQKTRIERVIGFFLAIWGILFYSRLLSAMTEQFRTHMHKVREGAQLQVIEDDHIIICGVNSHLMSILNQLDKFHESSIRLGLATARKQRILLLSDLPRKQVDKIADNMAKDLNHIDVITKSCSLSMPKSFERAAAHRAKSIIILPGKNERYEVDTDAFVSILALQPITQLASVPIIVEASNSTTCELLKSINGLNVQPVEMVASKLFVQCSRQKGLLKIYKHLLNQRKNVFNLFSLTGLGGMKYMDVRRKLHDAVVCGIFRSGKIYFHPSEDELLKETDKLLLIAPVHGRRRPVYTVLSTPKETQTSIHYSESRVDQGSFSMAATVEKETRLKSIVKRPLKSLSKSSDYMLGPKECVLIVGWRPKVTEMIREYDSYLGPGSTVEILSETPVKERSSVVNPLLQSQLKNVKVSHRVGCPLNYDTLKDTIINIRKSAKSDKKVPLSIVVISDRDWLTGDATQADKQLAYTLLLAENICEKHGITVENLVSEIVDTGLGKQISKIRPSLSFIGAEEVMSRVTAQVAETSELNEVWKDILNAEGDEIYIKEIGLYMKEGERIPFSELSERAVLRREVAIGYVKDGKQHINPTNKLEPLSLEMTDSLIVISEFEGEQPIIMDTETSR >KQK91102 pep chromosome:Setaria_italica_v2.0:IX:47785946:47791989:1 gene:SETIT_036101mg transcript:KQK91102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELWSKLRNLDAYPKVNEDFYSRTLSGGLITILSSLAILLLLFSEIRLYLYSATESKLTVDTSRGERLHINFDITFPALPCSLVAVDTMDVSGEQHYDIKHDIIKKRIDHLGNVIESRKDGVGAPKIERPLQKHGGRLDHNEVYCGSCYGSEESDDQCCNSCEEVRDAYRKKGWALTNVESIDQCKREGFVQRLKDEQGEGCNIHGFVNVNKVAGNFHFAPGKSLDQSFNFLQDLLNLQPETYNISHKINKLSFGEEFPGVINPLDGVEWSQDNSNGLTGMYQYFVKVVPTIYTDIRGRKIYSNQFSVTEHFREAIGYPRPPPGVYFFYEFSPIKVDFTEENTSLLHFLTNICAIVGGIFTVAGIIDSFVYHGHHAIKKKMELGKLG >KQK90208 pep chromosome:Setaria_italica_v2.0:IX:40629332:40630922:-1 gene:SETIT_037296mg transcript:KQK90208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGELKLLGLWASPFVTRAKLALQMKGLSYEYIEEDLSNKSELLLSSNPVHKAVPVLIHNGKPVCESSVVVQYIDEAFAGTGPSLLPADSYERAIARFWAAYLEDKMVTPWARWFSVKTEEEKAEAMRQAIAALGALEGGLKECSGGKEPFFGGESVGYVDVLLGGMISWVKATELLSGAKIIDASKTPLLAAWMERFCELDAAKAVLQDVAAVVEYARALEARIAAATPDN >KQK90905 pep chromosome:Setaria_italica_v2.0:IX:46522283:46523323:1 gene:SETIT_039965mg transcript:KQK90905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIKNLVASLLRAVALALLLTSSFCAAQPIKTTPTLWSFHLPLPNGVTGAESLAFDRRGQGPYAGVSDGRVLKWGGSALGWTTFAYSPNYRKIPLCTASVVPSEETESICGRPLGLQFFAKTGDLYIADAYHGLMKVGPDGGEAEVLATQADDGVTFHFVNGLDVDQATGDVYFTDSSTTYPRRFNTEIMMNADATGRLLKYDARTKQVTVLKAGLPYPNGVAVSNDRTHVVVAHTVPCQTFRYWLKGPKAGQYELMADLPGYPDNVRRDAKGGYWVALNQEKARLDVAASAAPVKHLVGVRLSVDGVEVEELTAAKGVTLSDVAEKDGQLWLGSVELDYVGLVR >KQK88209 pep chromosome:Setaria_italica_v2.0:IX:12331614:12345367:1 gene:SETIT_033906mg transcript:KQK88209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAAAPAQEEDPPPPCDPETLARGYQLEALERAVAGNTVAFLETGAGKTLIAVLLLRAYAHRIRSAPPPCFAVFLVPTVVLVGQQARVIEHHTDLRVEQFYGEMGVDYWNADTWRRAVDGAEVLVMTPQILLDNLRHCFFRLRDIALLIFDECHHAHGNSPYANILKEFYHPQLNSRPSDPIPRIFGMTASLINSKTLQRSDYSVKISELENLMNAKVYTVESESALSQYIPFATTKVVQYDDSIISSELYCHAIGCLNRLERKHLEFLKGKLHDSSLENAEKRIKQLSATFLYCIDNLGVWLAAKAAEVLQSRKESCLSFWGEKLDEKVEEFVRNYMEDAYSDLSEIISKMTKRGIQRHIGEDFAADLQDGLLTPKVHFLIKSLLEYRHKQDLRCIVFVERVVTSIVLESFLSTIHQMSGWIIRYMAGKNCGLQHQSRKEHTEIVDSFRRGKVHLIVATQILEEGLDVPTCNLIIRFDPSATVCSFIQGDAVALSKTQKFLAGGQIMREESLRLASTSCQPLPNTMCQEESYCVQSTGAVVTLNSSVQLIYFFCSKLPSDEYFKPVPRFNIDKALGTCTLHLPKSSPIQTIYAEGEGSVLKRVVCLKACRELHAIGALSDSLLPELSVPYEDGPDIVVDKYQKEQPNYFPEQLVDSWLSFSRLGLYHCYEISLEWCSKTANSPAEIVLAVKCDMGSDFISNSFKMWGVQDHVSVTMRYIGIIHLNQEQVIVARRFQTAILSLLISNNQLEVRDSIKNSLEMQASPGVVYLVLPLVSGKIDWCSIKFSASPMLEVTNKDMRHCHSCKDTNLVQTKDGPLCQCMLQHAIVCTPHNGMLYAVSGFLDLNANSLLHRSDGSVVSYKTHFKTRHGLDLTCEDQPLLAASMLLKVRNFLHKCNYKNEKESTSTNAVELPPELCVVVMSPVSSDTLRSFLFIPSIMYRIQCMLLSVKLKIQLSQRMGQFDIPALKILEALTTKKCQEEFSQESLETLGDSFLKYITTQHLFNKYRHQHEGLLTEMKKNLISNAALCQLACSNNLVGYIRGEAFNPKTWIIPGIDHDACSDSKLILLSPNMYSVRKMSIKSKRIADSVEALIGAYLSAGGEQAAYLFLISLGMDIEFHKMPVERKITIKSEEFINLRSLEVMLGYDFNDPSLLVEAMTHGSYQIAGTTACYQAVP >KQK88208 pep chromosome:Setaria_italica_v2.0:IX:12331614:12345367:1 gene:SETIT_033906mg transcript:KQK88208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAAAPAQEEDPPPPCDPETLARGYQLEALERAVAGNTVAFLETGAGKTLIAVLLLRAYAHRIRSAPPPCFAVFLVPTVVLVGQQARVIEHHTDLRVEQFYGEMGVDYWNADTWRRAVDGAEVLVMTPQILLDNLRHCFFRLRDIALLIFDECHHAHGNSPYANILKEFYHPQLNSRPSDPIPRIFGMTASLINSKTLQRSDYSVKISELENLMNAKVYTVESESALSQYIPFATTKVVQYDDSIISSELYCHAIGCLNRLERKHLEFLKGKLHDSSLENAEKRIKQLSATFLYCIDNLGVWLAAKAAEVLQSRKESCLSFWGEKLDEKVEEFVRNYMEDAYSDLSEIISKMTKRGIQRHIGEDFAADLQDGLLTPKVHFLIKSLLEYRHKQDLRCIVFVERVVTSIVLESFLSTIHQMSGWIIRYMAGKNCGLQHQSRKEHTEIVDSFRRGKVHLIVATQILEEGLDVPTCNLIIRFDPSATVCSFIQGDAVALSKTQKFLAGGQIMREESLRLASTSCQPLPNTMCQEESYCVQSTGAVVTLNSSVQLIYFFCSKLPSDEYFKPVPRFNIDKALGTCTLHLPKSSPIQTIYAEGEGSVLKRVVCLKACRELHAIGALSDSLLPELSVPYEDGPDIVVDKYQKEQPNYFPEQLVDSWLSFSRLGLYHCYEISLEWCSKTANSPAEIVLAVKCDMGSDFISNSFKMWGVQDHVSVTMRYIGIIHLNQEQVIVARRFQTAILSLLISNNQLEVRDSIKNSLEMQASPGVVYLVLPLVSGKIDWCSIKFSASPMLEVTNKDMRHCHSCKDTNLVQTKDGPLCQCMLQHAIVCTPHNGMLYAVSGFLDLNANSLLHRSDGSVVSYKTHFKTRHGLDLTCEDQPLLAASMLLKVRNFLHKCNYKNEKESTSTNAVELPPELCVVVMSPVSSDTLRSFLFIPSIMYRIQCMLLSVKLKIQLSQRMGQFDIPALKILEALTTKKCQEEFSQESLETLGDSFLKYITTQHLFNKYRHQHEGLLTEMKKNLISNAALCQLACSNNLVGYIRGEAFNPKTWIIPGIDHDACSDSKLILLSPNMYSVRKMSIKSKRIADSVEALIGAYLSAGGEQAAYLFLISLGMDIEFHKMPVERKITIKSEEFINLRSLEVMLGYDFNDPSLLVEAMTHGSYQIAGTTACYQRLEFLGDAVLDHIFTDYFYRQYPECTPELLTDLRSASVNNNCYAHAAVKAGLHKHILHSSSALHKRMADYLENFKQSFSGPSHGWEAGIGLPKVLGDVIESIAGAIYIDSKCDKEVVWRSMKRLLEPLATPDTLDVDPVKELQELCGREAYSITYNVIREDRVTSVVAEVQTKGTAFKAARTGLSKLDAKKLAAKAVLQDMKAADGAK >KQK88508 pep chromosome:Setaria_italica_v2.0:IX:14730041:14731860:-1 gene:SETIT_036698mg transcript:KQK88508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREIEHTHLPIRGINIHVAQVGKGELGTVVFLHGFPEIWYSWRHQMLAVAAAGYRAIAPDCRGYGLSDQPPEHEEASWDDLVADVLAILDTFSIPKAFLVAKDFGAIPAYEFALRHPGRTRGVACLGVFFNPAPISFDDMPEGFYIRRWREPGRAEADFGRYDVRRVVRTIYVLFSGAENPIAKEGQEFMDLADLSTPLPEWFTEEDLDAYAKLYERSGFRYPLQMPYRSLHKIPNRMDAKFQVPVFMVIGEEDYAFKLPGFKTAMRSGVMETFAPDLKIACIPEGSHFMQEQLPEQVNELLLGFLKDHPVAAA >KQK88053 pep chromosome:Setaria_italica_v2.0:IX:11154999:11156471:-1 gene:SETIT_038893mg transcript:KQK88053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRKKLIHFLRADPAAVSAASSAMSSPRSFSSNDSSVSDDDGYDNNSSSSSSFPASASSSPSRYSPPKSPWAATTHLPGLGGDSVDPTATGLIASLVKEDGKVYSLAAAGDVLYTGTDSENVRVWRDRRELAGFRTGSGLVKAIVVAADGRIFTGHQDGKVRVWRAGAGGDPAAHRRVGSLPALGDYLVSSVNPSSYVAKGGGRRRRAVWLRHSDAVSCLSLDEAAGLLYSGSWDRTFKVWRVSDSRCLESVPAHDDAVNTVAAAGFGGLVLTGSADGTVKVWRREAAVASGDRTRHVLERVLREGDGAVTAVAACPEARAVYVGSSDGLVTCWRWGPGDGGEPRLAGVLTGHRMGVMCLAVYGRVVVSGSADRTLCVWRRDGDGVAGQHQHQYQHVRLAVLTGHTGPVKCVAVAADVADCGDYAEGERRFVVYSGSLDGSVKVWRLSEDRPLELTVPLMPLLESEAWTALPSPAQAWAPELKRVAAA >KQK87640 pep chromosome:Setaria_italica_v2.0:IX:8354957:8355481:1 gene:SETIT_039583mg transcript:KQK87640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVLKMHLLAACPYTKEVWHIISTVLHIQNTTSLSETSLTDYWTIWKQRNDRNFDRAPKRNPLQLTELIREQAVDWCAAGAKHLPGRPAL >KQK91749 pep chromosome:Setaria_italica_v2.0:IX:51592415:51595051:-1 gene:SETIT_039064mg transcript:KQK91749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLSEDWRSLFPASAVFAAPSYAPLPAAASSRRPLHFSPLPPRTQLLSLPYPVLPPRSSTRGLDRLLRSFVGATSFLPRSDLDSLSETLLPQPSPPSPPPCNLIAVLRRPRLSSCSLILFFPYGENAEHVGFVTLDATTVTGSTPVSLVVQRDGFMHPGQRIQQLATTANEPSWPSEPEDNLEGFLLAVTMYSLNWFRIESRGLGTSALVPSAKQRFNSVIVHACWSRHLPSECVVLLESGELCWFNLDTRQGGKMKIDFGSKDDCGDWLSCDYAAQPWMVVVASSKSILLIDLRYGDCGGCKVLARVGMPGLFETDPFAGPDQYLAFCRAGFDHFHFSVVTERYLILLDARQPLTPVLAWQHELESPNNVAMFRLSELRPSKEHEWASNSGFAILVGSLWTGEFSVFCYGPKEQGCPENSHMYAWDLPSRFSLTSQHCSCSNGIVEDLFSRPISRDGYASQRSKNPTVGYYVLPNDLLVSDASFAGFALICLKASGKLEMQRYGASASHDDIPCDESQHTARASKSSIFPDTPVEDFPLRYSTMKLHFLSEYLRGNLCNALVKHDSSVNKQMGQIIVSEAVSEYAEANSSSSSQSVSDFLCNASIPMNIFEIACQRILNSLPSNILHVTFSRYKDMLACSAEKSVGEHLDVPTCLAHDKLRPFLLAKPSSISYYVTSKVQSPNALVGPVLPIHVLLAMEERNKGIESNSEGATSQTDSVSDQSREVLEAFDPVISIADTQSCNGWPASQEFNDEKPYFRYEPQIEHRFTLDGSAGKEKEDQKLDDPLHTSATPYQDKIFTTFVCGKTKVPDSGPEQAATSLFDFGPVRMDFDTPGMEIQPDEENVYKSLKKQFVSWQNNFKPYQDFCSSHKIQRPKQ >KQK91071 pep chromosome:Setaria_italica_v2.0:IX:47617662:47620871:1 gene:SETIT_039110mg transcript:KQK91071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVDCVAAGPEEIWWRRPKTKIVCTLGPASRSVEMCARLLRAGMCVARFNFSHGSHEYHQETLDNLHKAMDLTGLLCAVMLDTKGPEIRTGFLKDGKPVKLTQGKEITITTDYSIKGDENMISMSYKKIALDLKPGSTILCADGTITLTVLSCDPAQGLVRCRCENSALLGERKNVNLPGVIVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLQMVRSVLGEHAKSILLMSKVENQEGVANFDEILANSDAFMVARGDLGMEIPIEKIFYAQKVMIFKCNVQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSRICLQAESHTDYGAVFKLISNAAPIPMSPLESLASSAVRTANISNASLILVLTRGGTTARLVAKYRPAMPVISAVVPEMKTDDNFNWTCSDERPARHSMIVRGLIPMLSAATAKASDTEATEEAINFAIDHAKKLKICKCGDSVVALHRIGASSVIKILTVN >KQK88720 pep chromosome:Setaria_italica_v2.0:IX:16519993:16523777:-1 gene:SETIT_036550mg transcript:KQK88720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKGQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPERNVTCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSSQYPDVNHATVKTSSGDKPVRELVADDEWLNGEFITTVQQRGAAIIKARKFSSALSAASSACDHIRDWVLGTPEVSFSDLDPLAFIGFKYTLASTPSFLWL >KQK88721 pep chromosome:Setaria_italica_v2.0:IX:16519097:16523865:-1 gene:SETIT_036550mg transcript:KQK88721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEPMRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKGQASALEAHAAPNCKVLVVANPANTNALILKEFAPSIPERNVTCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSSQYPDVNHATVKTSSGDKPVRELVADDEWLNGEFITTVQQRGAAIIKARKFSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYGVPAGLIYSFPVTCSGGEWKIVQGLPIDEFSRKKMDATAQELSEEKALAYSCLE >KQK92497 pep chromosome:Setaria_italica_v2.0:IX:55835355:55837051:1 gene:SETIT_036292mg transcript:KQK92497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSILPLHLPSCARRATTVTVRAAAASPATAATTATAQSLEESFGRKGLRFVADPAGGGAHTAELSVRNGSSLQLRLGDGLVTSYRPKVYWKDDGCREVLHTVAEPGGDPAKVKGGVGLVLNEVSSSGGAQSLIDGSGWAIKDADSDSYDAVQVELGCTKGKLDISYVVTLYPLSMATAVIVRNTGTKPVELTSAVLSHIKFDKRGGTAVEGLRGSPYCSHPPPAAGFSLLTPAEAMKREDPGWFSGGGEEPRQGVWTVEEDMYTTLKKKVSRVYAAPPEERKKRIYNTAPSKFTTIDQYSGLGFRLVRMGFEDMYLCSPGGMYEKFGRDYFLCAGPASMLVPVVVNPGEEWRAAQVIEHDNL >KQK87684 pep chromosome:Setaria_italica_v2.0:IX:8685827:8688150:1 gene:SETIT_039749mg transcript:KQK87684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPFVAKTYEMVADAATDAVVSWAPGGAGNSFVVWDPQALSVGLLPRFFKHANFASFIRQLNIYGFRKVNPDRWEFAHESFLAGQKHLLRKIKRRRAPKPQMEAHPRNGASICFKQPKDSGEVESLKRDRAALRAEVLTLKQQYSSCKSQLVALEERILNNERNQQKAIAFFAKVLSNPTFVQQVLLNYAANKELYSTAKRKRLMENEEQRVGTLKNGLEPTLATEASASAASSDGSVVAKHEPMPEWNYQEMDNIWEDVWDELDAIPGAEMDQEDKAAAGFEVEEFTGRPCGWVDDCPYLVEQMQFVEH >KQK89362 pep chromosome:Setaria_italica_v2.0:IX:25272717:25279716:1 gene:SETIT_035629mg transcript:KQK89362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQRREASARRRRDRHHDRWLPRDERCVSCTTFNILAPIYKRMDSENCRESQYRAYWFSRNEKIIDRLLADSSSIICLQEVWLGNDELVDMYQKRLGDANYTLFKLARTNNRGDGLLTAVHSSYFNVLNYRELLFNDFGDRVAQLLHVESAMPVLQNRSTRCVQQQSLIVNTHLLFPHDHSLSVVRLRQVYKILQYIEAYQEENKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDGEEDAHKWVSHRNHRGNICGVDFIWLLNPDKCRKPLKTSWNEAVFGIIKYLLLQVAFLSEENAFALLKADSPDDHITYSSFYQALSQLGMVHADRLNSEEIEDLWGEADRDGDGVIDYKEFQQCIWSPNCCSQEEDDTEIDITDERIDTFEANDGAFGFTVKEAVLFPPEVERGMWPENYSLSDHAPLTVVFSPVRMPCSPRTPGTL >KQK89361 pep chromosome:Setaria_italica_v2.0:IX:25272717:25279716:1 gene:SETIT_035629mg transcript:KQK89361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKQRREASARRRRDRHHDRWLPRDERCVSCTTFNILAPIYKRMDSENCRESQYRAYWFSRNEKIIDRLLADSSSIICLQEVWLGNDELVDMYQKRLGDANYTLFKLARTNNRGDGLLTAVHSSYFNVLNYRELLFNDFGDRVAQLLHVESAMPVLQNRSTRCVQQQSLIVNTHLLFPHDHSLSVVRLRQVYKILQYIEAYQEENKLGPMPIILCGDWNGSKRGQVYKFLRSQGFVSSYDTAHQYSDGEEDAHKWVSHRNHRGNICGVDFIWLLNPDKCRKPLKTSWNEAVFGIIKYLLLQVAFLSEENAFALLKADSPDDHITYSSFYQALSQLGMVHADRLNSEEIEDLWGEADRDGDGVIDYKEFQCIWSPNCCSQEEDDTEIDITDERIDTFEANDGAFGFTVKEAVLFPPEVERGMWPENYSLSDHAPLTVVFSPVRMPCSPRTPGTL >KQK91327 pep chromosome:Setaria_italica_v2.0:IX:49296877:49300486:-1 gene:SETIT_034825mg transcript:KQK91327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYKCCIFFTRHFALGDTATPEDVRALFSRFAAGSPYMGPDDLRRYLAAWGGADGEVAEQVVDRVLLDRSRTPRFGRPALTVDDFMHFLFSEELNPPLRHSKVHQDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDAPIIKALQIGVRVIELDIWPNSSKDDIDVLHGRTLTAPVSLIKCLRSIKEYAFVASPYPVIITFEDHLTPDLQAKVAKMVLEVFGDILYYPESKHLQEFPSPEALKGRVLLSTKPPKEYLEANSGTMKDREIESQFKKGEKEEAAWGVEVPDIQDEMQVADRHDDDLLYRGRGLDDDDKQKTSKHVATEYKHLITIKAGKPKGPLVDALKNDPDKVRRLSLSEQELAKVAANHGPNIVSFTHRNMLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQGYGRALWLMHGFYKANGGCGYVKKPDFLMQTCPDGKVFDPKADLPVKATLKVKVYMGEGWHKDFKQTHFDTYSPPDFYVKVGIAGVPLDSVMRKTKAVEDNWVPVWEEEFAFPLTVPEIAVLRVEVHEQDVSEDDFGGQTALPVEELRPGIRAVPLFDHKGHKFKSVKLLMRFEFT >KQK91328 pep chromosome:Setaria_italica_v2.0:IX:49298013:49300687:-1 gene:SETIT_034825mg transcript:KQK91328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRDKGAPAPPRASPPHTPPPGTESEAEAEEGAGEAGASSSAAEMGTYKCCIFFTRHFALGDTATPEDVRALFSRFAAGSPYMGPDDLRRYLAAWGGADGEVAEQVVDRVLLDRSRTPRFGRPALTVDDFMHFLFSEELNPPLRHSKVHQDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDAPIIKALQIGVRVIELDIWPNSSKDDIDVLHGRTLTAPVSLIKCLRSIKEYAFVASPYPVIITFEDHLTPDLQAKVAKMVLEVFGDILYYPESKHLQEFPSPEALKGRVLLSTKPPKEYLEANSGTMKDREIESQFKKGEKEEAAWGVEVPDIQDEMQVADRHDDDLLYRGRGLDDDDKQKTSKHVATEYKHLITIKAGKPKGPLVDALKNDPDKVRRLSLSEQELAKVAANHGPNIVSFTHRNMLRIYPKGTRFNSSNYNPFLGWVHGAQMVAFNMQV >KQK88181 pep chromosome:Setaria_italica_v2.0:IX:12184174:12188253:1 gene:SETIT_034781mg transcript:KQK88181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQSKKSKSKRVTLSQKHKVLRKVKEHHRKKRKEAKREGKAGQRKKVEKDPGIPNEWPFKEQDLKALEARRAQALQELELKKEAPKERARKRKLGLLEDEGIANLASTASAQGSEFAKKDAAKENAPLEVAKSHDHSERSFYKELVKVIEASDVILEVLDARDPLGTRCIDMENMVRKADPTKRIVLLLNKIDLVPKEAVEKWLTYLREEMPTVAFKCNTQEQRTKLGWKSSKLDKTSNIPQSSDCLGAENLIRLLKNYSRSHELKLAITVGIVGLPNVGKSSLINSLKRSRVVNVGSTPGVTRSMQEVQLDKKVKLLDCPGVVMLKSSNSGLSVALRNCKRVEKMEDPVSPVKEILSLCPHEKLLSLYKVASFSSVDDFLQKVATLRGKLKKGGVVDVEAAARIVLHDWNEGKIPYYTLPPKRDAGEDSDAVIISEAGKEFNIDEIYKAESSYIGGLKSIEAFHHLEIPQNAPPGIDEEMLEDGKKPSEPVQESCEELMSDANNREGSKASSASTQHDKLYTAEGILDPRKRKAEKKRRKANKFSVLNDIDEDNDFKVDYQMKDAAADGEDDESNEAVADGKDGGDEAKENDPMTGIDDA >KQK90087 pep chromosome:Setaria_italica_v2.0:IX:39518924:39519833:-1 gene:SETIT_037746mg transcript:KQK90087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIRGMPMLALLAAAVVIAAAARAEARVPDYHPSTFTVTGQVQCQDCTKNWNAYAYNARPIPGSVVGITCKDDRERPVYYGSDATDGQGVFNVEVPSKVNGCDLTASRCLVRLASSGDPGCAVPTNFNGGTAGEKPSRLTHFSPDRATYAVGPYYYTLPRCDVKDDAAACSSGY >KQK91915 pep chromosome:Setaria_italica_v2.0:IX:52689406:52692246:-1 gene:SETIT_036632mg transcript:KQK91915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVEELVAKAGGCVVIDGGFATQLEALGADINDPLWSAACLIARPHLVKEVHMQYLEAGADVIISSSYQATIPGFLARGMTLDEAEDLLQTSVKLALEARDEFWKSTLRKSKPIYNRALVAASIGSYGAYLADGSEYSGSYGADITTEKLKDFHRRRLQVLAGAGPDLIAFEAIPNKMEAQALVELLEEENIQVPSWICFSSVDGKHLCSGESFADCLQILNASEKVAVVGVNCTPPQFIEGIICEFRKQTKKAIAVYPNSGEVWDGIAKRWLPAECLGHKSFDALAKRWHEAGASLIGGCCRTTPSTIRAVSKILKGRAGH >KQK91914 pep chromosome:Setaria_italica_v2.0:IX:52689406:52691401:-1 gene:SETIT_036632mg transcript:KQK91914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVHMQYLEAGADVIISSSYQATIPGFLARGMTLDEAEDLLQTSVKLALEARDEFWKSTLRKSKPIYNRALVAASIGSYGAYLADGSEYSGSYGADITTEKLKDFHRRRLQVLAGAGPDLIAFEAIPNKMEAQALVELLEEENIQVPSWICFSSVDGKHLCSGESFADCLQILNASEKVAVVGVNCTPPQFIEGIICEFRKQTKKAIAVYPNSGEVWDGIAKRWLPAECLGHKSFDALAKRWHEAGASLIGGCCRTTPSTIRAVSKILKGRAGH >KQK86503 pep chromosome:Setaria_italica_v2.0:IX:2295168:2299633:1 gene:SETIT_034239mg transcript:KQK86503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQAGGRHPDSRLLREGKRREKGKGTGGLGEGGLCQGAWWDGRQSKAEASSTQAGRPQAEREMGEITRRPARAPHPDPRPGSSRSSPPLLTRERHPSPLPVFPSTSSRRTGPAQIPSPLASHLPDALSPQTLTLSPHRLAAAAPPVSRRPTPSEVRHPPPRPPRVWFVLAAAGGWSGFRLLLALDCAPGPFRCSISPGVGGFWGARGFLRLDSSRCGSKVLVGVRFRFRAPALSRWCVVRWCCSRSISVVRGALVLHFEFLGFLSVYALAFMVGRLLGRGNPPLSTTEAVMKKPRSVASRKPRSTEQLASEYNGMCAPSRNISQDDDTGVEAGGHRRKELYLNSPEMKGSMTHKNDVSRKIRKEDRSGGDYDGHSRSSKSKDAARRGDGVLALECTTRSGSPDNPRLVPRDGSVHGENSIRKVKLKVGGITRTIHTKTIQEAGGSGIPATSDGSSHRHKHKDSGGHTNRDTHGNRVEGKHGNRHDISPSSDLVRKSKRIPKKKPLDGDSDDEDGELRYLEKLRGAKVAPDPMTPGHGAYDDSVDDGLKKKKLPKVSKNKSTPYEVDEDFTMSRFVRDGRKNLQLGDGNESIEEEESEMDEKNGLKEVDSPSDAKIETPGLTTRQRALQGRGGHGESLIEFPDGLPTASSRKQKEKLSEVEIQAKKAEAAQRRKMQVEKAEKEQQAEAMRKILGIDSEKKKEEKKLKEREEKEKQARLEEYRKNCIQTVMGPTGTVITFPESMGLPSIFNSKPVSYPPPREKCAGPNCTNPYKYRDSKTRVPLCSLACYKAVQGRPVQGSEAAQGSAAAQGSAAAQGSVATQGSDAAQGSAATQGSDAAHGSAGKQA >KQK90472 pep chromosome:Setaria_italica_v2.0:IX:42936021:42936473:1 gene:SETIT_040560mg transcript:KQK90472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINDQAFRSTGFLCCICSCTVLGFCVFIRSLLACLTSQCIDTFFL >KQK88826 pep chromosome:Setaria_italica_v2.0:IX:17439064:17439633:1 gene:SETIT_040332mg transcript:KQK88826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPQTWSESAASRKAGETAAQRLASRGEVSAMACARHASGR >KQK92133 pep chromosome:Setaria_italica_v2.0:IX:53876535:53878246:-1 gene:SETIT_038137mg transcript:KQK92133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCHHPAFWVNRRACTLHICLKTVHQTFFGHTVDDSSCFVFHVNETMLINPFHVTISKTISNSTFQIKPSRQVNHFRYIMIHGCIEDNHISSLTVASNSTGVTFVFFEM >KQK89508 pep chromosome:Setaria_italica_v2.0:IX:32101352:32102841:1 gene:SETIT_037461mg transcript:KQK89508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVLLGVCAALMAIAVASAAEGQVASVVVGLARCADCTRKNMKAETAFKGLQVAIKCKDTNGEYETKAVSELQSSGAFSVPLAADLHGADCHAQLHSAANAPCPGQEPSRIAPMSGGTFVAIPGKTHYPSAECASAFLCAPIKKHFLDHFHKKPVPEYHPVPEHKPAPVYHPTPEYHPPTPEYHPPTPEYHPPTTPVYGQPKPTPIYHPPAEH >KQK92633 pep chromosome:Setaria_italica_v2.0:IX:56510115:56511648:-1 gene:SETIT_040138mg transcript:KQK92633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPLKYRPCHNPNGLVSEEALRIIVEAKACFVALALALAYFLTASQHRPWSSSHLIKGFLFAATQPVTRFLVGMFTMLLAMPFRNDLYLLWGILLLAGYEGVYTISGYCVSTRRSDLAVHEFARANNIVTLGLYVRYYSRASPFRYPLWALWTLMVAKFLERVVRFKIANARYGDANTSFVAEYMKHEDKVEVTEKSATSFSMEDCNYLVVGESKSKARPERVRSEYEAKYKPVDDTVTVAKVWKCDGELLTSSSNVPGDEDELKDVCLSFALCKLLRRKFAGVATAGSKRPKAQRLVFDNLIHSGWRRTFRVIRTELGFSRDLHYTKYPILFSSGFPVVSTMLFAVTVVVSVWDHLVGARGPLASSWVLTHYRVPHGSTSNVVRGRNVDLLITFVIAGMVTGMEICEFFIHLFSDWTK >KQK88007 pep chromosome:Setaria_italica_v2.0:IX:10787594:10788055:-1 gene:SETIT_040617mg transcript:KQK88007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLLCFLKRAIPYLWNDKSDVLHSKRCMMSAKQRNAFPLKFV >KQK89147 pep chromosome:Setaria_italica_v2.0:IX:21336873:21343122:-1 gene:SETIT_035204mg transcript:KQK89147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAAAAVAAAAWALRAAVWACLAASAMLVAEAAYMGLASLVAAAARLWRRPERRRRYRWEPLPGGGDVEAGPAAAADFPMVLVQIPMYNEREVYKLSIGAACALTWPPDRIIIQVLDDSTDPIIKELVELECLDWANKKINIKYEVRNNRKGFKAGALKKGMEHIYAQQCDFVAIFDADFQPESDFLLKTIPFLVHNPKIALVQARWEFVNYDVCLMTRIQKMSLDYHFKVEQESGSFVHSFFGFNGTAGVWRVSAINQSGGWKDRTTVEDMDLAVRASLKGFEFLYVGDIRVKSELPSTFQAYRHQQHRWTCGAANLFRKMAWEVVTNKEVSIWKKHHLLYSFFFVRRVIAPLVTFLFYCVVIPLSAIVPGVSIPVWGLVYIPTAITCMNAIRNPGSLHLMPFWILFENVMSMHRMRAALTGLLETAHANDWVVTEKVGDLAKDDLDVPLLEPVKPTECVERIYIPELLLAFYLLICASYDLVVGSHKYYLYIYLQAFAYVIMGFGFVGTRTPCS >KQK89128 pep chromosome:Setaria_italica_v2.0:IX:21119324:21119966:1 gene:SETIT_038219mg transcript:KQK89128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRSRSRASSGGASRISDEQISDLVAKLQALLPEARLRSNDRVPSARVLQETCSYIRSLHREVDDLSDRLSELLATADVSTAQAAVIRSLLM >KQK86801 pep chromosome:Setaria_italica_v2.0:IX:3798470:3802562:1 gene:SETIT_035006mg transcript:KQK86801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKIPAAVAQIRHPSLFAPRERRRECVRACVRLTDQWTRTAATSCPIASTIAAGGSLPRAPPYRHELNRALLMGSLRAPPAPAPAPLVGSARVSFGSGLRFAAARVLKPRGLTTSSAMKSYRLSELSDAEVSGLKARPRIDFSSIFGTVNPIVEDVRVRGDAAVKDYTEKFDKVTLDDVVVHVSDLPDAELGPAVKEAFDVAYDNIYAFHVAQKLPEKTVENMKGVRCKRITRCIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTIVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTVSCPKVEKIFGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKYANPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLDAIEAEVSKQCNALPRGEFASKALSHSFTVFAKDMVEAISFSNLYAPEHLIINVKDAEQWEELVENAGSVFLGQWTPESVGDYASGTNHVLPTYGYARMYSGVSLNSFLKYITVQSLTEEGLRKLGPYVAKMAEVEGLEAHKRAVTLRLQEAEATVTV >KQK87115 pep chromosome:Setaria_italica_v2.0:IX:5624203:5625463:-1 gene:SETIT_040169mg transcript:KQK87115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGKGETGMPKRRPVERQRHLYLVLDEWSGGYSVRKIDLLSDYRRPQLIPVDAPPEGPIFVGFGRLPSASLRFEARRGEPKCFAGAFGSKILTLHPMDSGGLAPGAPIYDVVMRCLSYGPGTWPDRVNPTYIPVGDRLFSLAAGSFELLNPPPPYGDPNREKSVSVWAWSKLPTPTFHCEHVISYAMHPDGRTIFVSVGGDAVPATFSLDTQLPFTGRAYFVPELDAWVGLSGDPANIGRLCSCDVASTNSNGASQQQCPTMKLSKEKLFSKVPGEKHIGATLVYMGVESNFCLLECITIQADLADELPGSDETNGEPSDEADELAYCDDLNEDSDGEVNEDYEVNEEQDEVTQSMLEHPVAFWM >KQK91298 pep chromosome:Setaria_italica_v2.0:IX:49075178:49078544:-1 gene:SETIT_040009mg transcript:KQK91298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARTTQRVAETLPSGPLTSHHFAGSPSSPSPSPSPFSSGSAVCPSRCPHLLPQISKARSPRDPPAPVAMSASSTPVDASGEPIPTSSVLMAASKHIAVRCRPENVAFLNCKKNDPNPDKCLEKGRQVTRCVLSLLKELHQKCPKEMDAYAGCMYYYTNEFDFCRKEQQAFEEACPISE >KQK87671 pep chromosome:Setaria_italica_v2.0:IX:8618128:8618901:1 gene:SETIT_040753mg transcript:KQK87671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDHAAPAVFFFLLFFSHCPSHRAASSSLLLPPRSITMEADEDADSDSLGALSCPPQCPSPPSPWGRRGAAPRSPPQISHVTASPHVHHHRVHVLVQRLLLRLRLQLDLTLTLTHGRPRLLLQRRRGGLLAPQIHPP >KQK90939 pep chromosome:Setaria_italica_v2.0:IX:46738527:46744867:-1 gene:SETIT_035431mg transcript:KQK90939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNVIRFILVLTHVSSCLARSGNMFSPGFVSASKSLPGWPILSAGTSVTVALVLSLFLTFEHLCAYHQPEEQKFMIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRLQISESSPLLDDDYDYDYGIVKHPFPLNCFMRNWYLGPDFYHAVKIGIVQYMILKPICAVLAIFMELLGIYGEGKFAWKYGYPYLAVVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGVAVAFLFSTGLFKGHLAQRLQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVCNVAVMSDYASLGAPDPEEVGGGIDSLTVLQTPPGTKDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPMERRFTKINKTIHQISENVKQLEKQKRKAKDDSHIPLEPWSEEFSEAHDHVVGGSVSDSGLAKTRYNRPRRSFESRLRRWF >KQK90938 pep chromosome:Setaria_italica_v2.0:IX:46739017:46743177:-1 gene:SETIT_035431mg transcript:KQK90938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKNVIRFILVLTHVSSCLARSGNMFSPGFVSASKSLPGWPILSAGTSVTVALVLSLFLTFEHLCAYHQPEEQKFMIGLILMVPVYAVQSFFSLLNSNVAFICELMRDCYEAFAMYCFERYLIACLGGEESTIRFMEGRLQISESSPLLDDDYDYDYGIVKHPFPLNCFMRNWYLGPDFYHAVKIGIVQYMILKPICAVLAIFMELLGIYGEGKFAWKYGYPYLAVVLNFSQTWALYCLIQFYTATKEKLEPIKPLSKFLTFKSIVFLTWWQGVAVAFLFSTGLFKGHLAQRLQTRIQDYIICLEMGVAAVVHLKVFPAKPYRRGERSVCNVAVMSDYASLGAPDPEEVGGGIDSLTVLQTPPGTKDRRLSFPQSVRDVVLGSGEIMVDDVKYTVSHVVEPMERRFTKINKTIHQISENVKQLEKQKRKAKDDSHIPLEPWSEEFSEAHDHVVGGSVSDSGLAKTRYNRPRRSFESRLRRWF >KQK91680 pep chromosome:Setaria_italica_v2.0:IX:51185248:51187003:-1 gene:SETIT_035732mg transcript:KQK91680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSPSPPPPFPTVASEPKQQRGGTKAAPEKAEKKFAHVPTPLHHGHGGGASKKTPRGAKGGDGGADAAAYVAAVSCSDCRFKQRALAPASPGAVIRSLFVSLTRRSTPRSSPSATSASGGAGDAGDGEQWRLAAADLSRRLAAATRTRDEALEETTRLKHSLAELEHKLARLEARVVPAVPTVAAAFPVDSFLRAVSTARAAVRNLARALSTHLRSPASPGPSLESFLNRAFHADFELDTDADVHTPDPAGRCEANLAAYHAVAVLTWEEVLLHGTKHYSEGLSRFCDAKMSEVVSNLGWARARAWPEPLLQAFFLAAKGVWGVRLLARSVHPPLPVVRADRGARFDPRFMEDAAAGRAGRLEPASVKMMVAPGFHVYLAGAGVVKCRVVCFYSSGSNGRTGGHRDGGSSTNGSVGLGSSCSDMNGSATDVVDSCKSSRVG >KQK87500 pep chromosome:Setaria_italica_v2.0:IX:7575017:7579446:1 gene:SETIT_037550mg transcript:KQK87500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPVPCWECRSIVRMSIGFFFCRTSPLSLLVMEPPMKHKPSAVPINTSVEELELEDKSAVLVKRRRIEFLGSIVQPQQGIEVDMEHKVADDLPVEISTGDDPMDVLIDCAMQSSGDANGCGNQPARLRLPKICAAIGWKEPSFDFEEQGPPHNKLFICKVTVHLEGLVNTVMECFGDPKPKKKAAQDHAAQAALWCLERFGHAK >KQK90541 pep chromosome:Setaria_italica_v2.0:IX:43518866:43521225:1 gene:SETIT_034333mg transcript:KQK90541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGETPLVRGSSEEILPRGGVRRMLRPPMPSSALPRGLHAVLITSGHVRHLDPRLQVPPLLLANSLIAAFSRAALPRLAFPLLRRLLAGAHPLRPDGFTFPPLVRVAPGPATAAQLHACALRLGLLHPNVFAAGSLVHAYLRFGRVAEAYRVFDEMPERDVPAWNAMLSGLCRNARAVDAVALFGRMVGLGLDGDAVTLSSVLPMCVLLGDRALALVMHVYAVKHGLDGELFVCNALIDVYGKLGMLEEAQWVFDGMALRDLVTWNSIISAYEQGGKVASSVELFHGMKKSGVNPDVLTLVCLASAVAQCGDERGAKSAHCYVMRRGWDVGDIVAGNAMVDMYAKLSKIEAAQRVFDNFLARDVVSWNTLITGYMQNGLSNEAINAYNHMQKHEGLKPVQGTFVSVLPAYSNLGALQQGMRMHALSIKTGLNLDVYVSTCLIDLYAKCGKLAEAMLLFDHMPRRSTGTWNAIIAGLGVHGHGAKALDLFSEMQQEGIKPDHVTFVSLLAACSHAGLVDQGRSFFDSMQTVYGIVPIAKHYACMVDMLGRAGQLDEAFEFIQGMPIKPDSAVWGALLGACRIHGNVEMGKLASQNLCELDPENVGYYVLMSNMYAKIGKWDGVDAVRSLVRRQNLQKTPGWSSMEVKGSVSVFYSGTQTEPHPQHEEIQRELQDLLAKMKSLGYVPDYSFVLQDVELDEKEQILNNHSERLAIAFGIINTPPRTPLHIYKNLRVCGDCHNATKYISKITEREIIVRDSNRFHHFKDGHCSCGDFW >KQK86235 pep chromosome:Setaria_italica_v2.0:IX:886074:887679:1 gene:SETIT_036370mg transcript:KQK86235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQVVNAVMDLVVPPASMVMLAFAWPTLSFLRGVEWVLKTLTKEDMLGKVVVITGASSAIGEQIAYEYARRNANLVLVARREHRLFAIRDNARLLGAGQVLVIAADVVKEDDCRRLVSDTFTYFGQLNHLVNTVSLGHDFNFEEAGDTTAFPHLMDINFWGNVYPTYAALPYLRRSHGRVVVNASVESWLPMPRMSLYSAAKAAVVDFYETLRYEVKDEVGVTVATHGWVGGDAGGGKFTLEEGAAEMQWKEEREATLSGGQVEAYARALVGGACRGDAYVKRPSWYDVFLVFRVFAPDVLAWTFRLLLSTAAPTTAHARRPPPAALPAPPLRPLLEYPAAAARRPAAQLQKLE >KQK89696 pep chromosome:Setaria_italica_v2.0:IX:35117824:35120292:-1 gene:SETIT_039007mg transcript:KQK89696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPFLCLILLVPLLAAAQRMPSFSANDTAWRPADSNRTLVSPQGDFAAGYRFAVWVVGANSTDRAVIWYAHKSADSSPIDAVVADGTSALAVDASGRLAWTAGGNNTAIWSPAMNSSTGTAVLQLNDSGSLVYGAAWSSFSEPINTLMAGQAIPGGGSANRTLQSANGRYTLVKSATLQYGNLMYANISGGGSLLNLTNDGKLLLSGGNGQLIASDQGTSKRVRRLTLDDDGNLRLYSLLPKTRRWNVVWQLVQELCTIRGTCNASRICVPVGADSVSCVCPPGYRNATADGPCAPKQNYTGRGNDDKFVRMDFISFSGGALNTLSDSGPWMKKQTPQNLAECERICRQDAGCVAFGYKFGGDRTCLQYNRLVDGYWSPATEMSTYLRVVSSDNDSNPFTGMTDMIQTVCPVRLALPVPPKQAKTTIRNVAIITALFAVELLAGVLSFWAFLRKYSQYREMARTLGLEYLPAGGPRRFSHAELKAATKDFSDVVGRGAYGTVYRGELPDRRAVAVKQLHSVGGGEAEFWAEVTIIARMHHLNLVRMWGFCADRDQRMLVYEYVPNGSLDKYLFAGGGGGAAAAGEENDNSSSSEQQQQQPLLDLHTRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLEDDFCPKVSDFGLSKLTSKRDKVTMSRIRGTRGYMAPEWVIHREPITAKADVYSFGMVLLEIVSGRRNYGFRQESVGSEDWYFPKWAYEKVYVERRIDDIIDPRIAASYDDAASVATVERMVKTAMWCLQDRAEMRPSMGKVAKMLEGSVEITDPVKPTIFCVQDD >KQK87736 pep chromosome:Setaria_italica_v2.0:IX:9008143:9008946:1 gene:SETIT_039270mg transcript:KQK87736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGGAQPVSQADAVDIPGRPDYVDSYVQGNRPIPATAVYAVTPEHERSTTRRETAVVYMPAAPPEMMYFARCIAHAYITPADAPCKAHPAPFIRAVIGAVLPALLYELHPPGHGADRKVRFRCPEDREAAMARQPFALDGATVKLVREGESSNVRRIRLETLAHVALHGYPREQRSVEEIRGNCNSFGHLIEVDPACFAAPDLSPVRAVIRLQHAREIPREVRIRWGGWWLHVVPVQILRVWDWSESLDANGEYVPIYGPAAVVPP >KQK88264 pep chromosome:Setaria_italica_v2.0:IX:12707748:12711370:1 gene:SETIT_035251mg transcript:KQK88264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAGASKLESPLLAAATASHTGSGHGVEAVSGRLESILSDESLPWGRRMSAATLVEMRLLVRLAAPAVVVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGVPLAVLYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFLQAQSIMAPSAYISAATLAAHLALSYLVVYKLGLGLLGASLMLSVSWWAIVVAQFVYIVTSRRCRLTWTGFSWQAFSGLPSFFKLSLASAVMLCLETWYFQILVLIAGLLKDPELALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVLVVTVLSFILSVIISVVILLCRDYISYIFTEGEDVSRAVSQLTPLLAFTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAVTHLWTLFLIPFTFDLFMMPWIYDQRCICPK >KQK88265 pep chromosome:Setaria_italica_v2.0:IX:12707681:12712125:1 gene:SETIT_035251mg transcript:KQK88265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAAGASKLESPLLAAATASHTGSGHGVEAVSGRLESILSDESLPWGRRMSAATLVEMRLLVRLAAPAVVVYMINYLMSMSTQIFSGHLGTLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAYGAHKYDMLGVYLQRSTVLLMATGVPLAVLYAFSRPILVLLGESPEIASAAAVFVYGLVPQIFAYAANFPIQKFLQAQSIMAPSAYISAATLAAHLALSYLVVYKLGLGLLGASLMLSVSWWAIVVAQFVYIVTSRRCRLTWTGFSWQAFSGLPSFFKLSLASAVMLCLETWYFQILVLIAGLLKDPELALASLSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAAFSVLVVTVLSFILSVIISVVILLCRDYISYIFTEGEDVSRAVSQLTPLLAFTLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYIVGIPLGCLLGFYFDLGAAGIWSGMIGGTLMQTLILIWVTFRTNWVKEVEEAQKRLNKWEEKSPLLLD >KQK91504 pep chromosome:Setaria_italica_v2.0:IX:50302354:50304054:1 gene:SETIT_040022mg transcript:KQK91504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTMDDVAGDLEFSGCSSTTTSSSSPSLDDGMGMYAWNGLSPVADWGSFYPDDGGQDLHGLIESMLCDDTLVGAADLHPAMFPDEVYCCSNGSAPSSTTTTNPGTPVNDGDAAQGDCPEKGLRLLHLLMAAAEALSGPHKSRELARVILVRLKQMVSHTGDSAAVSNMERLAAHFTDALQGLLDGSHPAGGAGRQAAAASHGHHHQHAGDVLTAFQMLQDMSPYMKFGHFTANQAILEAVAGDRRVHVVDYDIAEGVQWASLMQAMISRPDGVPPPHLRITAVSRGGGGGARAVQEAGRRLAAFAASIGQPFSFGQCRLDSDERFRPATVRMVKGETLVANCVLNQAAATTTIRRPTGSVASFLAGMATLGAKVVTVVEEDQGEPEKDDEEAGGGFVARFMEELHRYSAVWDSLEAGFPTQSRVRGLVERAILAPNIAGAVSRAYRAVDGDGEAARAGWGEWMRGNGFRAVPLSCFNHSQARLLLGLFNDGYTMEETSPNRIVLGWKARRLLSASVWAPPPMSVPSSPAEGAFQPVGIAPASGGVDRMEFDYIDSFLVEPAYALV >KQK91676 pep chromosome:Setaria_italica_v2.0:IX:51167977:51170099:-1 gene:SETIT_035440mg transcript:KQK91676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQLTGAPGSAAAAGGAAAVKPQFHHYHHHRLPPRHHHPAPASLLSKLAFWSVCSLSLLIAFLLLSPSSAPAPRAAPESPRRSLHASPSPTASWGGAAWEKKVRASARVRRPRGYSVLVTGAAGFVGCHAAAALRRRGDGVLGLDNFNDYYDTALKRGRAALLARSGVYVVDGDIADAELLAKLFDVVPFTHVLHLAAQAGVRHALVDPMSYVRANVAGLVALLEAARSANPQPAIVWASSSSVYGLNSHVPFSEHDRTDRPASLYAATKKAGEEIAHVYNHIYGLSLTALRFFTVYGPWGRPDMAYFFFTRDILAGRPITVYESAGGGSHQTTISRDFTYIDDIVKGCVAALDTAGRSTGSGGKKRGPAPFRTYNLGNTSPVPVTQLVDLLEKLLKVKAVRKVVKMPRNGDVPYTHANVSLAQRELGYRPSTDLQTGLKKFVRWYLEYYHPELAEKQKQYAGSKGKGSRGHNGSSSSAR >KQK86114 pep chromosome:Setaria_italica_v2.0:IX:342595:344840:1 gene:SETIT_035702mg transcript:KQK86114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQQQPSSSYAHWIGLTSPPSFSSSLSCPTKAASRRGTRALRWCLSQSASFLTLLYLSYSPARYSIVVFFFASCSPRPVVIFLAAAAMAACWHHYQVPVALLVAALTMMIMARPAAGSDVPTIAFDEGFTPLFGEANMERSPDDRTVRLTLNRYSGSGFISSHYYHHGFFSAAIRLPKDHTAGVVVAFYLSNGDVFEKNHDELDFEFLGNRRRHEWRLQTNVYGNGSTDRGREERYLMPFDPTAEAHRFSILWSSRVVIFYVDGVAVREVPRSGAMGGDYPSKPMALYATIWDGSTWATDNGRYKVNYKRGPFTAEFSDLVLRGCPAAAVMRHEDTAALQLQLGAAAENRDRCAGAEFELMTADYAIVTPRKRMEMRRWRQRQMLYTVCYDTNRYPAPFPECDVNMAERLKFWEWGESKVVRPRVRGRSGRRRPATQLVSLQQAD >KQK92476 pep chromosome:Setaria_italica_v2.0:IX:55720747:55722940:1 gene:SETIT_0345881mg transcript:KQK92476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKRRPKLFWIAAAAPLTSVILGSVLVYLTHAENHGIQVIGHLKKGLNPPSVTSLQFSPPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYNIDGNKEMTAIGTMNIVGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSLAVMLTLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYQGAIHLWHVDKVDFCVCLGAYLGVVFGSVEIGLVVAVSISILRVLLFVARPKTTVLGNMPNSMIYRRMDQYTEAQAVPGVLVLRIDAPIYFTNASYLRERILRWINEEEERAKGEGEMGVQYVVLDMGAVGSIDTSGTSMLDELKKTLERRGMQIVLANPGSEMMKKLYSSKVLELIGHEWIFPTVGEAVSSCDYVLHSHKPGAVMDSAAAHGNMV >KQK92475 pep chromosome:Setaria_italica_v2.0:IX:55720747:55721932:1 gene:SETIT_0345881mg transcript:KQK92475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKRRPKLFWIAAAAPLTSVILGSVLVYLTHAENHGIQVIGHLKKGLNPPSVTSLQFSPPYMMLALKTGIITGVIALAEGIAVGRSFAMFKNYNIDGNKEMTAIGTMNIVGSLTSCYLTTGPFSRSAVNYNAGCKTAMSNVIMSLAVMLTLLFLTPLFHYTPLVVLSAIIMSAMLGLIDYQGAIHLWHVDKVDFCVCLGAYLGVVFGSVEIGLVVAVSISILRVLLFVARPKTTVLGNMPNSMIYRRMDQYTEAQAVPGVLVLRIDAPIYFTNASYLRER >KQK86900 pep chromosome:Setaria_italica_v2.0:IX:4358112:4358586:1 gene:SETIT_040487mg transcript:KQK86900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFIQPTYSLASSWLDQFTTRCREQSHSTPTKCFEFSL >KQK90105 pep chromosome:Setaria_italica_v2.0:IX:39711169:39713375:1 gene:SETIT_034571mg transcript:KQK90105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPATRTALLGYLAAISLLLHCLLPSLAGADFVYNGFEHAANLSLDGSASVLRGGALRLTHDSNSLMGHAFFDSPVQVVRGNSVISFSTAFVFDIVTVDHGGGHGLAFVVAASKALPGASYGIYLGLLGENTNGNSSNHVFAVEFDTMQVRRLNETDSNHVGVDLNSVVSNVSEPAGYFDDDDDGRNISVKLESAQPIQAWVDYDGRTKVLNVTIAPVSVPSRPHRPLISRAIDLLPVFKREMYVGFSSSTGEKLASSHYILAWSFSTEGAAKAIDLSRLPKVPKQAAPPPSLSTVIEITASSCVATLVVIAAAIVLALWIRRRAALTEMLEDWELDHPQRLPYKELYAATNGFEASKLLGAGGFGQVYKGVLRCSGDEVAIKRISSSGTQGMREFVAEVASLGRMRHRNLDLLLVYEFMPNGSLDEHLFGRAGAVMPPKPLLTWGQRVGILRGVASGLVYLHEEWEQVVVHRDVKASNVLLGADMAPRLGDFGLARLYEHGADPATTRIVGTLGYMAPELTVTARASRATDLFAFGVLLLEVACGCRPIDPVTGESLLRRVRGHHVSGDLVRAVDERLDGCYDKKEARLVLWLGLMCSQSRPEARPSMRQACQYLDGELEMREEAVLVFSDVDSLDVGSSAFLTWSSCNTMSGGSLLAGR >KQK92112 pep chromosome:Setaria_italica_v2.0:IX:53742361:53746184:-1 gene:SETIT_035883mg transcript:KQK92112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAHLLSPPSLPSSPPRLLRNGAPRRCPTPIHAALALPPPRHLGLAAARGDGGILGSNRARAAATVRVSAVPGDGDDGAGGTGIAAAAAATVVLAVMNRVLYKLALVPMKNYPFFLAQFATFGYVLVYFSILFIRFRAGIVTREMLALPKAQFMLIGLLEALGAASGMAAAAMLPGPSIPVLSQLILSVLILGRKYRANQILGCLLVTAGVISAVASRANGGPFLSELNFFWPAVMMVSAAFQAAASIIKEFVFIDGAKRLEGKRPDIFVVNSFGSGFQALFIFLLLPFLSNLKGIPFAELPAYLNRGAACFLNIGGNLKECHGAPLLPLLYITLNMAFNISALNLVKMSTAVVASLTSTLAVPLTIYVLSLPLPFLPEGTNLSTPFVIGAATLVLGLLLYNLPQKSADQVKKD >KQK87139 pep chromosome:Setaria_italica_v2.0:IX:5744278:5744597:1 gene:SETIT_038518mg transcript:KQK87139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRDRHTGSVQGRWKACSPDRVGERGRRRRHHLADTGRRGGRAASAG >KQK86938 pep chromosome:Setaria_italica_v2.0:IX:4591313:4591702:1 gene:SETIT_039894mg transcript:KQK86938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYGRAKRDMVVESHDYGFEVREGEMLFDYQPMATKDPRVFARPEEYVPDRFLGDDGARLLRHVVWSNGPETASPTLQDKQCAGKDFVVLIARLLVAEIFLRYDSFDVQVGASALGSSVTVTSLKKATF >KQK92640 pep chromosome:Setaria_italica_v2.0:IX:56562716:56563180:1 gene:SETIT_038859mg transcript:KQK92640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLKDAVARKPILATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKADTPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHNAVSSLTLRHVYEIAKLKQSDPFCKHMSIEALCKSIIGTANSMGIEIVKDL >KQK91169 pep chromosome:Setaria_italica_v2.0:IX:48253987:48262752:-1 gene:SETIT_034305mg transcript:KQK91169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPVFLGLLLLLAAAATVSSAPRREAFRRDPGHPHWHHGAFHDVEESIRADVRRMLHTRAEVPFQVPLEVNVVLIGFNGDGGYRYSLDGHKLEEFLKMGFPLHRPSCFETGEPIDIEHHIMYNVIAAGQPELISLEKSLKEAMQPAGTARDSEYGRELPLYEVEATTVEPIFQRLYSFIFDMEPGYPANEMDRPVPVAIFVVNFDKVRMDPRNKENNLDSLMYGTIGGLTEQELKKQEAEYIYRYRYNGGGATQVWLSSGRFVVIDISAGPCTYGKIETEEGSVSYRSMPRLSQIIFPRGLAAPSASSTQDIFIGQLGGLISTTIEHVIAPDIRFETVDMAVRLLIPIIVLQNHNRYNILQEGHNYSIDVQAIEREVKKMVHPGQEVIIISGSHALHQHEKLAVAVSKATRSHSLHETKSDGRFHVRTKTYLDGAILKEEMERSADVLSAGLLEVANPSLSSRFFLKQHWTDGEDDVKDSIKHRPLWESYMPRNKKERRGLGKKKHGNMYRTYGTRVIPVFVLSLADVDAELLMEEESLVWTSKDVVIVLEHKNEKIPLSYVSETTTQLALPSLAQRHILAGLASAVGGLSAPYERASRIHERPIVNWLWAAGCHPFGPFSNSSQISQILQDVALRTTIYAQVDAALRKIRDTSEVVQSFASEHLKTPLGEPVKGNKNKSTTELWVEKFYKKVTTVPEPFPHDLVERLEEYLDKLEEQLVDLSSLLYDHRLADAYKNSTDILQSTIFTQQYIERVLMNERDRMKCCSIEYSHPKQTSQAFVYGGILLAGFLVYSLVIFFSSPVR >KQK93093 pep chromosome:Setaria_italica_v2.0:IX:58735262:58737115:-1 gene:SETIT_039253mg transcript:KQK93093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEVIKTQNNMFNLFLAVSPEIFLINATFILLIHEVVFSTSKKDDYPPLVSNVGWLGLLSRFDAFEFIVLIPLPTRSMLLMIPAHDLIAMYLAIELQSLCFYVIAASKRKSEFSMEASSKYLILGAFPSGILLFGCDRTTTDQFLETSL >KQK90293 pep chromosome:Setaria_italica_v2.0:IX:41295371:41302454:-1 gene:SETIT_034795mg transcript:KQK90293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLAAASASARFVAGGEASPARPWAAAARVPCITGRRRRPAAGVRCGGARAPAGGVLPEEAEAEEGGRFVGWFREAWPYIRGHRGSTFVVVISGEVVAGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLAERGKKAKYAGRYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEIADNVASGNFLGAKRRGVVGGTDYGFTGEVKKIDVSRIRERLDRDSIVVVSNMGYSSAGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRINRFMSIEEADMLIRTRAKQSEIAANYVKVVDEEDINHVRNLPMKQDTEHGLNGRSHFNGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIVDGTVGGSLLLELFTRDGVGTMIARDMYEGTRMAREEDLSGIRKIIHPLEESGVLVRRTDKELLEALTSFIVVERDGSIIACAALFPYLEDKSGEVAAIAVSEECRGQGQGDKLLDYVEKKALSLGLEKLFLLTTRTADWFVRRGFKECSIESLPAVRRKRIDLSRGSKYYMKRLQVAEIGLMAVNGFAMR >KQK90294 pep chromosome:Setaria_italica_v2.0:IX:41297721:41302454:-1 gene:SETIT_034795mg transcript:KQK90294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLAAASASARFVAGGEASPARPWAAAARVPCITGRRRRPAAGVRCGGARAPAGGVLPEEAEAEEGGRFVGWFREAWPYIRGHRGSTFVVVISGEVVAGPHLDGILQDISLLHGLGIKFVLVPGTHVQIDKLLAERGKKAKYAGRYRITDSDSLEAAMEAAGRIRLTIEAKLSPGPPMLNLRRHGVNGRWHEIADNVASGNFLGAKRRGVVGGTDYGFTGEVKKIDVSRIRERLDRDSIVVVSNMGYSSAGEVLNCNTYEVATACALAIEADKLICIVDGQIFDEHGRINRFMSIEEADMLIRTRAKQSEIAANYVKVVDEEDINHVRNLPMKQDTEHGLNGRSHFNGYTASFRNGVGFNNGNGLSGEQGFAIGGEERLSRSNGYLSELAAAAYVCHGGVQRVHIVDGTVGGSLLLELFTRDGVGTMIARYNRTVFSMLAATEHSSFCV >KQK86710 pep chromosome:Setaria_italica_v2.0:IX:3378415:3379419:1 gene:SETIT_037731mg transcript:KQK86710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAGALLLVAAVAAALVASSSAQSSGCTTTLISLYPCLNYISGNVSAPPSSCCSQLASVVQSNPQCLCAALSGDSSSLGGVTIDKTRALALPQACNVKTPPASKCNSAGGGNSPGAATPTTPSSGGVPASAGTGGGSKATPTSPYLTTSGGASIRGAVSLALAFAAVAIYAV >KQK86711 pep chromosome:Setaria_italica_v2.0:IX:3378415:3379424:1 gene:SETIT_037731mg transcript:KQK86711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAGALLLVAAVAAALVASSSAQSSGCTTTLISLYPCLNYISGNVSAPPSSCCSQLASVVQSNPQCLCAALSGDSSSLGGVTIDKTRALALPQACNVKTPPASKCNSAGGGNSPGAATPTTPSSGGVPASAAGAGTGGGSKATPTSPYLTTSGGASIRGAVSLALAFAAVAIYAV >KQK91908 pep chromosome:Setaria_italica_v2.0:IX:52636952:52639177:1 gene:SETIT_034658mg transcript:KQK91908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGTDGVVPPKCATEDAIPPSPTLSSEEFLQFKRKATTIVEEYFSTDDVAATANELRELRVPCYHYYFVKKLVSVAMDRHDREKEMAAVLLSSLYGDVVDRPQLYKGFCKLTESCDDLSVDTPDAVDILAVFVARAIVDDMLPPAFLAKQGACLPGGCKGAEVLRRAEKSYLSVPHHGEIVLQRWGGSKRITVEEAKAKISDILEEYLAAGDRSEALRCIRDLKIPFFHHDVVKRALILAVERGGAAEGHILDLLKSASEEGVINESQITKGFDRLIDSVDDLVLDVPNARCLLKSVIHKASSEGWLCASCLKSLPPEPKKTSEVDDATVRQFKSKAVSIIKEYFLTGDIMEVMSRLEAENPSCCSSFNAIFVQKLVNAAMDRKSREKEMASVLLSSLCMPPEHVVAGFHLLIDAAEDAALDNPAIVEDLTMFFARSVVDEVIAPSDLEAMEEDASGVKADGSTGMLALRNARALLGAKLSAERILRCWGGGGSGKAGWELDEVKDKIGRLLQEYDCGGDIREACRCIKDLGLPFFHHEVVKKALVAIIEKRGKDERLWGLLSECYSRGLITPNQMTKGFDRVADCVEDLVLDVPDAGKQLGCCVERAKKEGWLEPSFSMARPGQPLANGVCS >KQK91568 pep chromosome:Setaria_italica_v2.0:IX:50567029:50571483:-1 gene:SETIT_035558mg transcript:KQK91568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQQRVAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQILVYPNKMKFSSPAEQEVYVRRWLEERIGVLPRFDIKFYPGKFSTEKRSILPVGDISQTISDDKADIAVLEEPEHLTWYHHGRRWKTKFQKVIGVVHTNYLEYVKREKNGYISAFILKHINSWVTDIYCHKVIRLSAATQDVPRSVICNVHGVNPKFIEIGKLKHQQVCQREQAFFKGAYYIGKMVWSKGYTELLQLLRKHQIELSGLKMELYGSGEDSDEVKATAGRLSLDVRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNTEKEFVRLTMKALAEEPIPLSDDLRHELSWEAATERFVRVADIAPSMSVKQQNPTSQHFMRISPEELQKNMEEASAFFHNTISGIETARCVFGAIPNTLQPDEQQCKELGWNLQG >KQK91569 pep chromosome:Setaria_italica_v2.0:IX:50567367:50570696:-1 gene:SETIT_035558mg transcript:KQK91569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQQRVAIFTTASLPWMTGTAVNPLFRAAYLAKAGDWEVTLVVPWLSKGDQILVYPNKMKFSSPAEQEVYVRRWLEERIGVLPRFDIKFYPGKFSTEKRSILPVGDISQTISDDKADIAVLEEPEHLTWYHHGRRWKTKFQKVIGVVHTNYLEYVKREKNGYISAFILKHINSWVTDIYCHKVIRLSAATQDVPRSVICNVHGVNPKFIEIGKLKHQQVCQREQAFFKGAYYIGKMVWSKGYTELLQLLRKHQIELSGLKMELYGSGEDSDEVKATAGRLSLDVRVYPGRDHGDSIFHDYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKRFPNCHMYNTEKEFVRLTMKALAEEPIPLSDDLRHELSWEAATERFVRVADIAPSMSVKQQNPTSQHFMRISPEELQKNMEEASAFFHNTISGIETARCVFGAIPNTLQPDEQQCKELGWNLQG >KQK92935 pep chromosome:Setaria_italica_v2.0:IX:57962850:57964606:-1 gene:SETIT_037662mg transcript:KQK92935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEYFMPKPKIVKYHDLLEKLWGWDRLLPKATSVSLSVYITYLEEYHRRNVHAVTTDTSISALAETCLSNEKQLVSELKLWVTREQETSLMIRRSIILSCLIQEHARSVVHTADKSSFSDVSGAALLCIAKEAGLTCELLRRGADPIDDYLINQGRVIRSCALSLMNCTSVYSSAAMLVRACLKT >KQK90490 pep chromosome:Setaria_italica_v2.0:IX:43104780:43107855:1 gene:SETIT_037126mg transcript:KQK90490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWIRQAEAWVGQAESWIRQQPPEQIYVAAVVVAVTILVLIAASCLKSSKPNTIVLSGLSGSGKTTLFYQLRDGSSHQGTMTSMEENNDEFVLNSEKERKGKVRPVHIVDVPGHARLKPKLDEVLPKAAGIVFVVDAQDFLSSMQAAAEYLYDTLTKASVVKKKVPVLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADISDEVKLGVPGEAFNFSQCQNKVTVAEGAGLTGNVSAVEQFIREYVKA >KQK89890 pep chromosome:Setaria_italica_v2.0:IX:36784471:36785396:1 gene:SETIT_038037mg transcript:KQK89890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGQRSSKGGEWVEGKERKLKRCSKPLSRFSHGLTPSSPVRARSPRLASGFVRPLLGPFRSSLEPSTMSEHIGLIVGICIGIALVVLLLSVLCCIMGWKGRDAQIRRAAAEAAAAGNAGGAPMAP >KQK93086 pep chromosome:Setaria_italica_v2.0:IX:58687016:58687936:1 gene:SETIT_039207mg transcript:KQK93086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKLIARLLLVVVLLALAPHGSRSQSQSQSRGGIAIYWGQNGNEGTLAQTCATGNYAFVNIAFLCSFGSGQSTPQLNLAGHCDPYSNACTNLSADITFCQSKGVKVMLSIGGGAGGYSLDSQQDALQLAQYIWNNFLGGHSQRRPLGDAVLDGVDFDIEGGNPDHYGALAAYLKSYGNAKGKKKVYLSAAPQCPFPDQWVGKALDTGLFDYVWVQFYNNPPCQYTPGSTANLIDSWNQWTAGINATYLFLGLPAAPDAAGSGFIPVDSLKSQVLPAINNSTKYGGVMLWSKFYDDQDGYSSAIKNSV >KQK92257 pep chromosome:Setaria_italica_v2.0:IX:54598264:54599978:-1 gene:SETIT_036540mg transcript:KQK92257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVVTGATGFMGGRLCAALADAGHDVRAFVLRGVDASALPPSVEVVYGDVTDEDSLADVFQGSDAVFHAAAAVEAWLPDASIFHTVNVGGLENVLKAVKRTPAVKKIVYTSSYFAIGPTDGYVADEKQIHPGKAFCTEYEKSKFLADEIALQAAAEGVPITIVYPGVMYGPGMLTSGNLVSRVLIERFNGRLPGYIGDGYDRESFSHVDDVVSGHIAAMEKGRVGERYLLTGENASFVRIFDLAASIANTKPPKFHIPLWLLAIYGWISVLVARITGKPPLISYPGVDCLRHQWAYSCDKAKKELGYSPRSLTEGLAETLLWLKNDKLIKF >KQK88669 pep chromosome:Setaria_italica_v2.0:IX:16004218:16005063:1 gene:SETIT_037948mg transcript:KQK88669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRTKTVKKTSRQVIEKYYSRMTLDFHTNKKVLEEVSILPSKRLRNKVAGFTTHLMRRIQRGPVRGISLKLQEEERERRMDFVPEKSALEVDQIRVDKETMEMLAALGMADLPGVELQPENSNAPAFGRPQYGGPRRDRV >KQK89616 pep chromosome:Setaria_italica_v2.0:IX:33825871:33826580:-1 gene:SETIT_039485mg transcript:KQK89616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYGVQILNQPLNSPCTVFYDIFSLSFIINWRLISSATNDGVRDLASERADREGHLTLQVEGGWRGSSRWGVGRRSSSRWGGEAAGGVTGKASCRW >KQK90395 pep chromosome:Setaria_italica_v2.0:IX:42334950:42339683:1 gene:SETIT_034774mg transcript:KQK90395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAGNPANHHISPHVIGGAFVQQYYHILHEQPDQVHKFYQESSMLGRPESNGTMVYVTTLGNINEKIMSMDFRNCLTEIETADAQLSHEDGVLIVVTGSLTSDEGVCRRFTQSFFLAPQESGGYFVLNDVFRFISERKPAEINQVVTQENESSQNGRSASESCSALPEPTPADRSVISDHVTTENIVTERQISNPPVNGTAVENNVHAEPPVQVAKEDPKKAPVAAPPPPAPTPTDVTKKSYASIVKDMKEGPPTPQVAKTTPSVAKQKPAPKPVSKAVEGPEKSSAKPTQANETSDGIVAQNNSSRNEPGYSIFIKNLPFSANIEIVEEEFKRFGTIKPGGVQVRHNKDDRFVFGFVQYESQQSMQAAIEASPIHMEEKEVHIEAKRANSRGGRFQSGRGVYHGDNFRGRGGSYVDNANYRGSDNFNRRNEGEGYNRRNDGDFYNRRNDGEIYRRNDGEIYNRRNDGENYHRRNDGDNYNIRNERSDGDNYNRRNERSDGENFNRRNDGENFNRRNDGDNYNRRNDGGENFNRRNDGGENLNRRNNFRNHNEFSGRGRGPPPPGNGYHQNGNGLHPARPFQNGNGRFGRVNSGPKQSPVAV >KQK90626 pep chromosome:Setaria_italica_v2.0:IX:44046798:44049133:-1 gene:SETIT_036430mg transcript:KQK90626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHGVVLGPEDAVVAAAARHFSFPPPRTGGDSCRKLAAQVDLGAAVMGSWLDSMKASSPRHRLMAPLAGAADAEHDDWMERHPSALERFEALAAAAKGKQVAVFLDYDGTLSPIVEDPDRAVMTDEMREAVRGVAARFPTAIVSGRCRDKVFGFVGLEELYYAGSHGMDIRGPTADPNNHGKPAAEFLPVIEEAYAALVGSVEASIPGAKVENNKFCLSVHFRCVEEAAWGALFERVRAVLRDYPGLRLTQGRKVLEVRPMIKWDKGKALEFLLDALGYAERSDVFPVYVGDDRTDEDAFKVLRSRGQGAGILVSRFPKETAASFSLRDPAEVRDFLRRLVDANAT >KQK89552 pep chromosome:Setaria_italica_v2.0:IX:32833554:32834301:-1 gene:SETIT_037738mg transcript:KQK89552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPKHSLLAAFAAVAMAVALAATTASAQNTPQDFVNLHNRARAADGVGPVTWDARVARYARDYAARRAGDCRLVHSGGPYGENIFWGSAGRAWSAADAVRSWVEEKRYYHLSTNTCDPGKVCGHYTQVVWRRSTRIGCARVVCAANRGVFIVCSYDPPGNINGQRPFLTLDAAAK >KQK89597 pep chromosome:Setaria_italica_v2.0:IX:33659130:33670192:1 gene:SETIT_033901mg transcript:KQK89597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRMLFDLNELPAEADEEAAAAVPQEAVAVIPQEAAVVVLQEAAVVVSQPQKSLPVPTTHAPTLFQPGEGSQSQGILNNNAFKHASIGSGFQPFVRNKDSNNTKESLKAEDNMNSSVASSSTVANNISDNATPKVEPCNQVSQAVEREEGEWSDADGVSENAGSSVSNKDESVGTASTHVKKESQDSEPHLIKSGDVTKDDTAAECSDAEMADAPKDQVLRGSTGSESMQNLECKGNQPGDDLDACNRSKDVKGVEANYALKFASNPAKRPKLNEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEASRGAGEKAAEKQNQQAFRDQRQSEMMGSERSSSADPSDQHAESNGDAEMGPQGRSKKMNAEEPSSDGYQQPVQRQASLKQSMDLKQPKGRPFSSQRTAVTGQNTADQKPASKRSIISKKPSFVNNTQYQDSSVERLIREVTNDKFWHNPEEAELECVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLEAAGRDAHVAVRIKTVDRRERGWYDVIVLPMHEYKWNFKEGDVAILSFPRPGSAAQSGRSSRRAVGSNEDAESECGRLVGTVRRHMPIDTRDPIGAIIHFYVGDSFDFNNEANVLRKLQPRSTWYLTGLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRSFNGPQLSAIHWAATHTAAGTSNGVVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGSTSTSSETVAAGSIDELLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLHQLKGREQQLSQEIAYLQRELNMVAAAGRSQGSVGVDPDVLAQRDRNRDILLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDMSHGRESHRGGSSSFQNIHEAQFALRLYEHLQKFLKANGGKKVSVGIITPYKLQLKCLQREFKEVMNTEEGKDIYINTVDAFQGQERDIIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSEDWAALIADAKSRKCFMDLDSIPKDFLPMKVPSNTLGRNSSNNIRNMRTGGPRPRHLDMFPEPRAGMNIRPDEDERLSSVPRNGSYRNLDDFGRPGDRPRDNVQFGVPRRPNSSNGRREV >KQK89596 pep chromosome:Setaria_italica_v2.0:IX:33659130:33670499:1 gene:SETIT_033901mg transcript:KQK89596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRGRMLFDLNELPAEADEEAAAAVPQEAVAVIPQEAAVVVLQEAAVVVSQPQKSLPVPTTHAPTLFQPGEGSQSQGILNNNAFKHASIGSGFQPFVRNKDSNNTKESLKAEDNMNSSVASSSTVANNISDNATPKVEPCNQVSQAVEREEGEWSDADGVSENAGSSVSNKDESVGTASTHVKKESQDSEPHLIKSGDVTKDDTAAECSDAEMADAPKDQVLRGSTGSESMQNLECKGNQPGDDLDACNRSKDVKGVEANYALKFASNPAKRPKLNEHKEAMLGKKRARQTVFINVEDAKQAGTMKTSTPRRQSSFPAPIVTRTVKEASRGAGEKAAEKQNQQAFRDQRQSEMMGSERSSSADPSDQHAESNGDAEMGPQGRSKKMNAEEPSSDGYQQPVQRQASLKQSMDLKQPKGRPFSSQRTAVTGQNTADQKPASKRSIISKKPSFVNNTQYQDSSVERLIREVTNDKFWHNPEEAELECVPGSFESAEEYIRVFEPLLFEECRAQLYSSYEESLEAAGRDAHVAVRIKTVDRRERGWYDVIVLPMHEYKWNFKEGDVAILSFPRPGSAAQSGRSSRRAVGSNEDAESECGRLVGTVRRHMPIDTRDPIGAIIHFYVGDSFDFNNEANVLRKLQPRSTWYLTGLGSLATTQREYVALHAFRRLNVQMQNAILQPSPEHFPKYEEQPPAMPDCFTPNFADHLHRSFNGPQLSAIHWAATHTAAGTSNGVVKKQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYAALLKKLAPESYKQVSGSTSTSSETVAAGSIDELLQSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTDQLLMKGRDEVIGWLHQLKGREQQLSQEIAYLQRELNMVAAAGRSQGSVGVDPDVLAQRDRNRDILLQKLAASVESRDKVLVEMSRLLILESRFRVGSNFNMEDARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTILLSVQYRMHPQIREFPSRYFYQGRLTDSESVVKLPDEAYYRDALMAPYIFYDMSHGRESHRGGSSSFQNIHEAQFALRLYEHLQKFLKANGGKKVSVGIITPYKLQLKCLQREFKEVMNTEEGKDIYINTVDAFQGQERDIIIMSCVRASNHGVGFVADIRRMNVALTRARRALWVVGNANALMQSEDWAALIADAKSRKCFMDLDSIPKDFLPMKVPSNTLGRNSSNNIRNMRTGGPRPRHLDMFPEPRAGMNIRPDEDERLSSVPRNGSYRNLDDFGRPGDRPRDNVQFGVPRRPNSSNGRREV >KQK91689 pep chromosome:Setaria_italica_v2.0:IX:51246450:51248632:-1 gene:SETIT_034601mg transcript:KQK91689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKTTSSPSPTISAMNPLLPSSTFPKSPHPPDPNPSSPNPSPCSYLLHADADDEALIQIPGRNPSLVGASAPFALLPAIDPAPHISSQFYTFSAASYGLMLRCILAGRPASSDEVRAATSLSVLASWRAVWKDRNEDTAYLTAWKRIQDKLAASADGRHLHFKTNAAQRVSHVGMWRDIVSEAHADPDLLRHLAFKDTVDRIKQSWTVGAKFYGIPESFIRVCVAACPVCKAAPAGQPDSTISSPGRGKRRRRFEYTETLDVPARDVPRRLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGVPTSSAASAASSSAINSEGKKARVLKREPYQSKRCGCGFRIRAIVPIANYNEKDKTFVYLEEGTAVFKLYAVHSGHEPGPLDGNARIVHRLVGHKGALEFDPDIYGVSEEGDPTFSSKGDEDVDIDDSHQAVLQQVRDLRSEVQLLEGKVAKMHPELLGSLSTELSEVLHRIRKFTLEGNLYQPEETLMVGNEEVGGWGAGDAPRHLDQHDGAFCKDDEMLDDDDTDFGSSLGPIVSWDRMAAECEDRKMLLGDSPKCDKWMLKDDVGDFDAKSILNCGDDDCVEDSKIIKPLMHDDNMVTDPSLVGIHVEGFYTGSKWYDSPVCLDSSVDAGDSSFRHGGIV >KQK87055 pep chromosome:Setaria_italica_v2.0:IX:5322107:5326120:1 gene:SETIT_035669mg transcript:KQK87055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRSVAYCAAVLLAAALLLSAPTGTEAYDSLDPNGNITIKWDIMQWTPDGYVAVVTMFNYQQFRHIGAPGWQLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNTPHCCKKDPTIVDLLPGTPYNMQIANCCKAGVINTFNQDPANAAASFQISVGLAGTTNKTVKVPKNFTLKTPGPGYTCGRAIVGRPTKFFTSDGRRATQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVNCPTCSCGCQNPNGSNCVNEDSPNLQSAIDGPGKWTGQPLVQCTSHMCPIRIHWHVKLNYKEYWRVKITITNFNFRMNYTQWNLVAQHPNFDNITQLFSFNYKPLTPYGSGINDTAMFWGVKFYNDLLMQAGKLGNVQSELLLRKDSQTFTFEKGWAFPRRVYFNGDNCVMPSPENYPWLPNASPLTKQPLTLPLLVFWVVLATLLAYA >KQK92972 pep chromosome:Setaria_italica_v2.0:IX:58117425:58122863:1 gene:SETIT_034216mg transcript:KQK92972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDVLPCFDASNLVLPDDAACIVTVPTTLDVAANHGVVVASKDGGIDQESYSLYLVDNLLQKPTVSELVEGHAILDDGRALLDTGIIAARGKAWQDLVTLAHSSSQTMIKELMTSNKELSLYEDLVAAWVPAKHEWLRNRPLGKELIDALGKQRIFSFCSYDFSFLHFGTSAEVLDHLAGSYSGLVGRRHMCSLPETTACDIAATAIILSTKISSGVSIGEDSLVYDSVLCGRIRIGSQSIVVGVNISEFHGCSPQIINGSTCFTLPDRHCLWEVPLVNSAGRVLVYCGLHDNPKVSIKRDGTFCGKPWINVLEDLRIQDTDLWNSTSQDQCLWTARLFPVMSLPEMLNVGMWLMGSACDPDGKIASLWRKSQRVSLEELHRAIDYSQLCMDARKHQSDLAADIAKACMNYGLLGRNLFQLCEEVLQKDSCLTLCEELLSFFPSHGDQYSGVLPQSREYQVKMDLLRASGDLSTACIVEEKVWASISSETASAIKYGSKEPSSGSMSSTHGNLHPRKAFVELPVRVDFVGGWSDTPPWSLERPGCVLNMAISLEGSLPVGAMIETTEDHLGVSIEDDAGRNLYIDDLASISSPFKESDPFRLVKSALIVTGILGHKILSKSGLNIWTWANVPRGSGLGTSSILAAAVVKGLFQVMEDDGSDDNVARAVLVVEQIMGTGGGWQDQIGGLYPGIKCTQSFPGQPLRLQVVPLLASPELIQELEQRLLVVFTGQVRLAHQVLQKVVTRYLRRDNILISSIKRLAELAKIGREALMNGDIDELGNIMLEAWRLHQELDPFCSNKFVDELFAFADPYCCGYKLVGAGGGGFALLLAKNPSCAKELRQALHESTFDVKAYDWNIVMPR >KQK89806 pep chromosome:Setaria_italica_v2.0:IX:36078220:36082806:1 gene:SETIT_035769mg transcript:KQK89806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLPAATTWLSYPRPTSSSLLVFLLRRPVPGSLQRHPRARLPAPPEEGMASLPPAGAAGADSDQLLVEANDSTRTLILNRPKQLNALSSAMIMGLLRCFTAYEKDDGVKLLIMKGKGRAFCAGGDVAAVVRAINNGSWKYGADFFRNEFLLNYIIATYSKPQVSLLAGIVMGGGAGVSLHGRFRVVTENTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVALAGARLDGAEMHACGLATHFVQINRLPSLEESLKKVDTSNPFVVCGIIDQFAQQPSLKENSSLNRLEIINKCFSKRTVEEIISALEQETSNSADEWIASTIQSLKKASPTSLKISLRSVSLRNYLCSKLYYNLTVYVCPWEYSCFIVF >KQK89807 pep chromosome:Setaria_italica_v2.0:IX:36078220:36083611:1 gene:SETIT_035769mg transcript:KQK89807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLPAATTWLSYPRPTSSSLLVFLLRRPVPGSLQRHPRARLPAPPEEGMASLPPAGAAGADSDQLLVEANDSTRTLILNRPKQLNALSSAMIMGLLRCFTAYEKDDGVKLLIMKGKGRAFCAGGDVAAVVRAINNGSWKYGADFFRNEFLLNYIIATYSKPQVSLLAGIVMGGGAGVSLHGRFRVVTENTVFAMPETALGLFPDIGASYFLSRLPGFYGEYVALAGARLDGAEMHACGLATHFVQINRLPSLEESLKKVDTSNPFVVCGIIDQFAQQPSLKENSSLNRLEIINKCFSKRTVEEIISALEQETSNSADEWIASTIQSLKKASPTSLKISLRSIREGRIQTVGECLRREYRMVCHVMRGDFSRDFFEGCRAILVDKDRNPKWMPPRLEQVHDEAVEQYFSRIDDPQWEDLDLPARRSYGRIIESKL >KQK88991 pep chromosome:Setaria_italica_v2.0:IX:19255880:19256173:-1 gene:SETIT_039917mg transcript:KQK88991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSSPATGDGAPPTSASSSSSIIFGSMSGSHVLKIVCYSHSKQSVVNGRSIKSCRFYVAGRNWHVQYNPNGWKSEDTDCISLYLVLDDNVAEAVKA >KQK90947 pep chromosome:Setaria_italica_v2.0:IX:46767633:46769649:1 gene:SETIT_037349mg transcript:KQK90947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLDECIDHGNEVDHEWPRRRCSNAVAGWGAARRGGEPRHTAGPHTKRRITPSINGPNQLPTSPAPPQNPIVSRGAATTTTGAAAAHAASGEAEEEAMARGVAAGAKGGAAGGKKKGAVTFTIDCTKPVEDKIMEIATLEKFLQERIKVAGGKAGNLGEGVTVTRDKTKVTVTSEGPFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRSVYELRYFNIAENEGEEED >KQK90890 pep chromosome:Setaria_italica_v2.0:IX:46408213:46413085:1 gene:SETIT_035015mg transcript:KQK90890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVHGRPSAPSPDRPPPQPPEPAAPAPPQEAAAAATAAGKPEQPAEKPARRERRSRSSRPAPGGSFANRARGEQVAAGWPAWLSAVAGEAIDGWTPRRADSFEKIDKIGQGTYSNVYKARDTVSGKIVALKKVRFDNLEPESVRFMAREILILRRLDHPNVVKLDGLVTSRMSCSLYLVFEYMEHDLAGLAASPDIKFTEPQVKCYMHQLLSGLEHCHDRGVLHRDIKGSNLLLDNNGMLKIADFGLASFFDPDRKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGRPIMPGRTEVEQLHKIFKLCGSPTEEYWKKSKLPHATIFKPQQPYKRRIRETFKDFPQSALQLIETLLAIDPADRLTATAALRSDFFTTEPFACEPSSLPKYPPSKEIDAKRRDEEARRLRAAAGRANGDGSKKTRTRDRPKAVPAPEANAELQVNIDKRRFVTHANAKSKSEKFPPPHQDGAVGVPLDSSNHMDPLYEPPDPSSFSTVFTYEKGAVPTWSGPLADPAAVANQKRKHKSRSSKQPATARAR >KQK87255 pep chromosome:Setaria_italica_v2.0:IX:6280207:6283899:1 gene:SETIT_039522mg transcript:KQK87255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDDEPVDPMEIDGQQKLQVEASTAVPEGFNADYLRVYYGKLFPYGDFFKWLCYGNDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSASELESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADVCLDCWPLMTIAIKILDTSLRDDFGFNHILWVYSGRRGVHCWVCDSRARKLSNEQRAAVADYFRVYKGGENALKKVSLAGPVLHPFLARSYMDVLESFFEDKLLLSQQLFASEDRCQKILDLIPDENVASELHDKWQGNRPSSISKEDVNAARWKQLKTTLQSGKHKGLRRCVEEIVFSYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNCEDFDPTAVPTLSQLLGELNAASFQTDSENNWERTSLEKSIRFFRTSFLQPLLKACKEELESAYNAKLQQSKNSLNW >KQK89585 pep chromosome:Setaria_italica_v2.0:IX:33508387:33510878:-1 gene:SETIT_034905mg transcript:KQK89585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPKLEDEPENEPNKLIMKRLVIRCWQESRLLWRLAFPALLTEVFQFSIGFVTTGFVGHLGEVELAAVSVVENILDSSAYGFLFGMGSALETLSGQAVGAGQLERLGTYTQQSWIICGATAAALAPAYAFATPILHSFLRQPAAVARAAGPYARWAVPRLFAHAANIPLLMFFQAQSRVWVVASISGAVLGVHAALTYVAVRRLGFGLRGAAVVGDVSHWLVVAAQFAYMAGGRFPDAWKGFTARAFNNLGAFVKLSLGSAVMIWFVVSVDIARFFQDLNCLISSSSLRSHSFFCLLHECWACFLVRVVDRKLLTFNFFISLEFWYYTTLLVLVGLLKHAKLQLDIMSVCLNYEFMTIMVALGFSTAVGIRVSNELGANRPKETKFAVVVSVSTSIFMGAIFMGVFLIWRTSLPKFFSDSHEVIHGASRLGYLLAVTVFMSSIWPVLSGVAVGAGWQVPVAFINVGCYYLVGIPLGILFGFKLKRGAMGIWMGMMIGTFLQMVILFAIIFTTKWEKQAVLAEARMLQWGGKNEKLPLMKSPPADDQMVPAEDDEMLAQGSQKNIEFVRTD >KQK89586 pep chromosome:Setaria_italica_v2.0:IX:33508387:33510902:-1 gene:SETIT_034905mg transcript:KQK89586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCHDNMASSPKLEDEPENEPNKLIMKRLVIRCWQESRLLWRLAFPALLTEVFQFSIGFVTTGFVGHLGEVELAAVSVVENILDSSAYGFLFGMGSALETLSGQAVGAGQLERLGTYTQQSWIICGATAAALAPAYAFATPILHSFLRQPAAVARAAGPYARWAVPRLFAHAANIPLLMFFQAQSRVWVVASISGAVLGVHAALTYVAVRRLGFGLRGAAVVGDVSHWLVVAAQFAYMAGGRFPDAWKGFTARAFNNLGAFVKLSLGSAVMICLEFWYYTTLLVLVGLLKHAKLQLDIMSVCLNYEFMTIMVALGFSTAVGIRVSNELGANRPKETKFAVVVSVSTSIFMGAIFMGVFLIWRTSLPKFFSDSHEVIHGASRLGYLLAVTVFMSSIWPVLSGVAVGAGWQVPVAFINVGCYYLVGIPLGILFGFKLKRGAMGIWMGMMIGTFLQMVILFAIIFTTKWEKQAVLAEARMLQWGGKNEKLPLMKSPPADDQMVPAEDDEMLAQGSQKNIEFVRTD >KQK90690 pep chromosome:Setaria_italica_v2.0:IX:44816994:44817459:1 gene:SETIT_040765mg transcript:KQK90690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILKLSLVGVHVRTHMNIRLAHVLVDDYVSQVMDMEMLNL >KQK87045 pep chromosome:Setaria_italica_v2.0:IX:5251727:5254752:1 gene:SETIT_036781mg transcript:KQK87045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLAEGVMALAVAPEANASSGGAAGSPAGPLWWVSECHGVWYSLAVMLPSLAFVGFLAWQARRSFRRLSYGRSHVVVVAYYALLWAVAVLNLLWCFLQAWQCLPDRAFSWNVLSLFTKSGMLFLEVSLIAFLLQGNDAGGFESLARTFVISGAVVAADVLLKTIYVFGFGVPLFIDADQGTGGKWGLWILHKLVLTGVYGLIVFMHHSRWRDRLPAKPAYYNYVCAMLLLNGVSLFGCFLVASGAGFGLWLYNLTTVCYHSLYLPLLYVTFLADFFQEEDMLLENVYYSEMKDAGFFDADWD >KQK92903 pep chromosome:Setaria_italica_v2.0:IX:57782786:57783298:-1 gene:SETIT_040433mg transcript:KQK92903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGAVPHHICFALPPASPDHLARNLREQPPAAAPASSAPASGTCSKTTSSAATKRRRPLRASFWITLLLNNTFNANE >KQK90444 pep chromosome:Setaria_italica_v2.0:IX:42731646:42735799:-1 gene:SETIT_036728mg transcript:KQK90444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLREMDGDPFHTMPNYDFLSGNGYSMKQLNCSNSDRDSSSTKSEQSRQDLSAVSDGSLNGQHTPTQSENNDSCGKRDQGMVKSVLSFGNPEADFSPPKFDYSQPFACASYTADPYYGGVLTGYSSNSIVRPQINGATNSRVPLPIEPAAEEPIFVNAKQYHAILRRRQMRAKLEAQNKLVKGRKPYLHESRHCHAMKRVRGPGGRFLNKKELQEQQQREKAPPSLHTPTGGVGKMAFGRNLCPENSTSHSPSTSSGISSVSNGGGMMAHQEHISFSSPNFLPSMNFRKENGSEKMAVNGVRHRTPS >KQK90445 pep chromosome:Setaria_italica_v2.0:IX:42731646:42736960:-1 gene:SETIT_036728mg transcript:KQK90445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLREMDGDPFHTMPNYDFLSGNGYSMKQLNCSNSDRDSSSTKSEQSRQDLSAVSDGSLNGQHTPTQSENNDSCGKRDQGMVKSVLSFGNPEADFSPPKFDYSQPFACASYTADPYYGGVLTGYSSNSIVRPQINGATNSRVPLPIEPAAEEPIFVNAKQYHAILRRRQMRAKLEAQNKLVKGRKPYLHESRHCHAMKRVRGPGGRFLNKKELQEQQQREKAPPSLHTPTGGVGKMAFGRNLCPENSTSHSPSTSSGISSVSNGGGMMAHQEHISFSSPNFLPSMNFRKENGSEKMAVNGVRHRTPS >KQK90446 pep chromosome:Setaria_italica_v2.0:IX:42731908:42735662:-1 gene:SETIT_036728mg transcript:KQK90446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLREMDGDPFHTMPNYDFLSGNGYSMKQLNCSNSDRDSSSTKSEQSRQDLSAVSDGSLNGQHTPTQSGLFSPSENNDSCGKRDQGMVKSVLSFGNPEADFSPPKFDYSQPFACASYTADPYYGGVLTGYSSNSIVRPQINGATNSRVPLPIEPAAEEPIFVNAKQYHAILRRRQMRAKLEAQNKLVKGRKPYLHESRHCHAMKRVRGPGGRFLNKKELQEQQQREKAPPSLHTPTGGVGKMAFGRNLCPENSTSHSPSTSSGISSVSNGGGMMAHQEHISFSSPNFLPSMNFRKENGSEKMAVNGVRHRTPS >KQK88259 pep chromosome:Setaria_italica_v2.0:IX:12672108:12678618:1 gene:SETIT_035260mg transcript:KQK88259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGDEHGGGSGGRLESILTDASSPWTRRAWAAGGIELRLLARLAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQMFAYGLMLGMGSAVETLCGQAYGAQKYEMLGIYLQRSAVLLCGTGIPLAVIYAFSEPILVLLGQSPEIARAASIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSAYISTGTLLLHVLLSWVVVYKAGLGLLGASLALSMSWWVIVAAQFAYIVMSPKCRHTWTGFSFQAFSGLWDFLKLSAASALMLCLETWYFQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAYFSVWVVTAVSTLITIILSIVILCLRNYVSYLFTEGEVVSNAVADLCPLLAITIILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYVVGVPLGALLGFVFKLGVKGIWGGMIGGTVMQTAILLWVTLRTDWSKEVEEAQKRLNKWEDKKTEPLLAGVSNGN >KQK88258 pep chromosome:Setaria_italica_v2.0:IX:12672108:12677475:1 gene:SETIT_035260mg transcript:KQK88258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGDEHGGGSGGRLESILTDASSPWTRRAWAAGGIELRLLARLAAPAVVMYMINYLMSMSTQIFSGHLGNLELAAASLGNTGIQMFAYGLMLGMGSAVETLCGQAYGAQKYEMLGIYLQRSAVLLCGTGIPLAVIYAFSEPILVLLGQSPEIARAASIFVYGLIPQIFAYAINFPIQKFMQAQSIVLPSAYISTGTLLLHVLLSWVVVYKAGLGLLGASLALSMSWWVIVAAQFAYIVMSPKCRHTWTGFSFQAFSGLWDFLKLSAASALMLCLETWYFQVLVLIAGLLPNPELALDALSVCMTISGWVFMISVGFNAAASVRVSNELGAGNPKSAYFSVWVVTAVSTLITIILSIVILCLRNYVSYLFTEGEVVSNAVADLCPLLAITIILNGIQPVLSGVAVGCGWQQFVAYVNIGCYYVVGVPLGALLGFVFKLGVKGIWGGMIGGTVMQTAILLWVTLRTDWSKEVAITEIYRRKIKFSFPFCIRCPLSTITNLSYLRSQDLN >KQK90144 pep chromosome:Setaria_italica_v2.0:IX:40156800:40158287:1 gene:SETIT_036376mg transcript:KQK90144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAAAQAGVVAACVVLFVPMGLAGWHLSRNKVLFFSGALFVSLAVGVHLSPYLPSVPHLLAASFFTPSPGAASASSSSSGSSCVPFLHRVSWSDADPANGLGGGTARTWSWPPSLASACGFARLSRDDASLLLNGSWVMVAGDSQARLLVLALLRLLLDPAAAAAAEPELFRRHSDYRAAVPARGISVDFVWAPFESNLTRLLREDLRLAPRVPDVLVLGSGLWHMLHVTDAASYGDALASVAGAAKSLRSPLPVPPPHMFWLGLPHLVNHMLNTDAKRAHMNGTMLRAYDYEVDRRGLVRGDGGPCLLLDGKLTQGCGQQCTADGMHYDGEVYDAVLHIMLNALVIESQQRI >KQK92876 pep chromosome:Setaria_italica_v2.0:IX:57628943:57631248:1 gene:SETIT_037195mg transcript:KQK92876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVPAASLPLPCRASSSSPAAAARRPRSPSLRKRHCVITPLAAACSPAPPPQLDNEEEGSGRRQVLLAGAAAAAAFISRPNPAAFAAEAKKGFLPVVDKKAGYSFLYPFGWEEVAVQGQDKVYKDVIEPLESVSINSIPTSKEDIRDLGPPDKVAEALIKKVLAPPTQKTKLIEAKENDVDGRAYYTFEFTAQAPNYTRHALGAIVIANGKFYTLTTGANERRWEKMKDRLHTVVDSFKIENRI >KQK91555 pep chromosome:Setaria_italica_v2.0:IX:50533522:50536574:-1 gene:SETIT_035471mg transcript:KQK91555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWLCTFLKGQKPGEPNRRRPRVTAGEEDTLWQPPVRPKNDPPRNDNEELDRAIAESLEEDVKPPKERNHKGDSNDEDLARAIQDSLNMNPYTPYNPYAPSQAQPRGHRVCGRCKHEIGHGHYLSCMGIYWHPQCFRCCSCGHPIRETEFTLLGTDPYHKLCYKELHHPKCDVCLQFIPTNRSGLIEYRAHPFWGQKYCPLHEQDRTPRCCSCEKMEPRNTKYMSLGDGRSLCMECLGSAVMDTGECQPLYHSIRDYYEGMNMKLDQQIPMLLVERQALNEAMEGESRGPHHMPETRGLCLSEEQTVSSILRRPRIGGNRLLDMRTQPQKLTRRCEVTAILVLYGLPRLLTGSILAHELMHGWLRLKGYRNLNAEVEEGICQVMSYLWLESEILPASSRHAPSSSYASSSSSSYPPTSSKKGGISHTEKKLGEFFMHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTGGFPV >KQK88412 pep chromosome:Setaria_italica_v2.0:IX:14046111:14047270:1 gene:SETIT_038273mg transcript:KQK88412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKQAEDEAKHLARENKARDKEAAKGDEYSIKRCISIINTMEVTKQEKAKAYAIFTKSKENRETFICASEEDEESTLIWLRNEMA >KQK87677 pep chromosome:Setaria_italica_v2.0:IX:8632167:8637898:-1 gene:SETIT_035080mg transcript:KQK87677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRSAKPGAFPTREELVAAGRADLAAAVASSGGWLSLGWSPAGAEGAAPRSSGGGHPDYPPETGVYHRDDLAPGSEDDSEWEEEEEDEADEEEVSPSGRQPEMEETKEVTLKAGIEGMLTRLQRDRERARPPPRPEGRSDNGALAGNSGAPSHTAAGGRHSPRTPENGSVHGSHPQNGTLDGNNTLQSSSNDTWQTWTLGKSDLSDFEAAEVLPIESRRLSRHDEQDIALAQNDFHRSSNGVAVSDYPSDGVDSERDEIHSRLQTLELDLSAALKTLRSRFDKVLSNMSNNNGATVLDDISDDWEFEETKVLQAQEELRSIRAKIAVLEGKMALEIIERNKIIEDKQRRLDEVEKALSELRTVCIMWANPASEVLLVGSFDGWTSQRKLEKSSERGMFSLNLRLYPGRYEIKFIVDGVWKNDPLRPTVYNNGHENNLLVVT >KQK89534 pep chromosome:Setaria_italica_v2.0:IX:32596130:32600610:1 gene:SETIT_036201mg transcript:KQK89534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEATMICIDNSEWMRNGDYRPSRFADQSDAVGIVCNLKMQANPESTVGVLAMAGTGPGVNVIVTPTDDVGKVLASMHGLEIGGEANLTVAIQVAQLALKNRQNKQQQQRIIVFIGSPVLDDKYALEAIGKRLKKNNIAIDVVDFGESGNEKPEKLEALVAAVSSGGNSHIIHVPPGEYLLSDAIISSPILAQGNESGFGSVASGASGFEFGLDPELALALQSIGQRQSSTSNDDTVMVEAESEPNLCTDDKRNLQKDEEDQLLQQANEMLIEYGNHRALHAADDELFDVDEVALVLQMSVQEEETGTQSDMSELFDNQPFAQYVTYALPGVDLNNLSNKDLQEWHELLIGTSEAKKQRKKQKKKQHKKQQKKREG >KQK88364 pep chromosome:Setaria_italica_v2.0:IX:13607207:13609049:-1 gene:SETIT_039719mg transcript:KQK88364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGAEPTVLGLGLRSGSGAWGAGGGGRAAAPAVNAAEDAPEVDLDRHPSGLVPTLQNIVSTVNLDCQLDLQHIANSARNAEYNPKRFAAVIMRIRDPKTTALVFASGKIVCTGAKSEEHSKLAARKYARIVQKLGFSARFKDFKIQNIVGSCDVKFPIRLEGLALASGIFANYEPEIFPGLIYRMADPKIVILVFVSGKVVLTGAKVRDEIYTAFENIYPMLVQFRKRQQYG >KQK90647 pep chromosome:Setaria_italica_v2.0:IX:44209648:44212654:-1 gene:SETIT_037186mg transcript:KQK90647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAMDLMRRMPPGSAETALNALLSLLPDHSLDLLSQVCMDKENLKEYILCEYNRDADSYRSPWSNKYDPPLEDGTVPSEEMRNLEIEANEVFSVYRDQYYEGGISSVYIWEDEDNGGFIACFLIKKDGQGTRGYMQIGSWDAIHVIQVGPEEEGAAHYCLNSTVMLSLTTDNKQSGTFNLSGSIRRQMSMTLAVADGHLVNMGKMIEEMEGKLRNSLDQVYFGKTREMVCTLRPPPEVLNMRLPDS >KQK90205 pep chromosome:Setaria_italica_v2.0:IX:40623563:40624631:-1 gene:SETIT_037265mg transcript:KQK90205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGELKLLGTWASPWACRVRIALHLKDLSYDYVEEDLENKSDLLLTSNPVHKKVPVLIHDGKPISESSVVVQYIDEAFESNGSSLLPSDPHERAIARFWTAYMDDKLVAAWVKAFKAKTEEENLEGTEQLLVVVETLEGALRECSKGKPFFGGDSVGYLDVMLGGLLSWLHGTEALCGVEFFNASKTPLLSAWAERFGALEAPKVFLPDVGKLVEFAEVRRAQQAAAEAAAAAAKS >KQK86575 pep chromosome:Setaria_italica_v2.0:IX:2734684:2735130:-1 gene:SETIT_038352mg transcript:KQK86575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAYVETIQLYESMMECSITSCSKENVNLVIFCPKKAGSYALSLDLFWPMLFFADTTRVLAYLLRATNASHHTI >KQK86979 pep chromosome:Setaria_italica_v2.0:IX:4855428:4860858:1 gene:SETIT_035743mg transcript:KQK86979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSMVRKRNSNKVRERKTAGPTSANNLPPDERFVFPLASARRNPSPSRLAADDGRRMIGALPWNRLPTGIAKPAAAAAVVVAALASSFLAVPRPRAASVAAGSVVMSKARVYTDINVQRPKEYWDYEALTVQWGEQDDYEVVRKVGRGKYSEVFEGINVNNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIIKLLDIVRDQHSKTPSLIFEYVNNTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDGLNAYLNKYHIELDPQLEALVGRHSRKPWSKFINADNQHLVSPEAIDFLDHLLRYDHQERFTAREAMAHPYFLQVRAAEHSRTRPQ >KQK88528 pep chromosome:Setaria_italica_v2.0:IX:14824362:14827974:1 gene:SETIT_035493mg transcript:KQK88528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAAVTSLGEPGHLPHAASRRRRLAAVLAPLLLFLAAEIAFSSSTRLSNQLLLLAPRADATRSSSSPSVSPPPPPPPPPTPPRLEEDEQPQPQPEPQRVAVCLVGGARRFELTGPSIARHVLGALPAGATDVFLHSPLDADAYKLSVLARAAPPGAALAAVRVFRPERIAVTPERARALTGMNSPRGIQGLLQYFHLVEGCLELIREREIRGNFTYAWVLRTRVDGFWSAPLDPDGAFHPAAYVVPEGSRFGGLNDRLGAGPRAASDAALARLSALPRLAAAGHRDLNSESAFRAQLRAAGVPARERRFPFCVLSDRTYSFPPWARSAVPVASLASPGPLSGAKCRPCRPACRSGCVARHVARLHSWWSWTEWRGGALELCDASGPWERGWEALFDEVAGAEAAAVRRSVARMGAEECVAEVEALRARAERWDAPSPAEICRIRYGVGVGSPPAGLPGNSSADGDSNTTVIEQ >KQK93010 pep chromosome:Setaria_italica_v2.0:IX:58297050:58298459:-1 gene:SETIT_037121mg transcript:KQK93010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGRRRFNVGRLATATDPGTLRDAAAELLATAVFVFAAEGATLSFGRDKSGGLVAVALAHALALAAAVACTLNISGGHVNPAITFGAFLGGRICLVRSLVYWAAQLIGAVTAALLLRLATGGVRLPEYALAGGVSGWHAVVLEAAMAFGLMYAYCATAMEPRRGRAAGAVAPLAVGLLAGANVLACGALDGAVMNPARAFGPAIVGSRRWSNHWVYWAGPMVGAGLSGFFYEHLVVTPADEEEPAAAPSRGSSRRA >KQK91847 pep chromosome:Setaria_italica_v2.0:IX:52252805:52254477:-1 gene:SETIT_039620mg transcript:KQK91847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPCTDPEREENLCFAVGTNLLASLEHTMDFDEPIIFPMNNVGMQEGVQLYNSAGDTQLSRNMNTGKCLKGAKRKGSGEDSSSLHSLDETGALLQRKVSMQCADEKATDAGTNREDYVHVRAKRGQATNSHSLAERFRREKINERMKLLQDLVPGCNKITGKAMMLDEIINYVQSLQRQVEFLSMKLSAISPEMNCDFDLQDILCSQDACAAFPGYSLQMSSVHLNLFRASQQGFLRPEPCGVSPNPANVHVARPVQQLLQLPTFPQRGVNWDEELRTVAPDAFASDVGADSMKVE >KQK91429 pep chromosome:Setaria_italica_v2.0:IX:49767246:49768835:-1 gene:SETIT_037067mg transcript:KQK91429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQNLICSVSKQLVAPNYAVTAKLNGAPPSVVSASSSGASSDQKNVTKRQLALLGAGVLATGLLKTSSAIAEEVPKNYKSYVDAKDGYSYLYPAEWRDFDFLGHDSAFKDRNLALQCVRVGFIPTEKTDIRDLGPMDEAIFNLVNNVYAAPNQIPSIYDMQERTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRMMPIIKLQNLGRLVCSRLNKRGWNSVLFLPGRYYTLIVTANERRWSRLRNRLKVVADSFKISDLTA >KQK91428 pep chromosome:Setaria_italica_v2.0:IX:49766972:49768964:-1 gene:SETIT_037067mg transcript:KQK91428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQNLICSVSKQLVAPNYAVTAKLNGAPPSVVSASSSGASSDQKNVTKRQLALLGAGVLATGLLKTSSAIAEEVPKNYKSYVDAKDGYSYLYPAEWRDFDFLGHDSAFKDRNLALQCVRVGFIPTEKTDIRDLGPMDEAIFNLVNNVYAAPNQIPSIYDMQERTVDGKNYWTFEYDLEAPGYGVSAFATVAIGNGRYYTLIVTANERRWSRLRNRLKVVADSFKISDLTA >KQK91430 pep chromosome:Setaria_italica_v2.0:IX:49766972:49768964:-1 gene:SETIT_037067mg transcript:KQK91430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLQNLICSVSKQLVAPNYAVTAKLNGAPPSVVSASSSGASSDQKNVTKRQLALLGAGVLATGLLKTSSAIAEEVPKNYKSYVDAKDGYSYLYPAEWRDFDFLGHDSAFKDRNLALQCVRVGFIPTEKTDIRDLGPMDEAIFNLVNNVYAAPNQIPSIYDMQEVCHLFIVHAISILTRRHGVANLF >KQK92385 pep chromosome:Setaria_italica_v2.0:IX:55263352:55266117:-1 gene:SETIT_039580mg transcript:KQK92385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRRVLVVASPELAAFGYDGVGSFASVQDAVDAVPLNNQVRTIIRIAPGLHQQPVHIPRTKSFITFRGSEIKDTVICWDNMATRIKHTQSSKVIGTGTFSSATVIVEGDDFIAENVIFKNSAPQVSGQAAAVCVTADRCAFYNCRFLGWQETLHLHGGNQFFKNCYIEGNYDFIFGDSSALLEHCHIHCKSTGYITAHGRKSSSESTGFVFFKCVITGNGEAAYMYLGRPWEPFGRVVFAETFMDHCIEPAGWHNGDKPENERTACFCEYRCSGPGSSMSERVAWCKELIGDEAIPFLVKTFIDPDVQNPWLLHRLGTKLPVSTASP >KQK86739 pep chromosome:Setaria_italica_v2.0:IX:3504534:3505082:-1 gene:SETIT_038801mg transcript:KQK86739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQKRKSVDVDEIDAAAAAATCANGCGFFGNPSTAGMCSKCYREHAATAPTDEKKMAHQAVYNTSGGVFAPAPRGAPPEKKARIMCAAVAPSPDGGVADGAAAAAEPATAAGPAKPAAANRCTACRKKVGLLGFRCCCGDTFCGAHRYAEKHGCSFDYKRAGRERIAKNNPVVVADKIARI >KQK89904 pep chromosome:Setaria_italica_v2.0:IX:36963819:36971776:-1 gene:SETIT_034193mg transcript:KQK89904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFARLNYRDRASMAAACRAWRALGSSPCLWSELDLRAHRCDREMASSLAERCGSLRRLRLRGHEAVEAVPGLRARGLREVVADGCRGLTDAALAVLAARHEDLERLQIGPDPLERISCDALRQVALCCSKLRRLRLSGLREADAGAVGALARYCPLLEDVAFIDCGAVDEAALADIHSLRFLSVAGCRSLKWATASTSWTQLPSLIAVDVSRTDVPTNAISRLISNSKTLKLICTLNCISVEEELVQDPSVFSNSKGKLVLTVKSHIFKSIATIFPGVDVKEHEVFNQCNWRYNDNIAGDTMTWLEWILSQSLLRIAETNPQGMDEFWLLQGTALLLRLLKSSQEDVQERAATALATFVVTDDESANVDPARSEAVMQNGGIRMLLDLARCSRESAQSEAAKAIANLSVNTKVAKAVADEGGITILTSLAKSMNRLVAEEAAGGLWNLSVGEDHKAAIALSGGIKALVDLIYRWSAGTDGVLERAAGALANLAADDKCSLEVAKAGGVHALVTLARSCKHDGVLEQAARALANLAAHGDNNDNNAAVGQEAGALEALVQLTGSENEGVRQEAAGALWNLSFDDRNREAIAAVGGVEALVSLVQQCLNGSEGLQERAAGALWGLSVSEANSIAIGQGGGVAPLLTLARSEVEDVHETAAGALWNLAFYSGNALRIVEEGGVPVLVSICSSSGSKMARFMSALALAYMFDGRMDEVALVGTSSDSSSKSVNVEGARRIAFKHIETFVLTFSDPQMFSVAATSSAPAALSQVAELVFIHEAGHLRCSGAEIGRFVAMLRNPSLILRACAAFALLQFTIPGGRHAVHHAGLLQKAGAGRVLRAAAAATSASIEAKIFARIVLRNLEHHQSGTST >KQK89903 pep chromosome:Setaria_italica_v2.0:IX:36963363:36971879:-1 gene:SETIT_034193mg transcript:KQK89903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLFARLNYRDRASMAAACRAWRALGSSPCLWSELDLRAHRCDREMASSLAERCGSLRRLRLRGHEAVEAVPGLRARGLREVVADGCRGLTDAALAVLAARHEDLERLQIGPDPLERISCDALRQVALCCSKLRRLRLSGLREADAGAVGALARYCPLLEDVAFIDCGAVDEAALADIHSLRFLSVAGCRSLKWATASTSWTQLPSLIAVDVSRTDVPTNAISRLISNSKTLKLICTLNCISVEEELVQDPSVFSNSKGKLVLTVKSHIFKSIATIFPGVDVKEHEVFNQCNWRYNDNIAGDTMTWLEWILSQSLLRIAETNPQGMDEFWLLQGTALLLRLLKSSQEDVQERAATALATFVVTDDESANVDPARSEAVMQNGGIRMLLDLARCSRESAQSEAAKAIANLSVNTKVAKAVADEGGITILTSLAKSMNRLVAEEAAGGLWNLSVGEDHKAAIALSGGIKALVDLIYRWSAGTDGVLERAAGALANLAADDKCSLEVAKAGGVHALVTLARSCKHDGVLEQAARALANLAAHGDNNDNNAAVGQEAGALEALVQLTGSENEGVRQEAAGALWNLSFDDRNREAIAAVGGVEALVSLVQQCLNGSEGLQERAAGALWGLSVSEANSIAIGQGGGVAPLLTLARSEDVHETAAGALWNLAFYSGNALRIVEEGGVPVLVSICSSSGSKMARFMSALALAYMFDGRMDEVALVGTSSDSSSKSVNVEGARRIAFKHIETFVLTFSDPQMFSVAATSSAPAALSQVAELVFIHEAGHLRCSGAEIGRFVAMLRNPSLILRACAAFALLQFTIPGGRHAVHHAGLLQKAGAGRVLRAAAAATSASIEAKIFARIVLRNLEHHQSGTST >KQK89326 pep chromosome:Setaria_italica_v2.0:IX:24215503:24219035:1 gene:SETIT_036391mg transcript:KQK89326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLLLFLVSGSLLLPSLGLAVSGQEARQLVHLNGPSQTGVHVSVARKDLPMVASSVLGAESWLRTHVLAQYPSEHITAIVVGRGVTCNHGQELLRLRLLHAVKNLHHSLVRWGLVDDIKVTSASPVCARDRAVLQRRLYGRHHLPATFRPPQPPVASTYMPPPPGVALSFAPNYPPEVVPSVPPTAAVPPHSPAVPASPPSMVSASPPLTMPSTPPTSIPASPPEVTGGMAPSATPPPCLAPPTAAMSPPPWSGEGGNSGGLWCVAKPTVPEDKLQEAMDYACSQDGVDCQEIAAGGSCFYPDNIASHASYAFNSYWQKMKQIGGSCNFGGTALLINSDPSYLQCRFMLS >KQK91619 pep chromosome:Setaria_italica_v2.0:IX:50878687:50879496:1 gene:SETIT_039947mg transcript:KQK91619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFHRKTSKQTSRVKKLLKLALSRLGVAQRPRLARKSISRGDVSQLLALGHLHRALLRAEQVIEEDSMLQAFDIIELYCKRLIDHAAKLDKPQECSEDIREAAAGIMFAARWCGDLPELLLARTTLEEKFGSDFAVIAKEGAGIVDPMLVWKLSGDKTNMELKKKVTKNIAAENDIL >KQK91962 pep chromosome:Setaria_italica_v2.0:IX:52940011:52943987:1 gene:SETIT_035467mg transcript:KQK91962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRTRRHFVIFTTASLPWMTGTAINPLFRAAYLAKDGDKDVTLVIPWLCVRDQELVYPNKIVFDSPLEHESYVRHWIEERIDFRPSFSIKFYPGKFSTEMRSILPVGDITECIPDEVADIAVLEEPEHLNWYHHGRRWKNKFRRVIGIVHTNYLAYVRREKNGQVIACFLKYANTWVTRIYCHKIIRLSGATQDLPRSVICNVHGVSPKFLEVGKLKLRQLQSGEKAFTKGAYYIGKMVWSKGYRELLDLLSKYQTKLAGLEVDLYGSGEDSDEVRESANRLSLTVNVHPGRDHADPLFHEYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRIYNNEEEFVQLTLNALSEQPAQLTDTQRYELSWEAATERFIEAADINPRAPESRTHPTSRASLPAFLRTRRLKQNLEDASVYLHQALSGLEVTRCAFGAVPKTLQPDEQLCKDLGLAPPVKRKRLKFKLTT >KQK91963 pep chromosome:Setaria_italica_v2.0:IX:52940011:52943987:1 gene:SETIT_035467mg transcript:KQK91963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRTRRHFVIFTTASLPWMTGTAINPLFRAAYLAKDGDKDVTLVIPWLCVRDQELVYPNKIVFDSPLEHESYVRHWIEERIDFRPSFSIKFYPGKFSTEMRSILPVGDITECIPDEVADIAVLEEPEHLNWYHHGRRWKNKFRRVIGIVHTNYLAYVRREKNGQVIACFLKYANTWVTRIYCHKIIRLSGATQDLPRSVICNVHGVSPKFLEVGKLKLRQLQSGEKAFTKGAYYIGKMVWSKGYRELLDLLSKYQTKLAGLEVDLYGSGEDSDEVRESANRLSLTVNVHPGRDHADPLFHEYKVFINPSTTDVVCTTTAEALAMGKIVICANHPSNEFFKQFPNCRIYNNEEEFVQLTLNALSEQPAQLTDTQRYELSWEAATERFIEAADINPRAPESRTHPTSRASLPAFLRTRRLKQNLEDASVYLHQALSGLEVTRCAFGAVPKTLQPDEQLCKDLGLAPPVKRKRLKFKLTT >KQK86533 pep chromosome:Setaria_italica_v2.0:IX:2480805:2482564:-1 gene:SETIT_039483mg transcript:KQK86533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLVLVFLAPLLALLFVSGALQAAAASTNGTASTDVFSLDRYGARGDGRHDDTRALARAWRAACASRRPAVVLVPRGRRYLLKVITLHGPCRSSVAVAVKGTLVASPNRDDWSEKERRHWIVFRRVDRLTVDGGGAVDGNGETWWKHSCKINPDLPCKGAPTALSFHLCKNLRVEDLKIVNSQQIHVSVEDCTNVQLARLSITAPHSSPNTDGIHITRSKDVKVTNCKIRTGKYHQHVHRDDCVSIEDGTHNLHVSKVVCGPGHGISIGSLGDHNSWAQVSGITIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMHAVDNPIIIDQNYCDKATPCEEQRSAVEVSNVVFKNIRGTTMTKDAIKLNCSMSVPCHGITLQNIDLRMHSGMGNTVSTCQNAKWRKYGTVRPQPCTAKTYEILELSSI >KQK86207 pep chromosome:Setaria_italica_v2.0:IX:763434:763871:-1 gene:SETIT_039040mg transcript:KQK86207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNMTLAAVALLVAALALVAMVQAIFYFTAEDLESDEDLWELYGRWAAHHEVVREPGRFPTFKANAHMLHSEQRDVGELMALNVFGDKSFDEVMATTSCLKRTGELLPELEQLPVIDLDLVAATRALPSKVDWRYANAVTDVKK >KQK90723 pep chromosome:Setaria_italica_v2.0:IX:45331472:45332822:1 gene:SETIT_038689mg transcript:KQK90723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAHILVLPLPGQGHVTPLMELSHLLVDHGFEVTFVNTEAYHALVVGALQAAGGTSALAGIHLASISDGMEDDEDRRDISKLIGAYTRHMPGHLERLIAEMEADGRPKVKWLVSDVLGIRVASFWPVSVACLAINLKIPKLTEEGLLNNKGWPERDETFQLAPGIPPLHTLQLPWNNIGTAEVQPISFELFSQTDRLSAVAEMVVCNSFHEAKTGAFMLLPPSVLPIGPLSSNRKSFGQFLPEDTRCLRWLDAQPDGSIVYVAFGSTTVLEPCQFQELALGLELAGWPFLWVVRPDLTTTGELSKAWYDEFQARGGQGHSGQLVLAHHVVACFVSHCGWNSTMEGVWNGLSFLCWPYFFDQYIDPNYVTDVWRTGLAVSPDTGGVVMKEELRSWSRSSATPRSGRGYVARRCISQGRSSCDNLNKFVNLLSE >KQK92532 pep chromosome:Setaria_italica_v2.0:IX:55985562:55988255:1 gene:SETIT_037252mg transcript:KQK92532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAALSSASSSLPRSLASRRPLSSPLHARSRPLRRGRSGSRSLHRLRARAGKDDPEDLYGPYPWDQSLDLTTGFDIQWVPEDRVTLFTSDGLVQIGGSLVPRRVSSSEKRQRKLKSTPKLRRFQESSYMDPNQSLCLGALFNIAATNGLDMGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKASNDGLQEKLTMSVAVPLLWGVPPASETLHVAVRSGGGIVDKIYWQWDLF >KQK88340 pep chromosome:Setaria_italica_v2.0:IX:13371206:13372317:-1 gene:SETIT_039165mg transcript:KQK88340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKLLTVKEFSEASLMWTMRSVWNTARLWIFRGCALMLEEFDGSTAIPSVLPHVVPAWVQIHKVPHLYRTESILKQLASKIGDFHRARVNIEVSRLLLRFVMLTPEGRDIILIQVKYEKIPRFCLHCGLMGHVHLECGTGRGVQELPGFIGTQVWSTSDPKKSVELAQRGEEAEGGVARAHIHGEESGWRRRWDRMMVSGSRKRALEEAGLDKGTDAELSDTATGPIKPMEEKHGRAREVLAKKQLSMTAELSNKVETGVPPPPPKYISPREKKKQKKNSHRVRRRFRTHLWRTLRRRTAGSNELP >KQK89659 pep chromosome:Setaria_italica_v2.0:IX:34595713:34596615:1 gene:SETIT_038889mg transcript:KQK89659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSWMSKPRCDPAYKDGVNQFLSFAFCDLPHDSKIPCPCNNCENRVTQNRDEVETHLKYDGILQGYTIWNHHGEEYDPPSFAFAHVPNNDASMPTLGVSRTATVENRHGILDDMQGMLQAIFLAPASYESLPSMSEAKLDDIQSSFTDMEHNVAEDRNMEHNMTEDVSPAEDNTMRIEHDMYTRFFKDAHTRLYPGCETFTKLSFLVHLYHQKCLHGWTQESFTSLLGLLSKALPPEANLPKTYYEAKTIIRAFGLDYVKIHACPKDRMLFQGNRAKQETYHVCKSSRWKDMKKNGSAA >KQK89802 pep chromosome:Setaria_italica_v2.0:IX:36045663:36047386:1 gene:SETIT_039955mg transcript:KQK89802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYRKAMNVQAEHADAINKLIEFFKDCEAQNPQFRWECKLDSEDQDQAMGVAIAKKFSGVVHKICRWHVVNKHMPQLTNLFGMYAKINFKDKFYSMLNHPLTSMEFEATWPELLDEFDLHKDSTLDSLYRQRELYVPAYFKDQYCGRMASTQRSESSNFVMKSCFVDKHTTLHRFAKKTLDFVHSRKMKESEETYHGTSKRLTRSMWPFEIHVSRIYTRNVFNDFEKKIIDCTVFNIEDDPIEGENCYFVTHTNRSSKISWGQHQFKVNANKENGDFHCECKEWQHTEHCILRRYTKYAQQELGFDRNVKLLVGADGIMQLYRIKDLTTLAMAAVRSAHLRSREVLANLDKENKEIPPDIGLRSRSGCQEAPGE >KQK87127 pep chromosome:Setaria_italica_v2.0:IX:5663136:5666458:-1 gene:SETIT_036936mg transcript:KQK87127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFFSTPFQPYVYQSQQGSVTAFQISGGDVQVLQVMLKSQEKLTAKPGTMCYMSGNMQMDNNYLPENDGGVWQWIFGKSVSSTVFFNTGSDDGYVGIAAPFPGRILPVDLANFGGELLCHADAFLCSVNDVSVTSTVEPRPRNIEIGAEMILKQKLRGQGMAFLVGGGSVMQKILAPQEVITVDAACIVAMTTTINFQLKSPNQLRRAVFGGENQLTASLTGPGVVFIQSLPFHRLSQRIASRSVVGPSLRDNPKFFIQIVMFFFLAYVMIVSSIILTDV >KQK90675 pep chromosome:Setaria_italica_v2.0:IX:44514325:44516853:-1 gene:SETIT_0368901mg transcript:KQK90675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVKGGGRIPAPPPGASALLKVAVFGGAAVYAAMNSLYNVEGGHRAIVFNRIQGIKDKVYPEGTHFMIPWFERPIIYDVRARPNLVESTSGSRDLQM >KQK86380 pep chromosome:Setaria_italica_v2.0:IX:1543775:1544140:-1 gene:SETIT_039067mg transcript:KQK86380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGFGSTVAAVAPASSSAAAGRRRPRRSVLAVPAATRGSPAPAKEEKSLVDFIFSSIFKKDQLVETDPLLNKVDGAPAAASRAKPRGGTTSGGKKPASSSDDGGSGGGFNLGGLFAKKD >KQK87696 pep chromosome:Setaria_italica_v2.0:IX:8743432:8746227:-1 gene:SETIT_040052mg transcript:KQK87696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPPGSLPDDVLADALARLAPRSLAVSRCVCRDWRAVPEAAPEFFARPSMTRKIAGKLESYVRMEKHYNTPDIEDCCNGHLLLLEEHVVVNPATRQWARLPPCPSTTSPEGADEGSGGFYDVYLVFDPTSSPHYKVLSMKNPLDYKGKVSKGSGWPPSVYMMRVYSPETRRWEERPFVREGGPLETTANVRASMGHATYWHEALYVHCTADFIMSGVYLALLDDQHQLQVWFFDEFGGKIEWVLKHIIHPRAMIAHFIKNRAQTDRPWIMSRDDNYDQEDNEGPIVEKILDWDSDDDNAVEIQDPDANCFYGYNQIFGFHLYKEIVFLRLSSSRVVAYHFNSKKIQELGKLPMKNHHHEIDTTFIYTPCWTGELSEYC >KQK88568 pep chromosome:Setaria_italica_v2.0:IX:15140319:15140869:-1 gene:SETIT_039463mg transcript:KQK88568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEMIGKLKDLGNSVLGHFGMSVDNFKAVKDPNTGSYSVQFQK >KQK92740 pep chromosome:Setaria_italica_v2.0:IX:57014183:57014617:1 gene:SETIT_038367mg transcript:KQK92740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHSLENSVFIHSPSFSPSSFKKNRRHSLISPGKFNHTNIMRRWACGVAIPQHQQNRNALYMFSSIRRKHETD >KQK86475 pep chromosome:Setaria_italica_v2.0:IX:2096180:2096677:-1 gene:SETIT_040505mg transcript:KQK86475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFCCVVRSLDSPHLPNYRSCLADGNGGVPSSDESLEMFQSCTSTILMHTLYHNPSCLE >KQK86594 pep chromosome:Setaria_italica_v2.0:IX:2822481:2823667:1 gene:SETIT_036989mg transcript:KQK86594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPVPHGVHALRLGSRASASQNVTVRPGALCALTFAATRTCVQDENLRIAVAPSLSAPADIWVWGFRAYSPVAQEDPLCGPLLDAVAIKELPTPYPTKDNLIKNAGFEIGPQVFKNSSVGVLLPLKQKDTTSPLPGWIIESLKAVRFIDAAHFSVPEGQYAVELVAGRESAIAQVIRTVPNRAYNLSFAVGDAKNGCHGSMLVEAFAGNVTQKVPFESAGKGGFKAAGFRFVASSVRTRLTFYSSYYHTKASDGVSLCGPVLDQVKVVPLPIKA >KQK89775 pep chromosome:Setaria_italica_v2.0:IX:35814363:35816103:1 gene:SETIT_039726mg transcript:KQK89775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HPSAALDASLARRLRKSRRARFACQRCGFCGGGGGAKPGDKAQLRRARTRGERPPANTRTPRRLHQHLADLRVEFVAAKRRRRCRLTTAGARVRRCRGLSEDFGVRALEGGGALYSPMGIRPRRACSQGKRSGSCGGAGVRRGYGRGGNIPACAAPPPPHPASAIYTRSPDPPLPPRHPSPATHWPTAHMSPTSPRQSGAGAAGGSRHAAFKVHRDSHSIHKATASPPSSSSTNSSVSSSSNAAITSTSHRPPPAPAPRPQQQQPVIIYTHSPKVIRTNPRDFMSIVQKLTGLDSPGPARGAPPAARVAAGSAAAAATAAAAQDESSSSSSESCANAHGAGPPPPYADSQLMPPPPAPPDIPLFAPDASGLQQLCAPRGLYGQFPPVDAAALGPVMSANVNGAGGINGGAVFSPSM >KQK89678 pep chromosome:Setaria_italica_v2.0:IX:34943054:34948961:-1 gene:SETIT_035927mg transcript:KQK89678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPSPPSPSPSGEIAVHRFSGAMDASSSPSRTELLSMVKKHSHLIGWTIVDAEDDASDVGMDDKFWHEMLDLFFVRGGKSKRSEEDDLVFFVNNMKLHGYGFNDNMEDPPPFFVRRWAPTLEKVINVNTLEVDWERSFYLNLIAHTSYTVTVAIFSIGDLRNRAAKSKQLSPVYKVTKTVYASPSRVNFHLDRRKAVETVPAYPNIYFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPEETESKNPGSNVQPELSSGSAQEKPPKRTLFSGYVSYQNVREAYDAGRSKFGSLLSLGHDHTKLDRLYMRGPEGRGEVEVAVSGIADQSREKSKKDPGDSFRVLVHRAASAASKLAKHAYESASANKRMDDELVPLKCCLMSVSLPWDYIAHDLLHKDTPPLDF >KQK89677 pep chromosome:Setaria_italica_v2.0:IX:34942632:34949105:-1 gene:SETIT_035927mg transcript:KQK89677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPSPPSPSPSGEIAVHRFSGAMDASSSPSRTELLSMVKKHSHLIGWTIVDAEDDASDVGMDDKFWHEMLDLFFVRGGKSKRSEEDDLVFFVNNMKLHGYGFNDNMEDPPPFFVRRWAPTLEKVINVNTLEVDWERSFYLNLIAHTSYTVTVAIFSIGDLRNRAAKSKQLSPVYKVTKTVYASPSRVNFHLDRRKAVETVPAYPNIYFSVDDFDDTFDAVVLSDPEHCYCVILNAHDGAAFPEETESKNPGSNVQPELSSGSAQEKPPKRTLFSGYVSYQNVREAYDDFI >KQK92511 pep chromosome:Setaria_italica_v2.0:IX:55886977:55891944:-1 gene:SETIT_035490mg transcript:KQK92511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSADAHAAVAGAGTPPSDSPVGAKKAGAAAAAWKRPGAATVPAAVAVENPIMDVESWPALPGLASPPPPAAGLAAKASPKAASPASTVAVISPVSLGNSGAPDANPGNEAPVRNPVAQRALVMPAADGLEKNAPAPEPSPVYVPNAQSNGGDFHHQSGRFGSHAHGRGGGYGGGNRRGNGNGGGGGRRGNEHHGGFDGPRRGGGRRDGHGPVHQHRGHQPTYVRAPPALAVVAGAPPPPPPFVSPTTQTPPYGAPMGFPDIVPHVYYFPVSPSTEGIQGLPFVPHPASPQAMLVDPLRKELLVQIDYYFSDDNLCKDTFLRQHMDDQGWVPLSLIAGFRKVKDLTNNIQFILETVMLSTVVEVQGDKLRKRGTWGNWLLPKPNHYAGSSSGLLSPVTSSIDSLVSQFHSVGLEGATYHGNMQGMPGEALLTRSVTSVSLGGYHAPTLGGLHNNGSGQLFGPKAARNLLRSDTF >KQK90251 pep chromosome:Setaria_italica_v2.0:IX:41024539:41028382:1 gene:SETIT_036056mg transcript:KQK90251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDVKPAAAATTGAKAVAGDSASPAAAPAQTPAAAPAPTPAATNGNGTPQKLPPVPAAAFDMPKPNLRGLNKPKCIQCGNVARSRCPFQCCKACCYKAQNPCHIHVLKQTNTLPDKPSPTTAPVTEQASTNLPATGSSSRLACLQKLPHHFLNSLRTKKSLGKKDVASINKWMFMKLKEHMQGDVDAENEAYERYTQNVGLLEETFCPMEDAAAEPEPEATSSEEERMDLLVSEAKVRLKSDNENADSFKERIATILAQKLKKLHESQSTYEDDKPADQSQDDHTTPVKLSTKQKMEKAAKFNELLGKMMRARSEDDLKPCRDLIEQLFGKEDGSSMDKSNRMETEPSNQESAAATAQPYSFPKLCTRIEVDEDFAAKVDAEFSSLSEVVQL >KQK90348 pep chromosome:Setaria_italica_v2.0:IX:41913499:41921104:1 gene:SETIT_034530mg transcript:KQK90348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPGIDRFVSINASPSAVADRSRVWRAPDGHLWTTERCGARPRCDPRRQASQTLLPRSPRGREGTPNSPGWSSRAGAAGLGDGQRPNTRKIDRGKKVTKWMLEICNVVLACYMETTGNFQETFTDKAASRACIELVVSEAGGRAKTTGHRRTNAARSPTTTSLPLPPNSKALNQSPLCSAQPPLPPIRIPLRLPRLPPMASPGAAFSAALAAEDFPWVESQEEMGMAPDKYREVFDLAQRGTQVFRDGHFDEAISFYSKALNLRPGDQIILSNRSLAFCRISQLLRERSAADSEYQPLNGLDPTTHAELALKDAEKIISINSNSPRPYLLKAYALILLEHYHEAREALLAGLQVDPLSHVLQTCLSDLDRNTNIAAGARRARLDRTDDFECTLCFKLLYEPVTTPCGHSFCRSCLHQSMDHGNKCPMCRTVLFIGPRTYPISVTLSNIIQRNFPEEYAERRSEHETMTYAGVDLMPLFVMDVVLPCQKMALNIFEPRYRLMVRRIMEGNHRMGMVAIDSATGTVADCGCEVEILECEPLPDGRFYLEVEGSRRFRILRSWDQDGYRVAEVEWLQDMPLPEGSQERRELIELANGASELARAYIRRARETVRTARRTRHLDLESMPGPQDPEKFSFWLVNLISLRPSDRLDLLRLRDTRERISSSIRLLSDAAEQGCHVQ >KQK86915 pep chromosome:Setaria_italica_v2.0:IX:4478914:4479459:1 gene:SETIT_037705mg transcript:KQK86915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLSSKRSFRLPFMCGQSDVASPRGAAVTRPSSSSFGRGSGSSSSSSSSSRHCELQRIFQHFDRDNDGKISGAELRAFFVSMGDDMPASCGDGGGYMLDFAGFVALMEREGGQEEDLRRAFEVFNAVESAGRITARGLQRVLAQLGDKRSVADCEAMIRAYDVDGDGGLDFHEFQRMMS >KQK91608 pep chromosome:Setaria_italica_v2.0:IX:50799220:50802910:1 gene:SETIT_034671mg transcript:KQK91608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRIPSVSLRGGADADAAAAAAPPPDPAALARWARAFCVIRFDLERGQLVEACFPPDALAAAGGGLDRLVAFSSFPDSMSHHLPRHRSSVHDSLFSFRIPDPSSPRRAFLYGFVFNRQRQDERLPRGGEQKSVVILSHAPYSSLFRLLLQILGPLCFDVGPSALAMVASYVAAWPAPAPGRPMELPIGSAALRVHLPPAADDPGPPPALLPANPSVPYGLFHDADLFAAFRGLLLHLWTLWELMVVGEPVLVVAPSPAQCSEAVAGLVSLVAPLLYSVDFRPYFTIHDPDFARLNALAEGEVFPPMVLGVTNLFFLKSLKSIPNVVAVGSPNPNSTRVLPVGGQLPGNGTNGTPGKLKLDKLSINKFSPTSLLNSIKLRREGPLSLMTEHKEALWSTYGPTTKPDTSVLNRLIDAGVSPRIEESMSVVNNEILRRHFLELTTNFLAPFGPYLRTTTPSEGSSPFVDPPLLPPFHADEFVNGLAARGPGKFLSKRMRSNWLDLYRRFLEGPNFMPWFRQRRAAAEQEQQRLWRQARMNVDTEKLMSKMSELERIDSFNAVERYLLREMENSGRGSADSAAACQKLKGDLQAAFSVLPKDMQQLLLSNPKRAVLLQGSQEKAPGPNGIVTQTSL >KQK90356 pep chromosome:Setaria_italica_v2.0:IX:42004638:42007046:1 gene:SETIT_034307mg transcript:KQK90356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIRVLSRNVAARTDKIAALKLSRRLSGFIAGANSGYGRPCDVDKFAVLFQNCADVRSLKKLHARVLTLGLGRDVILGPEILICYASLGVLSKTGLCFEGFLNDDLAQWNSAMVDIFRAGYPEEAILLYRGLKLRQIGLDEKTVTFGLKSCTELRNLLLGKGMHADSLKLGLSRDNFVGSSLVRLYSKLARMDDSEKAFEEILDKDTVSYTSMITGYSENMDSTSWNAFEIASDMLWRNLEVSRVTLVSLLQVAGNLGAIREGKSVHCYSIRRGIGVSDEVLETSLVHMYSRCGAYQLASAVLKNSMQSVASWNALLAGLARTGQSGSAIHHFSVTLHEHKVIPDSVTYANVISACAELRNSGCAASVHAYLIRRSIPLDVVLATALIKVYFKCTRIMRSRRLFDQMMAKDVVSYNAMIYGYLQSGMANEAISLLKEMMAECVAPNSVTVRCLLVAIADDKNFVRGRWIHGFAIRHGFCSDVDIANQLIRMYSSCGKIAAARIVFASLEKKNLVSWTAMMMGCLSCGHGGETVQLCQLMQQYGEKPDSVTVMTAAQAASELGHLKGVKQIHCFVYRALLEKDTKTINSLITAYGKCGRLDLSVDLFLSLEHRNLDSWNSMISAYGMHGFYLKVLEMFKLMEEGNINPDGLTFSSVLSACSHAGLVKEGLRIFQSMTSMYSVLPQEEHYGCIVDLLSRAGHLEEGYKLIKLSTLNDRSSVLCALLSACRNHGNTMLGQIISNELLELEQQNPGTYALISEVFAQKGQWNKSANIRNRAKESGLRKLPGSSLIESVEQANNLR >KQK92290 pep chromosome:Setaria_italica_v2.0:IX:54750015:54754438:-1 gene:SETIT_034938mg transcript:KQK92290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGILLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPSGVMEALDHQRKTSKSADLSESEKENLQRKVVIKEQKSVVASRYMLGVSSNNGKITNLNSSIDSDKSNGGSSVCESNQKSVAPKVRQEAKPKERPNNTSPSNAKLVSTKQEINKDAHKNSGTSPSSNGSAVVKKQMPKESKKESATERRSPPKLYRSSPTPVRTSPTNLSSPAKQNGNSGPVPSVSSVKRRVTEAISWDSLPTSLIKSGKAVVRRKNIALIVAAEAQREAAAAAYLVKGLGIFAEIRESSEVDPHAAITKFFQLHRLIVQQSAVWKAYSPEPGKESRSEKEKPLRKVSASQNKAALCNTTKNSEDARTSEKMEWAQDDGFKEICRSWVALKKESQSWFLSFLEDALESGFKFEDQTKNTRERLRGQSKGGDGRIAVRLSQLKETSNWLDQLQDEAVNPENGLVETIEQLKQKVYKCLLGTVETAASALEGR >KQK92291 pep chromosome:Setaria_italica_v2.0:IX:54750015:54754097:-1 gene:SETIT_034938mg transcript:KQK92291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTPGILLKVLKNINSDVKVCGEYRSILLQVISIVPAITGSELWPDHGFFIKVSDSSHSTYVSLSKEDNELILSNKLQLGQFIYVEKVQSSIPVPVLVGVRPVPGRNPCIGNPKDLMQMSTPSGVMEALDHQRKTSKSADLSESEKENLQRKVVIKEQKSVVASRYMLGVSSNNGKITNLNSSIDSDKSNGGSSVCESNQKSVAPKVRQEAKPKERPNNTSPSNAKLVSTKQEINKDAHKNSGTSPSSNGSAVVKKQMPKESKKESATERRSPPKLYRSSPTPVRTSPTNLSSPAKQNGNSGPVPSVSSVKRRVTEAISWDSLPTSLIKSGKAVVRRKNIALIVAAEAQREAAAAAYLVKGLGIFAEIRESSEVDPHAAITKFFQLHRLIVQQSAVWKAYSPEPGKESRSEKEKPLRKVSASQNKAALCNTTKNSEDARTSEKMEWAQDDGFKEICRSWVALKKESQSWFLSFLEDALESGFKFEDQTKNTRERLRGQSKGGDGRIAVRLSQLKETSNWLDQLQDEAVNPENGLVETIEQLKQKVYKCLLGTVETAASALEGR >KQK86910 pep chromosome:Setaria_italica_v2.0:IX:4451048:4452955:-1 gene:SETIT_036252mg transcript:KQK86910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVAFSRRRRRWILIAAAGAAAAVGAYKIYHHPAVAARRRRLVRLAAAVAAFADAAASSADAAALVASDLADFVRSDADEVPRSVRQLAKLAASPEVSATVSSLSEAVASGVLRGAGSSGAAPGSAGAVALSDRLVDKLFSESGERLASAVAGSFARHLVLAFYSAPSAPGESSSPTMWVNVVATGKCRKAISNWVEVFVGTAVREFIDKTIHINTYEQLFEGLTNPKHDAKVKELLVSVCNGAVETLVKTTHHVLYKTDDKLDGSGNGNGNAEGGEGWVETVSSTLAVPSNRKFVLDVTGRVTFETVRSFLEFVLWKLQDGARKGGDTVVDNGLRVVRYMSDKSMVIATICITLCLHVLNGTRLLVTA >KQK91912 pep chromosome:Setaria_italica_v2.0:IX:52677225:52677628:-1 gene:SETIT_035561mg transcript:KQK91912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVPAATQITTLLRSQNIRHVRLYDADPAMLAALANTGIRVIVSVPNEQLLAIGNSNATAANWVARNVAAHFPSVNITAIAVGSEVLSAQPNAAPLLMPAMRYLQNALVAAALDRYIKISTPHSSSIILDSFP >KQK91209 pep chromosome:Setaria_italica_v2.0:IX:48482628:48483941:1 gene:SETIT_038629mg transcript:KQK91209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEADRRARQQRPLPVPIIPVVLFLAAPFALFLFTSSDLQLQLPRIRIEYDRRDAPAISAPTAERTPPPYPVPGADSDEERWLGPPPRQLTDPPYSLGAAVSDYDARRAKWLRANPRFPAFVAPGRPRVLVVTGSPPRRCGNADGDHLLLRAFKNKVDYCRVHGFDVFYSNAVLDAELSGFWTKLPILRALMLAHPETEFLWWADSDVVFTDMLFEPPWGKYAEHNLVIPGWHEKVYVAKSWLGINAGSFIIRNCRWSLDLLDAWARMGPRGPVRDRYGKVLGKALSDRASYEADDQSALVYLLVTQRGRWGGKTFLESSYSLHGFWVEIVDRYEEMRRRHRTTPVAGGERWPLVTHFVGCKPCGGQYASYDAARCRHGMERALNFADDQILRLYGFEHESLNTTAVRRVQNDTGGPLDEEIGRLLHPAFRAGRPR >KQK88261 pep chromosome:Setaria_italica_v2.0:IX:12689688:12691868:-1 gene:SETIT_039570mg transcript:KQK88261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKSVILYPSLGVGHLNPMVELAKLFLRRGLAVVITVVDSPDKDSVLADAIARLAAANPDIAFHLLPVPSCGREDYPHAVMRIMDVMRVANPALREFLMGALPDVEALVLDMFCADALDVAAELGIPSYFFFASALGYLAIMLRLPEYYPAAPSPIKDMPETVLHFPGVPPIRALDMAATLQDRDSDIAKARLAQCTRMLEARGILVNSFDRLESRALEALRCGLCTPRRSTPSVHCIGSLVLPGNTGGISERHACLEWLDTQPDRSVVFLREMARGLENSGQRFLWVVRNPPEHQSNSAEPDLGSLLPEGFLERTRERGFVVKNWAPQSEVLRHPSIEGFAMHCGWNSALEGIASGVPMICWPLYAEQRMNKVLMVEEMKVGVVMEGYEEELVKAEEVETKVRLVMASDEGEELRQRVMVAKEMAVDALKTGASSDVAFDEFLTDLQKSRTSTEKRAT >KQK90931 pep chromosome:Setaria_italica_v2.0:IX:46715810:46720450:1 gene:SETIT_035922mg transcript:KQK90931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPATPDLLPERRKRRFDAPPLPRRFDAPQSHGRPSQRRRRWPFSPLLPENFFAFDMGNFISGLFGGRRPKDDGLGFYKGWCDARSRDLTVITDEETGTGQHLLGRTTKDWSRKAALEAVKGSQRQKSEPFYKKALGEARRNDNRLEERGIKVRLEEERLAGIRKPYKAAEEDLSELFKPLTDEEEKEVHDCFHARGPSSKVLVLHEPSNIEVSKEKFQCLIPGRWLLNLYLELLKEREKREPKRFLKCHFFNTFFYKKLACGKNGYDYKSVKRWTTRRKLGYDLIECNKIFVPVHKDVHWCLAIINMKKNTFQYLDSLGGMDHNVLNMLARYIAEEVKDKSNEVIDTSSWQEDIVDDIPLQQNGWDCGMFMLKYIDFHSRGLSLSFRQKDMEYFRKRTAKEILKLRAD >KQK89636 pep chromosome:Setaria_italica_v2.0:IX:34280128:34283170:1 gene:SETIT_040162mg transcript:KQK89636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEGLLKNLKLSEVEKKSIRIGGDKEGGLGDGSLKAFGKLLSDREVRSEVVEKTLGWIWCPRKGIECKDLGDNCFLLTFSQATMKRRALEEGPWMIFNEALVIANFDGTKSLDEIIFSFIPIWIRVARLPMGLMNKATAEVIGDEFGKFLEVDFESDDLAAGRVLRVKVRLDIRLPLRRGITVDLGEGVGDRWCPVQYEFLPEFCYVCGIIGHFDKTCTKKLGKEERAPFDRAHF >KQK89259 pep chromosome:Setaria_italica_v2.0:IX:22685980:22689253:-1 gene:SETIT_039027mg transcript:KQK89259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPVLKLLILPHLLLLAPTSCTQALLSRNETDQDALLALKAGLSLQSDSLASWEISTDFCQWIGIICSHRHKHRVSALNLPSIGNLTHLRSLDLSYNKLHGEIPQEIGQFAHVSYLDLSNNTLQGEIPLTIGQLPWLSYLDLSNNSLQGAVTFGLKNCSQLVSIKLDVNNFSGGIPDWLGGLPMLKTMSLGKNNFTGVIPPSLGNLSSLRKVYLNGNHLCGPIPEGFGTLGSLKALGLQVNYLSGTVPQTVCNSTMVDIGLQNELEGTLPSDLGNSLQKNPGSIPASIANATTMQSVDLSGNNFTGIVPPEIGTLCLDYLLLHKNQIKASSVQDWEFITLLANCTVLRAQLGILDIRFNEISGRIPDGIGNLPKLFKLGLSGNQFTENNQLSGAWPSSLGNLKQLQQLSLDNNSLEGLLPVNLGNLQQLIRATFSNNVLSGPLPGEIFSLSSLSYILDLSGNHFSNYLPSEVGSLTTLTYFIPTSISKMHGLVLLNLTKNSLTGMIPQELGFMNGITELYLAQSYLTGRIPETMESMASLYRLDISCNHLDGQVPENGVFTNLTGFSFNGNAKLCGGIEELHLPHCPTKPMEHDQRILRVIRNAMTVISVTILACFILALLFLFSKKALRSPSAKIMIVDPPLTDDMYPRLSYSDLFRATNGFSANNLIGTGQYGSVYKGEIMLKDSVTTVAVKVFDLEQPGSSKSFLTECKVLSKICHRNLIRVITCCSCSDLNQNDFKALVLEFMFYGSLNKWLHPELHSLYHVNVLTLLQRLNIAADIAAAPDYLHNNCQPSIVHCDIKPRKILLGEDLVARVGDFGLTKILTDPLGEQSINSKSSVGILGTIGYVAPEYGEVGQISPYGDVYSFSIVLLELFTGKTPTHDMFADGLTLLKYAKMAYPARLMEIVNPLLLSVESELGQINVVMDPVIKLALSCSKNRPTERLCMRDVVDEIQTIKTIMQQNETRQSSS >KQK91124 pep chromosome:Setaria_italica_v2.0:IX:47944311:47946577:-1 gene:SETIT_037909mg transcript:KQK91124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KQK87568 pep chromosome:Setaria_italica_v2.0:IX:7945494:7948015:1 gene:SETIT_036219mg transcript:KQK87568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLKRELQRKRQLLDADFGARKILRRAEIEARELQRIREAERRRLLQKQLRDSHPAASSPPGTYSGSSPASAAADAPPAENGASGQTESLPRDEVIRRLRVLRQPATLFGEDDAARLRRLNDVLEDPTALADVDADEIGEGQTNDFLRDIQALRVKAAAATKPKAGAEAQRREGDGEEREVPFEELCDEDKIAAFFRRLMGEWSQEMDEMPEAERRTAKGKAAVATCKQCARYLDPLFKQCKKKALPPDVRQALLEVVKCCMRRDYLAAVDNYIKLAIGNSPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLITFCQRKYPTDPSRSVEFNSLANGSDLQSLLAEQNAKNSEETLQLVAAS >KQK90323 pep chromosome:Setaria_italica_v2.0:IX:41619524:41629510:1 gene:SETIT_034138mg transcript:KQK90323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIMKLLEDDEDESLHSGADVEAFTAALNREVEASASTSSTISAPPAASSSSSQPTDHGAALLPQENKSLLNHGHGQWQDRVKNETANQESQQQEQTHLLRNDQPSRPEIISQGSDNKDLTSNTPKECELLKVKQELGNTSQQGIVAQQQPMQQMKSEQTPIVAQQQPMPQMKSEQAPIVALQQPLQQMKSQQTPHTNQTNGATTAAKAPVVTFHMLLPILRRYIDKDKDMQVQSIFAKLRKNEVSKEHFLKVVRNIVGDKVLKLALSEYQMQHTAQAQRNTQTNPGNYSLLSQVSGQQTVPSGSMTDEQEAYPGAHTIPMKQAIDNLRPPQFRPSLSSQVQSIRGYSPSQSNAHKANETGNMSDGKGAHMLQTRPPNTLTPAQTMQHHVQRPQTPSPMFGTNSIHARPFPRPVGSPAASFRPQMTDPNQRAQLVQGAVTTVAGSVPTQSIVSGNAPTNQSTWQKSANKEQKTNSFTPTAHMNKETISQNSESSQNSFVAMHAKQVNQAPGSSKGGGGMENQSPKLSASKSSTTTNSSQTQSHVTQAEPKLQVQSSVQAPPAAASKTPQRKASSGQKKPLEALGSSPPPSSKKQKTSGGFHEQSIDQLNDVTAVSGVNLREEEEQLFSAPKEESRVSEAARRVVQLEEEKLILQKGPLARKLAEIMRKCNLKVIGTDVERCLSMCVEERLRGFISDIIRFSKQRVDVEKSRHRFYPLSSDVRSHIMRVNREAKEQWEKKQAEDAERIRKQNDYMQGDGNANIDLEKDKNETRASSKHAKEDDDKMRTTAANVAARVAAGGDDMLSKWQLLAERNKQRSEGGDGSSGSVPGNMLQHKPSLKSGKDSMEDQGIEKRGYSTMLGSGGIRRSPLTKVARSVSVKDVIAALEREPQMSKSSLLFQLYGRPLTEPAAK >KQK89587 pep chromosome:Setaria_italica_v2.0:IX:33523196:33525912:1 gene:SETIT_035525mg transcript:KQK89587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFKTRRREPQLVSPARPTPRETKPLSDIDDQHALRYYETVIGFFRRCPDQSVDGPDDASLADAVRAALAEALVYYYPVAGRLREEAGGKLVVDCTAEGVAFVEADADVRLEEFGEPLLPPYPCVEELLCDAGDTRTIIGRPLLLMQLTRLKCGGFVAGFHMCHSIADGFGMIQLMIAIAEMACGAEAPSILPVWNREILSTAHSPTPSTHPNPSYEPLLNSLDYASESDDVMLSTPLDEMVVDYFVFGPREMKTLESHVRGYLAHPATSFELLTAVMWRCRTIALGYKSSQPVRLMITMNARGRWNRHTLIPLGYYGNAHFSPIAELTVDELCRQPLVDTVELVRRTKLSVTKECMDSMVQTIASLRQRPCADPARMYDVSDTKWIAAGNGLQLGWAEFVGGGIPVAGDLTSKLGSDHMMCKNQDGEDATVVSIMLPRPAMERFKKEMAMWLNKRDEKNLIIQSSL >KQK92057 pep chromosome:Setaria_italica_v2.0:IX:53447866:53450141:-1 gene:SETIT_0390052mg transcript:KQK92057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGLTDSLHGLSSSEVNSIVDWRKFYFERYIFAGKLIGRYYDNQGNPTKYLKGVEVKAKRGAQLLEKQKSEEAKIPSCNSKWSQQEGGEVWCDTGYPRLVRRPGDIALTGQVSQRCACFQEDELNKPGLVAYQGCDHLSKSCKVN >KQK89427 pep chromosome:Setaria_italica_v2.0:IX:29054179:29054997:-1 gene:SETIT_040119mg transcript:KQK89427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPRRRVSSDLVGLCLNCFSEDHFAARCPNATRCFRYHQVGHRAEDCWMPRRQSQLHPPPPPVSRQPQGTLRQLHQHPPRAHRTTAERLSSASMATSSDTVCAIPSLTRSPHGRVETQASSAPKVATSLQPLGSPCWRPRLELCVTLERALVAMVAGTRPATSTVDVHEYLIGHFGVEPGSFLVHPHFPKYFLIIFRDFDTMIQVLHAQVPEGAVTFVFR >KQK92329 pep chromosome:Setaria_italica_v2.0:IX:54950948:54952405:1 gene:SETIT_036603mg transcript:KQK92329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERWGEKGAAPAPGRARRYADQPSFSSTLLDAIYKSMDEPDAAAAATTKKQSQDLHYSYYYKASLAGSYRAGRAASAVATPGPHATTSSSSECSSYGGFSSSEAESSQHRRLRPIRTSVAAAGEAPAPAPEKTKKAAKNKPGANIRAKLRDLRKPASPGARLAGFLNAIFNGKRAPPTPPSASRAAAASESACSSASSYSRSCLSKTPSTRGQPKRTVRFMDSDTEAAAAVPAAGTERRRVQVGVVELERMLLHRMEMDSDEDDESSDASSDLFELENFAAVAPAAGGAGAYRDELPVYETTRVVLNRAIGHGHGHGYAHGRSTRVV >KQK86413 pep chromosome:Setaria_italica_v2.0:IX:1713492:1716058:-1 gene:SETIT_034627mg transcript:KQK86413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPGSRDNLDFGDQLSTPNPPTPHMINFSLQQQSQVQYFPSYGFHSHNPPVSSHPSPPWVMLGCTTPSPASTTTTELDSADDADDAVLAYINQFLLEDEDDESYPVSSASVEDSALLAVEKPFVDILKSAKPIMAQAYEMKSWMTDDCESTGSRGFHDVVTSNQNSSQLPSQMVVECSVGATHKGRKTKNPHDDDLEMEERKSKQLALCDEETVREMFDKVLLCTDANCEFHSPMPAEAQINGRYVKGSGNRRGRRKGRSGTSPEEEAVDLTTLLIHCAQAAAIDDHRNANELLKQIRKHSSATGDAGQRLAHYFANGLEARLAGTGSSIYRSLTSKRTSTGEMLRAFGLYVKACSFRLISHYVANTTILNASKSEARLHIIDYGVQYGFQWPVLMQRLSKRCGGPPSLRITGIDFPLPGFRPAERIEATGRRLHEYARMFNIPFEYQAIASKWDTIQVEDLKIKSDEYLVVNCLYRMRNMMDETVTDDSPRTRVLNTIRKLNPHLFVHGIVNGTYNAPFFVTRFKEAMFFFSSIFDMLEANASRMDEHRLLIESEFFGREALNVIACEGTERIERPETYKQWQMRNLRAGFRQLRLNEEIMKRARYKVSKSYHRDFLVDEDNKWMLQGWKGRIIFALSAWTS >KQK90223 pep chromosome:Setaria_italica_v2.0:IX:40771390:40771725:-1 gene:SETIT_039287mg transcript:KQK90223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEQPRSGASSEVASWCCVLALVLLVGSLVGEEERGVGPAVVRGARLAARPCEELYVVAEGETLHSISARCGDPYILERNPHVHDPDDVFPGLVIRITPRADGNGPGGHK >KQK89314 pep chromosome:Setaria_italica_v2.0:IX:23759360:23759924:1 gene:SETIT_040559mg transcript:KQK89314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQWFESPPPLPASTSSGRISDSLDVASPPPPPASNRHTPSRVSPRTLATAATPAQLGRRHIRKQALCNPRYLHLHILQLRSAIESRTRLVSFSSVMALRG >KQK88013 pep chromosome:Setaria_italica_v2.0:IX:10895523:10897129:1 gene:SETIT_035799mg transcript:KQK88013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTKSKSSSKAGSPLLGKYELGRLLGRGTFAKVYHARCLAGGDPVAVKVLDKPELSATGMAVRVLREVSAMRRLRHPNVLRLHEVLATRSKVYLVMELAPGGDLLSRLASLPTRRLPEQAARRVFLQLVSALIYSHARGVFHRDVKPQNVLIDADGNLKVSDFGLAALPDSLRDDGHLHTACGTPAFTAPEVLRRKAYDGAKADAWSCGVILFVLLAGHLPFDDANIADMCRKAHRREYVVPRWVSQPARRLVSRLLDPNPATRLAVAELASHPWFKRSLSVDSQLGGLLGGQAERELAFQAPPALNAFDIISMSPGLDLSGLFGDNRRTREKRFMTTASPEQTVERLGQAGAKLGYFMVGKKGAERLPLGGLSGLVAMSMEMLEVSAELMLVELRLEAGDGDEAEAFGWEDLRVELGDVVTAWHVCEEG >KQK87879 pep chromosome:Setaria_italica_v2.0:IX:9928301:9929423:1 gene:SETIT_040114mg transcript:KQK87879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPARSFLRWSDDLHMIFVKAVAYQGEAKSTALKETMEAMGVVGLTIQNIKSHLQRYREKCELSAEAPVDEIVNNFLMDDTVVEHNSEYPQAVIDEYIADLANYAFGHL >KQK91030 pep chromosome:Setaria_italica_v2.0:IX:47251492:47252905:-1 gene:SETIT_040567mg transcript:KQK91030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVGPWSQRRQGARTVVLQVMLQKVMAQVALKHQLMNLIHHAEVEVAVDVKNKGCVRDAA >KQK87735 pep chromosome:Setaria_italica_v2.0:IX:9006793:9007389:1 gene:SETIT_038855mg transcript:KQK87735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQGRDAAGGAARVEGHVPSALGGVHQRCHEDHPPSKPRDMPSLAYLGKGRICICRPMSTMDPNDYGPPITYDAASFLVVELKSLPNGDLHLARRGKMTSRRPPQGRQSPANARWTDGWLARKLLQRCELPDPPLAPYRQGGNPSTAAAAVLLACCSIGQAFRWRPA >KQK89169 pep chromosome:Setaria_italica_v2.0:IX:21492978:21505715:-1 gene:SETIT_033945mg transcript:KQK89169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNARIKEMVRVATARLGGEQPGGGGASSSGLGRRESTARLGGAGTSFRRQPQPMAPTVRTVYCNDREANAPVGYKGNSVSTTKYSILTFVPKGLFEQFRRVANLYFLMISILSTTPISPVHPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMSINNAHVDILQGQHWESTPWKRLQVGDIVRIKQDGYFPADLLFLSSTNPDGVCYIETANLDGETNLKIRKALEKTWDFVTPDKASGFKGEVQCEQPNNSLYTFTGNLIVDKQTIPLSPNQLLLRGCSLRNTEYIVGAVIFTGHETKVMMNSMNVPSKRSTLEKKLDKLILALFATLFSMCVIGAIGSGVFINEKYFYLGLRGRVEDQFNPKNRFVVTILTMFTLITLYSTIIPISLYVSIEMIKFIQCTQFINNDLHMYHAESDTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIDGETYGTGITEIEKGGAERAGIKIDDEGKRSASAVHEKGFNFDDARIMRGAWRNEPNPEACKEFFRCLAICHTVLPEGEETPEKISYQAASPDEAALVAAAKNFGFFFYRRTPTTVMVRESHVERMGSIQDVPYEILNVLEFNSTRKRQSVVCRFPNGRLVLYCKGADNVVYERLADGNHDLKKTSREHLEQFGSAGLRTLCLAYRDLSREQYESWNEKFVQAKSSLRDRDKKLDEVAELIEKDLILIGCTAIEDKLQDGVPACIETLSAAGIKIWVLTGDKMETAINIAYACSLVNNDTKQFTISSETNAIREAEDRGDPVEIARVIKDSVKQSLKSFHEEARHSLNSTPERKLALIIDGRCLMYALDPTLRVDLLGLSLICHSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHVGIGISGQEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRLCKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKRYPQLYKEGIRNSFFKWRVIAVWGFFAFYQSIVFFYFTAAASRHGHGSSGKILGLWDVSTMAFSCVVVTVNLRLLMACNSITRWHYISVAGSIVAWFLFIFIYSAIMTSFDRQENVYFVIYVLMSTFFFYLTLLLVPIIALFGDFLYLSIQRWLFPYDYQIIQEQHKDEPHEYSRVQLPETSHLSPEEARSYMISMLPRESSKHTGFAFDSPGYESFFASQQGVGVPHKAWDVARRASMKQQRAGKS >KQK93020 pep chromosome:Setaria_italica_v2.0:IX:58368606:58375801:1 gene:SETIT_034251mg transcript:KQK93020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAMRGGSSDSGGFDKVHGMDSGKYVRYTPEQVEVLERLYIDCPKPSSSRRQQLLRECPILANIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAHMRQQLQNTSLANDTSCESNVTTPPNPIRDTSNPSGLLAIAEETFTEFLSKATGTAIDWVQMPGMKPGPDSVGIVAISHGCRGVAARACGLVNLEPTKVIEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTMEDGSLVVCERSLSGSGGGPNAASAQQFERAEMLPSGYLVRPCEGGGSIVHIVDHLDLKAWSVPEVLRPLYESSIVVAQKMTTVALRHLRQIAQETSGEVVYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSIMGGDGIEDVVVACNSTKKIRNNSNVGITFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNIDAYLASSLKASACSLPGLRPMRFSGGQMIMPLAHTVENEEILEVVRLEGQSLTHDEALLSRDIHLLQLCTGIDEKSVGSSFQLVFAPIDEHFPDDAPLISSGFRVIPLDMKTDGVPSGRTLDLASSLDVGSATPQASGDASPDDCNLRSVLTIAFQFPYEMHLQDSVATMARQYVRSVVSAVQRVSMAISPSQSGLNAGQRMLSGFPEAGTLARWVCQSYHYHLGVELLNQSGEGGEALLKMLWHHPDAILCCSFKEKPMFTFANKAGLDMLETSLVALQDLTLDKIFDESGRKALFSDISKLMEQGYVYLPSGVCMSGMGRHVSFDQAVAWKVLGEDSNVHCLAFCFVNWSFV >KQK88268 pep chromosome:Setaria_italica_v2.0:IX:12748248:12754450:1 gene:SETIT_035917mg transcript:KQK88268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQPPPPPPQQQQWAMAPPPPPQYYQAGHPPPPPPQFYQAGPPPPAMWGQPPPQAAPAPAPAPSGSGGGDEAKTLWIGDLQYWMDENYLYSCFSQAGEVISVKIIRNKQTGQPEGYGFIEFGNHALAEQVLQNYNGQMMPNGNQPFKLNWATSGAGEKRGDDGSDYTIFVGDLASDVTDFILQDTFKSRYPSVKGAKVVFDRTTGRSKGYGFVKFGDLDEQTRAMTEMNGQYCSSRPMRLGPASNKKNISGQQQPSSATYPNTQGTDSDNDPNNTTVFVGGLDPSVTDEVLRQTFSPYGELLYVKIPVGKRCGFVQYSNRASAEEAIRVLNGTQLGGQSVRLSWGRSPANKQPQQEQNQWSSGYYGYPQGYDPYGYARPPQDPAMYAYTAYPGYGNYQQQPPQQPPPQQ >KQK89038 pep chromosome:Setaria_italica_v2.0:IX:19826080:19826580:-1 gene:SETIT_040759mg transcript:KQK89038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSCFPQVGSFCYTHAFVIVAHCTLCPLRPLCGTPACMHAC >KQK91004 pep chromosome:Setaria_italica_v2.0:IX:47151899:47152782:1 gene:SETIT_037856mg transcript:KQK91004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPVSRLAPARPHARVGTTTSKCQARAAATTSRGCAWPCRRVAPAPRLRARATSCGGRGTTEPVEAREDNAEPAAVDEAEAGLAPEELEVLEEAAIAGVDEGRRPTDYDRRAHIFEESSRVFRELKHQRDGDGGGGHGGVADAATAAGTGTREHQQLG >KQK88108 pep chromosome:Setaria_italica_v2.0:IX:11602773:11603309:1 gene:SETIT_038235mg transcript:KQK88108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAASSTSRSLAAATCLLALLFAACLAAALPGADARRLLATAMPPASPGMAPSPTPGADYASGRLLLEGGRELLDGGLRLAGRLLLGLGL >KQK92808 pep chromosome:Setaria_italica_v2.0:IX:57306379:57307605:-1 gene:SETIT_037260mg transcript:KQK92808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGELELPEFNPRERVKQQISVPFLWEVKPGAPKRDWVISKPVASESACPSPTPTKLVVSVPFQWEEKPGKPIQEASPFHVLPDHGGFVASSRSLNPFAVESEEEYSLGFDLEAFGFPDNNDASGAAAAAWVDGSSGRRDAWFSFSESEDYSHSSGDTSAQDQEFQFPRAPSEKSWEVANDEDQLSNPWSPPRSAVTTLEELMVLSRRLRCGQGLPVDVGKKSLSSVELIKKVLIVCS >KQK87303 pep chromosome:Setaria_italica_v2.0:IX:6539640:6542797:1 gene:SETIT_037097mg transcript:KQK87303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVATSLAPRVSLPPPARPTAASSRGLDLVSLPGLRHRHLRLRRSPSPVGTAAASSPSVPSSSPDPGSGIGEALGGVEIYSAATGEPVLFRDLWDQNEGMAVVALLRHFGCPCCWELASVLRDTKERFDLAGVKLIAIGVGTPDKARILAERLPFPLEYLYADPERKAYDLLGLYFGVGRTFFNPASAKVFSRFDSLKEAVKNYTIEATPDDRAGVLQQGGMFVFKGKELLYARKDEGTGDHAPLDDVLNICCKVPVS >KQK92246 pep chromosome:Setaria_italica_v2.0:IX:54519691:54524000:-1 gene:SETIT_033975mg transcript:KQK92246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGVVTCSSVDTTLTRARNGLGRDSDAGVRYNAEPCQAPLVPDNWMRASAGVPMELDVPAADVDPSGLQSSLSMNVAIDPPVLDWGRRDLYAASMATLTVVNLNNDSALRLYEPFSTDPQFYVYGYEDLELQPGDNATVTFIFLPKLLGSSSAHLVVQTNFGGFIIHAKGMAVSSPYQILPLTGIDVVIGGQVGRNLSIYNPHDDTLYVEEVAVWMSSLESTRYSSHLVCQLGPFDGALELSSSSNWYTASSDESGWPVMYIRPSEQWEVLPSRRNTVIEFKLQALSEGKVFGAICLKMRNCTPGTMHTFVTPIELEVHTRTYYDSSGLIAVTFEHISTCGESGSIFSLSLRNGAPKLLRIVGISEDDRNGPMIFQVKYLNGLILFPDTVTDIALVRYTSSVPEDISFDSCNIVVETNSTLGSSVIIPCKDLVRASLSYASTAVVAESDGPFTRPLHEEATSANARTGTLGSMLQIEDLHNVKPTIMRAVKADDTILRQWRSHGTSDGISILMDHEIMFPIVQIGSQFSKWIKVHNPSLEHAAMQLVVNSEEIIDQCKTVTDVCELTFSSKSPEINSTETRFGFSLSDVAITEAHVGPSETALLGPIVFHPSNRCMWSSMVLIRNNLSGVELLPLRAYGGRQSIVLLEESKPAWKLEFNLGSNIQNVQNKSTMTKQEVPSSLCSQQLTKEIHVKNSGDLPLQVTKVKISGADCGVDGFAVDNCKGFSLAPSESIRMLISFQADFSSAMVKRDLELVMTTGIFPIPMTANIPVCMLNQCRKSYLRSTHWKLLVLFFGALTLLVLVFVRYPPHSLAWGSQDHYIKIDDRKSTIFEENRKSPVSKTLKPSFLHQSSKKSRAIKEHKRTEEALAEKYPASVIDSSKSTDDKNNPDEELPTSTMSVSPSNPVEDKASGEAPRTSENLTIRIARDKGKRRKRKVGGAGLAGKFEVSSSHSGNSTPSSPLSQSSTPKQGWSFSGAPSELKHGNRHETGFDVEATTSSTGTNREKKTWSQVAKEQPRSRSASPGTPSPSASALTTTTWRSPMLSTSSPIAPHARAPGSNLVKDKAVKRGEGARLKKDFTYDIWGDHFPANLLGIVRNGAPCKMPVASEGASYSLFAREPQTLMMKPSSSAPPVSRGRGSPPSDVATGYGIK >KQK92607 pep chromosome:Setaria_italica_v2.0:IX:56319257:56320926:-1 gene:SETIT_035407mg transcript:KQK92607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHEQERGGRGAGHLLLFPFLAQGHLIPFLNLAKRLESLGQRGGSGQRRLEVTIVSTPRNVANLQRAVPAGSSIGFAELPFSPSDHGLPADAESADAVPLRAFPAFYCATELLRPSFEELVAEVAGRQGRENSARALGVRHRVFLTSGAYASAVTFSIWLRPPTFPRPVGPSDEQALHDFPDVRVRYTEFLNVIVTEDHATNPMLAYLCRMISLHFRHSGGLVINTSEEIEPKGLHLIRKLSGLPTFAVGPLIGGRTRAPSDDARDEDARIIKFLDSKPPASVLYVSFGSQNTIPASQMMELARGLEASGRPFIWAVRPPAEFDGAEAFRAEWLPDGFEDRAAAAGRGVVVRRWAPQVAILAHASTGAFLSHCGWNSVLESLWHGVPVVGWPLIADQVFDARLLEELGVGVEVASGRVFGGLGKGWEHVRDVVEAVLGDGEKARDMRRKAAELKQLARAAVGVGGADDEVKGSSVLAMERLLDSAFG >KQK91964 pep chromosome:Setaria_italica_v2.0:IX:52947706:52951614:1 gene:SETIT_035352mg transcript:KQK91964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQKREKKTCPEVTVGGSWVRDSGYFWIWMSFSQSASTGHCAHPRLDSAHTTHGPSSSRGCRAHRGTLGSRRGCAKALPPPHLLPPSPRGALEAPARRSSSASPRQWRPPPSSSAYFLDAGASCDKLARGMEGYSRDLLGGIGRGDAPAPPQEQGPGPARAEEVELSLGLSLGGRFGVDRKGEKLARSSSMAAVMTAPVEAPALGRTSSLPVDTEASEVGRKQGLDGWGSSRETGGPAEEPAARLRPSLSPSSGSSDGEGQRLQGTATAEAHSPSSVGPPAGEVASCATVASPPPSSLAGRAAALGSRGYQQSTSGTYAARARSMGDVERTMMQEMPSVFTKGLPNGNRLEGFLYKYRKGEQVRIVCICHGTFHTPAGFVEHAGGGNVSNPLRHIVVTPLENL >KQK91965 pep chromosome:Setaria_italica_v2.0:IX:52947706:52951614:1 gene:SETIT_035352mg transcript:KQK91965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFQKREKKTCPEVTVGGSWVRDSGYFWIWMSFSQSASTGHCAHPRLDSAHTTHGPSSSRGCRAHRGTLGSRRGCAKALPPPHLLPPSPRGALEAPARRSSSASPRQWRPPPSSSAYFLDAGASCDKLARGMEGYSRDLLGGIGRGDAPAPPQEQGPGPARAEEVELSLGLSLGGRFGVDRKGEKLARSSSMAAVMTAPVEAPALGRTSSLPVDTEASEVGRKQGLDGWGSSRETGGPAEEPAARLRPSLSPSSGSSDGEGQRLQDTLVRSTSLPASIDAAGTEEWRKRKAAQSLKRLELKRKRVERRNTCNTLKEAGGQIVEEVMRAPNDKLHQAISTSHDSLSAGRRKPNSASKGTATAEAHSPSSVGPPAGEVASCATVASPPPSSLAGRAAALGSRGYQQSTSGTYAARARSMGDVERTMMQEMPSVFTKGLPNGNRLEGFLYKYRKGEQVRIVCICHGTFHTPAGFVEHAGGGNVSNPLRHIVVTPLENL >KQK87768 pep chromosome:Setaria_italica_v2.0:IX:9213108:9214071:1 gene:SETIT_039789mg transcript:KQK87768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRATWDDNTTKIFLDLCIDEKNKLNYNKRGLTKVGWHNLYTNFKQQTGRKYSCKQLQNKFNAFKRQYKDWRKLKDKSGTGWNNSTRTIDCDDEWWAARIEENEANKHFRGKAFPFYDELTTLFGTTDTESGPMLCVGGIGDRTPSCGSEDTPDPMADENVDWLEDTIGRSSVGRVSQRSGKEHVVDSPPPKRTKSMEYYVERISESMIQRTMNERNLISREEEEVTEMLHLVEQDGVPNGSELYFIATELFRSPARRASYRSITASKNRIAWLRWTWDNVKRK >KQK86537 pep chromosome:Setaria_italica_v2.0:IX:2500783:2502328:-1 gene:SETIT_037612mg transcript:KQK86537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVQMMPAAVDVAGLRKTRAGAAPSGGSSVSTTSLFAGDYRRRARRPSCSVRALRQSRSRGGLGIVCNLGGQYDDTFDDVQLQLMNYFTYKAVRTVLTQLYEMNPSNYRWFYNFVAVNKPTDGKLFLRALSKERQELAERVMITRLHLYGKWIKKCDHAKMYEMISDENLTLMRERLMETVIWPTDDTNTEKIG >KQK86531 pep chromosome:Setaria_italica_v2.0:IX:2464675:2466743:1 gene:SETIT_036906mg transcript:KQK86531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEQQAPAVAAAAAAAPPPVPSAPSSSHDEDGDGGEGAATTFRDIHPLTPDPPTPLPPARTGSAASWDTASHRSYSSEEQYMTMSREFTAMVAAGATMQTGPNANSGGGYDNGAADQLTSIGEDELEETNPLAIVPDSHPIATPARSRASGLEVVPAGPPPPPPAHVEASQVKKEEVETKVSAWQTAEVAKINNRFKREEVVINGWETEQVEKASAWLKKIERKLDEQRAKALEKTQNDIAKARRKAEEKRASAEAKRGLKLAKVLELANFMKAVGRVPTKRSFF >KQK92963 pep chromosome:Setaria_italica_v2.0:IX:58069762:58070317:-1 gene:SETIT_038435mg transcript:KQK92963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGSMAAFFPYRCDFGSSCFRFNPYGIGLDLVAKYRFDLVFSNICRDNRRHVLGVLLKFVF >KQK88845 pep chromosome:Setaria_italica_v2.0:IX:17688933:17692537:1 gene:SETIT_035692mg transcript:KQK88845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIWCVVQEEQGEPDPKLKIIERPVEDGDEEKKCGGGGGEEEKNGGRGDTVDEEDSEDDMSDWDEEDSEDDMSDWDEEDSEDDMRGWDEEDGNPYLPVKWPWEYPLHTCPEGQNFTLEEAKKIVESTWERNGDLLSEWCDLFNNNTTPLPALPLRVLPRVTKDCVSGDDCYHVQYWTGDTDETALDHPYFIPCEMMQVFSLGLSSPLARPINIYGHFSVRDAWEPLRNYLFNRSRNDPAMISQGCSFLPLCSPCRGIYVCPYFLMDVSLWIKEEEGSPDTPLFSGYVEIDTSFAGFGSVLIGRFQGEVYGLDMIFALLGDSIETVIEVKAEAKQPSDVRISASTSGFDEEISLYDGKFCGSGSMFKHIVAVKKQEELHIVLKMNESTYKWTFKAGIGVVIAPEHPVSGFTQYFVMNVSFRTKGKAASAWQWSCICNDVRVSKMCLE >KQK89999 pep chromosome:Setaria_italica_v2.0:IX:38431252:38434988:1 gene:SETIT_035948mg transcript:KQK89999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLAAAAVARRAGAALRRGALGGLRSLSSLQPSHATSSEEVLVEGKASARAAVLNRPGYLNALTTTMGGRLNKFYESWEDNPDIGFVMMKGSGRAFCAGGDVVRLRELVSEGKMEECQDFFKTLYKFIYFLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYVALTGEKLKGADMIALGLATHYSMSEHLDLVDDRLANLVTDDPSVIDSSLAQYGDMVYPDKASIVHRLEVIDKCFSHETVEEIVGALESEEARLNEEWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSMNGISKQFSHEFSEGVRARLVDKDLAPKVIVLLCSMPPLVP >KQK90000 pep chromosome:Setaria_italica_v2.0:IX:38431252:38435412:1 gene:SETIT_035948mg transcript:KQK90000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLAAAAVARRAGAALRRGALGGLRSLSSLQPSHATSSEEVLVEGKASARAAVLNRPGYLNALTTTMGGRLNKFYESWEDNPDIGFVMMKGSGRAFCAGGDVVRLRELVSEGKMEECQDFFKTLYKFIYFLGTYLKPHVAILDGVTMGGGGGVSIPGTFRIATDRTVFATPEVHIGFHPDAAASFYLSHLTGHVGEYVALTGEKLKGADMIALGLATHYSMSEHLDLVDDRLANLVTDDPSVIDSSLAQYGDMVYPDKASIVHRLEVIDKCFSHETVEEIVGALESEEARLNEEWCTLALKRLKEASPLALKVSLRSIREGRYQTLDECLVREYRMSMNGISKQFSHEFSEGVRARLVDKDLAPKWDPPALEYVTQDMVDAYFAPLGESESELKLPTETREAFV >KQK90068 pep chromosome:Setaria_italica_v2.0:IX:39286772:39287965:-1 gene:SETIT_038723mg transcript:KQK90068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRRGTKSLRQLPGVDEAGGDEIPGRVDEVVEVAGHDGVVPQVDAEEVVVEEEVDDGGVVGVDEREDGDLAGESSGLVGVMIPDVVEAGLVLELPLGGVGMGQRPDGDLESAAGVTVPVHVDEAQLARADPDGAVPVEHPGSLAVAERRARRERDDGEVRVVGEGVAGGDAPGEVDPPEPAVERDNGVGGEVAGRERVVDSLVDPLADVGGEAEEGGVVVAEEGLELSDHVAGAGGGGDDANVAGVHDELGLGEGERGVGGVEDEVAGDELAPAEGLVVGAVVDGGGRGAALVGVEEEEARGVGGEEPGVVAGRDLGREHALGDGEEGDARGRGEVEGEVVGGNARNGAEDGPGGRRRRCGGGRHLVGGSAEEDQRAEEALTGGGTAGAGGFAFDRAR >KQK90686 pep chromosome:Setaria_italica_v2.0:IX:44774099:44774674:-1 gene:SETIT_039645mg transcript:KQK90686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTKSMASSFTNRNNIVWLVGLLSILLVVSDVYGEPPSVVPSACKRAYAASGGSFTEDFCLSAFNGHSAGAADNADLALIAVDLATANATATEAKIDTLLGGSGAGALSEGLRLCRELYNSVVHVYQPKCHAAVKDGRYVDGKLCLRRTAQAPVECERWFEQRNVSSPVTREDEILAKLANLAIALTSIA >KQK89127 pep chromosome:Setaria_italica_v2.0:IX:21096469:21097885:1 gene:SETIT_037648mg transcript:KQK89127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHPNEKRRWCCVRENTWRHARARSRAEDFLHGEPPRDGGIERMHVRATTTTTRPRDARPDAAKLCVPLAGILSRKRAAVREVPLHQRPSHLCQQRPSSPLPAAPFPSASACPSHPPATTDRHDRDHPAPRHNDDDEGAGGGWGGADGKARVEEMGQRHRSSLGWRKSGRARLPSSGRWELMRHDWGSRT >KQK87576 pep chromosome:Setaria_italica_v2.0:IX:8006721:8010900:-1 gene:SETIT_034141mg transcript:KQK87576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGIIDGLTGANKNARLKGTVVLMRKNVLDLNDFGATVIDGISEFLGKGVTCQLISSTLVDPYNGNRGRVGAEANLEQWLTSLPSLTTGESKFGVAFDWEVEKLGVPGAIIVKNYHSAEFFLKTITLDEVPGRGAVTFVANSWVYPVDKYRYNRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYEDHDRVYRYDVYNDLGEPDRGNPRPVLGGSDDHPYPRRCRTGRKPTTTDPNSESRLSLVEQIYVPRDERFGHLKMSDFLGYAIKAITQGIVPAVRTYVDTTPGEFDSFQDIINLYEGGIKLPKIQALEDMRKLFPLQLVKDLLPAGGDYLLKLPIPHIIKEDKNAWRTDEEFAREVLAGVNPMMITRLTEFPPKSTLDPSKYGDQTSTITAEHIEKSLEGLTVQQALDGNRLFILDHHDRFMPFLIDVNNLEGNFIYATRTLFFLRGDGRLTPLAIELSEPYIDGGLTKAKSKVYTPASSGVEAWIWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLHPHYRDTMTINALARQTLINGGGIFEMTVFPGKYALTMSSVVYKDWNFTEQGLPADLVKRGVAVPDPSSPYKVQLLIQDYPYASDGLAIWHAIERWVGEYLAIYYPNDATLQGDAELQAWWKEVREVGHGDLKDAPWWPRMQAVTELASACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTEAYAELERDPELGFIHTITSQIQTIIGISLIEVLSKHSSDEVYLGQRDTPEWTSDARALATFKRFSDALVEIEGKVVAENRDPQLKNRGGPTEFPYTLLYPNTSDTTGAAAGLTAKGIPNSISI >KQK87575 pep chromosome:Setaria_italica_v2.0:IX:8006338:8010982:-1 gene:SETIT_034141mg transcript:KQK87575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSRARWCSCARTCSTSTTSAPPSSTASASSSARGSPASSSAPPSSTPTYLPSQMPAALKPYRDDELRNLRGDDQQGPYEDHDRVYRYDVYNDLGEPDRGNPRPVLGGSDDHPYPRRCRTGRKPTTTDPNSESRLSLVEQIYVPRDERFGHLKMSDFLGYAIKAITQGIVPAVRTYVDTTPGEFDSFQDIINLYEGGIKLPKIQALEDMRKLFPLQLVKDLLPAGGDYLLKLPIPHIIKEDKNAWRTDEEFAREVLAGVNPMMITRLTEFPPKSTLDPSKYGDQTSTITAEHIEKSLEGLTVQQALDGNRLFILDHHDRFMPFLIDVNNLEGNFIYATRTLFFLRGDGRLTPLAIELSEPYIDGGLTKAKSKVYTPASSGVEAWIWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLHPHYRDTMTINALARQTLINGGGIFEMTVFPGKYALTMSSVVYKDWNFTEQGLPADLVKRGVAVPDPSSPYKVQLLIQDYPYASDGLAIWHAIERWVGEYLAIYYPNDATLQGDAELQAWWKEVREVGHGDLKDAPWWPRMQAVTELASACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTEAYAELERDPELGFIHTITSQIQTIIGISLIEVLSKHSSDEVYLGQRDTPEWTSDARALATFKRFSDALVEIEGKVVAENRDPQLKNRGGPTEFPYTLLYPNTSDTTGAAAGLTAKGIPNSISI >KQK87577 pep chromosome:Setaria_italica_v2.0:IX:8006721:8010900:-1 gene:SETIT_034141mg transcript:KQK87577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGIIDGLTGANKNARLKGTVVLMRKNVLDLNDFGATVIDGISEFLGKGVTCQLISSTLVDPFYYAPQSNLLATRACATRRPTRDVLSLTAGLPSLSRADACSVCADNGNRGRVGAEANLEQWLTSLPSLTTGESKFGVAFDWEVEKLGVPGAIIVKNYHSAEFFLKTITLDEVPGRGAVTFVANSWVYPVDKYRYNRVFFSNDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYEDHDRVYRYDVYNDLGEPDRGNPRPVLGGSDDHPYPRRCRTGRKPTTTDPNSESRLSLVEQIYVPRDERFGHLKMSDFLGYAIKAITQGIVPAVRTYVDTTPGEFDSFQDIINLYEGGIKLPKIQALEDMRKLFPLQLVKDLLPAGGDYLLKLPIPHIIKEDKNAWRTDEEFAREVLAGVNPMMITRLTEFPPKSTLDPSKYGDQTSTITAEHIEKSLEGLTVQQALDGNRLFILDHHDRFMPFLIDVNNLEGNFIYATRTLFFLRGDGRLTPLAIELSEPYIDGGLTKAKSKVYTPASSGVEAWIWQLAKAYVAVNDSGWHQLISHWLNTHAVMEPFVIATNRQLSVTHPVHKLLHPHYRDTMTINALARQTLINGGGIFEMTVFPGKYALTMSSVVYKDWNFTEQGLPADLVKRGVAVPDPSSPYKVQLLIQDYPYASDGLAIWHAIERWVGEYLAIYYPNDATLQGDAELQAWWKEVREVGHGDLKDAPWWPRMQAVTELASACTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRRRMPEPGTEAYAELERDPELGFIHTITSQIQTIIGISLIEVLSKHSSDEVYLGQRDTPEWTSDARALATFKRFSDALVEIEGKVVAENRDPQLKNRGGPTEFPYTLLYPNTSDTTGAAAGLTAKGIPNSISI >KQK87909 pep chromosome:Setaria_italica_v2.0:IX:10122773:10126921:1 gene:SETIT_034367mg transcript:KQK87909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARMMRWPRPPAARKFRVRLVVRRAEGLPLPAPAVEPASPEREAAGAAPPRAVAAEVRWKGPRASALGSLRRAAVRRNRTREEAEAAWEEEFESVVTLAAASQREGAAFQPWELAFCVFSDINIGAKNKPSILGTASLNLADYASAAEEVIEIILPLSVPGGEPESAPSLHLTLSMVELRAVQETSDASQRPAATFPLSPSSGDSLPGGKDEVSVIKAGLRKVKILTDLVATRRSKKTCQDDEGGEDKFCVNSDGAEYPCDTESLDDDLDDRVQEDEIGDSTIRKSFSYGSLQSVNYVGGLVYAHAKIDGEHEDWIYYSHRKSDVGYHVEKMLPSTTEETVLPTAKRSILPWRKRKLSLRSLKAKGEPLLKKAYGEEGGDDIDYDRRLLTSSDQSVSEGSRAEDGSANGMVSEFGDDNFIVGNWEFKEIISRDGHMKLSSQVFFASIDQRSERAAGESACTALVAVIADWFQANRNMMPIQSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLETVLHAKIRPLTVSPSKSFIGFFQPEGDEDMGGFDFLNGAMSFDSIWDEITQAAEFSSSDNPNLYIVSWNDHFFLLKVEHDAYYIIDTLGERLHEGCSQAYILKFDNTTTIHKVQAEKKPSSPDSSGPSKDSSGPESSSTDQDSENDIGEDILVSKGKESCKEYIKSFLAAIPIRELQVDIKKGLMASTPLHHRLQIEFHYTQSSPKEIASAPQLLATDAPFEFSWPEATPTMEVALTPVVSVV >KQK91546 pep chromosome:Setaria_italica_v2.0:IX:50484163:50484961:-1 gene:SETIT_037867mg transcript:KQK91546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIRRSNVFDPFSLDWDPFQGFAFGSGSSSLFPSFASTNSETAAFAGARIDWKETPEAHVFKADVPGLKNEEVKVEVEDGNVLQISGERNKEQEEKTDTWHRVERSSGKFLRRFRLPENAKTEQIKASMENGVLTVTVPKEEVKKPDVKSIQISG >KQK88741 pep chromosome:Setaria_italica_v2.0:IX:16717078:16717543:-1 gene:SETIT_040525mg transcript:KQK88741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSKTYNMKWIEACMWRLLNLFFFCSSTTY >KQK91592 pep chromosome:Setaria_italica_v2.0:IX:50687615:50691494:-1 gene:SETIT_034784mg transcript:KQK91592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQARTPRVRMERPTNATVSSTDTTVAVTLSSSAATLIAASASSRGNSASPATAAAAGRPRRSRNLARRRGLEAPPPSAFLAARLSGSGLRGARGRTESELAAAAAIIPSLAPVKRMNGRNERPKGRDGKKAWAVSENLGGPTPGQHNKGRFGPLSFRAWNRKYLASPRTNAHDTRETHARITGFGNRREQPHRTRGGLVSPAAPWDQGGLKEEDGMAGDRLSWAGLLKWSLSYVDGAGPSRTISEEERRWLAEAVERHMMVDVVSRMREIALLMSTPPAVLEAQGITHDDIEGLLSELQVHVESIDMANVIKFLRNSDARIRAKAADVVTTVVQNNPTSQQLVMEASGFEPLLSNFRSDPDLTARIKALGALSSLIRNNRPGVAAFRLANGYTGLRDALNSESARFQRKALSLTHYLLSESHSDCSVFAQLGFPHLMMRLASSNDSGVREAALGGLLELARDMTLGNRSLLAEHDRLRRLLRGRVESIRRMTPEDLDAAREERQLVDSLWIACYNEPSMLRNEGLLVLPGEESFEQPPDVAGRFFEPMRQASARRAPPVERTGSGDEAGGGMILLLGPAPDGGSNFQSH >KQK91591 pep chromosome:Setaria_italica_v2.0:IX:50687872:50691494:-1 gene:SETIT_034784mg transcript:KQK91591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQARTPRVRMERPTNATVSSTDTTVAVTLSSSAATLIAASASSRGNSASPATAAAAGRPRRSRNLARRRGLEAPPPSAFLAARLSGSGLRGARGRTESELAAAAAIIPSLAPVKRMNGRNERPKGRDGKKAWAVSENLGGPTPGQHNKGRFGPLSFRAWNRKYLASPRTNAHDTRETHARITGFGNRREQPHRTRGGLVSPAAPWDQGGLKEEDGMAGDRLSWAGLLKWSLSYVDGAGPSRTISEEERRWLAEAVERHMMVDVVSRMREIALLMSTPPAVLEAQGITHDDIEGLLSELQVHVESIDMANDLHSVGGLVPVIKFLRNSDARIRAKAADVVTTVVQNNPTSQQLVMEASGFEPLLSNFRSDPDLTARIKALGALSSLIRNNRPGVAAFRLANGYTGLRDALNSESARFQRKALSLTHYLLSESHSDCSVFAQLGFPHLMMRLASSNDSGVREAALGGLLELARDMTLGNRSLLAEHDRLRRLLRGRVESIRRMTPEDLDAAREERQLVDSLWIACYNEPSMLRNEGLLVLPGEESFEQPPDVAGRFFEPMRQASARRAPPVERTGSGDEAGGGMILLLGPAPDGGSNFQSH >KQK92878 pep chromosome:Setaria_italica_v2.0:IX:57638294:57640128:-1 gene:SETIT_040271mg transcript:KQK92878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAVMEQWTDPQAAASTSAAVAAAAQMPFLALLQGAGVMVDEGHQDGRKRNTAAFACAAAADLDLLESCVTQAAAATAAAPVASSAPSTRAERRRKRPRPRTRAAPPPEKRRKPEEAETQRMTHIAVERNRRRLMNDHLASLRSLIPSSYIPRGDQATVVGGAIDYVKQLEQQLVALQAAAAARRGAAGAVGTAATASSDGVFVSPQYASYSEARGCCGAGVDVEAMAAVGGHVRVRVAGRRWPGRLVRAVAALEDLRLAVLHLAVTSVGHDAVVYCFNLKMEEGCEVATADEVAAVVHQIFAYAGGTCC >KQK89720 pep chromosome:Setaria_italica_v2.0:IX:35305251:35309172:-1 gene:SETIT_034923mg transcript:KQK89720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAERGDDQSPLLQHHPPNQTQVSPVNQHYNKPFSWKAPAVILAFEFLESIAYSGIALNLVVYLGTVLHGTTASNAANVDAWNGATFLTPVLGAFLADTYWGKYKTTAISIIFYVVGLLVITASAVIPSLRPASCEGSSCPPATGFQYFVLFASLYLISIGTGGVKSALLPFGADQYNDSNLEESKNKQLFFSWFFIAINLGVFISGTVVVWIQQNVAWSLGFGISCVCLVIATVTFFAGTPFYRVQLPTGSPLKSIVMVFVASFKKRRVAVPDDSSLLFEGDDAESSNTVPNKLEHTDEFRCLDKAAVVLDEQEIKDSHRPWLLCTVTQVEEVKILIRMLPIWFTCVFYAAAMCQTATTFVQQGNAMNTKIGSFSVPAASMNSASVIFMMIWVVFQDSVVIPIARRYTGNIAGLTLLQRMGVGRFLAVPALAAAALMETLRLRRVRAGGNLSIGWQLPQFVILSCSDVFCGIAQLEFFYAEAPTSMRSLCSAFQFLAMSLAYYVNTLVVSVVAAATTAGGGKGWLPADLNDGHLDYYFWLWTGISAVNYVVYTAFAKRYTVKKVVRQ >KQK87291 pep chromosome:Setaria_italica_v2.0:IX:6451226:6455361:-1 gene:SETIT_036272mg transcript:KQK87291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGDRVVMMVQSQDAFGEHGRAAKNQLSVVPPNSAVNMDVKLVSLKPVVDVTGDLKVMKKTLKSGDGIRTPHDGETVHIRYTGSLEDGTVFEKFGFDGEPFEFIIDEEQVTIGLDRAVATMVKGELAEVIVKSEYGFGNTEVQRQSITVLSCSTLIYEVELVDFTKEKESWEMSGHEKLEAAEKSKVANNDLFNIGKFQRAAKKYSKGLNYINEDGNFEDEVEKLMKTLRVSCWLNHAACCLKLKDNAQAISLCSKVLEIESCNVKALYQRAQAYVESYDLELAKRDVLKVLELDPDNKEVKLLQAHLKKLQVESNKRDAKLYANMFDRTAKESNVVAKKCKVESAVYNEEAKSSDAEEALEVVKEE >KQK87489 pep chromosome:Setaria_italica_v2.0:IX:7549461:7550650:1 gene:SETIT_037188mg transcript:KQK87489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFLGSSPAFLARPVAKPHSISCAQPPRPPSVQNQPPPGEQPQQQSVQAQAQPAARPKRAGGADSTDWVASSLTRRFGIGAGLAWAGFLAVGVVSEQLKTRFEVAQQQANTKDVEQEQEVVLPNGIRYYELRVGGGDVPRPGDLVVIDLQGRVAGGGGEAFVDTFGEGKRPLALVMGSRPYTRGMCEGVEYVLRSMRAGGKRRVVVPPGLGFGDDGADFGEEHVQIPPGATLEYVVQVDKVSIAPA >KQK88107 pep chromosome:Setaria_italica_v2.0:IX:11599311:11601461:1 gene:SETIT_038785mg transcript:KQK88107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESWRDPVLREPPAKRRDIDPIAPTKHPWNLPARLSLPHCSCNPEDSYVAIPREANLPIDEEARRVVAGVSQAVVAVASIDVSFSFRITEKPCKRNKRQENEIMQTLKRYCRPSIMAFGVNLHNAQSSPWMKVPTTLHEGLDGLVVELALRAASSVGLRQRDLIIQCNGRRVATSLQLFEILVENIGKMVELTVIKAEDGTAHSIHLPVEETVEKNFYSWPISNYHGGFL >KQK86712 pep chromosome:Setaria_italica_v2.0:IX:3386710:3388639:-1 gene:SETIT_035634mg transcript:KQK86712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMKRSPLVLLLLLSLLCSSLALASYSYGDAGGEGRSGSGRPYHFGEERFRQWAQSRQGRFRVLERFTHELLEDAVGNYRVAELEAAPRAFLQPSHYDADEVMFVKEGEGVVALLARGKRESFCVKEGDVLVIPAGAVVYSANTHGSKWFRVVMLLNPVSTKGRFEEFFPIGGESPESFFSVFSDDVLQAAFNTRREEWEQVFEKQSKGEITTASEEQIRELSRSCSRTGRSHGGGESMWDIKLCSLTSKRPLHSNNHGRHYEITGDDCPQLRALDIEVGLTNLSRGSMTAPSYSTHADKLFVVLDGSGYFEMACPHLSSGRSSSPRRERGHGSREWGKEEEEEAEQEGGQKSRGYKQVKSRIREGSVIVIPAGHPMTLVAGEDNNLAVLYFSVNARHDEKVFLVGSNGLLRQMDEAAKALAFGAEKEKVDRVIGAQSDAVFLRGPNSRRVSSA >KQK88378 pep chromosome:Setaria_italica_v2.0:IX:13762795:13764674:-1 gene:SETIT_035135mg transcript:KQK88378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQLLLLAALLLLPLAALLLARQRGVRGGKSGARVPPGPPGLPFLGNLLLLRRSSSDVEALLRRLVARYGPVVSLRVGSTLSIFISDRRVAHAALVGSGAALADRPAVTRGLLGENGNTISRSSYGPVWRLLRRNLVAETLHPSRVKLFGPARAWVRRVLAEMLGREAEEARAQARAPAPVMETFRYAMFCLLVLMCFGERLDEPAVRDIAAAQQAWLMFVGQNATVLAFWPALTRILLRGRLQKGLDARRRQKELFVPLIEARRERKKQLDSPVGGGAAAAAPEKETFEHSYVDTLLDLRLADEGNRALTDEEMANLCSEFLTAGTDTTSTALQWIMAELVKNPAVQEKLYGEIKAACGGEQEEVGEEDTHRMPYLKAVILEGLRRHPPAHFLLAHKAAEDIEVGGYLIPKGATVNFTVAEMGWDEREWDRPREFVPERFLPGGDGEGVDVTGSREIKMMPFGVGRRICAGLGIAMLHLEYFVANLVREFEWKGVPGEEVDLTETREFTTVMKIPLRARLLRRTAG >KQK89707 pep chromosome:Setaria_italica_v2.0:IX:35213596:35221434:-1 gene:SETIT_034420mg transcript:KQK89707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSVVYEGWMVRYGRRKIGRSFVHTRYFVLEPRMLSYYKRKPQHKADKVGGKLPIKSLPIDGNCRVEDRGLKMHHGHMLYVLCVYNKREKHNRITMAAFNIQEALIWKEKIEMVIDQQQGAAQSDGNRAFSSSQQKASLENGRKSSSSDHESQYSHEEEEEEEEENQRSLLRRTTIGNGPPESLYDWTRENDLGISNQGSPDQVFSRGHWRLVRCQNGLRIFEELQDVDYLARSCSRAMKAVGVVEASCEAIFQLVMSMDTTRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPMFVWPRDLCYVRYWRRNDDGSYVVLFQSREHPNCGPQPGFVRADIESGGFNISPLKSRNGRTRTQVQHLMQIDLKGWGVGYVPSFQQHCLLHMLNSVAGLREWFSQSDESQVLPRIPVMVNMTPSVSSKKGKKAQENTTQTSLPMDPSRHSTVLEEESDEDDEFLIPESEQEPSTRENAADVRQPEPGRDEEDSDQIDLSGFSGNLRRDDRDNSRDCWRISDGNNFRVRSKNFVYDKSKVPAGKPLMELVAVDWFKDTKRMDHVARRKGCVVQVAAEKGLFALAINLQVPGTTNYSMVFYFVTKKLIPNSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDITYIRGANYLEIDVDIGSSTVANGVLGLVCGVITTLVVDMAFLVQGNTYEELPERLIGAVRMSHIELSSAVVPVLED >KQK86051 pep chromosome:Setaria_italica_v2.0:IX:59751:65977:1 gene:SETIT_034258mg transcript:KQK86051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALSASTSSVNWLVEDDILLKNAVETGASLESLAKGAVCFSCKFTLQEIQDRWNSLLYDPEVSTQASFRMAEYENELSTSDPAKAHKLFNSKAKDFSFQKRKIDSVKNLYYAMRKRVCNDPCNTADLGFLVAPCSCVANGSECVCGGLPNNIELGLSSVSRYGQVGASYNGGHTYPGMNGHSFHTKHAESMVRDGDDTNNVAYGYSDVGQMYEHHAYTANNHGSGGRNNVSLKSIADFQDSMQFQRLDSNQCGNGVVDSKALLIPNHFSGNVQEPIPLQVIGQPEGSEAPGGAIWSGVQRRGTLTLADDKNVKLENRDPHPFEANLDGGICTSGLEHEADFMDFPFFSNSEEFDILNGENFLNSPSEGNQEDLDDPAFKVVPGVRSTMQSLAHSNEANTSCDQVDPGHVESNVDVSGIMLVPTSLVVPCPGVYVECKLNTEDPEIPCNDDVATPPEYPLECCTLGQKSENTIYPVSPATSPAPNAEHSKANDLALIKVEDMANAQPLQTVKIDPSTSEQKEDSVAHDKGGVLGAKLLEGPSTTGGLLTTANIVTNDANTCMLALPSFSAAGFGEGSPCSLGQHENFINSHGLTVQNSVQAPDQMQHSSFDGQPELGDEAALQNCMPSNALSDLGIQDPISTVPTPAQAEECPDNENDVPNYYDIEALILDQDLIPWDQDSDLMHPEVTRFHHPESRKALIRLEQGARSYMNRAIMSHGAFAVIYGLHLKYYIKDPEVTLGRETEDVKVDIDLGKEGRANKISRRQAVIKMDEAGSFHIKNIGKCPIFVNSKEIPSCKRINLSSDSLIEVKDMRFIFHVNQDAVRQYIDRDLKPER >KQK88199 pep chromosome:Setaria_italica_v2.0:IX:12282055:12283444:-1 gene:SETIT_039174mg transcript:KQK88199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAYDRVEWLTFEVAYKNGFCSFMDRYVSRSDLSVKALKSTLQTYCEGSGQKINFSKSSVFFEAHSSEGRSGTGMMSDSTTCIAK >KQK86418 pep chromosome:Setaria_italica_v2.0:IX:1752618:1754077:-1 gene:SETIT_039597mg transcript:KQK86418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREEAIHDGVDVINLSLGSQVNTTFDKDPIAIAAFNAMAKGIVVVTAGGNHGPEPSTVLNDAPWLLTVGAGSVDRRFDAEVEYGTTVVGGEALVQDPASEGLRPLVYNDDDCFRFEANNIATKNKMVICKAVRDSEMQASIIRSLELSGATGVLLIEDGVLGYTTPLRDYHSYNVIQINSFEGRDLTDYAKTLNPSASVFFNGAVLGVEHSPAVASFSSRGPSRISRGLLKPDVLAPGLNILVASAAGWSDSRSSKFKVMSDTSMAAPHVAGVVALLKSAHPDWSPAAIKSAILTTAETLDNGGGPILDEQHDDAACFAMGPGHVNASRATDPGLVYDLSARDYASYICGLLGEEALKTITRNASKTCSENTPVTVPRTLTNVGPAETYTVTVHGPSWMDIRVSPDTLVFSEPGEKKAVNVTVTVNGGDLKGTADGDFVEGSLIWVSNNHLVRSPIVAVIGLPDHGL >KQK87027 pep chromosome:Setaria_italica_v2.0:IX:5140528:5145831:1 gene:SETIT_037345mg transcript:KQK87027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVCRARKRSPVRHRLADRRRAARRARARGGRHGSAPRLRPPPHGARVWHVPASERVGGRLGVVGQTGAGAAGEHERNRGRAGGSGCIVPPAASKGASRRPCSSHRPASGTLTPHRRGLRTVRNKDLVSRDSQPPWDGAAVAAAPPCPSLGLGIPSNASRAPYGDHLCQKIQGARLSWNNSNTMAWSHGASAIFSVRLHLFSCAIPYPISYLRCIVTLTLYHEHFWLG >KQK86266 pep chromosome:Setaria_italica_v2.0:IX:1020653:1021480:-1 gene:SETIT_037965mg transcript:KQK86266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDPVEPRTASPAMKHSASISVVLVLVLVSLAVATASAAAMAGAAAEEHAAANYLVYVDPHPPGVDCKKYQLGILAAALGGEEKAKAAILYNYKNVMSGFSARLTPSELEAVKKQPQVNRVLPSATLKLMSSKFDGVS >KQK88693 pep chromosome:Setaria_italica_v2.0:IX:16341881:16343628:-1 gene:SETIT_036228mg transcript:KQK88693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGAGSRMGVVGALSLSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFFTLYVAQRLRFFEPKPIDTRTVISFGLLNGISIGLLNLCLGFNSVGFYQMTKLAIIPFTIVLETIFLNKKFSQSIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVGQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKFLTKRDVFAFNYTVQVVAFIALSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYIILKDPFSVRNVAGILVAIFGMGLYSYYSVAESRKKTEAAVSLPVAAQMIEKDSAPLLGAKGSPRTENKNEDSFDYMPRTAKSAFTGR >KQK88692 pep chromosome:Setaria_italica_v2.0:IX:16341121:16343823:-1 gene:SETIT_036228mg transcript:KQK88692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAPAGKSPPVAAGEEMSDGAGSRMGVVGALSLSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFFTLYVAQRLRFFEPKPIDTRTVISFGLLNGISIGLLNLCLGFNSVGFYQMTKLAIIPFTIVLETIFLNKKFSQSIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVGQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKFLTKRDVFAFNYTVQVVAFIALSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYIILKDPFSVRNVAGILVAIFGMGLYSYYSVAESRKKTEAAVSLPVAAQMIEKDSAPLLGAKGSPRTENKNEDSFDYMPRTAKSAFTGR >KQK88694 pep chromosome:Setaria_italica_v2.0:IX:16341121:16346376:-1 gene:SETIT_036228mg transcript:KQK88694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGAGSRMGVVGALSLSVTSSVAIVICNKYLISTLGFFFATTLTSWHLMVTFFTLYVAQRLRFFEPKPIDTRTVISFGLLNGISIGLLNLCLGFNSVGFYQMTKLAIIPFTIVLETIFLNKKFSQSIKASLMVLLLGVGIASVTDLQLNLLGSIIAVLTIAATCVGQILTNQIQRRLKVSSTQLLYQSSPYQSAVLLVTGPFVDKFLTKRDVFAFNYTVQVVAFIALSCSIAVCVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYIILKDPFSVRNVAGILVAIFGMGLYSYYSVAESRKKTEAAVSLPVAAQMIEKDSAPLLGAKGSPRTENKNEDSFDYMPRTAKSAFTGR >KQK87470 pep chromosome:Setaria_italica_v2.0:IX:7465383:7467143:1 gene:SETIT_037675mg transcript:KQK87470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLTSPTPFGVAAVHRRAAALPLLPASGRAALHVALARRGGVSSRTQRRLEERGGKKRRGGVAAPDVDEDAAEAGAAEWEGEPLGFEVSTEPMPEVPDPETPDFWEGPQWEALGFFVQYMWAFGVVFGLIACGVAVATYNDGATDFKDTPAYKESQTQEFPEESESSSADVFEGNPTEVAPALE >KQK90705 pep chromosome:Setaria_italica_v2.0:IX:45201831:45202109:1 gene:SETIT_038451mg transcript:KQK90705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVARSTGGKHGAGSRAAANSCWQDASSTLMVGKRMFWRGERGHVKAERGGCPRAWEA >KQK88523 pep chromosome:Setaria_italica_v2.0:IX:14796712:14805996:1 gene:SETIT_039634mg transcript:KQK88523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYFICGGMERLIRILILQKRNYPMGLVRGSFVKRGAGYTDKAVVIRCVHSDQSSVTIKLYYLQNGSARLGFWLGGREFLLPVGIVLKMAKQTMGFCGQALKFRTDVKAFHLQTPQTPIVRTATYGKYCMDEFPSGTNAIVAVLAYTGLTFVCVV >KQK89442 pep chromosome:Setaria_italica_v2.0:IX:29949858:29952074:-1 gene:SETIT_037360mg transcript:KQK89442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYREAVSTGLVPSSDVLSQVLGCLRLPHDNSLKSSFIENMGISCDIPHNPNINSLFEGFGEYDIRAFSMLEEAASLGAVSSISMKDTRIVIDARKLKIYSAEVSLLTTLRSLKHRLAAGARLPNVTILLPTEKKQVDIDEREKTLKLSGRIGQAIGSLLRRLGISYQGEESHGRMRINGLTLRRWFNPKLNSKSSSAAPADLLPLSSRLAKGIADQQRDIRSLSLE >KQK88285 pep chromosome:Setaria_italica_v2.0:IX:12891473:12894814:1 gene:SETIT_039121mg transcript:KQK88285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGEQPLVEESTVPIASGADPTLPCVRPALFLRPRAGDGAPLPAPPGDPGSGPVRVRGIQAEFRGWACVPRRWREWVDKLRPRHEALWRELGILGAVLASTCRLRRRKHERGLLQLAAFWSGDTGTFVFPWGEATVTLEDVAALAGLPLLGGPVRAPVSGQLEKDVASIQAVLAVLNRSKKRNATYGGWVKHFVERAPDMGKAASTGVGGAGEAACELVEHGAFLAMWLSFFVLPAPPFSVVRREVLPLATRLARGQSVALAPAALASIYRDLTALKRHISLGMEKEPFISSAPVHILQLWVWERFPQLRPELARSPAPGDHEMPRAARWRDIVKRFSSKHVHAVFMSPKEFEWRPYGSCSFFALQPETGGSQVRSQDIATNEALLSFARCLHACELVGMNCIVLYRPHRVARQLGFDQDIPGMISFANSDWERAWDTYNIEAESSEFIVPNHKPGVTVQYARWWKPYSSACGTAVANAAQMKEICAFVSPVKRKMEGVPAANSGKRLRVDTANRGWPPHILAGTNVYPSHSSASQAAIRRAVAAPGLVLRACPPHLRFRAMPPTAATRMAQTAPDASNDQLDHVSLSERLNSITKMPKQHSVEGLVKGGDQEKNVGSLKSFIPRSESVRSKKEVLHTDVGQALADAVASTSNVVHESCGRSVTKKAQGKCLQQSREENLNIPYEENNNRSEYCAVLLPNVVPGAVSSGSNETTGARAEVDMLPTHEDLLITDDNECDKLSGTEREVNAMHVESHMWGKKTSSIRLGNEDTQLVDARNDAQDGHVLEKVTVQGNHFNIVEISDDDLDEEASKEDMVFDEATSKEDGLDTMHMHLKSPKMEATWSILQERNEEKQLVSERNDEQDNLVMNEIMVQNSRDCELGSVPNNITLAQEQDVLTYAATLQADGNLLEGPTEEMHTCVVTGDIGNTDNVSMEKNGYLDYNEKGNEDILVSNQDLESPMEDSTGANRKRSGDSERFSSRMLDGNTELISSEVCTKTLYYLSRFDWVRDVWDKDANSTGTYQDVYLPTRAVGTMEMIKKASAIRHAEIAELKKKIHNLKEEILVLEANKGSPSNSKV >KQK92691 pep chromosome:Setaria_italica_v2.0:IX:56797604:56802226:1 gene:SETIT_035739mg transcript:KQK92691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPAISHHHHHRLLLPFPSPIQTLNPFSTSSARLRHQLPKPIVLSLSLRPAFSSAFAVAAVDDDEDVVVGDCLVFDDDAFEEPDLDLPSSAPPPRASRYGRRAEASGGGSLVPEKWRDAEEEINLTKKEKRRIAHGMRFGSRLERRAPPAVTAPGEFRAYRKGMLQAEREHVADVYRGPLERTLPPEKVEEPLPPEPGTRVAPKNPRMGMDGGSLEDIAEFFSSREYASDETEDSKNPKSRHKLFSNEEKVLLNKRVPDLDAAASSKWPPLHTLAASGDFYLLDSLLKHNVDINALDKDGLPAIHKAILSKKAAIINYLLRNSANPFIQDKDGATLMHYAVQAACSQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIVKLLLIKGADRNLKTQDGLTPLELCLRLGHHARTYELLKLLKSFRGKKQRDSVYLEGV >KQK92690 pep chromosome:Setaria_italica_v2.0:IX:56797604:56802225:1 gene:SETIT_035739mg transcript:KQK92690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPAISHHHHHRLLLPFPSPIQTLNPFSTSSARLRHQLPKPIVLSLSLRPAFSSAFAVAAVDDDEDVVVGDCLVFDDDAFEEPDLDLPSSAPPPRASRYGRRAEASGGGSLVPEKWRDAEEEINLTKKEKRRIAHGMRFGSRLERRAPPAVTAPGEFRAYRKGMLQAEREHVADVYRGPLERTLPPEKVEEPLPPEPGTRVAPKNPRMGMDGGSLEDIAEFFSSREYASDETEDSKNPKSRHKLFSNEEKVLLNKRVPDLDAAASSKWPPLHTLAASGDFYLLDSLLKHNVDINALDKDGLPAIHKAILSKKAAIINYLLRNSANPFIQDKDGATLMHYAVQAACSQTIKTLLLYNVDINRPDDYGWTPLHLAVQTQRTDIVKLLLIKGADRNLKTQDGLTPLELCLRLGHHARTYELLKLLKSFRGKKQRDSVYLEGV >KQK86049 pep chromosome:Setaria_italica_v2.0:IX:24820:29207:-1 gene:SETIT_035217mg transcript:KQK86049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPLPSQPEAAAAAPPPSTSASAPSPAPPPNPPTSAASAAPSSDSTITTHTPNPGTATNPAQTLEAPVPSPAAARPPPPRMRPPYTHLASPITMSSASSAATAAASSSASVLSSSSLAPPVPRGGVVIGVPAPRPAQTPAGYTGFVPPPSLAHQFGSMHRGLDQPPPPSSQFRQPSPGIQNIGMVGSLSTSQMRSGIISGPQQPRPGLPSSTTPIPSGSQMPGSQRTPSHALMRPMSVSSPSPSPALQQTPQNSSTFRPQQRPQVPQPRPQQSAPVTPHQQNVISAQQQQLPHNQLLQQQQQKQQQQSSSQQTQQNTTPKNQQQHSQQQAARTPVTMTQKPDSPAIAKATVLQSVDTAATDANVSETGTRLLNKRSIHELVAQIDPNEKLDPEVEDVLMDIAEDFVESVTTFACSLAKHRKSNTLEAKDVLLHAERSWNITLPGFSGDEIKLYKKQHINDIHRERLALIKKSMATDTKKLHPSCNEPEKSDSQTTCPCFPVKDVCKPLVFASVVL >KQK86297 pep chromosome:Setaria_italica_v2.0:IX:1171068:1174788:1 gene:SETIT_038069mg transcript:KQK86297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPTPSRCMVAPRVLTAPSRARAAATIASLSSSVPRTPSERVSARGVAPASELPRRRDEGQVEEEADEAAQEEAPKDEAEIQVDRFASSVLGIVQFGCTLLINSSLLIIKGCVSETIGD >KQK87545 pep chromosome:Setaria_italica_v2.0:IX:7861268:7862153:1 gene:SETIT_037576mg transcript:KQK87545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIQQHHIKALTPTWFLVRVMPAPATREGGNKKAAPNAACSPLLLSPAVWQRAQDEKERMSKDGAERGGGGGLPASPRISCMGQVKGRSRGCSSARGPAPTRGPGYRGAGGGGGKVATLVLGLLGRRNARTSRACAKVRDVPGSSRGVRRCAAATVLVLDPPLPVVRRPATDDDAPSLWERRRGGKALQGLNIDRSEAQS >KQK90801 pep chromosome:Setaria_italica_v2.0:IX:45835904:45837342:-1 gene:SETIT_036723mg transcript:KQK90801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPELLSVPAEAIAAALRFLTEEAGVPEADLPRVLRRRPRLLVSPVAARLRPTLYFLRALGVPDLHRRADLLSFSVEDKLLPRIEFLESLGLPSRAARSMARRFPALFGYGVEGNMRPKAEYLLGAMGRDADELFEFPEYFSYALATRIAPRHEACAARGVRLPLPAMLRPGDAKFRATLAGCVGSTPPRRRSPLWHATWVDDDGGDDHHHHAGAVAKEAAAAV >KQK87579 pep chromosome:Setaria_italica_v2.0:IX:8055629:8056094:-1 gene:SETIT_040302mg transcript:KQK87579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMVLIARKYSVAASIPTEPDKYHRFIVVNVRLCLLIIQMRKQWNLVANWSQLTSGY >KQK86215 pep chromosome:Setaria_italica_v2.0:IX:794060:796424:-1 gene:SETIT_034526mg transcript:KQK86215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPPTPPSHVPPPARSTPATASGPRAEPPGVVLDCKRLDSLMKSGRLTDALDLFDRMPRKNVVAWTSAISGCTRNGRPEAAAAMFADMLASGVATNDFACNAALAACAAAGALGLGEQVHSLAVRAGFAGDAWIGTCLIELYSRCGSLRAAEEVFRQMESPDVVGYTSLVSALCRDGEFARAVEVLCQMMRQGLQPNEHTMTSILAACPRVLGEQIHGYIVKAMGLQSVYASSALIDLYSRNGDCDMARSVFQKLDSKNVVSWCSMMQLCSRDGRLEDALRVFSEMVSEGVEPNEFAFSIALGACGSADLGRQIHCSAIKHNLMTDIRVSNALLSMYGRSGLTEELEAVLDHIENPDLVSWTAAISANFQNGFGEKAVALLSKMHSQGFAPNDYTFSSGLSSCADLALLDQGRQFHCLALKLGCDSKICTGNALINMYSKCGQIASARLAFDVMNLHDVTSWNSLIHGFAQHGDVNLTLEAFSEMCSSGCKPDDSTFLGVLVGCNHAGLVKEGEMFFRLMIDQYGIIPTPSHYACMIDMLGRNGRFDEALGMIEKMPFEPDVLIWKTLLASCKLHRNLDMGKLAADKLMELSERDSASYVLMSNIYAMHGEWQDAGRVRRRMDEVGVKKEAGESWIEVKNEVHTFVARDTSHPDSASIYQMLAELVDVMQDAGLDVRMQVL >KQK88499 pep chromosome:Setaria_italica_v2.0:IX:14691600:14697127:-1 gene:SETIT_035576mg transcript:KQK88499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPYAGGVQSPSPAAAAAVQQQQQNHSLAFRVMRLSRPSLQPDPTALLRFDPRDVFLPEDALTGPDPSAAADFLHGLLHPPDSTAAVPGDFTFRDRFFLRDHADALALPGLLVLPQSFGAIYLGETFCSYISINNSSSFEARDVVIKAEIQTERQRILLLDTSKSPVESIRSGGRYDFIVEHDVKELGAHTLVCTALYNDADGERKYLPQFFKFSVSNPLSVRTKVRTIKDITYLEACIENHTKSNLYMDQVDFEPAQQWKATRLEADEHPSAVKSEIGDLCKQPILIRAGGGIYNYLYQLRSSSDEAAQPKSELSSTLGKFQITWRTNLGEPGRLQTQNIHSTPTASKDVDLRAVKVPPIIYAERPFMVNLCLTNQTDKTVGPFEVFLAPSMTGEESAVLVNGLQKLILPLVEAFESIKFDLSMVATQLGVQKISGITMYAVQEKKYYEPLSDIEIFVDAE >KQK92156 pep chromosome:Setaria_italica_v2.0:IX:54052007:54053578:1 gene:SETIT_039833mg transcript:KQK92156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRVVDVDIHVKPRSKDTWESVDNIGGRAIFIGGHNSSLVSNAAQAKLQPNCIHVLGMPRDGGIPLYTIVLDDMSISFEQESSKDNAGAVSCEVSGTKLVDEKQGGQKESGDHVSSTSLWSSLPRDLLELIVQEVTFMDYLRLPAVCKGWSGLTRSTPIHDAKSPLLLTTRARTQGRLATFNPMTRKKYDLGIYVSSGDPEPQVLRCSKRGWVLVTEGEGYVSMMNPLKGVILCLPPMDNDYFSGITFISEPGSPDFMVVCVSDWRGLGTMTVRTWRNDDEDWTVTESEYQVPFLFPTASHNPVLFDGEFYCLGRDGRLGVFNPNKMTWRVLAKPEPLYDATSMDQNEQRYLVVWKEQLIAIFTVPHGEPMRTFRLDRSQMAWSELEDLSDVVLFMDSRNVLARPATREDLGNRIYVQSFAETDGAATAVFYSVKKRQYCPASYGNIEPVNSIWFEPNL >KQK87711 pep chromosome:Setaria_italica_v2.0:IX:8829834:8831723:1 gene:SETIT_038649mg transcript:KQK87711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGDRAATGAPGAGAAPASTMRFALHVMRGRWFMFFASILIMAAAGGTYIFGIYSKAVKSSLGYDQQTLNTLSFFKDVGANVGILPGLINEVTPPWVVLACGAGMNLAGYLMIYLAVSGRTARPPVWLMCVYIAVGANSQSFANTGALVTAVKNFPEDRGVVLGLLKGFVGLSGAIFTQLYRAIYGTDNDGAELVLLMAWLPAAISLLFIPTIRIMPRRDAAGQERGRERRAFFLFLYASIVLAIYLLIMNVVELEVIRFPKPAYYVTAAVLLLLIFFPIVIVVKQELKTYLQPPAPAITTSSIVTITVDHEKTHPSSAQAAAAGTSPSCFQDVFRPPARGQDYTILQALFSVDMLVLFVATICGIGGTLTAIDNMGQIGQSLGYAQRSITTFVSLVSIWNYAGRVVSGFASEHLLARYKVPRPLALTVVLLLACVGHGLIASGVSNGLYAASVILGFCFGAQWPLLFAIISEVFGLKYYSTLYNFGAVASPVGSYILNVRVAGRMYDREALRQGGRKGRDLTCIGVRCFRESFLIITGVTLLGALVSLVLAWRTREFYRGDLYGRFREVGMVGAAAGGGGGEDKKVREVASSSGGVSDTDTGNKDSTSTSESGNGNKV >KQK87473 pep chromosome:Setaria_italica_v2.0:IX:7478324:7480884:1 gene:SETIT_037361mg transcript:KQK87473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSDSVEGIVLGFVNEQNRPLNSQNVADALQKFNLKKTAVQKALDALADSGQISFKEYGKQKIYIARQDQFDIPNGEELEEMKKANAKLQEELVDQKKAISEVESEVRGLQSNLTLAEIKSKETKLQSEVQEMEEKLNKLRSGVILVKPEDKKIIEDSFAEKVNQWKKRKRMFKELWDNITENSPKDQKEFKEELGLEYDEDVDVNLQSYTDMLASLNKKRKISR >KQK89633 pep chromosome:Setaria_italica_v2.0:IX:34212284:34216291:1 gene:SETIT_035652mg transcript:KQK89633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADDEERPLIRHLPQQDVSSELVTDGTVDINNKPALKQTMGSWRACFFVLGAEFTECVASAAGRDAHLTVSAFLPLLMDSSYHRHIHHVAAYLGLYLVALGNDGNKPCTTALGADQFDATDPVERVSKGSFFNWFYFSISIGFLLSATVVVWVQDNIGWGVWARRVVVAAVSNYNLVLPEDCFFLHEVPSPTEGNCKIQHTSQFRFFDKAAIVAPSSGDEVEDLKMLLRMFPVCASMMPFFAVTAQMSSTFIEQGASMDNRVGPFAIPPVSLATFDNISVMVSIQFYDGVLVSLARRATGKERGLSQLQRLGVGLALSVVGTVYAALVEARRLALMMWQAPAYAVLGAGEVFTAIGILEFLYDQSPDGMKSLGSTLAQLAVAVGNYFNSAVLATVSAVTARGGRPGWIPDDLNEGHLNYFFWLMAALVLVNLLHFLHFSMRYRGSNNNTDS >KQK86351 pep chromosome:Setaria_italica_v2.0:IX:1394917:1398104:1 gene:SETIT_036344mg transcript:KQK86351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMVAGALRRGSGYGSGGFRCSLRLLAQSLRRFASTLVVAEHEGGFVKPSSLSALAAAEAIAKENKISVLLGGSGPALHKAADHAASSHPLVSEVLLADSEALAHPLAEPWAELLHSVQQKGGYSHVIASSTSFGKNLLPRAAALLDVSPVTDVTAIKEPRIFVRPIYAGNALCTVKYTGEDPCMMSVRSTSFSPNTEAMSETKVAPITQVDLSFLSEGKSKWVNLTSQDTERPDLANARAVVTGGRGLKSAENFKLLEQLAEKLGAAVGATRAAVDAGYVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGLVADLFEVLDELLKKIPDKK >KQK90539 pep chromosome:Setaria_italica_v2.0:IX:43515788:43516747:1 gene:SETIT_037179mg transcript:KQK90539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTRSAPASTWNRRRSRRNASSRTSSAAASRRSSGIPVRNSRESLRSRGQHGDDSSAPRWPPWPGASATLASDSTARSRNAAKAGSGSVAWPRLSRTAAAPMMVGSSLRSCRPGGGGGTTSAKVPATCAAARRSHVATRARPGQHAVLISSASTRAPAKPEHVEEQVVAQAADQVGAVDVVAGARRRDLLLRHGRVGRIHQRRQDMGRGNQNLVFLFCPRFCYRSPTIATPFCRVGVMFVFQDESSLQ >KQK86967 pep chromosome:Setaria_italica_v2.0:IX:4767491:4769371:-1 gene:SETIT_035992mg transcript:KQK86967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEATAAEIVVVREYDSARDRRGVEAVERACEVGSCGGGKMCLFTDLLGDPLCRIRHSPASLMLVAEIATGPNTNSTEIAGLVRGCVKTVVSGTTQANDPIHTKVGYVLGLRVSPRHRRKGVGKKLVDRMEEWFRQTGAEYSYMATEQDNEASVRLFTGRCGYAKFRTPSVLVHPVFGHALRPSRSAAIVRLEPREAELLYRWRFAGVEFFPADIDAVLSNDLSLGTFLAVPAGERWEGVEAFLASPPASWAVLSVWNCMDAFRLEVRGAPRLMRAAAGATRLVDRAAPWLGIPSIPNLFAPFGLYFLYGLGGAGADAPRLARALCRHAHNMARDGGCGVVATEVSACEPVRAGVPHWARLGAEDLWCIKRLADGYSSGPLGDWTKAPAGHSIFIDPREF >KQK90298 pep chromosome:Setaria_italica_v2.0:IX:41361142:41368123:-1 gene:SETIT_034185mg transcript:KQK90298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSPPPSRFHLSLNLQNPTPNPSPSRGKPRPAPTTETLRRRLLRRGVSPTPKILHALRKKEALKALRRARKDTAAAAASSSAAALHARDGKLAVVEEDEDETRFRAAAAEYRALVGRPWDGAARGVAPPRGGDGEEEGLEGLREMLVARRGDGFRWLLDDDIEAEAAERKQQKRPGTGWDADPGDEEMRIQLLVSRLNEDSLSSRDWRLTRMMKKADLMYNEDNLLRILDGLEARGNWKQALAVTEWVYNENSYRHRKSRFVYTKLLSILGKSLRATEALRVFNIMRGDAQIYPDMPAYHSIAVTLGRAGLLKELIKIIEYMRQKPSKRVMKMRRKDWDPSLEPDVLVYNSVLNACVLSQQWKGVFWVFQQMRISSLPPTGATFGLAMEVMLKAKKYDFVQKFYEKMQKNGIPPRAITYKVLVRAFWEQGKINEAVEAVNEMEQRGVVGAASVYYELACCLCNNGRWRDAMLQVGKLKQLPLTKPLEYTFTGMILASFNGGYIYECISIFESMKDYCTPNIGTVNVMLKVYGRCDMFGKAKDLFETTKACFSNSQTFVHEHSSLMPDVYTYSSMLEASASAQQWEYFENVYREMALSHHHLDQSKYSWLLIKACRAGKSYLLEHALDSILERGEIPDLQLIVELICQSIAQRDYGRVQQFLTVIAEASIKTNEVEWANILQQNEHQFSVDALRDLINYLSTSGTIDADPALSLVRALQSQCEATSIKDTYLLVDGTGTQQYERSLLENEGENSSSNLTEQDQLTDTRKYWCTNKLLDAPDSDRDIPQLGVAAVMSRDISLSRPRLENKHGQCDLGQSGTQVSAIDEVLDSMSYYGDSLYREVPSASEILELWEQERINDMFDPKAESRTTRTRGS >KQK91002 pep chromosome:Setaria_italica_v2.0:IX:47137682:47139931:-1 gene:SETIT_034392mg transcript:KQK91002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHPLCNHYAALLSAAAGGGRSGARVACAVHCLILRTLPHPPPVHLLNHLLTAYGKAGRPARARRLFDAVPHPNLFTYNALLSTLAHARLLDDMEALFASMPERDVVSYNALVAGFSGAGSPARAAGAYRALLREDNASIRPSRITMSAMVMAASALGDRALGRQFHCQILRLGFGAYAFVGSPLVDMYAKMGLIGDAKRVFDELEGKNVVMYNTMITGLLRCKMVQEARWLFEVMTNRDSITWTTMVTGLTQNGLESEALDVFRRMRVQGITIDQYTFGSILTACGALSALEHGKQIHTYAIRTCYDDNVFVGSALVDMYSKCRSIRMAETVFRRMTFRNIISWTAMIVGYGQNGCSEEAVRAFSDMQRDGIDPDDYTLGSVISSCANLASLEEGAQFHCLALVSGLMPYITVSNALVTLYGKCGSIEDAHRLFDEMPFHDQVSWTALVSGYAQFGKAKETIDLFEEMLSKGVKPDGVTFIGVLSACSRAGFVEKGRSYFYSMQKDHGIAPADDHYTCMIDLYSRSGRLKEAEEFIKQMPVYPDAIGWGTLLSACRLRGEMEIGKWAAENLLEIDPQNPASYVLLCSMHAAKGQWNEVAQLRRGMRDRQVKKEPGCSWIKYKNKVHIFSADDQSHPFSKEIYEKLEWLNSKMVEEGYKPDVSSVLHDVADSDKVHMLSHHSEKLAIAFGLIFVPQELPIRIVKNLRVCVDCHNATKFISKITGRDILVRDAVRFHKFSNGVCSCGDFW >KQK86789 pep chromosome:Setaria_italica_v2.0:IX:3755124:3757285:-1 gene:SETIT_0364382mg transcript:KQK86789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YYRFTQQNLPAWKPAMTPGCVAEIIRRYDVDCVPNAYRGNKQAYIKDSSISKNCSLEVKVLDHIRAPIYVYYELENFYQNHRRYVKSRSDKQLRFGAKYTAESCSPVQLDDNGFPIVPCGLIAWSLFNDTYDFTHGSKEIKINRKNISWKSDREHKFGKNVFPSNFQNGSFIGGGKLDPTVPLREQEDLIVWMRTAALPKFRKLYGVIEEDLQVDETISILIRNNYNTYTFGGKKSIVLSTATWLGGKNDFLGYAYIVTGSLSIFMSILFALIHVKYPRPQGDLNCLSLNRKNSNS >KQK86788 pep chromosome:Setaria_italica_v2.0:IX:3755448:3757283:-1 gene:SETIT_0364382mg transcript:KQK86788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YYRFTQQNLPAWKPAMTPGCLIATFLVIGIIFVPFGLFCLQTSNHVAEIIRRYDVDCVPNAYRGNKQAYIKDSSISKNCSLEVKVLDHIRAPIYVYYELENFYQNHRRYVKSRSDKQLRFGAKYTAESCSPVQLDDNGFPIVPCGLIAWSLFNDTYDFTHGSKEIKINRKNISWKSDREHKFGKNVFPSNFQNGSFIGGGKLDPTVPLREQEDLIVWMRTAALPKFRKLYGVIEEDLQVDETISILIRNNYNTYTFGGKKSIVLSTATWLGGKNDFLGYAYIVTGSLSIFMSILFALIHVKYPRPQGDLNCLSLNRKNSNS >KQK89618 pep chromosome:Setaria_italica_v2.0:IX:33855718:33860098:1 gene:SETIT_039834mg transcript:KQK89618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHLRLATVAVVLSISWATAAADLRRPITLPGCPDKCGNISIPYPFGTKDGCYFDDTFSVTCNLSTTPPATLNDLLTLRGIGYYFGDQANPIGTRTNRSWWTVDLINVDVTRGEARVSMPFSSDCSLNESYHELNLNDMSLNFSTTFLFSATQNVLVGVGQSVRARVFGDMARNNYSAACNSLFDTPAVAQDGLCKGLGCCQAELAPGLVGIMPSMYYQSNSMWKTFPCTYSMVVDRSWYNFSLQDLYGYKVLDKRFPGGAPVVLDWAIRNGSCPAEGKPLPMACRSGNSLCVNATNGYGYLCKCKDGFDGNPYIPDGCQDIDECALRQEKPELRDSYPCNGICKNLIGGYDCNCKFGMKRDSNGTCTPVFPIPAMLLFEEKRKTKEFFIKNGGPILEKVNNIKIFKKDELKAIIEPCNVIGKGGFGEVYKGLLDNQLVAIKKSINVDRSQEKQFANEIIIQSRVIHKNIVKLIGCCLEVDVPMLVYEFVPQGSLHDILHNQSNNVSLSLGTRLNIAAGAAEGLSYMHSKTSTTILHGDIKPGNILLDDNFDPKISDFGISRLIAIDKSHTKCVIGDMCYMDPIYLQSGLLTKKSDVYSFGVVLLELLSRQKAAFGEDRTLVKAFLDCYREDKQVLELFDKEILADKDIGVLHKLAMLIVECLELNVDRRPEMTDVAERLHSLKRSHKN >KQK92981 pep chromosome:Setaria_italica_v2.0:IX:58156497:58166071:-1 gene:SETIT_034257mg transcript:KQK92981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLPRKSTPHKILITDEHREAEISQTVGCICRPESLSDLSQTPTTIATAITDPHFRAHTSLAAAQVTSPASRAPPRDTGQAPSRRRRSPELGAGGSEQARPVAMVRSASMRRSSVPTPAAVPAFTVSPGDYRLLEEVGYGANAVVYRAVFIPTNRTVAVKCLDLDRVNSNLDDVRKEAQTMSLIDHPNIIRSYCSFVVDHNLWVVMPFMSEGSCLHLMKVAYPDGFDEPIIASILKETLKALEYLHRQGHIHRDVKAGNILIDSPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSVLTDLPPLWDRVKALQLKDAAQLALKRMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLICDDDPLELKEDDDSARITDIDKDTSSDGHFGKPTQLNGNNCSERTSAEQGQNGPERSEALSSNNGSAHSERKADGPKNPGSEIDLLPSTSPDGKNCKSEVRQKQRTYSGPIMQSGLHNSSMTERGHIVERDVGGQSVSDKQKNGTRRTNNLSGPLSLPTRASANSLSAPIRSSAGYVGSLGDKPKRTMVEIKGRFSVTSENVDLAKVQEVPMSSASCKLQEGPSLRKSASVGDWSVNAKTMSTSHQRKELCNSSVSSSVLIPHLQNLVKQTVFQQDLITNLLSSLQQNERVDDQSRVQSIGSDTVVEASTAEGERSILVKIFELQSSSLPHSMLLPAIDVSLSTS >KQK92982 pep chromosome:Setaria_italica_v2.0:IX:58156497:58166071:-1 gene:SETIT_034257mg transcript:KQK92982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLPRKSTPHKILITDEHREAEISQTVGCICRPESLSDLSQTPTTIATAITDPHFRAHTSLAAAQVTSPASRAPPRDTGQAPSRRRRSPELGAGGSEQARPVAMVRSASMRRSSVPTPAAVPAFTVSPGDYRLLEEVGYGANAVVYRAVFIPTNRTVAVKCLDLDRVNSNLDDVRKEAQTMSLIDHPNIIRSYCSFVVDHNLWVVMPFMSEGSCLHLMKVAYPDGFDEPIIASILKETLKALEYLHRQGHIHRDVKAGNILIDSPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSVLTDLPPLWDRVKALQLKDAAQLALKRMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLICDDDPLELKEDDDSARITDIDKDTSSDGHFGKPTQLNGNNCSERTSAEQGQNGPERSEALSSNNGSAHSERKADGPKNPGSEIDLLPSTSPDGKNCKSEVRQKQRTYSGPIMQSGLHNSSMTERGHIVERDVGGQSVSDKQKNGTRRTNNLSGPLSLPTRASANSLSAPIRSSAGYVGSLGDKPKRTMVEIKGRFSVTSENVDLAKVQEVPMSSASCKLQEGPSLRKSASVGDWSVNAKTMSTSHQRKELCNSSVSSSVLIPHLQNLVKQTVFQQDLITNLLSSLQQNERVDADQSRVQSIGSDTVVEASTAEGERSILVKIFELQSSSLPHSMLLPAIDVSLSTS >KQK92983 pep chromosome:Setaria_italica_v2.0:IX:58155432:58166071:-1 gene:SETIT_034257mg transcript:KQK92983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLPRKSTPHKILITDEHREAEISQTVGCICRPESLSDLSQTPTTIATAITDPHFRAHTSLAAAQVTSPASRAPPRDTGQAPSRRRRSPELGAGGSEQARPVAMVRSASMRRSSVPTPAAVPAFTVSPGDYRLLEEVGYGANAVVYRAVFIPTNRTVAVKCLDLDRVNSNLDDVRKEAQTMSLIDHPNIIRSYCSFVVDHNLWVVMPFMSEGSCLHLMKVAYPDGFDEPIIASILKETLKALEYLHRQGHIHRDVKAGNILIDSPGVVKLGDFGVSACMFDRGDRQRARNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPELTVKSVLTDLPPLWDRVKALQLKDAAQLALKRMPSSEQEALSMSEYQRGVSAWNFDIEDLKAQASLICDDDPLELKEDDDSARITDIDKDTSSDGHFGKPTQLNGNNCSERTSAEQGQNGPERSEALSSNNGSAHSERKADGPKNPGSEIDLLPSTSPDGKNCKSEVRQKQRTYSGPIMQSGLHNSSMTERGHIVERDVGGQSVSDKQKNGTRRTNNLSGPLSLPTRASANSLSAPIRSSAGYVGSLGDKPKRTMVEIKGRFSVTSENVDLAKVQEVPMSSASCKLQEGPSLRKSASVGDWSVNAKTMSTSHQRKELCNSSVSSSVLIPHLQNLVKQTVFQQDLITNLLSSLQQNERVDDQSRVQSIGSDTVVEASTAEGERSILVKIFELQSRMISLTDELIAAKLKHVQLQGELNALYCQEEIVDRREDENEEA >KQK91843 pep chromosome:Setaria_italica_v2.0:IX:52230582:52232963:1 gene:SETIT_035966mg transcript:KQK91843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSSAAKGALLPWARGGHGGLARVLLGGALLTAGGGGGSCPRRWQSSLPQLDHTDRSDEESCGEIDWDNLGFGLTPTDYMYVMRSSPEDLGGFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRPGRAGYTLFRPEENARRMQHGAERMCMPAPSVDQFVRAVKETVLANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVDDEFHRAMPGGTGGVKTIANYAPGAAGRQEQGLHGRAVPRLGAQALPGGGLFVQRVRRQGRRRRHAGHHGHHPAGDHAQERHRASPGPRLQG >KQK91838 pep chromosome:Setaria_italica_v2.0:IX:52230582:52232963:1 gene:SETIT_035966mg transcript:KQK91838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSSAAKGALLPWARGGHGGLARVLLGGALLTAGGGGGSCPRRWQSSLPQLDHTDRSDEESCGEIDWDNLGFGLTPTDYMYVMRSSPEDLGGFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRPGRAGYTLFRPEENARRMQHGAERMCMPAPSVDQFVRAVKETVLANRRWGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVDDEFHRAMPGGTGGVKTIANYAPVLRAQLDAKSKGFTDVLYLDSVHKRYLEEVSSCNVFVVKGGVVATPATMGTILPGITRKSVIELARDRGYKVEERLVSIDDLIDADEVFCTGTAVVVAPVSTVTYKGQRHAFRTGSDTLAQELYTTLTSIQMGLTEDNKGWTVAVD >KQK91840 pep chromosome:Setaria_italica_v2.0:IX:52230582:52232963:1 gene:SETIT_035966mg transcript:KQK91840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSSAAKGALLPWARGGHGGLARVLLGGALLTAGGGGGSCPRRWQSSLPQLDHTDRSDEESCGEIDWDNLGFGLTPTDYMYVMRSSPEDLGGFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRPGRAGYTLFRPEENARRMQHGAERMCMPAPSVDQFVRAVKETVLANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVDDEFHRAMPGGTGGVKTIANYAPVLRAQLDAKSKGFTDVLYLDSVHKRYLEEVSSCNVFVVKGGVVATPATMGTILPGITRKSVIELARDRGYKVEERLVSIDDLIDADEVFCTGTAVVVAPVSTVTYKGQR >KQK91841 pep chromosome:Setaria_italica_v2.0:IX:52230772:52232043:1 gene:SETIT_035966mg transcript:KQK91841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSSAAKGALLPWARGGHGGLARVLLGGALLTAGGGGGSCPRRWQSSLPQLDHTDRSDEESCGEIDWDNLGFGLTPTDYMYVMRSSPEDLGGFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRPGRAGYTLFRPEENARRMQHGAERMCMPAPSVDQFVRAVKETVLANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVDDEFHRAMPGGTGGVKTIANYAPVRSLAVVAVLPCVLRCACGD >KQK91839 pep chromosome:Setaria_italica_v2.0:IX:52230772:52232043:1 gene:SETIT_035966mg transcript:KQK91839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSSAAKGALLPWARGGHGGLARVLLGGALLTAGGGGGSCPRRWQSSLPQLDHTDRSDEESCGEIDWDNLGFGLTPTDYMYVMRSSPEDLGGFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRPGRAGYTLFRPEENARRMQHGAERMCMPAPSVDQFVRAVKETVLANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVDDEFHRAMPGGTGGVKTIANYAPVRSLAVVAVLPCVLRCACGD >KQK91842 pep chromosome:Setaria_italica_v2.0:IX:52230582:52232963:1 gene:SETIT_035966mg transcript:KQK91842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSSAAKGALLPWARGGHGGLARVLLGGALLTAGGGGGSCPRRWQSSLPQLDHTDRSDEESCGEIDWDNLGFGLTPTDYMYVMRSSPEDLGGFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRPGRAGYTLFRPEENARRMQHGAERMCMPAPSVDQFVRAVKETVLANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVDDEFHRAMPGGTGGVKTIANYAPVLRAQLDAKSKGFTDVLYLDSVHKRYLEEVSSCNVFVVKGGVVATPATMGTILPGITRKSVIELARDRGYKVEERLVSIDDLIDADEVFCTGTAVVVAPVSTVTYKGQRHAFRTGSDTLAQELYTTLTSIQMGLTEDNKGWTVAVD >KQK91844 pep chromosome:Setaria_italica_v2.0:IX:52230955:52232963:1 gene:SETIT_035966mg transcript:KQK91844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPMALPAAMRSIFGARSNRVLLLAARDLQAGGGGGSCPRRWQSSLPQLDHTDRSDEESCGEIDWDNLGFGLTPTDYMYVMRSSPEDLGGFPRGELCRYGNIELSPSSGVLNYAQGLFEGMKAYRRPGRAGYTLFRPEENARRMQHGAERMCMPAPSVDQFVRAVKETVLANRRWVPPQGKGALYLRPLLMGSGPILGLAPAPEYTFLIYAAPVGNYFKEGLAPINLVVDDEFHRAMPGGTGGVKTIANYAPVLRAQLDAKSKGFTDVLYLDSVHKRYLEEVSSCNVFVVKGGVVATPATMGTILPGITRKSVIELARDRGYKVEERLVSIDDLIDADEVFCTGTAVVVAPVSTVTYKGQRHAFRTGSDTLAQELYTTLTSIQMGLTEDNKGWTVAVD >KQK86889 pep chromosome:Setaria_italica_v2.0:IX:4319525:4321590:-1 gene:SETIT_036011mg transcript:KQK86889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDKHKVQILDSFASETYLVPAYKVASQLELYLMTKFNLHTGYPKERDLKLEKQKNINDCGFHVLLYIQRYGRSDMYKIDTEQILCYRKQLAYELKHESNDHPSTSTLNLDDSPLHVYSARPPPGRSTTSPSVSGSLSATESDPLGAKKQSAEEEGTSSNRLVPNEKEEGAAAHTKRKGRRTEKAVGTPVVSQRPDTGAAAQELCNLLLKADPNSESNLISFPSLKMTIKEGVTFLRDGSATIEMLRETIKIIRGFEAKNPNRYKIIVGPMDMSTLDDTGSEAFKDAVKTQMVVPSIPQDCKMVFLPVKKGSNWLVYCINGVCKRIDYLICSSNEEPMAATELCKPLLKEVDFHGRKMFGFMDWSPAVVPLEKKISSSDTGLLAILEDIEISVSPFF >KQK92389 pep chromosome:Setaria_italica_v2.0:IX:55273953:55277774:-1 gene:SETIT_036994mg transcript:KQK92389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSSLCSSFASLRTASLGHRRGLAFSSPRKAFQVRATSRVDKFSKNDIIVSPSILSANFSKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSSTIHLHRTVNQIKSLGAKAGVVLNPATPLSAIDYVLDVVDLVLIMSVNPGFGGQSFIESQVKKIADLRRLCAEKGVNPWIEVDGGVGPNNAYKVIEAGANAIVAGSAVFGAPDYAAAIKGIKTSQRPVAVPA >KQK92190 pep chromosome:Setaria_italica_v2.0:IX:54236672:54243913:1 gene:SETIT_034076mg transcript:KQK92190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEARRYAIAPQLDIEQILKEAQNRWLRPAEICEILKNYRNFRITPEPPNRPPSGSLFLFDRKVLRYFRKDGHNWRKKRDGKTVKEAHERLKSGSIDVLHCYYAHGEENENFQRRSYWMLEEDFMHIVLVHYLEVKGGKSSSRIRGHDDMLQAARTDSPLSQLPSQTTEGESSLSGQASEYEETESGNHQGFLATTTTTDFYSHGQDALPVALNEPGFGIAFDEADNQLDPSSLNGLVKPDQGVHRMAPPQIADPSKQFPFTEGSGIESFTFDEVYSNGLSIKDADTVGTDEESLWQLPGAISSFPTEDSSQQNGRSLEEAINHPLLKTQSSSLSDILKDSFKKNDSFTRWMSKELGEVDDSPIKSSSGVYWNSEDTDNIIEASSRDQLDQFTVDPVVAQDQLFSIFDFSPSWAYAGSKTRVLITGRFLNSDEVQRCKWSCMFGEVEVPAEISADGTLRCYSPSHKPGRVPFYVTCSNRLACSEIREFEFRPSNSQHIDGPTPHDIANKTYLQMRLDDLLSLGQDEYQATVSNPTKDMIDLSKKISSLMTDNDSWSELLKLAGDNELATDDKQDQFFENRVKEKLHIWLVHKAGDGGKGPSVLDEEGQGVLHLAAALGYDWAIRPTISAGVSINFRDAHGWTALHWAAFCGRERTVVALIALGAAPGALTDPTPDFPTGSTPADLASANGYKGISGFLAESSLTSHLQTLNLKEAMWSNAPEISGLPGIGDVTERKLSPLAGEGLLAGSMGDSLGAVRNATQAAARIYQVFRMQSFQRKQVVQYEDDNGAISDDCALSLLSVKPSKPGQLDPLHAAATRIQNKYRGWKGRKEFLLIRQRIIKIQAHVRGHQVRKHYRKIIWSVGIVEKVILRWRRRGAGLRGFRSTEGAAEGTSSSGSDLIQNKPAEDDYDFLQQGRKQTEERLQKALARVKSMVQYPDARDQYQRILNVVTKMQESQAMQEKMLESSTDMDEGLAMSDFEELWGDDMPMPGYI >KQK91820 pep chromosome:Setaria_italica_v2.0:IX:52001067:52002978:-1 gene:SETIT_036806mg transcript:KQK91820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEIPVPEAAMAMPEPSSCLTLRIFYLRVSRCEVDESMADTLILTHIPLTPDTDLEVSGADRKPSVSSGKGSSTVTCSLRRDRVDSRSEEATFVSTASIRMSGSVRFEVQSKDERLLVGILEMCDAEGKKSWVMKCQVATQRGSGFLRCGCGGREAKPPAVEVYVAGVSRGTPTVFTKAMQLRFRRRRQVKAFMDPIPECGEQAEDMKETPPKHGPQESEYRCYKPDPDADDEDSLYLKSAGLDDDEEYSELSWFTAGVRVGVGIGLGICLGVGIGAGLLVRSYQSTSRTLKRRLISNLL >KQK91996 pep chromosome:Setaria_italica_v2.0:IX:53137945:53139387:-1 gene:SETIT_039501mg transcript:KQK91996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSTSVASSGDTAPPRMYFIPFPTPGHALPMSDLARLFASRGADATLVLTRANAARLGGPVARAAAAGLRIRVHALTLPAEAAGLTGGHESADDLPNRELAGPFAVAVDLLAPLFADLLRRHPADAVVFDGVLPWAATAAPELGIPRYAFTGTGCFALSVQRALLLHSPQNGVASDTEPFLVPGLPDPVRLTRSRLAEATLPGADSREFLNRMFDVERATAGWVVNSFADLEQRYVEHYEKVTGKPVFAVGPVCFVNGDGDDTLERGRGGEAVAAAEAARVLEWLDTKPVRSVVYVCFGSLTRFPREQVSELGMGLAYSGANFVWVVGDKSAPPLPDIDAAAPGRGLVVRGWAPQVAVLRHAAVGAFVTHCGWGAVTEAAAAGVPVLTWPVFAEQFYNEALVVGIAGTGVAMGAERGYVWGGEALGGVVVGREAVAERVRSALADKALRRRAGEIGGRARRAVEAGGSSYEAVGALLED >KQK92101 pep chromosome:Setaria_italica_v2.0:IX:53658763:53662966:1 gene:SETIT_036446mg transcript:KQK92101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLSKHLLFRLRGGGGEQRLLLSRASTSHASSPAPPPPPPPPGAAAPPPPGAGKEASAWSKLFLFAPGAITFGLGTWQLFRRQEKIEMLDYRTRRLEMEPVAWNEVASTGALRDPDALEFRKIVCEGDFDEEKSVFVGPRSRSISGVTENGYYVITPLIPRSTEPGSLQSPILVNRGWVPRGWRYKNVKDHQILHEASEPKAVKQPDGKSSWWKFWSNEPKSSPEIEKPREPPVRVIGVIRGSEKPSIFVPANEPSSGQWFYVDVPMIARACGLPENTVYIEDINEDVLPTNPYPVPKDVNTLIRHSVMPEDHLKYTFTWYTLSAAVTYMASKRIKAKKVRL >KQK89027 pep chromosome:Setaria_italica_v2.0:IX:19655729:19658679:1 gene:SETIT_037700mg transcript:KQK89027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLAGTPFRSLFPPSAVAAPRRTATAPPPLAFHRRSPLTGALLFLSLGGVAGVRSFSSAQMESASTTVPSIVVYVTVPNREAGKKLAGSIISEKLAACVNIVPGVESVYWWEGKVQSDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPIKGGNLKYLEWLKNSTREN >KQK89028 pep chromosome:Setaria_italica_v2.0:IX:19655729:19658679:1 gene:SETIT_037700mg transcript:KQK89028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLAGTPFRSLFPPSAVAAPRRTATAPPPLAFHRRSPLTGALLFLSLGGVAGCVLPLLRVRSFSSAQMESASTTVPSIVVYVTVPNREAGKKLAGSIISEKLAACVNIVPGVESVYWWEGKVQSDAEELLIIKTRESLLDALTEHVKANHEYDVPEVIALPIKGGNLKYLEWLKNSTREN >KQK88358 pep chromosome:Setaria_italica_v2.0:IX:13552910:13553420:1 gene:SETIT_038252mg transcript:KQK88358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPARLLLVLMAAVTVILAAPAPAMGAWVDYPSGVPCGETIPVEQCDPGDAAANSACMDMCHYGGCRRGGQCVSLGFGRGRGCHCKC >KQK87588 pep chromosome:Setaria_italica_v2.0:IX:8089859:8090583:1 gene:SETIT_040622mg transcript:KQK87588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCKTFVYCLGVNFFYSQCFFFVMLCCLFIFLFGNSWVCYVRMVHDDLPLS >KQK87774 pep chromosome:Setaria_italica_v2.0:IX:9273551:9274624:-1 gene:SETIT_039325mg transcript:KQK87774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLQHERPMAAVVNDDCGSEATLFPSLRALREASHAAEMVQELIAAAPASSWSSGSGDTTEGSSGGNNSAGGAAMDHDAWPPTPNSASRRAAPSRSSGGTNPPVSWNFGAASALPGSDGVLAEAARTRRLPEIVCVSPLTRRTGVKGTGSMSAPYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATKYVKELQEKLKDLEAGGSNGHRSIETVVVVKRPCLHAPAVPDDDGSPLSASSGTPAERKQLPEIEARFSEKSVMVRITCENGKGVAVKVLTEVEELRLSIIHANVMPFPAGTLIITITAKASFDD >KQK90821 pep chromosome:Setaria_italica_v2.0:IX:45983525:45991621:1 gene:SETIT_034295mg transcript:KQK90821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPPPLPLLLFVLLLAILPSPPAAAAAAGGPSAWGALRGAAGSRRASPAEQERAAAGVLLRLLPSHARSFNFQIDSKGSVCGESSCFRISNVVDNSGKGGAEILIQGTTGVELVSGLHWYLKYWCGVHISWDKTGGAQLASVPSPGSLPRVKGEGVKIERPVPWNYYQNVVTSSYSFVWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKVFKSFNVTDRDLDDFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLALQKKILSRMIEFGMVPVLPSFSGNVPAVFSKLFPSANITQLGDWNTVDADPRWCCTYLLGPSDALFIEVGQAFIKQQIKEYGDVTNIYNCDTFNENTPPTNEPAYISSLGSAIYEAMSRGNKNAVWLMQGWLFYSDAAFWKEPQMKALLHSVPIGKMIVLDLFADVKPIWKMSSQFYGVPYIWCLLHNFGGNIEMYGILDSIASGPIDARTSYNSTMIGVGMCMEGIEQNPVVYELTSEMAFRNKKVEVEDWLKTYSNRRYGQANAEIEKAWRYLYHTIYNCTDGIADHNKDYIVEFPDISPSSFSTQLSKRRGMSISSNHRRFFLSEVSGSLPHPHLWYSTKEAIKALELFLNAGNDFSKSITYRYDLVDLTRQCLSKLANEVYLDAMRSYQKKDSNGLNSHARKFLEIIADIDTLLAADDNFLLGPWLESAKSLAMTESERKQYEWNARTQVTMWYDNTETEQSKLHDYANKFWSGLLKSYYLPRASKYFAYLTRSLQENRSFQLEEWRKDWISYSNEWQSGKELYGVKATGDALAIARSLYRKYFS >KQK87795 pep chromosome:Setaria_italica_v2.0:IX:9410617:9411584:-1 gene:SETIT_039648mg transcript:KQK87795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSREVLLLLGLLVVGLLAAAPGDAAAVRGCQPEVLAPTVALFCAPGQPAKWCCQALVHSASVGGGARCLCRLAAEEPRVRAWLNGTELLRLYASCRAARGGHAGGGAPSYPPAPSVCGRGEGAPPSAAGAPVDTSGCATAGRRWRALLLPRAAAEQQLRRRPDLQPLRRLHDGFRPRSHPPPHRLHVPVQPGVVAGACGLCVKSWWLSAAGRPPRSSLNMSPMHRRHGLAYRVFRVPVVDVLLVLATSFVFFSFPIRFYLCDDLPVVVWTWLAMWLCTCVVRESFV >KQK89001 pep chromosome:Setaria_italica_v2.0:IX:19393250:19394172:1 gene:SETIT_040785mg transcript:KQK89001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEIVKNEFATKVRDNQNDDLKKKVTKSRAKAVDKDILNSKRTKSKPDVASDELIAKVIDHHRRGELRLLTVADLKSFLGAKKSKVGGTKEVLIQRVTELLA >KQK92750 pep chromosome:Setaria_italica_v2.0:IX:57060603:57066047:-1 gene:SETIT_034652mg transcript:KQK92750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTRKPPPPPPPPPPPPPPPAETPSPQRRRKKKGRPSLLDLQRRSLRLQAQNPSPAPSPSRRDPNPSDDDEDGAGSGRRRQKRLKSVLSGVVKEEPGEGKKDAAKATGKGLAALDGGGPTGTPLPDKKLLLFILDRLQKKDTYGVFSEPVDPEELPDYHEIIEHPMDFSTIREKLLNDSYSNLEQFEDDVFLLTSNAMSYNSADTIYFRQARSIEALAKKDFENLRQPSDEEEEPKPPARRGRPPKNPKTEDDVSPDLSNVKTNKPEDNADTIRKRSTGDRTRNTTPLKDPSTFHSMFGSFSAKRTDKIGDYSGSSKWGKKPPSLDDDRRSTYDQHYSRNSSLFGAFDDERKLLVPVGVQQQHAYARSLARFAAKLGPVGWDIAANRIRRALPPGTNFGPGWIVDGEPPQNSQWPPVVTSTNPSESTAPPNMPSKIDVLHHKSGPSSNGAVTGEEHLTRTQTVPSTSASFDKSSEIASKVIKHENGANKSCGGIDNTGPAPPLQHHSHSREIHSNINGFSAVSNAMSQFAGHGLFGSGIPMTHAQVLGMFSGMNGKANGYIHGHQLTADSLKAAQNGDVGKATVNPVQGASHDPKIVNDNSSAHPSLNAGVQSSGSLPKGKLVNPKHPDLALQL >KQK89123 pep chromosome:Setaria_italica_v2.0:IX:21071669:21072117:-1 gene:SETIT_038834mg transcript:KQK89123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHRAGRINDDEINELISKLQSVLPESSRRGAAGRSSASKLLQETCQYIRRLHREVDDLNNRLSELMETMDGDSPQADIIRSLLRSL >KQK86700 pep chromosome:Setaria_italica_v2.0:IX:3354224:3355045:-1 gene:SETIT_040010mg transcript:KQK86700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVTLRRFELTDVDAMMAWASDPQVAAFCRWEPYESREPLLAFLRDTVLPHPWFRAVCAVPGGAVVGAVSVAPTAERCRGELGYVLARAHWGKGVATAAVRRALRDVFAEVEGLRRVEALVDVDNPASQRVAEKAGFRREGVLRRHYWHKGRARDMRSVVTCEFHEFHWCG >KQK86773 pep chromosome:Setaria_italica_v2.0:IX:3658965:3661399:1 gene:SETIT_037211mg transcript:KQK86773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPRRASVAAAVATAVLLLLASVAAGDDRNGVYDPCADTTVGRGDGFTFGVAFTGRDAFFSGGVQLSPCDSRLGLSNRAQVALFRPQVDEISLLTINSSSFDPSSSGGYMVAFAGRKYAARSAPVFVGNSSYTVTSFTLVLEFQKGRLENLFWKDGDCKSCSGRADFACVDKSCAVKTTSCKGKGGQADCSPGIQLAFSGTDKHEDVLNSWYEVSKLRQYSLFGLFSNLKDSLASQFSSFF >KQK86786 pep chromosome:Setaria_italica_v2.0:IX:3739977:3741617:1 gene:SETIT_040658mg transcript:KQK86786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVKSDGSDSGLVLLDVFGSPFAQRVSIALAEKGLAYERAEQDLTAKSDLLRRSNPVHGKVPVLLHDGRPVCESLAILQYLDEAFPGTPPLLPPVSDPYARAGARFWGEYADRLHFCGKRLWLSAPGGDGGEAEPGARAEMATVLRALEAELGEGDFFGGAAFGYVDVAAAPFAAWFLTYERHGGPSVAEECPALAAWAARCSRRESVAANVYPPEKVHELVQEYRRWMLGRK >KQK90624 pep chromosome:Setaria_italica_v2.0:IX:44030256:44033634:-1 gene:SETIT_035366mg transcript:KQK90624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLPIKMKRGLLILWSLFYSSAANIATRNQANQPLEFDHWILSSKHVQGKQDSTLKNGTSPSVHRGRDPKNNLQEQDKIVSMPGQTGVVEFGQYAGYVTVDAKAGRALFYYFVEAPQDPLDKPLVLWLNGGPGCSSFGSGAMLELGPFSVRNDNKTLYRKRHAWNRVANMLFVEIPAGVGYSYSNTTSDYYNTGDQRTTDDAYTFIVNWLEKFPEYRDRDFFITGESYAGHYIPELANLIVSKNRASNATNVKLKGVAIGNADLDDNLTLRASFDYYWMHAMISRKAYRAIKDKCDFNGTYTKDCQNAMNLATQEKGNVDDYDIYAPTCHDASNPSKSSDSLVGDPCTNHYVSSYLNRPEVQKALHANTTGLHYPWMDCSQHVFDNWKDSPETMLPSIKKLISSGIRIWLYSGDMDAVCSFISTQYVLDILGLPIETSWRPWSIDNEVAGYVIGYKGLVFATVRGAGHMVPYYQPRRALALFSSFLEGKLPPH >KQK86607 pep chromosome:Setaria_italica_v2.0:IX:2878129:2879140:-1 gene:SETIT_037590mg transcript:KQK86607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGPAARGRKTTLHGEGTERAFRQQKKGKASMPKPRSEGKPKATLPNHPDHPLQLAAAPEAVAFLTRAPTRSRRRGPAIKGGTHAPAPFPVAACLRHRATTFSSQQLLAFFQLPRAHRRRNRGGHGKKKMNDPKYAYPYPAQGYYQGPYQGPPVMAPPQYAAAPPRREPSFLEGCLAALCCCCLIDECCCDPSIIFVS >KQK86608 pep chromosome:Setaria_italica_v2.0:IX:2877879:2879140:-1 gene:SETIT_037590mg transcript:KQK86608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGPAARGRKTTLHGEGTERAFRQQKKGKASMPKPRSEGKPKATLPNHPDHPLQLAAAPEAVAFLTRAPTRSRRRGPAIKGGTHAPAPFPVAACLRHRATTFSSQQLLAFFQLPRAHRRRNRGGHGKKKMNDPKYAYPYPAQGYYQGPYQGPPVMAPPQYAAAPPRREPSFLEAWPRSAAAA >KQK87854 pep chromosome:Setaria_italica_v2.0:IX:9784349:9785417:1 gene:SETIT_038233mg transcript:KQK87854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPALISPVLAQRILRTGKAVNFLRVCCDDSCWAAAAAEAAAYVGTTTSRGGLGYAETDALEALVEATKRIDTHLMGCDDGFVDHFIMSIQS >KQK87642 pep chromosome:Setaria_italica_v2.0:IX:8357963:8361088:-1 gene:SETIT_034040mg transcript:KQK87642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMSKELDDLRVEVESLAAQLRAKSDLADGLKRASADQAARLRDAREDAERHAAEAAARGEEAAAAGERCGQLEARLAEKEQALRHLCGVHEALKGTLREKTEGLEADKMGLLAALEDAEARQAEQEAALRARDEEVARLRGLLSEKERRCGEAEKMGVAPREMVMRDDMLEKLEEEKAAVEGKLKWKAEQFRHLEEALKKVQDDFRAAKREWGSDRSTLVDRIGALEADLDSKARISEDFRCRLEMCSQALAHEEGRRKRVEAEMSELRHMYGNVVSEYEEARSMVESLTANRDGEIASLRSSLAEKVTLLKEMGYSKERLEQENDDLRSTLKEYQEAQISGADAVVSLKDLREKFRALEQTHRSCTEKLRDKEDKWRMQMEKLGSDLDGCLSQLESKDTLTRELQNELLGSYKSLELQTVENWEALIIAIVVQSKFHESCSCIDTVRLNIHHHCEGTEKEIASVRKQLEERNCTIVQSQAERKQQSEVIAKLQGRIEELERTEQEHEKMQRQLDTYKEMLEDTSRDVHCIKDEASEKENNLQEKLREALGALDEANCALADRNNELSQLEINLHQQKQATEHLEKLKVDMQSELKGYMDSNHTLKRDLDAALVAKMEAEEVLIQEKVKLLGALNEANYVLSERSSELSQIENNFHQQKQALEHLEKLKVDMETELKTYMDENCVLKRDLDVALIAKMEYEECHTKEKEKLCGIISEKGKVIDELQQYIAVLEEENLGQKLDLGGLIKMQYEKSIQEVNNNYSEIVEVYDIKLLELEERVSFFEQKFTSREQEIMDMFDQEEADWYTLIAEKEIAISDIQRTVESVKVDIEKLLETAAAKVTEVQLEVNQLYRFAETLNSLNIIQEHDRVFKDMLITECDRELESLRVALVLEKEQSGNLKNLTEQLKAQATAEMLEKSKEHLEVANKLKSLEERKEMLEEQLGVLKSRTTDLSNVVLQERNELVDELTGLTNTIGEVIYGGENLMSNLRRITQKVNEEEPYNDTPSSEKTNGRTSAPLIKNKSGHVLDRRSPLKEHNY >KQK90719 pep chromosome:Setaria_italica_v2.0:IX:45302015:45302257:-1 gene:SETIT_040784mg transcript:KQK90719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARITAGCGSARRPRRETPPVDWSFVELEPAHDVL >KQK86262 pep chromosome:Setaria_italica_v2.0:IX:998477:1003065:1 gene:SETIT_035181mg transcript:KQK86262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRRRCFTAAANRIRPLARAFCDAPAPRADAAPGGAPGSQDRTQEVGGVKAAPDVLDVAIVGGGMVGLAVACALSNMPLTKHLRVAIIDSNPALKSRNHLTKNSIPDSRVSTVTPATISFFKDIGAWEHVQQQRHAFFGKMQVWDYTGLGYTRYNARDVGKEYLGCVVENKVLCNSLLLRFQEELDDIESVIYPTRLVSLTFPSISKQAGMKPTSSEPLSAGHATEELHRSNLVKLDLSDGRTLYSKLVIGADGSKSNVRQIAGIKTTGWNYPQSAIICTVEHVVENDCAWQRFLPSGPIALLPIGNNFSNIVWTMSPEESLRHKSMSPEEFVKSVNHALDFGYGPHPRSSALDHYMEKFFSGIGNTAASTKECFEVPPKATGVVSERMAFPLSLMHSHDYVSKRLALVGDAAHTVHPLAGQGVNLGFGDAAALAKVIAEGVSVGSDVGDLTLLQRYEKDRKAANVAMTAVLDGFQKMYSVDFGPLNVVRAAAFHGAQYISPLKRNIISYAMGDTKWPIFS >KQK89640 pep chromosome:Setaria_italica_v2.0:IX:34319696:34321814:1 gene:SETIT_036496mg transcript:KQK89640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSAPRAAAVVAGVLVVMAALCLHGATAQLCEEYYDDTCPNAYDTVKQVLIDAHDSDTRIFASLIRLHFHDCFVQGCDGSLLLDTVPGMQSEKESPPNNASARGFEVVDAAKAALEAACPGVVSCADILAIAAEISVELSGGPSWGVLLGRLDGKTSDFNGSLDLPAPTDNLTVLQQKFSNLSLNDVDLVALSGGHTFGRVQCQFVTDRLYNFSGTNMPDPTLDSAYRAFLSQRCPRNGNGSVLNDLDPTTPDTFDNNYYTNIEVNRGILNSDQELKSSPQAQGTTAPIVDQFASSQDAFFASFAQSMINMGNIRPLTDPSVGEVRTNCRRVNGR >KQK90137 pep chromosome:Setaria_italica_v2.0:IX:40083664:40086112:-1 gene:SETIT_036259mg transcript:KQK90137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGVEPETPPGSSRSGGTTPVGGKPPRHHLTSIRHCASSARIASASAEFELDSGTLSLISPTDIRPGFLPVFRSGSCADIGPKSYMEDEHVCVDNLVEHLGLRGPGIPAPGAFYGVFDGHGGTDAACFVRKNILKFITEDCHFPNNMEKAIRSAFVKADHAIADSHSLDRNSGTTALTVLIFGRTLLVANAGDCRAVLGKRGRAVELSRDHKPNCTVERLRIENLGGTVFDGYLNGQLAVARAIGDWHMKGSKGSICPLTPEPEFREVRLTEEDEFLIVGCDGLWDVMSSQCAVSMVRKELMAHNDPQRCSHELVQEALRRDCCDNLTVVVVCFSADPPPQIEVPRFRVRRSISMEGLHTLKGALDSNV >KQK89393 pep chromosome:Setaria_italica_v2.0:IX:27500404:27503969:-1 gene:SETIT_035881mg transcript:KQK89393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIWCVVQEEQGEPDPKLKIIERPVEDGDEEKKCGGGGGEEEKNGSRGDTVDEEDSEEDSEDDSEVDSEDDMRGWDEEDGNPYLPVKWPWEYPLHICPEGQKYTLEEAKEIVESTWERNGDLLSEWSDLFNNNTTPLPALPLRVLPRVTKNCVSGDDCYHVQYWIGDTDETALDHPYFIPCEMMQVFSLGLSSPLARPINIYGHFSVRDAWEPLRNYLFNRSRNDPAMISQGCSFLPLCSPCRGIYVCPYFLMDVNLWIKEEEGSPDTPLFSGYVEIDTSFAGFGSVLIGRIETVIEVKAEAEQPSDVRISASTSGFDEEISLYDGKFCGSGSMFKHIVAVKKQEELHVVLKMNESTYKWTFKAGIGVVIAPEHPISGFTQYFVMNVSFRTKGKAASAWQWSCICNDIENVSVE >KQK88459 pep chromosome:Setaria_italica_v2.0:IX:14422306:14425126:1 gene:SETIT_037431mg transcript:KQK88459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAELWETLKQAIVAYTGLSPAAFFTAVAVAAALYHVVSGLFAPPPPPPPRPREEPEAEPLPPPVQMGEVSEEELRKYDGSDPKKPLLMAIKGQIYDVTQSRMFYGPGGPYALFAGKDASRALAKMSFEPQDLTGDISGLGPFELDALQDWEYKFMSKYVKVGTVKKTVPGEEGNTASSAAETSETAAEAPATEEKPREVAAEEVKEKEAAPVEGAKES >KQK87885 pep chromosome:Setaria_italica_v2.0:IX:9955387:9956054:1 gene:SETIT_040789mg transcript:KQK87885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWRNSLTTGKMTSHKNLRLCPLEAQLNKVAVSHCVLV >KQK92080 pep chromosome:Setaria_italica_v2.0:IX:53580203:53583000:1 gene:SETIT_037527mg transcript:KQK92080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNIGKSTNILWHNCPIGQSDRQKLLGQKGCVVWITGLSGSGKSTLACALSRELHCRGHLTYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGIICIASLISPYRRDRDACRALLPDSKFIEVFMDLPLKICEARDPKGLYKLARTGKIKGFTGIDDPYEPPVNGEIVIQMKDGECPSPKAMAKQVMCYLEENGCLQA >KQK87314 pep chromosome:Setaria_italica_v2.0:IX:6588734:6590512:-1 gene:SETIT_034813mg transcript:KQK87314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLSVPLRRGLSTAAAGDPSLASSAEHAYRLLRLHHSDPQRLVAALSASGLDPSSPHLLDAVLRRCGASSSLALHFFHWCFPSLPSPLPSSLALLAKSFSRASSAPSPSLLAPLPSQLLGPSLLCPIIRRLPQPRLLPFALSLLSARPDHDHPALFLSLLESLSKAGHVATAEQLVEELQPRLPLSLRHYTALLYGWCRQGKLDEAKHVLARMKAADVALDVVAFNTLLAGFVADGRFEDAFELAREMERRGCPPNAVSYTTLMQGLGARGRVDEAMRVFVEMRRKGCAPDAVTYGTLVSAFCRAGKISQGYEFLDAMSREGLRVDAAVYHGFFVAHEKKEQLEECLELMERMRECRCPPDLKIYNVVIRLACKLGETKQAMSLWNEMENSGLSPGVDTFAIMVSGLVGQGALIEACSYFKDMTGRGLFVAPQYGVLKDLLNALVRDEKLELAKDVWECIVAKGCELNVSAWTIWIHALYAKKHVKEACLYCLDMLEAGLMPQPDTFAKLMKGLKKLYNRQIAAEITEKVRKMAEERHVSFKMYKRRGVRDLEEKPKAKRRRGQKKSRCRQSGQGQSSRNADLLDASDDE >KQK90489 pep chromosome:Setaria_italica_v2.0:IX:43100326:43101333:-1 gene:SETIT_0362371mg transcript:KQK90489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQQPDGSCDGHPEPEARWCAVTGGRGFMARHLVAALLRSGKWRVRVTDLAPAIVLGPGEVEALLSDALRDGRAVYARADICNVDQLIEAFEGVDVVFHTAAADPAKNDLQLHYKVNVEGTKNVIDACKICKVKRLIYTSSSAVVFDGVHGLFNVNESMPYPDK >KQK92162 pep chromosome:Setaria_italica_v2.0:IX:54067580:54073510:-1 gene:SETIT_035357mg transcript:KQK92162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPSTATIALVLAVLSLAQYAFLATAAGPRVIIVGAGMSGISAGKRLSEAGITDLLILEATDHVGGRMHKQNFAGINVEVGANWVEGVNGGKMNPIWPIVNSTLKLRNFRSDFDYLAQNVYKENGGLYDQDYVQKRLDQADKAEESGKKLSGTLPASGSNDMSILAMQRLYDHQPNGPEMPVDMALDYYKFDYELAEPPRVSSMQNCVPLPTFSDFGDDTYFVADQRGYESVVYHLAGQYLKTDKSGKIVDPRLKLNKVVREISYSPNGVTVKTEDNSVYQADYVMVSASLGVLQSDLIQFKPQLPAWKVVAIYKFDMAVYTKIFVKFPKKFWPEGKGREFFLYASSRRGYYVVWQEFEKQYPDANVLLVTVTDEESRRIEQQSDNQTKAEIMEVLRKMFPGKDVPDATDILVPRWWSDRFYKGTFSNWPIGVSRYEYDQLRAPVGRVYFTGEHTSEHYNGYVHGAYLAGIDSAEILINCAQKKMCKYHVQGKYD >KQK91885 pep chromosome:Setaria_italica_v2.0:IX:52527734:52531388:-1 gene:SETIT_036592mg transcript:KQK91885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAATRALKSVSHAVFSWKPTGRPQQTLAAAVSRSGVGLHSGARVTATLLPTYAGEGRYFQVEGKEEARVAAEVGNAEPRSQLCTTLQRGEGCGPRIRTVEHLLSAMEALGVDNCRVEVIGGDEIPLLDGSAQEWVEAIRSAGLCAAEDTGGQKLEKLAPQIHEPVYLQRDDCFVAAFPSSQIHITYGIDFPKVPAIGCQWFSTFLDANIYSSKIAPARTFCIFEEIEKMRGAGLIKGGSLENAMVCSMSGGWLNPPVRFEDEPCRHKILDLIGDFSLLAQNGNQGFPIAHIIAYKELRSLSLPTSHQRKCVQVVELGFADRPSGAI >KQK91884 pep chromosome:Setaria_italica_v2.0:IX:52526614:52531398:-1 gene:SETIT_036592mg transcript:KQK91884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAATRALKSVSHAVFSWKPTGRPQQTLAAAVSRSGVGLHSGARVTATLLPTYAGEGRYFQVEGKEEARVAAEVGNAEPRSQLCTTLQRGEGCGPRIRTVEHLLSAMEALGVDNCRVEVIGGDEIPLLDGSAQEWVEAIRSAGLCAAEDTGGQKLEKLAPQIHEPVYLQRDDCFVAAFPSSQIHITYGIDFPKVPAIGCQWFSTFLDANIYSSKIAPARTFCIFEEIEKMRGAGLIKGGSLENAMVCSMSGGWLNPPVRFEDEPCRHKILDLIGDFSLLAQNGNQGFPIAHIIAYKAGHALHTDFLRHLCGRITVDQEEVARQC >KQK92939 pep chromosome:Setaria_italica_v2.0:IX:57985485:57988101:-1 gene:SETIT_036098mg transcript:KQK92939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVSRPHLSARPVRAASLASPSRACVAAGVRCQAQAAGDLDRHYMRRCVELARKAAGHTSPNPMVGCVIVRDGRVVGEGFHPKAGQPHAEVFALRDAGDLAENATAYVSLEPCNHYGRTPPCTEALINAKVKEVVMGMTDPNPIVASKGIEKLQGAGIDVRVGVEEALCRKLNEAYIHRMLSGKAFATLRATLSMNGIVTNQLGKGADLSGGYYSQLMKEYDGVIISSDLAKMSTLPVSHEAGANQPQYIIIAQGESSRLHIPSLSEEHASKAIVLADSPITVEPAGVEVAVLRQIDLDSILQLLAQRGLCSVLVDFREAGESFASLLNDFQEEKLVQKVVVEVLPVWLASEGLNNLAFGGSQSFPLKNVEHREVNGSVLLEGYV >KQK89712 pep chromosome:Setaria_italica_v2.0:IX:35285323:35287834:-1 gene:SETIT_036887mg transcript:KQK89712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSASATASLSVAAAAAAALRVRRPCARARAWAPSQPTQQGSLLRLKPCASLAPHAPVWRAESDGAGGGAGAGDVMGLLLRERIVFLGNEIEDFLADAVVSQLLLLDAIDPDSDIRLFVNSSGGSLSATMAIYDVMQLVRADVSTIGLGIAGSTASIILGGGTKGKRFAMPNTRIMIHQPVGGASGQALDVEVQAKEILANKRNVIRLVSGFTGRTLEQVEKDIDRDRYMGPLEAVDYGLIDGVIDGDSIIPLEPVPERVKPKYNYEEMYKVPQKFLTPDVPDDEIY >KQK90224 pep chromosome:Setaria_italica_v2.0:IX:40783695:40785313:-1 gene:SETIT_036859mg transcript:KQK90224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIDGELMFGARMVGDRAMIMQAMKRGSSSGAEADDKEEQLSPVAVMDFPFDDDEGEDGDEDGERDVAGAYSPSFSLSRLQRRKMLRPQHKIRRLGSTQELGPMDLEALLAATSDTDDLADDVLAQQVIQCRTEDVAAAAPRPTRSHRGASVVCHEPDEHDLLHLLMDAASAGVDHVSERLLFDFFVEMKRRRGSTEHPEKLSAPAVRLLPQMAERLGDDGEVLAAARGWMDGAGSERWGLNDVLHGGEAIVAEMERGRRWMQVREEEQEISAVVAGMLADQLVDELVWDLFV >KQK90332 pep chromosome:Setaria_italica_v2.0:IX:41665795:41666897:-1 gene:SETIT_036454mg transcript:KQK90332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKQNQPISCSLPPPNQNSKKPSMASQKGAAPTGRSGGADGIRSHTDAENDPSSSAPEDFEFCILSSGGLALAGEDAADMCAADEVFSGGKLLPFRLSSVASADASSALMLLRSDSLDGAAMAASTSGFSSRSVSRSASSSSSSSCVSRSTSSKSASSDPASVASCPPPPSKGATADAAVPPRRSLSSSVFYAHPSPSPRPPRRSARPAAAPAARRSTGSAPPASWGVIRLGVVGAPEMYAPRPAGARGGSRSARFDQPRTAAKEDKKLALGLLGAGLVCSCSPDAVAPVGSAEVAAAEARRRRKKAEEKKRAAKQSGQSTSRRSRILEWLEELSISKEKSVV >KQK87264 pep chromosome:Setaria_italica_v2.0:IX:6320843:6321606:1 gene:SETIT_040681mg transcript:KQK87264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMLTVGGFRWWSVGERSSATVSSAGGRSTDENLKTLRRIGNRPEDQVEAAMVGDGNGHWMLL >KQK90469 pep chromosome:Setaria_italica_v2.0:IX:42914692:42915316:-1 gene:SETIT_038203mg transcript:KQK90469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFISNFRRSVAPLLYLNERKKENGNVQRAPEDFSRGGGGWHMETKVKNRRSHFEQSRNRPKLKRDDSNHPSDYLPSFFSLTFFSPAETKSSVKGYEK >KQK87232 pep chromosome:Setaria_italica_v2.0:IX:6195581:6198006:1 gene:SETIT_034970mg transcript:KQK87232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPALYKAATHGDVRSLRQLVVGDVKILNSTTPQGNTALHVAALYGHASFAREVLKVSEELLIARNADHDTPLHLAAKNGKLKVAELIIVLAQAWPEDPNTDDTLLKSPLIMTNREGNNPLHEAVLHRNTAVALKLLDADPKRAHDLNEQRESPLGMAARQGLFQVVCKIVEVPWVPTDFIQSVGGTILHQAVLGGHNRTVEILVETYPSLLNQTDSNGNNALHYAAQKNKSHSVEVLLGKQDDLAYKPNSERQSPLHVAARYGSTEVIKALLRHCPDAAEMVDSCGRNALHVAAAGGRTSALRSLLRRVRPVELNRVDVNGNTPLHLAAEMSHVQSALLLLKNRQVDPCVLNRDGHTARSLLEVRKTLGRTARLDDMDAHEMYLWKKLKHQESVRCHKQQMPAFAFNRDSRTSSHKYFDRSVETYILVATLIATVTFAATFTMPGGYDQTTGIALHGHNTAFKIFVISNTVAMCSAIVVVYCFIWAWKDPIKFKIDQLVWGHRLTMIAGLGMLVSLMTAVYITVHPKSRWPAYVVIAIGASTPAVVVFMLGRDVIFVPL >KQK90030 pep chromosome:Setaria_italica_v2.0:IX:38757508:38757789:1 gene:SETIT_0403632mg transcript:KQK90030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSLGSVLPHGRATSTEASYPWRVQGVFFSMGTSTDERLCSRVHGGDPSFPWAHHGGECSSPCLPRLE >KQK90026 pep chromosome:Setaria_italica_v2.0:IX:38740929:38745581:1 gene:SETIT_039044mg transcript:KQK90026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFNVALVIVAAVVSVLVLLVSVYLLVNYQHPDDANQAYFPKLVIVLGLTVAVLSILMLPADVANRQACRKAVYNGALNFTVRHLSSSVQPFPNSFSGFSSGQPCFNQLPRQCAASTTPANSQTTWTMRATFPEYVVALTTIVGSVLFTIFGGVGIACLPLGLIFSFVRRPKAVITRSQYIKEATELGKKVRELKKAAEALHQEERSGNKGRKWRKNVKALEKELLLLEDDMKALEEMYPQGEQAEATWAFTVLGYIDKLIFGVVGLIVSIAWVEHIVISLLIDPPLSSFLNEVFIKLDGVWGLLGTAAFAFFCFYLLIAVIAGGDDAWFETCFHHNSPDEVTASYLGILFFPAVLLITNQSICFRWGGTLMNSFLFNVGLILLCSISVIQFGATAFAYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQYGFVALAFLTLFY >KQK88648 pep chromosome:Setaria_italica_v2.0:IX:15740911:15743022:-1 gene:SETIT_036105mg transcript:KQK88648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSVVSGGANGKSQQGSRGKAMLLALGKGLPGQVLPQEKVVESYLQDSTCDDPATRAKLERLCKTTTVRTRYTVMTKELLDEHPELKTEGIPTLTPRLDICNSAVIDLGAAAARAALDDWGRPAADITHLVYISSSELRLPGGDLHLAARLGLSPNTVRTSLLFLGCSGGAAALRTAKDIAENNPGSRVLVTAAETTVLGFRPPSYDRPYDLVGAALFGDGASAVIVGAGPMTPAENPFLELEFSTQEFLPGTDKVIDGKISEEGINFKLGRDLPEKIESRIEGFCRNLMDQVGIKDFNDVFWAVHPGGPAILNRLEFCLELQPEKLKISRKALMNYGNVSSNTIFYVLEYLRDELKKGAISEEWGLILAFGPGITFEGLLVRGVN >KQK86722 pep chromosome:Setaria_italica_v2.0:IX:3451124:3451321:1 gene:SETIT_039704mg transcript:KQK86722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNITRKQQRSMAAYLVVTAWNIWKKRNRRIFEQKALTPPQILQMIQDDIKLRQGACGYPMLGLG >KQK86450 pep chromosome:Setaria_italica_v2.0:IX:1939150:1939957:1 gene:SETIT_040764mg transcript:KQK86450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLYRKDLVKLDGSDPMLLYGYGSYRFALTRVSEDPDYLW >KQK90573 pep chromosome:Setaria_italica_v2.0:IX:43768979:43769920:1 gene:SETIT_039339mg transcript:KQK90573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKVNPWCHWPNPPWKFNTESSAGNIYPPDIGLVDSNSVALPTYLNTVAAPVPFFTASVADRPLPMAPRFVTKLAPSFEFSALYPSHKRSLVFYQENHTPIAAPLISKQTLDPVPELQGSNETNVTDVGAEETEGIHENTDEINALLDSDSDEGYEKVQELNRVRKPSPAENDTLSVESVASAGASAGSVRPAKKRKLSSGTDKSVVDTASSARLDHSIEQKLLVNDSDAQSCCIGEVESDHKFFLGEGEAAEGDNPDDQNRRRERIQETVAALRKIVPGGIAKDATAVLDEAICYLQYLKLKVKTLGAVSL >KQK86454 pep chromosome:Setaria_italica_v2.0:IX:1952087:1954289:-1 gene:SETIT_036880mg transcript:KQK86454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVCNLLTGEVFHLPDLPYKPPDHYALIVADDNSLDGRMTQSFQLIAIWIIKGKSFTYVYYCSKTKEWWRPAGFPELMAGLYLVSSPAVASHGRIHWLCGCWKSWSLSHVVSLHVDGQELSYLELPSEVKRNTTPLLGTSVDGGLLLLLMKGLRMTLWKHKSEPGNGSGNWVCSETIDMTSPLPMRVLKMHASAKVRLEIFHGKSGMLVLWIEGEGLFLFSLSDRSMRKIDNEHVTKKHRFCPYEIDWLSCLAVINLVIDGSLPLDAGREKAQRRWRTLVAKNIPKSSKA >KQK86417 pep chromosome:Setaria_italica_v2.0:IX:1744198:1749793:-1 gene:SETIT_035287mg transcript:KQK86417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGLGSETSLRRHHGPARLWIAVAVLVTGTIWLWSSSSVGLLGTYRVQDYVVNELWRTADSNGWRASSAPRTYWSPPPIESESNGYLRVRCNGGLTQQRSAICNAVVVARIMNATLVLPELGTNSFWHDKSGFVDIYDVPHFINSLKYDVRIVMSIEPPRDAPVTWYRTTALEKIRKYGAIHLTPFSHRLAEEIDDPELQRLRCRVNYHALRFKSNIMKTSSDIVNKLRSEGHFMSIHLRFELDMLAYAGCVDIFTPKERVILLKYHAEHFPEKSLVYKQRRLIGKCPLTPEEVGLILRAMGFDNTTRIYLASGKLFGGERFMKIFKDMFPRLENHSMVGSGKLEEIRGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYNGFRTTITPNRKAIAPIFMDREEGRASGFEERVRQVMFNTHFGAPHKRNHPESFYTNSWPECFCQTKARNHADQCPPDSLNDVLVSQFQDKEDTEVEATNQTDSTSQTEELADGTGLATV >KQK86429 pep chromosome:Setaria_italica_v2.0:IX:1822937:1824004:1 gene:SETIT_039164mg transcript:KQK86429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPAKTVLFVGVNEGHVITKRELPPRPSASKGKSGRRTWFVRHVIREVAGLAPYEKHIIGLLRNCRDKRALKLAKRKLGTHRRSKKKREGMLDVLRRM >KQK89814 pep chromosome:Setaria_italica_v2.0:IX:36139712:36143476:-1 gene:SETIT_0399682mg transcript:KQK89814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKREHIQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFIKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNGVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVLCTCNVPQLLHLPCSHFITACKARGLNFQSPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVSEGRLSADYDTGIAHAAVENQKALNLDRAVIGRVIRTIGMAAPGYPLLEVAYDLHHRAHHLADMNEHLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEPWHRHDSLPTFYHVWKHVRLVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSDIVFSPTCYRDRELWRCTTPMILYFVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSEDDADIADAYDTGTRHGTQPERAPLHDYM >KQK86764 pep chromosome:Setaria_italica_v2.0:IX:3628006:3631908:-1 gene:SETIT_034029mg transcript:KQK86764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPFRDAHSVPRGNLLTSRTSDSDFIEDSTSIQESPSSERLLLGTSQSVRPLGSHNNGDGKPSDSAPPIPAQSNKMAEKIFEQLNIIAPSPKEKQSGQQSVTGNASNSMSKKPILQDAGPSSMYDPSSSLKFQDLDGANGPLDPDLNGSLLKKDKLNMIKDGPSKVALSDKSTFLGNSVSASTSRKPSFKMAVIEDLPEFDDDLEVPIPSKSPSSKIEIETTGQRSESIRKEQKVEQNILEQKVESNLMKKNIVGSPVSEQPVASLSKTVSSSGGLLSSNDPGKAVPKASVDKNAGFAFLNAPPGTRPASVSAMPLAPVNDDKQTGASNFIFGLKQSNTSDLETPNVKNNSTLGQSVTKLTTSDNSSEKGDKTEKAEDVIKSSDKVLPSAASTTQNVPVHFASAASTSASLSNGFPHSSSPKLATVPPTDKPSVGSAASTLFAVSSSSPAISSSSSPAFTGFNFSSSASVGLSSAKSDGTTAGVKPASTLSFGIGGATDDAKSTAPDSASMPPSKLTSPISSYPITSAPTSSPVVASSDAAGSATAAPSNTSTAPGVQAASTASFTFPSSGNSLFGFNSPAQPTGLSTSSAAGSTSQPSAASTFFGSKPTQSEGTIQQPSQSPKPQFGSPFPSVTPGVGASSSGSGTLSFGIGASSTGSGTMSFGVGASSSTPGTSSAFGAAAPSSGPGIFSFGAGTSSSGSGTVSFGVGAASSGPGTVSFGAGTASSGPGAVSFGAGTSSSGSGTVPFGVGAASSGPGTVSFGAGTASSGPGTVSFGAGAASSGPGTVSFGAGASSSGPGTVSFGATTSTSGSGFGNSPFGTGATFANPFSSSSGTGFTFSSASSSAGASAVASTSVFASTSTASSASTFSNPFGSSSSPPSTFTFGQSASSSGGFAFGAQPAPTFSSQPSVFSFTSANTGINSSTPQPAFGMANTNTAFGMGSPGNDQMNEDSMADDTNQAAPAPAPVFGSPFGQQNSTPAAPVFGAPAVQPGGAFQFGGQQQAAQQNPSFPAAGSLEFQGGNFSLGSGGGGGDKSNRRMIKVKRAPKKR >KQK89635 pep chromosome:Setaria_italica_v2.0:IX:34273371:34276008:1 gene:SETIT_034862mg transcript:KQK89635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEERRSRPQQRQCAVSPAFLAPGGSDEMASTGTTCCKYNFAVEVGNGAPMAECSSAVTCKGDTTTEAAAHSSGFAGSQPDHEQYTCNGSVDIRGNPASKKHTGKWRACYSILGGEFCGAMAYYGVGTNLGMVLLTLSAVVPASMNISVISPQEFLPSLGLFLTALGLGGMWPCVPTFGADQFDDTDGTEKAQKELYYNWYYFAVNGGFFLASTLLVWVQDNYGWGWGFGIPTLFSATGIAGFLACVKLYRYQKPGGSALTRICHVVVAATRKINVDVPDDSSLLYEMPGKESAIIGSRKLMHTDGLRFFDRAATITSSDETSDNVPNPWKLCTVTQVEELKILARMLPVLLAGIIFNTAEAFFPLFIEQGEVMDNDIGGFSIPPATLTTFNCLCILILAPSYNKVLVPILSSITGIKRGLSELQRIGVGMVFTMLSLVSAALVEMVRLDIANNRGLVHYNSAVPMNILWQAPQYFFVGVAKVFTVVGFIEFAYEQSPDAMRSLCQACSLIMITLGSYLVSIMLKYINSVTGGRGRHGWIPENLNEGRLDQFFWMMAGLQLLNVLAFAYCATRYKRKLAT >KQK86601 pep chromosome:Setaria_italica_v2.0:IX:2857771:2858626:-1 gene:SETIT_039915mg transcript:KQK86601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRQTNGIVFFYKMERRRIGCIHFYRSFHFCAYPSCWFSLCMAKRSLGMWKSSTSYVTKCLSIYKTSITNIPS >KQK86296 pep chromosome:Setaria_italica_v2.0:IX:1158984:1160696:-1 gene:SETIT_039128mg transcript:KQK86296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLAAAHSHHYAPLPRSLPPVSTPRRVTESDAAQARGDEGQPRVDRSAASADLRVAAASGARYLPLPCSLIVSGFKMSTSTFASSCALLGNVRTQASQTAVKSPSSLSFFSQGMKVPSLKASKKLDVSAMAVYKVKLVSPEGVEHEFEAPDDTYILDAAETAGVELPYSCRAGACSTCAGKIEAGSVDQSDGSFLDDGQQAEGYVLTCVSYPKSDCVIHTHKEGDLY >KQK86676 pep chromosome:Setaria_italica_v2.0:IX:3254459:3258394:1 gene:SETIT_036866mg transcript:KQK86676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKNSTVENFKENNMIFTSEGKFHAKKVQDDYGAMSNQPAVAQTRCKWIIGDVTEVLDRNTWKLGKILKMLKNNHFVIRLADCIQLKEFHISSLRIPHTVEAPQSKPFPAADKATGRGKRQPADCVLPRSRAVQQMASGAKKRKAAADASHHSSRRAHPRKVAAASRADSYLHSSSQAIEDAECSVASCSVNDPYHSDNGGISKRRRPGAGCLPDDAMSACPCSPGAPEEEHEGAVDVHGLELAAYRSTMRALYASGPLTWEQEALLTNLRLSLNISNEEHLLQLRRLMSS >KQK86186 pep chromosome:Setaria_italica_v2.0:IX:657546:662122:-1 gene:SETIT_036683mg transcript:KQK86186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNFSFPEMTPAQIAEGLHSYDIAPNPNLRAEDIAKPQPELLPNVFSLFFTNVVGDNPPDEQLGFDELLVLENPEHHLQAMALRRIYRKARDFLDSIYFGGLTLRDFLRPHPRRIIDILSALVNYLHFRQEKLDVLKPISQEYFEREDQLTELRARVAELQKAKTEHAYNEQMEEPVVQQLQAEVNTLRQKIQEYNTHQLALRIHETEVRLKAKEKERDQRIEENKQKMTTLKSEVESELKCLADREREIEEKIAKAADLCSQSDSVEVAGRKKREEIYATFEQVCETANMYMDGIDRSRKEVDEASMAIISQIGP >KQK91507 pep chromosome:Setaria_italica_v2.0:IX:50308747:50309250:1 gene:SETIT_040344mg transcript:KQK91507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCNSLYSCNINLGQLLLTKDPMTLLELKVCIRFQFIWSAVLLWLLLRYPFQ >KQK90558 pep chromosome:Setaria_italica_v2.0:IX:43642736:43643065:1 gene:SETIT_038677mg transcript:KQK90558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKNPSTCTSALLLLALLVTFSQLLASQGRPFPTVSYITTMHGRTLLSHGSDSVPKGMVEGTVSPSSEIHGDKGSMVDADDVRPSTPRHSPGIGHAFINKNGLGRKL >KQK92701 pep chromosome:Setaria_italica_v2.0:IX:56844310:56845567:1 gene:SETIT_037390mg transcript:KQK92701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLQVFGQPASTDVARVLTCLFEKNLEFELVRIDTFKKSHKLPEFIKLRDPTGQVTFKHGDKTVVDSRAICRYLCTHFPEDGNKMLYGTGSLERASIEQWLQAEAQSFDAPSSELVFHLAFAPHLKDVNPDEARIAENEKKLQNMLGVYDEILSKHNFLAGDEFTLADLSHLPNSHYIVNSSDRGKKLFTAKKHVAKWYDKISNRESWRQVVKMQKEHPGAFE >KQK86630 pep chromosome:Setaria_italica_v2.0:IX:3023303:3027181:-1 gene:SETIT_035297mg transcript:KQK86630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLHPRLPSHPLRAATQHHHAISDSSCLVPLPGLARKRTPFAVTCRAARVKEAAPTTRAAAPPTPASLAKEAHKYFDHAVVTVRAGDGGHGAVLAMPPAPSTDAAKPRGRFNRGEKKSKKVSYKRNYDGSVALPTGGHGGDVVVYADEAEETLLRFHEKARYCAKRGGNVGAAGGTLSSRMHSGFAGETLRIPVPVGTVVKRKKGTVLADLAHPGDEVLVARGGQGGISLIDVPEYRRRKAMTLSPNIMRDASDKVLTHGQPGEEVSLELILRVVADVGLVGLPNAGKSTLLSAITLARPDIADYPFTTLMPNLGRLGGDPALGALQFSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVIVHVVDAGADDPVNDYKIVREELRMYNPQYLERPYVVVLNKIDLPKAHDRLSSLALEISSIGCEERQDISGSKDNLNGHVSKHQVSSEATVEGGEKQLGDYPRPQAVVAASVLRHIGIDEMLKEIRAALRKCFDHKLPEQ >KQK88377 pep chromosome:Setaria_italica_v2.0:IX:13718996:13721040:-1 gene:SETIT_035132mg transcript:KQK88377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQLLLLAALLLLPLAALLLARQCGVRGGKSGARVPPGPPGLPFLGNLLLLRRSSSDVEALLRRLVARYGPVVSLRVGSTLSIFISDRRVAHAALVGSGAALADRPAFTRALLGDNGNTISRSSYGPVWRLLRRNLVAETLHPSRVKLFGPARAWVRRVLAEMLGREAEEARAQARAPPPVMETFRYAMFCLLVLMCFGERLDEPAVRDIAAAQQAWLMFMGQNATVLAFWPALTRILFRGGLQKGLDARRRQKELFVPLIEARRERKKQLDSPVGGGAAAEAPEKETTFGHSYVDTLLDIRLADEGNRALTDEEMVNLCSEFLTAGTDTTSTALQWIMAELVKNPAIQEKLYGEIKAACGGEQEEVGEEDTHRMPYLKAVVLEGLRRHPPAHFLLAHKAAEDIEVGGYLIPKGATVNFTVAEMGWDEREWDRPREFVPERFLPGGDGEGVDVTGSREIKMIPFGVGRRICAGLGIAMLHLEYFVANLVREFEWKGVPGEEVDLVETREFTTVMKKPLRARLLRRTAG >KQK90153 pep chromosome:Setaria_italica_v2.0:IX:40239520:40240013:1 gene:SETIT_038455mg transcript:KQK90153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLRKVGDRGQINFSLYCLILRARFQIHIGMSGFKSLAITEAGGDQHRPLTVTIPKRQV >KQK92259 pep chromosome:Setaria_italica_v2.0:IX:54614252:54616483:-1 gene:SETIT_040068mg transcript:KQK92259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRITFPFLALVVAAAALFPSSSSQQPAAPPQPRGFYISCGSDKDVQVGSIKWVQDEGFIGVGNASAINKPNLLPLLATLRFFPDATARKYCYELPVVKGTRYLVRTTYFYGGFDGGKDPPVFDQIVDGTRWSAVNTTENYRRGMSTYFEILAEAQGKIMSVCLARRPDTASSPFISALEVIDLDDSMYNTTDYGRYAMSTVARSRFGSKGEIVSYPDDEYNRYWAPFTDANPTVESHSPISPGDFWNLPPAKALRAGVTTSRGKKLTVLWPPAELPAATYYVALYFQDPRTASPYSWRVFDVAVNGKEFFRGLNASAAGVMVYSNMMQLSGRTEILLTPNQTSPVGPLINAGEIYQIVPLGGKTATRDVVAMEDLAMSLKNPPPDWAGDPCLPQQHSWTGVECSQGSPVRVLSLDLKNHGLSGSLPDSIGNLTGMKTINLSGNKLSGSIPDMSSMHTLTALYLNNNNLTGKIPDGLKNKPGLDLRYEE >KQK92165 pep chromosome:Setaria_italica_v2.0:IX:54094441:54096565:-1 gene:SETIT_039653mg transcript:KQK92165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIQQSAAAADSTKAPAPINPAATAADLGAYFRVMRLGDDDDDSSPLEQQPKPQPPQVPLPTTRAEVLMQQLQAAGHYRPQPQNYCVGESSTGAGYVLPYASYGSGSFYASHFGAHSPRLNAAAAHTPPPVTPKLDAGAGHFVPAAPSHPSVESHSSSTLSPSPSASNYQLMPSAPQLGAASFPWDGNSSLNPYASAFQSTLSAYPADYRSWIPTPARRYYHPTLEQVRLRLLRRPMEPDLLMFPGAAGHVASLLVEGDEQVRRSVLARVRCDVGCVTGSKERRDVLLALVRACAGRPDELQEIVHAVYNSIGFLMGVEKHNRGLADIVQGLVQALAPYPQMLVQFICWLFRERLMEQYNGVNLLRSCFTTMSYEDSKIIIQFSTAIIDELLFSSFGSRCLAECLEHARNGELRALEEIILSRTVEIAMGQYSNYFLQCAIQCGSELLQVAITDRVAADVVTVSSDRFGSYVVEACFLLARTKVPLQRLLAAFQGLRERALAELVRGNYSNYVVSKLLDAGKNRADCCVDWHAMQHFPQEARALARRIERLPAAVQREMHARAVMTVVNKLTHRHLRGHAMLY >KQK92349 pep chromosome:Setaria_italica_v2.0:IX:55053317:55053688:-1 gene:SETIT_040808mg transcript:KQK92349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCCELGSKNLILVPMFASCNQINKFWSITA >KQK91811 pep chromosome:Setaria_italica_v2.0:IX:51929422:51929727:1 gene:SETIT_039758mg transcript:KQK91811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESLPPSQSTQGVARRSKEVGAEVKARPASSPIEILGEKGKLRIFMSFNSRTRNWKVTEGDPSFCNENNIKNSGQFRNQTKRLNTYAKKFIIGPPLITRFSW >KQK92603 pep chromosome:Setaria_italica_v2.0:IX:56301388:56302629:1 gene:SETIT_039346mg transcript:KQK92603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVHQRLSFPVAPPHPRRGSHGPRRDIPPQVCRYWKSGHCSRNPCRFLHADVPPPPARTAKKPNNTWVNPSCVAKPKLPLDAVPPPPSVLPPKRLCGGEELAGWCVGDGFRGVAQLKGHAKAVTGVAVPEGSDKLFSGSMDGTVRVWDGSTGQCVHVAPMQEGEVASLVSVGPWVVVGVRGAVKALHTGTGKELLLRGPAASTLVTALLVEDDEHLFAGTEDGVIYMWRMNQAQQCLDEVAAFRGHEKAVASLAQGKGTLNTGSADGSIRAWDLESRRCVCTLTAHASAVIALLCWEQFLLSSSDDGTVKVWRAKPDRDDLDLEVHYVHSEGERVAAIDGTYDPDKKPVLMVSRGDGVVRVYDLPSMKNRGQIRCSGEARTVYLRSPGVVFTGDASGEVRVVKWTPRAEAEA >KQK89685 pep chromosome:Setaria_italica_v2.0:IX:35029781:35030283:-1 gene:SETIT_038255mg transcript:KQK89685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARQSTEFESHPFQQLFRSSSTTWGRARALARARVHTWVQRPGSLGLSLLIETPLSSHTYGTGNYPSHSSHNVEIVVVMLLLVQWYP >KQK91809 pep chromosome:Setaria_italica_v2.0:IX:51916122:51925937:1 gene:SETIT_033879mg transcript:KQK91809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPDPDAPPSPPPVAGAAATEPRRSSRKRFKSAAAIETEAEAEADIDRKILRGETRARQRRAGTEKPGPGASAIVAAEMEGAEAELDAADHGASRAQPRGKRRRSRAAKAKPRTRRRADKEKTKESAEAEEPAAADDDVCAEEPDSEEIAMEEEEAVAALEAEEEEEAGGEGSAEKVGARKRVARPSTERRVDGSVDNFVGEPMPDHEARQKWPERYMTKGSDSPSRRSGEDEELKARCHYRSANVDNVIYQLDDDVYVKAGPNEENYIGRITEFFKGLDQGSYFTCQWFFRTADTAISSKLLKVHDHKHDHKRVFLSEERNDNMIACIVSKIKIIHVDPNTAPQAKVQFTKDCDFYYDMSYSVAYSTFANLPADNDGVSSSAASNISDDAVDSSKETLVSCFQASSDAHAETISLLDLYSGCGAMSTGLCLGAALSNFKLETRWAVDMNAYACESLKYNHPRSKVRNEKAGDFLALLKEWDALCKKYIVQKDTTIESEVAQSLTDDEDEPVSEEIFEVEKLLDICYGDPNSTGQVGLWFKVRWKGYDPSYDTWEPMDGLRDCPQCIKDFVESGYRENILPLPGTVDVICGGPPCQGISGFNRFRMRDDPLKDEKNKQMVVFMDIVEYLRPKYVLMENVVDILKFADGFLGRYALSRLVAMNFQARLGLMVAGCYGLPQFRMRVFIWGALPSMVLPKFPLPTHDVVKRGVVPNAFEQSVVAYDEIEAPRLRKALFLADAISDLPEVGNNQPKDVMDFIVGPKTEFQRYIRLNRKAMMDYSFDGEASSGESKLFDHQPLRLNDDDYERVQQIPLKKGANFRDLKGVKVGINNTVEWDPDVPRVLLSSGKPLVPDYAMSFIKGKSLKPFGRLWWDETVPTVVTRAEPHNQIILHPSQARVLTIRENARLQGFPDYYKLFGPIKQKYMQVGNAVAVPVARALGYSLGMAYMGRLDGDGPLFKLPKSFTRTDNMLGMTANSDNSSGSPSPPSNTSSSPPPSSPSGPPPKSPGSNSPPSSPPTPSQSPSPPVPSLSPPTTATPQNGSALPPPAPAADRALPAAPSRQSPSPPAAKRSGGESDKSGRSKSGGSSNGSPPVAAIVAGVVIGVLAFGLLMCIAACVCCTKKKKKKPPRMNMPYYTDEHGNVFYANSMPKWQNSGAMIDHGGGGWHAPFSPASADMSGSHGPGQLPPPSPGMPSLGFTKSSYSYEELASATSGFASANVLGQGGFGYVYKGVLPGSGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCVSGSSQRLLVYEFVPNNTLEHHLHGQEGRPGDELADEARHRARLRQGPGLPARRLGHPRIIHRDIKAANILLDENFEAKVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGKRPVDPTNYMEDSLVDWARPLLAHALSEGGNFDELLDPRLENRVDRLELERMCASAAAAVRHSAKRRPKMKQIVRALEGDASLDDLNEGVKPGQSMMFSSSSEYDSGGNYTSNISRFRKVAFESSEYSNDYSATSESGEAAATRRQQHY >KQK91791 pep chromosome:Setaria_italica_v2.0:IX:51812563:51814473:-1 gene:SETIT_039439mg transcript:KQK91791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRGASLRMKRPSFSVSATTASQLHDSIDRLLPLLRADAFHASAARALAAAAASLRPPSTLLSNRILHLLSSHPATLPDALALLSSLPSPDVCSYNTLVAALARSPRGLASARALFDRMPRRDHFSWSAIVSAHARHGQPRAALALYRRMLREPGSAGADNEFTASSALTAATAARCARAGRELHCHVVRRGIDADAVVWSALADMYAKCGRVDDARSVFDRMPVRDVVSWTAMVERYFDAGRGGEGFRLFVHMLWSGIRPNEFTYAGVLRACAEFTSEKLGRQVHGRMAKSRAGDSCFAESALMNMYSKCGDMGAAMRVFEVMPKPDLVSRTAVISGYAQNGQPEEALRYFDLFLRSGFMPDHVTFVGVLSACAHAGLVNKGLEVFHSIKNEYGIEHTADHYACVVDLLSRSGQFELAEEMINKMSVKPNKFLWASLLGGCRIHKNVRLARWAAEALFEIEPENPATYVTLANIYASVGLFDEVENVRRIMESKGITKMPASSWIEAGKRVHVFLVGDKSHPQAEEIYAFLKKLYVKMREEGYVADTGFVLHDVEDEQKEQDIGYHSERLAVAFGIIATPGDAPIKVFKNLRICGDCHTTIKFISRIVQREIIVRDSNRFHHFKNGSCSCRDYW >KQK86180 pep chromosome:Setaria_italica_v2.0:IX:639529:645419:1 gene:SETIT_034389mg transcript:KQK86180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLAVAPASHPPPAPAPATDLFGEPIEAHPPWFKPDSFLRADFDPDAYVAELRSYVPLESLAAELRAHLAALRAELVGLINRDYADFVGLSARLKGVDAAAARMRAPLADLRDKVAGFRAGASAALAALRAGLEQRAAATAARELLELLLDTSHVVSKVEKLIKELPSAPSDSSNAEVPSIDAQNVEAGTGVRETQSILLERIASEMNRLKFYISHAQNLPFIENMEKRAQGATKLLDGSLERCFVDGLEHRDAKVIYNCLRAYAAIDNTSSAEELFRTTVVSPLIQKIVPQNYAKAVAGASSDGLEDDYEQIKQCVEKDCKFILEISSSENSGLHVFDFLGNSILKEVLSAIQKGKPGAFSPGKPREFLKNYKASLGFLDFLEGYCQSKSAVTKFRSEPAYTDFMRQWNVGVYFSLRFQEIAGGLDSTLTNTISPVGMNEAQGKPLLLKQSIKLLESLQACWSDEVLVFSHCDKFLRLSLQLISRYTAWLSSGLSARKASDGSPNSPADAEWALSIPIDDFIYIMHDVHAVIGELSESGSFIGHVNQLLASCPIEVLNLVKQSILQAVEPLEEVLPVIMNVMIGIIVKKSNEDLKHLKGITATYRMTNKLPVRHSPYVSGILHPLKVFLEGERVSYLSEDDKTKLCRGSTDKITAIYYDLVSEVVTVARKTESSLQRLRQGAQRRVGASTDASDNIISDTDKICMQLFLDIQEYARNLRTIGIDAREIDSYRALWQCVAPKDKQENIQF >KQK88435 pep chromosome:Setaria_italica_v2.0:IX:14201453:14203544:1 gene:SETIT_037563mg transcript:KQK88435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDKEENRIRRSATVHTVTTPSRGRRRRRRPSLAYSATQHQEQTGKPPLYKGTHHQHQLWFLLLVHSHCNAFSSTAFYLSLPLPHSVLHSFEMAQQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKENKMTIIGDMDAVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >KQK88434 pep chromosome:Setaria_italica_v2.0:IX:14201453:14203544:1 gene:SETIT_037563mg transcript:KQK88434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDKEENRIRRSATVHTVTTPSRGRRRRRRPSLAYSATQHQEQTGKPPLYKGTHHQHQLWFLLLVHSHCNAFSSTAFYLSLPLPHSVLHSFEMAQKVVLRVPTMTDDKIKQKAIEAVADIYGIDSIAADLKENKMTIIGDMDAVAIAKKLKKIGKIDIVSVGPAKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKKEEKK >KQK88852 pep chromosome:Setaria_italica_v2.0:IX:17803382:17808015:1 gene:SETIT_035441mg transcript:KQK88852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPKSTGIALIPLLLLAATASTLPLLNSSVPDPAAVVADFHSKVATSRRRMQEAGGGASSGGCMTGNPIDDCWRCAGTDWRQDRQRLADCGIGFGRNALGGKGGPLYVVTDSSDRDPVNPVPGTLRHAAIQEGPLWIVFAADMTIRLNEELLVNSYKTIDGRGARVHIGAGGACITLQYVSNIIIHNVHVHDCVPAGNANVRSSPTHYGWRTRSDGDGISLFGARDVWVDHCALWRCADGLVDAIEGSTAITVSNSYFAHHDEVMLLGASDAYLPDSGMQVTIAFNRFGPGLVQRMPRCRRGYFHIVNNDYTSWEMYAIGGSASPTINSQGNRYIAPADPNAKEVTKRVDTAEGQWSGWNWRTEGDMMVNGAFFVPSGEGMEEIYEKASSTDPKSSALVDTLTQNAGVLGDPRNDAEETGYTGLNYAGVGNGRGGGGNGYGYLGMVYANDADRGRRSRSMLSLTSSVVTLVALGCLRLL >KQK92869 pep chromosome:Setaria_italica_v2.0:IX:57595025:57597249:1 gene:SETIT_035628mg transcript:KQK92869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHQAVTTGGGGTGGYVEFLDKVWAALAGLGKKLAKIATDDPRRVVHSFKVGLALTLVSMLYYVRPIFNNWGLSTLWAVLITVAVIMDYTVGGTLIKGLNRATATLVAGLIAVGAHKVANLGGSKGEPIIILAIFVFLLATAATFTRFIPAVKAWYDYSVTIFILTFSMVAVSSYRVEELIRLAYQRSFTIFVGVATCLFTTMFVCPVWAGEDLHNLAAENLDKLAEFLEGLESECFGENAPGEDLESKPFLQVYKSVLDCKATEDSLANFAKWEPGHGNFYFRYPWGQYQNIGAVARQCASSMQTLASYIITLIKAQRPETNLELCSKVRTACGEMSLHSAKALRALSAAIQARTVPSPAMTHMTAAIRAAKGLKAELSQDEDLAKVMHVAGIASLLSEVVSQTKKITESVGNLAKVAGFKSPDENTDQKDVVIIVDSGEAAGLGTSALQGNG >KQK87217 pep chromosome:Setaria_italica_v2.0:IX:6128842:6130189:-1 gene:SETIT_037304mg transcript:KQK87217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILNQPINPGGHPVFPAAKESGHLAPSSVRFDGAPTQPSTAAAGRSSANYPRWQAQTLPRASSYVGIEHDGATAAPAPFKPPTLDFLRSLLDNCSVSSALAGGEASAPPAQAPQLLALRVVVTSAVELDARQTELIARKMRLLTGFVNLTVVNVVDPSLIAGFVISYGSDDSYVIDLSVKGQLAALKNRVDSIDQTAHAHGHPHH >KQK87216 pep chromosome:Setaria_italica_v2.0:IX:6128842:6130177:-1 gene:SETIT_037304mg transcript:KQK87216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSCSNAWRGLVRCSLLILGMRSCRAMNILNQPINPGGHPVFPAAKESGHLAPSSVRFDGAPTQPSTAAAGRSSANYPRWQAQTLPRASSYVGIEHDGATAAPAPFKPPTLDFLRSLLDNCSVSSALAGGEASAPPAQAPQLLALRVVVTSAVELDARQTELIARKMRLLTGFVNLTVVNVVDPSLIAGFVISYGSDDSYVIDLSVKGQLAALKNRVDSIDQTAHAHGHPHH >KQK90571 pep chromosome:Setaria_italica_v2.0:IX:43748885:43750570:1 gene:SETIT_038550mg transcript:KQK90571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVSAGGASPSSLLCEENMDDIFGCNDGEGEMPELGADLDFQGFPLESDEVVASLMEKEKEQLVDVATGAYLQRLNGGGLLSSWRIAAIDWITKAQAHHNFGPLCFYLSVNYLDRFLSTNEPPVCSEKYKFGAEAIKNVEFFVLRSLKWRMQAVTPFSYINYFVDKFTQGKPLSCGFASRCTELILGTLQATKFLQFRPSEIAAAVVLSAAVESHVLDFSSALIASNILVDKENVRRSCEAMQEVGLVKNVEGCNASPSVPKSPSGVLDGPCFSFKTDDNQTPGSSQADNNNKNQAYTPANKRTRLDA >KQK87760 pep chromosome:Setaria_italica_v2.0:IX:9185961:9186669:1 gene:SETIT_038360mg transcript:KQK87760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRTSHSPSYSRGAAFLDCTRLARLCRGGMASSVGKKRGVPALGRWLMVVGTVRLAFTWSCSFGSAALCSATFS >KQK88944 pep chromosome:Setaria_italica_v2.0:IX:18881858:18882849:1 gene:SETIT_040134mg transcript:KQK88944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSATAMLLEFVAVAALLATSATAQYWNSGTATFYGGKDGSGTMGGACGYDNLYNQGYGVLNAALSQVLFNDGASCGQCYNIKCDTSKSAWCKPGYSVTITATNLCPPNYAITTNGGGWCNPPRAHFDMSQPAWEQIGIYRAGIIPVLYQRVPCSRQGGVRFTISGFNYFQLVLVTNVAASGSIRSMSVKGANTGWIAMTRNWGALWQCSSALVGQGLSFMVISTGGQTLYMNNVVPGWWTFGMTFATNLQFYQ >KQK89371 pep chromosome:Setaria_italica_v2.0:IX:25617341:25617879:-1 gene:SETIT_038495mg transcript:KQK89371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLASATPSPPHQAPSSTIRAPPSRSPFRATPPPHEPSFAPPDPHPTFPALP >KQK92572 pep chromosome:Setaria_italica_v2.0:IX:56175909:56178503:-1 gene:SETIT_035844mg transcript:KQK92572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPMHRNSLEREMPGSTKFSLAQIQKATKNFSPNLKIGQGGSGTVYKGQLADGTLVAVKRAKKNVYDKHMGREFWNEIETLQRIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNGKVLEFSMRLEIAIDVAHAITYLHTYSDHPVIHRDIKSSNILLMNNFRAKVADFGFAKLAPTDSSHVSTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELVTGRRPIEPKRSIIERVTAKWAMEKFVEGNAIQTLDSNLEANNAINLAVEKMFELALQCLAPTKRNRPSMRRCAEILWSIRKDYRELVVPTSAMH >KQK92573 pep chromosome:Setaria_italica_v2.0:IX:56175909:56179553:-1 gene:SETIT_035844mg transcript:KQK92573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSASGGASPWRRSDPGGSGELSRVSVATTASSAPEGSVSGGGRGLSFLDAFRSCFVPPEARSPETSMSDDFHPSHQLSQSVSSQGSNSGSTFGSKRSIKGMYSPMHRNSLEREMPGSTKFSLAQIQKATKNFSPNLKIGQGGSGTVYKGQLADGTLVAVKRAKKNVYDKHMGREFWNEIETLQRIEHLNLVRFHGYLEFGGEQLIIVEYVPNGNLREHLDCVNGKVLEFSMRLEIAIDVAHAITYLHTYSDHPVIHRDIKSSNILLMNNFRAKVADFGFAKLAPTDSSHVSTQVKGTAGYLDPEYLRTYQLNEKSDVYSFGVLLVELVTGRRPIEPKRSIIERVTAKWAMEKFVEGNAIQTLDSNLEANNAINLAVEKMFELALQCLAPTKRNRPSMRRCAEILWSIRKDYRELVVPTSAMH >KQK86858 pep chromosome:Setaria_italica_v2.0:IX:4151873:4153614:-1 gene:SETIT_040003mg transcript:KQK86858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKEDILKVQTCVLKVNMHCPGCEKQVKKIIHKIDGVFQSSVDKDQGKVTVSGLMDPYTVIKKLNKAHKRAQLWGPNPGVASEVQKLQLGNGGKGQPKGGEAAASGSGGGGDGAKDEEMVMPPPPPPQQHPQQLQTEMEMKGVKLPPQLMGMGGKMPMPAAAPPAKAKDLKAVKFDVPEGEEPADDDSDSDDEFDDDNDFEDDGLDDKMMMMQPMAMPPAAGGGDKKGGNGGRDNKIPVQIKGNANIGDKIAELIRAKQNQGGAGGSGKNGGGAQAPQNGKGSAPGGRNQLPVQAKKGVAAGGPPAGVVGGPMMGGMPPPPPPQPGMMMRPPNMMGGAGFPGMGQTGGRPMGGMPMGHPQNGGVGMQPAGGGSATVHGMTAGGTMPGAGLYPGGAGVSVGGGMAPAPEMMQAAWNNPVAQQQPHMMMNGDGNHVHGGAGYPPMGYGYGYGYGRPPMYHPPPHPNDNMFSDENPNSCSVM >KQK87529 pep chromosome:Setaria_italica_v2.0:IX:7793293:7794136:1 gene:SETIT_039142mg transcript:KQK87529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRPALPTDCLFHVFLHLDPISIVRCAAVSRHWRRAVTDNASEIRRHSMGRADRYLLLGLPSREMYPGELSFSRRSSWLPPAGRHWSDFVPRPFPHAGGGGSGEREDEALACSDGLLLLCRGLPSEISVVNPQTGFHTSIARPGELAKFTRRYILHSCHGAKHNSFQVLAVDLSRKGIRSIQNYCSETGAWGPVLRPDAGELRLPTPSSDYGAIRPAPPRPLVCQGAIHWLCSNVSDHSPDGRNHRPSTDDEATKAVPDARRRRVGQENANAGDV >KQK87600 pep chromosome:Setaria_italica_v2.0:IX:8143017:8147738:-1 gene:SETIT_037045mg transcript:KQK87600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRPGGTNLVEPRGQGAALPSVGAAMQPWWTTSGAGLGAVSPAVVAPGSGAGISLSSSPVGGSGGAGASKGAASDESSEDSRRSGEPKDGSAGQEKNHATSQMPALVSEYLAPYSQLELNQSIASAAYQYPDPYYTGMVPPYGTQAVAHFQLPGLAHSRMPLPLEVSEEPVYVNAKQYHGILRRRQSRAKAELEKKVVKTRKPYLHESRHQHAMRRARGNGGRFLNTKKTDNGSPNGNGDPEKGDQHSEHLHVPPDLLQLRQNEA >KQK88997 pep chromosome:Setaria_italica_v2.0:IX:19353758:19354952:-1 gene:SETIT_039291mg transcript:KQK88997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRAMGGGGSVGVALIGAAEMELRAGAGGGSMGAECTGAHGGALAGAVPPKLHIAAGHHEQRSPRSPPPPAAAVVTLILVSSFVPSTTLNLPQLTSICGQWRHLAAYGDLN >KQK88498 pep chromosome:Setaria_italica_v2.0:IX:14687476:14690347:1 gene:SETIT_036552mg transcript:KQK88498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNIGMMDGAYFVGRNEILAWINTTLQLGLSKVEEAASGAVACQLMDAAHPGAVPMHKVNFDAKTEYEMIQNYKVLQDVFNKLKITKHIEVNKLTKGRPLDNLEFMQWMKRYCDSVNGGFMTSYNASERRENSKGGKETNRRTSVPSHTPAKSASAGHKAQASSHGAAKRASASGQAPNAPQRGAKPSPVNSGGPAYDEQITELKLLVDSLEKERDFYFSKLRDIEILCQNPEVEHVPVHAIQNILYTSDDDPAVVAEAQAMVSQQQNQQQPPALSPILEMSEERPKQEMANKRKSISDLEEFGMASSSRQRLSDISDVQLCGSPLTSFS >KQK89236 pep chromosome:Setaria_italica_v2.0:IX:22339086:22341573:1 gene:SETIT_035178mg transcript:KQK89236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKRVVIIGAGVSGLAVCKHLLERGCQPVVFEAGSALGGVWARVPDCTELQTPRPMYQYSDFPWPESVTQVFPDHRQVVAYLEAYARHFGVLGCIRFGHRVVGMEYDGVAEEAVAAWGEWAGNGQAFGSGDGEWRLSVADAEGHVEMHTADFVVLCIGRFSGVPSIPTFPAGKGPEAFDGQVIHSMEYAKMGTTKAKEMIRGKRVTVVGYLKSALDIAAECAEVNGIAHPCTMVVRTKHWIIPDYFAWGVHISKLYLNRFSELLIHKPGEGFLLSLLATLLTPLRWAFSKFAESYYSIPMKKFDMVPDHSLFDALAACLIAITPKDHYKRLEEGSIVLKKSKTFSFCKEGVIVREGESSLIKSDIVIFGTGFKGDEKIKDIFTSEYFRSIAVGSTSTTVPLYRECIHPKIPQLAVIGYSESISNLYTSEIRAKWLAHFMDGGFTLPNITAMQKDVIEWEKYMKRYSGRYFRRSGIAIIHTWYNDQLCRDMGCNPRRKKGFFKELFEVYGPGDYVDLRPKKE >KQK91491 pep chromosome:Setaria_italica_v2.0:IX:50196875:50198062:-1 gene:SETIT_038684mg transcript:KQK91491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVFETSEQQQQLLPCQQAVEQAGDGGKAPAAAGGAEKAAVTLKETDRGGDDDGPERADIWNMIQAQKPTAAAAAPKQAQAPYVHPLVRRSSSLLTQKSLEICTESLGSETGSDGFSDADGSSTDRSCPGSDDEREEAVAPRAPPPRAFPPPLPSLARRKVESTMEMRQERQGGRLLVRVVPVPSSTLFRAQRRGGRLLLSFADTAAPAADESRGQVEPVQQADEHDAHEEEEDEDVEEDDDDEEVEVVDRGTVVEFKARSGSGPRVRRSSLVINKFVGAEPAATCEINAGAAPTAPQEHSPKHSRRSTGSTTTAVAALAAASVLSATAPPPCNGEDVVPGATCGENKLLMTAKRRSSKEELLNHMRRCNQLRGQLFFWEPRIATSS >KQK90688 pep chromosome:Setaria_italica_v2.0:IX:44812361:44812574:-1 gene:SETIT_040394mg transcript:KQK90688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVKHKQNSIHTVVTQYGPFSYGDLHLHRTCSPW >KQK93041 pep chromosome:Setaria_italica_v2.0:IX:58459479:58460218:1 gene:SETIT_037977mg transcript:KQK93041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPQLHVRVAERGQDVCRLGEGHHLVPHPILLVHLHREPLLPGLQQSKSAAVICSSHRLFISSSVHLWPERKNRLPADGLVGCRYRTQTWCPWTCRMIFHRRRSHRRSAAAASPRASAPCSRCRTSFPPSPACA >KQK89982 pep chromosome:Setaria_italica_v2.0:IX:38203007:38205647:1 gene:SETIT_035126mg transcript:KQK89982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKGAGNTSSTCSCFTTLGAENFLARVSHCRLHSPSVHQPPTDYPSHPDTPRLARRHSAPTHHGTSPRGAEASTGSWNRMRRAEVAVVGAGAAGLVAARELLREGHAVAFFEKSGRTGGTWAYDPRADADPLGRDPASPGAVHGSLYASLRTNLPRELMGFSGFPMAGRVFAGDPRAFPGHREVLAFLDAFAEESGVAARVRLRAEVLRVAPLGQGERWAVAWRGEDGEVAEEVFDAVVVCNGHCTAPLVPKIRGIDKWRGKQIHSHNYRVPEPFRDQSVVVVGFAASGIDIAAEISRVAKEVHIAARYSEDRLGKIELYQNVWMHAEIDGIQDDGRVHFAEGSAVAADTILYCTGYRYHFPFLDLDGLTVDDNRVGPLYRHVFPPKYAPNLSFVGLPFKTIIFQALELESKWVAAVLSGHTALPSEEGMMTAVREDYRRMEEAGRPKRHTHALWPEWVEYMNWLADQVGEPHLEARRRDVYDRVLKCIWSLDDRYRDRWEEEEESATVATLQRKGSICQASYRDSSR >KQK89984 pep chromosome:Setaria_italica_v2.0:IX:38203007:38205647:1 gene:SETIT_035126mg transcript:KQK89984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKGAGNTSSTCSCFTTLGAENFLARVSHCRLHSPSVHQPPTDYPSHPDTPRLARRHSAPTHHGTSPRGAEASTGSWNRMRRAEVAVVGAGAAGLVAARELLREGHAVAFFEKSGRTGGTWAYDPRADADPLGRDPASPGAVHGSLYASLRTNLPRELMGFSGFPMAGRVFAGDPRAFPGHREVLAFLDAFAEESGVAARVRLRAEVLRVAPLGQGERWAVAWRGEDGEVAEEVFDAVVVCNGHCTAPLVPKIRGIDKWRGKQIHSHNYRVPEPFRDQSVVVVGFAASGIDIAAEISRVAKEVHIAARYSEDRLGKIELYQNVWMHAEIDGIQDDGRVHFAEGSAVAADTILYCTGYRYHFPFLDLDGLTVDDNRVGPLYRHVFPPKYAPNLSFVGLPFKALELESKWVAAVLSGHTALPSEEGMMTAVREDYRRMEEAGRPKRHTHALWPEWVEYMNWLADQVGEPHLEARRRDVYDRVLKCIWSLDDRYRDRWEEEEESATVATLQRKGSICQASYRDSSR >KQK89983 pep chromosome:Setaria_italica_v2.0:IX:38203007:38204827:1 gene:SETIT_035126mg transcript:KQK89983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHKGAGNTSSTCSCFTTLGAENFLARVSHCRLHSPSVHQPPTDYPSHPDTPRLARRHSAPTHHGTSPRGAEASTGSWNRMRRAEVAVVGAGAAGLVAARELLREGHAVAFFEKSGRTGGTWAYDPRADADPLGRDPASPGAVHGSLYASLRTNLPRELMGFSGFPMAGRVFAGDPRAFPGHREVLAFLDAFAEESGVAARVRLRAEVLRVAPLGQGERWAVAWRGEDGEVAEEVFDAVVVCNGHCTAPLVPKIRGIDKWRGKQIHSHNYRVPEPFRDQSVVVVGFAASGIDIAAEISRVAKEVHIAARYSEDRLGKIELYQNVWMHAEIDGIQDDGRVHFAEGSAVAADTILYCTGYRYHFPFLDLDGLTVDDNRVGPLYRHVFPPKYAPNLSFVGLPFKVRHYGGASAEMKNQSFLTKIHCSDSTMLCLCCVYQSLTCFCRPSSSRHWSWNPSGSPPSCRGTPRCRARRA >KQK91883 pep chromosome:Setaria_italica_v2.0:IX:52516645:52521250:-1 gene:SETIT_034517mg transcript:KQK91883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEARGADFPVGMKVLVVDDDPTCLVVLKRMLLECRYDVTTCPQATRALTMLRENRRGFDVIISDVHMPDMDGFRLLEHVGLEMDLPVIMMSADSRTDIVMKGIKHGACDYLIKPVRMEELKNIWQHVVRKKFNENKDHEHSGSLDDTDRNRATNNDNEYASSANDGGDGSWKSQKKKREKEEDEADMENGDPSSTSKKPRVVWSVELHQQFVNAVNHLGIDKAVPKKILELMNVPGLTRENVASHLQKFRLYLKRIAQHHAGIPHPFVAPASSAKVAPLGGLEFQALAASGQIPPQALAALQDELLGRPTSSLALPGRDQSSLRLAAIKGNKPHGEREIAFGQPIYKSQSTAYGACCSPAGGGFPSFAAWPNNKLGMADSPSALGNVSNPQNSNLLLHELQQQPDTLLPGTLHNIDVKPSGIVMPSQSLNAFPASEGISPNQNPLVIASQSPSFLASIPPSMKHEPLLASSSPSNSLLGGIDMVNQASTSQPLISTHGANLPGLMNRSSNAMPSPAISNFQSGNNRYMVNQNAMGVSSRTPDVLKTESTDSLSRSYGYIGGGTSVDSGLLSSQIKNPQYGLLQSPNDGTGSWSPSQDIDSYGNTLGQGHPGSSSSNFQSSNVALGKLPDQGRGRNHGFVGKGNCIPSRFAVDEVESPTNNLSHSIGSSRDIVNPDIFGFSGQM >KQK88937 pep chromosome:Setaria_italica_v2.0:IX:18787510:18791598:-1 gene:SETIT_035378mg transcript:KQK88937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEEQSASYTVDDALLSSGFGKFQILILSYAGIGLIAEAMEMMLLSFVGPSVQLEWKLTSHQESMITSVVFVGMLIGAYSWGVVSDNYGRRRGFLFTAIVTSGAGFLSSFAPNYLSLISLRFLVGIGLGGGPVLGSWFLEFVPAPSRGTWMVVFSAFWTVGTIFEASLAWMVMPKFGWRWLLALSSVPSFLLLLFYAITPESPRFLCMKGRTTEAVDVLEKMARLNNVPLPSGKLVSDKNIELDEVSVSSESTTLLDGAEESYNIDKDEGSDFGGFKSVGKLLSPKLIRATLLLWMAFFGNAFAYYGIVLLTSELSNGNRICAKEEVESVHSNNASLYKNVFISSFAEIPGSILSAMVVDRFGRRLSMASMLFTSCVFLFPLVFSRTDIITRISLFGARLCIAASFTIVYIYAPEIYPTSVRTTGIGIASSVGRIGGILCPLVAVALVHSCHQTTAIILFEIVVFSSGLAVAFFPFETKGCRLNDTEVDMN >KQK87312 pep chromosome:Setaria_italica_v2.0:IX:6586672:6587223:-1 gene:SETIT_040451mg transcript:KQK87312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFTNIFSERGAHIKCGEADSRCKLQPANLDYRSSLCGMHCSSCSSCH >KQK87313 pep chromosome:Setaria_italica_v2.0:IX:6586909:6587366:-1 gene:SETIT_040451mg transcript:KQK87313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFTNIFSERGAHIKCGEADSRCKLQPANLDYRSSLCGMHCSSCSSCH >KQK88144 pep chromosome:Setaria_italica_v2.0:IX:11876725:11880907:1 gene:SETIT_037820mg transcript:KQK88144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPVQKNTLYVGGLAEEVDEKILHAAFVPFGEVKDVKTPLDQSTQKHRSFGFVTFLEREDAAAAMDNMDGAELFGRVLTVNYAFPERIKGGEQGWAAQPIWADADTWFERQQQEEEMQRLQAEQRAAMQVAEKLHREKLAAERDGEKEEEADPMAAAEAQALK >KQK88240 pep chromosome:Setaria_italica_v2.0:IX:12477660:12481799:-1 gene:SETIT_033998mg transcript:KQK88240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLIASGIIKWTASKLASLVSAPIGPSSSSDEQQTSAIRDVQMLQRRMASVQRTLEAIDEDSIRDESGRLRLRELQEFAYDAQDAIDEYKFELLRRRMDDPDSLHEDRSTRKRKRKGDKKEPETNPVMIPVPDELAVRVKRILERFKEITKAWNDLHLDEADAPFREEEEDFVPRLTTPHVDEPTVIGRDDDKENIVKLLLSLNGACGENNASVLPIIGMGGVGKTTLAQIVYNDQRITKQFDLMGWVHVSENFDLKSIMSKIIMSFTRKPCQITELDQLEYMLMEQVVGRKFLLVLDDVWSERKDLWDALLSVMSTAQLGAILVTTRNVNVSSIIQTMPPYNVGCLPFDESWQLFKQMAFCDLDQNIEKPFEEIGRKIVQKCGGLPLAVRAIGSALRFEENEETWCDILDSEYWDLPTREDTVLPALKLSYVRMPIHLKRCFVFFTLFPKGHIFVKENVIYLWISLGILKQTRHRHLENIGNRYFNDLLQRTMVQRVLLDGGHNCFTIHDLFLDLTKFVSGEDILKLDTQYMPFLNDASQNLRYLSLAVSSSDHTILDLRTLPITRGLRILQVINALDDNKRYYSSLFKNNRRCFSKLFSHHINVMLPEDLRGLRHLRALDLSRSALTSLPESIGELKLLRYLCIFQTRIAKLPESICSLHYLKVLDARTNTLGELPQDIQKLVSLQHLLLDFWSPLCMPSGIGKLRRLKTLTRYSLGRVTSIDDAQTANLISKKHLQILRLDWSAGFYATECEHIVNQNNATSTPELDEGVFESLKPQRNLEELEVINYSGYKYPSWLGDPAFSRLAKVTLWKQKCQFLPALGQLPQLHELVIIHMECVERIGQEFYGQDSMERFPALEKLEFEDMPKWVEWYEVSETDFPSLRELKIKDSNELRVLPQKLPSHLKKLVIINCEKVIRLPAVPCLTHLVLKGNIDEETLSCLHFPLLRTLKVCFLRKAEEVKLENLPKLEGLAITGCKRLFSIDGLCSVESLGILKIKDCPNLQLPLKPLPQKVQQSTVTNCPQLQEWAEWQQAQMSEPQYQFKEPDGASYDQEVLEALRDDSEDDFEVSSEDEDDDFFERMLEVGQSSGMAIDYNADNDDAC >KQK93031 pep chromosome:Setaria_italica_v2.0:IX:58444574:58446116:1 gene:SETIT_038007mg transcript:KQK93031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGVSYVSAAQLVSMARDPRVAIVDVRDEERSYDGHIAGSHHYASDTFTERMPDLAQATGAKETLVFHCALSKVRGPSCAQMFHDYLSEAKEDSGVKNIMVLERGFNGWELSGRPVCRCKDTPCKGVCS >KQK88206 pep chromosome:Setaria_italica_v2.0:IX:12322195:12328622:1 gene:SETIT_034187mg transcript:KQK88206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHVGPAPRAHISVTRCYSVSSLRCASGPNGPVGRTGEVLVVIEKSAHQKREPTDATATALFLLSLPPEVSPVRARVKPKATTATATATWAAQAPPPAHLHRLPPSPSLPFPFLFQPPPSPHQSAPPPPPWLVRGEEKVRPDPRKGAATPVYFPEELVDNWVSFSRRGLYYCYNISMRGCLNTDAAPTDIILAVKCDLGPEFLRNSFNSGGVEVTIQYMRIIHLNQEQVIFARRFQTTILSLLIGNDHSEVRDAIKYFHELQVSVGVVYLLLPSVSGKIDWCGIKFSTSSVYDATTDKDMRHCHSCKDVDLLQMMDGPCCRCMLRNSVVYIPRDGKFYNVTGFPDINVNDQLHLRDRSVASSKRYGLCLTSESNPLLFASGMFTVQNFLHKCYGKWKEPSGRNAVKLPPELCRVVMAPVSTNTLCSFSSVPSIMHRIQCLLLSVKLKIQLGPRMQQFNITAMKILEALTTKECQEEFSLESLETLGDSFLKYVTGQHLFSKYKYREGKLTSMRKELVSNTALCQLACNSKLVGYIHGERFNLNKWIVPGLGYDNCGSTKSFLLPTNNMYSLKAISMKSKRIADTVEALIGAYLSASGEQAAFHFIKSLGMGVELHSEMQEERKIITKSEEIIDVRSLETMLDYVFNDRSLLIEALTHSSYNIAGPCYERLEFLGDAVLDHIITDYFYKLYYPGCTPALLTNLRKASVNNCCYAHAAVKAGLHKHILHSSSKQMINDLENSGWSFSGPSHGWEPGIGLPEDLADLIESIAGAIYLDSKHKKEVVWRAMRRLLEPLATPKTVEVYPVSELKEICERRKYPKPSYSPTRDDGVGVTRVVAKVKAAGKVYYGTGEGRNQKVAKILAAKALLQKLKAASVA >KQK88106 pep chromosome:Setaria_italica_v2.0:IX:11542431:11543672:-1 gene:SETIT_038848mg transcript:KQK88106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKRKASSGLADDLMISCGGDHTHAPPPLATGNSSFVPAAASSRASWAEAVGAAAAAQRGRKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPRAAGAAAAAATSLQYPPPPPSALPSKVTNLLLLRLKKARSSAGAVAQQAAPPVQHRQAQQVYGGQEEYSFHVDDFLSYDGNSTGDELQAVKHEEGSNCSQETEDDGEEEEEEEAPLDFGFMDKQPSPAREADDAAGLYSPFEVVADELGGAAEVEPSSAYGGESTASEPSGAIDEVMKRMKYERKLSASLYALSGVSECLRMRLGDGGDIGAGRHELALSGLRDACRKQQQEVVDEGGVNVVGHDESSSCSNSVSSEATSSSPEAASPPQDAKAVDSDMLLWSSLDLPPIC >KQK92416 pep chromosome:Setaria_italica_v2.0:IX:55372571:55374118:-1 gene:SETIT_037614mg transcript:KQK92416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMAAVRWWALVVAVAAAATVAGGDMNADRTECADQLVGLAPCLQYVQGQARAPPPDCCGGLRQVLGKSPKCLCVLVKDKDDPNLGIKINATLALALPSACGATRANASHCAQLLHIPPGSKDAAIFSPGGDKGSSAAPAKDNSTATTDSHALQSTNGGGGGVSTAATAGVALTAVLAGYLLLLVPELSPSSF >KQK91166 pep chromosome:Setaria_italica_v2.0:IX:48243825:48244665:-1 gene:SETIT_037762mg transcript:KQK91166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTGRYLGLDLSAVVAGAAGDLRPAFDVLDADRDGRISREDLKSFYAAAGTTAGEQFDDDDLAAMIAAADADRDGFVQYDEFERLLASRATTAAAAGRGSAMEDAFRLMDRDGDGKVGFEDLKAYLGWAGMPAADEEVRAMIRVAGGGDGDEGVGLEALARVLAVDLEGIAL >KQK89154 pep chromosome:Setaria_italica_v2.0:IX:21373506:21374631:-1 gene:SETIT_039670mg transcript:KQK89154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSRSLLFLFLCLPIVLAMASSHTDKTDPFPCARPEENEIRLHTYLHQFPAWPNVSNPNEYLITNAHGTINYKDVQSTVSSITDVVRELDIHIFYTP >KQK92350 pep chromosome:Setaria_italica_v2.0:IX:55058165:55059363:1 gene:SETIT_038772mg transcript:KQK92350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGSIDSVDSPRAASNSRRLAPILLDRQQAHFTDSRNETTATARSKGGHTMAVSFWLADPPDVSFSGESPSLEWVPVPADGNYGSLGTVKKFGIVPRDHRDHYLLAALCDTHHGSSDYLLQIYSSETKAWNTRTLLNPCPGLQKIIPEKVIMLREGLLGWVDFSRGLVACDLRHDPPGVHFILLPEPLPENRDKLKGSVPGVAARSLRDLACVDGVLKFIEMEHHVTEKPGDPSDKDFLYDSDLIMSLKRKDRDENPKPRDGWRAVTWTRTFSSNGWSKECTVGVADILVDESTNVPSLSVHRGETVGKLTFRDLYSAFPTLSMDGDDVLYLKSVAAPNDRNGWVVAIDLRNKTVKPLGAYSFEGHDPTKQAFSY >KQK91345 pep chromosome:Setaria_italica_v2.0:IX:49351748:49355208:1 gene:SETIT_037687mg transcript:KQK91345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKLSTSPFAPRAHLFRLRSPLSSPSSPSVRAMDPVAPRRGRLPIHLLVVLLTVLVALTVRSRAEVITLTEETFSDKIKEKDTVWFVQFCVPWCKHCKSLGTLWEDLGKVMEGEDEIEIGQVDCGVSKPVCSKVDIHSYPTFKVFYEGEEVAKYKGPRDVESLKNFVLNEAEKAGEAKLQAD >KQK86242 pep chromosome:Setaria_italica_v2.0:IX:895631:901159:1 gene:SETIT_034793mg transcript:KQK86242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALASRALQPPHCHHPAAPPPRARPAIAAAPRARGCVAPASARCRAVAADERPADPAFPEGQNRGLSGAVERPEADVVVIGSGLGGLCCAGLLARYGQDVLVLESHDRPGGAAHSFDIKGFNFDSGPSLFSGFQSRGPQANPLAQVLDALGESVPCASYDSWMVHVPEGQFLSRIGPTEFLKDLETFVGVDAVQEWKKLLDAVIPMSAAAMALPPLSIRGDLGILSTAAGRYAPSLLKSFIQMGPQGALGATKLLRPFQEIVDSLGLKNPFVRNWIDLLCFLLAGVKSDGALSAEMVYMFAEWYKPGCMLEYPLGGTGAIIDALVSGIEKFGGRIALRSHVEKILIENGRAVGVKLQSGQVVRAKKAVVSNASMWDTLDLLPPDVVPKAYEDKVKATPQCDSFMHLHLGFDVENAREDLGIHHIVVDDWNKGVDGEQNVVLISVPSVLSKDLAPPGKHILHAYTPGTEPFRLWEGMDRKSTDYRRLKEERSEVMWKAVEVALGPKFSREKCDVKLVGTPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQLFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >KQK88063 pep chromosome:Setaria_italica_v2.0:IX:11230470:11231856:-1 gene:SETIT_039093mg transcript:KQK88063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIPDKFVQHFRGRIAKTVKLESRTGCTFNVEVTKSLGKVVLQTGWKAFVCAHDLKMGDFLVFRYDGTSRLKVWIFDLSCCEKMPPCHVMRSPIRGGGKGEEQIEISRSCDDLPMESTEIKSKAWKQREGSMNLNTSSTSSSDSSGDSLSPEDQKSHSVPSYILPQRTYLTCVQKKKLKERVRAICSKTPIYGCVMKKSSIDAKPQTMDISREYADVYLPFEDQTLLLQHRGKSWEVGCRINIHKSNSGTKRLSKGWKQFARDNNLQLGDLCLFELLENKKYTMNVHIIRIK >KQK88311 pep chromosome:Setaria_italica_v2.0:IX:13066860:13070424:1 gene:SETIT_039663mg transcript:KQK88311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGTSPSRAMSSVPNLRLSVWDSADDPLDFSAVAGAVFYPLRRRATKPKRALSPEFIEVRAAAEKRAGGAAEGTTRKRAPSPEFVEVRAASDKRAGGAAEEAKRKRAPSPEVVEVRGAADKRAGGAAGGTKRKRAPSPEVVELPAAADKWASGAEEGEDARAAKKGNSNSHLDKKTVKVMTYNVWFREDLELSRRMQAIGNLIRHHNPDLIGFQEVTPEIYLLFEKSDWWKSYKCSLSHKEATEKAYYCMQMSKLPVESFNCKPFSNSYMGRELCTADVIVGGLIKLVWATSHLESPCPGPPNWDQMFSKERVAQANESLRILGAFRNVIFCGDMNWDDKGDGPFPLPDGWIDAWDELKPGENGWTYDTKANIMLSGNRKLQKRLDRFVCKLSDFKVDSIEMIGKEAIPGITYIKEKKVRQEIRNLELPVFPSDHFGLVLTIS >KQK87670 pep chromosome:Setaria_italica_v2.0:IX:8615896:8620352:1 gene:SETIT_036843mg transcript:KQK87670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEHLALRSSVGSRSSALPSHNPRLPPAHDPLASVWIRRLHLTPNPPPPPRPPPPPPPLPLPRRATPPSHQDAVSTDESRTPPPPPPPPPPRRAGFGPFRWSPRPLRGAPVGACDASVSGASVGGSGVGGGPPMLSPFFRLPAPPPVTQVSDFGEVAPVRPLIGLGSHSGSSGFPGLSPPMVGGGDASATWLLGRAAGAAYPSHALDMVPIRTLDDLHDRQHGVIAVPPNLARHDPSSSSQHDEPFSYWNMGRCRRNTTTSSITPISVAPANFGTKRNADSNSFLPLKLRKLSRAI >KQK88702 pep chromosome:Setaria_italica_v2.0:IX:16374705:16377654:-1 gene:SETIT_039582mg transcript:KQK88702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRPRIPLRRLLPHLQRCAPRPPVPQRRSLSYSPSSAALSAAATESEEEAVLGRDAPPLAPPHSGGAGGGRPGSVWARKGAFEENDAELERKASIAARLRLCHELLWQRRWREMRGELAQMVGEQGPDSASILSDILLNGFREWHSNSIIWDALANSYARAQMIDDALYVLSKMSSLNMQISVPTFDSLLYSLRKTDMALEIFEKMESCGLSPSDYSHSILIDGLCKQDKIGEALSFLQEARKEGKFKPLGMTFNILMSALCNLGFIQDAKSIFCLMLKYGLNPNRYTYSTIIHGLCKTGSVREAFDIFQSVTEEGMELDIVTYNSLINGFRLHGHTREIPKMIEMMRNQGVEPDLVTYTILIAGHCEGGDVEEGMKIRKDIIDQGLELNIVTYSVLINALFKKGLVYEVENLLSEICSIGLDMDVVAYSILIHGYSKLGEIGRALEVCKVMCSSQRVMPTSLNHVSILLGLCKKGFLDVARLYLENVATKYQPTDVVLYNVVIDGYAKVGDIGNAVQLYDQIIKAGLCPTIITCNSLLYGYCKIGDLHTAESYFRAIQISDLQPTAVTYTTLMDALSEARKVHAMLSVFNEMTEKGIRPNAITYSVVIKGLCKQLMFHDAMHVLDDMYRQGFNADPIPYNTLIQGFCEARDVKMAFHIYELMVCHGLTPTPVTYNLLINVLCSKGLVIHAEMELESFRKQGAELRKFAYTTLIKAQCAKGMPYRAIMWVGKLLDAGFEASIEDFSATINRLCKRQFTEEALMLIPIMLSVGVYPDIQLYHVLGAAIRKRNELFYLPILQALAIKTGI >KQK92973 pep chromosome:Setaria_italica_v2.0:IX:58123465:58124414:-1 gene:SETIT_037650mg transcript:KQK92973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARAALASAAVLLLLAGGCAGAMYKVGDLDAWGVPPPSKPDVYKSWAKSVHFALGDSIWFLYPPSQDSVLQVTPEAFAACDLANPVLKLADGNSVFNLTTPGRAYYTSGAPGHCRKGQKLWVDVPMANGTYLQPSASDLAALAPTPAAEAPEGFLQASAPAGAHPSAAELRAVAGAGSVVAAVALALLL >KQK90225 pep chromosome:Setaria_italica_v2.0:IX:40787290:40787810:1 gene:SETIT_038131mg transcript:KQK90225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSEAELVVAVEKSESDSQREPLLPDELSLVVVPDDDAPDSEPLPLGAATAQASSSSPSSRRRQNKPPLPSRRERLLERWDSLLGRRRRDGSESKWCRIVGADSPSRRRG >KQK87318 pep chromosome:Setaria_italica_v2.0:IX:6617060:6619333:1 gene:SETIT_039600mg transcript:KQK87318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGAPDGSGCSPSSAAKDHCFVLSEILWHIYEYYKAALDRLPVEEMPALIPRLLGAGVCFGLLDPVSNIIANTLFSIMLGRRGLSGPRTVARRSLEGLVTFLICYFRQLPVSEALHYLLLAKADLLAAVHLIEHNRGMAARLFPISSPTTEISLKCAAMSASHPDPVLFAARSLSLASRLEQHLTLRNLCGKQFFLLRLNRYIRGTNSLSKFPLEFTETLRTLLLEKIHVLYLRAIARLPRDDLRGRYHHGLLKAGHCFGPANAPVTNIILNTIWYDTAFPPHEEFKVDMICTSSLVRIECRSLNGLLAFLHNLFPTLSEHDALLQGTEYELHIICGWCFHCEYEGIKIVHPDFGTYRGRETDFEEMACGKRKVDNEELIGFGDMRMEFVGMVSDDSVYSDPATDSDFADSWQNHTSSSARLSYGLRFIMFVYNCA >KQK87233 pep chromosome:Setaria_italica_v2.0:IX:6200189:6201948:1 gene:SETIT_039343mg transcript:KQK87233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTERRMDPALYKAATQGKVASLRQLVDPEDPSVLSSTTPQLNSALHLAAQHGHAAFAVEVLDKNEELLVARNDDGDTPLHLAAKAGKLEVAQLLIDRALAWPQDKKSPLIMTNKAGNTALHEAVRNRRAAVAVALLDADPSRGHDLNERMESPLHMAAREGLVQVVQKIVDYTWVGQEFLPSVSLSGTALHQAVLGTHHRIVEILLEKRPELIELTDSDGNNALHYAAQKDHQRAVELLLKTRTELAYKRNHQSMSPLHVAAHYGSTDAIKALLRHCPDVAEMADGYGRNAFHASVENGKANALRSLLRRVRPAELLNRVDANGDTPLHIAAKRSRVHCALLLLNDRRVDPCVRDHDGQTARSLVETKLHTGEMDAYEMYLWKQLKHQEFKRCRKQQLPPLATYPSRRGSNDKYFERIVETYILVATLIATVTFAATFTMPGGYDQTKGIALHGRNTAFKIFVISNTVAMCSSIVVVFCFIWAWQDPVRFKVDQLLWGHRLTIIACLGMLVSLMTAVYITVAPTSRWPAYVVIAIGVSTPAVVVLMLGRDVIFVPF >KQK89105 pep chromosome:Setaria_italica_v2.0:IX:20704364:20704982:1 gene:SETIT_040287mg transcript:KQK89105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEKSIVAISASSGLVHNLFQRWLYTTLLYCMFLLAVAIRN >KQK89104 pep chromosome:Setaria_italica_v2.0:IX:20703981:20704982:1 gene:SETIT_040287mg transcript:KQK89104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEKSIVAISASSGLVHNLFQRWLYTTLLYCMFLLAVAIRN >KQK91237 pep chromosome:Setaria_italica_v2.0:IX:48705138:48708572:1 gene:SETIT_035800mg transcript:KQK91237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAATEGKLSPALPLATLIGRELRGDGSERPLVRYGHSGFAKRGEDYFLVKPDCLRVPGDPSSAFSVFAVFDGHNGVSAAVFSKEKLLEHVMSAVPQGISREDWLQALPRALVAGFVKTDIDFQRKGETSGTTATLVVVDGFTVTVASVGDSRCILDTQGGEVSLLTVDHRLEENAEERERVTASGGEVSRLNLCGGQEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLPNTGGRLIIASDGIWDALSSETAAQACRGLPAELAAKLVVKQALKTSGLKDDTTCVVVDIIPSDHCSTPPALSPKRNQNMLKSLIFGRRSHSSVGKLSKSASLSSVEEIFEEGSAMLEERLGRNFPSKANLPPFRCAICQVDQEPFEGLMTDNVGGCCSAPSTPWGGPYLCSDCRKKKDAMEGKRSSRSTTCR >KQK87549 pep chromosome:Setaria_italica_v2.0:IX:7872194:7876430:1 gene:SETIT_035632mg transcript:KQK87549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDPTADTIQSQAPPSHPRLGHPNPEPSAHDPNPCAAKPKPSSPTAMAAATEKTAEDIRRELQELQRQHREITERLRDPRGLRRGVPGAGPVPGGPRPLRGFVRPAVVAESGDQPAQKRRLLSAVVKVDGAETNEEGEKAAEAEGREEGSGAAEAGDRRGVSNGGFRRDGGLRMPRRVDYNSLPEPAPRELPKNDDPNMVRRNKRMLGQLLVGTLEKFQQEDKKLSNSEAFLRRSETQRKAEQKVREESERLRQQEREQIAEKRKRDMMLRARVAAKAEEKRLELLYMQWTEHHKKLSNFLRTKAEPPIYYMPAKPIIDDPIIVEQNKEKVFEEWKSVRRAELTQFQKQVEEQYLSNVERQLERIQNARNARRANGPANMQEMDKELDTHRAEHGPKTRRVPEEGGGNDEDEDVEDMAAEDELMDEVLGINEDPSKPSEEATTDGGEPHAPEEAQ >KQK88263 pep chromosome:Setaria_italica_v2.0:IX:12709425:12709843:1 gene:SETIT_040415mg transcript:KQK88263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTVIQIDARNTTPSVASVAVWNHIPEPKAKIPPLIRRPAARCRSAASLCRPSPHDTME >KQK89372 pep chromosome:Setaria_italica_v2.0:IX:25621168:25621409:-1 gene:SETIT_038354mg transcript:KQK89372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVCVIQWFEELVVVCGPFNPWDEYVATSHFVLSSPATKYSPRTSGTTLFLTKYACKKCQPPLSLSILTVIFCT >KQK90139 pep chromosome:Setaria_italica_v2.0:IX:40099922:40101964:1 gene:SETIT_038792mg transcript:KQK90139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPAFLADQRRLRCVLSSSSATLATLTRLHALLIVSSSHHLLASLAASYARAGALDAAESTLVNSPASPSSIAAWNALLAAHSRGGSPGTALRVFRALPPAARPDSTTFTLALSACARLGDLAAAENIKDRAFEAGYRKDVFVCSALLHLYSRCGSMGGAVKVFDRMPRRDRVAWSTMVAGFLSAGRPLEALDMYMRMREDGVEGDEVVMVGVIQACAAVGNAQMGASVHGYLLRHGMRMDVFTSTSLVDMYAKNRQFDVARRVFRMMPYRNAVSWSALISGLAQNGHADEALDLFREMQVSGLQPDSGALVSALLACADMGLLKLGKLIHAFILRRLEFDCILGTAVINMYSKCGSLASAQMLFSGVGSGDLVFWNAMIACYGAHGRGRDALALFQELNDTGIRPDHATFASLLSALSHSGLVEEGKFWFDRMIKEFGIEPAEKHYVCIVDLLARSGLVEEANDILASMQTEPTIAIWVALLSGCLNNKKVEIGETIAEKILELQPEDIGVLALVSNLYAAAKKWDKVREVRKLMKNSGSRKIPGYSLVEVRGTRHSFVMEDQSHPQHQEILKMVAKLDSEMKKMGYVPRTEFVYHDLDEDVKEQLLSYHSERLAIAFGLLNTSPGTRLVIIKNLRVCGNCHDAIKYISRITDREIVVRDAKRFHHFKDGSCSCGDYW >KQK90733 pep chromosome:Setaria_italica_v2.0:IX:45426264:45426515:-1 gene:SETIT_039381mg transcript:KQK90733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPLEQWRGGEADGKEAAESSSAGCRTPGGNGQAARGGAAGGDCPAAPRKRRAPAGAVSQQQQRRDYYNGADVEAFFAAHNL >KQK91321 pep chromosome:Setaria_italica_v2.0:IX:49240314:49247019:-1 gene:SETIT_038769mg transcript:KQK91321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGPAKKRRRESSPPAAAAACGGRKLLTGEHVEVLSCDPGLCGSWHQAVVTGILDNARTVRYIDFIDENGLPLVENVQVSDAIDGKSSMSLATDGNSSMAGELIRGTVRPICPHQPLQVSEASYGLCVDAFLEGSYWEGVIVDHAQGSTERKVLFPDEGDECNIGVDKLRLTQDWDEVTGKWKPRGIWLFLQMLLAHEERDGLPVSVRQIWFDMRSKPAFRTDAKMWMCRTEAFWERSLANLIAELWSICGRPTIDGYQVETYSTVVEGSNSAAFQKERVETTVLDKLDPNPAVLFQTLSEYTSCYRNNKRKSALVKKELAKQHLKSLGWTMLDDRGKNKFYISPDGKRFPSFLGACEACLASKEANADQHSHTNNLLLDSASVVHKNAHYIPTRMDLILRENKSNDKLIETSSRPWESVQLDAQFSPQIVSLLANYQDGTTVLQRRINRTQSLMLKKHLLALGWSIEFKIDEMILENGHHKNIMRYRYVSPDGKTYASVIQVICSFIVGSVKQVDGNRVDNITDRHNHRTAPKEDVHATVSTDLARLGKRKRGDKFGALGEYIHYMEADKQNSRTRKLLRSNAKKFLKSAGWNFWLKQKSRSKLELRYSAPHGKSYNSLVAACKGYLEKEYEENSDTSFGSADQDSTDGSMHPSKLIALSGRLRDSSRRQDMPVLDRCNNMFTLSTRHGKSRKRKSSSVPLTPFLCSRHGRALPSQHRAKTVLSLLIEKNILLPRDKVIYKQISDGPGIKEGSISRDGIKCMCCNEIFTMENFEFHSGSNTPLPSTHMFLKDGRSLSQCLVEFMGGNKPRASLHVRLKGRNSDLESDSICSVCHDGGELLLCDNCPSSYHHDCVGLEAIPEGSWYCPSCRCSICNLSDYDPDSSQFTEKTIVYCDQCEREYHVGCTRNNGHQLICRPEGCWLCSSGCSKIFQHLQELIGKSVPTPVKGLSCTILRFYRENGSDRGHYDDAIMAEHYGKLCIALDVLHECFVTIIEPRTQSDISEDIVFNRESELRRLNFRGFYTILLHKGGEIVSVGTFRICGQKFAELPLIGTRVSYRRQGMCRLLMNELEKLLFDLGVERLLLPAVPELLETWTGSFGFTVMSNSDRIELAENSILSFQGTTMCQKAVDIASTHSDMLNGTTISLSFNRTTVCDKAVDIASSCSEVLNGTAMPTSDRELAENSSLYSPGSSLCQKVINTCSYPEGLNGLSPPSFRFDYQVEDSGIIGETMESDCQEGTSIVIEGMEQLEPELVHEIQNNSGEEGICSIDGLNSMPDPQVGLAVEPELVLKIQNDSGEDGICSIDAPTRTPDPRVGFTVEPDLVLEIQNNSGEEGICSIDASTGEQVGLTVDMHQQPYGRYGFFC >KQK88884 pep chromosome:Setaria_italica_v2.0:IX:18168483:18169354:-1 gene:SETIT_038972mg transcript:KQK88884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNRANWDEGMTKTLLDLCIAQKNQFNWSNRCITKLGWKNVYRSFNQQTGMNLGSKQLQNKLNALRRTFVSWRDLQIQSGGVAADPTFWEDEEVETSGGAAQPSSQSSFVKPPPFIDELYELYGCDPQDRGTLLTAGGIREATPSVGTEGNAADLYQDPMRASSAHNLSKRSSQEISVDSPPKKKSDSLEDYFRDISETVANRSQKCADREQEEMDHAMQLIEEDGLQEGSPLYCQTLYLCTRNPGYRRSFTKMKMKEG >KQK86318 pep chromosome:Setaria_italica_v2.0:IX:1284757:1287538:1 gene:SETIT_038055mg transcript:KQK86318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAGDEKAAAAAGGAAAEGEAAVDSKDLQQQSKALDKLTDHVEDRQLDSSRVQSAMAALASSKEADWNAMRLREKELAAVKINPTDVEIIANELELDKKIAERTLREHKGDAVAAVRFLLQ >KQK88349 pep chromosome:Setaria_italica_v2.0:IX:13421212:13424300:-1 gene:SETIT_034959mg transcript:KQK88349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAWGCVQRVAAGLLGGPLAGGGRWNTAVAVGVTAAAGLAVVAIVVSSRRGGLKSPWWRRRRKAPLTAEEWRGLFTPEGKLQDGGVKLVKKVRSGGIEPSIRALVWPFLLGVYSLDSSEAERDAVKAQNRKGYLLLRKHCLRKSAYSMEGSKQSTNKTAGVNPEESISSEKGEESGCASPVESVEVPENAIVEEAISTEEGNPCLSTEQELQDDTSETKPEKMDENQSSSSSSNDEDSERSNVTHVEASHKDLASVCEPSLEDEQESIPRYSNTGGNMDDIEVSKAARPVKSARATEDFETWQRIIRLDAVRANDEWVSYSPSQASVSREKAVESAKAVCLKDYEHLEPCRIHHASRLVAILEAYAIYDQEIGYCQGMSDLLAPLLSVLEEDDEAFWCFAGFMRKARHNFRLDEVGIRRQLNMVARIIKYKDFHLYRHLEMLQAEDCFFVYRMVVVMFRRELTFEQTLCLWEVMWADQAANRAGIAKSSWRRMRLGAPPTDDLLLYAIAASVLQKRKLIIESYSSMDEIIRECNSMAGQLDIWKLLDDAHDLVVTLHNRIE >KQK91559 pep chromosome:Setaria_italica_v2.0:IX:50547740:50548193:-1 gene:SETIT_040489mg transcript:KQK91559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPKITRVKKKGRKEGRSKIKTKEIVQLLLALPAVLMVWRRAASRLQHDQTDT >KQK88266 pep chromosome:Setaria_italica_v2.0:IX:12719226:12721492:1 gene:SETIT_038814mg transcript:KQK88266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGMGSAVETLCGQAYGAHRYEMLGVYMQRSFVLLAATGVPLAALYAFSNWILLLLGQSPEIAGAAWVFVLGLIPQIFAYAANFPIQKFLQAQSIVAPSAYISAATLAAHLALSYLVVYRLGLGLLGASLTLSASWWVIVVAQFVYIVTSRRCRLTWTGFSWQAFSGLPEFLRLSVASAVMLCLETWYSQITVLIAGLLKDPEIALDSLAVCMSISGWAFMGSVGFNAAASVRVSNELGAGHAKAASFSVKVVTTVSVVVASAIAAAVLCLRDYISYIFTRGDDVARAVSTMTPLLAVTIVLNGIQPVLSGVAVGCGWQAFVAYVNIACYYGIGIPLGCVLGFHFDLGAMGIWGGMIGGLVVQTLALIWVTFRTDWNKEVEQACMRLNKWEDKKKPLLAED >KQK92356 pep chromosome:Setaria_italica_v2.0:IX:55107147:55107716:-1 gene:SETIT_038280mg transcript:KQK92356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALLVAEEFEKRVKRGAPGASGEADGSGERRNFGAVAKVWSSWVESASAAASGVRVNVAVQLRELEPKTGLGLAAVDGLFSA >KQK89962 pep chromosome:Setaria_italica_v2.0:IX:37805065:37808107:1 gene:SETIT_035334mg transcript:KQK89962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQCVPSWDLDDPAVVAGGGGGGLNHPVPAAGGAHRVVVSAAGTTGGAFAPVVVPMSDKYYEVAELTWEKGNISSHGLLNRPAPSKYPPAAPSHLQGIGGGAGAGGVAGDRETLEAVVGEAAARSHFLSQPPAVHHHAPWLGAAGGAVARTGADALVPCAARVVDEAAEGGDAADASRRKRARVVGEDGLVCASQGSAAPGRRGESALLTLDGGCGTGADDLCGFTTTTNNSTSLDRDDKGSPDTENTSIGGGASDSRCFSRRSQRDGLCDEGENVVINGDGAVRSSISTKRSRAAAIHNESERKRRDRINQKMKTLQKLVPNSSKTDKASMLDEVIDYLKQLQAQVQVMSRMSSMMMPMAMPQLQMSVMAQMAQMAQMAQMAQGMMNMGSLAQPGYAGLTPPMMPPFVPMSWDPTAAAAATSAAGAGAGVMGTDRAPQPGAAAGAVPDAFTAFLACQAQQNGQQQPGSMEAYNKMVALYQKMSQQQQQQGQPSNSSKQ >KQK86260 pep chromosome:Setaria_italica_v2.0:IX:993551:994098:-1 gene:SETIT_038012mg transcript:KQK86260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCRAREGETRCHRRASDGSASRNRPCWIDWLCAKPLSDIPLLGGQHPCLSPSPFSRALVSFQSFIVVGGVSWLAKIAAACEPRRARGARSPRWHHAPPDHLRVRPRQMDGWIRWLPTRRPRPVLELAS >KQK88599 pep chromosome:Setaria_italica_v2.0:IX:15447071:15447633:-1 gene:SETIT_038713mg transcript:KQK88599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFQDDALREISRHLPCVADRDDAADVCRRWQAALSEPLPDPPLPRQLPWLLLPSADSTRACCLFCGTGNDACVIRHKLTATHGARCFGSYNGHCPNRDARFLYLPDALGTGLNHGQRDMVILAATLSCSPDDQNCIGAGIVMQWQFIAGQRQAPFEVEDVLFHDGS >KQK86716 pep chromosome:Setaria_italica_v2.0:IX:3417588:3420258:-1 gene:SETIT_035022mg transcript:KQK86716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETHPSRHRRLRKASDLSTVTTASPLSKRIRMGKKDDSYEDRISTLPEEILLIIVRKLDTRTTITTIILSKRWRDLPRCLPTSYNLAADDLLPPRYHRLKRLTIEAKAAYEAEKNVHKLTDIYAIKARHERWMTKIRPLTAILERYERRAMRRYVKQVNAFLLAPKDVRQRRPVQKLRLQMLGRWHQNIDEWITTAIAKWDVEDFELVVDGYCLYYDLKQLDAYRNLRLKRLALSNCQGICAWNCLTVKRLTKLSLGEASYIGLVNDILANCVQLTDFRVASSSFYRAKFLIFAPSSKLKNLQVDRCNFGKICLISLPCLETFVCRGRPTKLSYGEVPQLRRVSLDYLQTEDNDIDDESGSRRTYPPSKFFKRTPQLDCLVLQFKGTQMWIEPFAVLSEFSQLKKLFIANVPANWDVLWILLLLDATPALESFHVHIDNSSEERSAGDLCASLDVGARQDRYRRLKELVVAGFEGLGWQTSFVRLIMKRSPLLRRVHLLDGEVRDDERELGALQIVPRRREWHECERAEVLDDLTAAFRWPPQIILE >KQK88469 pep chromosome:Setaria_italica_v2.0:IX:14463649:14465706:-1 gene:SETIT_036384mg transcript:KQK88469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPASMVAMPRSVPAALAAFPASARAGERRGNARSLRPAACGGDEQQPPSSMAAVPPSLRAIQAKRKQAAAQRGVPRATATSAAGCAVAALVKAVEAVQGAAAGSAAGAARGAGDAVAWVFSKVHFQSPDLAVGLLGMVACCLGTAVQAERDRMEAKRRLEASSGNAEADPDGDDDGGAEEPEDMPELVEGDMEKELWGRIGILHGGGGGGLYGDGREELDEEEIKEIDSARARRRKAAYERVIAAGGANSLVLSNYAQLLYELDKDINRAEMYFKQAVAAEPADGEAMRRYGMFLWHARGDIGGAEEMFTGAIDEEPESSHHRSSYAWFLWMTGGVETCLIDTGKNNGNDAE >KQK89631 pep chromosome:Setaria_italica_v2.0:IX:34160587:34164312:1 gene:SETIT_034933mg transcript:KQK89631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATADEERPLIPHLQSQDVDSLYTGDGTVDINNQPALKRTTGNWRACFLILGTEFFECFVFFGVAKNLVTYLRGELHESNADAAKNVSTWIGTCFVTPVIGAFLADTYWGRYWTIVISLSIYIVGMLTMTASAWLPLLMDPLHDSGIRHVVVYLGLYLVALAGGGIKACASALGADQFDGANPVERVAKASFFNWYYLSINIGSLLSGTVLVWVQDNVGWGIGFWIPTVLVVFGLAAFVTGRRVYRYKKLQGSPLKRVSQVVVAAVRNYNLGLPEDCSTLHEVPSPTKPNCRIQHTFQFRFFDKAAILVTSSHEKGQPVSENPWRLCTVSQVEELKMLLRMFPVWASMVLFSTVNAQMSSTFIEQGAVMDNRVGSFTVPPASLATFNVISVMVCIPVYDAVLVPLARRATGKERGLSQLQRLGVGLALSVAGMVYAALVEARRLVLQRTGTLMSIMWQAPAFAVLGAGEVFTAIGILEFSYEQSPDGMKSLGTALAHLTIAAGNYLNSAVLGAVAAVTARGGKPGWIPDDLNEGHLDYFFWLMAALGVVNLLHFLHCSLRYRGNNN >KQK90185 pep chromosome:Setaria_italica_v2.0:IX:40443275:40445880:1 gene:SETIT_035179mg transcript:KQK90185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPPRTPPPPTARPVASGSPHRHPRPPPAPRHHLHPQHKQQGATTTSVLGVGVPNAWLSQRTPVLGLRAWVLVAAGAAAAALALLCLVFCLCRRCRRHRPPRLAPSAHRPGASRSLRHRVHAHHEAVAMPPAKDVEEASARWRPPPFEPPIEVIKAEQKAPLILAEHFARTSGESATSRSGDGGSTDSGGGSDAEVPEAARRGWGRRYTHREMEEATGGLAAANVMGEGCYGVVYRGVLRDGTAVAVKNLHNNRGQAEKDFRMEVQTIGRVRHKNLVSLLGYCSEGACRMLVYQYMENSNLDKWLHHDDSEISPLTWDIRMRILLGTAKGLAYLHEGLEPKIVHRDIKSSNILLDQQWNAKVSDFGLAKVLCSERSYVNTRVMGTFGYVAPEYAKTGMLTERSDVYSFGVLVMEVITGRTPVDYTRPADEVNLVEWLKHMVAERRVEEVLDPRLPEPPPSKALKRAILAALRCVDPEGSQRPTMAHVVHMLEDDQIQRDEFKLARDLSPRESDSYEREHCR >KQK92699 pep chromosome:Setaria_italica_v2.0:IX:56837753:56839083:1 gene:SETIT_037404mg transcript:KQK92699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVTVYGPVISPAVARVAACLLEKDVPFQIEPVDMSKGEHKSPSFLKLQPFGQVPAFKDHLTTVFESRAICRYICDQYADRGNKALLGKKEHGAVGRAAIEQWIEAEGQSFNPPSLAIIFQLAFAPMMGRATDMAVVEQNEVKLAKVLDVYDQRLGESQYFAGDEFSLADLVHLPNADFLVNRTSKAGLITERKNLARWWDDVSARPTWKKVIEMQSAPGPS >KQK89955 pep chromosome:Setaria_italica_v2.0:IX:37590775:37591852:1 gene:SETIT_039901mg transcript:KQK89955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLPVTPQEPASVNSSVTPAYQPAPRRELKRTAALQSPLCSKAVCKVYNAVLTSNGPSTRSSNKTSKGIIIINYWDFHITLDELANSIKPNGSYKTPQEGHKASLTTTSICELLFLVLASFFEYLQSGQLDRSEVKRVFRKNTNHLDHRHMVRFFLLPLLFLFFKFCYFAFFFGQFSDSNFKTQVMFPVLQQIEKRDFEKVGHYFLLVLNLRNNRFEVLDSMRTLEDGNLRSCCNTLMDAIKKLWNKHYSDSSIVIENYNIVDIGVPIQTNK >KQK92737 pep chromosome:Setaria_italica_v2.0:IX:57000191:57003375:-1 gene:SETIT_037792mg transcript:KQK92737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAVVLREWFDRVDAARTGNITAPQLQSALAVGNLDFPLSVVQQMIRMYDFDRNGTMSFEEFLALNKFLQKVQSVFSTLERGRGFLSLEEVYEALIKLGFSLDSPAFYTVCESFDKSKKGMVHLDEFISLCIFVQSARNMFNSFDTSKQGRVSLDFNQFVYCTANCRI >KQK87794 pep chromosome:Setaria_italica_v2.0:IX:9406135:9407936:1 gene:SETIT_040047mg transcript:KQK87794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPCAKLSTMEWSISTHNKRVSSSSTGRSKLLPFLLHRKALPPPSPDDDDTPDLFSDTPALGPLLLLTVLAAGTGHGSAMRMTPASIVTAAAAHRRSDRHRRRRGRPPAEEPDPASSLRQIYDVREGEEEVEVLAAVILAAHRASGSPLGRWRGGGVHWLRFWSLLQREESSETTYSASKALEIVALDIFAKNGWRSNNRLWF >KQK87682 pep chromosome:Setaria_italica_v2.0:IX:8670836:8681384:-1 gene:SETIT_033855mg transcript:KQK87682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFALSQKYHEGLLKLQQKDYSKARELLEDVLKDPLISNIQVDNIGSDQHLLQLRFLTLKNLASVFLQQGLEFYDNALHCYLQAVELDSNDSVVWNHLGTLSCSMGLLSVSRWAFEQGLLCSPNNWNCMEKLLEVLIAIRDEVACLSVANLILRSWPSHHRALHVKKTVECAEPVPFAPRGIDILEPKHVTLIFSNKRKSVDDEIYQETRTKKSKQSATLQLNEAKWLALLDGILSFLSANSKKADENNCINTSEDSVKGIAYNTIDVVVSTDTIKSVESAGENGNDSHHDGESVPSHDCKTTVKDKDINSDREHPHERRSTRLERLRSRKSGKDENGSDGKDISNAVTQFLDSFILKGSSAAEKDDFSGNADASNPDTLTYTSDDEANDFKRFLCKISKNFGPHHIGYMLLEEMAHLKVPFQDYFVKLIELDKLTRGWAEDRSELCSLFLAELYYDRALCSGSPSTSSELSDSSYHLCKIIESVALELPFNTSVREIHSTDLDLEMESSRADVPSSDITERSNENSDKPVSIDMLSDKKYDSDSSSNINCAFWIRFFWLSGCLSLSSDCKEKAYKEFSIALSILRNGNKDKSCGDVILLPHTKLVKSLTTDRILREINLIRLESLLWNNDENINKITHTEFMKLLPPLLLSTKDVYVGNAYGPQRESENVISLELSALDVLISACEKAKPMNIQVYLDSHRRKIQVLTVAAGMVGSVTPPKGKGSSNMDFVEAMNRNRLENVVEAIKDVSRNASKAKDFIDQCDNSDGQDGWSSLVSIVGDIQSLLLTIMCAAVKIILSRKLSCSGTSYQVDQLESSCLIDAAIAFCKLQHLDPTISIKTQVDLIVALHDLLAEYGLCCSGKDGEGEEGTFLKFAIKHLMALDVKLKSQLNSNGIEEDAVPKNVGAQDSMVDEPSVNDSKQNSEDEEDSELDEIQSCLDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKHFPQPPYDLLVNNPIDNFLDGPDSCEKILSEICESNGSREAILNVLFPGERGYEAFKKLSTASSEPYSDVYGNLYYYIAQAEDISATDKHAGFVLKKEGEEFVEQSANIFKYDLLYNPLRFESWQKLSNLYDEEVDLLLNDGSKHISILDWRTNTDLIRRVEMGRRHSRRCLLMSSLLAKTAPEQSESHELLALVYYDSLQNVVPFYDQRATLPVKDSTWETFCQNSMKHFEKAFEIKEQWLHAFYLGKLCEKLGHSFSKPFSYYNKAMMLNPTAVDPVYRIHASRLKLLYTQGKQNLEAIQVVADYTYNQSTKENVLSMLGSTTNVSNSSSDQNEKSVLDTKEENKCVEPDLLLDKVWHILYDDCLYALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSSRSSFTVNMWEIDGTVRKGRRKNPNAGGSRKNLEVSLSESSRKFITCIRKYMIFYLNLLEKNKDLWTLEKAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTAAINNPEVRRASGDASVEQLLEKMFSVFMDHANLWADISTIPEVNCPELSESNLYSYIHQYIHLLESDVRLDALEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCILIKLASITPLPESMDATNQPAPLSSGLLLYVDLQPDELLISSPDGPAQFKGLDMNWFETLNRIKNIPIKQTTEDNLETAVTLMKSTYNFYRESSCGTFPSGINLYTVTPAHAPIEGLPQAPPVVETLDLSIPRKLLLWVYTLVHGRYSNISSVVKYCDEMKSRSKRGTSAATASSQVVQPIPQTIEKGAQVESNEAAHDANPSAQAAACAPPAHQEAGAASASQTAVDAQKAASAISQLNRSGSSRAMEYTPDSMERK >KQK87683 pep chromosome:Setaria_italica_v2.0:IX:8670836:8681384:-1 gene:SETIT_033855mg transcript:KQK87683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFALSQKYHEGLLKLQQKDYSKARELLEDVLKDPLISNIQVDNIGSDQHLLQLRFLTLKNLASVFLQQGLEFYDNALHCYLQAVELDSNDSVVWNHLGTLSCSMGLLSVSRWAFEQGLLCSPNNWNCMEKLLEVLIAIRDEVACLSVANLILRSWPSHHRALHVKKTVECAEPVPFAPRGIDILEPKHVTLIFSNKRKSVDDEIYQETRTKKSKQSATLQLNEAKWLALLDGILSFLSANSKKADENNCINTSEDSVKGIAYNTIDVVVSTDTIKSVESAGENGNDSHHDGESVPSHDCKTTVKDKDINSDREHPHERRSTRLERLRSRKSGKDENGSDGKDISNAVTQFLDSFILKGSSAAEKDDFSGNADASNPDTLTYTSDDEANDFKRFLCKISKNFGPHHIGYMLLEEMAHLKVPFQDYFVKLIELDKLTRGWAEDRSELCSLFLAELYYDRALCSGSPSTSSELSDSSYHLCKIIESVALELPFNTSVREIHSTDLDLEMESSRADVPSSDITERSNENSDKPVSIDMLSDKKYDSDSSSNINCAFWIRFFWLSGCLSLSSDCKEKAYKEFSIALSILRNGNKDKSCGDVILLPHTKLVKSLTTDRILREINLIRLESLLWNNDENINKITHTEFMKLLPPLLLSTKDVYVGNAYGPQRESENVISLELSALDVLISACEKAKPMNIQVYLDSHRRKIQVLTVAAGMVGSVTPPKGKGSSNMDFVEAMNRNRLENVVEAIKDVSRNASKAKDFIDQCDNSDGQDGWSSLVSIVGDIQSLLLTIMCAAVKIILSRKLSCSGTSYQVDQLESSCLIDAAIAFCKLQHLDPTISIKTQVDLIVALHDLLAEYGLCCSGKDDSNGIEEDAVPKNVGAQDSMVDEPSVNDSKQNSEDEEDSELDEIQSCLDSALDQAFFCLYGLKINPDSCSEDDLAVHKNTSRGDYQTKEQCADVFQYVLPYAKALSKTGLVKLRRVLRAIRKHFPQPPYDLLVNNPIDNFLDGPDSCEKILSEICESNGSREAILNVLFPGERGYEAFKKLSTASSEPYSDVYGNLYYYIAQAEDISATDKHAGFVLKKEGEEFVEQSANIFKYDLLYNPLRFESWQKLSNLYDEEVDLLLNDGSKHISILDWRTNTDLIRRVEMGRRHSRRCLLMSSLLAKTAPEQSESHELLALVYYDSLQNVVPFYDQRATLPVKDSTWETFCQNSMKHFEKAFEIKEQWLHAFYLGKLCEKLGHSFSKPFSYYNKAMMLNPTAVDPVYRIHASRLKLLYTQGKQNLEAIQVVADYTYNQSTKENVLSMLGSTTNVSNSSSDQNEKSVLDTKEENKCVEPDLLLDKVWHILYDDCLYALGTCVEGELKHFHKARYKLAQGLYRRGEAGDLERAKEELSFCFKSSRSSFTVNMWEIDGTVRKGRRKNPNAGGSRKNLEVSLSESSRKFITCIRKYMIFYLNLLEKNKDLWTLEKAYTYLRTDKRFALCLGDIVPVGLGKYLQVLTAAINNPEVRRASGDASVEQLLEKMFSVFMDHANLWADISTIPEVNCPELSESNLYSYIHQYIHLLESDVRLDALEGLNEKIRKRFKTPKLSNSNFAKICKHASLAWCRCILIKLASITPLPESMDATNQPAPLSSGLLLYVDLQPDELLISSPDGPAQFKGLDMNWFETLNRIKNIPIKQTTEDNLETAVTLMKSTYNFYRESSCGTFPSGINLYTVTPAHAPIEGLPQAPPVVETLDLSIPRKLLLWVYTLVHGRYSNISSVVKYCDEMKSRSKRGTSAATASSQVVQPIPQSTVSSQAIEKGAQVESNEAAHDANPSAQAAACAPPAHQEAGAASASQTAVDAQKAASAISQLNRSGSSRAMEYTPDSMERK >KQK89336 pep chromosome:Setaria_italica_v2.0:IX:24498761:24500828:-1 gene:SETIT_035293mg transcript:KQK89336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPHFTPELVFPLPDKSPSRISDELEIPLVNGRPVYIQSAMEQSPGVITPQLVPANHTIHSKLVTPGIRNSRLNRRNQMFEATIGRNTKRPACEKFNYTSQPTQTSCVMDNGGTQTNARDSHPFTASNVFAAENTRPAPNDSTTGIQTQPSVVDEPYSVPPHGGQAETHASMEEDDCDENIIFEDDEEEDEGYLFGGQEPDDWEANEDVDLETANEDPNEPDVPDPYDAVYANVPNVTHMLKPEDNCEHCNAEKFESEPPGFCCHSGKIHLSTHETPPGLMRLWSSSDADARHFRANIRYFNGHFSFTSMYCKLDRVTTDIRNCGIYTFRAHGQIYHNIRSFGKEDGHEPGHLELYFYNNDPSLEHRLRKCCEKSAQEDREVIQRLKDILHGINPYSENLRSMGQVDNLEDYHVELNLDQWLDQRTYNVPLTSEVATVWIEGSECWGQFDDSVVLQGKDRSIHGIRSYHGCYDALSYPLFFSRGELGWHNCIPKVGVTMAEVNKA >KQK91250 pep chromosome:Setaria_italica_v2.0:IX:48765433:48766833:-1 gene:SETIT_040082mg transcript:KQK91250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASAASLAAAFAFRRKELLVSVEQLRPYRPARGEGPERMAEQAPEPEPRQADAVAFFRDSPPRVITEIFRRLPARSVLRLRSVCTVLRDVASNRALLAAVQLLHPPQPLICFRLNACPDRYIQLRDYCVEALDLHSDTLRPIFRFTDNEYYDYIANAEGVDALPIIVTSKDQIHYGEVESDDGGHDPRLMVHASINGLLLVSFINIWYVCNPATRQWAALPDLRDCDVIGLYEHVSSGEYRVLYHTGKDDEEASTCYYVLTVGAQEARSIGCPISPAATEDLGLDIGLDPASLSPPVQLRGSLHWPPQERQGHHILVFDTEAEVFSWKTAPNPITNGRMQLLEMDHKLAMSVSKNGKPTLQLWRLEDYNNDIWVQIRRITIQVPRIPALHNTDWFPRVVSPEGDVLIECENKLVLHCDRIGNLLQKFQFREEPPLVRHTLKETLLPHAIFLAPKPDDAIEPPFFQGL >KQK91079 pep chromosome:Setaria_italica_v2.0:IX:47653959:47658109:-1 gene:SETIT_034616mg transcript:KQK91079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGLMMDQGMVFPGVHNFVDLLQQNGDKNLGFGSLMPQTSSGDQCVMGEGDLVDPPPESFPDAGEDDSDDDVDDIEELERRMWRDRMKLKRLRELQQSRGKDQAAAGSGVGDGSSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAIAKYQADNAVPGAENELTSGTASPHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGVPPPWWPTGDEEWWPELGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLSVVKQEEELYLKLHPGARPPVPTGGIASAISFNASSSEYDVDIVDECKGDEAGNQKAAVTDPTAFNLGAAILSDKFLMPTPMKEETAEVEFIQKRNAPGSAEPELMLNNRVYTCNNVQCPHNDYGYGFLDRNARNSHQYTCKYNDPLPQSTENKPPPPQPPQSQAFQGGFNQPNQALNNLDFSLPMDGQRSIAELMNMYDNNFMTNKNMSSDSVSIMEGRPNALPQRMQMDDGFFGQGNGVFDDVNSMMQQPQQAPVQQQQQPQPQQQFFIRDDTPFVSQMGDISGTSEFRFGSSFNMSSTVDYPGAAQQKNDGPNWYY >KQK91078 pep chromosome:Setaria_italica_v2.0:IX:47653959:47657479:-1 gene:SETIT_034616mg transcript:KQK91078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGLMMDQGMVFPGVHNFVDLLQQNGDKNLGFGSLMPQTSSGDQCVMGEGDLVDPPPESFPDAGEDDSDDDVDDIEELERRMWRDRMKLKRLRELQQSRGKDQAAAGSGVGDGSSKPRQSQEQARRKKMSRAQDGILKYMLKMMEVCRAQGFVYGIIPEKGKPVSGASDNLRAWWKEKVRFDRNGPAAIAKYQADNAVPGAENELTSGTASPHSLQELQDTTLGSLLSALMQHCDPPQRRYPLEKGVPPPWWPTGDEEWWPELGIPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHMSPDIEKIRRLVRQSKCLQDKMTAKEISTWLSVVKQEEELYLKLHPGARPPVPTGGIASAISFNASSSEYDVDIVDECKGDEAGNQKAAVTDPTAFNLGAAILSDKFLMPTPMKEETAEVEFIQKRNAPGSAEPELMLNNRVYTCNNVQCPHNDYGYGFLDRNARNSHQYTCKYNDPLPQSTENKPPPPQPPQSQAFQGGFNQPNQALNNLDFSLPMDGQRSIAELMNMYDNNFMTNKNMSSDSVSIMEGRPNALPQRMQMDDGFFGQGNGVFDDVNSMMQQPQQAPVQQQQQPQPQQQFFIRDDTPFVSQMGDISGTSEFRFGSSFNMSSTVDYPGAAQQKNDGPNWYY >KQK86230 pep chromosome:Setaria_italica_v2.0:IX:869363:872360:1 gene:SETIT_036203mg transcript:KQK86230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDECGHNFLPVTGDGCRYRSTTPLPSAPLRIPHSPRVSDLAPRSPISLSAFFLGFCFLPRAETMSSSENPTVTDRGSKHKEGGDKEGGGGFIDKVKDFIHDIGEKIEEVVGFGKPSADVSGIHIPHISLNRADLVVDVLIKNPNPVPIPLVDIDYLIDSDGRKLVSGLIPDAGTIHAHGQETVKIPISLVFDDIKSTYKDIQPGSIIPYLVRVVLLVDVPIIGRIKIPLEKSGEIPVPYKPDVDVEKIKFHHFSFEETTATLHLKLENKNDFDLGLNLLEYEMWLGDDSIASAELTQNTKIDKQGITRMQIPFSFRPKELGSAVWDMIRGRGTGYTIKGKIDVDTPWGNMKLPISKEGGTTRIKKEEDDDDDDDN >KQK86231 pep chromosome:Setaria_italica_v2.0:IX:869363:872433:1 gene:SETIT_036203mg transcript:KQK86231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDECGHNFLPVTGDGCRYRSTTPLPSAPLRIPHSPRVSDLAPRSPISLSAFFLGFCFLPRAETMSSSENPTVTDRGSKHKEGGDKEGGGGFIDKVKDFIHDIGEKIEEVVGFGKPSADVSGIHIPHISLNRADLVVDVLIKNPNPVPIPLVDIDYLIDSDGRKLVSGLIPDAGTIHAHGQETVKIPISLVFDDIKSTYKDIQPGSIIPYLVRVVLLVDVPIIGRIKIPLEKSGEIPVPYKPDVDVEKIKFHHFSFEETTATLHLKLENKNDFDLGLNLLEYEMWLGDDSIASAELTQNTKIDKQGITRMQIPFSFRPKELGSAVWDMIRGRGTGYTIKGKIDVDTPWGNMKLPISKEGGTTRIKKEEDDDDDDDN >KQK86232 pep chromosome:Setaria_italica_v2.0:IX:869588:871014:1 gene:SETIT_036203mg transcript:KQK86232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSENPTVTDRGSKHKEGGDKEGGGGFIDKVKDFIHDIGEKIEEVVGFGKPSADVSGIHIPHISLNRADLVVDVLIKNPNPVPIPLVDIDYLIDSDGRKLVSGLIPDAGTIHAHGQETVKIPISLVFDDIKSTYKDIQPGSIIPYLVRVVLLVDVPIIGRIKIPLEKSGEIPVPYKPDVDVEKIKFHHFSFEETTATLHLKLENKNDFDLGLNLLEYEMWLGDDSIASAELTQNTKIDKQGITRMQIPFSFRPKELGSAVWDMIRGRGTGYTIKGKIDVDTPWGNMKLPISKEGGTTRIKKEEDDDDDDDN >KQK86528 pep chromosome:Setaria_italica_v2.0:IX:2438683:2442448:-1 gene:SETIT_035115mg transcript:KQK86528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCGAPATQGDSNRRKKPKANPYNVAYNRGAAPAPARPGLVVLRDPTGRDLGAQYDLGGELGRGEFGITYLCTEVATGARYACKSISKRKLRTPVDVEDVRREVDIMRHMPSHPNIVSLRAAYEDEEAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQMCHRHGVMHRDLKPENFLYANKKESSPLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSKVDFKREPWPRVSEPAKDLVMRMLDPNPNTRFTAAQVLEHPWLHDSKKMPDVPLGDAVRARLQQFSAMNKLKKKALRVIAEHLSVEEAADIKQMFDKMDVSKNGKLTFEEFKAGLRKLGNQMPDSDLRILMDAADVDKNGTLDYAEFVTVSVHVRKIGNDEHIQKAFTYFDRNKSGYIEIEELREALVDELEGTDEDIINGIIRDVDTDKDGKISYDEFAAMMKAGTDWRKASRQYSRQRFSNLSLKLQKDGSIGAETR >KQK87360 pep chromosome:Setaria_italica_v2.0:IX:6879087:6880511:1 gene:SETIT_039095mg transcript:KQK87360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTQQQRERATAPPLHLVFVPFLARSHFAPLAAKAAAAADPCGEHGVSTAATTAAIVTTPHFAALAPPSVPVHVADFRCPGGHEDFSLLPDEASSGPAFFAAAESALAPALAAALRARDGPAAVVSDAVLYWAPRVARDCGVPHVTFHTIGAFAAAAMVAVHLHRPEVLPDPFVVPGGFPCPLKLRRAQVNEEALAHLPLFRAAEAESCAIAFNTFSALEADFAAYYQSQLVGRRKEVFLVGPTRAAAVSARTAERDPILQWLDGRPAGSVVYVCFGSTCALGESQLRELAAGLRASGRPFLCVIPAAGGEGGGGGTSREECASSHGMVVAGRWAPQAEILAHRAVGGFVTHCGWNSVLEAVCAGVPLATWPLRAEQFVNEAFLVEVLRVGVRVREGGLEAVVPADAVARTVGRLMGDGQGEEAAAAARRARSRELGAAARAAVAEGGSSCGDWARLVEELKALHGSKSDPPM >KQK91911 pep chromosome:Setaria_italica_v2.0:IX:52666408:52669060:-1 gene:SETIT_034696mg transcript:KQK91911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDPQGQAKFDLLAGGVHQYWTTGLWDGEVFENVPEMRSGYFVGVPYAPNASVNFFSYHDRKPMGIGNFVLYVNGQMRRRQWIQGGDWVLFCSEPHDACDVYGSCGPFGVCSNTTSPACECPAAAFAPQSQGEWALGNTASGCARRTVLECPSDGFLKMPYAVQLPNGSAEAAAGARSDKACAVACQRDCSCTAYLYDGAKCLVWNGELVNLRRLPSNDQGDQGVAGAVLHLRVAASEAPPPAAVAHSWRKSMVILSSSVSVVVLLLACLIIFVAVAVVLRKRRGKGKVTAVQGSLLLFDYQAVKAATRDFTEKLGSGSFGSVYKGVLPDKTLVAVKKLDGLRQGDKQFRAEVVTLGMIHHINLVRLRGFCSEGNKRALVYDYMPNGSLDAYLFKNSSGSKFLSWSQRFGIAVGVARGLAYLHEKCRECIIHCDIKPENILLDEELGAKLADFGMAKLVGHEFSRVLTTMRGTMGYLAPEWLAGAPVTAKADVYSFGLLLFELVSGRRNNGSSEEGGRSAVYFPVHAAVKLHAGDVVGLLDEKLAGDAYVEELERVCKVACWCIQDDEGDRPTMGLVVQQLEGVTDVGLPPIPSRLHMLATANGCAGGVAEDEPKNGSKLATEV >KQK87604 pep chromosome:Setaria_italica_v2.0:IX:8186604:8189581:1 gene:SETIT_037482mg transcript:KQK87604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECVLGLVGRDFAVVAADTSAVQSILVHKTDEDKVMVLDSHKLMGASGEPGDRVQFTEYIQKNLHLYQFRNTIPLSTAATANFTRGELATALRKNPYMVNVILGGYDKDVGASLYYIDYIATLHKIDKGAFGYGSYFCLSLMDKLYHPDMTLEEAVDLVDKCIKEIRLRLVVAPQNFVIKVVDKDGARELARRELVGDSASAEAAAATTVAA >KQK91283 pep chromosome:Setaria_italica_v2.0:IX:49006111:49008139:-1 gene:SETIT_037629mg transcript:KQK91283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTARKKIQKEKGLEPSEFEDSVAQAFFDLENSNQEIKSDLKDLYINNAIQMDVAGNRKAVVIHVPYRLRKAFRKIHVRLVRELEKKFSGKDVVIVATRRIVRPPKKGSAVQRPRTRTLTAVHDGILEDVVYPAEIVGKRVRYRLDGAKIIKIFLDPKERNNTEYKLETFTAVYRRLCGKDVVFEYPVAENA >KQK89858 pep chromosome:Setaria_italica_v2.0:IX:36500057:36501184:1 gene:SETIT_039366mg transcript:KQK89858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETGLSSAKVKIRYTYALFFLPPQEASNSPDLVHLHHRDVDPLLLPLAPLGKQATNEFFSFSGTTAISESATRPPLLSPQQLALRSLRPLPLAARLQKVRRLRRQHLGPQVRLALLPVGDGAVLVMDMILRMPWPRDSFCFFEALRRLPDGDPGVWSAAPLPEPPIGYGPLFVTAYLALAARAWISVSRRGTFSLDVERGAWWMEGSWELPLLGRAFHVPELGCVIGLSTVGGSCLCACDMEGQPRPALVRLWSETFPSGECRKAGHRLLWDMTSLAYLGEGRFRISRHVVMERNPEGRAARRLPGGELQLAKCGRLRHLGVWPYEDYPFPYFIQH >KQK92680 pep chromosome:Setaria_italica_v2.0:IX:56743881:56747168:1 gene:SETIT_040841mg transcript:KQK92680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPGTASTGLPAPHRAVTATPRHGVATLSSPSPTATSRSILCRMPSKALI >KQK88550 pep chromosome:Setaria_italica_v2.0:IX:15008865:15009261:-1 gene:SETIT_040396mg transcript:KQK88550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGIASPSNTSLIPFKPCYNPTGGSYRKINQRPNQLGSSLSHICFHN >KQK86077 pep chromosome:Setaria_italica_v2.0:IX:191269:194117:1 gene:SETIT_034994mg transcript:KQK86077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSRSLLSSPLFASSSPNFRSNTSIPSSPSPSRTSVPMIHDNTGRASTACHYSPSLVAEDQLHGSKDTLTMKGEKALLELLLDMALDQHVDGKKLIGQETEDSDFESYLREATNRVLYQPAFTEEGDSTSQSSSASAYEPVGSLDLVTPSIEKVTSPEEESGTSATQLDVPHFHCVDPDHSYEELLSKGQVFVRSKRLLERRSKKRKVPRALSNDVLCSVVNSKKKEKPKKFGRVLDPDEPFKLFLRDRETTEFLTAKEEKQMFSQIQNLMKLEEAQRKLEVQCGREPTVAEWAAAVGMSCRELQSSIRIGRRCREKMARSNFRLVIHVARKYEGYGLDIQDLVQDGCCGLMKTFEKFNPSKGCRFPTYAYWWIRQSIKKSIFKNSRLIRLPESVFALLRKVGKARLECIMEGEQPTNENVARRAGITIEKLARLRAKTRKPRSMQDRVWSDDGVTYQEITEDPNFEPPELSVDRLMMRQQVRNFLAILSPREKEIIEHRFGIHDGEPKTLHVIGDMYGLSKERIRQVQNKALDKLKRSVSAQGFDVYFDLLT >KQK87855 pep chromosome:Setaria_italica_v2.0:IX:9786370:9787190:-1 gene:SETIT_039888mg transcript:KQK87855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RIRPDDVGLRDDFRFSHGMNTAGHRNPPIITCKSIIRCKNFEIAVLFLPKGTVMPLHDHPGMTVFSKLLIGSAHVEGYDWIRRPRTFSTISGSRILAEKVLDRDVTPESGARVLFPDAGGNMHRFVAGEEMHCAFLDVLTPPYAPTERRRCTYHKDFPCNLCACWRTSGLTEAQRRPGKLAWLQEVAKPRDLRIISLQYRKLIIF >KQK91520 pep chromosome:Setaria_italica_v2.0:IX:50369395:50371069:-1 gene:SETIT_035482mg transcript:KQK91520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVGGGGKSGTVKQLNVGGKLFSLEASSLSLSLSLDSPSPTPTFVDRDPALLSAVLAAIRAPSSAAPAFPARVLLDEAHFYGLHAQLLAALSPPPLRGFSVSLASTLSPASEPFPTAFAPHHDGSLCLAHGAGQVTYYSPALDHLSTFRTHLHRITSLQQLPPGLVVLGSASAPGLHVYDYLEGRHVASVQWSDPTDTRCSKAKVVAIAACPADAADKNSPILATFECPHRENCILVVDPVTLKPMQEIGRQSGSAAKSSTPCRVVHLPAPGLVFASFVSSGAFGYSGYMRLWDIRSGNVVWETSEPGGAGRSSRFGDPFADADVDVKQQAIYKVCSKSGDVAVADLRSLGNDPWAYMSSGPRGSGGGYGSVLHCHQSQVFVSRKDGLEVWSRLGEQLHDTGDFAEQSGTKEGPRSEGIDESFYRSCYVDTEEDAKRGMIQMMAGGGDRLFLTRENMQGVEVWETSHLAGAVSL >KQK86117 pep chromosome:Setaria_italica_v2.0:IX:354936:357681:-1 gene:SETIT_035687mg transcript:KQK86117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKHKDPAPEAAGDASPAAAIRSLFSADNPFRRKPSTEEPPAPPPPATPLRKHPNPGAEATEPSSKKKKRKEEGPQRKRKRDEVEAGPGRRSGAGKEKQPGVGGKRKAPDDAAVAAAGAGEDDEEAFDDETKLLRTVFVGNLPLRTKRKVLTKEFAAFGEIESVRIRSVPLVDTKLTRKGAVIQGKVNELVDNVHAYIVFKDEQSARAALSHNMAQFGGNHIRVDMACPPRKKLRGEGPLYDRKRTVFVGNLPFDVKDEELYQLFCGSSGSEGDVEAIRVIRDPNSSLGKGIAYVLFKTREAANAIVRKKDLKIKDRSLRLTHAKPVDTTPKKTMEARKKERVPKHKEVSTPGSKSNEGSDKAKRKASALSYQGLRSSKSGVVKKVKVNQRPSNPVKQSKTNEAGASARKGKRPAVAARKVKELAKKRKLDASTPENTHKSKKARK >KQK90324 pep chromosome:Setaria_italica_v2.0:IX:41628396:41631416:-1 gene:SETIT_037470mg transcript:KQK90324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAVALAALLAVAATHGVVYASNDEGDALYALRQRLRDPNGVLQSWDPTLVNPCTWFHVTCNQASRVERLDLGNSNISGSLGPELGRLVNLKYLELYRNNFDGEIPKELGNLKNLISLDLYGNKLTGGIPKSLSKLKSLRFMRLNNNQLTGSIPRELAKLSNLKVIDLSNNDLCGTIPVDGPFSTFPLRNFENNSRLNGPELQGLVPYDSGC >KQK88149 pep chromosome:Setaria_italica_v2.0:IX:11954752:11957338:-1 gene:SETIT_037514mg transcript:KQK88149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLLCCLRYPEDGSVAPPVCCFCLPWPFVYHGVDSGSAARHRGDTRVAPDRGRIPLAACTSAGQVDSMDTFRAPPRPLPYDDPRFSPPMVQHPIVSGHDKSSTHFQKPGQLTERKNTDIGSTCTSQKVDGSSVKHHLGGSRIDGIQVSDSSDNEDDCPICLEEYGYENPKIALQCNHNFHLSCIYEWMERSQACPVCAKVMLFNDDE >KQK91610 pep chromosome:Setaria_italica_v2.0:IX:50820106:50828337:-1 gene:SETIT_034521mg transcript:KQK91610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLLHRPLLLLAAISVAIVASLARPAAAVRPFVLVLSVDDFLKDSSAHPSLPSADSAGADADADEWDDFADDSPGADPLLSPSSWVPLLDPASSPPSGDEPDSPADSLFVAGARAMLSAASEGDDAAFITAAAQIEAAATAGHPGAQSALAFLSGAGMTRPASRSRAFLLHKFAADAGDLQSKMAIAYSYFRQEMYEEAVTLYAELAEAALTSSLISKEPPVIEPIRLHSGTEENKEALRKSRGEDDEDFQITEYQAQRGNAGAMYKLGLLYYYGLRGLRRDYRKAFHWFSKAVEKGDTRAMEVLGEIYARGAGVERNYTEAYKWLALAAKQPHYSAYNGLGYLYVKGYGVEKKNLTKAREYFKLAADNKEAGGHYNLGVLYLKGIGVKRDIIEACNHLLQAVNAGQPKAIYQVAKLFQKGIGLKRNLHMATMLYKSVAERGPWSSLSRWALESYLKGDLGKALLLYSRMADLGYEVAQSNAAWILDRYGDQSICMGESGFCTDMERHLRSHALWWQASEQGNEHAALLIGDAYYYGRGVARDYERAAEAYMHAQSQSNAQAMFNLGYMHEHGHGLPLDLHLAKRYYDQAVAVDSAAKLPVMLALTSLWLRKNYADSFLVRFIDSLPEIYPVVEEWVEDVLMDEGNATILTLFACLVTVLYLRERQRRQVAAANPQQPDGAPM >KQK88927 pep chromosome:Setaria_italica_v2.0:IX:18730959:18733403:-1 gene:SETIT_034512mg transcript:KQK88927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASFSFLVLLACHFHGLSHAAVPAAAAGGDSQFIYNGFAGAGLDLDGMAVVEPDGKLMLTNVTSQLKGHAFHPAALRFHGEAPASARNSTARSFSTTFVFAIAAEYVTVSGNGLAFFVAPTKNLTAASPSQFLGLFNSENNGNASNHVFAVELDTILNPEFRDINSNHVGVDVNGLMSVAAEPAGYFADDTGVFKNLSLYSGDAMQVWVDYDGRAAVVNVTLAPAEAPKPKKPLISVSVDLSAVVNGTAYVGLSSSTGPFRTRHYVLGWSFALDSAAPMLDYAKLPKMPRAVTKRRSRAIDVALPVAMPLLALAAVACVSLLAWRRFRYAELREDWEVEFGPHRFSYKDLFHATDGFDGKHLLGAGGFGRVYKGVLPESKTEVAVKVVSHDARQGMKQFVAEVVSIGRLRHRNVVQLLGYCRRRGELLLVYDYMPNGSLDRWLYGYGNGAPPLSWEQRLRAVRGVASGLLYLHEDWEQVVVHRDVKANNVLLDGEMNARLGDFGLARLYERGAGPQTTHVVGTMGYLAPELARTRRVTPAVDVFAFGAFVLEVACGRRPIERGVGDSDGDGRFVLVDWVLELWHMGALAEAADPRLRGDYPAEEAELVLKLGLLCSHPVPGERPSMRQVVQYLDGDAPLPEPPRSYQSFTALAMMQNDGFDSYAASYPSSSATVTSVGAVSSVHSGGT >KQK89264 pep chromosome:Setaria_italica_v2.0:IX:22773144:22773659:1 gene:SETIT_039932mg transcript:KQK89264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEHYSIYRINFIHDRIDVLDSSLDDHTDYHQVLGDRIIRRLNLLFQLATDFEMKQFTRFKHPIIDENIRQYRSQLLFYGLYHEINEIKKLPGGLEAHRRRMYAILVV >KQK86202 pep chromosome:Setaria_italica_v2.0:IX:737408:738639:1 gene:SETIT_036453mg transcript:KQK86202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDGGTAQPSCTTVPLGKSVRRALRAAIGMPKKPRKDKLKLKPKKKPNQAAAPGTSSSSSTELIMSLSKEAAAARRESVVRVVLSSGVVEVYPGVVLACTVIRKHPPGLCLAHPDVFRNPHGAVLRPLEPLFPGQKFLLIPWSTVDKLKHKIPESSIGAFDEDDDQYYGAVDEEGEDTTGSEEATSSTETEASEEDQEHSGGAPVTEWDEAAAGDGGSFMPACSAREYFVARDRWSECRFKRLAEQGLAVEPSADDDQPDQRKDKQTRKKGNKKKKRKGKGNKKRRERPAAAPPAGFRAFAALRRTWEPSLPSVEEEENVVSPLSSVQHPSEEATRTGDHEQ >KQK90052 pep chromosome:Setaria_italica_v2.0:IX:39178504:39181535:-1 gene:SETIT_035358mg transcript:KQK90052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDSSSSVSVSDESSEAGAAGERGGCCSSPSTRSLVDTAGNLSRTVSDVSTSFSEQCSSVDHSGPFEPAAAMAKLIDRSPASAAASLSRLSMKPRADVLDRRSTDDEMELVKERFSKLLLGEDMSGGGKGVCTAVAISNAITNLYATVFGSCHKLEPLPAGKKAMWRREMDCLLSVCDYIVEFYPSTQTLPDGTKVEVMATRPRSDIYINLPALEKLDAMLISEMIQECAMVQDILDSFQKAEFWYADAGTRSFGSVTSSSSAMSSSFRRSVHRNEDKWWLPVPCVPDTGLTEKACKDLQKKRDCANQIHKAAVAINSGVLSDMEVPESFMAVLPKSGRASVGDSVYRVMLGADKFSPDFLLDTLDISSEHDALAMADRVEAAMYVWRRKASGSHGKLPWSKVKELAGDDDDKNVTLAGRAESLLLCLKHRFPGLSQTTLDTSKIQFNKDVGQAILESYSRVLESLAFNIISWIDDVLFADKTIRKLSDNLKS >KQK90241 pep chromosome:Setaria_italica_v2.0:IX:40905943:40906573:-1 gene:SETIT_038162mg transcript:KQK90241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFTCVIWLDLWINFWETISLRLISTGNNRREELTCRTASGSVRGLPRTARSWQLLLPRKSKSCILEPFQRRPEPFDLGQTQNRQLRDEPRRNATNPPPPAAS >KQK86678 pep chromosome:Setaria_italica_v2.0:IX:3274156:3276686:-1 gene:SETIT_037194mg transcript:KQK86678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFDREVAPSPEGLRQPGAAGDGSAAGLAGRFREARPDAVTVNLGGSGAMAYSSHGQSPLLPRLFGAVDGMFCMFQGTIENVAVLKQQYGLSKGANEVNIVIEAYRTLRDRGPYPADQVVRDISGKFAFVLYDCSTKSVFMAADADGNVPFYWGVDSDGRLVVSDDDEMVKKACGKSSAPFPKGFFFTTSGGLQSYEHPMNEVKPVPRVDSKGEVCGTTYTVDAKAKKDTSIPRVGSAADWSAQY >KQK89405 pep chromosome:Setaria_italica_v2.0:IX:27746291:27746776:-1 gene:SETIT_039659mg transcript:KQK89405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NESMDPNMIPREGMSFHMEAEAKAFYMRYAQLAGFGVKMCNKKKFSRVMRCSCEGKGDFYKGDEALRVRNKTTMKTKCKDHLKFTRVYDSEGNKVDMIIENANLFHNHLLHTPLKTKKMRSHKSTEPVLYQIIDELQEVGVSAQSIKNVLQNMHGVQSLFR >KQK89479 pep chromosome:Setaria_italica_v2.0:IX:31702964:31704714:1 gene:SETIT_040259mg transcript:KQK89479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCPLALWKDLKEPNHEWNHLRLQHFKIVANYNHAIHSICSKFKFCEKEPTDVEKIEKTLSTMLPKDRIFDGVNLQQYSQLIHTLSQIEKHLELLLKNVYQHPPGSAPCPEVHYNVHNTDNKKEFKGNNFSRNSVGKRKFNNRCKFHKRGKGKGKAPPPHGNSRKLCNRCGSDNHVAKKCHCPRHLVLLYQKSLKKPKFDKPRYEYHFNLFEATPEIGSSQQ >KQK87304 pep chromosome:Setaria_italica_v2.0:IX:6556014:6556416:1 gene:SETIT_040414mg transcript:KQK87304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNSYDLLLISPLCSCSYSLHWCGGGDSEGCSHKNP >KQK91391 pep chromosome:Setaria_italica_v2.0:IX:49548424:49550660:1 gene:SETIT_036631mg transcript:KQK91391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETMQCRVNGGGGEGGGGMRTVECLRGRLLAERVASKAAKEEADQLAKRLDELEKQLADEVKVRNKAERRLRRAIKKLESLKILDVELSDGSISSLSSNGHSAHRAPEVGERNSPGSLTTDDSAPSGTQGGGDADADSAKGSSAGSCTQGNFSQDGSWCSVVSEQSPAGACMDLAGTNNSSSSEESAGDHDSERQHLDASSGCSSAKSEESFHDSDDRLALVLVDPQLVAQADGGSRTEANDTQAAELHPVSHEEAQQEEENNRLAIVLADHQPQPAAAEPKPHADVESVLLALRRVKEQLRYTIERRSELVVAHRELYGH >KQK88334 pep chromosome:Setaria_italica_v2.0:IX:13308285:13312301:1 gene:SETIT_040027mg transcript:KQK88334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLPWLLPWLLATLLAVYFLDLRAHARRGLPPGPRPLPLIGNLHLLGDQPHRSLAGLAKIHGPLMSLRLGAVTTVVASSPEVAREFLQTHDAIFATRSVPDAIDAHARSSVVWLPNSSPSWRALRKIMARELFVPHRLDALRHLRREKVRQLVDHVGRLAGEGAAVDVGRAAFATVLNLLSGNIFSRDLTNLDDHGESKEFQELVTELMEAAGRPNLSDFYPAFAAADLQGCRRKAAKLIARLHRVFDEEIDGRLHDRRSGQPRKNDFLDLLLDSETTTGDKGSAGLDRDTLRSMLTDLFAAGSDTSSNTVEWAMTELLKNPVSMYKVCDELARVIGIRRNIEESEIGQLPYLQAVVKETFRLHPPAPLLLPRQAEVATKVIGYTTPKGARLLINIWAMGRDPNVWSEPEKFMPERFLEKTIDFKGGDFELIPFGAGRRICPGMPLAIRMMHMVLGSLLNQFEWKLPVEVEINGVDMAEKFGVTLIKAVPLCAIATPI >KQK90379 pep chromosome:Setaria_italica_v2.0:IX:42237744:42241094:1 gene:SETIT_039907mg transcript:KQK90379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSPFTTREAMPFDFILLDGTNRFIGSLFVKPSSRPSDILPQLRTLAGFHAHEEIDLFEEVKFAPVLCVKVDIDSTFSSTEIENGDIICYQKIPKSEDTYPYVRLFFEHNRLIVLDFSLEHLQQATDHFSDVWKVGDTEYGCYEWLPNGNLEDCILRTDNSPPLLWYNRAQIIAEVCCMLQFLHSNKPGALVHGDLRPCNILIDANYRSKLCHFGMSDLFLEPGTCPPNMSARLPYMDPEFLTTWELTPLSDVYSLGAIILRRLLTGMPPLSIAKKVAAALKSNSLHLLIEKSAGDWPYTQAEQLALLGLRCVKMSRERRPDLSTKVWKVIEPMIMKPPVASWPCFQEIMNDPQVAADGFTYEAEGIRHWLDEGNNRSPMTNMALPNQDLIPNRALRSWIQEYLQQQKQQQGRLDS >KQK87311 pep chromosome:Setaria_italica_v2.0:IX:6584341:6586152:-1 gene:SETIT_039506mg transcript:KQK87311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPQPVLTLLVQKGPCKGETRRGRAGAALRVGRVAKGNDLAVRDAGASQSHLSVEFLQPPAARWAVTDLGSSNGTLLNGAPLVPTVPAPLSHGDLIKIGESTVLSVSISADEGPGPAPAATRRSARNAAVAAAEEEEQGPAPAVTRRTGRKKAAAAAAPEAEKEVKEEAAVPTRRGGRKKATDPPDAEKEVEEEAAVPTRRGGRKKATEPPDAEKEVEEEAAVPTRRGGRKKAVEPPEVETEEEEEVAVPRRGGPRKAAATAALPPQPQNTRSTRAAARRGQAVESGNDEGKVDGTGRGQVRATRASARKAAQAVPEEDEEEGEVPVTRDRVGHPPKATDAKGGEEEDTVETRDGTSNTSEEVPVAGRGRAKGSRGGRRKATRASARKAEDAIIEDDDEKEQEESGVADVRECRGSPWRLMAANDCDEEDKVATGDNKLDRTSKASTEDEKMVDVEEEDALAPKGWTGRAIEGRVNAQHATANNDGMEEGKGKDSSRGGENEVNNELRERMLPESKLGGVGEEEENDKREALGGSVEEGLGEERTGRSSLENMTLGEWFVRIEKYLLAKNEEAAEKTIAEVREKHRCFCEHLKTLNMSSDPS >KQK87746 pep chromosome:Setaria_italica_v2.0:IX:9059280:9060518:1 gene:SETIT_039581mg transcript:KQK87746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALFLLALVFTAQAGKAAPAASKDAKAADDSAAKGGGSCPSGSCDITKLGASGNGKTDSTKALEEAWASACGGTGKNTILIPKGDYLVGPLNFTGPCKGDVTIQVDGNLLASTDLSLYKGNWIEILRVDNLVITGKGKLDGQGSAVWSKNACAKKYDCKILPNSLVLDYVNNGEVSGITLLNSKFFHMNVFQCKDLVIKDVTVTAPGDSPNTDGIHMGDSTGISIVNTVIGVGDDCISIGPGSTKVNITGVTCGPGHGISIGSLGRYKDEKDVTDITVKDCTLKKSSNGLRIKAYEDAASVLTASKIHYENIKMEDAGNPIIIDMKYCPNKICTSSGASKVTVKDVSFKNITGTSSTPEAVSLLCSDKIPCSGVTMDNVKVEYSGTNNKTMAVCKNAKVTTTASLKELACA >KQK92837 pep chromosome:Setaria_italica_v2.0:IX:57439447:57442618:1 gene:SETIT_034904mg transcript:KQK92837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDRSFPYAEDWRKNYTNTEKGDTVEEDRGVDRRSDAGHGLHMAAGRRGASGRGLARAAAGRMQWCARGQAPRSRHVVRRGRRPGHVRALSFSTAAVARLPAPTTPSRKGGPCPRDTPLSNGPRRAAENPSPKRSRRGRQSGRVEEGKKDASAFHSLPKIRSRAGRKRQGSINKRQPRPLRPALVPDFHARELLTSLPASANDDDDSGPLVCPPHIPCAEAPTARSSTRPKLVGPAQNQRSLSSRCPCPLLHSLVTACEQPAMAVARLVAAAPFPVAASRVRAARPAASRAAPRLAFAPLAVRAAARCAVPARARVVADDDEAAVGGTGGEEEADAGEADTEAEAARLAVAARAARKQSERRTYLVAAVMSSLGITSMAAAAVYYRFAWQMEGGEIPVTEMVGTFALSVGAAVGMEFWARWAHRALWHASLWDMHESHHRPRDGPFELNDVFAIVNAVPAMSLLAYGFFNRGLVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIENVPYFRRVAAAHQIHHMDKFQGVPYGLFLGPKELKEVGGTEELEKEIKKRIKRKGTLDAIQ >KQK92985 pep chromosome:Setaria_italica_v2.0:IX:58170349:58171523:-1 gene:SETIT_037177mg transcript:KQK92985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRATTMEDGPHAGGFLRPTLDIRAFYLRLSSSSSPSAPAPAELALVYHPAIGGAALALNGRALPPAAPAEVTLRQVAGDAYASADRVAATEGARFEVYAGKEMAAEGVFRRRRISGEGGWRVECRRAAAASPTVAVAEVVVLAEGGVLMRDRARATSRRRIGCGATRLEGIPEEATDVGRGCQCGGACGDDEWEVVGDDSDDAVAWKEEEDVEVETVRWAMEMGVWAVCLGVGLLATARRFRRKRAFW >KQK86212 pep chromosome:Setaria_italica_v2.0:IX:781996:787396:-1 gene:SETIT_036551mg transcript:KQK86212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVPPSLRRGLLSSASTHYGGAQILNSSHSPSKSLLPQWRRRASVASTSAPPPPRPPSPPRGPSRSGGGGPTVSSLNPAEVAKFAAIAETWWDASGPFKPLHLMNPTRLSFIRSTLCRHFRRDPYSSKPLEGLKVIDVGCGGGILSEPLARMGATVTAIDAVEKNIKIASIHAASDPTTASIEYCCTTAEALVKEKRLFDAVISLEVIEHVANPLEFCESLSALTVPNGATVVSTINRSMRAYATAIVAAEYILRWLPRGTHEWSKLVTPEELSLMLQKASISVEEMAGFVYNPLSGEWSLSEDTGVNYIAFGIKKNETPSTDSIKARLS >KQK91468 pep chromosome:Setaria_italica_v2.0:IX:50007770:50009695:1 gene:SETIT_039474mg transcript:KQK91468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTIRKALGAVKDQTSIGLAKVTSNIAPELDVLIVKATSHDDEPAEERHIREILHLTSGSRAHVAAAVVGCSRRLSRTRDYVVALKSLMLVHRLLVDGDPSFHRELLHATRRGTRLLNLSDFRDEAHSGSWDHSAFVRTYALYLDQRLEFFLHERKQGSNAGSSANGPSPRDRWGSPDPYGRRSPSYSSPPGYGGYDDYRERNGGNNDDKRPPTPVRDMKPERVLARMHHLQQLLDRFLACRPTGGAKHSRMVLVALYQIVRESFQLYADICEVLAVLLDRFFDMEYAECVKAFEAYASAAKQIDELCAFYAWCKDTGVARSSEYPEVQRVTDKLLETLEEFMRDRAKRPKSPPREPEPEPVKEEPEPDMNEIKALPAPEDFKVPEPEKVEEEVKPEPPPQPQGDLVDLREDTVSADEQGNRLALALFQGPPAAGGSNGSWEAFPSNGGNEVTSAWQNPAAEPGKADWELALVETASNLSKQKPAMSGGMDPLLLNGMYDQGAVRQHVSQQVTTGSASSVALPAPGQKTQVLALPAPDGSMQTVGGDPFAASLTIPPPSYVQMADLEKKQQFLTQEQMMWQQYQRDGMQGQSSLNKLDRAYNNGFAPNPAMPYGMPAVYNTNPMPMGYTGNPGYYYPTY >KQK89271 pep chromosome:Setaria_italica_v2.0:IX:22863908:22864492:1 gene:SETIT_039037mg transcript:KQK89271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKLEKGSTIQSTMYHQEGLKSNNGKCKEQSQKKKSLAHCANLGHFASKCPSKLDDKTSLPKKKTRKSKRKCYGCTEKGHEIASRTHKKDGLCRSSNKSQIGKEASKKQDEKESCKDKHRICYTCWAKGYIGKNCPKGKIPKPKSSIDHSLLRKVICSPHVSTKVIWVPKPYVTNIYGPN >KQK90180 pep chromosome:Setaria_italica_v2.0:IX:40428676:40430792:-1 gene:SETIT_036240mg transcript:KQK90180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGGGGGAQIKGMATHGGRYVLYNVYGNLFEVSSKYAPPIRPIGRGAYGIVCAAVNSESGEEVAIKKVGNAFDNHIDAKRTLREIKLLRHMDHENILALKDVIRPPSRENFNDVYIVTELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANILHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITEVNLSAGIVSVSIFLNIIQ >KQK90181 pep chromosome:Setaria_italica_v2.0:IX:40427082:40430995:-1 gene:SETIT_036240mg transcript:KQK90181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGGGGGAQIKGMATHGGRYVLYNVYGNLFEVSSKYAPPIRPIGRGAYGIVCAAVNSESGEEVAIKKVGNAFDNHIDAKRTLREIKLLRHMDHENILALKDVIRPPSRENFNDVYIVTELMDTDLHQIIRSNQPLTDDHCQYFLYQLLRGLKYVHSANILHRDLKPSNLFLNANCDLKIADFGLARTTSETDLMTEYVVTRWYRAPELLLNCSQYTAAIDVWSVGCILGEIVTRQPLFPGRDYIQQLKLITELIGSPDDASLGFLRSDNAKRYMKQLPQFPRQDFRLRFRNMSAGAVDLLERMLVFDPSRRITVDEALHHPYLASLHDINEEPTCPAPFSFDFEQPSFTEAHIKELIWRESLAFNPDPPY >KQK86841 pep chromosome:Setaria_italica_v2.0:IX:4084124:4084459:1 gene:SETIT_0358892mg transcript:KQK86841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCLFGCFRASGDGGEVKGGGDGGQLVPPSLAPATSHKDAAGRRTRPPSRNALSAVFQRE >KQK89507 pep chromosome:Setaria_italica_v2.0:IX:32084840:32086088:1 gene:SETIT_037230mg transcript:KQK89507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTPQRLLGVCAVLMAIAVANAASGEVASVVIGLAKCADCTRKNMKAEAAFKGLKVAIKCKEGNGEYTSKAVGELQSSGAFSVPVSVDLHGADCLAQLHSAAGTPCPGQEPSRITPLANGSFVAIPAGNMQVQQPSAVCASVTICGPIDPIIRRFFDHFHKKPVPPKPQPGPKPSTNSSSPAPSAGSPTPGIHNLFEHFHKKTVPPQPKLVPKPQPAGGAPSSNTTPSVSPTPIYHPPAKH >KQK86857 pep chromosome:Setaria_italica_v2.0:IX:4148601:4149353:1 gene:SETIT_0374231mg transcript:KQK86857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGTAVGYNPGTGRVELALCPGDSARWEIGSAAGTLHCAVRADGDVVVFRLDGHGRWEAAATVSVAEILQRPRNRAEQERTGAITAASNRSIWMPRDDVRLLPFQGAEVEVVLLSGRRVVAFEAATGRRREAVLPDQPAGTDWCAAAFAAHTNTLAPVAPVVLMEPPDDQEDVAS >KQK89629 pep chromosome:Setaria_italica_v2.0:IX:34118803:34121192:1 gene:SETIT_034988mg transcript:KQK89629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADEERPLIHHLPPQDDEASKYTSDGTVDINNQPAPKQTTGNWRACFTILGSEFTECMAFFAIGKNLVRFLTSELHETNVDAAKNVSTWIGSCFLTPVIGAFLADTYWGRYKTIVIFLLVYTIGMLILTVSASLPLIMVVPSNSGIRRVAVYLGLYLVALGTGGVKPCASALGADQFDATDPVERATKGSFFNWYYFSVNIGSLLSGTVLVWVQEDIGWGVGFAVPMVLMVCGLVVFVAGRKVYRYKKLGGSPLTSVAQVVVAAVRNYHLVLPGDASGIEYARQFRFFDKAAIVAPSTGEKCTAARTSPWRLCTPSQVEELKMLLRMLPVWASMVLFFAATAQMSSTLIEQGGVMDNRVGPFTVPPAALATFDVLSVMICIPIYDAVLVPLARRATGKDRGLSQLQRLGVGLALSAVGMAYAALIEAQRLSLARAGAPAISIMWQAPAFAVLGAGEVFASIGILEFFYDESPDGMKSLGNAFAQLAIAAGSYLNSAVLGAVAATTARGGEPGWIPEALNEGHLDYFFWMMAALCLMNLAQFAHCSMKYRGKTIC >KQK90405 pep chromosome:Setaria_italica_v2.0:IX:42419542:42420542:-1 gene:SETIT_040444mg transcript:KQK90405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGLSGCVSPTHHISLSAEKGQANCIGGRELVYSKLAEGLFRSAMAYHWQPGDVRSPRK >KQK91935 pep chromosome:Setaria_italica_v2.0:IX:52798535:52799435:1 gene:SETIT_037707mg transcript:KQK91935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKREMFVLNCYDLKKKQNLTTKCCLLIHSSWKDQQTTPSPIHKLDLIDRPCMASLAMLTINATPHVSRGSRPRRPDIAVSRRRSCLLCQSRLPSEPPASGGGGGEGGGGKKGPWWAATAERLRGDVVKAGMAARESLSPKQKGDWKDVTLMSFSFAVYVYISQKLVCTYCAWLSMINH >KQK91563 pep chromosome:Setaria_italica_v2.0:IX:50554817:50558306:-1 gene:SETIT_035717mg transcript:KQK91563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHHASRGRRTLEEIRQKRAAERMQHAPPTAAVSLVEPYGNQRAGAELLARVQELENGNAELERENKMLLSKIAEKEVEKDALVNRLNDLERNVVPSLRKTLNDITLEKDAAVVAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQVMANSYSGFPVGTSSEESRAMEKEIQDLQAQLKQESLLRQQEQQKLAEESQLRQQELEKLAEEQSRIASLEAEKQQLEDQIAVLTKKATEDASEFAARKAFSMQDREKLENQLHDMALMVERLEGSRQKLLMEIDSQSTEIENLFEENSALSTSYQEAIAVTVQWENQVRDCLKQNEELRSHLDKLRLEQASLLKVSNINTQSDWQNDNSISNPPELVTENLSLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLTRLPVLRDIESNLMKMKQETYATIQ >KQK91564 pep chromosome:Setaria_italica_v2.0:IX:50555394:50558290:-1 gene:SETIT_035717mg transcript:KQK91564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHHASRGRRTLEEIRQKRAAERMQHAPPTAAVSLVEPYGNQRAGAELLARVQELENGNAELERENKMLLSKIAEKEVEKDALVNRLNDLERNVVPSLRKTLNDITLEKDAAVVAKEDALAQLRSMKKRLKEAEEEQYRAEEDSASLRAQLNTLQQQVMANSYSGFPVGTSSEESRAMEKEIQDLQAQLKQESLLRQQEQQKLAEESQLRQQELEKLAEEQSRIASLEAEKQQLEDQIAVLTKKATEDASEFAARKAFSMQDREKLENQLHDMALMVERLEGSRQKLLMEIDSQSTEIENLFEENSALSTSYQEAIAVTVQWENQVRDCLKQNEELRSHLDKLRLEQASLLKVSNINTQSDWQNDNSISNPPELVTENLSLKDQLIKEQSRSEGLSAEIMKLSAELRKAVQAQNNLTRLYRPVLRDIESNLMKMKQETYATIQ >KQK88204 pep chromosome:Setaria_italica_v2.0:IX:12314276:12315364:1 gene:SETIT_036311mg transcript:KQK88204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTPVAAGDDAVGTRKGGTGGSGGAAPPPPATQQQQPPPPPEQGLRCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPVGGGCRKNKRSRSAAAAAAASRLSLNLPVEGVGGDQQAARLGFLGATGGAPVASSPIGGGGPAADYQQAAGGAVGMMALPRLHALGVGQYVPFGEWPSGAGGDISGGGGGGHAMSGGGAHGGAVSSNIASSIESLSFINQDLHWKLQQQRLATMFLGPPPPPPPTSSASHIDGAPAAAPAHIGGAFLQMAGPPGMESTMPAATSWFMDSSYAVLPSPHAHANNTAAAAITAAATTTNCNVGRSSGGDDDDAANCGSAIPSWGDMSTFAMLP >KQK91627 pep chromosome:Setaria_italica_v2.0:IX:50917371:50918039:-1 gene:SETIT_040026mg transcript:KQK91627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVPEDPHSQPVGLLEVTLTCPRLSGYAGPAWPQFCYLHVGVGRKLPFSKMLPFEMDEEDVEEAICEGDLKWYVRAQAREAIKQWGLSFRVPVKSGWLGKSLDILVERVDRDLRERSVVVTRGGPHTSTYTAAIGRARVPLLDALVIGDLDEEEEEDEAEDNKKRRRGEAERSSRLEGTLEFGKTVPLMDWELPALRDADGKPRSVVRGLVNVRMCLRRLA >KQK87316 pep chromosome:Setaria_italica_v2.0:IX:6600386:6606514:1 gene:SETIT_034807mg transcript:KQK87316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSAISRLGARLRLRLHHDPRTHHPSPVSPHLRALSSRRGKRSSPTASPADTEDEGPLSGLFVLSRDPECPPRLLVVQPRLRPGSLLDTKLAEALNLANSLEEPRDGFYHSEFGAKGAPRHLVVQNPASRGRSHADTYFGPGTVDNVKCYLRASESEEEDVDAVFVNTILSGIQQRNLEVAWGKPVLDRVGLIIEIFNAHAETKEAKLQSELAALMYMKTRLVRVRGPGGALTFGPSGEAEVVSARGRGSGGRGFMSGAGETELQLQRRRIQERRVKLLTQIEHVRRTRAIQRSGRKRHGGSFGQELVTVAVVGYTNAGKSTLVSALSETDLYSDDRLFATVDPRLRSVILPSGRKALLSDTVGFISDLPIQLVEAFHATLEEVVEADMLVHVLDSSAPNLEEHRSTVLQVLQQIGVSQEKINNMIEVWNKIDLVDENAASDGVEDEIFLTEGEEEDDMFSEDGVPSEQSSLDSLDDGADSEYLSEEKFEDNNDEVSSKESSEMKAMNPDLSSKECFGELCVPDAIGYPLTQQMPSCHVKTSAVTGVGLQELLALIDRKLTEQQNVVQRSYGPFDRKWRPSSSMDGEKAAEQ >KQK87869 pep chromosome:Setaria_italica_v2.0:IX:9850108:9851701:-1 gene:SETIT_037862mg transcript:KQK87869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTRQCTGRPCKGQLKRLSHIFCVSSLTYIEATRESIFGYQLLAGEKNNPEAASCRFLLQSSILLRSSHYYVKSQQPPLVYFHLSGRWNLQCCTQAQEGLTRRLPTSTLSGGSVIRSRTAPPKTSAPTRSSPTRRGRTKNRSCGYPYTSTDGTATR >KQK86464 pep chromosome:Setaria_italica_v2.0:IX:2025971:2030325:1 gene:SETIT_035710mg transcript:KQK86464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMKAQHSNGRGRSPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLHRPPSHRRGQISRPLRPAHHGGGGGDVSELSSAEHRAAAAQLPFAVGAAPAGCDVGRGEWVYDEAARPWYQEEECPYIQPQLTCQAHGRPDKAYQHWRWQPRGCSLPSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRLIPDSSKSMETFDSLTVFRAKDYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTSIEKHAKFWKGADILVFNTYLWWMTGQKMKILQNSFEDKNKDIIEMETEDAYGMVLKAVLKWVESNMDPKTSRVFFVTMSPTHTRSKDWGEDTDGNCYNQTTPIKDLSYWGPGTSKGLMRVIGEVFGTSKIPVGVVNITQLSEYRKDAHTQDSRTRGTSCSTPSSFSPELRLGISEVLVIPTISQLMNGE >KQK86465 pep chromosome:Setaria_italica_v2.0:IX:2025971:2030325:1 gene:SETIT_035710mg transcript:KQK86465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTMKAQHSNGRGRSPFLTSYALTLAFITFVSVLYFKDFSSTLHQPFLHRPPSHRRGQISRPLRPAHHGGGGGDVSELSSAEHRAAAAQLPFAVGAAPAGCDVGRGEWVYDEAARPWYQEEECPYIQPQLTCQAHGRPDKAYQHWRWQPRGCSLPSFNATLMLEMLRGKRMLFVGDSLNRGQYVSLVCLLHRLIPDSSKSMETFDSLTVFRAKDYNATIEFYWAPFLAESNSDDAVVHRIADRIVRGTSIEKHAKFWKGADILVFNTYLWWMTGQKMKILQNSFEDKNKDIIEMETEDAYGMVLKAVLKWVESNMDPKTSRVFFVTMSPTHTRSKDWGEDTDGNCYNQTTPIKDLSYWGPGTSKGLMRVIGEVFGTSKIPVGVVNITQLSEYRKDAHTQVYKKQWNPLTPEQIANPKSYADCTHWCLPGLQDTWNELLYSKLFFP >KQK91659 pep chromosome:Setaria_italica_v2.0:IX:51086240:51090558:1 gene:SETIT_035267mg transcript:KQK91659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVASAPGKVLVAGGYLVLERPNAGLVLSTTARFYAVVRPLRDSLPADSWAWAWTDVKVASPQLSREATYKLSLKKSTLQLTESANPFVEQAIQFSVAAAKATITDKERKDAQDKLLLQGLSITILGCNDFYSYRKQIEARGLPLTPEVLLSLPPFSSITFNSEVANGSMTGEKCKPEVAKTGLGSSAAMTTSVVAALLHYLGAVDLSRPGQPSSNRATGRDLDLVHAIAQSAHCIAQGKIGSGFDVSAAVYGSQRYIRFSPEILSSAQATGGTWLPDAVSDIVTRKWDHENTQFSLPPLLTLVLGEPGTGGTSTPSMVGSVKRWQKSDPEKSKDTWTKLGIANSALENQLRILKKLSEDHQEAYESVVRSCSHLAYGKWTEVATNQHQETIVRSLLAARDAFLEIRLHMREMGIAAGVPIEPESQTQLLDATMNMEGVLLAGVPGAGGFDAVFSVTLGDASNAVASAWSSAGVLPLLVREDHRGVSLEDADPRTREVSAAVSTIQIN >KQK88257 pep chromosome:Setaria_italica_v2.0:IX:12661356:12665099:-1 gene:SETIT_038704mg transcript:KQK88257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQPAGTMLPLPSTVGWSASGFVAAVLARLIRKGLELLSELDEAAAGHLRRLEGLLPSVWRVLDAADAGAVDLGGRALQELLDAAYAADDALDDVEPAAPGVARDGAGAEKARLAAAATAVARKPRSPLRFLLCFSPPRNAVAAAGGHGKSSKSKISGVDLHGLRQALETMAQAAYRCASMYEHAAPQRNYATVVSGQTEETEQDDIFGREAEVEQILQDVRFGEDPHYRLGIGVLPVAGHAGIGKTALVRFIFHHEVARAEFAVRMWVHVSGAFRLRRQLMDQMVHAVAGHDRDDGDARELLHKELTGKRFLLVLDGITDVVEDQRGDLMEVLKPAARRSLIIVTTRSETVATAIGTMPVLTLGPLGSDDYWKMFKHFAFGSTEDTEECTLLGDDWDDVEEDEEELSPMEQIASELAKKMAGLPLPAQAIGRALYFRRDDEDHWRNVLEDKLWESQDVCGISPALWLSYRHLDPRLKQCFAYCAVFSDDYVFRKEELVNMWVSQGLIYSDREAMRLEDIGGELFDELVHRCFFQPIGSNRYVIHSWMQELARAVATSRFFTITESSGDVPREVRHLTIRTSNLSKLKKDLELQVSPSPDHHFLYRVRTILFHADLSESDDFLDVLAHIFSMTKSMRVLGLSGANITYLPPEIGQLQHLRYLNLSGNKIIDIPETVCQLYNLQVLDVRSNSPSLQPPNGITSLIHLKHLYASEVFLSSTGDMKGLSNLQQLDVFCVGGSMHIDTLRQMTQLRGKLHIRDLRQVDVSEVSKGMLKGMQYLSALQLSWSSCDGQTKEISKDEELLECLQPHDNLRSLEIMGYRGVKYPSWMTKPSGSLSNVTSMYLIDCMNCKTLPPLHVLPSLEVLEIRRMPSITKVSAIPQRSDQESFLKLKRLIFEGALHCTEWLTETSKSRSMAFPCLCELEIRSCPKLTTFPDLPLSLTIMIIENVGLEMLPRIIDKQPSAEEALEATSKHGRWTSGLTTLRVHQCHRLRSLATSLLQQQHLLKSLEFLSIKNCDNVICDIPDGFKDLTALRDISLYDCPKMLVDKFHTSVRTMEISECFVVQGGWVDEDPILFSVWNLRITGCSHVRSDEVSKIEQLDWLSSLLNVYNLHLENTLILRLSMFDQLPSLEILEIDGCDTFFADSSDFTWLERLQVLSIRNCREMSRLPENLCTLPVLEELCVENCPAIEALPENGLPPSLKRLSICNCGSRLIERCRDDELDRPKIAIIGVVYIDGQCIGPK >KQK91154 pep chromosome:Setaria_italica_v2.0:IX:48163747:48169470:1 gene:SETIT_035092mg transcript:KQK91154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDLAVAGSAARKAEVQTLAPVLLMGPPPPPPIPPTSGMYLPGPPPPGVLLPRPIPMPLTREVMVHMDECRSRSLLKFISDAAIVPSLEDEQRRERVVHELGKIVMDWAKRVAYEQGKHHWITSAAVLTFGSYALGAYGPESDIDVLCVGPYIASLQHHFFVVLRHMLEGRPEVSELHSIEGAKVPLMRFKFNGILVDFPYVQLPVINAAEAIHAFDPRVLENVDGASWKCLSGVRVNRQIIQLVPNMKKFQYLLRCLKLWARKRGLHCHLLGFFAGIHLAILAAYVCRRHPNASINSLLSLFFEIFVHWPWPLPVSLLEPPALCRGADGCSLMPIMLPCIPQEFCSSSTTESTFSKIKEELRRGYALTKDTRTTDFDWSWLFAPFPYGARYKCFLRIVLSAPMAEELRDWVGWVKSRFRNLILKLESLGVFCDPDPSEQVDHTINEPNVVFFWGLMYRRSIQICSSSLKEDFMKSVFNNIYGKEKCAHSDITMSIVGPPQLPKSVFDHSVYSEKLPPHMMAHQLMKQSYNAVS >KQK91153 pep chromosome:Setaria_italica_v2.0:IX:48163747:48169470:1 gene:SETIT_035092mg transcript:KQK91153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDLAVAGSAARKAEVQTLAPVLLMGPPPPPPIPPTSGMYLPGPPPPGVLLPRPIPMPLTREVMVHMDECRSRSLLKFISDAAIVPSLEDEQRRERVVHELGKIVMDWAKRVAYEQGKHHWITSAAVLTFGSYALGAYGPESDIDVLCVGPYIASLQHHFFVVLRHMLEGRPEVSELHSIEGAKVPLMRFKFNGILVDFPYVQLPVINAAEKFQYLLRCLKLWARKRGLHCHLLGFFAGIHLAILAAYVCRRHPNASINSLLSLFFEIFVHWPWPLPVSLLEPPALCRGADGCSLMPIMLPCIPQEFCSSSTTESTFSKIKEELRRGYALTKDTRTTDFDWSWLFAPFPYGARYKCFLRIVLSAPMAEELRDWVGWVKSRFRNLILKLESLGVFCDPDPSEQVDHTINEPNVVFFWGLMYRRSIQICSSSLKEDFMKSVFNNIYGKEKCAHSDITMSIVGPPQLPKSVFDHSVYSEKLPPHMMAHQLMKQSYNAVS >KQK88389 pep chromosome:Setaria_italica_v2.0:IX:13869324:13877811:-1 gene:SETIT_033882mg transcript:KQK88389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYTCTYSVCKACIKQGKFFGVRGNKGFCDTCYGTILLIESKDDGAKVGVDFDDKNSWEYLFKLYWLDVKGKHLLTLEELISAKSHWTVPTTACRREKEESSDDLYDANDDEDASFDISSRKRRRNNSSGKRGQKRKKDSGTTARKCGISINSAGSLPNGSKNEGMSLPGVTEWASAELLEFIGHMRNGDSSYISQSDVQALLLEYIKQNNLHDPRKKSQVICDSRLSNLFRKPRVGHFEMLKLLETHYLVKQAPALNADSQRAIDSDCAEAATEGYNELTAKLCSDKRMKAHKKIERELTTNLEDYAAIDMHNINLIYLRRSLMEDLIDAAAAFSDKIAGAFVRIRISGLGNKQDMYRLVKVLGTHKVAERYSVGKKATDYALEISNLDKKEVITMDTISNQDFTEEECKRLRQSMKFGLTTRLKVGDIYEKAKIFQSLRFKDWLENEKQRLSHLRDRASETGRRKELRECVEKLQLLDTPEEKARRINEVPEVHVDPRMAPNYESAEEQDYKKAVDWTINRNGSYLGRKGSKSDSVENHTQKCLDGSSDTSSVPTEDVGHKSGAGSNINLNNTAVEPAMLGVVSDDTEPEKVWHYKDPKGNVQGPFTLSQLSKWVTFFPRDLRIWLTFESEENSLLLAEVLQKQQTDFVPHSAVQSGDKSIWVSTGQDRINSNLVANNSSSPIGYNVVYSSTSSGQFAEGSDPTKEDPKPLAATLPLRSLKDAHTFHGQVQHHVSYSSTILSSAGSDVPTSSHDVRVPRELVGEWNSCRDNGDMWNPTIAPMTDSCKSDVEQHPDGSTMKDQLQTDSKNNSHKVSVRTPQQSERDPATSPSTSSLLDFKAKSQQERDWSSAINTGAHDPKLSVALVKPESCSPTNPVEDRDSSSASAVSIQSGAPVCLPQPVPSTSNPNSSETEATMNQHKACRPDALNAPFHQHPEPKTGPLVSSKPQDVECEYPSPTPKLERKETSMNQSGSTSIASEDLATKTCVHSSMSFVSELSGPPASEIDSLQSLKERSCLEKRNSRDRESITQMKHVFEETTVKRNSKLVNPVSDAEGIAVSDVLESLTEQSCEKYNTREAVPLENFVPASAEEEQPQCSSPIALSPWGEPSYYQGEAVDSALWGVQDDPSNDMWSMSSPTPALQPSSGLGADGKDTSCIIEEVIAVQGNSAFVETVPTQGEKKMEQGNSSATTGPGVPEEVKPKPSAASGPSLDGSTKASGWQPSGSSLEGSAKASGWRPPALSLEGVTNDSGSQPSCPSTQGSAKASGWQRSSSSPDGSRKASGWQAPGSSTEGSAKAAWHRSSTSPEGGRKASGWQRSASFTQGSTKASGWQPSGSSTEGCANGDGWQRTSSSPEGTRKSSGLHWSGRERSKVNSTSGASENRKSSSHQATTPTGRHSLEVPKRQGNGDKSTSVWEEALGNSREASKRQGSNDKNADWGEAIGSNRSWHSSSGNAGRGSQGSHHHDRHSHGSESRRGSSNNPRRSDHRHDYGNGGSSRSSSRGQPQKGVCKYYQNGNCWKGFKCQFQHR >KQK86859 pep chromosome:Setaria_italica_v2.0:IX:4164107:4169486:-1 gene:SETIT_034018mg transcript:KQK86859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKMGRPYDDSKQYLDSHPSPQERWGSAHSKEGNYDRCRDNKWNARRGPSNKDSENWRDRSYSDRKDDTPREKVFSHDTGHGKDVCDPEQGNERDSNISRSWNSSNFVSRGTGGTSDHLSLAPQKPYSSNISRSWNSSNFVSRGTGGTSDHLSLAPQKPSASFGYSRERQESDSPNSTSFHRRFTSVTSRVNSQSSRPFHLGVLSARPGGASRDSLRYSRMKLLEIYRTTDVRNFVMPLDDTEDISLWQEDPMEPLALIAPNAEEAVILKGIERGDVTNSCAQACKDGSVGKSNPDVVPLEQSNLTGKEDQSGSSEDFKGKITRSIRGIPGGADLSERLKSDKSPYTAPQESESIGGHIHGPSIEFGQQYNDLDPGTKVGVDDIVSPENLSLYYKDPKGRTQGPFSGSDIIGWFEAGFYGIDLLVRVASAPCDSPFLLLGDVMPHLRAKVRVPPGFSNAKPRSMPHLGSAYLEISDYGSINKNGSVTEAENHFLESPMSSNTQNPRAETSPVTRGMNERSSIFGNLFVSGSDGVNSVNYLAEQKRLLERENSFQIEGDIISVAQKQKKDSTQSTTHSILFHQMIDPSSEALQPQNVNLLSVLLPAGKHQAPATNSGLPLWSDTPESGNLHPGVCGIDPVQEVHMHQNLHNSQQIGIDPQQHYSASQNQPTLACLNSQIMQPENFLSEISQYPQLNISQQQYLPSELQLQPLMPGIPQPQSSLLNNILQLRQQEHQQQQQHISQVPPHDCSSQQLYDPYGTNHISLSSGDCLKLCLQRTQEILELAQKLPCHGMHEIQLPGQVIGFSESWAPALWLPHEMMGHAPRKECSASFTRYFAVIDAPSGKESTVDSLSKKTLNSGSIEGSKVTVYEEKGFPRSYQDLAKSENVSSHISNQVHDVEISSTHPQSWKPPPGVRTKSLLEIQAEEQLKAQREMAKENAKVTTTAPSVLSIPWASLAETSEQQFGDETKSMGHRENVNISRTKRSQLHDLLAEEVLVKSNDKDAVIIDSADDTSFPPLAPYVTHHSYTNSDEDLFWGSREHAKQDNFSSFLSMKIWTLTFPNLYPLLANLQLIYIK >KQK89836 pep chromosome:Setaria_italica_v2.0:IX:36346505:36349364:-1 gene:SETIT_036959mg transcript:KQK89836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACRGFFECLLRLLNFVLTVAGLAMVGYGIYLLVEWMKISKGGGGGTPAEVLVLGRPLLGAVALGDSFLDKLPKAWFIYLFIGVGAIVFLVSLFGCIGAGTRNTCCLCFYAFLVLLLILAEGGAAAFIFFDNSWKDVIPKDKTHNFDVMYDFLKDNWEIARWVALGVVVFEAVLFLLALVVRAMNKPAEYDSDDEIIAIGRSPTLRQPLIHGQNVPATGVPVPTLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPQAEERSRCTIL >KQK89835 pep chromosome:Setaria_italica_v2.0:IX:36346912:36348151:-1 gene:SETIT_036959mg transcript:KQK89835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIISATHDVSSKAVTDRVIGINTLICLFPLTSRKYAFLVLLLILAEGGAAAFIFFDNSWKDVIPKDKTHNFDVMYDFLKDNWEIARWVALGVVVFEAVLFLLALVVRAMNKPAEYDSDDEIIAIGRSPTLRQPLIHGQNVPATGVPVPTLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPQAEERSRCTIL >KQK89834 pep chromosome:Setaria_italica_v2.0:IX:36346505:36349411:-1 gene:SETIT_036959mg transcript:KQK89834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACRGFFECLLRLLNFVLTVAGLAMVGYGIYLLVEWMKISKGGGGGTPAEVLVLGRPLLGAVALGDSFLDKLPKAWFIYLFIGVGAIVFLVSLFGCIGAGTRNTCCLCFYAFLVLLLILAEGGAAAFIFFDNSWKDVIPKDKTHNFDVMYDFLKDNWEIARWVALGVVVFEAVLFLLALVVRAMNKPAEYDSDDEIIAIGRSPTLRQPLIHGQNVPATGVPVPTLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPQAEERSRCTIL >KQK89833 pep chromosome:Setaria_italica_v2.0:IX:36346912:36348151:-1 gene:SETIT_036959mg transcript:KQK89833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLIISATHDVSSKAVTDRVIGINTLICLFPLTSRKYAFLVLLLILAEGGAAAFIFFDNSWKDVIPKDKTHNFDVMYDFLKDNWEIARWVALGVVVFEAVLFLLALVVRAMNKPAEYDSDDEIIAIGRSPTLRQPLIHGQNVPATGVPVPTLDQRASRNDAWSQRMREKYGLDTSQFTYNPSDPSRYQQNGAPQAEERSRCTIL >KQK86428 pep chromosome:Setaria_italica_v2.0:IX:1817944:1821303:1 gene:SETIT_034666mg transcript:KQK86428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLACFCCAGGGAAGRRRHVAPAGLPSDPAYDEGLGHSFCYVRPDKVLVPFSADDLVADAKAAAAAEEATTFRAISGAALSANVSTPLSTSVLLLLPDDSAASSAAAASSGFESSESFAAVPLQPVPRFPSGPICAPAGGGFLSGPIERGFLSGPLDAALMSGPLPGAATSGRMGGAVPALRRSLSHGGRRLRNFTRALLARADKFQDSMDLGSPDAAAAVAACGADSAGLQWAQGKAGEDRVHIVVSEERGWVFVGIYDGFNGPDATDFLVSHLYAAVHRELRGLLWHQCEQEEQNDQHPDQPTSTTASDHQDQPAHRRRTRRSRPPRGGDDDQRRWKCEWERDCSTLKPPTHRPPRSNGENDHLAVLKALARALRKTEEAYLDVADKMVGEFPELALMGSCVLAMLMKGEDMYLMNVGDSRAVLGTMDSVDLEQISEGSFDGLVGDSPLLSAVQLTSEHSTTVREEVCRIRNEHPDDPSAISKDRVKGSLKVTRAFGAGFLKQPKWNDALLEMFRIDYVGSSPYITCNPSLFHHKLSTRDRFLILSSDGLYQYFTNEEAVAQVEMFIATTPEGDPAQHLVEEVLFRAANKAGMDFHELIEIPQGDRRRYHDDVSVIVISLEGRIWRSCV >KQK86446 pep chromosome:Setaria_italica_v2.0:IX:1908416:1910308:1 gene:SETIT_037250mg transcript:KQK86446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRVTAIPFHHHFSNTAKAAQLSVLLLAFTSRYYTMAPLLFRDMKGLSCSSPASTAICPSLERQPMVRSHKAIASASPLSQVPTEPRTHRHDGKKGQQHKAAAVSNGGGLVSPAGSSRYLLSGRFASATQEEIQEVETAPAVDAKREEASEAADAKNTQAQEQVVVLKVSLHCKACAGKVKKHLSKMEGVTSFDIDFAAKKVTVVGDVTPLGVLNSVSKVKNAQLWAAAPPPPTAIAV >KQK90698 pep chromosome:Setaria_italica_v2.0:IX:44966405:44971852:-1 gene:SETIT_035698mg transcript:KQK90698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEYDVIVLGTGLKECILSGLLSVDRLKVLHMDRNDYYGGDSTSLNLNQLWKRFKGEETPPSQIGASRDYNVDMVPKFMMANGALVRVLIHTGVTKYLSFKAVDGSYVFNKGKIHKVPSTDMEALKSPLMGLFEKRRAGKFFLYVQDYKENDPSTHKGYDLTKLTTKQLISKYGLDDNTIDFIGHAVALHRDDSYLSEPAIDTVKRMKLYAESVARFQGGSPYIYPLYGLGELPQGFARLSAVYGGTYMLNKPECKVEFDDEGKVCGVTSEGETAKCKKVVCDPSYLPDKVRKVGKVFRAIAIMSHPIPNTAESHSVQIILPQKQLSRKSDMYVFCCSYSHNVASKGKFIAFVSAQAETDNPETELKPGIDLLGPVDELFIDTYDRYEPTNDSSSDNCYISTSYDATTHFESTVMDVLSLYTKITGKAVDLSVDLSAASASEDDM >KQK92827 pep chromosome:Setaria_italica_v2.0:IX:57410407:57414404:1 gene:SETIT_037386mg transcript:KQK92827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSVVLAAALLLLQSAFNTASGWLAGLLQLPQPAEKSAAEYLPRNTHRSFTDLVFRFYRQVGNHRPFFDPVGVQMIVAVAVQRSGAGRRCEGSFAGVRDGAAIPAQQTTRGTRGAAAWRWGALSVGGRRTIRATSASSSRPTPPLSPRMSHQPLTLQLTGQIRLPIK >KQK92828 pep chromosome:Setaria_italica_v2.0:IX:57410407:57414404:1 gene:SETIT_037386mg transcript:KQK92828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSVVLAAALLLLQSAFNTASGWLAGLLQLPQPAEKSAAEYLPRNTHRSFTDLVFRFYRQVGNHRPFFDPVGVQMIVAVAVQRSGAGRRCEGSFAGVRDGAAIPAQQTTRGTRGAAAWRWGALSVGGRRTIRATSASSSRPTPPLSPRSNVTPATYIATHRTDPPPNQVITTEPRNILLRHFYQKSEEKLRPKRAAPDNLAPENNNKQPRGPVADVGSQSNARS >KQK88977 pep chromosome:Setaria_italica_v2.0:IX:19116442:19117461:-1 gene:SETIT_0344661mg transcript:KQK88977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSVLVVCVIPNAADTAFQIHCLERSAYAAVLRAFCAQSDLLSRAKHGCLAELRNELKILESEHRECLGKARSNKQINSLSTGLHSKGNTCNAEVMKDTPDLACVLPDAGDTVFQIHCLERSAYASVLRAFFALTNHLSLVFLFFCIQVLNT >KQK91348 pep chromosome:Setaria_italica_v2.0:IX:49363800:49365366:-1 gene:SETIT_040132mg transcript:KQK91348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIHFVPISGFEGDNMIERSTNLDWYKGPTLLEALDLINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMLVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAELVTKIDRRSGKELEKEPKFLKNGDAGMVKMVPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKDPTGAKVTKAAAKKK >KQK89302 pep chromosome:Setaria_italica_v2.0:IX:23428276:23440533:-1 gene:SETIT_033837mg transcript:KQK89302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEPYQMNGIQNGMPNLRHPSSPSEVNEFCKALGGDSPIHSVLVANNGMAAVKFMRSIRTWALETFGTEKAILLVAMATPEDLKINAEHIRIADQFIEVPGGTNNNNYANVQLIVEIAERTRVSAVWPGWGHASENPELPDALTEKGIIFLGPPSAAMAALGDKIGSSLIAQAAGVPTLPWSGSHVKVPPESCHSIPEEIYKDACVSTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDKHGNVAALHSRDCSVQRRHQKIIEEGPITVAAPETVKELEQAARRLAKCVQYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLYNIPEIRRFYGMDHGGGYHYWRKISAAATKFDLDKAESVRPKGHCVAVRVTSEDPDDGFKPTSGRVEELNFKSKPNVWAYFSVKSGGAIHEFSDSQFGHVFAFGESRSLAIANMVLGLKEIQIRGEIRTNVDYTVDLLNAAEYRENKIHTGWLDSRIAMRVRAERPPWFLSVVGGALYEASSRSSSVVTDYVGYLSKGQIPPKHISLVNLTVTLNIEGSKYTIETVRGGPRSYKLRMNGSEIEAEIHSLRDGGLLMQLDGNSHVIYAETEAAGTRLLINGRTCLLQKEHDPSKLLADTPCKLLRFLVADGSHVDADTPYAEVEVMKMCMPLLLPASGVIHFVMPEGQAMQANDLIARLDLDDPSSVKRAEPFHGSFPKLGPPTAISGKVHQKFAASVNSAHMILAGYEHNINEVVQDLLNCLDSPELPFLQWQELMSVLATRLPKDLRNELDGKYKEYELNPDFRKSKDFPAKLLRGVIEANLAYCSEKDRVTNERLVEPLMSLVKSYEGGRESHARVVVKSLFEEYLSVEELFNDDLQSDVIERLRLQHAKDLEKVVHIVFSHQGVKNKNKLILRLMEALVYPNPSAYRDQLIRFSALNHTSYSELALKASQLLEHTKLSELRTSIARSLSELEMFTEEGERVSTPRRKMAINERMEDLVCAPLAVEDALVALFDHSDPTLQRRVVETYIRRLYQPYLVSGSIRMQWHRAGLIALWEFSDEHFKQRNGQDVLLQQVENPIDKRWGVMVVIKSLQFLATAIDAALKETSQYRAGVGSFSNGNHVNSNQSNMLHIALVGINNQMSTLQDSGDEDQAQERINKLSKILKDNTITSHLNGAGVKVVSCIIQRDEGRPPMRHSFQWSVDKLYYEEDPMLRHVEPPLSTFLELDKVNLDGYTEVKYTPSRDRQWHIYTLIKNKKDQRLNDQRMFLRTIVRQPSSTNGFLSGNIDNEVGRTHASSSFTSNSILRSLMGALEEIELHAHSENVRSGHSHMYLCLLREQQLHELIPFSRMADEIDQDEATACTLLKHMALNLYEHVGVRMHRLSVCQWELKLWLVCDGQASGAWRVVVTNVTGHTCTVDIYREVEDPNTHQLVYHSATATAGPLHGVELNEPYKPLDAIDLKRYAARKNETTYCYDFPLAFETALKRSWKSSNHGVTEANEHNQLYAEVKELIFADSVGEWGTPLVSVERPPGINDIGIVAWNMKLSTPEFPSGREIIVVANDVTFKAGSFGPREDAFFDAVTNLACERKLPLIYLAATAGARLGVAEEIKACFHVGWSDDESPERGFHYIYLTEQDYSRLSSSVIAHELKLENGETRWVVDTIVGKEDGLGCENLHGSGAIASAYSKAYKETFTLTFVTGRAVGIGAYLARLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHQTVSDDLEGVSAILKWLSYVPPYVGGPLPIMKPLDPPERPVTYFPENACDARAAICGIQDSEGKWLGGMFDKESFVETLEGWAKTVITGRAKLGGIPVGVIAVENQTVMQVIPADPGQLDSAERVVPQAGQVWFPDSATKTAQALLDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPAFVYIPMGGELRGGAWVVVDSKINPDHIEMYAERTAKGNVLEPEGLVEIKFRPKELEDCMLRLDPELIGLNARLKEMKKQNASNSEMETIRRSMTVRMKQLMPTYTQVATRFAELHDTSARMAAKGVIGKVVDWEESRAFFYRRLRRRVAEDALAKEAKEAAGEQLSHKSALECIKKWYLASKGTEGDSEKWNDDESFFAWKDDSKNYENYLEELKAERVSKWFSHLAESSDVKALPNGLSLLLNKMNPSKREQVIDGLRQLLG >KQK92458 pep chromosome:Setaria_italica_v2.0:IX:55612436:55612882:1 gene:SETIT_040608mg transcript:KQK92458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNLHPTAFHLFSSLTPSLALYLLKPSIFLFPEPYQQTDFYEITETKTCSMIEQYAL >KQK88641 pep chromosome:Setaria_italica_v2.0:IX:15706510:15713352:-1 gene:SETIT_034474mg transcript:KQK88641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTAPRAPLPAAASSSSSARQLDPNPSGNRFLAARRLRAVRRLAGAAPSRRAPAVRCSARSPEADSGGERRRRGWDAMLHDAFQGAVRRWSEYVRNYWPPPPAVKEAGKGKRAGSSHEGEAMSGDEEEEGKWSWEMWKRHFALIEESERLVDELQLQLRAAVCREDYRSAHKLKLAIAATAKNDTVGRAISDLNRAIEEERYRDATYIRDHAGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVARSYETRQLASDGPGFPIFEIFFAEEDGGYKLQAVHLKPDDSGSDQLPNMLREKLGIDSINISSSSVGSKHEEFDGNINMDDQDTDDSNITAGGPAGLKNLSSDSTAVPRIKILKVVPMENVNQDYIINIFDQISEEDDDHDDPEIENESSHDIGDEDNNVGAETVSAEENGDESSDENDIEALISIDFVSEDNNDYASHSSAEAFERMPARLEKTDRFSFSFYTEQYSKKLDAGKAQETLKKTVGSHTDQQDDDGFVQLDRIKLSGSNKKLSILQLGIKQHDNKAQQKLHGVTHFSRIQTPISSDPLNGLYLTASGFDSEILSLQRKFGQWREDNSSEEHSDLLFYEYVEAVKLTGDNLVPAGQVVFRAKVGERYQLPHKGIIPRELGVVARYKGQRKIADPGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLRLPD >KQK88640 pep chromosome:Setaria_italica_v2.0:IX:15704440:15713352:-1 gene:SETIT_034474mg transcript:KQK88640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTAPRAPLPAAASSSSSARQLDPNPSGNRFLAARRLRAVRRLAGAAPSRRAPAVRCSARSPEADSGGERRRRGWDAMLHDAFQGAVRRWSEYVRNYWPPPPAVKEAGKGKRAGSSHEGEAMSGDEEEEGKWSWEMWKRHFALIEESERLVDELQLQLRAAVCREDYRSAHKLKLAIAATAKNDTVGRAISDLNRAIEEERYRDATYIRDHAGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVARSYETRQLASDGPGFPIFEIFFAEEDGGYKLQAVHLKPDDSGSDQLPNMLREKLGIDSINISSSSVGSKHEEFDGNINMDDQDTDDSNITAGGPAGLKNLSSDSTAVPRIKILKVVPMENVNQDYIINIFDQISEEDDDHDDPEIENESSHDIGDEDNNVGAETVSAEENGDESSDENDIEALISIDFVSEDNNDYASHSSAEAFERMPARLEKTDRFSFSFYTEQYSKKLDAGKAQETLKKTVGSHTDQQDDDGFVQLDRIKLSGSNKKLSILQLGIKQHDNKAQQKLHGVTHFSRIQTPISSDPLNGLYLTASGFDSEILSLQRKFGQWREDNSSEEHSDLLFYEYVEAVKLTGDNLVPAGQVVFRAKVGERYQLPHKGIIPRELGVVARYKGQRKIADPGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLRLPD >KQK88643 pep chromosome:Setaria_italica_v2.0:IX:15704440:15713352:-1 gene:SETIT_034474mg transcript:KQK88643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTAPRAPLPAAASSSSSARQLDPNPSGNRFLAARRLRAVRRLAGAAPSRRAPAVRCSARSPEADSGGERRRRGWDAMLHDAFQGAVRRWSEYVRNYWPPPPAVKEAGKGKRAGSSHEGEAMSGDEEEEGKWSWEMWKRHFALIEESERLVDELQLQLRAAVCREDYRSAHKLKLAIAATAKNDTVGRAISDLNRAIEEERYRDATYIRDHAGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVARSYETRQLASDGPGFPIFEIFFAEEDGGYKLQGCYFQAVHLKPDDSGSDQLPNMLREKLGIDSINISSSSVGSKHEEFDGNINMDDQDTDDSNITAGGPAGLKNLSSDSTAVPRIKILKVVPMENVNQDYIINIFDQISEEDDDHDDPEIENESSHDIGDEDNNVGAETVSAEENGDESSDENDIEALISIDFVSEDNNDYASHSSAEAFERMPARLEKTDRFSFSFYTEQYSKKLDAGKAQETLKKTVGSHTDQQDDDGFVQLDRIKLSGSNKKLSILQLGIKQHDNKAQQKLHGVTHFSRIQTPISSDPLNGLYLTASGFDSEILSLQRKFGQWREDNSSEEHSDLLFYEYVEAVKLTGDNLVPAGQVVFRAKVGERYQLPHKGIIPRELGVVARYKGQRKIADPGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLRLPD >KQK88639 pep chromosome:Setaria_italica_v2.0:IX:15706510:15713352:-1 gene:SETIT_034474mg transcript:KQK88639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTAPRAPLPAAASSSSSARQLDPNPSGNRFLAARRLRAVRRLAGAAPSRRAPAVRCSARSPEADSGGERRRRGWDAMLHDAFQGAVRRWSEYVRNYWPPPPAVKEAGKGKRAGSSHEGEAMSGDEEEEGKWSWEMWKRHFALIEESERLVDELQLQLRAAVCREDYRSAHKLKLAIAATAKNDTVGRAISDLNRAIEEERYRDATYIRDHAGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVARSYETRQLASDGPGFPIFEIFFAEEDGGYKLQAVHLKPDDSGSDQLPNMLREKLGIDSINISSSSVGSKHEEFDGNINMDDQDTDDSNITAGGPAGLKNLSSDSTAVPRIKILKVVPMENVNQDYIINIFDQISEEDDDHDDPEIENESSHDIGDEDNNVGAETVSAEENGDESSDENDIEALISIDFVSEDNNDYASHSSAEAFERMPARLEKTDRFSFSFYTEQYSKKLDAGKAQETLKKTVGSHTDQQDDDGFVQLDRIKLSGSNKKLSILQLGIKQHDNKAQQKLHGVTHFSRIQTPISSDPLNGLYLTASGFDSEILSLQRKFGQWREDNSSEEHSDLLFYEYVEAVKLTGDNLVPAGQVVFRAKVGERYQLPHKGIIPRELGVVARYKGQRKIADPGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLRLPD >KQK88642 pep chromosome:Setaria_italica_v2.0:IX:15704440:15713352:-1 gene:SETIT_034474mg transcript:KQK88642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSTAPRAPLPAAASSSSSARQLDPNPSGNRFLAARRLRAVRRLAGAAPSRRAPAVRCSARSPEADSGGERRRRGWDAMLHDAFQGAVRRWSEYVRNYWPPPPAVKEAGKGKRAGSSHEGEAMSGDEEEEGKWSWEMWKRHFALIEESERLVDELQLQLRAAVCREDYRSAHKLKLAIAATAKNDTVGRAISDLNRAIEEERYRDATYIRDHAGAGLLGWWSGISGNLSDPYGLIIRISAEHGRYVARSYETRQLASDGPGFPIFEIFFAEEDGGYKLQAVHLKPDDSGSDQLPNMLREKLGIDSINISSSSVGSKHEEFDGNINMDDQDTDDSNITAGGPAGLKNLSSDSTAVPRIKILKVVPMENVNQDYIINIFDQISEEDDDHDDPEIENESSHDIGDEDNNVGAETVSAEENGDESSDENDIEALISIDFVSEDNNDYASHSSAEAFERMPARLEKTDRFSFSFYTEQYSKKLDAGKAQETLKKTVGSHTDQQDDDGFVQLDRIKLSGSNKKLSILQLGIKQHDNKAQQKLHGVTHFSRIQTPISSDPLNGLYLTASGFDSEILSLQRKFGQWREDNSSEEHSDLLFYEYVEAVKLTGDNLVPAGQVVFRAKVGERYQLPHKGIIPRELGVVARYKGQRKIADPGFQNPRWVDGELLILDGKFIRDGPVIAFFYWTSNFHLFEFFRRLRLPD >KQK90819 pep chromosome:Setaria_italica_v2.0:IX:45952279:45954745:1 gene:SETIT_036223mg transcript:KQK90819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSADLFYDILKRLDAAALARAGCACADFRAISNDEDLWENACTSLWPSTRRDDVRSLIVSVGGFRKFYADCFTLILNKDVPVVQTNETNPFAEEWAESDYYYDDMDELENSLPSDFVSLIDVWYKDHALYSKVIWGIPNSDGANGWFYNCPFRVDLFHHSAENNENNNGEVFLSTISDLPSVPSMEQERKDGKLWRELNDGIKLSWIIVNRKMKRAVNLTSWHPLGGQRHWPTDTDFVLRFGSVLPAKEVLPCQVAECILLMKFRMISMGSEESGESSTLALTELSMQIEDMGGVHLNGRCSLLLLKEALSCHRSRNYDEVLESCNLYLKAQSELKEEKIRSEYRFDTLCIVSGITIFGAICTMCYRRFENF >KQK87345 pep chromosome:Setaria_italica_v2.0:IX:6785928:6788359:1 gene:SETIT_036393mg transcript:KQK87345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQWFSNMVMDEPSFFHQWQSDALLEQYTEQQIAVAFGQGEVDQAVAAALTMPLQQPAPAAEHRPRKAAKVNTSWDSCITEQGSPADSSSPTILSFGGHAAAAAFAKAEAHQVPSAPYYGAPAKAPKQEVVDAGAPSFHQERQAKRSYDAMVAEAAKVPVPATTRPASQNQDHILAERKRREKLSQRFIALSKIVPGLKKMDKASVLSDAIKYVKQLQDQVKGLEDEARRRPVEAAVLVKKSQLSADDDDGSSCDENFVGAEAAGTLPEIEARVSDRTVLVRIHCENRKGALIAALSEVERLGLTIMNTNVLPFTTSSLDITIMAMAGDDFCLSAKDIVKKLNQAFKSSF >KQK87634 pep chromosome:Setaria_italica_v2.0:IX:8330757:8331240:-1 gene:SETIT_040320mg transcript:KQK87634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLQVITMPNCLIVSTELLYGSFLTVQNGFKISNGLVL >KQK89268 pep chromosome:Setaria_italica_v2.0:IX:22801684:22805751:1 gene:SETIT_035257mg transcript:KQK89268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGLALLLLLVCSSWLLPRSDARRTMAAAGAPGPPIRAVNLGGWLLTEGWILPSLFDGIPNNDLLDGTQLQFKSVTQNRYLVADQGGGAAILADRVQPSGWETFKLWRIDETTFNFRVFGNQFVGVNATGGVVATATTPGPSETFQLVRRDSDKSRVRIRAPNGLFLQAKTMESVTADHSEDTDWGDDDPSVFVTNNVARLQGEYQICNGYGITKATEVLRNHWNTYITENDFNFIASSGLNAVRIPVGWWIASDPNPPLPFVGGSLQALDNAFSWAEKYNLGVIVDLHAAPGSQNPFEHSATRDGSQEWGTSDANIAQTVQVIDFLAKRYANSPSLLAIELLNEPLAPGATLPSLKKYYQDGYNAVRQHTSTAYVIMSNRLSADATELLQFAGGFSGAVLDVHYYNLFDSKFNSLTVDQNIDFVRNNRSADLAAVTNQNGLPLTFVGEWVAEWTIQGASKTDYQRFAQAQQEVYGRATFGWAYWTLKNVNNHWSMQWMIQNGYITLNS >KQK89796 pep chromosome:Setaria_italica_v2.0:IX:36016292:36017533:1 gene:SETIT_037540mg transcript:KQK89796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIVDVGEEPDVSRDEDDCVEIDPAEFAKKLNLKETDDVILVAAKGKIVKVEVDQPEGVAKALYGCGREANHKHDAAGDCIDNPYKIDEVGTSLLKVEIDVDRFALDKPSAKLHGVDHNVGQFFRGDKDDLSSHTVKIIPGKLVVKLDNIGAEVIPDKAVVKCEPVGDNGVEADEEGSYDYCLEMTPKIRIFDEEDDEDVVIVR >KQK89378 pep chromosome:Setaria_italica_v2.0:IX:26154239:26155426:-1 gene:SETIT_039845mg transcript:KQK89378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAAPILGSISCNFSNHQSDTCTMEGDLRIHGKSATVYVVSVSTYRPENSTIKLRPYARKWEDQVMQLVREVTVRSSPPGADDDVIPPECSVRHDVPAVVFSTGGYNRNFFHVMTDVIIPLYLTVREYNGRVQLLATDYEPNWIAKYKAILVALSAYPVIDMDSEDTVRCFPSAHVGLESHKELSIVPGLSRNGYTMASFRDFIRSAYSLQRPRVAPVSRSSGRKPRLVLILRRNSRQLKNEADAIAAATELGFEVVAAGPDDVSDLERFSGLANSCDVLMGVHGAGLANMLFLPDNATVVQIIPWGELKWPCRHSFGDPVAPMGLRYLEYEVTADETTLNETYPRDHAVFTDPVSVHRQGFDKVWNIFINGQHVILDIKRFRGFMEQLYQTITTE >KQK92265 pep chromosome:Setaria_italica_v2.0:IX:54644281:54649446:-1 gene:SETIT_034914mg transcript:KQK92265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGCLSSMNITGVNQARSLAGQLPTQRCFANSHHASFAVKSLVVRNKGRRSHHRHAALQIVCKDFPRPPLESTINYLEAGQLSSFFRNSERPSKPLQVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDEDGDWYETGLHIFFGAYPNIQNLFGELGIEDRLQWKEHSMIFAMPNKPGEFSRFDFPETLPAPVNGIWAILRNNEMLTWPEKVKFAIGLLPAMLGGQPYVEAQDGLTVSEWMKKQGVPDRVNDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVNHIRSRGGEVRLNSRLKKIELNPDGTVKHFTLTDGTQITGDAYVCAAPVDIFKLLVPQEWSEISYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYDPNRSMLELVFAPAEEWVGRSDTEIIDATMEELAKLFPDEIAADQSKAKILKYHVVKTPRSVYKTVPNCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQSIVQDYSRLSLRSQKSLQSEEVPVAS >KQK87493 pep chromosome:Setaria_italica_v2.0:IX:7554796:7555009:1 gene:SETIT_040427mg transcript:KQK87493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMLGPKRKARGGRKGERITNVARRISGDGERDRPLL >KQK88918 pep chromosome:Setaria_italica_v2.0:IX:18642408:18643907:-1 gene:SETIT_039136mg transcript:KQK88918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLPSPPLPPHLLRHLNGRTLTTELLDPLIRSTSSSPSPSLSFSLFILLLRSALRPSHLTFPFLARAAARLSSAPLAAAIHAHPLRLGLLPADLHVANSLVHAYAACALPDHARRVFDEIPRPNLVSWNALLDGYAKCRDLPAVRQVFARMPRRDVVSWSAMIDGCVKCGEHREALAVFEMMENAAAAVEDEEGGGGVRANHVTMVSVLGACAHLGDLERGRRVHRYLSERGFQLNLRLATSLVDMYAKCGAIREALGVFRAVPVESTDVLIWNAMIGGLAVHGMGTESVDMFREMPRAGVVPDEISYLCVLSACVHRGLVDEAWIFFRLLEAQGLRPHVEHYACLVDVLGRAGRLEEAYGVVRSMPMKPSVSVLGALLNACHLHGWVELGEVIGRQLVQLQPDHDGRYIGLSNIYSVARRWQEAKKARKVMEERGVKKVPGFSEIDVGGGISRFIARDKTHPGSAQIYDLLDLIAMEMKMKADDTIPDYPCATLLE >KQK88350 pep chromosome:Setaria_italica_v2.0:IX:13439481:13440363:1 gene:SETIT_037605mg transcript:KQK88350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLTVSTTTATGAAAGTAAANKQREGAEVITGAEACFAHSKEMLKALGFPGGVMPLRGLEECGWVKETGFVWMRQKAPYEHYFRGTGTRVRYDAEVTAYVEEGRMKRMTGVRSKQVLLWVPIVEMSLDGEKRDKIYFKSNVGIGRSFPASAFADEEEKEEKKEEGGDKPAGADEEKKGEVGGDKPADADAATK >KQK86634 pep chromosome:Setaria_italica_v2.0:IX:3032391:3032600:-1 gene:SETIT_039914mg transcript:KQK86634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSSALAAAVLVLAVLASGGVVGTAARPVATQGGGSVAALYHVVVAVEFTGTDSSAQPSNCTYGNNVGG >KQK87363 pep chromosome:Setaria_italica_v2.0:IX:6887387:6889974:-1 gene:SETIT_035435mg transcript:KQK87363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKRQRSASSSRLAAFCSPPSPPPPSSSSASRPDSLSFPNADLLLRLHLDPSSPDDADAEPYPDCHLAAAAGTFLDLHVSSSSLLRSRYFAALLSDRWSPSPFPPAGGRLSLAVVASPSCSRPFHAHVEVLRLLHTLDFAGAIRSPGDALDLLPVALQLLFDACVEACIRFLEAVPWSEDEEARVIDLAPLLPADEAADLLARVSPPPVATGPTSAAGEAARSPSEAMLHGLIHSAIHGHPVHAATKAFVAMLLKDYPSRDCVHKVLDEAFQSRLETVKELMGKYASPDFRVAVDSDEREAIQRLNLHSAVLNVKHLYWLIERMVDLRVADNAVTLWSDQVALATDLQKLLSDADMWRNMTPGLPVLVTRCTLRLANSVVTGETLVPRQEQFQ >KQK87362 pep chromosome:Setaria_italica_v2.0:IX:6885927:6889974:-1 gene:SETIT_035435mg transcript:KQK87362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRKRQRSASSSRLAAFCSPPSPPPPSSSSASRPDSLSFPNADLLLRLHLDPSSPDDADAEPYPDCHLAAAAGTFLDLHVSSSSLLRSRYFAALLSDRWSPSPFPPAGGRLSLAVVASPSCSRPFHAHVEVLRLLHTLDFAGAIRSPGDALDLLPVALQLLFDACVEACIRFLEAVPWSEDEEARVIDLAPLLPADEAADLLARVSPPPVATGPTSAAGEAARSPSEAMLHGLIHSAIHGHPVHAATKAFVAMLLKDYPSRDCVHKVLDEAFQSRLETVKELMGKYASPDFRVAVDSDEREAIQRLNLHSAVLNVKHLYWLIERMVDLRVADNAVTLWSDQVALATDLQKLLSDADMWRNMTPGLPVLVTRCTLRLANSVVTGETLVPRQVRTKLVSCWLPVLNVCRGIVQPMHFHKSTNCQELEEAFLQIISTLPVPEAQELLRQCLGFSTRNVDDCPHLVAAFKTWFRRAGRAPLGGDI >KQK86158 pep chromosome:Setaria_italica_v2.0:IX:492519:496889:-1 gene:SETIT_034876mg transcript:KQK86158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRAGAILLCLLFVLVVRDVSAVAETEAGNVRLVQEAPHRELEGTGRQDGAKVGRVSVSTVAWSTLVMAAATGLGAVPFFFMELEAQWAGICNGLAAGVMLAASFDLVQEGQVYGSGSWVVFGILSGGIFIWLCKKFLEQYGEVSMLDIKGADASKVILVVGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTIAIAVHNIPEGLAVSMVLSSRGVSPQKAMIWSIITSLPQPIVAVPSFLCADAFQKVLPFCTGFAAGCMIWIVIAEVLPDAFKEATPSQVASAGTLAVAFMETLSTVLLGFTDGNNSEDASGFLVSLVFGLGPLIGGIILVTFSLAFSMPHPLLTGVASGIAFRLAAWRPVQLLMSSKMGLFTTLFLIIGGSLVYHAATSSILRVVNRKRSSVNVITSSSGFSLSVLTLQSLLACGAVFLHAYAEGLVLGVAARKAYGLGRYMVLPASLHGLPRGAAVASCVYGATDSWRGALAAAALTGFAGPSAAISAILARIDYDGLDYWMVIACGALIPSFGRVFRRSLRLDMRKSIAGLLIGIGFASVCLMSTRFICLHTPYCNSAPEAVT >KQK87278 pep chromosome:Setaria_italica_v2.0:IX:6370676:6373276:1 gene:SETIT_039356mg transcript:KQK87278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTTTAHPRFGLDLSLAPSSARRNGVPSTVRCCLHSSSPPPAMPASPGDPGFDKKAFRRDIARGDNYNRRGFRHREVTQGRMDLQYTSELIGKVKESGGVYATEGGLVTVRLADKHGFCFGVRDAVQLAYEACEQFPDRRIWLTNQIIHNPTVSKDLKEMGIEIIPVVSCVKDLDVVEEGDVVIFPTFGASVDEMFKLNKKNVQVVDTTCPLVSKVVNMIERHKKGDYTSIIHGKYAHEETIATASFADRYIIVKNIAEVRYVCDYILQGQLDGSSSTKEELLGKFKNAISPGFDPDSDLEKVGIVNQTTMLKGETEEIGLLVKKTMMRKYGVKSIDKHFIRFDTICNATQERQDAMHKFVTENVDLILVRNGIPSYWIDGEQRIGPGNKISHKTKDGMVVERENWLPLGPIMIGVTSGASTPDKVVEDALRKLFEIKRQELDGASLEQH >KQK92460 pep chromosome:Setaria_italica_v2.0:IX:55623193:55625356:1 gene:SETIT_038298mg transcript:KQK92460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTEAASTTPSDLLPLRQATAARTPTTLLAMTLPSSSTTRLPAGVISYFYTKLHLPEVAFIHFVLGTATDDVEYELVAVEQA >KQK91949 pep chromosome:Setaria_italica_v2.0:IX:52878446:52884313:1 gene:SETIT_034298mg transcript:KQK91949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSPPPPPPPPKLPIPGRRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEEKCTPKEICDKYHAIHDEVYKWFNIKFDKFGRTSSPEQTEVCHAIFHKLMENNWLTENTMQQLYCDTCQRFLADRLVEGTCPNKVCNASARGDQCESCSTLLNPTELIDPKCKVCKNTPRIRDTDHLFLELPLLKDKLVNYINETSVAGLWSQNAIQATNAWLKEGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITASYTPDWEKWWKDPDNVELFQFMGKDNVPFHTIMFPSTLLGTGEKWTMMKTISVTEYLNYEAGKFSKSKGIGVFGNDARDTNLPPEVWRYYLLMNRPEASDTLFTWADLQAKLNSELLNNLGNFINRVLSFVAKPAGAGYDSIVPDAPNAESHPLTKALAEKTSKWVEQYLDAMEKVKLKQGLKSAMAISSDGNAYLQESQFWKLYKEDSAACAIVMKTSVGLVYLLACLLEPFMPSFSEEVLRQLNLSPEENLSFSEEKGEIAKAKSPWDFVPAGHTIGKPAPLFKELRDEDVALHREKYAGSQAERSSKAAADAEANKVANQLKGTKLSGGGPKKEQKKQSGGSKSKTAEADITVAKLDIRVGLIRKAEKHPDADSLYVEEIDVGEDAPRTVVSGLVKFIPLEEMQNRKVCVLCNLKPVAMRGIKSHAMVLAASNEDHTKVELVEPPESAAVGERVTFAGYSGEPEASLSGKSKTWEKLAAELHSNGELVACYKDVPFTTSAGICKVKTIANGEIR >KQK92477 pep chromosome:Setaria_italica_v2.0:IX:55730614:55733914:1 gene:SETIT_034866mg transcript:KQK92477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGSNTTKKSRLSWSKSLVRKWFNIRSKAHDFHADDVAAVGRTGAYFWGDDEWRGSSFTRREPSTVKKSKTERSSRRSNGHSRRGKIDLDAAEATVTLDYRIFVATWNVGGRSPPNNMSLEDWLHAAPPADIYVLGFQEIVPLNAGNVLGTEDNGPARRWVSLVRRTLNNLPGTSGNGSFRTPSPAPNPVVEIDDDFEGLSSRQNNAPFFHRRSFQAGLSRSLRMEGDILAPQPRLERRYSVCDRAIYGHRPSDYENTCRWGGSSDDENNTGESPSTVYSPMSYGYGNTSSLEDSQRRAGHTRYCLVASKQMVGLFLMIWARKDIRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFVCSHLTSGQKEGDELRRNSDVLEIIRKTRFPMVYGQYERSPETIFEHDRIIWLGDLNYRIALSYRSVKALVEMRNWKALLEKDQLRIEQRGGRVFVGWSEGNIYFPPTYKYSNNSDKYAGDDMNQKEKRRTPAWCDRILWYGRGLGQLSYVRGESRFSDHRPVYSVFSAEVESINHSRIQKMSCSSSQLDIEELLPYSYGYTDINPYGYTDLNFY >KQK92711 pep chromosome:Setaria_italica_v2.0:IX:56884659:56887066:-1 gene:SETIT_036367mg transcript:KQK92711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLAGRLTVPAAALLFLFFAAPASAANFTCATPGATCQSAIGYAVPNATTYAGLAARFNTTTTLAELLGANNLAADTSPSAPIAAEAIVRIPFRCRCGSNMVGQSADGPIYVVQPQDGLDHIARDVFDAFVTYQEIATANSIPDPNKINVGQKVRIPLPCSCDPVDGVTVMHFAYSVAKGDETSGIAARFGVNQQALLNLNKLTDPLKQGQILDVPLPVCRSSISNTSADHSLLLLPNGTYALTAQNCIKCSCNANNYEQLDCSPVQDRRCPALQPCDGGLKLGSTNGTGCESKMCAYTGYSNTTSLSIHTALVTANETACQQKGGAARSEFAGSMWRMSAISFHMVLILICFL >KQK88086 pep chromosome:Setaria_italica_v2.0:IX:11412933:11418478:-1 gene:SETIT_034051mg transcript:KQK88086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSVHARPGPLPRIPFLPVPGGRVPWPRSGLGAALRRPRNRLRFGPLAADDLCKRAHREKLRFAVLVSKSTLQSEHGVSLQTQYSLSEGVKAAGFQISADELASIVESRDTKKLAVHGQLDGIADKLATSLTYGINTAEYSLNQRQDIYGVNKFTESEARSLWEFVWEALQDTTLVILIACALVSFVVGIATEGWPKGAHDGIGIITSILLVVSVTATSNYQQSLQFRDLDKEKRKIFVQVTRNSLRQRMLIDDLLPGDVVHLAVGDQVPADGLFISGYSVLINESSLTGESEPVFVNEDNPFLLSGTKVLDGSCKMLVTAVGMRTQWGKLMAAITESGDDETPLQVKLNGVATIIGNIGLFFALLTFVVLSQGLVAQKYLDCLLLSWSGDDVLEILQHFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRQLAACETMGSATIICSDKTGTLTTNRMSVVKACICGNTMEVNNPPAPSNFSSKLPEAALEILLESIFNNTAGEVVINQDGHRQILGTPTEVALLDFALLIGGDFKEMRQQNKIVKVDPFNSTKKRMSIVLELPGGGYRAHCKGASEVVLAACDKFIDARGSIVALDNTTTNKLNGIIETFSKEALRTLCLAYKEMDGGFSMDEQTPLQGYTCIAIVGIKDPVRPGVRQSVATCRSAGIEVRMITGDNINTAKAIARECGILTEDGLAIEGAEFREKNPKELLELIPKIQVLARSSPLDKLTLVKHLRTTFNEVVAVTGDGTNDAPALREADIGLAMGIAGTEVAKESADVVILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALLVNFCSACFTGDAPLTAVQLLWVNMIMDTLGALALATEPPDDNLMKKAPVGRAGKFITKVMWRNILGQSIFQFAVMWYLQTQGKYLFGLEGSDSDIVLNTIIFNTFVFCQVFNEISSRDMDEINVLKGLPDNSIFMAILAGTVIFQFIIVQFLGDFADTTPLTQHQWLVSVLFGLLGMPIAAAIKLIPIEPREEA >KQK92178 pep chromosome:Setaria_italica_v2.0:IX:54179983:54182892:1 gene:SETIT_040129mg transcript:KQK92178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGAEGRRLLGRAEASPERGRPTYASAARPAPARPMRKVQIIYYLCRNGQLEHPHFMEIAQHPHQPLRLKDVMDRLTLLRGKGMPALFSWSCKRNYKNGYVWNDLSENDVIYPSDGVEYVLKGSEIFPGCSSDRLQHLRVTDRSPTKTMALPHSHKQQYVDSYRDDAAEDPEDDELGYSYHHRRAGPHAGARLARADKPVVVSARTNRSRPVELPVEETSPPSSTSSDKPPAPQLQPGRADLELEPNRPGSMLRQLIACGSTVGAAGGGSGKCRAEPRRSCGLVSRLSARAGADEEDEDAAAGADLGRRFGHLAVDDKEYFSGSIVEGSGGRGTPLPASSLKRSNSYNEERSSRLGVGAVGEDGTDEQMEGDGGIRGRCIPGRKKQPPPQK >KQK93057 pep chromosome:Setaria_italica_v2.0:IX:58543290:58545316:-1 gene:SETIT_037932mg transcript:KQK93057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTARTVKDVNPHEFVMAYSAHLKRSGKMELPEWVDIVKTARFKELPPYDPDWYYTRAASIARKIYLRQGIGVGGFQKIYGGRQRNGSRPPHFCKSSGAISRNILQQLQKMGIIDVDPKGGRLITSQGRRDLDQVAGRVAVEA >KQK92917 pep chromosome:Setaria_italica_v2.0:IX:57857332:57858050:-1 gene:SETIT_038115mg transcript:KQK92917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQQLKGWVSAAADTASWCFALSLVALLLVCSLGAGGAGAGETRHGVAVVRGAALSARPCEEIYVVAEGETLHSISDKCGDPYILEQNPHVHDPDDVFPGLVIKITPSRPR >KQK92378 pep chromosome:Setaria_italica_v2.0:IX:55237277:55237956:1 gene:SETIT_038081mg transcript:KQK92378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCCVFLRWPSASPPRIGYRPLDDEAAAGPSPAAVTVVVGKERRAFSVDQLVLDSYPFRVLLETVARKEERRGGAIFVDVDAILFEHILWLACDGRSVSQILQLDLKEIIDFYAQDA >KQK86452 pep chromosome:Setaria_italica_v2.0:IX:1947365:1948868:-1 gene:SETIT_039292mg transcript:KQK86452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGVMLQLPVEIHKILSHIIDPASLARLASTYKFWRNIIKGRSFLDCLRKRCHDHGFTPSLLLGFFYQDSSTNDQAQSDKFCVCNPLTGEIFHIPPIPVASPDMYALHVTEDVNRGKLVSQSFQLVAIWIRQRMFRIGSYCSKAKRWTWFSDTPELIPDLYAVRSPAAASHGAIHFLCRNLTKGGGIPTRPNWTLTHIATLHLAKHPKLSYVELPRDIKRSTAPLLANSADGSFLLLLLKGLEISLWKHGSDTNGWVLSEKINLAKKIKLILGMSGAVVLWIDGEGLFLFSLSDELMRKIGDKHATKK >KQK88298 pep chromosome:Setaria_italica_v2.0:IX:12993927:12995378:-1 gene:SETIT_039473mg transcript:KQK88298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVSRSDRRLFVLRHDMARPQIDRAEADAVLQELRRREDEDDCENFHRYELDAEQRLKSLFWADADSRLDYYISHGDVVVFDTTFRTNEYGVPFVPFVGLSRHRTPVFFGCGVTSDESLDSYVWLLRAFAMSISQDRKPRSVITDGGDAVVGAVRIVFPESNHRICSWHVERAIDEHLHGSSTQDEFRSLMRDACSPEAFEERWYGFMARHGTAANHRWLEDMYGKRELWAAAFVHDKFFLGMASDQRTECLATCLHTGLHGGMSLPDLLAHADACTYALRLDVARLDVEADRSRVELTTGHRCLEEHAARRFTPANFYLLREEIMMIDGFEVVKTLARGHPIFGKKVYVVGFKQRWGVFFYVECSGDAVKCSCRKMEREGLPCRHIFCMLRHNNLSRIPDCCALRRMRRRGDTKAERLDEMKELGHQVFDLASEDAQEFQEIKEFLEGWLEHRRSGAVAVGDNNAADGDSVPPMTKKTKLIKD >KQK89894 pep chromosome:Setaria_italica_v2.0:IX:36835739:36837420:1 gene:SETIT_035149mg transcript:KQK89894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAATAPPCHSRASPLNPNSRATPSIAGKPNAVSTTRRHLANLDRLLVKPPPLPLPLPVQHRNQAPAEAPGDGEVTPDDRSGRGGLLNALNLSTFLPFTRKPAVDEMSPRSLAYMQRLLTLSPRLSPKGSIAGEWRRYHGKGGWEGLLDPLDHNLRRELLRYGDFVQAAYTAFHSMPSAADQAAASSHGQHRTLVLPDRSYRPTRSLFASSSLAIPPWARRRSAPAWLTQRTSFVGYVAVCDNEREVRRMGRRDIAIVLRGTATCPEWAENLRASLVPLADDDQSDDAASAPKVAKGFLSLYRTPGDHVPSLSAAIVEEVKRLVELYKGEELSITIVGHSLGASLALLAADELSACLAGAADTTDHRPPPIAVVSFGGPKTGNRAFADRLRRERGVNVLRVVNVGDVVTRVPAPIAREGYAHADGAELRLHSRDSPCLRPDAGPACCHDLEAYLHLLDGFTGSGRPFRADASRSVARLLAYQRPSVRRAYVERARVLGFEPASPRTATANGACADGQYGYLASPT >KQK87901 pep chromosome:Setaria_italica_v2.0:IX:10055269:10056342:1 gene:SETIT_039196mg transcript:KQK87901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACVEPAAAVRNHDAAGPAGLKRRRIAVGSAEQYEDISRLGEGAFGAVIKARHRATGRIIAIKRVGKAQGGHAALLREARFLEEASGGGANPFVVGFHGVVRRPDAFDLSLVMECVGPSLHDLLRQRGRGSPPLPESTVRAAMWQLLTGTKKMHDGHIVHRDIKPANILVGDDHRIVKLCDFGLAMSTDERPPYTQAGTLWYMAPEMLLEKPDYDERVDIWSLGCVMAELINNGRPLFQGFYGEGQLCAIFDVLGTPDDGTWPWFSSTAFATVVMPELDMQRENNLRKLFPESKLSKEGFEVLSGLLTCNPEKRLTAAAALKHPWFDKIDVLELPKKEELPSPMPLQPKRRRIHAV >KQK86363 pep chromosome:Setaria_italica_v2.0:IX:1468805:1469377:-1 gene:SETIT_040734mg transcript:KQK86363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARGSGRMQLPVPEEKGTMSSVAAFRDGSRHGATCFDVALSFTASPAPTVTATLPFSGCGHPSSAAAAA >KQK87617 pep chromosome:Setaria_italica_v2.0:IX:8264565:8265044:1 gene:SETIT_038483mg transcript:KQK87617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFMQEHSRTCQIPRLLYEGDQFYASKMILGNRNLVGSVDRQSHAPTVMNLSM >KQK86865 pep chromosome:Setaria_italica_v2.0:IX:4199302:4199811:-1 gene:SETIT_040316mg transcript:KQK86865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHCSRPFKFACLPSFVEARSVLALEKMGKAEGETLPAGS >KQK86144 pep chromosome:Setaria_italica_v2.0:IX:454833:460351:-1 gene:SETIT_034945mg transcript:KQK86144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPPLKRPKLEKDDDDDSAYRPRPSANGAARVPPVASAPSSAAASGEPLDDEDEDAMAEEAVVALIAHRERDVERCKLKLLHYQSLLDTAEMKLAEAQSRLARCRDPRPPPSHTEPKPPPPPIKREPKPSPPPPIQREPKPSPPQPPPEKKSPAPAPQPAARPSLLIPGTNNRPAPRPEPMPGLKKTAAPSSSSSPAAPPPPERSRKEEKKPKRKIQEKEHQNLIPSVKKSSATVLKFQGGTLVSSQHKRKLRCLELCPANDQLVVTSALDGLVTLWQVEPRGPSISFRGKTDCFSPKHRWPEDIAWHPDGDTIFAVYTADNGDSQVSMTNLISGQRKVTFLPEKPHTKGIINNISFMPWSDACFVTGGSDHAVILWEDKDDSWKHKRVHKDFHSSAVMGVAGLQQKKTIISVGCDKRIIGFDLFAGRTEFKNLIDSKCMSVLTNPCDFNLYMVQTGAPGSQLRLFDIRLRQTEVHAFGWKQESSESQSALINQSWSPDGWYVSSGSADPVIHIFDIRYHGQKPCQSVQAHQKRVFKAVWHQTSPYLTSISSDLNIGIHKYS >KQK91425 pep chromosome:Setaria_italica_v2.0:IX:49742319:49745076:-1 gene:SETIT_039614mg transcript:KQK91425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFSTEALRELVPSMHPHLRSAVDNAFAVPLHWAAPRLQARWFIDQYAGDVEADKQELSRITRWWRNAALNKTSPFARDRLMECFYFATACREVVAKAFAVLDDIYDIYGTLDELALFTDVIERWEATASEQLPEYMNAIYLTIFNFSNEVAEHVQRTDGCDVRFLLKKAWHDLCKAFLSEAKWHYYSNYKPTLQEYLENGWASVSGPLIYPKLVQMVSKIFRLCNDSFYLRRGDAPSSIAIYMFENRAMESDARKAMRDQLLLAPVIQTPLNQDVSNNCQYPPSLANACLNMARISHCIYQSGDGLSAPDDGKKDGNSRAVLGAFKSGSWRRTADSQLAPLTHSTSTETF >KQK86962 pep chromosome:Setaria_italica_v2.0:IX:4727729:4729778:-1 gene:SETIT_036412mg transcript:KQK86962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDVAEIGMGLDLGLDLKLFAARSAGGMAAAAAKGAPAGIEACIRSLEEERRKIEVFRRELPLCVRLLADVIEELKDEAAKRGEDLELEMKADDGDKKKWMSTAQLWVDSDAKSKSEKEKRSEMTSPEPKLLGSPMPIRAVPAVAPPPPPCFRGDDNAASTVGLPGLSLLPPAAKTSISPAPAVDEHRQNATARFSAPMSPSGPALNLHAQTQQQQQQARKARRCWSPELHRQFVAALHQLGGPQVATPKQIREVMQVDGLTNDEVKSHLQKYRLHNRRSPGVAPVSQSIMLVGGLWVPQEQTSSQSGSPQGPLQFSGSGMAVSAATVGGDGSSSDEDDKSDESYSRK >KQK89337 pep chromosome:Setaria_italica_v2.0:IX:24505754:24506666:-1 gene:SETIT_038244mg transcript:KQK89337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNCLLNGDPMIPEYDQWCSPEPIRQLKKGFRCQKVTIKDTSLHDDAWNCCTKGCRCQRYHYAYLDYIKHIRVSAVTLVKPCVCLLGLF >KQK90174 pep chromosome:Setaria_italica_v2.0:IX:40395585:40396604:1 gene:SETIT_038442mg transcript:KQK90174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCTSLLCWSIKNCINAELLTLLFFFSPLGSVPSKVCDVYRNEILRRSPRFLFIVSIFEV >KQK87943 pep chromosome:Setaria_italica_v2.0:IX:10332227:10333082:-1 gene:SETIT_039776mg transcript:KQK87943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEVVGVATGVGPGVTKFVSGDTVGVGYFVDSCRACDSFRGGHGNYCPGVVLASNGVDRDGAATQGGFSDPTPSSTTSATSSGSRGACPPNAPRCCSAPASRCTPHEAMTQYGLNASSGKRLGVVPSSGSGASATWPSSPGKRVEALGCLCADEFLVIARRRTPWTASSTRSRRGTRSRRCWKMSVGAPNEPLELPTHAIIQGGKRVVGNVVGSVGDCQAMLDFAGSTGITADVEVVGMGYVNTAVERLGRNDVRYRFGVDVAGRLGAAA >KQK91358 pep chromosome:Setaria_italica_v2.0:IX:49433465:49433772:1 gene:SETIT_040436mg transcript:KQK91358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDDRFAQSRIILHVQRHRVIGTRSPTWKETRASLYTDRTKIRT >KQK87690 pep chromosome:Setaria_italica_v2.0:IX:8698692:8699224:-1 gene:SETIT_040595mg transcript:KQK87690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWGFRAIKMELSTNRRATSEPVAVCPHRPTTIGGTLVVVARNLPWHRLAGAPPVCLSAMCAPGTTALPSGCFSFASSDHLTSFHKSPV >KQK91387 pep chromosome:Setaria_italica_v2.0:IX:49537686:49540557:1 gene:SETIT_035919mg transcript:KQK91387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGVRLLVVRLHDVAHTTTQDTSPQGKGERAQIQIPGGPTRALSSRIQPYTTLPPRAALRLLSPQPQPNNKPQEEESIRPMPTSVATHASLLLKAAAAKPFFTPRAAAARIPPPPPTPRPSPRVPAAGRLPPTTAVTSAGAVGAGRWFRWQSARGLCAAPHSGGGAGVEGMGSDAGVVGARRRRAVNGLAKDVPAVNGMSKEDPAPLPPPPRLLTLPTVLTIGRVAAVPLLISTFYMEGPWAATATTGIFIAAAITDWLDGYLARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLEGSLLRDGPWLLTVPSIAIIGREVVT >KQK91389 pep chromosome:Setaria_italica_v2.0:IX:49537686:49541873:1 gene:SETIT_035919mg transcript:KQK91389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGVRLLVVRLHDVAHTTTQDTSPQGKGERAQIQIPGGPTRALSSRIQPYTTLPPRAALRLLSPQPQPNNKPQEEESIRPMPTSVATHASLLLKAAAAKPFFTPRAAAARIPPPPPTPRPSPRVPAAGRLPPTTAVTSAGAVGAGRWFRWQSARGLCAAPHSGGGAGVEGMGSDAGVVGARRRRAVNGLAKDVPAVNGMSKEDPAPLPPPPRLLTLPTVLTIGRVAAVPLLISTFYMEGPWAATATTGIFIAAAITDWLDGYLARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLEGSLLRDGPWLLTVPSIAIIGREITMSAVREWAASQNSKVLEAVAVNNLGKWKTATQMTALTLLLASRDPSLPAQGALVTPGVVLLYVSAGLAIWSLVVYMRKIWRILLK >KQK91388 pep chromosome:Setaria_italica_v2.0:IX:49537686:49541044:1 gene:SETIT_035919mg transcript:KQK91388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGVRLLVVRLHDVAHTTTQDTSPQGKGERAQIQIPGGPTRALSSRIQPYTTLPPRAALRLLSPQPQPNNKPQEEESIRPMPTSVATHASLLLKAAAAKPFFTPRAAAARIPPPPPTPRPSPRVPAAGRLPPTTAVTSAGAVGAGRWFRWQSARGLCAAPHSGGGAGVEGMGSDAGVVGARRRRAVNGLAKDVPAVNGMSKEDPAPLPPPPRLLTLPTVLTIGRVAAVPLLISTFYMEGPWAATATTGIFIAAAITDWLDGYLARKMQLGTPFGAFLDPVADKLMVAATLVLLCTKPLEGSLLRDGPWLLTVPSIAIIGREITMSAVREWAASQNSKVLEVPLSFISLLFQCLN >KQK86217 pep chromosome:Setaria_italica_v2.0:IX:801603:808768:-1 gene:SETIT_034161mg transcript:KQK86217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLDPDSSSSPPPPAAQHRDWFFPPAPPFLPSSRARTPRTPFPFTYRSSKPYSVYSLPDRRPPPTPRSRSRSPHPPPEQQQQQAPPPPPAPRRRDPRYAGVRRDDARTAASEKAAPPTAALVHGRKPAASAITPRWSGVLSAAVILPCLVSLLRRNFSLHDEVYHLQEQLAVATTKLQSCIIGSSSDMSDISFLHQDDNVAMPNRSLKNFSLLISLSVLYAPLVILKYIDLVSKIRSSPDSEEVPINKRFAYRVDIFLSLQPYAKPLVLLVATLLLIALGGLALFGVTDDSLSDCLWLSWTFVADSGNHANAVGFGPKLVSVSISIGGMLVFAMMLGLVTDSISEKFDSLRKGRSEVIEQSHTLILGWSDKLGSLLNQIAIANESLGGGTIVVMAERDKEEMEADIAKMEFDLKGTAVICRSGSPLILADLKKVSVSKARAIVVLAEEGNADQSDARALRTVLSLTGVKEGLRGHIVVELSDLDNEVLVKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPKLDGMRFEDVLISFPDAVPCGIKVASYGGKIILNPDDCYVLQEGDEVIVIAEDDDTYAPAPLPKVKEAVYIDIVHPERNPQKILLCGWRRDIDDMIMVLDAFLAPGSELWMFNDVPEVDRERKLIDGGLDFSRLDNITLVHREGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQAKRLPYKEAMVSPVPRGTFSEGAWIGEMQQASDKSVIISEILDPRTKNLMSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEQGNEMQIRPSDLYLRDEEELNFFEVILRARQRKEIVIGYRLEGAERAIINPTDKVSRRRWSPKDVFVVISEKE >KQK88292 pep chromosome:Setaria_italica_v2.0:IX:12955774:12956571:1 gene:SETIT_037104mg transcript:KQK88292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLQAAFLLVLLLSASSSAAGSSAAAAAMDAPAASCSGAGTFSAGGAFEGNLHRLTSLLEAKAPAVGFEIGTVGERGDRVHGLALCRGDVARAACAECVRAAASHARRVCASRTDAVVWLDACTLRYSARLFFGEVDRDHRAFAPDTTIALRAAAERSAELDRDVAGVLRRLTRTTYLSPLLFAAEEARTSASTAAGAGPRRLRAMAQCTKDLSGGDCKACLEAAIGQLVARGCSPEGGRVLGGSCSLRYELSPVFDS >KQK91562 pep chromosome:Setaria_italica_v2.0:IX:50550575:50554439:1 gene:SETIT_036639mg transcript:KQK91562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAAGGQGGGGMDNALLDDIIRRLLEVRTARPGKQVQLSEAEIRQLCTASRDIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNTLPVAALIDDKILCMHGGLSPDLTHLDEIKNLQRPTDVPDQGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKNKFMGSNKM >KQK91561 pep chromosome:Setaria_italica_v2.0:IX:50550575:50554439:1 gene:SETIT_036639mg transcript:KQK91561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAAGGQGGGGMDNALLDDIIRRLLEVRTARPGKQVQLSEAEIRQLCTASRDIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNTLPVAALIDDKILCMHGGLSPDLTHLDEIKNLQRPTDVPDQGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKNKFMGSNKM >KQK91560 pep chromosome:Setaria_italica_v2.0:IX:50550575:50554439:1 gene:SETIT_036639mg transcript:KQK91560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAAGGQGGGGMDNALLDDIIRRLLEVRTARPGKQVQLSEAEIRQLCTASRDIFLSQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTECFNTLPVAALIDDKILCMHGGLSPDLTHLDEIKNLQRPTDVPDQGLLCDLLWSDPGKDVQGWGMNDRGVSYTFGADKVSEFLEKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAERKNKFMGSNKM >KQK90018 pep chromosome:Setaria_italica_v2.0:IX:38602965:38603660:-1 gene:SETIT_039295mg transcript:KQK90018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQDNSIVIECKLTLIHIEIPPPEMAQQFGMLLDIGRESFHAHKLVLAVRSPVFKSQLIGGFMRDKRMQCLQISDMQPSVFKALLHYVYTETLPNMDGADRGNRYEMLCHILEVADLYAIERLKNIRERMLWMDLDVENVAMTLALPEQQHCKHLANACLEFMEVPEKMDAVVATKGYNEIKRDCPSLLFKLWERRVRARRSMD >KQK88430 pep chromosome:Setaria_italica_v2.0:IX:14167438:14170528:-1 gene:SETIT_039418mg transcript:KQK88430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVFCRLREEVIRKCRGVPFIAVCLGNRVQQENDRSKWTAILHEENWDSTGHLIGALRLSYTQLDSHLKPCFAYSSIVPQNFLFEEEWLIQHWMAQGFIQPNTSTDETIEDIGRSYFRSLVGRSFFQRAHVDRTGERQSYSLSEMMQDLARHVSGEDCKCNTIIQGPYNIPEKVRYLTVVFNMPASQDMFEVISGGKCLHTLIVVGGSERFELKIPTDIAKRFIRLRALDLSNFCVTELPESIGELKHLRCLQLRNTKIRRLPESVCDLYSLQTLGLTNCYNLEELPRKIKNLRMIRHIDLVMARNVCSLEGMPKGIGLLDELQTLSRFVVSLDTHRGSIAELADLNKLCGELLISNLHLVESVQEAVLAKLASKQRLQKLELSWSHSNKNAEEILERLKPPTNVKELTMSGYTGMACPSWLGSAQYTNVVTVCLYDFKRCTELPPLGLLPALKNLHLKGWASLVSINCSIFCGRSTPSFPSLKKLHLERMDRLQLWDGDERCAFPSLLELILENCCRLKQVTHSLPSLAKITVEGSLHFCGFRRYPSLKHVNVNASGRFHTSLQRLEISQCEQLVSIPEDWPPCNLIHFSVRDCPKLRELPRGIRRLKALEDMEIIACGQLTCLPEMGGLISLLRLEISECGLIQSLPDMGLPSSCLDSDYIWELLMPSRLRRQYYSLTVSQHRTATTTERLSIEGSVGVQFSPSFL >KQK91415 pep chromosome:Setaria_italica_v2.0:IX:49675630:49677313:-1 gene:SETIT_039281mg transcript:KQK91415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAETALPAWRALVDDARGLLLPHELRGIFINYTDYGEPLLLARPSPGGFPRISGDLSYVPGFGHSYTHFINHCNGLLLCYGEFGYDDYYVVNPATRRWESFSSPRVNVNGRGDHAAYLVFDPAVSPHCEVFWIPYLSRPEEAVRPENVPAATFSLSGLFSLAMEGTDEEEEPYDPYGLMEWPQSPCTLHVFSSRTRRWEERSFVREGEPVGMAKDARWDHFCVQYGVYWQGTLYVHCPGGFVMRFSLKLGKYRVIKTPEDIGERHAQRYLGRSIGGFCQTRMHSEVLLEEHFEWDSDDDCIVQYEDGDVDEDIPIYILGFHPYKEVAFLMVSFVAVASHLSTSKVQWLGKSLPIYYDSWSKGVEEAFPYTPCMIGDLLNHYETSSED >KQK86444 pep chromosome:Setaria_italica_v2.0:IX:1898772:1902675:1 gene:SETIT_034739mg transcript:KQK86444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPSGRRRRWARRSCRTGGGAGVVRRTVAVTANRASTAPPRVAEGFAHVDKQRRVHGVLSDFRYSSGSTDYLSSDIGEFKHKSSYGSSRRCHPSERNITETSHVQPSVMTELDGFHSSGAVLVDSTFIPRLRENKTSSNIELCHTSSTVSGLSIRPKYYLNNPSSNLKNPPTVKSCSDMSDFSHQLVRSVKRSASTKMGLTSANSSLSEKMSLLRQPRYGGNHQDQNCISTLNRRHKIVNPRGVNDLLNTEEVHDQLDYSLGGYSQALLNNALVREKQMYCSTVLNQKATEELWSPADSESEKIVCFSSGDSIDDLQVSSSSDTSDSSNLSSLGAVANNQWKMTFKKVYCPHAARLDSTSVIYRKEIGQASPISVLEPPSEDSSDSENIRREPADLYDLQLRLELGTFAPAETAAEASSVGRTSDYLSSEVESNNNEPTQLVENILEEFEDEEERDFSYLLDILIASGIHGTAEDQLYKVCQSLDCPASYDVFEKLENKYKVVTWSRSDRKFLFDMVNTVLSQILAPCLNMQPWVNTARNLAPLWGSEGLLEKVLQVLDQRREELAPSKTKPEMKRFDQKWPDLADGIDRVGRDIEKLIKDDLLEELVLELLSS >KQK87618 pep chromosome:Setaria_italica_v2.0:IX:8265658:8267893:-1 gene:SETIT_035385mg transcript:KQK87618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVLVVPFPAQGHMNPMVQFAKCLASKGVATTLVTTRFIARTSAVDARPAAVEAVSDGHDEGGFASAASVGEYLEKQTAAMSESLAALIEERASSSPSAAAQQRFTCVVYDSYEQWVPPVARRMGLPAVPFSTQSCAVSAVYYHFNQGRLAVPPPQAADGGDGGGGVPRSKALEGLPEMERSEFPSSVFGDGPYPMIAESALKQFAQEGKDDWVLFNSFEELESEVLAGLTNYMKARAIGPCVPLPAAGTGATGRITYGANLLNPEDACIKWLDTKAPGSVAYVSFGSFASLGAAQAEELARGLLAAGKPFLWVVRATEEHDLPRHLLDDPAASGAAMVVRWCPQLDVLAHPAVGCFVTHCGWNSTLEALGFGVPMVALGLWSDQPTNARYVEAAWGAGARARRDDAAGVFPRGEVERCVRAVMDEGEGAAAMRETAGKWRDRARAAVAPGGSSDRSLDEFVEFVRAGAAAEKWKALVLEGSEPEGSEM >KQK88820 pep chromosome:Setaria_italica_v2.0:IX:17410387:17415791:1 gene:SETIT_035827mg transcript:KQK88820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRERPPLLALARFFSFITQMRGLINLGWGFHGPAGGCIIHPAPLVITRTSAHAPLRSLTCRAEPLNSAFPPCSASRFPIVASPCPPRPRSSPPLAPRRPRFTACTSAPPRPLPSASPVSAPAPDTGALPWPPRRMHPSPPTRFPRNPTAKTILELVRSYDGDHICYDHLAFRTFGVDGYGINSLAEFFTDFGYLPREELRFPAKKLRAIWFSPPTNDGTGTGIYGPLPRIFISELLVDELSAQSQEIIHKYIRTSGKGNKHAALASISGELTWETPIYSDFQVLSRESEYAAWTLVNGYALNHATISTHRLESDIRNINKFNKFVEVNGFKLNSEGGILKVSPDGLLQQSSTVADSSLFTFADGKTESIPRSYIEFAERLRLPQFKDLQDEEVKEHHRRDGFEVGNADKIFESTSKDQLTRRSA >KQK87899 pep chromosome:Setaria_italica_v2.0:IX:10036083:10040091:-1 gene:SETIT_034634mg transcript:KQK87899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNHAARILRRRVAEEGLEGAVHQVNFWLVKPCLYDFAASQQAPLPPVADSCLLTTLKCDGAGWGMRRRVRYIGRHRDEAPKEAGVDGCNTEVSVREEQQRPGTQEVTRSERNCKRKREAEGSSKDKHRDDGNTNRKVQGGSKKKAKNRTVESRDGDPRHGKDRWSAERYAAAERSLLDIMRSRCARSGAPVMRHVLREEARKHIGDTGLLDHLLKHMAGRVPEGSVHRFRRRHNADGAMEYWLEPAELAEVRRQAGVSDPYWVPPPGWKPGDDVSLVAGDLLVKRQVEELTEEVNGVKRQMEQLVDKDGNFDAERAYSSLKEKYRSAVRANEKLEKQVFSLKDMCENVFQMNGELEEEVLSFKDKYEHISDKNDKLEEQITYLSSSFLSFKDQLVLALKTETTRQLGLAPSDGNQEAAPRTTVYLASDDQMTPRADGTVIQGVPDKPATATARKSSFRVCKPQPQGTFLIWPSMASGTTISGGASSSCPTAAATPGPGILRGTGSAGPGLPRPSRAPGIEEAASSSGLDEHLMLGALFSTPPSASSTYAAAKLQLSFPSPRSPLQPQKLFGTAAAAGFSPQKLLHFSGLTRLHVDTSSPSSGACGASLLEGKRALFNADAGGISVSVVGTELALATPSYR >KQK90660 pep chromosome:Setaria_italica_v2.0:IX:44283932:44287573:-1 gene:SETIT_035314mg transcript:KQK90660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDPTTKPPSPRPRRRRRLCGLCLGTALLALLVSALVHAVAPPRGRRAPSSACFSVIIDGGSTGTRAHVFAAGPDGRPDLARSAVMRVTPGLSSFAADPARAGESLRPLIEFAREKIGAAAAESEVRLMATAGLRLLEEHAQEAILASCREVLRASGFRFEDAWAKVIPGSDEGIYAWVAANYALGRLGGDPNKTVGIIELGGASAQLTFVSDEVLPPELSNNFIFGETTYTLYTNSFLNFGQNAAQDSFHEILRSRGSSKNNTLVDPCAPRGYSRNEEVMVRTSGSSRSTLENQYVDSGNGNFTECRSSSLLLLQKGKEKCQYEQCHLGSTFVPELRGYFLATENFYFTSKFFGLKKSSSLSDFVLAGEQVCNQDLSTLRKKYPNRSDEDFSRYCFSSAYIVALLHDSLGVPLDDKRIEYSNQVGDIQVEWALGAFITLMQHLSLKPSHTAAASTHSNRPLFAVVGMFLLCGAFLVSRWRKPKTKIIYDLEKGRYIITRIS >KQK89874 pep chromosome:Setaria_italica_v2.0:IX:36620407:36621187:-1 gene:SETIT_040090mg transcript:KQK89874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NRAAGCRDRVTFKVGARAPHCLLPSVILGVSSQRRPTGARPRIAAAAWGVIRAAALSRAESLVPSRGFVSARHPFSPHVLASFPVEEASKGPEAHAPAWRRLTPRPKPTRGREGEEPLPLADPGARAQLPARVSSLLRRVCATSAPTLSGPFRYPPPPTNHRRVASFPPPARPDSPRLASPSRQKKPGCSRHPKPQPPPLRPPTPRRRPRSARTPHSLASPPPPALPPLCGEGSCEGGPHFLGGTAGGGIVPHCGAARLV >KQK88691 pep chromosome:Setaria_italica_v2.0:IX:16319431:16319811:-1 gene:SETIT_040507mg transcript:KQK88691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCYASVACSKACRKCTWGVLYTKASMLHMCVSIPCMLHQ >KQK92363 pep chromosome:Setaria_italica_v2.0:IX:55144941:55147897:-1 gene:SETIT_035825mg transcript:KQK92363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKSYLVSRSVPSSCEAETEWAYLANEVLSGKRPAPEDVGVEGLDEADGGGKRSKPPSPQPHTPDITEGHGSSRHASGGKEQQATGSNPIHSIGRDLTINCLLRLSRSDYGSVASLSRDFRSLVRSGEIYRLRRQNGVAEHWVYFSCNVLEWDAYDPYRDRWIRVPKMPPDECFMCSDKESLAVGTELLVFGMARIVFRYSILTNSWSRADAMNYPRCLFGSTSVGEKAFVAGGTDSLGTILSSAEMYDSENHTWTLLPSMNRARKMCSGVFMDGKFYVIGGVANNNKVLTCGEEYDLKRCSWRIIENMSEGLKGVTGAPPLIAVVNNELYAADYSEKDLKKYDKKNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTYTGGTIELNSWIPNDRPPVWNLIARRPSGNFVYNCAVMSC >KQK92364 pep chromosome:Setaria_italica_v2.0:IX:55145800:55147083:-1 gene:SETIT_035825mg transcript:KQK92364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKSYLVSRSVPSSCEAETEWAYLANEVLSGKRPAPEDVGVEGLDEADGGGKRSKPPSPQPHTPDITEGHGSSRHASGGKEQQATGSNPIHSIGRDLTINCLLRLSRSDYGSVASLSRDFRSLVRSGEIYRLRRQNGVAEHWVYFSCNVLEWDAYDPYRDRWIRVPKMPPDECFMCSDKESLAVGTELLVFGMARIVFRYSILTNSWSRADAMNYPRCLFGSTSVGEKAFVAGGTDSLGTILSSAEMYDSENHTWTLLPSMNRARKMCSGVFMDGKFYVIGGVANNNKVLTCGEEYDLKRCSWRIIENMSEGLKGVTGAPPLIAVVNNELYAADYSEKDLKKYDKKNNKWITLGKLPERSVSMNGWGLAFRACGDRLIVIGGPRTYTGGTIELNSWIPNDRPPVWNLIARRPSGNFVYNCAVMSC >KQK86579 pep chromosome:Setaria_italica_v2.0:IX:2759686:2760366:-1 gene:SETIT_039119mg transcript:KQK86579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPSSSASLLLLLLSAATLAATCLADPEPVQDFCVAAQRGSDATASLAFPGLPCKPASTVVSDDFFFAAHARAASTDNPAGAGVTPGNVEAFPGLNTLGLSLNRVDLAPGGVNPLHTHPRAAELVHVEEGEMLVGFVSTDGRFYSKVVRAGESFVIPRGMMHFQYNVGAAAARAMTVFNSQLPGSLFGAEPEVPDAVLAKSFQVDAEIIKLLKSKFRKG >KQK92024 pep chromosome:Setaria_italica_v2.0:IX:53292389:53297275:1 gene:SETIT_034963mg transcript:KQK92024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHGWQLPYHPLQVVAIAVFSALGFAFYVFFVPFVGTKPFQIVAMAIYTPLITCVVVLYIWCAATNPGDPGIFSSTKDLKLHKHEKHSNVNSDQGISHGGRPLSEAFGTADNSEKLSSMLERKDSPSWPRFSGILCLVLKNSKHCRVCDKCVDGFDHHCRWLNNCIGKRNYKGFFVLMASAVILLVMQWLSGALVLILCIVKRGDFSRQIVTKLGSSFSTVAFLIVVATCTILAMVATIPLAQLLCFHILLIKKGISTYDYIIALREQEDQQEVPGHQSPQMSIISSVTGFSTASSFGPLHRGSWCTPPRLFLEDQFDVVPPEIGMSQNSGSKKMKEEEVGRRKPGPVKISPWTLARLNAEEVSKAAAEARKKSKILKPIAKYDASDNGSKPDHKLSNKRRPDRRGFPAELSLDPLATLSASGNESNFSDAAMEISGSLAPLQLEARSAFQPSTAGSARNIVSSPESSFDSPDLHPFRISSSTAEEMQGVISHSAHKGIEFTRSSSDGYEASGGEDSDCIPSRIVHRSSNWDNVILNAGQGGPAVDLHMQSSEGFVTNLK >KQK87977 pep chromosome:Setaria_italica_v2.0:IX:10572887:10577515:1 gene:SETIT_035867mg transcript:KQK87977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAVAPTPPAAAFPMAPPPAYPAAATAAAPAEGHEDDLYGRLKSLQRALEFVEIQEDCVKDELRNLRREEVRMKEEVKRCRATPLEIGQFMEMVDADHGIVAPTSGGSFYVRVLSTIGREELKPSASVALDRHSHALVDVLPPEADSSISLLGSAEKPNVTYNDIGGCDIQKQEIREAVELPLTQHELYKQIGIDPPRGVLLFGPPGTGKTMLAKAVAHHTTASFIRVNGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNMSDEVDLEDFISRPDKISAADITAICQEAGMHAVRKNRYVILQKDFEKGYRTNVKKPETEFDFYK >KQK87482 pep chromosome:Setaria_italica_v2.0:IX:7513646:7514831:1 gene:SETIT_037910mg transcript:KQK87482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSIELPKEYGYVVLVLVAYAFLNLWMSLQVGKARRKYKVSYPTLYAVESENRDAKLFNCVQRGHQNSLEVMPLFFAMLLLGGLRHPAAGAGLGALYTVARFFYFKGYAAGAPRNRMSGVRLSMVAGAGLILCTASFGISLVVRETL >KQK87479 pep chromosome:Setaria_italica_v2.0:IX:7510833:7513625:1 gene:SETIT_037910mg transcript:KQK87479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARKKYKVFYPTMYAVESENKDAKLFNCVQRGHQNSLEMMPMFFVMLLLGGLQHPTIAAGLGVLYTVARFFYFKGYATGVPDNRLKIGGLNFLAVFGLIICTASFGINLVIRETL >KQK87481 pep chromosome:Setaria_italica_v2.0:IX:7510833:7514831:1 gene:SETIT_037910mg transcript:KQK87481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARKKYKVFYPTMYAVESENKDAKLFNCVQRGHQNSLEVMPLFFAMLLLGGLRHPAAGAGLGALYTVARFFYFKGYAAGAPRNRMSGVRLSMVAGAGLILCTASFGISLVVRETL >KQK87480 pep chromosome:Setaria_italica_v2.0:IX:7510833:7513625:1 gene:SETIT_037910mg transcript:KQK87480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVESENKDAKLFNCVQRGHQNSLEMMPMFFVMLLLGGLQHPTIAAGLGVLYTVARFFYFKGYATGVPDNRLKIGGLNFLAVFGLIICTASFGINLVIRETL >KQK87478 pep chromosome:Setaria_italica_v2.0:IX:7510833:7511860:1 gene:SETIT_037910mg transcript:KQK87478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAIELTKEYGYVVLVLVAYAFLNFWMSFQVGKARKKYKVFYPTMYAVESENKDAKLFNCVQRGHQNSLEMMPMFFVMLLLGGLQHPTIAAGLGVLYTVARFFYFKGYATGVPDNRLKIG >KQK90406 pep chromosome:Setaria_italica_v2.0:IX:42425156:42425708:1 gene:SETIT_040089mg transcript:KQK90406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAFKNQDTSQARRITPETRFFGWWRRTFLAVQKEMRKGLDSLIILVTWEIWKHKNDCVFEKVRPTIQEVLRAISNEGGLWCMAGASRLQELLSRSPPLGV >KQK86945 pep chromosome:Setaria_italica_v2.0:IX:4615955:4616241:1 gene:SETIT_040666mg transcript:KQK86945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWHRYLTKRKFDCTMILKPTYLPFMDIMFSI >KQK89960 pep chromosome:Setaria_italica_v2.0:IX:37742649:37742987:1 gene:SETIT_040391mg transcript:KQK89960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFMVNLHCILRKWIGNYMIMKSCGVIVS >KQK86128 pep chromosome:Setaria_italica_v2.0:IX:402156:403784:-1 gene:SETIT_035206mg transcript:KQK86128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKIKTVVVLVQENRSFDHMLGWMKKSINTDIDGVTGDETNHVDASDPSSRAVRFSDRSQYVDPDPGHSIQAIYEQVYGVAFADAAATPITPPGVPAPPMSGFVQQAEKEKPGMADTVMSGFLPDAVPVYRELVREFAVCDRWFASVPASTQPNRLFVHSATSHGLVSNDTKQLVWGLPQRTIFDNLHDQGFSFGIYYQYPPSTLFYRNLRQLKYAGNFHPFDLDFRRHCREGKLPNYVVVEQRYFDLKILPGNDDHPSHDVAEGQRFVKEVYEALRSGPQWGEALLVITYDEHGGFYDHVPTPAAGVPSPDGIASAPPFCFQFDRLGVRVPALLVSPWIEPGTVLHGPPSGPYPTSEFEHSSIPATVKKIFNLDGFLTRRDAWAGTFDSVLTRDTPRTDCPVTLPEPVKLRRAAAVEHAPLSEFQEELVQLAAVLNGDHTKDSYPNKLADSMTVAEAARYCVDAFRAFLDECDRCNKCGEDGSHIPTVTPSSSPEKNKSSFASKVLACLACGHSSS >KQK89488 pep chromosome:Setaria_italica_v2.0:IX:31818425:31830595:1 gene:SETIT_034003mg transcript:KQK89488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSSSLKPFTLITALTSLLSFRNSDIQVAAARALSVLCLIAYKAQPQLMENVSFTGDVSEIQRLQATISSILDEEEKTNDCLVVAVFNLLTSAARYQPAFLNSLMEQSMKSTDHNSSTNNQNDGSSVLTSKSNAGLVDQILDYIVRSIELMNRSPSVLLSILDLLKALWESGIQFLFVLEKLRSSITFWDNLSRCIRATLDICPVDCIAAVDENFSLRYHCQGKIFEIMSHELFLQGKLLAETSNPAPNGSKGQKEHSAPCRSSVVLKWFDTAILDDLISHLSSNAYNKKLLHRAKVAACLCTIHLITKLSTGDTGSLSFSVVKKIQIISTKLSQHHSFSALQSQYCQHGYSGEQELNNLIINDLYHHIRGELEGRQISSGPFQELLSFLLEFKLFEHDPLEQLQNTCPVANANFLFNVEHIHDELGVDLWISSDRKSSKEVAEEMLDIMHKSNLMKCYADAKLSTLKSFLTFLSVYTGASSNKNLDLPDGGISTATTQSAVKCACKSFQSTVDSLLPQVDTNEVLFPLLSGQVELLLTLARILFDQAKQNKKSSHLYPDIVLLMKTSVASTSFLVDLLSSTHALKQPVKALLVLLLSSYEFMYSKVDIKDLPDNVNIFGELAVLSVSLLPVLCKLAENREFSDLAVASMDLILKGFVPSEVCVPILQKHFHLQAILHRCQHGGLLSTQVILNFLLTLGRTKDGATVLQSANIFAFLKVLLSQLSLDDSCLRNSLSAQVKDVNQWGLGLAIVASLNHCLDDDISRNNVANSTISFLSGQVPLMSSYLSAQSVTAHQNKKRALSQKSQTSLSTLSLTENILILLCILAKYHFPRDTGKKEVDSELREIIIHLLAFVSKGSVKASSSSNWNSSFFCPAVVKEELALNEKPPHIRSKHGWFKFAASCTLSTSGASVSASTALPLVIRDKSSGDSDSVRQTRFTEMLAVQIYRIAFLIMKFLCSQAKEAVKRAEELEFLDLAHFPELPMPDILHGLQDQVVSIVTEVFEANGSSTLNPETERVCHLLLVTLEMSLYMELCVSQSCGIRPVLGRFEDFCKGIKAMLQAIEKHSSFKALARSLTQITTLLYPGLVQTNLFM >KQK92353 pep chromosome:Setaria_italica_v2.0:IX:55071803:55072174:1 gene:SETIT_039122mg transcript:KQK92353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPLAVFPLGVALLLLTAAALPAPSRGEWAPVADTNDLVIRQVGQFALLVYGLAHHRDLAFAGVVRGQTQDAVGGGTNYRLIVAAARPSDGTTAKYDCLVWGVPGSRSDTWKLRRFRKLAGY >KQK91093 pep chromosome:Setaria_italica_v2.0:IX:47744418:47747088:-1 gene:SETIT_036669mg transcript:KQK91093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGLPGLRCCHLPARGSLPPLGPALPRRPLPRTSALRYSSLQAQAGDSIGEEVLRMFLEERQLHGDFVTKISDMVWRRNGANVDAVEATAVQGNAADVAQPEDVQEDVADEGVLRLAATRDWVSGESSLPVSKRRSAKDRQNESDKRKELNLLKYEALKDELLLLTTGIGAACSLYCLLVFSLKTAVSYAFGVGFSCLYLQLLCQHTDNLSKEDIPEVFLKKKVKKIGITSEDLKNTIEKTLGGAGVALSSPRLVIPAVIFGLSALSDHFQNSFFSFEVLPGMMGFLAYKAAALVQVYRDNEDLRLILPEEDAGSDNA >KQK86445 pep chromosome:Setaria_italica_v2.0:IX:1904032:1905485:1 gene:SETIT_036958mg transcript:KQK86445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKANHPRALSLVPILSQLPRPAPYASISFICYPACVRSVPSWCATMAVSELAVDGVVFPPVARPPGSARPHFLAGAGVRGLEIGGNFIKFTAIGVYLEEDAAVSALAKKWAGKSADELASDVAFFRDVVTGDFEKFTRVTMILPLTGEQYSDKVTENCVAYWKATGVYTDAEGAAVDKFKEAFKPETFPPGASILFTHSPAGVLTVAFSKDSSVPEAGGVAIENRPLCEAVLESIIGKHGVSPAAKLSIAARVSELLNGAETSPAGDAQQAEPVPVSA >KQK89306 pep chromosome:Setaria_italica_v2.0:IX:23596859:23598235:1 gene:SETIT_040280mg transcript:KQK89306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLTAALKFTVRRRPAELVAPAAPTPRELKLLSDIDDQEFVRLHVPAILLYRRNEAMVGRDPVQVIRDAVARALVHYYPLAGRLREVDGGKLAVDCTGEGVLFIEADADVRLEHLGEPLLPPFPCLQELLFDVPGSSAIVDAPLMLFQVTRLACGGFVLALRVNHTMADGLGMVQFGAALAELARGALAPTVRPVWDRELMMARDPPLPSFAHREYDEAQGTDDTVTSLDDLAHRCLFFTPRDVAALRDLLDPPQLRGSATTFDVLAGCLWKCRTVALAPDANAEMRMICVVNVRGIRTPRGGGGIPRGYYGNAAVGAVAVSTAGALCANPLGYAIELVKKAKEEVDMEYIRSVADLVVLRGRPPVSFVRTYMVSDVRKAPAARLDFGWGRPVYGGPAEVGGDLAWVVSYFVSVTDARGEEGIAVPVCLPRSAMERFAEEMGKLLQRPLVDVAVRRQP >KQK87246 pep chromosome:Setaria_italica_v2.0:IX:6259244:6259787:-1 gene:SETIT_038210mg transcript:KQK87246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYVEMLDMGVRVAARFHSHCPQTARMYYKPPQTQAATSSSPSADDAKAKSSGLHAAPVLRPFAAAADSGAGGGNRSAGFQFHDFDTAQVVVYQVI >KQK86879 pep chromosome:Setaria_italica_v2.0:IX:4255905:4258512:1 gene:SETIT_036811mg transcript:KQK86879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKPEEEEKEAPCMNGEVATEDDSSSGEVGVDEAEGEREFAAAMAQLAPEGVRALHARVEAEWGPVLQSACQTAAVRALWARTVRDPAAAVLAGERYLRGLHEKMRRDVRAGAREVHGVMIAVRTLWFDARIEAAVDALGGEPQVVILGAGMDARAYRLNCLKECTVFELDFPELLEMKSDLLHEAMSSANHQKLTLMAKSLIGVPSNIQDGDWVTKLQSCGYVPERNTIWVLEGIIYYLHHADAMQVLETIAASRSSACTVLLADFMNRMRHHSLQPCTISTMTPLSSCCLLLGSLR >KQK90084 pep chromosome:Setaria_italica_v2.0:IX:39467207:39467602:1 gene:SETIT_040208mg transcript:KQK90084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASPEFYKPAPPAFSPCSSPLLLHGAGAGVAPEESAAAAAATVWQEEDYRCRTPTSGESQVKPPGTCPPAPRKPRAPAAPAPCRKRLFEVEVFSLRLEELERLFWRPHPTPPPAQKKRRRVACPEPKKKR >KQK91337 pep chromosome:Setaria_italica_v2.0:IX:49323480:49327199:-1 gene:SETIT_034566mg transcript:KQK91337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQHGVGSPGRGGGAKARDMFSVYQNPSLTRALASRSARPSVPVLIVLAVLPVASASSLLALSSRAEQLVMFAGRAGVSVFVAGFVFKMVEAVLGLVALVTLLAFFRALILYNGKKALTKDDKVVLSERQLGLLGLKTAGSGGGMGEQTKRPPKTKPSTPSEPIVPIRKSSFSYTPSRPLGQSRIGSSHLSPGGERLTTALQMSPSTPLQKSVSSPSTPWSRKSSGSAKGIQTEAMLEQFLAGLDENIDKITDSETKTATPPATISSFGVATPVSVTTSTTPSGAARSTPLRPVRMSPSSHQKYSTPPKKGEGELPPPMSLEQAVEAFESLGVYPEIEQWRDSLRQWFSSVVMNPLVHKIKTSHTQVKQTTATVGASVTVSQVGSDLPSTTTPVTLSPLGGTKDWQPTVTVDEDGILNQLRSTLLRSRDAPVAQTFGSPQQPQQNPLLPAIQTCIDAITEHQRLNTLMKGELIKGLLPQSSVRADFTVQRVQELAEGTCLKNYDYMGHGNGYGKSEKKWISELPTDSHLLLYLFAAFLEHPKWMLHVDPTSYSGAQSSKNPLFLGVLPPKERFPEKYVALISGVPAIIHPGALILAVSKQSPPIFALYWDKKLQFSLQGRTALWDAILLLCHQINAGYCGVVRGIHIGSSALNLLSVIDSDMES >KQK86883 pep chromosome:Setaria_italica_v2.0:IX:4272991:4276040:1 gene:SETIT_036371mg transcript:KQK86883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPLLIYAFVARGKVVLVDHVDFRGSFSVVSDLVATAAQQLPYPGLGRTHAGSRNARKNKASCRICPHQELRLPRPGNPHRVSLLPPPRAPRLHPTISAATNPQPGSSTSLPLDPTPSHPPRRRRRGRSTMATLLARQAAQALRARQTAQLGPFASAMQGHLRTYFNAGTPKRFKEDEEKEQLAKEIAKDWNAVFERGINTLFLTEMVRGLSLTLKYFFDRNVTINYPFEKGPLSPRFRGEHALRRYESGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >KQK93043 pep chromosome:Setaria_italica_v2.0:IX:58464689:58466225:-1 gene:SETIT_036488mg transcript:KQK93043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEAVHVESTVPGSNSVPQDDFSQEQIQQSEKHSDSRSSELDSEQSVSTSSSTASGNSMQQEAETDLPWSRDISVTEADGHDSAFLHRDEEWHVIESQEEEPQWQLSRSFNSTRNRFSPPEDDVYGVELRELLSRRSVSNLLSSGFRESLDQLIQSYVQRQEHDPHDWDFEEGQRPSTGLLNEDPIEIRIDEPTRVGSENAPQPSTVLSDQAVQWQQYHNWSQQTMHRSELYIVKRRVCVWQEWDAIHVLRDELSGVQRGMSSMQQMLEACMEMQIELQRSIKQEVSAALNRSPLTMQGVCGHMCTCSKCASELLHGVGKCPLCRAPIVEVIRAYCIL >KQK86147 pep chromosome:Setaria_italica_v2.0:IX:466802:470438:-1 gene:SETIT_036939mg transcript:KQK86147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLTADLIWKSPHFFNAIKERELDLRGNKIAVIENLGATEDQFDTIDLSDNEIVKLENFPYMNRLGTLLVNNNRITRINPNLGEFLPKLHTLVLTNNRLTNLAEIDPLASLPNLQYLSLLDNTVTKQPDYRLYVIHKLKHLRLLDFKKVKQQERVAAAQKFHSKEAEEEAKKVPVKAFTPGQPDAQDTTEVQGPKVVAPTAEQITAIKAAIANTHTLEEAARLEKALSTGQVPAEFAMPKPDANMAEASEEADKMDTDGQNQDSEADGQKQDDESTPIQED >KQK92303 pep chromosome:Setaria_italica_v2.0:IX:54816929:54820558:-1 gene:SETIT_035235mg transcript:KQK92303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEDKKLSMLNKGTALNPNAEEFVPSSLRTVNDASRRSDAALVVSGPSKETSTDQPESIIRSNSDEEAHQYWQQQLPDDITPDFKVLGQDETSGPDSLTLTGLSINDGIGASMFSPNQTLSMQHRASPFIRDRLGTRPKIEFSGPAYLDERSQATIMSPTAGSMSPTAAPWVKTVRNGGQYNSSRRDAGHYNGDSSIGASLHNITDAYHGSKRSLSSTMDIMSHLENKVDGRLSQNLRSLSFGHSSPPSPASYGQNGLGNYNKEAFGLPNSPYRSHSAILTEDVVSPSTGREHVSLDAPRGRYKTANVPISGFGSSRGSQLLGGSYNGHHDMISTNTLQNIAGIQTGPAWLENDAAASAYLEPKDEVHDLASLRHAFLEQQDRQAFLTGNNPLAKDLTLKELYNMQSRLAQEKARETSYRQRFQMPELQGLIQEQNPPIDLCGLHVSEALHVLNYELNNRRKIVRSTGRRFQAIIISSARTPARLNAAVEQYLLEHGLHYTQAQPGLFRVLLQ >KQK92304 pep chromosome:Setaria_italica_v2.0:IX:54816671:54821981:-1 gene:SETIT_035235mg transcript:KQK92304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEDKKLSMLNKGTALNPNAEEFVPSSLRTVNDASRRSDAALVVSGPSKETSTDQPESIIRSNSDEEAHQYWQQQLPDDITPDFKVLGQDETSGPDSLTLTGLSINDGIGASMFSPNQTLSMQHRASPFIRDRLGTRPKIEFSGPAYLDERSQATIMSPTAGSMSPTAAPWVKTVRNGGQYNSSRRDAGHYNGDSSIGASLHNITDAYHGSKRSLSSTMDIMSHLENKVDGRLSQNLRSLSFGHSSPPSPASYGQNGLGNYNKEAFGLPNSPYRSHSAILTEDVVSPSTGREHVSLDAPRGRYKTANVPISGFGSSRGSQLLGGSYNGHHDMISTNTLQNIAGIQTGPAWLENDAAASAYLEPKDEVHDLASLRHAFLEQDRQAFLTGNNPLAKDLTLKELYNMQSRLAQEKARETSYRQRFQMPELQGLIQEQNPPIDLCGLHVSEALHVLNYELNNRRKIVRSTGRRFQAIIISSARTPARLNAAVEQYLLEHGLHYTQAQPGLFRVLLQ >KQK91134 pep chromosome:Setaria_italica_v2.0:IX:47999701:48001043:-1 gene:SETIT_039486mg transcript:KQK91134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLALLLALLAATAISCGHAAASAVRMQLIHTDAGRGLTPHELLQRMALRSRARAARILAEAAGAQVTPGEIAGAVPDTEYLVHFSIGTPPQPVQLTLDTGSDLTWTQCRPCPSCFDQALPYFDASLSSTFAGVLTCSSSPCQALPLTSCGTDSSSSGNETCGYAYSYGDGSVTVGLLVVDTFTFVADAAAALAFGCGLNNTGTGIVVDMFKSNETGIAGFGRGSQLKADNFSYCFTAITGTTPSPVLLGLPANLFSSDPGTIQTITLIQNPARPSFYYLPLQGITVGSTNLPIPESTFAVTANGTGGTIIDSGTGMTSLPHDVYGLVLDAFVGQASLPVLNATSMSVSQLCFTVPTAGARPDVPKLVLEFEGATLDLPRENYMFEIEAAGVSATCLAVNDGGGAMTIIGNYQQQSMHVLHDLANNKLSFVPAQCDQV >KQK88618 pep chromosome:Setaria_italica_v2.0:IX:15533033:15536199:-1 gene:SETIT_037117mg transcript:KQK88618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIELEPEPEPEEATRPSPPVPEEQVATEAAGEAARPSSPPAPEEQAAAAAGGEAPRAEEGEEEDAFEDALTDEQLREKARSQANDAKAEGNKLFSAGQYEEALSQYEMALQIAAELESAEDIRSACHSNRAVCFLKMGKHDETIKECTKALELNPSYLKALLRRAEAHEKLEHYDEAIADMKKVIELDPSNQQAKRSLFRLEPLAAEKREKMKEEMIAKLKDLGNSVLGRFGMSVDNFKAVQDPNTGSYSIQFQK >KQK88617 pep chromosome:Setaria_italica_v2.0:IX:15533073:15536199:-1 gene:SETIT_037117mg transcript:KQK88617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIELEPEPEPEEATRPSPPVPEEQVATEAAGEAARPSSPPAPEEQAAAAAGGEAPRAEEGEEEDAFEDALTDEQLREKARSQANDAKAEGNKLFSAGQYEEALSQYEMALQIAAELESAEDIRSACHSNRAVCFLKMGKHDETIKECTKALELNPSYLKALLRRAEAHEKLEHYDEAIADMKKVIELDPSNQQAKRSLFRLEPLAAEKREKMKEEMIAKLKDLGNSVLGRFGMSVDNFKAVQDPNTGSYSIQFQK >KQK88750 pep chromosome:Setaria_italica_v2.0:IX:16824611:16831927:1 gene:SETIT_034088mg transcript:KQK88750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGHIFHCRKNSWPAEEYVGRTALQLLDFDGGAPPEQAWRRKLNSHANLLKEFSVTFMEAMRMMSLGLRLWSYVREEASHGRKAPIDPFTKERCRPSASQGLPLGGMGSGSISRGFRGEFKNWHIIPGLCESSPVMENQFSIFVSRDGGNKKYSSVLAPGHHEGLKKNSDSGISSWDWNLSGQHSTYHALFPRAWTVYDGEPDPDLKISCRQISPFIPHDYKDSSLPAAVFVYTLVNTGKDRAKVSLLMTWANSIGGFSHNSGGHYNEPFIAEDGVSGVLLHHKTAKDNPPVTFAVAACETQNVNVTVLPVFGLSGENHVSAKEMWNTMVQDGHFNRENFSAGSSMPSSPGQKLCAAVSASTWVEPHGRCTVVFALAWSSPKVKFQKGCTYNRRYTQFYGTSERSAVNLAHDALTKYKLWEEKIEKWQNPILKDERLPEWYKFTLFNELYFLVAGGTVWTDGQPPAIDDKANPGSNQQKSSKRGSKDTKTESVKDSHVNLTAEQVPDSGHMTNDDERSVSKFAAIHGSQMQEQTNGGLKSEEPIPYLISKDGPENVGKFLYLEGVEYIMWNTYDVHFYASFALLDLFPKIELSIQRDFANAVLYEDRRKVKFLADGTSGIRKAKGAVPHDLGTHDPWHEMNAYNIHDTSKWKDLNPKFVLQIYRDFAATGDMQFGRDVWPAVCAAMDYMDQFDRDSDGLIENDGFPDQTYDAWTVHGISAYCGGLWLAALQAAATMAHRLGDRHYAEKYKLKFIKAKAVYEAKLWNGSYFNYDSGTSSNSKSIQADQLAGQWYTASSGLPPLFDEHKIRTALQKIFEFNVMKVKGGRMGAVNGMTPKGKVDETCMQSREIWTGVTYAVAANMLLHGMEHQGFTTAEGIFTAGWSEEGYGYWFQTPEGWTTDGHYRSLVYMRPLAIWAIQYALSPPKAILEAPKVNLMDRIHISPHMVRAISEISIRKIAPDNRCFPSSAFHCEC >KQK90088 pep chromosome:Setaria_italica_v2.0:IX:39522681:39524222:-1 gene:SETIT_038833mg transcript:KQK90088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDAPSPEPHDPAATSDAGGGAGIPCWAEEVGGMKSSHDSEEMLLSLCRNYGVGEDYKPLLAKDGWVPWKTMPEGSNIICVFDSMLEVGVQFPLHDFYVNYLRHYSIAPSQLTPNAWRYLVGFVQLCDGVGVPPTVAVFQHYFNFKMCPNGWYYIVASPSRRLFKPDARLPSNEGWKKKFFFLESLSSGLPWRCPEKWGTPEPASFADPELTDAEKKAIERLESEKEKWNVSLMELLHKAEPNADAAASMPPPKKKFRSLGAITSPPPEQLAIATESSPPPDLVTPERPSHGDGGEHYSASRILMDAYKAVQRTEEELHNAKDELRKERAKHAAHAARMEEALGAAKAEHTADAAQLKEKLQAAEAEHAKTLGFLGQERVESKVLWENLEAAKAEHATVVGRLKDELQAEKEKRMAKVHQLTEDLHAAEAEHAAKVKAVRAECQLKVINAEHTSSTRIDEMLSKRYAAGLRAMRDFAIILYPSIDPARLTPEALTAGSVPPGFPPRQAPADQ >KQK86372 pep chromosome:Setaria_italica_v2.0:IX:1503074:1504017:1 gene:SETIT_038669mg transcript:KQK86372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMSGWSSSVLSDKEVRMMRNRSLTMEDVEAFWRQHGGRPPAENGEAAAGGSPLAGSPRVGGHATISPLPSPRAEIPPAARQLKVMRSMPPLRGVRSDDLCSPFGGGHQAQHLFPRSEPSSPATTTRGRGEAGLFLPENTDAAAADTSSTTRGWWTRSSWAFLNDPPKEEVLLGRAQISFACDQFHAARIVTGNA >KQK92036 pep chromosome:Setaria_italica_v2.0:IX:53334366:53338480:1 gene:SETIT_035177mg transcript:KQK92036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDLNESLLPGGGGGSAAAAASASHDEYEERAYDSDDKVSISISDSDAEADDGGPPSRPPFSWRKLWRFTGPGFLMCIAFLDPGNLEGDLQAGAAAGYQLLWLLLWATVMGALVQLLSARLGVATGKHLAELCREEYPPWATRALWAMTELALVGADIQEVIGSAIAIKILSGGTVPLWGGVVITALDCFIFLFLENYGVRKLEAFFAVLIATMAVSFAVMFGETKPSGKELLIGLVVPKLSSKTIKQAVGIVGCIIMPHNVFLHSALVQSRKIDTNKKSRVQEAVYYYNIESILALIVSFFINICVTTVFAKGFYGTEQAGNIGLENAGQYLQEKYGTAFFPILYIWAIGLLASGQSSTITGTYAGQFVMGGFLNLRLKKWLRAMITRSFAIIPTMIVALFFDTEDPTMDILNESLNVLQSIQIPFALIPLITLVSKEQVMGSFVVGPITKVISWIVTVFLMLINGYLIVSFYITDVRGAVLRSSLCVVLVVYLAFIVYLIVRNTSLYSRLCSSMPKRS >KQK86590 pep chromosome:Setaria_italica_v2.0:IX:2819590:2821797:1 gene:SETIT_036801mg transcript:KQK86590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMVADNDSGKSVMSQVRTSSGTFLAKHEDEIVSGIEKRVAAWTFLPEENAESMQVLHYEIGQKYDAHFDYFHDKNNLKRGGHRIATVLMYLSDVKKGGETVFPSAEGGHLQYKDETWSDCARSGLAVKPKKGDALLFFSLHVNATTDTSSLHGSCPVIEGEKWSATKWIHVRSFDNPPNVRTDAPCSDDNDLCPKWAAIGECYKNPTYMVGTKDTLGFCRKSCGLCDA >KQK86592 pep chromosome:Setaria_italica_v2.0:IX:2819590:2822242:1 gene:SETIT_036801mg transcript:KQK86592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMVADNDSGKSVMSQVRTSSGTFLAKHEDEIVSGIEKRVAAWTFLPEENAESMQVLHYEIGQKYDAHFDYFHDKNNLKRGGHRIATVLMYLSDVKKGGETVFPSAEGGHLQYKDETWSDCARSGLAVKPKKGDALLFFSLHVNATTDTSSLHGSCPVIEGEKWSATKWIHVRSFDNPPNVRTDAPCSDDNDLCPKWAAIGECYKNPTYMVGTKDTLGFCRKSCGLCDA >KQK86589 pep chromosome:Setaria_italica_v2.0:IX:2819205:2821797:1 gene:SETIT_036801mg transcript:KQK86589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPALLLLAALALALCRSTALSDAHGGGGGFYDPARVTQLSWRPRAFLYSGFLSDSECDHLVNLAKGSMEKSMVADNDSGKSVMSQVRTSSGTFLAKHEDEIVSGIEKRVAAWTFLPEENAESMQVLHYEIGQKYDAHFDYFHDKNNLKRGGHRIATVLMYLSDVKKGGETVFPSAEGGHLQYKDETWSDCARSGLAVKPKKGDALLFFSLHVNATTDTSSLHGSCPVIEGEKWSATKWIHVRSFDNPPNVRTDAPCSDDNDLCPKWAAIGECYKNPTYMVGTKDTLGFCRKSCGLCDA >KQK86591 pep chromosome:Setaria_italica_v2.0:IX:2819458:2821797:1 gene:SETIT_036801mg transcript:KQK86591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSMVADNDSGKSVMSQVRTSSGTFLAKHEDEIVSGIEKRVAAWTFLPEENAESMQVLHYEIGQKYDAHFDYFHDKNNLKRGGHRIATVLMYLSDVKKGGETVFPSAEGGHLQYKDETWSDCARSGLAVKPKKGDALLFFSLHVNATTDTSSLHGSCPVIEGEKWSATKWIHVRSFDNPPNVRTDAPCSDDNDLCPKWAAIGECYKNPTYMVGTKDTLGFCRKSCGLCDA >KQK92486 pep chromosome:Setaria_italica_v2.0:IX:55769155:55770176:1 gene:SETIT_039198mg transcript:KQK92486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGQPRRPSDQQQAAGGEHGAVRYGDVFPAVSGGLAEKPVAPQDAATMQSAESLVFGFGQTIRGGPGATMQSAATTNERMGVVGHDQATDATAVQGVTVSETRVPGGRLVTEFVAGQAVGQYLAPDDATAGGAAGAGGVAAAGVVDNTKVTIGEALEATALAAGDEPVERSDAAAIQAAEARATGLHANVPGGLAAQAQSAAAANEWAARDEDKTTIGDVLANATAKLVADKTVESADALRVAGAENRNKGDATARPGGVAASMAAAAKLNRDEAVWEQ >KQK89934 pep chromosome:Setaria_italica_v2.0:IX:37450952:37451807:1 gene:SETIT_040215mg transcript:KQK89934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRQELKRAVKDSFTPHEFETRWKAVLDKYNARDNNRINYLYNIRSYWVPAFFMDSLYPFSSTTARSESTNAMFKGNVAHKDTIVNFFAAYENIQEKNLSTLDRCIYDSELKTPNQWSYNSLEEHEAKIYTNAIFRKFQVEFKNSTAYGVKELVKEKLFEVKRKTEYSKPDDRGSCRKLDRDGIHCCHVLKIAERLDLLLLPESFVRHRWTKAADHDVPLSTGQQLIIGGSKAGDAVQYCIMMAGRY >KQK86420 pep chromosome:Setaria_italica_v2.0:IX:1772244:1778713:-1 gene:SETIT_035876mg transcript:KQK86420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWGARIKDGSPHPGASGMFSKSGGKDGSRLSGCSSRASSASMPPNAKTECEILQSANVKVFSFSNLKSATRNFRPDSVLGEGGFGSVYKGWIDENTLAPCRPGTGIAVAVKRLNQEGLQGHREWLAEVNYLGQFCHPNLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVALGAAKGLAYLHSAEAKVIYRDFKTSNILLDTDYSAKLSDFGLAKDGPVGEKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDIYSFGVVLLEMLSGRRAIDKNRPQGEHNLVEWARPYLTHKRKIFRVLDTRLEGQYSLSGAQTIATLALECLSYEAKMRPSMDAVVTILEELQDSCEAEKHQEPKAATKQMSAPVSGSKSSRKPRRRSLGGTKETVGTNPKSLAHSR >KQK87913 pep chromosome:Setaria_italica_v2.0:IX:10143372:10143917:1 gene:SETIT_040512mg transcript:KQK87913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSHGENHFILGWVSSGSPSEVPASCYLTTWHPKHHGWFDWTIPNPIVSVSHDNFWKYVLNAFLYNSLLGC >KQK92409 pep chromosome:Setaria_italica_v2.0:IX:55342147:55346792:1 gene:SETIT_036078mg transcript:KQK92409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAGPCVEQDGPHGRWPSRQYPLSLSLPLSLRLFPPSPNFSPTLSVSLPALSPSRLAAGDELELELLPRLADGGGAMEPMNVDNGGCGGLDAQIEQLMQCRPLAEQEVKSLCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLVELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRHPHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIENLDSVRSLDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLVARAHQLVMEGYNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNSHTFIQFEPAPRRGEPDVTRRTPDYFL >KQK91404 pep chromosome:Setaria_italica_v2.0:IX:49610772:49611492:-1 gene:SETIT_040814mg transcript:KQK91404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTTRRSVAKLKKLGRAICTNFGLAIKRVSCKKHASLDGPSSNL >KQK88714 pep chromosome:Setaria_italica_v2.0:IX:16443890:16448126:-1 gene:SETIT_036779mg transcript:KQK88714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEALRRFDGLDWVGKANALSKDHVVWDVIYRTAEEVKKHGPAPEERFFVMPWRKSPAMSEGLYKQLTVEEVVRRRRSAVDMDGVHVMGRDTFYQMLLHCLPSGKVGSGERQGQQCALPFRVLPWDAEVHAALFVHRISGLPKGLYFLVRNEEHFGALQRAMRQDFEWVRPEGCPDSLPLYRLMKGDCQRLAMQISCFQEIASHGCFSLGMVARFEPLLHDKGEWMYPRLFWETGVLGQVLYLEAHAFGISATGIGCYFDDAVHEVLGLRDLEFQSLYHFTVGSPVLDERIMSLPAYPGPGIDA >KQK91125 pep chromosome:Setaria_italica_v2.0:IX:47958829:47964708:-1 gene:SETIT_036805mg transcript:KQK91125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNASGREEDAAAVDGDGADVEDGGGDSSVRSSERGFPPYGSGGANHVRRACSVGVVGGGGGAGSPPGSPGRSLSPRMFVPQTPVPPLQRAADVTPVFNQILMNEQEEEYDGPPPKEIPALIVWTLGGKSVYVEGSWDNWKSRKAMQKSGKDHSLLLVLPSGVYRYRFVVDGERKCLPDLPCETDAMGNAVNLLDVNDFVPESVESVAEFEPPPSPDSSYSFQAPEDKDFTKEPPALPSQLHLGVLNSQNSEESCARPQHIVLNHLFIEKGWGAHPLVALGLTHRFESKYVTVVLYKPIER >KQK88749 pep chromosome:Setaria_italica_v2.0:IX:16772932:16788362:1 gene:SETIT_033881mg transcript:KQK88749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISELLSKEWKDLFWDFIDHWKELDKGSECLTAKCCVQKIVEDTRTLLNEPLSSVFEFSLTLRSASPRLVLYRQLAEESLSSVSINDSQEQISGHGTGENFDRAVGPSSSGGTCCWVDTGNAPLFTSGDLHEWLEGLGKLAMDSTEQPELFDFDHVYPRANVTAPIAIFYGAVGTKCFKELHVQLAEASKQGKVRYALRPVLPSGCQATSSFCGSIGAVDAVTLSGYGVELALKNMEYKAMDDTAIKKGVSLEDPKTEDLSQEVRGFIFSKILERKPELNAEIMAFRDYLLSSTVSDTLEVWELKDLGHQTAQRIVQASDPLQSMQEINQNFPSIVSSLSRMKLDNSIKDEIIANQRMVPPGKSLMALNGALINIEDLDLYLLMDMVHGELSLADQFARLKLPQSAAHKILSAPPPAESNSFRVDFRSSHVHYLNNLEEDTMYRRWRSNLQELLMPVFPGQMRYIRKNLFHAVYVLDPASACGAETIDMVLSLYQDNVPIRFGIIMYSSRFINVIEESDGTLPINDGEDTSILITRLFLYIKETYSTQLAFEFLSNIHKSRNGEDDYNEDLIEAHHVEGAFVDSLLSSAKSHPQDVLLKLQKENMYREEAEQSSRFVHKLGLYKLQCCLLMNGLVHEANEDATMNAMNDELPRIQEQVYYGHIQSHTDVLEKFLSESSYKRYNPSITGKSAGKKFVSLFASYHQEDSVFNDIKYLQSPATVDDAKPVTHLLAIDLSSKVGIKLLHEAIRYLMDGTNRGRVGLLLYVRTASSLPILLLKDIFDRTISSFSYKEKVLVFLHEVLKFYGAQPTPVSSVAGDWTRTMMEKVYSLAAEIALPVDDYKAWFESFSADTVLKGMDKLSDFVFGQLGLVFGSNAVITNGRVFVMKEGEPFLADDLGLLESMEYDLRTKYIFEIIEEVEFAGVDPDDLTSQFYSDIAMLISSSMSVRERPSERAHFEILHAEHSAIRLNNENSSIHIDAVIDPLSPTGQKLAPLLRILWKQIQPSMRIVLNPISSLADLPLKNFYRFVLPSMDDFSSTDYSVHGPKAFFANMPLSKTLTMNIDVPEPWLVEPVVAIHDLDNILLENLGDVRTLQAVFELEALLLTGHCMEKDRDPPRGLQFILGTKQRPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSADLYELPPKLIAIDSLRGKLMHIEVQKKKGKEREELLNAADDHHFQEKMDNKGWNNNLLKWASSLINGDASSKNKADKITDRKDARQGETINIFSVASGHLYERFLKIMILSVLKKTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLSLRKVIFVDADQIVRADMGELYDMNLKGRPLAYTPFCDNNKDMDGYRFWKQGFWKDHLRGRPYHISALYVVDLAKFRQTAAGDTLRVIYEQLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKARAKTIDLCNNPMTKEPKLQGARRIVPEWVGLDSEARHFTARILGDNLESPEATSPPSETPKPDDKDTDQNVKDEL >KQK90978 pep chromosome:Setaria_italica_v2.0:IX:46963594:46964898:-1 gene:SETIT_040049mg transcript:KQK90978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKGNILMQRYEMGKLLGKGSFAKVYHARCVNTSHSVAIKVIDKDKIFKCGLMDQISREISVMKLVKHPNIVQMYEVMATKTKIYFVLEYVKGGELFNKVQRGRLKEDVARKYFQQLISAIDFCHSRGVYHRDLKPENLLLDESRNLKISDFGLSALPDCKRQDGLLHTSCGTPAYVAPEVISRKGYDGAKADIWACGVILYVLLAGYLPFQDKNLVDMYKKICKAQLKWPSWFSSDIRKLLRRLLHPNPSARISIAEIMEHPWFRIGHPNHGKLFDYTMNSTDNVMPIDMNLALDHSNANTVGGNQAVEKLTNLNAFDIISLSNGFDLSGIFEENSNKEEAKFTSTNTASTIITKLEEIAMSLRLKITKKCGGLLKMECSKPGRKGVMSLNAEVFHITPNFHLVEIKKTNGDTLEYQKVMKQVMRPALKDIVW >KQK92990 pep chromosome:Setaria_italica_v2.0:IX:58201620:58201966:1 gene:SETIT_040546mg transcript:KQK92990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLDGALNYKRRSGHIFLFLSCFSEEVNMYSLARKALSIMDH >KQK87259 pep chromosome:Setaria_italica_v2.0:IX:6299174:6302388:1 gene:SETIT_037326mg transcript:KQK87259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQHLMQMNQSMIGGYASPTAVTTDLIQQYLDENKQLILAILDNQNNGKVEECERHQAKLQHNLMYLAAIADSQPPQTAPLSQYPSNLMMQPGPRYMPPQSGQMMSPQSLMAARSSMMYGHPSLSPLQQQQAAHGQLGMASGGGGGGGTTSGFNILHGEASMGGGGAGAGAGNSMMNAGMFSGFGRPGSGSGAKEGSSSLSVDVRGGASSGAQSGDGEYLKAGTEEEGS >KQK86688 pep chromosome:Setaria_italica_v2.0:IX:3306852:3308364:1 gene:SETIT_035980mg transcript:KQK86688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERAARPAMTRMSLTAAAVVFLVLAVAWPLLASAQPAPSMPPPSPPAAAATNNSRLEKAYVALQALKRAITDDPKKLTKNWCGPDVCNYFGVYCAAAPDDSCQRTVAGVDLNHGDLAGTLPEELGLLSDLAVFHLNSNRFSGSLPESLRSLHLLHEIDVSNNQLTGPFPSQLLCLPNVQYVDIRFNNFCGEVPAAIFEKKIDALFINNNHFEFTLPESFTNSTASVIVLANLPRVGGCLPSSIGDMAGTLNELILLNSGISSCIPPEIGKLDKLTVLDLSFNSITGTLPDTIGNMRALEQLDVAHNQLAGEIPESICELPHLKNFTYSYNFFCGEPHRCLEVPRIDDRQNCIAGRPDQRPGEECISFLHRPKAHCDAHGCVAPPSPPPPPPPVHAHPPPAY >KQK89501 pep chromosome:Setaria_italica_v2.0:IX:32021746:32025238:1 gene:SETIT_034010mg transcript:KQK89501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTLLTLLLLIAIPPATSATQPASNSSADVLLSFLAALPPAAQRLLLPSWNTAASGNGNSTAAGPHCAFLGVTCSAAGAVAALNLSGAGLSGDLAASAPQLCSLPELAALDLSGNNFTGAIPLELAACSALSYLDLSNNNFSGAIPLELAALPALSYLDLSTNKLSGPMPDFPVHCVLKFLNVDSNKIDGKLPRSLGNCGNLTRLYLSNNKISGSVPDFFASMPGLEKLFLSNNSFTGEFPASIGELVNLEKLMVSANGFTGPVPESIGKCHSLTMLWMHSNRFTGSIPAAIGNLVSLQWFTIKDNLITGTIPPEIGKCQELTWLELHNNSLSGVIPPEITQLTKLQVLSLFGNRLHGQVPAALWQMPYMEELALSYNNLTGEVPAEITLMRNLRELILAYNNFTGEIPQALGLNTTQGLQRIDLTGNRFRGEIPPGLCTGGRLAVLDLGHNQFTGAIPSEIWKCRSLWRVILGNNLFSGSLLPSDLGTNTGWSFVELSGNLFEGRVPSVFGSWRNLTVLDLSSNKFSGPIPRELGALSILGNLNLSSNMLSGPIPHELGNCKRLVRLDLQFNYLNGSISSEIIAHDSLQTLMLSGNKLTGKIPDVFTGTQGLLELHLGANSLEGPIPESLGKLQFISKIINISNNRLSNEIPSSLGNLQMLEMLDLSKNSLSGPIPSQLSNMMALSFVNVSFNELSGQLPAGWVKLVERSPEGFLGNPQLCIQSNNAPCSRNQSAKRIRKNTRIIVALLVSALAIMAAGLFLVHYMVKRSQRQLAKHVSVRGLDTTEELPKDITFDDILRATDNWSEKYVIGRGRHGTVYRTEFAPGRQWAVKTVDLSQFKFPVEMKILNMVKHRNIVKMEGYCIRGNFGIILSEYMPQGTLFELLHGRKLQVALDWNVRHQIALGTAQGLSYLHHDCVPMIVHRDVKSSNILMDADLVPKITDFGMGKIIDDDDADATVSVVVGTLGYIAPEHGYNTRLTEKSDVYSYGVVLLELMCRKMPVDPAFGDGVDIVAWMTSKLKSADHCSLMNYLDEEIMYWPGDEQAKALDLLDLAMSCTQVSFQSRPSMREVVSTLMRIEDEYITNE >KQK88080 pep chromosome:Setaria_italica_v2.0:IX:11363987:11364516:1 gene:SETIT_040329mg transcript:KQK88080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFGFSMMQKLALLEAERYTKCSFSLSSIICVLCFFASVDKIAIF >KQK91339 pep chromosome:Setaria_italica_v2.0:IX:49328960:49331169:1 gene:SETIT_037364mg transcript:KQK91339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGDYQEMAASVPPSLKAITLTHVRYRPGDHLGLFLAWVSLIPVFISLGGFVSHFLFRRELQGLCFAAGLLVSQALNELIKHSVAQSRPAYCEFLEACDSHGWPSSHSQYMFFFATYLSLLSLRRSTARRVIAAVPWPLAFLTMLSRVYLGYHTVAQVFAGAVVGLVFGAIWYWIVNTMLVDYFPMIEESAIGRWLYIKDTSHIPDVLKFEYDNARAARKKVATD >KQK91338 pep chromosome:Setaria_italica_v2.0:IX:49328960:49332291:1 gene:SETIT_037364mg transcript:KQK91338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGDYQEMAASVPPSLKAITLTHVRYRPGDHLGLFLAWVSLIPVFISLGGFVSHFLFRRELQGLCFAAGLLVSQALNELIKHSVAQSRPAYCEFLEACDSHGWPSSHSQYMFFFATYLSLLSLRRSTARRVIAAVPWPLAFLTMLSRVYLGYHTVAQVFAGAVVGLVFGAIWYWIVNTMLVDYFPMIEESAIGRWLYIKDTSHIPDVLKFEYDNARAARKKVATD >KQK89146 pep chromosome:Setaria_italica_v2.0:IX:21324088:21326421:-1 gene:SETIT_035787mg transcript:KQK89146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAECRGGDCLIKLFGKTIPVPEAADAAKESGSSSSSTESDAPENAHQEASDPSPQPEVVDAEDPKSSPETTQQPDAAGDVASQREKLKKPDKVLPCPRCNSMDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGAMRNVPVGAGRRKNKHAVAASHFLQRVRAALPAAGDPLKTNGTVLSFGGHGPPPALHDLTEQVNHLQEKLLIPARKTSNPSPAVGPCSEGSSSTDDMTHGGGIKEKSSTVDKPANGAQYPAGMNGAAVWPYSCAPPPAYFSSGIAIPIYPAAPGYWGCMVPGAWSLPWPVQPPSQGLSSPTSAPSVSSSGPDSLTLGKHPREVDEGRSSAHGSGKVWAPKTIRIDDADEVARSSIWSLIGIKGDKKRDDADHAVGHKHGTVFEPKLEVNKAAKPGMITRSPFLHTNPVALTRSVTFQEGS >KQK89165 pep chromosome:Setaria_italica_v2.0:IX:21481171:21481368:-1 gene:SETIT_038573mg transcript:KQK89165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQVKLAVVVKVMGRTGSRGQVTQVRVKFLDDQNRLIMRNVKGPVREGDILTLLESEREARRLR >KQK88394 pep chromosome:Setaria_italica_v2.0:IX:13936051:13939298:-1 gene:SETIT_0346452mg transcript:KQK88394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEYPASPKAQQLQESKKQRLTYILVVSALCIAFYVLGAWQNTTLPKPVGNSAAITRVGCDPATSTASSSASVPSFGPGSGEVLDFDAHHRLAINDTDAGAGLQQFPACPLNFSEYTPCEDRTRGRRFDRTMLVYRERHCPGKDEQVRCLIPAPPGYRTPFKWPKSRDYAYFNNIPHKELSIEKAVQNWIQVEGDKFRFPGGGTMFPRGADAYIDDINKLISLSDGQIRTAVDTGCGVASWGAYLLKRNIIAMSFAPRDTHEAQVQFALERGVPAIIGVMGKHRLPYPSRAFDMAHCSRCLIPWYAHDGLYLAEVDRILRPGGYWILSGPPINWKTHHKGWERTKDDLKQEQDKIEDVARSLCWNKVVEKGDLSIWQKPKNHLECANIKKTYKTPHICKSDNPDAAWYRQMEACVTPLPEVSNQGEVAGGAVERWPERAFIVPPRIRRGMIPGLDAKKFDEDKKLWEKRIAYYKRTIPIAENRYRNVMDMNANMGGFAASLVKYPVWVMNVVPVNSDRDTLGAIYERGFIGTYQDWCEAFSTYPRTYDLLHADNLFSIYQDRCDITDILLEMDRILRPEGTAIIRDTVDVLTKVQAITKRMRWESRIMDHEDGPFNPEKVLMAVKTYWTAKAPEDQN >KQK89511 pep chromosome:Setaria_italica_v2.0:IX:32144906:32145181:-1 gene:SETIT_039241mg transcript:KQK89511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLKTAGLLAATLLILLAAAAAVSGPPSPQFKRTCKQYVQKEGPKQKQSSDCCKTVQAADAHASCICDYWAPQTPGRI >KQK89047 pep chromosome:Setaria_italica_v2.0:IX:19938377:19940110:-1 gene:SETIT_036406mg transcript:KQK89047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPEHCLRLLPAATPAFVGASAPFSCPLPGGGAIRLRHPRARTPRLRGEPSSAMRASAGPDAVASAPEMPQREVVRALAEQAVARLGPRLLPSAVPDDVAEFRNGAGNAVGSLDVRRGAPGSSIDFMLQSSLHCKVPNGAIDITSILIFLNCMTDAPHFLLELIQGSPTSIVVVLDLLPRKDLAFHPEYLQKYYENSRMDEQRAKIDELPQVRPYRSPSLFVRCACSPTAVMVSIDCGQGGEGTLEEIVRGQLAMVAKEVLQFWLDCCADSTTKMDNTERDFLLKRDQIVRSKSIEVDLTANLPMMFDPDVSSRVISEIRKAFGVQEA >KQK89046 pep chromosome:Setaria_italica_v2.0:IX:19936980:19940110:-1 gene:SETIT_036406mg transcript:KQK89046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPEHCLRLLPAATPAFVGASAPFSCPLPGGGAIRLRHPRARTPRLRGEPSSAMRASAGPDAVASAPEMPQREVVRALAEQAVARLGPRLLPSAVPDDVAEFRNGAGNAVGSLDVRRGAPGSSIDFMLQSSLHCKVPNGAIDITSILIFLNCMTDAPHFLLELIQGSPTSIVVVLDLLPRKDLAFHPEYLQKYYENSRMDEQRAKIDELPQVRPYRSPSLFVRCACSPTAVMVSIDCGQGGEGTLEEIVRGQLAMVAKEVLQFWLDCCADSTTKMDNTERDFLLKRDQIEGLWGTRGVACRNTSRKPWCVSRIQASINRMDPYKKKINRMDNYCNYCSTPSVPNCKLF >KQK89048 pep chromosome:Setaria_italica_v2.0:IX:19938103:19940173:-1 gene:SETIT_036406mg transcript:KQK89048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPEHCLRLLPAATPAFVGASAPFSCPLPGGGAIRLRHPRARTPRLRGEPSSAMRASAGPDAVASAPEMPQREVVRALAEQAVARLGPRLLPSAVPDDVAEFRNGAGNAVGSLDVRRGAPGSSIDFMLQSSLHCKVPNGAIDITSILIFLNCMTDAPHFLLELIQGSPTSIVVVLDLLPRKDLAFHPEYLQKYYENSRMDEQRAKIDELPQVRPYRSPSLFVRCACSPTAVMVSIDCGQGGEGTLEEIVRGQLAMVAKEVLQFWLDCCADSTTKMDNTERDFLLKRDQIVRSKSIEVDLTANLPMMFDPDVSSRVISEIRKAFGVQEA >KQK91718 pep chromosome:Setaria_italica_v2.0:IX:51445854:51446234:-1 gene:SETIT_0349681mg transcript:KQK91718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPRQALHAAGFLALWMLLHCGIELVAAVPPPGWYDYTSYTD >KQK93054 pep chromosome:Setaria_italica_v2.0:IX:58536143:58536922:-1 gene:SETIT_039613mg transcript:KQK93054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVVVASLVGGAVCGPPKVPPGKNISATCDGKWLDAKATWYGKATGAGPDDNGGACGYKDVNKAPFNSMNACGNSPIFKDGLGCGSCYEIKCDKPAECSGEPVIVHITDMNDEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYPADTKIAFHIEKGCNPNYFALLVKYAAGDGDIVAVDIKEKGSDEFVPLKHSWGAIWRIDSPKPIKGPIAVRLTSEGGTKLEQDDVIPEGWKPDTVYTSKLQF >KQK86985 pep chromosome:Setaria_italica_v2.0:IX:4895637:4898447:1 gene:SETIT_036198mg transcript:KQK86985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRALTRCCGHWPPGAAAADGMLWQTELRPHAAGEFSMAAAQANVAMEDQAQVLASPSATLVGVYDGHGGVDASRFLRSRLFPHVQRFATEQGGMSTEVIRRAFGAAEEEFHKQVRQEWTKRPRMAAVGSCCLLGAISGDTLYVANLGDSRAVLGRRVVGGGVAVAERLSDEHNAASEEVRRELAALNPDDAQIVVHARGAWRVKGIIQVSRSIGDFYLKKPEYSLDPLFRQVGPPVALKRPALSAEPSIQVRKLKPNDLFLIFASDGLWEHLSDDAAVQIVFKNPRTGIANRLVKAALKEATRKREVRYRDLRTIERGVRRHFHDDISVVVVYLDRHRERRHTRVIDSSSNCTSAPVDIYSSNTHQSAEPLQSYKS >KQK89491 pep chromosome:Setaria_italica_v2.0:IX:31837187:31838549:1 gene:SETIT_036389mg transcript:KQK89491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPCIATLLLLLSCSLAAATTAPLGTIERVTKQRILASIPPGGRPPVLFLTSPSGKYAAYFVRTHTVPGAGGLGADFCYVEVVDAATAGAHGGAAEGEEDGVAAAAAAASGKSVWESECRPISTVNTCTLLFSWHGLEVFDGREEVWHGETNTDGTNFLETLELVDDGDMRIRDKDGELAWRASDEPRHAQHCGAPGSPGLATALPPFAEPLGAHSSNLPFGMEPDGNGHSADLPQAADVGTGAAALGGAAGVAAPGLGEGEPDAAADVGSGAAAVGGGAGVAGPGLGAGEHDAAAEEGGAVAGFDPQPLVDNSPYDSGAWKEGRGAHIAAIGVAVCVSAVLGTMGVGL >KQK89183 pep chromosome:Setaria_italica_v2.0:IX:21607458:21607818:1 gene:SETIT_038488mg transcript:KQK89183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMAQCAGEDTFKASSSGESATLCKRRGMQARLSTKEFVALAQGLTVHDSLLRC >KQK92761 pep chromosome:Setaria_italica_v2.0:IX:57144352:57148239:1 gene:SETIT_036127mg transcript:KQK92761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMVRTVVGRERSGGVRQYNRSKVPRLRWTPDLHHCFVHAIHKLGGQDKATPKRVLQLMGVGGLTISHVKSHLQMYRNMRNDLGMQGSMQVHRADHQEHIYGSGGMHIELCNGMQQQCDHECDGPCCICRHSPKPRKEPMLPLHPQLKRTEPRREREEEDYGSASPKSLLRGPGICESDGSWCRALAAAAAAGGYNYMRVMQAAMGMAAPLPPHAEPEVEPRGGAGIKRQQQQRPAAADGEHAAPPPPPSDSKLFTFLGFVVAPGPPQPACCSSSRDHPFEIAGRTPTQSVTTTASRLQCSSLGTPSHKAVERRAAYNPPRPSSAHGCGGCSLSLSLALDAGSGGQCCTYSGEEGSLLSSTTSATSGSRISLDLSLSTLHPSSN >KQK88486 pep chromosome:Setaria_italica_v2.0:IX:14587505:14587716:1 gene:SETIT_040843mg transcript:KQK88486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSNHQIIEPNPSMLQTYVTSTRPVAVSVQSSDKHS >KQK87467 pep chromosome:Setaria_italica_v2.0:IX:7450588:7450638:-1 gene:SETIT_0347384mg transcript:KQK87467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEEQQKLILKLEDDILK >KQK90107 pep chromosome:Setaria_italica_v2.0:IX:39728082:39730733:-1 gene:SETIT_035330mg transcript:KQK90107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPWKHPAPAAAAIAGRHGAADLCLREVGDLLPRSFARRAAGSEDLVMRLQLHRKLERHTGCVNTVGFNDAGDTLISGSDDQMVMLWDWATGANKLEFHSGHGGNVFQARFMPGTDDRTIVTCGADGEVRLAKIQDGGDVSTTLLGEHEGRAHNVAVEPGSPYIFYSCGEDGLVQHFDLRTSTATKLLLCRSSVRKSGFSCVHLNAIAIDPRNPNLFAVGGSNAYARVYDIRKHKWDGSSDFGHPSDCYCPSHLVDDKHVGITGLAFSHLSELLVSYNEENIYLFPKNGGLGSDPKSSIKIGVNEGCKSTMAASGQDIAQPAPQVYVGHRNRETVKRVTFIGPNDEYVASGSDCGRIFIWRKRDGRFLRAMEGDECVVNCIEPHPHDMTIASSGIDNDVKMWTPSAIERAPVVNVEELRPRKRRAKLWHFDLPELLIRHLLASQRRQQSAEEEDSSEDLEDRLDSTGLLNLVLRAADRGLSSADDEESSDGSEECSLN >KQK92301 pep chromosome:Setaria_italica_v2.0:IX:54812687:54816237:1 gene:SETIT_037199mg transcript:KQK92301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGTGAGYDRHITIFSPEGRLYQVEYAFKAVKSAGVTSIGVRGADSVCVVTQKKVPDKLLDQTSVTHLFPITKYIGLLATGLTADARSLVYQARNEAAEFRFKWGYEMPVDVLAKWIADKAQVYTQHAYMRPLGVVAMVLGYDEEKKAQLFKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKDDPQFTYDETVQIAISALQSVLQEDFKATEIEVGVVRKEDRVFRALTTEEIDQHLTAISERD >KQK92489 pep chromosome:Setaria_italica_v2.0:IX:55787758:55790795:-1 gene:SETIT_036227mg transcript:KQK92489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCWFKGNPYFNRVSSNATKSESPKIQSPSERIGKEDSQLPSNPKEVEALRKDTARNPLIAFTFEELKRITKNFRQDSLLGGGGFGRVYRGYITKDLREGLEIEEPLRVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDDHRVLVYEFMPLGSVESHLFSRVMVPLQWSIRMKIALGAAKGLAFLHEAEKPVIYRDFKTSNILLDEEYNAKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTAMSDVYSYGVVLLELLTGRKSLDKSRPVREQTLADWAFPLLTQKKKVLGIVDPRLAEDYPVKAVQKTAMLAYHCLNRNPKARPLMRDIVATLEPLQQLEENPSDSLAGAT >KQK90076 pep chromosome:Setaria_italica_v2.0:IX:39351011:39352666:1 gene:SETIT_039101mg transcript:KQK90076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWFYRKGPSGFSGASTAEEVTAGVDGRGLTARVLALLGVHVVMPVRNVAAGLAVKESIVASIPGARIDVLELDLSSMASVRRFASEFESLNLPLNILINNAGILTRNCTCSCDGLELHSATNHIGHFLLTNLLLENMRSTCRESGTEGRIVNVTSAGHTMTYPEGICFDKIHDPSGLNDFIAYGQSKLANILHSNELSRIFKEEGVNISANAVHPGVIATNLFSGRIIVAAFLNSIGRLICRSVQQGAATACYAAMHPQVKGISGKYFANCNIAIPSSQASDAELAKKLWQFSLQTVVSS >KQK88915 pep chromosome:Setaria_italica_v2.0:IX:18591444:18598584:-1 gene:SETIT_034186mg transcript:KQK88915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLCNKAEVEVYIKSLASTSSRSSARVSSNCNRNSWALGCQPGWACMLGGESSDESVPSRAVNCRPCCPGFFCPLGLTCMIPCPLGAYCPLGTLNITTGLCDPYFYQITPGTNTACGTADSWADIVRTNDVFCPPGHYCPTTTQKHNCSSGYYCRKGSTDEKKCFWKNTCKDNEIKEDLTLYGFILMAILTFVLLLVYNCSGLFITIQVKISSRARKKAAKKENKSAAARERWKLAKELVLRHEVEMPGSINTPEKSARAMKINNDKLTFSGVVSLATEDRPQRPMLEVAFRGLTLSIGKKKLLQCVTGKLSPGRVTAIMGPSGAGKTTFLNAVLGKTSGYKKDGIVLINGIPGLMQSYKKIIGFVPQDDIVHGNLTVEENLWFSSCCRLSKGMSRSHKVRVLERVIESLGLQEIRNSLVGTVEKRGISGGQRKRVNVGIEMVMEPSLLILDEPTTGLDSASSQLLLRALRHEALEGVNVCAVVHQPSYTLFNMFDDFVLLARGGLIAYHGPVSEVEIYFAGLGIKVPDRENPPDYFIDILEGIVKTKMRGNVTPKHLPLLWMLHNGYEVPNDFQKDLENINTIRELYTVRSISSERSLAEQSESTNSVHHNVRQSNKLLERKTPGVFAQYGYYLGRVAKQRLRESTQQAADYIILCIAGICIGTIARVRDDSFGSDSYGYTIMAVSLLCQLAALRSFSPEKLQYWRERESGMSSLAYFLARDTMDHFNTAVKPIIFLSTFYFFNNPRSTLRDNYLVLLALIYCVTGIGYTFAIWFELGLAQLCSAIVPVVLVLVGTKPDLPRVIKELSYPKWALEAFIIAGAKEYSGVWLITRCGALLKGGFDINDFGLCITIIMLYGVLFRLISFVSLLKMKK >KQK90485 pep chromosome:Setaria_italica_v2.0:IX:43065626:43070079:1 gene:SETIT_0397811mg transcript:KQK90485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKSVIDACKICKVKRLIHTSSSAVVFDGMHELFDVNESFPYPDKFPDAYAQTKAEAEKLVMRANGIDDLLTCCIRPGSIFGPGDVLIPNADQYGQAHFIIGEGRNCDDFVYVENVVHGHIRAERTLSTMQGAKISGGKAYFITNMEPMNMWDFVYLVQEELGHKRPFKIRLPSLVVKPISYLPQMLTPARIKYLTLNRTFSCNKAAEELGYKPIVTLMDGLKIAVKSYTQLRNKDLSQRE >KQK87827 pep chromosome:Setaria_italica_v2.0:IX:9581896:9582483:-1 gene:SETIT_038915mg transcript:KQK87827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQQGGSPAAEPDEVVVTLREFTPSDADAEALMSWASDPLVARFQRRDAYEHVDQARRYIADHVLPHPWYRAICAGAVVVGSVSVKPAPAEDGRLFRASVGYRVARAHWGRGVATRAVRAAAEAVFAAWPWLLRLEAVADVENPASQRVLEKAGFVREGTLRKYIVLKGRPRDMVMFSLVDTDRRRQPVESSGP >KQK88289 pep chromosome:Setaria_italica_v2.0:IX:12934803:12937128:1 gene:SETIT_034391mg transcript:KQK88289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACASYLASYSRASPAPAACPCHVRPPAPRTRRRRPRPAPLRVYAASDHQERLLTALREQADPEAALRMLNSALAREDFAPSSDVYEEIIRKLGSAGAFDLMKGLVGEMRREGHEVKVGIVQSFVESYARLRRFDDAVDLVLNQLDLFGVQADTVVYNHLLNVLVEGSKMKLLESVYNEMASRGIRPDVVTFNTLIKGLCRAHQVRTAVLMLEEMSSHSVAPDETTFTTLMQGFVEEGSIEAALRVKAKMLETGCSPTRVTVNVLINGYCKLGRVEDALGYIQQEIADGFEPDQVTYNTFVHGLCQNGHVSHALKVIDLMIQEGHDPDVFTYNTVINCLSKNGELDAAKGIVNEMVDRGCLPDTTTFNTLIVALCSQNRLEEALDLARELTVKGLSPDVYTFNILINALCKVGDPHLGMRLFEEMKSTGCTPDEVTYNILIDHLCSMGKLGNALDLLKEMESSGCPRSTVTYNTIIDGLCKKMRIAEAEEVFDQMDIHGILRNAVTFNTLIDGLCKAKRIDDATELIEQMIKEGLQPDNITYNSILTHYCKQGNIKKAADILETMTANGFEVDVVTYGTLINGLCKAGRTQVALKLLRGMRIKGMRPTPKAYNPVIQSLFKRNNLRDALNLFREMTEVGEPPDALTYKIVFRGLCRGGGPIKEAFDFLVEMVNKGFMPEFSSFRMLAEGLLNLGMDDYLISAIELVIEKADFRESDASAIRGYLKIRKYYDALATFGRLLEINNPQWTYR >KQK89207 pep chromosome:Setaria_italica_v2.0:IX:21881911:21882443:-1 gene:SETIT_040183mg transcript:KQK89207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATAARWAAKKGKPKMAPIELTAPPEQTQSITRTIFDVVREHGPLTISDVWDHVKDVGLRGLTSKRQMKIMLRWMRERQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKGELKAKAEKLSPSLPKQP >KQK90425 pep chromosome:Setaria_italica_v2.0:IX:42583051:42584268:1 gene:SETIT_038377mg transcript:KQK90425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVSTKNSYRSSSWLLNHKTNHIPNNNPTTLKRSSNKFGEKKENDREEISFMCSWLAQRNHRTNLGFNGAS >KQK88219 pep chromosome:Setaria_italica_v2.0:IX:12377728:12381224:1 gene:SETIT_036732mg transcript:KQK88219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRSRYDNPFEEGGADEVNPFADQGKRGASTTQSSYSGGAFYTTQSRPAPPSTRLSPLPPEPADFYNDFSTPVDIPMDTNKDMKTRERDLLAKEAELNRREKEIKRREEAAARAGIVLEEKNWPPFFPIIHHDIGNEIPVHLQRTQYVAFASLLGLVLCLFWNIICVSAAWAKGSGPKIWFLAIIYFILGCPGAYYLWYRPLYRAMRNESALKFGWFFLFYLVHIAFCVYAAVSPSILFVGKSLTGIFPAISLIGSSVIVGILYFVGFGLFCLESLLSMWVIQRVYRYFRGSGKEAQMKREAARSAARAAF >KQK86131 pep chromosome:Setaria_italica_v2.0:IX:406786:408799:1 gene:SETIT_037834mg transcript:KQK86131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLRSGGGGGGGGGFGGAAWEVVRRHFSRKRSVDVRRINPKVPKEEAVAISGRLLQILSDHGPLTVGNTWNHAKDAGISGLNSKTHMKILLKWMTGRRIVKLSCVQVGNVKKFLYSQYTESSEAPKEASSSSALQEASAQGGKGKATRGQPKKKQAAALH >KQK90948 pep chromosome:Setaria_italica_v2.0:IX:46770034:46773324:-1 gene:SETIT_035700mg transcript:KQK90948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASDSDAGAHPREDPSLLPFASFSLSLNIRAPTAPTLASIPSTIHLPTQISTLAVCLHPSGAQSPSRRPTRLNSATSSVISPLPASTPGLSRSFPSGAPAAAGRRRTLVWFRADLRLHDHEPFHAAAGASSSLLPVFVFDPRDFGKSPSGFDRTGPYRANFLLDSVADLRRSLRARGGDLLVRVGRPEVVIPELARAAGAEAVYAHGEVSRDECRAEERVQKAVEKEGINVKYFWGSTLYHVEDLPFRLEDMPSNYGGFREAVKGLEVRKVLEAPEEVKCVPMKNVLEPGDIPTLAELGLTAPPAMPQDSKPAVGSTLIGGEAEALERLKKFAAECSMQPNKVDKSNTRDSIYGANFSCKISPWLATGCLSPRFMYEELKKHATRAIPSGSTPKNDDGTSDAGTNWLMFELLWRDFFRFITKKYSSVQKTSEVATGCTPTPALA >KQK92398 pep chromosome:Setaria_italica_v2.0:IX:55304745:55304957:1 gene:SETIT_040413mg transcript:KQK92398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSKDWVYFASETKLSSTINSSAWPSPFHHHKISRF >KQK90788 pep chromosome:Setaria_italica_v2.0:IX:45761370:45761626:-1 gene:SETIT_039497mg transcript:KQK90788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEVWLLWATLAAVSLLYYLAILSRRRGSGRLPPGPRPLPIIGNALDLHGNLHHALARLARTSRGATPSTPSTRSGGPTAPCST >KQK86658 pep chromosome:Setaria_italica_v2.0:IX:3160523:3164552:-1 gene:SETIT_036929mg transcript:KQK86658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQPPQGPEDDFLDQFFSMAGGSYSAAASGGGRAAGDQPFSLALSLDAAAAEASGSGKHGEGGKADREAVQLPGLFPPVFGGGVQPTHLRPSPPTQVFHAQQPKQGGAAVGPQPPAPRPKVRARRGQATDPHSIAERLRRERIAERMRALQELVPNTNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGAGAVAQLVADIPLSVKGEASDSGSKQQIWEKWSTDGTEKQVAKLMEEDIGAAMQFLQSKALCMMPISLAMAIYDTQHSQDGQPVKPEPNTPS >KQK89449 pep chromosome:Setaria_italica_v2.0:IX:30264903:30265414:-1 gene:SETIT_040318mg transcript:KQK89449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPKRKLPCPTPSNVQAMDSHMTCEVCGNTGHLGNNCPETQENVLYMNSNNNGYCPQGGQGWNQQCPYQGGNNGNSFNPNQPSFRGQ >KQK89873 pep chromosome:Setaria_italica_v2.0:IX:36611193:36616653:-1 gene:SETIT_037101mg transcript:KQK89873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKRIKDALEKHLERSSPSTSRGVAAKERERLAAGKLPASLGKAGKVSDGEDFESDSEDSDVSGSEGEDTSWIAWFCSLRGNEFFCEIDDDYIQDDFNLCGLSNQVPYYDYALDLILDIESSNGDVFTEEQNELIESSAEMLYGLIHARYVLTSKGLAAMLEKFKNYDFGRCPRVYCCGQPCLPAGQSDIPRSSTVKIYCPKCEELHYPRSKYQGNIDGSYFGTTFPHLFLMTYPHLKPQKPTQQYTPRVFGFKLHKKS >KQK88373 pep chromosome:Setaria_italica_v2.0:IX:13667091:13668615:1 gene:SETIT_040013mg transcript:KQK88373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METWLLVAAAILIPFLVLLRSSRSRRLPPGPPAVPLFGNLLWLRHSAADVEPLLLRLFRRYGPVVTLRIGSRLTIFVANRRLAHAALVGAGARAGLPAPSLLGVTDNIITRANYGAVWRLLRRNLVSETLHPSRVRLFAPARAWVRRVLLEKLREAGDGGAPCDIMGAFQYTMFCLLVLMCFGERLNEPAVRAIEDAERAWLLYISRKLSVFFFFPAVTKHLFRGRLRDAHALRRRQAELFVPLINARREYKRLAKEGRPPTSETTFQHSYVDTLLDITLPEEGNRPLTDDEIVALCSEFLNAGTDTTSTGLQWIMAELVKNPAIQAKLYDEIKATCGDGEGEVSEEVIHSMPYLKAVILEGLRKHPPGHFVLPHKAAEDMDVGGYLIPKGATVNFMVAEMGRDEEEWDRPMEFVPERFLEGGDGVGVDMTGTKGIRMMPFGVGRRICAGLSIAMLHLEYFVANMVKEFEWKEMPGDEVDFAEKREFTTVMKKPLRPRLVPRN >KQK86885 pep chromosome:Setaria_italica_v2.0:IX:4283109:4286368:1 gene:SETIT_036365mg transcript:KQK86885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDANAPSHRLARVAAHLNPQMEEGAPALRPAACRAKGGAPGFKVAILGAAGGIGQPLSLLMKMNPLVSVLHLYDVVNTPGVTADVSHMDTSAVVRGFLGAQQLDAALTGMDLVIIPAGLPRKPGMTRDDLFNKNAGIVRTLCEGVARCCPDAIVNLISNPVNSTVPIAAEVFKKAGTYNPKRLLGVTTLDVVRANTFVAEVLGVDPRDVSVPVVGGHAGVTILPLLSQVNPPSSFTQEEIKYLTNRIQNGGTEVVEAKAGAGSATLSMAFAAAKFGDACLRAMRGEAGIIECSYVASEVTELPFFATKVRLGRGGAEEILPLGPLNDFERVGLEAAKKELNESIQKGIAFMKK >KQK88867 pep chromosome:Setaria_italica_v2.0:IX:17899192:17908445:-1 gene:SETIT_034245mg transcript:KQK88867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARYAALRRATEEVAAVDAHAHNLVELGSAFPFARCFCEADGDALALAPHSLSFKRSLRDIAALYNCEASLEKVEEFRKAEGLKSIASKCFQAANISAILIDDGIPFDKMLDLESHKAFAPIVSRVLRTERLAETIINDESFSGSSWTLDSFIESYVTKLKSVCNQIVALKSIAAYRSGLEINPNVSKTDAEDGLRKELTGPRPIRITNKNLIDYLFVCSLEIAVSFNLPVQIHTGFGDKDLDLRKCNPLHLRDVLEDKRFAKCQLVLLHASYPFSKEASYLASVYSQVYLDFGLAFPKLSVQGMTSSLKELLELAPIKKVMFSTDGYAFPETYYLGANRSRDVVYRVLAAACEDGDLSIQEAIEAVEDIFRRNALHLYKLNVANGSINHETAIAGDSVSLSSVEEDILFVRIIWSDASGQHRCRVVPAGRFYEVTRNKGVGLTFAAMGMTSFCDGPADGTNLTGVGEIRLVPDMPTLLRLPWSMHEEMVMADMHIRPGEAWEYCPRNALRKVTKVLLDEFNVTMKAGFENEFFLRRKLVSDGVEQWVPYDNTNYCSTSAFDGASSILQEIYSSLKASNIVVEQLHAEAGKGQFEIALKYILCTLAADKLIYARETIKSVARKHGLLATFLPKPDLNDIGSGSHVHLSLWENDQNVFMGSSTDNFHGMSNTGEKFLAGVYHHLSSILAFTAPHPNSYDRIQPNTWSGAYLCWGKENREAPLRTACPPGVPLDLVSNFEIKSFDACANPHLGLAAIVAAGIDGLRRGHKLPEPIESNPADYASKLKRLPQNLQESVESLAADKVLHELIGDKLVTAAIAIRKAEIDHYAKNPGAFNDLIYRY >KQK88444 pep chromosome:Setaria_italica_v2.0:IX:14301558:14306420:-1 gene:SETIT_034091mg transcript:KQK88444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSRWRGGGAKAKAVPELGWEAMMVVVTPQDAGRPTARSEPAEVADGACRWAAPILEATKLAAGKDKIYQFLVYETGSSKAALLGEATVNLAEYADALKPSAVTLPLKGSPGALLHVTIQRVVGGAGGCGDDASSENGDASPVVVKTPQRRTTLQNQLSRFEDEDSEKARAAADAMSPVQDGLLIRKPPGMRFRSRRNTPMSVDPVGHLHNGSSFDAVSVSGSDGSSGRYTPKTSVSMQNTFLQDNSNALSPFANNGTLRNPLTSSGDWSGSSAPDASTDGSTSNSGEARLRGEEDDVEKLRSEIATLTRKLDVSDMELQTLRKQIVKESRRGQDLSKEMSSLREERDALRRENEGLRGAKKMIHDSNGSGKRLSDGEDPWSQIEELKQELSHEKNLNADLRVQLQKMQESNSELLLAVKDLDELVEQKNREISILQEDTHEDPQEAEYEHALSNVHNSGHKIALSETSSEQEKEDELMLDALAKKRDDISTSELEKKIVELSNEIELYKKDREDLEMQMEQLALDYEILKQENHDISSRLEQTQLREQLRMQYECSAHLAIISDLEANVESLDNELQTQAKKFESDIAEIMSAKVEQEQRAIKAEESLRKIRWNNATTAERLQEEFKVLSSQVSSAFNANERHLVQARKEVAELQLQKSQLEELLQKAQGDLGSIQDQHRVKVQQLITLVDFKSKEIDRLLMELKSKSDEFQNQKRCDEAKLNALSEEIELLNAKIDKLSSERDELFEKNEQKDKELAGISEKDMQLQGKTTEITSLNKELALLKDQVKMHLEELHNLKCLKNEKEETIGKLQIDIGSLKLQCENLKTLLSKKESEKDNLASQVLKLRRSLETREGAKANGVNADVKDNQHTNHKRIKHNTGSTGSTTALPGTNRQSAEGDCNCNRQDMRNAAEQSSKELTSLKERNKAMEEELKELHERYSEISLRFAEVEGERQQLVMTVRSLKNSLR >KQK86197 pep chromosome:Setaria_italica_v2.0:IX:719760:722658:1 gene:SETIT_034595mg transcript:KQK86197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYNFDLLDLADGESGDAAVSVVVGKKKTLAVDAKIADAADPAQPAVQEKPKSYSYYTKLQLDEALRICEQKQTRVKEAQKKLRGEEAKLKEQPGNEARLKEVSDEKRKLWLELKKLWQEEWKTLRPKRDAFYEENKIPLPNKNNKDNEKKPNDVDPGSNHNGVNGNVYNNNDDGSCCYSSDYGGNNDEYLAQLCDGGEHYPHGHDERQVERGHYHYNKGDRQGYRQGQLRMKKVYVPKVKASSDAGTEAEEKPEENGASATTMEQKEASADNADVVPASESDKSAGGAAQEGPNNGTRTHFLKEKRNGSEKRKKKNAMKNSGTEPEKVKKQDSEVDGSKSADKQPLEEEKKTLAEYEKMREEKKMTSEASKTEARKVTADEFKGLQMLEKRKLDVEEAVIKVEKAQPKVKEASNKEVVQAEGKDAAAKDGKPKKVVVPHQNLGFRPPSRVPYVQEDGSSARARFNGGFHGGSRDNSTEPRVNGRAAQNEAGDHNGNGAPRGAHNGRGDGAPRGNYSGHRNGYMGNGGYDYGRGNGGYGYGRGQGGNQSNGGYQQQRQGGNVGQYQQERAGNGGYYPQRHHPASDRYHQQRGNSGDRRNFARVPAPVLNVKEFPELPVPTSARSAAPASAPHACPSSGLCTGGRSGSGPGA >KQK90041 pep chromosome:Setaria_italica_v2.0:IX:39122362:39127394:-1 gene:SETIT_034286mg transcript:KQK90041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPMMGSKFHVSKLRPEQVSAYRQYEREKVEKHMDEYIHQCSKMKVKCEKLVTENDNVAKGIVELVSLHGVSKLIMGAAADKHYSRKMKMPKSKTALAVLQKADASCKIWFVCKENLIYTREAGAPVSHNAAALPASKSSISTLSEWGGQPNGYASKEVDGHIQRSMSEKVVAASVRTSLRLPSRLSVRTTFSRQSIEDNSANSWDSVPRGSFPSSHQTSSTVTDEGFSDSSSFSTPSHDASEILPSVHEGRHLQNPASYCEQDAMNSNIDIFDKLEEAFTEDEKHQKQTFDESMRRQRAEEEPILFHRKANNFDDTSLNEAKQRKEVIEALAKANGVIELMKQEMDALKQDRDDIIDKLVKMSEQKATLEQRVDEYGGIVKDLEDTLATSKSLIHSQQLEYDKLKHERDNALKDADELRKEKEKTVSYSSLTWNTEFSLLELQLATQNFSDTVKIGEGGFGRVYRGFLRNTTVAIKMLRSHNLQGQSQFRQEVVVLSRVRHPNLVTLMGSCSEASGLVYEFLPNGSLEDRLACENDTPPLTWQVRTRIIGEICSALVFLHSNKPHPVIHGDLKPANILLDANLVSKLSDFGISCLLVKSSTMSTSIYQTTSPRGTFSYMDPEFLTTGELTARSDIYSLGIVILQMVTGKPALGIGRAVEDALDRDELELLVDKSAGQWPFVQAKKLMLLGLQCAELSRRRRPYRMSDVWCVIEPLVKSASLSATPQSFGHQFVERHTPSCFFCPISQVVMRNPHIAADGYTYEAEVIKGWLHSGRSMSPMTKLPLAHHHLIPNRALHSAIQEHFKQQQKPPS >KQK92578 pep chromosome:Setaria_italica_v2.0:IX:56205246:56207237:1 gene:SETIT_036143mg transcript:KQK92578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAFGCFGLGGGCVPRDGDHGQQVHDPKPLMTPSSSFDFREEYTSAFRTESYNDFWARVLDITLAHGAGLVPRPGATGTASKRLPSYRLFAEHLLEPDQRAVRAALASARKGRLHPGVRDLLAAYYNETASASFLCSHLLKDIEQIRLRYRPLKNTLRKLARDVGVSSLADVSAALGQPFTALAVSQGKLRQVQLSSADLLKSLDSSRKKARLRIKTLARLRQALSVSLITVVAAVAVVGAFIGVHILAAFAAFPMIMSPAWLGLFSGRTVRRALVQLEAAAKGTYILNRDMDTISRLVARVRDEGEHMLALLQLCVEHRALAGEKGRLVQEVLKQLCKNEENFRQQLDELEEHLFLCFMTINKARSMVMNFMAAAEQSSG >KQK91041 pep chromosome:Setaria_italica_v2.0:IX:47309305:47313909:1 gene:SETIT_034649mg transcript:KQK91041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATAPPAKRRRGPRLAVLALVFCSLLVPIAFLFNRFPAVYVTDERPQQEIDLPSHAGFASVGSVVKRDSAGVIGVNVEAQDSSEKISRSSEDSHNGIDTDSSSISTEPKALPPPKIEQPVLPPPKVEQPKPEVKPVPVPVQQKGDDRWIRPPRVQNADEVEKAKACQLEFGSYCLWSIEHKEVMRDTIVKRLKDQLFVARSYYPSIAKLKGKEALTRELKQNIQEHERVLSESIVDADLPSFIKKKIEIMDQSIARAKSCTVDCNNVDRKLRQILHMTEDEAHFHMKQSAYLYNLGVHTMPKSHHCLNMRLTVEYFKSTPLDPDDSPAHKFNIPDHRHYVILSKNVLAASVVINSTVSSCEDTENVVFHVLTDAQNFYAMKHWFARNSYRESAVDVINYEQIIFENFPEFGTQPLYLPEEFRVFISSLERPTEKSRMEYLSVFSHSHFFIAEIFKDLKKVIILDDDVVVQRDLSFLWNLDMGDKVNGAVRFCGLKLGQLRNLLGRTMYDPQSCAWMSGVNIIDLDKWREHNVTENYLQLLRKFGNHDDEASLRAAALPISLLSFQHLLYPLDERFTLSGLGYDYGIKEEVAQSSISLHYNGNMKPWLELGIPDYRKYWKRFLTRDERFMDECNVSP >KQK87391 pep chromosome:Setaria_italica_v2.0:IX:7070296:7079132:1 gene:SETIT_034805mg transcript:KQK87391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQGKKLINNPDDVVTEFIEGLVETYPGLQYLDGFPQIKVVLRADVERGAYDKVAVISGGGSGHEPAHAGFVGPGMLTAAVSGDVFASPPVDSILAAIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKMEMVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAADAGLSLADVAAEAKYASEVVGTMGVALSVCTLPGQATSDRLGPKQMELGLGIHGEPGVAVVDLQPVDVVVEHVLKQILSQETQYLPITRGSNAVLLINGLGATPIMELMIAARKAVPELQLAYGIAVDRVYIGTLMTSLDMAGLSITIMKSDENILKRLDAPTKAPAWPVGSEGNRPPAKFPVPVPPSPSMEDDEVLAQPQELSKQGCILEAAIEASATEIISLKDILNEWDSKVGDGDCGTTMYRGATSILEDMNKRYPLNDAAGTINEIGATIRRVMGGTSGILYDILFKAAYASLKQSTTVTANEWADALEASVAAVSKYGGASTGYRTMLDALIPAATVLKQRLKAGEDPVTAFIASSEAASVGAESTKQMQAKAGRSSYIAPDLLASIPDPGAMAAAAWYRAAALAVKNKLHGSKS >KQK89384 pep chromosome:Setaria_italica_v2.0:IX:26774026:26775763:-1 gene:SETIT_036383mg transcript:KQK89384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDMKHLRVRFSGLGHEDRGGGETIQVPYQQSTSFGRGLMSNAEEYDAAYAAMVAAVAYAIAAREERLASQEKPVAERFGTEGKPMVEKVASGKKHASIGEPRIRPQSKSPPQKAESLKRPIEGNTSSKWFSGKEPIDDGYDEEPGANVSVRRPLRPAHKKPGVISSDDKVAERLSNDSAPSIKKEPSFARKGPERKGSWKFEQDQGNQKLPPAPAPPAKPMSSYSGRDSTVATPVMTFPSEAEAMADAWEKEKLAKIKKQYNETMETIAEWEAEKKAKARRQKELKDESDSERKRAKALEEYNEEMSRINKVAAASRLTAEEKRRSADRKVRDKAQTIRSTGKLPRTCVCF >KQK88515 pep chromosome:Setaria_italica_v2.0:IX:14762354:14762929:-1 gene:SETIT_038549mg transcript:KQK88515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRRTPAVQGKVPNRIGNFGNHDKIAEVLLPHFVQRATSKTNKRAKPRAPVPSAVLRPAAAASPPRPHPNPTGRIASPAHARRHPAAHPRATTRPLTQRHPPRPVTRPNPLPSEPSPSLRPPRVPTDLSEPLQRSSPISLPGPLPHRKGPAPPRSPRARHLPPPRDPAASSVGGNEIASPAVAGRGSSE >KQK92660 pep chromosome:Setaria_italica_v2.0:IX:56647219:56652415:1 gene:SETIT_034755mg transcript:KQK92660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEKSPPGAAGSLAMESGGDMRAEEYAQDGSVDLRGNPVLRSERGGWTACFFIVVYELFERMAYYGIASNLIIYLTDHLHQGTVEASNNVTNWSGTVFLTPLLGAYIADAYLGRYWTFVAGSAIYLVGMVLLVLSVSLPALKPPPCHGDVCPKVSALQLGVYFGGLYIVALGNGGTKPNISTIGADQFDEFDPREKMHKLSFFNWWMFTIFLGILFSSTVLVYLQDNVSWSVGYGIPTLGLMVSIAIFLAGTKLYRHRLPQGSPFTSMGKVVAATLCKWNVPVPADAKELHELDVEEYTRKRKFRMDSTNTMRFLNKAAVKVKDDVGNGGSPAKWSLCTVTQVEETKQIMKLVPLLVTMFVPCTLIAQTNTLFVKQGATMNRHMGPRFQIPPASLGAFVTLTMLIAVVVYDLVFVKAVRRYTKNPRGITILKRMGIGMFLQVVTMAIASAIESQRLAYARRHGLDITGGELRLTIFALLPQFVLMGLADAFLVVGKIEFFYDQAPQSMKSLGTAMSLTAYGIGNILSSFLLSTVTRITRDRGNAWVTNNLNASNLDYYYAFLAVLGGINFFAFLALSAMYRYKAESTETVDIAMGLEAEKAKLQAEPLG >KQK92659 pep chromosome:Setaria_italica_v2.0:IX:56647219:56652415:1 gene:SETIT_034755mg transcript:KQK92659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEKSPPGAAGSLAMESGGDMRAEEYAQDGSVDLRGNPVLRSERGGWTACFFIVVYELFERMAYYGIASNLIIYLTDHLHQGTVEASNNVTNWSGTVFLTPLLGAYIADAYLGRYWTFVAGSAIYLVGMVLLVLSVSLPALKPPPCHGDVCPKVSALQLGVYFGGLYIVALGNGGTKPNISTIGADQFDEFDPREKMHKLSFFNWWMFTIFLGILFSSTVLVYLQDNVSWSVGLPQGSPFTSMGKVVAATLCKWNVPVPADAKELHELDVEEYTRKRKFRMDSTNTMRFLNKAAVKVKDDVGNGGSPAKWSLCTVTQVEETKQIMKLVPLLVTMFVPCTLIAQTNTLFVKQGATMNRHMGPRFQIPPASLGAFVTLTMLIAVVVYDLVFVKAVRRYTKNPRGITILKRMGIGMFLQVVTMAIASAIESQRLAYARRHGLDITGGELRLTIFALLPQFVLMGLADAFLVVGKIEFFYDQAPQSMKSLGTAMSLTAYGIGNILSSFLLSTVTRITRDRGNAWVTNNLNASNLDYYYAFLAVLGGINFFAFLALSAMYRYKAESTETVDIAMGLEAEKAKLQAEPLG >KQK92658 pep chromosome:Setaria_italica_v2.0:IX:56647219:56652415:1 gene:SETIT_034755mg transcript:KQK92658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLVLSVSLPALKPPPCHGDVCPKVSALQLGVYFGGLYIVALGNGGTKPNISTIGADQFDEFDPREKMHKLSFFNWWMFTIFLGILFSSTVLVYLQDNVSWSVGYGIPTLGLMVSIAIFLAGTKLYRHRLPQGSPFTSMGKVVAATLCKWNVPVPADAKELHELDVEEYTRKRKFRMDSTNTMRFLNKAAVKVKDDVGNGGSPAKWSLCTVTQVEETKQIMKLVPLLVTMFVPCTLIAQTNTLFVKQGATMNRHMGPRFQIPPASLGAFVTLTMLIAVVVYDLVFVKAVRRYTKNPRGITILKRMGIGMFLQVVTMAIASAIESQRLAYARRHGLDITGGELRLTIFALLPQFVLMGLADAFLVVGKIEFFYDQAPQSMKSLGTAMSLTAYGIGNILSSFLLSTVTRITRDRGNAWVTNNLNASNLDYYYAFLAVLGGINFFAFLALSAMYRYKAESTETVDIAMGLEAEKAKLQAEPLG >KQK87847 pep chromosome:Setaria_italica_v2.0:IX:9752243:9756053:1 gene:SETIT_038830mg transcript:KQK87847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDFLAATVFVTLALATLLLRLVSGWRAGGACEPRLPPGSRGLPLVGETLEFFTASPILELLPFFKRRLERYGPIFRTSLVGEDLIVSLDPELSARVLQQEERAFQIWYPSSFMRVFGADNIITALGPLHRHMRALMLRLFGPERASASSCSAMCSGARAPSCGRGSADRTSRSGRPPPGYVDMIFGVTAKKLISHDDAAAQGSLWKCFDACTRGLLAFPAQHSTRGSEKVMKMLKRQLGERRDAAAERGAVDFFDVVIDELEKPGSEMNESIALDLLFLMLFASHDTTSIGLTAILKFLTDNPEALQELTEEHERIQKRRAHPDSEITWELGDTPEPVGCSKDFMAFGGGARLCVGADFAKLQMSIFLHCLLTEYRWKAIGGSTMVFYPGLRFPDGFHIHLLPKD >KQK90198 pep chromosome:Setaria_italica_v2.0:IX:40561139:40561884:-1 gene:SETIT_039717mg transcript:KQK90198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGGELKLLGVWDSPYVNRVQIMLNLKGISYEYIEEDLLNKSKLLLNSNPVHKKVPVLIHDGKPIAESQVIVQYLDEVFSGTGPSVLPADPYKRATARFWAAFVDDKVGSPWHTILFAREAEKKADAAARIITALGTLEGAFKDCSRGGDYFGGDGIGFVDVVLGSYLGWFKVFEKMVGVRVLDAARTPLLAAWGERFAAADAAKDVLPSDVDKVIEFLQTFLD >KQK88252 pep chromosome:Setaria_italica_v2.0:IX:12585630:12590028:1 gene:SETIT_034300mg transcript:KQK88252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAAERDGQFEVIDIESGLDGAFSIVDRQDSLFREAVRGHHHRAGEGHSEQDSWWRTLRLAFQCVGILYGDVGTSPLYVYSTAFDHGVGHPDDILGVLSLIIYSFMLFTVIKIVFVALHANDDGDGGTFALYSLISRHAKVSLIPNHQVEDELVSSYSRHGKPSATLRRANWLRHLLETSKSAKISLFLLTILAIAMVISDAVLTPPISVLSAVSGLKEKVPDLTTDQIVWITVAILIVLFAIQRFGTDKVGYSFAPIILLWLLLIGGVGLHNLIKYDVGVLRSFNPKYIIDYFRRNKKEGWVSLGDILLVFTGTEALFANLGYFSIRSIQLSFSFGLLPSILLTYIGQAAYLRKHPEHFADTFYRSVPSTLFWPTFILAIAASIIGSQAMISCAFATVSHLQTLSCFPRVRILHTSKRFYGQLYVPVVNLLLCVAACLVTVSFKTTTIIGKAHEICVILVMIITTLLMTIVMLLVWKISIWWIALFFVIFIPIESVYLSSVLYKFTHGPYVPVAMSAVLMVVMIVWHYVHAKRYKYELKHTLSPNKARELLEHHDLKRVPGVGLFYTELVQGIPPIFPHLIEKIPTIHSVLIFVSIKHLHVPHVDASERFLFRQVEPKEFKVFRCVARYGYRDSLDQEAEGFVLALVESLQYYIRDVNLYSADEIQNISYPISRDQSLSREKPSGRHAIYAEEMITPIQSFSELTTLSNGVSNRLPQFQVSKMNIAELAKIEEEQKFIQRETERGVVYILGETEVVARPQSSLLKKIVVNYIYSFLRKNFMQGEKMLSIPHGKLLKVGISYEI >KQK88251 pep chromosome:Setaria_italica_v2.0:IX:12585696:12589435:1 gene:SETIT_034300mg transcript:KQK88251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAAERDGQFEVIDIESGLDGAFSIVDRQDSLFREAVRGHHHRAGEGHSEQDSWWRTLRLAFQCVGILYGDVGTSPLYVYSTAFDHGVGHPDDILGVLSLIIYSFMLFTVIKIVFVALHANDDGDGGTFALYSLISRHAKVSLIPNHQVEDELVSSYSRHGKPSATLRRANWLRHLLETSKSAKISLFLLTILAIAMVISDAVLTPPISVLSAVSGLKEKVPDLTTDQIVWITVAILIVLFAIQRFGTDKVGYSFAPIILLWLLLIGGVGLHNLIKYDVGVLRSFNPKYIIDYFRRNKKEGWVSLGDILLVFTGTEALFANLGYFSIRSIQLSFSFGLLPSILLTYIGQAAYLRKHPEHFADTFYRSVPSTLFWPTFILAIAASIIGSQAMISCAFATVSHLQTLSCFPRVRILHTSKRFYGQLYVPVVNLLLCVAACLVTVSFKTTTIIGKAHEICVILVMIITTLLMTIVMLLVWKISIWWIALFFVIFIPIESVYLSSVLYKFTHGPYVPVAMSAVLMVVMIVWHYVHAKRYKYELKHTLSPNKARELLEHHDLKRVPGVGLFYTELVQGIPPIFPHLIEKIPTIHSVLIFVSIKHLHVPHVDASERFLFRQVEPKEFKVFRCVARYGYRDSLDQEAEGFVLALVESLQYYIRDVNLYSADEIQNISYPISRDQSLSREKPSGRHAIYAEEMITPIQSFSELTTLSNGVSNRLPQFQVT >KQK90823 pep chromosome:Setaria_italica_v2.0:IX:46003294:46007178:1 gene:SETIT_0341912mg transcript:KQK90823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPVVGSALERYHQHRGGGGAAAANGSTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKSDPNTLPQRGGEVNVTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLFEWKTALEEALAQAPNAALVMGHNGIFRNDTADTYEGSIPNWREKRPIKSLVTGRPILLALEDIDGSPSFLEKALRFLEKHGIKVEGILRQAADVEEVDRRLQEYEQ >KQK91946 pep chromosome:Setaria_italica_v2.0:IX:52863355:52865646:1 gene:SETIT_034513mg transcript:KQK91946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLCRSPSSLLPSWPHRPISASFHPKNPSSPVAAHVSVQDPPPQQDPAPPSDSSPNGSRPSSNTRYLWVNPNSPRAADVVRARAGSGRRSRLASAAAALGACEPAEAAVAAALEAAFPEPPSEQDAVIVLNTAAAARPETAVLALRWFLRNAEIRKKVILYNVVLKLLRKKRRWSETEELWGEMLRDGVQPDNATFSTVISCARACGLPSKAVEWFEKMPEFGCSPDMLTYSAVIDAYGRAGKAEAALRLYDRARAEKWQLDPVICSTVIKIHSTTGNFDGALNVFEEMKAAGVKPNQVVYNTMLDAMGRAMRPWVVKTIHREMVDQQVQPSKATYCCLLHAYTRARYGEDAMAVYRLMKDEVMDIDVVLYNMLLSMCADIGYVDEAEEIFRDMKASMDARSKPDSWSYSSMVTLYSSTANVLGAEGVLNEMVEAGFKPNIFVLTSLIRCYGKAGRTDDVVRSFGMLEELGISPDDRFCGCLLSVAGNTPDEELGKVIDCIERSNAQLGAVVKLLVDRSASSGSFREAASELLGSARGLVKMPYCNSLMDLCVNLNQMEKACALLDAAQQLGIYANIQTRTQTQWSLHLRGLSVGAALTTLHVWMNDLYTALQSGGEGLPPLLGIHTGQGKNTYSDRGLAAMFEAHLKELDAPFHEAPDKAGWFLTTSVAAKHWLEIKKASELVAV >KQK91906 pep chromosome:Setaria_italica_v2.0:IX:52615045:52615538:1 gene:SETIT_038446mg transcript:KQK91906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRSQDLNIGGPRHQTKNDLIKTSRTLICMDDKVILQMRVPNNTFDRICRKWAILHVAM >KQK86273 pep chromosome:Setaria_italica_v2.0:IX:1045355:1046062:-1 gene:SETIT_038139mg transcript:KQK86273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQRLMILQWRSLFFSFVAYDSTSQSTCARKRSVRCCCGCNHKSSTTSPSSTAAESDKETSARTGRCALTNLVGWRKDKMAALSLPRFECNLMRECDHGAQALNRIKN >KQK87866 pep chromosome:Setaria_italica_v2.0:IX:9835821:9841392:-1 gene:SETIT_034021mg transcript:KQK87866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGAGSDQGSPGSEMGDGDNDSVGYGAEMEVDAGSGSAGASAPASSASASASASAYAARAGAYDGVDPFEGMEFDDEEDAWTFYNVYAHRVGFSTRISVMHRSRRDGSVMSRQFVCAKEGFRTYRGKNEVARADVADAADDDSARGRRTRAVTRVGCKAMIRVKKQDNGRWSVTKLETAHNHPLVPANQAHCLRPHKPLSECGKQRPFGGHRNGGMLLAIEPPPTPLTPSVPHASIVQMVPHYVSDGIGNATRVILDYVKRMQAEDPAFFYAMQFVEGRPVGNVFWADARARTSYKDFGDAIVFDDYFKRSKHEFPLVVFTGVNHHCQPVLFGCAIIADNNEASFVWLFETFLLAMSGQHPASLTMEHDNTLHSAAAKVYPLTRLQFCKWHIMNEAQDKLSHLLNAFPSFHVDFINCINMSQTIDEFEANWKALISMVSSQNTEWLNSMYNCRHQWVPVYLRDTFFGDLSLKQQCATRSSLFEGYISARTDSQSFIQQYEKALDCCYEKEVKEEFETKYSLPDIKTSSPIEKQGAELYTRSMFLKFQQEMIDASVYTAEMVKEESGVSIYIVTKSEGSEKPVTVQFSSSGSSATCSCRMFEYFGIVCRHILTVFGVRGISALPSQYFVKRWTKNAMDRSSSKKVDEVNRVEEPKEEQRSSAEDDEHSPTWRYNSLCREALRYAEEGASSLEVYIVAMQALQEAANRVNMAKRGIGQVAPLAVMPIAAQRPESFGKFQEISSNKQKKRKRNSNSSRENTTSNQLMYVQQPVNFLFVAPGSSSALQGPSQLVAAAPVSLSTQYGQTSGTSNSVDDNIPPASVVVDKFTGLPDRNASASAPSSGNLQGGEAKSTGAVSQIKESPELSQANGNRGCCVNTLNSNAAPQLVTVPIGLCLPSMDGSKIPAAGVNSLNSGGSNNNGKLSLGLHQPESSTQQPATPSQTKTLESIDSRTNPEGYSIRAAAIAAGARIASPSDAASIIKAAQSKDAIRIRPGESLPNYLKPLAPKPLSSLPPVNTPNSAHANPGQRSFGDSAAAKDAIFGSSDGSDDDEYDDDDDTDDEDEGLTGDDAEHE >KQK87474 pep chromosome:Setaria_italica_v2.0:IX:7481364:7482793:-1 gene:SETIT_036415mg transcript:KQK87474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLDVLLGRTTKQTARLRSLLGLAVTRLGVVRGHRQVRFAQARGDVEQLLRLGHADRALARAEHVVREQNALDVLAELEAYCGLIVERAALVDAHRECPEELREAAAGLVYAAARCGDLPELQEVRGILAAKFGRGFVSAASELRSGCGINPKIVQKLSTKQPSLESRQMVLQEIAAEKGFAVSVYEPPPCEGSGRSNHNRRKTKHDEEMIRMPPADDLDEDVSGDSAQRYKDVEAAAQAAFESAASAAAAAKAAMELSRGESRGPGDRGKTGTTRMDDESKKEGEMLDGKTSEKIGHARNYSSEIEILPEDEADHGDVAVKEQKHHEQREPARGKPASVRTKWGF >KQK91419 pep chromosome:Setaria_italica_v2.0:IX:49705363:49705832:-1 gene:SETIT_040791mg transcript:KQK91419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEKFAVPIFCCLVIFLCRQDTRELECTWKSWRWRQATRRRYVLRQ >KQK87705 pep chromosome:Setaria_italica_v2.0:IX:8775901:8776365:1 gene:SETIT_040664mg transcript:KQK87705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLILGPIFSCSLIYLNAVACLNIDTLDLDVFLQRGRNSLAHECIILAKADGLIDTRMC >KQK91487 pep chromosome:Setaria_italica_v2.0:IX:50144554:50146030:-1 gene:SETIT_038538mg transcript:KQK91487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATSEAAVADAINTATAEIAGISDAPSPSSGVPDLTLVDLPGITRIPLKDIYDQVAKIIMEYIAPKESIILNVLSATVDFPTCESIRMSQQVDCTGERTLAVATKVDKNPEGLLEKVTMDDETYDQARAEEARLFKNHPLLSKIDKSMVGILVLAQRLMQIQASIIAKCLPNIVKQINKRLIHSSTKLDQMPPDLNNTCDAVRVFFHIVKKVCTSLEKILVRAEFDDFPDDSYFHGTARIAEMLKWYAKKLPTKCPMRSDELFLMEEVRVVEETMGINLPNFLPRSAFHVILKKKEYVEDLVMKILLQHSENFSQEQSSCRRAIQSLMEKTRAFDLRAWLTTYWKSIVLRLIDGLALHVLRGVKRLVENDLEEELVD >KQK89654 pep chromosome:Setaria_italica_v2.0:IX:34538701:34539459:1 gene:SETIT_039495mg transcript:KQK89654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHHLPPDPKDYHHRGGRKGVHTDDLSRGRCYSYYYGCGGGGGGGGGRALCFALLVLLLAAGITLLVLYVVYRPSHPSLAVTSAAVLALYNATANTAGGATALAASFQFTLVLRNPSARSAARYDRLAAYAAYRGEPLTPATPMPPLAQDAGTAVEVAPVLGGGVAAPPVPVSPDAAAALAADVAYGVLALRVVVLGRVRFVSGPFHRGWHSMYARCDLLLGVRKAVGNNGAGGGPQQAPLLGDPACNVDM >KQK92164 pep chromosome:Setaria_italica_v2.0:IX:54089644:54092838:1 gene:SETIT_039145mg transcript:KQK92164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAPSIPAAIDSLQTYSTALSAFTAAWRAVESHAAALDSTLAARLAGFSELELICSAMDGAGLREHLTQHRDELKEPARALDAALLVAPDPGLLVLSAAAGFCRAPPEEAKSDGDIKVSYRLLIGLLDRLRAVGVKPSPEACDEARAVAADWKRGKRIGSDVMFKQETVAFLLLVGVFGLVEDVGGAGEVLDLVVSIAGRERAVDAFVGLGLDLDQHMPVLIEKMTQKGKQLEAVKFIQALNVVHKYPLLPVLRSYISAAALAGKMIQIRGDDPASQAHSAADSKERTLLGTLQKFIKEQKLEELPILEEANKRLAQLEQLSAERKRAAAAAVAAAQQVSKNIEQQQKILQLMQPAKRSKVDNVVQGSSGQNVHSAVVPSQQFILRQSIHTAAAPNRYQAALNPNVLPVITPIPQVVTGNHRPVGIQSQVPVAPAVLTQYGGLADYEGMSSRPYRSSTLVLGPSALNVPSGHSPSRSNLYSGDPLVAVSRSSGKRGSSYNYSLSNMSTYDPK >KQK91444 pep chromosome:Setaria_italica_v2.0:IX:49839769:49840984:-1 gene:SETIT_037959mg transcript:KQK91444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAASGMAVDDDCKRRFLELKAKRTYRFVVFRIDEEQKQVVVEKLGEPNLTYDVFAAILPADECRYCIYDFDFVTEEGCQKSKIFFIAWSPDTAKIRSKMLYASSKDRFKRELDGIQVELQATDPTEMGLDVIRGRAN >KQK86390 pep chromosome:Setaria_italica_v2.0:IX:1606484:1608217:-1 gene:SETIT_035261mg transcript:KQK86390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLQTRNSHRQPRRLYEQNRAAAFTGRQGQTATHKSQPRRLLLGPADLAHPCLTLSKAMTPPSAPTMAAEINANHGGRHRHVLLFPLPYQGHINPMFRLAGVLHARGFAVTVFHTHFNAPDPARHPHYRFVPVPDGMSGPAPVATEDVVVHIVSIGGACEAAFRDRLAAVLEEYSRDAVACLVADAHLLPIFQAANCLGVPTLALRTGSAVSFACFTAYPMLCEKGYLPVQDSQLDTPVVELPPYRVRDLIHIGKDGHEVECEMMARAVAAVKASSALILNTFDALERRELEGLRQDLAVPVFDIGPLHKFYPASDSSLLCQDRSCLEWLDAWPPASVLYVSFGSLACMSPRDLEETAWGIAGSGVPFLWVVRPGLVGGCAENHLPERFEAATRGRGMVVGWAPQEEVLRHRAVGGFWTHNGWNSTTESICEGMGNARYVEHVWEVGFEVSGELERGSVEEAIRRLMTESDGAGMRKRAGELKKASAECTGKGGSSCLAIDKLVTHMMSL >KQK89553 pep chromosome:Setaria_italica_v2.0:IX:32853040:32856240:1 gene:SETIT_039069mg transcript:KQK89553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDDDGPNILLDDEARRFPNEGPMFCCKSGKVHTYIPEVPYELRRFFGSQTYRDAMYFRKHIQYFNSHFSFASFGVSIDQRLATAKGSSVYCFKAHGQIYHKLDPLTPSGKGTRHMQLYFYDTDDSIDHRVKRRYNALAMEQVAAISVDGNDPQHRFSRSIVIYGKENDPHYIRVYHGCYDPLAYPLFFLGGETSWEDKKIEFRIPPLSKPKRKYTKRERRESGGSRRWVSAREYKCYKLQIREGQFNVFCHAGHLFQQLLVDWYVKVESMRLDWYSKPAHQALIRADLYQGLLDTLATGEANASKARLRIVLSKQFPGSDRDVQSRFMDALTLVTRYGKPDYFVTMTCNPYWDKIDHPDVVAWVYHAKLLDIHDFSIKKGHLGTVAAWAHVTTFQKRGLPHEHFLLVMESGSKLKSPDDYDKYISVEIPDPNKYPRLHELVVKHMMHGPCGTLNKSCPCMLLMRYNCHINVEICSSIKSVKYLYKYIYKGHDQTSFSVDPKGNERRVINEINKYRDARMITAIEAMQVHLPGFHMIAYKGTNNIQDVVDHAKSQRSMLTEYFKMNERSAKAHNYLNKEFPEYFTWNKSGKYWKPRVAKQRLHIGRLAYVNPNEGDKFYLRVLLN >KQK91531 pep chromosome:Setaria_italica_v2.0:IX:50417280:50427360:1 gene:SETIT_033967mg transcript:KQK91531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGQDSSGTTLMDLITSDPSAASGAGASSQQQSSSGGGGGGSGVGSLLGKPAASAADRKSKRGTLTQIQNETISAAKALNPVKVLPQRNRKKKPVSYAQLARSIHELAATCDQKSSQRQLVNSVFPKLAVYNSVDPSVAPSLLMLHQQCEDRNVLRYVYYYLARILSDNGSQGLSAAGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSAESSSDDVEFHARRLAALKALTSASPGSSEMMEKLNEIVFGILEKVADTKQKRKKGIFTKQGGDKESIIRGNLQYASVSALRRLPLDLGNPAFLHRAVQGVEFSDPVAVRHSLSIISEIAAKDPYSVAMALGKSAQPGGALQDILHLHDVLARVYLAKLCHSISRARVLDQRPDIKSQYSSLLYQLLLDPSDRVCFEAINCVLGKVDNTESMEDRAGGWIRLTREILKLPEAPSVASKGVLSKSSEKSSKARRPQPLIKLVMRRLESSFRSFSRPVLHAAARVVQEMGKSRAAAYALGAYDEGAPLDVESLDSELENPTAEATRKPNPLSNGHGGMDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQNPHESFEELKSIIACELSDPAWPSSLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGFTSVDMVSASDPKSAMALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLASALTRLQRCAFSGSWEIRIAAVQALTTIAIRSGEPYRLQIYEFLHALALGGVQLNFSELQLSNGENQGASGTGLGSLISPMLKVLDEMYRAQDDLARDIRQHDNSKQEWSDEELKKLYETHERLLDFVSLFCFVPRAKYLPLGPTSSKLIEIYRNRHNISASGGLSDPAVATGISDLMYESKDVRKETTTMQSGIDPDLAMAWAAGLEDDDWANNAPAVDKVKDFLAGAGTDAPDVDDEEYMNSRPSVGYDDMWAKTILETYEAEEDDGRYSGGSSPESTGSVETSISSHFGGMNYPSLFSSKPSSHGASQQTIREEPPSYSTSVLQRKESFENPLAGRGGRSFGSHDDEDKSSSNPQSGKALYDFTAGGDDELSLTTGEEVEIEYEVDGWYYVKKRRPGRDGKMAGLVPVLYVSS >KQK87308 pep chromosome:Setaria_italica_v2.0:IX:6570202:6579022:-1 gene:SETIT_034681mg transcript:KQK87308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVKPTPEMEEKAEEVRQEVEGAGGAEGSPDAVDAGFAGEEEEEEEKEVSFDELGLDEQLKRALRKKGIAKATPIQQEAIPLILEGKDVVAKAKTGSGKTFAYLLPLLHELLKLSSEGRIRKPAPNAFILVPTRELCQQVYNEASSLLEFCTSKLKVVQVTASMSHKDITVALSGPPNILVSTPACVATCISKGIMRGSSIKESLSMMILDEADLLLSYRCEDDLKALIPHIPRSCQSILMSATSSSDVDKLTKLLLHNPFILTLTEVGRAKDDVIPKNVQQFWISCDVKDKMLHILALLKFELIQKKVLIFVNSIDAAFRLRLFLEKFGIRSAVLNAELPQNSRLHIIEAFNARLFDYLIATDDAKTKEEKQTDKENKKDARVSRKHLQQTLDAEFGVVRGIDFKNVFTVVNFDMPPDPAGYVHRIGRTGRANKTGASISLVSAEENSIFEEIEHMLRDVEKKDTDCISPFPLLMKDAVESLRYRAQDVARSVTTRDIQEARRQDIKNEILNSKKLKSHFEENPRDLDLLKHDKLLSNKEIPAHLRDVPDYLIDPKTKEASNVVKLSRAAMGIDKPQRRKRQGFKGGSGKSRDPLRTFSAEGKSRRRGRKEREGEPDRRKKSKKAES >KQK90934 pep chromosome:Setaria_italica_v2.0:IX:46728966:46730515:1 gene:SETIT_035243mg transcript:KQK90934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEESTAWSTSPLLLLGTLLSLVASLLFLLRRAHGCRNATPASKGQLPPGPPALVFLAKFLALRRSIFDLGPILRELHTRYGPVISVRHFRTVVFVADRRIAHRVLVQGGTTFADRPPLFDPGRLLYTAGARDMSSSPYGPYWRLLRRNLAEALHPARVSLYAPARRAALDVLVADLLRARGGDDSNPVLLRPAFRLALFQMLVYMGLSARLDAEVLDEVQDILLKILRSITCFPIFSFFPAITKKIFRKRWEAYVAVSRRLDEILLPLIQARRAARRGDDPPCYVDSILALRLPDEGDRPLTDAEVVSLCSEFLNAGTDTTVTLLEWIMAELVNHPDVQAKVYEEVKSKPELNDDDLQEARYLKAVVMEGLRLHPPAHFVLPHGLQSDAEIAGYTVPKGAEVNVLLGEFGRDEKVWTAPLEFRPERFLDGGEGFDVDITGSKEIKMMPFGAGRRMCPGYTLGMLQVEFFVGSLVRELEWLPLAEGEAVDMTERLDFTVVMKHSLRARIIPRN >KQK92401 pep chromosome:Setaria_italica_v2.0:IX:55313966:55316801:-1 gene:SETIT_037049mg transcript:KQK92401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARSDNHLWTMKTRRSRNEVERELGMLFSKGGGSGVGTKSKYSGSKFNMVVEDIREGVLVFEDEDDAVKYCDLLQGGGQGCEGIAEIEASSVFSMCQKMKALAVLFRRGRTPPLPQSLERDLRARKRSMED >KQK92402 pep chromosome:Setaria_italica_v2.0:IX:55315042:55316801:-1 gene:SETIT_037049mg transcript:KQK92402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDGGIPGTSGEFLRRVSSRAYGMRRHLMESLDSLAYDVLETNPWREDSKPVYVLARSDNHLWTMKTRRSRNEVERELGMLFSKGGGSGVGTKSKYSGSKFNMVVEDIREGVLVSSCLKGVHVWDMFLAGNLPFFLFFCLTSTVTCLVHNLHAPFLTYASLGWYLAKIKTSTLLVNTLLNSNINMLLVW >KQK92612 pep chromosome:Setaria_italica_v2.0:IX:56347841:56349645:-1 gene:SETIT_0360912mg transcript:KQK92612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQYECMTKDSSIAAAVFVPFYAGFDIARYLWGYNISTRDAASLDLVDWLMKKPEWNVMGGRDHFLVAGRITWDFRRLTEEESDWGSKLLFLPAAKNMSMLVVESSPWNSNDFGIPYPTYFHPAKDADVFLWQDRMRSLERPWLFSFAGAPRPGDPMSIRGQLIDQCRSSSVCKLLECDLGESKCHSPSTVMKMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYVQYTWHLPKNYTRYSVFIPEDDIRTRNASIEERLKSFHPDVVKNMREEVINLIPRVIYADPRSKLETLKDAFDVSIEAIINKVTKLRRDIIAGHEDKDFIEENSWKYSLLEDGQHTIGPHEWDPFFSKPKEKGGDSSSSSAEAAKNSWKSEQRGQN >KQK87319 pep chromosome:Setaria_italica_v2.0:IX:6630155:6631899:1 gene:SETIT_040281mg transcript:KQK87319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMVADEKAQPQLLSKKAACNSHGQDSSYFLGWEEYEKNPYDPVANPGGIIQMGLAENQLSFDLIEEWLEANPDALGLRRGGASVFRELALFQDYHGMPAFKNALARFMSEQRGYRVAFDPSNIVLTAGATSANEALVFCLADHGDAFLIPTPYYPGFDRDLKWRTGAEIVPVHCTSANGFRVTRAALDDAYRRAQKRRLRVKGVLITNPSNPLGTTSPRADLELLVDFVAAKGIHLVSDEIYSGTAFAEPGFVSVLEVVAARADAADGLSERVHVVYSLSKDLGLPGFRVGAIYSSNAAVVSAATKMSSFGLVSSQTQHLLASLLGDRDFTRRYIAENTRRIKERRDQLAEGLRAIGIECLESNAGLFCWVRMGSLMRAQSFEGEMELWKKVVFEVGLNISPGSSCHCREPGWFRVCFANMSAKTLDVALQRLADFAEANGSKGGAPTRRALAGGPARTMSCPVVRFSWANRLTPGSADRKAER >KQK89315 pep chromosome:Setaria_italica_v2.0:IX:23761743:23762514:-1 gene:SETIT_038416mg transcript:KQK89315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKEFLLVGAHRQTSCQSSSLLALIFNLFCFSASHDFLQGYGAWEEVINGRLQASHSASFRPSNY >KQK88425 pep chromosome:Setaria_italica_v2.0:IX:14136245:14144145:1 gene:SETIT_034556mg transcript:KQK88425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGCARAHGSARPGGQCTARPPPSSEAAANGRQPVERAESPRAGRFGLTGARRAHGVWPAVGWGPRPLAPVGARASAARPGQLAGARAWARGLARQRGTPELLPPPDEKKKNPVPSAPRGGRANKPPPHDGMASTPEPEDGRELYALLHLSPDASDEEIRRAYRQYAQIYHPDKYQDPQMKEVATENFQRIRDAYEILSDENKRQIYDIYGMEGLNSGLELGPKLTKPEEIKEQLEQLRRRKEEEKLFAHARPNGSIIANFSVPQYLDGNGIMKGMGMSSEVELPVSKQNTVVVGGNLVVNGSAGTGAASTVLRHQLSSASSIEFMATAGLRSVIGMQTFRQISPHSTATSGLAVSLRDGSINLSNAWTRQLSENAVGNIQLVLGDESIISVGWQRKDEKSTATGEIKFGTNFFGATAHYVRRFSSKSHARIAGRVGSTALDFEIGGGRRISEFSTVRMMYNIGIQGVSWRFELHRAGQKLIIPVLLSTDLNALFATSAFAIPSTLYFLLQTYVVKPYYLKREKQKTLEKMEGLSTQLTAARNAAKKAQKLLEPVSNRKKNRQVENNGLVITKALYGNRKKIKESGELNEIDDDVASQVFDVTIPLNFLVTEAGQLKLHEGIKKSGIMGFYDPCPGDPKLLLVEYTFHGRKYKVMVDDYESLLIPQDIHQF >KQK87678 pep chromosome:Setaria_italica_v2.0:IX:8648417:8650114:-1 gene:SETIT_038608mg transcript:KQK87678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSPRLLPEDVLADILARLAPRSLAVSRGVCREWRAVVDARCNLLPISMGGFFILTNESETPEFFVRPSMAHKIAADLEHYLKMDHWYYPPDIADSCNGLLLLEDRVVNPATRQWVRLPPYPALTETESRGNDRYLAFDPSLSPYYKVVSIKGPPYRKDERSEGLKWPPSVCTLLIYSSSTGSWEERPFVREGPTITIAANLWPFSDLGRSHGVYWNGALYVYWSGFSMRITLSNDTYQVLPLPTSIKTSEYYQPCLGKSKNGVHLVVVDDQKQLQVWFLDEFGGKMEWVLKHDAKLQAVKLPKYTYRPWILQDGNYNDQETTNKELVQEKELDWDSDDDNDDGIGEWGEKCSCKSIEVFGFHPYREIIFLLLRVCPS >KQK88313 pep chromosome:Setaria_italica_v2.0:IX:13080454:13092850:1 gene:SETIT_033913mg transcript:KQK88313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDSSKLGALKRREFFDNLVKCVEDDNLQFLQRQKDRIERVGLKLPTIEVKYENLCVEAESRYSGGNHLPTIWNSIKGVFSGPIRLFGSKSNNKVKINILEDVSGIIKPCRLTLLLGPPGCGKSTLLRALAGQLDKSLKVTGDISYNGYRLDEFVPEKTAAYISQYDLHIPDMTVRETLDFSARCQGVGSRAEILKEVKKREKVAGIIPDHDIDLYMKATITGATEKSLQTDYILKIMGLDICADTMVGDAMRRGISGGQKKRLTTAEMIVGPSKAFFMDEISNGLDSSTTFRIIKCFQQLANINECTMLISLLQPTPEVFDLFDDLILMAQGKIIYHGPRNEAHNFFEECGFRCPERKGMADFLQEVLSRKDQRQYWSGTDESYRYISSDKLSSMFEKYQKRRKLEEATVPHKSELDKESLSFNKYSLPKLELLKACGAREALLIKRNMFVYAFKTVQLSVIAVITMSVFFRTHMTTDLTHANYYMGALYYSMLLLVLNGLPEMSMQVARLPSFYKQKRYYFYPSWAYAIPASILKVPISLLDSIVWICITYYGIGYTATASRFFCQFLILCLLHQSVSSSYRFIASYAQTHILTFFYQFISLAVFLVFGGFVLSKSSMPGWLSWGFWISPLAYAQISMAINEFLAPRWQKETMQTKTIGNQILTNHGLYYNWYFYWISVGALLGYIILFYIAFGLALAYRKRKFTTKTIEYNGSIPRKCCINGQEEEIDIHKKSNDRANMTQEAKMVMPTMQLALTFRNLNYYVDTPKEMLKQGYPARRIQLLNSVTGVFRPGVLTALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGRTKVQETFVRILGYCEQVDMHSPQLTVEESVAYSAWLRLPSKVDEKTKSEFVDEVLKTVELDEIKDALVGRPGMNGLSLEQRKRLTVAVELVSNPSIILMDEPTTGLDARSAAIVIRAVKNISEKGRTVVCTIHQPSTDIFEAFDELILMKNGGKIIYNGPIGKQSCKVIEYFEKISGVPKVERNRNPATWMMDITSPSMEVQFNIDFASTYQESPLHRDRQELVKQLSSPLQNSDGLYFTYRFPQNGWGQFKACLWKQNIIYWRSPQYNLNRMVMTVMLALIFGALFWRRAKILNNEQDLFNVLGAMYMGVIQLGVYNEHSIISFSTTERIVMYREKFAGMYSSWAYSLAQAAIEIPYVFIQAILYTFITYPTIGYYWTAYKLLLFFYAIFCSVLSYIYVGLLLVSVTPNVQVATILGTFFNTMQTLFSGFILPAHQMPKWWIWLYYLGPTSWILNAQLTSQYGNIGKEVNVFGETKTVAVFLNDYFGFHQDRLSIVATVITAFPIVVIILYTLSVEKLNFQKR >KQK88419 pep chromosome:Setaria_italica_v2.0:IX:14070368:14080701:1 gene:SETIT_033944mg transcript:KQK88419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAAASAAPEPNAATLALSEPAGAVADGEASIDPTAVGEASIDPTAVGDDEQDSKEVVLRRYFLHEWELVSVILRRIVTGGGVAEPADVHRIRSIMDKYQEEGQLLEPYLESIVSPLMSLVRSKTMELGAGTNELLNIIKPLCIIIYTLVTVCGYKSVIKFFPHQVSDLELAVALLEKCHTISSTTALRQESTGEMETKCVVLLWLYILVLIPFDISTVDTSIASADSVDGTEVVPLVTKILDICKDYLSSSGPMRRISGLLLARLLTRPDMANAFSSFMEWAHNMLLSVTDDFVGQFRSIGIVEALASIFKIGNRRMLYDAVSVTWNDCSVVMKTNVSARSPLLRKFLVKLAQRIALISLPPLSPSWQYKSISSSLGANLSSSTTGQLYSSGSSEQANIDQTDVCFLEEVMDVPEIVEEIIDLLLTGLRDSDTIVRWSAAKGIGRITARLTPTLSEEVISSILQLFSPGEGDGSWHGGCLALAELSRRGLLLPSSFPDVIPVIIKALHYDVRRGPHSIGSHVNCRRAASAAFQENVGRQGTFPHGIDIVNTTDYFALASRSNSYLNVAVSVAQYKEYLYPFADELLCNKITHWEKSLRELAAQALSLLVQYDMDYFGGHALEKLVPCTLSSDLCTRHGATLAAGEVALRLYQLGFTFSTDMQKALSGIVPAIEKARLYRGKGGEIMRSAVSRFISCISIAGISLNEKIKKSLLETLNENLRHPNSQIQCAAVDALKNFIPTYLVSSGEKIANDIISKYVALLDDPNLAARRGAALALGILPYKFLVLKWMPVMSKLCSSCTIEDKPDDPDAEARVNSVRGLISVCETLTASFDQSSDGGDSIYAYIKDYVMQALFRALDDYAVDNRGDVGSWVREAAMDALERCTFILCRRDIVALRTLPASGLESELSEMEVNASSTTHRLFDSGIAQDLVAGIAKQAVEKIDKMREIAIKTLQRILYHQEHLIPFIPRRELLEEIIPSSTDLEWAVPTVSYPRLVKLLQVSCYSKAVLSGLVIATGGLQESLKKASTSALVGYLEDSTINTDCEGKSREYMLSCDLLWVLQRYRKCDRVITPTLKTIEALFSKKVFLNREGYSEFYSGLVDSVGSELKGSKDFTKLCAGLSILGYISSQSDGTCTKAFSQLLTFLGHRYPKIRKAAADQVYLVLLQNDDLIPSENIDKTQELLAETCWEGNLEEARCKRSQINEMAGFRIATSLKSENQETRAAVQNAVSTDENKSYSSLVDFSGY >KQK92959 pep chromosome:Setaria_italica_v2.0:IX:58056408:58057438:-1 gene:SETIT_039315mg transcript:KQK92959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDGTCPLRRIDASTLFFSRNQVKIIRPPEETPLPRPYLRRQGSLEFFGLFGRGPKKSLLAAVDYGGVSHVYDLRDSAIHGIVSPPRPPGDDGPKKHSDPAVSLAPDPVSRCGFQALTRDTPKDDATGKLGWGWHRLRPPPFVLEPGYRTTRIDGYTVVGGSAIWVSTPGIDTVRGSWSKAGDWELPFQGRADLFPEYGAWLGFSSRDGRICCSSGLEAMAQRAPGLDAVWDGLHLPGKWTPLKSRLVQLGPGGGKFCLAILYERIDNEVFDQVTIPQVERCAVFTGLVLKRGGSDGMGLEMTKHKSRIYRFQGGTTTGWVF >KQK92758 pep chromosome:Setaria_italica_v2.0:IX:57126453:57127481:1 gene:SETIT_038598mg transcript:KQK92758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSFHPTTAPLFGHLPAADMSFLQDPEVTDALLGFVYDPLDPANAALDDLLNLPLHDDDDAAFLGPLHDDSDGEVKQHCAKKQRACGDGAWRGLADIDATGQLCNSGGNQQVPALPELLNEFVLPLPPPSPPPLPPAAQLPAPGSFVRAAEAKKAAGNVSQSLQSAAARQRRKRISEKTAELSRLIPGGHKMNTAEMLEAAARHVKLLQAQVGMLALMRTVGSTENEKMPSVAQEQMHKLLVRGDVQERLAAEGKCLVPRKLVDAVAKDNSVMSNALVNRELGWFVASLPAGQ >KQK90677 pep chromosome:Setaria_italica_v2.0:IX:44567439:44568644:1 gene:SETIT_038109mg transcript:KQK90677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRLALQLRFAVRTPSSLHKVRQQQLQLVDSAVHQLAAATCVGCQVLDFSSSCIAVLCSRRAVAALQVTTAAVCSVFSATCSFYSQVQEQGLFGMPLVARPGPSQTLLWKADQ >KQK93025 pep chromosome:Setaria_italica_v2.0:IX:58397159:58398226:1 gene:SETIT_037301mg transcript:KQK93025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPANLRDYIIHGESWIALNSYMDTLQGGPLVADAPKGQEEGYLPRRLHLNAQKTMYLSIRLLLSVIRIGRCPRNLTVNSIVLTRPDFRPRFVGNVDFEQNPDNPTCDAAMSALAGIFENILWSHLPEDESVPDEVHNLLYLMRQQDSFKLTEAIQYHSCLVPLENRGHLFLKEYQYTVDILRKIEPLNFRYVMTQLVYPRHWKIIAESNPYVQAVIERYEYTVSCLFTFVPS >KQK86173 pep chromosome:Setaria_italica_v2.0:IX:612630:615141:1 gene:SETIT_037064mg transcript:KQK86173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAHAKRVLLTATGDAISWGIASNLAKHGCRLVLVGDEDSLAATVEEVRRCGGGGVAVVGLDFESCDEAAVDAAVDRAWRCFAGLDAFVNCYSYEGEVQDCLSISEDEYKKTMKVNVTTPWFLMKAIAKRFRDTKSGGSVVFLTQIIGAERGLYPGAAAYGTSLGAVHQLVRLSAMELGKHKIRVNAACRGLHLQDKFPVSVGKEKAEKATAQVMPLRRWLDPDKDLASTVLYLVGDESCYMTGTTIYVDGAQSIVRPRMRSYM >KQK86224 pep chromosome:Setaria_italica_v2.0:IX:842725:843920:1 gene:SETIT_038297mg transcript:KQK86224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMRKQLDVLMGANRNGDVEEVNRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKIPSLQLRKEYPSFYLVMCEFSSRF >KQK91213 pep chromosome:Setaria_italica_v2.0:IX:48508513:48514633:-1 gene:SETIT_035209mg transcript:KQK91213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTSQSLIFRAPASLTGDRLAALSGCRVALRGRSAAFPSVAAASTSVANSDGDEKKETKLWGGRFEEGVTDAVERFTESISYDWQLYKYDIMGSKAHASMLASQGLITAGDRDIILEGLDQIERLIQEGKFEWRKDREDVHMNIEAALIERVGEPAKKLHTARSRNDQIVTDLRLWCRDAIDKILIRIKQLQVSLVLLASKYVDLIVPGYTHLQRAQPVLLPHLLLSYVEQLERDAGRLVNCRERVNFCPLGACALAGTGLPIDRFQTAKDLKFTAPMKNSIDAVSDRDFVLEFLAANSIAAVHLSRIGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVVGDLMTVLTLCKGLPQAYNRDLQEDKEPLFDSVKAILGMLEVCTEFAQNISFNSKRIQSSLPAGYLDATTLADYLVKKGVPFRTSHEIVGRSVALCVSKNCQLAELQLDDLKAVHPVFEADVYEYLGVENAVNKFISYGSTGSNQVKKQLEDWRIQLGISS >KQK91738 pep chromosome:Setaria_italica_v2.0:IX:51520478:51521155:-1 gene:SETIT_038463mg transcript:KQK91738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCIDPGPNLNQYTMEECVSSWMQVIPCSVLIVIAAKQACASAYNASCKAITNLFCSR >KQK92354 pep chromosome:Setaria_italica_v2.0:IX:55101212:55101625:1 gene:SETIT_039825mg transcript:KQK92354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSLLLAPLLLATFLAVADAQNYTASPPPPPPASTPSPPTPPPPPPASNWTPVADVNDPTIQQVAQFAVRIYALSTKQLKMSLLNVVIGETQPCDGGYNYRLVVTVSGGKKTQYDAFVWGILGTMSWKLWSFTPRY >KQK86998 pep chromosome:Setaria_italica_v2.0:IX:4997356:5000673:-1 gene:SETIT_039673mg transcript:KQK86998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREYYRYKFHVRPNIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDAVGKQTVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEEITNELEVGQTPQDRPDLVFRVFRAKLEEMKKELLEKHMLGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHGPCGTLNRNCACTKNRKSCKNYYPRPFNATTIQGKDSYPLYRRRDDGHNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVEKSMLTAYFEANKIHEKARSILYRNFPEHYTWQTQGKFWQQRKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGTTCYEDLRTVDGQILPSFREAAERRGLIEADNTLDDCLTEAELFRMPSLLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNAKMVEQMVLINIREMLQSMGKDIRSFPLPEINEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKAAYNEILTAIDRDEGGLFFVDGPGGTGKTFLYRALLATVRGQGKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTAKLLQTASLIIWDEASMTKRQAIEALDKSMRDIMDIPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGSEETNDKGEIRLPKNICIPRTMDDSGLDRLIDSVYQMNSACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDSVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTTKNIKILTAENDDEDEDNKHDNKIEPSEKNKKRKKKEDKKRHVR >KQK89333 pep chromosome:Setaria_italica_v2.0:IX:24470024:24473054:1 gene:SETIT_035498mg transcript:KQK89333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNKLGAAVYEPLLSPRPQGTAEEAKRLLRLAGPIVASCVLQNVVNMASVMFVGHLGELPLAGASLATSLANVTGYSLLTGMATALDTLCGQAFGARQHHLLGVYKQRAMVVLGLACVPIALVWAYAGRILLFLRQDPEIAAEAGAYARWLIPSLAAYVPLQCHVRFLQTQSVVLPVTASSGATALCHLLVCWALVYKAGMGSKGAALSNAISYAINLVILALYVRLSSACKETWNGFSREAFKDLCRFTELALPSAMMICLEWWSFEVLVLLSGLLPNPQLETSVLSICLNTGALLYMIPLGLTYSISTRVSNELGAGQHQAAKTATKVVMYMALSEGLVISLTMTLLRNVWGYMYSNENEIVTYIAKMLPILGISFFIDGLHSSLSGVLTGCGKQKVGAAVNLGAFYLLGIPMAVLLAFIFHLNGMGLWLGIVSGSVTKLLFLVFISWSIQWDKEAVKAKDRVFSSSLPLA >KQK91249 pep chromosome:Setaria_italica_v2.0:IX:48758877:48760472:-1 gene:SETIT_035801mg transcript:KQK91249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAALEPEPRQADAAAFFRDSPPRVIIEIFRRLPARSILRLRSVCTVLRDVTSYRPLLAAVHLLHPPQPLICFGRNACPDRYIQLRDYCVEALDLRSDTLRPIFRFTDNEYYNDIANDEGFEPPPIFVFSKDNIHYGEMDGDGGGHDPRLMVHASIDGLLLVSFINSWYVCNPATRQWAALPDLRDCDVIGLYEHVSSGEYRVLYHTGKDDEEASTCYYVLTVGAQEARSIGCPISPAATEDVGLDIGLDPASLSPPVQLRGSLHWPPQERQGHHILVFDTEAEVFSWKTAPNPITNGRMQLLEMDHKLAMSVSKNGKPTLQLWRLEDYSNDIWVQIRRITIQVPRIPALQYIDWFPRVVSPEGDVLIECENKLVLHCDRIGNLLQKFQFREETPLVRHTLKETLLPHAIFLAPKPDDAIEPPFFQGL >KQK87775 pep chromosome:Setaria_italica_v2.0:IX:9289132:9290135:-1 gene:SETIT_038721mg transcript:KQK87775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTGLFMEWATNKLQHEHPGAAADNDFSEATFPSLQALREASHAAEMVQELVAGVHAPNSWSSGDGVGDTAANHLPAIWSFGATSAQPGSGSNGMMEAPAARGQPDLVHGPPPTRRAGLRGLGSMSASYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRYVKELQEKVKDLEEASGSSGRSIETRLVLVKRPCLHAAAAADDDGSPFSPSPGTPTARKELPEIEVRFSEKSVMVRVHCENSEGVAVKVLTEMEELQLAIIHANVMLFSACTLIIAITVKASLLSPF >KQK91085 pep chromosome:Setaria_italica_v2.0:IX:47692691:47698246:-1 gene:SETIT_034608mg transcript:KQK91085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASRKEEERNERIVRGLLKLPPNRKCVNCNGLGPQYVCTSFWTFVCVSCSGIHREFTHRVKSVSMSTFSTQEVEALQKGGNQRARESFLKDFDTQKMRLPDSSNIGNLREFIKAVYVERRYAGGRFSERPPRDKQNQKAHEEEHRRASSYHSFSQSPPYDYQYEEQRNGKQSAFLSRKPGSDRGLQGKISGFAYNSHSLHERMSEDGFAGESCGSRTSNCSGSSMSDTVRTAPQSPNFPDNGCFSPPVVKDQSNQQSSYGLTSSQRTVSAGNIDSISLKSGKSSLFDLIFEDDNVQRTQKSTNSAAPSFIAFSDAISAPNQDLFNSTAAQKHHVTNLDQSLDLFANRPTETPSADKAIPAAPSMDNAGWATFDTPPEQKQSSVTGLSYVPATSNDKQTLSRDLFSFESNDEPTWFESSKDNASVTNKSIATSLDTGSSQPWSAFDASSTSTQYTVKGDLSLQGSKGPVDENSSQLWHSFDDVNGAVSHDQLCAQRRIDEHSNVVSISLSTSNPFMCSVASKGSQDDDPAQVFMDGLSPNTLLSASAEPSLEGASTEQMPLNPFELPFDTHSGTPDLFMDVSSLQEALPNPDLPAFLDGLPETWFSSSSCAFVPSASHGGLPCLVEQAPNSPLRNIPVGTVSTGNPFA >KQK86673 pep chromosome:Setaria_italica_v2.0:IX:3242855:3246767:-1 gene:SETIT_034889mg transcript:KQK86673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTARALHLPTPPKPSNPSSHHLHRLPVSSHFRPRPQRRGPGRRLATSSSDLTSFPDPAPTPNGVYTLAAAHPAAIVVDAATEAELRENGFRSTRRTKLICTVGPSTCGAAELEALAVGGMNVARVNMCHGDREWHRGVIRAVRRLNDEKGFAVAVMMDTEGSEIHMGDLGGAPSAKAEDGEVWTFSVRSSDTSLPDRIIHVNYDGFAEDVKAGDELFVDGGMARFEVIEKLGPDVKCRCTDPGLLLPRANLTIWRDGSVVRERNAMLPTISSKDWIDIDFGIAEGVDFIAVSFVKSAEVINHLKSYIAARSRGSDIGVIAKIESIDALKNLEEIIRASDGVMVARGDLGAQIPLEQVPSVQQKIVRMCRQLNKPVIVASQLLESMIEYPLPTRAEVADVSEAVRQRADALMLSGESAMGRYPEKALSVLRSVSLRIEKWWREEKRHEALELQDVSSSFSDKISEEICNSAAKIANNLGVDAVFVYTKDGHMASLLSRCRPDCPIFAFTSSTSVRRRLNLQWGLIPFRLSESDDMESNLSRTFSLLKARGMVQSGDLVIALSDMLQSIQVMNVP >KQK90567 pep chromosome:Setaria_italica_v2.0:IX:43726044:43726652:-1 gene:SETIT_040423mg transcript:KQK90567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYYFQSRSFSGNHTCTLKLITFCNEGTSHSQIMKKPMAPIQRVRVS >KQK91083 pep chromosome:Setaria_italica_v2.0:IX:47677522:47677935:-1 gene:SETIT_038990mg transcript:KQK91083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMPHAAFVLLALLAAALAPGARAQISAAPLGAPAPASLDCAGALRSLAPCLTYVERRSALTRPDKGCCGALAAVVGGDGAACLCGFLAGYGGVRVDPVRALALPTICRVDAPPPRLCAALGMPVAEPPGGAAAPMES >KQK90070 pep chromosome:Setaria_italica_v2.0:IX:39312410:39313717:1 gene:SETIT_040123mg transcript:KQK90070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFLEPILEKVRSSLPPADTQQSVRSSDPEFQPVQHSKASVVGPSTGLGFESGSYSSWARRNPGLASLCRRLTQRPRIVQVSYVQVLMAGRSAAARGAGGEISALAGIMAANFERLAAKVGLPGLTAGRTVGAQGSGNKMDSNAGKAPMEEHNRQAKEKGEGSLTGKHKGTKMAGEPAPRKKKKFVLCCEICEDEHFTSQCPLLHGPKPSATYCGLAGDRLGFFHIPYTSATKAPCKVAATALIKIVEGNLPADLVKTELARAIPVNWDWVVGEHGNNTYIVPFSCQVELQRMISMKRLRTDNNEGVMLFEEWNNEIKPKQKLQKVWVHVYGVPYEIRSFLPLWAVGSILAGVYLRVLTLLAAPDRLARQEHAAAPHALARQPPATLA >KQK88561 pep chromosome:Setaria_italica_v2.0:IX:15083281:15085544:-1 gene:SETIT_039022mg transcript:KQK88561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDEFTPLSELTVGMNKCTVRVRISRLWESFNPKNDISFGLDSLLIDDQGETMQVRVHPDDIDQFEDQLVEGNVYALSNFTVENTRESYMICSNELTMYFGGQTVVNEIEDTDLIPLHSFEFINFKDLRSRCDDTSLLADVLGHIVYVGELEEVWKKSRRIEICNARIRNLRGRELSITLYGDIASGFAEDMLEEGREASVVAVFAGMRVESSHSVCSTTCSKYYLDLEIPEVEEFRANFRIQQENPVPKKSPAQKLAESWRTVEQLKSLDPEEYDEDTTFLCRVSLIDIDCSSGWCYLGCDICQKSMYGAPRKYKCGRCGPIKRPIQWYKLKTKVQDATGTMNLMIFCEVAEELVGVSAEELVDEIEDDDEWYTLPDKIEDLLGSTHTFQVFDKHCNGSFSVQSIVDHVSVPAPAATATQCKEEPVPEGSVDAAAAILTPATTQCKEEPVSEGSDSTAIPTPTTTQCKEEPVSDSSDNAAVPTANTTQCKEEPAPDGSDNTALPTPTATKCKEEP >KQK87373 pep chromosome:Setaria_italica_v2.0:IX:6951625:6952359:-1 gene:SETIT_037973mg transcript:KQK87373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGRGKAKPATKSVSRSSKAGLQFPVGRIARYLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGTVTIAAGGVMPNIHQTLLPKKAGGHKGDIGSASQEF >KQK88898 pep chromosome:Setaria_italica_v2.0:IX:18312254:18318986:1 gene:SETIT_035405mg transcript:KQK88898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGGPGDLTITGDEEGDRRERERRAGGGGGRAEAERVRARGEGGRQPLGGDGGGESPPEISELRPPPFALLSVAPPKFTKTLPPLSRGLHFSKPHGPVLLTCSVASNPRASRRLRTLGFPRPPPPAMEAVVVDAGSKLLKAGIAAPDQSPPLVMPSKMKLEVEDQQLADGAVVEEVVQPVVRGFVKDWDAMEDLLSYVLYRNIGWEIGDEGQILFTEPLFTPKTLREQLVQLMFEKFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVCEGAVQHVASKRLEIGGVDLTNLFAQELKKSNPSVNIDTSDIERLKEQYACCTEDQLAFEGIESSCQPERHTLPDGQVITIEKERYIVGEALFQPSILGLEDYGIVHQLVTSVSNVSSEYHRQLLENTMLCGATASMTGFEDRFQREANLSASAICPSLVKPPEYMPENLARYSAWMGGAILAKVVFPQNQHVTKGEYDETGPSIVHKKCF >KQK91977 pep chromosome:Setaria_italica_v2.0:IX:53035873:53043388:-1 gene:SETIT_033880mg transcript:KQK91977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFHPTQHAGENDFQMWQQQMMFKQLQEFQRQQQLQQSDHGPRMQPSFGQFHAPAKPLPADQLSTMSNEMTNNEAMNSAWPHNFTTSDPSLTSNSQMLNNGNANWDQMVGAPGVGNFVNGSMFANAQNQSMRPMGLATHQVDQSFYPMHATSSRGSGNQYSQFLGIPADSQGAMARVGPDQSEKTSRPFNSSMNEHGLHLQGASSLMQNFRGKGGFLSNSPMQSQGDNIKAGSPVTMNHLQHGFQTQDFHGRPNQVELQVGVQEKSAVQVGQASGRASLDPTEEKILFGNDEDSNWGALLRGDNDNGNSLDNDNYGGAYSSLQSGSWSALMQEALQSTTSENSPKEEWSGLSFHKPEQIIANNSMSGREENKISGLRGTNLENARPPPASSYAEGTMNNPNLASFQHAIRTPYERRDQMPHESPPSAPVNNHQSASEANNGYFQQSLKQNQSDGRQEQAHIANGIWAHQKSEMVRNNLQSTGAHATPPGTHGFWMSQQNTADHNINRESSNNQNDWKANNALGQDMSSTQNIFNSNENSWKSGGGNSNSVQRLQQRKSDITSAQMPNESSDGKNISMMSSSISMMTQDHYQMITGRSGEQVGMNRNMGHRVPETSDSPGKSADQRPSDFNQEYLNATPNERQAHLLNHGQHVTSDSAPRRHSVFSGKESENLSQSSQQAMASYMLQNRSMGSSGMNIGPSPGNPVSNSLFPPQSHQMRNNMQHHFGTNSHVSNSMPSVNEKMMMAQEQLQSRHGLPNSSSALHFGGTDTGLSQNRAVQNSQHMLQLLHKVDNSRNSNAVADVPNNSLGAVSAQQQLNHPSLQGFGLRLAPPSQRQSNSGNLWSSQTNVDAKQPDHSAPEDDRSQLPSTPSQSLTPPHTNSQSSPFHASETENTGQPIGRFPQLSSGQQYPAADDRSGPAPMLQQPQQGSSATVFKNVWTNISAQRLAGMQANKITPNILQSMMFPNNAAASNLWSSQKADDQGHKTATPSDIATSSANSQNQDSKQAVDSDSGLASSQKANFESTGATVSGGNESLQKPSLDGNFVNTVSSLAQLRQQGIMGAKHGENPGANFQAMNASHSSSNSSGGIVLHGSPAPSNIQQQNYSLLHQMQALRHTDIDPGSSIGKTIRPDVGSDASQIDWKSGQRFAHVANNSTKSSVDNIGSPGVPGSFPSDMKMLSFASRSEERNPNIPSQLPSGERPNHGLVTAQNDNQNQVQPMCTSAASNSVERSERPRINPQMAPSWFGHYGNYRNGQSVAMLNAQKTTALPYNFPKASWNNDNNNSAENRVESVLSVRPGHHLPSTKMDALVPSNVKVSSMMRRPKKRKAMESALVSWHKIIESPQKLRNISTSEMEWAWAANRLIEKDDAETPDDGPLNYLPRKRLILTTQLIQQLLPAIPSAILRAQAVSAFESATYTLSMSTLRDACSMAASSSFNSSSPVDDENNPSEQTTSAKKMEGKVSKAVEVFVGRIRKMENDFVSLNKRASMLDVQLECQDLERISIVNRLGRFHGRNHAAAVEGSSGSEMTRRIFPERHVMSFAVPGNLPEGVYCLSL >KQK86456 pep chromosome:Setaria_italica_v2.0:IX:1970880:1971178:1 gene:SETIT_040485mg transcript:KQK86456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEYGAHWKSSNFALLSCISFWMFMMGNL >KQK89666 pep chromosome:Setaria_italica_v2.0:IX:34714401:34717797:1 gene:SETIT_035311mg transcript:KQK89666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDEHTCGGGNGEGQRRATRQWLTNIIKEKLHDNPMLKPKELVKEIYEQYGVTLTYSQVWRGKEVAQKELYHAIRETYSHLPWYSDRLIESNPGSIALLSPMVDTKFRRFFVAFHASLHGFASGCRPLLFLDKVPLKATNDYKLLVAAAVDADDGVFPVAFSVVENENYDSWIWFLMQLKYALSNHNYPYEAMTFLSSGQKGLDAAVSQAFEDSHHAFCLHHIMEEFKGELRKGPWSQQIRDGMIEDFTRAAQACSIEDFNASIESIRNISTEAADWIIASKPEHWSDAIFRGCRYDHFSSNIVDAFNNWIPTKKEGSIVLMIDSLRTKIMEIIESRREACKAWSGPLTPSMEYKAKEEMMKAGKMTVLCSSETVFEVRGNAIFVVNLANWECTCRRWQLSGLPCVHAVAVFNRIGRSYYDYCSKFFRLESYHLTYSGTIFPIPDMDTVDFSAGANLIPPPKPRSSDKPRRKRFNPNKVPTVIRLCSRCKQAGHNKATCEAIL >KQK92913 pep chromosome:Setaria_italica_v2.0:IX:57839445:57841257:1 gene:SETIT_037180mg transcript:KQK92913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPNRKRRAPAMEPAAGGAGQQRQSKASASKKAKKGGGSGGRWPAVKPKKDLQINRLKGTQLLTIPDFFTSTEAKAFIDITESMGFTHQGSLGPLKGEAYRDNDRISVTDPLLAQAIWESGINRIFTDINISGKVATGLNSNIRFYRYTEGQRFGRHIDESVDLGDGSKTYYTLLVYLSGKGSAKDSSGQGLVGGETVFYDQRGGVVAEVAPVQGMALLHLHGAKCMLHEARVVKKNAKYVLRSDVVFS >KQK90549 pep chromosome:Setaria_italica_v2.0:IX:43565223:43565798:-1 gene:SETIT_038288mg transcript:KQK90549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGLAIIYIKHHNCSAESSSLILSLTSLYWRDHNWPLGSNELVALRCAAFCHSLSSLCDLSICEYKSSNPISPNVVFYHRSP >KQK92006 pep chromosome:Setaria_italica_v2.0:IX:53197857:53198939:-1 gene:SETIT_038655mg transcript:KQK92006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRSPEPSDDDDDGRSRRPCRRCSPQRHLCVVLDDWSKGYSIYKLDVDGFDGDPDEDLRAERLPGPPIFRLEIPTDDPRNVALFAAAGGMIFAMDYSEEDKSAPALVYDTATGGLAVGPSTPAALRNRPELVPAGDRLYALDRGFGRDHFRVLAPNGRRGWAWSTLRDAPFDTGAAATACHAAHPDGRTVFFSVRGGGTFSFDAGARRWARHGDWMLPFEGQAHYDAEVDAWVGLCRGAASPGRVCSCDVVAPAGEGDGDRDGGGAERPPPSWKLAEEKVARRDSTHTELAHVGNGRFCLVECRNRRGVGGDVLDEDRLLYATTFRLRYDKDGALRAVERRARCYAVRKKSNTFDWRAFGV >KQK90755 pep chromosome:Setaria_italica_v2.0:IX:45581922:45582992:-1 gene:SETIT_040234mg transcript:KQK90755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFRLAVAVTCALLLATACQGLDVGYYKKTCPRVEHIVRDEVKKFVYKNAGIGAGLMRMLCDDCFGEGCDGSVLLDPTPANPQPEKLSPPNFPSLRGFEVIDAAKDAVEKACPGVVSCADIVAFAARDAAYFLSGFNMKIDMPAGRLDGRVSSSSDALNNLPPPVFNVAQLVGSFAGKGLNAEDMVVLSGAHTIGRSHCSSFVPDRLAVPSDINAGFANFLRGQCPANPTPANDPTVNQDIVTPNGLDNQYYKNVLAHKVLFTSDATLLTTPATAKMVQDSANIPGWWEGKFKKAFVEMSKIEVKTGKQGEIRKQCRVVN >KQK86188 pep chromosome:Setaria_italica_v2.0:IX:670274:670768:-1 gene:SETIT_040533mg transcript:KQK86188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQYKRAAREYLLQKPWRPATCSQGVRIMKAPLIFLSTSAI >KQK88685 pep chromosome:Setaria_italica_v2.0:IX:16264218:16271599:-1 gene:SETIT_034254mg transcript:KQK88685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAMRSGSSDGGGGGYDKGGMDTGKYVRYTQEQVEALERVYAECPKPSSSRRQQLLRECPILSNIEPKQIKVWFQNRRCRDKQRKESSRLQAVNRKLTAMNKLLMEENERLQKQVSQLVHENAYMKQQLQNPSLANDVSCESNVTTPANLRDASNPSGLLSIAEETLTEFLSKATGTAIDWVQMPGMKPGPDSFGIVTVSHGCRGVAARACGLVNLEPTKIVEILKDRPSWFRDCRSLEVFTVLPAGNGGTIELVYMQMYAPTTLVPARDFWTLRYTTTLEDGSLVVCERSLSGSGGGQSTATAQQFVRAEMLPSGYLVRQCEGGGSIVRIVDHLDLDAWSVPEVLRPLYESSRVVAQKMTTAALRHIRQIAQETSGEVAYALGRQPAVLRTFSQRLSRGFNDAISGFNDDGWSVMGGDGTEDVIIACNSKKTRNSSNPASAFGAPGGIICAKASMLLQSVPPAVLVRFLREHRSEWADYNFDAYSASALKTSPCSLPGLRSMRFSGSQIIMPLAHTVENEEILEVVRLEGQALTHDDGLLSRDIHLLQLCTGIEEKSMGSCFQLVFAPIDELFPDDAPLISSGFRVIPLDIKSDGVPSGRTLDLASSLEVGTTTQHASGDGSPDDCNLRSVLTIAFQFPYEIHLQDSVAAMARQYVRSIVSAVQRVSMAISPSRSGLSTGQKIISGFPEAATLVRWICKSYQYHLGVDLVSHSDEAGESLLRMFWDHQDAVLCCSFKEKPVFTFGNQMGIDMLETTLIALQDLTLDKIFDEAGRKALHAEIPKLMEQGYAYLPAGVCLSGMGRHVSYEQAIAWKVLGEDSNVHCLAFCFVNWSFV >KQK91439 pep chromosome:Setaria_italica_v2.0:IX:49818290:49818988:-1 gene:SETIT_037966mg transcript:KQK91439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAEKKPAGEEEEEMPAGKKPKAEKRLPASREGGKEKGKKKAKKGVETYKNYIFKVLKQVHPDIGISSKAMSIMNSFTNDIFEKLVQEAARLTRYNKKPTITSREIQTSVRLVLHGELAKHAVFEGAKAVTKFTTN >KQK89717 pep chromosome:Setaria_italica_v2.0:IX:35297597:35300727:-1 gene:SETIT_037619mg transcript:KQK89717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAFRKLFDAFFGNKEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDALIYVVDSLDRERIGRARAEFQAIINDPFMLNSVLLVFANKQDMRGAMTPMEVCEGLGLYDLTNRVWHIQGTCALKGDGLYEGLDWLATTLDEMRASGRITSTSSSSS >KQK87169 pep chromosome:Setaria_italica_v2.0:IX:5884541:5885368:-1 gene:SETIT_039212mg transcript:KQK87169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSAAAQPPPPAAMYGSVPAYVAPASSAPAGGGYTYPAPSSSGGSAAYAKIPAYPAPPSAYPNPPPPQVSTQAGPIHDPTAPPSPLTKAAELVTRFREQGQALIAARRPWAEVLRAPAFSKPPSLGEALARMRRNTAYFRANYALAVLAVVAASLLWHPGTLFALLFLCAAWFFLYFARPAQGGQPLRVFGVEFDDGTVLAALCGVTVVAMLFTNVGWNVVGSVMIGGTLVGAHAALRSTDDLFLTEEEAAGNGLVAAGMSAAGPILPTYVRIG >KQK89019 pep chromosome:Setaria_italica_v2.0:IX:19579408:19580765:1 gene:SETIT_040014mg transcript:KQK89019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AASFYSASPTARSISLPLHFSLKNMKRPTSRGSSMVCQATTDQQEDSCRYMEQRHGVDGGDCGAAAAERDDVEAGAYDEEEEEDDELAGSRGGLGEKKRRLAADQVRALERSFEVDNKLDPERKARIARDLSLHPRQVAVWFQNRRARWKTKQIERDFTTLRARHDALRAECDALRRDKDALAAEIRELRSKVEKQMEVKLESAEELLPVAAGTAAAAGAVYKDGSTDSDSSAVFNEEASPYSGAAFDHQHHHHQAHPSFTGFTSFLASSTSLSSSFPSLYHGGSHLDQEADGFLSATAADGFFAAEEQGAAGLGSWYGGEGW >KQK88544 pep chromosome:Setaria_italica_v2.0:IX:14960412:14963537:-1 gene:SETIT_035904mg transcript:KQK88544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGRRTGPSPARLALMVLLLAAAVAAEAKVVHVEEPHRRSMLANGLGSAPPMGWNSWNHFQCDGNGEVVIRETADALVSTGLAALGYKYVNIDDCWAEPKRDAMGNLVANTNTFPHGIKALADYVHSKGLKLGIYSDAGFQTCAKVQPGSLGHEEQDAKTFAAWGVDYLKYDNCNNGDLKPLERYPEMSKALMKAGRPIYFSLCEWGDMHPARWGAAYGNSWRTTNDIADTWESMIATADQNEVWAEYARPGGWNDPDMLEVGNGGMKNNEYVVHFSLWAISKAPLIIGCDLRHMPQETYDILANKEVIAVNQDPLGVQAKKVRMEGSSEIWAAPLSDYRTAVVLLNRHATDEATITARWDDIGLPAGTAVEARDLWLHKTLDTAFTDKMAFDVAARSCRMFVLKSRLR >KQK89162 pep chromosome:Setaria_italica_v2.0:IX:21436340:21436836:1 gene:SETIT_038502mg transcript:KQK89162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYVFKLYISDTKVLKKWPRNKKTGKEAKQKRPQHSALSSKETSIFWASSMS >KQK90975 pep chromosome:Setaria_italica_v2.0:IX:46951944:46953215:1 gene:SETIT_035838mg transcript:KQK90975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASALAQEGVSRASSYISAKLDDKAASAHNMARLEMALSQLEFALERTAKMPITHVSLLRRIKMLKSAHAEGTALLNKHRPRARYPFLGWIGRARNLPISSLLGFNKDDHHLSSTAVQAFEWYADCAEKFAADVETGCPLRRDSFRYPFVRQLLEEKTLWYESSRGSRGMRFHMLPLGLGDRGVEAELYYCYQDLKTPENSFCVWLMLRLSESTDIVGIAIRCLQLLTSQFELAIESAVGELTLLPGLQDVSDSYEPPLDCIQGTYASRDTKYWRPDPTCCSNIVSQVPSSNGFPEPVIAIGFSCWVSAPEYKLRNADAPPLYAEAFFQPHLSCAPHPEILRKYGGEEECASSGGMQEMGEMIRSNALDYLAREPEPTEYAMFWFSAHGAAFVTLRKSINKQAWPEPTAAPGRGRSGAKRKR >KQK90350 pep chromosome:Setaria_italica_v2.0:IX:41970209:41971383:-1 gene:SETIT_036348mg transcript:KQK90350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSGATSSVFALILFTCCLASLAASSAAAAAAGGGVDDEVDAGGDKLMMGRFLRWQAAYNRSYPTAEERERRFQVYRRNMEHIEATNRAGNLTYTLGENQFADLTEEEFLGLYTMKGMPSGRGGVRRDAGRKQANISAVDGPTSVDWRSKGAVTPIKNQGPSCSSCWAFVTAATIESITKIKTGKLVSLSEQELIDCDPYDGGCNLGYFVNGYRWVIENGGLTTEANYPYQARRNYCSRNKAAQRAAQISDYVQVPAGEAQLQQAVAQQPVAAAIEMGGSLQFYSGGVFSGQCGTRMNHAITVVGYGADANTGLKYWLVKNSWGTSWGERGYLRMHRDATRSGLCGIALDLAYPVV >KQK92073 pep chromosome:Setaria_italica_v2.0:IX:53547332:53547923:-1 gene:SETIT_040477mg transcript:KQK92073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSANHLPVRGIGRVARHPHPINFFPSLHGQFQSNDLIARLRAMPRLGLGAEVPPPTAK >KQK86674 pep chromosome:Setaria_italica_v2.0:IX:3251588:3252007:-1 gene:SETIT_038218mg transcript:KQK86674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHKLQTDIFIKETSKFQNKTRDMASLAAVIYTEKAESITTVPKGCSSMHYNSTYQDYLEIAMRSTFTLNKLISAELQIKKYILHYSIRKHRKW >KQK91155 pep chromosome:Setaria_italica_v2.0:IX:48175106:48180104:1 gene:SETIT_040030mg transcript:KQK91155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTTNGAISLGRLGYALAMGAGALPDQLLLPFDDGFTDEDLLAADAALLGGAAADQTLLLLPLCPGASGCGGSPSAEGLGAQAAPASSEITTAVPAVGAGSFSLARQSAPAPAPASWEVTTAVAADGGGGSPAPAPAPAPAPAPAPPSPGLPLVHNTSNRTSIYRGVTRHRWTGRYEAHLWDNTCRKEGQKRRGRQGGYDKEDKAARAYDIAALKYWGFNATTNFPRENYIREIEEMQNMARQDVVASLRRNSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAALKFRGENAVTNFEPSRYNLREIAQREIPILSPGRRLNEKPAPEAECQAVLSAPSFSQSQQSSNSLPPYFLPNLQQPHPQPPQLPLAQPLPIYNYGSGFGFGYGEPSFYWPYGSVEQKVQLDSKLEIVNGLLQLAKSAAN >KQK92211 pep chromosome:Setaria_italica_v2.0:IX:54382448:54385628:-1 gene:SETIT_039416mg transcript:KQK92211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDKKRGDDDASRREALLNADVRKEWQVAAAGSDDDKPLGRMVLEESRLLWEIVAPAIFSRIATFSMNVITQAFAGHLGDLELAAISIANTVIVGFNFGLMLGMASALETLCGQAFGAKKFHMMGVYMQRSWIVLLLCAVLLLPMYFFAEDVLLLTGQSPELSAMTGRVSVWFIPLHFSFAFLFPLQRFLQCQMKNLISAAASGVALAIHLFVSWLFISKFQFGLVGIALTLNFSWWATAAMLYAYVSCGGCPETWHGFSLEAFTGMWDFLKLSSASGVMLCLENWYYRILVLLTGNLPDAAIAVDALSICMTINGWEMMIPLAFFAGSGVRVANELGAGNGKGARFATIVSSITSLVIGLFFWVLVMVLHHKFAIIFTSSNVVLAAVDHLSVLLALTILLNSIQPVLSGVAVGSGWQSMVAYVNIGSYYLIGIPMGILLGWLFNLGVLGIWAGMIGGTAVQTLILAIITVRCDWEKEALIASTRMENLSEPEVS >KQK87354 pep chromosome:Setaria_italica_v2.0:IX:6844395:6848482:1 gene:SETIT_034347mg transcript:KQK87354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRARGLWALLLLVALVAAAAAPGAVLAQGNLTSRSDLRGLYALRGSLGLRARDWPRHADPCTAWAGVGCRGGRVVSLALAGLRRTRLGRLNPRFEVDGLRNLTQLEVFNAAGFGLPGSIPAWLGDGLAPTFQSLDISACNVSGEIPASALAGLTRLRTLNLSGNAFSGALPVAVWSLPGLSVLDVSRANLTGPLPTTGISLPANAQVVDLSENLFYGIVPEAFRRLFAQVLLTNISGNYFDGKLSVPDSGGGNVSSELNCFLDAPEQRTQADCQQFYASRGLPYDGPVTPPAPQPAPAPAMKKKHKNLRYILIGAIGGGLLLIAAVAAVVFCIACSGRRTNDQRESGAPPSAPSGVSATGAVAATGGTQPSALPANTAKVGDSFAYDQLANATSGFGEERLIKHGHSGDLYHGVLQDGTAVVVKRITARVARKDAYLAELDLFAKGLHERLVPFLGHCLDKEEEKVLVYRFVRNGDLSSALHRKSREEDEGMQSLDWIKRLKIATGVAEALCYLHHECTPPMVHRDVQASSVLLDDKFDVRLGSLSEVCPQEGEGHQNVITKLLRFSSAADQGSSGSPSATCSYDVYCFGKVLLELVTGRLGISASNDAATSEWLDTTLRYVNIYEKELMSKIIDPTLIIDEDHLEEVWAMAIVAKSCLNPRSSKRPPMKYILKALENPLKVVREDNGSSSARLRATSSRGSWNAALFGSWRHSSSDIGPSRDDNILKRSETIKSSGGSNGDHSSSRRRQSKEIFPEPSGSRDTED >KQK89518 pep chromosome:Setaria_italica_v2.0:IX:32193834:32194769:-1 gene:SETIT_038106mg transcript:KQK89518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQQMMSSGSRSLAATLLLLLAAAATAQAAPAAGTACHNDIVALRSTCYQYVQDDGPMVQPSPHCCATVRGIANATCVCDYFSSLDRINLDRVFYVAGQCHVAIPWSCGDKNQV >KQK87105 pep chromosome:Setaria_italica_v2.0:IX:5568301:5569683:1 gene:SETIT_039444mg transcript:KQK87105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHLPDDLLADVLVRLAPRGLATSRGVCRSWRAVVDARRLLRADLLPLSLEGLLLEVDAWALALFSRPSTGPATCDGLVGFLGADGTRQLDTYMRGHCNGLLLLQELVINPATGHSTSVVLGRAHVAQSGRPVAARAGVFPLGTLDAVNLRKRFATFSIPEDPYSLLKRSEWQPSPLVLPVFSSRTGQSYRDRGDGPWLLRYLGEDDDDDEGQFEWSSDIEDVAEPEDGAGGCNGDDDDTDEWARNLEYIDFLGFHPFKEVVFLRQSGERGIAYHLDRSKIQDMGTLDLRRTTEFIEMAFPYTPCRMGVFPENN >KQK87091 pep chromosome:Setaria_italica_v2.0:IX:5519805:5520127:1 gene:SETIT_040202mg transcript:KQK87091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTLAQAQQAGAGETTTRKKKVIKKRLPQELIKYMIATPHPIIGEPLTDDQFTKHSKGFREAYTKSKAIIDQYNAKGYTEDEGEVTDDEEETVEN >KQK86663 pep chromosome:Setaria_italica_v2.0:IX:3205610:3208686:1 gene:SETIT_037012mg transcript:KQK86663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHGKRSVAETFSRLRVQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIEVGVPYSDPLADGPVIQASATRALKNGTTLDSVLGMLKEVIPELSCPIVLFTYYNPILKRGVRNFMATIRQVGVNGLVVPDLPLEEALLLRSEAIMHNIELVLLTTPTTPTERMIEIAKASEGFLYLVSAVGVTGARSNVNLRVEHLLREIKKVTDKPVAVGFGVSTPEHVKQIAGWGADGVIIGSAIVRQLCEAATPEEGLKRLEEYTRNIKSAMPLR >KQK86664 pep chromosome:Setaria_italica_v2.0:IX:3205610:3208686:1 gene:SETIT_037012mg transcript:KQK86664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHGKRSVAETFSRLRVQGKTAFIPFITAGDPDLATTSKALKILDSCGSDVIEVGVPYSDPLADGPVIQASATRALKNGTTLDSVLGMLKEVIPELSCPIVLFTYYNPILKRGVRNFMATIRQVGVNGLVVPDLPLEEALLLRSEAIMHNIELVLLTTPTTPTERMIEIAKASEGFLYLVSAVGVTGARSNVNLRVEHLLREIKKVTDKPVAVGFGVSTPEHVKQAGEQMV >KQK89034 pep chromosome:Setaria_italica_v2.0:IX:19765100:19767007:-1 gene:SETIT_035760mg transcript:KQK89034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVTVEITRRAVLRPPPATCRGGGSKSPLTAFDRASTDGYIPAVFAWNAPAPDNAALVDGLLAAVARYPHLAGRFGVDDSGRKCFHLNDAGVLVVEAQADADLADALAHDVAAHINDLYPKADMERADEPLFQAQLTRYRCGGLVIGTACQHLVADGQAMSFFYTAWATAVRTASATLPSPFTDHTAIAVPRDPPAPKFDHRNIEFRGEHSPSHSYGVLPGDRIKNLVVHFPEEFIAGLKARVASVGGRCSTFQCLLAHAWKKVTAARDLPPEEPTQIRVAVNCRGRAQPPVPMEYFGNMVLWAFPRMQAREVLSSSYAAVAGAIRDAVARVDAEYIQSFVDFGEVAERAGEELASTAARPGTAFCPDLEVDSWLGFRFHDLDFGHGPPCAFLPPDLPIEGLMIFVPSCSAKGGVDLFVALNDEHVDAFKQICYSMD >KQK90658 pep chromosome:Setaria_italica_v2.0:IX:44275401:44275894:1 gene:SETIT_040783mg transcript:KQK90658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSNGSMCKPSKLLMTSGEEGFLQRQISGCLTLQ >KQK92174 pep chromosome:Setaria_italica_v2.0:IX:54157955:54161807:1 gene:SETIT_034814mg transcript:KQK92174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVPAAAGVLDFRPGSSPPGDSTAPRRAAECSPDTGCAEEAPGVPGFEDKGAVAAELTGSNSTGSGSQAVSGDTSGGPAVGDAEDVEVLCSENGAELELAEPGVLDVRLGDPVVGRHGRKSDGTGFGSDEAGEVDGISLVEVSPSDASSSFDGTRSIGGYSLVEGSVPEASGAQGCEQEVQEVPTGTPMAVGFPNGNLSSGLVVRPNDAVDGRNGLAGGELELPVDGDDAQESTEMAGILCNERVEGIETNFGEREASNGSTVCDGVDRMEMSLDDSEASDGSTTQDSDTDVETESSGSSIEEQDAGYGAHIPQLDQAICKVNRENNTSGVKNSERMTSVSESTLVLASGAAMLPHPSKVSTGGEDAYFIASDGWFGVADGVGQWSFEGKQFFFSPLWFCAQVHPQHVNFHSMPCFLSCTILAFEK >KQK92175 pep chromosome:Setaria_italica_v2.0:IX:54157955:54163234:1 gene:SETIT_034814mg transcript:KQK92175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVPAAAGVLDFRPGSSPPGDSTAPRRAAECSPDTGCAEEAPGVPGFEDKGAVAAELTGSNSTGSGSQAVSGDTSGGPAVGDAEDVEVLCSENGAELELAEPGVLDVRLGDPVVGRHGRKSDGTGFGSDEAGEVDGISLVEVSPSDASSSFDGTRSIGGYSLVEGSVPEASGAQGCEQEVQEVPTGTPMAVGFPNGNLSSGLVVRPNDAVDGRNGLAGGELELPVDGDDAQESTEMAGILCNERVEGIETNFGEREASNGSTVCDGVDRMEMSLDDSEASDGSTTQDSDTDVETESSGSSIEEQDAGYGAHIPQLDQAICKVNRENNTSGVKNSERMTSVSESTLVLASGAAMLPHPSKVSTGGEDAYFIASDGWFGVADGVGQWSFEGINAGLYARELMDGCKKIVIETQGAPGMRTEEVLAKAADEARSPGSSTVLVAHFDGQVLHASNIGDSGFLVIRNGEVYKKSNPMTYGFNFPLQIEKGDDPLKLVQKYTIDLGEGDVIVTATDGLFDNVYEEEVAGIVAKSLQADLKPTEIAEILVARAKEVGRCGFGSSPFSDAALAAGYLGYSGGKLDDVTVVVSIVRKSEV >KQK86326 pep chromosome:Setaria_italica_v2.0:IX:1308869:1312651:1 gene:SETIT_036915mg transcript:KQK86326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAAMIRHRTVEANGIAMHVAEAGDEGSPRVVVFLHGFPELWYSWRHQMEHLAARGYRCVAPDLRGYGATDAPPDVASYSAFHVVGDVVALLDALGLHKVFVVGHGWGAITAWYLCLFRADRVTALVNASAPFMRHVFIRAGAAAVKTTDHYNRTYGPTYYICRFQPLPPWLTEADLDHYASEFERTGFTGGLNYYRNMDRNWELAAPWADAKVLVPTRFVIGDGDLTYHYPGIQDYIHKGGFKADVPLLEDVVVIPGAGHFIQQEKAGEVSEHIHDFISRF >KQK90438 pep chromosome:Setaria_italica_v2.0:IX:42710796:42712019:-1 gene:SETIT_038983mg transcript:KQK90438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAATAASPEGEGHDDAFSSVLLDVRAYGAARRNSSTASSTTSTGHPIEVTFYTARPPVLAHFNVHCPGLQLPPDDLNLMPRAIASDGDLFLFRVPTDPMASLSHHRNDYFVYRAHPQHPKLDLLPKSYACFGDCELAVLGYCAADGGDKQYVVAGVKTALTLHIYRSRPGSEAGSWTSSDQPLPVEELLRDKVCAIPRDADLILDHMTTKVIVIGGPKGTVGWVDLWRGVFFCDVLDESPKLRDLPLPLPAKGNWRLFRNDCSYYRRDIAVSQDKNSIKYVEMEIVQPREVATTPSGPEPATYLEWLHRRENPPRPTYSWVPGRWKATVWSIPIPAASWEDWHLDCTAKSGDLRVDDPRHYQLLHKLMISGSSNKEVTAEATLSLGLLGMAYPTLSIDGDDVIYLP >KQK89110 pep chromosome:Setaria_italica_v2.0:IX:20760876:20761813:-1 gene:SETIT_038322mg transcript:KQK89110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALLVVEEFERGTKRAAALRRGSQEPPAGDGDGCDRAASAYGRSKQSAWVAALGAGTRSETGGALGLAAADGFFSA >KQK89119 pep chromosome:Setaria_italica_v2.0:IX:20990870:20998812:1 gene:SETIT_038703mg transcript:KQK89119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMDFGDPADDPKVFRNICRDRILKDLLKPDKDKETKSSWKVLIMDKFTVKIMGYACKMAEITDAGISLVEDLFKRREPMPSMDAIYFLQPLKENVIMLLSDMSGRCPLYRKAYIFFSSPIPKELVSYIKNDSSVIPRIGALREMNLEFFTIDMQGFITDHDTALNDLYGRSENNSKVFNDTISTMATRIATTFASLKEFPCVRYRAPKGDASTTTKFDMVPKWLATAVWDIVSKYKSTIPEFPQKDTCELLIVDRPIDKIAPVIHEWTYDAMCHDLLEMDGTKYTYEVSNAGSEPEQKEAVLEDHDPLWLELRHTHIADASERLYEKMNNFVSKNKAAQLHSRDGGEISTRDLQKIVQALPQYSDQVEKLTLHIEIAGKINRFIREYGLRDIGQLEQDLVFGDAGAKEVISILRSKQDMSPENKLRLLIIYAIVYPEKFEGDKGEKLMQLAKLPHDDMDVIKCLRYLEGSDLKKDDIRDFVEKYFLQPSTKKNAARTEKQDGEETWALSRFFPLIEALYRLYSLEFFLSACELIEKLSKGELPLKEYPSMSEPSSAPQGTTETASTAAPSQNPQPMSMRSRRTPTWAKSRNSGDSQSSDSSVLRHSSGDFKRLGNRIFVFMIGGATRSELRTVHKLTMKMKREIVLGSSSIDDPPQFISVKFDLS >KQK92787 pep chromosome:Setaria_italica_v2.0:IX:57231290:57232065:-1 gene:SETIT_037972mg transcript:KQK92787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAALTNESATSKSVYFARCTSEMIFITHVLTEQPEKLAGPLLADTYVIFLRGHRLWYEQMLAKGELSPDMGDSIKGKGMIQGVSAVGAFFELLSQPSLSVQHPGENKQVAPAGLYPILKRLYRILIKRELPGRDIL >KQK88071 pep chromosome:Setaria_italica_v2.0:IX:11294383:11297397:-1 gene:SETIT_039191mg transcript:KQK88071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRSGGSRMKKPCKCCRRYLDHLEGKNQPMSYFFRRVDANSRHRMIIPNRFVKRFAGKLSRIINLESPNGGLYDVEVKERYNKTVLQRGWEAFVDANRVQENDFLLFHHIEKSRFEVLILGSDGCEKVFPCAGVRNTPSIQERSLDSVDISSSSCHETTESSEREDTPSRNKSFESGDDLQTPPRDGYVLSCRRYLSEAQKGKVIALIQKIKPEVTVFVSIMRKSNVQTSGAYLVISKEYALAHFPHETTFLTLQRPGKSKKWHPRFYIRNDRRVYMLRGQWLDFVRDNHVQEGDICLLLPAKSGRKFMLTVYLLRATDTCPRGGSGTVGFPRVGPFHDRSSAEMTSVVHIKEESTDGEHVSSESSMKEFSEASLNSNDSGGPSDPPYILPAMSCLSKSQKKIVEAKVRAIQSEVPIYVVIMKRTSVDVTHNKMLYAAAHLPVREQTMVLQCNAKIWNTKMEIRNGHRLFLRVGWPEFVRDNSLRVGDICLFELKKNERELTMEVQIISREQF >KQK86458 pep chromosome:Setaria_italica_v2.0:IX:1972747:1976433:1 gene:SETIT_037171mg transcript:KQK86458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMAPASISSNPRSVEEIYKDFSARRAGLVRALTSDVDDFYSACDPDKENLCLYGLPNGTWAVAPPAEEVPPEMPEPALGINFARDGMQRRDWLSLVAVHSDSWLISVAFFFGARLNANDRKRLFSMVSDLPSVFEAFSDRKHGRDRSGVDSSGKSRHSSKRGSDGHTKNSRAAAPPAKEYDDDDDEDDEEHTETFCGTCGGLYNSNEFWIGCDICERWFHGKCVRITPARADHIKHYKCPDCSSKKMRQ >KQK93040 pep chromosome:Setaria_italica_v2.0:IX:58456609:58457007:-1 gene:SETIT_039635mg transcript:KQK93040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASPEFYKPAAPAAFTSPCASPLPAPAGFVGFGADDYYSCRTPTGSGITYLKEPTTCPPAPRKPPAPLCKKRLFHLQQTPEVPLISVSLDELERTFRPHHPPPKADKRRRSLRHPKQQQHRTLDSSTSVSN >KQK86319 pep chromosome:Setaria_italica_v2.0:IX:1288752:1292209:1 gene:SETIT_035705mg transcript:KQK86319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLERSSSTAAVAVAALIALASVAAVAGEVFFQEKFDDGWEDRWVKSDWKKDDSTAGEWNHTSGKWNGDADDKGIQTSEDYRFYAISAQYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLGGDVDQKKFGGDTPYSIMFGPDICGYATKKVHAILTRNGKNHLIKKEVPCETDQLTHVYTLIIRPDATYSILIDNAEKQSGSIYDDWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTASTIPNPEYKGPWKQKKIKNPDYKGKWKAPLIDNPDYKDDPYIYAFDSLKHIGIELWQVKSGTLFDNILITDDPEYAKKFAEETWGKHKDAEKAAFDEVEKKRLEEESASTKDEDDADEDEDEADDDKADTAAEEAKDSADAKPEDGKVAADEKRTEDSKDASAEEKKHDEL >KQK89964 pep chromosome:Setaria_italica_v2.0:IX:37825235:37826356:-1 gene:SETIT_040064mg transcript:KQK89964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGESSSTERMGGRRETGSGARLRLMPVPAPNRKPSHDAQGSSQEKPTSSTDMWPASTKNLGSMSHERWSPYDQLAELEWLSNYMGKDNFPTEDLKKLQIITSVPPASSTDTAMVFVSAPVVLPDGGVLPPEAPVPGKASRLLVLPPPPVSPPSPAFAAISPSESGTTAPAFPAKKPLKPAKKKEPSTTPEPNATTVATAASAGEGRKCLHCETNKTPQWRTGPLGPKTLCNACGVWYKSGRLVLEYRLAASLTFVVSKHSNSHRKVLELHRQKEAMGHMGAGATGGLMHTPSPLLFDEPTGPLIGNDFLIHNRIGLDFRQLI >KQK86549 pep chromosome:Setaria_italica_v2.0:IX:2609357:2615061:1 gene:SETIT_035666mg transcript:KQK86549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEHYKVPLPTRWFSSTGLPPHLVVGMPALSPTMNQGNIAKWRKQEGDKIEVGDVICEIETDKATLEFESLEEGYLAKILAPEGSKDVQVGQPIAVTVEELDDIKSIPADTSFRGEQKEEQSTESAPQTDVTSVSEQSSVVSRISPAAKLLIKEHGLDMSSLRASGPRGTLLKGDVLAALKSGAVSSSAKEKKAPAAPSSQPTHDSQAQPATTSQKADTYEDILNSQIRKVIAKRLIESKQTTPHLYLSKDVVLDPLLAFRSELKEQHGIKVSVNDIIIKAVAIALRNVPEANAYWNSEKEEAQKHDSVDISIAVATEKGLMTPIIRNADQKTISAISSEVKQLAEKARAGKLAPNEFQGGTFSISNLGMYPVDHFCAIINPPQSGILAVGRGNKVVEPVVDSDGNEKAAAVTKMSLTLSADHRVFDGQVGGKFFTELASNFSDIRRLLL >KQK88606 pep chromosome:Setaria_italica_v2.0:IX:15465860:15466281:-1 gene:SETIT_040483mg transcript:KQK88606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALLMLGSHIPILWEKKICNTPLAEKCFPTSFHNKQSWALLPTRMPSPLLMREKETYSFC >KQK87131 pep chromosome:Setaria_italica_v2.0:IX:5687983:5688597:-1 gene:SETIT_038065mg transcript:KQK87131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAVSCECCGLEEECTGEYIGGVRAYFGGRWLCGLCSEAVKYEAGKCAGAGAGDVEEAVRAHMAICRTLKSGGPAGRVADGMRQMLRTASWKKAAAAAAASPAPSPRGHHRASPVSVGL >KQK88134 pep chromosome:Setaria_italica_v2.0:IX:11791651:11792243:1 gene:SETIT_038356mg transcript:KQK88134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEFDDYWARAYRGDSGVPHSDPQRLVSTWTGAFALGAAACVHHHASALASNIKSLPATYLLSPLFLDLGNFLF >KQK87784 pep chromosome:Setaria_italica_v2.0:IX:9348202:9349021:1 gene:SETIT_038253mg transcript:KQK87784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPGEAMRPHLSASSFVCVLLIHEPDDGNSDGTSPSFGGSTPHDARAWGGVGFWHLRGEPPGGKENHPIFQRPARALLKAIHTIRQPE >KQK88987 pep chromosome:Setaria_italica_v2.0:IX:19217881:19221149:-1 gene:SETIT_037074mg transcript:KQK88987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLQLIKDLYFGPPPGKGVIQDLEYAMRTKGGLTKEEDTKLQIANLVPTAAFALFSGLGSYAGWFSLGLGNKLLGFPPSPVFARFCAATGGAYIMGTAMYRGTLHECPVSLLNTEEGRMKMELANIILTKHSDDAYLVKAMKKHFFAEHLFDDLHQDQPLLRWHPRRSYTDSAFVERLKEIEAINSNDEARSVSGETTADNRPSGDLMEDPLACILGSAGDVESNNPPGKTSTVLKRSELRARRRSHRHHHRHADDKFAAL >KQK88986 pep chromosome:Setaria_italica_v2.0:IX:19218174:19220778:-1 gene:SETIT_037074mg transcript:KQK88986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKGGLTKEEDTKLQIANLVPTAAFALFSGLGSYAGWFSLGLGNKLLGFPPSPVFARFCAATGGAYIMGTAMYRGTLHECPVSLLNTEEGRMKMELANIILTKHSDDAYLVKAMKKHFFAEHLFDDLHQDQPLLRWHPRRSYTDSAFVERLKEIEAINSNDEARSVSGETTADNRPSGDLMEDPLACILGSAGDVESNNPPGKTSTVLKRSELRARRRSHRHHHRHADDKFAAL >KQK87822 pep chromosome:Setaria_italica_v2.0:IX:9547467:9547937:1 gene:SETIT_040397mg transcript:KQK87822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASTALASAEIAQASAAWSGPPSAAPEPRAWWGSSAMGSMPPAPSVLGRPIPRGAAASAGPGRLLAARVRRGGPHGRRI >KQK91600 pep chromosome:Setaria_italica_v2.0:IX:50744245:50744655:-1 gene:SETIT_039345mg transcript:KQK91600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGFLTPPHASPPPPHSSSSLTHLSLSSSGAHHRSMEFYVDEKWKFSKKSRNNGSRRVPGAGAGGGDHFLKRSSSMRDGVQAIGRRGSGAGAVAAGGCGAPQPSFSSRCAGLVKEQRARFYIMRRCVTMLVCWKDCS >KQK92318 pep chromosome:Setaria_italica_v2.0:IX:54893697:54896714:1 gene:SETIT_038670mg transcript:KQK92318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLTCVIESMGSSCSRPHSLNEAEAAENARIKLIFQTGFDEGELRSYTSVIHANVYQTIKEIGEKLSEIGAKLDYPLLNKELVQDVRKLWQDPAIQETYSRGSILQVPDCARYFMSNLDRLAEVDYVPPKEDMLYARVQTNGAVEVQFSPLGESKIGGEVYRLYDVGGQRNDRRKWIHLFEVLML >KQK90260 pep chromosome:Setaria_italica_v2.0:IX:41056869:41057392:1 gene:SETIT_039493mg transcript:KQK90260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSTQEVEQMDWMDGDGDAVAASPRARTSSRYKGVVPQPNGRWGAQIYERHARAWLGTFADEAMAARAYDVAALRFRGRGRAVNFLGSPAGMAKIAFLAVQPKAEMVPRVALFEKALQAEKHFPPLDEAAPPVLLVFEDVAGGKVWRFWYLYWSSS >KQK90104 pep chromosome:Setaria_italica_v2.0:IX:39678880:39681677:-1 gene:SETIT_037353mg transcript:KQK90104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPPSPSSAPEEPCQWAGAGLGYAACGLAGRVLCVFATCVFAAVGSLVGAVTGSMIGLATESGMLRGAGIGAISGAVFSIEVAEASRDLWHSGDSGVWTILNMVDIISSLLSGRLVREKVGPAVQSAVQSQISAISSPFAETSDLFETGGSRGITADALRRLPAMEIAEDSAVDTAGEALCCSVCLQDFRVGEPARRLPGCRHVFHVPCIDCWLVRHGSCPLCRRDI >KQK91907 pep chromosome:Setaria_italica_v2.0:IX:52630352:52632274:-1 gene:SETIT_038530mg transcript:KQK91907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACHGTGALLPSIASGFHSIARLSIIGTGGRTLYPMDSAGDIDRISALPDDLLHAILAGVGEATAVTRTAVLSKRWRCVWIHAQHLEFEDTKVSRDALPADYCFADFVDWVFACRGDADIGSLEISLSRHDLCASPERVNGWLRRYAMRRAGLQHDEPAVVMPSHGRMASIEMHLSRRTFQLPVAAAARYEALTELVLCSASFDEAAAAAGGGRTLGDFVSSCCPRLRKLEVMSPRGLPQLVIRSETLQELTLVWAGDLHMLDVAAPSLLVLKLDCEHVISKKVARIAAPTPRLEEIAIHYLPGRRRPNLDIHDTASVRRLNNFHLHMHGPYCVDDDNLRLLKNCPGVEHIGVSLVQEMGATNSGLVDLTTEGHDHALLCPHLRSLSVHITETIEVS >KQK92629 pep chromosome:Setaria_italica_v2.0:IX:56482418:56483623:1 gene:SETIT_037281mg transcript:KQK92629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISSLMLQEGWRKGPWTALEDRLLTEYVQQHGEGSWNSVAKLTGLRRSGKSCRLRWVNYLRPDLKRGKITPDEETVILQLHAMLGNRWSAIARCLPGRTDNEIKNYWRTHFKKARPSRRARAQLLYQYQLQQQEQRRQYLQSLHLLQQQQSQQQSQQLLMGQQQEQQSPPEPDHQSAVMAAMVNNLQGTECSCSPASTAEHCTIPDDDDALLWDSLWRLVDGNGCGDGSSGGEY >KQK86421 pep chromosome:Setaria_italica_v2.0:IX:1781168:1781688:1 gene:SETIT_039335mg transcript:KQK86421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALELGSSRSRKRESESAEEKASGTCSCPGARAGVSKDKHLSLRRQHSETADENKHTEAVEATTTAKDDDAVEKRHQDPRTSEIYSPAEPGDEVEKPGRDL >KQK88227 pep chromosome:Setaria_italica_v2.0:IX:12400585:12402532:-1 gene:SETIT_039455mg transcript:KQK88227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYLLLHRVVMHATAALVILLYILLFLPVRLLSMRAFVRPLRKEDLRGKVVLIAGASSGIGEELAYQYAKEGACLALVARRKQALKNVAAAALERGAPDVFVFPADVTDPDQSRRAVEETVAHFGKLDHLVANAGIWSSCFFDQVTNITAFTKLMDVNFWGSVYPTYYALPHLKASSGKLVVSCSAAGTVATSRMAFYNPSWARRSIGITILTAGYVESEITKGKGMSRAPYKQEATRGSRPLGQRILEATGAKRLFPPSLLHPVVKTE >KQK90799 pep chromosome:Setaria_italica_v2.0:IX:45824838:45828443:-1 gene:SETIT_035087mg transcript:KQK90799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLLPPWASSSSSSSLGAVLAAALLLVTVLCRRRSSTTRSRKYNLPPGPRPWPVIGNLNLIGSLPHRSIHELSARHGPLMSLRFGSVPVVVGASVDAARFILKTHDVAFIDRPKMASGRYTAYNFSDIVWSPYGAYWRQARKLWQTKLFSARQLRSQEHVRLEEVRDLLRGLHGLAEGRVAVALKEHLLMLNLNVISRMALGRKYVGEGTAGSPVSPAEFRWMVDELFVLNGVFSIGDFIPWLNCLDLQGYIARMKRLGKMFDRFLEHVVDEHNERRRREGEGFVAKDMVDLLLELADDPSLEVPIERDGVKGFALDLIAGGTDTSAVATEWAMSELLRNPEVMAKATEELDSVVGHGRLLVTEEDIPKLPYLEAVVKETFRLHPVTPLLAPRLSREDASSTGGGGGGGYDIPAGTLVFVNIWTIGRDPAVWGRAAEEFRPERFVGSSVDVKGQDLELLPFGSGRRMCPGYTLGLKMVQLTLANLLHAFAWRLPDGVAAEELSMEEKFGLAVPRKVPLEAVAEPRLPAHLYATAP >KQK89344 pep chromosome:Setaria_italica_v2.0:IX:24662785:24664891:1 gene:SETIT_037248mg transcript:KQK89344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPWLATHLWEHYSFTLDKQFLEKTAYPLLEGSASFLLDWLIEGHREYLETNPSTSPEHYFIAPDGKKACVSYSTTMDMSIIREVFSAVLLSADILGKSDTNVVQRIKKALPNLPPVKVARDGTIMEWAQDFQDPEVHHRHVSHLFGLYPGHSMSLEQTPDLCKAVANSLYKRGDEGPGWSTSWKMALWAHLHNSEHAYKMILQLITLVDPKHEVSREGGLYSNLFTAHPPFQIDANFG >KQK89345 pep chromosome:Setaria_italica_v2.0:IX:24662785:24664891:1 gene:SETIT_037248mg transcript:KQK89345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPWLATHLWEHYSFTLDKQFLEKTAYPLLEGSASFLLDWLIEGHREYLETNPSTSPEHYFIAPDGKSDTNVVQRIKKALPNLPPVKVARDGTIMEWAQDFQDPEVHHRHVSHLFGLYPGHSMSLEQTPDLCKAVANSLYKRGDEGPGWSTSWKMALWAHLHNSEHAYKMILQLITLVDPKHEVSREGGLYSNLFTAHPPFQIDANFG >KQK92307 pep chromosome:Setaria_italica_v2.0:IX:54842089:54848171:-1 gene:SETIT_034131mg transcript:KQK92307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPCRELTGLRRVTAAARLLPGRPGASELCLAPFHHAAPRRQQRRGVKVVAAISEDLPRLAAPGKKGAAEGGGRPEKVLMRAALTVRRKQKEDLKEAMAGHLDALWDMVGRSVALELISTKIHPRTKKPMLSGQASIKDWCQKRGVKGEHVVYTAEFMVDSDFGEPGAITVANRHHREFFLESIVVEGGLPCGPVHFACNSWVQTTRELPTKRVFFSNKPYLPSETPPGLRELRDKELKDLRGDGTGVRKLSDRIYDYATYNDLGNPDRGKEFIRPILGGEKIPYPRRCRTGRPPTDTNMTAESRVEKPHRIYVPRDEAFEELKQGAFSAGRLRAVLHTLIPSMIATISADTHNFQGFHHVDNLYKEGLRLKLGLQEHLFQKIPLVQKIQESSEGMLRYDTPSILSKDKFAWLRDDEFARQTVAGINPVNIARLTVFPPVSKLDPAIYGSPESSITEADIACQLNGLTVQQAMDEAKLFILDYHDAYLPFLDRINAIEGRKAYATRTILFLTQAGTLKPIAIELSLPPSQPGEPRLSKVLTPPSDATTNWLWMLAKAHVSSNDAGVHQLVNHWLRTHAMMEPFILAAHRRMSAMHPIFKLLHPHMRYTLEINALARQSLISADGVIESCFTPGPVSGEISAAYYRNHWRFDLEGLPSDLVRRGVAVEDASQPHGIRLLIEDYPYANDGLLLWSAIRNWVESYVQLYYPDAGTVQNDDELQGWYHETVHVGHADIRDAPWWPSLSTPSDLASILTTLIWLASAQHAALNFGQYPLGGYVPNRPPLMRRLLPDPERDAADYAAFLADPHRFFLNAMPGVLEATKFMAVVDTLSTHSPDEEYLGEGRDEPWTGDAAAVAAHAMFAADVRRAEETIERRNADQGRKNRCGAGVLPYELLAPSSPPGVTCRGVPNSISI >KQK87263 pep chromosome:Setaria_italica_v2.0:IX:6317965:6318764:-1 gene:SETIT_038329mg transcript:KQK87263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGYIVGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSDKEWLKATDAKFQAWPRTAGPPVIMNPISRQNFIVKSTE >KQK87262 pep chromosome:Setaria_italica_v2.0:IX:6317965:6319613:-1 gene:SETIT_038329mg transcript:KQK87262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGYIVGSLVGSFAIAYLCDTFVSDKKAFGGSIPKTVSDKEWLKATDAKFQAWPRTAGPPVIMNPISRQNFIVKSTE >KQK87294 pep chromosome:Setaria_italica_v2.0:IX:6463670:6468895:1 gene:SETIT_035279mg transcript:KQK87294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLARSLATSFNLPDDSGADDDPDADAAANPTPSPSARIPPPPPLPPHPQHSEGVKEDLTELSKTLTRQFWGVANFLAPPPGETSPSPSPSPQSAGVQSGDAGTPPEIAGIRNDFADIGGRFRSGISRISSHKAVTGFSKMASNFFAPEGDEESEWEEERRRRIRYEVGEEAVGHEVDGDEEWHQWEERVRLEVEDDRVGHELVDGHELEMERGKHEEDGELELQRVRHEEGGQFGEQRVRHEEGGELEGHGLGHEEEEVEEDWDVIGITEEVLAFATNIARHPETWLDFPLLPDDDESDGPFSYFDMSDAQQEHALAIEHLAPRLAALRIELCPIHMSEECFWKIYFVLLHPRLNKHDAELLSTPQIVEARAMLMQRLQYQSKLETEQLGHHRDDLGVQLREDALKDGTEAFPFVRQETASVMPITEIEIEKHPIHVTEVAVVDKSVIKEELPKDHTETSNVLQEAFDDDIDDWFDEEADLAGHPTIHIGDEEDVSFSDLEEDDVK >KQK87506 pep chromosome:Setaria_italica_v2.0:IX:7602776:7603708:-1 gene:SETIT_039038mg transcript:KQK87506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRGQFAAPPKDDGGRAVTRLTPAAGPSRRARARRRFSLERMARGSSWRLAAVGVLVACAAVVLAPPAAALDIGIQSAGDGVSKQQACSRTCESDHCTTAPFLRYGKYCGILYSGCPGEAPCDALDACCMHHDNCVQAKKDYLSTGCNEALLDCLARLREGTSTFEGNKCMIDEVIDVITLVIEAAVVAGRVLHKP >KQK86968 pep chromosome:Setaria_italica_v2.0:IX:4769375:4769790:1 gene:SETIT_040671mg transcript:KQK86968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHVRARGGRRRAIVCVPVSSTITPPQHARSSGAAQLSAAHPAAISPVQ >KQK89009 pep chromosome:Setaria_italica_v2.0:IX:19460697:19466254:1 gene:SETIT_036472mg transcript:KQK89009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSEMPRVKLGTQGLEVSKLGFGCMGLTGVYNAPVPEEAGIAIIRHAFEAGITFFDTADAYGPHTNEVLLGKALNHFPREKVQVATKFGIAGFDVSGMRVKGTPDYVRACCEASLQRLAVDYIDLYYQHRIDQSVPIEETMRELKKLVEEGKVKYVGLSEASADTIRRAHAVHPITAVQLEWSLWTRDIEEDIIPVCRELGIGIVPYSPLARGFFAGRAAVESIPSESLLSKHPRYTGENLEKNKVMYTRLEMLSKKYGCTPAQLALSWVLHQGEDVVPIPGTTKVKNLDDNMGAVKVKLSKEDLEEISAAVPAGEVAGSRLLGILEPYSWRLANTPLPK >KQK91896 pep chromosome:Setaria_italica_v2.0:IX:52589908:52590424:1 gene:SETIT_038072mg transcript:KQK91896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQRIISSFAATTSPPWPATFHGGIEAGIPGPGAQSPRGGRGHRPDRRWMGQRVGSGSSELMEGRHRCGPTAAAKLKRRGSGERDAAPSESATILATPAAALLGDSDQAVGLAFRNRAG >KQK86966 pep chromosome:Setaria_italica_v2.0:IX:4758552:4760045:1 gene:SETIT_039857mg transcript:KQK86966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICVLTGQIGSGMPGSIEMHPQPTTTTSSHSHPSTTTQPPRSVLTHTSSHIHTLLPQCRRTSTQSSFSFHHRSAQRGQVGLPGFRFASFLLLASPCLPLHVDHDFFVAACPPALPASSTPLHHPPERDEAQPNPTLPHSFAATPPASHPLPPRPHRARRPTSKSETPKQPPLHSLPATARTSRSGQELRSEEENLALCLLMLSRGGNHRVQAPPPVVPAAAPAAAEFKCSVCGKSFSSYQALGGHKTSHRVKLPTPPASAASPAVTVVEAPAPITAIPPPPIEVREPATSSTAASSDGAAASSRVHRCSICHKEFPTGQALGGHKRKHYDGGASAAASTDLLATAAAAETSEVGSSGNGSSAARAFDLNLPAVPEFVFRCGKAGKMWEEDEEVQSPLAFKKPRLLMTA >KQK90989 pep chromosome:Setaria_italica_v2.0:IX:47043598:47046432:-1 gene:SETIT_037245mg transcript:KQK90989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAATRFFLTRSLLPLPLPKWQRRRGRLGSSILAARAFSMAASGFGGGDTFRLSAAPGAGALKLHKGDITLWTVDGATDAIVNAANERMLGGGGVDGAIHRAAGSELVEACRKVPEVKPGVRCPTGEARITPAFKLPVSRVIHTVGPIYDMDKHPEVSLKNAYENSLKLAKENGIQYIAFPAISCGIFRYPPEEASKIAVSTAQQFSDDIKEVHFVLFSDDLYEIWRKTAQEMLSQFEK >KQK92381 pep chromosome:Setaria_italica_v2.0:IX:55244930:55245680:1 gene:SETIT_040749mg transcript:KQK92381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQATVNRSKGNKTEISKSELIQKSAYCRVSGRDMDLVELSAYGNVRRGPDSGGCKIQ >KQK87134 pep chromosome:Setaria_italica_v2.0:IX:5702406:5704996:-1 gene:SETIT_035298mg transcript:KQK87134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEAGRAAIRRALRSLKRRHLAEEGAHSPAIEALTRPFAAHALEWKEKAEKHELELQQCYKAQSRLSEQLVTEIGEGKASKALLKEKEAMVTSLQAELEKTSEENVQLKQSLDEKTKALDLLIQEHQAVKAELEQALTKLKAAEDENQSLIDRWMLEKMKDAERLNEANSMYEEMVLKLKTAGVGAIQHNAQQEADGIIRRSEGGYMETPIPSTCTITIRAHDGGCGSLMFEHNSDKLISGGQDQTVKIWGAYTGALTSTLHGVLGSVNDLAVTNDNKFVVAACSSNKLFVWEVNGGRPRHTLTGHTKNVSSVDASWVKSFVVASSSNDRTIKIWDLQTGFCKSTIMSASNPNSLAFIDGDIICSGHRDGNLRLWDIRSGKCTTQIAAHLDVTSVCVSRSKNFILTSGRDNVHNLFDVRTLEVCGTFRATGNRVVGSWGKPCISPDENCIAAGSSDGSVYIWSRLKNDTPTILQEHSSSVLASAWCGLGPLATSDRNHIYIWS >KQK89911 pep chromosome:Setaria_italica_v2.0:IX:37016538:37019251:-1 gene:SETIT_039376mg transcript:KQK89911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSKKTLLTLSHQMVITLLPMKKNMKLYSTWSHQIDPLSSKARYNAISVLVFTVIVIFMMDMILFATLQGEIETLDDDVVPCGDNTIDTKDIVTHENLETTICVDASDNIATNQEIKAEDDMLKSKDDYSCDEEDESIIKSIGGCREEHVVVRVDDIFVNYKTFKCLLRHVFILRVINAYINLIRAEKYLLCRAESTIYLENTLIVGLLQRDVSSWPIVEQFYQRMQTNGVSCGLFLLNFMEYWTGKKLSDTFMQSDMTNFRLKLAAILCDSTLNTAKELPDGGITDDYTFDTTEFVIENQTQLSQLTCIRYKHIAVAVCDYVLSIKDADALVKEWVRSSDPYPISLSLKNLQDILDVNRSMDIDVFNLAVRMLACDMATVLREPKSHFMDLMFSVVVPYYACGSFGMFAFDKHARMIAIIDPSPVHHNPAYNHLSYYYLPRI >KQK88673 pep chromosome:Setaria_italica_v2.0:IX:16086126:16088918:-1 gene:SETIT_037424mg transcript:KQK88673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPPRRPLAPLRPAAAIPSPLPLDPSPSSSTLSPPLHSAHAAAIPMLDVGLAAPAHVPPPALCRPAAEAATADGPIRGASCPTASVLSGPAVLSAQAGRPSDIMRLRSTIGSRSPLAALGHGDALAADANFLMPCLSAPSSSSMRENSKSRFFCSLCAMSLCALQFLDEGKFQVAGLSTDEFFFSHFAISFFVPCVPLVRCVVWIAGKESAARNLGDKE >KQK89320 pep chromosome:Setaria_italica_v2.0:IX:23809514:23810034:1 gene:SETIT_040645mg transcript:KQK89320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYPSSNICSGCMLRNLRNIKLLGSLTEAYADSFVVDVDK >KQK90946 pep chromosome:Setaria_italica_v2.0:IX:46764993:46767220:1 gene:SETIT_039203mg transcript:KQK90946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELIFRGHEESLPTGAPGHTPKPHHKPLAARPLRYLLAEQRLLFALLGMALAAAVFLNAPSASGTAATSSSTNPGAGSAATTVAAGLARRQDAHAAVGGERERRAARVPLGVRLRVLRVLVTGGAGFVGSHLVDRLLERGDSVIVVDNFFTGRKENLAHHDPATAALEVIRHDVVEPALLEVDRIYHLACPASPVHYKHNPVKTAKTSVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLAMDYHRAANLEVRIARIFNTYEPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHVGPFNLGNPGEFTMLELARVVQETIDRGARIEFRPNTADDPHKRKPDIARAKQLLGWEPKVPLREGLPLMVQDFRARIFGDGQQAAQPQ >KQK87035 pep chromosome:Setaria_italica_v2.0:IX:5172783:5175506:-1 gene:SETIT_039735mg transcript:KQK87035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAHILVLSFPAQGHVTPLMELSHRLVDQGFEVTFVNTEMQHARVLSALPAGGAAVLGGIHLASIPDGLANDEDRKDLSKLVDSCYQHMPGHLERLVAEMEAAGRPKFKWLVGDMTLGACSEAAKKLGVRLASFWTASAACLAIMLNIPKLVEEGLINEQGWPERDETFQVAPEMPPLHTTRMPWMVEMGAPVGNPALFQALTRFYKLENLGEVVICNSFHEAEIGAFKLFSNLMPIGPLFADQELQKPVGHFLPEDESCIKWLDAQPDRSVVYVAFGSLAIFDTRQFEEVAEGLELTGRPFLWVVRPDFTVGLSKAWLAEFQQRVAGMGIIVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFCDQYLDRTYITDVWKTGVAVSPGADGIVTKEELRSKVEQVLGDDDIKETAQLFMDASRRCIGGSGSSSENFNKFVNLLSE >KQK88060 pep chromosome:Setaria_italica_v2.0:IX:11221626:11222680:1 gene:SETIT_038088mg transcript:KQK88060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGLPFTPKSSINPSLNGGPLVPCPPVARLLADGAPPRAPTHTGGAPPPAPTHASAPLLRRHPHRRGPQSPSCATPRGRISVSLQNAIKQQQQQQYLREGGQTGGARWIDPRAKFN >KQK90421 pep chromosome:Setaria_italica_v2.0:IX:42573486:42577232:1 gene:SETIT_038050mg transcript:KQK90421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHPLTGPAASDADDWDNDDFVIPSFSVEESNLGDWEAARASDPQPPPKATKDTENIYLGPHGAPPSRAKKPEDTSATTGFRDKNKAREADQKVSGAGRNNKGDFHRHNGGNHAKDPFKRGA >KQK90512 pep chromosome:Setaria_italica_v2.0:IX:43284069:43284464:-1 gene:SETIT_038496mg transcript:KQK90512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMVLQGMPAFSSSEPDSVFFPEQAWAVALPFHHVKRKLCTLKALRVQRERK >KQK86209 pep chromosome:Setaria_italica_v2.0:IX:767483:768072:1 gene:SETIT_040522mg transcript:KQK86209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCGLCIQTFSTVAPARFDGCYLLRCPFVCPASFSRDYGATASAVPPPLDF >KQK90631 pep chromosome:Setaria_italica_v2.0:IX:44092570:44093168:-1 gene:SETIT_038174mg transcript:KQK90631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWRAQAGHCLAGAGLRRPRARGTQASARAECKTARPLRLGDRSVTHGCAGITGSQALFAVAGVGPSPRTTHMLDEIPQQNTQLWVPHCHKIYKDKRAFGVH >KQK88814 pep chromosome:Setaria_italica_v2.0:IX:17397236:17398689:1 gene:SETIT_037624mg transcript:KQK88814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLWEALGRRNVAGPLLLLNLVLYVFMMGFASWALNSFVDGHHKQYYSPGPRDEAALQFIQSALLAAVVGAAAKAATAFHARAWRPQGLAAAAALGTVAWAATALAFGLACKEMRAAGAGGVARGWRMRALEGITAVLALTQLLYVAMLHAAVAGDRCEPGCPTEDDQEHHRGGPTCSVM >KQK88815 pep chromosome:Setaria_italica_v2.0:IX:17397236:17398689:1 gene:SETIT_037624mg transcript:KQK88815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALMLWEALGRRNVAGPLLLLNLVLYVFMMGFASWALNSFVDGHHKQYYSPDVYMCMGTRAAGPRDEAALQFIQSALLAAVVGAAAKAATAFHARAWRPQGLAAAAALGTVAWAATALAFGLACKEMRAAGAGGVARGWRMRALEGITAVLALTQLLYVAMLHAAVAGDRCEPGCPTEDDQEHHRGGPTCSVM >KQK90739 pep chromosome:Setaria_italica_v2.0:IX:45457374:45460652:-1 gene:SETIT_039850mg transcript:KQK90739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFKGCNEDIKRMKKADGLVLDVHDHNYLPRIAPHYLKWRDQYGEPFLYWFGPKPRICIFDYELARLILSNKSGHFLKIDTQPTVLALLGKGLVFVEGIDWVRHRRVINPAFAMDKIKIMAKTMVTCAQNMVKELEDQASKNKNGETQVELDKQFQKLTTDIISHTAFGSSYKLGIEAFHAQKELQEIAVETLLSVQIPGSNYLPTASNRRKWKLERKLRDTLVQIIQSRSGPEGSLYGNDLLGLMLEACIPTEQGGKQKQLSLSMDEIIHECKTFFFAGYETTSLLLTWTVFLLSVYPEWQERLRKELLRECGKEKPSGDNLSKLKEMTRVLLETLRLYGPAVFTQRKTMTDMAVGEIKIPQGFGIIIPSAIMHRDKKFWGEDADEFNSLRFQNGVTKAAKVPHALLAFSIGPRSCIGQNFVMMEAKSVMAVLLQKFSFTLSPDYVHAPVDLLTLQPKFGLPVVLKLLA >KQK88140 pep chromosome:Setaria_italica_v2.0:IX:11845024:11849190:-1 gene:SETIT_034856mg transcript:KQK88140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLPPRFTCSLPPYHRIRGQIHYKTDILGKNMAKPTVWLLNRTVYAASRTSQDVEGSSGEDSDGETSTKKKRAPRRGRKKATTETLEGEGEESQINSEQASPEETKKVKRRGRKKATTTASLEEGMDKAKEPKKRGRRKVKTTEESSDDEGDDRGKDLMPSNERDQIVVENLANVLESKIELALHEDIGEVYSLMPLVCCFGPAKYSFIPSGRPANRLIDHEIHDRMRDMFWSPDKFVRAPGGPSSNVALALAAIGGRVAFMGKLGDDEYGQSLLYHLNVNGVQTRAVCMDSSAPTAVSLMKVTSKGSLKTNCVKPCAEDCFLQSDINPAVLKEAKMFYYNSSALLEPTTQSSLLKAVDVSKKFGGIIFFDLNLPLPLWSSSKVTKSLIKEAWEAADIIEVTKQELEFLCDIKPSEKFDTNDNDKSKFTHYSPEAIKKLWHDNLKVLFVTNGTSKIHYYTEKHSGWVRGTEDAPITPFTSEMSQSGDAIVAALMRMLAINPHLVTDKVYLHKAVKYAIKCGVLDQWVLARERGFLPKERADPTSEQYEVRSITEREYRTLSDALQSENSSTSELAYVE >KQK89922 pep chromosome:Setaria_italica_v2.0:IX:37178937:37180588:1 gene:SETIT_036867mg transcript:KQK89922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTMERLLLFHKLESDLFHRLVHELAQDPATMQWVIALWLWFESAGHHDFIRRVAALPGPVVLRFVEEAIACLRCLTNLGQGATADANDNRDRRLPCTNALLSKPIDDVGYFQGRREVLDDITHQYRSICLAICDAGNSSTSMPRNIGSVHASPLMVRSSVFPTPRVAPLPLNPMAAPFPLNPMASPWIPVQSPPPDDYRSLFITFSKGYPISREDIMEFFNSMFGPCVETVMVENVAPGQQPVYGRVVLRSPAMIPVVLEGEETAKFMIKGRHLWARMYIPSSRLSDA >KQK88310 pep chromosome:Setaria_italica_v2.0:IX:13067841:13068253:1 gene:SETIT_040847mg transcript:KQK88310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHFYSVPSCLYNFCKYAFLSCPIVFLTKLQLHSICQCHPHKRRTLYY >KQK87454 pep chromosome:Setaria_italica_v2.0:IX:7391659:7395203:1 gene:SETIT_037072mg transcript:KQK87454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFADDVVLVDESQAGVNRKLELWRETPESKGFRLSRTKTKYMRCDFGITTHEEGDVSLESQVVPRKDTFRYLGSMLQRDGDIDEDTIFDNILNRKIPWPHVPEEMSVEARDLIAKLLTEDPHQRLGANGASEVKRHPFFKDISWDTLARQKAAFVPSSDSAFDTSYFTSRYSWNPSDENIYEAYESEDSSDNGSLSGSSSCVSNRQDDMGEDSSGLNEFESSANVNYSFSNFSFKNLSQLASINYDMLTKGLKDDPPPRSET >KQK88443 pep chromosome:Setaria_italica_v2.0:IX:14294940:14295325:1 gene:SETIT_039450mg transcript:KQK88443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFPEVHLPPFPKVELPPKPGMPAIPELHFPEPEARPPSDDEGSHAQGKETPGRISLNLSNPVVSLPYPVFHLTFPTVASLPLYLYCLLHSHPLLLPGAGDGDPEL >KQK89594 pep chromosome:Setaria_italica_v2.0:IX:33610823:33613980:1 gene:SETIT_038884mg transcript:KQK89594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APYLCNHNLPTNLLQIILITVYPYNINDYTLSLLVHLMPSLPSSTCGAPCRHLLEVKKMALLHWKSILPKSPFQMSPWQHNNSPCNWTGIVCRSAVHHGKHKSWMVTNISLPGSDTNGQLGDLNFSALPYLTYINLYNNSLHGSIPANIISLSTLSYLNLTSNCLTGQIPHEIGSLQSLTTLDLSFNNLTGHIPASIGNLTVLTTLLIHYNMVVGPIPKELGSLNVLSKLGQRENQIKGPIPLELGNLTTLNTLLLYKNQITGSIPSELGNLLNLQIMDMSQNQISNSIPASLGNLTKIERLALHENRITNSIPKEIGNLLSGSLPTEFENLTGLVGLALSFNSLSGYLPTNICICGSLKFLHASSNMFDGPIPVSLKTCTTLVQLSLFNNKLTGDISQHFGVYPQLELISLVSNRLSGHISPNWGECRQLEVLHIAKNMIMGQIPPALSKLSNLRELKLYSNHLSGEIPQEIGQLRNLYSLNLSRNEISGSIPSLVSKLSNLVYLDLSGNSLSGSIPNELGDCITLQSLKINNNNLTGNLPAAIGNLASLQIMLDVSNHKLSGGLPLQLEKLDMLEYLLSHNMFSGSIPPSLTSLGSLSTFDVSYNNLEGSIPAGRLLQNASVNWFLHNKGLCGNLSGLQPCYSTQLSGRQKRKLLSLVLPVALVVCSTIIATIVILEEGAITHERDMFSVWNFDGKLAFDDIIRATENFNDKYVIGMGGCGKVYKALLQDGQLVAVKKLHQTEESIVKLYGFCSHPTYNFLVYENDELAKELDWEKRSTLVKDVAQAVSYLHHDCNQPIIHRDITINNILLDSTFKAYVSDFGTARILKSDSSNWSVLAGTYGYIVPVIPNSSFMFRCRAIIHICRDRECDVYSFGVVMLEVVMGDHPKDFLHHIASSREQHRLLNEIIDHRPLAPTSTTKRNSSPHEGGIFMLASFPTSETNNARGISDTYPSSVF >KQK88235 pep chromosome:Setaria_italica_v2.0:IX:12447060:12449123:1 gene:SETIT_039869mg transcript:KQK88235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQGGAVPALFVFGDSQLDVGSNNNLMMTPFRANFLALSVSPPPPAYRSISNTTENYSIFLNGFNFASGGAGVLDITNKVSLDEQIERDYSSVYEGLVQKLGKNHASIHLARSIFAVGTGGNDIATCVLTDPTNQLLVCSDQQFINSLAKSLERKLQVHMLNFENLYCTNPCAVPSLRIKNCVNSRGCFAEVKSACCGLGDNTAQLICMPMNTLCANRRNHIFWDGGHLTEITTEKLAGVTFNGSVPLVSPVNLKQLTAL >KQK88008 pep chromosome:Setaria_italica_v2.0:IX:10794160:10797902:1 gene:SETIT_034716mg transcript:KQK88008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYEVLEQIGKGAFGSALLVRHKLEKKKYVLKKIRLARQTDRTRRSAHQEMQLIATVRNPFIVEYKDSWVDKGCYVCIVIGYCEGGDMAEAIKRANDTHFSEEKLCQWLVQLLMALDYLHAHHILHRDVKCSNIFLTRDQNIRLGDFGLAKILTPDDLASSVVGTPSHMCPELLADIPYGNKSDIWSLGCCMYEMAALRPAFKAFDMQALINKITKSIVSPLPTRYSGAFRGLVRSMLRRSPEHRPSAAELLKHPHLQPYVLQVHLKSSPSRSMIHVHQSPSEKRMTFAGEPVYRSKGRRNSLGNERIVTLSKPSPERNSISSIPSIKDYTTTKGVKELSVDDSQVEEVTSKTVASRTSSIMRTPKTTPWKMMTTLKNRLEAPQASYDRTSHIEQFSTTPVDNRSARLARRASLPLPVFETPKRSSISILEQLESPDVSVNSPRIDRIAEFPLASYEEPPFPSSNKPSVSSAHGSCSAASPATPSFVDRSITKDKCTAQTLRATAADNGRRSVAGDEEDDDSSTGRNNATAAAAAISSRGSSGSSRRRQQQQQQRCRFDTTSYQQRAEALEGLLEFSAQLLQQERYEELGVLLKPFGPDEKVSPRETAIWLTRSFKETGL >KQK91220 pep chromosome:Setaria_italica_v2.0:IX:48558189:48561316:1 gene:SETIT_038014mg transcript:KQK91220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHRLLSLAFLFLQALKLAAPLFDCLQLQAREIGRVREQEHEMAGGDGAKSSASMEEEACVEKKYGGIAPKKPLISKDHERAYFDSADWVLGKQAANSSTRAAVESLKPKLKRTPHHQLPPRKPTCAST >KQK89377 pep chromosome:Setaria_italica_v2.0:IX:26012012:26012577:1 gene:SETIT_038505mg transcript:KQK89377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQESISCAPSIQASTKIGLSSSSRIDLKIRSTDYWRYVAHAHPYIKILG >KQK89297 pep chromosome:Setaria_italica_v2.0:IX:23407669:23409096:-1 gene:SETIT_035485mg transcript:KQK89297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLSSPTSKNQPREHGEAPGPESTKKLRLSSIPPSGHDHPRLIPGLPDEISLQILARMPRMSYLKAKMVSRSWKTAITGAELYRLRKELGVAEEWLYILMKTADDQKLVWHAFDPVSNQWQRLPLMPGISHRRGDCRSGVPGLGLGDLVSAGIRISDVIRSWFGHKDLLGSIPFCGCAIGTVDGCLYVLGGFSRAFAMKCVWRYDPFVNSWQEVSPMSTGRAFCKTSLLNNKLYVVGGVSKGKDGLTPLQSAEVFDPATGVWAEVPDMTFSKAQALPTAFLAELLKPIATGMTSYRGKLYVPQSLYSWPFFVDVGGETFDPETNSWAEMPVGMGEGWPARQAGTKLSAVVDGDLYALEPSTSSDSGKIKIYDPQGDTWKVAVSQVPVGDFAESESPYLLAGFLGKLHLIIKDVDNTIIMQTDLLKPTDSAAPSAGTTCQNPDVSFEKETDVWKAIASKNIAVAELISCQVLSI >KQK89298 pep chromosome:Setaria_italica_v2.0:IX:23407259:23409465:-1 gene:SETIT_035485mg transcript:KQK89298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLSSPTSKNQPREHGEAPGPESTKKLRLSSIPPSGHDHPRLIPGLPDEISLQILARMPRMSYLKAKMVSRSWKTAITGAELYRLRKELGVAEEWLYILMKTADDQKLVWHAFDPVSNQWQRLPLMPGISHRRGDCRSGVPGLGLGDLVSAGIRISDVIRSWFGHKDLLGSIPFCGCAIGTVDGCLYVLGGFSRAFAMKCVWRYDPFVNSWQEVSPMSTGRAFCKTSLLNNKLYVVGGVSKGKDGLTPLQSAEVFDPATGVWAEVPDMTFSKAQALPTAFLAELLKPIATGMTSYRGKLYVPQSLYSWPFFVDVGGETFDPETNSWAEMPVGMGEGWPARQAGTKLSAVVDGDLYALEPSTSSDSGKIKIYDPQGDTWKVAVSQVPVGDFAESESPYLLAGFLGKLHLIIKDVDNTIIMQTDLLKPTDSAAPSAGTTCQNPDVSFEKETDVWKAIASKNIAVAELISCQVLSI >KQK87494 pep chromosome:Setaria_italica_v2.0:IX:7555498:7556735:-1 gene:SETIT_036166mg transcript:KQK87494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWTGLHGDLLDLVVVRLPSLDLLRFRAVCASWRAAATAFTTRRGQPRPDRPWLLLPADVATDHDHGRLVVRADHEVPVATLPARLGRLTSRRFVPLGSARGAIVAADDRGEMHLLDPVTGGRWPLPPVATLPLVARVEGLQVQHRGGGVLPVGALIQKAVPVPTPDGGVMVVAIYRQLQHRNQWATARPGDRAWKSVAPTSIPAVIDVVVHRGQLYANTRYGMMYMFPELHGLGSASPEIIPSVTRRPSAYVERSFLVESPRGELMQVELLRPVRAAGGEGFVVRVLDECGETWEETEDIGDAAVLVDATGAVAASTAECPALRPNTVYFAVDLEGETRVWAYSLAGRHKRIEVVEALPTAEGYKPPCFWFAQVYSQP >KQK86518 pep chromosome:Setaria_italica_v2.0:IX:2392513:2400672:-1 gene:SETIT_034516mg transcript:KQK86518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMSRTRDLLMEGFEGLVREGSFKWGLPRREEEEEDEDDDSSHSGKRPSIAGLSFKANSVVARCSRILDVSIVDLQNNFDKQASDSVKNPRNYARNFLEYCCFMALAQISQVAGYLADKNFRRLSFDMMLAWDAPSSSSQHSVKVEVDSMVSLEAFTRIAPAIPTIVDVVTCSNLFDVLSCSSGGRLPFSVYDKYLSELDRAVKKMKTQSESSLLSNLRSQRGERILEVDGTLTTQPVLEHVGISTWPGRLILTDHALYFEALRVVTYDKPKAYELAEDVKQVVKPELTGPWGSRLFDKAVMYKSTTLTEPVIIEFPELAGHSRRDYWLAIISEVLYAHRFVRKFDISGVDKDETILKAVLGILRLQAIEQLGFPVPNRYESLLMFNLCDKVPGGDLILETLASVISSRTSDRTNQPGTSRGMHAVLSNLGVVSPVNNGERIFVGEMVVGEISSLQKAVIDSMNNYKKVELAQASVDGVKVDGLDTNLAVMKELLSPVSELWRILLLLTSWDEPLKSMVFCLLFSYIIIRGWVVYFMVMVLLFSAAFMFLTRLTNQGKPMTEVKVVSPPPMNTMEQLLAVQNAISKIEELVQDANIVLLKIRALLLAFPSQATDRAILALVLMALSLAIVPTRVLMLLMFLEVCTNNSPPRRASTERWTRRLREWWFSIPAAPVVVEKETEDKKTR >KQK89441 pep chromosome:Setaria_italica_v2.0:IX:29765756:29778820:-1 gene:SETIT_038616mg transcript:KQK89441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAASGVQHHVAVDVEGDEERRRARGEDEADLLWAAIEGRPFAKQRNLAIVIPDPDGAGTSRGGGGAGEGKVVDVRRLDRAGVQRVLRTALATADLDNANLLHGIKARFDAVGLEVPRVEVRFRNLTVSTEVHVGRRALPTLVNYVHDVAERLLITCHLLRPNKRKLTILDDVRGIVRPGRITLLLGPPSSGKSTLLLALAGKLDPQLKKNGEVSYNGISLKEFCVQRTSAYISQTDNHIGELTVRETLDFAARCQGASENWQECLKDLLDLENKRGIRPTPEIDAFMKTASVGGQKHNLVTDYVLRVLGLDMCADTPVGTDMARGVSGGQKKRVTTGEMVVGPRKTLLMDEISTGLDSSTTFQLVKCMRNFVHEMEATVLMSLLQPAPETFDLFDDLILLSEGQIIYQGPTVHVVDYFKSLGFSLPPRKGIADFLQEVTSRKDQAQYWSDKSKQYSFISALTMASAFEQSQYGRSLDSILRDPYVDTNSPQTLARSKFAVSKLSLVKACFARELILISRNRFLYTFRTCQVAFVGVITCTIFLCTRLHPVDEQNGNLYLSCLFYGLVHMMFNGFTELPITISRLPVFYKHRDNFFHPAWAFSIPNWILRIPYSLIEAVVWSCVVYYTVGFAPTADRFFRFMLLLFSVHQMALGLFRMMGAIARDMTIANSFGSAALLAILLLGGFIVPKEAIKPWWQWAYWVSPLMYGQRAISVNEFSASRWSKVLAGSNSTVGTNVLISHNLPTQDYWYWIGVGALLAYAVLFNGLFTLALAFLNPLRKAQAMIPSDSEESNDAGTDSISNGPVIAENNGRSYEFKGQTEGEDKKGMILPFLPLTMTFHNVNYFVDMPKEIKARGVPEKRLQLLSEVSGVFRPRVLTALVGSSGAGKTTLLDVLAGRKTGGYIEGDIRISGHKKEQRTFPRIAGYVEQNDIHSPQEFVEEVMALVELNQLRHALVGRQGYTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLLKRGGRVIYGGSLGVNSIDMIGYFQSIPGVPCIPEGYNPATWMLQVSTQACEKSLGLDFATVYNNSDQFRKVEAIIEELSAPADGMKPLKFSSEFSQNFLTQFKACLRKQSLIYWRSPEYNVVRLFFTAIAALIFGSIFWNVGMKRETTEDLMLVMGALYAACLFLGVNNASSVQPVVSTERTVYYRERAARMYSSFPYAAAQGLVEIPYIAVQTLIFGLITYFMINYERNIGKISCFLHMILPLLLYLVFMFLTFTYFTFYGMVARIPGWWIWFYYICPVAWTLRGIITSQLGDVQTRIVGPGFDGTVQEFLEESLGFKQGMTGVTVAVLSCFSLFFFAIYATSIKVINFQRR >KQK88524 pep chromosome:Setaria_italica_v2.0:IX:14801574:14801948:1 gene:SETIT_040634mg transcript:KQK88524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPERLRPSCIRYISIMWVEMVIHVVFEPGD >KQK86394 pep chromosome:Setaria_italica_v2.0:IX:1621703:1624669:-1 gene:SETIT_037228mg transcript:KQK86394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAGSKRAYSIIVPTYNERLNVALIVYLIFKHLPDVNFEIIIVDDGSPDGTQDIVKQLQQVYGEDRVLLRARPRKLGLGTAYLHGLKHASGEFVVIMDADLSHHPKYLPSFIRKQKETGADVVTGTRYVKNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGSFRLYKRDVLEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGISKLGGSEIVEYLKGLVYLLLTT >KQK86425 pep chromosome:Setaria_italica_v2.0:IX:1789021:1790002:-1 gene:SETIT_038683mg transcript:KQK86425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TITPVVTSAVADKAVSKFVGNLGKQTPVADKLQRLERLHMRVRSAVEVSEKYAIESTSLLRWREDLKEAAAHGGKVLLSFQQRDGNHQQASSSSAGTGTAMSFTRKALSSMSRRIGTAASVLFSSDEDVKKLDSAVEALEKASENIGDFITLFQASPKLKRMRKNREKASERAVDMDQTDASPAETADDREIEELTMLTGRLQKALVQIITAVEISEIQDMQGLEWLAQWTEVLRQARQQGVLLLKVVTAKARKETTGYDLDDDDIHSFVHIIESLAEDFECFMQLTCSGHLSNVLSNA >KQK87058 pep chromosome:Setaria_italica_v2.0:IX:5355887:5357278:1 gene:SETIT_039860mg transcript:KQK87058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPLRHAAIVPRSHPRNRRSPNHDPTLVEVKRMAHHTMPKRPPPCRTHRQSVAAAPNIPNDRSTASDPIPSKTNSNMWGPRHIHHLTPLLGHITLKRTGASPLLPLDSTLASPPGVTQSHRAAPISSPSPRCHRNPSNTTWSNLRLLDTMVHRLGETPIRRQLKKGLWQTQKLRLQGGERRLRASPSSKLRRASSRRWATSCNISLHATTTRCASRTRPPWHHARPLLVTLAAATPSCLLRVVLLLRTATTASCRDPCHCHISAAPPAAAGMPLAPCRYSHVTACSARAPRPPRAVLPPAAPPRRRRQSTQASAPLAASLPALCHCLVTHSHRSARPLHAVSTCATHVRPLMASAATGDAVELWQQATASPPPTFAPPHAATRNAAERFHPQIRVLAVHPGTPQGLLNSRPPLARRCGRQQIHR >KQK89273 pep chromosome:Setaria_italica_v2.0:IX:22896682:22896823:1 gene:SETIT_0353372mg transcript:KQK89273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVASSPASGSTSPPIRAVNLGGWLVVEGWITPDLFNGVDSKALM >KQK87991 pep chromosome:Setaria_italica_v2.0:IX:10673086:10675347:-1 gene:SETIT_035110mg transcript:KQK87991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQFVPDWSNMGDTSRPLGEDDDLIELLWCNGHVVMQSQNNRKLPPRPEKAAAGAAAPAPAPAPQDDEAGLWFPFALADSLDKDIFSEFFCEAPPPPPPPAPVPATTDVEAGKPCRDDDVPLEDDCGRVGACAVSDAPCDLMPPPKSTHVSGSRQQTMSLADSGDNAGDLSDLVRAGSAGKAAVEAGASSMLSAIGSSICGSNQVLVQRAVSAPGRASGAARGGGCGGGCSGSALPSAMGSANANASGRGNEATVASSSGRSNYCFGTTTTTEPTSTSNRSSKRKRLDTEDSESPSEDAESESAAMLARKLPQKLTTARRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQVQMMWMGSGIAAPPVMFPGVHQYLPRMGVGMGAAAMPSMPRMPFMAPQPVVPNAPVNPVPLSPGYRGHMPAVGITEPYAHYLGVNHLQPTPSQVFGQHFAQGVGYYPLGAKAAVQQNPAIHHVPGGGMPAAAAAAPGALPPESAPSRGPGTMPTALLS >KQK92032 pep chromosome:Setaria_italica_v2.0:IX:53313948:53316147:-1 gene:SETIT_039782mg transcript:KQK92032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKENAAHSAPPLRRSQGKRKALVELPINEWRDTDGGSAPRPSKRRTRSAARAEAEAEEARKRREDGDAARGASVARLLDPKRQDAGAAQAAVAPYHADIDRYLRSLEVEPLRRPSPDYFQKIQKAISPKMRAVLVDWLVEVADEFKLQAETLYLAVSYVDRFLTMNVVTRDKLQLLGVTALLLAAKYEEIESSKMKVNRYTDITDNTYTKQQVVKMEADLLKSLNFEIGGPTVTTFLRRFIASCRGGNCTSSEKLESMCSYLAELSLLDYDCISYLPSVLAAACLFVARFTIRPRTHPWNLTLQRNTGYKAFDLQKSIFIIHELQLSIRCPDQKAIREKYEDTKFGCVSTMVSPQEIPASFFEDCNK >KQK87203 pep chromosome:Setaria_italica_v2.0:IX:6079279:6079913:1 gene:SETIT_038396mg transcript:KQK87203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESKKRKENIPLCFFTPISLCNLLGNVRHVPGCSKICGSFAFLESDPEDSCHTRRGVQSGAPMGQLN >KQK91012 pep chromosome:Setaria_italica_v2.0:IX:47175086:47175607:-1 gene:SETIT_038607mg transcript:KQK91012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTASSPVPAAVLAAPSSAAAVVKPPPRAAAPAANAAAVSTGRRDVLAGTGLAAVLLALGPACGAARAADDEYVSETKEVIGKVRSTINKDKSDPDVADAVAELRELSNSWVAKYRREKSLLGRPSFREMYSALNAVSGHYISFGPTAPIPAKRRARILEEMDTAEKALLRGR >KQK88307 pep chromosome:Setaria_italica_v2.0:IX:13030607:13030846:1 gene:SETIT_038906mg transcript:KQK88307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCRRHRCKQRIHRGAICTHVRPQGAAEVGSARSGRWRWWAARDPATGSDHGCPGRPPNPLFADLHSSRAIANVRIRAA >KQK92305 pep chromosome:Setaria_italica_v2.0:IX:54825571:54826594:1 gene:SETIT_038030mg transcript:KQK92305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAATGQEVAPAIVAAVEVEEWEVCACCGLREECTPAYAAGVRARYGGRWLCGLCGDAVSEEVAARGGSVLEVEAAIARHAAFCRSLDGRRTPVAAERLIAAVRRLLRNAGGKEEKAVVVVEIQEAS >KQK92372 pep chromosome:Setaria_italica_v2.0:IX:55200364:55201688:1 gene:SETIT_040012mg transcript:KQK92372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKQRNGDKQSGNTGLWGNPHLRPPAAPSFIFQPLRSKHYHLIKGADVFAPFGAAGKILCADGAGHAVVHDTRSRSAQGMPAMNAPKGHMHVALSVPRTEAHASAAASCDDSGSDTGKESYIFRPRLDWAVAVDGATIYVSSAATANQQQESIAAGTYCFDTATREWDNAGEWVLPFVGEAEYVPEFGLSACRLHRLCAVSSLRPPVVRHVWADLDPPDDWSLTSLYLADLGSGRLCTAKYCAAGDDDDTSVQASGPIGLSTNKTKQSH >KQK87320 pep chromosome:Setaria_italica_v2.0:IX:6650340:6654589:1 gene:SETIT_036193mg transcript:KQK87320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLSDLGAHGHPGAAARPPLCPLPSSSKVSVQVYTVPSSTAGGGARAQVVAPAGHASSGSGHGGRVGDLDPVKAKIVSHPRYHGLLTAFLDCHKARAPIDKAHARTYMPDRKHRSVGCPPEAAEEIAAAAREREAWQRAAAGDGHTTRPDPELDQFMESYIELLVAWKEELSRPLREAKEFLTTVESQLNSIASTGPPLGAPISADDKIGLDNLSDEDDQEEGSGMESEEALGIDPCSDDKELKRHLLKKYKGCLGSLRKELCKKRKKGKLPKEARQKLLSWWELHYRWPYPSEMEKVALAESTGLEQKQINNWFINQRKRHWKPSEEMQFAVMDGFHPVPPNAAAALYVDARLVGAAPAMFYARPDHGAHDLWHN >KQK91851 pep chromosome:Setaria_italica_v2.0:IX:52299496:52303308:1 gene:SETIT_036809mg transcript:KQK91851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPRLSLLRALAPPPLTRACVPARCTLSAAAAGDAAPAPAVPRKNARKLAPPPREIVKTALFLPPGVEPGAAVTADMVIPGSNIVVGPYAGDARVKEAEFVRSSARARDCPKDDRPEFAVLGRSNVGKSSLINALTRRKEAALTSKKPGKTQTINHFLVNKSWYLVDLPGYGFAAASQSARMDWSSFTKGYFLNRDTLVSVLLLIDASIPPQKIDLDCANWLGRNNIGLTFVFTKCDKVKKGKGGRPDENIKVFQETISGLYPEPPPWIMTSSVTGLGRDGLLLHMSQLRNYWDNEAV >KQK86732 pep chromosome:Setaria_italica_v2.0:IX:3484697:3484920:-1 gene:SETIT_038513mg transcript:KQK86732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNPATMCQFLCNRNTPWTFDHHTMPGNCLTSKQEKKLRHTHFVYRITN >KQK89617 pep chromosome:Setaria_italica_v2.0:IX:33839271:33843155:1 gene:SETIT_040172mg transcript:KQK89617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMPLCLHLAAAIAFVMSMSWATAAVDQRRPITLPGCPDKCGNISIPYPFGTKAGCYFDDTFSVTCNLSTTPPAILDEPFTLQASAYYFGGQANPVGVITNKSWWTADLVDVNVARGEVRVSMPISSDCSMNESYHELSGLARMSLNFSTTFLFSTARNVLVGVGQSVGARVFGDTARTNYSAACNSLFDTPAAVQDGLCKGIGCCQAELAPGLLAVMSSMYYQSNSMWKTFPCTYSMVVDRSWYNFSLQDLYGYRVLDKRFPGGAPVVLDWAIRNGSCPAEGKPLPMACRSGNSLCVNATNGYGYLCKCKDGFDGNPYIPDGCQDIDECALRQEKPELRDSYPCNGICKNLIGGYDCNCKFGMKRDGNGTCTPVFPIPAMVATLGIVIVASIVVIVVLFKLLFEEKRKTKEFFIKNGGPILEKVNNIKIFKKDELKAIIEPCNVIGKGGFGEVYKGLLDNQLVAIKKSINVDRSQEKQFANEIIIQSRVIHKNIVKLIGCCLEVDVPMLVYEFVPQGSLHDILHNQSNNVSLSLGTRLNIAAGAAEGLSYMHSKTSTTILHGDIKPGNILLDDNFDPKISDFGISRLIAIDKSHTKCVIGDMCYMDPIYLQSGLLTKKSDVYSFGVVLLELLSRQKAAFGEDRTLVKAFLDCYREDKQILELFDKEILADKDIGVLHKLAMLIVECLELDVDRRPEMTDVVEQLHSLKRSHKNLYDKNTSTTT >KQK86264 pep chromosome:Setaria_italica_v2.0:IX:1014653:1016323:1 gene:SETIT_037495mg transcript:KQK86264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKSPALPLVTADTTAFRFCTTGNILSPPVVKLSHNKCQGRLNVQQNIDLGKPQDATRKWRVLSADQAQASVVDVDEECKQVLTSLNFSTEDAEKMLKKAFGWIHSPYWSEERKKEVPSAEVVTGVLDYIRSLGLSDEDLHKLLKKFPEVLGCDLDREVKLNVSKLDSEWGINGKTLRSLLLRNPKVLGYNIDCRGDCMAQCTRCWVRF >KQK86862 pep chromosome:Setaria_italica_v2.0:IX:4187028:4192859:1 gene:SETIT_034721mg transcript:KQK86862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSCVSRGLRKAQAAASASRLFSTSSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLLMDNEGTCQGVIALNMEDGTLHRFRASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVHIKGDNPDTVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEISKPGEKQKPLEKGVGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLKEGCGLISKAWESFHDVKISDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTTRDDENWMKHSLGYWENEKVRLAYRPVHMNTLDDEVQSFPPKARVY >KQK92373 pep chromosome:Setaria_italica_v2.0:IX:55216461:55218780:1 gene:SETIT_036519mg transcript:KQK92373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMASNGMASSPSPFFPPNFLLQMQQTPHDHDPQEHHHHHHEHHLPPPLHPHHNPFLSSSQCPSLQDFRGMAPMLGKRPMYGADVGGGDETNGGGGVNEDELSDDGSQAGEKKRRLNVEQVRTLEKNFELGNKLEPERKLQLARALGLQPRQVAIWFQNRRARWKTKQLEKDYDALKRQLDAVKADNDALLSHNKKLQAEILALKGREAGSELINLNKETEASCSNRSENSSEINLDISRTPPSEGPMDPPPPDHQHPSSGGGGGGGMIPFYPSAGRPSGVDIDQLLHTTSVPKLEQHSGGGVQGAETASFGNLLCGVDEPPPFWPWADHQHFH >KQK92995 pep chromosome:Setaria_italica_v2.0:IX:58230082:58234558:-1 gene:SETIT_038904mg transcript:KQK92995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGISWPTAWLCSLESMFQVPTEEPVKAGVVASQQRMANHAADRRDDDDLLDGDPAAPPPSLNPTRSMDPAPAGAHNLTPPEAGWPPELRLPPPPAEPPPPPPQAAGMDDSQFLGSIMGLPPPEAPPRQEAPAPLGPKRRGRPPKNKDGAGAGAGSGAALVPAPPKPARRKEDEEEVVCFICFDGGNLVVCDRRGCPKVYHPACIKRDEAFFQSRSKWNCGTHKVPEKYCIGKKMTNFALEILNLDKKEIIKMDTISNQDFTEWFENEKERLGHLRDRASETGRRKEYPLDHLTMT >KQK91577 pep chromosome:Setaria_italica_v2.0:IX:50613382:50614795:1 gene:SETIT_039224mg transcript:KQK91577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGGGGYAVSFPAAAALAGIMDGCLDRYNELYGCDRRVQACLAELGVPLTREAGFHQVIFAPVTLLATQRDACHDSSCPEKRKYEPLDVLQNLCAVAMFMSVSFANVPLDLKGHVYGLLAPHPVAPLVSLHHLDRLSPISPNSLRRLHAVRSLVGASRRDPARTLQQSICYHRSRSRSGGGLTLSVSVSWGYMLQTPLRTFRAWSGSPANPFTVKTRPEAAPNATARPIMFYLDRCREREGTKEEDSMVVEIYECKPKEAALML >KQK89900 pep chromosome:Setaria_italica_v2.0:IX:36944884:36946443:1 gene:SETIT_039712mg transcript:KQK89900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPSKHSSILTALICRGRRTFSVASFSRNRRAVSPLAPDDYTFPLLLKEAAAEAAGASGRRSSIEGQKLHAGVIKFGFSSCVYASTALVDFYSKSGDLTSARVVFDEMPRRSLPSWTAIMVGYARSGDMRSAEEVFSLMPEKDIPAYNAMIDGFVKVGDVPSAQKVFDAMPERNVVSRTSLMHGYCMDGNTEAARLLFDAMPRRRNLHSWNVMIRGYCRNRESGKALKLFRELQSQSCPFEPNEVTLVSVIPAITDTGAMDLGRWVHEFARRKGLDRRANVATALIDMYLKCGNADEAKRVFNQLNPKDATCWNAIINGLAVNGHSREALGLFEEMRRNGISPNSVTMIGVLSACNHGGLVNEGRQWFQEMEVLGISKKVEHYGCMVDLLGRCGYLGEAMELIEKMPSGPNGIVLSSLLFACACHGAVDMAEGVMKSAVEVEPRNIGNYIIMRNLYAAKKMWRDALNMKDEINKLGGKKEAGCSLVEIGTSVSEFVSGDKAHQDWEVICDIIGCLRLHM >KQK91609 pep chromosome:Setaria_italica_v2.0:IX:50818202:50819063:1 gene:SETIT_037992mg transcript:KQK91609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGHLDYSFASAWNPDGRTFATGNQDKTCRIWDARNLSQSVHVLRGNVGAIRSIRFTSDGQFLSMAEAADFVHIFDIKSDYNKRQELDFFGDVSGMSFSPDTDTLYVGVSDRIYGSLLQFGRRYNYSYLDSLL >KQK88914 pep chromosome:Setaria_italica_v2.0:IX:18589974:18590485:-1 gene:SETIT_038338mg transcript:KQK88914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSLQDQGWKQVELWKDIKIHPYPEAIDVQVSHASKNKFSEHQRRLGDEVGHEMTKRRPRPITLDWGVSSPPRLPI >KQK87715 pep chromosome:Setaria_italica_v2.0:IX:8849403:8854209:1 gene:SETIT_035213mg transcript:KQK87715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKIIKRGNRKGARGDGGEPAARPAAPSSSGGGAGGAAPVTVNHASRATAASPSSPTSPHVAPSALATNQAAGAASPPLLEPLPLLRDVAAADRPGLLLRKLRLVAAIFDLSDSLKHPREKEAKRQALLELVDYVQAPSQAANAAARLPDHVQEALVAAISANIFRPLPPALHESAAAIDPGAAPDDEEEPYLDPAWPHLQLVYELLLRYVVSPDTDTKVAKRYVDHAFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETQRHNGIGELLEILGSIINGFALPMKEEHKLFLARALIPLHKPKSVAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQPAEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHIVSLIAQNRGVIFPIIFEALERNIQSHWNQAVHGLTTNVRKMFLDMDSELFEECQQQYMEKQAKAKEMQEQRESAWRQLEAVVAAKAAGDDMVLVN >KQK87716 pep chromosome:Setaria_italica_v2.0:IX:8849403:8854798:1 gene:SETIT_035213mg transcript:KQK87716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNKIIKRGNRKGARGDGGEPAARPAAPSSSGGGAGGAAPVTVNHASRATAASPSSPTSPHVAPSALATNQAAGAASPPLLEPLPLLRDVAAADRPGLLLRKLRLVAAIFDLSDSLKHPREKEAKRQALLELVDYVQAPSQAANAAARLPDHVQEALVAAISANIFRPLPPALHESAAAIDPGAAPDDEEEPYLDPAWPHLQLVYELLLRYVVSPDTDTKVAKRYVDHAFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNVFYRFIFETQRHNGIGELLEILGSIINGFALPMKEEHKLFLARALIPLHKPKSVAIYHQQLSYCIVQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQPAEFQRCMVPLFKQIGRCLNSSHFQVAERALFLWNNDHIVSLIAQNRGVIFPIIFEALERNIQSHWNQAVHGLTTNVRKMFLDMDSELFEECQQQYMEKQAKAKEMQEQRESAWRQLEAVVAAKAAGDDMVLVN >KQK86263 pep chromosome:Setaria_italica_v2.0:IX:1003538:1006025:1 gene:SETIT_039754mg transcript:KQK86263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSASAAPPEDDVCSVCHDRFRIPCQANCSHWFCGECIIRVWHHGPAVQACVLGLFGFVDDLLILVIVFLHLAAVYRSLLLYRHGGQ >KQK86933 pep chromosome:Setaria_italica_v2.0:IX:4566338:4568124:1 gene:SETIT_035153mg transcript:KQK86933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIGAHGGKRRWLPRLLLLAALSWLLLVYLHVAVFRAPPVISAPPHTSLVAVASDREDGRRFLLRQEEQLKKIASSSAREERRGNPDTCRGRYVYIHDLPPRFNADIIRNCRKTEDHWGDMCRFLMNAGLGRPLADRVDGVIRSEAGWYDTHQFALDAIFHNRMRQYECLTTDPAAASAVFVPFYAGFDFVRYHWGYDNATRDAASVDLTQWLMARPEWRRMGGCDHFLVAGRTGWDFRRSNNVDPDWGNDLLVMPAGRNMSVLVLESAMLHGSDYPVPYPTYFHPRSDADVLRWQDRVRNRRREWLMAFVGAPRPDVPINIRVRDHVIAQCKASSSCTMLGCARATGSTQCHTPGNIMRLFQKTVFCLQPPGDTCTRRSAFDSMVAGCIPVFFHPGSAYKQYRWHLPEDHHRYSVYIPDADVRQRNVSIEAVLRAIPPATVERMREEVIRLIPRVLYADPRSKLETLKDAVDIAVEGILDTVARIRKGEYVDSGGPVTEDPPNLFSSTESRFRPKQSAQDDDH >KQK89180 pep chromosome:Setaria_italica_v2.0:IX:21574511:21576003:1 gene:SETIT_039245mg transcript:KQK89180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSLTKHGFPRGYRFVPTQLELLSILSQYIETGGALDPPLHGIFHDIRILNYHPEELHERYKDDAEHRYIYFFSERQFQKAGPGVAVPEDKDHKEPRPVRVARGGGWKPSGGGQVLRLPRKKGGFVAGRMVTMVFYDRVAGGGVVKSNWGMHEFVVPVDQEMTSPPTKYTRFHDLALYRLYILKSGDMESDNGAAGSSSQMMPKGYDPYAPSTSVAPCPPLQPSGIFTGNKALAAGASTSHMPPPPPQQQQLPSAQHAQYYHHQHAFVGATAAGAGAQPHVHNMPVLGAGLPGNLRQFAVPPAPAPVPPAGANPAAAHQAPTAAMHGAGRDTCHFGAKCSPSPAPAIESSSAEQHATATEEPAHAQFADCVRPMEAAAPQPPLEDIAPGVKDEGMAVADDYEGIGMPDWNNFDFTPFDDSFPELEFTMEELL >KQK87594 pep chromosome:Setaria_italica_v2.0:IX:8114192:8117227:-1 gene:SETIT_038733mg transcript:KQK87594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFSLLPPPPIPFGAIPIHPHRAGPPPTPSPPHPFPHSLAVAASGHHTAALRTQTSGSKQLPSPIKFSPLPISDFKDAGGGEGISEARRSRLVRPAAGIAEEAGWSGLGGVSEAAMGRLFLMHLDGNVYSCKHCKTHLGLAGDIISKAFHCKHGKAYLFHKVVNVTPGVKEDRLMMTGMHTVSDIFCVGCGSIVGWKYEAAHEKSQRYKEGKYILERFKVSGPDGSQYWIAPDAHLVGSDADDV >KQK89684 pep chromosome:Setaria_italica_v2.0:IX:35021991:35022316:-1 gene:SETIT_040143mg transcript:KQK89684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSDGSSRIKKQQAADAACGGKLARPHWRRRDPADTVVLVQLTSANSLPQAAAGTSANAAAQQQQNGDGGGGSGRTLGQMQQECMAWANSEDDQ >KQK87893 pep chromosome:Setaria_italica_v2.0:IX:9997085:9997305:1 gene:SETIT_040335mg transcript:KQK87893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYYYIHSKILKLTCITCYDPDCCRKQLTWCITIFIL >KQK87639 pep chromosome:Setaria_italica_v2.0:IX:8353058:8354042:1 gene:SETIT_039873mg transcript:KQK87639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEAPSCRDGDVPHMKLLCNHGGCLLPRGPDGAIRYAGGETRVLAVSRDVPFQRPSRGQRLERLAEMAGGAAVLAVRHRLADEGLEDVLVSVTYDEELAHMRDEYDRLLATRPTARFRVFVTTTAASAGSGAGGFVHRGRSAAAGLPPLAPKIRRSAQEFAGATHAQQSFRHHRHQQCCCSCHCQRRELCAPAPATARRMYPAPYMSKKVAAGPSMPAAEATARVVFTDAAREKARSRDT >KQK88981 pep chromosome:Setaria_italica_v2.0:IX:19141181:19141676:1 gene:SETIT_038256mg transcript:KQK88981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLTVSRLQEQDDKPQSRAAHVAFSACRRVQVCVYRRRMLPPELLACYVQITQIPPGVCVRNIPLSCTFVGVNTLLVQDLRVKFRCT >KQK87756 pep chromosome:Setaria_italica_v2.0:IX:9145693:9150472:1 gene:SETIT_036580mg transcript:KQK87756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLPVLASGSKATTTTPFYLALDHRASTSSSPPAEAPTPPPAAVSDPSGQSNSERGSEIIKAKIMSHPLYPALLRAFIDCRKVGAPPEVVGRLSALAGEVEMNSGDGQEQPTEADPELDQFMEIYCHMLVRYRQELTRPIEEADEFFRSMEAQIDSFSLDDNGYEGGGSSDEDEQETVDLAGIPVPETGSSSGEDKELKNRLLNKYSGYLSSLWRELSRMKKKGKLPRDARQKLLHWWQLHYRWPYPSELEKAALAESTGLDAKQINNWFINQRKRHWKPAPPAMVMATDYRLRPYGGGGAPSSSASAAALRAEGQYFAGGSSYPRGP >KQK91699 pep chromosome:Setaria_italica_v2.0:IX:51337198:51339442:1 gene:SETIT_035040mg transcript:KQK91699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPAAVMERERLTAEMAFRGPDEGRGDGGGEPAPSIVIKIRRRLPDFARNIKLKYVKLGIRHGGSPTSVLPMLCVPALAAAAYSFVRLDVIYYSIDLLTCVAWLGTALLLLTVYYFKRPRPVYLVEFACYKPEDQHKISKAGFLEMTESTGCFNEAALDFQTKITNRSALGDETYLPPGVQARPPRLNMAEARMEAEAVMFGCLDALFKSTGIDPRRDVRILIVNCSLFNPTPSLASMIINHYKMREDVKSFNLGGMGCSAGLIAIDLAKDMLQANPNSYAVVLSTENITLNWYFGNDRSMLLSNCIFRMGGAAALLSNKRTDASRAKYRLLHTVRTHKGATNECFNCVYQREDEVGKVGVSLARELMAVAGDALKTNITTLGPLVLPLSEQLKFLKSLMMRRVFRVKGVRPYIPDFRRAFEHFCVHAGGRAVLEEVQRSLSLRDTDMEPSKCALHRFGNTSSSSLWYELAYAEAKGRVRRGHRVWQIGFGSGFKCNSAVWRALRDVPALPSPGGAAAGTDRKSCCNPWAEDVDKYPPKAYV >KQK88102 pep chromosome:Setaria_italica_v2.0:IX:11498769:11499638:1 gene:SETIT_037343mg transcript:KQK88102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVEAAVLEAAAVPWPPRHQEGDDEEERAVVYAQERCAKGKRSRRRHLRRAPTEEEHLALCLLMLARGQRDARAPRVPAQEHRCSVCGKSFPSHQALGGHMSSHRTRPPAAAPPTPAAEKPAPAASPAASSSTSGAGSSGSRVHECSMCRKTFPTGQALGGHKRCHYDGTAAGASTTSTTGFSRGFDLNIPALPELILGDRCMPAAAEEEKEVLSPLAFKKPRLMILA >KQK87260 pep chromosome:Setaria_italica_v2.0:IX:6306473:6308661:1 gene:SETIT_039438mg transcript:KQK87260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETTNFPQVAQEDGIASNDEPVQGEELAQGDELVQGEELAQGNELVQGEEIAQGDELVQYEEFIQGDDLVQGNEATTPPSRTRWRRKKPLKWEHFSIETVAEGATRACCKQTFAYSSGSKIAGTSHLKRHITLGSCPKTKNQEQRLALPSTGGTDDDGKGTVERPSKRCYRYTGYASATFDEARSCSYLARMIIQHDYPLDIVQQPAFAAFIESLQLRFKIRDVDTMEGEVYAIYQKEKENLLQAFSTMPGRISLTVGLWRTSQTLACVSVAGQFIDSEWKVHRRMLNFMMVPSPHSENALSEAISMSLSDWNMKDKLFTITLDSECCSHDIYNADLSDHLSIKNNLMLKEQLFVVRCYAHILDAIVQDVIASIHGVIYNIRESIKFIKSSPACEEKFAEIALQLEVPCTKTLCLDVTTQWNTTYLMLLAASDYKDAFTTLETCDDNYNEAPSAEDWRKVEAACSYFKLLYDSALNIMTVANPTSNLFYHEAWKVQLELANGTGHEDPIFSSIAKDMHQRFDKYWKDCSLVLAIAVVMDDPRFKMKLVEFSYSKIYGDEIVKRVKVVNDALHELYKEYAAKPIPLTPAYVEQSEGNNGLADAKKSQGAPASTTLLDFDVYLSEIRSSEQSKSEMEQYLDESLTTFQHSPKWPEISWPFQCPFSAGTGSRVLDDYRSSLRPLEALVCAKDWLQYSPAATEAPSATLIKAEE >KQK86721 pep chromosome:Setaria_italica_v2.0:IX:3446212:3449593:-1 gene:SETIT_037105mg transcript:KQK86721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGDLDFSNPDTFLCPSIGNDPPSSCSMGSYFDDILKDTEHHACTHTHTCNPPVHDHSHTHTCVHVHTKIVAASPEAAETAESPSENNASKKRPSGNRAAVRKYREKKKAHTASLEEEVVHLRALNQQLMKKLQNHAALEAEVARLRCLLVDIRGRIEGEIGAFPYQRPVKNIDLVSSVDQGSFLGGAQVTNSCDFRCNDQMYCNPGMQGATSSQVLGQGACDTTNIQCMGIAKSGSTKLPVCGGMDAAVCLPNVEKK >KQK91409 pep chromosome:Setaria_italica_v2.0:IX:49643349:49647059:1 gene:SETIT_034561mg transcript:KQK91409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDMCIKVRIEAFAALGKMQRVSEGVLLQSLSKKVIKTDTMSGCIINGQKLPPKLIIPCAAGIFAHGIEDEFYQVRSAACTSLGALAKFSSQYAEKALDLLMDMMNDDTEAVRLQTLQALFHMATYGYLSMQEKHMHMFLGLLVDANALIRNAARKILGLVNLPKLQMFKSAVDGLITSLEKNPEEQDIYGILFSIGKNHGSFSANVAKHLAKEIAMASDGELILDKPRIKALLIVSISAPFSDDKHKKLDIPSIIFSHAVPLLGKVSCALGEEVNQDSLLSYLCHKGGMPFWGSRSISAEFGESESCKIETVEVGNQIENTAKATKCLDRVLVMQAIESILQTVEGAWTMKTSCNICEAQTILRTCNKELKVLAENSSGSVGAFLSFLCEYLVAIRLIVEIWLLIQLDNSQAFGLTSHDILLEKLDISVRRMECCYAGLNRELEVQVLELALLANLLGLSKIGACSKVVLGKLLWVINRLEGLCADGSCELSDFSREIKKAFDANPGDTLIDNIHTLFEFFRLKPTTDFGMLKATSAVLQVCDNNSENPLSYICGLPVGVSFNISLWNISSHHRLWLRMSIGESVQHTFLEFSSFEGNEEVKTCSMVVPLDSTPMAHSFVLRVCLLMECSYGSISTNQGGHGGPSDCVVQLSDELDVYFVRIGQR >KQK86448 pep chromosome:Setaria_italica_v2.0:IX:1925449:1927547:1 gene:SETIT_039688mg transcript:KQK86448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSKDVVVHDAKRAKLRLATADHHGGTAAAGWQKDLISGLCDDVLLRILGLVPDATDAVRTGALSRRWRGLWTRAPALRFTLWPRNRICWPHRLDNAERFIAFASNVLALRAQQSGDAAIEHLKISFPFDFEGDTDQLLRLQSIRAAETWIRCAAQQSVKSFCFDQRLPRRPIPAAENGDEEDEDERDEGPDVMVLDDLPSSEKLETMSLDLDGGCRVRLPSAVVFASLVDLTIKFMKVAAGSGHLLARLLSSDCCPSLQKLCMWFVRLPSGMNELLLENSSLLELTLDDMDDMSLIELRTPSLRVLEVKECMDLEVLVVSAPRLEELMFLENSSQKEDVHNIDIIKGRIPHLDHVTSLNVHISPLKRHSFGDYVARLLSLFRNLAYLCLDFDTYIKPDDQCLGSDFICDHLDHWKSYEISSLHLQKAEFRGLTGTDCELHFFRSVLASARQLQMNMLLGGGTWSDCHWSYERRPCQR >KQK92697 pep chromosome:Setaria_italica_v2.0:IX:56821806:56823689:-1 gene:SETIT_034685mg transcript:KQK92697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQERTLEEVISPFLVQLEKARVVPLDLDDDSSHSDITLLFENIKKEACEVKNILQRVSKWENEIIDDFGGIARHLDEIIEEDSQLDSIRSKLQIVNTEMSKLKDRMQLPLHVPVIKPAAPTTLPSSVPSKWVHAKVSEQWKRLEIERKILESSTMSNLQLSYDNLDLQLKLCLLCFSIFPDNSIISKRAMIHWWIGEGLVEATRSQTAEDVGKECFEKLIAREMIEPVYQKRSCGVNQCKLHPWIRRMLITVAKQARFFEFDSDGNATWDCSATHRACLVEEHQLEIDLASLRNPSLRNLLTIFNVNERYLQFEKSWFLDLRKIAVLQLGRWHNSYRHHIEVDSTEFLERLQSSNQLKYLCLRGISRITELPASIGGLSNLRILDLHSCHNLERLTASITSLQMLTHLDVSECYLLEGMPRGISLLTELQVLKGFVIGGSTGNYNCRVAELTRLDKLKKLSVYIGSKVTVIEDELIELENIKGLRVLKITWAVSLSKKERVHQTYDSTSLLTSLSLPLNLEKLDLRCFPGEKIPDWLSPSKLLRLKRLYFTGGMLNTFGEKNMSEVWNIEVLRLKFLNDLSVQWIQVLDIFPKLTFLEVFRCMKLKSFPCDKDGVWMNYDMQEVNK >KQK89289 pep chromosome:Setaria_italica_v2.0:IX:23147057:23147401:1 gene:SETIT_040825mg transcript:KQK89289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIWEVEGTLTTYVSIQDEKASLSQFHGIIILPIRTRCLVINVQMWFA >KQK92435 pep chromosome:Setaria_italica_v2.0:IX:55460280:55463777:1 gene:SETIT_034965mg transcript:KQK92435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFSASADQRDLAAAGDMFHHYTTSNPYSDSPTGGLMPFPATIVSEGHVAHCGDGRDEPASFVNARDGPTSGAGMGLQTQLLMANASTAQHQGLSLSLGTQGVPVSLYQYRQAGMAAASLLSPGQTTTASRSAQSIYIQNSKYLKAARELLDEVVNVRDAIKRKGDKNQSNKDSGEGKDAEKSEEKAEEHEGNSSAELTPSERQDLQNKVSALMALLDQVDRKYRHYHHQMQIVMSSFDAVAGAGAARPYTALALQTISRHFRSLRDAIGAQVQSLRRSLGEKDTSAQGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSHSSSENAGNKGKDEAISSEDHDEFQSPSSAAKHGTAAGHLNAFKSEAIGGMDAGGAIGSYTTSLNLGAVGNGSSSSSLLQDALAHHHSDPRFVAYGDMVGLGGYDGGSVSLTLGLQHCNDAGAVPAEQPGLLYGNAGDFEFMNASEDRQRFGSSQLLHDFVA >KQK92436 pep chromosome:Setaria_italica_v2.0:IX:55460280:55463777:1 gene:SETIT_034965mg transcript:KQK92436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFSASADQRDLAAAGDMFHHYTTSNPYSDSPTGGLMPFPATIVSEGHVAHCGDGRDEPASFVNARDGPTSGAGMGLQTQLLMANASTAQHQGLSLSLGTQGVPVSLYQYRQAGMAAASLLSPGQTTTASRSAQSIYIQNSKYLKAARELLDEVVNVRDAIKRKGDKNQSNKDSGEGKDAEKSEEKAEEHEGNSSAELTPSERQDLQNKVSALMALLDQVDRKYRHYHHQMQIVMSSFDAVAGAGAARPYTALALQTISRHFRSLRDAIGAQVQSLRRSLGEKDTSAQGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSHSSSENAGNKGKDEAISSEDHDEFQSPSSAAKHGTAAGHLNAFKSEAIGGMDAGGAIGSYTTSLNLGAVGNGSSSSSLLQDALAHHHSDPRFVAYGDMVGLGGYDGGSVSLTLGLQHCNDAGAVPAEQPGLLYGNAGDFEFMNASEDRQRFGSSQLLHDFVA >KQK92434 pep chromosome:Setaria_italica_v2.0:IX:55460280:55463777:1 gene:SETIT_034965mg transcript:KQK92434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFSASADQRDLAAAGDMFHHYTTSNPYSDSPTGGLMPFPATIVSEGHVAHCGDGRDEPASFVNARDGPTSGAGMGLQTQLLMANASTAQHQGLSLSLGTQGVPVSLYQYRQAGMAAASLLSPGQTTTASRSAQSIYIQNSKYLKAARELLDEVVNVRDAIKRKGDKNQSNKDSGEGKDAEKSEEKAEEHEGNSSAELTPSERQDLQNKVSALMALLDQVDRKYRHYHHQMQIVMSSFDAVAGAGAARPYTALALQTISRHFRSLRDAIGAQVQSLRRSLGEKDTSAQGGGLSRLRYIDQQLRQQRAMQQFGMMQQPQHAWRPQRGLPESAVSVLRAWLFEHFLHPYPKDSEKLMLARQTGLSRGQVSNWFINARVRLWKPMIEEMYKEEFGAEMDSHSSSENAGNKGKDEAISSEDHDEFQSPSSAAKHGTAAGHLNAFKSEAIGGMDAGGAIGSYTTSLNLGAVGNGSSSSSLLQDALAHHHSDPRFVAYGDMVGLGGYDGGSVSLTLGLQHCNDAGAVPAEQPGLLYGNAGDFEFMNASEDRQRFGSSQLLHDFVA >KQK92875 pep chromosome:Setaria_italica_v2.0:IX:57625183:57627842:1 gene:SETIT_036512mg transcript:KQK92875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLISTAVHEELPENYVRPEAQRPRLHEVVSDAQIPVVDLADPDPAAVVASIGEACTTHGFFQVLNHGVPVELMVAMLAVAYEFFRLPAEEKAKLYSDDPGKKMRLSTSFNVRKETVHNWRDYLRLHCYPLEQYVPDWPANPPSFREIVSAYCREVRALGFRLYEAISASLGLEDDYVKRTLGEQEQHMAVNFYPRCPAPELTYGLPAHTDPNALTILLMDQQVAGLQVLNDGRWIAVNPRPNALVINIGDQLQALSNGRYKSVWHRAVVNSDRPRMSVASFLCPCNDVRIGPAAKLVGEGAPAVYRDYTYAEYYGKFWSRNLDQEHCLELFRT >KQK89465 pep chromosome:Setaria_italica_v2.0:IX:30500848:30503086:-1 gene:SETIT_034531mg transcript:KQK89465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRQPPLPAPSASAPPPAAALLQQQHRHHQRHLAAKAAAIVAVALVVALVAALWWRRRRKREEAEPEGTKGTLRRLSYRQLRRATAGFAAGKKLGQGGFGPVFRGALSPEKGRGGRGRERPVAVKVMDAAGSLQGEREFHNEIAVASHLMSSVSSSSAEEALCSNVLLPFAYSMPARGGEARRPRRMMLVYDLMPNGSLQDALLGTGSRRRPDLVAEWPRRLAVARDVAAALCYLHSVVKPPVVHGDVKASNVLLDADLRARLADFGLARFSSDPDPEGNPESGLIAEGDDGDANGHPDGGCDDDVSVVAESTVTTIVNWEGNVAPKSLEDDEGFTSASPAEAASTSGFDKTSVDSGMNSRSCNGGSCTGGATTSGTGNDWWWRQDNGAPNSGVKDYVMEWIRSEIKKERPKGDWIAGTAVTNPVAERKKSKRRAREWWREDYVDELAKKQKRRALAKSKSQQVGLQWWERDIDDDLDEKGQSKWNLVKSWSRRSSNSTSNGNGSINWWVNGARSSRDWASGELIPKSGGTVSSTPSMRGTVCYVAPEYGGGGPLSEKCDIYSYGVLLLVLISGRRPLQVMASPMSEFEKASLISWARHLARASRLLDLVDPALCDVNRDEALLCITVALLCIQRSPARRPSSEEVLQMLSCEGELPNLPLEFSPSPPGGFPFKSRRNVR >KQK89107 pep chromosome:Setaria_italica_v2.0:IX:20727244:20728239:1 gene:SETIT_040101mg transcript:KQK89107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRFKVAYLDPVRISEPQHKLKMMETIKAQMEGLLDSIIILPKLGEAVVLDSASFSRDRYMEFIGIIQNVYKLYILKDGDHNPKRKKCHKQPPSSTLCGYYVCELIRNNGSTIKDKQIDNICTDLARFILSEICHEDGAFFDKDGVLMADECTNLRRWV >KQK87343 pep chromosome:Setaria_italica_v2.0:IX:6762302:6765237:-1 gene:SETIT_035654mg transcript:KQK87343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEGDEY >KQK87342 pep chromosome:Setaria_italica_v2.0:IX:6762302:6765108:-1 gene:SETIT_035654mg transcript:KQK87342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQADGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPSVVPGGDLAKVQRAVCMISNSTSVVEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEFDEGEEGDEGDEY >KQK91084 pep chromosome:Setaria_italica_v2.0:IX:47679259:47685016:-1 gene:SETIT_036538mg transcript:KQK91084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAASAAVPPPPPPAQAQAGAPPYGPGLAGILPPKPDGEEKKVEKVDYLNLPCPVPFEEIQREALMSLKPELFEGLRFDFTKGLNQKFSLSHSVLMGSIEVPAQSTETIKVPTAHYEFGANFLDPKLMLIGRVMTDGRLNARVKCDLTENLTLKVNAQLTQEAHYSQGMFNFDYKGSDYRAQFQIGNNAFYGANYIQSVTPNLSMGTEMFWLGHQRKSGIGFASRYNTDKMVGTLQVASTGIVALSYVQKVSEKVSLASDFMYNHMSKDVTTSFGYDYLLRQCRLRGKIDSNGVVAAYLEERLNMGVNFLLSAEIDHCKKNYKFGFGMTVGE >KQK92933 pep chromosome:Setaria_italica_v2.0:IX:57934455:57941216:1 gene:SETIT_035248mg transcript:KQK92933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGGGAKKRKLSGWPESEASNKAIEFNSILHGNSSGLEVLLLNRIAIAKSLSPPPIVYDLDWNPKPRSCNGKNAIAMLACASSYGAHIYYYPRSTRTWTTVVMMNRPTRKGDCIRWHKSGRTLATTSNYSDEKDGKVTIFSYDNKTLCFDATGSYSIKEHRNVSSLAWITGTEILVGAIFPSSWLYFFELKEGLISCVHQEECNGFPTNSPIVLQPDPNTTYFFAACEDATKILVWNKLEGSDHIWTIIRSVDCDRGICGMQFGMLTRSLITIQKGHWNVWHWVEQKDLKLQFTSLNEHKIVKGKRLKYRSELISLGVSCTGKVVASGGTGDTFLVYAMTGTAAGPPIAVHWNPACSRNSNFCYGEVRCIKFNAKEGANLQIATGGDNGFIHIWALKKTSSRSKEKVDITPDRRYEHKYYSNHLQPEKIKIPSTSDDEEDDEDVDKEVVREGPFEVVVEYVDKEVVRVAQKPKFYQIREDAEAILEIINGGYDLPKEQIKLLCEKIISADDE >KQK87837 pep chromosome:Setaria_italica_v2.0:IX:9653895:9655941:-1 gene:SETIT_039930mg transcript:KQK87837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPKERPSGRLGRLLAALRPSRAGPLPVQTGFPTSLADLVVKNHGRLKKQPSPSSKRGKRSAAAAAASPTSSVSPSPSTSPPPASPPPTPPAAAVSPSDRPRPDLPPAPHAAAASRGGGFASAWGFLAGAEVVAAVTAASFSLFLLESVRSSLRPRPRPAATERRICLDGRGRVSPIREVDAETGPPRLSCSDTDRGSEASILSAEEKSGGALDESSSSKAKKRSWKKKLIASAKKLNKGRKSKEADSPGSFRSDGDAADASARRGNAIAADPSDSRRGTANQTEAAVAEEPDSLRGSRRSEGIEEMVAAPVEIDDAQSVVLVVEEEEEEEGRAGSRFPAGLVLVAVVLVGLVAGKLPAVALTVLCYAFLSSVQGLPRGGGSPPGRRLEEAASSLSSYGGPRQRLQQAKPDPTGRVPSFRGRGPSFPESSIVSAAAAACLADPALEGHVSVSVSPAASVLLAARAASNCRKNWKRHRAVTVRSRRNGELRGLVALP >KQK90507 pep chromosome:Setaria_italica_v2.0:IX:43254781:43255980:1 gene:SETIT_037999mg transcript:KQK90507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFDAHLGSHHNQSKPKNQAPYLSFLARYPSCIAVRPTMSNISSVLSGLINRRSYGVYARAVNVHVSATAAVGRTADGAADGGGRATSNKDVFWMRDPKTGCWIPENRFQEVDAVELRNRLLQHNEHLTPGA >KQK89726 pep chromosome:Setaria_italica_v2.0:IX:35408335:35413772:1 gene:SETIT_034868mg transcript:KQK89726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDGVAAHGPNNGTANAAAATTFYSAASGVYASTHPAVRLPADPCLSLAPHVLALLPAAAAPDAPALVDAATGEAVSRAGLRRLVSALAAGLLRRHGLHAGDVVLIALPNSVAFPVAFLAVLAAGGVATTMNPYSAPAEIADRVRETRPALVLAAADNAGRLPPLRVPVVLVPGTFRPADAGAPGFAPFRSLLLLDSDLPPAPPVGQDDAAAILYSSGTGGRSKGVVLTHRNLIATAELFVRFEASQYAAPACDNVYLAALPMFHVYGLSLFAVGLLTLGSTVVVMKRFDVGEAVKAIDRFGVTHFPLVPPIMAAMVHAAEPPALSSLVQVSTGAAPASVRLINDFVKAFPHVDLIQGYGMTESAAVGTRGFNTSKQKKYASVGLLAPNMHARIVDLETGCYLPPGSCGELWLHGPAIMRGYLNDEDAHAMNNGWLRTGDAAYFDSDGYLYIVGRLKEVIKYKGYQIAPADLEAVLVEHPEIVDVAVTSAEDEEAGEVPVAFVVRKSGSSLSCTEVMEYVAKQVSPYKKVRKVVFVEAIPRSPAGKVLRRLLKNSLAAGDAVAGPTSHSKSNSKRRSRL >KQK86814 pep chromosome:Setaria_italica_v2.0:IX:3915212:3916753:-1 gene:SETIT_040254mg transcript:KQK86814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PWRPYGPISTVPPPALLRQRRRACHQDRRGRGRRPGAALASRPAPPAFVLERSVGLQRQQQEEEEEWAVAECKSRNAYGCGEHGQRMVQGLTLHARLVAHPASSGDLTSSLYIETSDGALRAIQAEFGGGGGGHDPLLRINEHRGLGADAYVIEAADERFLFLAVDLLLRDHDAVGARRSYLVYDAASPSLSMVPFLPEQCSVASTLRPLHVPRGGGGLYDPILLARKPVPPTPERLKRRHEEVVCVWTPAAAAEERRARPDPDGVGPWKLMRRRFPEQENPFTVNVIFSFGGQAFWVDLAQGLAHCAWPPATGGGAAVEFGFIGIPPGCPPDESWTKRLSDEFSMNRDRTMRFAAGAIRFVCIDRSRRLGDVTVTVWSLDMAARRWTKERSFRARELWRWWSFRRRGLPEMEPRFPVLMADGTLCLLVRNKPRTWDDERVEDYICNLDLESMSVRWSGRFRQYHCYERPVILPSGFFGKQLRPLVPRKRELRGIFFTQK >KQK86991 pep chromosome:Setaria_italica_v2.0:IX:4928535:4930187:-1 gene:SETIT_040135mg transcript:KQK86991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKVAYIAIFLSFVFLFLVRHLLRRPGGDNHGKSKSMRLPPSPRGALPFLGHLHLIKKPFHVALSRLAERHGPAFSLRLGFRDAVVVTSPALARECFTEHDVTFANRPRIPSQMLATGVALGTASYGPHWRNLRRVATVQLLSAHRVGRMSGVICAEVRAMARRIYSATTAAAVPGGAARIELRRRLFELSLSVLMEAIAQTKATQPNADADTDMSVEAQVFKQVIDEVFPRIGSVWWDHLPALQWLDVFGVRNKILAAVRRRDAVLRRLLDAERRRLDDGIDNEKKSMMAVLLTLQRTEPEVYTDTMITALCANLFAAGTETTSTTVEWAMSLLMNNPGTLDKAQAEIDAAVGHSRLINADDLPRLGYLRCIVAETLRLYPAAPLLLPHEASADCKVGGYDVPRGTALLVNAYAIHRDPAVWEDPGRFAPERFGGGRAEGLFMAPFGMGRRKCPGEALALQTVGVALGTLIQCFHWGRVDGLEVDMSEGSGLTMPKAVPLEAMCRTREAMCDVLQKL >KQK86774 pep chromosome:Setaria_italica_v2.0:IX:3659871:3660197:1 gene:SETIT_040835mg transcript:KQK86774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFIRKFMNVLFSQIWNVLSQLIVSRNWLELPVYVVFHPQWLKF >KQK91143 pep chromosome:Setaria_italica_v2.0:IX:48105600:48106180:1 gene:SETIT_039258mg transcript:KQK91143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGAPARAAEAAGRSSSCRRRGLPDPDARALRRADLGHNFDGETVQWLLQQAEPAIVATTGTMPASALASAAPSHIPVAASCSSSPRLRRWRASAQRCGGSTSPSTTRPSSSDGRRKQGFCRSSMFAVLKISLF >KQK88526 pep chromosome:Setaria_italica_v2.0:IX:14814779:14819589:1 gene:SETIT_034436mg transcript:KQK88526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVTDEEDEQHVEELKRLSEKDPEFYKYLEENDKGLLEFDDDDEIKETGISDDEEPVSKDEPKQSAKPITMERVDSWCAGVENDKIGSIRSILQAFRQACHYGEDQGDNSAPKFSVMSGSVLDKVMHFVLKHMDRVLRKLLGAPSSGGKKETISELMLSKTWKRHGNLMRIYLANALHMITEMTDEQMIAFTIHRVRASAVFLAAFPSLLRKYVKALLHTWARGRGAMPLVSIMFLRDLCIQLGSDCLDTCLKGIYKAYLVNCKLSKSISGSKLQHIQFLGNCVRELYSLDPQSAYQHAFVFIRQLAVILRGALTEKGPKSVKDKRQKESSKSTKKQMEKSYQKVYEWQYIFCLELWTSVVCGCSSEEEFRPLAYPLTQIIYGVACLVPSARYFPVRLRCVKMLNCIAEATGTFIPVSSLLLDMLEMKELRGRPDGGVGKAVNLLSVKQVDKKTVKTRAFQEACIYSVVDELAKHLAQWSYSIAFFEMSFIPLVRLRSFCKTIKADRFRKEMKDLIHQIEANVEFMKSKRAGVAFSPNDPVVESFLQTEKEERSSPLSKYVATLHQRAQDRMDALDETSVIVGAESSTFSLRLTEAQKQQDEQEDDEGTMAFSKNLLTEKKKPKTAKEKNKKRPREHDDVATEEDRVEDLVLSSDDEDGNNQESDEDVSVPVEDDSDEDFVDPDSEYKKQKKAKLKKRNKRQPLSNKASSKTKRKVHPKKKAKH >KQK92432 pep chromosome:Setaria_italica_v2.0:IX:55447527:55453455:1 gene:SETIT_034250mg transcript:KQK92432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWPAALLGCAVAVAVLAAAVECAVTYDKKAVLIDGQRRILFSGSIHYPRSTPDMWEELIQKAKDGGLDVIQTYVFWNGHEPTPGNYYFEERYDLVRFVKTVQKAGLFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKTAMQGFTEKIVGMMKSEKLFASQGGPIILSQIENEYGPEGKEFGAAGQSYINWAAKMAVGLGTGVPWVMCKEDDAPDPVINACNGFYCDAFSPNKPYKPMMWTEAWSGWFTEFGGTIRQRPVEDLAFAVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVREPKHSHLKELHRAVKLCEQALVSVDPAITTLGTMQEAHVFRSPSGCAAFLANYNSNSYAKVVFNNEHYSLPPWSISILPDCKNVVFNSATVGVQTSQMQMWADGASSMMWERYDEEVDSLAAAPLLTTTGLLEQLNVTRDSSDYLWYITSVEISPSENFLQGAKPLSLSVQSAGHTLHIFINGQLQGSAYGTREDRRIKYNGNANLRAGTNKIALLSVACGLPNVGVHYETWNTGVVGPVVLHGLDEGSRDLTWQTWSYQVGLKGEQMNLNSVQGSSSVEWMQGSLLAQNQQPLAWYRAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYANGDCKGCSYTGTFRAPKCQAGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSSVCADVSEDHPNIKKWQIESYGEREYHRAKVHLKCAPGQSISAIKFASFGTPMGTCGSFQQGDCHSANSHTVLEKKCIGLQRCVVAISPENFGGDPCPNVTKRVAVEAVCSPTA >KQK92433 pep chromosome:Setaria_italica_v2.0:IX:55447527:55453600:1 gene:SETIT_034250mg transcript:KQK92433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWPAALLGCAVAVAVLAAAVECAVTYDKKAVLIDGQRRILFSGSIHYPRSTPDMWEELIQKAKDGGLDVIQTYVFWNGHEPTPGNYYFEERYDLVRFVKTVQKAGLFVHLRIGPYICGEWNFGGFPVWLKYVPGISFRTDNEPFKTAMQGFTEKIVGMMKSEKLFASQGGPIILSQIENEYGPEGKEFGAAGQSYINWAAKMAVGLGTGVPWVMCKEDDAPDPVINACNGFYCDAFSPNKPYKPMMWTEAWSGWFTEFGGTIRQRPVEDLAFAVARFVQKGGSFINYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLVREPKHSHLKELHRAVKLCEQALVSVDPAITTLGTMQEAHVFRSPSGCAAFLANYNSNSYAKVVFNNEHYSLPPWSISILPDCKNVVFNSATVGVQTSQMQMWADGASSMMWERYDEEVDSLAAAPLLTTTGLLEQLNVTRDSSDYLWYITSVEISPSENFLQGAKPLSLSVQSAGHTLHIFINGQLQGSAYGTREDRRIKYNGNANLRAGTNKIALLSVACGLPNVGVHYETWNTGVVGPVVLHGLDEGSRDLTWQTWSYQVGLKGEQMNLNSVQGSSSVEWMQGSLLAQNQQPLAWYRAYFETPSGDEPLALDMGSMGKGQIWINGQSIGRYWTAYANGDCKGCSYTGTFRAPKCQAGCGQPTQRWYHVPRSWLQPTRNLLVVFEELGGDSSKIALVKRSVSSVCADVSEDHPNIKKWQIESYGEREYHRAKVHLKCAPGQSISAIKFASFGTPMGTCGSFQQGDCHSANSHTVLEKKCIGLQRCVVAISPENFGGDPCPNVTKRVAVEAVCSPTA >KQK89996 pep chromosome:Setaria_italica_v2.0:IX:38415267:38416664:-1 gene:SETIT_035637mg transcript:KQK89996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLFLFLLFLNVAAAPRAGADGADPCAGRRIYIRHLPPRFNADLLRHCGADAFPLADASAAATSAPPCESLANHGLGPRTHPRSRSWYRTDARLLEPFFHRRILERDCLADDPARADAVFVTYYAALDALPYVLDPALLDASARHGEELAGFLARDQPRILSRRQGHDHFLVVSGSAWDYAQSPGEGPRLWGTTSLLRRPELANFTFLTVESRMWPWQEHAIPHPTSFHPATLPHLRAWLARARRSRRGTLMLFAGGVSRPSRPNIRGSILAECANRTDACAVVDCSGGKCSHDPGRYMRAMLGAKFCLEPPGDTPTRRSTFDAILAGCVPVFFEDLAARRQYGWHLPPARYDEFSVHIPKETVVFGGVRIAETLEAVPEAEVRRMRKRVLEMAPRVLYRRHGSTAELRGAGKDAVDLAVDGVLRRIRRRTCAQDEGRPERIYAMEDDSVEM >KQK87990 pep chromosome:Setaria_italica_v2.0:IX:10644768:10648434:-1 gene:SETIT_034388mg transcript:KQK87990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVLLAAAAAAVIMSAASAQSAVAAAAPLPLVAPAPIGLPNCTTSCGDVQVPYPFGLGPPRCSWPGFNLTCDDTGRPGGAPRLLLGDGTLEVADISLRNTTIRVVRRGDVANISSGRNVTFGRSFTGYTGYTLSDRNELVLSGCNVMATLVGDLDEYSNVISGCASFCSYSNTSKAEIRQPAGKYCSGLGCCQAPVTINSRPEGVQVTWLRGGDKRQQDLLRLDPFVVVAEKGWFDQRPVADQLVGPPGQRQRSDAAMVEAPLVLQWTFTNVAPRDERYPGPACSPEVAQRLCKSANSECKNNGDDYSCQCRGGYDGNPYLDGGCQDINECKLSPEVNGCFGDCSNTEGGFVCRCPPRTHGDHTQRGGCAPSSLTVVTRKLRHRRVRMLKQKFFTQNRGQLLHQLVSQRADIAERMIITIDELSKATNNFDKSREIGGGGHGTVYKGILSDLRVVAIKKSKITVQKEIDEFINEVAILSQINHRNIVKLLGCCLETEVPLLVYEFISNGTLFQHLHVEGPSSLSWGNRLRVATETAKALAYLHSSVSIPVIHRDIKSSNILLDDTMTSKVSDFGASRYAPMDKTGLTTKVQGTIGYLDPSYFYTGRLTERSDVFSFGVILVELLTRKKPFSYLTSDGEGLVSHFMSLLEEGNISQILDPQVIEEGGKEVQEVARLAASCINLRGEERPTMPQVEHALEGLQVTKNKDFMVKDEFEDDDDDDDDDNIVMNRLSSKEVQRIRECNQADTVW >KQK87752 pep chromosome:Setaria_italica_v2.0:IX:9110054:9110540:1 gene:SETIT_040591mg transcript:KQK87752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTEEVDPSTSMVAELPLPAAFLPSTSMKQDCFYYLTCRVLLD >KQK89591 pep chromosome:Setaria_italica_v2.0:IX:33574707:33575463:1 gene:SETIT_039859mg transcript:KQK89591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISMMRMHVVVVCSVVGFLGLVVVILGVAAEAATAQAWVSAGEIDIDDGGLNVKCVYRATPALGCGIVAALLALTSQVAVTYASLCSWCCRTWELPKETRRIVGIALSAVSWIIVIIVVALFIAGAAMNTDQKRGLTADEKCPIDPGSALFAAATVFSVVATGLQIGSCVLLLATPPKGSTKPLATQQQDLATGQPESQQSAEVVVAGGDPPPPSAPSLSSETKSQV >KQK90876 pep chromosome:Setaria_italica_v2.0:IX:46305524:46309169:1 gene:SETIT_035983mg transcript:KQK90876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMERQPDRWRRQVQAPDGLITSRAKSKGSPCLQDDDSQSGEIQIYSGPSLPEDILCHIHSLMPMRDAAQAACVSRAFLHSWRSHPNLDFSKKTLGSNKKTYGNGEVARDFSSKVDHILRKHSGIGVKKLKIHMPEFCNAKDSCYLDSWLQIAVIPGIEDLVLALWKAKYNFPYSLLSNGSGDSIRSLHLAGCSFRPTSELGGLRTLTRLHLRDVCIKGGELGSLLSSSLTLEQLEIMNCDGIVCLKVPCLLQRLSNLKVFECRTLRVIDSKAPNISRFSFTGDHRVKLSLGEALQMNNLFMCFSGAVHYARVELPSSMPNLETATIYSGSEIVDTPMLHSKYAHLKNLSIALIALTFPPTYDYFSLASFFDACPSLEIFLLDVSCRDSYCMILIAITVKQ >KQK90875 pep chromosome:Setaria_italica_v2.0:IX:46305524:46309169:1 gene:SETIT_035983mg transcript:KQK90875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMERQPDRWRRQVQAPDGLITSRAKSKGSPCLQDDDSQSGEIQIYSGPSLPEDILCHIHSLMPMRDAAQAACVSRAFLHSWRSHPNLDFSKKTLGSNKKTYGNGEVARDFSSKVDHILRKHSGIGVKKLKIHMPEFCNAKDSCYLDSWLQIAVIPGIEDLVLALWKAKYNFPYSLLSNGSGDSIRSLHLAGCSFRPTSELGGLRTLTRLHLRDVCIKGGELGSLLSSSLTLEQLEIMNCDGIVCLKVPCLLQRLSNLKVFECRTLRVIDSKAPNISRFSFTGDHRVKLSLGEALQMNNLFMCFSGAVHYARVELPSSMPNLETATIYSGSEVYS >KQK91716 pep chromosome:Setaria_italica_v2.0:IX:51441115:51441674:-1 gene:SETIT_039731mg transcript:KQK91716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHISDIKLIRTDTTLDLSQKAEKAPMDSSSPPPSSSPAPSQAPARSRGATNASQRWSILRRSLLARSSSSLAPGPADSLPLSSPMSTFASASF >KQK91256 pep chromosome:Setaria_italica_v2.0:IX:48799735:48800243:1 gene:SETIT_040537mg transcript:KQK91256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIYHLQTNSNPNYSKVLFRMSFFFIKMLYNVSSYNLC >KQK92326 pep chromosome:Setaria_italica_v2.0:IX:54922057:54924213:1 gene:SETIT_036744mg transcript:KQK92326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFFHWSTCASAFFSLSLRYWQKRAHVNLAEPTNPTNQLTAAVAARRKQHCLAPSRARSQQLTPPPPPKMSSSLLPTTSAGLHLHVCPSPPRPRRRRCCQVITAAALPPTSDGVGRRAVSLAGVAAWLATTAGRADAASPLDKYVKRKKLEPLETYVPAVLLTIDQFVDLEKSLEFEKPRYDETRSLLRSGPASSLRINIRAVAQYASSNGQGKAASDAVDECLRALEDLDSLLLHASRNDPSASVETMRSKITVALGALDNLLQTVPSAVLDKGKAIADAYRTPADDYIEENATVLDPKLKQLEDIL >KQK88713 pep chromosome:Setaria_italica_v2.0:IX:16438477:16440807:1 gene:SETIT_039622mg transcript:KQK88713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVNPSVKTPPFPAAEQTTFKWTIDGFSSLLNKGEGWTYSGAFKIMDLNWYLMLNLRDKKSGDQNDYVSMRLGLSKSSMKPDTIVEACFKFMIYDQFYGKHRKYQVKHNFETGSTSTGIACMIPLDILKEQSSGFFIGDTCVFGVKFIKVVTAKADMISETLFVRKTNTFNEAKTYTWNIEDFFALKNTSCSPEFEISGYNWLISIYQSSHDSIFSLRCLTSGSLVELTLFIYDQEGGKHRDATGRFSTSNCKWGLEKFISLEDFKDASNGYLTKNKCCIEGKLEIVGSSRK >KQK86141 pep chromosome:Setaria_italica_v2.0:IX:448850:451015:-1 gene:SETIT_039650mg transcript:KQK86141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLLTEDLVANFPANSQCFPVARRRRVLPLLSQCSPLHRHSTVAKFRTPTRRSAHGDIRPSSGDSLALARRRGITAGRAPFELPFQCSLIRLHGTVAEAHDPPRQPAREGIRSASENPAAPKQQRGAAATRAETPNREDAVSYAANISRHLQQRDLLGAEALFRAAPAAARGLYLDTVMLDGYIKAGRVDRARELFDGMPMKNVVAWTCMVSGYCRTGRVHEARQLFDVMPDPNVVSWTAMVQGYASNGMLKEAREVFDRMPERNVVAWTVMVKAYADSGQIQEAWELFDRMPKRNTYSWNAMISGFLSAGKVDEAVQLFETMPHRNVISWTIMVTGLAKNGFACRAREFFDMMPKKDTAAWNAMITAYANNDQLNEAQRLFDSMLAKDLVTWNTIIEAYSKTKHKDEAVNIFLLMRRSAVSPNICTLISILVMLESTMEVKQIHGLVVTLGLLSETDLGNALLTMYSRSGDLLSAQLTFKRLEVKDTITWTSIMQAFANHGCGYHALQGFAQMLRHGYKPSSTTFTSILSACSHVGLVEKGRKIFKSIYHGYGIEPSIEHYSCLVDLLGRAGYVREAKELVDSMPQGMRDEAILGTLLGACVTHKEVEVAREVGEDLVRFEPSDSGRYTLLANVFASHGMWDETANVWKIMKGSKSKKAPGFSQIEANMRNHVFYSRDQEHPQCAEIYEMLNDTVVPQMKGSSCVGFWEPTLQSDPTIYQA >KQK89577 pep chromosome:Setaria_italica_v2.0:IX:33202734:33204279:1 gene:SETIT_035371mg transcript:KQK89577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAIAAVAGELLSRFISFTINKFCSSYECLEGKGERLQHLLMRVHTVVEEADARHITNSGMLMQLKILSEAMYKGYLALDTLRFQLHEDSSMNKVSDSFPISSTTRLKRRRTILDPMNKGKVLDHEVNGALENLETVVSNIAEFVVLLGGCERMSRRPYDAYLYMGNFMFGRHTEKQKLLNFLLQHNPDDAPAVLPIIGGLAVGKKTLVAHVCADERIRSQFPSIFHLNGDNFLSIVDHEKAMLEMSLLVVEFVSDVDDMEWKEFYSFVRRTNRRSKVVIIGKLERLARFGSVRPIFLSTLPYEELWYLFKVLAFGSADPAEHPRLVHIAEGFAKELHQEGSLVAANALADMLRRNLNAQLWFCILNRCKKVIEKNIFAYGQKPISLIEQGHEVDMTDFALNPVSPIHIIPCTSSSSTTYVYAPVKELPKVTLGELVLDPSVRPNGQFNLVSWESRLPPYTSFVHFVPNCTEGMPEGTHVSEEAQRSGFLRT >KQK90311 pep chromosome:Setaria_italica_v2.0:IX:41538132:41540161:1 gene:SETIT_036753mg transcript:KQK90311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRAFRYAVVDAFTDEPFKGNSAAVCLLEDDGAGDGGEPLDERWMQAVAAEFNTPITAFLVRSGPSDAGAGAAVVTAQFRIRWFTPVRESELCGHGTLAAAHYLIASGLVECDAIEFLAKSGRLTAKKVLGSKNATPAQHTCSKFMIELDFPVIPVVKCNSAEMLPIPETLSGASVINELQAVSAFSDFIVEVSSCDEVDNVCPNIAELVQCPGRGIAITGPAREGSSYDFVTRFFAPKYGINEDPVCASVHCSLAPYWGKKLGKQNMTAFMASPRSGTLYLQWDEEAHRVRIQGEAVTVMLGSLLA >KQK90693 pep chromosome:Setaria_italica_v2.0:IX:44871175:44873048:1 gene:SETIT_035195mg transcript:KQK90693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVSAAIVQETVGQILSGVVQKYEEKEESNEKRNLERLEMAHIRLEAALETSNNWKITDASLLRWRKKLKRAAQECDDTLHKCKQRILEDEQMEREVKNSSLPNRIVHATKSFALSIFKRNDNDLRRSIAQRFEWYADGASEFLRFIELGGTPRCHMPFESLVKNLFAGKELHHKIVRGNEYPLFQLWLTSIRNPVHGMEVSLVFIQYDGTPEGSICFSLAVQLSESIDIVGIAVKCLQLFAPHFKCKLENIRNELTQLPHEDFSWGPSFYSDHKEHWDKLSSLSSQLNRPNPFCCKEHGQHEVQRFSNMDMAGLSDGLLEPVIQFTLHCHVSLPMYRKQKTSLCEDLISLQDYPYLKAGIAFSPHGSLENMLPANRSSEIVAIVHKEQHFLHTDITLEQLEEIMLPKAIDYFRQNADAMVYQMLWKSKHGFALIQVEKPCMSAWRSSMRRRTTSGGARKRKLFQGDDEEFIRNRIRLCHWLDSWFTHVPVRLQKSLMNWIRKEKEILIAAPQLHLKF >KQK91674 pep chromosome:Setaria_italica_v2.0:IX:51154998:51158653:-1 gene:SETIT_036879mg transcript:KQK91674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDATVAGTAAVKRPRKEEEDEEDDDELDNVPIALSRAKKAGNASASKVQKEEEDDDEEDNLPISHSRAKKGNEKQKGTMNSSTKASKVKKQEVESDDDDFMPTSQKKNSNAKASKVKKLKDEDLEDLKEDKKRKKRAGVKEGARMIVVKGEKAKRERKVYDLPGQKHDPPTERDPLRIFYESLYEQVPTSDMAATWLMEWGLLPLDVARKVFEKKQGQKLKSPVKTTVSKRKPTSPTKTPASSAMKSVSAKKSAGKPTSQKKRKASSESDDDDDDFVAPKAKTKRQKA >KQK87052 pep chromosome:Setaria_italica_v2.0:IX:5291445:5299648:-1 gene:SETIT_034278mg transcript:KQK87052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPRSPWSRARKPDVYSTFVVHGDDEDDDVRGGRGPATGPEDDEDEDPSSLPPLLQRLPKDFGGASFDDDEDPYSSDPDEASISATVVVKRGAPASARSPFLDLRRSSPRAAEEDPYSTFVVHSTARSGVASSSPRESASGTFIRHSGGSSSPRESFSGTFIRHTSGGSSPHEVASGSGGGFGSSFWSPAVEQSEELRQPSPLMQQQQQQNSRRKPSVSSVPDSVTREDPSTKYELLHELGKGSYGAVYKARDLRTQELVAVKIISLTEGEEGYEDIRGEIEMLQQCSHPNVVRYFGSYQGEEYLWIIMEYCGGGSVADLIGITEEPLDESQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGMPPRSTVHPMRVIFMISSEPAPMLEDKEKWSLLFHDFIAKCLTKDPRLRPAASEMVKHKFIEKCNTGASKMLAKIKDAKRIRATLAAQSQLDGPDDEMLDATVRINEDYGETVPANSQQHMNQATYNDGQAGDFGTMIVHSEDGDEVAESPIFPRTEFIPGLGSINSFTHDPKRAELISKFWAENTTDSDANKDRDLDDHVDMQQPKAIPTSTGTVKKHIGAEGTMRRHDSQISSSPGLANPTMKLNNSPSRKAFSVQDKLWSIYAAGNTVPIPFLKAIDISPLALVSENDAGNGLAGSSTNDALEAVRELFSGDGQAKKGRKGQNEVPLPPGVHHRLTTCPTLMNLAQALAYHKTCYEDMPLQDSQATQEQQTIQNLCDTLRTILRL >KQK92644 pep chromosome:Setaria_italica_v2.0:IX:56589611:56591439:-1 gene:SETIT_035236mg transcript:KQK92644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLFLFIQLLPVLCFLTLYYHHLQSKKTSPLEPTEWPIVGQLPGLVANIHHFHDWATGVLRGASYNFEARGGRTGLRYFITCDPSNVRHIFTSNFANYPKGDEFAVIFDVLGGGIFNADGESWRRQRVKAQMLMTGSRFRTFTARCSRDKVERSLLPFLAHAADEGAPCDLHNVFLRLTFDMTCTLVFGVDPGCLAIGLPVVPFARAMDDALETLFLRHITPMPCWKLMNRLKVGQEKKMAAARRTIDCFVAATVAKRRADKIEQGITESADLLSSFICHEKDSSHNDDVFLRDTTVNLLLAGRDTTGAALSWFFYLLCKNPRVEQKILDELAPVASRKVTDAAGMVTFEASELGNLVYLHAALCECLRLYPSVPFEHKAVVADDVLPSGKEMKAGDKVLVFSYSMGRMEGVWGKDCAEFRPERWLGDEAGTKLRYEPSYKFISFNAGPRTCLGKEMAFVQMKTAAAAVLWNFAVELVPGHVVEPKLSIILHMKNGLAVRVRRRDDVARHGCC >KQK90211 pep chromosome:Setaria_italica_v2.0:IX:40643219:40644422:-1 gene:SETIT_037271mg transcript:KQK90211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSDELKLLGMWASPFVMRVKLALGFKGLSYEDVEEDLFGGKSELLLKSNPVHKKVPVLLHNGKPVCESQIIVQYIDEAFAGTGPLLLPSDPYERAIARFWGAYIDDKLLASFLQSAKGKTEEEKAEGLKQTLVAVENMEGAFKEISKGKPFFGGDTVGYLDVTLGALVSWIHAAEKIYGMRLFDATRSPLLNAWLEQFGALDVAKAALADVDRLVEYAKKRQADQAAAEASSNN >KQK89201 pep chromosome:Setaria_italica_v2.0:IX:21846774:21850643:1 gene:SETIT_035895mg transcript:KQK89201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCLRAPQEDPPPTSPPRVRRRFVLPTSPTKQRMGSSEKTVTAYGEYTYAELEREPYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTQGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINDVKRFFYASSACIYPEFKQLDTNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTERFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGIDIAGYGSSKVVSTQAPVQLGSLRAADGKEGL >KQK91447 pep chromosome:Setaria_italica_v2.0:IX:49847792:49851302:1 gene:SETIT_039502mg transcript:KQK91447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSARTVSPREVVHVSISKRSAGGVVAADTHGRDGADLAEGVEQVILPHVLVQIAHVQRRHRRRSRLRLLTPRLHRGSGRRFCLLLLISGPRRRVRGRSSRFHLRRRHEQSNPSWSRRRGECGRRDVSNPARSPAGFRRRDGFWKNSRGRGPRDATGGPPAPFIPAWSASDTGNRPRLAGRPPISVARTPPASFLPHCLRAGPRLSASGVGPCSVRPRPPARVSAVPSGNEGYYLSALGTAHAQAGAEASTSAYLTPPRPARATHPAADPRRRSDPAMASTAARRLRELQAQAGNKTCVDCAQRNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWTEAQLRKMEAGGNDRLNAFLTARGVPKETPHVAKYNSNAAAAYRDRIAALAEGRPWTDPPVVKETPGSGAPAPARKPPVHGSAASGGGGGGGWDDWDDDFRPDMRRNQSVGSFAAAGTQSGRQPPRSKSTQDMYTRQQLEASAANKEDFFARRMAENESKPDGIPPSQGGKYVGFGSSPAPSANRNGGATQGDVMQVVSQGFGRLSLVAASAAQSAASVVQVGTKEIQSKMREGGYDQKVNETVSVVANKTAEIGSKTWGIMRGVMALASQKVEEYAKEGGVGGWGDDWQRGEQNNEPYQRFEHETNSNGWSSSQNSSSKNYNSNSWDDWDDQGKKDEPAKPHQSSDSWAGWDDAKDDSPSYSNHSTSNKGSNQNGTSGVSYWTEGGFR >KQK87394 pep chromosome:Setaria_italica_v2.0:IX:7079446:7087679:1 gene:SETIT_035144mg transcript:KQK87394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGKKLINDPNDVVTQFIEGLAEAYPGVQYLDGFPEVKVVLRSDVAAGTYDKVAVICGGGSGHEPAHGGFVGQGMLTAAVSGDVFTSPPVNSILAAIRAVTGPKGCLLVVTNYTGDRLNFGLAAEEAKSEGYRVEMVIVGDDCALPPTQGIAGRRGLAGTILVNKVAGAAADAGLPLEKVAEQARHASELVGTMGVALSVCTLPGQETSDRLGPEQIELGLGIHGEPGAAVTELQPVDVVVTRVLKQILSPETQYVPITRGDRVILLTNGLGATPIMELMIATRKAVRELQLEYGIATDRIYTGSFMTSLDMQGFSLSIMKSDTTILQYLDASTKAPCWPAGADGNRQKPAKIAVPAPPSCAMKSDKMLQQSRELTKEGCILEVSIEAGAKEIIRIKDSLNEWDSKVGDGDCGTTMYRGAIAILDDMKKCYPMNDAAETVNEIGATVRRVMGGTTGILYDILCKAAYARANALQASIDAISKYGGARVGYRTMLDALIPASESLREVGSYCIAILLCWKVSAFTQ >KQK87393 pep chromosome:Setaria_italica_v2.0:IX:7079446:7086472:1 gene:SETIT_035144mg transcript:KQK87393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGKKLINDPNDVVTQFIEGLAEAYPGVQYLDGFPEVKVVLRSDVAAGTYDKVAVICGGGSGHEPAHGGFVGQGMLTAAVSGDVFTSPPVNSILAAIRAVTGPKGCLLVVTNYTGDRLNFGLAAEEAKSEGYRVEMVIVGDDCALPPTQGIAGRRGLAGTILVNKVAGAAADAGLPLEKVAEQARHASELVGTMGVALSVCTLPGQETSDRLGPEQIELGLGIHGEPGAAVTELQPVDVVVTRVLKQILSPETQYVPITRGDRVILLTNGLGATPIMELMIATRKAVRELQLEYGIATDRIYTGSFMTSLDMQGFSLSIMKSDTTILQYLDASTKAPCWPAGADGNRQKPAKIAVPAPPSCAMKSDKMLQQSRELTKEGCILEVSIEAGAKEIIRIKDSLNEWDSKVGDGDCGTTMYRGAIAILDDMKKW >KQK87283 pep chromosome:Setaria_italica_v2.0:IX:6397915:6400013:-1 gene:SETIT_038559mg transcript:KQK87283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEDGVKEARQRLFPWEMLKAFLLLGVALSVVGGMLMARHGHAAVSVAVAPALFRPWPWASPAASAAAAAEGLEHSMTDEELLWRASFAPGVRRYPFRRVPKRYFRGHEGRYSIYVHALPSYRANFTSESVFYRRQIPSKVAEWGKMTMCDAERRLLANALLDISNEWFVLVSESCIPIFDFNTTYQYFLNSSQSFVMSIDDPGPYGRGRYNWNMTPEVELDQELAIEIVKDTVYHPKFEEFCRPSCYADEHYIQTMLSIEVPHALANRSVTWVDWSRIAAHPARFGRRDITEEFLREVREGQTCLYNDQNTTMCFLFARKFAPSALEPLLELAPTVLGFG >KQK87651 pep chromosome:Setaria_italica_v2.0:IX:8481636:8487520:1 gene:SETIT_034038mg transcript:KQK87651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLDEFASKLVGILAGMVKEEVEMLLGVPGEVTKLETTLRDLSDILGDAERKRIRDKATEGWVRELKDVMYDADDVLDLCQLMEGGEDPPAPTSAPKTTSRCWDMPKMFFCFRNPVAAHEIGTKIQAINQRLEDLAKRSSRFGFITQAIHSSADSINKASNSLSDETGSVFIRSDVVGEKVEDDTKKIVDLLIKKFNEINVLQSLIASFGAKHEGCAGNKDLLQRAMKDTIRQKKKFLLVMDDVWSEDVWYKLLREPLNHGASGSRVLVTTRNDGVARGMKAQHLHRVDKLTTEDAWILLKNQVVLNESDEADVDELKSIGMEIVERCDCLPLAVKVLGGLLRRKSRTRDDWTDVSSHGTWSTTGIHEDINKAVYLSYEDLPSHLKQCFVYCSLIPKGYLILSAEIVKVWIAAGHVHNKMSCKAPEELGEEYYKELVSRNLLEPDKRYYSTIACSMHDVVRSFAQYIIKDEGILISDGQDVNRTLSTAKLRHLSISNKAVGHDTLQTQALLRTLMLFGSSTTVELKDMLNNLSCLRVLRLIEVDLVELPDSICHLKHLRSLCLSGTSISTIPQGIGDLKFLQSIDLDGCANIHQLPNSILKLRKLRSLNLSDTAITSIPRGLGKLEDLFHISGFPTHYSDESTGGWCSLEELRPLSKLQRLKISCLEKAPSGSMAAKANLSSKHHLKWLYLEFTSRLGDNGEVEGNISEEEHRRTEEVLDNLCPPTCMEELDITGYFARGLPQWMRTMSAFGSLRRLQLDDYACCTHLPNGLGQLPFLDYFWVDRAPSVQCVGHDFLFPSLGGQADGKVTRNNKRQPHHTSRGAGVAFPKLITLGFVGMLGWIEWEWEQHVLAMPALEELTIRNCKLQRLPAGLAQHACRLRQLSLTDVQHLVSVDNFPSLVKLRSYDNPRLERISNNPSLQLIDISYCRALKELDRLPSLRSLLWWDLRAEALPKYLREATLKKLRVDCSRSLLKLIALQDESSEWGKIQHVQQLKAYGRKIEGEAEEADQSHEDEDAEWYIYCTKEPYSFDAYLGKSTG >KQK90597 pep chromosome:Setaria_italica_v2.0:IX:43934404:43941803:-1 gene:SETIT_034054mg transcript:KQK90597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENRKFVLPGQPPDFSQILQEARKRWLRPTEICEILSNYKLFSIAAEPPSRPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKAGSIDVLHCYYAHGEENENFQRRTYWLLEEDFTHIVLVHYLEVKGCKQSFSRVKEEFMQLSNVDSPSCSNSITSQNHMGPQTMEAAESPISGQISDYEDTESDNFRASSRYHPLAEMQQPVDGFMTENMLYASSSTVGSRQGYHGEMLPTTANVDNHSFSHHDIARMFDNANTGLSSVSRILFDSVPFNEPFTDYSTGFTEPTLHSSFACLEANNLEDSSHLQTFTSEALYTNHLSKEEADALSFAGIPSSEVNGSRCTESSIKHPLLKQSSLDLLTIEPTGLKKHDSFSRWMSKELKEVVDLGIKSTSDAFWSNIETVKVPDRCNVLTNEQLDAYVVSPSLSQDQLFSIIDVSPSCAYIGTNTKISVTGTFLVKKEHVENRKWSCMFGNIEVPAEILTDGTLRCYAPEHQSGRVPFYVTCSNRVACSEVREFEYRDPDAQYVETSPSQANGVNEMHLHIRLEKLLTLGPDDHGMVVLSSGDEKYEVINAINSLMLDAKWSDQESASANEVVSTARDQSLKKLVKEKLHHWLICKINDDGKGPNVLCKEGQGVIHLVAALGYDWAIRPIIVAGVNVNFRDAHGWTALHWAASSGRERTIGVLIANGAAAGALTDPTSEFPSGRSPADLASVNGHKGIAGFLAEAALTSHLSALTIRESKDSTVEVCGLPAVEGLTGINSAQLAGEDSHAESLEGSLSAVRKSTQAAARIFQAFRVESFHRKKIVEYGDDNCGLSDERTLSLVSLKGQHDPHLHSAAVRIQNKFRGWKGRKEFMIIRQRIVKLQAHVRGHQVRRNYRKVVWTVGIVEKVILRWRRKRPGLRGFRPEKQLEGPSEIQPAKVEDEYDFLHDGRRQAEARLQRALARVHSMSQYPEAREQYHRLTTCVAEMKQPMMMQDEMLSEAAGGDVNDFMAGLEDLICIDDAAMIA >KQK91135 pep chromosome:Setaria_italica_v2.0:IX:48007431:48008768:-1 gene:SETIT_039737mg transcript:KQK91135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLTLLLALLAAALAISSSDAAGGGGAIRTQLTRADAGRGLTRRQLLRRMALRSKARAARLLSLSDSSSSSPSASASAVPGKDQPLDTEYLISFAIGTPPQPVQVTLDTGSDLVWTQCQPCPSCYAQALPYYDPDLSATSAELTCDSPPCQQLDLSSCGTHKWGNRTCVYTYYYGDKSVTNGRLDADTFTFDGCDAAVPGLAFGCGLFNNGLFSSNATGGGIAGFGRGALSLPSQLRVDNFSYCFTNVTGSTPSPVLLGLPANLYGDASGAAVQTTPLIQSSVIPTFYYLLMKGITVGSTKLPVPESAFALKGNGSGGTIIDSGTSVTLLPPLVYGLLHDVNLPVTNDEPLCFAVPSSRKKQQKVPKLELQFEGATLDLPRENYVFEIEEGGQSNMCIAVMSSGGDMTIIGNYQQQNLHVLYDLAGNKLSFVPARCDRV >KQK86377 pep chromosome:Setaria_italica_v2.0:IX:1530127:1534988:1 gene:SETIT_034864mg transcript:KQK86377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLALSLRLAPSPSAAQLSLHRRGRGAGIRFACRATATFHQLDAVAVREEEANFKASAAEGCNLLPLKRCIFSDHLTPVLAYRCLVKEDDREAPSFLFESVEQGFEGTNVGRYSVVGAQPTMEIVAKANHVTVMDHDMKSKREHFVPDPMKIPRSIMEQWKPHITAGLPDAFCGGWVGFFSYDTVRYVETKKLPFSKAPHDDRNLPDIHLGLYNDVIVFDHVEKKTHVIHWVRLDCYNSADEAYEDGTNRLEALLSRLHCLNVPTLSSGSIKLNVGHFGSALQKSSMSCEDYKNAVVQAKEHILAGDIFQVVLSQRFERRTFADPFEIYRALRIVNPSPYMAYLQARGCILVASSPEILTRVQKRTVINRPLAGTIRRGKTKAEDKVLEQLLLSDQKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELRDDLTCWDALRAALPVGTVSGAPKVRAMELIDELEVNMRGPYSGGFGGISFNGDMDIALALRTMVFPTGSRFDTMYSYTDGNPRKEWVAHIQAGAGIVADSKPDDEHQECLNKAAGAARAIDLAESTFLDE >KQK92643 pep chromosome:Setaria_italica_v2.0:IX:56579804:56582145:1 gene:SETIT_036895mg transcript:KQK92643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRARRFLLAAAAAAVFLLLHAPPLVSCADLDALVYKGCANQSFPGGALPPTVAVLSSALSAQAGSTKFYKTSSPSSSGSSTSVFGLFQCRGDLSGSDCASCVSRAMSSWRDVCGASVAARVQLTGCLALYEVSGFPQVSGVQMLFKTCGTGSGGGGDFEMRRDTAFAALEGGVATSNGGFIATSYQAVYAMAQCEGDLSTADCGQCVTQAVQHVEVECGGAPSGQVYLDKCYISYSYYPHGVPHGGGMGGQQTAKTVAIVLGGAVGVGFLVICLLFARSLVKKKDDY >KQK86482 pep chromosome:Setaria_italica_v2.0:IX:2148076:2153832:1 gene:SETIT_040282mg transcript:KQK86482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIEKIQQAAADGRTAFSFEYFPPKTEEGVENLFERMDRMVAHGPSFCDITWGAGGSTADLTLDIANRMQNMVCVESMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVKHIRAKYGDYFGITVAGYPEAHPDAIQGEGGATPEAYNNDLAYLKRKVDAGADLIVTQLFYDTDIFLKFVDDCRQIGITCPIVPGIMPINNYKGFIRMTGFCKTKIPAEITDALEPIKENEEAVKEYGVHLGTEMCKKILASGIKTLHLYTLNMEKSAIEILKRLGLIEEFKVSRPLPWRPPTNVFRVKEDVRPIFWANRPKSYIRRTLGWDQYPHGRWGDSRNPSYGALTDHQTRGRGKKLQEEWAVPLKSVEDISERFTNFCRGKLTSSPWSELDGLQPETKIIDDQLVKINQKGFLTINSQPAVNGEKSDSPTVGWGGPGGYVYQKAYLEFFCAKEKLDQLVEKIKAFPSLTFIAVNKYGVSASNIPANAVNAVTWGVFPGKEIIQPTVVDSGSFMVWKDEAFEIWTAGWACLFPEEDSSSKELLKKVQDNYYLVSLVDNDYIHGDLFAAFKEI >KQK91288 pep chromosome:Setaria_italica_v2.0:IX:49025768:49026274:-1 gene:SETIT_038991mg transcript:KQK91288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPDMPLAVLSCLALLAATAASHRPSPLSTATSAPVPASAPAVAGLVERLQGEGSQQCWEALVEIKSCTGEIILYLLNGEAYLGPGCCRAIRVVEHSCWAADAMLSVIGFTPEEGDMLKGYCDAGDDSGGGQNGASPPQRAAAAADGVAARESVAAVEGRKSASVHR >KQK91101 pep chromosome:Setaria_italica_v2.0:IX:47780409:47785075:1 gene:SETIT_034214mg transcript:KQK91101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSKSDDDKALVLCQERKRFVREALDGRCAFAAAHFAYIQSLRHTGFALRKFIEPEVPTDSSLFTSTSATPEIPTIRQKSMNLSPSLSHHASDSFSPVPSPLSSGRFHVNHMKAGGSSVTTVKEKLLEPVRATLQTSSPVRRQAIHDLDDSSTFEAPPGTPPWDYFGLFQPVENQISFHDEKELAHDFENADDIRRLREKEGIPELEEELEKSPAHSDFMRRLGEEEAPDLKDVDKSPMNGGEDDLALSEDDFDNPTSESLVRMFKNRNDMPVGYTATAQSPVQHPTDELTSETIDSQTARPKDGMGVNSQSERPKDGMIVDSQAERSKDGTRVDSQAERPKDNMRLDSQPERSKDGTRVDSQAEKPIDDAGVDSQTVRPKDDKRALDISMYESDGTPVASPVKEISTSTASLPMNGKSKEPFRDVRNVVRDLNSCMKEIEILFIKASDSGKEVPRMLEADKVNFRPLLPEEKAPGSTASGFFAKLFACCREEVPVPQPPPQAEVKYLTWHRSMSSLSSSSRNPLGTTTKDDTDGLTGNIFSGVYMNAGSHASTLDRLYAWERKLYDEVKASSAICRQYDEKCRQLRHQESRGESQMSIDKTRAVVKDLHSRILVAIQRIDMISKNIEDLRDKELQPQLEELIGSLTRMWKTMLECHWNQHEIIKLVCNSGSMKISIRSESQLQATLLLQVELSTLCSNFQKWISSHRAYLNSLNSWLLKCVKSLQRRRKSSRKKKVEADPITKYAVAPIFKTCESWINLLDDLPTKDLEDAIKGLATDINRSMPHQEKRRGSSKLTFSLSHSGRLNGELGDIHRSDPPMDLQSSLEMFLGKLENFSEVSLQKYKDLKEEIDEAKTNYEKWK >KQK89226 pep chromosome:Setaria_italica_v2.0:IX:22208058:22208364:-1 gene:SETIT_038358mg transcript:KQK89226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHCIESVSWVASVQINTFVINQPLVPNYHLYQQAPLHHIEEVIVSAISPLQLRYFCLKQSRLDICAWKKETEV >KQK88556 pep chromosome:Setaria_italica_v2.0:IX:15032974:15034666:1 gene:SETIT_038107mg transcript:KQK88556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIGSSNIGFQLLKKSGWKEGTGLGAQEQGRLEPVETRVKNNKRGLGSKEPKPKPKVEDDVEKDPKKPKKDMQSKKRAKLAAKRIRKMQEEEKRLQEKEFEMAFFREFWPDNV >KQK88507 pep chromosome:Setaria_italica_v2.0:IX:14726529:14726907:-1 gene:SETIT_040763mg transcript:KQK88507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYCALLEGTLARPQNPNHRLYMRQFHLDPN >KQK89974 pep chromosome:Setaria_italica_v2.0:IX:38052772:38054351:1 gene:SETIT_036999mg transcript:KQK89974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLSFKAVALAALLSVLVAYGARAQQQPSNATESQDRSLLSYSGGWLPAKATWYGAPTGAGPDDNGGACGFKHTNQYPFSSMTSCGNEPIFKDGKGCGSCYQIRCLKSNHPACSGAPQTVVITDMNYYPVAKYHFDLSGTAFGAMANPGLNDKLRHAGIIDMQFRRVPCNFPGLTINFVVQHGSNPMYLAVLVEFEDKDGDVVQVDIMQHNSGYWEPMHESWGSIWRIDPNRPLQGPYSLRITNESGRQLVAKNVIPANYIPDTNYRSYVQY >KQK90235 pep chromosome:Setaria_italica_v2.0:IX:40871637:40871822:1 gene:SETIT_039364mg transcript:KQK90235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLDLGVWFIPLTLVLVPCRRIVLLLSRLEELRRIMARPRLATADIWTRFATLNSMAFMV >KQK90582 pep chromosome:Setaria_italica_v2.0:IX:43817077:43822380:-1 gene:SETIT_036888mg transcript:KQK90582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSARRMALSLSERRMKSSFLDGGAGESSLSASGGRSPGRKQAHTASESELDSAPAEVDLAPAELELTTAEVDSAAAKLDSALAEVESAAAELLLVVRPVRRLLVTLAAATSRSFCAAAAPMAAEAKTPSLAEEYSLPPEEVPAEKAAEEKPSSGTETEAAPSTNDETPPAVEDKNETPEVQDTADKSEAEETNPVAEETSETAEEEEAEEKPEIKIETAPADFRFPTTNQTRHCFTRYVEYHRCVAAKGEDAPECDKFAKYYRSLCPGEWVDRWNEQRENGTFPGPL >KQK92459 pep chromosome:Setaria_italica_v2.0:IX:55618052:55618946:-1 gene:SETIT_037967mg transcript:KQK92459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGGKGLLAAKTTAAKSTDKDKDKKKAPVSRSSRAGLQFPVGRIHRQLKSRASAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTAKE >KQK92368 pep chromosome:Setaria_italica_v2.0:IX:55184647:55185031:-1 gene:SETIT_040356mg transcript:KQK92368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRYEVAAPLGSSIFFRRVRRPTSTCSHAQRCRRV >KQK87227 pep chromosome:Setaria_italica_v2.0:IX:6174624:6176398:1 gene:SETIT_038666mg transcript:KQK87227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPALYKAATQGCVGSLRKLVVKDVEILNSKTPQRNTALHLAALHGHPKFAREVLAVSKELIVAKNADGDTALHLAAKTGRLKVAELLVALARGWPEDPNSEDALLKSPLIATNKEGNNPLHEAVRCRRTAVALALLDADPSRAYDLNEKMESPLHMAAREGLVHVVRKMFEFTWFDTEYVPSASVSGTALHQAVLGGHIKVVEIMLEKHIWLLDMTDSNGNNALHYAAQKNNSHVVELLLNMKTQLAYTRNREQQSPLHVAAQYGSTAAIKALLRYCSDAAEMVDGNGRNAFHASVDSGKANALRCLLCRVRPEQLLNRADKNGDTPLHLAARMNRVHCALVLLKDRRVDPCIRNKENQTARSLVEVRLNTGEMDAHEMYLWKQLKRQESTRCLKQQLPPVTFTGGSRSSSHKYFERSVETYILVATLIATVTFAATFTMPGGYDQNSGIALHGHDTAFKIFVISNTVAMCSSIVVVYCFIWAWKNPLKFKVDKLLWGHRLTMIAGLGMLVSLMAAVYITVAPTSRWPAYVVIAIGMSTPVVVVLMLGKEVVFVPL >KQK91927 pep chromosome:Setaria_italica_v2.0:IX:52747940:52751031:-1 gene:SETIT_035764mg transcript:KQK91927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDKVDAKEREKIEAVRKLLRKQAPLSAKQAQYCNDACVERFLRSRGESVKKAAKHLRTVLSWRETVGADHIMADEFSAELADGVAFVAGHDDDGRPVVVFRIKQDYPKFHSQKSFVRLLVFTLEVAVACMSRFVDQFVLLFDASFFRSASAFLNLLMGTLKIVADYYPGRLHRAFVIDPPSLFSVLWKGVRPFVELAPATAAVCSLDFEDSLEDASFTAYPRTASLRFEPASAAAVVGKAGVGSASSRFSVTPTDNPIKPWYLSTIPASVGSRSVVPTSSPSLVGASPLSARSFSFASPAALRSTAATPPPFPRGGAPLTPSSAAKGQKTAPPQPQQFPRTPRPSFLQSPSMLFAFRKDGQASRVERERESFLPFLRFYRRPYDEISYRAKMRPPLGGLISIVGEKFKQKPVQQPLRRHVGLHQQHHHYYQQHQRI >KQK91147 pep chromosome:Setaria_italica_v2.0:IX:48127008:48131866:1 gene:SETIT_034969mg transcript:KQK91147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVASAGGLAVAAASTSVTPGQVSAILGFLWVFAAWAYAEVLFHRKNAASIKTRHSDVNLAVMDNSSVKGEDQTLLLEEGGQAVAVKPAYASFTSQILRLFFMDQMLLLENRLTLRAISEFGGYLLYFYICDRTDLLGESAKNYSRDLFLFLYFLLIIVAAMTSFKVHQDKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLGRFAQMMWRLNFFVIFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEIRSVMAMKFVACFLVVILVWEVPGVFDIVWSPFTFLLGYTDPSKPDLPRLHEWQFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEETELRTKLYIKGSIVTVSLTAGYLWYEYIYKLDKITYNKLHPYTSWIPITVYICLRNFTQEFRSFSLTLFAWLGKITLETYISQFHIWLRSRVPNGQPKWLLAIIPNYPLLNFMLTTAIYVAVSHRLFELTNTLKVAFVPSRDNKRLSYNFVAGIVISVALYLVSFVLVGIAGY >KQK91148 pep chromosome:Setaria_italica_v2.0:IX:48127008:48131866:1 gene:SETIT_034969mg transcript:KQK91148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVASAGGLAVAAASTSVTPGQVSAILGFLWVFAAWAYAEVLFHRKNAASIKTRHSDVNLAVMDNSSVKGEDQTLLLEEGGQAVAVKPAYASFTSQILRNFGSDRLFFMDQMLLLENRLTLRAISEFGGYLLYFYICDRTDLLGESAKNYSRDLFLFLYFLLIIVAAMTSFKVHQDKSAFTGKSILYLNRHQTEEWKGWMQVLFLMYHYFNAKEIYNAIRVFIAAYVWMTGFGNFSYYYVRKDFSLGRFAQMMWRLNFFVIFCCIVLNNDYTLYYICPMHTLFTLMVYGALGILNKYNEIRSVMAMKFVACFLVVILVWEVPGVFDIVWSPFTFLLGYTDPSKPDLPRLHEWQFRSGLDRYIWIVGMIYAYYHPTVEKWMEKLEETELRTKLYIKGSIVTVSLTAGYLWYEYIYKLDKITYNKLHPYTSWIPITVYICLRNFTQEFRSFSLTLFAWLGKITLETYISQFHIWLRSRVPNGQPKWLLAIIPNYPLLNFMLTTAIYVAVSHRLFELTNTLKVAFVPSRDNKRLSYNFVAGIVISVALYLVSFVLVGIAGY >KQK87996 pep chromosome:Setaria_italica_v2.0:IX:10723060:10728761:1 gene:SETIT_034711mg transcript:KQK87996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGSSSCIELSGSPTIPSENCSPSEDATILPSKWPQPIPLGSETLGDAKKQDTDGKADHSSDVGQVKLSSTCYDQNGVDQMESSTSGRKVQENELAKQYNDDLAACSLNHLISHSGTGNGVMSKSGLSLEAQQLSWNLRSDDSAADNAHVYCAGATEGVATNHDPEMLPGVIQSQLVSNEYFFDTFKVPSDDMALSEDQCGRLHRCSLFNEKVGASDMSVQSGSNPHLANICGDNYLKPAGSPVYALPGIGLHLNAVASNSSNNMPFTINPPLQPEHNSPTTIVSCSETGLYSSEVYTRIHDDHSSQKTMPNANESCQESHKKKRRKLQNGDGDSCRRCSCKKSKCLKLYCACFAAKVYCSGFCSCQGCLNNHTHEETVSCIRKRTESRNPLAFAPTVTRACDSGSDFGDNSNNTPASARHKRGCNCRKSSCLKKYCECFQVLMFLFSLEGVLLLATAKMEKGAKAKGTRSTEEKLAFDKQDVVGQSGDLPSTENLFATPSLGPCRSSILPPSTCSEPPLSTAGYSSRLHNSQSPIKADVLLSYFDTCAAEMIVGDGSANIQEVGSSCISSVKVVSPNKKRVSPLHTGTGLSPIGRSGRKLVLKSIPSFPSLTGDADSEPH >KQK91087 pep chromosome:Setaria_italica_v2.0:IX:47711385:47714516:-1 gene:SETIT_039353mg transcript:KQK91087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLARFDSPPTFDDIIGRVNSLFKVGNEEEDLRIRGRFDAGDKRSHYVLMPLAFACAELVVDVCPWYMPPHSDKPIEHLTQEDVLPANEVNEEEDDEGGDDDCSHGSDVSGDDGDFDTCRVNNDFDVADLEDDEDDISVGSKEKQSCAKPSVNERVTEPTPVVQSNSTPMTQPTPVVQSIPTPMTQPTLVVRSSPILEPSPLKFFLRDYSVRHHRPYDVVHSSAKMKYTVRCQHSCDWKVITRVIQPHTCETFEVAQEHSQCTACYIARWIAALVHGDLDVSIAVVIETIKGFTNYVHCKPLISVDGTFLTRKYRGVLLIATGMDGEDRLIPLAFSLVEGENNDRWSWFSHLVHRDVVGHDRKVCIISDHHQGILNAVEDHMEGYQPIVSRWCMRHFAANIWHRHKDKKELKLVCEAKAERTIDIRLQKLNGMMNEEATEWVEEQMENKQKWTNAFDEGGARYGVQNTNISEVLNKVLKGIHAIPVYAIVEWAKASAQIDSWPNQILWGKGARNHLETEGKKAASMLAELFDPTLYVYSVRTASGLTVGGEMTGGRIYKLLHIPCSHMIATCRVRGVSHLSPAYMTQLHSKNTVLKTWESCFKPILDEMHWS >KQK90495 pep chromosome:Setaria_italica_v2.0:IX:43170739:43171435:1 gene:SETIT_038448mg transcript:KQK90495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQICSCLQANPILIRIPFASRFWSKSTGFKWRCKQWLVSDHSDEYGSRQEHEQARPHQT >KQK92007 pep chromosome:Setaria_italica_v2.0:IX:53199397:53202039:-1 gene:SETIT_037092mg transcript:KQK92007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSFASNAIAGSIKKKAQPSKCNISNPDCSDDEVSSCTSREEGLDCPICWESFNLVENVPYVLWCGHTMCKNCILGLHWAVVKFPSLPIQLPLFISCPWCNLLSFRLVYKGNLRFPRKNYFLLWMVESMNGERAKFHSTNHNEHHSSWHSSAGPSSSHHHRRNAVIRPESSSARDTNVIRNIFHTDNISASLQKLMVCFMQLTAKFPLVILFLLIVLYAVPASATVLLLYFLVTFLFALPSFLILYFAYPSLDWLVREIFS >KQK91104 pep chromosome:Setaria_italica_v2.0:IX:47804345:47804858:1 gene:SETIT_038337mg transcript:KQK91104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNACAYVIGYSTTKHETSKILHLEFSTCHSINKNDQQYWSLTIVSVPHLLAAKPFERVPRHMIYFRPKLPGLSSVQTP >KQK92922 pep chromosome:Setaria_italica_v2.0:IX:57885732:57886161:1 gene:SETIT_040676mg transcript:KQK92922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYWDGTPTTTSSRYASRSKKYDTTAAASSLCARCYLYVGVLLLTLSKSAVIKSSLPAFL >KQK86204 pep chromosome:Setaria_italica_v2.0:IX:752429:755861:1 gene:SETIT_034397mg transcript:KQK86204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRSVHLGLVGLLLVLAAQEAPAIAVPGPQCQKQCGGVDIHYPFGIGDNCSRSGFNVSCQEVQDGVYKPFLGDFELLNISLIHGTIRELNHISTYCYNSSDGGFMSSSTWSFDASNTPFRFSDVHNKFTVIGCNTLAYIVDNTDKGYQSGCVSTCQNLSDLADASSCSGLGCCQTDIPKGMGFYNVSFDRGFDTSQISRLGLGGCSYAVLMEAAEFNFSTTYINTTDFNDTKIGRAPVVMDWAIREGTSSCEVAKRDDSTYACLSSNSKCVVSPNGPGYLCNCSNGYEGNPYLPDGCHDADECKNSPCPSGGACHNTVGGYRCSCPVGRKFNQRSNTCDPDTTLITGVAIGFFLVVIFSSFGYMILQKRKLNQVKQEHFRQHGGMILFERMRSEKGLAFTVFSEAELVKATDNYDKSRIIGKGGHGTVYKGIVKGNMHVAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLEGCCLEVEVPMLVYEFIPNGTLYELIHGKNQALQIPFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKSANILLDSNYMAKVSDFGASVLAPSDKEQYVTMVQGTCGYLDPEYMQTCQLTEKSDVYSFGVILLEVLTGQEPLKLDGPEKQRSLSSNFLSAMKENNLNAILPSHMKGQESNELIRGLAELAKQCLDMCGSNRPLMKEIADELGRLRKLSLHPWVQINAEMIETQSLLSGTPTASFEIEAGTTGYPTQEGENLPMNPRSSYYAR >KQK90676 pep chromosome:Setaria_italica_v2.0:IX:44548372:44553467:1 gene:SETIT_036116mg transcript:KQK90676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARLAARLAAHSSTLLRPPPPHAAAAAAAVRRRLCSPSSSQRGHYSKMGDSCGSNSHGAVTRVLFCGPYWPASTNYTREYLQNYPYIQVDEVGLEQVLDVIQNYHICVVKNKRIDSDIIAKATQMKIIMQYGVGLEGVDVNAATEHKIKVARIPGSTTGNAVSCAEMAIYLTLGVLRKQKEMDTAVNRKDLGVPVGDTLFGKTVLILGFGAIGIEVAKRLKPFGVKVLATKRNWSSDTLPCDVDEMVGKKGGPQDMYEFAGEADIVITCMALNNETVGIVDHKFLSSMKKGSYLINIARGRLLDYNAVFDHLKSGHLAGLGIDVAWMEPFDPEDPILKFPNVIITPHVAGVTEYSYRTMAKSVGDTALQLHSGEPFTGIEFVN >KQK87693 pep chromosome:Setaria_italica_v2.0:IX:8728252:8731908:-1 gene:SETIT_034429mg transcript:KQK87693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSPPLPATAAGAHRRRQPPAPSAPAYLAAALTFLVLAALAYSRAAFPHFPHPPATRRCRPDAEGSWSAGVFLGDSPFSLKPIEHWGISSGGGAAWPVANPVVTCADLEGGDAIYMFFEMKDPVTSQGNIAAAISKDAGATWQQLGVVLDEEWHLSYPYVFSYENKTYMMPESSKKGNLMLYYAVDFPLKWKLEKVLLERPLVDSVIVNFQGTYWLLGSDISSYGSKQRGLCIWYSSSPLGPWNPHKQNPVHNNNDNRPSARNGGRPFIYNGNLYRIGKDRGGGSCYSIKVFKVEVLTVNLYKEVAVPFVLDKPLKGRNAWDGARSHHLDIQQLPLGQLWIGVMDGDKVPSGDSVHRLTIGYMFYGVASIVVLLLGALIGAIKCILPLRWYLPHTEKRRDTFNIEKQVFLCHKFGLLVCNVNKLGSLIGGRINYRAGKGRIYIAVIILILVILTCFGTHYIFGGNGAEDPYPVKGSYSQFTLLTMTYDARLWNLKMFVEHYSKCASVREIVVVWNKGRPPSQGELKSMVPVRIRVENKNTLNNRFNIDKEIKTRAVMELDDDIMMTCDDLERGFKVWREHPDRIVGYYPRLAEGRPLEYRNERYVRQQGGYNIILTGAAFMDHELAFKRYWSKEAEIGRQIVDNFFNCEDVLLNFLFANASSMSTVEYVKPAWAIDMSKFSGVAISRNTQAHYHIRSKCLSIFSGIYGNLTSKRFFNSRGDGWDV >KQK89683 pep chromosome:Setaria_italica_v2.0:IX:35017910:35018366:-1 gene:SETIT_038033mg transcript:KQK89683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSGGNSKNASAGGQPAGRPPHWRRRDPTATAVYVVHPTQFRTIVQQLTGAASSPPPPVSTAHAHHQSGGGNGSSGAACGGTSNDAAAQPQPQQQHGRGEDRSSRRTLGQLHQECMAWANADDY >KQK86387 pep chromosome:Setaria_italica_v2.0:IX:1577695:1583027:-1 gene:SETIT_035376mg transcript:KQK86387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARCSKLSVCWWPPHFKSPMLENGAVDDDGSGVPVFAEYSLDELRAATDGFAPDRIVSEHGEKAPNVVYRGTLFSSGRTVAIKRFGRSAWPDSRQFLEEARAVGQLRSGRLANLIGCCCESGERLLVAEFMPHETLAKHLFHWETNPLSWAMRMRAALYVAQALEYCSSKGRALYHDLHAYRVVFDVDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVSPESVVYSFGTVLLDLLSGKHIPPSHALDLIRGKNFLVLMDSCLEGHVSNSDGTDLMRLASRCLQYEARDRPNLKAVVSGLASLQKDASTPSHTLLGIQHDKKSSDHVPLSAIGKAFARADLNDVHEILQDDGYNEDDMANAELSLQSWTGELSESFVVKRHADNAFKSKDFATAVECYSRFLDSGAAVAPTMLARRCFAYVVAGKLQEGLEDAKRAEDIAPGWPMGHYLQALVLHNLGREAESHEALKKGTMLEAERNSRARTV >KQK90729 pep chromosome:Setaria_italica_v2.0:IX:45371706:45374983:-1 gene:SETIT_035364mg transcript:KQK90729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGGGGGRKPRNFATFRLFPRAGAADPNDRVFVRVDNNDYTVPGFADEDPFDPSLSDPTADAGHLHSSASGPLPEHVRREILELGLPDDGYNYLSHLRELRPSAAAASSFVPSSTARPEPLPLDVKAYDASRVRVGPSEGELDEGRTMCKVAAKTAPVRRIEKAVDPDVARLLDESDMSHAGSEDEGLEEDFVIVANRAEGEELEEEEEDEEVEYENGVFSDVDEEFDFEEDDPKPRVRRLLDEQFDLLALEEYGDSDDDDKDVKDGEYELPSEVIDELKLFHSQNVCVDEEYRTPADFVRRKLDSSTADEVDESAHVIKKCAEYAEKYLNETAEEEEVVLVSESSDESELWDCETIVSTFSNLDNHPGKIKTPGIPKRRLPRVFPGETATTNDIIKLHGKEKLPVEYLPQRKRGGEKEKKVKPAEVSVADKFKKGSEKETKEEKKARKAAVKEEKREARIAKKELKGLYKSETQKAQKVAAVTGPSSIRLM >KQK88883 pep chromosome:Setaria_italica_v2.0:IX:18154034:18165025:-1 gene:SETIT_034242mg transcript:KQK88883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAVLVLVMGAGWAPGPRGCAAQGEEVVVASYGQDRLWLKPYDWTYLRVELPPSFSSVTMDFATDIDIQREHLKGIPRSKLAIICLMNSNPPIPDISDSYLDNLLSNFLPAGPFGNGNIINQSNLAQCIPFQKNTTVVLTNDQISPGVWYIGYFHGLGPARTQSKMISRGKARLVSTRITVRGCPTSAFWGPYCNQTVEMIGCSQPSINNNSRNLLDLNIERRNSRYTREHHRRINILSQQNHLVEKEVGSNVTALVRMENSITCSISNDSLCVRQGDMKFYFLDVVNLALQFQITAANFGVLGPSLICYLRYNAFPQRDLHDYSGDISHDPLVVKSPNIGRWYIAIETVNKTQTNNTASNPVLDTMCFSLKWQLTGCLNGKSGTDCSWEAYGLQRVPRRSPSVPFESYYVPTDERASLEDSHFYLEQFLSNSSSEQFAWTYFFLDVPQGSAGALIHVQLKSDKELNYELYSKYGGLPSNDTWDYYASRTSSSNGSLFFSLQNSTSSDMDLSIFYAKEGTWCFGVKYPSGRANSQTYMSVSLQGCHRNCNQKGVCHSSVDESGLTFYSFCTCDRDHGGFDCSDELVSPNGHIWQSVFLIASNAAAILPAFWALRQKAFAEWILYTSSGISSALYHSCDVGTWCILSFRVLQFLDFWLSFMAVVGTFICMATIDEASKRAMHTAVFILTALLAATGATRSANIGIVIAIGSLGLLMGWLLEFSTARRFICWPWQINLNVPQSWPNFGTLLRNTLEVLNKRFRWIFLLLGFITLSFAATSWKLESNSNYWIWHSMWHITIYTSSFFFLCSMRVNARNRNPESNYELTRQDSLPRSETQPREN >KQK92657 pep chromosome:Setaria_italica_v2.0:IX:56642747:56643706:-1 gene:SETIT_039592mg transcript:KQK92657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFTAAGTCLPSPAPPTPAAGTVPRLVLLPPLTSRRHRRPCRARLLRPVRAAPTTPPPAPSSRPARDRVIEFGKYKGQMLGTLPPSYLRWVVAELDYGDTAAWASLARDVLDDPIYFDRVEWEHAHRFLRGDADYDYTYDDGDGPLQEMAERFGWDLSDEEGWGRLDLRLIGTSYGGRIPRKGARKQSTSRSNSNSSTGSAKNGSLFDVGADPDGARGKRDERRERMRMRREDQVRTAKMGMLGVNAGVQDTGVPGSSPRKAQIRTAKKEILGLGRGSRAGEVLDEKRAAGKGGQGANPFPGRQAFLDKVRKLKGEDS >KQK92662 pep chromosome:Setaria_italica_v2.0:IX:56655435:56658984:1 gene:SETIT_038969mg transcript:KQK92662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLQHQHRSRSASSFARSSETTATELDARSLGSIAAAAAEPVECPFGGVDGLSRAELREAAYEVFFMSCRAAGGRGGGLNYYPAGGDGGGDGSPTIGAGPRGGTGMNVVSSRVKRALGLKARRASQPTTVRSSMSASSAPGSPGRMRAMRDQAPGSPGRMRSMRDHAPGSPGKARRPMTSAEIMRQQMRVTDQSDARLRKTLMRTLVGQVGKKAETIILPLELLRQLKLTDFADSGEHHQWQRRQLKLLEAGLILHPSLPLDRLNAPVLRFREIMQAADARAIDTGKASDTMRALCDAVLALAWRSAPGTGHPGEACHWADGYPLNVLLYVSLLQAIFDLKDATVVLDEVDELLELMKRTWTTLGMDKMLHNVCFAWVFFQQYVATGQIEPDLAGAALIMLTDVATDAKQENRDPVYALVLSSVLGAIHDWSEKRLLEYHERYGKGMAGTGVGAMENALSLALSTSKIIAESVPGMGVAFAESEHEGGGVGSFAGDRVDYYVRCSMRSAFTKVLENELGQGNSMIINRDDEPSEILVRLAKDTEQLARSEHDSFSPVLKRWHPFPGAAAVVTLHSCYGVVLKQYLAKATCLTNELVHVLHAAGRLEKALVQMVVEDVADSDDGGKSVVREVVPYDVESLVLCFLKTWIEERLRIAKECLLRAKDTESWIPKSKGEQYARSAVELMKLAKATVDEFFGIPVTARDGMVHDLADGLGAIFQEYISFLSSCGNMQSYLPSLPPLTRCNQDSRIIRLWRRAASPCRTTVTSPRGGVYHGQSASFSGGNNPRPSTSRGTQRLYIRLNTLHYLLSHIHALDKSLSFFSHGRCSSPTSSAAGRHLAQSNHFDHPRAAAQSAIIRVAEVAAYRLIFLDSHHSFYGGLYVGAVADARIRPALRTLKQNLSFLVSILVDRAQPVAVREVMKASFQAFLMVLLAGGSERSFTTEEHAIIEEDFRSLKRAFCTRGEGLVAEEVVEAEAQAAEGVVALMGQPTEQLVEEFGIAACETTGAVSPRQPLPMPPTTMWWSRTDPNTILRVLCHRDDEVASHFLKRTFQLPKRR >KQK89424 pep chromosome:Setaria_italica_v2.0:IX:28877666:28878182:-1 gene:SETIT_038350mg transcript:KQK89424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLARLQLRTLPQASFLLWSRLPGNIERGKWAPLHPLPRTKLVRENRAMPVTYHLPHIIIVFVLLLDHLALPHRN >KQK88778 pep chromosome:Setaria_italica_v2.0:IX:17120089:17122160:1 gene:SETIT_035580mg transcript:KQK88778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHAQGSRALLICLCLCLCLLLSSSEHLAMSMDLDDDDFFDDSFYDEVAPARRLYDERRLNVLNSNTSSNRSGAAFCRLLSLQILDLSNNQLTGELPDCWWEMQALQFMDLSNNSFSGQIPEAPPTHNCYLESLHLAGNGFTGVFPSVVQGCESLATLDIGNNQFSGTIPPWIGGEDRALKILRLRSNNFTGEIPPDLSKLPQLQLLDLANNGLTGPIPREFGKLTAMRNPIINSTGSLDGSTYQDRIDIIWKGQELIFQRILQLMTGIDLSGNSLSQCIPEEITNLEGLRFLNLSRNNLSCGIPKNIGSLNVLESLDLSLNELSGVIPVGLSNMVSLNTLNLSNNHLSGQIPTGNQLQTLNDPSIYSNNPGLCGPPLDIPCTNASPALDKKDGKDCDQWLYYCVIAGIVFGFWLWCGMILSIPKWRHSVFFFVDRMQYKVMLKLQPIDQYLSKEKSDPLL >KQK90757 pep chromosome:Setaria_italica_v2.0:IX:45586113:45587380:-1 gene:SETIT_037427mg transcript:KQK90757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSPITVHVTGFKRFHGVAENPTERIVHNLLSFMEKRGLPKGLVLGSCTVLEAAGQGALRPLYELFESTVLDRENGSPNQGQVILLHFGANSGSHRFALENQAVNEATFRCPDELGWKPQVAILVPLFPFFISLYLRNLFTFNHSFVLHSRGCLYCHVMEASYTQDRLHYQ >KQK90756 pep chromosome:Setaria_italica_v2.0:IX:45585637:45588590:-1 gene:SETIT_037427mg transcript:KQK90756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEGPSPITVHVTGFKRFHGVAENPTERIVHNLLSFMEKRGLPKGLVLGSCTVLEAAGQGALRPLYELFESTVLDRENGSPNQGQVILLHFGANSGSHRFALENQAVNEATFRCPDELGWKPQRVPILSCDGSILHSRQTTLPVKEISESLQQMGYDVAPSDDAGRFVCNYVYYHSLRFAEKHGIRSLFVHFPLFLAIDEKVQMQFVASLLEVLASLNLQ >KQK88733 pep chromosome:Setaria_italica_v2.0:IX:16672171:16673894:1 gene:SETIT_034956mg transcript:KQK88733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSYVSSPVIINASTRSLRIRGHAVSLDYPTRTEQKNEANLVVVRPDRRCRMILSMQFKKAVPSLEANLRKQKEQQKPRRPLNHNSDKVANGVYFSKYKAECYADSLRRYCNNGKLIQACRVIDEMVLHGLVPDSKCCIRLIRGLVRTGKANKARHVLEVMVLSGGVPDTITCNMLIARLCCEGQLSSAMKVLEDMRFTGCSPSGITFNTLIRCMCSQHMYDRAVSFWKEQLRIGWPPYEMTSTLLVDLVCKQCGPMRALKVLDELGLEGCQPDVVTYNALINASCKAGCLKDAKMILTRLAAEGIEPNSATYCILLHSLCDKKLWSEVGDLLSHMKQANHEPDVTAYNIFINYFCKYGHLDQAIDVLEMMVSEKCFPDIVTYNTLLNAISKRGMVEEALGIFHSIRENGCQVVRITYNTLIDALAKKGEVINAMTLFDEMIGDGISPDDVTYGSLVMGFCKKNMAKEALEFLNQMLALGFEVKATTFSMMIQALCRECKAEAAAEILRVMVSKNINHRSAFYLSIVTRVAKSGRVKEAQMLHQELVECKVLKEDSQFVLSS >KQK91312 pep chromosome:Setaria_italica_v2.0:IX:49174344:49177552:-1 gene:SETIT_035644mg transcript:KQK91312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAWITRCSTILPGIQFFAALYSSGDRRAVSSRALRVSAAYQRDGASGCSRLRDATRSDPDQYGGRWRDAPGSQGMNAAGCQSCLLWLQVGPKNGPGLPPPLRESDPLAPATPGKAAAASRMAAASPSSSPPPPPPAPGAASPAEEPRGGGGGRPPVPPYVKAAAGSLGGVMEACCLQPIDVVKTRLQLDRSGAYRGIAHCGTTVARAEGVRALWKGLTPFATHLTLKYALRLGSNAVLQSAFKDPTTGKVSAHGRLASGFGAGVIEALLIVTPFEVVKIRLQQQKGLTTDLLRYKGPIHCAKTIVREEGLFGLWSGALPTVMRNGTNQAAMFTAKNAFDIVLWKKHEGDGKVLLPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQGRTGDIKYTGMVHAIRTIYAEEGLRALWKGLLPRLMRIPPGQAIMWAVADQVMGLYERTYLQPAHV >KQK89991 pep chromosome:Setaria_italica_v2.0:IX:38380736:38384331:-1 gene:SETIT_035503mg transcript:KQK89991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALVDLDLNCRPSSPEPAVAEETRRAMLRQEQSFPDQMNDPHKFYGSFWKQSSSAQSSLHSTHEHKMNLGSWANQNQEDFTNSDKHKSPSNIAQQNSDVRASIWRKTYAYNGVIDLEKPCTSGDAVGDVGCSGFGNLSNQNGRSRDGSCCISPENSSLVESAQLCRAWNSSRLSPDSSRDVVAESSVQRKGLFDLNVSLESIDMPSETISGYRDKVVNNDVSKGTASNHSFSRKNSLQAETSSKYLVHGNDHMLASKDDNNVLLPTSTNNGINKAQMPESGIVNKELLIPESPLADNNVPRLSISHNRASNLQEVSMLQAKAHDDDTTASIAARTLLSIFQHNSADTAYCPGSSSQTAAQNGNNEPQPSLDSFEKIVLSLDEIKDDGQSVYLAPSDKEGPACGIKLKRGRGMRNFQREIMPGLVSLARQEICEDLEAIGYEPKKTRSRKTRKRQGASSTRSRPRKRGSAARN >KQK88150 pep chromosome:Setaria_italica_v2.0:IX:11979266:11980726:1 gene:SETIT_036282mg transcript:KQK88150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPKRDLNVITIASNYTIVAVTESGQHLLRIDGYSHTTGIPTGSDIKSSPFRVGGHSWRISYYPGAQTSSWPYYNCISLSLRLDDDAAKPQGVVRARHSFSLLDREGKPGPYFTNNGNKTLANWGTPAFIHRSELETSEHLHGDSFTIRCDVTVVKDIQTKSVGAAPAVPPPPDLNRDLGGLLATGEAADVAFEVDGKAFMAHRCVLMARSPVFRAELSGLQAAAEGSTAGGGSVITVRIEDMEAQDFEALLRYVYTDSLPEEMEEQGEAAAMLPDLVAAANRYEMERLRLLCEDKLRELVDVRTVALMLVFAGEHHCHGLKEACLRFLDDPANLREVVKVNGLEHLSKSCPSVVEDLIAKLAAAP >KQK90826 pep chromosome:Setaria_italica_v2.0:IX:46028432:46031613:-1 gene:SETIT_040094mg transcript:KQK90826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLQLGIRHAVGKQGPITLDLKSSAFDPKEKVWTRFPPEGSKYTPPHSSCDFKWKDYCPQVFRTLRKLFKVDAADYMLSLCGDQALRELSSPGKSGSFFYLTSNDQYMIKTMKKAEVKIFLKMLRAYYNHVRAFENTLVTKFFGLHCVKLAGANQKKVRFVIMGNLFCSEYSIHRRFDLKGSSLGRTTDKPQTEIDEYTTLKDLDLNFIFRLQKHWYQEFQRQVNRDCEFLEQENIMDYSLLVGVHFRDTRDRLLTGGSFDSDSSRGSSPHLSRGDTDPNRFAKIKLGSNMPIRAERTVRKTDIEPQIIGEPTGEFYDVVLYFGIIDILQDYDISKKLEHAYKSFQYDPTSISAVDPKQYARRFRDFVFKAFQEDKFDL >KQK89741 pep chromosome:Setaria_italica_v2.0:IX:35482366:35488843:-1 gene:SETIT_035674mg transcript:KQK89741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPPLVALAGGACPPAAASSSTPPWLASPRAAILLAAPARLLRSRRGALRLEAKAAWRAAGGGRGPRVPAKGAVLASYTGAEEVVGPSPLVDEEELSLHIRKEVEEGNLPADVASALEELYYNYKNAVLQNGDPNAHDIMLSNMTALFDRVLLDVQNPFTFPPYHKAIREPFDYYMFGQNYIRPLVDFRNSYVGNISIFHDMEEKLRQGHNVVLMSNHQTEADPAIIALLLEKTNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMDDYPELIEMKRRSNTRSLKEMALLLRGGSQLIWIAPSGGRDRPDHSTGEWYPAPFDSSSVDNMRRLLEHAGVPGHIYPLSLLCYEIMPPPQQVEKEIGEQRVISFHGVGLSVTEEIKYGDITAHTKNADEGRELFANTLYNSVVNQYNILKSAIFRDHVQIH >KQK89739 pep chromosome:Setaria_italica_v2.0:IX:35482366:35488843:-1 gene:SETIT_035674mg transcript:KQK89739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPPLVALAGGACPPAAASSSTPPWLASPRAAILLAAPARLLRSRRGALRLEAKAAWRAAGGGRGPRVPAKGAVLASYTGAEEVVGPSPLVDEEELSLHIRKEVEEGNLPADVASALEELYYNYKNAVLQNGDPNAHDIMLSNMTALFDRVLLDVQNPFTFPPYHKAIREPFDYYMFGQNYIRPLVDFRNSYVGNISIFHDMEEKLRQGHNVVLMSNHQTEADPAIIALLLEKTNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMDDYPELIEMKRRSNTRSLKEMALLLRGGSQLIWIAPSGGRDRPDHSTGEWYPAPFDSSSVDNMRRLLEHAGVPGHIYPLSLLCYEIMPPPQQVEKEIGEQRVISFHGVGLSVTEEIKYGDITAHTKNADEGAICKYFVQLSC >KQK89740 pep chromosome:Setaria_italica_v2.0:IX:35483894:35488843:-1 gene:SETIT_035674mg transcript:KQK89740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPPLVALAGGACPPAAASSSTPPWLASPRAAILLAAPARLLRSRRGALRLEAKAAWRAAGGGRGPRVPAKGAVLASYTGAEEVVGPSPLVDEEELSLHIRKEVEEGNLPADVASALEELYYNYKNAVLQNGDPNAHDIMLSNMTALFDRVLLDVQNPFTFPPYHKAIREPFDYYMFGQNYIRPLVDFRNSYVGNISIFHDMEEKLRQGHNVVLMSNHQTEADPAIIALLLEKTNPWISENIVYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMDDYPELIEMKRRSNTRSLKEMALLLRGGSQLIWIAPSGGRDRPDHSTGEWYPAPFDSSSVDNMRRLLEHAGVPGHIYPLSLLCYEIMPPPQQVEKEIGEQRVISFHGVGLSVTEEIKYGDITAHTKNADEGRELFANTLYNSVVNQYNILKSAIFRDHVGAASNTAISLSQPWR >KQK92263 pep chromosome:Setaria_italica_v2.0:IX:54640797:54642855:-1 gene:SETIT_037606mg transcript:KQK92263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVSLNRPMKAEPAAGGTAKGNRILNMMSAGWTDERHMLYISSMEASFVDQLYNHRHRPHNTNGNGFKVLRRGVWEYIKYEKTNARAQSGTKCCVPASPWIRHFRPRDCGSNAQSDGLEASVGDHESGTQTNGERLSVSRGRKWEACKGENQLLDESTEVSDQNFADEEAEVEAESSKARKERRLSSTSHYRDE >KQK89913 pep chromosome:Setaria_italica_v2.0:IX:37082090:37084805:1 gene:SETIT_036696mg transcript:KQK89913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSHNGHNSRTCPNRGVKIFGVRLTDGSAIRKSASMGNLSLLSAGSTSGGASPADGPDLADGGGGYASDDFVQGSSSASRERKKGVPWTEEEHRRFLLGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNMSRRKRRSSLFDMVPDESMDLPPLPGSQEPEASVLNQPPLPPPVEEEVESMESDTSAVAESPAASAVMPENMHPSYPVILPAYFSPFLQFSVPFWPNQKDGDDLPQETHEIVKPVAVHSKNPINVDELVGMSKLSIGESGQETVSTSLSLNLLGGQNRQSAFHANPQTRAQA >KQK89450 pep chromosome:Setaria_italica_v2.0:IX:30268273:30278455:1 gene:SETIT_034573mg transcript:KQK89450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAVDREVDERGGDEKHGHRGEEDWKTLGNYPRGTRRNNTSGEVSLTLTCKGSQVVPMPVAMAGVSSGGGGRVLDCRSFWKAGASEAPAAPTHDLQVALETGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEISNGATFIKVDKIINLKDNSPMLLFQDDGGGMDPEGVRRCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRAIRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGEVVPLVYGSQGDWDSSLKIILDWSPFCSKEELLQQFQDVGSHGTKVAVYNLWMNDDGLLELDFEDDDEDILLRDQGSASGGITKGQKEIVQQHISHRLRFSLRAYTSILYLKKFDNFQIILRGKPVEHICITDELKFKKVVTYKPQVTHDSQVVSVRVDVGFAKEAPILGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFLRLETKLRQIIVDFWKEKCHLIGYQPTDPHLRSQYKATLKDSGGSASQVHHKTSSVRMTGGFSSNLLPETYDDVAAVGLPNNGSHLQSSGQAQENNMDSEGLDEDLVEIGSSGVFDPNFTEKLSEENIALFSRREELQQRDTQLKQTVCISC >KQK89452 pep chromosome:Setaria_italica_v2.0:IX:30268273:30280062:1 gene:SETIT_034573mg transcript:KQK89452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAVDREVDERGGDEKHGHRGEEDWKTLGNYPRGTRRNNTSGEVSLTLTCKGSQVVPMPVAMAGVSSGGGGRVLDCRSFWKAGASEAPAAPTHDLQVALETGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEISNGATFIKVDKIINLKDNSPMLLFQDDGGGMDPEGVRRCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRAIRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGEVVPLVYGSQGDWDSSLKIILDWSPFCSKEELLQQFQDVGSHGTKVAVYNLWMNDDGLLELDFEDDDEDILLRDQGSASGGITKGQKEIVQQHISHRLRFSLRAYTSILYLKKFDNFQIILRGKPVEHICITDELKFKKVVTYKPQVTHDSQVVSVRVDVGFAKEAPILGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFLRLETKLRQIIVDFWKEKCHLIGYQPTDPHLRSQYKATLKDSGGSASQVHHKTSSVRMTGGFSSNLLPETYDDVAAVGLPNNGSHLQSSGQAQENNMDSEGLDEDLVEIGSSGVFDPNFTEKLSEENIALFSSRMLLLCRLRTWSMNWRKQKGSAASLLLS >KQK89451 pep chromosome:Setaria_italica_v2.0:IX:30268273:30280062:1 gene:SETIT_034573mg transcript:KQK89451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAVDREVDERGGDEKHGHRGEEDWKTLGNYPRGTRRNNTSGEVSLTLTCKGSQVVPMPVAMAGVSSGGGGRVLDCRSFWKAGASEAPAAPTHDLQVALETGDFDRARVHPKFLHTNATSHKWAFGAIAELLDNAVDEISNGATFIKVDKIINLKDNSPMLLFQDDGGGMDPEGVRRCMSLGFSTKKSKTTIGQYGNGFKTSTMRLGADAIVFTRAIRGSNVTLSIGLLSYTFLRRTMKDDIVVPMLDFKIQDGEVVPLVYGSQGDWDSSLKIILDWSPFCSKEELLQQFQDVGSHGTKVAVYNLWMNDDGLLELDFEDDDEDILLRDQGSASGGITKGQKEIVQQHISHRLRFSLRAYTSILYLKKFDNFQIILRGKPVEHICITDELKFKKVVTYKPQVTHDSQVVSVRVDVGFAKEAPILGIFGMNVYHKNRLIMPFWKVLQEGSSRGRSVVGVLEANFIEPAHDKQDFERTPLFLRLETKLRQIIVDFWKEKCHLIGYQPTDPHLRSQYKATLKDSGGSASQVHHKTSSVRMTGGFSSNLLPETYDDVAAVGLPNNGSHLQSSGQAQENNMDSEGLDEDLVEIGSSGVFDPNFTEKLSEENIALFSRREELQQRDTQLKQTIEDLEHELEETKRKCSQLAAELKVRKNQQHLPYR >KQK90281 pep chromosome:Setaria_italica_v2.0:IX:41232843:41237504:-1 gene:SETIT_036941mg transcript:KQK90281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSICAMRSLLAAAAAASAPTVLRAGAFPLLPPLLSLPLRRGRAARNMLGAARTVSAAAQSRLGGGAAMDVRAAQSGEIHVIVGPMFAGKTTALLRRVQAEAGSGRTVVLIKSDKDNRYGLDSVVTHDGTKMACWALSELSSFHDKLGMEAYDKVDVIGIDEAQFFDDLYDFCCKAADRDGKIVVVAGLDGDYKRKKFGSVLDVVPLADSVTKLTARCELCGRRAFFTLRKTQETKTELIGGADVYMPVCRHHYLDGQVVIEATRVVLGLDRSTVTAQALK >KQK90926 pep chromosome:Setaria_italica_v2.0:IX:46676518:46686653:1 gene:SETIT_033995mg transcript:KQK90926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSAAAKRPAAAASEEEAEEKGAAASPAPAPAEGAAAGAGDELASSSQPPKRAKVAGAEADSAKPSAAAGAETAGAGAVAGTLPNTAGLQALNGAMDKLEALLRSREAQSNTAGHKRGANDKDLSAKIKKAKDLKDLSEKMAGMLNKRQVAATSRRQEPWCRLISQYASHPTLPIYGSHFTIGHGAHHDLRLGESSTASAVCRLKQAKRGALLEIFDSKVVRVNGKSPDKATKVTLNGGDEIIFRSPVRHAYIFEQLQQEKSSTSALSSTCNSIQQGQHSHLKDVQDHLSSKGRKVSTFYFGKGRSSLMPNGSSADPVLLNLCKTMDEHSQLNSEDNISFGRSQLLKEDLINATVDPSNISESFDSCPYYLSEHTKCALLSSAYVHLECKNYFKFTKEISSLSQRVLLSGPTGTEIYQEYLVKALAKYFGARLLTVDSSMLFGGQASKESESYKKGDRVRYIGSLHSASIILDGQSPPDFGSQGEICLPFEENRLSKVGVRFDKQIQGGNDLGGNCEADHGLFCPVDSLCSDSPGWEVRSKHPFDVIVEFISEEIQHGPLILFLKDTDKICGNNDSYHGLKSKLTHFPAGAFIIGSQIQPDNRKEKANAGSLFLSKFPYSQAILDLALQDIDSINDKTKEASKAMKHLTKLFPNKVTLQAPQDEMEISRWNQMLNRDIEILKGNANISKIRSFLTRVGLECADLEAILVKDRILTNECIDKIIGFALSHQLKNCTIPDPSSSAQFTLSSESLKHGVDMFESIQSGSKSNSKRKSLKDIAMENEFEKRLLADVIPPHEIGVTFEDIGALESVKDTLKELVMLPLQRPELFNRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWLGEGEKFVKAVFSLASKIAPSVIFVDEVSIFC >KQK90927 pep chromosome:Setaria_italica_v2.0:IX:46676518:46688972:1 gene:SETIT_033995mg transcript:KQK90927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVETRRSAAAKRPAAAASEEEAEEKGAAASPAPAPAEGAAAGAGDELASSSQPPKRAKVAGAEADSAKPSAAAGAETAGAGAVAGTLPNTAGLQALNGAMDKLEALLRSREAQSNTAGHKRGANDKDLSAKIKKAKDLKDLSEKMAGMLNKRQVAATSRRQEPWCRLISQYASHPTLPIYGSHFTIGHGAHHDLRLGESSTASAVCRLKQAKRGALLEIFDSKVVRVNGKSPDKATKVTLNGGDEIIFRSPVRHAYIFEQLQQEKSSTSALSSTCNSIQQGQHSHLKDVQDHLSSKGRKVSTFYFGKGRSSLMPNGSSADPVLLNLCKTMDEHSQLNSEDNISFGRSQLLKEDLINATVDPSNISESFDSCPYYLSEHTKCALLSSAYVHLECKNYFKFTKEISSLSQRVLLSGPTGTEIYQEYLVKALAKYFGARLLTVDSSMLFGGQASKESESYKKGDRVRYIGSLHSASIILDGQSPPDFGSQGEICLPFEENRLSKVGVRFDKQIQGGNDLGGNCEADHGLFCPVDSLCSDSPGWEVRSKHPFDVIVEFISEEIQHGPLILFLKDTDKICGNNDSYHGLKSKLTHFPAGAFIIGSQIQPDNRKEKANAGSLFLSKFPYSQAILDLALQDIDSINDKTKEASKAMKHLTKLFPNKVTLQAPQDEMEISRWNQMLNRDIEILKGNANISKIRSFLTRVGLECADLEAILVKDRILTNECIDKIIGFALSHQLKNCTIPDPSSSAQFTLSSESLKHGVDMFESIQSGSKSNSKRKSLKDIAMENEFEKRLLADVIPPHEIGVTFEDIGALESVKDTLKELVMLPLQRPELFNRGQLMKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSISSKWLGEGEKFVKAVFSLASKIAPSVIFVDEVDGMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKEKERVLVLAATNRPFDLDEAVVRRLPRRLMVNLPDASNRRKILSVILAKEDLADDVDLEVIANLTEGYSGSDLKNLCVTAAHRPIREILEKEKKERAAAEAANRPLPPSHSSSDVRALRTSDFMHAHEQVCASVSSDSINMNELVQWNDLYGDGGSRKKTTLSYFM >KQK89111 pep chromosome:Setaria_italica_v2.0:IX:20766093:20768816:1 gene:SETIT_038635mg transcript:KQK89111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPSRSPPEWSCAQCTLLNPICSDSCEACEAPRPLEVDADSPVVVVAAPASPPRRCGRKRERSPAERPVEVHADSPVIVVDASASPPRRCGKKRGRSPAVAEAEPPVEVHTDTPTVLVDASGSPPRRCERKRERAASPDVVELCDSAGRGGDADGEDKAPAAKKANLGIHLDKKTFKIMTYNVWFREDMELSKRMDALGDLIKHHSPDFICFQEVTPYIHLLMQKSKWWQQYECLLSQEMAILKPYYCMQLSKVPVELSKYIPFSNSIMGRELCIASVSTGEMTKLVLATTHLESPCPAPPKWDQMYSKERVDQAKKSLEILGHCRNAILCGDMNWDDKGDGPFPLQDGWIDAWVELKPGENGWTYDTKANGMLSGNRKLQKRMDRFLCKLEDFKIDNIDMIGKEAIPGVSYFKEKKVRKEIRKIELPVFPSDHFGLVLTITKQGDGSF >KQK89485 pep chromosome:Setaria_italica_v2.0:IX:31801173:31802932:1 gene:SETIT_037904mg transcript:KQK89485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWGKNVILVGGKSDPPYDKISVWTFNTETELWSHMETKGDIPVAEEVSVPPPGSTEVLGARGRRHGAQQVHAAPVRQHQQQLAYAEAKGRVRRGHRVWQIGFGSGFKCNSTVWRALRDVAPVPADGTGGGSCNPWVDSIQNYPPKAYI >KQK87722 pep chromosome:Setaria_italica_v2.0:IX:8871647:8885932:-1 gene:SETIT_033828mg transcript:KQK87722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPVKFFSVFLAVSVVGWVVFTFAARLLAWFLSRVLSASVGFRVAGFNCLRDVTIKFHKGTIESVSIGEIKLSFRKSLVKLSFSFISKDPKLQLLINDLEIVTRSSQNKKIRKSARPRSTGKGKWLVTSSMARLLSVSVTDLIIKVPKGAVDIKELTLDTLKVAGPNHILGVKLHLLPLNVHFGDLSLTTDPMGSCNLHDAFQSDQASVSNSEKCLAPFVCEDLLVTCDFGHEKEKGIKIINLELKCGHVIANIDERLFRKKHTIPEYNTVSSNTGDAIMDTSSIKHTSKSKSVLPALKKQMLTFPDKVTFSVPKLDVKFRHLHEGLSVDNNITGIQFTCAKSLPQDDLEEATPHFDVQIDLSEIHLVREGTSSLLEILKVVANTSLDVPVDPFLPVRAEIDAKLGGTQCNVMLIRLMPWMRLLSLRTKGMKPSKGDCNQEISQKKEFKPILWTCTVAAPETAVMLYNIDGLALYHACSQSSHLFANNIASKGIQVHAELGELLVHMEEEYREFLKDNRFGVDTYSGSLMHIARVSLDWGYRESDVQDMIETSRHALVLSIDISDIEVKFGYKHLESLLLNLISFRTLFKSLRPSAGSVKEKKLERRGEKKTKGVKILKLSLQKFSISYCGDANIVNMPIDDPKRVNYGSQGGQVIVSVSADGTPRRASITSVLPGSNRLLQFSASLVISHLSMCIDKERKTTEVELERVKTIYEELPEDHSSGVKVTLLDMQNAKIVRRSSGSTELAVCSLFGATEINLRWEPDAHLALFETFLRFKHFLNLNKLHKSEKLINTEVANIKANEKDNMTAGSIKPQKSNRKGSIFAIDVDVLRVSAELADGVEANMHVQSIFTENAKIGVLSEGLSLTFNGARVLKSTRIQISCIPFSNGSLLDAKVDPSSKRDWVVQGHDVHICMPYRLPLRAIEDAVEDMIRALKLVSSAKRSILCPDGKEKSKKVNSGTSKVGSVKFVLRELTADIEEEPIQGWLDQHYRAMRDRVCELGVRLKFLEEAVSGNVDPNNRSSEKKILYDGVEVCTHDTAAIQRLQEEIHKQAFRSYYVACQDMAPAEGSGACSEGFQAGFKPSSKRASLLSLSASELDVTLTRIDGGEIEMVEFIKGLDPVCQELNIPFSRLYGSDVSVLAGSLVVKLRDYTSPLFSSLSAKCQGRIVLAQQATCFQPQIHQDVYIGRWQKVTMLRSASGTTPAMKMYSNLPIYFQKGEISFGVGYEPSFADISYAFQVALRRVNLSTRASSGPAVQPPKKERSLPWWDDMRYYLHGKIVLYFNETKWKFLGTTNPYEDIEKLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLESITKNCRLKYPPGVPSPIPFIYAPLFSLNVVMDWQCESGNPLNHYLHALPVEGEPRRKVYDPFRSTSLSLRWNFSLRPLQSQCGNGPSSPFYGNNSMLRGTTSGSSCKTADDEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWRRFGIPRAARSGNLSLDKVMVEFFFRVDATPCCIRHATLTLDDPARGLTLKMSNLKYELCYSRGKQQYTFDCKRESLDLVYRGLDLHRPEVYLMRDSNPSSGENASKVRTTVQRGKFVHDRCNMGNFQEKHEDGFLLSADYFTIRRQTRKADPERLIGWQDTGRSLEITYVRSEFEDDSESDHTLSEPSDDDDDFNVVLADNCQRVFVYGLKILWTLENRDAVWSYAGGISKAFEPPKPSPSRQYAQRKMIDKRNAEGSKLVQDASSSIHAGSGSVRHVDASVKLDIFDDSDKGGTPQYMVNVYTPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEEALGTSSLHILELPEMTWNKNEVSVMLKDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCQMYFRYTRHKGGTADLRVKPLKELCFNSPDITATMTSRQFQVMFDVLRNLLLATLPKPRKNSLQYPSDDEDIEEEADEVVPDGVEEVELAKINLEQRVREMKLLLDDRRSLTGNGDSGTDHCYSAEKDDHLWMINSGKTSLVKP >KQK87721 pep chromosome:Setaria_italica_v2.0:IX:8864333:8885932:-1 gene:SETIT_033828mg transcript:KQK87721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPVKFFSVFLAVSVVGWVVFTFAARLLAWFLSRVLSASVGFRVAGFNCLRDVTIKFHKGTIESVSIGEIKLSFRKSLVKLSFSFISKDPKLQLLINDLEIVTRSSQNKKIRKSARPRSTGKGKWLVTSSMARLLSVSVTDLIIKVPKGAVDIKELTLDTLKVAGPNHILGVKLHLLPLNVHFGDLSLTTDPMGSCNLHDAFQSDQASVSNSEKCLAPFVCEDLLVTCDFGHEKEKGIKIINLELKCGHVIANIDERLFRKKHTIPEYNTVSSNTGDAIMDTSSIKHTSKSKSVLPALKKQMLTFPDKVTFSVPKLDVKFRHLHEGLSVDNNITGIQFTCAKSLPQDDLEEATPHFDVQIDLSEIHLVREGTSSLLEILKVVANTSLDVPVDPFLPVRAEIDAKLGGTQCNVMLIRLMPWMRLLSLRTKGMKPSKGDCNQEISQKKEFKPILWTCTVAAPETAVMLYNIDGLALYHACSQSSHLFANNIASKGIQVHAELGELLVHMEEEYREFLKDNRFGVDTYSGSLMHIARVSLDWGYRESDVQDMIETSRHALVLSIDISDIEVKFGYKHLESLLLNLISFRTLFKSLRPSAGSVKEKKLERRGEKKTKGVKILKLSLQKFSISYCGDANIVNMPIDDPKRVNYGSQGGQVIVSVSADGTPRRASITSVLPGSNRLLQFSASLVISHLSMCIDKERKTTEVELERVKTIYEELPEDHSSGVKVTLLDMQNAKIVRRSSGSTELAVCSLFGATEINLRWEPDAHLALFETFLRFKHFLNLNKLHKSEKLINTEVANIKANEKDNMTAGSIKPQKSNRKGSIFAIDVDVLRVSAELADGVEANMHVQSIFTENAKIGVLSEGLSLTFNGARVLKSTRIQISCIPFSNGSLLDAKVDPSSKRDWVVQGHDVHICMPYRLPLRAIEDAVEDMIRALKLVSSAKRSILCPDGKEKSKKVNSGTSKVGSVKFVLRELTADIEEEPIQGWLDQHYRAMRDRVCELGVRLKFLEEAVSGNVDPNNRSSEKKILYDGVEVCTHDTAAIQRLQEEIHKQAFRSYYVACQDMAPAEGSGACSEGFQAGFKPSSKRASLLSLSASELDVTLTRIDGGEIEMVEFIKGLDPVCQELNIPFSRLYGSDVSVLAGSLVVKLRDYTSPLFSSLSAKCQGRIVLAQQATCFQPQIHQDVYIGRWQKVTMLRSASGTTPAMKMYSNLPIYFQKGEISFGVGYEPSFADISYAFQVALRRVNLSTRASSGPAVQPPKKERSLPWWDDMRYYLHGKIVLYFNETKWKFLGTTNPYEDIEKLQIVSEYMEIQQTDGHVDVSAKEFKMYISSLESITKNCRLKYPPGVPSPIPFIYAPLFSLNVVMDWQCESGNPLNHYLHALPVEGEPRRKVYDPFRSTSLSLRWNFSLRPLQSQCGNGPSSPFYGNNSMLRGTTSGSSCKTADDEFPTMNLGAHDLAWVFKWWSLNYSPPHKLRSFSRWRRFGIPRAARSGNLSLDKVMVEFFFRVDATPCCIRHATLTLDDPARGLTLKMSNLKYELCYSRGKQQYTFDCKRESLDLVYRGLDLHRPEVYLMRDSNPSSGENASKVRTTVQRGKFVHDRCNMGNFQEKHEDGFLLSADYFTIRRQTRKADPERLIGWQDTGRSLEITYVRSEFEDDSESDHTLSEPSDDDDDFNVVLADNCQRVFVYGLKILWTLENRDAVWSYAGGISKAFEPPKPSPSRQYAQRKMIDKRNAEGSKLVQDASSSIHAGSGSVRHVDASVKLDIFDDSDKGGTPQYMVNVYTPQFNLHSEEANGRFLLAAASGRVLARSFHSVVHVGKEMLEEALGTSSLHILELPEMTWNKNEVSVMLKDVQAHVAPTDVDPGAGLQWLPRILGSSEKLKRTGALLERVFMPCQMYFRYTRHKGGTADLRVKPLKELCFNSPDITATMTSRQFQVMFDVLRNLLLATLPKPRKNSLQYPSDDEDIEEEADEVVPDGVEEVELAKINLEQRVREMKLLLDDRRSLTGNGDSGTDHCYSAEKDDHLWMINSGKTSLVAKLERDFKSLETSRKSASSALREALQKAAQSHLNEKEKNKTPSFAMRISMKISKVVWSMLADGNTFAEAEINDMVCDFDRDYKDIGVARFTTKYFVVRNCMANAKCDTLLSAWNTPPGKINMLRVDAKQGAPKDGNSLLELFQVEIYPLRIYLSEAMYRMMWDYFFPEEDDSQRRQEVWRVSTSTGPRRTRRLSSGVDAVSSSSYSVKEHELPGKSGAIVSMSTSVSSWQGLHGDNSQVSKLHTIKANMVCGSHQELRRSSSFDERPWDESAAESVTSNDVVSLMNSSTVSSKGDANNPVSENPVVGTDLWRSKTKDSKPAKSGRLSHEEKKVGKSNEEKKTRARKTIEFRNIKISQVELLVTYEGSRLAINDLRLLMDTFHKPEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFSNRRELLEGAVPENDLNLSDSDDDHHGRPDQLTASWLKRTGDGAGDGFVTSIRGLFNTQRRKAKAFVIRTMRGDGHNDEYHDEWSESDGEYPFARQLTITKAKKLIRRKFRPRMQKSSGLSLQDSLPSSPRETTPYQSDSSRSSYEDFHEQ >KQK91548 pep chromosome:Setaria_italica_v2.0:IX:50485344:50488891:-1 gene:SETIT_035941mg transcript:KQK91548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATSSHLLLLSRQQAASLRCRLSFLGQSRRPASRGAASGASVRCMAAVDTAPAATEASSTKSSYEIVTLTTWLLKQEQAGVIDNEMTIVLASISTACKQIAALVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYAPNDECLADVENSGTRCVVSVCQPGSNLLAAGYCMYSSSVIFVLTLGAGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKKYMDSLKEPGDSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDRKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDITPTEIHQRVPLYIGSVEEVDKVEKFLA >KQK91547 pep chromosome:Setaria_italica_v2.0:IX:50486858:50488766:-1 gene:SETIT_035941mg transcript:KQK91547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATSSHLLLLSRQQAASLRCRLSFLGQSRRPASRGAASGASVRCMAAVDTAPAATEASSTKSSYEIVTLTTWLLKQEQAGVIDNEMTIVLASISTACKQIAALVQRAPISNLTGVQGAVNVQGEDQKKLDVVSNEVFSNCLKSSGRTGVIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYAPNDECLADVENSGTLDSVEQRCVVSVCQPGSNLLAAGYCMYSSSVIFVLTLGAGVYVFTLDPMYGEFVLTQEKVQIPKAGKIYAFNEGNYALWDDKLKKYMDSLKEPGDSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDRKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRILDITPTEIHQRVPLYIGSVEEVDKVEKFLA >KQK91282 pep chromosome:Setaria_italica_v2.0:IX:49002504:49005573:1 gene:SETIT_036043mg transcript:KQK91282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFDASRLPLQRFPPRRLRLVPGSPMASTLAILRPSAPAPLAGPRGRVAAPATARVALSSRSRYSSARVSLGSEVAVGADALFADYKPTTAFLFPGQGAQTVGMGAEAQSVPAAAKLFNHANDILGYDLLDLCTNGPKEKLDSTVISQPAIYVTSLAAVEVLRARDGGKDVINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQDASDAANSAMVSVIGLDSEKVQQLCDAANEEVDEKERVQIANFLCPGNYAVSGGVKGIEMVEAKAKSFKARMTVRLAVAGAFHTSFMQPAVSRLESALAATEIRTPRIPVISNVDAQPHSDPDTIKQILARQVTSPVQWETTVKTLMGKGLEKSYELGPGKVIAGILKRINKGASIENIGA >KQK90551 pep chromosome:Setaria_italica_v2.0:IX:43571340:43576126:1 gene:SETIT_036671mg transcript:KQK90551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVVVAAASAVAPPPPRRVAPFLSLRTPRAGTLALTVARCSPSPSPPAAAEAPAPPQEAKRKPQRYPKQYPGQAVGVAEEMRFVAMRLRNRKRTTIKDEPGAEDADAGADADASASEDDDNDGDDGGVKEEHEKEEDGELVEGEWMPSMEGFVLYLVDSKLVFDTIERVVAESTDVAYVYFRKSGLERSASISKDLEWFREQGVEIPEPSTLGSTYATYLTELAESNAPAFLSHYYNIYFAHVTGGVAIGNKIRDKILDGRELEFCKWDTDAELLLKDAREKLNELSKHWTRKDRNLCLKEAAKCFQYLGKIVRLIIS >KQK91194 pep chromosome:Setaria_italica_v2.0:IX:48389758:48392278:-1 gene:SETIT_034655mg transcript:KQK91194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQRSPASCSSSYHRHLLSPKSLLLVSLASLSLLSCFLLALRQGRPLHLPLAFAAAPAPVVAGGWGYWGGPAAAEVEEAVLGLRRGDSVAEGARPAGPGDLSVRGVGSVTEAQETATGGGNGGAPSDGEVLKVQEVGEARNHSLGGLDSAVEVKEAAAQGSGGERLTKAKDLISERPNLAEDKNLSNETLGSAAASAEKLEVTGSSRDVNFSVQASGPAMNTRDEFLRGGHDDDGRNSSVHGAYASQKGGHWESSDNSTLGNNPGGTPVNPDEQERAKSSIDSAQSNAAQCDVSDGSWVFDESYPLYGSNSCPFIDEGFSCQANGRMDQSYMKMRWQPKHCNVPRFNARKMLEMLRGKRLVFVGDSINRNQWESMMCLLRTAIPDPSRIRETHGRKITKQKGYYNFKFLDYNCSVEYHTTHFLVHETKARIGQKRAMTLRIDTIDQSSSRWKGADVLVFNSAHWWSHHKTNAGVNFYQEGDHVHPHLEASTAFQRALITWASWIDRYIDPRKTRVFFRSSSPSHFSGGEWNSGGHCRESTLEPNDSCAWPMPEINVMLEQISKQMKMPVTILNITDLSRLRTDGHPSVFRRKGVDLTASSAQDCSHWCLPGVPDTWNELLFYHLLSSKGKDLTR >KQK90118 pep chromosome:Setaria_italica_v2.0:IX:39957612:39962251:-1 gene:SETIT_035120mg transcript:KQK90118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCRSSLRAGAGAGTHAAEKPPRHRPPPPPPPPPTNRSSSFSLNAHQAAPSARAGAGGGGGDVPAFAEFSLADLRAATGGFAAANIVSESGEKAPNLVYRGQLKGPAGGAPPRAIAVKKFAKLAWPDPKQFAEEAKGVGSLRHRRMANLIGYCCDGDERLLVAEFMPNDTLAKHLFHWENQTIEWAMRLRVAYYISQALEYCSTKGRPLYHDLNAYRVLFDESGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKRIPPSHALDIMRGRNIQAVMDSHLEGNYSIEVATTLVNLASQCLQYEPRDRPDIKKLVSILEPLQIKLEVPSYVMLGIPKPVEEPQAPPTPQRPLSPMGEACSRMDLTAIHQILFTTHYRDDEGSNELSFQEWTQQMRDMLDARKRGDFAFKDKDFKAAIDCYTQFVDVGTMVSPTVFARRSLCYLMCDQPDAALRDAMQAQIIYPDWPTAFYMQAVALSKLNMQSDAVDMLNEASQLEEKRQKSTKGP >KQK90321 pep chromosome:Setaria_italica_v2.0:IX:41594005:41594506:1 gene:SETIT_040445mg transcript:KQK90321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGGRCSAPWRRRRPARAHGDPRWTGYGSSQRRWVVVSVQILRRKP >KQK87969 pep chromosome:Setaria_italica_v2.0:IX:10523157:10527284:1 gene:SETIT_037525mg transcript:KQK87969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGPIPGACVPTTTPASPTRPDATPLAATPTTASANPTACFLAFAQGSAPLRVHREGSIPTHAGGESATGEESRDGGGGEAMEKSPPAPAPAAAAEEVAARFRSLVDPDDVASIRQTQHLILGRLQDSNAVLTHFNEYSEQCFAEVSSDFASKTRLLKSMKADLEHIFTKLRAMKARLAATYPDAFPDGAMSKTIDQRPDLESPLD >KQK90054 pep chromosome:Setaria_italica_v2.0:IX:39187225:39188495:-1 gene:SETIT_0354191mg transcript:KQK90054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQPVTGPCVTGGWRARVAAFLGREVDEQGTRTSGVLISWLREHFGHCPQDADAETVGHYCRAWILHLFACVLFPDGTGDTASWMWIHCLTDWHQAGSYSWGSAVLSFLYRQLCEACRRSSGSPSVGGCVYLLQLWMWSRLPVGRPEIMPRRPWFPDEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSVNWQPYDGDDPLPFPLSFVCVQDDDIYRMVCPLICFYAVEYHLPQRVARQFGMRQIWPPQSDLDYVDRKKKRKVSDWPAFHQAYIVEWEQYEQNLDENNEPHTNSAYRHYQSWYQGATRHRLREAWTEDDYADIQSSDDEDTVYDQSTRGGRQVEAGPILDRM >KQK87121 pep chromosome:Setaria_italica_v2.0:IX:5639297:5648109:-1 gene:SETIT_033911mg transcript:KQK87121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNGSKGTPMPGMEEGTTKDLKKVPASLEANKSSGDEDLGGGPALTGRKRKEVTRGSADENGTVTKRVLRSNAMRLRAEAETDSLERKHSEVIVEACKSGVLTAHACNGEEGNGLVKVNVSNVSEESARPENNMEMSGLSATEFAQGHGLGTQGNIAESDDKRIKSYENISAVTHEVQNESRAGTISLSVDESQANEVIHGPCQGEVIDLVAANDDSKSTCLRSTSPNSGLESVEHEDTVVCTDGVVPHSGDQKVEKHSHNDNLCTETEISLTENGRCTVDNHTDLTECTKQDEMGSPVNETNDASSRDIVFTRRKSISRKSCEAKQVKCEEELRFEKRVTRSATVRQREVSGSSCKTTTNEATLGSKGRKRDVVAHYTRKVSSTVSPKTHHAELVERNTIMKKQTVKGKVIDRRDLGVTENDNHANATENEESENETKVNLKSQPLARSISIVKKATEAAVSAVDQNISGSAITEKNDMEHTDSDGVKSENKTPVQKPVMSVGAKIVASKKRILESGLDKIAGRSPIAMPSMKKTRNTSSDPDIEQPNKSSGEKVVGKNCDSGNKRVLRERQHRNQTSLSSRSSNRTNQNDIKLTQDQSDDDEIGRDTSYRRTRRGRSRDAAALVVPQQDDSSDSEEDIVVKKNQQKRKKSGHKQKAGSKLKHASARSKAGRLGRPVLTSSESSSLSLQAGKGKVKVPEGKGSLREEKQKISDEIKAILLGAGWTIDLRPRNGRNYMDSVYIPPSGKGSYWSVTKAYYAFRETMESEQKDSSKGQSLSKKSVGSPGKRQASNSSGCTLTEDILSKLKRVVVNKRTTKVEIQRLRKKAFRKGASKVKRNKITKSRRLHLGNDRKKRGGCALLARGSNKESDSSTDGFVPYEWKRTIFSWLIDLDILSVNTKLKCMDESHSKVLLEGIVTRDGINCSCCSEVLAVLEFVAHAGGDVKKPYRNIVVDGLDIDLMHCLTNAWNKQSDSERQDFFPISIEGDDPNDDTCGICGDGGNLICCDGCPSTFHMSCLGLEALPSDDWCCANCSCKFCHEHSSDDTEDIADADSSLRSCSQCDEMYHQACSPEIDSITSDSDPSCNVFCQHSCRLLFEELQNLLGVKKDLEQEFSCRVIQRIHDDVPETVVALDERVECNSKIAVALSLMDECFLPIVDQRTGINLIRNVVYSCGSNFVRLDFRGFYIFILEHGDEMIAAASVRIHGTKLAEMPFIGTRNMYRRQGMCRRLLDGIEMILSSLNVEKLIIPAISELVDTWTSKFGFSPLEDSEKQEVKAISMLVFPGTGLLQKPLLKKALPDKDPCPSGVGAVSSANKAGKLSDVAIEDSLCSVASADPLGSGVTEHMDSSKNGDGTCNGDVSQQSPHP >KQK86650 pep chromosome:Setaria_italica_v2.0:IX:3120807:3126519:-1 gene:SETIT_039047mg transcript:KQK86650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTEYKAKTESNNTTDEPVVLRKRPKRAAAWSSFKEKELNLSEKDIFITVKESRVEEEEIDAVRLTKTEPEDRRPSRKLIDFTLHDADGSLQPFEMSEVDGIYITALVMPLDDGLEKDREKGIHCSGFGRVKDWTISGYNEGIAVIWVSTEIADYKCVKPASSYRSYFDHFSEKARVCVEVYRKLAKSVGGNPEIGLEELLASVVRSINSNRKSGGTLSKDFAISTGEFIYSQLVGLDNTPASNDEIFATLPVLVALRDESKSRVEFNKFSAMPSSGTLKINDEHCKGVGEDDEKLARLLQEEEEWKMMKQRGKRGTSQKNVYIKISETEIANDYPLPAYYKSYSQEMDEYIFDSEIGMFSDDMPVRILNNWALYNSDSRLIPLELIPMKSGAENDTVIFGSGFMREDDGSCCSTAESAQLSSSSSKSCQEDLGISIYLSPIKEWVIEFGGSMICITIRTDVAWYKLRQPTKQYAPWCEPVLKTARLAVSIITLLKEQSRASKLSFTEVIKKVAEFDSGHPAFISSNTTLVERYVVVHGQIILQQFADFPDESIRRSAFVTGLVLKMEERRHTKLVMKKKSQSMRGENLNPSAKMGPILGRKLMRATTTKFISKIWGDYYAAHFPEDTKEDGNEQREIDEEQEENEDDDTEEEVNVEIEQPLRSPPSARSRKSPSKTCKEVDWKGQTAGKTVSGEVLYKCATVRGLTIGVGQSVTLEDDSGEAIMCFTEYMYEKHDGTKMVHGRILQKGFQTVLDNAANEREVFLTNDCLEFEVGDIKELVTVNVQLMPWGHRYRKENSEVNRIERAKAEERKRKGLPVEYFCKSLYWPEKGGFFSFPHDKLGIGTGVCSSCDQRKQVGDEFKILSETSFVFKNIRYNVNDYLYIRPEFFSQGHGHETYKAGRNVGLKPYAVCHVLSIHASGRSKKAHPESTKVNVRRFYRPDDISSTKAYTSDIREVYYSEDIMIVPVAMIDGKCEVRMKDDLPNSDLPVVAEHIFYCEHLFDPVTGALKQLPPSVKIMSLIRRAPSASKKNKGKEICDDELAGSDKKKDMPSENTLATLDIFAGCGGLSEGLQLAGASQTKWAIEYEEPAGEAFGENHPEAAVFVENCNVILKAIMDKCGDADDCISTSEAAERASKLSDEKAKNLPVPGEVDFINGGPPCQGFSGMNRFNQSPWSKVQCEMILAFLSFAEYFRPRFFLLENVRNFISFNKGQTFRLTLASLLEMGYQVRFGILEAGAYGVAQSRKRAFIWAAAPGEILPEWPEPMHVFASPELKINLPDGKYYVAVKSTAAGAPFRAITVRDTVGDLPPVENGAGKPTIQYGSEPVSWFQKKIRGSTMLLNDHTSKEMNELNLIRCKRIPKRPGCDWHELPDEKVKLSTGQVVDLIPWCLPNTAKRHNQWKGLYGRLDWEGNFPTSVTDPQPMGKVGMCFHPEQDRIITVRECARSQGFPDSYRFAGNIQCKHRQIGNAVPPPLAYALGRKLKEAIDAKR >KQK88569 pep chromosome:Setaria_italica_v2.0:IX:15141066:15142987:-1 gene:SETIT_038185mg transcript:KQK88569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVIELEPEEATRPSSTAAEEREAARPSSPASPEEQEAEEEEKEEAFEDALTDEQLREGKHDKAIKECTKALELNPSYLKALLRRAEAHEKLEHYDEAIAG >KQK90691 pep chromosome:Setaria_italica_v2.0:IX:44817631:44817781:-1 gene:SETIT_040694mg transcript:KQK90691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPLPHDIWSSFKPT >KQK87623 pep chromosome:Setaria_italica_v2.0:IX:8290663:8291162:1 gene:SETIT_040787mg transcript:KQK87623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVMAPKIPAIRAWSSTDGRMGTWRRGGQHPPLHVDKDHKWLSR >KQK92542 pep chromosome:Setaria_italica_v2.0:IX:56022036:56026621:1 gene:SETIT_034302mg transcript:KQK92542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQGEPSSSDPKGKKDFSTAILERKKSPNRLVVDEATNDDNSVVALHPDTMERLQLFRGDTVLLKGKKRKDTICIVLADDTCEEPKIRMNKVVRKNLRVRLGDVISVHQCPDVKYGKRVHILPIDDTVEGITGNLFDAFLKPYFLEAYRPVRKSDLFLVRGGMRSVEFKVIETDPTEYCIVAPDTELFCEGEPIKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLFGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDAALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLSEDVDLEHIAKDTHGYVGADLAALCTEAALQCIREKMDIIDLDDETIDAEILNSMSVSNDHFKTALGTSNPSALRETVVEVPNVSWDDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEQSRLQIFKACLRKSPVAKDVDLNALAKYTQGFSGADITEICQRACKYAIRENIEKDIEMERRRKDDPEAMEEDEVDEIAEIRAVHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFPDQPTAAAADPFAAPAAAADDDDLYS >KQK86516 pep chromosome:Setaria_italica_v2.0:IX:2390527:2391182:-1 gene:SETIT_040226mg transcript:KQK86516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTVQWRGYTYERIDLLLKWCFRETRDAGKECLRTNYHGTKQVIGALLPLLLASDDGRVVNVSSELGQLRLFGDEALRRELDDVEALSEERVDAVVAAFARDLDAGAAARGWPAGAMAAYTVSKAALNDYSRVLARRHPALRVNCVHPGFVRTDMTVNFGLVPPEEGAARVVAEALLPAGAYFQDGKQAPFV >KQK88003 pep chromosome:Setaria_italica_v2.0:IX:10747057:10748526:1 gene:SETIT_038093mg transcript:KQK88003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMCHDGDWRRAYKLSTMDSTMMEAGDTRQVVCALDELTSGTSWTKRISYRATKNQQYIILDAAINSGKWLPSRSDAAINSGKWLDLKKPMQADSLFRSVKDFWSSGEVNVRTQTQ >KQK87015 pep chromosome:Setaria_italica_v2.0:IX:5077792:5081709:-1 gene:SETIT_034220mg transcript:KQK87015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWQMPVPPVSTTYRKTPVAEHNDTNQSSMKFLREGSVQSSPNGFLSSDAAPRSRQGTFDLQLPADHYIDDDNTSDNKPIDFLGLASDTKPRNDADLTLVSAEALGRFSDNSSTSGLRTKNNHGSQQVTDLNESNAGIYMGRANGSVSKGLSHTLENSWHQPILRPNTTNFSFNKEYSKDKHADEGTSSNFFDASAKIRHENKPSINKGKQVSSMTFLAPRYSDADPSKYFKAADGRPANYNQFVYQGQNSSVGWFARSPLEPSAINNFATLDRPHHSNTGTFAAPISIPQIDHPSIVSPMGSCTADPRSSVINNPALIARFNGSSAVNSYTSLSAVTQSIGTSTPKLKNVDNLDGRYPGFPLDPFSGSHSRHQVAISSDLEQKNSQKFEHSDRQSHGKGMKNFNLNDALSDCQEDSLVEQEGRCAGSFQHSKDGGSVFGISWLKNKGRCADPIALEKPGKLFGHSFGTAVELKNTKDQNELGQTIRNLSDSASTSLGCGIKKDGPSEDIIARTLLVCNKAQETAARLPLSCQKHVPKDGQAAEGVIKKSGAPIRNFIDLNDDVPNEDNSEESVVSHECQVAPLQNNQPKRAFVIDLEVPACEDGAAWTFDQECAHPGKLDAYQEIDSTSVTAAMAAAENIVALSMDIPTAAEVSDDMLQWFADLAVSNINDLAEQVELQACTNDTSDDELDSFESLTLKLEETKIDEYWSRPLEPAITTDEQAVSTAHLLTKPRRGQQRRRRQKRDFQKDILPGLSSLSRPEIIEDVQLLEGLVQASGGSWESSLTRRGRYGGRTRGRKPRKTVVTVTVEEEEVEVSPPPPKPAGTGDLEADERGMIGWGRTTRRCRRTRCPSGNNIAAAS >KQK91867 pep chromosome:Setaria_italica_v2.0:IX:52415221:52416558:-1 gene:SETIT_039952mg transcript:KQK91867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAAVAAALMVLLPWVLPLHAAAAGNGSCARSCGGLTVQYPFGFSPGCEIPLGCDDQKNGTGTAWLGAERGLGLLVSNVTARALFLALLPDCSRRLNASVKELFSENYAPGPQNTLLVSSCNLAARTNNCSVPPATYLNHSSSHCFHGAKAENFSCVHPPSGNRFLNKTEIRALGSECTGLVSAASYWASPAPTPAILLGVMELEWWMLGPCSCAPNANCIAVSTPDSRQAFRCDCIEGFEGDGFPDGTGCRRGQYIARYCTCKPADQMGRDCIHQQFSTDAKWHRVRW >KQK92704 pep chromosome:Setaria_italica_v2.0:IX:56858506:56860840:1 gene:SETIT_035000mg transcript:KQK92704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSSVESWWVLPMTLIPAISGDQHENIATIATSFTYMAIFACLAWAGASLLYWAHPGGPAWGKYWRARGKGPKPMTLPGPRGLPVVGSLGLMSGLAHRSLADEASRQPGAKRLMALSLGPVRAVVTSHPDVAKEILDNPAFADRPLNHAAYGLMFHRSIGFAEHGPYWRALRRIAAGHLFGPRQVEAFAPYRASVGEGVVTALRGAGAGAVQVRGLLRRASLYYIMRFVFGKEYDVSRAAAPASGKEEEVEELLEMVHQGYELLGEENWCDYFPGLAALDPQGVGARCAELMPRVNRFVHGIIQERRRARAEAIDGGEARDFVDILLSLQESEGLADADIAAVLWEMIFRGTDAMAVLMEWTLARVVLHRDVQAKAHRELDELVGRNTPVTESAAPSLPYLQALLKEALRIHPPGPLLSWRHRAISDTYVDGHLVPAGTTAMVNQWAISRDPEVWDAPLEFQPERFLPGGKAQDVSVLGADGRLVPFGSGRRSCPGKSLAMTTVTAWMATLLHEFEWLPATDAAAVDMSEVLRLSCEMAVPLEVRVRPRRGV >KQK87234 pep chromosome:Setaria_italica_v2.0:IX:6203789:6205528:1 gene:SETIT_038841mg transcript:KQK87234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPALYKAATQGKVARLNQLVDPEDPSILSSTTPQLNTALHLAAAHGHAAFADEVLDKDEELLVARNDDGDTPLHLAAKAGKLEVAELLVSRALAWPQDKKSPLVMTNKVGNTALHEAVRNRRGAVAVALLDADPGRAYDLNEQMESPLHMAAREGLVHVVRKIFDFTWVEAEYVPSVAVSGTALHQAVLGGHTSNASCFFAVEIVDIMVEKHIWLLDLTDSDGNNALHYAAQKNNSQVVELLLNKQAQLAYKPNGERQSPLHVAAHYGSTAVIKAMLRHCPDVAEMVDIHGRNALHVSVTSGKTNALRYLLRHIRPAELLNRVDGNGDTPLHIAAKMSRVQSALLLLNDRRVELCVRDRDGQTARSLVEIKLHTGEMDAYEMYLWKQLKQQESKRCRKQQLPPLAPYPSRRASNDKYFECIVETYILVATLIATVTFAATSSVPGGYHDDGIAVHSNNIAFHIFIISNTVAMCSSIVVVFCFIWAWQDPVRFKVDQLLWGHRLTVIACLAMLVSLMTAVYITIAPESRWPAYVVIVIGMSTPAVVFLMLGKEVIFVPM >KQK87570 pep chromosome:Setaria_italica_v2.0:IX:7962382:7965280:-1 gene:SETIT_038921mg transcript:KQK87570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVRCIDSLAAGNAPHDSFRTLGPTLLISMAYLDLGKWVIALESGSRFGYDLVLLMLFFNLSAILCQYLSSRIGMVTGKNLAEICHQEYSPTICVVLGVQAGLSLLTSEVSMIAGTVVGFKLVFEYDDPITVIWFTSLVVNLLPYTLSLLDKRMAGMFNTYVAGFTLVCFVLGLLVSHPKNPVNMNVMFPKLSGESAYSLMALLGTNIIVHSFYTHSSVVQVQRRFPVLALGSLFRDHLFSIVFSFSGIFLVNYVLLSSAADESKNAMAIHFQEAIQLMNQIFTNPVAPIVLLVILVFSGHLISMTCIIGSDIISENLFGVKLPLFAHHILPKVFAMITTIYHAKVAGSEGLYQLLIMCPVIQAMFLPSSVIPVFRVSSSRLLMGRYKISLYVQILALLAFLLTLFTNIIFAAEILFGDSTWTNDLKGNTGSPIVLPYAAVALISCASITFALFLAVNPLKSACTEAEELLSSVRSQREKLDKARQSEAASLKHSKSAFEHTTQPSPSINCEETKSAVVDWTQPMSKVCTATIVEHSTPENLIVNSLSKKDA >KQK88397 pep chromosome:Setaria_italica_v2.0:IX:13954368:13955042:-1 gene:SETIT_0396062mg transcript:KQK88397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISRAEYEESGPAIVHRKCF >KQK91013 pep chromosome:Setaria_italica_v2.0:IX:47177397:47180886:-1 gene:SETIT_039407mg transcript:KQK91013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEQAERKEEVAELAPFDPTKKKKKKKVVIQEPSDEVDKLAEKTESLAVAESSEPSFAGMKKKKKKHVELDPSLTEVGDGDDAEDDQVKDDEEGEGIVLGGAVATGYPWEGTDRDYKYEELLGRVFNILRENNPDLAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMMFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEAILRRYINEYVICNGCKSPDTILSKENRLFFLRCEQ >KQK92111 pep chromosome:Setaria_italica_v2.0:IX:53735955:53737321:-1 gene:SETIT_036170mg transcript:KQK92111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGHSSGSSRRPETSEPALPPEAAPAEELSSYEAACQSDPELRTFDTTLQRRTSRAISTLAVGVEVRSLSLDSLREVTGCLLDMNQEVVRVILDCKKDIWKSPELFDLVEDYFESSLHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEEDAAAAAPSARYARTLHELRQFKAAGDPFTEEFFAAFQAVYRQQLTMLEKLQQRKHRLDKKIKTIKAWRRVSSIIFATTFAAVLICSVVAAAIAAPPVAAALAAAAAVPLGSMGKWIDSLLKGYQDALRGQKEVVSAMQVGTFIAIKDLDSIRVLINRVEVEISSMIDCVEFAERDEEAVKFGVEEIKKKLENFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRNPN >KQK91770 pep chromosome:Setaria_italica_v2.0:IX:51698930:51701861:-1 gene:SETIT_037711mg transcript:KQK91770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVVFPDHTFPLDATAFGQVAPNSWLLDLSTLALAAAPRSAVVFLLPAAAAALPPGKAVAVYFQAAANRPFAFLGALGPTRPSASFPLPEAGDEPEPAVGPAKLGVAVEDAAALPPPPDEQRAERVALRVGENLFNFMQSFCGADGGKLVVPTDILDRWFRKFQERAKKDPTYLKSFDF >KQK89493 pep chromosome:Setaria_italica_v2.0:IX:31863399:31863678:1 gene:SETIT_038305mg transcript:KQK89493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPFPAAIPLVPFLSGDQSGVGEGGGPWNRCVDVYGLGRSLSRATSYSSSVFNGGARVCRESEAIDFVRFGMEETVFVPL >KQK89945 pep chromosome:Setaria_italica_v2.0:IX:37521243:37522859:1 gene:SETIT_039520mg transcript:KQK89945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARTVGSLPVANVQALAETCNGFNDKIPERYVRMEARSEEVIIGHGSTLVIPIVDLNKLLDPQSTEEECVKLVSACQNWGFFQLTNHGVPDEVIENLMNDIAEFFKQPLEAKKACSQLPNSLEGYGQAFVVSDNQKLDWSDRFFLHVRPVESRDLRFWPTNPASFRHSVDVYSSEVAKLSCRLLEFMAKGMGAEPASLLGMFEGQHQGMRMNYYPPCWQADQVLGLSPHSDACGLTLLLQKRDVQGLQVKRDGKWFSVDALDGALIVNVGDALELLSNGNFRSAEHRAVIHQNKERISVAMFHQPCQDLIVGPLPEFVKGEKVRYRSTSYQDFLTQYFKAKLDGKNHLEKFKL >KQK90751 pep chromosome:Setaria_italica_v2.0:IX:45542216:45543698:-1 gene:SETIT_036455mg transcript:KQK90751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTSLANMATAATSSKMPVLAALTLLLLAVACRASPYYPLELGYYRYKCPQAEAIVKAVMEKAIGQNPGNGAAVIRMLFHDCFVEGCDASILLDPTPFSPTPEKLSPPNNPSLRGFELIDAIKHALEAACPGVVSCADIIAFAARDASCFLSHGRVSFDMPAGRLDGTFSNASEPLKFLVPPTSNLSDLISSFVVKGMSVEDLVVLSGAHTVGQSHCSSFVSDRLDVPSDINPGLAGFLRSRCPANATSGSGDDPTVVQDVVTPNDMDSQYYRNVLSHTVLFTSDAALLTSPETAKMVVENAKIPGWWEDRFEKAMVKMASIEVKTGYQGQVRKNCRAINHY >KQK90537 pep chromosome:Setaria_italica_v2.0:IX:43506419:43510019:1 gene:SETIT_034190mg transcript:KQK90537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPAISAVAGSIKDLAVQETTLLCGVIGEAGFLKDDLQRLHGFLNDADTKRRSGNANATICIRQIRDATYEAENVLQVVDYMKKRNMLKKGFVGAISRYARLPSDLITLHKVGNEIQRIRRRVSEIFESPRNLEFLNRGNTELGNFHVDDESLQDHGLVLQNFEAVTAIGFDNEQKEIVEKLTEKDNKLSVVSIVGMGGAGKTTLARKICSSDKIKQHFDAIAWVTVSQKFEVVDLLKDIMKQITRGRDDGREVGQMEEIALRNKIQAFLTEKRYLVVLDDVWTTNTWNQINRMVKVFPDLNNGCRVMLTTRRIDVANHIEMPTFVHQLKLLDGEKSWELFSMKALPPYRRSLIQNIDEFEEIGRKLARKCKGLPLALAVLGGYLSRNLNLEAWSDILQGWTSTENGQMMGAILARSYSDLPNHYIKSCFLYLAVFPEDYSISVSDLIKLWIAEGFIPPITRHTREQTARMYVSDLAQRCLVQVVRRSKANGWIEEIRIHDILRDWCIEEARYAGLVDVIDNTIGHVGESSSNTMVSYRSSFQNFCDGNMFTATPNLRTLFGFELPPFSRPKLRFLRVLYVEESILINFGRVISGCIHLRYLGLRRCQQATLPSSIGQFLYLQTIDLRETSLESARIPNSLWDIPTLRHVYLGKASFSAPRNCPQKELQSLHLSLPYKGNSKFFRSGYIWAFLGQMTQLTSLVLTAAESMPAEMIHALANMTFLVEVTLGRFTLLDKLPDSQLLPQGLRRLLLSAETIKEDPMPILEKLPCLVVLELWGYKGRTMFCSAKGFPRLQELYLYDFSIEEWRLEVETMPRLSLLDLKFCLKMKKLPEGLLHLPALKELHLAPTDLNPEDDVTWKKLVGKGCKVSHR >KQK88115 pep chromosome:Setaria_italica_v2.0:IX:11637691:11638429:-1 gene:SETIT_0360382mg transcript:KQK88115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIHSVVHGLLATLSPKIHSKAPPPLENTSGGALNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISSDVGSFANGDDHVV >KQK88116 pep chromosome:Setaria_italica_v2.0:IX:11637219:11638429:-1 gene:SETIT_0360382mg transcript:KQK88116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELHDLRRKNSALQMQQFVGEEKNDLLDYLRSLTPEKVAELSESTCPGVQEAIHSVVHGLLATLSPKIHSKAPPPLENTSGGALNLGGEDDDCAELVENASLPFQPLISVPRDYLARLLFWCMLLGHYIRGLEYRLELAQLLRISSDVGSFANGDDHVV >KQK93117 pep chromosome:Setaria_italica_v2.0:IX:58899227:58901574:1 gene:SETIT_039392mg transcript:KQK93117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRLLPRITPLPRRRRNPSSPPITPALAASVEHILTTRSTNPAWPRSYPPRSRTRASPPPSPPSPTPTSRSRSSPGPAATPLAHSALLRFLARAGRFDAAEATLQSMSSRAGDGVVAAAPTLACLGELAAAYADAGMDGKAAEMCQRARELYGALPRAADCNRLLRLLVQRRRWEDARKLYDEMLAEEGGADDYSTCVMLRGMCLEGRVEEGRKLIEARWGARCIPHPVFYNVLIDGYCQRGEIRRGMLLLGDMEMKGFLPTEVTYGVIITWLGQKGDLERIGGLLGEMKVRGLSPNVQIYKTVIDAVCKRHSASQAMVVLKQMFASGCDPDVVTFNTLISAFCREGRAHEAEKLLREAIRRELEPNQNSYTPLIHAFCIRGDVTVASDFLVEMMEGGHTPDVITFGALVHGLVVSGKVTEALSVRDKMMERQVMPDVNIYNVLISGLCKKQMLPAAKNLLAEMLEHNVQPDKYVYTTLIDGFIRSGNLSDAKKIFEFMEQKGFCPDVVGYNAMVKGYCQFGMTDEAILCMSSMKKVGCIPDEFTYTTLIDGCAKQGNISGALSLLCDMMKRRCKPNVVTYSSLISGYCKIGDTNTAEFVFENMQSGGLLPNDFSIHAIIFSLCRHNMFGKALDLKDKMVSKGYSSDPITFLSLPYGFCFVGKPRNWGRILPNEFQKNEFETIF >KQK86895 pep chromosome:Setaria_italica_v2.0:IX:4334963:4336761:1 gene:SETIT_038471mg transcript:KQK86895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >KQK88754 pep chromosome:Setaria_italica_v2.0:IX:16965736:16966382:1 gene:SETIT_038996mg transcript:KQK88754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNISECEKATLCDRARLLFAKPIKFFNEMRELFLGSNTDGSLAMDQNTCMDAGDGSDNMQLLITHLSSSTSQVSRKRTRAKNSPTKKPKNKSHFADPTEEITATMKSLRETLVVTAPPQMPQLTDPHAALWQRLEAIPMTSD >KQK86511 pep chromosome:Setaria_italica_v2.0:IX:2352955:2359441:1 gene:SETIT_034618mg transcript:KQK86511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATSPAAAANLAGKSGVRVVVIGDPGTGKSSLIVALATEQFPENVPKVMPPTRLPADFFPDRVPITIIDTSSSPEQKPKLIAECQAADAVVLTYACDRPATLERLSSFWLPELRRLQLKTPVIVVGCKLDLRDEQQVSLEQVMAPIMQSFREIETCIECSALRQIQVPEVFYYAQKAVLHPTAPLFDQEAQSLKPRCVRALKRIFILCDSDKDGALSDVELNEFQVRCFSAPLQPTEISGVKRVVQEKMPEGVNDNGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYDNDIKLRDELIAMPIRRAPDQTLELTGEVVDFLRGIFNMFDIDNDGALLPTELEDLFSTAPENPWSSDPYKDCAEKNVLGGLSLEGFLSKWALMTLLDPTNSYANLVYVGYPGEFSSAFTVTRKRRVDRKKQQTQRNVFQCYVFGARGSGKTSLLQSFIGRQPSDALPSNTERFATNSVELPDGTRKTLILREIPEGDVRSLLSDKESLAPCDVAVFVYDSCDEYSWHRARDLLVQVATHGENTGYEVPCLIVASKDDLDQCPQALQESTRVSQDMGIETPIPISVRLRDLNNIFCRVVHAAQQPHLSIPETEAGKTRRQYRQLLNRSLMVVSVGAAVAVVGVAAYRVYAARKNSSS >KQK89742 pep chromosome:Setaria_italica_v2.0:IX:35491710:35492182:1 gene:SETIT_039865mg transcript:KQK89742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWRTSASRLTASPFCLRRKERGGQLGIQVSGRAYPRPGGSGGHPSLSLPSSRLRLPPPPPPPPPIRAALTSDQCNLAGGTAGGPPPAAEAPAAASTPTSGHRRG >KQK86842 pep chromosome:Setaria_italica_v2.0:IX:4084902:4087196:1 gene:SETIT_0358891mg transcript:KQK86842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGLRAEQKASSWADQGDLRKGMDREPESQAIIHESYGALLEATDATQREPKNADSVHQKETHSGCLPAMSDDVHFMEALKVENCETPRSHQSSTVPDAMSSSKTNDELQSSSTSLANNLEELTNENNTEECGVSKEEFLQPEQSEEDPKCAKNDNVVAMEISISDECSLFQSSEGSISSSNKIRDSVNTKSIEKSLETESIIHATGKKVLKNNDLELELPSLSHWLKPPNPKKPFRDEALTGDRSNSAKSSDEDRPIIGMVAAHWKDKEPENFTPKWFDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEEKLLSERNCSSGKTSQFLGVEGEESDTAESNRLYAAAYA >KQK86288 pep chromosome:Setaria_italica_v2.0:IX:1119301:1119933:-1 gene:SETIT_039183mg transcript:KQK86288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDDCECCGCFGEYRRFACGFCIGLAIIAAVAVIVVLVVGYGHAAQPRFEVEDASLSRFALATTSPTAISYNLTLTLAVRNPNWAMGAAFRSLEADYLFDGQRFDRVDVAAPGYVLPARKTAVFRVASGADAVNVMLGSAGVKAFRRQSEKGVFDVEAALSGEVKYQLHSSWCRLEARCPLKLQLAGQEAAAVVFQKTSCEVLRSSQRGC >KQK86984 pep chromosome:Setaria_italica_v2.0:IX:4882892:4886447:1 gene:SETIT_036491mg transcript:KQK86984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPESKEGKSPEELLCAAAKSGDEDEVARLLASGADATHFDAAGLTPLMHAAAGGHAAVARLLLECGAPWNALSPSGLSAGDLASEPDTYDLLLDHALRSELILGTVARRQAAPANASDSAPAESYLESRVSFSEERVMDAESKAVMMAWERPLMEAHARAVCQGGGKVLNIGFGMGLVDEAIQRYEPEEHTIVEAHPEVYARMLKLGWGEKKNVRIVFGRWQDVMPQLGSYDGIFFDTYGEYYEDMREFHQHLPKLLKPGGIYSYFNGLCGDNAFFHVVYCQLVALELANLGYSTQFIPLPVKDCLADEVWKGVKQKYWQLDTYHLPVCQSESEAE >KQK88723 pep chromosome:Setaria_italica_v2.0:IX:16558885:16559492:1 gene:SETIT_040376mg transcript:KQK88723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPCRPPGESTHCCSGSRSHGWMGGLVQTSKAKEGAMATWRAAQAQRGGRWRCVCASILLAAAAACCALFLGALFRCFLW >KQK88142 pep chromosome:Setaria_italica_v2.0:IX:11872242:11872259:-1 gene:SETIT_0387812mg transcript:KQK88142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PFQCL >KQK89628 pep chromosome:Setaria_italica_v2.0:IX:34098347:34100407:1 gene:SETIT_039072mg transcript:KQK89628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLKHLWEVWGEWEIRVLVLSSLALQVFLLFAGGLRKRIAEWWLRMPLWLAYLLADYIAIYALGNLSQNQKLCDGSRNAEMHVLVFWAPFLILHLGGQDTITAFAVEDNELWLRHLLSLVSQVVLAGYVYWKSRPGVRLMVPAIIMFMAGVTKYGERTLALRAASMGSLRSSMLTPPDPGPNYAKFVEECQSRTDAGLVAKIVIVPERPPDGDARVEVRRVEYGDLVCSAHRLFHTFRRLFVDLILSFQDRTDSLAFFRRLEMEQAFKVVEIELVLMYESLHSKAPVIHGWLGRGLRVFTLAAPVVSLVLFARAAGDMRSNARVDVDISYVLLGGAIFLETYAILLMVISPWTFADLRASERLRPAAGAVFWLIQLFQPETRPRWSNRMSQYNLISYCLNDEPRWYKGLMERLEWRWNFRVKTMWDSWRYTKKIAVSKELKQLVFEQLKSKANSTMDPKTYRKLGEHRGQWALQRKGLYQQLGWSVDCEFDKSILLWHIATDLCFYANQDDIIGGDPLPAMSREVSSYMLFLLVMRPFMMTASIGQIRFGDTCAEAKSFFFRRADEAGDEAGCAERLRAVDTSIAEPRDVKGDRSKSVLFQACKLARQLLELEGATEAKRWRLVTSVWVEMLCYAAGKCAGSAHARQLSQGGELLTVVWLLMAHFGVGDQYRVESGHARAKLVVDT >KQK91492 pep chromosome:Setaria_italica_v2.0:IX:50198226:50198632:1 gene:SETIT_040338mg transcript:KQK91492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNRTEPEQQLEHRTGSEETPSRGAEHTHAV >KQK89113 pep chromosome:Setaria_italica_v2.0:IX:20841327:20842926:1 gene:SETIT_035392mg transcript:KQK89113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPLDASLRPLDPEAFSGESRAVVDFLAEYYRGVDKYPVRAADLEPGLLRKLLPGAAPEHGEPLEDVLEDVRRDILPGLTHWQSPNFFAYFPMNASAAGFAGEMLSVGLNVVPFVWAASPAAAELEAVVVDWMGKLLGLPQRLLFSGGGGGVLQGSTCEAVVCTLAAARDRAMAKLGHEAITKLVVYASDQTHATFQKGARLVGIPAVQLPRHPDVGVLRVRTDRRRRSRRRLIVTSPAALCPCTSVPRWAQRGFGAARPRCAAEEARRHGMWLHRGHLPEFQGYLDGAELADSVSMNPHKWFLTNTDCCCLWVASPRALTSALSTDPEYLKNVGTNGTGKPAAIDYKDWQISMSRRFRAIKLWVVLRRYGADGLRAHVRRHVAAAKWFEQTVAADERFEVVVPRRFSLVCFRLRPRSVGDEAAVNNVNRKLLAALNESGRAFMTHFVVDGKFMIRLAVGGSMTDMQHVMDVWELLQDQADHVLRRF >KQK92894 pep chromosome:Setaria_italica_v2.0:IX:57748785:57751559:1 gene:SETIT_036009mg transcript:KQK92894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGLEKHQRSGDDTSPEANDSGELDDRRSLECSTSTEMSSNSGHRSRNRAPDDDSFDSESSSSGPPTVKRSAAVAALLPPFSRPTPSKWDDAEKWISSPTSNRTGRAGPATGTVPKKSALAFPEHGGRPPAVAKVVVDVPTNTAPLVKNSDGLIQSDLFKPAQSASIVDEPAPAIRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRMTEFSISNIDSNKKEMSEEELQMNTRQEIMDLGQRLGKTTIAAWASKEEKASAHFRSTTTDKVVDIDREARATDWQEAEKAKYLARFQREEVKIQAWENHQKAKIEAEMKRIEAKIERKRAREQDRLTDKLAAVSHRAEAKREAAEARRNQEAARTEELAAQIRETGHSPSSFSCWCWCL >KQK92895 pep chromosome:Setaria_italica_v2.0:IX:57748785:57751559:1 gene:SETIT_036009mg transcript:KQK92895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGLEKHQRSGDDTSPEANDSGELDDRRSLECSTSTEMSSNSGHRSRNRAPDDDSFDSESSSSGPPTVKRSAAVAALLPPFSRPTPSKWDDAEKWISSPTSNRTGRAGPATGTVPKKSALAFPEHGGRPPAVAKVVVDVPTNTAPLVKNSDGLIQSDLFKPAQSASIVDEPAPAIRSVSMRDMGTEMTPIASQEPSRTGTPIIASSPTSSRTPTPQRMTEFSISNIDSNKKEMSEEELQMNTRQEIMDLGQRLGKTTIAAWASKEEKASAHFRSTTTDKVVDIDREARATDWQEAEKAKYLARPR >KQK87935 pep chromosome:Setaria_italica_v2.0:IX:10284113:10284555:1 gene:SETIT_038485mg transcript:KQK87935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAVRCCNKKGETVKRRVQEYDRITNCKLARPVHQSGHKFCSFRCIYSLCSNT >KQK86505 pep chromosome:Setaria_italica_v2.0:IX:2319342:2323139:-1 gene:SETIT_034223mg transcript:KQK86505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCGYHSPRFSEDIAWLPQWLQPHRPPTAGEHRNHSTCVSPPSCQNCVFIRDHSQERQNCQNADGYSGFILHLSGDEETAATTPNSSNVLPFSLHLSSESAAEPFPAEGSDNTQMPNSGMRKGPCPSEDFFADGQEHEVNAVSCNQFGAKDPQEDNRTGVCKLTSKAGSRPLDANRHKRHDASGGKVEVQKLCNADVNDAIELSIAASEAMVIAEMLLDDSQSDKLAATAIEAALHVKVARKQFYFEETEHACGSSQNDLDETDWLAELDEAEMVDVFQDVGLSLVHTACSSQDQNTGDLKLQNSRPSSPPCDADAHTFGSCSSEKQNKRWNSKNADSDDHVSNSFPTNQSAGVLPNESTPCSDSVKQAALSKTFSCSRNKKTGLQVSTENNAALHGAPGALVTCQNIHKEVGRVSAQMNIGTKKHVKGLFEKETSFISESISVDECCPTSRALSMENVASSRASFYCRTEAFHEEKHGAETEELCCQVVCSSLSHADVDPLCSIVPCSISCDEGLSSQAPVCKQSEGHEGPSSQAPVCKRSECNEGPTSLAPECEHSKGEEKDFTHTNESPRIQDLDGEAGPSCVPLVKPLESNVPFRRRIYSSLRPFSTIVPKSNIFASTSNCNADLTVCQQERFKPITLNKNIQRVQAAKHFIENNLEPESLQYFSTVKNKPYHPQDDSEDQIREQQVPWEVCRSSVNLNNGKQCLKRKRVQFSEAKPSSIRTKSNRRMLPKSRFSRSDSRIEEKVKTREYIDNNEATFQGVEFILTGFPNQKEKEIESLIRKCGGYVLSKVPPFPLDKRKNMAEVPSWKPPIVLSPKKVSTAKFLYGCAIDAWMLNPNWFFDSLQAGVLLPPAK >KQK86736 pep chromosome:Setaria_italica_v2.0:IX:3497349:3497870:-1 gene:SETIT_038625mg transcript:KQK86736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWARDTTQLLITVVAVAALLRPTASTASSSYRVGATTPAGLGRLGQQAAATYRFKVGGTLGNLKWSKLPQRRVSYAACAVPSDAPTLASGGRPRGAEAGRAVVLHQRRRGPLLVRDEAQRQRRPLIS >KQK91685 pep chromosome:Setaria_italica_v2.0:IX:51211804:51212535:1 gene:SETIT_040099mg transcript:KQK91685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQVFDGDPFVRSISPPEEDTFSGQLPWPDFDFDDVLYELSKPAANETSSGGSSSQRKRNHNAYERDRRKHLNELYSSLHSLLPDADHAKKPSIAITVARVLKYIPELQKQVENLEVRKKKLMNANCKQGALNPSGSIAPIVSVNCLNEMEIMVQISFLSNSAEATLPFSVFIEVLENEGLRLISSSTFSPTENRTFYSLHLQ >KQK91762 pep chromosome:Setaria_italica_v2.0:IX:51646788:51647270:-1 gene:SETIT_040322mg transcript:KQK91762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRWFTILRTTCLTIGMKSHAFSCHHWPQLTTIA >KQK88933 pep chromosome:Setaria_italica_v2.0:IX:18749033:18750402:1 gene:SETIT_037348mg transcript:KQK88933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAFPPQILSYRESGRRGAHSLPILRGQYRVEAKMERLIRLSPSPWQARARRLTWPGGFLEWQQLRGCLDTSQTGPKAGRARSRTGACGEKGGEEDWREHCALCYERFPRLRQTLAARFPSTCQRRHLPLHPSPASSTTTRPSRSKRGSAKPPRIQPLRPFVSARARCLVANNDAGQAWPRSSSPPAALGWPRSSPREIGLRWDQLPLALARSVGIDMLCMSLSKFNPL >KQK88403 pep chromosome:Setaria_italica_v2.0:IX:14013527:14013977:-1 gene:SETIT_040464mg transcript:KQK88403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSLHHMVLVVVGSQTCFSILHQSCWGPLWDLATLKNPRPQKEFAAFELAFYFAKTFRVMDKFFFMTCISPIQDFY >KQK89759 pep chromosome:Setaria_italica_v2.0:IX:35721715:35723497:1 gene:SETIT_039827mg transcript:KQK89759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPVMPIQFSSTVMTTPGDETDGKLRPRIPVGAEFGMGNEKGIQSSLEHICIHTPFVTPCYKLEPSSTGNKKVVPRTGRRTDCLNQYKPLGGIFSVRSAYRLAVHEFTKEQNVGSSSNQGVGDRKIWASIWTTTVPQKIKIFAWRLARDALATMENRKRRNLEVDSSCRLCGLEEEDAFHAVISCTKVRALPQALRKSWEIAPEQKFMKSGPEWLLLLLTDLSLEERAQTLFLLWHAWRVRNDAVHGKGDCSISGSVRFLVNYWETLLQIRRDKETDIKGKGPKLDVWKRKSNGSDRHSVASKKSSWSPPPVDWVKLIVDGSFCEQTGEASLGVVIGDHDAHVLLSSWRILPEWIKKPTIIESDCSNVVAHLKSSSEDRSRWHFLHEEVKGALRLLLV >KQK88880 pep chromosome:Setaria_italica_v2.0:IX:18088244:18091771:-1 gene:SETIT_038560mg transcript:KQK88880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HNSRAKRKIRGQIILVKEKKKTRLDHGEEGVIRERIEISRRALRHPEAICVIDCACVPNPPLPSSPVSLSLASLCPFPPRISLSRPLALPRPSRSRPGGRNNKINLVLWGEGRTATRTHRTGLRNPFLPLIPKAGAASPDSRGRGEGGASCSPPLSEMSSPSKRREMDLMKLMMSDYKVEMVNDGMQEFFVEFKGPTESIYQGGVWKVRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRPAYEQKVKEYCEKYAKPEDAGITPEDKSSDEELSEEEDDSGDEAILGNPDP >KQK86779 pep chromosome:Setaria_italica_v2.0:IX:3690319:3693395:1 gene:SETIT_036006mg transcript:KQK86779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLAKPRALEQLHPLAVHLQSMSYVDVTMRWKKDASFDGVPVLSHARDIRPLASLARLLSPSPTPVSAVSKLQRSLETPDRRVTSFLRRFPAAFVESVGPQHNLPWFRVSDAAARLLREERDVFAARRADITGRLRRLVLMCPRRRLPLRVAQGMLWHLGIPEDYFKDPDHGIEHDGFRILTSADGVICQDNDGDGRELGLIDDGKGQEMPLSVLQMKFGSVADVPIPLFPSKGLRLKQKIKDWLEGFQRLPYVSPYEDFSHIIRGSDVSEKRAVGVLHELLSLFVTCSAERRRLLCLRQHLGLPQKFHLVFERHPHVFYLLLKEKTCFVVLKEAYMAGGDTAIGEHPMLELRKKYVELMEQSREIIRCRRSGKPVELESKVYDNTEDPLKRCLKNL >KQK86115 pep chromosome:Setaria_italica_v2.0:IX:344987:345489:-1 gene:SETIT_038462mg transcript:KQK86115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHVKWLLYSGKQRSGNICVCRLKLSYERSSARHLPSAPMPLDLSCLPSLFFATFLS >KQK88703 pep chromosome:Setaria_italica_v2.0:IX:16378819:16379465:-1 gene:SETIT_040565mg transcript:KQK88703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCPMPLESDKKRGKRQERSKTNQYSKSQSTPQTTDDSGYTRTHARTLLRCDHEINQVLMMMNGCRRRRCKRLMVCAPPRPASAISGR >KQK91858 pep chromosome:Setaria_italica_v2.0:IX:52352472:52354382:-1 gene:SETIT_038965mg transcript:KQK91858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRHFRRPLLPLLLAVLLGYRRAGAQADGNYTVPARFACNVPAPCDTYVVYRTQSLGYLDLGSISDLFGTSRARIASANHLDLASEDGVLLPGQPLLVPVRCGCTGNLSFANVTYPIRPGDIFYNLAITSYENLTEHNLIHEMNPAAVINNLQIGQEVTVPLFCRCPTRAERTRGVQSLITYVWQQDDNMSEVSKLMNTTVDDIAEANNVTSSFASVMGPPMLIPVRQRPQLPPLLYAASAGNDKSRSRRRGSLVALAALCVAFLAHRRYRKKASVRLGSRFVSPKLSWAKNQYGLESSNSFAHMIKGGDNKLLTGVSQFIDKPIIFVEEEIMEATMNLDERCRIGSSYYRAKLDGDLFAVKPAKGDVSAELRMMQMVNHANLIRLAGISIGADGDYAFLVYEFAEKGSLDRWLYQKPPPSALPSSSHTVATLSWAQRLSIALDVANGLLYMHEHTQPSMVHGDIRARNILLTADFRAKISSFSLAKPATDDAAATNSDVFAFGLLLLELLSGRRAMEARVGSEIGMLWREIRAVLEAAGDKREAKLRKWMDPALGSEYQVDAALSLAGMARACTEEDAARRPKMAEVVFSLSVLAQPVSVADAFEKLWQPSSEDNIRIAGSVAAR >KQK88165 pep chromosome:Setaria_italica_v2.0:IX:12097552:12098699:1 gene:SETIT_039261mg transcript:KQK88165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIANLSEKDEVLEHAVYVSNTLTMKYMITKDKLSEATGELIKELKKMKGIQSIIGVKQAGSVSQRLQAERNRRWGLEEQAELLCSEWQKEISRQYSDWHPFKPTIVNGVLEEVVMEDDEKLVAWKEDWGIEVHNAVVQALVELNECNPSGRYPVHVLWNFSENRKASVARAVKHLMKLWKADMGNYM >KQK89691 pep chromosome:Setaria_italica_v2.0:IX:35070115:35071080:-1 gene:SETIT_036697mg transcript:KQK89691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAKHKEVAAETSEALEQQFRPIVPRPMAPSPPPLPIGATVRSIQWKAQKRSRQDYSVPSPVSKRERDAMSYPSPPVLWATVDVEAPMLLGGRCMPEIYLPSCEENLRRLSLVGSSASSWAPSLDAEQIFSVEHDLISKLQVPKVIKPRPTRPKRTTIRIERSNIIDSTNLLVEVVVSKKTPREVEAELALPNALPAIVSGCNSNRVHLTNDAYKEMVGQPLCPWLNSLLGAGAPRRINGEVVLYVQTFSTVSCLQSTWCAFPCTARISWEDEDATVFLTVPCAIERVTGNSDDYCFIWRFDCKRKSIMYSIA >KQK92734 pep chromosome:Setaria_italica_v2.0:IX:56993962:56995119:1 gene:SETIT_039479mg transcript:KQK92734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVLRHPSLSRLKPPNPNPHRTPPPSLASPSLLRLRERRLTVAAVFQDQKPREPASKGGDDEEAYGEVDRIVSSRTIKNPVFAEDGSATTSVATEYLVEWKDGHEPSWIPAEAIAADVVAEYETPWWTAAKKADAEALAALLADETLQRDPDAEDAQGRTAMHFAAGLGSEECLRALAAAGADVGHQERAGGGLTPLHIAVGYGRAVAVRTLLELGADPEAPDGQGRTPLELVQQVLEKTPKGNPAAFQLRQGLEAAEKELEKAVYEWAEVEKVIDGRGEGKWREYLVEWRDGGEREWVRAPWVAEDLVSDFEAGLEYAVAEAVVDKRPAAAEGGEEKWEYLVKWVDIEEATWEPAENVDDELVQEFELRQSGSAGGDGSATAP >KQK88026 pep chromosome:Setaria_italica_v2.0:IX:10975476:10981348:1 gene:SETIT_035231mg transcript:KQK88026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGPTSPGRAPSPAPAPPPEPEVAAPEAHADDAEVKEEPVAAAAAEEGEEEKERRGAGRGRKRRRRGGPGPSSSSPSPAAAPAPAPAGPRGGLVMVKRDLLARCMTCPLCRRLLRDATTISECLHTFCRKCIYQKFNDEEVECCPVCKIDLGCTPTEKLRADHSLQDVRSKLFPFKRKKIKAEEVPSPISLPTKRKERSISSLVVNTPKVKPTGLTGRRTRAVARKAAAAAALRGLGPIVDPVKKEIDSCDSNSQSSSLPANSSKAPQTRRQILSNAEASNHSSNKDTEGDSKELADKAELWQPLNCLVEAANRTKSFRSSSQNPIVKGEQLNGSPSSTYASKTKARENLQRSKIEDDKKDVPMPTILPKRRGQGTARRRRELQAPAAAKPDAAAAQNEKKFSSIWFSLVASFDQQGDPPLPQIPSHYLRIKDGNVPASSIQKYLMQKLSLPSESEVEIKCCEQPVNPMQPLRNLVELWLKGKATQATQTMTGSSAKEFVMVLTYGRPKAPGL >KQK88302 pep chromosome:Setaria_italica_v2.0:IX:13012896:13013681:-1 gene:SETIT_039598mg transcript:KQK88302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTTTPVAAAGIDPCNRGHLIKVKQTMLRRLLVLTVATILIGPAVASPPPDPVQCSSGGNDGGCTVSSAYGVFPDRSTCRAAAVAYPSTEGELVRAVARAVASGTKMKVTTRYSHSIPQLACPGSGGGEGLAISTRRLDRVVSVDAARGRMAVESGLTLRDLVAEAARAGLALPYAPYWWGLTVGGMLGTGAHGSSLWGNGSAVHEYVVGMRIVTPAPAEEGYAKVRVLAEGDPVLDAAKVSLGVLGVISQVISWIPSLR >KQK88250 pep chromosome:Setaria_italica_v2.0:IX:12564616:12569103:1 gene:SETIT_036099mg transcript:KQK88250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNKSRGGGNGGGGGDELVLRGSISKKWTFLLCLGSFCIGLLFTNRMWTMPEPKEIIRRSTLEVEKMNLVAGDCAPNSIGDAKHVPGEVPRTQDVIQTLDKTISNLEMELASAKATQESMLNVAPTPESTGKRKYFMVIGINTAFSSRKRRDSVRATWMPQGEKRRKMEEEKGIIIRFVIGHSATSGGILDRAIDAEDRKHGDFMRLDHVEGYLELAAKTKAYFVAAVSMWDAEYYIKVDDDPRVYVGCMKSGPVLAQKGVRYHEPEYWKFGEWGNKYFRHATGQLYAISKDLASYIALNQHVLHKYANEDVSLGAWFIGLDVEHVDDRRLCCGTPPDCEWKAQAGNVCVASFDWSCSGICKSADRIKEVHQRCGESENAIWNAKF >KQK88543 pep chromosome:Setaria_italica_v2.0:IX:14953550:14954038:1 gene:SETIT_040823mg transcript:KQK88543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRAPSPFRPGDCLCARHLRPQPPRRPSRRWPSSCHAPRG >KQK87418 pep chromosome:Setaria_italica_v2.0:IX:7244944:7246239:-1 gene:SETIT_037602mg transcript:KQK87418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESDEHSNGNHHHGYEWKFPAALSANTTSVHVTALDGVVNVNSLFTVAVFVGLSLATPEQLRSLAGDPSCDAGPGVARSLLVLEVVAFSSFLFSSLVAQGLKLALNLINSKDPHDALRAHIDARVLRLGMLASAVGSVVGCVFLMVSMVMVVQIRLGTLGCASNRAAAKAAAGLVGLVTTALAVYVGTVFYTFTH >KQK89089 pep chromosome:Setaria_italica_v2.0:IX:20506570:20508800:-1 gene:SETIT_038867mg transcript:KQK89089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDSLSRFKQQQERCQSSLASIALTSKPKHRAQPINAPSVPARPSQPIKFSNDTERLQHINSIRKSPVGAQMKLVIELLYKTRQAFTAEQINDATYVDIHGNKAVFDSLRNNPKVHYDGRRFSYKSKHDPKGKDQVLVLIRRFAEGLAVVEVKDAYPTVMEDLQALKAAGEVWLLSNMDSQEDIVYPNDPKAKIKVDDDLKQLFRDIELPRDMVDMEKELQKNGFNPMTNTAKRRAAAQIDGVKPKPKAKKQREITKRTKLTNAHLPELFKNLNT >KQK91595 pep chromosome:Setaria_italica_v2.0:IX:50724553:50725848:1 gene:SETIT_039511mg transcript:KQK91595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPHSQLLLSFSASKRITAAAGGTSPSIRQLSALRWRWLVGAMSFVFRGSRADIEAGGFPGFAPDRRAMRIHAGGRPVHSNSLAFLVTVLLLFMVLNSHQMSPNFLTMML >KQK86457 pep chromosome:Setaria_italica_v2.0:IX:1969537:1972258:1 gene:SETIT_037949mg transcript:KQK86457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KQK87525 pep chromosome:Setaria_italica_v2.0:IX:7779872:7782645:-1 gene:SETIT_037112mg transcript:KQK87525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASLMLSLPRAPGAPLAPPAGPGTSVAACSHLAAPSRRRGPGIALAAAPARRQGGRWRAGVSSFSFLPSFFTGNNRGEKDAEKAMRLKEELLTAIAPLERGAEATPEDKERVEQIVQQLEAVNQVKEPLKSDLLNGKWELLYTTSTSILQPQRPKYLRPFGKIYQAINADTLRAQNMETWPYFNQVTANLVPLNPRRVAVKFDYFKIFSLIPIKSPGSGKGELEITYLDEELRVSRGDKGNLFVLKMVDPAYRVPL >KQK90575 pep chromosome:Setaria_italica_v2.0:IX:43787920:43788993:-1 gene:SETIT_039752mg transcript:KQK90575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGGGGGRFSLTTSLLFVSLIAALFLLAFFSAYLRRCSDAATATDAARRRALSANAAVAAAAAAAFASAASVGGGRRRAAVGLDAAAMEALPVLTYATARAVKAGRGALECAVCLSEFDDAGEKLRLLPGCCHVFHAACIDVWLAAHVTCPVCRADLSDPAVADAGHVLAADLAAQAEAPTDTIINIDIEPSESTPERGPTSSDEQPAETAEERVDRYTLRLPERLRREIDEARRLRRAMSAVTASTALPSASSGRLTPAAALRTMSTARQSRRWSGLFRALSGSRRRSEPEGHRRVVPLHTGDGEVEVVVVQDGNVEKYYAHSLTFAGFVIDGDVAAGDWNPEVFQVSTAGAATSQR >KQK89699 pep chromosome:Setaria_italica_v2.0:IX:35147197:35150446:1 gene:SETIT_0352501mg transcript:KQK89699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSMFKKNYDHLPKDTYFGMYKEATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYAKSPNEIKLEEAAVLHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRAAFIIASTASEEEMLRWYNERVVWNDKQLNLKLMRKGVLTRIYTPMAIVQGLRESGVFTSVIAGGQAAVNEKLSPKKMDAQSQNITRPGNLPIDLIRSSDSKASARKILQAAELAFRDTVVSAVPPLSPPGLDDEHRHHSE >KQK91979 pep chromosome:Setaria_italica_v2.0:IX:53054379:53058515:-1 gene:SETIT_039317mg transcript:KQK91979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWISDSDDEEKLESDGDGKDRAGSPAPSRNQLGATGPSTLVRQGNGKINGAGPSASLVEHFVGIGLIKENGDGGAESLLELLLTYKAISNEPSVDKCSASVLSKRNSSGPGPRTVDKNDGILANCDAEGAGRSSNRDLTSDDSGDEDFLQGISQKDEKIESLVRMGFPKDEAEMAVVRCEASEDGCYGNFSDHEWNGTSTMFVLLQDNSYGGRKEKRKRFEGAEEGSRGPLDSSSYEPMPLPNPMVGFNLPNQRLRSVDRCLPSKAIGPPFFYYENVAIAPKGVWTTISRFLYDIQPEFVDSRFLCAAARKRGYIHNLPITNRSPLLPLPTKTIFEAFPRTKKWWPTWDPRKQLNCLLTNVAKPKLMEQIHHALAKCEDPPPRRVQKYVLETCREGNLVWVGLNKVAHLEPNEMEFLLGFPKDHTRGISMTERYKSLGNSFHVDTVAYHLSVLRDMFPHGMNVLSLFSGIGGAEVALHRLGIHMKTVVSVEISEVNRFVLRSWWDQTQTGTLIEIADVQSLTSERLESFISRIGGFDLVIGGSPCNNLAGRNRSSRDGLEGEQSSLFYHYSRILDTVKSIMGRK >KQK86772 pep chromosome:Setaria_italica_v2.0:IX:3654376:3658272:1 gene:SETIT_035753mg transcript:KQK86772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISARWLKSLVGLRKVERQQQRKEDEAIGQMKNDATDQIHGQNLHFQYDSCLAAQEEFPEVANGNGSLEGDSDVPSCLEPTCGSPHVPLPQTEDGLNEIWAATVIQTAFRAFLARRARRALKGLVRLQALVRGHIVRKQAATTLRCMQALVRVQARVRARRVRMALENQTDQQNSSPEQTNEAHVREIEDGWCDSMGSVEDIQAKLLKRQEAAAKRERAMAYALTHQWQASARQATTFEPDKNNWGWNWLERWMAVRPWESRFLGAYTADGSVMVNEFRQPDRNATKTPYRKPVKKSDSTLQSNTLNRKVFPSNSEGGGSSTNRSSGSVSAKSRLKVLTREGSDEASSRPSGLGVRSTSNPKERTPTGNPKERIGDLDCQGHKRLSLPGSGVEAGKRLTKKATANRSLKPTKEHRMLQSRHHLASSIDPLPNRVELET >KQK87244 pep chromosome:Setaria_italica_v2.0:IX:6243155:6243585:1 gene:SETIT_038315mg transcript:KQK87244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKQSKIIMLHSRKLTMSIGPTITLYLLFSLISKPAVRVSVSLSISRVAEPPLKKVAHSFIVITSEFNVIRLLLSFFPI >KQK88193 pep chromosome:Setaria_italica_v2.0:IX:12262043:12273727:1 gene:SETIT_033940mg transcript:KQK88193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGEMEDVRAAAAAEQVISSRGGSVLGKKTILKSDHFPGCQNKRLSPQIDGAPNYRQAGSLRVHGVAMPTMEGIANVLNHIGAKKKGKQTRILWHSLREEPVIYINGRPFVLRDVEKPFSNLEYTGINRERVEQMEFRLKEDILQEASRYGNKILVTDELPSGQMVDQWESVVSDTVKTPLEVYEELQHQGYLVDYERVPITDEKAPKEGDFDNLVRRISQVDLETEIVFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRTGSIGKVFYAGNDVDDYMPSSEEAILRGEYAVIRSLVRVLEGGVEGKRQVDKVIDKCDSMQNLREAIATYRNSILRQSDEMKREASLSFFVEYLERYYFLICFAVYVHSVSSAHQTTFSVEVSFSDWMRARPELYSILRRLLRRDPMGALGYSSSKPPLTKIVESADGRPQDMDVVAAMRNGEVLGRQTVLKSDHCPGCHNLNLPERVEGAPNFREIPGFPVYGVANPTVDGIRAVIQRISTSKGGRPILWHNMREEPVIYINGKPFVLREVERPCKNMLEYTGIDRERVERMEARLKEDILREAERYGGAIMVIHETDNGEIFDTWENVDNEAVLTPLEVYKHLECEGLQIKYARVPITDGKAPKSSDFDTIALNVASASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDHGRPIRIPSCQNNHEDANDAAYSTGEDTADYNGHLSSESWKTRTLTKLNSGFGIKDILLLRKITRLFDNGIECRQTLDTVIDRCSALQNIRQAVLKYTKVINQQHVEPRVRRVALNRGAEYLERYLKLIAFSAYLGSEAFDGFCGQGEVKISFKGWLQQRPEIQTMKWSVRLRPGRFFTVPHEQKATYPPPQGDVTMETVVKARNGSVLGKGSILKMYFFPGQKRSSSVNFRGAPHVFKVDGYPVYSMATPTIDGASDVLSYLGSKDTTGRSIAQKVVVTDLREEVVVYIKGTPFVLRELDQPVDTLKHVGISGPMVESIETRLKEDILAEVKQLGGRLLLHQEEFNADTNQCNVVGYWERIDLEDVMTPAEVYGTLRGKGYDINYKRIPLTREREALAADVDAIQSLIDESARYYLFISHTGYGGVAYAMAITCLTLGADAKFVMEETAETHFVSTSLTKNVSIKTSTDIALRQVDYRDILNLIRVLVHGPKSKEEVDAVIDRCSGAGNLREDILQYRKALQDFSHDDDDDDEELSYLTDMGTKALRRYFFLITFRSYLYCATPREATFRSWMKARPELGHLCDNLKLDK >KQK87031 pep chromosome:Setaria_italica_v2.0:IX:5152988:5154555:-1 gene:SETIT_040112mg transcript:KQK87031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPHPRVLMLPLSAQGHVMPLMELSHRLAEHGIEVYFVNTDFNHARIIRAMEGGEGNQTGRVPAGIHMELIRSEQIRWMVVNVPMTWALELAATVGVRVALFLPFSLAAFALRLHAPKMIEDGVIDENENVERNERIKLSPKMPVVEAAELPWSSVGKTPEARRAIFQTRPIPRRRPAFLARRPTCFAWLDAQAPGSVVYVAFGSLAVFDATRLQELADGLALTGRPFLCVVRPNSAGDCWVDEIRRRQRVLAHPTVACFVTHCGWNSMMEGARHGVPFLCWPRFGDQFCNRSYACDVWRSGAELRADERGVVAKEEVRDKLERLLGNEGIRTRALLLKSAARASVAGGGSSHQNLLRFVRALVQ >KQK87751 pep chromosome:Setaria_italica_v2.0:IX:9099033:9099579:1 gene:SETIT_038292mg transcript:KQK87751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYQVKCGTCGKPTWAGCGRHVASVHAQIPEGQHCACRDWPGVALAPPVEKKASDDAGKTSGAAAAAASGGSAGSAEGGGAAQ >KQK92367 pep chromosome:Setaria_italica_v2.0:IX:55183446:55183919:1 gene:SETIT_038370mg transcript:KQK92367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCDFSSDLCAWCRRVVVSLPPSREPESRGRSAASKGRPWRRHRPAASGRTVELELRMWAGASNPSTVTGQT >KQK86076 pep chromosome:Setaria_italica_v2.0:IX:187201:190487:1 gene:SETIT_034840mg transcript:KQK86076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIPTTDSALLLGSSALHRASRTRRASAARLPARRRPQAVVRASAKEIAFDQNSRASLQAGVEKLAAAVGVTLGPRGRNVVLDEFGTPKVVNDGVTIARAIELADPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIRLGMLSVTSGANPVSVKKGIDKTVQKLVEELEKKSRPVKGGGDIKAVAAISAGNDEFVGTMIAEAIDKVGPDGVLSIESSSSFETTVEVEEGMELDRGYISPQFVTNPEKSTVEFENARILVTDQKISSIKEILPLLEQTTQLRAPLLIIAEDVSGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEYQSKDLGLLVENTTVEQLGIARKVTISSSSTTIIADAASKDDIQARIAQLKRELSQTDSTYDSEKLAERIAKLSGGVAVIKVGASTEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAAYVHLSTFVPAIKETLDDPEERLGADIIQKALVAPAALIAHNAGVEGEVIVDKIKESEWEYGYNAMADKHENLVEAGVIDPAKVTRCALQNAASVAGMVLTTQAIVVEKPKKKAPAAAGAPEGSFGM >KQK88388 pep chromosome:Setaria_italica_v2.0:IX:13864948:13868688:1 gene:SETIT_039442mg transcript:KQK88388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSTLGQTQRYAAGALLALALRQAQTHQTVLLGSHGLNDEQPHPDPASAVEPDARDLWTHESRGLLRPVLRFLEIDPKAWAGVEKTAASSDPKHHIGAFLRKVVEDEDDDEKARSERSDQELALAKAVDAMAMGLESSIVESAAEALKPGSCGPDDDPASTRAKDYRKMAVLYMLLSACVADVNMAEEGMGSPRVTKGYDARHRVALRLLATWLDVKWNKMEAVEIMVACSAMAAAKEEEQSRENSSPSSRWENWKRGGIIGAAALTGGTLMAISGGLAAPAIAAGFTALVPTLHTLVPVIGASGFAAIATAAGHTAGSVAVAASFGAAGAGLTGTKMAKRIGNVKEFEFKTIGENHNQGRLAVGIFVSGFAFTEEDYSKPWEGWKTNLERYVLQWESKHVIAVSTAIQDWLASRVALELIREGAMQTVLSGIISAFAWPATLVSAAEFIDSKWTMAIDRSDKAAKMLADVLLKGLQGSRPVTLVGFSLGARVVFKCLQELAHMGNNEGIVERAVLIGAPVSVKGEMWEPARKMVAGRFVNVYSTNDWILGITFRASLLTQGLAGIQAVDVPGVENVDVTELVFGHSSYLSLVQQILDHLELNSYYPVFYPCTPRTK >KQK89697 pep chromosome:Setaria_italica_v2.0:IX:35137279:35139942:1 gene:SETIT_037031mg transcript:KQK89697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRHSGGGGGGRGGGRGRGRGRDEEGDLHLHKAARDGDAAAAESLCESNPLAVNSRDRLSRTPLHLAAWAGHVEVVKCLCKHKADVGAAAMDDTAAIHFASQKGHIEVVRELLVAGATVKAKNRKGFTALHFAAQNSHLDLVKYLVKKGVDVTAKTKGGQTALHVAENDDVRAFLKECEQSLKKGSELPLEKKGAEPELPSENKDDSAQDGGGSKSSGEGMQDGDDAGQGEKRKCEGVAASSSPQVKKAKVSLGHLVSENDMDEEEEE >KQK86905 pep chromosome:Setaria_italica_v2.0:IX:4391517:4393894:1 gene:SETIT_035296mg transcript:KQK86905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSRHPDHEEEEEYDDAVFYEDIQAPKFVDLTAPDAGRPDDDPAWFCLRVGCDQSHEQVDLEALDRSFFMRVMAARSPNVRLQKAISRTNQSSMLKCPHSAPPKPPRARFARLSAATDAAEKAAVKPKPRVQRICAMRASPTRTKTAKVEAPSARKKALTMPRSKAVRPTQEPFLSVKHQKEPVAAVARKGTVVKALFMSTPKKEPARTPAADKRKEAVSEVCSKLRKLNLGCREVPSRYMCQLTNPKTAKKGEETALTKSAKRGQESRTNVKKKILGRSVKCANAEPDENRNGCGNTAADENSRTETARPNEKRKAVLQELTIEVDAARAEDDNKENVSNADQAVEEALNNSHSEDENRQLENNENVALKVPKMQNKVHPEQAGKLKKTTNPRPFRLRTDPTDKYNRGKGRDKPLCGEKQKQSTQMAMGQLGEAKPGFNSIRCNNARPVMTKRKVVEKSERVSRVASSARTTKTASGLMAPTQIGKGRKTSVKPSRLQAAAA >KQK91454 pep chromosome:Setaria_italica_v2.0:IX:49887291:49888591:1 gene:SETIT_037065mg transcript:KQK91454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSTMRRSCVLLVSLALLPVLGMAADSIGSYCAGNSYAGNNKAVASINSVLADLVATASTGGYATSTAGKGNNVIYGLAQCRGDVSAGDCAACLADAAKQLPATCSYSSDARIWYDYCFMRYENANFIGQADTDAGVILVNVQGMDNAKAFEKAVGKVVGKAAAQASASGSGGLGRDKDQYTAFVTIYGLAQCTRDLAPLACAQCLSTAVSRFGDYCRAQQGCQINYSSCRVRYEIYPFYFPLAGNAGRATTDMTKNTKIVVHP >KQK91151 pep chromosome:Setaria_italica_v2.0:IX:48147973:48149392:1 gene:SETIT_037192mg transcript:KQK91151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIDQEDRIIRPLGARLPDHATTPDLRGWGPPPFLNIVTRSLAYIRGTGLSFSPSRIYSHTRPHASPAYKHLVTKQTVKPTRQHNTPRATQQNTRSATATGRRPTDADAAAPGAETERERRELAKTTGGARRRAPRYRPRPSALGRPMGGSLVSMLRWPPDLGLPSLAALLPSPPAHLRLRVQEWWQWQWWSPEQLGAAAARRWPELVRDVPLLVDSALWGVVTAVESVALVSMMCCFFLCCGCTL >KQK92139 pep chromosome:Setaria_italica_v2.0:IX:53937092:53937744:1 gene:SETIT_038021mg transcript:KQK92139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHGSEASPSIVHTSSIALLQERFRNLQKVKEMREGRELQKVHSTDADRTSSLSSALNLGLQVANSTERPRWFLHPDLIRPSRPLRGPVYHGLGANGGQTSPPAASSWGGMQNSGYRSDVDVDTSLHL >KQK86080 pep chromosome:Setaria_italica_v2.0:IX:206859:210285:1 gene:SETIT_036339mg transcript:KQK86080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPLLLLLLAVAASSSACHGYQYDPSRGPLVTAVIVFGDSIVDPGNNNGLLTLIKANHPPYGKDFFNHEATGRYSNGLIPTDLIAQGLGVKQLLPGYLGVDLSPEDLLTGVSFASGATGFDPLTPVVVSVISLEQQLAYFDEYREKLVAIAGEEETEKIIGGALFVVCAGTDDVANTYFTTPFRSVEYDIPSYVELLVAGAESFLRNVSARGAAKIGFVGMPPVGCVPSQRTLGGGLRRECEPRRNEAAQLYNARIQEMIAGLNAEPGFPTLVVYLDIYRILDDLMERGEKYGFTETTRGCCGTGTIEVTGLCDSRFVSVCDDVSNHVFFDSYHPTERAYRIIVNDIFDNYIQVLT >KQK89408 pep chromosome:Setaria_italica_v2.0:IX:27800926:27801533:1 gene:SETIT_038335mg transcript:KQK89408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRATARTNIKILALPPNAEADEEHTKRKEKKKASKKVNGQGNQNNNEQKGTSVKKKRVPIVDGTYTKNIVYKEVLTP >KQK93064 pep chromosome:Setaria_italica_v2.0:IX:58580899:58583899:1 gene:SETIT_039060mg transcript:KQK93064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREREEEEVGGAAMSPSSLGLPSTVAGGSSAARVLLLRRATESPSGFPSLRAPSPIVRAMRTRLDPPPPPLAAAPTPPPPPPPPPQIIPEKRRRGRPRNCDRLLQPPGFLLTPAPPPTPAAHGHGQLGGLQPHVLKIDVGEDIISKIVGASKIIGKAVCVLSVLGVVQEANLLHSSVILNHKGPLEIIRMFGSICTSDSPGFGCLSVTLACADCSVVGGVVAGPLVAATPIQAIVGSFYNDAFRANKTPGINAGYPNSQFATGYWVTHYPNSQVGTGFGVMRYPNSHAATGSGCTPSYPSSQVAVGTGSMRCLNSEVATGSWSKHDPNSHVPIGDGSTYHSNSQVTAGDGSAHAHHPSSHITVGTWSTHQPSSNVIVGDGNRSNATSQATVGHWRRHQPNSHATIGDRSLSNSNSQDTVGNGSTHKPNSHVTVGDGSPVDANFQASVGHGSAHMSLAAVGDGSTNNTDSEVGVGGGSISKGNSQGTLVDGNTNCPNSTVSVGDGRSHYPNSKVTVGDGSTPSAEDSNPKYASCTVVEQ >KQK90130 pep chromosome:Setaria_italica_v2.0:IX:40030387:40032972:1 gene:SETIT_039323mg transcript:KQK90130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPPPAQAGPLANATFSHLFQLCAHAGRAALGAARAAHARMLVSGFVPTAFVSNCLLQMYARCADAAGARRVFDAMPHRDTVSWNTMLTAYAHAGDIDTAVSMFDAMPDPDVVSWNALVSSYCQRGMFRESVGLFLEMARRGVAPDRTTFAVLLKACSGLEDLTLGVQIHALVVKTGLEADVRAGSALVDMYGKCRSLEDALRFFHGMGERNWVSWGAVIAGCVQNEQYTRALKLFAQMQRLGLGVSQPAYASVFRSCAAISCLSTARQLHAHAIKNKFSSDRVVGTAVVDVYAKADSLVDARRAFFGLPNHTVETCNAMMVGLVRTGLGAEAMQLFQFMTRTGIGFDAVSLSGVFSACAEVKGYFQGLQVRCLSIKSGFDVDVCVRNAILDLYGKCKALVEAYLIFQEMEQRDSVSWNAIIAALEQNECYEDTISHLNEMLRSGMEPDDFTYGSVLKACAGLQSLEYGLMVHNKVIKSGLGLDAFVASTVVDMYCKCGMVTEAQKLHERIGRQELISWNSIISGFSLNKQSEEAQKFFLEMLDMGVKPDHFTYATVLDTCANLATIELGKQIHGQIIKQEMLGDEYISSTLVDMYAKCGNMPDSLLVFEKAQKLDFVSWNAMICGYALHGQGLQALEMFERMQKANVLPNHATFVAVLRACCHVGLLDDGCCYFHLMTTCYKLEPQLEHFACMVDILGRSKGPQEALKFIRSMPFEADAVIWKTLLSICKIRQDVEVAEIAASNVLRLDPDDPSVYILLSNVYAESGKWVDVSKTRRLMRQGRLKKEPGCSWIEVQSEMHGFLVGDKVHPRSREVYEMLNDLIGEMKLSGYEPASAFFAEVEEEGSASDQDELLGIVGD >KQK88579 pep chromosome:Setaria_italica_v2.0:IX:15210359:15210937:-1 gene:SETIT_039476mg transcript:KQK88579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTARAVASMAIFVLVALSATHMAFSLRPGAGLGVCRASGYLPGRSGNCEKSNDPDCCEDGKKYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIRISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQSLGMVDITWSEE >KQK92675 pep chromosome:Setaria_italica_v2.0:IX:56722743:56723239:-1 gene:SETIT_040605mg transcript:KQK92675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRQSITNLMSQIKSSPFPPTVLRIVFINKFCFFI >KQK91140 pep chromosome:Setaria_italica_v2.0:IX:48058927:48062911:1 gene:SETIT_035648mg transcript:KQK91140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGRNLTRRSRQERAASASACQRHPDSRYLLTRTHRTSGHPRSERERMASLAQHVAGLPCPPLSGASRSRRRPAAPRQPPSALVCGTYALTKEERERERMRQQFDEASERCRTAPMEGVAFSPEDLDTAVESTDIDTEIGSLIKGTVFMTTSNGAYIDIQSKSTAFLPLDEACLLDIDNVEDAGIRPGLVEEFMIIDENPSDETLILSLQAIQQELAWERCRQLQAEDVVTTGRVIGGNKGGVVALVEGLKGFVPFSQVSSKTTAEELLGKELPLKFVEVDEEQGRLVLSNRKAMADSQAQLGIGSVVMGTVESLKPYGAFIDIGGINGLLHVSQISHDRVADISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKADEMAQIFRQRIAQAEAMARADMLRFQPESGLTLSSEGILGPLSSDTPSEDSAEESTDE >KQK86384 pep chromosome:Setaria_italica_v2.0:IX:1567103:1568753:1 gene:SETIT_035479mg transcript:KQK86384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPVTVGDLIHRVASSCLSNRLPCNYTIRDSVDSDLDEDDDPFADFADAVSSSDKCRRSPSAAEAEVEGEEEEEEEKLKIWEEGQQEERKVAAAKGAERARDAEALMAEVFDAVSGVRRAYAALQGAHCPWDPDKMRAADAAVVAELRHLARLRDRFRRSAAAGHIPRPNPSAPPLREAVAPYEAALDDLQRQLQSKQAEVDGLKEKLAAAASRRNGRHHPSKQNGPGGAPTAELFTTCAEQARAATRAFAGHLLHLMRAAGVDVAAATRSLTKIPVPSPQLAKHALEAHVTRSLLGGFEHESFYLDGSLSSLLDPAAFRRERYAQFRDMRGMEPAELLGVLPTCAFGRYAATKFASLLPPRVEEAILGDGEHRRVVNGGTHPRTPFYGEFLRAAKAVWMLHLLAFALEPPPSHFEAGRGAEFHPEYMESVAGGAPPRACAGMVVGFAVAPGFRLGNGAVVRARVYLVPRGGRP >KQK89440 pep chromosome:Setaria_italica_v2.0:IX:29752828:29753094:-1 gene:SETIT_040039mg transcript:KQK89440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCGCFDDGKDGSKTKYRGVRRRPSGKFAAEIHDSLRQSMRTWLGTFDTAEEAARAYDRTAYRMQGHLTVLNFPAETHNYVRGLSSS >KQK89467 pep chromosome:Setaria_italica_v2.0:IX:30681659:30682366:1 gene:SETIT_039251mg transcript:KQK89467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQADAISTHHLRRHLVLLLTVAAVTTTRAGTTDTAAPTPSAAESQSTEPSAASFLRVRCATTLYSALCYDSLLPYASEFQTSHARLARVAADVAAARLRALSTRVKDILHHGPEPAEGSGGRPSETDALRDCASTTSAAANLARQSSAALNGLDAFQTANAAGGGGSSRQSRWEVSNAKTWLSAAMTNVGTCADGLDEAGATASPGGKEVTAGIVSVGQYTSNALALVNGIPL >KQK91424 pep chromosome:Setaria_italica_v2.0:IX:49737007:49740685:-1 gene:SETIT_037294mg transcript:KQK91424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNWELRGCCDRDQRIFIAAVGVSTVVILLLWRTFLLTPFKLITVFLHETSHALACKLTCGDVEGMQVHANEGGVTQTRGGIYWIILPAGYLGSSFWGMIFILASTNLLTTRIAAGCFILALVIVLFVADNWFLRWLCIGFVVFIAVVWVIQEFTSFHILKYVILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEICPCPCNGFAWGVIWGFISFIFLCASIYLGLVILS >KQK89433 pep chromosome:Setaria_italica_v2.0:IX:29512341:29512820:1 gene:SETIT_040599mg transcript:KQK89433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNILCSGRTSKSMVMGGLGPVVMYYSVVVVVPAPAAAAP >KQK90742 pep chromosome:Setaria_italica_v2.0:IX:45479427:45483005:-1 gene:SETIT_034068mg transcript:KQK90742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGECAPATAAAPTTSKTSVWWDIDKCAVPRGRCDPHRIAHNLIAALAAAGYAGPVSIAAYGDAARVPPPVLAALSATGICLNHVPAGSKDTSEKRMLVDMLFWAFDNPPPGNYLLISGDQDLSDLLHRLRMKRYDILLVRPPNASSQALAAAAKKVWLWENLTVGELLLPEPPPARSVLGCKLNVNSLDTLKCSQSKVCSEHGKGDSNGKAGNQNRVKPLQKYVKKASISSTPAITQDRVVPADGVSKSYTGSTLSEIDPASSLSSSSSSLACAKADTSVPQETPTLSKLPSHKSVLSTHSQQMEATNRSVPGEKPSTSTEWVLRNATLDLGPTNGHYNQMSQHLRPQNKLHSEFTTGGNKGKAVSQPGVKPPQKYVKKMNVTFGSAEKQVCSVRVHGRPIGNRTIEIDQASVSSSPTSTPSHSSAKKPVASAHLHQVKAPHESILGKKPSTSLEQVKASHESILGKPSTPVEQARVTHESILGKKPSTSAEYASRTVTHDFNVSTTNCHPACQQSHPSEAQNKLPCYSYMGDSGGKVGNEHKLNKDQVYVRCINVSSASASNEMNPFNGLIDNSKGITLSYPSQSLTAIPSSKSLESAKANDSIPLLSAHKSLKTDHLHQDGAASAFGNKISTSFQCTGKDETFVFGVSSGQYHPTYPQAQSSLLPEQHNSGTHPHIESPRGSSASQSVVHNGVSSAQIQTWSSGSPFDGLDYMCIGFSRLNISECPRGTAETTSFQGAPTNDPSMKMPDISGHLTGFHDSRSCFHLGSNTSCYLNHSSDPKSSHPPCSDNCGAGHQPNLSIDMQSYRHSGDKPEYLPDSPRNEGTIGIILHALGILKTEKIFPTESNIADCICYGEMNLTGFDVKKALELAIRHEAVVMKKLLHDMPLFVAKDESLWKCVNVTNSKAKNPIEELERVHKYISSPDGYSAVKNSQSRYQAATILKRSCLQHCALGDILQVLHIVIVRKKWIVPHSSGWQPLSINTTDIATTDATAKVKS >KQK87713 pep chromosome:Setaria_italica_v2.0:IX:8844001:8844475:-1 gene:SETIT_040810mg transcript:KQK87713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSCVMDMAPVACSPQVCAALVLAEQNFKNQKDCRPKKQGIYASCLSNCSIKCSIPSLHQTTKLN >KQK89840 pep chromosome:Setaria_italica_v2.0:IX:36384901:36389400:1 gene:SETIT_034448mg transcript:KQK89840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTSMEGLEARARELAVDLSAVDLDSITLPAGEDFGILSDDEEVLRNEDPPELEMGLSNIMVVDNLPVVPPEKFEKLENVIRKIYSQIGAIKENGLWMPVNPETKKTYGYCFIEYNTPQEAELAREKTNGYKLDKSHIFAVNMLDDFEKYMKVPDTWTPAEIKPYTPGENLLKWLTDEKARDQFVIRAGTLTEVYWNDARKQAPELVFQKQYWTDSFIQWSPLGTYLATVHRQGSQVWGGENGFERLMRFAHPMVKLIDFSPGERYLITYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFTAGGNIGVSGVSWPIFRWGGGKDDKYFARLGKNIISVYETDTFSLLDKKSLKVENVVDFSWSPTDPIISLFVPEMGGGNQPARVSLVQIPGKEELRQKNLFSVSDCKMYWQNNGEYLAVQVDRYTKTKKSTYTGFELFRIKERDIPIEVLELENKNDKIIAFAWEPKGHRFAIIHGDGPRPDVSFYTMRTANNTSRVSKLTTLKAKQANALFWSPAGRFIVLAGLKGFNGQLEFYNVDELETMATGEHFMATDIMWDPTGRYLATAVTSVHEMENGFQIWSFNGKHLYKVSKDHFYQFIWRPRPPSLLTPEKEEEISKNLKKYSKKYEQEDQDAFNQLSEQERKRRTQLQEEWDSWVAKWKQIHEEERAYRRELRDGDASDEEEEYEAKEVEVEEVVDVTEEVLAFDLDQE >KQK87224 pep chromosome:Setaria_italica_v2.0:IX:6153592:6155197:-1 gene:SETIT_035856mg transcript:KQK87224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPSPAPSPPSPSPSRLVPQLLVALLQRRRFDATLRVSPAFRGFSPHSIAAALAAIPRLLLPRSPRRLCPQRPFPSASSASSPANRRLAAALTLGFLSWSHDHAHPEPVPLSEAPLRSAALALARARALPALFRLLRAHAPLVSTPALTDVIRALGEEGLPRHALAAFHRMRQLRCSPDAQCYNTLISALCQNGRFKEARFLLDQMERPGARCKPDSFTYTVLISWYCRIGVGTGCRKAARRRIYEAGRLFRRMGEKGLEPDVVTYNCLINGLCKTYRVERAHEVFDEMLKKGCAPNRVTYNSFIRYYSAVNQVDKAVGWMREMVTRGHGMASSSTYTPVIHSLCESRRVGEARQFLIDMAESGHLPREHTYKLVKDAIDDADEEALPEELCQSIEYGITARYQQVMRIKPIMRSVTR >KQK92845 pep chromosome:Setaria_italica_v2.0:IX:57471524:57473312:-1 gene:SETIT_035494mg transcript:KQK92845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPPTPRRIAVLLLGFLCSAAAVAAARYAPADNHLLACGAEAPALLPDGRRFVPDSGCASTRLRSPAATLASAAPPGSPPPPSPLHASARVFSCRASYDLAVRRRGHHILRLHFYPFGPALSVSRFHVGAGGLLLLHNFTASSPVVKEFVLPVDTDILVLTFVPESDSTAFINAIELVSAPEELVGDIGTLVTSGGAAQIDGLSSQVFETLYRITVAGRKVTPFNDTLWRTWVNDGKFLVNTDSTNYKVWSFSGRIAYPKGSRQMTRELAPDNVYSSARSVRPGANLTWVFPVPAGSRYLVRMHFCDIVSKALYQLYFNIYVNGNLAVKDFDLSSTTGFLAYPYYIDFIVDVEDEGMLKLAIGGLKQSRSDEVSGILNALEIMRMNKTSGGMDGNFPIALDTEYGVSKGIGEFARSLLCGFIFAGLFVALVMLVLRLRTELRNNSTAWSWKPMDSGEGKLAKAYQLVPSKTDY >KQK87029 pep chromosome:Setaria_italica_v2.0:IX:5144523:5146588:-1 gene:SETIT_035316mg transcript:KQK87029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVARQSTPGRYGHRLLFYLQFSIYIHRPQQPEEASHSRHRTLTASMAATSPPRPRVMVLPFPAQGHVMPLMELSRRLADHGFEVDFVNTEYNQARVLAAMAAGGEAGGAAAHAGIRFVSLPDGMGPDGDRTDIVELGQSLPAAMLGRLEEVIRARNTRWVVVDVSMNWALDLAATVGVRVALFLTYSAAVFVLRAHIPKLIEDGIIDESGNVKRNERIQLSPKMPAIAELPWTSLAKSPESRRAMLQSVIKSHPACTLADAIVCNTFQEIESEALALLPKEPLVIGPLVASKSTSASHFWPEDLTSLAWLDAQAPSSVAYVAFGSYTVFDTARLQELADGLELTGRPFLWVVRPNFADGVDEGWLDEFRCRVGGKGLVVGWAPQQRVLSHPSVACFISHCGWNSTMEGVRHGVPFLCWPFFADQFLNQSYICDLWGAGLRICADERGIVTKEEIRDKVARLLGDEGIKARALSLKSRACASIADGGSSHQDLLKFVNLLR >KQK87490 pep chromosome:Setaria_italica_v2.0:IX:7552914:7553440:1 gene:SETIT_040528mg transcript:KQK87490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGTEGIYSFPTKMESDDHVSMDSLNMIKKFQFLILT >KQK92406 pep chromosome:Setaria_italica_v2.0:IX:55327379:55330242:-1 gene:SETIT_035602mg transcript:KQK92406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKDDGVMAEAQVAQPLGRWPILSYGVGHMLNDITSACWFTYLLLFLQQIGLAPRDAAVVMLSGQVADGLMTILAGEMIDRFGRFKLWHIGGSVLVGVSFSSVFGGCLLCTILGTDSYLVRTVGYSFFAAVFNIGWAATQVSHMSMVNCMTLNPTSRVALASCRNAFTMVANLGLYAIALGVFGVIKAKECSDIVLQYRWIAYLSIFLGCCFLVVFHIGTKEPTLKSESNCKKNARISWGYWFKKTLYYQVALLYMLARLITNVSQSLIAFYVTRDLRMNEYSKATIPAIIFCCSFVVSIVLQEIKWNSRRLKSLLTVGATLWVISGVAVFVLPSQMHNLMYPLAMVIGVANALLMVTTVGLESALVGEDLNGCAFVYGSLSFLDKISCGIALFVLESYEDTMSCGETRGLNTVSRYGTGLIPSCFALLSLVVTSTLRLQDAATPTAAALEAPLLV >KQK87043 pep chromosome:Setaria_italica_v2.0:IX:5240796:5245968:1 gene:SETIT_038637mg transcript:KQK87043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTYAEHDADDAEDDGEEARVVDGQVLRRQLRRRLQNPNPARQPRSWERVQELTAEPPLHSASSAPPVADADQSMSTTPVSSFRGPATFQLQPQSSNSAEILANMDGFGARDFSVDPSTFCFTQDANQEFYNRALQHSYSVSKQGFDQGLLASAPEFGLNDDSAALGGYQQFDNFWGPRQPGEYEGMQMQNINANIAHRRRPSNASCIDHTEEITSYDNDDRAISFGSSCSTGIASCPYNTPLQSNNHISDTRDGTWDALMQMREALGASNSDNGLNEECSDLTFNHAELSGGNTMKHQVAWDNGSLTSPSFTSNFLPYPGDSETTLTNTSTVCSFQNISDFQHNMNNNEQNISSFELELPHQKTSTESHIYESRGEMYPAENAGHVDSSGFMPSTEYRQNDRSHQLSSSFVNSADGSVDDSSKKSHLLYECEEQMEIDSLLNSFGVSTDNLSQTYGMFQQSDNLIEFDATIKLEESGSAACFSNTAAYMQTGPLESAISDGTSYPEQCQSTSQTCGLFYDSASQWQNFSSSGLPLLGGDKSISEPSSIINLGGNGKGHLLPASDNALVQQQQSVASDTRLGMTDNVVNSYLEFTTSLDDQSCPIGASICHEEMADKVVQAAQPEMVVNCPFGVRASNHDGHSDMQLPITQPSHVQGTALSLSKDPNLSCIEGTECKNSELTATYNTTHNHLGLNKFECHGILHSKSFEQKAPENIKMDDYSQIVGLQQSTILSASKPSHSDVLPVGKFDGKVVSQQKKRKRATENLLAWHAQVIGCGSMCHRRTSELDWARATKRLVEKVDNGNAATMERSSFGTRARKRLILTTSLIQYILPVVPARLLATDVTNSGESTVYHLSKLALSEACDTVLSFVNDDTLLNQTSTSGKEDSKVLPKVLETFKSRFGKLESYLSGAEKATTLHDLASELQDLERWHILHRLARSHGYTQRRGGDTSNSGLVPYTTTIKKHDGAAAASVNSLSSIKCRLLN >KQK86941 pep chromosome:Setaria_italica_v2.0:IX:4594326:4596790:-1 gene:SETIT_039242mg transcript:KQK86941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVYSTSRSRKGELSTGSRGARDTLRLRLAAAAMEFAVVEGESFSPSCPTLIMPALSIGNVGQLAVDLLISSARSRRVAYLDEPSVLPCAGNDAFGPDAVGDLALALEAYESTSHRLSFIQQRSPVITGMMVSFAKNVADFIKTIGKDHIVILSSLDSGKRRVIDASSDMQVYYLSSCNEDGSDSEYEKLGWKKLEEYDLSHKRWSCLTGLVEGGDFSEDMADDTDEMTINDYYASLPFAALFSACKAKGLKVSCVLCYCSEGDNMPESFQLAEAVCKLLGHEPEKFHGNGSNGWTVPLSWKSVYGPPPDMSIF >KQK86246 pep chromosome:Setaria_italica_v2.0:IX:910937:917180:1 gene:SETIT_035829mg transcript:KQK86246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSGQRHRGVASSSRQGNGFEGSASSVEFLGREMLEMHLWDAKADADDERDMGSGSDVTDSSSNEASHIKATTIRGHNGLPKQSVTYIAEHVVGTGSFGVVYQAKCRETGEIVAIKKVLQDKRYKNRELQIMHMLDHPNIIGLKHYFFSTTERDELYLNLVLEFVPETVNRIARQYNRMNQRMPHIYVKLYTYQICRALAYIHNCIGICHRDIKPQNVLVNPHTHQLKICDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDLWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFQKKLPPEAVDLVSRFLQYSPNLRCTALEACMHPFFDELRDPNTRLPNGRPLPPLFNFRSQELKGVPPGVVERLVPEHARRQSLFMALRT >KQK92897 pep chromosome:Setaria_italica_v2.0:IX:57761294:57762937:-1 gene:SETIT_037800mg transcript:KQK92897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTFVKDNYALQYITDAGAEIVDATITNKRFNSSDPEDMDNFHAILCTVDVVIDQTYALEPAEYKLSTFFENINVSHDSCFSFVSNRRIWRFDKRIGASGTLDWYDGAISQPQLVLGDLIEVFFPTGNYTTIYFRNLAKEEGVTEIGPEMCLRSISTTMEPIILPCQ >KQK92968 pep chromosome:Setaria_italica_v2.0:IX:58100054:58104032:-1 gene:SETIT_034396mg transcript:KQK92968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLGAGEEQEEFFDSREVLSPASVSSPASSGRRDDGCLFDESLLEVWVRDPCSVQERRQRFAKSMGLLDPSSHGARADEEPCSRLEATEEILPASPSADLFSAAPTFACRGGEPTASGDDGAGTEELECVFKNLDDGTVFVVDEMGKDGSFRSLRERRSNRTVTAAEFEQTFGSSPFIRELMRRVDDSDEPSTPEKTLMRRKWRRRLGWLRRLGIGACVVDAEEDDEINSTSSSSCRSCSGKVDRVKVRPYKKRSKELSAVYKGQVIKAHEGAIVTMKFSPDGQYLATGGEDGVVRVWRVVEGERPDDRDFVEDDPSCVFFTVNENSELAPISSCEGGKSKHSKSSKGAADPACVLIPHRTFALSEDPVHEFHGHDDVILDLSWSKNRELLSASMDKTVRLWKVGCNSCLKVFSHNNYVTCIQFKPTNDDYFISGCIDGMVRIWDVPRCQVVDWADSKEIVTAVCYRPDGKGAVVGTLTGNCRYYDVSENHLELESQVPLHGRKKSPLKRIIGFQYCPSDPKKLMVTSGDSQVRILDGVHVVSNYKGLRSSSQVAASFTPDGDHIISASDDSSIYMWNYANQIAPITSRVKTIWSYERFFCNDVSVAIPWNASPAKSSISLACNIPSSRQEVSDEFRNLQDSTSHCHAEDSLGGDNLYQLPSGNFTLSSAFFAESVPRGTATWPEEQLPSNSVTPSSTLRKSQYKFLKTSCQSAASHAWGQVIVTAGWDGHIRSFQNYGLPVQV >KQK89734 pep chromosome:Setaria_italica_v2.0:IX:35444873:35446402:-1 gene:SETIT_035273mg transcript:KQK89734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAVVQETVSQILSGLVQRYAESNATRNLERLEMAHIRLEAALETSDKWHITDASLLRWRRKLKHAAKECHDALHKWKHIILEEERMQREVNNSSLPNRIVHATKSFIFSAFRDNSELSGSTVQRFEWFADGAREFVRFVEVGGTPHRHMPFNSLIKHLLAGNELQHRIGREDEHPSYLLWFVPYITAEHGIEACLKFIQKDGNAPEKDFFFAVMLQISESTDMVGIVVKCLQLFPTHFQPIVETIKKELTQLPMQDFSWVPNIDLCHRKHWDNLHSFSTQWFRPDPLCCKQHGQNKLHCISNLDMVGFPDASLDSVILIHLQCQVSLYEYNKQKTSLSERSSSMKDSPYLKAELLFTPHGTSKDSLPAEKSSAVVTIYGEEQHCMDTDVTLDQMNEVVLPKSLDSFYQNTEAAVYRMLWKSKHGTEYIVLEKSRMEMPGARITSRGATKRKLVQQEIQELGSWNPMVARFLNSWVVHAPVRLRGLILDWIQKEKESRLGEPPLKCN >KQK86325 pep chromosome:Setaria_italica_v2.0:IX:1306040:1306396:-1 gene:SETIT_038949mg transcript:KQK86325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKSVNDVSWRTPACAKVALKHYNRFNEDERELVKAVDSIAFFYNGWWMHANFLAKSKGGTSCADLVPKYFFAELKMGPNGKEKMSCVSCIKMDP >KQK90921 pep chromosome:Setaria_italica_v2.0:IX:46659073:46659991:-1 gene:SETIT_038212mg transcript:KQK90921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGKMVDLYVPRKCSATNRIIIAKDHASVQINIGHLDENGLYDGHFTTFALSGFVRAQGDADSSLDRLWQKRKAEIKQ >KQK90922 pep chromosome:Setaria_italica_v2.0:IX:46659444:46659991:-1 gene:SETIT_038212mg transcript:KQK90922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGKMVDLYVPRKCSATNRIIIAKDHASVQINIGHLDENGLYDGHFTTFALSGFVRAQKIIPASLGPYQLKCTFHDLFYALSIGYSPVLNFLL >KQK90920 pep chromosome:Setaria_italica_v2.0:IX:46658802:46660585:-1 gene:SETIT_038212mg transcript:KQK90920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNEEGKMVDLYVPRNSATNRIIIAKDHASVQINIGHLDENGLYDGHFTTFALSGFVRAQGDADSSLDRLWQKRKAEIKQ >KQK86097 pep chromosome:Setaria_italica_v2.0:IX:269528:270767:1 gene:SETIT_036235mg transcript:KQK86097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAALDDTKQQQQQPPLVFDAARLSGQADIPEQFLWPADESPTPDAVEELPVPLIDLSGGAANAEVVRQVGDACGLHGFFQVVNHGIDPALLAEAHRCMDTFFTLPLADKQRAQRRPGESCGYASSFTGRFASKLPWKETLSFRYSPSDDVAGEQLVSSYFVEKLGEAYRHHGEVYGRYCSEMSRLSLEIMEVLGESLGVGPSHFRRFFEGNDSIMRLNYYPPCQRPYDTLGTGPHCDPTSLTILHQDDVGGLQVFAGGRWRSIRPHAGAFVVNIGDTFMALSNGRYRSCLHRAVVNSRVPRRSLAFFLCPEMDKVVRPPGELVDDRNPRAYPDFTWRALLDFTMRHYRADMRTLEAFSNWLLIHGNNSHQL >KQK91229 pep chromosome:Setaria_italica_v2.0:IX:48643235:48643943:1 gene:SETIT_038628mg transcript:KQK91229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIAKLIVAVYN >KQK92543 pep chromosome:Setaria_italica_v2.0:IX:56027284:56032756:-1 gene:SETIT_034116mg transcript:KQK92543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAYLRYEPAVTFGVIASPESNVCYDPSGRLLLAAALDRLAAWDLKRGLPSVSFAPSSSSPSLAVSCIASSQSAAVSSSIASGHADGSIRLWDAEKGACEATLHGHRSAASALRFAPSGAVLASGSKDCDVILWDVVAQAGLFRLRGHRDQVTDLVFLDSGKKLVSCSKDKFIRVWDLETQHCLQIVGGHRSEIWSMDVDPSERFLVSGSADPELRVFRIRQSVEEGEDWSKWDVLKLFGEIPRQSKERVATVRFNRNGSLVACQVAGKTADIYRVLDETEATRKAKRRMHRKKEKASAKSMIAEGNGTVIDPLPAQDLQNPAVIVTDVFKLLQVLRASKKICSISFSPSNPPKGCLATLSLSLNNNTLETYSVDSEKVSKMYSIEMHGHRSDIRSVSLNSEDNLLMSTSHNAVKIWNPSTGDCLRTIDSGYGLCSAFVGNRFALVGTKSGTLEIINIASGSLTEVIEAHAGSIRSIVPIPDEDGTVGARGFVTGSADHDVKFWEYQLLQKSDNDSKQLTVTNVRTLKMTEDVLAVSISPQGKHIAVALLDCNVKVFHMDTLKHFLNLYGHKLPVLCMDISSDGALIVTGSADKNLKIWGMDFGDCHRSIFAHSDSVMDVKFVYRTHYMFSVGKDRTVKYWDADKFELLLTLEGHHAEVWCLTISSRGDFIVTGSHDRSIRRWDRTEEQLFIEEEKEKRLEETFEADLDNDNEYRYGQKDDAPDEGSVGVPGRKTKETVTSADAIMDALDTAEEEVKRLNQHKLEEQNNGRAARFQPNVIMQGHSPSDYVLNVVSSIRPNDLEQALLALPFSDALKLMSYLKEWSLVPSKVELVCRVCLVLLQTHHNQLTTTPAARSLLTELKDILYCRVKECKDTIGFNLAAMDHLKELLAMRSDAPFRDAKAKLMEIRQELSKRSDRPDGNERRKKKRKKASGES >KQK89274 pep chromosome:Setaria_italica_v2.0:IX:22899258:22905994:1 gene:SETIT_0353371mg transcript:KQK89274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGTRITLWSVSQKMFLSAAGGGGSAVTADQSKAQDWEIFRLWKMDSSGHMFKIRSNKNQFISINDGEQLVATATSHSQAGEFQFIINKKNREKIRIRAPNGKFLQVEQSGSVTANSEASGSWSDKDPSVFKIQVTGQMQGDTQLCSFYGAEQAVSMLQDHWSTFIVEDDFSFISSNGLNAVRIPVAWWITNEANTPSCHPPSYPRYQAVLDKAFDWADKYNLGVIVDLHAAPWSQNGHEHSGSRDGTVGWGTSDGNIDQTVQVIEALAARYASRKSLLAIELLNEPSIEVQFDALKKYYKAGYEAVHRHVQRDDVYVIMSGRLIQNGATEMVDFASRFKKCVLDVHYYNLYDPKYESMSAGQNIDYVKTVRANHLNTLMRQNGALVFVGEWAAQWEVKGASHEDYKRFAAAQMDVYGQASFGWAYWTYKNPNRNWSLKDMINDHIISVTKN >KQK87533 pep chromosome:Setaria_italica_v2.0:IX:7811600:7814657:-1 gene:SETIT_039743mg transcript:KQK87533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKLRGGLLKESHRIRLRENAPTRRRAHPQPRRRTCSPGVRWATWDRRAVPCRHPGCKSGCRAGLSWVAARMPPSGEQQNPSVSSPARLPKSKRRASTSASQPPRWVRSASPPRHALWGRESMGGRTPGSSCRLVLVPVLEKFSEPSEKRKEEEEDVTQVTQQELAQEHSGSDSSPIREPQPPLVPIWTSSAGKVYYGLTSDRLALNSYYEAYQKYSEKQARQEQLPTLRSSLTVSSITESYGPKQKEILLNASKSILSLSAYIDDKEINRCTGIAVEWDDEKGCGIVLTSACLITSKKPFNDWSDKEYASNAKVVIHLFDGSTLTSKLLYLSKHYDLAFYEVSGYMNLKILPLETNLEYGLDLCLLARDMKMNLICKAVNVKYVAPCEHQHNHYLFIDHSIPKCGSGGALADLNGRVVGMLFCMLPLTSFIPSSLILKFRRLARPQLGLKLRAVGFLDVSSIEFLSRSCGVTSGLIVGEVSAESVAEKLGIRAGDVILSCQGKIVSSVT >KQK90762 pep chromosome:Setaria_italica_v2.0:IX:45621651:45623817:1 gene:SETIT_040272mg transcript:KQK90762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVERGSGGGGETVVSKAMEMEMMSSHAKPVVPAPFLTKTFQLVDDPRTDHVVSWGEDGSTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVADRWEFANEFFKKGAKHLLSEIHRRKSSSCSQPPQQPFPPHQPYLSLFSPPPPPHPPPSAYRVREEDHHGGGKDFLATLSEDNRELRRRNSLLLSELAHMRRLYNDIIYFLQNHVEPVAPPPPPAATGCRLVELGSGDTWPPTTRRPRGDDDDDEAPVKLFGVRLNDGKKRKAQAAVLLEEEDDDDDRCDGDGDHINGHGDGDDQGSETY >KQK88555 pep chromosome:Setaria_italica_v2.0:IX:15032198:15032686:-1 gene:SETIT_040188mg transcript:KQK88555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVEASDTVANVKAKVQDKEGIPPDQQRLIFAGKQLEDERTLADYNIQKESTLHLVLRLRGGGKKRKKKTFTTPKKASHEHRSVGLAGVLGRYRVDEATGKVERLRKECPNPECGPGTFMAAHADRHACGRCGLTYVVASQSAAELSG >KQK87753 pep chromosome:Setaria_italica_v2.0:IX:9109349:9110077:1 gene:SETIT_040437mg transcript:KQK87753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLTYPVLMLSTNLYLAPAMTTVDQRFMILEGRTCGMLIERRSNCTGHFFSEI >KQK92618 pep chromosome:Setaria_italica_v2.0:IX:56387825:56395533:-1 gene:SETIT_035271mg transcript:KQK92618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVEEAIAALSTFSLEDEQPDVQGLAVLLSSERYATNSPIEYSDVAAYRLSLGEDTKAINQMNTLIQEGKEMASLLYTYRSCVKALPQLPDSMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERLVNGPTITHFWSMLKLLDVLLQLDHLKNAKASIPNDFSWYKRTFTQVSSQWQDTDTMREELDDLQIFLSTRWAILLNLHAEMFRTNTVEDILQVLIVFCVESLELDFALLFPERHTLLRVLPVLVVLATSSEKEGESLYKRVKINRLLNIFKNDPVIPAFPDLHLSPAAILKELSSYFQNFSSQTRLLTLPAPHEILPRELQDYQRHYLILNHMGTIRAEHDDFSIRFASAMNQMIMLKSSDGVDNDWSRDIKGNMYDIVVEGFQLLSRWTGRIWEQCAWKFSRPCKEPPISDSQQNSTTFFDYEKDLNIADGDPIPDEVKVNLHMLGPLVLNRVGGEVHRTDIVAVDKSAPGRRGVELGKELPKP >KQK92912 pep chromosome:Setaria_italica_v2.0:IX:57834373:57838192:1 gene:SETIT_039817mg transcript:KQK92912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDDDESIAWVVADGAGDAPAVLLHGSLDIWIHDAHNLPNKDILSKTVRDLICKKSKASEAMTSDPYVTVQVGSAVVARTFVIPDNENPVWSQHFVVNVAHEAAAVNFVVKDSDVVGAELIGVVSIRADRLKTGERVEGTYPVLERNGKECAPGAVLRLSVLYVPVARLTMYHHGVTPGPDFAGVPRTYFPLRRGGRVTLYQDAHVPDGTLPEIRLGDGACYRHGQCWRDVYDAISQARRLIYITGWSVFHTIHLVRDGTGGMPLGDLLRRKSQEGVRVLLLVWDDPTSRSVLGIKMEGYMGTRDEETRRFFKHSSVKILLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNHTRKIVAFVGGLDLCGGRYDTPRHTLFHTLQTFHKEDYYNPNFAVEDARGPREPWHDLHSKIDGPAAYDVLKNFEERWLKASKRSGAKKLSKSRNDTLLWIEKIPDIAAIDDEVYSNDNDPERWDVQIFRSIDSNSVKGFPKDPREATSKNLVCGKNVLIDMSVHTAYVNAIRGAQHFIYIENQYFLGSSFNWGSHKDVGANNLIPIEIALKIANKIYANERFSAYIIIPMWPEGNPTGTPTQRILYWQKKTMQMMYEIIYKALKEVGLDGKYEPQDYLNFFCLGNREAEDTSCSSNGPFSASNPQDQARKNRRFMIYVHSKGMIVDDEYVIIGSANINQRSMEGTRDTEIAMGAYQPQHTWANTLSAPRGQIFGYRMSLWAEHIGAIEESFTRPESLECMRQVRHIGQQNWEQFVSSHVTKMRGHLLKYPVSVDPKGKVKPLPGCATFPDLGGNICGSFLNIQENLTI >KQK88764 pep chromosome:Setaria_italica_v2.0:IX:17037622:17038212:-1 gene:SETIT_038168mg transcript:KQK88764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGVRAAVVRRLMAAKAESGKSFSDVAAETGLTNVYVAQLLRRQAQLKPDTAPKLRAALPALTDDLVDLMMQPPFRSYHPDIVHEPAIYRCPVPQSPSSSA >KQK88763 pep chromosome:Setaria_italica_v2.0:IX:17037473:17038221:-1 gene:SETIT_038168mg transcript:KQK88763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGVRAAVVRRLMAAKAESGKSFSDVAAETGLTNVYVAQLLRRQAQLKPDTAPKLRAALPALTDDLVDLMMQPPFRSYHPDIVHEPAIYRCRIVN >KQK89115 pep chromosome:Setaria_italica_v2.0:IX:20889689:20892111:1 gene:SETIT_040073mg transcript:KQK89115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNDCNSSGNAADLVVPEIKFTKLFINGEFVDPVSGDTFETRDPRTGNVLAHIAEADKADADLAVKAAREAFDHGTKNGSRSRIPTSYCTTCVLLLHENLHCNSRNTIEERGRTMNRLADLVEQHTEELAALDGADAGKLLLLGKLMDIPFAAQTLRYYAGAADKYQGYTLKEPIGVVGIIIPWNFPSLMFFLKVSPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGIPDGVINAVPGFGPTAGAAITSHMNVDSVSFTGSGEVGRLVMESAARSNLKTVSLELGGKSPLIIFDDADVDMAVNLSRLAIFYNKGEVCVAGSRVYVQEGIYDEFVKKAVEAAQNWKVDKEQFEVVLSYIQHGKSEGATLLTGGKPAGDKGYYIEPTIFADVTEDMKIAQEEIFGPVMSLMKFKTVDEAIEKANCTKYGLAAGIVTRSLDVANRVSRSVRAGT >KQK90689 pep chromosome:Setaria_italica_v2.0:IX:44813787:44814066:1 gene:SETIT_040725mg transcript:KQK90689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVLLLS >KQK90487 pep chromosome:Setaria_italica_v2.0:IX:43083057:43083859:1 gene:SETIT_039432mg transcript:KQK90487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLMSLRVQWRPHLDPSAAAPWWTGRGGSRADKPVSPTAPTVPPASGGSQAEELECLAAPSAPPLTLVGAPSTPQLEVSDVSPTPLRVYSWQRRIVKTLDPPTTDDTPLGLRPASPIQKLSKVCKPVDSLLPLLVIQKRRRKVPSPGSLPRRSRRVAGAGPCSPRLVKRVMRHLGFSDREVIESEAQDRYCKLYKPLLSESHVSAIVAIFGWTVGEGDQVRSIDVLTVL >KQK86949 pep chromosome:Setaria_italica_v2.0:IX:4659595:4660281:1 gene:SETIT_038644mg transcript:KQK86949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILPTSFLCGEVHSSVPYLTPPSPCTSSGHSTRYLDALLQIRQQHPSGDGRGKQKKSPKKWSPPPGKTLKINVDGVFIIETGAAAVGGSWRWLRHCRNVEEAEALACLEGIRLAAWWADRVMVLEADCSTVIDKLTKGGMDRSRVVPVIMDASCVNKVAHELAHLAIRSKQCCVSFLSFPECGHALLCNDTP >KQK91450 pep chromosome:Setaria_italica_v2.0:IX:49863109:49863574:-1 gene:SETIT_040706mg transcript:KQK91450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGSVSFKTLLVVHNQWPEREANVSEYRFCLFWGSMLISD >KQK92474 pep chromosome:Setaria_italica_v2.0:IX:55717083:55718818:1 gene:SETIT_0345882mg transcript:KQK92474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGAEANGGGAARVPVPPARPFLDTFRGNLKETFFPDDPFRSVVRERGAARRTLAALRYFFPFLEWAPAYTVGAFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYALMGSSKDLAVGTVAVASLLIGSMLSSQVSPTDNPALYMHLAFTATFFAGVFQASLGLLRLGFIVDLLSHATIIGFMGGAATVVILQQLKGMLGLDRFTTATDIISVMESVFSQTHQWRWESVVLGSGFLFFLLVTRFI >KQK92473 pep chromosome:Setaria_italica_v2.0:IX:55717192:55718818:1 gene:SETIT_0345882mg transcript:KQK92473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGAEANGGGAARVPVPPARPFLDTFRGNLKETFFPDDPFRSVVRERGAARRTLAALRYFFPFLEWAPAYTVGAFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYALMGSSKDLAVGTVAVASLLIGSMLSSQVSPTDNPALYMHLAFTATFFAGVFQASLGLLRLGFIVDLLSHATIIGFMGGAATVVILQQLKGMLGLDRFTTATDIISVMESVFSQTHQWRWESVVLGSGFLFFLLVTRFI >KQK86471 pep chromosome:Setaria_italica_v2.0:IX:2079627:2081082:1 gene:SETIT_037555mg transcript:KQK86471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEMEDAAVPALAVVDARFCAADAASLAVAKALSMSGSDFAVTDAATGALVLRVDGVLFSLRRRCVLVDADRRPVLTVQESALMLNTRWKVFRGDSTSRRDLLFTVVKPSVIQLRGPTKVSVFLASNDAEQACDFRITGSYHDGACAVSLGDSDTVIAKIDRRFSVVSALLGKNTYSVTVNPGIDYAFIVALVVILDEMHFQR >KQK90313 pep chromosome:Setaria_italica_v2.0:IX:41545520:41547758:-1 gene:SETIT_036577mg transcript:KQK90313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAASRTHHHRSLALVVLALVAAATATVAGAQLSSEDYYDASCPAALLTIRSAVAAAVLLDRRMGASLLRLHFHDCFVQGCDASVLLDDAGGFTGEKGAGPNAGSLRGFDVIDNAKMLLELLCPQTVSCADILAVAARDAVEQLGGPSWTVPLGRRDATTASASLANSDLPGPTSNLNGLLNAFSNKGLSTTDMVALSGAHTVGRAQCRNFRARIYNDTDIDATFAASLRASCPAQAGSSSDGALEPLDGTSPDAFDNDYFGNLLSQRGLLHSDQALFGGGATDGLVRAYASDAGRWGSDFAAAMVRMASIGPLTGTDGEIRVNCRRVN >KQK90152 pep chromosome:Setaria_italica_v2.0:IX:40238603:40240676:-1 gene:SETIT_036635mg transcript:KQK90152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQRMALYFQVAAVVVLLTATGLRAQLRVGFYDNSCPAAEIIVQQEVSKAVGANPGLAAGLLRLHFHDCFVKGCDASVLIDSTPGNTAEKDAGPNTSLRGFEVVDRIKARVEQACSGVVSCADILAFAARDSVALAGGNAYQVPAGRRDGAVSRASDTGGNLPPPTANVAQLTQIFGTKGLTQKDMVVLSGAHTIGSSHCSSFSSRLSRSGTTAGQDPTMDPAYVAQLARQCPQGGDPLVPMDYVSPNAFDEGFYKGVMANRGLLSSDQALLSDKNTAVQVVTYANDPATFQSDFAAAMVKMGSVGVLTGSSGKIRGNCRVA >KQK92639 pep chromosome:Setaria_italica_v2.0:IX:56558776:56559812:1 gene:SETIT_037765mg transcript:KQK92639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGVRRTREWSPASPSLVVRRPRRTVSRRPHRDWDPSSRSPSFAARDHGPKPSEVYGFVGSITTVIATAVYLAWAYTPEPCLRSLGVTYYPSKYWALAVPSFVIVALALSMVIYMGLNFLATPPPTSFGTIFDENSRERVAFSPAMEEERPIEPISDISIVQVNSVMFGDT >KQK92448 pep chromosome:Setaria_italica_v2.0:IX:55563502:55566812:-1 gene:SETIT_035890mg transcript:KQK92448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHATLPFSCSSTLQTLTRSFSSRGALQLRRGFRRLPSLAALPRLSRPCRRHVSASAAASPNGASSEGEYDYDLFTIGAGSGGVRASRFASTLYGMRVAICEMPFATVATDELGGLGGTCVLRGCVPKKLLVYGSKYSHEFEESHGFGWTYETDPKHDWNTLIANKNTELQRLVCIYKNILNNAGVTLIEGRGKIVDPHTVSVNGKLYTAKNILIGVGGRPSMPDIPGIEYAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEVRDFVAEQMSLRGITFHTEQTPQAVTKSNDGLLSLKTNKETFGGFSHVMFATGRRPNTKNLGLEEVGVEMDKNGAVVVDEYSRTSVDSIWAVGDVTNRINLTPVALMEGGAFVKTVESKDEVATKQ >KQK89887 pep chromosome:Setaria_italica_v2.0:IX:36746230:36746826:1 gene:SETIT_040804mg transcript:KQK89887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRSAGSASGARCRWNGLAPAPQKGQDHRAEPWCTRENGLSAAPHPRVAQRSGA >KQK91314 pep chromosome:Setaria_italica_v2.0:IX:49199301:49201751:-1 gene:SETIT_040127mg transcript:KQK91314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FDARLLLERLRNKRLMFVGDSLNRNQWESMVCLVQSVIPKGQKTLTKFVNGGSSNVFYAHAYNATVEFYWAPFLVESNSDNPKVHSVPDRVIQWHAIAKHARNWVGVDYLVFNTYIWWLNTLDMKVLKGSFDQGSTEYVEVDRPVAYKEVLKTWAKWVDRNIDPNKTTVFFMGMSPNHITPEAWGNQGGIKCAMETLPITNRSTSLDVGTDWRLYAGAQEVLPTLRRVPVHFVDITALSELRKDAHTSVHTLRQGKLLTPEQQADPKTYADCIHWCLPGLPDTWNQFLYARIASSPWPAQQ >KQK89484 pep chromosome:Setaria_italica_v2.0:IX:31785368:31786125:-1 gene:SETIT_040492mg transcript:KQK89484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKMFPLFLFFYRHHAVPHPPGACAKVSLGRGHICRSWSPLPDRHSSPGSGLASTPWLAP >KQK89897 pep chromosome:Setaria_italica_v2.0:IX:36896046:36900058:-1 gene:SETIT_034332mg transcript:KQK89897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTASKVEQEDTVRRCKERRRHMKDAVAARQLLASAHADYLRSLRVTAAALSRFAQGHPSLAVSHHTAPVLLSAAAPPPAPGAAHALPTPAPSTAASSSLPPPTPLAQHPHPPPPPPQQQPQPAAAMAAPQPAPVPHILSDSSVASPAQSSFRKQPPVGTPSSSSAWEWENFYSPSPRTPSSSTRKAELEEANRLREFEEEEKARTYLHHHHPYNLKEEDEVDDDDDGEVDHEPEGMHCGGWEDDDEHYASTTTSETRSEEGEMGNRSECGFAARSECGYVARSEYGGTAPSEYAAVPLSLRRDERSEAGDSSSTVTAATEMRMVVRHRTLAEIVAAIEEYFVRAADAGNDVSELLEASRAQLDRNFRQLKKTVYHSNSVLSALSSTWTSKPPLAVRYKLDTNALEMESTEGKSHGSTLERLLAWEKKLYEEVKARESVKIEHEKKLSTLQSLEYRGRDSSKLDKTKASINKLQSLIVVTSQAATTTSSAIVRVRDNELAPQLVQLCFALLGMWRSMNHFHEIQNEIVQQVCGLVDNSMAESTSDLHRLATRDLEAAVAAWHSNFNRLIKYQRDYIRALYGWLKLTLCQVDNSAPQEAHASMISRELTSFCDEWKQALDRLPDAVASEAIKSFVNVVHVIYTKQAEEMKIKKRAETYSKELEKKTNSLRSIEKKYYQSYSMVGLGLPGSGRDGIETHAYDARDPLAEKKTEIAQCRRKVEDEMTRHAKAVEVTRSMTLNNIQTGLPGIFQAIAGFSATVVEALDVVCRRAGSVR >KQK89946 pep chromosome:Setaria_italica_v2.0:IX:37523990:37525917:1 gene:SETIT_036335mg transcript:KQK89946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHARATGSLPVANVQELAQACNRSDGQVPERYIRAEANTEEVITGYGSTSAIPIIDLRKLCDPQSSPEECAKLGSACQQWGFFQLINHGVPDEVICNLRKDIAEFFKLPLETKKAYSQLPNSLEGYGQVFVMSEKQKLDWADMFYLVARPNEARDMRFWPAHPSSFRTSIDRYSSETAEVARCLLEFMAKDMGADSASLLQMFQGQPQGLRMNYYPPCRQANKVLGMSPHTDASGLTLLLQVNDMPGLQIRRDGKWFTVDALEGAFIVNVGDVLEILSNGKYRSVEHRAVVHPDRERISAAVFHRPCQDAVVGPLPELMKDGNSKARYRSVGYMEFMRRYYSAKLDGRNHLESLKIEL >KQK88376 pep chromosome:Setaria_italica_v2.0:IX:13707404:13708045:1 gene:SETIT_038659mg transcript:KQK88376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTLQDCQKMLGLSIRGQPVTGPCVSDGWRARVATFLGREVEELGTRTSGVLISWLREHFGQCPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWIHCLTDWHQAGSYSWGSAVLCFLYRQLCEACRRSSGSPSVGGCVYLLQLWMWSRLPVGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDTLTAHS >KQK86083 pep chromosome:Setaria_italica_v2.0:IX:222495:223955:1 gene:SETIT_035478mg transcript:KQK86083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALESWLTKVRSAMSSAKSSGAPPGPGPRNKSTVGILAFEVASLMSRLLHIWRAVGDAAVARLRHEIINLDGVRKLVSDDDDYLLGLACAELVDALRAASDSVAALAARCADPALRDFRDAFLELADTGRDRHRWAAPTWKEMEARAHKMDKQVASTTALRRAMEELAEAEHGLRKLLQLQCGGGGNGKGLSASKISVAAEQQQLVFSKKQEVKHLKQTSLWGCTFDTVVASLARAAFSTLARIKLVFGAAGQQERHPPLYRSLTLSSAVHPSVDAPPPLSRKSMSMEEMLFVDLEAPKRGGGFLEDSSAALTPPPGTLGAAALAPRYAGLVISIERMVRSPRLVGPEERDELYGMLTASVRAQLRARLRGAVAAADPGLAVQWRAALVGILAWLSPMAHATMRWQAERSFERRNAAATADVLVVQTLQMAERDKVDAAVVELLVGLNYVWRFDKEMSFFAVHRQQLTPAAEMAMQQ >KQK88233 pep chromosome:Setaria_italica_v2.0:IX:12436038:12438213:-1 gene:SETIT_039171mg transcript:KQK88233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKRWTSASGTELPGRSPLPAAALSPGRQSARRSVSFRSDAAPASGGAASIARTLWPSSTGGGKNNKAPRAPSPLSSAPPSSSVATLADHLAKDDAPQVLSRQRSCTELPRTDADAEARKIGKSSGKGGGGGHAFGRSMRLLPSTRPAGVTLTPGRVAPSDLRRLTANGPSLDAAADVASSGSECSDAASRGSTTPRTTAASKPRSTLLPRTSSVRLLGSSNTQWALSPGRRSGSPLKTTTTALPTVPEAKGSKKSLISLGWGHIFHRRKHAAEDASIAAATATLLSSSPVSSSRSSTGGGGAEAGHQMRMAHCRLLQWRFANAEAEAARKRKQASAELDLMGTWASVSEMRGKVARKRMQLEKEKQKIKLNTVLSCQMKDLESWGQVETEHAAALASTVSCTRAAVCRLPLTSGAKASLPPLATILPQALELTLATKAMTRSFSPMAQDRALVISELVRVASEEKALLQEFLELLSRVSALQVEEQSLRCHLVQSSSLSAPTGECVQAL >KQK90364 pep chromosome:Setaria_italica_v2.0:IX:42144544:42149524:1 gene:SETIT_037059mg transcript:KQK90364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGFASNAWTSGLGKRSPPNCASSSAACSDDEASSCTSREEGLECPICWESFNIVENVPYVLWCGHTMCKNCILGLQWAVIKVPTVPIQLPFFICCPWCNLLSLRIFYKGNLIFPRKNYFLLWMVEGMNGERARSRSGIYTEQHTPLLPSSSRANGNAGYSNPTRRSLPPQADTSNANHANHGIPLLNSERVQASLRKSLSFLVHLTAKFPLVFMFLLIVLYAIPASAAVLLLYILITVLFALPSFLILYFAYPSLDWLVREIFA >KQK87492 pep chromosome:Setaria_italica_v2.0:IX:7550757:7554630:-1 gene:SETIT_036875mg transcript:KQK87492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMQWGEDRRFDEMRDNLGKLAVFWTFQAVWVWSVSLPVTVVNASDRNPPIEARDIIGWIMWLVGICVEATADQQKLVFKNSPSNRGKWCDVGLWKYTRHPNYFGEIFLWWGVFVASTPVLSGAEWLVILGPIFLTLLLLFVSGIPLLESSADKRYGRMEEYRVYKNTTSPLIPLPPAVYGALPAWFKVAFLLELPLYNPGPGSDPIS >KQK87491 pep chromosome:Setaria_italica_v2.0:IX:7551218:7554550:-1 gene:SETIT_036875mg transcript:KQK87491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVLDSHFLALTAIVTVGYQLMFFIITALLRFDKVTDFAGSTNFVIIAILTLVLKGAWHFRQIVLTVLVTIWGLRLGLFLLMRIMQWGEDRRFDEMRDNLGKLAVFWTFQAVWVWSVSLPVTVVNASDRNPPIEARDIIGWIMWLVGICVEATADQQKLVFKNSPSNRGKWCDVGLWKYTRHPNYFGEIFLWWGVFVASTPVLSGAEWLVILGPIFLTLLLLFVSGIPLLESSADKRYGRMEEYRVYKNTTSPLIPLPPAVYGALPAWFKVAFLLELPLYNPGPGSDPIS >KQK91108 pep chromosome:Setaria_italica_v2.0:IX:47829751:47834519:-1 gene:SETIT_034033mg transcript:KQK91108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLIFLCLSIWAVCYAASSVAASDTEALLEFGRGIRQDPSRREATATPWNPTSALDADGCPVDWHGVQCSGGQILSIALDGIGLVGNATLSALARMPMLRNLSLSNNKLEGFLPRELGSMASLQLLDLSNNRFSGSIPPELTKLAGLGHLNLSSNGFHGALPLGFRNLRKLKYLDLRGNGFTGRLDDIFVQLQSPVHVDLSCNQFSGSLASISDNSSMASTLQYLNVSHNVLSGALFDSDPMPLLDSLEVFDASFNMLSGNIPQFNFVISLKALRLQNNNFSGSIPEALFRETSMVLTELDLSCNQLRGPIRRVTSTNLKYLNLSYNSLEGALPITFGSCSIVDLSGNMLSGNLSVARTWGNYLQMIDLSSNRLIGTWPNETTQFLRLTSLRISNNLLAGELPIVLGTYPELISIDLSLNQLHGPLPGNLFTAVKLTFLNLSGNSFEGNLPLSNSDAKNSTSIDLSIFPVRTSNLSFVDLSNNSLNGSLPTGIGDLSALTLLNLRQNNFTGQIPRAITKLKNLLYIDLSSNHFNGSIPDGLPDELVEFNVSYNNLSGSVPSNLLKFPDSSFHPGNELLILPRSESLNGSDKSDEGRHGMKRGILYALIVCVVVFVTGIIVLLLVHWKINSWKSSEKGTNQGKQPVTQGQSAHRSAETSTTEMHDVSLGSSPTAQSGAVSLPGRERHPESQDVPVDVAYFNEPIGSSSALKDSATSSMPSLSSSPPDACTQHRHSIFRVHSPDKLVGDLHLFDNSVVFTAEELSCAPAEIIGRSCHGTSYKATLDNGYMLTVKWLKEGFAKSKKEFSREIKKLGCVKHPNLVPLRGYYWGPKEHERIIISDYVDATSLSTYLSEFEERNLPPLSVGQRLNIATDIAHCLDYLHNERVIPHGNIKSSNVLIQNSTPSALVTDYSLHRLMTPTGMAEQVLNAGALGYSPPEFSSTSKPCPSLKSDVYAFGVILLELLTGKIAGEIICVNDGVVDLTDWVRMLALEERVSECYDRNIVEAGSSDGAPKALDDMLRIAIRCIRSASERPEIRTVFEDLSSLSS >KQK90015 pep chromosome:Setaria_italica_v2.0:IX:38563902:38567005:-1 gene:SETIT_035342mg transcript:KQK90015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASRVSKRALSTFAAAKLPEAVAATAEPSLPSVTPASSTSERTGGRVLQFEDTGRLFAGEPTSALVRTLAALQALSVGPLVDAATAALRSPAVAGSALGRAAARATAYKHFCAGETADEAAAVVRRLWRGGMGGILDYGIEDAEDGDACDRNAAGFIAAVDVAASLPPGSASVCIKITALCPIALLEKTSDLLRWQKKHPSFSLPWKAHSFPILSDSSPLYLTASEPPALTAEEERELELAHERLLAVCARCVEHGIPLLVDAEYATVQPAIDYFTLVGALAVNGEARSADGERPIVHGTIQAYLRDARDRLEAMVRAAERERVRLGLKVVRGAYLTRETRLAASLGVPSPIHGSIQDTHDCYNGCAAFLLDRVRRGSASVMLATHNVESGQLAAAHAQELGIPKGDRNLQFAQLMGMADGLSLSLRNAGFQVSKYLPYGPVEQIIPYLIRRAEENRGLLSASSFDRQLLRKELGRRVKAAVVGRE >KQK90016 pep chromosome:Setaria_italica_v2.0:IX:38564499:38566553:-1 gene:SETIT_035342mg transcript:KQK90016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIASRVSKRALSTFAAAKLPEAVAATAEPSLPSVTPASSTSERTGGRVLQFEDTGRLFAGEPTSALVRTLAALQALSVGPLVDAATAALRSPAVAGSALGRAAARATAYKHFCAGETADEAAAVVRRLWRGGMGGILDYGIEDAEDGDACDRNAAGFIAAVDVAASLPPGSASVCIKITALCPIALLEKTSDLLRWQKKHPSFSLPWKAHSFPILSDSSPLYLTASEPPALTAEEERELELAHERLLAVCARCVEHGIPLLVDAEYATVQPAIDYFTLVGALAVNGEARSADGERPIVHGTIQAYLRDARDRLEAMVRAAERERVRLGLKVVRGAYLTRETRLAASLGVPSPIHGSIQDTHDCYNGCAAFLLDRVRRGSASVMLATHNVESGQLAAAHAQELGIPKGDRNLQFAQLMGMADGLSLSLRNAGFQVSKYLPYGPVEQIIPYLIRRAEENRGLLSASSFDRQLLRYGHCTHGISRAPLVRRDLILIGFI >KQK86948 pep chromosome:Setaria_italica_v2.0:IX:4644261:4648394:1 gene:SETIT_036841mg transcript:KQK86948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSLAFPFPSPPSGQARPAGRLRAGATGRLLGCSSPPPDVVVTRERGKNAKLIAALEKHNIQSLELPLIKHVDGPDTYRLPDVLRNDKFDWITISSPEAAAVFLQGWKAAASPKVRVAVVGAGTAKVFDEVSQSDDQSLEVAFSPSKAMGKVLASELPRGSESTCKVLYPASAKASREIQDGLSDRGFHVTRLNTYTTVPVEDVEPLTLKLAISAPVVAVASPSALKAWLKLISKVDNWNNSIACIGETTGSAAKKLGLKSIYYPTTPGLEGWVESILEALRVHRQLKEAPKC >KQK86294 pep chromosome:Setaria_italica_v2.0:IX:1151446:1152704:1 gene:SETIT_039885mg transcript:KQK86294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKRRRTRAPRRGTATASPAPELPANLLAGIAARSDAATIFRCAATCKLLRREILSPDFIRRTSFSLVHPTMVAGLTLACHHLAPFVSRAAAGLLEEYTPLTSRGGLVVLRRSEINTRPWSQRRSDLCVYDPMTDSRAFFPDPRDIGKSPYHRLFGGGSVSIIDYSVIITAADGIGCSSFMLLSADMDRSLGGSTRIRVQTLSPDDAAAAGKWGPLKSAEHKCPWWCMHLDSYSDAGVVIGGVVHWLMHAGASFTFEVREYILTYDVSTDTAGSVDLPVHRQVPNLWASGSQSQLASSPDGKLSLIITDKLVVSIWVLSGSSWARQTVVDMEATWRLPPWGEHGLELVSFGDQRSGAVFVRFSGIQDGLYGIEVETKTEFFQFFQGKTGSHLGYPP >KQK88067 pep chromosome:Setaria_italica_v2.0:IX:11260335:11264569:-1 gene:SETIT_035278mg transcript:KQK88067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILCEVCGDIGFRHLLLCCGDCKHSAIHQYCLEKVVFDASLIEWFCYECLQRRGEVTCIRSLEKVPSERPSSNAHFGSIVHQPITKRVESARDASNMPYSPNDGCEEVFSCSGIKNIPSVQDRSADSIDTSSISQHDITEPSESSERFTEYQKGSSYPRGKNLKMAAASSSSEESGEDIPSENVSLASDDLQSPLGADYVLSYRTYLSKAQKVRVMTLIQEIQPEFTVYIATMRKTSVQPPGPYLGITKEYALAHCPDRSTTVTLEMPGKNKKWHPKFYKRDESRKNFLMGQWLDFVRDNHVQEGDIVLLLPTKGGNRSIFTVYLLHETATHSSMASEVHIEEPTTGEHASQESGTLEIPHESLESEDSDSLFLPPYFVPCKNLLSKSQKRIVEERVRAIRSEVPLCVAVMKNNNVGVAQRWMLELGSRYASVYLPTKGQTLILQCGGKTWEAKMMFHNGRRWFINGGWPNFARGNGLRVGDICLFELKKEEKLLTMAVHIIRKELF >KQK88522 pep chromosome:Setaria_italica_v2.0:IX:14788292:14791020:-1 gene:SETIT_040098mg transcript:KQK88522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTAVLAAILLVDLAAFGLAIGAVQSHPSARLETDERKEWTYCVYRPDAATALGGVALALLLVGQAVAVFASRCFCCGAALRPGGARACALILFLSSWVTFIVAEACLLAGLVQSAYHTGYRRVFFENPPDCATVRKGTFVASAAFALFTCVLTSAYYYCFSKARVNFHRQQATIGMTPL >KQK89561 pep chromosome:Setaria_italica_v2.0:IX:32924099:32926551:-1 gene:SETIT_039575mg transcript:KQK89561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGDERVREKMSMRCECKAFVKIKWNWKKDYWFFERIRLEHNHPLHSSLIVTQFLRIQKDNDPIVMGIVDQMHRCGASHNTTVNVLAELYGGRQNFMFTEMDLRNMKAATAREERENDTPKLLEFFKDMKAHNEYFYYEMQDEQANIFEWLYGAFQNCLSGSSDPRCILTSVQKTQHRLCRWHMLKKYKVELKKLYKIQDGLKIKLLTVINHPLTPTEFEPAGNELVDEYGIREDDTIQGLWESRKLWVAAYLKPLYCGRMTSTQRSESVSKMIKGSGFTVHMTCISKFARRMLDFIQHANHTAGGETHWSQAGNLRLTLQPFDGHLSRVETYIYSTAFRIDPHPNEVDVYLVTHTDQSWQYAWFQHSFRVEADVRSEYIMKRYTRGARTVVMWDRHGIVNSGPRCESDQYKTKKLVEIVMVAIRACRKTSPGFEKGYEQLTALVEWGEIIARDTGASHVGDHTEEQNEVIPDTGGEPEASWAEQDVQNKSIDEPLAPLVQTPVQILECAPREARTKGRKHGGKQVVNDHASSSKAPRQQTCGYCGSLGHYSTGCDVNLDNANKKRGASGSLWGKMG >KQK91941 pep chromosome:Setaria_italica_v2.0:IX:52834340:52835542:1 gene:SETIT_036410mg transcript:KQK91941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAANGADDHELPLFHPSPPCAHYYVQSPSAASHTLSHPASESMALILSPFPNLHRDADDARHSRDNDHEEASRLTLSRYSSSRGSNSSFPAGADSKKPGRRRQVLRVLSGRSSGGGDGDGDGDDDADGEAERSGAWSYVKLDPEAPCCCIAFQVAWRVAASAALALLVFVLATRPRHPGVSFRVGRVQRFALGEGLDASGVETSFLNCNSSVDMVVENHSKVFTLRVHPPLLEMSFGRFVFATAQARLGDGGSHDVGPRGTSTVRLFVAAQEKPMYAAGRGMQDLLDSSRGLPLTVTVRARSRYRVVGSLVRLTYRHESECVVLLRRTPDRSNGIAAAGRATCSAAS >KQK87515 pep chromosome:Setaria_italica_v2.0:IX:7697549:7699361:1 gene:SETIT_035836mg transcript:KQK87515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAVCRGPVMTTFEAPSWLRPVETYKKPEVVVDDRPAQLDVWNAIQAADVDKAAKKASKPYVHPLVRRSSSLMSQKSLEVCTESLGNETGSGDFTSSLDMACLFDSALPAAAATADEPFWQHDAARDCEKEQWEAKDLAAVNYHRSAGTRSPRRAFPPPLPSMSRRDGPCLQMRPRRQDGRLVVEAVAVRPRGYLHARRQGGRLRLSFVECCTRDDQSAVSKIAEAAAEAPFFPIVEPRNVQEEEAAVEMEEEDEVGEEEEVEVVDRGTVVEVKVSTQPQTPAAGKVHRSTLVINKFVGSTPLSVDQPRCHADTTEPDAESSDDELAAYSPRSTLRRVPSSTTSLAAAVAVASTGSDVHPAPEDDDECGGMHLSAAAAAEPKQLLLFTSRRGDKQDLLQSVRRCRQLRQKPLFILEPYCIATS >KQK90335 pep chromosome:Setaria_italica_v2.0:IX:41702972:41703762:1 gene:SETIT_037395mg transcript:KQK90335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGFEALLDPTALSLALPAAPGLKKEDYLAICLAALASTRGTGLKVAGFVPPGGTWCPLPIASAPPPAREEELRFRCAAFASYQALGGHKSSHRKPPTGEQYAAAVAPAQQAADSEETTTSSSGGAAGTAGGPHRCTICRRGFATGQALGGHKRCHYWDGSSVSVSLSASASASASGTGSSAVTVRNFDLNLMPVPENAGMKRWVEEEEVQSPLPIKKRRMSD >KQK92292 pep chromosome:Setaria_italica_v2.0:IX:54756561:54757177:1 gene:SETIT_040543mg transcript:KQK92292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKHTLYNLQEKRYFKTDQLDQLGQSSVPFLHQKPAPLLR >KQK87355 pep chromosome:Setaria_italica_v2.0:IX:6866162:6868854:-1 gene:SETIT_036834mg transcript:KQK87355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRKHAPDVNSNDAEQREAKINELKAKLGPLSARAEKYCSKACLKRYLEARNWNVAKSRKMLEESLKWRAAYRPEDIRWPDVSAEGETGKMYRASFRDREGRTVVVMRPTKQNTSSHEGQIRFLVHTLENAILHLPETQEKMVWLIDFTGWTMAHASPIKTSRETANILQNHYPERLAIGFLFNPPKVFEAFFKVIKVFLDPKTIEKVNFVYQKDEESMKVMYKYIDPEILPVEFGGNNNVAYNHEEYSESMMKDDIKTANFWADDAKTDHANSAINGTLVPEVTPQPSLLAAKAS >KQK88161 pep chromosome:Setaria_italica_v2.0:IX:12055591:12056569:-1 gene:SETIT_039045mg transcript:KQK88161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLLQLHVEHHHHGSSLKRCDLGGGDARFGKGADDNASRAVLALAAMASPALLFLSSYFSMVTTRTALFALSNAIFLLLAADCRRWFFSAAAGDVADACFEPAGDVLVKQQGRQQHLEAAAQQRAVQLIRQRSDNLPATLAPEELLRRLDNGGDATVGLETIVFEEPTCETEIDELNKRFDEFIRSRRNK >KQK90197 pep chromosome:Setaria_italica_v2.0:IX:40554934:40556095:-1 gene:SETIT_037387mg transcript:KQK90197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDGELKLLGLWTSPFVIRVRLALNLKGLSYEYTEEDVKNKSPLFLTSNPVHKKVPVLIHDGKPVSESQVIVHYIDEVFGAAGPSLLPADPYERATARFWAAYVDDKVGSAWRTMLFANEMDEKVDGATQAIAALKTLEGAFQDCSKGKHYFGGDSPGYMDVVLGGFLGWFNVFEKMIGVKVLDAARTPLLAAWAERFSAGDAAEGILLQDVDKVLEFLKAFFA >KQK88166 pep chromosome:Setaria_italica_v2.0:IX:12099324:12100382:1 gene:SETIT_038533mg transcript:KQK88166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVCSELKKMIDKMTMELKKRDDELQDAQFLNCHLIKEEREMHDNLSKAKRSLFKGLREIAGSLSVIGVKQMGQLDKEVFLNACKLKGAKDHLEATRVCLQWQYEISRPEWYPFKTTYTEGHAKALVELKLKEYNRYGHGRFPVPVLWNFSESREASIAEAVVHLAKLWRANKGKYVST >KQK91276 pep chromosome:Setaria_italica_v2.0:IX:48949736:48952354:-1 gene:SETIT_037438mg transcript:KQK91276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTTDAAAATAVVEKPPDADKPDYAPHNGTSTADGGAASRVRGGGVVDSVVARWRREDMLDKSPLALHAAAAVFAFVALVLVASNQHGDWMQFDRYQEYKYLLAIAALAFLYSLAQAARHAFRMRGGVDPVSAPSARLLDFVGDQVVAYLLMSALSAAVPITNRMRSAVVNTFTDATAAAISMAFFAFAALALSAVVSGYKLSKQTYI >KQK91275 pep chromosome:Setaria_italica_v2.0:IX:48949736:48952344:-1 gene:SETIT_037438mg transcript:KQK91275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVTTDAAAATAVVEKPPDADKPDYAPHNGTSTADGGAASRVRGGGVVDSVVARWRREDMLDKSPLALHAAAAVFAFVALVLVASNQHGDWMQFDRYQEYKCATRRLLAGYLLAIAALAFLYSLAQAARHAFRMRGGVDPVSAPSARLLDFVGDQVVAYLLMSALSAAVPITNRMRSAVVNTFTDATAAAISMAFFAFAALALSAVVSGYKLSKQTYI >KQK87080 pep chromosome:Setaria_italica_v2.0:IX:5491155:5495378:1 gene:SETIT_039703mg transcript:KQK87080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPFSGPKRTLREAVGTAAAAKGEERRAPQPPKHREREQLNSPAMALLMEPGTEPLTESEQADLAGIAAIKESAAREFKEQGNQFVRMGRKHYAEAVSCYTKAIAQMEPLTSLDAAAAADASVLFANRAHVNLLLGNHRRALDDAEQAIALSPSSVKAYYRAVKAALALDLLPDAASFCRRGLEQDPANEELKKLLSQVDAKLSEQERQRAKVAQAIAAAKDLAASVEKRGVKLGEPAYQELTGVKKPKLDEQGVLHWPVLLLYPEVMSSDFIEDFPETDTFSPHLDMYNSPPLPWDENHAYTRYTIELYYQGGFGKPLSKSERLRYLLEGTVDSKSLPDGLLDGEDEENDTSQSSTIVLSAEGSSKWIKVKEGKTLQEVLQDKDFIIPAIPVFFVVSRKTTFYRDFNAGNWSLP >KQK88239 pep chromosome:Setaria_italica_v2.0:IX:12476559:12477007:1 gene:SETIT_038328mg transcript:KQK88239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVSMLICEITVFNFPNKNVTLQNSALAFLYHQTDGFPPFLDTELANSVPDQLSSLAGPPNSSTTYSENKVYSSECN >KQK89010 pep chromosome:Setaria_italica_v2.0:IX:19461663:19462124:1 gene:SETIT_040524mg transcript:KQK89010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYHPACCICEFMMSKSCRVCKMHHVFFCQCSHVCYPTLELSLSSLLNCIRPQGNTYFVFAGRREFFPFVKFHR >KQK91683 pep chromosome:Setaria_italica_v2.0:IX:51202520:51203581:-1 gene:SETIT_038194mg transcript:KQK91683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSICSPKMAHNLSNNLPRRALRRSGSSGASMGKKADTFGKPTATVNSSITPLNAPTRRGRRRRWRGYVRVCDRDRSARSECSSFADFFGGDLDVRES >KQK87327 pep chromosome:Setaria_italica_v2.0:IX:6687732:6689991:1 gene:SETIT_039721mg transcript:KQK87327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPATLSSAPARSQAPAGEEAYTDARQNAQAARRPAAFYSSVFAQVRQPLDEMPAPTEATRGSFFAFLYSHVRIGDIAFFFISLLWAFRVQIEEIGWERVVSAAGDDGVSCLTFRVVDEQGRVHLLEITLPMGYPASPPSITAEVPYLPKIQWSKSSRLKDVICQFQEHLKILQEFWSIMDEIDKVLWVVDPTKSAYAMSHRRLALGDDCYILLHVDPRKPSSLPECRFLGADEKLDRLIMNWRKNRRRWDTKKKFHENLACVLDFALPAPPSVNNVKEDEKADCGICYAKHLPVDDELGAHSGCATNYTCENPSCSRAFHSVCLRDWLRSITTTRQSFDVLFGNCPYCSDPVAVKVTNR >KQK88608 pep chromosome:Setaria_italica_v2.0:IX:15499967:15501423:-1 gene:SETIT_036629mg transcript:KQK88608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSLLKATAVATVLAVLAATARAAAGSYIPTIDAADFFLWVAANAIVVVIWLLSSSSRRGRTDDDDDGSSSWAAAVDSSIYTSSSEYEAAFSDAGSSSARRAVEVPASRRRMPRDREARAAGRADRPRVRKKPAGHEVVPSARAVFAAAAREPDEDIRRNREETLAAFAATAAEPNRPGGDGADDDEDVSMDSLWESIVQRRAARPVVVRKSESWGNEELPRLKRVAETAATTARREMRKSVSAVSKASVPPPPPQPAAPSAVRQLGWRTRDVLVAITPDELLRRAESFIRRQHEHLRLQRQESEQRQLQLQRRLHAPALIRV >KQK92519 pep chromosome:Setaria_italica_v2.0:IX:55941838:55943185:-1 gene:SETIT_039956mg transcript:KQK92519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVEDIVIAGAGLAGLATARGLHRKGVRSLVLESSLMLRASGFAFTTWTNAFRALDTLGVGDKIQHRTTQRVIVRHWETSHFSGADADARCGKAVKRGPGRRQQGAHEAFGGEHAGEGEGQCEAGDGEDEQGRGYDGRGRGRDAGDGGLP >KQK93080 pep chromosome:Setaria_italica_v2.0:IX:58677483:58679657:-1 gene:SETIT_036404mg transcript:KQK93080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPFGDRQMMSSARRPLSAAAGNLLGIHLFQCPDAVGIVAKVSECIASRGGNIHRVDVFVPDDKPVFYSRSEFTYNPRLWPRDDLRKDFLNLSCCFGAQRSTVRVPDLDPKYKIAVLASKQDHCLFDLLHRWQEGRLPVDINCVISNHDRPQDNHVRRFLQRHAIPYYYLPTTPGNKREQEILELIQGTDFVVLARYMQIMSESFLKAYGKDIINIHHGLLPSFKGGHPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >KQK93081 pep chromosome:Setaria_italica_v2.0:IX:58677547:58679624:-1 gene:SETIT_036404mg transcript:KQK93081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCHASSFWRQTDDVLGAPAALRRRRQPPRHPSLPVPRRRRHRRQGVRVHRLPRRQHPQSRRLRPRRQARLLLPQVSSPSLPPILSIIYICSSCSTITMQYISNTCPCIDGSEFTYNPRLWPRDDLRKDFLNLSCCFGAQRSTVRVPDLDPKYKIAVLASKQDHCLFDLLHRWQEGRLPVDINCVISNHDRPQDNHVRRFLQRHAIPYYYLPTTPGNKREQEILELIQGTDFVVLARYMQIMSESFLKAYGKDIINIHHGLLPSFKGGHPSRQAFNAGVKLIGATSHFVTPELDAGPIIEQMVERVSHRDTLQSFVVKSENLEKQCLAEAIKSYCELRVLPYELKKTVVF >KQK93085 pep chromosome:Setaria_italica_v2.0:IX:58684634:58685155:1 gene:SETIT_038384mg transcript:KQK93085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEPRRSTPTSQAKGCFFKKKSSIAHRCTPDFVPYATETFWNMNRIHSTMTVLSYKALLFSQTQHWHSA >KQK90168 pep chromosome:Setaria_italica_v2.0:IX:40351284:40352902:1 gene:SETIT_037389mg transcript:KQK90168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKLLLPMSVAAHKHAHGGGERMWARPWRWAKTAFFLAAMLASLLLVCAPPLLVVLLDLTLPPALLSASLRAGGAGYASFASAVLAQARAFDFWSSLVDLPAVSAARAMLILCAYVVCGGGGAYLWVVVACAAGSVSYVLAKAAAVLPRGAALQAAADARAVTAAGPEAMILLSLALAAAHLAAAYRTSCRERRRMLVYRIDVEGAVRLKGGHQTPKGLKQFSV >KQK90703 pep chromosome:Setaria_italica_v2.0:IX:45191183:45191623:-1 gene:SETIT_038885mg transcript:KQK90703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPEQEDLDLELTLLQPGALAAAPEEPPGFFLCTYCDRKFFTSQALGGHQNAHKHERTLAKRRREIAAAMRAQGRSSVAPGARRVDDSPGSAGVIDLSAGAEPGRARMSIQKAAPSSAGQSGSLLRNVSSSSERAAGELDLSLRL >KQK89971 pep chromosome:Setaria_italica_v2.0:IX:38000497:38005646:1 gene:SETIT_033894mg transcript:KQK89971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRAASPSGAGAGADAEAGSGPGAPCVAMACVLASEVATVLAVMRRNVRWAGVRYGGGDGGAGDEEHLDHPLVAGLKALRRRAAAWGHGRWAGVEPLLYLRPFLDVVRSDETGAPITGAALSSLHKILTLDLVGPGAPGVAEAMGAVVDAVTACRFEVTDPASEEAVLARVLQVLLACVRSRAAPALANRHVCAVVSTCFRVVQQAGTKGELLQRVSRQTMQEVIRSVFSRLQEIDVTVVSDEQLTGCKNQGLGAEEMENGKSDFVCLNSSGDEVGDGSGVVQDKAMLEPFGVPCMVEILQFLCSLLNIAEDIDVNPKMNPIDFDEDVPLFALGLINSAIELSASSIHRHQKVMAFVQDELFRNLMHFGLSMSPLILSTVCSIVFTLFYHLRHELKLQIEAFFSCVILRLAQSRYGASYQQQEVALEALVDFCRQKEFMAEMYANMDCDLQCSNIFEELANLLSKSAFPVNSPLSALNVLALDGLVAVIQAMAERSNSAPQHHEQTVPEISEYFPFWQLKCESGNDPDQWVKFVHQQKSIKRKLMVGVEHFNRDKKKGFEYLQGVHLLPEKLDPHNVALFFRYTPGLDKNLLGDYLGNHDDFSIRVLHEFARTFDFKEMNLDAALRLFLETFRLPGESQKIQRILEAFSERYYEQSPQMFVNRDSALVLSYSVIMLNTDQHNVRVKKKMTEEDFIRNNRRINGGNDLPREFLSELYYSICRNEIRTIPEQGAGCSEMSFSRWVDLMWRSKRTSMYIACDSYPFLDHDMFSVMAGPAVAAISVVFDNVEHEEVLTGCIDGFLSVAKLAAFYHHNDVLNDLVVALCKFTTLLSSSYIDDPVTAFGEDTKARMATEAVFTIATTYGDHIRSGWRNIVDCILRLHKIGLLPGRLTGDTTDDQEATSDSLPSKLASSSAPPQVLPITTPWKSYGLMGRFSQLLYLDAEEPRSQPTEEQLAAQRNASETVKKCQIGTIFTESKFLQADSLSNLARALIQAAGRPQKITSSLDDEGIAVFCLELLITVTLNNRDRIVLLWQDVYEHITHIVQSTVMPCNLVEKAVFGLLHICQRLLPYKANLVDDLLRSLQLILKLDARVADAYCENITLEVTRLVKANATHIKSQMGWRTIISLLCITARHPDASDAGFEALVFIMSEGAHLSPANFILSVEASRQFAESRLGSAERSIHALNLMADSVNCLTRWSREVREAGGDADRILEGIAEMWLRLVQGLRKVCGDQREEVRNHALLSLHRCLVVDGILVSSSTWLMAFDIIFQLLDELLEIAQSYSPKDFRNMEVSLLHAVKLLCKVFLQSLKDLSAQGSFGKLWLEVLDMIEKFMKVKVRGRRTEKLQEAIPELLKNILMVMKASGILSKTSTSENSLSEATWLQVNKIAPSLRSEIFPDNEGDSTTQGEENKLDTPAQSNQSANQ >KQK91247 pep chromosome:Setaria_italica_v2.0:IX:48755907:48756601:-1 gene:SETIT_040323mg transcript:KQK91247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKLQLGIEPVDKYRFMFGCVCGEIGIDLSSPSLQPAEQEGEQPCAGPRRWPALHPALRAHPPCGSVRKEKEKGVAVVRKRRGMRPQPARERWKGRRLRGRRGGGQEATAARKRRGPRTRGSLTLAPDEREDRWGISSAGCRR >KQK87790 pep chromosome:Setaria_italica_v2.0:IX:9377561:9378622:-1 gene:SETIT_039243mg transcript:KQK87790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACVEAAAAAAAPRHQAAAQPTRKRTRVAMGTTDDYEETCCLGQGAFGAVIKGRHRATGGAVAMKFLTSEPAAAGPAALLREALFLEACAGNPFVVGSRGLARDPDTAELCLVMECGGASLRDALRQRDRAGSPPLPEATVRAAMWQLLNGAKRMHDAHIIHRDIKPENILVGDDRVLRFCDFGLAVHMAERPPYTQAGTLWYMAPEMLLEKPDYDALVDIWSLGCVMGELITGRAPFQGEDSEDQLCAIVGVLGVPDDMAWPWFSSTPFANEMTELDQQRHKSNILRCKYPETKLSDEGFELLNGLLTCNPDKRLTAAAALKHPWFSKMDVLDLPKDELVSPSPKRPRCA >KQK88780 pep chromosome:Setaria_italica_v2.0:IX:17123955:17127957:-1 gene:SETIT_033953mg transcript:KQK88780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPPPAPARLLHLAAPLLVVLALAAGVANAATPPSPADALLAWKSSLGDPPALSTWADAASLCTGWRGVACDAAGRVTSLRLRGLGLTGGLDALNAAALPGLTSLDLNGNNLAGPIPASLSRLRALAALDLGSNGLNGTIPPQLGDLSGLVDLRLYNNNLAGAIPHQLSKLPKIVHFDLGSNYLTNPDKFESMPTVSFLSLYLNYLNGSFPEFVLRSSNVTYLDLSQNTFSGPIPDSLPERLPNLRWLNLSANAFSGKIPASLARLTRLQDLHIGGNNLTGGVPEFLGSMSKLRVLELGGTQLGGRLPPVLGRLKMLQRLDVKNAGLVSTLPPELGNLSNLDFVDLSGNHLSGSLPASFVGMRKMREFGVSFNNLTGEIPGALFTGWPELISFQVQSNSLTGKIPPALGKATKLRILFLFSNKLTGSIPPELGELANLNELDLSVNSLTGPIPNSFGNLKQLTRLALFFNGLTGEIPPEIGNMTALQILDVNTNHLEGELPSTISSLRNLQYLALFDNNLSGTIPPDLGAGLALTDVSFANNSFSGELPRNLCNGFTLQNFTANHNKFSGKLPPCMKNCSELYRVRLEGNQFTGDISEVFGVHPNMDYLDVSGNKLTGRLSDDWGQCTNITRLHMDGNRISGGIPVAFWSMTSLQDLSLAGNNLTGVIPPELGYLSVLFNFNLSHNSFSGPIPTSLGNNSKLQKVDFSGNMLNGTIPVGISNLGSLTYLDLSKNKLSGQIPSELGNLVQLQIVLDLSSNSLSGPIPSNLVKLMNLQKLNLSRNELSGSIPAGFSRMSSLETVDFSYNQLTGEIPSGSAFQNSSAAAYIGNLGLCGNVQGIPSCDRNASSGHRKRTVIEIVLSVVGAVLLAAIVACLILSCCRRPREQKVLEASTSDPYECMIWEKEGKFTFLDIVNATDSFNESFCIGKGGFGSVYKAELTSGQVVAVKRFHVAETGDISEASKKSFENEIKALTEVRHRNIVKLHGFCTSGDYMYLVYEYLERGSLGKTLYSEEGKKKFDWGMRVKVVQGVAHALAYLHHDCNPAIVHRDTTVNNILLESEFEPRLSDFGTAKLLGSASTNWTSVAGSYGYMAPELAYTMRVTEKCDVYSFGVVALEVMMGKHPGDLLTSLPAISASKEDDLLLQDVLDQRLDPPMGEIAEEIVFVVRIALACTRANPESRPSMRSVAQEISAHTQACLSEPFRQITVSKLTDYQK >KQK88548 pep chromosome:Setaria_italica_v2.0:IX:15008244:15008672:1 gene:SETIT_040781mg transcript:KQK88548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLYDKPNDFSLHGAQHTFLGMEYLVEI >KQK88314 pep chromosome:Setaria_italica_v2.0:IX:13101678:13111913:1 gene:SETIT_039441mg transcript:KQK88314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPGRDTSPPSQHPNSALAANQHGPKEMEMEMAAAEYRNGAPDAEEMCEDMLLDSSKLGAIRRREFFNNLLKSVEDDNLHFLQRQKERIERQVLFAVPIGVKLPAIEVTYENLCVEAESRYSGGGHLPTLWNSMKGFFSGLTMFCGLKSDKVKINILQDVSGIIKPSRLTLLLGPPGCGKSTLLQALAGRLDKSLKVTGDISYNGYRLDEFVPEKTAVYISQYDLHIPDITVRETLDFSAQCQGVGSRAEILKEVNKRERMAEITPDRDINLFMKATAVAASEKSLQTDYILKIMGLDICADTMVGDAMRRGISGAEMIVGPAKAFFMDEISNGLDSSTTFRIIKCFQQLANVNECTMLISLLQPTPEVFDLFDDLILMAEGKIIYHGPRNEAHNFFEDCGFRCPKRKGMADFLQEVLSRKDQRRYWSGTDESYRYISSHQLSSMYRKYQKQRILEEPSVPQKSKFGKESLSFNKYSLPKLELFKACGAREALLIKRSMFVYAFKTMQLSTIAAMTMSVFFRSHMTTDLTHAHYYMGALYYSIFIIMLNGIPEMTMQIARLPSFYKQKSYHFYPSWAYVIPASIMKVPISLLNSLVWICITYYGIGYTPTASRFFCQFLIFSLLHQSVTSLYRFIASYAQTHILCFFYSFVSLSVFLVFGGFILPKPSMPGWLSWGFWISPLTYAEVGTAINEFLAPRWQKETIQNKTIGNQILINHGLYYSWYFYWISVGALLGFIILFYIAFGLALAYRRRKFTTETVETYHGSMPRKFFPKEQEEEIDIQKESDGHANMTQEAKMAMPTMQLALTFHNLNYYVDTPPEMLKQGYSARRIQLLNNVTGAFRPGVLSALMGVSGAGKTTLLDVLAGRKTGGYIEGDIRIGGHTKVQETFVRILGYCEQVDIHSPELTVEESVAYSAWLRLPSQVDEKTRSEFVDEVLKIVELDDIKDALVGRPGINGLSLEQRKRLTVAVELVSNPSVILMDEPTTGLDARSAAIVIRAVKNISETGRTAVCTIHQPSTEIFEAFDELILMKNGGNVIYSGPIGEQSCKVIEYFEKISGVPKIEKNCNPATWMMDITSASMEFQLNINFASAYQESPLHRDMQEIVEQLSNPLPNSENLCFSYRFPQSRWGQFKACLWKQNITYWRSPQYNLNRIVMTVMIALIFGVLYWRHAKILNNEQDLFNVLGAMYMGVILLGVYNNQSIISFSTTERIVMYREKFAGMYSSWAYSFAQAAIEIPYVFIQVLLYTCIIYPTIGYYWTAYKLLWFFYTTFCSVLSYVYVGLLLVSITPNVQVATILGSFFNTMQTLFSGFILPAPQFPKWWLWLYYLSPTSWILNSLLTSQYGNIDKEVKAFGETKTVAVFLNDYFGFHQDRLSIVAVVITAFPIVLITLYSLSVEKLNFQKR >KQK86223 pep chromosome:Setaria_italica_v2.0:IX:837623:838476:-1 gene:SETIT_039527mg transcript:KQK86223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLTDGVRGCADQDRVLDLGGLLTTWASCRRCRSRRTDIVQAATALTLVIFMSPGGIFSRGKALFYLYYGILIAVIIFGFVEASTSPDPARHHRDDDPLDLNFAHCSCGWTWRLCHL >KQK92626 pep chromosome:Setaria_italica_v2.0:IX:56453232:56455628:-1 gene:SETIT_039056mg transcript:KQK92626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAAAASSCPTPTATKKRAANRLVVEEATTDENSVCNLHPATMEELSIFSGDIILLKGKRRRDTICMAMPDEECGENKIRINKVVRSNLRVRLADVVSVHQCHDARYGAAVHFLPLDDTVEGITGDLVQAYLKPYFDDAYRPVRKGDLFLVRGGMRSVDFKVVDIKPAAEYCIVANDTEIVCEGEPVKREDEERLDDVGYDDVGGMRKQLAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARVVANETGAFFFCINGPEIMSKMAGESESNLRKAFEEAEKNAPSIVFIDEIDSIAPNRDKTHGEVERRIVSQLLTLMDGLKARAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRVHTRNMKLTEDVDLEVVAKDTHGYVGADLAALCTEAALQCIREKMDVIDLDDETIDAEILNSMAITNDHLKTALAGTNPSALRETMVEVPNVSWADIGGLEGVKRELQETVQYPVEHPDKFEMFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTKWFGESEANVRDIFDKARQSAPCVLFFDELDSIATQRGGSVGDAGGAGDRVLNQMLTEMDGMNAKKTVFVIGATNRPDIIDPAMLRPGRLDQLIYIPLPDEASRHQIFKACLRKSPVAKDVDLGALAKFTAGFSGADITEICQRACKYAIREDIEKEIERERQAKERPDDMAVDGAEDEEPAQIKAVHFEESMRFARRSVSDADVRKYQAFAQTLQQSRGFGSEFRFSKQPQAAEPAATANADAEDDLYD >KQK87720 pep chromosome:Setaria_italica_v2.0:IX:8861027:8863925:1 gene:SETIT_040733mg transcript:KQK87720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSSSSSSSTSRKALSKIACSRLQKELSEWQVNPPAGFKHRVTDNLQRWVIDVAGAPGTLYTGETYQLQVDFPEHYPMEAPQVIFLHPAPMHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPADNDRYVRNCRNGRSPKETRWWFHDDTV >KQK92717 pep chromosome:Setaria_italica_v2.0:IX:56908078:56908948:-1 gene:SETIT_039658mg transcript:KQK92717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLFALLALAGAALLAAAGGASGQQGVGAIITRQVFESMLSHRGDSGCQGAFYTYDAFIQAAGKFPAFGTIGDDQTRRRELAAFFGQTSHETTGNLHTVDGQLLRGWPFAWGYCRVEEQQRTDPPYYGRGPIQLTHKYNYELAGRALKLDLVSSNPVVAFETAIWFWMTPQAAKPSCHDVITRRWVGGRWQPLLRDPDDRVGFYRMYCEMLGVAEGGNLSCEIQKPYGPTG >KQK87725 pep chromosome:Setaria_italica_v2.0:IX:8911064:8913966:-1 gene:SETIT_039618mg transcript:KQK87725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMAGVTALHAAALDGKLDTEIYEPGEVPLHCAAKYGHDEVARLLLSRGASVDVAYFHGTPLHIAAVYGKTNVMKVLLEHHADPNKVSEALGTPLVATLNATSDGLAESIALKCVKLLVEARADANSIDPDTPLVVATTHGLTDCIKYLLKAGADPNIPKKCCGAMPIEIAAGHGRRKDVEMLFPSTSPIQTVSEWTVDGILAHAKSKHSKPKV >KQK91586 pep chromosome:Setaria_italica_v2.0:IX:50659643:50664346:-1 gene:SETIT_034022mg transcript:KQK91586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVTLGDVFILQKLHSGELQDGSMQWRSAEDCLHQNRSKLLSARFSAEVAHLIVLSRLRVMEFNIKAVEGKIIYQIIKGDQALYSDDSMSIPPGFGKSMDIISFKTRDEALRPRIRTVPVTQVKKHNLTEDRCTTVMDELDGTQDVEVLYEHVDLRRSKRMKTQPDRFTSYDAPNFNRTCNKKKEGNTSSTKNKNSQSDFSWDSPVQEESSDEEVLGNPSVKQTVSGPFMVKEDPRSMEGQRKNPVKRTKCSFPVKEKPTSVEIEKSTAKQRSSDSHIPHAPAKNKEKYSRPPLSFQLKPFTSSHILRGNSEPAFCQKRGRKRKKHMREREYKEMIDQCIGNIQCEMERDSDFKLDVHITNCSGHAYQEGDFTWPSSTDSQEEKDEFEELWKQMDYALTSLALLEQKQMQDSEATHESNTDLGKGGERCHHDCILDEQLGLTCRLCNVVCTEAKDIFPPMFAGKDHERPGWSEFSQDEHVLDSSFLEICAPEFSKFKGSGNVWASITDVEPKLHAHQRKAFEFIWKNLAGSLQLEEMDDSTASRGGCVVAHTPGAGKTLLLISFLVSYLKVHPRSRPLVLTPKAAIHTWRREQSNGDSSKMHAILKNFHQPSWKMMRMMDSLDKLRKWHENPSILLMTYPSFLALTKEDTKLQHRAFMAKVLVNNPGLLILDEGHNPRGNKSKLRKLLMKVKTEFRILLSGTVFQNNFEEYFNTLSLARPRFVSDVMTALVPEAERETRNRTGKHQEALARRIFVEKVGQKIESSSIHDRVDGISLLNKLTCGFIDSFEGTKENNLPGIRVYTLFMKPTDIQEEVLTKVTMPVPGTARYPLEVELLITIASIHPCLIKTTKCASTYFTPEEVARVEKYKQKYAVGCKTKFVIDLLHKSSFRGERVLIFCHNVSPINFLVKLIEIVFGWCLGEEVLVLQGDQELPVRSDVMDKFNGDRKGKRKVLIASTTACAEGISLTGASRLVMLDSEWNHSKTMQAIARAFRPGQERMVYVYLLVASGTWEEDKYNSNRRKAWIAKMVFFGRYVDEPLRNHVTEIDDEVLKELADEDETKTFHKIVKQD >KQK86781 pep chromosome:Setaria_italica_v2.0:IX:3705072:3706435:1 gene:SETIT_040692mg transcript:KQK86781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFFFSFSCFLEAFVLFDPIPLWGLRLFVGGSPLPRVYSPASAAGAGDAASSMSHQCQACGSTMSSPLLPPTVQLLLGMAMSMLQHVSCWCSSFSSAPCLRLLPSYLDFCIA >KQK89141 pep chromosome:Setaria_italica_v2.0:IX:21303466:21303833:-1 gene:SETIT_038421mg transcript:KQK89141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLSFFFKKQFTSHLHYSTNIPARDMFGKNERKDDSPRNCIMVHVLQDARYFGFPDSKLATHVK >KQK93077 pep chromosome:Setaria_italica_v2.0:IX:58652267:58672043:-1 gene:SETIT_033833mg transcript:KQK93077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLTPDVAAELRLLLGDATDSNFDSIRRELCQLVDCGLDGCILVLQVCLNQRLLTAGEVKNLQSQQNLLSDVFRYCLDKTYFSTCFCEALKMTALPDGFLETLSNLLELSAAEKVGFGLALLDSEYSEIKLKGQRFSRAQIEELCSNPNQSVSNDQIHEIAVFLHQSDGLSKHMDTFNNFISLLKVTERPFFAPVANRERDSESTNSSRHLEMYFGSTDVDFELLLSEIGKEIGMADIVTELGYGCTVDSTHCKEILSVFEPLDDLAISKLLGAVVGTHKVLGEAHNTYATFVSAIHNSHTNDSPQLTKWNTDVLVDSINELAPSTNWVRVMEYLDHDGFNIPDEAAFYLLMSIYARACKDPFPLHAVCGSLWKNAAGQISFLKHAVSAPTDTFTFAHSSRQLAFPDLAGPSQGNHAWFCLDLLEVLCQLAEVGYTVSVRSMLEYPLEHCPDLLLVGVSNINTVYNLLQYEVLSCVFPSLLKDTTKGSVVNYLWHTNPYLTLRGFVAHCDPDYLLRIVDVCHDLKILSAVLDSTPFAFSIKLAAAASRKDYSYLEKWLTEKLSVYRKGFLEECVNFLKGTMSNTDYILVATTQQPRAIVTNLYWESCPVFIKVLQSHSGHLLSAELLDELRKLYALYESRNPGSVVRELANSQGGSDDIEVEANTYFHQMFSGQISTDSMIQMLVRFKESSDKREVSIFNCMISNLFEEYKFFPKYPDKQLKIAAVLFGSLIKHQLVAHVALGIALRGVLDALRKSVDSKMFMFGTAALEQFMDRVIEWPQYCNHILQISHLRGTHSEMVSAIERALAKISSSRNEPNVSNLLSAEQHVSGSSSTEGIEVPESSWLMGTTPAQLGRPIPSFPLQHRQHGLLGEKSKVSMSSQNKSILSTQPSLPSSSADSAINPKATVPPSLLASPHQSTSVSTSVHTTGFLRSRSTSGLPRQPSYTSGFGAALNIETLVAAAEQRETPIETPASEVQDKILFMINNISTSNMEAKAKEFNDVLQEQYYPWFAQYMVMKRASIEPNFHDLYLKFFDKVNSKSLNKEILKATYENCKVLLRSDLIKSSSEERSLLKNLGSWLGKFTIGRNQALRAKEIDPKSLIVEAYEKGLMIAVIPFTSKILEPCQSSIAYRPPNPWTMGILSLLAEIYNLPNLKMNLKFDIEVLFKNLTVDIKDVKPTSLLKDRVREVEGNPDFSNKDVAATQTPVVSEVSSGTISSLTHVELQPEINITSRAISLPNVLNQYAAPVRVPPTSTVEDENVALMMLEQSSLAQVSPAQTQSPSPSLFSVNQLMAVIPRDEIRFKINPKLGSLGPQLQYSKIMDLALDKANREIIQPVIQRSVTIASRTTKELIVKDYALESDNNTITRSAHLMVGTLAGRLAHVTCKEPLRVALYSHLRNLIQNLMSGSETIEQLIHMLVNDNLDLGCAIIESVATRQAVEVIDGEIAQSFSQQKKQREATGPAYYDTYAQGLFDRIPEALRPKPGHLSATQQRVYEDFVHVWHGHGQNVGATSSGPSGAATVSSTLGVPRAYSPNSMSTTSSKFSTVQMGSLTSLTQPTELVSEESVPGIAQFSSVAPAQVAASHSSVLLGGTFGAASTFSPLASNDPPVGGTTVTTNEISAMVPPTSAADHLGSILPEPLNTGDALEKYQQVAQKLEALITNNGKDVEIESVIAAVPDILLRCVSRDEAALAVAQKVFRSLYDNASNSAYVTWLLATLVAIRDVCKLVVKELTSWVIYSDEEKKFNIEIIFGLIRSELLNLGEYNVHLAKLIDGGRNKIATEFAMSLVQTLITQDSVSISELYNVVDALSKLARRPGSPESLQQLIETARNNVNTTAGFVVGKDEKVRLSKDKKVLTTRANKEESTANETTMVDPNQVAILFSEWCQMCDHLSASDVAYSRFVTQLQQDGLLNGDDISERFFRILTELAVTHSLVSEQIVAPGGSSQQSPQLPQISYFSIDSYAKLVVMMLKYSPLEISSNKGNILSKILSVTVRTIQKEAEEKKASFNPRPYFRLFINWLYDLTTSDAHHEFQVLSAFANAFHLLQPLRVPAWSFAWLELVSHRSFMPKLLTCNLQKGWPLFQRLLVDLFKFMEPYLRNAEIPDPVNILYKGTMRVLLVLLHDFPEFLCDYHFSFCDVIPASCIQMRNVILSAFPRNMRLPDPSTPNLKIDLLAEISIAPRIMSDVDSALKSKQLKTEVDEYLKRSEGSSFLSDLNKKLLMPQNEAAVAGMHYNVPLINSLVLYVGIQAVQQLQLNKAIASASVQQINHTPLMDIFQIETATEMFKNLVTSLDTEGRYLLLNAIANQLRYPNNHTHYFSFIILYLFAEATQEIVQEQITRVLLERLIVNRPHPWGLLVTFIELIKNPRYNFWNRPFTHCAPEMERLFESVSRSCAGKAADEGISVQESVL >KQK86863 pep chromosome:Setaria_italica_v2.0:IX:4192895:4194426:-1 gene:SETIT_038827mg transcript:KQK86863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARRASPKEPAMSSTKRRRARTCDGTAAVALGHHNHLRCAATSKPIRSALLDPAFRRRLALRAAANDDALLLGVSFVFRDPATRVGQLPRKSSRFDAVLLETFEPVAWRGSVVILRRRWQDNFDFEMRACNALTGHTSRLPSPGHVCAKFPHALRTQIFSSEAGEWGAVVEARLPPRFPRYAPKHGSYPLVLGDTIVHWLYGEWHVVALDVSTATATVIELPQHYRSEMCLSGRPFDPAVHLAASADGRLSLLAEAEVISMWTHSAAAAAAGSEARWTRKVVIDGQVISRAGRDCLVRFLGFGERTGTVMLQTDEGGQGLVKINLRSKKALLYLHETDLPTLIKSITNNMKRF >KQK91857 pep chromosome:Setaria_italica_v2.0:IX:52346650:52347561:-1 gene:SETIT_040201mg transcript:KQK91857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIRIANLLLLAGVIWSQATRGAEAAGTTVFTLRNNCSHTIWPATLSGNSAAAVGGGGFELSPYATVSFPAPAGWSGRLWARTGCVAPSGGAAAPSPSRLSCATGDCSGAASCTLGGAPPVTLAEFTLGGADGKDFYDVSLVDGYNVGIGVAATGARVNYATCGYAGCVGDVNALCPPELQMAASGAAGGGDGAAPAPATTTVACRSACEAFGTPEYCCTGAHGGPNTCGPTKYSRLFKAACPAAYSYAYDDPTSTFTCGTGAQYLITFCPGHQ >KQK91920 pep chromosome:Setaria_italica_v2.0:IX:52710825:52715224:-1 gene:SETIT_035727mg transcript:KQK91920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSNPERSGGAPDISELFCHYNSLYFRDSLGSCAVSWAEDPLPDRDVSTCDYYPGGGGCIILLSKSLCECHDESDLKNALLHEMIHAYICIKDNNSDHSDHGAKFRKLMNKINLSSVADPRRPVGGYSITLLHEIRKKYYHYKCQSCGDLIKSIMMKVPSGNDCIERKGADDPCQNSKCHWHRHKQQCSGSYCRVQESLPGGPKAEEALTEGKADEAVCKSWHFTHTSDQGRRSNKNEREDASAGFLHVTDNAVGCSGLDSSSRDRSNKKIKFSKDVCCDLQMAEAVHEAPKRPRTAVLENQECSRRKKRKQSKLDGSYSVIIEWLNYYCYSESDEDEVPLVNKRTERRRRQKIHEISIARESRNYVESGHSTSHTGGSCLQDPGDNSKLEIVPASQPEEISWPIHSVGSNGVAGNQAGHESVSSPLDSPIRGEIVDISDG >KQK86515 pep chromosome:Setaria_italica_v2.0:IX:2385788:2386807:-1 gene:SETIT_039092mg transcript:KQK86515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAMAAAPAFPRLTMPLPPPIITLPDAAVPVPPPLSVVGRQRVAAKHKAVVVLGATGTGKSRLAIDLALRFGGEVINSDKMQLYKGLDVATNKVSPGECAGVPHHLLGVAHPDAEFTAADFRREAARAAAGVAARGRVPVIAGGSNSYVEELVEGDRRAFRERFECCFLWVDAQLPVLHDFVARRVDEMCRRGLVEEVAAAFDPRRTDYSRGIWRAIGVPELDAYLRSHGLDGVGDDERARMLAAAVDEIKANTSRLAFRQRGKIQRLARMWRVRRVDATEVFLKRGHAADEAWQRLVAAPCIDAVRSFLLEDQEYSSMVTAAKASIFASRAAAVAAA >KQK92859 pep chromosome:Setaria_italica_v2.0:IX:57545231:57547390:-1 gene:SETIT_037836mg transcript:KQK92859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPALV >KQK92860 pep chromosome:Setaria_italica_v2.0:IX:57544969:57547722:-1 gene:SETIT_037836mg transcript:KQK92860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGTVNLMIWHCTIPGKQGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYHLFIQDPTEYKRRVRLQAKQYPALV >KQK87544 pep chromosome:Setaria_italica_v2.0:IX:7853866:7859108:-1 gene:SETIT_036184mg transcript:KQK87544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRQLVLTYLYLLIYVCLSSGVILFNKWVLSPKYFKFPFPITLTMIHMAFSGIVTFFLVRVFKVVPPVKMTFHIYATCVIPISAFFASSLWFGNTAYLYISVAFIQMLKALMPVATFIMAVLCGTDKLRWDLFLNMLLVSVGVVVSSYGEIHFDVIGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFIFLFIPWYLLEKPEMDVSQIQFNYSIFFLNALSAFALNISIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESVITSLNIIGYAVALSGVVLYNYLKMKDVKANQLPADNNPDRSTKDKKALNTYRPDNSMDSNDGTVIGGLASEGAAADEEAPLIPSARLSYVTRTQTGSFSNR >KQK88871 pep chromosome:Setaria_italica_v2.0:IX:17942202:17942494:1 gene:SETIT_039458mg transcript:KQK88871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSQYIEGSYYGYGGYSNAGGNGGGGGGGQAGGNYGSSGQGGGSGTGSGSSQAGTYRYGPGYANANANGNGEGKGTGQNGGSGGGQGGGSGYGNANP >KQK87911 pep chromosome:Setaria_italica_v2.0:IX:10142826:10143267:-1 gene:SETIT_040650mg transcript:KQK87911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALMKRNRVEQADQSCNRAELIKPLVYNTRTCRECGNPIASHGGRAQN >KQK86603 pep chromosome:Setaria_italica_v2.0:IX:2862121:2864549:1 gene:SETIT_0347322mg transcript:KQK86603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPAAATMKSAGLSKKRKQPVVAPPESDSEEEESVYDTASDGDEGEERQQELESDDEDEDVEERSNDDEEGEDEDEDEDESEEEEVKEDKKKKKETEQAKETVKEDKKEKKEKEVGKEEKKVKKKKGEGSGILSNKLCSELPISELTANAIREMNYTHLTQIQARSIPHLLEGKDVMGAAKTGSGKTLAFLVPAIELLHHLHFSPRNGTGVIVVCPTRELAIQTHNVAKELMKYHSQTLGYVIGGNNRRSEADQLAKGVNLLVATPGRLLDHLQNTKSFIYKRLKCLVIDEADRILEQNFEEDMKQIFKRLPQ >KQK92055 pep chromosome:Setaria_italica_v2.0:IX:53428868:53430638:1 gene:SETIT_035947mg transcript:KQK92055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTMTMRDEEEEEEQGVVIRSYNPKTDQAGTEAVDRECEVGPAGGMSLHADLLGDPVARIRHSPHHLMLVAETSGPGGRIVGLIRGTVKSVATGKACPQGEPAFANVGYILGLRVAPSHRRMGIARLLVRQLERWFEVMGAEYAYMATDKSNEASLRLFTGRCGYSKFRTPSLLVHPVHSHRLRAPRRATVVRLGACDAERLYRSRFANVEFFPADIGAVLDNQLSRGTFLAIVDGGGYEWRGVEDFLSSPPASWAVASAWDCGGVFRLEVRGASRLRRGAAAATRALDRVAKWLRVPSVPDFFRPFAGWFVYGLGGDGGDAAVAAEALFASIVNMARGAAAAVALEVAAMDPLRSRIPHWRRLSCAEDLWCMKRLGGGGGHADGWDWSRSVPGSSIFVDPREV >KQK92951 pep chromosome:Setaria_italica_v2.0:IX:58025394:58026076:-1 gene:SETIT_039000mg transcript:KQK92951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAGGGTTSSAAMATVAGGGTTSSAAMAMALAAARLGCHGAGRWRSRRLPWRRPEKFTGSADGAGDDRRRTFRPDSRAGAKSKRSIPRFPLQSYHKLDRVFTGPFNHVEGSGGRDSPDPMYPSPIHRHQLEPQMLPHSGHHSPVRRNGRIWRRIVTGAAAEGMCGDRKTLAWYVLQRSIAVCSYLLLEAGVPCP >KQK86525 pep chromosome:Setaria_italica_v2.0:IX:2428878:2429542:1 gene:SETIT_037677mg transcript:KQK86525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPSLLLLAILLGAAVSLQSAADTPELLGAEKTTRLRFFLHDTLSGKDPSAVLVARGEGRTPKPEDPVPFSSLYATDDLLTEGPEPGSKVVGNAQGLYISSGRGKLSLVLGMDFELTDGPFNGSAFVIYSRNTVVTHPVGRELAIVGGRGKFRMARGYALLRTHVLDNNNGDAIIEYNVTLHHH >KQK90024 pep chromosome:Setaria_italica_v2.0:IX:38673889:38674887:1 gene:SETIT_040174mg transcript:KQK90024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPNTKSTSTTATVRGEHRFDIEGYSHKQGAGAGNALTSGTFAVGGFDWAICYYPNGRSNEAFVSVFVRLVTPNATARALFDLRLLHRATGLPRSVCRSREPVAFSAGKAKKRERGARAFMMRAELAASPYLGDDDRLTVECVLDVVQETWLSQTTAAPEIAEPPPSDLREHFIGLLQTQVGADVTFAVQGEAFRAHRVVLEARSPVFKVELTESLAATKEGEEDTGETMAIDGMTPLVFKTLLHFIYTDALPDMGDLGREEYQELVRNLHAAADRYAMCRLNQICMVILQKELDAKTVAAELDSTGQRHHCQALGDGCVQFMPSSGMEG >KQK92061 pep chromosome:Setaria_italica_v2.0:IX:53479324:53480680:1 gene:SETIT_039403mg transcript:KQK92061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKHSAEVSKHLDKQNQALMGTYRAMSHELHKLQVEEETIMRKLYELMSAEGLLPKRKKEKQQEEKDVESTLENKEWEP >KQK90793 pep chromosome:Setaria_italica_v2.0:IX:45797526:45798582:-1 gene:SETIT_037832mg transcript:KQK90793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVNSILRSADGHHILAGGLNALDATDYSGDRWADIVKYYEEIGKPAPKTEVMRYLKAKRYVDAKDFAGECEAVVVVAKGQDVQGTCKYGTRVDYILASPNSPYKFVPGSYAVVSSRGTSDHHIVKADVTVAGDDGARSVRRQRVVRMSKGSAKGIWAAR >KQK90760 pep chromosome:Setaria_italica_v2.0:IX:45601141:45610906:-1 gene:SETIT_034664mg transcript:KQK90760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDAGDGGDGGGELPPPAKKKSPAEEAAEKRREKLTPGSLMKGLIRSGSGDATPADGDQVIIHCTTRTMDGIVVNSTRREHGGKGIPLRFVLGKSKMILGFAEGFPTMLKGEIAMFKMQPKIHYAEDDCPVAAPDGFPKDDELQFEIEMLDFFKAKVVAEDLGVVKKIIDEGKGWETPREPYEITARITARTADGKEIIPSKEEAYFFTIGKSEVPKGLEMGIGTMTRKEKAIIFVSSTYLTKASLMPHLEGLEEVHFDVELIQFVQVRDMLGDGRLIKRRVVDGKGEFPMDCPLHDSLLRVHYKGMLLDESKSIFYDTRVDNDGEPLEFCSGEGLVPEGFEMCVRLMLPGEKSIVTCPPDFAYDKFPRPANVPEGATVQWEIELLGFEMPKDWTGLTFKEIMDEADKIKNTGNRLFKEGKYELAKAKYDKVLREYNHVHPHDDEEGKIFANSRSSLHLNVAFCYQRIGEYRKSIETCNKVLDANPVHVKALYRRGMSYMLLGEFDDARNDFKKMITIDKSSEPEATAALLKLKQKEQEAEKKARKQFKGLFDKKPGEISEVGAAESKGGKDSGDVKGSGEATSADRGADTKGSPSGESEYAFEEERPGLLGRVWPSARRIFSSLGLNRCTIL >KQK86189 pep chromosome:Setaria_italica_v2.0:IX:672349:672789:-1 gene:SETIT_040375mg transcript:KQK86189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNCIDCESSHHISINTGGACWSIMSVCACCDLCVSPIFFVSFSKKKEKKNVHGYYL >KQK87377 pep chromosome:Setaria_italica_v2.0:IX:6962789:6965321:1 gene:SETIT_034811mg transcript:KQK87377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRWGSCFSGGDVRVGAPALEEPEPEVFSFAEPLPPWPPGGGFARGRMSIGGGELELAAATSFQKICTLSPRRWGGGGSSVTFYRPVGVPEGFSLLGHYCQPNCRPLHGHLLVARAGARPPGAPPQPLPPLRAPRDYSLVWEFRAGGVANGSRGNAGNCYGLRDAYFWVPVPPEGYKALGCLVTTELQKPPLDEVACVRADLTDECEPHGSLLHLQLARPSASESCAAAAFAVRGVRPVHRGMWGKGVGAGTFCCAADGSSPREQGMACLSNVELDLSAMPTLEQAHAVIRHYGPTLFFHPKEVYLPSSVSWFFKNGAALYKRGGDAVGEEIDGEGSNLPGGGCNDGECWIDVPSGERGRAVCRGDIDSAELYAHVKPAMGGACTDVAMWVFCPFNGPARLKLGPVSLPLGKTGRHVGDWEHFTLRVSNLTGELMGVYYSQHSGGHWVDASALEYTDGNRPVVYSSRNGHASYAYPGVYLQGSAALGIGIRNDAARSRLFVDSSAKYRIVAAEYLGEGAVAEPQWLHFMREWGPTVVYKSRKRMEWMTGKLPLRLKCRAEKMLNKMPNELSREEGPTGPKEKNNWEGDERW >KQK88420 pep chromosome:Setaria_italica_v2.0:IX:14080805:14086185:-1 gene:SETIT_036082mg transcript:KQK88420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGASESSLSCARCGKPALLQCPKCAELKLPRDGAAFCTQDCFKAAWSSHKSVHPKPNAPASQQPPEGWKYCLKKGRSRSLQLPRFEWTGPLRPYPISKTRVVPDEIEKPDWALDGIPKIEPDSDLQKRVEIKTPEQIERMRETCRIAREVLDAAARVIKPGITTDEIDRVVHEETIARGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETYFVGNVDEASKQLVRCTYECLEKAIAIVKPGVRFREVGEIINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRNKAVGIMKAGQTFTIEPMINAGVWNDRLWPDDWTAVTADGKRSAQFEHTLLVTETGCEVLTARLPSSPDVFPWLKP >KQK92700 pep chromosome:Setaria_italica_v2.0:IX:56841835:56842993:1 gene:SETIT_037373mg transcript:KQK92700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLQVFGQPASTDVARVLTCLFEKKLEFELVRIDTFKTHHKLPEFIRLRDPNGQVTLKHGDNTLVHSRDICRYVCNQFPNDGNKSLYGSGALERASIEQWLQAEAQNFGPPSSALVFQLAFVPHLSHLGVRQDYAVIAENEDKLKQVLDVYDEILSKNEYLAGDEFTLADLSHLPNSHYIVNTERGRKLFTNKKNVAKWYEKISKREAWAQVAKMQKEHPGAFE >KQK89877 pep chromosome:Setaria_italica_v2.0:IX:36632000:36635387:1 gene:SETIT_037519mg transcript:KQK89877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMTAKRGPSGGEVRGAGGRARACPIQGGEADHPSAAALRASLSTRDQEIEEMRRRLRELEKLEFEIPPAASHEEESETAAAAATAETAEVDARSIYVGNVDYACLPEEVQQHFQFCGTINRVTILTDSFGQPKGFAYVEFDEVEAVQNALLLNETELHGRPLKVCPKRTNIPGMKQSRGRHPFYPSYGKVPRFRRFLGYSYSPYY >KQK89446 pep chromosome:Setaria_italica_v2.0:IX:30011310:30011634:1 gene:SETIT_040688mg transcript:KQK89446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAFIHPLIQNMLCSYKSLMKPSNVKLSR >KQK89605 pep chromosome:Setaria_italica_v2.0:IX:33714357:33714599:1 gene:SETIT_039447mg transcript:KQK89605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHANSDSQTKMNSSDDNRGGYRMPFSWGNGLRVFRRADNTFACPFCPSTRHRWKILNEVKDHVLVMAKFVPLRGENKKK >KQK91523 pep chromosome:Setaria_italica_v2.0:IX:50389312:50391241:-1 gene:SETIT_037593mg transcript:KQK91523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGAKSEAKQGEAGSGAVGGGGGGKVYHERQRLQFCLLHALNNLMQEKECFTRAELDRIAGNLVLSDPNKDQWTPLSFIFRPHHNVVTGNYDVNVLIAALEARKKKVVWHDRRKGASSIELDAEVLVGLMINVPLRRFRGLWTGRHWVAIRSIDGTWFNLDSDLSEPKQFKDKENVIAFLDSILNQGGELMVVLQDE >KQK91987 pep chromosome:Setaria_italica_v2.0:IX:53106106:53110374:-1 gene:SETIT_035097mg transcript:KQK91987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAADAKTPTKPLGPAGAAARAPTKPLAPAGTAGGKGTPTKTPCSARARPYHASENAHPNIPGTPPPPQPTPSKPVLKSPAAAGAKSASAKKKPSTPAPAAPPPPPRERERRFLVAKKGAGRRRNLGRAGGGGGGEIDFDKCREAAREALRASQEEFFLKQRAEAAAVAEVDQLVVQHEEAKAAAEEDVKGGAFEEEGKEGIEAELEGSSKVRAMRSKTMAKAMSSVPDPGSGRVKHLVHAFESLLTISGATSDADKAGEGSWALPGLQSLKDEGEGDLGLPQVSVFLSSDFLNAGPNRLCSSLDGKADRFSWDSKTSAGGRRSRRTSSESLRSSWNRKLKVTSQHPFKLRTEQRGRFKEQQLAQKVQEMLLEEEKKRIHVAQGLPWTTDEPECLIKPAVKERTEPIDLVLHSDMRALERAEFDQHVLERNKFAELQRMEWERQQELEEQERIRQLRRTDLIPKAQPMPCFERPFIPKRSAKPATIPMEPKFHLRPERLSCNAWSLES >KQK91988 pep chromosome:Setaria_italica_v2.0:IX:53106346:53110374:-1 gene:SETIT_035097mg transcript:KQK91988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAADAKTPTKPLGPAGAAARAPTKPLAPAGTAGGKGTPTKTPCSARARPYHASENAHPNIPGTPPPPQPTPSKPVLKSPAAAGAKSASAKKKPSTPAPAAPPPPPRERERRFLVAKKGAGRRRNLGRAGGGGGGEIDFDKCREAAREALRASQEEFFLKQRAEAAAVAEVDQLVVQHEEAKAAAEEDVKGGAFEEEGKEGIEAELEGSSKVRAMRSKTMAKAMSSVPDPGSGRVKHLVHAFESLLTISGATSDADKAGEGSWALPGLQSLKDEGEGDLGLPQVSVFLSSDFLNAGPNRLCSSLDGKADRFSWDSKTSAGGRRSRRTSSESLRSSWNRKLKVTSQHPFKLRTEQRGRFKEQQLAQKVQEMLLEEEKKRIHVAQGLPWTTDEPECLIKPAVKERTEPIDLVLHSDMRALERAEFDQHVLERNKFAELQRMEWERQQELEEQERIRQLRRTDLIPKAQPMPCFERPFIPKRSAKPATIPMEPKFHLRPERLSCYCRQQCLELGKLKPQPQQPCERQSRNAEHRHT >KQK89223 pep chromosome:Setaria_italica_v2.0:IX:22193729:22195529:1 gene:SETIT_037055mg transcript:KQK89223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRMAGGVADAEQRDASSDRGRHAGTAVPPSPLSAPPKLFLADDSGVCRVSPVPVEAAAVMSPTSTLQAAVDSPTSPAAKVGAFSSHGASSSSGGDRRCKSRSRRCHRRPAWEAARNAGLGLAGALNGDDAVPHAATVLRGQSLRSPAFAVNGHLARSSSPQGRRCLMMSPTEMEASEDYTRVIARGGPNPRTTHIFDDRVVVDGCGGFSIGAGGEDDESFLRWCHGCSKDLGQGKDIFMYRGEMAFCSHECRYREMLLFDEES >KQK88850 pep chromosome:Setaria_italica_v2.0:IX:17778658:17780617:-1 gene:SETIT_036694mg transcript:KQK88850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAPVPPPQPAVSPPAASPAKKALAAAPTSALKTRAARRKTLCDITNLSRRELAEVPDQSACPAAAAGAAGVERFAQLVKENADLVSLIAERDEIIQLSGTEIQKLRLANWELARTNSQMMAELNLGRNKLKALQHELVCSKAALKVKTSELEDAKKAMLRRNINIQRTQHLGPDRAAHTKDGDVVDPEPASDASRAGSIQRSGNVSRKRMLRSRSLGPVASAKLALPKDKETSQRRKSMRMPQPSARSEDLFEIEDVQLAIGSCKIDPDSASGSERPGHQFLRRSSLGRPLRQARERVTSYKEMPLHVKLRRP >KQK88888 pep chromosome:Setaria_italica_v2.0:IX:18238670:18239861:1 gene:SETIT_038532mg transcript:KQK88888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERATWSYTYEKGLVDILKELANVPMFKGQNGWTAEGWRNITNKFNDMFPTTHFTKQQVQEKEKELKGNYKIIKEARKSGVGWNDTLGMIIAEPKGWEKLIKDNHKVAKFRKKPFPLFNSLELLYEGSVATGDLNFTSIQPPPQRTEPTPHNSELPTEPTPQTKSIEVQSAPASRNSEDQDVTGGKKRKQSQMAAKLGDYIDFRKDQIGKTLEKLEEKRRREEDYSIEKCIDIVDAMEGLSDEQKADANEVFQSETNRKILVGTKNPNVRLIWLKKKIAQ >KQK90510 pep chromosome:Setaria_italica_v2.0:IX:43277326:43278776:1 gene:SETIT_035942mg transcript:KQK90510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAMVEAPSPANGRKRKSPRSSSGLGDLHDDMLERVLARLPPASYFRLRAVCRRWRAAAASPTFLDACARVPSRDPWFLMLSGSDGASPVVAFDAAERGWNHCRAAPGSMPVAASGGLVLYRSPATGALTIANPLTGASRALPPPPQQQGGRPLQAIAMYGGAPYRVALFTGELPDLSMVVFDSSSNSWEGPVPLFRRSEDSSCPDAPGQAGDDDTVYFLSKSGDVVATNMQRSASKQYSSVVVPSPARGEEGAVAYFLSHSGTVVACDTARRTFRELPRILPVYFEYSIDVVACGGAAYAVVLSEYLDTASLRVWEFAGGAWRQVAAMPPAMSHGFHGKRADINCVGHGDRLMVCVSSGEANGCFMCDVASNRWEELPKYVDGDGEANEFLAAFSFEPRVEITV >KQK92219 pep chromosome:Setaria_italica_v2.0:IX:54436353:54437219:1 gene:SETIT_038362mg transcript:KQK92219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSLVHAKHCNQVLEGIFASYESHACKEVSCIRRALVFFMSNIIELGANTLPSQLLEANGLILSMAIHSRVQT >KQK87710 pep chromosome:Setaria_italica_v2.0:IX:8815038:8821101:1 gene:SETIT_0340051mg transcript:KQK87710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAITPESASRARNRWIINELVNLHKEHLDGRLPVYDGRKALFTAGPLPFRAKEFVLMLTNPERAGQGEKEYKVVIKDAAKIDMYSLQQFLAGRQREMPQEIIQALDIALRECPATRYTSISRSFFSSQEFGPGGLLGNGVECWRGYYQSLRPTQMGLSLNIDVSATAFYKAQPVIDFTVEYLNINASKRLSDQERIKLKKALKGVRVETTHRRGISIRYKITGLTSAPLNDLTFDQDGIRVSVVQYFRQQYNYSLKYTHWPCLQAGNASRPTYLPMEVCNIAKGQRYTSKLNEHQVRNILRLACERPAQREERTLGVLKKNNYTADDYAGEFGIKVNQQLALVDARVLPAPKLKYHDSGKEKVCNPSVGQWNMINKRMVDGGSIKHWACLTFASRINPNEIGRFCGDLVMMCNSIGMQVNTQPCVQIKKARQDDVEAAIRDIHGHSSQVLAQKGLTGQHLELLIIILPDMSGSYGMIKKLCETELGVITQCCAPKNVMKGGKQFLENLALKINAKVGGRNTVLEDALNRRIPLLTDVPTIVFGADVTHPPPGEGLAPSIAAVVASMDWPQVTKYKCLVSSQGHRVEIINDLFTEVRDPVKGIVRGGMIRDLLVSFKKSTGHKPCRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEEGYLPKVTFVVVQKRHHTRLFPENHRDRDQTDRSGNILPGTVVDTTICHPSEFDFYLCSHSGIQGTSRPAHYHVLFDENGFSADALQTLTYNLCYTYARCTRSVSIVPPAYYAHLGAFRARHYIEDDISEQGSSTGTSRTYDQSVPVKQLPRIKEKVQQFMFYC >KQK87668 pep chromosome:Setaria_italica_v2.0:IX:8605247:8610276:-1 gene:SETIT_035592mg transcript:KQK87668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSAPTAAAAAAAAEATDGPVLSVVSKRLRALRKKYNRITQMEESLAAGKKLNPEQEEVLRSKTVVVAQIEELERLRAPLASALAEELSSRPAPAPAPAPAAAAAASSSDSDSSVLDFLPLVYFGSLFDVKQQGDFVATMVARTHERNCCITYDYVTDDAADLLAETDLDAVSALASLAASRPASAAGVSHRDALQACAHHARLWLRRADEPIHPDSTVTYAGVREKLEKIMSLDYYTAQPDIRAAEAVAAAVGNYGAGGVQAQESMVVSPQASEEAEESLAVEGHKGEKEEPQATEIYIDHQAPAVDAQHVDDDALVNPTDEAPSAEAEQERFDADVEDQEQKDQQFIQRRSYQNQRGGGRGGRRGYPNGRGGRGGRGGGYQNGRGGGGGYQNGRGGGGGGGGYYYEQGYYPPRNYSNNNRGRGSRAGGGNSYYNNHGGSGQGGGHGRVELGANA >KQK92149 pep chromosome:Setaria_italica_v2.0:IX:54021986:54024178:-1 gene:SETIT_036113mg transcript:KQK92149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGHTAWLLVGAAMLLLASAATAQDCLSARFSNGRTFGRCNSMPTLGASLHWTYHPENGTADIAFRAQSGADGWVGWGINPNSRGMVGSSVFVATQSSGSPSVLMTNLDSTSPSLQPATLKFNVPVAPTVEYSGGAYTIFATIALPGNATQQNTVWQAGSLSGGQIAPHPTAPANLASATRLDFLSGSSTGASNSRLRRKNIHGILNAVAWGILIPTGAIIARYLRVFESADPAWFYLHIACQCSGYILGVAGWGLGLKLGSESVGVTYHPHRNIGIAIFSLATLQVFALLLRPDKKNKYRLYWNIYHHSVGYSVIILGAINIFKGLDILKPASGYKTAYIAVLATLGGIALCLEAITWPIAIRKRKRDANKATNGNAGWQQGA >KQK89323 pep chromosome:Setaria_italica_v2.0:IX:24008063:24010962:1 gene:SETIT_037663mg transcript:KQK89323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTSPASPAAGLKAPLPTMGDIMAASRAQGLRVRLRTVGPFFRVTATCGEGEDAVELGRAEGGVRPWPGGAVLHLDSMRMTRATLSVSDRPLFGLGMFLGAVAVRHGFDAGCKRAELLAINDTPLYHDKLIRFYTRMGFKAVHEVDGSSITDLAHMLVWGGRGTRMDADIEELLIKWGKRFRPQD >KQK90371 pep chromosome:Setaria_italica_v2.0:IX:42177599:42181536:-1 gene:SETIT_038083mg transcript:KQK90371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQEQFEIKFRLPDGTDIGPRRFPPASTVATLKETIIAQWPKDKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVRAPTSGKQSEKRAAKKAKDFRCGCAIM >KQK90370 pep chromosome:Setaria_italica_v2.0:IX:42177964:42181315:-1 gene:SETIT_038083mg transcript:KQK90370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVQEQFEIKFRLPDGTDIGPRRFPPASTVATLKETIIAQWPKDKEKGPRTVNDLKLINAGKILENNKTLSECKSPICDFSGMTTMHVVVRAPTSGKQSGNCSNLCKVL >KQK86226 pep chromosome:Setaria_italica_v2.0:IX:861570:865568:1 gene:SETIT_035369mg transcript:KQK86226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHHKFGAQPKERARGLSGTSPVQTKEEPATHNRPDRISSSSSTRPGQQRLSPARRVETLKTPASSPLPRSPPTPGVGLRGLPPAMDAMRKQLDVLMGANRNGDVEEVNRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKDYEEAKSKGSENFDRELEDMIERLIVECDRKIQRALKRLADEDAKAAIAISVSEVTLTDEILQLSKQIKEKMKEVDAFDFEGRADDKIKAQEVVEELRAKRADMQATLLLDAFNKDRASLPTPAPPPQVAAVPPPAPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLAPRPEPPSDPSKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQVLSIMYHFLL >KQK86228 pep chromosome:Setaria_italica_v2.0:IX:861570:867073:1 gene:SETIT_035369mg transcript:KQK86228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHHKFGAQPKERARGLSGTSPVQTKEEPATHNRPDRISSSSSTRPGQQRLSPARRVETLKTPASSPLPRSPPTPGVGLRGLPPAMDAMRKQLDVLMGANRNGDVEEVNRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKDYEEAKSKGSENFDRELEDMIERLIVECDRKIQRALKRLADEDAKAAIAISVSEVTLTDEILQLSKQIKEKMKEVDAFDFEGRADDKIKAQEVVEELRAKRADMQATLLLDAFNKDRASLPTPAPPPQVAAVPPPAPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLAPRPEPPSDPSKYTAADTDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQEQRNKRRKDRSEDDRRSREHSKDRNGRASRDRDTERKDRVDSRDGRRDYDRDRDRRHDRDRRHDRDRDREDRSRGYDSRRRERSRSRERRRHERY >KQK86227 pep chromosome:Setaria_italica_v2.0:IX:861570:867073:1 gene:SETIT_035369mg transcript:KQK86227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSHHKFGAQPKERARGLSGTSPVQTKEEPATHNRPDRISSSSSTRPGQQRLSPARRVETLKTPASSPLPRSPPTPGVGLRGLPPAMDAMRKQLDVLMGANRNGDVEEVNRNYYDRDVCRLFLAGLCPHDLFQLTKMDLGPCSKIHSLQLRKDYEEAKSKGSENFDRELEDMIERLIVECDRKIQRALKRLADEDAKAAIAISVSEVTLTDEILQLSKQIKEKMKEVDAFDFEGRADDKIKAQEVVEELRAKRADMQATLLLDAFNKDRASLPTPAPPPQVAAVPPPAPPDARTQEMINEKLKKAEELGEQGMVDEAQKALEEAEALKKLAPRPEPPSDPSKYTAADVRITDQKLRLCDICGAFLSVYDNDRRLADHFGGKLHLGYMLIREKLKELQEQRNKRRKDRSEDDRRSREHSKDRNGRASRDRDTERKDRVDSRDGRRDYDRDRDRRHDRDRRHDRDRDREDRSRGYDSRRRERSRSRERRRHERY >KQK89339 pep chromosome:Setaria_italica_v2.0:IX:24554691:24556750:1 gene:SETIT_039324mg transcript:KQK89339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPSMEEPLLVPRPTVSGSAATEAKRLLRLAGPLVVSFILRNAVQMVSVMFVGHLGELPLAGASLASSLANVTGFSFLSGMAGALNTLCGQAFGARQYGLLGVYKQRAMVVLAVACVPIALVWACAERILLTIGQDPDIAAEAGAYARWLIPSLAAFVPLTCLTRFLQAQSVVVPMMASSGVTALTHVFVCYALVYKLGMGSKGAALSAAVSYGTNLTILALYVRLSCSCKKTLTGFSGEAFTGLREFAKLAVPSAMMICLEWWSFELLVLLSGILPNPKLETSVLSICINTATLLYMVPLGLGSSTSTRVSNELGAGQPHAAMLAARVVVCMTLSQGVVLATIMILLRSIWGYAYSSEKQVVAYIARMIPILAVSFIVDGINGSLSGVITGCGKQTIGARVNLGAFYLVGIPMGVFLAFVFRLNGMGLWLGIVCGSISKLALLLWITMRTNWENEAIKAKERVLSSSVQTK >KQK90731 pep chromosome:Setaria_italica_v2.0:IX:45378922:45384867:-1 gene:SETIT_034099mg transcript:KQK90731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSTSRVVGCFAPADKAGVDLDFLEPLDEGLGHSFCYVRPGAAADSPAITPSNSERYTLDSSVMDSETRSGSFRQEAAEDLAAAAAAAAAAGLQRPSRSFGETTFRTISGASVSANASSARTGNLSVSLAGEVQEPAAAFESTASFAAVPLQPVPRGSGPLNTFLSGPLERGFASGPLDKGTAGFMSGPLDKGVFMSGPIDGGNRSNFSAPLSYGRRKAGLGHLVHRISRPMKTALSRTFSRNSQNPGWVHKFLLHSMAQLPWARDAKSRSEGSQNGLEAGLPEPEYNVTRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFLMSNLYKAIDKELEGLLWVYEESSERSDHVSTHEEGEPVATSMDAPHGDGSQFQIDSGKQEQLGNVEKRNVSAEKDCDDSALQVQPNCTSSEQKDLATQVSSSQELGADEIVEETAEADLGNDLQSRESHNSNRGLSSTDLNISCSCMAENSAYCDQHGRFLKENRKSKRLFELLEMELLEDHNRSVSKASPEGRKTQNLHNTLAGTTEGSSRNAAEISRCSLAATGECYEDSEDLGSSRHADSVLGIDPKECSGCSISTSSSGHKQTTRRFLFGSKLRKMYKKQKLLQKKFFPWNYDWHRDQPHVEESIIQPSEVIRRCKSGPVEHDAVLRAMSRALETTEEAYMEIVERELDRHPELALMGSCVLVMLMKDQDVYVMNLGDSRVILAQDNDQYNCSSFLKGDLRHRNRSRESLVRVELDRISEESPMHNPNSHLSSNTKAKELSICRLKMRAVQLSTDHSTSIEEEVLRIKAEHPDDPQAIFNDRVKGQLKVTRAFGAGFLKKPKYNEALLQMFRVDYVGTSPYISCNPAVLHHRLCTNDRFLVLSSDGLYQYFSNDEVVSHVSWFMENVPEGDPAQYLVAELLCRAAKKNGMDFHELLDIPQGDRRKYHDDVSVMVISLEGRIWRSSG >KQK88004 pep chromosome:Setaria_italica_v2.0:IX:10749937:10753431:-1 gene:SETIT_035450mg transcript:KQK88004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYTTDNALTAMGFGKFQALVLAYAGTGWVAEAMEVMLLSFLGPVMREEWNVSPQDESLLSSVVFAGMLIGAFTWGFISDRYGRRTVLLLSTLLTSGLGFLSALSPNYLCLLVLRFFVGIGVGSGHVFSSWFLEFVPAGNRGTWMVIFSFFWTIGTVLEASLAWVVLSALSWRWLLALSALPCFLLLPFFRITPESPRYLCAQNRMSDATAVLERMANANQAALPLGVLTYHGEPKTDCVTHNISEDEHLIPIREKKHTVVNAICSNTGAIATLRTLLSHNLLRSTLLLWFVYYASSFAYYGIALLTSQLSDVNRSCSSDLIFEMHQKDGNLYKDTFITSLAEIPGLILSALLVDWFGRKATMCCLMFACCAFLGPLVLHQNELFTTTLLFGARACGTGGTTVLCLYAPEVYPTSVRSTGVGIATAIGKIGGVVCPLVAVGMLRSCHQMAAILVFELVLFLAGVACILFPVETKGREMD >KQK86316 pep chromosome:Setaria_italica_v2.0:IX:1280096:1281077:1 gene:SETIT_038510mg transcript:KQK86316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKQSLYKSYIQKELENESIRSYRILYNPPSNSRHISPKLKSIRILSDII >KQK93096 pep chromosome:Setaria_italica_v2.0:IX:58770510:58774547:1 gene:SETIT_034379mg transcript:KQK93096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGADASSLAAAVLDAATPPAAAAATSRVLDYLARHAADHPRAFFADAFPSLLYRLFVSSPSSASFIDLAAADPALADLLLSLLAPSGPLLAAAAAADRLALIRFVFPNERLPDWLRLALASPAPTHPASPLLSARVASELHLSVLEYYLFWFAYYPVSSASPAGPAASASTSNPGLRSRSRLESWVSNLATTAIRKPGHKPESSLYLKLLYAYLTHFVPARTPPGRMVVGAGTLLHRTANDGVDAAESFARAEFLLHTLVQFWLVGDDFSPLPVQACQALGLRLPSRARAELSERPPSPGLGDAVKLLVMYLNCCDGHILVDGDARMLSEGIPVWSGVLDTQAAFWNPLIQRPLYRFVLRTFLFCPVGAAIKNAMQVFSVWLAYMEPWKVTQEELDGYGKQQAGKEQELQKSNMVYSSSWKTYVLSNYLFYSSMVVHLLGFAHKFIHSDVASVLLMVYKVLEVLSSSPELLDLLRKVDVSYHTGLVESSPPYDDVLKYVPSIREQLKDWEDGLSETDADGSFLHEHWNSDLRLFSYDENGAYNLLQLLLIRAESEILRLSGDTQQALQTLDSIKSQMKRVFQGQVERIHGNTSLEELHNQQQQMRGEVFTPKHPSSGKSSWADVKYRGEWMRRPISETEVAWLARILIRLSDWLNGALGLDCGDADDSPATASATYIRFDGNEVNTVGGPKDAARMAVVALCSVIAVVGQALLKFMRSHRVKINLRVFASKKLLPAAVVLYAVVAVTRNASG >KQK92986 pep chromosome:Setaria_italica_v2.0:IX:58172252:58178512:-1 gene:SETIT_034000mg transcript:KQK92986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAEARCPSGGDPMDFSWAVDGEKPAAACASPSRDEEAAPAPAPAPSPQEVAESMILVSGPRVVTSGLRQGDCRSDGSVLFINAGGGAIDGCDSDVKVTGDSFFEGGDVIETNESIIEGGDCPSIYHSARYGSFSYKFDGLAPGDYFLDLHFAEILYTFGPKGISTFDVLILSQFDVYAVVGGNRPLQVRDIRVTVEMNGAIMVNFRGRDKLCLTLVAANSVTDGNVLCKNCSADIDFSNTQTRTSKLISKYEKQIEELTSQCTMKSNECYMAWSSVDSTNLELGRLKIELHQKGAEMESLEQALGRESDQLRNVSQKYENDKKLWTAAISNLERKIKAMKQEQALLSIEAHDCTNAIPDLSKMVEAVQGLVAQCEDLKLKYYEEMDKRKKLHNIVQETKGNIRVFCRCRPLSKDEVSSGQKCVVDFDGANDGDIVVANAGATKKTFKFDRVFTPKDDQDVVYADASPLVTSVLDGYNVCIFAYGQTGTGKTFTMEGTERNRGVNYRTLEELFKIAEERKESVTYDLSVCVLEVYNEQIRDLLSTSPSKKLEIKQSSEGYHHVPGLVEAKVKNINEVWHVLQAGSNARAVGSNNVNEHSSRSHCMLCIMVRATNLLNGECTSSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALATKSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDSDVSETLSSLNFASRVRRIELGPAKKQVDTVELQKTKQMLERTKQEVRLKDDSLRKLEENLQNFETKAKGKEQLCKNLQEKVKELEGQLDSKAHSQMTSEKQQRQLSGKLKEKEEMCTVLQQKIVELESKLRQPHQSESEVAVLKQTIKEMELKLKEQEHDRSVVELKIKDLELRLKEQENQQSVAELKNKELELKLKEQEHQRSVAELKAREIGLELLETQRTEAMLQIKLRDLESKLKAQNPNMILDSTIATPGEAKLLPFSRVEAMIEKENHLLRSSNSLNKQQPLSENSSLPEAPEAVVNEKKRKGDARNASIGGEQENNGPASGQNVGRKRSLPGERESRQKRTSTEPQVKNLGRSTASSRAAAAATHKVSAPSSRFSRQQPGGNKTRGWVR >KQK90598 pep chromosome:Setaria_italica_v2.0:IX:43961763:43964153:1 gene:SETIT_035949mg transcript:KQK90598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEGDDGPTPLLKRRRSPPWSAIRRRLLSALADNKDAWRAHAGMAFVQLAYSGYHVLTKAMLDVGMNQVVFCVYRDLVALAVLAPVAFLRERRERPPVTPQLLASFALLGFTGLYANPLLFLVGLHNTNASYAAAFQPSIPVITFVLAAIVGVEAINISTKDGILKVLGTVVCVSGAILMALYRGPSLIGSGGTNTANGIVTPGTWSSTPYLVQWLTSTMLEYGVETWHLGVLCLIGNCSLVAVYLVIQAPVMIKYPASLSLTAYSYFFASIFMVLTGVLATDGLHEWALTKTEIIAILYAGIVASCMCYATMTWANKILGPSLVSLYNPLQPACSTILSTIFLGDPVYVGSIIGGVFIIAGLYIVTWARYNEARRTSSDGCLNPLLLGPPRVPKTQESSFMDP >KQK88489 pep chromosome:Setaria_italica_v2.0:IX:14602392:14603236:1 gene:SETIT_037802mg transcript:KQK88489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAILELDPSHERAARVIDNIVRLERRIFPKHESLARSIHDELKRRNSGLIYMTSSAARAGGDGDEVVGYAMYTCPTSLCATITKLAVKESCRRQGHGEALLKAAVERCRGRRVQRVILHVDPARTAAVALYRKAGFQVDATVEGYYAPRRDAYRMFVDLQ >KQK91673 pep chromosome:Setaria_italica_v2.0:IX:51152243:51156328:1 gene:SETIT_035993mg transcript:KQK91673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSSWFRWWRRRKAREGQAAGAGEEEGHDDHHKVVVDGSEIRELVEDREAFGMLVDSKFRQLDADGDGRLSVRELRPAVADIGAALGLPAEGASPNTNHICSEVVSELTHGTSQGEVSKAEFQEALSDILLGMAAGLKRDPIVILRMDGEDLRDFAAGSRYEPSAAAIFPQVGSEGVPLRQCLLAALQELSVDHGVPPASDAWVVENIVEPALQQLPADRLEQPAASRDGFLQQLKMLLGAVAERLQEQPVIVAHTENTYDGSGVKRLLANKFELDKLLDSVWRGVPSEHKNKASKECLVAALDKMADAASLPHYGAVKQVDAVVDEAIKTANAGDGKTVDEAQFKKFLTDTLGAIMRQLNSNPVFVSTNTVVHEPLSSGASSALFSSPQLVTSSPSK >KQK87778 pep chromosome:Setaria_italica_v2.0:IX:9302719:9303644:-1 gene:SETIT_040574mg transcript:KQK87778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRQRVPPACLRLLRRRCRLQGPFALSSVRFAWVLRWVSVDASPDLRRVSPDLLCFGLDLRFSESNQTPTLMVLLFLVLAGHHRPGDWEVPRVRLRHLLH >KQK88342 pep chromosome:Setaria_italica_v2.0:IX:13396910:13405682:-1 gene:SETIT_034400mg transcript:KQK88342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNGSVKRSGSAGGSGHAAGPPTFTVNPADYRLMEEVGYGAHAVVYRAIFLPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKISYQDGFDEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILIDGAGVVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPDLTIKSILSDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDIEDLKAQASLIHDDEPPEIKEDDDTARSIEVEKDSSSRNHFGKSSSNDCDSRERTFATTVNSRGNSPITNEVFDFDFDFNDTDPKKKADGCENNTRENDSLPSTSKREPESNHWTSDVGQRQQTFGGNNSSVAAERGHSFERDVAVQMISDKQRSDMRKTASLSGPLSLPTRASANSLSAPIRSSGVYVDSSVDKSKRNVVEIKGRFSVTSENVDLAKVQEVPVPVSCLSRKSPEGSLLRKSASASDCLVNAKLMCNPNQLKELCNSSVSSSILIPHLNNLVQQTMFQQDLIMNLLSSLQQNEKADGSEPGISSQVRTMESDKEAETANSEKERSLLVKISELQSRMITLTDELIAAKLKHAQLQQELNALYCREEIEDIRDEDNEET >KQK88343 pep chromosome:Setaria_italica_v2.0:IX:13397439:13405682:-1 gene:SETIT_034400mg transcript:KQK88343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNGSVKRSGSAGGSGHAAGPPTFTVNPADYRLMEEVGYGAHAVVYRAIFLPRNEVVAVKCLDLDQLNNNIDEIQREAQIMSLIDHPNVIRAYCSFVVEHSLWVIMPFMTEGSCLHLMKISYQDGFDEPVIGSILKETLKALEYLHRQGQIHRDVKAGNILIDGAGVVKLGDFGVSACMFDRGDRQRSRNTFVGTPCWMAPEVLQPGTGYNFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKNAKPPDLTIKSILSDLPPLWDRVKALQLKDAAQLALKKMPSSEQEALSLSEYQRGVSAWNFDIEDLKAQASLIHDDEPPEIKEDDDTARSIEVEKDSSSRNHFGKSSSNDCDSRERTFATTVNSRGNSPITNEVFDFDFDFNDTDPKKKADGCENNTRENDSLPSTSKREPESNHWTSDVGQRQQTFGGNNSSVAAERGHSFERDVAVQMISDKQRSDMRKTASLSGPLSLPTRASANSLSAPIRSSGVYVDSSVDKSKRNVVEIKGRFSVTSENVDLAKVQEVPVPVSCLSRKSPEGSLLRKSASASDCLVNAKLMCNPNQLKELCNSSVSSSILIPHLNNLVQQTMFQQDLIMNLLSSLQQNEKADGSEPGISSQVRTMESDKEQAETANSEKERSLLVKISELQSRMITLTDELIAAKLKHAQLQQELNALYCREEIEDIRDEDNEET >KQK91311 pep chromosome:Setaria_italica_v2.0:IX:49146680:49147987:-1 gene:SETIT_039013mg transcript:KQK91311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLRGKCIGRGAFGAVHLAVDRATGRAFAVKSVDAKGAPAAAAAALACLENEIRILKRLSSPYVVAYLGDGETGNTRDLLMELVPGGTAAEAAARQGSLGERGARGVLRKVAAALRYLHGEAGVVHGDVKGRNVLLGCCDAEGCGAKLADFGAARLVSEAAPRGPRGTPAWMAPEVARGGAATPASDVWSLGCTALELLTGKHPWSELGGACEVGELLLLIGFGGKRPAIPAFLSNACRDFLDKCLRRDAGQRWTCEQLLEHPFLSGAHNDACATEPFPSPSPSPRAVLDWLPSDSDSEALDDAEPESEHEVMVRAKGRVAELAASNGPRASWDWEEPDRGTGPTCAADTWAPPPSSEAPRTVPVPSTSEGAAGNGNAGGPAVPSSAAASAGSDHDVVLVGIGSGGGGGGGGARRGHGHPGCHNSHLCRIKCGFG >KQK86249 pep chromosome:Setaria_italica_v2.0:IX:927367:931677:1 gene:SETIT_037342mg transcript:KQK86249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRRANRPRGRRVGSTSTLVAVAAGAYEVASTVRELAVDMRTYASIPSMSAWSVREIDEAGGACHLNMDQIKPNSGTAEATTNAAQLSSPPLSLCLPSPESRRPLSSCRETLAMAEAPENAAPTATPAPPPPAPAPAPATSSPPPKSGIPARYDLDAKWDACLDLSIRRVAYSSLAGAFTGLLLFRSPTTRWASVALGAGVGIGAAYTECSYLFNGAPPKWSPKGEDK >KQK88795 pep chromosome:Setaria_italica_v2.0:IX:17238607:17238956:1 gene:SETIT_040751mg transcript:KQK88795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNIRCTCLLPSYIHGRIQDLGWVSCSQGPAPIAPLAQPCRGHKFT >KQK87739 pep chromosome:Setaria_italica_v2.0:IX:9019289:9019840:-1 gene:SETIT_039152mg transcript:KQK87739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKAKPAAQATAAATAATGVQYWLLKTEPGEWSWSDQARAKGGVAPWDGVRNHQAMKYLRAMRTGDRCLFYHSGAGASSRRVVGVVEVARTWYEEGEGDGKGKGKEAAAGGAVDVRSVGEFRNPVPLGEIKKAAGEVEGMSDFALLRQPRLSVMPVPAKVWDWICDAGGGFVQDGEAEEEEP >KQK91556 pep chromosome:Setaria_italica_v2.0:IX:50542270:50542861:-1 gene:SETIT_040497mg transcript:KQK91556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAAIVLVQIPSKDAFGATLCFLICLYVNFTCSRPNSCVANWATVAM >KQK89445 pep chromosome:Setaria_italica_v2.0:IX:29998583:29999723:1 gene:SETIT_038738mg transcript:KQK89445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDQDDMQLAEIEIMIAEHKSRGKGIGQEAILLMMAFAVEKYGIHTFRAKISESNTASLKLFRKLGFKDASYSVVFKEVTLEAPADALPLRFPLTIGDW >KQK91622 pep chromosome:Setaria_italica_v2.0:IX:50889050:50890267:1 gene:SETIT_037132mg transcript:KQK91622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFIHRRTSKQTSKVKTLLELALSRLTVASRPRLARKSISRSDVAQLLALGHLDRALHRAEQVMEEDNMLEAFNIIELYCNRLIEHAKQLDKPHECGEDIREAAAGIMFAAGWCGDLPELLFAHTVLANKFGGDFATMAKEGSGVVNPMLVWKLSGNKRNMEHKKKVVKEIAAENNIQVDFSEFPEVVEQNGRDNAPHQNKLSHEAIYQMDMDESSESDSDHSAPHNEDPCDISTSDGSNNGQLEQKKVTACTRR >KQK92370 pep chromosome:Setaria_italica_v2.0:IX:55189889:55195725:1 gene:SETIT_035196mg transcript:KQK92370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGDGELELTVGVRGAGGGGAAADAPVPISLGRLILAGMVAGGVQYGWALQLSLLTPYVQTLGLSHALTSFMWLCGPIAGLVVQPLVGLYSDRCTSRWGRRRPFIMTGCLLICLAVVIVGFSSDIGAALGDTKEECSLYHGPRWHAAIVYVLGFWLLDFSNNTVQGPARALMADLSGHHGPSAANSIFCSWMALGNILGYSSGSTNNWHKWFPFLKTKACCEACANLKGAFLVAVVFLMFCFTITLIFAKEVPYRGNENLPTKANGEVEAEPTGPLAVFKGFKNLPPGMPSVLLVTGLTWLSWFPFILYDTDWMGREIYHGDPKGTTTQVAAFNEGVRIGSFGLLLNSIVLGFTSFLIEPMCRKVGPRLVWVTSNLMVCVAMAATALISFWSLKDYHGYVQDAITASSSIKAVCLVLFAFLGVPLAILYSVPFAVTAQLAATKGGGQGLCTGVLNISIVIPQVIIALGAGPWDALFGKGNIPAFGVASGFALIGGIVGLFLLPKISKRQFRAVSGGGH >KQK91785 pep chromosome:Setaria_italica_v2.0:IX:51787233:51788072:1 gene:SETIT_038066mg transcript:KQK91785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCVMQSLITVVVCREQSGLVPFQPKQAGQQRAAPSLPAGTQQPNFWPSQPDRAQRESPEWDWSCKAQPERVPGTFMNLQFFSSTGSFLFLRPISRLWISIWQYHYPGISTIYFWYNKAH >KQK91968 pep chromosome:Setaria_italica_v2.0:IX:52964982:52970995:-1 gene:SETIT_034609mg transcript:KQK91968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEDRAHKATDEPAAAGRQRPERKKRKWDQPAEDLVSAAVKAAAVSGMPVINIGALPGVALPGVTAYGAATLPSVVPVPYSLPPHIAPLVLQNASAALQKLSQAKIPDEVIAREVVINDADPSVRYKLTKRQTQEEIQRCTSTVIITRGKYHPPNGQPDGEKPLYLHISAGSQLKDTAERIKAVDRAASMIEEILKQGTISESTLVSYSSSSGQAVYPFSASIFLGFDADPSLNIAARIRGPNDQYINHIMKETGATVVIRGKNSENLGGCLGEASQQPLHLYLTSMHLKSLEAAKVLAENLLDTIAAEFGASRISSSKVYGAVPPPQQLLAGVDTSGARSDVHSTLSPNVLAGPSHSFASTGVIAPIVAPEVTLQSVAPTYSGVPPPSNMTCPIPSVNGGTFYSGYGDIYPQATPLQQVAFTLKHASSSTTQAVPVTSTSTSTVIKANSSSDVEADKRSRRKFQELPVSKGPTTENQNSQLGSKFIKTGLDSLCDITGSSIAPPKKVQPGPNGMLPPDQGDMPSHLSISYKIPPPPPKSMLLPPTKNMPPPSPRSMPPPPPKFPSNEMLSRNKSKTFASKELMEPPKDTRSVSPEPKEEKPKGGPLSDTLLKLMDYGDDNDDDDIDVIDSVPKGNPTPCSEQKPFWAA >KQK92615 pep chromosome:Setaria_italica_v2.0:IX:56367738:56370460:1 gene:SETIT_034246mg transcript:KQK92615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIWSQKIHGAAPDIARAAPVFLDETTKLCRAYSEAAKADNCKMSIPDEDTTVKHIEQGLASDVTRITSSTIQTLCKLGTYAASSGGSQVTLLNVSWKGVVSLLQNGKGMIEEKINVREIILTLLSLSIESLRVAAETWCTPLPEAIGTSEARRAFLPIKFFLINAVRICSAYPSEAMIIHKNIIRCALVITSASILFSKKPQLKAANEALVELLEPTLFVLLDTLMKSSEVTPDSKCQLACYFFENEEANSSDHMRQANQIEINLASLDCIFSTDSDVDHRNRALLPAELVVFLHLLNASSWLTEEVVIELSNKLQTLLNILTSEDIYSYVLGFEIPALYGADHSPAVVWQPVYTSLIQALKTFMISAVASSAAWNELEAFLLENLFHPHFLCLEIITELWCFFMRYAETETSINMVSQLFLLLQTVASPEEVLVPLSTLRKVARSLCIILSYASSATVDQVYTCVLNDENSSKSSILHLALLMEGFPFDSLSGGIKELAVKKMFTSFAGYLENYSKNHRAINAPPSSWGVIGFPVHALASVLQRCEIKDVGIVDEKSIAAMFKFTISLINMYGTAPDSVKDHLAKHISSMLDIISNTRHLCAFSEMEKLTLQLHTLFLSTSDNSNAVLSQCKPSMASFMAILGHLNVTEDDANELCSAMWDLYHLLLKERHWALIHLVMGSFGYFAARTSFTQLWKFVPGDAALSYNASTGTSIEENGFMLELRAYLQKEAALHTDRWSEEQLRFLVSEGRSLKKLVEAYSEITVVSEPEKVVITKDASTRKRKVPDGICEGMLLLQNGLKVMRGAFDEADSAELKDRFAAHLSRLEDAVSQIATLSDEI >KQK90570 pep chromosome:Setaria_italica_v2.0:IX:43746256:43746626:-1 gene:SETIT_039233mg transcript:KQK90570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCYPQLLVHYGSHNGTSSMTRSTTSPHHHITRFLPTSDQLISIACLMCSLPIWLQLWHALFASGALVPFKLWISHHEMICSKTTGRFRFRSQETGE >KQK90672 pep chromosome:Setaria_italica_v2.0:IX:44444349:44445889:1 gene:SETIT_038875mg transcript:KQK90672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRLHLPPGPLRLPILGNLHQIMGALPHRSLRELARRHGPVMQLRLGTVPTVVVSSAEAAREVLKTHDAACCNRPDTPGARRLSYGYKDVAFTPYSDCWREMRKLIVVELLNARRVQATWPAREAEVDKLIGRLTSGGRRPVYLEDHIFTLMDGIVGTVALGSIYGSEQFAHKKHFHDLFDEAMAVKSSFSAEDYFPNVFGRLVDHLTGLVSRREKVFWELDAFFDKIIGRHLHPSRPTPDNGPGFIDVLIGLTKEHEGTFSWFTKDHIKGMLSDTFIGGVDTNSVTVVWAMAELIRNPEVLKKAQDEIRGAVGNKKRVEPDDLPKLKYLKMVVKETLRLHPVVPLLAPRETMRHIKICGYDVPAKTRIFVNVWAIGRDPASWSNPEEFDPDRFEGNGVDFNGAHFELLPFGAGRRMCPGVAMGVAIVEFALANLLYCFEWELPDGTTAEDVSMEEAGGLTINKKVPLVLVPTKYKCQRS >KQK89851 pep chromosome:Setaria_italica_v2.0:IX:36438962:36441568:-1 gene:SETIT_037615mg transcript:KQK89851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVRKVQQRVRKAREEMDLWDDLNSRLLTNFNRATSVIDRLPVLGEDKNYGALRGVANIQEDLMGKQIESLELIFVSMRETLEKFNGIVKALNKALRDTNQMVRGGSALTAKQMQLQVGILPTIAECLDGLRTLCEMHQAEFALKSSIISLLTWKSSSSDIVVLRQLLVDQPNIPKDEVQSIFDVIFADEIC >KQK89850 pep chromosome:Setaria_italica_v2.0:IX:36438962:36441395:-1 gene:SETIT_037615mg transcript:KQK89850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVRKVQQRVRKAREEMDLWDDLNSRLLTNFNRATSVIDRLPVLGEDKNYGALRGVANIQEDLMGKQIESLELIFVSMRETLEKFNGIVKALNKALRDTNQMVRGGSALTAKQMQLQVGILPTIAECLDGLRTLCEMHQAEFALKSSIISLLTWKSSSSDIVVLRQLLVDQPNIPKDEGKEWI >KQK87663 pep chromosome:Setaria_italica_v2.0:IX:8582670:8589371:1 gene:SETIT_035878mg transcript:KQK87663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRARCTLSAAQAARAFGFPTTTAAAAGSGGGAGDAPAVRTLDLDRFRPSYNVSPGAYLPVGTVRAQPAAGSDGGRGGDGAEPVIQCMKWGLVPSFTGKTEKPDHFRMFNARSESVKEKASFRRLIPKNRCLVAVEGFYEWKKDGSKKQPYYIHFQDHRPLVFAALYDTWTNSEGEVIHTFTILTTRASTSLKWLHDRMPVILGDNDSVNVWLNDASVKLEEITSPYEGADLVWYPVTSAMGKTSFDGPECIKELHMGPSEKPISKFFTKKSTAHDQSVKPEKTTLEFAETHSSRASKVECDESVQNQPEDVNQQHGEERTTSSTVKDEPVSLGPQVIGKPQSIKDEDTMTSTGITIEKQDDFGIKRKIEDTEVKAEMMENSVWSCSRPTTTKKGKGAKAAPDGQASLLSYFARK >KQK87667 pep chromosome:Setaria_italica_v2.0:IX:8599271:8602735:-1 gene:SETIT_034847mg transcript:KQK87667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVCFCGTTSTSPDQPEITSSTKAPPQAGANKRPATPPSSQGNSQQEPSPKPKPKPRPKAKPSKPNPYDWAPPPGQSHAASRGGGGATAARVLDGVVPHHPRLRVTDKYHLGRELGRGEFGVTRLATDRATRERLACKSIPKRRLRTAVDVADVRREVAIMASLPDHPALVRLRAAYEDAEAVHLVMELCDGGELFDRIVARGRYTERAAAAAARTVAEVVRACHAHGVMHRDLKPENFLYAGKSEDAQLKAIDFGLSVFFRPGERFTEIVGSPYYMAPEVLRRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVARAILRGSLDLQREPWPRISDGAKSLVRQMLQMDPRKRPTAQQVLEHPWLQNARKAPNVPLGDVVRARLQQFSAMNKLKKKAMRVIAEHLSVEEVEVIRDMFALMDADGDGRVTLQELKAGLRKVGSKLAEPEMELLMEAADVNGNGYLDYGEFVAITIHLQRLSNDAHLRTAFLFFDKDSSGYIERAELADALADEAGHTDEAALNNVLREVDTDKDGRISFDEFVAMMKAGTDWRKASRQYSRERFKTLSNSLMKDGSFGMAR >KQK90393 pep chromosome:Setaria_italica_v2.0:IX:42323433:42324121:-1 gene:SETIT_040499mg transcript:KQK90393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGMRWRPRTAAAATSTAAATGAWSSGGPAPPRRRPTCSTPAARAPRWSRQRGSSPLPATSSPGRRGLGWSSPAPSCCTSSRPRRRPRRCDRYANP >KQK91472 pep chromosome:Setaria_italica_v2.0:IX:50032774:50035571:1 gene:SETIT_035733mg transcript:KQK91472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGASCGRPSEEVDFFGAAQSGDLDRLAAALRSRPSLLARSTLFDRLSALHIAAAHGHLQVVSLALDLCVHPDVVNRHKQTALMLAAMHGKTDCVRRLLDAGANIVMFDSSHGRTCLHYAAYYGHADCLRTILSAAKSAPVSESWGFARFVNVRDDTGATPLHLAARQGWRRCVHVLLENGAIVSASSGAFGFPGSTPLHLAARGGNLDCVRQLLSWGADRLQRDSVGRIPYEVAVKRGHVACAALLNPSSAEPLVWPSALKFISELEPNAKSLLEAALMEANRERERRILKGTKNALPSPSRSDDAAIAEASDAEVCSICFEQACSIEVRECGHQMCAACTLALCCHAKPNPATQSQLLPTCPFCRGGIAALVVATRAPAGGDDEEGSRLESPRHRRSRRAMNLSGDAGSTSSLMGSIASSIGKMGRRRTDSSEQVDDKP >KQK90073 pep chromosome:Setaria_italica_v2.0:IX:39338470:39338960:1 gene:SETIT_038346mg transcript:KQK90073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPSPNSKQSHLSCKLTIVNTETSSPLDVFGINIHVLQSSSFHPSTRILKCFLQTSFLILAKAAAPSECSTYATN >KQK91815 pep chromosome:Setaria_italica_v2.0:IX:51957125:51961656:1 gene:SETIT_038156mg transcript:KQK91815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITRAKLAEQLREHQIRSAQSYSAALAVFSPNPHIASRRDLKVALIYAVLFSFLMVCCYVALYLKWFKLSAMFVIFGILLPVSLKISRHRRLKRKRERRLLLPLSM >KQK89581 pep chromosome:Setaria_italica_v2.0:IX:33394200:33394424:-1 gene:SETIT_039262mg transcript:KQK89581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLVLLPSLIAEDGQKKLAVEQTSSSPVRVGHQKDPKMLVPFCFSCHLENCPTRKCKEFCDCSR >KQK91669 pep chromosome:Setaria_italica_v2.0:IX:51135325:51135510:-1 gene:SETIT_040680mg transcript:KQK91669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDLDRVGTRTHVPFQKAKTPCIVHEEHSRAIVINDKAMNKEKK >KQK87619 pep chromosome:Setaria_italica_v2.0:IX:8269816:8273308:1 gene:SETIT_037698mg transcript:KQK87619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLALLLLPLLLAAGAAAAATPPPSPPTPTPTPPPPHKNATLAELLPLYGLPPGVFPSTVTAFSLADNGSLAVDLAGPCYVHFGYLTYFQPRVTGVLRYGSLSGLEGVQVRRFLFWFNVIRIKVDLPPPPSYVYLDIGWITRRLPAADFQSIHSCEASNRCRLSSALAVAAKWFQDFFAQF >KQK87621 pep chromosome:Setaria_italica_v2.0:IX:8269816:8273308:1 gene:SETIT_037698mg transcript:KQK87621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLALLLLPLLLAAGAAAAATPPPSPPTPTPTPPPPHKNATLAELLPLYGLPPGVFPSTVTAFSLADNGSLAVDLAGPCYVHFGYLTYFQPRVTGVLRYGSLSGLEGVQVRRFLFWFNVIRIKVDLPPPPSYVYLDIGWITRRLPAADFQSIHSCEASNRCRLSSALAVAAKWFQDFFAQF >KQK87620 pep chromosome:Setaria_italica_v2.0:IX:8269816:8272621:1 gene:SETIT_037698mg transcript:KQK87620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLALLLLPLLLAAGAAAAATPPPSPPTPTPTPPPPHKNATLAELLPLYGLPPGVFPSTVTAFSLADNGSLAVDLAGPCYVHFGYLTYFQPRVTGVLRYGSLSGLEGVQVRRFLFWFNVIRIKVDLPPPPSYVYLDIGWITRRLPAADFQSIHSCEASNRCRLSSALAVAAKWFQDFFAQF >KQK90280 pep chromosome:Setaria_italica_v2.0:IX:41228821:41230159:-1 gene:SETIT_036345mg transcript:KQK90280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNYDKVVNRDEITDATASAFADGGQIPEKYIRTEEVLDGVVVGDDESYELPVVDMARLLDPESSALEIAKLGDACRNWGFFQLTNHGADEGVVQRMKDSTVQFFSTPLESKKKVAVREKGFEGFGHHYSRASSGHKLDWAESVILITQPPQDRKMEMWPTNPPTFREALEVYSVEMIGLAERLLGFMAADLGVERAALLDAFTGRRQSMAIHYYPPCRHREKVMGITPHTDGLGLTLLLHVDDTPGLQIRKGGRWFPVRPLPGAFVVNVADILEVLSNGAYRSVEHRVIPDAERARTTVVIFQEAAVGGMVAPLSGLVAEGGGEARYKSIEVEEYIKGNFNALMEGTRFIDSLRI >KQK93024 pep chromosome:Setaria_italica_v2.0:IX:58386791:58390109:-1 gene:SETIT_034592mg transcript:KQK93024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAGAQARAVPLMLRHPASLRTSVSVSCAGSRRSWAAAATAEGDETRGYDKVPMDTPGAYRLVDRATGRSVIVWGGTDDGDEVAMPSPAVLSRTTDRPSRGSGGGTGIGNFGRLKAQKIKSLVTRSAQLKREGSNRSSTNRFDESSFDDSDEEESYFERRKPVSDSARHAKQNSNSRNERTRGGHSLNSVLSQYKGDDLDSPGSEATSGPKGWGSITDVTYGRQTRKQREPLDFPKRKGPLDSGFFSRRSFKEIGCSDEILGALRNFDFPRPSHIQALAYGPILEGRSCVIADQSGSGKTLAYLCPIVQNLRNEEVQGLHKSSPRNPRVIVLTPTAELASQVLNNCRLISKSGVPFRSMVATGGFRQKTQLESLEQELDVLIATPGRFLYLLQEGFVQLSSLRCVVLDEVDILFGEEGFEQVLHQLITVAPVTTQYLFVTATLPLDIYNKVVETFPDCEVIMGPGVHRTSSRLEEILVDCSGDDNEEKNPETAFSNKKSALVKILEESPVRKTIIFCNKIETCRKVENVLRRLDRKASQIKVLPFHAALDQAQRIANIKEFLNKQTADSMFLVCTDRASRGIDFANVNHVVLFDYPRDPSEYVRRVGRTARGASGNGKAFVFAVGKQVSLARRVMERNMKGHPLHDVPTEITF >KQK86221 pep chromosome:Setaria_italica_v2.0:IX:829647:835322:-1 gene:SETIT_037094mg transcript:KQK86221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVVMCGQPRSGKSAAAACLAAALRSSSTDLTVRIIDESSLHLGRNDSYKDMVVEKNLRGVLRSEVDRSVSRDSIIIVDSLNNIKGYQYELWCLARASGVRYCVLFCDTEVDHCREWNSNRQEKEERAYDSNIFEDLVRRFEKPDRRNRWDSPLFELFPSRGANNINSLYEMDKATQEVVNAVVEAQSCGLELAMNKISIGPNLPTINLQRSVGLPELRSLRRTFIKLAGQYSLSGSPPPTDADSAKRMFVDYLNSEVGA >KQK86817 pep chromosome:Setaria_italica_v2.0:IX:3932397:3932819:1 gene:SETIT_040345mg transcript:KQK86817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGYDEEPLVRVSDQPLMSKPLLKNLIPNNL >KQK90257 pep chromosome:Setaria_italica_v2.0:IX:41048645:41053559:1 gene:SETIT_034760mg transcript:KQK90257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGLPQLHHVLLLLLSLLCCCRRLRAIQMAPTAASAAAGGPDDEAASALLELLQRVQTEALRALGPDGFDPKLYVDLPLATDERTAAAALPPPPSTSRAELESYLARYFGEAGSDLVEADPPDFQPEPRGFLPRVGSPEARAWALEVHALWKDLARRVAPDVAARPERHTLLPLPGRVVVPGSRFREVYYWDSYWVVRGLLVSKMFETAKDIALNLVYMVEKYGFVLNGARSYYTNRSQPPLLSSMVLDVYGATGDVDFVRRAFPSLLKEHSFWMSEIHNVAIRDNNGQVRNLSRYQARWNKPRPESATIDEELASKINSIADKEKLYREIASTAESGWDFSSRWMRNSTDMTTLATSYIIPVDLNTFIFKMERDIAAFAKLLGENATSEKFLKASKARHIAIDSILWNSEMEQWLDYWLPTDGDCQKEGVYQWKSDSQNRNIFASNFIPLWLNAHHSGSVQFADAAKSKRVMASLRTSGLLHAAGIATSLTNTSQQWDFPNGWAPVQHLIVEGLLHSGSEEAVKLAEDISTRWVRTNYAAYKATGAMHEKYDVEACGKSGGGGEYKPQTGFGWSNGVVLSFLEEFGWPEHKEIGCSRRGEVDLAGA >KQK88980 pep chromosome:Setaria_italica_v2.0:IX:19138881:19140960:1 gene:SETIT_036160mg transcript:KQK88980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCMGGSPYVNKVSSNAKPESPPKIQSPSERDRSEDRKLPSNPGEVEALRRGASAAARNPLVAFSFAELRKVASDFHKDALIGGGGFGRVYKGSFAPAGDAPTLAVAVKVHDGDNSFQGHREWLAEVIFLGQLSHPNLVKLVGYCCEGEHRVLVYEYMALGSVESHLFSRTSPPLPWAARMRIALGAARGLAFLHGTEPRPVIYRDFKTSNILLDGGFNAKLSDFGLAKDGPVGEQSHVSTRVMGTYGYAAPEYMMTGHLTASSDVYSYGVVLLELITGRRSLDRSRPPREQALTDWALPALPHKKRVMGIVDPRLMLGGGGEGDDGGAPPARAVQKAAMLAYHCLNRNPKARPLMRDVVASLEPLQQPPEEPDAAIAR >KQK87934 pep chromosome:Setaria_italica_v2.0:IX:10278132:10283660:-1 gene:SETIT_034772mg transcript:KQK87934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRRPPRSSSGGVEPKIRQVGFFTPDASAPSEPLPPAAVPAPSTKQGAAAGSPPASDDLSPGRLSPVMIPPPRHADHLAPGPPSPAAADAVLATSAPARSSARLDVASEIADDDSWSRAPSAAELEENKRTLAEIRNEGAPAIPQKQKTSKAERRAIQEAQRAAKAAAKEAGKSAGPATSKQAKSAKTAPKKDVPQAASTVASEKKVTERLSERERKLDAPHPRMQFDDVHKVEKAKKRAVVNQSEARNRVELFRHLPQYVHGTQLPDLESKFFQLEPMHPSVYKVGLQYLSGEVSGGNGRCIAMLLAFREAIKDYTTPPNKTLSRDLTAKVSSYVSFLIECRPLSISMGNAIRFLKNRIAKLPHTLSESEAKASLQSDIDRFINEKIVLADKAIVSHAITKVRDNDVLLTYGSSSVVEMILDYAHELGRKFRVIVVDSRPKLEGQGLLRRLVSRGINCTYTHINAISYIMHEVTRVFLGASSILSNGTVYSRVGTASVAMVAHAFGVPVLVCCEAYKFHERVQLDSICANELGDPDVILKVPGKAEDHLKNWAENANLQLLNLTYDATPPDYVSMIVTDYGMLPPTSVPVIVREYRKEQLWI >KQK86656 pep chromosome:Setaria_italica_v2.0:IX:3151538:3154871:-1 gene:SETIT_036712mg transcript:KQK86656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGYPRRGAAVRRPKSSASAAVADRKRKRAAATKTASLKNQIRSTERFLRKDLPDDVRIAQEKKLEELKRQQELQNQLAVQRTVQLRDRKIKFFERRKIERMIRRLEKQQRSNGDDVSNKLSKLREDLEYVRFFPKNEKYVSLFAGGNNADVDKWRKQIKENLMAAAANGKDLEETASDDDTLDVSDDDFFMSGSSSDEEADDEWTDKSAKEPASSAGRAASGMSSDEKNQRQRDARVLMPPPRSLAPNRARSADKRVISSSSNTSNSTSGESFKNRRVPNHPGDHNSNLSSNSDAHKPRRKRRHKKKKKLA >KQK87933 pep chromosome:Setaria_italica_v2.0:IX:10275731:10276754:-1 gene:SETIT_038880mg transcript:KQK87933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYSGDPRARLELAYCAILATGPIKHKRESLIGKTAVCWLTGNSHDTVPHHVVDVLEELHISRHELKVVKHFPEQYLVLFSDYRAYHQRRVFNFEAWTERHGTVETMLEYRVRLRIEGVLEVVAKNIEGHSRRQDHTKTYDLWAWSSNPSKIPKKVLLIITDPDRELAANEMHHEPLHAAKGTFDYKLHLHLDVVEDLSFLHGGVGGNWPPNRKAHREFLWNYGALDSLGERRAASSTTTTPTTNRDDHDDNFNRGTWHHHSQSTWGRATRCRGAVEDCYISARTRHRGSNQGHRNRTSTHGVEEDREERRMTTTKKAI >KQK87793 pep chromosome:Setaria_italica_v2.0:IX:9392346:9405061:-1 gene:SETIT_033994mg transcript:KQK87793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHAEVSGLLCVGMNETDGGEESYGAEYPKMDQDTSHETAKFDVVMATSALYTDNINTNACLDEYHMSGIQAMEEIRFGSAQPFEIQPKGMVTNSEEESMPSSPETSSTSNYDMPGYMEQNLQHVHNAYDAMVERAGPVVLSPAFIICDETSHLQPRLTFSLDGVKIEYLNLDSFEGEKMIALQWEISNIISISCKWAQSVGYAFITLLAGSEVESGNEAPVRVQFCLDDSQWSRRQQKIWELQPRYQEIWKDIPSDDFTSENWSIEPNLFFPRQYFSSTEDFEDIIYPQGDPDAVSISKRDAELLLPETFVNDTIIDFYIKYLSTRIESTEKRRYHFFNSFFFRKLADLDKDQGRAPEGRAAFLRVRKWTRKINVFEKDFLFIPVNFNLHWSLIVICYPGEVATSKDGDAKLAAELPCILHMDSLKGSHTGLKDIIQSYLWEEWKERHPESASDISDKFLNLRFVSLELPQQDNSFDCGLFLLHYVELFLMDAPSNFNPLKIDVFSDFLSGDWFAPAEASLKRSVVRKLIHEVVTGSFQNHPKLACGSEQLDERHQRCSNAEREPAGEFLAQRRSDVEPETVCKVRDGTHELQPSESICLNDSEEKRVPASGCMLDTGRVSIVDVQNRQESEVCAADEDTIVCMSNQDEKNELLIAESNNQLNMRSCAPKEDEVLKGSNCVVTDKEHGESLFLSLDNNQKIPSQAEVEVQDIMVSTSCADNEIAAQVIACQEHSFQRSAEVGDGCFRPSQDMDSVMMLGSSKDDDGLNPERMTAEGDCGGPHEDMDSVTLHKDAVDTKCEDSLLDPIAENAIIENVNEISTADSVTVETAIIENVKDVSTTADNVNHGELYVSSQLAEGNTDNGMTGAGNTDNGTTGVSTASPGLKEENIDKVVADDCTHESDINAKYSSELKVGNTDIGGITVSSDVNEGNTDQIIAGDCANETDVNADGEGADNCKHFAMDGAAPCADAATCTDGVVLSIDVPCSTINETVSENISSDAQSPLPDGTSKLVDRPCSPKNETSEITSLDGKRPAPDGTSEENDIVIPGDKCTQKDGGQGTDAKIERHYKRRKVLALEKQRSFSGATSLDKVLALEKQRSFSGATSLD >KQK87194 pep chromosome:Setaria_italica_v2.0:IX:6035742:6038848:-1 gene:SETIT_040536mg transcript:KQK87194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRAEIEGPGATDQETRDSSDNQSISSNVALTHASNIHEVETHLVEGRIESEDSSAASKQVRGQECNNSDPKSYADSLIRVTRGKNPFALSILKQVEHKLHGKDIDGTRSLNISEQVDYLLKQATSIDNLCNMYEGWTPWI >KQK87193 pep chromosome:Setaria_italica_v2.0:IX:6037803:6038840:-1 gene:SETIT_040536mg transcript:KQK87193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRAEIEGPGATDQETRDSSDNQSISSNVALTHASNIHEVETHLVEGRIESEDSSAASKQVRGQECNNSDPKSYADSLIRVTRGKNPFALSILKQVEHKLHGKDIDGTRSLNISEQVDYLLKQATSIDNLCNMYEGWTPWI >KQK87099 pep chromosome:Setaria_italica_v2.0:IX:5543610:5545092:-1 gene:SETIT_039811mg transcript:KQK87099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAAPEWLDKGDNAWQLAAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAATFVCWCLWAFRMSFGDRLLPFVGRPDLAALDQAFLTQQGFAGAYPAATLLFFQFVFAAITLILVAGSLLGRMNFRAWMLFVPLWLTFSYTIGAFSLWSPNGFLFKAGVMDFAGGYVIHLSSGIAGFTAAYWLTIINGRRQVGPRTAKDREAFPPNNILLTLAGAGLLWMGWTGFNGGAPYAANIDASVAVVNTHFCTATSLLVWLCLDCLVFGRPSVIGAVQGMITGLVCITPAAGLVQGWAAMLMGVASGSVPWCTMMVLHRRSRLLKRVDDTLAVLHTHGVAGSLGGVLTGVLAEPRLCRLFFGDDPRYVGLAYAVKDGRAAAGLRQVGVQVAGIAFVAALNVGVTSAVCLVVRLLVPLRLGEEQLAAGDDAIHGEDAYAVWGDGETYEQSVHGNQGYPMTANPVASKADEMI >KQK90865 pep chromosome:Setaria_italica_v2.0:IX:46268575:46270676:1 gene:SETIT_036914mg transcript:KQK90865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRQSYWCYQCRQRIRPRGREMVCPYCDSGFVAEMDDVDALMNHFVGMDPDFQRDPRFGIMEAISAVMRHGMTGMNREVDVRGRPNIFSDLEMEFGSGPWLLFRGQLPGHLTEDNGFDVFINGRRGVGMRRANIADYFVGPGLDDLIEQLTQNDRRGPPPAAQSSIDAMPTVKITQRHLSGDSHCPVCKEKFELGSEAREMPCNHLYHSDCIVPWLEQHNSCPVCRYELPTQGSATGASCSRTRSTNQSQSSSSSSSSGRTSGRQRRRNPFSFLWPFRSSSSR >KQK92674 pep chromosome:Setaria_italica_v2.0:IX:56722361:56725591:1 gene:SETIT_036942mg transcript:KQK92674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATALSLGGGARGGCGGGTPLLRGYTAAAAGRCCAFPRSRWRQPRLAASRADDSSPAPFEMTVEGALKLLGVAEGASFEEILRAKNAVLASCKDDQDAVAQVEAAYDMLLMQSLSQRRAGKVADNSIRYADVKPVKSAGAGTVPQWMQATMKNAPITFETPSSSSLGIQSCVYGALMVFTYASGSSTSLPSAYTSPDVPGFILATGFGASLYFLAKKNMNLGKAALITVGGLAAGATVGSAVENFLQVDIVPFLGIHSPAVVVSEFILFSQLLVSLFVR >KQK87126 pep chromosome:Setaria_italica_v2.0:IX:5661179:5662403:-1 gene:SETIT_036256mg transcript:KQK87126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHFSGGWELACTGDQRLPPATFRVEAQRGLPTYFAAAFDSKILAMHPIAPAAALSSVLEGHFPVFDVRMRSCLFAPRMETTGADPIYIPAGGRLFALADGTFDRLDPLDPPPVQFYRPAYGEEARAWSWLELPDPPFERRHVAAYAVHPDGHTIFVSITKGASAATTFAFDTAEHEHEWKRHGEWALPFAGRAHYDRELDAWVGLSGDSDSIGHLSSCDVLFGDVPTERHVGATLVYTGGRSEYCLVQCVSIEDDGEQSDYEEDDSDDERNGGDLEGEDYCVCHDHLLYDYDEEEDGSADETKDCDLREHEQDVTSGIRRYLLRLTTFALKYDRNGDLTTGSGCRVRYYRVPKESTVALLSNPVAFWM >KQK86422 pep chromosome:Setaria_italica_v2.0:IX:1782287:1784741:1 gene:SETIT_036396mg transcript:KQK86422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAWPLSAVAGLIPASLTLTLLIATLVSILVLGAAAFFFEHIRRIGCMHSLERSAVSDAFFEDPNSLNKVPCPSIFDPPEKYISLIVPAYNEEHRLPEALTETLNYLKRRAAADKSFSYEVLIVDDGSTDRTSKVGFKFVKEHKIDNVRVLLLGRNHGKGEAVRKGMLHSRGELLLMLDADGATKVTDLEKLEAQVHALAQKVNSSPGTSTGSPQKVSDVEIAVFGSRAHLEKQALATRKWYRNFLMKGFHLVVLLTAGPGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVYLCKHLRIPMVEVSVNWTEIPGSKVRMTSIMHMVFELLLIRVGYGLGIWKIYT >KQK86672 pep chromosome:Setaria_italica_v2.0:IX:3228333:3230538:-1 gene:SETIT_035995mg transcript:KQK86672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMPFQLKSGHHHHHHGAVMEGKPPPPPPQQQQQPATPRVSMFRRLLVRVSASEKFVADGKERDKDEKPQPPAAGEADAAGSVGLDRMVLSFMEEAAAVERPPRGRCNCFNGSNHEESDDEEFDFLPSEHTSSAATAGAGDAWEALKGLVQSASVAERNLLADTSRIADKCGKSCKGKAECRRAVADGLRALGYDAAVCKSRWEKTPSYPAGEHEYIDAVAVVGKEEVRLIVEVDFRSQFELARSTKAYRAALQALPPLFVGTPDRLGQIVAVVAEAARQSLKKKGLHFPPWRKPEYMRAKWLSPHVRCGGDKAVAPGPGAAAAAAPLSAATPVKAASFSGEFELVFDRKPNKDAAAAGGGGVGEKITVVVSPWRPTEEASKKQQVPKAKVLTGLAAVL >KQK92664 pep chromosome:Setaria_italica_v2.0:IX:56661774:56666235:-1 gene:SETIT_038153mg transcript:KQK92664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRILRSASCNGSGKNPPPSSPVAVSRSASVAGAGSKDDAAAGERKALLPRQPPGGMARKGRKPSNRRVQWKDSHGKKLTEVLEFQPSDSSDSDDEYLDTCICSIM >KQK91372 pep chromosome:Setaria_italica_v2.0:IX:49477393:49477936:-1 gene:SETIT_0380472mg transcript:KQK91372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETSTDWDKAWSTFKNKGKRTLFSDFSPNKYVTWNPRRSEYPLAEEVDPIKRTERSNLMLWTSPQFTLVGAIIIVLTLLIYTIVVPPK >KQK93083 pep chromosome:Setaria_italica_v2.0:IX:58681783:58682160:1 gene:SETIT_040588mg transcript:KQK93083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGTWNTVALHDTSREELYLSLVLSVQECVLAQMMVLSTS >KQK88122 pep chromosome:Setaria_italica_v2.0:IX:11669148:11674099:1 gene:SETIT_033919mg transcript:KQK88122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLRLVTRLPQQLPLQLDGETLLASAVDAERRRAFFASSANFIYTVQLPASSTQGQEPLPWSKISTQHSDVEEVVLEPGDCIVAMDYLMERESLLLGSSAGCLLLYNVEEKTTEVVGRLEGGVNTIASSPDGALLSVTTGLGQLLVITQDWEVLFETSLDPQDATLGDIDSTGCQIRSSISWRGDGKYFATLGAPDGAYGPTKLTIWERESGKVHSSSDAKTFMGTSLDWMPSGAKVATIHDRRTEGKCPLIVFYEKNGLERSHFSIDEPAEVAIHALKWNCNSEILAALVSSGQHDVIKIWSCRNNHWYLKHELRYTKEERVKFFWDPTKPMHLICWTLGGQVVIHRFAWTTAVSETSVALVIDGSHILVTPLHLGLMPPPMSLFQLAFPCAVNEVSFVSSNSKTQLAAYLSNGNLCAVELPAPDTWEEFEGSRISVDPCSSDFTLDNCMHLAWIDTHTLLGICCYSEHYCSTPIGSIEASNLVDKHDSLFSINEIGLVCSEDFVPGSVSSSGWQARVSKKVPLQSSVIGISPNPAKKGSAFIQISGGRIVEYCSSLNLSKMCVPAQISEVDSDHGFPASCPWMTAVLCHENGIAQPFLFGLDDNSKLYMGKRLLSDNCSSFTFYSSAYGTTERVMSHLLVTTKQDLLYIVDVNKIFLKDNEVTIDSHASSHPRGKQSKEHITVWEKGAKLVGVLHGDEAAVIMQTIRGNLECTYPRKLVLVSIVQALAQRRFKDAMDMVRRHRIDFNIIVDYCGCDVFIKLAADFVKQVNNLSHITEFVCSMKNDNVSSKLYEAYISFPDQSAVPMVDIECTPGFLGNKVTSVLMAVRKALEEQIEESSSRELCVLTTLARSEPPLLEEALNRIKVIRELELRGLDDAKRKLYPSAEESLKHLLWLTDTEAVFGAALGLYDLNLAAIVALNSQKDPKEFLPFLKSLECLPPAIMRYTIDLRLGRYESALRNVVSAGNEYHEDCMKLLNSNPQLFPLALQLFNKPDKRNEILEAWGDHLSEEKCFGDAALTYQCCSSYQKSLKAYRACGDWKGVFTVAGLLELEKEEITQLAHELCDEFQALGKPGDAARVALEYCSDAERGVNYYIMAREWEEALRVAYMLTRHDLVGTVRDAASECAVSLISEYQEGLLKVGKYIARYLAVRQRRLSLAAKLQSEDRFMDVEDDSISEVSTSFSEMSAYTTRSTKESTASVISSKASKSRGARRQKKGGKIRAGSPGEEMALVEHLKGMALTGSAENELKSLLVVLIQLGKEESARQVQQAADNFVVSQTAAVKLAEDTVCNDKVDENAHTLEHYVRMLRAHGSGHSETGSWRIKALSP >KQK91366 pep chromosome:Setaria_italica_v2.0:IX:49450764:49452466:-1 gene:SETIT_038251mg transcript:KQK91366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSDHGGFGPRGGMGGGLVNDLRCGCPRWRKATGSRCLKRCLEGKTSAWHEGDGREEPCASCDHEFGMWLAWYEVADRSASLKRPAWR >KQK88912 pep chromosome:Setaria_italica_v2.0:IX:18536865:18537680:-1 gene:SETIT_040782mg transcript:KQK88912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVIRFIPTENACISRRRCHPRPGAGVNEIRRVLLLAAVASFPG >KQK90557 pep chromosome:Setaria_italica_v2.0:IX:43638557:43638985:-1 gene:SETIT_038195mg transcript:KQK90557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKSSNNMSKAALMVVVLLLASQIIPSHGTPLIVNRRHLLQSASTTKGMMEGTITPTEGGVPAATEDVRPTTPTHSPGIGHAFTNNKIGRKLLMISQ >KQK90917 pep chromosome:Setaria_italica_v2.0:IX:46637208:46639041:1 gene:SETIT_035920mg transcript:KQK90917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPVAASLPSQRRQSHKAVFLCSASSRTERPLSRALPMASGAAAAPAVQTVVLRVSIHCHGCKKKVRKVLRSIEGVQSVTVDAAQHKVTVTGTVDASTLVQRLHKSGKKGVPWDCHPPANKTEAAPAPAPAPEALPPPAAKPAGDAGKDAAAAAAADKKPEEAVKEPKAESPEKKKPEEAVKEPKAESPEKKKPEQEGAGAEKKPEAESKAEKKVEAKKEGGDDEAAEPKAKGAEPAKEEAKEAVAAATKDEDEAKKVKDEKPKDAGKAEPAAVTERSLSSPPPPAPKHAYYEEEYRRPYYPTPQPVLSYHAAQPSASVSYFAPQPHAAAYSMQQPQPQAAYSTHQPPQQQMRQWSPSYLYLPYPHAAPEPYHHQDYYSPPGMHASPPPMQDSYRIFDDENPNSCSVM >KQK87392 pep chromosome:Setaria_italica_v2.0:IX:7074289:7074947:-1 gene:SETIT_038211mg transcript:KQK87392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICLRMACESFQVFLVSILSKSQNEKLVQYFEKSKMDPHHQQTKELSITQDDLNDMLILICNLVIRSLWCMTSREGSRNLPPKDTNNFTTLVSSHGC >KQK88670 pep chromosome:Setaria_italica_v2.0:IX:16028750:16030302:-1 gene:SETIT_038919mg transcript:KQK88670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TYGDSRMAAPLPTTATLSPDMSAASPWASSLPEDLVRLVASRLLAGDLLDYVRFRAVCAPWRSSTASPRGRGVVDPRFHPRRWMMLSEGGGLYPGHPKLQGYVRFFNLDTGAFVRVHMPLFEDHCVLDSFNGLLVLQRDDDTAIRLLHPFTGDILDLPPLKTLLPQMHEVFPHLSSRKKLPYLRSISTAATFADDGVVTVMLALRTTRRVAVATSQDHQWTMSTWNYVINFAPFPYQSKIYVVYNLEFDHSAKIFQIDTPLPGEVLQPPKLIVKCTADKLRVPVFLVECDSEVLVIGHSKDLASKLLVYKLADLVMEKYTPVTSIGDKAIFLHRRNLSASAKALPTLVGGTIMYFHPREHQLVQYHLSSGSWSPAMDQCSLRGTEPGPCSFIQHVYTCCSCKHWNKGLMCRWWKYPAEWIRWPVKGKLRQGVIDS >KQK89243 pep chromosome:Setaria_italica_v2.0:IX:22467356:22469624:1 gene:SETIT_037689mg transcript:KQK89243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAWIVGEKGEDLQGPKEFLPLSKLEDVGVLYWQLDPKKSESEEELAKIRKERGYSYMDLIEICPDKLENYEEKVKNFFTEHMHADEEIRYCLEGSGYFDVRDKDDKWIRIWIKEGDMIILPAGIYHRFTLDSANYVKLMRLFIGEPVWTALNRPQEGHPARQEYVKNVMGNTGFALAAH >KQK88303 pep chromosome:Setaria_italica_v2.0:IX:13016352:13019615:1 gene:SETIT_036874mg transcript:KQK88303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPSRPWAELQHDLLVAIMTHVGAPGLLSGGAPRACSSWRAAARDPLAWRRVDLRDWAALTSGRRASSSRVPVHAALFGILEVAATLAEGRIEAVLLPEFADEDHLLFLAERCPNLHYFSLPSTCMTYDQFCKAIGEFHSLKGMAVDESLINYDVLLHVHQCCPDFVELKVSALYVDEEMASIICNSLPQLKKLEIPSSDMPAAAITKFLDCLEELEYLDISGYETSAISSTVLEKASRLKVFLWNSKFELGEFVDCSNCGEHNINPGEPCKCMMEHKVMDWLAGPSQAS >KQK89627 pep chromosome:Setaria_italica_v2.0:IX:34091678:34093567:1 gene:SETIT_040263mg transcript:KQK89627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFAQGNATSRGVEEDILVPLRARLTTISTFLDSAAPPLCIADGEGPPPLASAASAAAEDAEFRSRSRALLEKLRREMVQLEGVFRRIDDAEKGIRYSFDPVEQHLDDALETLDAERIHAGLLAVDAGIEAIKTTIRAAYNIPCDGGGGDGYEYLTTPPTQPSAPGTATAMTTKMCDIRHGPQMSHLRLAVGSLEARLRSCALCLAAFPEGAVIKKRLLLHWWLAEGFVGSAEEGKRRFDELVDKGFIIPAPAPTALCATVHRCTVRPWMRDLLSSVAKRNGFLELNAGDVAFARRACLQGGGKAQQPTGFSAAVRAIYNIGHKYVELDERWFAGKKDLRVLQLGQWREFSAPEQIDNPMDSHIEISGVERLRDMGSCRNLRYISFRGISRIESLPDSIGKLRELQVLDLRACHNLEELGQGIAKLDKLEYLDLSECHLLVSMPKGLGQLTRLEILKGFVVANSNSRDFCHLNELTKLEKLRKLGIVIGKMAMPLEDEFLKLGEFKALESLRISWGVLSSDKNGTTEASRRHSVATMNYALPPNLKKLDLHCFPFADLAQWVRPTGVKKLYIRGGKLATLGASEEKWEAEVLRLRFLRHLQCDFDRLQRLFRKLKPENTEIHQCPNFIH >KQK93073 pep chromosome:Setaria_italica_v2.0:IX:58645656:58646764:1 gene:SETIT_037023mg transcript:KQK93073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLSSISLLLLLQAAAAAASSVSYNDSSSSSSLMQMQWGNARATWYGQPNGAGPYDNGGACGFKKVNEYPFMSMTSCGNQPLFRDGKGCGSCYKIRCSKHPACSGRTETVVITDMNYFPVPAAPYHFDLSGTAFGKLAKPGRNDDLRRAGIIDIQFARVPCEFPGLKVGFHVEEGSTQVYFAVLVEYENGDGDVVQVDLMESSRRGGGGRWTAMRESWGSIWRLDSNHRLRPPFSIRLRSDSGKTLVARDVIPVNWRPNTFYRSFVQYS >KQK86790 pep chromosome:Setaria_italica_v2.0:IX:3758704:3758924:-1 gene:SETIT_0364381mg transcript:KQK86790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEASTSASGGATRRAFPPARSG >KQK86791 pep chromosome:Setaria_italica_v2.0:IX:3758704:3758773:-1 gene:SETIT_0364381mg transcript:KQK86791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEASTSASGGATRRAFPPARSG >KQK87665 pep chromosome:Setaria_italica_v2.0:IX:8595666:8596144:1 gene:SETIT_040513mg transcript:KQK87665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQFATISTMNNIKRMQWFSFSSGAMPFLLVLLL >KQK89383 pep chromosome:Setaria_italica_v2.0:IX:26742011:26742228:-1 gene:SETIT_040556mg transcript:KQK89383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKKFFQRDFKLKMAIRIPACKCRLEKN >KQK92667 pep chromosome:Setaria_italica_v2.0:IX:56675966:56679939:-1 gene:SETIT_034426mg transcript:KQK92667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTKERGRFSRFIRRISVQCLCSGHQMNRMDRAVQLSENVDIKDGLNSRYSSPNFVIEQPVNNAGMEEAELSLQRVGSLNYEEARALLGRVEYQRGHIEEALRVFDGIKVSALIPEMKISIARKVGQQKPRPYSSSPALPFHAVTVLIETIHLKALALNDLGRFEEAARECSTILDIVESAVPEGLPSNFGNDCNLNETICRAVELLPELWKLGGFPLETVSSYRRALVTNWNLDAKTIAKIQKEFAIFLLYSGCEASPPKLRFQLDGLFVPQNNLEEAILLLLILLMKFNLRRIERDPTVMHHLTFALSMCGQLKPLARQFEALLPGVLENREWSYNVALCYLASDDDLTALDLLRRVLKSGEDSNSLKELLLASKICGESGAHVGEGVLYARRALANQHGGCDQMEVVAGRLLGISLSNQARYATTDIERASQQHEALEVLGKAGKKMGNRDFGIIYSLSLENAVQRKLDTAVRYAKKLLKLEAGSELKTWLLIARIMSAQKRFEDAECIVNAALDQAGKWSQGDLLQTKAKIQIAQGQFRKAIETYTQILALIQIRMKSFGAGVSMLQGTKTDKNLEIKTWYDLALLYLRMLQWKDAELCIAKIKAISPHSPLACHATGKLLEAKGLSKEALRAYSIALDLDPKHVPSLTSTATVLRQLCKKPLPAVRCFLTDALRLDRTNHVAWFNLGLLYEEEGDSAAIEASECFKAAAALEESAPVEPFR >KQK91830 pep chromosome:Setaria_italica_v2.0:IX:52102235:52102749:-1 gene:SETIT_040459mg transcript:KQK91830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVEIQWVGARADATAGNSARGVDIDGSAPQLDGRDLGTAAAAGIRVAGLNILQKPP >KQK87183 pep chromosome:Setaria_italica_v2.0:IX:6016786:6019210:-1 gene:SETIT_034621mg transcript:KQK87183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASVRHGSSRAQAWFCTTGLPSDVVFEVHDMTFHLHKFPLMAKSRKIHRMLTEQEEQRPARGRRRRRSSDGGDSGDDGAAETEIEEADDEEEEGAEEQQVRREDDGQVYSIAFPDFPGGPGTFEAAAKFCYGVRVDLTAWNVAPLRCAAEYLEMTEDHAEDNLAARAEAYLDKTVLQHPGDATKALKSCEELLPLAEELGIVARCVEAIAARSSATSRSWFDDLAVLGLRMYKRVMAAMAARDDVRAEARESCLVSYARGTIPGLSRSMRRRLASAPVSSEVEQKELLEAVVASLPADRCSGRVVTAKFLFALLRTAHILRASDAARAALERKAATQLEQATLEDVLIPSYSGAAETLYDVDCVERIVGYFLAEEEIGGFASSSAAIEVEEEAGAEVSRPSAVAMVQVGKLVDSYLAEVASDANLKPAKFCELALSLPDHARIYNDGVYRAVDIYLKAHPRLTAEERDRVVGVVDCRKLTVEACTHAAQNERLPLRAVLQVLFFEQLQLRRAITGTLLAPAGASAVPPRAMRQQRPVSGEWRGATVLAQESQVLRLDMDSVASRVQELERECSSMRRAIKKIDGSSGSGSGGRSPGGRSADGGDLSGPAVGGWRARHGCKFSTQVCDSHARNVVASRASRIGMSP >KQK87740 pep chromosome:Setaria_italica_v2.0:IX:9020065:9022159:-1 gene:SETIT_038481mg transcript:KQK87740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSDFWECNIWATTSEVLGREAAGRGSKGGSSWFNLIEGPRFSLSQFCRWNTLL >KQK91553 pep chromosome:Setaria_italica_v2.0:IX:50530107:50530506:1 gene:SETIT_0364342mg transcript:KQK91553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASGRPPPSLLVEKEGPETVEFHVLHARESEHAAAAGRASRPSKVRPRTTARQQQGAPPQPMRGIFHGHHRPCTFDI >KQK87297 pep chromosome:Setaria_italica_v2.0:IX:6479895:6483088:-1 gene:SETIT_036854mg transcript:KQK87297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVSAFSSSSSALLRGPPRVRRLLAAAATRAHSSAAGASRARGGLPRFHTPSLPSSKGEVIRIQGDEFWHMTRVLRLGVNDRVELFDGVGGLVEGSIQKVDKGGSDVELLEDARLIAPQGIQWHVFAAFGTLKGGRADWLIEKCTELGACSVTPLLTERCHTIAENRVDRLQRLVLSAVKQCQRIHEMSLKPPIQIGNLLPVVSQSKLAFLASAEAPPLLRVLPKSSNEQSGILIIGPEGDFTEDEVHALKSAGAGPVGLGPCRLRVETATISLLSALMLWSDAKHQETEQCR >KQK92096 pep chromosome:Setaria_italica_v2.0:IX:53641718:53643792:-1 gene:SETIT_036797mg transcript:KQK92096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGSIRAAAKAAMIGGYRSAAYMRRAVIPSSNSSSSSSAADNRKASTLVADDWVIPDREVFGPVPTHEEAMAATLDLSEAFEIAKAESHTADLDTPKKHFSITDQDNHAKVAQQIALPQSVESETPQVVVHSETSKKEDNYENLLAASGTPGRVVQAFTLLHENPEAQDVVASLASDKNVWDAVMKNEKVLKFYKTYESTSSVSGDEAEDGDAASVQSTDLRPSTGESVKDYLEKIRALVFEMVTNLSNMMQDLVATSDEGRCKGKIKTLIMSSSKDFPSAPSAFVLLAIASIMVVLLKRA >KQK87076 pep chromosome:Setaria_italica_v2.0:IX:5467537:5469737:1 gene:SETIT_036087mg transcript:KQK87076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKASAASRYAAYDSPSPSPSPRRAAPSAPAAAATPGGAHGSSSSRSRALVVAGRSGRDLLGGRPQPQHQGNLGSVLRRLISMDKKPPSAKGHLPVPPAAAAAAAAKNNGGGKLPGLSRKLFQKGPSADAAAANKTKALTDVKNGGNNANTRTLAMVLRSERELLAQSKAQEDEIAALRLQLENKDREVERLKDLCLRQREEIRTLKDAVLFPDAEREPEPDRRLRDEISTLTGQIQCLAQELAQVKAEKHSARSCFEDDGYCSSPRTPGFNEETAFSLECSIGEAETPNYGSPDEMFSKDLNPCLTPCIAKSKSDVSAQIQSSSHSTKECQESSGSLRSSSKAKTDRSYNSFGRPMSKSSDHHKPTSGTSNKRRVYKSDQDKIYQNLF >KQK89925 pep chromosome:Setaria_italica_v2.0:IX:37197686:37201291:-1 gene:SETIT_035664mg transcript:KQK89925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWDALVDAALARLEARSLLRATRPIALAPPPAAPETFAGPGPWDRAAVEIRFDRDTLHQWLAEGGETGEQEEKLDGNLILFSGNDYMGLSSHPAVREAAVKAAQEYGMGPRGSALICGYTTYHKLVEESLAELKRKEDCLLCPTGFSANMAVMTALGSISSLLAAGRKPAEDERIAIFSDALNHASIIDGIRLLERQQEAVVFVYKHCDMFHLDFLLSSCSMEKKVVVTDSLFSMDGDFAPFPELVKLRRKYGFLLVIDDAHGTLVCGENGGGAAELFECENDIDISVGTLSKAAGCQGGFIACSTRWKNLIQSRGRSFIFSTALPVPVVASVHAALYVSRKERWRRSAVWRHVQYFASLTKVDITSPIISIVVGSEEAALRAGRHLLRSGFHVTPIRPPTVPPNSCRLRVTLSASHSSDDIKRLVDALTPWLPDKYAEQTYATLSKL >KQK86532 pep chromosome:Setaria_italica_v2.0:IX:2474927:2480592:1 gene:SETIT_034016mg transcript:KQK86532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGRDAANSGKHRAGQVCQICGDGVGTAADGELFTACDVCGFPVCRPCYEYERKDGTQACPQCKTKYKRHKGSPPVHGEENEDVDTDDVSDYNYPASGNQDQKQKIAERMLTWRTNSRGSDVGLAKYDSGEIGHGKYDSGEIPRGYIPSLTHSQISGEIPGASPDHMMSPVGNIGRRGHQFPYVNHSPNPSREFSGSLGNVAWKERVDGWKMKDKGAIPMTNGTSIAPSEGRGVGDIDASTDYNMEDALLNDETRQPLSRKVPIPSSRINPYRMVIVLRLIVLCIFLHYRITNPVRNAYPLWLLSVICEIWFALSWILDQFPKWSPINRETYLDRLALRYDREGEPSQLAPVDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFDALAETSEFARKWVPFCKKYNIEPRAPEWYFAQKIDYLKDKVQTSFVKDRRAMKREYEEFKVRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDAEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGQYLLNLDCDHYINNSKALREAMCFLMDPNLGRSVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGLQGPVYVGTGCVFNRTALYGYEPPIKKKKPGFFSSLCGGRKKTSKSKKKSSEKKKSHKHADSSVPVFNLEDIEEGIEGSQFDDEKSLIMSQMSLEKRFGQSSVFVASTLMEYGGVPQSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSIEILFSRHCPIWYGYGGRLKFLERFAYVNTTIYPLTSIPLLLYCILPAVCLLTGKFIIPEISNFASIWFISLFISIFATGILEMRWSGVGIDEWWRNEQFWVIGGISAHLFAVFQGLLKVLAGIDTSFTVTSKATDEEGDFAELYMFKWTTLLIPPTTILIINLVGVVAGISYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWAILLASIFSLLWVRIDPFTTRVTGPDIVKCGINC >KQK91434 pep chromosome:Setaria_italica_v2.0:IX:49787951:49788633:1 gene:SETIT_037660mg transcript:KQK91434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGKRSRQQAEEMVRLPDGADVASFLLLFSGHHYQHQASSPDPASAPERVFECKTCNRQFPSFQALGGHRASHKKPRTADGGAAAEPPKPKVHGCSICGLEFAIGQALGGHMRRHRAAEADGGSNNGLGLGLSLGSGLGQKDGGRKVAPAAEVVLDLNAVPEMEEEPDRAKLGLSVEFPVAVVDFLR >KQK91474 pep chromosome:Setaria_italica_v2.0:IX:50052536:50055770:1 gene:SETIT_036286mg transcript:KQK91474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCAGVEGNAEINPSFSAPNSSGTGSKNSSTFGTKTSGSSSSVPPTPRTETEILESSNVRKFSFSELKGSTRNFRPDSLLGEGGFGSVFKGWMDERTLAPVKPGTGMIVAVKKLKLDSFQGHREWLAEVNYLGQLSHPNLVKLIGYCLEDEQRLLVYEYMPRGSLEHHLFRRGSHFQPLPWNLRMKVALEAARGLAFLHGDQAKVIYRDFKTSNILLDSEYNAKLSDFGLAKDGPSGDKSHVSTRVMGTQGYAAPEYLATGHLTAKSDVYSYGVVLLELLSGQRALDKNRPPGQHNLVEWARPYITNKRRVIHVLDSRLGSQYSLPAAQKTAALALQCLSMDARCRPGMDQVVTVLEGLQDARVR >KQK88077 pep chromosome:Setaria_italica_v2.0:IX:11351375:11355165:1 gene:SETIT_039347mg transcript:KQK88077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNENNVESSKEDSDSDDDCGSYSTPPKYEPSPPRSPSVQMKMTLDTIQYRSSGNLVIEKIGTKGEPILPKGISARFQNKCGAIVRDKLQIWIMTSNWKKVPTTTKDVLWGTLKERFTFLEGQEKFTKNFAEGLLGRCFRNWRSTLNKEYVQKGKNASDDFATENPHHLGVGGYAAKIAKWRREEQERMRAGLPDMFEGLDKRTRNWVLARISNVTPNDKIYKRLEQLPEVQKKGLSKADKEKDQPTVTIGTAEHSGRVRGMSSTFPNNQASYRKHDRYKKNLEEKMREIAKQEFLEFLANHGISQTMADPTVSNGQRQAEPTMLLAQTRFVAPSSTGSIANMRYPVDDIQVDTPCRLVIPYGRKQNKFREVATGMAIMKYAWVQVVTLLDELCEIDIPTDEEIEVLGDAMNQYILWHRRDIILNVSLETSRTSQELPLSDSNVDTEQPTQSHPMLSPVREAFNEDNGTSALEGDERVDDLEVNDPTSPSTTSPPPKRQAIPRRVSTYEKAPLAHVDKFSSSGEKSCHKQSSGSVLCGYYVCKFIRNNGRYRMNPEDIEYKQIDNIYTDIVRFILCEICHEDGAFFDKVGDISTYVFVLAGDVTTRQHRKHLC >KQK89531 pep chromosome:Setaria_italica_v2.0:IX:32533289:32537032:-1 gene:SETIT_036028mg transcript:KQK89531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFVVTKVCGGGGKARAGVLHIGGGIETPALLLSTRKGLPSFVSCDLLASLPLPDSLLLHVCPTHFIEGPPMKTISNIGGLHRMLGLPDHILVAAAGDSIESLPSSEATNKFGASFDTPSGRRLVKPSDYMELVSCMKPNLWASLADEVPAWVTEKRNKTSVDRTLRWLDACIALDAAAGANSFGFIVGGSSIEQRRLCATEVAKRNVSGFWIGGFGLGDDIEERCSLLNAVTDCLPPEKPRLVSRLGLPEEVLEGVAAGIDLFDSTYIYQLTMGGFALIFPVDMVGREMQNGLFNNDGGDSTKINLRATTYRKDTSRLVDSCSCFTCQNHTRAYLNHLLNVHEMLAQILLEIHNTHHYLRFFRSIREAINVGEFDVFRQQFVKKRRAHITAAVL >KQK91342 pep chromosome:Setaria_italica_v2.0:IX:49341749:49342304:-1 gene:SETIT_039679mg transcript:KQK91342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTQKVDPVEPSAKVFKQASQFKRWGRKHPFVRYGLPLISLTVFGAVGLAHLIQGSKEVTKEKEDIEWEVVETTKALSRTGPVEGAYKPKKLSLEDELKALQQKVDINSYDYKPIPRPTEK >KQK89686 pep chromosome:Setaria_italica_v2.0:IX:35030362:35032486:1 gene:SETIT_034804mg transcript:KQK89686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVETPSPARAADGGKLEEASEARSYWRWRKDDFFPEPSFGSWAAYRAALAATPARLRDRLVGRSTDAAELGALRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEARDHAGPAIVLSYAASGLSAILSVFCYTEFAVEIPVAGGSFAYIRVELGDAAAFVAAANLILESVIGKAAVARSWTSYLASLMNKPASALRIQTSLAEGYNELDPIAVAVIAVTAALAMASSKGTSRVNWVASAVHVLVIAFVIVAGFIHANPRNLNPFMPYGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPPRDIPLGLLGSMSAITVIYCAMALALSMMRPYTAIDRSAAFSAAFGSVGMRWAQYVVALGALKGMTTVLLVGVVGKARYTTHMARSHVIPPVFALVHPRTGTPVHATALVAAASAGVALFSSLKVLSSLLSASTLFIFMMMATALLVRRYYVPGVTTRAHALRLTALLLLIIGSSIGIAACWGTSPGRWQGYVVLVPAWAAGTLGIQLLVPMARTPKVWGVPLVPWLPSLSIATNVFLMGSLGKDAFIRFGLCTAVMLVYYVLVGLHATYDVAHGACGGEEDEELPGR >KQK90031 pep chromosome:Setaria_italica_v2.0:IX:38758779:38758980:1 gene:SETIT_0403631mg transcript:KQK90031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDCQTVRCVPDLHAVAATKQRQHQEARIAANKQR >KQK91658 pep chromosome:Setaria_italica_v2.0:IX:51073328:51074899:-1 gene:SETIT_040177mg transcript:KQK91658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPPMDAAHDPAPFSPLSSDAALSPHFPPALADAGAGALDLSFTSTASASTSSFTTATTFSARSSLSLPSFSSSTSLSPRPHSSAASPHWAHLAAARAATPDGVLRLAHLHLVRELGHGHLARVFLCRLKSSPPASPLFALKVVDLRDDDPSRVSHVLAESRVLSSLDHPFVPTLYARLDAGRYACFLMDYCSGGDLHAVLRRRPGGRLPVAAARFYAAEVLLALEYLHALGFVYRDLKPENILLRGDGHVVLSDFDLALPASVEPAVRRRQVRKQSRRRKSILLPSCFSGANGGSGDDGDEVEIDAKERFEFVAEPTSASSKDCVGTHEYLAPELVSGSGHGNGVDWWAFGVFLYELVYGRTPFKGHTKEVTLKNILSKQVTYPQLDGEADAAQLRDLVGRLLERDPRRRMGAARGAAEIKRHPFFAGVDWALIRCVAPPVVPDKEAAASPAGGDRKAAKLGSWSSMGSNCSSKKRKSSSFNGRRSNCEERQGVFRKLMSWSQENRPSSKTKTTTMNKVK >KQK92752 pep chromosome:Setaria_italica_v2.0:IX:57074863:57078440:1 gene:SETIT_034172mg transcript:KQK92752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQYLDFSHASTSRKWGHKRQGDGFEAPRNSMEFALEASHSYGVFQEDVPYSCNMRQYPKSGPSHSSTPIKKLIHEDISFRTNEGQKRPSVIARLMGMDSPPLNTSTESISRSEERRSVPRSRDPSEMISTKHVSFVPHDKDSIKHAPKQEIRAYDDERDVFGHPSKRNNEWSKPQPREHPQEEELQKFKKEFEAWQASRAWEQSRSFELESNLDDDDDDKCTDIVPYRHQHHKGKDASSGNKYIHSNDDVHRRRSKESSTSISGSRTFSLASADACSTRLPLSRFYHEEERSLSPTRIVVLKPCPELSMDDIEESSLGSPELVKKENNMEAFLEEVKKRLKIELEGSMASDDKANRWAVGDIPADPKKIARNIANQIRENVTRDLHPALVRSESTRSYRSDVPFNGQNQMDYIGRDARRQLSDRLKNVLRREPDAEPPFSHRRRAASTSFDEEPRPKPRHDMASRKGKIRRKEEKKCAIESDVRSFRYGSSNKTPTTQLDSEPVSPRNLMRSFSAPVSGTTFVKLLSEEPRVLTGARLQRKQEGYGSRPSSSEERKGRKDAFGIKGKVSNLRQNLGLRAKLFGKKFHASDESSFPDDLPPIGTLVTAPSVLIHPGVLQENSTEVPPSPASWCSSPPDEMSRGGYPSPVSPLEAAFSGHRSPLRTATKDMISSGCEPGILSEQFQTTEERAETSPVLDDQDDDMDELDHPIKSFIRDVLVVAGMYRSRQNPVNLLSDCEAKPIPKRVLEEVEFSSSTVAASSNVGAAAIDHRLLFDLINEALPGAVRSSTTLCTFDKFYAAAPRRAPGGKKLLEALWKSVQVWLEPPSHSKTSSSASVDVLIGRDLSMSAWHGAFRDDADAFGEEVEAEILDELVDEMVWDVLLNVGD >KQK87070 pep chromosome:Setaria_italica_v2.0:IX:5416326:5416893:1 gene:SETIT_038028mg transcript:KQK87070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTTPPGPRRGAREWDSERASSSSSSDNIFSPALLQRGTRKGTEGSNSNSDARAADSLTAGRGRRRGGGGRRGARGRIGGLGASEIGGCAAAAVEDEWVVRAPRVESDVASSGGLLPRNSRRPFHLR >KQK87123 pep chromosome:Setaria_italica_v2.0:IX:5652282:5653183:1 gene:SETIT_038656mg transcript:KQK87123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGQPRRPPQGEAAPQVPDQPIKYGDVFDVTGDLADRPVAPRDAALLQSAEQAVLGRTQRGGPAAVLQSAAALNARAGHVGKGQITGPAADAGATVTEAELPGLRVVTESVGGQAVAKLVTPAPVVATDPSGAADQDAVTIGRALEAVAATAAGGKPVDQSDAAAVQAAEMCATASSATAPGGVAAAAQAAADHNARAARDEDKVKLRDVLSNAREKMPADRGATGEDAERVVSAEIRNKLDMATTLGGVAEAVTTAARLNQERP >KQK88631 pep chromosome:Setaria_italica_v2.0:IX:15635588:15636208:1 gene:SETIT_039337mg transcript:KQK88631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDGLCTLQVSSFGDAKKQSNRKPRPAADDGPSGSRHPQASTSAAATRTRWTSTAPAPPRPETSQSGPRPTDGPAVVAGRTWRAPSRRHSFRHQSERTGLWTAATTLGVIVLFGRVTAVVFLCSCLYGARFVRARLGGAGASAKATSSGVAGGGCGSRRFGDPAGVAGEKVVVKAEPCATEECKKKVVMVGLLERPGKTASSRFGR >KQK91898 pep chromosome:Setaria_italica_v2.0:IX:52594293:52596761:1 gene:SETIT_038589mg transcript:KQK91898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLAAALLLIITLTSAPAVDVADGQALPGCQATCGGVNIPYPFGIGARCSRVGFEIACNGSTPFLSGTGYKVLNLSLATSGVLLELPIAWTCYDRSGKQSYSEAPVSFNPQGVYRISDAHNQLFVVGCDVTAYIQSRMDSRSSNAGGYAYEYYTGCVSYCTSAESAKDGRCAGVGCCRVDIPPNLSDNSVGVDDDDEQSLVVRQMIYEFSSCSYGFLVARNSYTFRRADLKMDRNRTMPVWLDWAIRPNGSSAFTCSDAMKKSSYYECKSQHSNCTNAANGPGYTCSCSRGYEGNAYIVGGCTDVDECLYPEKYPCHGVCRNTVGSYECKCKRGSHGNPLKDTCEPNLPRSALLTIGVVCGISFIIILVILMVMVHHRRKLREFFKRNGGPMLENINNIKIFTKEELNQITKNYSIVLGKGGFGEVYMGIVDNKQQVAVKRSISVDEARKKEFANEVIIQSRISHRNVVRLLGCCLEVDVPMLVYEFAPKGSLYDVLHGAKDNTKASLPLGTRLDIAVESAEALSYMHSSATQKILHGDVKSGNILLDENFMPKVSDFGTSRLLSIEKKHTILVIGDMNYIDPVYMKTGRLDEKSDVYSFGVVLLELITRKKPRYDGNNSLIINFFKSYGSEDKTRKLFDEEIMSPEDIEFLQKVGSIAVACLKEDMDDRPTMKQVAEHLQL >KQK90847 pep chromosome:Setaria_italica_v2.0:IX:46152229:46155996:-1 gene:SETIT_035163mg transcript:KQK90847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENPRPQPPAPTPPMAPLPVPVHPPIAPIPVPPPRAPIPAAASSMASTSASAAGGDDEAEYEVSDDHRAARERHERAVQELLQRRRAYAMAVPTNDSAVRARLRRLGEPITLFGEREMERRDRLRALMVRLEADGQVDRLLRAQEDDQAARAGEEEEEEEQIQYPFFTEGTQELLNARVDIAQYSLPRAKARIERAKRRHEDPDEDPEAEADLVVKQAGEFVLECSEIGDDRPLTGCSFSRDASLLATSSWSGLIKIWSMPQITKVATLKGHTERATDVAFSPADDCLATASADKTAKLWKPDGSLLMSFDGHLDRLARVAFHPSGKYLGTASFDKTWRLWDINTGKELLLQEGHSRSVYGVSFHPDGSLVASCSLDAYARVWDLRSGRLFFTLKGHVKPVLGISFSPNGYLVATGSEDNFCRIWDLRKKEMLYSIPAHKSLVSQVKFEPQEGYYLATSSYDTKAALWSARDYKPIKSLVGHESKVTSLDISGDGQQIVTVAHDRTIKIWSCRSSIQDNAMELD >KQK88025 pep chromosome:Setaria_italica_v2.0:IX:10951798:10952272:-1 gene:SETIT_040412mg transcript:KQK88025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLDTRLCMSCTQLVAFKAFPMCNHASKPSEI >KQK91956 pep chromosome:Setaria_italica_v2.0:IX:52925763:52929646:1 gene:SETIT_036224mg transcript:KQK91956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLSDPSKEDASSEGSGTIQKNGAWSNALNTLLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGEFDQMKVDSSKVSNWLSFDVVLPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKVEPKEENDEEQQKLLQMQGVQESSSTQK >KQK91957 pep chromosome:Setaria_italica_v2.0:IX:52926001:52929646:1 gene:SETIT_036224mg transcript:KQK91957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRAGRYKMSNLSDPSKEDASSEGSGTIQKNGAWSNALNTLLQQASVYGVAAGYCLSASLLSIINKWAVMKFPYPGALTALQYFTSVAGVLLCGQLKLIEHDGLNLRTMWKFLPAAVMFYISIFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPSLKTWLSLSTILGGSVIYVFTDNQFTVTAYTWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPLELLIMGEFDQMKVDSSKVSNWLSFDVVLPVALSCLFGLSISFFGFSCRRAISATGFTVLGIVNKLLTVVINLLIWDKHASFVGTIGLLICMSGGVLYQQSTTKPKAPKVEPKEENDEEQQKLLQMQGVQESSSTQK >KQK86350 pep chromosome:Setaria_italica_v2.0:IX:1390833:1391361:-1 gene:SETIT_039333mg transcript:KQK86350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPSDVRRDGEEGPVVSHGVVHAQVRPAALRPPPPVDVAARRQGHVLLEEPSGGARHEGRQVAPREEASLSPDYTQDAGGHGGGVGASGDTVDEVRAQDLAPEQLACGGAPEDGGGIGARGDLEQEVRRKLRSRRGRAPRSACSAAFARRHRFTPDGTLGFEPR >KQK89307 pep chromosome:Setaria_italica_v2.0:IX:23629693:23631384:-1 gene:SETIT_039829mg transcript:KQK89307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISTISIFLLGIIPMLIIVASLRHKSRVKSEKRRPPGPRGLPFIGSLHHVLTSQPQAALRDLAKKHGPVMYLKLGQVDTVVISSPAAAREIICYGCHDIAFAPYGAYWLVLRKLCTIQLLNTSKVKQFASIRNRETMSLVKEIYSTSGKTVNLGSLLVSNISGFCAWDLFPSLQFLDVVTGTKRRLQRAHLQLEGVTDKIIAECEARRKERKAKNSEGQEVQGEDDLLSVLLRIHDEDEFEIPIKITTIKAIIQAQRQLHQPTIELMRNPDTMVKAQKEVRQAFDHKCPYGHESLMGELHYTRMVIKETLRLHPPLPLLLPCLCRETCDIGGFKVNKGTRVIVNAWAMARSSEYWDNAEEFKSERFKNSIADYTGTQFEYLPFGSGRRLCPGIGFALSTLELIVARLLYYFNWSLPHGMQPEELDMDTTVGATARRTNPLHLVASPYKVPIEH >KQK90252 pep chromosome:Setaria_italica_v2.0:IX:41029389:41030970:1 gene:SETIT_035968mg transcript:KQK90252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWLALPDGTFFGFPGSAALRFPDAAGYHGSCDDWLIFNGDGDGHGGDGYLLANPFSGDTVQLPGLSCVRFVVTKNGEALAWRRVTDDRRSPPRDDDRAQDDHVPGPGRGRHRRRRAARQDRDVPPRRGFLGDQRPRRVEGVHGHRVLRRQGVRGRGHRRPLRHARRRLSSPASHGWPGLGASSRPLTRCRRDGASRHRQQCGTWTMAVRKLVVCPDGFIAAIFGREHFAKVALCSLETFSWSHSAHDRWRWYDDLAFCGSRLYAITADEDLLALDVGVDGDTGEPFVSRVERVIEGHCPRTIAVVHYLVPSAPGGGALLMVRRRFPHDEDGRSRFTVFRADLASSRGHVRDDCAGMWFWEPRRRADHHAAVYDMFDGTVSDILPRQTQDDGPALATWLFPD >KQK87534 pep chromosome:Setaria_italica_v2.0:IX:7815400:7820286:1 gene:SETIT_034622mg transcript:KQK87534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHAERGGAHEDLSWSTLGKRAAPLDVIVGDRGGGVGMRRAQQQGGPAGAVRVDEASSASTFRELDDAFLQKQTKIWLGEVLHLRFDEDVLVADLLADGELLFQVSKVLWKRLLKKNREQLKQSKVYIYEKLSFGRSNGKYMPYSKVDSFLKICQILGLAGIDLFTPSDVVEKRNVRKVCICIRSVSKKSHMMRLNVPDFDIVTYTISMPNYIVGGICRSLEQPQYSSSGSSGYSPRDSSKALQQQASFWKGLIIFDGQNDEHGDTNYDSDEAESRLSVLEPEDSVDEDNFAAVLSQLSNAPNPKEESEGYGESGHGMHEEKSLAESVGSLNFVVDSESVDSTPQNHDKESYSTHSATDQCSRTRTAKCSLSSEESDSISSHLAFEIDKNDPELNAHPVEDSERIYDGQVKSLDHSIQGNGETLADHPKKEGECIQKDTGTMNLHCDALACDRESVCSSCEESRHGLNGEPSDLSSESHSGLNPTHTTGGKLPMVSEDPVNNIEPSMIGMTNDSTSEELNPEFSDTNQMEGSQSVDKPVESEDIAQDSITPENNIEPTQDSIAAQRSEDDAPKSGKGVLKSVAGGITLVGAVFFMVHLRRSKERSFTRVMPSLSEKSIQSDSRRAKNMDNEKVSDVYPGARLKV >KQK87175 pep chromosome:Setaria_italica_v2.0:IX:5930251:5932584:-1 gene:SETIT_036945mg transcript:KQK87175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTPAAAPRHSCAKLSVAVEDPKAAAAAGGGAVFVRATWLPTRFSLAVTDGAGAWVADASDAEVRLRAEQWDQPVAEYLALADRYLAFHQPDSTYSFHDAGKGNRRLSWTFEKQGTKLEWRWKLQPSPNAQQTISEILDFLMDANIRLSEEVVRKTQSFDKLKQEAEKCLQQSERFNNEKAEFEQATFSKFVAVLNSKKTKLRQLRDKVAELESADKPPKEEEEENSTDRTEQFEGGSDKEASVNDEPSETGSGDHHSSPEKSAATSQGRGRGRKRAKK >KQK92479 pep chromosome:Setaria_italica_v2.0:IX:55747643:55747966:1 gene:SETIT_039564mg transcript:KQK92479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVECAKCECCGLVEDCTRDYILGVRAAFGGRWLCGLCSEAVRDEAARGTTATKPRGPGAGAGAAGAAGLEEALRDHMAFCGKCRRSPAFRVADGMRQMLRRCSK >KQK92613 pep chromosome:Setaria_italica_v2.0:IX:56356884:56358380:-1 gene:SETIT_039200mg transcript:KQK92613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTGAHGGKRWLPRLLLLAALSWLLMVYFHLAVFRAPLVSAPHASIVAVPSDGAEDGQRFLLRQQQQLKKIGSTSVGALHTAVAERRPRGGDDAACRGRYVYIHDLPPRFNADILANCAHWYPWHNMCGYLENGGLGEPVDNADGVFADKGWYATDHFGLDIIFHRRVQQYDCLTDDPSRAAAVFVPFYAGFDIVQHLWGVNSTAKEKDALSLDLVEWLTRRPEWRAMGGRDHFFLSGRTAYDHQRQPESDSEWGSKLLHLPAVQNMTVLFVEKLPWTDFDFAIPYPTYFHPAKDAQILEWQRRMRAMKRRWLFSFAGGARNDPYSIRHHLIRQCGSSSFCKLVQCRKNERNCLIPSTFMRVFQGTRFCLQPTGDTMTRRSAFDAIMAGCVPVFFHPDSAHTQYRWHLPEAHETYSVLIPEADVRAGNVSIEETLRRIPPEVAERMTETVIGLIPRLVYADPRSRLETLRDAVDVTVEAIVARVNKLREEMGGGSAQQ >KQK92225 pep chromosome:Setaria_italica_v2.0:IX:54486840:54487225:-1 gene:SETIT_040821mg transcript:KQK92225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFECAMWIFDAEFLIIIGNTFRSNNLMRLQCSFPKSSIQKKKLGDGNIC >KQK91850 pep chromosome:Setaria_italica_v2.0:IX:52296048:52296883:-1 gene:SETIT_037985mg transcript:KQK91850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSSPSSSPSHSIASGSASCISIPGPEQLFSSPTTVAAETASCKVAQRKIVVSWLAMVANLVAQLKPRVKNMNCRMDVYLGLWHVVLRSRFRPCCLDPCSADEEEQNCHQEEGRSLKLLGAGGGHLRSSLFLS >KQK87178 pep chromosome:Setaria_italica_v2.0:IX:5947191:5947458:-1 gene:SETIT_038954mg transcript:KQK87178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATGQRATKKRKAAEPAPCGVTLPDHLVAEVLVCLPATSLARLRCACRSWDAEISSRAFQERHHALAAAKLALLQPPVGTCAHCLLA >KQK90284 pep chromosome:Setaria_italica_v2.0:IX:41242240:41242773:1 gene:SETIT_038060mg transcript:KQK90284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAISALIVAAAVLGLCSVAPTAAARVAPQIVGAWKPIKDVSDPHIQELGGWAVSEHVKQANDGLRFGKVVSGEEMVVSGMNYKLVIEATDGAGKSATYGAAVYEQEWTKTRQLLAFEPAN >KQK88496 pep chromosome:Setaria_italica_v2.0:IX:14652507:14654795:-1 gene:SETIT_039892mg transcript:KQK88496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGARPAGRTCLQLERIIGERYRSGSLGREDALNLFDELLPQARPASVYAFNQLLTAVARADSSSSVRDGAALAVSFYGRMARAGVHKVACDIWTFGIIIRCFSQVGRVDLGLSAFGQVIKMGWMVNVIALNQLVKGLCDNNRTSDAMDMVLRRMQELGCTPDVLSYNTLMKGLCTEKKSQKALELLHMMADDGYNCPPDVVSYSTVIDGFFKEGKLGQTYILFQEMLGQGISPNVITFNSIIDAMCKAQAMDKAEAVLRHMFDSGVTPNSATYNSLIHGYCSVGQLKEAVRLLKEMSGSGREPNAITYNLLMDYLCKTGKCTEARKIFDLMVRRGQKPNVTTYNIMLHGYATKGALVDMHNLLDLMVRDGIQLGHHVFNIVICAYGKHEMVDKAMAAFTKMRQNGLEPNIVSYSTVIDILCKTGRVEDAMSQFNHIGEWKKAEDLAFEMVNRGIHPNAVFLNTIMDNLCKDGRVMEAQNFFDQMVHTVRRLLQKGEVQRAGAYLTKIDEKDFSLEASTA >KQK88836 pep chromosome:Setaria_italica_v2.0:IX:17577325:17580239:1 gene:SETIT_039795mg transcript:KQK88836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARKGLSSSSWLEHWLPEAAMPYAKLARLDKPIGTWLIVWPCFWSITIATRKGEIPDLKMLALFGCGSVILRGLGCTVNDLFDRDIDKKVERTKKRPLASGALTPLQGLYFLVFQVLLWFGFLLQLNNYSIILGASFLVPVFTYPLMKRVTYWPQAYLGLAINCGVALGWSAIKEDLDSAIILPLYTAAICWTLVYDTIYAHQDKEDDIKVGVKSTALLFGDMTKYCISAFGVACIGSLALSGYNAYLAWSYYPFLIASAAQLAWQITAVNLSSRSDCNKMFVSNKWFGALIFGGILSGVVLP >KQK91284 pep chromosome:Setaria_italica_v2.0:IX:49009076:49010480:1 gene:SETIT_037780mg transcript:KQK91284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIMNKVGTYWLGQRANKEISSAGDDIESLSTSVGDGAKWLVNKLKGKMQKPLSDLLKEHGLPVGLFPREATNYEFEPETRRLTVHIPSPCEVGYRDGSELRFDATVTGTLGDGRLTEVEGIKTKVLVWARVTAVKADAAKVHFTAGIKRSRSRDAYEVVRGGITVDEF >KQK92665 pep chromosome:Setaria_italica_v2.0:IX:56664620:56665065:1 gene:SETIT_040652mg transcript:KQK92665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCTLNPDSDKPQLSQVAKLLQEKLTTDIEPTYLN >KQK89103 pep chromosome:Setaria_italica_v2.0:IX:20702272:20702700:1 gene:SETIT_040032mg transcript:KQK89103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSWTLLFVPLLLAALASGSGGPSLRRDGAAAAVAAVEDRREHHGGGGHHAAPGAEGDAFYLKVGRFAVMVHSMWHGIKPVPRLERVVSASTRPAAGGGVDYLLVLRVAPPLGTCRALVWGVPGEGSKNWKLKYLESVVGA >KQK87208 pep chromosome:Setaria_italica_v2.0:IX:6093692:6096355:1 gene:SETIT_037673mg transcript:KQK87208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDERVKAEALQILDLFQVLPRLVVFDLDYTLWPFYCECRSKRDSPSLFRHARGIMYALKEKGIDMAIASRSPTPDIAKVFIDKLELQSMFVAQEIFSSWTHKTEHFQKIQRKTGIPYKSMLFFDDEDRNIETVSKMGVTSVLVENGVNLDMFKLGLSNFATNFAASSRNQDEKPQGESKAFKKEL >KQK89109 pep chromosome:Setaria_italica_v2.0:IX:20752980:20760359:1 gene:SETIT_034413mg transcript:KQK89109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNQMQGGLGTPGALSHAYVQHPPLRCDIPDIRGLFYDDANKFLIAPTADRILYWKIVPSIPPGPPNSDPVNEGPVLSVRYSLDHKVIGIQRSRHEIEFRNRETGETCSKKCRADSETILGFFWTDCPTCDVILVKTSGLDLLAYEPQSHAFRLVESKKFNVSWYLYTHESRLILLASGMQCTMFTGYQFSAGGIVKIPKFEMMMSKTEANNKPVLAADDVHIVTVYGRIYCLQLDRVSTSLNLYRFYRDAVVQQCTLPTYSSRIAVSAVDNIIMVHQIDAKVVILYDVSLDSYAPVSAPLPLLVRGLPSNSRQPSQTADSQSSAYGGTIYGDGWNFLIPDLICDAENGLLWRLHLDLEAIAASSSDAPSVLEFLQRRKSDASMVKTTCLSIVRTIILERRPVTMVAKAMDVVLDSYSRLMKMGGGLPGVRRTHEQSQQLGSQPVEGSHVISQETSPATTVSPSVNPDQAGGVVNRSAQANSGVDHGIDRAALNTSSDSDEITNVSGVTSQGTSGYQTSDAINKRQQVAGEDSRPLSSGTSMQHGQHAGSVAISPIEMFQSVFALVEDEMMGDPAYLTAVIMEFLRSASKAGLKVPPNIYVMMATLLARSNRYAEIALFVSNKILEPSKELAMQLMELGQHHPPTRKLGLDMLRERSLHHDYVAALLQDGYYLEALRYARKYKVITVQPALFLEKAVANNSAQNLAAVLSFFCEFTPSFKATSDFGRYRHILSEMV >KQK92118 pep chromosome:Setaria_italica_v2.0:IX:53778612:53781668:-1 gene:SETIT_035693mg transcript:KQK92118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDIKLFRADEDADPDRVLLESQRRRFAPDEDVHEVAALDNAWRKMQYELEKIRAELNATSKAIGKLKAAGNQEEEAEKLMEATKETKERLAAKEAEVHETKTKLDAKLLTIGNIVHESVIVSDDEANNATLVTWGERRMEGNLRNHFDLCRMLDVADFEKGVSVAGGRGFFLKGDGVLLNQALINFGLAFLRKRGFKPMQTPFFMRKETMGKCAQLAQFDEELYKVTGDGDEKYLIATSEQALCAYHLGDRIYRAELPIRYAGYSTCFRKEAGSHGRDTAGIFRVHQFEKIEQFCITSPNGNESWEMGQPPEFLTHPPPPPPPPPPRLVSIVSGALNLAAAKKYDLEGWFPASQTYRELVSCSNCTDYQARRLGICYGQKTGDGQSKPRKDEQQFVHMLNSTLTATERTICCILETYQTEDGVEVPRALQPYMGGIEFLPFVQNL >KQK90699 pep chromosome:Setaria_italica_v2.0:IX:45030619:45031040:1 gene:SETIT_038395mg transcript:KQK90699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFKVMLLAPLYASQLHHKHISSRSAAADMAHQQQRSASSYMIRSATHCCRAPFFQNGICNSRRSPWD >KQK91971 pep chromosome:Setaria_italica_v2.0:IX:52990444:52996906:-1 gene:SETIT_034328mg transcript:KQK91971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTKALARSSSSSILHSQGQSSQKLSAMVNPVSPSPKQMRAPHPSGDPVCTKAGPSSKSLCTPESGKLCSRNINLTPRSAGAPGLQAPIVEPALLSPTSVLSERPIEVYPDTRTAAPVVQEPSVKPVLPSTIPVHNESSTECDNIPPIHSPQYIAPAQHGALLQERNSGASINCSRSSAFCHNIGTPETGTPVILHTKLHKKHDQPEALWKGNFHVTGELIHTCDGLEAHFPLEVCVRVYEASKNMPEILNLEAIPLSQLWPKQFKMEPPDGGDIGLWFVSSHERPHRSFDHLLEKVASHTGLLTKVGDTELAIFSSLLLSPNYQRKNGELYFWGVFGKRIRKKRCQPSNHIKNVKISNPSQSNEDSCNKYEEVGARLNVTKGKGTENNESDIGITLGARGNPTDVTENKERGRDNYEGIAKLLDLTGGKETDRVNDCMAVVGTPDSNPASSCSAPAASLLNGCCSHDSANKSIFSLEDSACQPAYRSSASSDLMLDIPPGFSLDVPPGVTKAHCRLPIEAAAVSGANAPPSLILDTPPGFPTDIPPGFTEAHRRLPAGPATRACTPGTEKKPLIRFSLNVTRPVKMEVPPGFTALHEVKKEPVLPAADKATEKQTLYSFASAACSMEKAGKEDEMEIMDNEVKVEHDDNSEEREVPKIRRLSDLYRGPSDITEFPEHAFLPDKFQEQAPNKQMHQRKRGRRASPEPSPADITTGRPNVNGRIALNDSAGQGNGKVRCVCASSEGRAALPTRASGDHPDSKSISCRCVVCSEEFPSQ >KQK88559 pep chromosome:Setaria_italica_v2.0:IX:15055610:15056302:-1 gene:SETIT_040054mg transcript:KQK88559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAVAAVVSLLLAVAATGGAAVTFQVTNNASTTPGGQRFDRDYGAGYAARVLSDASRFTWAVFNQTSPAERRPGVDTVALVVNAATDDGAIAYTDGSTIVLGAGYVLNYTGDVRAEVTGVLYHETVHVWQWGLQDYNAHWWVFEGIADFVRLRAGYAPAHWVLPGQGSGWDKGYDVTARFFDYCDSLRPGFVAVLNAKLKDSYSDDYFVQILGKNVQELWMEYKAKYGQ >KQK90622 pep chromosome:Setaria_italica_v2.0:IX:44012681:44018082:-1 gene:SETIT_037289mg transcript:KQK90622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQALTPNIGVVYSGMGPDFRVLVRKSRKQAQQYYRLYKETIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLIAGYDDNGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEDMELDDAIHTAILTLKEGYEGQISSNNIEIGIIRSDREFRVLSPAEIKDFLEEVE >KQK87917 pep chromosome:Setaria_italica_v2.0:IX:10172318:10173418:-1 gene:SETIT_039446mg transcript:KQK87917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLDAATAAEVAAVVALAVLIVAIAAASAGACAGRAAAAVHDIERALGADTLVRYDQAKAAFRSRRASAPSTEGKENVEEEEAPSCAFCLSEYSRADELVRVVPACRHFFHAECGVDAWLRKRGTCPLCRGRVTPLPRLPRPECPPLPARARGDAWVSRLQTKQYTPAAAAAATGTPTEKVLMRLPLVAQPSRSLLHTFTAPRRGTPSRVAPRKPKHRHGNRTGVAGREGGAKRSASRRRKEPCRSVVDGNFPPSTRCPSAGSKGR >KQK86714 pep chromosome:Setaria_italica_v2.0:IX:3407909:3408450:1 gene:SETIT_038265mg transcript:KQK86714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVARAPTRAAPARRFLYLLIADGNDGHTLHNIGTSPHPHGPPPPPPMAVVTAVEATRAAGDGEMQMVRRASRCYQYPTNFSYCWAY >KQK90942 pep chromosome:Setaria_italica_v2.0:IX:46753445:46754713:-1 gene:SETIT_037472mg transcript:KQK90942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEAWTGQRLLSPHHQVAVKDKTLSCLATWRAHRHPRQPSALTWHPLHLLLPYPIYISVSLSPGQPLLPSHVRSASPPNQPTPATHESKVLSPGSMAATAVAASLSVARGLGKPLCGAGGISASSLRVVSPRRAAVVRASARSSQPQHPAKEWAAAAAVAAALVLPEVAEAAQPGISPSLKNFLLSIVSGGVVLVAIVGAVVAVSNFDPVKRG >KQK91588 pep chromosome:Setaria_italica_v2.0:IX:50676953:50680161:1 gene:SETIT_035112mg transcript:KQK91588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLAPPRFKCPPSTRAAVFREPAGGAGSRPGRVNCSVSSTAVVDAERLECLSVGPPPSPHPTLPGGFGEAILNKEAMVAAAAAEAVTLARAAAEVAGEVARMAHQDHRTDFSPRDDTEDSFLAIELRRTEVGWQSSRRAGLELLEDEEFSSILSDEAEDGDDDGESTEGVVAVKSARRSERRARRVRAAMKAAKFLSIGKPVRASSSSKKRLKGCRNPLGCFYKMTGPRLLTAKQEVEFSEGIQDLLKLEAIQKELAHYNGDEPTFSQWAAAAGTDENTLRKRLNYGVYCKNRMVKSNVRLVISIAREHEGPGMDFSDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAIRKSVLEQTHIIRLPSHMAEASSRVKECRRRLHRQLKRLPSNEEIALDTGMPIRRVEAAMSLPRYSVSLSSKVGCTDVTYQEIMPDTSAETAEEVLHRWLMKKDVDKALDSLSPREKQVLRYRFGIEGGRPRTLHDIGQLMGVSRERIRQIELGAFRKLRAKKKVQSLQHYLQPAESW >KQK88125 pep chromosome:Setaria_italica_v2.0:IX:11709729:11712653:-1 gene:SETIT_034331mg transcript:KQK88125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPVMLRALVLAALAVAAAAAAAATEKRKTYIVHMAKSAMPAEYADDHREWYGASLRSVSAASNMLYAYDTVLHGFSARLTAQEAADLACLGGVLAVNPEARYELHTTRTPEFLGIADGGADQGGLFPQSGTAADVVVGVLDTGVWPESRSYDDAGLGEVPSFWKGRCVEGAGFNASACNRKLVGARFFNRGYEAAMGPMDTDRESRSPRDDDGHGTHTSSTAAGAAVPGASLFGFASGTARGMAPRARVAVYKVCWLGGCFSSDILAGMDAAVADGCGVLSLSLGGGAADYSRDSVAIGAFSAMEQNVLVSCSAGNAGPGSSTLSNVAPWITTVGAGTLDRDFPAYVALGNGKNYTGVSLYSGKALPSTPLPIIYAANASNSTAGNLCMPGTLTPEKVAGKIVVCDRGVSARVQKGFVVRDAGGAGMVLSNTAANGQELVADAHLLPATGVGEREGVAIKSYVASDPSPTATIVVAGTQVGVHPSPVVAAFSSRGPNMVTPEILKPDIIAPGVNILAAWTGKAGPTGLAADTRRVGFNIISGTSMSCPHVSGLAALLRSAHPDWSPAAVRSALMTTAYATYSGGSSPLLDAATGATATPFDYGAGHVDPSRAVDPGLVYDLGTRDYVDFLCALKYSPAMIATVARSRDFSCAENRTYSVGGLNYPSFSVAFSTANGEGGESSAAATVTHTRTLTNVGGAGTYKVSTSVAGAAAQGVTVAVEPTELAFTSAGEKKSYTVRFTSRSQPSGTSGFGRLVWSDGKHSVASPIAFTWT >KQK92753 pep chromosome:Setaria_italica_v2.0:IX:57085671:57092032:1 gene:SETIT_034037mg transcript:KQK92753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMEAFEAYFRRADLNQDGRISGQEAVAFFQGANLPQQVLAQVWMHADQNKTGFLGRPEFFNALRLVTVAQSGRQLTPDIVQSALYGPAAARIPAPKIATGQAPPQMGAAGAARPQGSAAMTPTPGQVGAVAAAPRPQGTGVIPTSTQVSMPQVNPGAAPRPQGINSIMPAASQGGALQATQFAGPRAMQSQPPNMGFNQQPPSSTGFMRPPQVGVLASSLQAQAPGTNQGPLGGGGMGGSVGWHGSNVASAGGIPQATPGVAPSQTTRGGFGLGLPGSVGMAPGQQVQAMSSSPLPPQSNIAVSPQDSKALVLSGNGPASSSGSSTDIFSALTQPKPSVSAPAPQTSSIPSSSSFMSTPTGSQNLTNLGQLGSLQGSSQPQQTQPITKPNLPVSAAPVVSAGVSNSASQWPKISQSDIQKYMKVFGDVDRDRDGKITGVEARTLFLSWRLPREVLKQVWDLSDQDNDGMLSLREFCIALYLMERHRAGTPLPPALPDSLRHDETLLRATGLPSTAYNGPSWQQNQAQRGPGVPGVPTGGVRPPLPPHLHSQADATSRSGQPRSHMPGMDNHVVTQGSKDDRSGMNTAVQEVADAPKKVEVEKQVLDSREKLEFYRTKMQDLVLYKSRCDNRLNEITERASSDKREVESLAKKYEEKYKQVAELASKLAVEEAAFRDVQERKVELHDALVKMVQGGSVDGLLQVRADRIQHQLEEMEKALSERCKHFGLQFKSSATVELPSGWEPGPQEGTIEWDEDWDKFEDEGFGIVKDNGTIHENPVSAENTKVPSLWDDGDDMSPVASSNGHIKDERRYSGGDRVAESEIGYDFGDDSVRSPGSAGRSASGSPFKSSRFGMHDSSPSKRESYSDHGGSESVFGDKFADETSWNFDDQDTDSVWGSTALNTETDHHGGAHNSFFGSEAGSPSGASVFGKKRSSFFDDSVPSSPAYTSGFSPKFGESRDDSSSYNFGRFDSFRSQDTGFFPQESRFSRFDSISSSKGETVSGFDAGNSSRNFGRFDSFDDADPFGSSGPFKASGSRSPPKF >KQK91326 pep chromosome:Setaria_italica_v2.0:IX:49295592:49296687:-1 gene:SETIT_037457mg transcript:KQK91326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMGAAAAASTCCIRSCASPSLSRSRVRAQATSWAGGAEALVRSGAVKAVRPKDAAEALGAEGFRLLDVRPPWELARASVRGSAHVPLFVGDDDMGPVTLLKKWVHFGYIGLWTGQAFTKMNHRFVDDVAAAVAGDGGKDAKLLVACGEGLRSLIAVRMLHDDGYRNLAWLAGGFSKSADGDFPGVEGESKLQYATIGGVSYIFLQILLLLGVVK >KQK90889 pep chromosome:Setaria_italica_v2.0:IX:46402420:46405996:1 gene:SETIT_034926mg transcript:KQK90889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLFETPAGFALFKVLDEGKLDKVEDLWKEFTTSDSARRVVELKAFNKFENTSDALSAATLIIDGKPSKGLRKFLQKHCEGETLAVADSKLGNAIKEKLKIDCLHNSAVMELMRGLRNQLTELITGLGAQELGPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELTKIVTDNIQYAKVVKMMGDRANAVNLDFSEILSDEEVEAQIKEAAVISMGTEVSDLDLLNIRELCDQVLALSEYRAQLFDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLVNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLIYHASLIGKASQKHKGKISRSLAAKTALAIRCDALGDGEDNSIGTESRLKLETRLQVLENKEFGKSAGSTKGKPKIEVYEKDRKKGAGALITPAKTYNPATDVVLGQSTEETPKKSELASKKRKHHEAEAAQTTEPAAEAIQEDGDQERKKKKKKDKETEATPVAAADGEKKKKKKSKESEEPAVAAAEGEKKKKKKKSDVDGEDVVMQNEDSGKKDKKKKKKHADDE >KQK92202 pep chromosome:Setaria_italica_v2.0:IX:54302131:54302674:-1 gene:SETIT_038205mg transcript:KQK92202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFTRFCSTFYEELDLAATLISDAPNNFWKNLSGFDIILWGKCESRLLAFVRNSELVTTSTILSVVFLTNAAFVGSNMISFDTFLPSCLSHPAAQLTT >KQK86168 pep chromosome:Setaria_italica_v2.0:IX:556850:559779:-1 gene:SETIT_039330mg transcript:KQK86168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTALADFFLHQQWQWQLPATTLFLLVLLTLALATRDFSTKGGRLHLPPGPMRLPVLGNLHQIMGALPHRSLGELARRHGPVMLLRLGSAPTVVVSSAEAAREVLKTHDAACCSRPDTPGARRLSYGYKDVAFAPYSDCWREMRKLIVVKLLNARRVQATWPASKAEVDKLIGRLSSGGRRPVYLEDHIFTLMDGIVGTVALGSIYGSEQFAHKKHFHDLFDEAMAVKSSFSAEDYFPNVLGRLVDHLTGLVSRREKVFWELDAFFDKIIDSHLHPSRPIPDNGPDFIDVLIGLTKEHEGTFSWFTTDHIKGMLSDMFIGGVDTNSVTVVWAMAELIRNPQVLKKAQNEIRAAVGNKERVEPDDLPKLKYLKMVVKETLRLHPVVPLLAPRETMRHIKICGYDVPAKTRIFVNVWTIGRDPASWSNPEEFDPDRFEGNGVNFNGAHFELLPFGAGRRMCPGVAMGVTIVEFTLANLLHCFEWELPDGMTTEDVSMEEAGGLTVNKKVPLVLVPTRIKRILAYGDSKVVME >KQK89335 pep chromosome:Setaria_italica_v2.0:IX:24495470:24498560:-1 gene:SETIT_040244mg transcript:KQK89335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGIFNPILYGKRLFQQFAVDTYIKIESSRLDYIRNNQDILRANQYQGLVDSWRTGVEDANEEIIRGHILDNQWVVPYNPCLLRTFNCHINVEACSSIKSVKYLFKYIYKGHDRASVAVREAGKKDDKGNIDEITQYKEARWVTPPEAMWRIYSFDLSKNHPQVQQLQLHLPDMHMVTYHKQDKIERVVKRPGADESMLTESNGKFWKPRKNAVYQVGRLVSAHPAEGECYFLWVLLNHVAGATSYRDLRTVDGVLLPSFREAAERRGLIEEDNTLDECLTENSLFHMPSSLRRLFATILVFCEPNDVFGLWTKHLDAMSEDYRRNNPNPSLVEQVVLIDIRNMLQSMGKDIRSFPLPRIDDAYDDASGIPREIFEEASIDQDPEDVGLSDSLNEEQRAAYEEIMSKVDTEQGDLFFVDGPGGTGKTFLYRALLGTLRNQNKLAIATTTFGVAASIMPGGRTAHSRFKIPLTFEDGCFSFTKESGTAKLLQQASLIIWDKASMAKRQAMEALDNSLRDIMGRQDLSFGGKTVVFGGDFRQVLPIVRKGSRAQIVDATLRRSYLWESMHHLKLVRNMRAQSDPWFAEYLLRIGGGTEEVNGDGDKDLDRLIECIFPNLNANMTNKDYITSRAILCTCNDWVDNINIKMIGMFQGEEMVYHSFDSAIDDPHNYYPSEFLNTLTPNGLPPHLLKLKIGCPIILLKNIDPANGLCNGTRLVVRGFQKNSIDAEIVLGQHAGKRVFLPRILLCPSDDEMSPFQFKRKQFPIRLSFAMTVNKSQGQTIPNV >KQK89357 pep chromosome:Setaria_italica_v2.0:IX:25012352:25012804:1 gene:SETIT_038980mg transcript:KQK89357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASATQPDHHRTAITDRPRGAKHRRPLLNNLHIQVPAVPANSGWFGRPSGPATAPCGAHANANGHGHADRPASPSLLRSPSAWIRAKGHSFGSSKHTHRRSGNFHYDARSYAQNFDEGCDDEDAPMHQCFSPRNPTAPQLASPSSGL >KQK87724 pep chromosome:Setaria_italica_v2.0:IX:8904999:8907003:1 gene:SETIT_036329mg transcript:KQK87724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAKQHEKGSPKRAPNEPAPQSAVAERPSPPAPFRLELCGHCNDRRRQDDGSNGAGPLLLTLGPFGSATDGSCSCAVAPAPAPATMAVLRGSRYLRPAQELLGEVVRMADLAAGAGDEEAAAEKQERLDAGGRRAARLADKNDGDGIQAKLLGLLSELESREERYFGELGRVASSFEPALGDGAASAYTSLMAQAMARHFGNLRRAILRRLRLHAAAAAKRTLRAGEEGERGDGGDDDDDDEEVTEEMVEMVARRTKLAAAARAEQAWRPLRGLPEGSVAVLRAWLFDHFLHPYPDDGEKLKLAVTTGLSRSQISNWFINARVRLWKPMVEEMYKDEFSEGSAVSRDDDTSASGASSSS >KQK90368 pep chromosome:Setaria_italica_v2.0:IX:42165857:42166627:-1 gene:SETIT_040031mg transcript:KQK90368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSLDSWPHLLALLLPLIISLLYLKKKQPTRAGDFKAYPLLGRFPHFVRNQHRLVEWSVDVAKRSPTHTTPFKAPGLPGVVITANPDNVEHIAKTSFANYPKGDHMAAKIRDFLGHGIFNSNGEQWLWQRKAASYEFSKRSLRKFIVETVRSEVDERLLPLLEEAAAGRRALDMQHVLESFAFDNICHVTFGDDPGCLAKEGRAAPQAVEFARAYDYVENAVLLRFRPPEILLWRIKRMLNVQVTKRQGPEDSLG >KQK87582 pep chromosome:Setaria_italica_v2.0:IX:8060470:8063844:-1 gene:SETIT_035394mg transcript:KQK87582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAPLSLEPAPFPLLRPAAGCGGGRVLPGPAPRLCRPLRAAPVVPATAEEPSSEAARGRLESLSQVAAVLGTQWGDEGKGKLVDILAQRFDVVARCQGGANAGHTIYNSEGKKFSLHLVPSGILNENTQCVIGNGAVVHLPGFFKEIDGLESNGISCEGRLLVSDRAHLLFDLHQIVDGLREAELGNSLIGTTRRGIGPCYSSKVIRNGLRVSDLRHMDTFGAKLNTLLRDAAMRFKDFEYDNKILKEEVEKYKKFAERLEPFITDTVHFMNESILQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRSLGDIIGVVKAYTTRVGSGPFPTELLGKTGDLLRASGIEFGTTTGRPRRCGWLDIVALKYCCQINGFSSLNLTKLDVLTGLKEIKLGISYFTDDGNMVQSFPADLALLEQIKVKYEALPGWEEDISSVRDYNDLPEAARHYVERIEELVGIPVHYIGVGPGRDALIYK >KQK92050 pep chromosome:Setaria_italica_v2.0:IX:53399382:53400528:1 gene:SETIT_038864mg transcript:KQK92050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRHRSDDAGDRRSRSSCRRCPPQRHLYVVLDDWSRGYSIYKLDVDGSGGGDPDDADLYLRAERLPEPPVFRLEMPVKVRGRFALFAAVGTRIFAMDYSDENRDAPVLVYDTATGALAVGPATPAELQYLPKLVAAGDRWLYALDRKASGGGDHLMAHGAGAARDGRAGCWRRDWGSIRDAALGGGRPPDCHAAHPDGRTVFFSVHGRGTFSLDNRTEEWARHGEWTLPFRDQAELDAWVGLRWVDGDDAAGQGLVCSCDVVPPDPDDGDGREPAWKVAEVVEADGERTVAVKLARMGGGVFCLVERRRRRGAHDDGDGRCLLYATTFRLRYGKDGELRAAERRARCYAVHKRSHKFEWQAFGI >KQK91007 pep chromosome:Setaria_italica_v2.0:IX:47169486:47173584:1 gene:SETIT_035228mg transcript:KQK91007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEITSVSAARAQGPKLFRGKILLPGGPPDVVPSENVEFDFSDVFGPTAVQTPTEVSILTPDSPAPVAESNDEVYNDPDVIVKRSHSLVGPSSLVSQALPFSKLTLRETESSLELSECSSKEKPINQGPLSDDELDDVKKENEGVGLDDFEVLKLVGQGAFGKVYQVRKKGTSEIYAMKVMRKDKVVEKNHAEYMKAERDILTKVDHPFVVQLRYSFQVGSCHLCVYSLLPCSCSTFGSILLPILNTTQFWQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGVAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKIQQKIVKEKIKLPTYLSSEVHSLLKGLLHKEAGRRLGSGTGGSDEIKNHKWFKSINWKRLEARQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAANSNFVGFSYVRPSSFLQKPSPLG >KQK91009 pep chromosome:Setaria_italica_v2.0:IX:47170900:47173584:1 gene:SETIT_035228mg transcript:KQK91009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEITSVSAARAQGPKLFRGKILLPGGPPDVVPSENVEFDFSDVFGPTAVQTPTEVSILTPDSPAPVAESNDEVYNDPDVIVKRSHSLVGPSSLVSQALPFSKLTLRETESSLELSECSSKEKPINQGPLSDDELDDVKKENEGVGLDDFEVLKLVGQGAFGKVYQVRKKGTSEIYAMKVMRKDKVVEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKIQQKIVKEKIKLPTYLSSEVHSLLKGLLHKEAGRRLGSGTGGSDEIKNHKWFKSINWKRLEARQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAANSNFVGFSYVRPSSFLQKPSPLG >KQK91011 pep chromosome:Setaria_italica_v2.0:IX:47170900:47173584:1 gene:SETIT_035228mg transcript:KQK91011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEITSVSAARAQGPKLFRGKILLPGGPPDVVPSENVEFDFSDVFGPTAVQTPTEVSILTPDSPAPVAESNDEVYNDPDVIVKRSHSLVGPSSLVSQALPFSKLTLRETESSLELSECSSKEKPINQGPLSDDELDDVKKENEGVGLDDFEVLKLVGQGAFGKVYQVRKKGTSEIYAMKVMRKDKVVEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGVAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKPPFVGGNRDKIQQKIVKEKIKLPTYLSSEVHSLLKGLLHKEAGRRLGSGTGGSDEIKNHKWFKSINWKRLEARQIQPSFRPNVAGKTCIANFDECWTSMPVLDSPVASPVAANSNFVGFSYVRPSSFLQKPSPLG >KQK91008 pep chromosome:Setaria_italica_v2.0:IX:47170900:47173584:1 gene:SETIT_035228mg transcript:KQK91008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEITSVSAARAQGPKLFRGKILLPGGPPDVVPSENVEFDFSDVFGPTAVQTPTEVSILTPDSPAPVAESNDEVYNDPDVIVKRSHSLVGPSSLVSQALPFSKLTLRETESSLELSECSSKEKPINQGPLSDDELDDVKKENEGVGLDDFEVLKLVGQGAFGKVYQVRKKGTSEIYAMKVMRKDKVVEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGVAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTATVCWWKQRQDSAEDSEGEDKASNIFV >KQK91010 pep chromosome:Setaria_italica_v2.0:IX:47171245:47172711:1 gene:SETIT_035228mg transcript:KQK91010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSEITSVSAARAQGPKLFRGKILLPGGPPDVVPSENVEFDFSDVFGPTAVQTPTEVSILTPDSPAPVAESNDEVYNDPDVIVKRSHSLVGPSSLVSQALPFSKLTLRETESSLELSECSSKEKPINQGPLSDDELDDVKKENEGVGLDDFEVLKLVGQGAFGKVYQVRKKGTSEIYAMKVMRKDKVVEKNHAEYMKAERDILTKVDHPFVVQLRYSFQTKYRLYLVLDFINGGHLFFQLYQQGLFREELARIYTAEIVSAVAHLHANGIMHRDLKPENILLDADGHAMLTDFGVAKEFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLFEMLTGKVSCLTWKLLTGNVCFIGDLFNISFLYPNSFAIYGSHRLLVETETRFSRR >KQK87749 pep chromosome:Setaria_italica_v2.0:IX:9091271:9097059:1 gene:SETIT_034360mg transcript:KQK87749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGGLLTSAGINIGLCVLFLSLYSILRKQPQNVKVYFGRRIAEEHNRLRDAFILERFVPSPSWIVKSLRCTEDEILDTAGLDAVVFNRIMVFSIRIFSLAAILCVFGVLPLNYFGQDMHHVRIPSASLETFTIGNVQERSRWLWVHCVVLYIISAVACVLLYFEYKHIARLRLCHISRATSNPRHFTVLVQGIPKSTESFSRTVENFFTKYHASSYLSHQVVYKVGKVQKIVSGAKKVYRKFRHSKGTTVDKRCRSITFQCCFCGASSNSFQLLPSDFEQESEKPELNDSSLSLPDEECGAAFIFFKTRYAALVVAKILQTSNPMRWVTSLAPERDDIYWSNLWLPYKQLWIRHIATLLGSIVFMFLFLMPVTFIQGLTQLEQLQQRFPFLRGILEKKYMTQLITGYLPSVILQIFLYTVPPTMMLFSTLEGPISHSERKKSACCKVLYFTIWNVFFVNVLSGSAISQVIALSSPKDIPMQLARAVPVQATFFTTYVLTSGWASLSSELMQLFGLTWNFIRRYILRMKEDDLLYSFPYHTEVPKVLLFGLLGFTCSVLAPLILPFLLIYFCLGYVVYRNQFLNVYCTKYDTGGLYWPIAHNTTIFSLVLSQIICLGVFGLKESPVASGFTVPLIIFTLLFNQYCRKRHLPLFKTFPAQSLIDMDKEDQQMGRTEDLHDRLHSAYFQFPDTDDIPLEGAHNVGRDENGNGTSGESSRKESADDDPKDDLSHPTLAGLPVSQLRNAVISIGSILRPQRRELPV >KQK88843 pep chromosome:Setaria_italica_v2.0:IX:17649824:17650457:-1 gene:SETIT_038425mg transcript:KQK88843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLVTTPCGVSLPSMTPGPHYSINVRSFDLMGSQSDATSYVCALEFAMLFAPAGNVLKLPDELI >KQK87505 pep chromosome:Setaria_italica_v2.0:IX:7598300:7601976:1 gene:SETIT_036463mg transcript:KQK87505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFDGCQAPCQIFTGRSACLLQLIQPPYQPPSNTKRSSVPVTSLFRSILLPSPPPPPSAMPPAGSLTADQLSFFETNGYLVMDSFSSEEEMREMRDRIAELVAGFDGANTSVFSTKDHPQLKDDYFFKSAENISFFFEEKAYGDDGCLKQAKELSINKVGHALHELDPVFKKFCSSKSIASLFSSLGYKRPAVMQSMYIFKVLVVRWCRTRIVHSFTQNLEHAQGCGLHLKMQQLTMVACGQFQDHIKRVW >KQK87503 pep chromosome:Setaria_italica_v2.0:IX:7598300:7601976:1 gene:SETIT_036463mg transcript:KQK87503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFDGCQAPCQIFTGRSACLLQLIQPPYQPPSNTKRSSVPVTSLFRSILLPSPPPPPSAMPPAGSLTADQLSFFETNGYLVMDSFSSEEEMREMRDRIAELVAGFDGANTSVFSTKDHPQLKDDYFFKSAENISFFFEEKAYGDDGCLKQAKELSINKVGHALHELDPVFKKFCSSKSIASLFSSLGYKRPAVMQSMYIFKQPGIGGEVVPHQDSTFLYTEPRTCTGLWLALEDATINNGCLWAIPGSHKKGLVRRMIRDENGTHFDRPSPSYDQKEFVPLEVKSGALVVIHALRIFLQRQDMLSAYT >KQK87504 pep chromosome:Setaria_italica_v2.0:IX:7598300:7601976:1 gene:SETIT_036463mg transcript:KQK87504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTFDGCQAPCQIFTGRSACLLQLIQPPYQPPSNTKRSSVPVTSLFRSILLPSPPPPPSAMPPAGSLTADQLSFFETNGYLVMDSFSSEEEMREMRDRIAELVAGFDGANTSVFSTKDHPQLKDDYFFKSAENISFFFEEKAYGDDGCLKQAKELSINKVGHALHELDPVFKKFCSSKSIASLFSSLGYKRPAVMQSMYIFKQPGIGGEVVPHQDSTFLYTEPRTCTGLWLALEDATINNGCLWAIPGSHKKGLVRRMIRDENGTHFDRPSPSYDQKEFVPLEVKSGALVVIHGDLIHQSFENLSPASRHAFSLHVVDTEGCEWSKDNWIQRKTAPEPLYVS >KQK88966 pep chromosome:Setaria_italica_v2.0:IX:19047056:19053256:1 gene:SETIT_039910mg transcript:KQK88966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEEASPPPQPPPWAQNKSAAAIDSSSAPLAAAAARLSARSRALPSSRDFHFYNNFPAFKSPVGAAAAKADASLGVLGAAPLLPARQQPFPGGGDLDDAHDWLVALNDDLLERFGASVDEFKALREKEEASGRRAASEAGDGDGFQVVYGKKKKKVGDGEEGVERAEAFGASSSVKMAKDKAAAPGMKAKGVYRIVVDNSTKPFEHALLERSEDGTRPVHPLEKLPIEQLINRNVPDSEPVKPPALDDTPFTFVEDLKTLEVLATKLKNATEFAVDLEHNHYRTFQGLTCLMQISTRTEDFIVDTLKLRRYLGDYLREFFRDPTKRKVMHGAGRDIIWLQRDFSIYVCNLFDTGQASKVLQMDRNSLEHLLHHFCGVTANKEYQAADWRLRPLPDEMIKYAREDTHYLLYIYDLMRLRLVKESSADSDMLLEVCKRSNEICLQLYEKEQLTDSSYLHLHGLKENELDARQLSVLSSLYRWRDGIARAEDESTGYILPNKSLLEIAKEMPVTSGKLKRIVKSKNFDRHLSTVINTIRDAISASGAFESVAEQLKKGKLEELTMANVKNSSEDTEMIPAVDVDNNEDPSDESAVVSTVITNVGTASPCMGTVTSEASFGNMHLEDFTPEKNLGTSSGFTGLADKEILSNGQQQVAKATVQVSKRTTAFGALFGKPAAGRRPNLFPGLSSDQGKSKVDKITSSVVLPFHNFSGSVKPPSGSLPLKEQVHSEPESVQHSDPACQMEDVIQLDTETDDPQPPESLLDDEHQEPKVMEMSKSPSDAPSDTEQRFRSLNEERNVHQNQKIPQEPEFRFPVVPFDYAEARENLVCGEPKAETKKDNAVARPINTDSGDKRRTSNKPGAGEEGNFQHPRRRQAFPPSGNRNFTYH >KQK89014 pep chromosome:Setaria_italica_v2.0:IX:19495476:19495997:1 gene:SETIT_039460mg transcript:KQK89014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSEYKRRRWYVRYVGESNVAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHKFRRWVPPPPNPPRMTDEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHVSILREY >KQK91036 pep chromosome:Setaria_italica_v2.0:IX:47279773:47280677:1 gene:SETIT_0340172mg transcript:KQK91036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKVASNVELDSAVFQVSSPDNRYEAIACSKGNTELIASGPFDQLVLHLEDAKKFQSCTSSGTFKLLLAGDGKGSSWFTKSTLQRFLHIINSSDTSKSVNGVLDEMSQLEETRKFHQSLYIKEQQNITSGALT >KQK91037 pep chromosome:Setaria_italica_v2.0:IX:47279714:47280677:1 gene:SETIT_0340172mg transcript:KQK91037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKVASNVELDSAVFQVSSPDNRYEAIACSKGNTELIASGPFDQLVLHLEDAKKFQSCTSSGTFKLLLAGDGKGSSWFTKSTLQRFLHIINSSDTSKSVNGVLDEMSQLEETRKFHQSLYIKEQQNITSGALT >KQK86267 pep chromosome:Setaria_italica_v2.0:IX:1021640:1024357:-1 gene:SETIT_035408mg transcript:KQK86267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSGFPLAYQGSAASPDWLNKGDNAWQLTAATLVGLQSFPGLVVLYGGVVKKKWAVNSAFMALYAFAAVWICWVTWAYNMSFGDKLIPIWGKARPALNQGFLIGQAALPATAHYRAGGATIETPAVEPFYPMATVVYFQCVFAAITLILVAGSLLGRMSFLAWMIFVPLWLTFSYTIGAFSVWGGGFLFQWGVIDYCGGYVIHLSAGFAGFTAAYWVGPRAQKDRERFPPNNILFTLTGAGLLWMGWAGFNGGGPYAANSVASMSVVNTNICTAMSLIVWTCLDVIFFGKPSVIGAVQGMITGLVCITPGAGVVQGWAALVMGVLAGSIPWYTMMKLHKRLRFLKRVDDTLGVIHTHGVAGLLGGLLTGLLADPTLCNLFLPVTNSRGAFYGGAGGAQFGKQLAGALFVIGWNVVVTSVICVAINAVVPLRMPEEKLEVGDDAVHGEEAYALWGDGELYDITKHGADETEHGGRAAVAPVSMTPN >KQK92120 pep chromosome:Setaria_italica_v2.0:IX:53802271:53802465:1 gene:SETIT_040065mg transcript:KQK92120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFRSLSPKTRKLVVAGGLSAFVLGVYYYTMRAVGGTDELQVAIDKFEELKKKDAWNSSNGGS >KQK91788 pep chromosome:Setaria_italica_v2.0:IX:51802818:51806974:1 gene:SETIT_035858mg transcript:KQK91788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALGTGGIKPCVSSFGADQFDDSDPVERAKKTSFFNWFYFCISMGSFISGTIIVWIQDNSGWGIGFAIPTISMALAIGCFFAASNIYRYQKPGGSPLTRVCQVVVAAFHKHHAELPNDMSLLYEVDGQTSAIEGSRKLEHTNELKFLDRAAIISSADVKSESSTDPWKLCTVTQVEELKILVRMFPIWATTIIFSAVYAQNSSLFVEQGMVLDKRVGPFNIPPASLSTFDVISVIIWIPLYDRILVPIARKFTGKEKGFSELQRIGIGLVLSILAMVSAALVELKRLEVARSEGLIHEKVDVPMSILWQIPQYFLVGAAEVFTAIGQVDFFYDQGPDAMRSLCSAFALVTVSLGDYVSSIILTLVSYITTQGGDPGWIPDNLNEGHLDRFFWLIAGISFVNFVLFVGCASRYRYKKAQ >KQK88657 pep chromosome:Setaria_italica_v2.0:IX:15839827:15843475:-1 gene:SETIT_035175mg transcript:KQK88657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAMLCTRVSLLILILSLCSPYKFIQSPMDFGPLNLLPTTTTASSDFGRILFHSPAAVLKPQSSRDISLLLSFLSGSSLSKVTVAARGAGHSIHGQAQALDGIVVEMCSLPAEIEFYKGGEGEISYADVSGGVMWIDLLEQSLKLGLAPRSWTDYLYLTVGGTLSNAGISGQTFKHGPQISNVQQLEVVTGRGEIVTCSPSKDADLFNAVLGGLGQFGIITRARILLQEAPLKVKWVRAFYDDFSTFTKDQELLVSMPDLVDYVEGFIVLNEQSLHSSSIAFPANLDFNPDFGTKNSPNIYYCIEFAVHDYQHKNTNVEQVVDVISRQMSHMVSQLYSVEVSYFDFLNRVRMEEMSLRSIGLWEVHHPWLNMFVPKAGINDFRDLLMDNVSPDSFEGLILIYPLLRDKWDTNTSVVLPDSGSMDRVMYVVGILRSANPDGGCSHHCLQELLRRHRRIADTAGVHLGAKQYLAHHPTPSGWHQHFGRRWERFAERKTRFDPLRILGPGQGIFPRSDDNAAYGS >KQK89744 pep chromosome:Setaria_italica_v2.0:IX:35496579:35500774:-1 gene:SETIT_036144mg transcript:KQK89744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEAAAMAACSSIPTPFTTKASPHQRSRVSSPRRLRLPATPTPFRQSRSPFSAFSPATRLRPRASVSASAAPSKDYEFTDGNGEVELRLDIQKLGIESSKDVFVDVDDTSLLIRAKSDGTLRTLVNIQTLFDRIKSSETIWFIDEDQLVVNLKKVEQELKWPDIDESWESLTSGITQLLTGISVHIVGDNTDINEAVAKEIAEGIGYLPVCTSELLESATEKSIDTWVASEGVDSVADAESVVLESLSSHVRTVVATLGGKQGAASRFDRWQYLHSGFTVWLSVSDASDEATAREEARRSVSSGSVAYAKADVVVKLGGWDPEYTRAVAQGCLVALKQLTLADKKLAGKKSLYIRLGCRGDWPNIEPPGWDPESDAPPTNV >KQK88742 pep chromosome:Setaria_italica_v2.0:IX:16726270:16731135:1 gene:SETIT_034362mg transcript:KQK88742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQGEEREKAAAAAAARGHPLLRGWRRDRYTHGMHPAQMEALRAMCGALIPSLPADGAHGRGDPPGGKDLERFYRASAADSTIPDEVAELMVTRCIREAVALAWVVLWVLSTRVGTLLLCGRLCLAGGFPYVRKFADMTPERREAALQRWNRVRWLFPLRIAFAIVKILCHYVFYAMVNENSENPYWKAIGYSVHEPREDQTEAAPSPSRPLDVGVVETRLLDDNALLRSLVGRGLTVKPVASDANHHVVQCDAVIVGSGCGGGVAAAVLASAGYKVVVVEKGDYFTASDYSSIEGPSMERLFERGGIFCTSNVTTMIFTGATVGGGSAVNWSASIRTPKEVTQEWAREHGLPVFASPGYAQAMDAVCARLSVTDGCREEGFQNKVVRRGCEALGLCADAVPRNSSEGHFCGSCNFGCPTGDKRGTDTTWLVDAVASGAVILTGCKAERFVFEKNSGGGRGRGKKCVGLVSTCASDGITKKLRIEAKVSIAACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPENRQDPQLTGKSYEGGIITSMHRVTERTIIETPALGPGAFAAMVPWESGRDMKERMRRYSRTAHAFALVRDRGAGFVDGEGRVRFTPSREDVEELRNGLRRVLRILVAAGAAEVGTHRSDGLRLRCKGLRDEDLEAFLDEVTIEKGPMHSTADKWALHCSAHQMGSCRMGSSPRDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSIAYCLSKGIAETLTQGEKQ >KQK90834 pep chromosome:Setaria_italica_v2.0:IX:46074522:46075934:1 gene:SETIT_038690mg transcript:KQK90834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQVLAQASSNSSPPASPQPPRLRPAPRKQERDPQQREIREAPPPTMAADEQQDLTRAFAGLGGLGVDEAALVSALGRWRRQPERRAQFRRGFPGFFSASAGAAAGIERCEDEYLRHLEDEFARLKDAAVLWALHPWERDARWAHHVLHGGDGHPPGVLVEVACTRAADDLLGARRAYQALYHRSLEEDVAYRVNDATAALLVGLVTAYRYEGARVSDELAAEEAKALAAAVRAAPAARLVQNEQVVRILATRSKPQLRATFKIYKELHGKPLEEDFAGEPCLQETVRCLDSPPKFFAEVIGRALRGDADKQAKAALTRVVVSRADVDMEEMKETYAKQHGAKLADAVAKNTHGHYKDALLAMIGK >KQK91043 pep chromosome:Setaria_italica_v2.0:IX:47319175:47325089:-1 gene:SETIT_035682mg transcript:KQK91043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFNLRQSQNSRVLGAMSSSLPILPNSLKESFPRPCTPQHIPMSRQLPDDSMPLHNGTPQSDTLQPRTGVIGASYSGYSANPLDSVSNHEAQSMVSPFICQPSNVDVFQSLSDNTPGAHAEATWFPSSMDVLPVYTDNIAASDNQIQSGSSAMTSDEVAKQNDWWAEIMNDDWKDILDATATDSQSKAMMQPSNSIASLLAVNQSASSHSGEICPVASPPNSSNASAAKQRMRWTPELHECFVDAVNQLGGSEKATPKGVLKLMKVDGLTIYHVKSHLQKYRTARYKPDLSEGTSEKRTTTEELSLDLKTSMDLTEALRLQMEVQKRLHEQLEIQRKLQLRIEEQGKYLQMMFEKQCKSTTEVVQDPSSGDTSANPSSDPSHSANKDSSAAMDRNRIGDRPGTAELGERSTKLGVKQKISEIDSDPEAAADGGPKISLEKRRKLQDS >KQK88646 pep chromosome:Setaria_italica_v2.0:IX:15728002:15737710:1 gene:SETIT_034032mg transcript:KQK88646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPASVVSDVAEPQAEESPQVEAAATAEQEGPKSEAAAAAESAGASNPPAVAAAAPGDASSAPSPSPAPTTACDSVVVPPPASPATPAAPGPARPQFAGSPAYMAPPGPSPSPAFSYNVLPRAPPAQQVGSGAASVQPGSSPGTNHAGQASRFIPPGSLQPPAPGPLARPGIPGAIAPTPGSIQLPFSVPRPSNIPFGASAQQGNLDINTSKSDAPSVPEVNPHTMQLPTGPPSNSPSTIASASGSSSIPIQMPSNLSLPPRPEVFGTARPSVPGQPSPIFSNPTSLPGRPIVPSAAPLPQTAPSIANPGAMLQNSQPTFYPSYPGHGIVPPQPLWGHLHPPQPTGFQQPPFQSYPGPVGSLGKPMVGASAATMAFANVQPSGDPTAGEDRKEQMSTNPGSEQPTHASAGPDSTGHGGQVNEQLEDKRSTIVQGSDAWSAHKTETGVVYYYNALTGESTYQKPPGYKGEPEKVATQPVPVSWDKVAGTDWSIVTTSDGKKYYYDNKQKVSSWQLPPEVAELLKNVESDSLKEGSTSLQDAATIDNKGVISIDASTPAIQTGGRDSLPLRQTVAPASPSALDLIKKKLQDAGASSAPSPLATSSSAASELNGSKPADAALKGQQVSNNCEKSKDNNGDANMSDSSSDSDDEEHGPSKEDCIRQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSHSTRRAIFDHYVRTRAEEERKEKKAAQKAAVEAYKELLEEASKDINEKTEYQEFKRKWGADPRFEALDRKEREALFSEKVKAIQEKVKSMRKAVVADFKSMLRESKDVTSTSRWTKVKENFRSDPRYKATKHEERETIFNEYIAELKSAEQEAEQAARAKVDEQAKLKERERETRKRKEREEQEMERVKMKVRRKEAVSSYQALLVEMIKDPKVSWTESKPKLEKDPQGRALNPDLGQSDAEKLFRDHVKDLYERCVRDFRALLSEVITPEVAARTSDEGRTAVNSWSEAKCLLRSDPRYNKLASKDRESIWRRYADDLMRKVKQSDTKEKEKEKSDTDGKQRRSSDPPRRR >KQK91427 pep chromosome:Setaria_italica_v2.0:IX:49759051:49766797:1 gene:SETIT_033899mg transcript:KQK91427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTGLFGWASPHVQPLTPVSEVSEPPESPSPYGDGPAGDAGVGAREGEAAGAGEEEVEEDEVEPPPAAVSFWRLFEFADGFDWALMAAGALAAAAHGAALVVYLHYFGRALNLLDSERVESALYGHSDELLHRFKEHALYIVYIAAGVFVAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSAISEKVGNYIHNMATFVGGLIVGLLNCWQIALLTLATGPLIVAAGGISNIFLHRLAENIQDAYAEAASIAEQAISYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGIGLGFTYGLAICSCALQLWVGRHLIVRGKADGGEVVVALFSVILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSSVNQEGITLTQVQGNIEFRNVYFSYLSRPEIPILSGFFLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKVEWLRSQIGLVTQEPALLSLSIRENIAYGRSATFDQIEEATKTAHAHGFISSLEKGYETQVGRAGIALTDEQKIKISIARAVLSNPSILLLDEVTGGLDFEAEKAVQEALDVLMLGRSTIIIARRLSLIKNADYIAVMEEGHLVEMGTHDELLNLDGLYAELLRCEEATKLPKRMPTKNSRERKSLQIEDASVSQYFQESSSPKMTKSPSLQKTHGMLQFWRSDTNRNSHDSPKDRSPPSEQTVDNGIPMVATETERTPSIKRQDSFEMKLPDLPKVDVHPIQRQSSKNSEPDSPISPLLTSDPKNERSHSQTFSRPQSERDDTSSEHSELDEVQHQKPPSFWRLATLSIAEWPYALLGTIGAAIFGSFNPLLAYTIALIVSAYYRIEVHDMHHEVNRWCLFIVGMGVITVLVNWLQHFYFGIMGEKMTERIRRMMFSAILRNEVGWFDKDENNADTLSMRLANDATYVRAAFSNRLSIFIQDTAAVSVALLIGMLLGWRVALVALATLPVLVISAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLHLGKILKQSLVQGLAIGFGFGLSQFLLFACNALLLWYTAISVDQQRLTIATGLKEYILFSFASFALVEPFGLAPYILKRRKSLTSVFEIIDREPKIDPDDTTGLKPPNVYGSIEFKNVDFSYPARPEILVLSNFNLKVSGGQTVAVVGVSGSGKSTIISLIERFYDPVSGQVLLDGRDLKSFNLRWLRSHMGLIQQEPVIFSTTIRENIIYARHNATEAEIKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLVMGNKTTILIAHRAAMMKHVDNIVVLNGGKIVEQGTHDSLMDQNGLYVRLMQPHFGKGLRQHRLM >KQK88562 pep chromosome:Setaria_italica_v2.0:IX:15090029:15092984:-1 gene:SETIT_037381mg transcript:KQK88562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLRVAAVASLLLALAATAGAIVTIDVTNTASNTLGGQRFDREVGLDFPRQIISEASMFIWMTFNQTNPADRKPVDAIALVVADVGGVAFTSNDVITLSAQYIGNYSGNVRIEVASVLFHETTHVWQWDGQGQASRGLIEGIADYIVYTAGYRPAYWVQQGQGERWDEGYSVTAMFLVYCDTLRPGFVALLNAKMRYGYTDDLFAQILGKDVQQLWQDYKAMYGA >KQK89701 pep chromosome:Setaria_italica_v2.0:IX:35166873:35167090:1 gene:SETIT_040480mg transcript:KQK89701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGHIHQMCGLHKRNLYLTLVDQMNAKLGKEFTLASFG >KQK88170 pep chromosome:Setaria_italica_v2.0:IX:12114106:12116477:1 gene:SETIT_037947mg transcript:KQK88170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVRTLVLRHLRLRAPPSVAARAGGAGPPAVSQRWLARGMSTPADQDGGCSSGSESAVRARVVDLVRKFDKIDADKVTETADFQKDLSLDSLDRVELVMAFEQEFSIEIPDDKADKLTCCADVAKYIISESQSSNKNAGSS >KQK89575 pep chromosome:Setaria_italica_v2.0:IX:33186414:33187880:-1 gene:SETIT_038743mg transcript:KQK89575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVVSAVAGDLINRLMSFLISKYKSEEQLEEKMKRLQDLLIRAHMIVEEAEVRYITNSKMLLQLKKLVEVMYQGYHVLDTIKYRTLCSSSADESEVSSSNINELSFTTCIEHLCTKHCTPNIHGLQITLDNLESTISNMKEFVLLLGGCERMFRRPYDSYVYIDNFMFGRHVEKQLVINILQQENIPPFAPAVLPIIGGSRVGKKTLVAHVCNNEKVRSKFSSILHVRGENIWRIAREVGPVRSLVMVEFTTDVDEEDWLKFYSSVKQMGRGSKIIIISRIAKLSRFGTVKPVRLDALSHEEYSYLFKVLAFGGTNPEEHPQLAVIAEDLAVALGGSLITANVCADMMRKNQNVHFWISLLKKYRNVVRKNFSLFGEHPKNLMDQDHPIDITRLASSSWSSPSSATLRLMPPHTEVDDSKTELPKVMFGDLITGSAILPREEFELIAWESRIPPYKRFVNFATYCDEEPISQHHTASAGKKRKLLDK >KQK92921 pep chromosome:Setaria_italica_v2.0:IX:57883085:57885663:-1 gene:SETIT_039480mg transcript:KQK92921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGAEEQGRTLFGVSLTDRPRWQQFLICASGFFFGYLVNGVCEEYVYNRLQFSYGWYFTFVQGFVYLALIRLQGFTMKQMVNPWRTYVRLSAVLMGSNGLTKGSLAFLNYPAQIMFKSTKVLPVMIMGAFIPGLRRKYPFQEYVSAVMLVIGLILFTLADAQTSPNFSMAGVAMVSGALVMDAFLGNLQEAIFKMNPDTTQMEMLFCSTVVGLPFLAVPMVLTGELMTAWTSCSQHLYVYAVLVFEAMATFVGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHVTGLLLITMAIVLKLLPENKEGGPLRRAAKVELSDDDGKPRGRRELEEKTNLV >KQK87243 pep chromosome:Setaria_italica_v2.0:IX:6240200:6241822:-1 gene:SETIT_038051mg transcript:KQK87243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRDRHEHGQWLSSDFKIKDEDPNKLMFGDKEVTIFRVKEPGKIPWKEVGVEYIVEARADKSSADYYENHTRNHVHYIDMSDSIFKNHLSALAKILRLRRLRMWLAGLPSYKLYHKTQMPPRY >KQK92865 pep chromosome:Setaria_italica_v2.0:IX:57572786:57573199:1 gene:SETIT_040651mg transcript:KQK92865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVWSIILQGIVRQLRNLMKRRRYNMCMIG >KQK92770 pep chromosome:Setaria_italica_v2.0:IX:57174475:57176512:-1 gene:SETIT_039395mg transcript:KQK92770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFWSLLIVAWLPVLQVLLVGLLGALLASHRFNVLTSDARRNINKIVYIVFVPSLVFSSLASTVTLKDIISWWFMPVNMGIIFLFGAVLGWVSVKAFRPREHLQGLVIASCSSGKMYSDHRETKRFLEFSFSVFMFFACSISGNWGTIPLMIVPAICNEEGSPFGDANTCNLLGLSYVSLSMALGSFYIWTHSYSVMKRSAKMYKAKCKNHAQTDTSKEHFGQDATASFLRRANDLLVGILKELWSPPSIAADNMKSLVTEEGIGKTMIEPIMVISIIVIRYIILPACGIGIVTVATKLGVLPRSPLYRYVLLLQSTVPPAMSIGTIAQLFDVGEEECSIIFLWTHLVAALALTLWSTVFMSLVL >KQK86416 pep chromosome:Setaria_italica_v2.0:IX:1726061:1727443:-1 gene:SETIT_0394981mg transcript:KQK86416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLGSETSLRRHRGPAWLWIAVAVLVTGTIWLWSSSFVGLLGTYRVQDYVVNELWRTADSNGWRASSAPRTYWSPPPIESESNGYLRVRCNGGLTQQRSAICNAVVVARIMNATLVLPELDTNSFWHDK >KQK92797 pep chromosome:Setaria_italica_v2.0:IX:57268784:57269623:-1 gene:SETIT_040711mg transcript:KQK92797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAALPRSREKGTLAWPNGRREEATGPHHRPAPRRVALAAGHQQRGADGISSCQSSRRRRAGSAPWRKSVTPSGVSKSLAEKDRWQNLLDRDVGLRVFTFNSPWPSSVIRGDPVRITIHETS >KQK92796 pep chromosome:Setaria_italica_v2.0:IX:57268133:57270197:-1 gene:SETIT_040711mg transcript:KQK92796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAALPRSREKGTLAWPNGRREEATGPHHRPAPRRVALAAGHQQRGADGISSCQSSRRRRAGSAPWRKSVTPSGVSKSLAEKDRWQNLLDRDVGLRVFTFNSPWPSSVIRGDPVRITIHETSFRETCLGCVEEMAW >KQK92795 pep chromosome:Setaria_italica_v2.0:IX:57268133:57269623:-1 gene:SETIT_040711mg transcript:KQK92795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAALPRSREKGTLAWPNGRREEATGPHHRPAPRRVALAAGHQQRGADGISSCQSSRRRRAGSAPWRKSVTPSGVSKSLAEKDRWQNLLDRDVGLRVFTFNSPWPSSVIRGDPVRITIHETS >KQK86187 pep chromosome:Setaria_italica_v2.0:IX:665739:668591:1 gene:SETIT_034687mg transcript:KQK86187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRALLLPLLLAAAAIVAAEPASTLFGPARPVTVPLGDRGHAVDLPDTDPRVQRRVTGWAPEQIAVALSAEPTSAWVSWITGDFRMGGAVKPLDPSTVGSVVRYGLAVDSLLHEAAGESLVYSQLYPFEGLQNYTSGIIHHVRLRGLEPGTKYYYRCGDPAIPDAMSGVHAFRTMPAVGPRSYPGRIAVVGDLGLTYNTTSTVEHMARNHPDLILLLGDVCYANLYLTNGTGTDCYSCTFAKSTPIHETYQPRWDYWGRYMEPVTSSIPMMVVEGNHEIEEQIHNKTFAAYSSRFAFPSEESGSFSPFYYSFDVGGIHFIMLASYADYKKSGEQYRWLEKDLAKVDRSVTPWVIAGWHAPWYTTYKAHYREAECMRVEMEELLYSYAVDVVFTGHVHAYERSNRVFNYTLDPCGPVHISVGDGGNREKMATSHADEAGHCPDPASTPDAFMGGFCAANFTSGPAAGSFCWDRQPEYSAYRESSFGHGILEVKNETHALWRWHRNQDLYNTVGDEVFIVREPHKCLIESTRFSDNASSPPGVVVGFGHWRRALLAAGHAGAAPGARAGVNRVASGDVLSDLCLASPRGLAAAERSAATASKHGSLPYRQQLSRLLLALPTSQGFGLVL >KQK87102 pep chromosome:Setaria_italica_v2.0:IX:5564689:5564920:1 gene:SETIT_040660mg transcript:KQK87102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCYFFSINLICFILTTCCEEKNPWNYHVVP >KQK90135 pep chromosome:Setaria_italica_v2.0:IX:40068633:40071122:1 gene:SETIT_039256mg transcript:KQK90135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAYTLSSLLLSALALALAARPDAGSLDAATIAVQELDRVLSLPGQPSYSPAFKQYSGYVTTDEYLGKALFYWFFEATDKPDEKPLVLWLNGAANLLFLDSPAGVGFSYTNTPFEKDPPGDNSTAHGSYTFLVRWFQRFLQHKAKEFYIAGESYAGHYIPQLANVILEENKKASKENYINFKGILIGNAYMDGDTDLWGIVDSAWHHAIISDNLYSDFQKNCNFSLVDLSPECTADISQFTALYNIIDIYSLYTDRCELGYPDFNSSFSAQIGRTSSGRLDLMKMPMGYDPCTQTYATEYFNRKDVQKALHADITGMPHAFSLCRNSISNAWKDSDLTVVPVVKKLVEAGLRIWIFSGDTDARIPTTSTRYTLKKLGLQIKEDWSPWFHRKQVGGWTVVYDGLTFVTVRGAGHMVPSTQPAQALELFKHFLANTNLPSKPF >KQK86285 pep chromosome:Setaria_italica_v2.0:IX:1109364:1111724:-1 gene:SETIT_038561mg transcript:KQK86285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPALARELLEAARTPEFAEWQLRVRRQIHVRRELDALGVPYVWLVARTGVVATIAAPEAAGAGPVFALRADMDALPIQNWEFKSKEDGKMHACGHDAHVAMLLGAAKLLQSRKNDLKGTVKLVFQPAEEGHAGGYHVLKEGVLDDVQAIFGLHVDTALPVGTVGSRPGPFLAGSSRFTATITGIGGHAAEPQLVVDPIVAASSAVVSLQQLVARETDPLQAAVVSVTFIKGSEAFNVTPESATLGGTFRSMTTEGLSYLMKRIRQVIEGQAAVSRCTATVDFMEEKHRPYPATVNDEAMYAHARAVAEGMLGEANVRLCPQFMAAEDFGFYAEKIPAVFFDVGVCNAETGEVDHLHSPHLVIDEGALPIGAAFHAAMVIDYLSKHASSSST >KQK88663 pep chromosome:Setaria_italica_v2.0:IX:15906984:15907727:1 gene:SETIT_040194mg transcript:KQK88663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTWIFDHRDKDPIDLAEYGNPKLTVQDLKNKPLHWFIPLLMGEGTASTNLAFRSDNLYLSGFTNQKGEWFSFQVDEDHEYVIPGSTVLGFKSNYASLVGGGPGTGHEPWEFLVDLDISRAEILSAIAVLSEYDPSTTPDHVIKLALARLTVAFMEAQRFPFIRQRMYELWENGGPGTLGWRGAKLVVHWSDISCALRIWDTRADKARWDSREAEGLKKEPPVGLGIATPEQALAEIWPILISQCTE >KQK90818 pep chromosome:Setaria_italica_v2.0:IX:45940206:45942823:1 gene:SETIT_035229mg transcript:KQK90818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHGASPLAPPPPLSIDTRHRAGAFGDADDDGGEPLSPTARMFHDFYIVAVVGLGAPIDFEPARAGLEVTLVRHPRFSSIRVMDGPEPRWVPTTVNLDDHIIVPDLDRAAIAADADRALEDYVASLSTLPMDQSRPLWELHVLDFPTSEAASAVAFRIHHALGDGASLVSLLLACTRSAADPKALPAMPSPAPAPGPGARRRARPVYGAPPRPAWSAGALALAAWVLSCALLAWHTLVDVARFVAMALQLVRDPPTLFKGVKGVESRRKRFVMRTFSLDDVKLIKHALGCTVNDVLVGVTSAALSRYYFRKLGDDQDTNNRSTCFRSVLFVNLRPTPGIQQLAKMMESGKHNDLKWGNRLGYIVLPFEIVKHDDPLDYVRNGKKTVDRKKHSFEAIATHLIAETVTKLFGIEVATGLFHRMISGTTVLFSNMIGPAEPIEFYGHPVVYIAPSNFGHPSALTIHWQSYLDTIRIILAVDDAQFLDSHDLLDDFAESLESIRNATSTAFKAQVVKG >KQK92124 pep chromosome:Setaria_italica_v2.0:IX:53831226:53832037:-1 gene:SETIT_038456mg transcript:KQK92124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVEIRVRVHSTLRILHVLPDLEQELEKGFRQICAPSNDYITKYVTFRKQNWMLSMQV >KQK88868 pep chromosome:Setaria_italica_v2.0:IX:17914362:17916715:-1 gene:SETIT_034625mg transcript:KQK88868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRAAGLSGPRWRGGSLDLRAALRSGGNLLFALFVAAVLAFTLLAAVHSPDDPLLHPSSHQLTDFLTSSTSTSTLLTDDSVLRTGEDFNASSSGAAAEAGVEAEIKEAVPFIKLSDVASEAEKAEPDATRTEPEAERAVTVDTDVGTGDGAAAATAEEENPVAEAVSCDTEAPVDCTGDRDLFNLLMRTAIERFPDLHFYRFGRPVAVPGSPMECDLAWRFRPAADAIGTGRTTYYKDYRRFALTRDVNTCTLVVDSVGEYHSGVGAKRSGKRKGKKGKKGKREAPVTDFVPAKTQVRLDENAANNEAAGAVAEPVFVVGEAVNDSLPVVASESEFSRGRYLIYMGGGERCKSMNHFIWGFLCALGEAQFLNRTLVMDLNVCLNARYTSSGKDEEKDFRLYFDFEHLKESASVIDQSQFWQDWGKWQKKDRLKNFYTEDIRMTPMKLRDVKDTLIMRKFGNVEPDNYWSRVCEGETEGVIKRPWHFLWKSRRLMEIVSAISSRMNWDFDSVHVVRGEKAQNTQLWPNLDRDTSPDSLLMTLNDKVGAGRYLYIATDEPDKSFFDPLKEKYKTRFLDDFKDLWDENSEWFTETKELSNGKPVEFDGYMRVAVDTEVFLRGKRHLETFNDLTRDCKDGVNTCPASS >KQK88245 pep chromosome:Setaria_italica_v2.0:IX:12517255:12521505:-1 gene:SETIT_036497mg transcript:KQK88245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEDKAKHQIALIEEEKNIEALSKPPDWLPDGWIMEVYRGEDGTINRYYTSPISGYTFNMKSEVLDYLFSQTDERILESKESGAENTFQKEHKWLPKGWVMEIRAGGEKMDKMYKFYVYPKTGVRLLSKQDVLLYINEATVSKCDTNGQCNTSSEANLLAIVDFHPSGLPEGWVKELVFRKTKEGLIRRDPYYTDPASSYTFRTLKSALCFVETGKISKRAFIQRISVHDLYSFDKSADLHESLRSRLIINETPYAKATRSSRPRGTPQIEYDQIINNPQDGDTSGSDSPYEPEEENIRSRKAKGKEATNSRTINRSTGRPPQLRIKEEPTDDQDVD >KQK92319 pep chromosome:Setaria_italica_v2.0:IX:54897017:54897733:1 gene:SETIT_038569mg transcript:KQK92319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFEDETKNRMMETKGLFDWVLKQRCFEKTSFMLFLNKFDIFERKIQKVPLSVCEWFKDYQPTVPGKQEVEHAYEFVKKKFEELYFQSSKPDRVDRVFKIYRTTALDQKLVKKTFKLIDESMRRSREGT >KQK88477 pep chromosome:Setaria_italica_v2.0:IX:14521558:14522044:1 gene:SETIT_038439mg transcript:KQK88477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFHSSHPINTVKITHTPLPCEAITDMNKIVIFNRKQWTCQTNEKRRAEGEQRKEPLSDG >KQK87629 pep chromosome:Setaria_italica_v2.0:IX:8300376:8304940:1 gene:SETIT_037787mg transcript:KQK87629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLPRRLLLLLAVSAAATAAGGASLKGAANDLLPKYGLPKGLIPDSVASYTFDKATGAFEIHLASTCYVHFGSHLVYYERTITGKLSKGAISDLSGVQAKKLFLWVYVTGMVAHPDKGTIEFQAGFVSESLSASMFDEVPTCGSGVGAQLRGAAGVIGELGLLPVAQA >KQK89057 pep chromosome:Setaria_italica_v2.0:IX:20083817:20089182:1 gene:SETIT_035074mg transcript:KQK89057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTRTQKVPNLQFSRSIAIKRTAQRRDRETPLFRAEKQSSALLASRRSSDRFLFLFAMAASVAVENLNPKVLKCEYAVRGEIVIHAQRLQQQLQNQPGSLPFDEILYCNIGNPQSLGQQPVTFFREVLALCDHPCLLEKEEIKSLFSADAISRAKQILATIPGRATGAYSHSQGIKGLRDAIAAGIASRDGFPANADDIFITDGASPGVHLMMQLLIRNEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEKTGWGLEISDLKKQLEDARSKGIDVRALVVINPGNPTGQVLAEDNQCDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSLGYGEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKIASVNLCSNITGQILASLVMNPPKVGDESFASYKAEKDGILESLARRAKALEDAFNNLEGITCNKAEGAMYLFPQIHLPQKAIEAAKAAKKAPDAFYALRLLESTGIVVVPGSGFGQVPGTWHIRCTILPQEEKIPAVITRFKAFHEAFMAEYRG >KQK89056 pep chromosome:Setaria_italica_v2.0:IX:20083817:20088597:1 gene:SETIT_035074mg transcript:KQK89056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTRTQKVPNLQFSRSIAIKRTAQRRDRETPLFRAEKQSSALLASRRSSDRFLFLFAMAASVAVENLNPKVLKCEYAVRGEIVIHAQRLQQQLQNQPGSLPFDEILYCNIGNPQSLGQQPVTFFREVLALCDHPCLLEKEEIKSLFSADAISRAKQILATIPGRATGAYSHSQGIKGLRDAIAAGIASRDGFPANADDIFITDGASPGVHLMMQLLIRNEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEKTGWGLEISDLKKQLEDARSKGIDVRALVVINPGNPTGQVLAEDNQCDIVKFCKNEGLVLLADEVYQENIYVDNKKFNSFKKIARSLGYGEDDLPLVSFQSVSKGYYGECGKRGGYMEITGFSAPVREQIYKIASVNLCSNITGQILASLVMNPPKVGDESFASYKAEKDGILESLARRAKALEDAFNNLEGITCNKAEGAMYLFPQIHLPQKAIEAAKAAKKAPDAFYALRLLESTGIVVVPGSGFGQVSQLNFDILYYTFVPVAADVVWLKPYGN >KQK86305 pep chromosome:Setaria_italica_v2.0:IX:1219473:1222271:1 gene:SETIT_036194mg transcript:KQK86305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKKSRRLSGSRHLRQRLVLATLTSTTITIEDIRSGDAAPGLRPHEVSLLHLLEKISDRHDIDINETGTKVRYHPGKILGGKGLEHDCGVHRGIGYFLEPLILLGLFARVPISIRLKGITNDTKDPSVDTFRMTTLHMLKHFGVPLEGLELRIDSRGSPPLGGGEVFLRVPNINGTLTAANWVDEGMVKRIRGVSFSTRVSPQIENRIIYAARGIFNRFIPDVHIFTDHRSGSAGGRSPGYGVSLVAETTTGCLLSVDATVSYPSVDEMNEESEKPELMSPEDLGVQAASMLLEEVAQGGVVDSTHQGLLFILCALCPPDVSKVRVGQLTPYGIETLRNIRDFLGVKFVISPDPNSETVILKCVGAGVTNLSRKIQ >KQK89622 pep chromosome:Setaria_italica_v2.0:IX:33905989:33907380:1 gene:SETIT_036374mg transcript:KQK89622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDAGRRQGRTTAAIVVPAVPLSSGKPMPRVGFGTATPTLGQTEGDAAAVTDAVLRAIGGGFRHFDTAAVYNAEAALGDAVAKAVRAGTIASRDEVYVTSKLWIADAHPGRVLPALEKTLQNLRMEYVDLYLIHFPVSQRPSEVEGGPVLVKKDLVAMDMKGVWEEMEECHRLGLARAIGVSNFACKKLEHLLSFAKIPPAANQVEVNPCCRQNKLREFCRARGIQLCGYAALGGNGTPWANNSVMKCPVLKQIAQDRGKTVAQVCIRWVYEQGDCVITKSFSESRMRENLDIFDWELTEDDHRKISELPESRGNYDFLVHESGPFKTVEDLWDGEITAGQCKQTALVSSD >KQK91048 pep chromosome:Setaria_italica_v2.0:IX:47355726:47360436:1 gene:SETIT_039621mg transcript:KQK91048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFWLSLLITISNCGMQGTIEYTGDGSVCIFGHPASKTHRGNWKSCSLNLGNYLTKVLHETNGVAARNVSTWRGTSYLAPLVGAFLADSYLGKYWVTLISQSLFLLCYGALNPCITSFGADQFDHTNEEERNRKSSFFSWHYFALSAGSCGFTPSDFSLLYELPEQGLSIEGSKKLKHTAGLKFFDKAAVVVSSDCDSVGLLESWIMFLEQMSSTFIEQGMVMDKHIGSFEIPAASFQSVDIRSVLVLVPVHERILPVGSYSLWHISLMFMFYLHHMITFETWLSWCLFRVTLINELEDLATYRLRNLMFPLSISDQIDWHVLHLRGNREWIPDNLNEAHLDRFYWVMAGLSFLILVAFMFCAIRYKNKRAS >KQK86529 pep chromosome:Setaria_italica_v2.0:IX:2447180:2448253:1 gene:SETIT_038908mg transcript:KQK86529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACVEPAVAVRNHAAAGMAGLKRRRIAVGSAEPYEDISRLGEGAFGAVVKARHRATGRVVAIKRVGEAQGEHAALLREARFLEDACSGGANPFVVGFHGVVRRPDAFDLSLVMEYVGPSLQDLLRQRGRGSPPLPESTVRAAMWQLLTGTKKMHDGHIVHRDIKPPNILVGDDHRIVKLCDFGLAMSTDERPPYTQAGTLWYMAPEMLLEKPDYDERVDIWSLGCVMAELINNGSPLFQGFYGEGQLCAIFDVLGTPDDGTWPWFSSTAFATVVMPELDMQRENNLRELFPESKVSKEGFEVLSGLLTCNPEKRLTAAAALKHPWFDKIDVLELPKKEELPSPMPLQPKRRRIHAV >KQK88446 pep chromosome:Setaria_italica_v2.0:IX:14328472:14331896:1 gene:SETIT_036725mg transcript:KQK88446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGALETLNSLAALRWELLSELEPREGWGSCRGKSSRRGRGVVRVERIQRSGERSVNGTGRKAISEFRFPSPPLHSRRSEEEMATPAHAKVARALASSAAALVLLWCVHFRGGLSLGSPTNKGLIFNVHPVLMLIGFIILGSEAIMSYKILPWSHDTNKMVHMLLHAVALFLGSVGIYAAFKFHNESGIANLYSLHSWIGLGTICLYGIQWLLGVTTFFFPGASPTVRRRMLPWHVRSGLVVYMLALLAAELGFLEKLTFLQANGLGRYSSEALLVNFTALLVVLLGASVVLFVTAPMHNEHTHGYSAVHKP >KQK88018 pep chromosome:Setaria_italica_v2.0:IX:10935272:10938086:1 gene:SETIT_036504mg transcript:KQK88018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNRASLTTHLPLSSRSLPFTSLLLASCQPGLLLSVSACAHRPKARAFHSTHTSQRELRALERRREKYLMAGLGFEETELRLGLPGGGNDAGEAAAARKRGFEETIDLKLKLRQPAASPAARVEEEAEEDEAAAAADVVAAAASPAAAATGGANMKRSPSQSSVVTTDAQPDADKPRAPKAQAVGWPPVRSFRKNILSVQSCQKGGGDMDDGKSGAAAFVKVSMDGAPYLRKVDLRMYGSYQELSKALEKMFSSFTIAGSCGGSQGMKGMNETKLADLLSGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAVGLAPRAMEKCKNSC >KQK88019 pep chromosome:Setaria_italica_v2.0:IX:10935272:10938086:1 gene:SETIT_036504mg transcript:KQK88019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWNRASLTTHLPLSSRSLPFTSLLLASCQPGLLLSVSACAHRPKARAFHSTHTSQRELRALERRREKYLMAGLGFEETELRLGLPGGGNDAGEAAAARKRGFEETIDLKLKLRQPAASPAARVEEEAEEDEAAAAADVVAAAASPAAAATGGANMKRSPSQSSVVTTDAQPDADKPRAPKAQAVGWPPVRSFRKNILSVQSCQKGGGDMDDGKSGAAAFVKVSMDGAPYLRKVDLRMYGSYQELSKALEKMFSSFTIGSCGGSQGMKGMNETKLADLLSGSEYVPTYEDKDGDWMLVGDVPWEMFVESCKRLRIMKGSEAVGLAPRAMEKCKNSC >KQK91731 pep chromosome:Setaria_italica_v2.0:IX:51490957:51492561:1 gene:SETIT_037176mg transcript:KQK91731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVLLDRSSGSFEFPKRSLGRSRRGLAARPAAPGGAYSSFPTYQPPYSGAWTSAPALPYARPPIYSSPSLPLLPSNQPPLLPLPPTATKYATFPCLPAAAPPPSPPPAPRAAGRGSAGVTTAVPAAAAPAPARRERDRRRRPARPPPPPSTAEAPRAQKKKPLERATPLPPAPVVTEALDDLEREVARNFVQDLLHALAPPPSSLPLPRFSLVAKASPATSPRVVAPAAPSCNVEAAAADGIHGLLRL >KQK86884 pep chromosome:Setaria_italica_v2.0:IX:4277133:4279918:-1 gene:SETIT_035662mg transcript:KQK86884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGHMPGDKSAGHYDDAFTTFFSQTGAGKYVPRAIFVDLEPTVIDEVRTGIYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPNYSNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISSEKAYHEQLSVSEITNSAFEPANMMVKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQAPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDRKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGGDEDEEDEEY >KQK93058 pep chromosome:Setaria_italica_v2.0:IX:58545638:58549559:1 gene:SETIT_038664mg transcript:KQK93058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKINKACDLGSISVLPPRRTGGSGGAGVVGASGSSAAALAGSQQQQQQRSQPLSQQSFSQGVGGSGGGSSLLHSQSQLSQGSLDDSLLSLHLASPTRDQRFGLHDDSSKKMPSLPVNSASCVREESQLQLAKISSNPVHRWNPSPPDSQVPNEDVERKFQHLASSVHKMGMVLDSVQNDVMQLNRAMKEASLDSGSIQQKVVVLDNSLQKVLKGQDDLKALFESSTKSIADQLSVLNSHSRKLDEISSTLSVWPKQIEIDLRQLQSDIFRIFAKEMEGIVRAIRSLNSRPAAIQMPTDQSCMTNGRPLMNQLPLVNERSQVNQTPVATRVSQTPVAIMVNQTPVASLVSQTAGANGRHLASQTPAANGKTLMNQTSVADGRSLMSQTTAPNGRPVMSQRPAANGRSQTNQIHVASGQPYTNKIPAPKLIENSSLDACSLKELLLLFSLHLANATVCFLIIGRHPAPLACPAKVADPKLKVEEGKMKALPQRLTGGSRSRVVPKQEEVPTTKVTRSVATKKAPPALIIIDSDDDSEGRVSCVILKSSESGDKECDLMKEAAEESQQILRRARKRRRREMLQ >KQK86101 pep chromosome:Setaria_italica_v2.0:IX:289700:292094:1 gene:SETIT_037927mg transcript:KQK86101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVSFRVSASFPRQRTSFPSIRVQRIPKRFQVSCSAKQETIEKVCEIVKGQLALPEDSTVTGETKFVDLGADSLDTVEIVMGLEEAFQINVDESSAQEIQTVGDAAALIDKLIAEKDA >KQK86102 pep chromosome:Setaria_italica_v2.0:IX:289700:292094:1 gene:SETIT_037927mg transcript:KQK86102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPITAAAAARSSVLVYSPALRVQGMDAVSFRVSASFPRQRTSFPSIRVQRIPKRFQVSCSAKQETIEKVCEIVKGQLALPEDSTVTGETKFVDLGADSLDTVEIVMGLEEAFQINVDESSAQEIQTVGDAAALIDKLIAEKDA >KQK86665 pep chromosome:Setaria_italica_v2.0:IX:3211478:3211910:-1 gene:SETIT_040833mg transcript:KQK86665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGKSYICPVAPSAKHRNSNNFTNVGQR >KQK91706 pep chromosome:Setaria_italica_v2.0:IX:51369247:51370202:-1 gene:SETIT_040566mg transcript:KQK91706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELEGSAARRRQREAAPAACAGEIFTLSPLTSTGQSPRCGGSGAPGTWASGRASPTARGGARRRGGGGGRSRRACRGRRAWSRRRGRG >KQK87002 pep chromosome:Setaria_italica_v2.0:IX:5025184:5027707:-1 gene:SETIT_036666mg transcript:KQK87002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEEEIVAEAGGRGYMDLLGLGGEDYLLCMSPSSYFSSSVVSTATTTSATPAAASSPTCSSYLDLAPAYHQMLSFAGQGQYHGGDGIFGLQYYGGDQAIPMAVPVPQKSSPTTECSSSISSMSSSPPATTVSAISSPKPQAFKKKGSRSSGQRKAAPAAVATTAATNKRPRVRKEKLGERIIALQQLVSPFGKSDTASVLHEALGYIRFLHDQVQALSSPYMQQRQPVSAHAPAPESAAGTVVEPPRPTSDLRSRGLCLVPIACTEHVAGGVHGHGHGNGADLWSVAAGMAKAAAENKAAAAVGALPGGGHGHHGHLA >KQK87462 pep chromosome:Setaria_italica_v2.0:IX:7424797:7425281:-1 gene:SETIT_038521mg transcript:KQK87462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFFHLVIAWDVKPICNNQKDKAQTSDIIHVQCSSKKWPNAKRCGKLF >KQK88465 pep chromosome:Setaria_italica_v2.0:IX:14456423:14458942:-1 gene:SETIT_034527mg transcript:KQK88465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGGAALQRHAAVAVLRAAAASGELSKGKALHARIIKAAHFDVVLHNNLISFYAKCGQVGLARKVFDAMPYRNAVSGNLLMSGYASAGRHKDSLALLRVVDFGLNEYILSAAVAASAHVRSYDIGRQCHGYAVKAGLAEQPYVCNTVLHMYCQCAHMEDAVKVFENVSGFDAFAFNSMINGFLDRGELDGSVRIATDMMGEIERWDHVSYVAVLGHCASMKDMVLGGQVHAQALKRRLELNVFVGSALVDMYGKCDCAHDAQCAFDVLPEKNVVSWTAVMTAYTQNELYEDALQLFLDMEVEGVKPNEFTYAVALNSCAGLAALRNGNALSACALKTGHWTHLVVGNALMNMYSKSGSIEDACRVFTSMPLCDVISWNLIITGYAHHGLAREAMEVFHYMLSAEEVPSYVTFIGVLSACAQLGLVDEGFYYLNTMMKEVGVTPGKEHYTCMVGLLCRAGRLDEAERFIVDNGIGIDVVAWRSLLSSCQIYRNYGLGHRVAEQILQLKPNDIGTYVLLSNMYAKANRWDGVVKLRKQMRDRGIRKEPGVSWIQVGSDVHVFTSEDKVHPQIDQITVKLEELIDQIKAIGYFPNFAVVLHDIEDEQKEEHLMYHSEKLALAFGLIHTPKGETIRIMKNLRICDDCHVAIKLISTVTSRKIVVRDAVRFHCIEGGVCSCDDYW >KQK93046 pep chromosome:Setaria_italica_v2.0:IX:58493060:58496801:-1 gene:SETIT_034532mg transcript:KQK93046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKFLSGLFSLWGWDHVFPKYNNMVRIILNSMSWVTVLITVASLTVTSAGQRSCQLAIIIFILATSLTACFTAKLVLKYAKAPLEAKAHAPRAKVLRDGRWRDVHAANLVPGDIIFLKVGDIVPANARVLRFEKIDTMTCWAKRSVDCAHGFLIYYAWTVSCGQGTAVVIATGHGIPRSTLRLYPQRYARPGQLKEGVMVAGCFCVSLLLVGTIAEMVFSFLFRKQNRKGMLHNNHFMLLIGGIPMAMPAVLYLALALGSLRLCFLGIASLGTVAVEDLASMDVILFNMTGTLTCNKPCFARDKIELFADGVNKDRAIILAARASRSQHELYIEPFDAAIISLLDDPEQAWSGIQVLENHARFFVALKLMFLTTYIDDGNGSKCCVFKGDPAKASRHSCWEFIGLLPYRDDLRRDSAEAVESLIDLGLDIRVLTESPLSTTKQIYTVSSTVHLFGVRIIFHFWNFDMSSILALVIASCNYFTSLAMLLERVELNKSPDRWRVQKSIASGAAFGSYIVLSTAIFYRVARTADSFSCKFKGKSLMGTDEEIGAALFLQMSIVNQAIALFVHSDDCCLIRCPGPFVTFAFFASQMVATHKAVYGDLNFALAKGVGYFRAGLIWLYNLVLLLTLVLICRKWRHSKMTSEKLLAICMRSAILHIVLLWFSYVILDVRVQQPVFS >KQK89480 pep chromosome:Setaria_italica_v2.0:IX:31740039:31740188:1 gene:SETIT_038953mg transcript:KQK89480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IGFGSGFKCNSAVWRALRDVAPVPADGTGGGSCNPWVDSIQNYPPKAYI >KQK92516 pep chromosome:Setaria_italica_v2.0:IX:55913681:55914548:-1 gene:SETIT_038641mg transcript:KQK92516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKVSCHLLVIAVVAASLAATARAGWIRGSATFYGGADASGTMGGACGYGNLYSTGYGTNTAALSSSLFNDGAACGECYQVMCDQQNSQWCRPGVTVTVTATNLCPPDWSKASNNGGWCNPPRQHFDMAQPAWEKIGVYRGGYVPVMYQRVSCSRNGGVRFTINGNNYFELVLITNVAGPGSLKSVQIRGTRTGWITMSRNWGANWQANNYLNGQSISFRVTATNGQTIEFWEVAGSGWRFGQTFTNGQNFY >KQK92868 pep chromosome:Setaria_italica_v2.0:IX:57580867:57583308:1 gene:SETIT_039193mg transcript:KQK92868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FMFASMISLIVQKTIERYRTYTKDNVSSKTVQQDIEQVKADAEGLAKKLEALEAYKRKLLGEKLEECSIEELHSLEVKLEKSLHCIRGRKTQLLEEQVNKLKEKELTLRKNNEDLREKCKNQPPLQPTPPAGAVITVEDDHPEQNDDAMDVETELYIGLPGMDHRRNKAAAVRSG >KQK86385 pep chromosome:Setaria_italica_v2.0:IX:1573049:1575689:1 gene:SETIT_037580mg transcript:KQK86385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSCTSSFQSIARSSRRSLLCSRFSRAVSSPPPPPPPSAEAEADKLTDTGSGDDDAIAAFLLTVSFRRPLRAKGQNPRVRRGRAEARLLVGGRYIGSRRRRLNPGLCSSSPRGAAMAAKGGPTNLEKEQMFGMAEKEMEYRVDLFNRLTQTCFDKCVEKRCGYNQLLLCITQNFQITIHSLVITSLFHLQHNHVACYHI >KQK86386 pep chromosome:Setaria_italica_v2.0:IX:1573049:1576786:1 gene:SETIT_037580mg transcript:KQK86386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSCTSSFQSIARSSRRSLLCSRFSRAVSSPPPPPPPSAEAEADKLTDTGSGDDDAIAAFLLTVSFRRPLRAKGQNPRVRRGRAEARLLVGGRYIGSRRRRLNPGLCSSSPRGAAMAAKGGPTNLEKEQMFGMAEKEMEYRVDLFNRLTQTCFDKCVEKRYKEAELNMGENSCIDRCVSKYWQVTNLVGQMLGNRPQI >KQK90515 pep chromosome:Setaria_italica_v2.0:IX:43320959:43323140:-1 gene:SETIT_036168mg transcript:KQK90515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEKDLHMSTGDGANSYAANSRIQKRALLMTKPVLQKAIQDMYTSSISSRSTVVVADLGCSSGPNTLLVVDEVMSTLRDCAREEETTDEDDRRSMQVQFFLNDLPGNDFNLVFRSLQQLQDFDVEEEDETVALPCYVAGLPGSYYRRLFPCQSVHLFHSSYSLMWRSKVPDDLSNGTYLNEENIYIGKTTPPSVVKLFQEQFQKDFKLFLTLRCKELVGGGRMVLTFLGRKTEEMLTHGEVGSMFELLAKALQCLVQKGLVEKEKMNSFNLPYYAPSVDEVKALIEEELFDIENIRLYENNWDPLDDSGSDVVLDCASSGRNVANKNIRAVMEPLIINHFGEAILDELFMVFAALVAKYLEIRNAKYPVIVVSLKKVRH >KQK93095 pep chromosome:Setaria_italica_v2.0:IX:58766172:58767223:-1 gene:SETIT_038808mg transcript:KQK93095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINNRASWDEGTTKTLLDLCIAQKNQFNWSNKCLTKLGWRNVYSGFRAQTGRAFLTWLSLQNKSGLGRDTQTGGVSADATYWEEDAEDTTGGGAQARSQSSSVKPPPFLDELFELFGHEPQDRGTLLTAGGIREATPSVGTEGNTADLEQDPPC >KQK88886 pep chromosome:Setaria_italica_v2.0:IX:18197252:18198543:-1 gene:SETIT_040081mg transcript:KQK88886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVAGAFRRMTGSSSSHSRSSSSSPHFSKPTPSPSSMDYEEEQAKLQVDSMEVDASDASYLNLQGDREKQAYTIFKDRAFGHTKAYDLELLEKIGMDIDFTFVWSTIGWDEFSSVEELACCGFSSHGFWGEISNQIVHGKFAPRCNEIHNPTLRLMHKWLAITLFPREDIPLPNLGLRLYNCRSLTMPLEPQEEARRSNVSGGRMTRSMSRMPVGWAPTGYMPGVKPGYAPGWDQPSYQHGASSSAWQSASSDEWA >KQK91701 pep chromosome:Setaria_italica_v2.0:IX:51345042:51345677:1 gene:SETIT_039132mg transcript:KQK91701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQYRNNKYIKYCDLINNELLMKNFNMRPAGTQAQPEAHASFRNNKGKGPCRNKGQKYHGQQGSKGGKFKKYMNGGQKHNGNGKNKSLKGSKGDANGAKHSNVGCFRCGSQKHWSRTCTAEPHFIKLYQEWKKRQNPEAHFIQAFVDAQTGLHFPEPPDPPKNLESAAMDVDPISNSDATTTGGDAHVGDEDYDLDDEDLLDVE >KQK90700 pep chromosome:Setaria_italica_v2.0:IX:45133784:45134952:1 gene:SETIT_037938mg transcript:KQK90700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELDLELRLFHPSSASAAPPEEPPGFFLCTYCGRKFYSSQALGGHQNAHKYERTLAKRRREIAAAMRAHGAASSAATATGAAVQVVDVDADSDSPGSAGGDSNVEKARPPLGKKQAAAVAGQYGGLLRHVDRAGELDLSLRL >KQK86103 pep chromosome:Setaria_italica_v2.0:IX:292531:299171:-1 gene:SETIT_034515mg transcript:KQK86103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNKEALVLLLDVGPSMHGVLQEVKNICSTLVHKKLVYNRSDEVGIVLFGTKETCNELAKELGGYKHVTVAHDIKVVDEGTSQALQNLPAGSVPGDFLDAVVVGLDMLIRKYGNTKGKRRLCLITSAQHRLRDPPEGTKEDQVDTIADQMKRHDIKMECIVFREPGIHHNAVMDENDWLLYQFRNRSVAKVVQVDSPTSLLGALKTRNVLPVTVFRGDLEVSSNFKIKVWVYKKTAEEKFPTLKKYSDKAPPSDKFASHEVKVDYEYKSVVEPDKVVPPDQRIKGYLYGPQVVPISSAEWEAVKFKPEKGVKLLGFTDRSSILRHHFMKDVCLFIPEPGNTKATLAVSAIARAMHQMNKVAIVRCVWRQGQGNVAFGVLTPNISSVNNIQDSFYFNVLPFAEDIREFQFRSFSSLPSSSQPTDEQQEAADNLVKMLDLAPPGREVLKPEFTPNPMLERFYSYLDLKAKQPDANVPPLERCLRRITEPDPDVIDQQTPLIQNLGKAFELKENPKKKKARTQDRLAYTGAGDQAKSVAEPSAEKDGVLVVLYPPTENIGEIRDLHPVQDFEAMLAKRSSSTWVQKAIEEMQKYTTALLENSRDGDNYSKALECFAALRKACIIEQEPEEFNQFLTKTYERLKKGDVANFFQLLSSKNISLISKGEAPDSDVTEEMARSFYLKQEAASE >KQK92179 pep chromosome:Setaria_italica_v2.0:IX:54184036:54184548:1 gene:SETIT_040531mg transcript:KQK92179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGRRIGSLVRRRWRQETMQGSHAAPPPTALPRHHATTVVSCVPRRWARQGASAAASRGRASTPPPPRCRANALLRSRAAPLAQERGGLHRRRRRGAERRPRLPRGRVAPLPPSRGRAPPHLRRSGSACRASPLPPS >KQK87453 pep chromosome:Setaria_italica_v2.0:IX:7378952:7387380:1 gene:SETIT_039762mg transcript:KQK87453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKGRFFSSRHKSSESSSSPDGSNSPRTPTSAPASGSAASPASSSSSRSDKKKTKSETPRKRDKLFGSAAAAPKSASSSASSSPAGDGRKGSMAQLRDAGGGGSGGASAAALSPILASSLGLNRIKTRSGPLPQEGQRMAAALGSSNLSRGQGQAEPPAASAGVGGRKGVSSWADSSSSGSNSRGKGKTAEPPSRSAAGTSLGAEGKSAVKAKPSALRNHSGDLRTPTHIPEAACAYDPCETPKESESPRFKAIMQATSAPRKRVPADIKSFSHELNSKGVRPFPFWKPRGIYNLKEVIKVIQVRFEKAKEEVNSDLAVFAGDLVSVMEKYAESHPEWKETLEDLLILARSCCVMTPGEFWLQCEGIVQDLDDHRQELPMGVLKKLYTRMLFILTRCTRLLQFHKENGFDEGEVVIDHRDKIIQSADRQILSQSGVDDATSRASKSEARKSYSQEQHNMKWKRSQEIKPVKLIPPLDTDIKKEADSPTKERMSSWKPFPSPVIKAPKESTPTKSESPNKKTDAHSTVSNHVELSSPVESVPQQQLPSKHQHKTSWGHWSDQPNISEEGSIMCRICEEYVPTHYVEDHSRVCAVADRCDQKGVSVDERLIRVAETLEKLVESYSQKDLPNAAGSPDVAKVSNSSINEESDGPSPKLSDWSRRGSADMLDYLQEADNTISLDDFKNLPSMTCKTRFGPKSDHGMATSSAGSMTPRSPLTTPRSNHIDMLLAGKNAINESDDLPQIVELADIARCIANTPLDEESALSQMVTCIEDLQEIVNRRKHEALTVQTFGTRIEKLHREKYLQLCDSVDMDKVDSASTIMDEEDDVVRSLRASPVHPVKDRTSIDDFEIMKPISRGAFGRVFLAKKRTTGDLFAIKVLRKADMIRKNAVESILAERDILITVRNPFVVRFFYSFTSRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYLAEVVLALEYLHSMQIVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGASLYGDDEPQMSELEQMDHRARRQNRSAVGTPDYLAPEILLGTGHGCSADWWSVGVILFELIVGIPPFNAEHPQ >KQK90040 pep chromosome:Setaria_italica_v2.0:IX:39120645:39121674:1 gene:SETIT_039771mg transcript:KQK90040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLANIVAVAAVLAALGGGGSCGPPKFPPGPNITASYTGKWLPARATWYGKPTGAGPDDNGGACGIKDVNLPPYSGMTACGNVPIFKDGKGCGSCYEIRCKAPVECSNQPVTVFITDMNYEPIAPYHFDLSGKAFGALAKPGLNDKLRHAGIIDLEFRRVRCKYTGGQKIVFHVEKACNPNYLAVLVKFVADDGDIVQMELKDKASPEWRPMKLSWGAIWRMDTPKELKGPFSIRITSESGKKLVATDVIPANWKADTAYQSNIQF >KQK89928 pep chromosome:Setaria_italica_v2.0:IX:37276476:37277335:1 gene:SETIT_0366901mg transcript:KQK89928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTIEDHPHLLAGRHASVHPCKHADVMKKIVDVLVSRGVEPEVDKYLFIFLKFIASVIPTIEYDYTMDFDLGSTSS >KQK90343 pep chromosome:Setaria_italica_v2.0:IX:41852847:41854436:1 gene:SETIT_038739mg transcript:KQK90343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRIVSSAVAEEMVTQIVSSVLDKRKRGREPSEKERLERLEMAHIKLEAALETSDRWRISDASLLRWRGKLKRAARECDDALRRSKQLAVENEERRRRRAMRISSSFPARLAHAARSLLVGDRSSSFSDDDDDGYGWSSSPADVRRFEWLADGASEFLRFVELGGTPRPRYTFFDPLIARLLAGDELRYRLVRGGQYYLFCVRPIFLEGRSVEAKLIFIYEDDDAPEKNLCIGSMLRLSESTDIVGITIRCLKLLVTPHFRSTAEAATRELANLPTQDFSWVPYADSTHKEHWNSIHRDMSQWFRPDPFCCNHHHEPCSKHCEGSTGTMGLSEVSLESVIEVYWQCQIPLSEYKMQRRAIPQGRMFSSKDTPHLKLGLLFTPHGSLGGVMPKAESAALEVIDGEEQHGMHTNLTLQQLDETMLPKALECLYQKEEATAYQILWKSNHGAAYLQVKKTMPGGNVGRDNSRRSLMQRYYRHHQDPKLERWTHVLTSFLKLWVARAPDKLRCSMVEWLKKANEKKLAVLHC >KQK92672 pep chromosome:Setaria_italica_v2.0:IX:56711610:56714003:-1 gene:SETIT_036979mg transcript:KQK92672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGPVPFGNIGKRAKDLLYKDYNFDQKFSLSTSSSSGLNLTATGVRINEDFIGDIRTQHKSGRTTVDVIIDSDSKVSTTVTVDEALTGLKTSFSFKVPDHKSVKLDLQYAHNRFALNSTIGLTSAPLVELAATVGTSELSFGAEVGFDSTSASVTKYNSGIGYNKPDFSASLLLADKGETLKASYIHLFNPTNGATVAAEVTHKLKTKENYFTIGSSHALDSSTSLKTRFSNSGKVGLLCQHEWRPKSLVTLSAEYDPKVVRSPSRFGVAISVKP >KQK90960 pep chromosome:Setaria_italica_v2.0:IX:46833406:46838812:1 gene:SETIT_039257mg transcript:KQK90960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTAPASQPSSQQPPPPPPTAESVLRQASRDPSGAAAQLPELPLDALADILASLSAASPAGHLALLPAILSLNPSPSAVSSALSALLSAPSWPSATLLAVASLLRDLPPAYRNRVPAFLGKILSLLPSADAQDLPALAYQLLLLASKPLHPRAVLAGLLRFFGDRRGARVRAPPSIARQVEGTVLMNVAFVVKQDPALAREVLAAVKADAAGALSGFAVAVLLSVARVRRFNEGAVGLLRDAAAVSRRDYRMSRRCKWLPDCMKEEWARATQFVEKGLLKAVDESIVGREHVVPSIVQVGFLLLEVPDGDRTEEAGLGEGVMSTEEIGINMLKSLFEIHEMARTEIIEQCKFRILSAKPQQSAPVLRLLGCLIRGHPFPMLEYIAHMKELLDYFSFMNDKISTGLISCILPLTKFNRDLKDYIILVVRKAMFKREDMVRIAATNAIVELIIAESRRNEANPFEDSSSQPSCSQQPGTHLEFGRGLFQELSGLLRRCLSQQTSVKEVLYEGLIRIVTSDPAIADSVLDFLWPHFLNYYTEDAECPLKIGLCFKVENAKLCIMEPLDCLLSCISRILRIQQTSKCERPHDAYKCFGFAASQDNEAGRTSTSDLFVKALSSIQKYLRISITEAQRGQSQEAGSLSSPSEMAHCHNLAMLGIIEVFVDFAASKLEKASDESKEMIEKEILELVDAHSVLERNKSNYREKIARKRGNAGDTTEKATNEPKDNSNASLQKLHEKSGKFVDSSLYELSVLCVKQCNADSYNNCSQRPSQTKCNQSSYLVSFVLKAFLELFKSLATKDSGDFRIKLYEDLKRLIQPIMQLIWRLLLDSNQENGSSKRNMTQGKKNIECKKDQLHLALACLKELLQPSVSGDHSSDIIEVIISSAPPNIEDMVDAGELDKNDTTIVEDRSTKNVQVLLNILKVLYARVLSQSLLRESEAVTELILSISRKLHLEQRHLVGNWAMDLCRKKTMQSPSIAREVIKLAIHLTPAPDDMILVCEIAAELKKLMTSGEDNSRDSSDTFHTINCKTKSSLAAVCLQMVELSLTELDWGLGKLKSILTLGYDSANVDEDQPADERMQRLALEEALYSRSILVVHALSSFAHMSLKDTQAEHFLKLTAKFYKLLTRMSKSQIAPKGYTQFIPSLKFQKLAEVTCRMLTSTLYDFVSSNLEAPRKGNLAKIRRESKCIPDLIYQIEDYEKYLIQLSKLTKVNLLRHAKRSVARDFRIEDKSGEGQQEEDGTSANAVPSDNEPYEGAGGPTPVESYADENASSESEHDEDAGSLKAPVEANADENIRSSIPCGSPVRESESDEEEEILARRKRAKTKQIVQDSDDEMEDK >KQK91743 pep chromosome:Setaria_italica_v2.0:IX:51547729:51549659:-1 gene:SETIT_038025mg transcript:KQK91743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEAVNPKAYPLADAQLTINILEIIQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKQPIQSLKDSIEKLLI >KQK88595 pep chromosome:Setaria_italica_v2.0:IX:15410141:15411154:1 gene:SETIT_037772mg transcript:KQK88595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSFARSLRALRTWDGNRFSGASGSGAVRPAAPAVLGIPPPRPHHQPPPPVGASVLQLQKQPAVGIRPVGQLGEAPGGYAAQFGHPVPPADQPGPDPTPTPTTRTSSARPAGTIRCRRRINHGGISACATPAGLTAFFARCATSSRRGLLPFDSLRTIAFFLMGFCCFCY >KQK86565 pep chromosome:Setaria_italica_v2.0:IX:2695379:2697849:-1 gene:SETIT_035470mg transcript:KQK86565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLSLLQLPRVSLPVITTTAPLNSRRQQGSSACLVPQRRRRGVRLSAPAVASETPRTVEAPSPSPASGKDDDAFDWLDQWYPIAPVDDLDPGAPHGKTVLGLRVVAWHDRAAGEWRVFEDACPHRLAPLSEGRIDGKGRLQCVYHGWCFDGAGACQFIPQAPALGPPVHKNSRACVASYPCVVQNKILWFYPRTEPEYKDVLQRKRPPYMAELDDPSYFTVFGMRDLFMGYDVLVENLFDPAHVPYAHKGLLPSFRDEQDPGRYYADGKAAAWFMLVAFCIPVAPGRSRLIWAFPRNAGVWLLKIIPRWFSHSITNRVLDSDICLVHFEERNFIAAGLDNWHKACYVPTSSDGMVVAFRNWFRKYCKHQVGWGSPQVEQLPPSPTKDKLLERYWSHVVQCTSCSAALKAMKALEVAMQVMSVAIVGFLSVAKGTLLASTVQRTAVMSAAVLCFVASRWLANYIEKNFYFQDYVHSYK >KQK90565 pep chromosome:Setaria_italica_v2.0:IX:43710506:43712014:1 gene:SETIT_038981mg transcript:KQK90565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAAAAPLLTGSQEAEPAKQPSIDDVIETYIGATRFLQLLKAVFVAFAWAFDAQQVFISAFTDAEPHWHCLAASTGNNSSCSPAAASPCDLPPGSWAWDRPAVASLVSEWALNCAGPALVSLPASSFFVGCLAGGFLLTTLADSLLGRKKMLLVSLVSMSVSGVLTASATNVWAYAALRFVSGFFRSTVGTCALVLSTELVGKRCRDRVNVASFFCFAVGFLSLPALAYAFRDASWRNMYLWTSVPCLCYSILVYFLVQESPRWLLVRGRKQEAVEALRQIISLNGRSDTTAASFCMLDACAADADSAGGGVFATLRAVWERRWALRRLAAMMAASFGVGMVYFGMPLNVGSLGSNLYLSVAYNALAELPSAALACVLISRTGRRGSVIALAAASGACSLACAAIPRGAAAARMSAEVLSFFAACTAIDVMLVYSTELFPTSVRNSAVGLVRQAMVLGGVAAPVLIALGRERSLFWSFGVFGIAIGCSALFVACLPETMGKS >KQK91294 pep chromosome:Setaria_italica_v2.0:IX:49047753:49050212:1 gene:SETIT_036379mg transcript:KQK91294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYTVYFRLDIDAARSQAAVVHAAHAKLISFRIPSTFARPRRPAQPAGRAVAADGTHGVPRGRPSRAHLGLPASHHLTSLHFNSPRRPSHRHRDPTDPMAARLGVPALLLLLASSLAAAAGDEGPGKVAVALYYETLCPYSARFVVDHLARVFEDGLLDAVDLTLVPYGNARVHAGGEITCQHGPNECLLNTVEACAIDTWPDLDVHFRFIYCVEDLVMKRQYKKWDSCFQKLGLDPKPVTDCYKSEQGHKLELKYANQTNALVPPHRYVPWVVVDGQPLLEDYENFEVYICKAYKGSNPPKVCEGLVHPAMALETAVARHGVSYNSGGIELATAEEEGRESKIKMRLPDNDN >KQK92413 pep chromosome:Setaria_italica_v2.0:IX:55355634:55360242:-1 gene:SETIT_035114mg transcript:KQK92413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNPALACASAIRGRRGPAEHINEIPLSPEQKGKPRPVHVGLARARLGRYSTAIDNKQGRKPPFLNSASCTSLHQHPFVHSHASNGLLGTQGFSQWNGWVLDLLADLALEGTRSTWLGLGGKQAGGGRLRQPPSSLSCHGHATNNNATTSAKATRRQAGASERDTESEMRSRVALEPLAEEPGGGEEDAARRRSGLHAALHRWARLLSGGAAGDDARPAADLRVLLSVLACPLSPVPLLPRLPRHVASSAQYIIEQFRATTGCGKLEDGAIKSMYASGRVRLSMLQDPSSGGGGGGRAHEGSFVLWQLAPSMWLVEMSVAGQSVAAGSDGRVAWRRTPWLGAHAARGGSRPLRRALQGLDPVTIASIFSTAEHAGEKQVDGEDCFVMRLDVGPSVLSSWSDGTAEVIRHGLTGFFSQRSGLLARLEDSQLTRIQSPGAPAMYWETTIASAVSDYRAVDGGVAVAHAGTSTAHLARFGVGVRAARVVTRMEESWTIDDVAFNVPGLGPDAFIPPEEVRRSRSYGAAIAAGK >KQK88317 pep chromosome:Setaria_italica_v2.0:IX:13128426:13128944:1 gene:SETIT_038071mg transcript:KQK88317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGYTPSPCSEEGNLPVGSWSGTSRSQAGEVAGTRGGAGSELARRGKPIRQSVCPALPTRHVWRAHQLGLGIFWPRSKRDAAGPGASPLRPYPLCCVTPRALGLLTSRSNGDGRWLLELP >KQK90962 pep chromosome:Setaria_italica_v2.0:IX:46844367:46845750:-1 gene:SETIT_036964mg transcript:KQK90962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLSFVESGGQRRRRPSSPDYSPLTATPSPRSASPEYTPVSLRWRKGTPKHAPSTTLRLGPPPLRRAASPDYSPSSSPSRPCSPEYTPQDRCGWRAGSPDYAPSTPTTSRAASPDYMPLDRCGWRVGSPDYAPSTPATSRASPDYAPSTPTTYRVASPEYTPVSRLWRAAGSPDCRPSDPPAGAASPECALASPSRRAASPDYTPRTPPARAPPPQSPDYSPSPLAPSPLVLPNAESGTSPARRYRQRHHPYQRSGAGTCTWSAGGIDHGQRHLVY >KQK86595 pep chromosome:Setaria_italica_v2.0:IX:2824693:2825920:-1 gene:SETIT_039949mg transcript:KQK86595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFAAACFVLLLLNCRVAESRRHRHHHSPKAAYRTHKLFVFGDDFADDGNGDSDSVAWHQPFGMSDTAHGRKPTGRFSDGLVQSDFLAKIMGHSESPPPYTGDDWDNGIDASGLNFAVAGAAALDVTGGVLNISAQVQQLRNLVRDGLVDDRDFKESVALVAYSGNDYTSQDNLDDQVAKVVDELASVVSQLQDLGVTKVLVNTVPPFGCSPWLARLSDYSSCDGDGNANSDKHNAALRDRLGGEEDVMLLDVNSIVTDLVAPKEGSALYAGKFTELLRPCCEGTGDGGYCGLDGGYSLCDHPEEYFYWDLVHPTHAGWRAVMQLLQGPIMAFLGISNLEHL >KQK89370 pep chromosome:Setaria_italica_v2.0:IX:25607052:25611158:1 gene:SETIT_036299mg transcript:KQK89370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGTWRKVKPYMAMVFLQFGFAGMFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERKTRPKMTLSIFFKILALGLLEPVLDQNFIYMGVNNTSASFSSALTNILPAVTFVNAIILRMERINIKERRSQAKIAGTAITVGGALLMILFKGPIVNFPWTKDVSHAVSDSGAHNGGHWLMGTFMILLSCFCWSAFFILQSYTLRSYPSELSLTTLICALGATESGAVALVMERDTKTWSIGFDMRLFTAIYSGIMCSGVAYYVQGIVIKERGPVFVTAFSPLCMIIVTLLGSIILSEVVTLGRLIGATVIVFGLYALIWGKNKDHVNGNDAENNFEKHRTFELPFSTTDVNKMSSLGNI >KQK89369 pep chromosome:Setaria_italica_v2.0:IX:25607052:25611158:1 gene:SETIT_036299mg transcript:KQK89369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAGTWRKVKPYMAMVFLQFGFAGMFLISVASLRQGMSHYVLVVYRNAVAAVVMAPFALWFERMERINIKERRSQAKIAGTAITVGGALLMILFKGPIVNFPWTKDVSHAVSDSGAHNGGHWLMGTFMILLSCFCWSAFFILQSYTLRSYPSELSLTTLICALGATESGAVALVMERDTKTWSIGFDMRLFTAIYSGIMCSGVAYYVQGIVIKERGPVFVTAFSPLCMIIVTLLGSIILSEVVTLGRLIGATVIVFGLYALIWGKNKDHVNGNDAENNFEKHRTFELPFSTTDVNKMSSLGNI >KQK86357 pep chromosome:Setaria_italica_v2.0:IX:1416427:1418854:1 gene:SETIT_036192mg transcript:KQK86357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQGSILIRSAAPTSHIPPAATSTSPPPAARSAPLPPAARLLPSAAPVTAGMGVPAGDGSGYNFLPMTGQKHERKSSLPHPLVGTNQTLAARARTPPTPPAPSSSAQIRPIGAMALRYLARKVGSPALRRASVPRVLPSADAPRPLTSGPYQGLRHYATPSSEAVGSELDAPKVKRNRSSISKKSGTAMMLPLHLHYEDVLRQDLLLKQNHANIMQVPGLYEIRLVPKAGSDLRVPIGKLAMEILSGQRFKEAQVDPFAKARKSARTNPFIGAGKDSGSVFARQSVLRGHAMYNFLVRMLTVMSMLDSRAEIRENTIKFFMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSADTKDETSLLWSGFMLNDEGETK >KQK86358 pep chromosome:Setaria_italica_v2.0:IX:1416427:1419407:1 gene:SETIT_036192mg transcript:KQK86358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQGSILIRSAAPTSHIPPAATSTSPPPAARSAPLPPAARLLPSAAPVTAGMGVPAGDGSGYNFLPMTGQKHERKSSLPHPLVGTNQTLAARARTPPTPPAPSSSAQIRPIGAMALRYLARKVGSPALRRASVPRVLPSADAPRPLTSGPYQGLRHYATPSSEAVGSELDAPKVKRNRSSISKKSGTAMMLPLHLHYEDVLRQDLLLKQNHANIMQVPGLYEIRLVPKAGSDLRVPIGKLAMEILSGQRFKEAQVDPFAKARKSARTNPFIGAGKDSGSVFARQSVLRGHAMYNFLVRMLTVMSMLDSRAEIRENTIKFFMETEFCEFSPELEDHFEIFEHIRGFNVTIVTSADTKDETSLLWSGFMLNDEGETNG >KQK90045 pep chromosome:Setaria_italica_v2.0:IX:39147028:39149544:-1 gene:SETIT_035720mg transcript:KQK90045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATEKVFVALPAEFKAGQSTLSWVLSHFGSSGATVVITHVHVPPQMIPVMGVKFHSSKLSPEQVKLFRRMEREKANKQLDGYVHQCSKMKVRCEKLVFEKEDVVAGLVELIGLHRVTRLVISAAADRQYSRKMDKPKSRTATEIMQRADPSCKIWFTCKGHLICTRGKEVEPAPSATPLLPDFDHQALQLIPYQKEDDIQSELGLYDELKEACIAAENLMKRALNESSRRLKADDEVVSALQKANEYQELYLEEVRKREELEEALARANWEIAQLKQANHLPMDEQNTFPDELQEAMSEELTFEWRILGMDDVLGTAGQDTEPQKEHVRIQTDLDAGGRELQALLSQSKLTAFSPSSVIQSPYDEECVPSYFLCPILQEPMRDPHVAADGFTYEAGAIRGWLDGRNDASPVTAHRELAPNFALGAVIQDYTMRRRRQHGFS >KQK90044 pep chromosome:Setaria_italica_v2.0:IX:39146820:39149588:-1 gene:SETIT_035720mg transcript:KQK90044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKFHSSKLSPEQVKLFRRMEREKANKQLDGYVHQCSKMKVRCEKLVFEKEDVVAGLVELIGLHRVTRLVISAAADRQYSRKMDKPKSRTATEIMQRADPSCKIWFTCKGHLICTRGKEVEPAPSATPLLPDFDHQALQLIPYQKEDDIQSELGLYDELKEACIAAENLMKRALNESSRRLKADDEVVSALQKANEYQELYLEEVRKREELEEALARANWEIAQLKQANHLPMDEQNTFPDELQEAMSEELTFEWRILGMDDVLGTAGQDTEPQKEHVRIQTDLDAGGRELQALLSQSKLTAFSPSSVIQSPYDEECVPSYFLCPILQEPMRDPHVAADGFTYEAGAIRGWLDGRNDASPVTAHRELAPNFALGAVIQDYTMRRRRQHGFS >KQK88830 pep chromosome:Setaria_italica_v2.0:IX:17455065:17455349:-1 gene:SETIT_040621mg transcript:KQK88830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKRKSAPRNLASDRPSHVYVGDYFSLLYHLYLKVHVFK >KQK89182 pep chromosome:Setaria_italica_v2.0:IX:21604861:21605932:1 gene:SETIT_038258mg transcript:KQK89182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEFKHAKLNPVLIFFTSISSVTLFNYSYFKTRNDFSMFETSTITRKTSSCLFPPIFNCCAIGLSRGKCITLHSMNSLPKKVAISFI >KQK88451 pep chromosome:Setaria_italica_v2.0:IX:14377030:14380107:1 gene:SETIT_036770mg transcript:KQK88451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSGFVIRWINFFTMILALLVVGFGFWMSTHNDECRRSLTIPVIALGGVIFLISLVGFLGAWKNISCLLWTYLIMLFVVLVAIMVFTVLAFIITNTGTGHVVPGARYKEYRLQDYSSWFLNDTEKWTHLRSCLVKSDDCNNLSKRYKTLKQYKLAELTPMESGCCCPPAECGYPALNASYFDLSYHPVSTNIDCKLYKNARSVKCYDCDSCKAGVAQYMKTEWRVVAIFNVILFVILVSVELNTITDSTASFRLAHTPSSQNETFHLCCAYLLPFDFIFYLCYCGYWLVVRVLRRLLRAAERWR >KQK88452 pep chromosome:Setaria_italica_v2.0:IX:14377030:14380107:1 gene:SETIT_036770mg transcript:KQK88452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSGFVIRWINFFTMILALLVVGFGFWMSTHNDECRRSLTIPVIALGGVIFLISLVGFLGAWKNISCLLWTYLIMLFVVLVAIMVFTVLAFIITNTGTGHVVPGARYKEYRLQDYSSWFLNDTEKWTHLRSCLVKSDDCNNLSKRYKTLKQYKLAELTPMESGCCCPPAECGYPALNASYFDLSYHPVSTNIDCKLYKNARSVKCYDCDSCKAGVAQYMKTEWRVVAIFNVILFVILSFVYFVGCCARRNAGGSDAKGRGR >KQK92074 pep chromosome:Setaria_italica_v2.0:IX:53549919:53559864:-1 gene:SETIT_033861mg transcript:KQK92074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAATAGPGAARKRRRSRSPPRDGEGPSELKCARLGLDGGGGPKGAGEHLDLVLSLQGKELSLERKIELAVEFLTTLSKNSSHGHTVHSIQLSRLVSFIGNWVQSILNFPENSKKMSQPFDPALDSRCWVILRVCIEKKPSISISLNLLKSLSRVARHGLSRVDSNMSCADNESIELFERVFDCMSLLFSSNTRVFFNAGVDLWASCVIEVINLAQVSANEENSCPVLQKLANCLLRQFSSFLRFYANPKNIFHAFVDKILGPLLELLVLLKSQANSNKHKHAVTMLKVVEDVLSNGLFHPQHLSGYFGLRSLNKSSAAKDIKGSYHRHLFQRFKGTKTENKAVLLAGFGYLLQLFVSRARNQRTTLAPSGTTLSRLQKSSEGSEEPQQHRESLFDVFIQFMEPMVLECKSYSQKEFSKLGVTRLVEGHCMLKSINFMLTTLIEEQIYVPMEDTSDGSYFNFLQDIYTVLISISEKMYEFWVSAVHLEDVSIKKIIPLMFTEIIAAVGSFLEIEYKVLGDNLMKLWLMIFALSAINASSKDIKPCFLLASKISSLSAQVICAFSELRQVSRSIFRLCDAVRAFRIGDPDAQGSFSVASLSPQECLESLTALLSSEKLMGAICTSIKSMPQGQSSRCIEDLTSDLIETLNWMTGCTFEDDLRKLGEPSIARKSVFCQKAELLGRHLSEIYTSILDSITVTASNSTLVGKSVERLVNAVQPSFSHLVRNESKSSSGFISSIMGKCLSKKQYANWQKIPSVSWICAFFFRLYISCRSLYQQSIGLMPPDAATEATKLVGNPFIVCSGKQWTNPANILGKGYFALIVENSNSLLDVIESLSQSLSRNCASFAPLVYTFHVMALQRLNDLNRQIKAFQFLLEDDAWQLDKEDIGNTQLLEESCSLEAAKLTSFMMSYVKQLSSGENGALVCYGVSGSWDSSLCSLDEGSFPIATWRLLCENIDIWSSHASKKDLKNFFSNLIRFSFFQKRSSRDKEENNGTQSSYREMTLHSISLGVLCDTIIYDQKHQESKKYLAFSEKIGKSYSLFWFLRSVQEIVGSSHKIFDECTDEVNSMMFSLLDKTSELFSTLASVNLSFCLLDYKKQIQSSLSGSPIGIEASEHAEQTFDILENSALECVKSMAELLQKTTRGIPVTVKDSKCVIKLENCRDAVCWKRLFCTMSCICGFLWGLNPALESTSKDHLVATSEDKKMLLQYCSRFASYIAKFETFVDICLHLLFVDNKGSGSTDSISVCFPQELDCENGFLNIDAVMDEWTKCKSRGLDLSKLRCMENVLLENLLKGECPLIALTLREVYSISAAIVKLHANLSIPSDVSRQTFSPVQQLSLGTMLGTAFFTLQKVADMSSWPRMFCLVWIDGVLRYLEVLGSAFTLPELNISIELYTQIVNALLRAVGKCILLQGKNATLPTHEIGSSTKTLQLQNASGYAFPKDFIDRQNRLNSLKSRLRLLLGKFVNISSNTHLNAALQVIERALVGVNLYSHSIYEVCTGNPDGGTVSSDVAAGIDCLYLVLDFVPGNKRVFKRTVPGLVGALFNIILHLQSPLIFYVQKLPPHCSEFHPDAGAVVLMCVEVITSFVGRHSFQIDASHVSQCLHVPVTLFKGFKQLLAYRKISRSLAKYRHGSVRQHGDHDEYILDRQFSVDIYAACCKLLCTTLRHQQREIGRCVALLEDSVSILLSCLESTDSKMVNMAGYFAWNMEEALKCASFFRRIYEEMRQQRETLGKHAMHFLAGYISMFSGQGPFQTGITREIDEALRPGVYSLIDICEESDFQQLHTFLGEGPCRTTLAELVHDYKLHFQYQGKI >KQK92075 pep chromosome:Setaria_italica_v2.0:IX:53549895:53559864:-1 gene:SETIT_033861mg transcript:KQK92075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAATAGPGAARKRRRSRSPPRDGEGPSELKCARLGLDGGGGPKGAGEHLDLVLSLQGKELSLERKIELAVEFLTTLSKNSSHGHTVHSIQLSRLVSFIGNWVQSILNFPENSKKMSQPFDPALDSRCWVILRVCIEKKPSISISLNLLKSLSRVARHGLSRVDSNMSCADNESIELFERVFDCMSLLFSSNTRVFFNAGVDLWASCVIEVINLAQVSANEENSCPVLQKLANCLLRQFSSFLRFYANPKNIFHAFVDKILGPLLELLVLLKSQANSNKHKHAVTMLKVVEDVLSNGLFHPQHLSGYFGLRSLNKSSAAKDIKGSYHRHLFQRFKGTKTENKAVLLAGFGYLLQLFVSRARNQRTTLAPSGTTLSRLQKSSEGSEEPQQHRESLFDVFIQFMEPMVLECKSYSQKEFSKLGVTRLVEGHCMLKSINFMLTTLIEEQIYVPMEDTSDGSYFNFLQDIYTVLISISEKMYEFWVSAVHLEDVSIKKIIPLMFTEIIAAVGSFLEIEYKVLGDNLMKLWLMIFALSAINASSKDIKPCFLLASKISSLSAQVICAFSELRQVSRSIFRLCDAVRAFRIGDPDAQGSFSVASLSPQECLESLTALLSSEKLMGAICTSIKSMPQGQSSRCIEDLTSDLIETLNWMTGCTFEDDLRKLGEPSIARKSVFCQKAELLGRHLSEIYTSILDSITVTASNSTLVGKSVERLVNAVQPSFSHLVRNESKSSSGFISSIMGKCLSKKQYANWQKIPSVSWICAFFFRLYISCRSLYQQSIGLMPPDAATEATKLVGNPFIVCSGKQWTNPANILGKGYFALIVENSNSLLDVIESLSQSLSRNCASFAPLVYTFHVMALQRLNDLNRQIKAFQFLLEDDAWQLDKEDIGNTQLLEESCSLEAAKLTSFMMSYVKQLSSGENGALVCYGVSGSWDSSLCSLDEGSFPIATWRLLCENIDIWSSHASKKDLKNFFSNLIRFSFFQKRSSRDKEENNGTQSSYREMTLHSISLGVLCDTIIYDQKHQESKKYLAFSEKIGKSYSLFWFLRSVQEIVGSSHKIFDECTDEVNSMMFSLLDKTSELFSTLASVNLSFCLLDYKKQIQSSLSGSPIGIEASEHAEQTFDILENSALECVKSMAELLQKTTRGIPVTVKDSKCVIKLENCRDAVCWKRLFCTMSCICGFLWGLNPALESTSKDHLVATSEDKKMLLQYCSRFASYIAKFETFVDICLHLLFVDNKGSGSTDSISVCFPQELDCENGFLNIDAVMDEWTKCKSRGLDLSKLRCMENVLLENLLKGECPLIALTLREVYSISAAIVKLHANLSIPSDVSRQTFSPVQQLSLGTMLGTAFFTLQKVADMSSWPRMFCLVWIDGVLRYLEVLGSAFTLPELNISIELYTQIVNALLRAVGKCILLQGKNATLPTHEIGSSTKTLQLQNASGYAFPKDFIDRQNRLNSLKSRLRLLLGKFVNISSNTHLNAALQVIERALVGVNLYSHSIYEVCTGNPDGGTVSSDVAAGIDCLYLVLDFVPGNKRVFKRTVPGLVGALFNIILHLQSPLIFYVQKLPPHCSEFHPDAGAVVLMCVEVITSFVGRHSFQIDASHVSQCLHVPVTLFKGFKQLLAYRKISRSLAKYRHGSVRQHGDHDEYILDRQFSVDIYAACCKLLCTTLRHQQREIGRCVALLEDSVSILLSCLESTDSKMVNMAGYFAWNMEEALKCASFFRRIYEEMRQQRETLGKHAMHFLAGYISMFSGQGPFQTGITREIDEALRPGVYSLIDICEESDFQQLHTFLGEGPCRTTLAELVHDYKLHFQYQGKI >KQK86453 pep chromosome:Setaria_italica_v2.0:IX:1950043:1951351:-1 gene:SETIT_038726mg transcript:KQK86453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDLLHLPTDIIYKMLTQISDPASIVRAASSCKLWRHIIMGSTFLDGLKMRHLSHGFAPSFLLGFFYQDNTQPSLHLWQRYMKKCYSLEPSFMPTSVLLPSTGRKEGSDVVRPLSLGTFIEGFVASLNFYEPIASQDSLLVLCHRSKDATTLDVVRVFNPLTGEVFHIPDLPYYRPVPDNYAVLVTDDVSFGGQMSRSFQLVVLWTTGNRIFYSYYCSKTRSCWKPSNSPEIMPGLFVVSMVTHVVTLHVDGEELSYLELPSEAKGKMLLANSADGGVLLLILKDLQMSLWKHKSEPGSDTSDWVSSQMIDMADYLPINTVLQSRAKVALEIFRGKSGAVVLWVEKEGLFLFSLSDWSVRKLDNDRVTRKYRLCPYDMDWVSCLLVTNLVVDGSSSLDVGRKKVQGRWRTLMTKV >KQK88112 pep chromosome:Setaria_italica_v2.0:IX:11618932:11620974:-1 gene:SETIT_036180mg transcript:KQK88112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKENSACAAAPPRLTRAQAKRAAAVTAVAVAAKRKRVALTELPTLPNAAHDDDDDRAKPARKQRLLPAAEPKPKPRAAPKPAPPSAADAAAAADGEEGDPQLCAPYASDIYSYLRSMEAQARRRPAQDYIAAVQVDVTANMRSILVDWLVEVAEEYKLVSDTLYLTVSYIDRFLSDKALNRQRLQLLGVSAMLIASKYEEISPPNVEDFCYITDNTYTKQEVVKMESDILNVLKFEMGTPTTKTFLRMFIRSAQEDSKNNPSLSLEFLGSYLAELSLLDYGLLRFLPSLVAASVVFVARLTLDPHTHPWSKKMQALTGYNPSELKDCVTAIHELQLNRKCSSMMAIRDKYKQHRFKGVSALLPPVEIPPSYFKKLKD >KQK89761 pep chromosome:Setaria_italica_v2.0:IX:35724171:35725071:1 gene:SETIT_038155mg transcript:KQK89761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPARSTVSAASGGAVSAAEDVADSIDALYRKDEAVAELKSEVMEALQREVRSLDDDSWMFAAPRSRINLVSRPGAYLPKQQGKLVELGQASKKTRNF >KQK89760 pep chromosome:Setaria_italica_v2.0:IX:35724171:35725071:1 gene:SETIT_038155mg transcript:KQK89760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPARSTVSAASGGAVSAAEDVADSIDALYRKDEAVAELKSEVMEALQREVRSLDDDSWMFAAPRSRINLVSRPGKNRKVLTCRSNKGNSWNWVKHLRKQGTSRA >KQK92936 pep chromosome:Setaria_italica_v2.0:IX:57974853:57975374:-1 gene:SETIT_040160mg transcript:KQK92936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEAKRMCDWMKRQNRLITLSLPQPQEGASSQASVEDTPKPKPNKPDALPEEGAGSQASVEDSPKPKRRRKPNKPDAVPEEGPGSQASVEDSPNPKRRPNPNKT >KQK89711 pep chromosome:Setaria_italica_v2.0:IX:35280348:35283838:1 gene:SETIT_035218mg transcript:KQK89711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNIRGSISRRRTAPVTAVVDGQRPSGWAEQCGRGSGANGRRHRHVFARASGQVLLQQLKDGRTETSNHSNEPPTHPATTFSRSRSRSLLFSSSAACGVTPLSPRPPFPSSRLVPVLATRDETAPHPAGADPPQEQEDLRRLLLASLRIGGCVAGGFHMDSFQRALNEPTCLEETVQQGIERCPFLRNINEPTSFSFSSVNFPILARGAKGPIFEDGPNFDTAFRVFHGRDGVVPLSEGSFPQIEKPLPKPNPEFNPLAAKAATISLSAFGGFFSFGDFSNKRNKKNFNKKNPNNLPQNKGQSNNHEAVSNEWLENGQCPLAKSYRALSGIVPLVAKMMTPPAGMKLKCPPAVVAARAAISRTAFAKGLRPQPLPTKVLVIALLGMAANVPLGIWREHTQKFSVQWFAAVHAAVPFIGMLRKSVLMPKSAMALTIAASILGQTIGSRAERIRLKRVAAAKSAGEGDDTADCVKAPMSLKTGNRSVVQFWDPLALRVESTVSAGSPSVLVPAVAAFN >KQK90403 pep chromosome:Setaria_italica_v2.0:IX:42416040:42418526:1 gene:SETIT_034287mg transcript:KQK90403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFFTILLVLLSLLPLLSSAAPRDTLLLGSSLSVEKDQTDVLRSQDGTFTCGFYSIYTNAFTFSIWYTNSANKTVVWTADRGRPVHARGAAVTLQKGGALVLTDYDGAVVWQAEGGDSAGVQYAQLLDTGNLVMKNSSGIVVWQSFDSPTDTLLPTQRITASTKLVSTTGLHVPGHNIFHFTDTSILSLMYDDADVHEIYWPDPDNGEYENNRNRYNNTRFGGLDDTGNFFSSDLPNQQALVASDQGLGIKRRLTLDPDGNLRLYSLNSSDGRWLVSWIAMSQPCNIHGFCGPNGICHYLPAPTCLCPPGYAMSNPGNWSQGCRPLVDINCTVEQVQPVKFLPLPGTDYWGSDQMHVDQVSLQACKNICRSDCTCKGFMYQQGSGSCYPKSFLFNGKAFTPPRKAYRIMYLKLPMSVNISGISVAQTNVLVSRKYHPDCRQMSKTLMEPFPEVHKASQGEAKWFYFYGFAGAIFVLEVFSIASVWWFVLRRELGASEIQAVEEGYKVLTSNFRRYSYKELVKATRNFKDELGRGGSGIVYKGILDDSREVAVKMLENVRQCEEEFQAELRIIGRINHMNLVRIWGFCSESCHRMLVTEYIENGSLANILSNETILLEWRQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDQNLEPKIADFGLAKLLNRGGTNQNVSRVRGTIGYIAPEWISSLKITAKVDVYSYGVLLLELLLGKRVLDLAVGADEEVHKVLRKLVGTLADMLDRKEPSSIAEVVDCRLNGQFNYTQVRTLIRLSVSCLDEDRNKRPTMESIVQMLLLADDSRSIS >KQK90326 pep chromosome:Setaria_italica_v2.0:IX:41643406:41644377:-1 gene:SETIT_039359mg transcript:KQK90326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAARYEVQRRRQIEENKRRIEELGLRHLAAAAMPPKAKQLKLKHKARAPGAAAPPRRSGRVANLPDQPDYRENVKKKIVIGPTAAERSYAIAKAKAKELEGELRADYPTFLKTVSKEHLPEHGKVITLVDEEDDEFDVQYYKGPNDRGYCITSWRGFATDHKLDDGDCLVFQLIQQRKFK >KQK91032 pep chromosome:Setaria_italica_v2.0:IX:47254781:47260280:1 gene:SETIT_035194mg transcript:KQK91032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVAMAVKVLLSLCCVGACGLAVYLYYILWLVPQRVLAEFRRQGIGGPRPSFPYGNLADMREAVATAKAARASARRPGCCCGGGGIVHDYRPAVLPYYEKWRKEHGPIFTYSMGNVVFLHVSRPDVVRDINLCVSLDLGKSSYLKATHEPLFGGGILKSNGEAWLHQRKIIAPEFFLDKVKGMVDLMVDSAQPLLKLWEERVDRNGGITDIKIDDDIRAYSADVISRTCFGSNYIKGKEIFIKIRELQQAVSKPNVLAEMTGLRFFPSTRNKQAWELHKEVHKLILEIVKESGEDRNLLSAILHSASYSRVGIAEAENFIVDNCKSIYFAGYESTAVTAAWCLMLLGLHPEWQDRVRAEVHEVCRGRPLDSQSLQKMKNLTMVIQETLRLYPAGAFVSRQALQDLKLGGVHIPKGVNIYIPVSTMHLDPKLWGADVKEFNPERFSDVRPQLHSYLPFGAGARTCLGQGFAMAELKILISLIISKFILKLSPHYEHSPTLKLIVEPELGVDLTLTKVQCL >KQK87786 pep chromosome:Setaria_italica_v2.0:IX:9365655:9365956:1 gene:SETIT_040456mg transcript:KQK87786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDASIELYLCMSATVNLNVSGTVMIHFTYA >KQK87220 pep chromosome:Setaria_italica_v2.0:IX:6142566:6143027:1 gene:SETIT_0372122mg transcript:KQK87220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLAAHPFLPSLPVPNPSKPVSVRASVRRLPVAASAAPSGAAAAARERRRFLERYGLNPDDFEDDAEKGPREERRRDRRGRRRSGRGQEAEEAAVAPAKAAEPRETHKLLQ >KQK91991 pep chromosome:Setaria_italica_v2.0:IX:53116899:53118691:-1 gene:SETIT_038913mg transcript:KQK91991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQENSEAFVRRHSEQLACGNMRGADNGRPNFLPEKCQIAVCPKVCQRDEKVGPFDEDLVNGVHLANADVQSPLVASGVKEASTVDHNKRHASSDMTDIVECKRQKQEVTSKEDNHTTSADEDVHQYPTYTSYERSFDSPIYESEESEDEGVGSPVHFPLAHTYVEDDIWPASFHQSVGPCPTRKPVPIGPNHQAELPECRPFGGRTEDDESNKWIRNSVMPMPDSDLLSLMLKPVHCKAGCDCLDEDSINCVRKHVREARGKLKVSVGTDTFRELGFFDMGEEVASRWTEEEEHLFQEVVSSNPASLRRNFWDELPLAFPSKSSKELVSYYFNVFMLRKRAEQNRFDPMNVDSDDDEWQASCDGEFAVTGRTDEYLPTESLTDQDDVACNRVPTEGEFYEDSEDEDELDDASGDRHNGVQRGGMLSEGLPAMSFVDHNQQTFKLDADAQDDSCTSFEAHQGGVEGGTPTDIAEDHHYRSGFDGVAEHGFFGDHCDTKGWEFGFTTGWDKHDFLSTNNVIEEVFGKGSSEDGSDTASGQDLI >KQK92169 pep chromosome:Setaria_italica_v2.0:IX:54118069:54118553:1 gene:SETIT_040830mg transcript:KQK92169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFGVFYFCIYPSHYSGKFSEMFNFIYVLCCTVFNLHVVAVHVF >KQK92849 pep chromosome:Setaria_italica_v2.0:IX:57513427:57515471:-1 gene:SETIT_037433mg transcript:KQK92849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTKLQLPLPLVHGERLWARPWRWAKTAFFIVAMLASLLLVCAPPLLVVLLDLLLPPALLSNFLRAQAQAQAHSPSFAAELADQARAFRFGSSLVDLPAVSAARSLLILCAYTACGGGAAYMWVAVACSVASLCYVLAKAVAVFGVAGAATGLGLQGKGHLVAVEAMFLMSLALAAAHLAMAYRASCRERRRLLVYRIDVEAVRLKGGQTPKALKQCMV >KQK86868 pep chromosome:Setaria_italica_v2.0:IX:4221243:4222468:-1 gene:SETIT_038930mg transcript:KQK86868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAKLRRRECRAGNGTTTAAASPLPPPPPPIPLDPLLEILARTDATTVVRCAATSKPVRRAIAGPDFRHAITARRATDGGGGFDPSLLLGFSCVHRGSCTDDPARVAQQALRGNRLRFDAGLLEAFEPVAARGGLVVLRQREAASAPKLRVCNSLTGDTTCLPPAAVQDEYPPALVAVGDAGRSFELLIADRCLRTQTFSSKEGKWRAVVDTRLLPRHCYDVPSGNGAHPVVLGAGTIIHWLCHRCLDPGVKVLDTGTGQATQIELPPDYLTRVRYSQRLDTGFLLAASPDGRLGSMWTLAEDDGSARWTRQVVIRRQAIARAAMEGPSYSVRFLGFGERSGAVMLQMEGVGLVQLDLGSKEAVVLGHEFKQIGQTDLFQLCLHETDLCSLLQSMKPF >KQK92948 pep chromosome:Setaria_italica_v2.0:IX:58008336:58012635:1 gene:SETIT_034894mg transcript:KQK92948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEEEEEEEEEEEESGKITKERPLPHIVKYHDLLEKLWGWDRLLELGINVTLSVYTTYLEEYYRHNVHAATTFTSLSAPAGTCLTNEKRLVSELKLRVETEQETSLMIWRSIIPSCLIQEHARSVVHTADCSFSDVSGVALLCIAKEAELMFEFLRLEAPIDDELITQCTTIRLCALSLMNCTRDNSVSASAVMLGMVKEAEMMCSWMRKNNKPIDFSVDSTIPSEMWDCNDIRRRTLEFMVNDILKNSSAAKHKTVKEEPAAEHMTVKEEPAAEHMTVTEEPARSGPGGDGDNIAADGAANTTGGSQYKKGGEKSNKWKGGENFGGEKSNKRKRKEEDVLGKIWCWERLVMPPDSSVKWSDYRSYLEKYYESNASGFVAAAAAKNPQNVTDMGLAVAKFCLEMEEELLSVWNTQVLRRFSDPLAASAIVESSLIKEHALLIRGTGAELDLPSAIAFVCITKEAELMCELLKHGAQPSSQFIQLSSVIRICALGLLKGHQSFASAAAMMGMANEAEEMCDWMKRENKLVTLSLSEPHGLEVSHLIRNTALDVMTSILHESSFPSSKTPDDAMP >KQK89846 pep chromosome:Setaria_italica_v2.0:IX:36424138:36429593:-1 gene:SETIT_034463mg transcript:KQK89846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPESECGGGDAGYLDLRDVRVEVDPGTARGGGGGGFAVCFWLYLSSSARPSSVILHQVTTGDGNKLPFLALGEGNRLLLFPLMTLHREAPAPASSYPWTDTTSLSSTNECPLEKWFHIGCEVTENVMRLHINGNLIAEAHLCSLSDEQHHQDHANKISLFGNDSKLEGYIYNIEVSSVLGTIKEQHAKNPPFKLSIDYSSSDGIEEGDDGIWNIVGGKASCRRNFILEVVLINALGEPVKDKEVVASLVYADNGTAVEKSRDDSEPPLLITSEGLEYPAISRPLPIIRGCAIFKLKISQLSSKCDNKLFRIQFSTLHMRRYPFLVAYSKTIRCISRTRTIRPLGSGKRVNSATADETDLLNNGQGLGNADRVNGRLHSHGQSSVVRFHMPKFSKIEGDGMAKVVESNKILSQNKHARKMVVSKEAQDVMGTDSSTSNYDSFDSGSSWSGSDGDDIETFSDAVVFRYCLDSTYDRSKFLRGAAPTFNKDDLVKLADQVSLYSGCSHHRNQILMSKRLLREGADTWSMISKNNERALWSSAIPGIITKFTDISHSVNRGLSEQDLEVLRGIAGCGEDIGKDEFDRLWYWLYPVAASLTRDKIKKLWDCTSPRWIEGLITVQEAENALRSSRELLKEPGTFVLRFPTTRSWPHPDAGNLVVTYVGSDNSIHHRLLSLDSSVARAENLQDLLLQEPELSQLGRVDRLPTAIRR >KQK90117 pep chromosome:Setaria_italica_v2.0:IX:39904640:39905996:-1 gene:SETIT_037175mg transcript:KQK90117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLALVACAAAAMAVLLGVAAADVGSIITQDVYNAMLPNRDNSICPANGFYTYDAFIQAANSFPGFGTSGGSDEANKRELAAFFGQTSHETNGGAAGQYTWGYCFKEEISKATSPPYYGRGPIQLTGQANYQQAGSAIGADLVGNPDLVSTDPVISFKTAIWFWMTAQSPKPSCHDVILGNWTPTSADTSAGRVPGYGVITNIINGGLECGMGPNDANVNRIGYYKHYCDMLGVGYGDNLDCYSQQHF >KQK86655 pep chromosome:Setaria_italica_v2.0:IX:3148681:3150442:1 gene:SETIT_036949mg transcript:KQK86655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRPVHDPSKRDQTGRAATRVSPACLSGGSAWPTRPPGPRRRTHMRCRPAATGGRASQGIYPRPGPASQAPPCKPSIFTRLFSASSSLAASIFLLDQSAMEMIDAELRLGPPGGDVTVVQPTARKPAAGKRSSSAVKSEASGTGGHDDDAAPASKVQVVGWPPVRAYRKNAFHAAAEAAASVRRTKGGEQGGLYVKVSMDGAPYLRKVDLRTYGGYRELRDALDALFGCFSSSSPDAGCQFAVAYEDKDGDLMLAGDVPWDMFICSCKKLRIMRSSEAR >KQK88222 pep chromosome:Setaria_italica_v2.0:IX:12386498:12388201:-1 gene:SETIT_038737mg transcript:KQK88222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDHADKVSSSLPAPNAKDNLSMMYSKFICCKLYISESRNPTAIDAIDLAAKTDPQVAVLSKFEDCLYNRVRYTLVSYIIDDSSTEVIYSPIRKVLLAMMEAAFSAINLELHSGAHPRMGVNDDLSFHPLGQATMEDAASLAKQVASDIGNGFQVPVFLYAAAHPTGKSVGAIRRELGYYRPNHMGNQWSGTMLPDVLPISPDEGPTNVSSERGATTVGATPFLEGYNVPVLSKDVATVRRITRRLTGRGGGLPTVQALALIHGDDCTEIACLLDPDHVSAYQVQTVVEQIAAEQGLEVEKGYFTDLTKDRMLDKYLKITCAAD >KQK86550 pep chromosome:Setaria_italica_v2.0:IX:2622449:2625772:1 gene:SETIT_035911mg transcript:KQK86550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTARGVACLLVAVICLSCAAAAAARTPAARMHRHLKRLNKPAVKSIESPDGDIIDCVHISHQPAFDHPYLKNHTVQMRPNYHPEGLYDESKTNVASTSNGERPMVQLWHQNGRCPVGTVPIRRTKKDDLLRASSMRRYGRKRHTTANPMSVNPTMLNEGGHQHAIAYVQGDKYYGAKATINVWEPKIEQPNEFSLSQLWILGGSFGEDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNILCSGFVQINSEIAMGASIFPTSSYSGSQYDISILIWKDPKEGNWWMQFGKDYVLGYWPSFLFSYLADSASMIEWGGEVVNSQPDGVHTSTQMGSGHFPEEGFSKSSYFKNIQVVDSTNNLKAPKGLGTFTEQSNCYDVQNGNNGDWGTYFYYGGPGRSSNCQ >KQK86596 pep chromosome:Setaria_italica_v2.0:IX:2829276:2829533:1 gene:SETIT_039988mg transcript:KQK86596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPAGSLRRAAAAALAILFGALVLMALVMNDGEKAAPAASVAAIGGRRMMSRAGGEQRTLEDFKADDPIQDSKRRVPNGPDPIHN >KQK92836 pep chromosome:Setaria_italica_v2.0:IX:57433341:57437054:-1 gene:SETIT_036158mg transcript:KQK92836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPEASTCYVGIARQSAAFRLMKQMGWEEGEGLGKDKQGIKGHVRVKNKQDTLGVGVDNPRDKWVYDTTQFDNILKKLKVQSANPIQEETAAVSDSPDSTPKKDKPANDEVTKVTRPQGRYKKRERGKSVSSYSAIDLQGILVRKNEDNCQVDQKVESTCLDEPDPIICPDAVSQAEDVNWWGHKFGFVSGGFLGATSRKRKSSRKDPSNVRQTFAEEDQENLYNLVQDKATSGKQGLGIKGLPMKIAGHRWKGNKTSFGDSDEDSSAQSDEYSEIEEDDNEEQPATTVELIDTEKNTDKVLHADIKSKTKVKKLCKRILREAPSQSMKLKDLKVAVEERSNAVFSSFSCRREAMLFLKKKLQGSRKFNVDGKKVHLVS >KQK87041 pep chromosome:Setaria_italica_v2.0:IX:5224573:5227468:-1 gene:SETIT_039070mg transcript:KQK87041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAHILVLPFPAQGHVTPLMELSHLLVDHGFEVTFVNTEVDHALVVAALRASGGEAALLGGGIHLASIPDGLADDEDRKDLSKLIDAFTRHMPGHLERLIVNMEAAGRPRAKWIVGDVSMGWSFEVAKKFGIRVVAFWPAATAGLAIVHKIPKLIEDGLIDDKGWPRRQETFQLAPGMPPLHTSQLTWNNAGPPEGQPIIFNLVVSQNKQLKDHAEMSVGNSFHDAEDGAFKLLPNILPIGPLFADREFRKPVGNFLPEDKRCIKWLDAQPDRSVVYVAFGSMAIFDPRQFEELAEGLKLTGRPFLWVVRPDFTAGLSKAWLHEFHQRVAGRGMVVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFVDQYLDRNYVTGVWRTGLAVSPNADGIVTKEELRSKVEQVVGDAEIRERARLFRDAARRCVSEGGSSYENFKKLVNLLSE >KQK89308 pep chromosome:Setaria_italica_v2.0:IX:23658093:23659758:1 gene:SETIT_038580mg transcript:KQK89308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEKPRDGHSGKHKYAVACSIIGSIISILMGYDTGVMSGAMLFIKEDLKTNDTQVQVLAGILNVCALAGSLTAGRISDWIGRRRTISLASCIFLAGSALMGLAPNFGTLLAGRCVAGVGVGYALMIAPVYAAEISSTQIRGSVTSLPEICISFGILIGYVANYLLAKLPLVYGWRAMLGLGALPSAVLAVGVLAMPESPRWLVMQGRVEQALAVLRRVCDTDGEADERIAEIMVAAGLADDDAAASGAPHEPGSVGKGVWKEMFVQPTPPVRRILVAAFGVHFFQHLTGIEAVVLYSPRIFKAAGIVTRSEILAATIGVGVTKTVFILTAILLVDRVGRRPLYLSSLAGIIASLACLGVGLTVVERSAPHHSPAWAVVVSILTVFTFIASFSVGVGPITWAYSSEVYPLRLRAQGASVGVAINRVMNAGVSMTFVSLYKAVTIGGAFFLFAGLAVLAAAFFYFMCPETQGRPLEEIEEVFSRGCRARLRSPAAVVELPVSNVVPDGEARP >KQK93071 pep chromosome:Setaria_italica_v2.0:IX:58630013:58631435:-1 gene:SETIT_040285mg transcript:KQK93071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAKDDDGGRLGLQGTQLYLMALGTGGLKSSVSGFGSDQFDETDPGELGRMARFFSWLFFFMMFLERAAILEPSPACTLTDVEEVKQAQAMDRRLGSSFQVPAGSLTVFLVASILLAVPVYDRLAVPLAGRLTANPHGLSPLQRISVGLLFSTLAMAAAALTERHRRDASLAGATPSVFLLVPQFFLVGAGEAFTYIGQLDFFLRECPRGMKTMSTGLFLSTLSLGCFLSTAIVTAVHAVTTSAGRRPWLTEDLDKGSLHRFYWLLAAIGAANLLAFVAVARGYVYKQEKLADDDVAVHA >KQK91849 pep chromosome:Setaria_italica_v2.0:IX:52265354:52269251:-1 gene:SETIT_034722mg transcript:KQK91849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEMASGGSNWLGFSLSPHTALEVPSASEPAPAHQAPPPSSTTISSSSNNAAATSNFLFSSMATPYPGYYCVGGAYGDGTSSAGVYYSHLPALPIRSDGSLCNMEGTMPSSPPKLEDFLGGGNGGGQETATYYSHQQGQEEVASRDYHQYQHHQLVPYTFQPLTEAEMLQEESAPMDEAMAAAKNYLLTSYGACYSNGEMHPLSLSMMSPGSQSSSCVSAAPQQQQMVAVAAAASAAAAQGHSNGGGEQCVGRKRGTGKGGTKQPVHRKSIDTFGQRTSRYRGVTRHRWTGRYEAHLWDNSCRKDGQTRKGRQVYLGGYDTEDKAARAYDLAALKYWGPATHINFPVENYQGELEEMKNMTRQEFVAHLRRRSSGFSRGASIYRGVTRHHQQGRWQSRIGRVAGNKDLYLGTFTTQEEAAEAYDIAAIKFRGLNAVTNFDITRYDVDKILESSTLLPVEEARKVKVIEAANGVPVIQLGGRELNPTEEPSANCRMVLHGSSQEAVHCPEAVDHQKGMMSDSNSSLHGIVGLDVECVAHDHHLDVQGKSGGVNFSNSSSLVTSLGNSREGSPERLGLAMLYGKQQQNAVSLASMSPWMPMPAPTAQHVVSHLPFFAAWADA >KQK87042 pep chromosome:Setaria_italica_v2.0:IX:5229229:5231341:-1 gene:SETIT_035596mg transcript:KQK87042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKAHVLVLPFPSQGHVTPLMELSHRLVDHGFEVTFVNTEVDHALVVAALRGGEATLLGGGGGIHLASIPDGLADDEDRKDLNKLIDAYTRHMPGHLERLIAGLEAAGRPRVKWLVGDVNMGWSFEVAKKFGIRVVSFWPAATACLALMLKIPKLIEDGLIDDKGLPRRQETFQLAPGMPPLHTSHLSWNNAGAPEGQHIIFDLVTRNNKLNGLAEMTVGNSFHEAEAGASKLFPNVLPIGPLFADREFRKPVGNFLPEDERCIKWLDAQPDGSVVYVAFGSMAIFDPRQFEELAEGLELTGRPFLWIVRPDFTPGLSKAWLTEFHERVAGRGMIVSWCSQQQVLAHRAVACFVSHCGWNSTMEGVRNGTPFLCWPYFCDQYLNRSYVTNVWRTGLAVAPGADGIITKEELRSKVEEVVSDAEIKERARLFKDAARWCVSEWGSSYENFNKLVNLMSE >KQK92647 pep chromosome:Setaria_italica_v2.0:IX:56609205:56610766:1 gene:SETIT_039219mg transcript:KQK92647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGISSPIAAHILDFCDEGLGDDLFAAVTTTSNPFPACSDDVSSSTTTTPPVCSYSDETPAVVPTAYSPLPSFDSTLTALLEQEQHGLETELLPPIDGLSEVAYYPHATNEATIEQFSQMELPGTIAEQVPPMQMSTSAPALMPIASDYDECFTAALAGGFMGLDGTMFQQAGAILTNCNAEAPQRGFFSSASDSSNSMAMIGEYQKLMEGDTESMQGAFNNAEMQVGGNNQHLTNGCNGNPATLTPTELSGLEDSTFKVVRLSPEERKEKIHRYIKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDELCEAAQSSSHSHEHYEQTNRMKEEGMMDTSDILAHLSGLNPYNYKYKCTIESWI >KQK87037 pep chromosome:Setaria_italica_v2.0:IX:5195861:5196470:1 gene:SETIT_038424mg transcript:KQK87037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFGVDEGDLEAVVDQAVGELHERGDMALRRERQHEDVSLGHGALSLSLSPSGLHKRQEQLRLA >KQK90357 pep chromosome:Setaria_italica_v2.0:IX:42009042:42011095:-1 gene:SETIT_035332mg transcript:KQK90357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLSLGSFSLAALAAILLSLLIRCALPARSETSTRLPPGPWNLPIIGSLHHLVGSPPHRALLSLARQHGPLMLLRLGEVPTVIGSSPEAAMEVMKTNDPVFASRPRGAMLDVVSFGGKGIILAPYGEHWRQMRKVCVVELLSARQVRRMERIRQSQLSHLTESIFIAAASASPTIVVNLSQGFTELTNNVISRAVFGGKCQQQQEYLQELSIVTMLVGGFSLVDLFPSSWLARWLSRATCNLRRSHDQVQHIISGIIQEPKEKQSASAFPGHQDEDLLDVLLRLQEEDSLTFPLTMEIIGAIIYDIFGAATDTTATTLEWAMAELIRNPRAMSRATSEIRQKLDLGDLRYLRMVIKETLRLHPAVPLILRASQENCKIMGYEIPKGTSVFVNTFAVASNPSYWDNAEVFEPERFENSTLDYNWAHFESVPFGAGRRQCPGALFATMTIELTLANLLYHFDWALPDGADPKALDMGEVFGITVRWRSNLCMLPALHLPH >KQK87176 pep chromosome:Setaria_italica_v2.0:IX:5933816:5933986:1 gene:SETIT_038701mg transcript:KQK87176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEDAHRRWHESFLPSTLTDSGEPRLLRSFYRYGIYGFTARLTVAEHAVVAKKPGF >KQK92882 pep chromosome:Setaria_italica_v2.0:IX:57675523:57676712:1 gene:SETIT_039828mg transcript:KQK92882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAGFPAGGNGALQPNFYGATCPQAETIVRQEVIRWLHSDMGFAAGLVRMHFHDCFVRGCDASILLESTPDNTAERDSPVNNPSLRGFEVIDSAKARLEDACPGVVSCADILAFAARDSVALSGGPRYDVPGGRRDGTLSMASEVADNIPAPTFNLDQLTQSFAAKGLTQEEMVTLSGAHTIGRAHCTAFSDRLYNFSATGVADPTLDPPFLAQLQHACPAAGDGGVDPGLVVPMEPRTPHALDTLYYWGVLRNRGLFASDQALLASAPTAAQVRQSAYGGYPWKLKFAAAMVKMGQIQVLTGGGGQIRAKCSAVN >KQK92991 pep chromosome:Setaria_italica_v2.0:IX:58209374:58210711:1 gene:SETIT_036289mg transcript:KQK92991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQRRGLLCCGCGGSAAAVAAGGRGGFGDTADRPGTKDPAGDGKMMAAPASARQLSWAQVEAMTGGFTSAVVGEGGFSTVYLARLAGSLAAVKVHRSSERLHRAFRQELDALLRVRHPHIVRLLAFCDQRDEGVLVLEFAPNGNLHDQLHGGGGGKGAVPMLWARRVSVALQVARALEYLHDRCEPQVVHGDVKASNVLLDAAMGARLCDFGSARAGFSAAVAGASPRPRPSASARAVLGSPGYVDPHYLRSGVVTKKSDVYSFGVLLLELLTGVQAFCDGRLLTAAVAPRISAACDAGELVDQRLGCRYDADEAAAMVALAAACVGENPSLRPSMADVVRALERNGRASIATVGRRSDGGGKL >KQK88589 pep chromosome:Setaria_italica_v2.0:IX:15318833:15319285:1 gene:SETIT_040057mg transcript:KQK88589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANARVVALFAVLALLQITCTVARRHGKPGGYHHQGTLASSRHGKPAVMTVNGFQRGEEGGPSECDGHFHSDGDLIVALSTGWFAGGHRCHRAIRITSARTGRSVEARVVDECDSRRGCRDNVVDSSAAVWKALGLDTDAGEVPVTWSDA >KQK90993 pep chromosome:Setaria_italica_v2.0:IX:47071454:47073143:-1 gene:SETIT_035003mg transcript:KQK90993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLYQHSSFPLSPPHQLTAPDPPRAPPSSYRISTIPSSHHHGSALPRPAAASSLACHCKALARDHDVDLLRALQYNGNGTLHGEQQVPPQVLNSLSDGVDGDGRSKRPRICARDCAKRIMELPVEERVKVLDLLQRDDAALTVSDYNDILSALARAGDHASAVALFRAMPVAPDAHSFATAVQCLCRQGAPDEAKLALDEMVVRGFRPSVATFSAVVGCLCKRGRVTKAMEVFDAMSALGCEPTIRTYNSLIGGLCYVGRLEEALDLLNKLKGSPKTPDIYTFTIVLDGFCKVGRTEEATAIFHDAIGMGLSPTIFTYNALLNGHCKEGNPLRAFALLMEMCGGEGACPPDKISFGIVLTALLRAGETAAAWQTYKLMERAGFEADGRALDTLARGLCRRCAADASALGDAREVFAKLVASGHEPVSYTYCLMAQALARGGEVDAAVALLEEMVRRGYALRKRAYTDVVRALCDRGRARDALRVLVLVMIVRDFVPGRNAFDALLGELSRQGRWPDAMAVYAAAVKRGVAVSWKYLSKVKEEEPVRLGVPQ >KQK93013 pep chromosome:Setaria_italica_v2.0:IX:58321660:58325791:-1 gene:SETIT_036358mg transcript:KQK93013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVEVDSAEHAAAASATAAAPAVMSCRPPWLQAAIADIEQRVRALGVDAEAATAEHSFAERAENYYQKRPQLLALLTDLHHRYLCLADRYAQTLHAKHHAAAVSDCSSDVDDRCSDADSSLSFQPLPPEGTITAGGDPELIVAELVLAWVDREVLADEAERRRAEAARKIELQGSLVEVLESERLVLLGENARLAFRASAAEEEAAAAAAELGYTRRRAAEMARLVVKLREDHRVCMLGRKIEALQAQVYGLELRNRECHEAMAKWEADRKAGAAEIQRLRAENRRLAEEAAVAAAATARRKGKGSGGGWWWWSRVRMAAEWTPCAPATAATVRKVGQQIKGKDGKYYGGGCLCI >KQK86977 pep chromosome:Setaria_italica_v2.0:IX:4844658:4846147:-1 gene:SETIT_036611mg transcript:KQK86977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPLLVLAVAAAAVCGLAQPGAADLKVDYYASTCPNVEAIVRGVVQQKMQATIRSIGSTIRLFFHDCFVEGCDGSVLIESTPDNQAEKDASDNKSLAFEGFDTVRSAKAAVEAACPDTVSCADVLALATRDAIAMSGGPSFPIELGRLDGLSSNASSVPGQLPEPNQTMDQLLAVFKAHGLNMSDLVALSAAHSVGLAHCSKFSNRLYRYQPGQPTDPTLNPTYARFLESKCPDGGPDNLVLMDQASPAQLDNQYYRNLQDGGGLLGSDELLYTDNRTRAMVDALANSTTAFYKAFADAIVRLGRVGVKSGRRGNIRKQCDVFN >KQK92077 pep chromosome:Setaria_italica_v2.0:IX:53560079:53562916:1 gene:SETIT_036398mg transcript:KQK92077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARAEGEKTMTTREPHEASRREREGRDSHGRRPHSSSRSRRDDPSPRRRRDDRRHESDRAHYRSRDEESAKVSDRDQKRNRDAEQRDDPPNAESKSVSDAKNDPSTRRERSPRGTKRFSESREAWRPRPFFQHNERGSAGQGGRRYDRQASGYGRQRDQKEHDRDKQKDAGHSLQGKVEQDDGDSTWRHDGFFQLEEEAPLAKKRPPFQEMKMQDSAATVTDLDSGSRKPDQPGPTSAIREERRNYHPRGFGNHRPFIRPDDRGFRRGFPDHRSEGQRNGYDSRGRFPGRGGMDRDRFINPYGGRGNIYHQTSGDQEEKWKHDLYDQSNRSPPPKTEEEQIAKVEALLAL >KQK87388 pep chromosome:Setaria_italica_v2.0:IX:7050261:7050752:-1 gene:SETIT_040517mg transcript:KQK87388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCRQPQIGAPASKTPRGIELPGSSSARQDLSETK >KQK92216 pep chromosome:Setaria_italica_v2.0:IX:54431068:54433036:-1 gene:SETIT_038046mg transcript:KQK92216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSSYGSREGLTVRPAASSSSSEISLQIDPINADLDDHILGLRGQVRKLRGVAQEIQSEAKYQNDFISHLQMTLTKAQAGVKNNMRRMNKSIIQNGSNHLVHVVLFALACFFLVYLVSKFSRK >KQK90401 pep chromosome:Setaria_italica_v2.0:IX:42404531:42405360:1 gene:SETIT_037788mg transcript:KQK90401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADACTLTPAAALPPLLPTPRFFATVAGRASEGSAWAGNKKPGRASASESWTKDKLLARTTASSSVPSRASLSQDWTKDKAQRKEETERVGRSSSREDPVGPKKRTLSRAPSVEVDRSEKKAKPEDAVEPVTVQYYAGPAFLKSPDPSEVPLPTKFLKRKTPKAADDRK >KQK90420 pep chromosome:Setaria_italica_v2.0:IX:42566398:42567735:-1 gene:SETIT_037385mg transcript:KQK90420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQSRRQHGSSGELDVFRATSYFAGGLPDCPSKPADRMIQESKVRMMEDEQLGLHDDERHGQLGGVAKPSGKSKLAALLSFVVSPSPRASFRKETPPSPPLASKLLAAAGDEPANKASSSSSSSRESSVQLQGCGGVHELDLGVATGDRRLQGVRVVRGRSGGEERWVVRCGAWDWDEEEHLERMLDDGGSSDPKDEVEEGDDGNPGDDDLERSSRRGVARFGY >KQK87180 pep chromosome:Setaria_italica_v2.0:IX:5972032:5972541:1 gene:SETIT_040390mg transcript:KQK87180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLLTFRYHCINRRSYYLAYDSTDVSLRMIPYLPRGLKATYTLAAVPVRGGAGAGLALALMARLVGPQDVGSGRICVCTPAAQADPRT >KQK91510 pep chromosome:Setaria_italica_v2.0:IX:50318825:50321464:1 gene:SETIT_039137mg transcript:KQK91510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLVRDVSQALSRQPQPTAPAQVEAGASSLVDLDRRSSTASPDRIGLTSKHLCPLAVSTHNEEGVVKDKRTIWRLSIISDFFRAVVNFIRVFFLTMFS >KQK90025 pep chromosome:Setaria_italica_v2.0:IX:38678624:38681579:-1 gene:SETIT_034124mg transcript:KQK90025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHVLIFLLVSYLLIFLSNPFPILAAGSTNKSEIDRHALLNFKLGIVDPLGALSSWRKDLHFCRWNGVMCGKAHPFRVVALKLNSLRLSGQLPSSLANLTSITQLELGHNSFSGPIPEELGTLPKLQDLILASNMLEGKIPESLGNTSRSLSYVNLADNILSGGIPYSLATSSSLTALILANNNLSGGIPHSLATSSSLTRLDLAVNRLSGMIPASLFNGSSQLAFVNLGWNLFSGPIPDFHSMVALQNLSLSGNNLLGSIPASLGNVSSLIGIYLDSNNLGGSIPETLSQIKNLSLLLYNISSLVRLDLSQNNLTGSIPASLANSSKLQMIDLSRNSISGEIPSSIWNLRNLVVLRLSQNKLSGQITPAVGNLRRLSQLNLDSNSLSGNIPASLGQCKQLTMLNLSVNNLEGHIPTQLLNITTLVSLDLSRNDLIGSIPQQTGMINLAVLNISYNQLSGKIPLSLGQCLVLSFLHMENNQLDGVIPQSFMNLKAIQQIDLSHNNITGQIPDFFNSFTALEDLDLSFNNFEGPVPTSGYFRNGSVVDLYGNTKLCASVSMFALPICPTTSTVLKKRHLINTRLLLIVAPPIAIAFFSFLCCMITLLKKRTHTASIYKGTMKKVSYGDILKATNWLSPVNKISSSRTSSIYIGRFEFETDLVAIKVFHLDEHGSLNNFLMECEVLRNTRHRNLMKPVTLCSTVDLDNNEFKAIVFDFMANGSLDMWVHPKLYQNSPKRGLSLGQRIRIAMDVASALDYMHNQLTPPLVHCDLKPANVLLDYDMTARVGDFGSAKFLSLGLSSPEGFVAIGGTMGYIAPEYGMGYKISTGCDVYSFGVLLLEMLTGKRPTDTMFIDGMSLHKLVSSAYPNGLPEVLDPYMSQEGDRAFASSTLQGYLIPLVEVALSCSMELPKDRPVMHDICAKIFEIGESFL >KQK92648 pep chromosome:Setaria_italica_v2.0:IX:56611572:56612740:-1 gene:SETIT_037140mg transcript:KQK92648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPALHLAAAATSALGVVLGVRLLVVLSRSRALKPLADATSAAAAALRTPRALATASSPIAALLAASKAASKSYKAARTLGPAARLPKLPSSKRLKAAFAAASLLRLATAAPLIPATSPAGVVVLAVLKSSYKLSKNTSKIAEGFLGLQVHKGFRNGIDALGVVVKVAVIATEVALWVGGQFWGYGRGRCVRFLGFTRQPSSLVLLGCGKSEPQVVLFDPVIDEMDDYGCELEERGASELLSLAVPVPQVTAF >KQK89444 pep chromosome:Setaria_italica_v2.0:IX:29977344:29981159:1 gene:SETIT_039660mg transcript:KQK89444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAYPLLEAAYDLQHCAYLLANLHEELKPLRVQVHSPLRWDERYASYLQRAGFLDIAVQVVAGLPPMDGPLWTAMVDWWCLETHNFHLPCGEVTVTMQVVAMILDLPLEGHPSENWRDMVEVHIGIRPPKPEEGDNLKKTSSVSSAWLRAYLQQCGPLERRYKSYTNEFDVVTQNQRQAVVRSTTPMILYYFVEMHMPHRVMRQFGRIQTCPPMELSTSQALHRIDHKKRYKENDWRVNMLSTCFGGRTGPNRDYIRLYCASTRNKVKPSWSIEPIEDAPFDSSDDVLDEYDTMTRLGT >KQK86359 pep chromosome:Setaria_italica_v2.0:IX:1421806:1425516:1 gene:SETIT_034797mg transcript:KQK86359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALPHSHLRLHLPLRTPNPSRRRHRLRPPSLVAASRLQNPTIATHPVLPPPAPAPSAALLAAEGASLAPRRDHRFPGSVSAPTSSAAPIGLAEAGDAILRRALEVRRAVAAEALVAALSGGKVGGLTYVKNLTARMGPFVDRVVVEAAAMRRDRPDLAHMSFNARAKAYIQESGLVELVKWFKHNSMTYPQIAKVVCACSGDLGKVRMMIKWLRSIYVKGDFLGRVLANGGSFLNRSFEELEDIIGYLESCGVRRDWIGYVVSRCPQLLNLSMDELETRVRFYTNMGMDDKDFGTMVYDYPRALGFLSLEEMNSKVQYLKEFGLSTEELGRLLAFKPQLMACSIEERWKPLVKYLYHLNVSRDGMKRMLLVQPTIFCLDLETVIAPKVQFLLDIGVRSDAIGNVLVKFPPVLTYSLYKKIRPVVIFLLTKGGVKQDDIGKVIALDPQLLGCSIAHKLEVSVKYFRSLGIYHFVLGQMVADFPALLRYNVDILRPKYQYLRRVMVRPLKDLIEFPRFFSYSLEDRIEPRHQTLVANRINMKLRYMLTGSDEEFAERVREAVERRARFEAGKGVAETIPDARETSEEEETTEAAAC >KQK90521 pep chromosome:Setaria_italica_v2.0:IX:43365341:43366585:-1 gene:SETIT_040200mg transcript:KQK90521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVPLPPLEDVPVVVSVGEEEKPEWFNVLLHTNFWEPCMEHATENRAEKCMFCLHCYNVSCPHCTHDESGHRLLKIRRYVYRSVVLAKDMQDLDIDVSRIQTYIINGQKVVHLRPMNRSKLFRPQAGTPRCLTCDCWLRTSPNLFCSLTCEGEVDVSQDDFSGPEAERRYRSLQTNMPEETLYEEPPAAPEDHVPQAQDDHVPEAVDEQRNEPPPPATQSRSLRRRERKQVEPMRAPFF >KQK92595 pep chromosome:Setaria_italica_v2.0:IX:56282995:56283706:1 gene:SETIT_040392mg transcript:KQK92595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHGLLQEAGRVQWLVAAPARCVQRPRAAFCQPDACPTTNSETTDKSRYCTPTPIGHLLSSSLILNRP >KQK92891 pep chromosome:Setaria_italica_v2.0:IX:57723451:57723875:1 gene:SETIT_040604mg transcript:KQK92891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGGVAHTAAAAIERGEERTTCGGRRIGEGLARIGEGQAQIGRRHLGSGDGWAAALEASSSLLQPRCESVGG >KQK90562 pep chromosome:Setaria_italica_v2.0:IX:43675384:43678113:1 gene:SETIT_040007mg transcript:KQK90562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPFPLLALLPILLCISLSSAGSGSCEPVTAGTPDGSELWGYVEVRPKAHLFWWYYKSPQRTSTPGKPWPTVLWLQGGPGASGVGFGNFQEIGPLDVNLQPRNSTWLQKADLIFVDNPVGVGYSYVEDDSLLVTTDWQQAADATTLLKALVKEVPTLQSSPLFLVAESYGGKYAATLGASVARAVRAGELNITLGGVALGDSWISPEDFTLSYTPLLLSVSRLDDNAGDEASKMAETVKEQIASGNFTDAEGSWNDLLHFIGTWSGHVDVYNFLDGSLDSASANAPSTVQAMTKYTRYLSGKDSDSNTIAGIMNGVIKEKLKIIPKDLEWEELNQDVYNALANDIMKPRIDEIDELLSYGVNVTVYNGQLDIICSTIGAEAWVQKLKWDGIKTFVSLPRQSLHCAPSKGIKGFVRSYKNLQFYWILGAGHY >KQK92947 pep chromosome:Setaria_italica_v2.0:IX:58004461:58005913:1 gene:SETIT_039837mg transcript:KQK92947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSIFLNSCNRKEIKKGDLENTYMGPDYSGKMILLLDILSKSSELGDKVLVFSQSLTTLDLVEFYLSKLQIKGKEGRHWKRGKDWYRLDGSTPSSDRQNLLEMFNDPENARVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHNLQAIYQVWRPRSFLADSMTARTSLQFELRCG >KQK92423 pep chromosome:Setaria_italica_v2.0:IX:55391235:55395241:1 gene:SETIT_039404mg transcript:KQK92423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGCEPFPVVATCNAPRLGAQSTVVSDLDGTLLRSRSSFPYYALRRSKGATSKESPTSKGSLASSALRHLVSPAAGVRVLIFAATAGARLADVGSAARAVLPRFYAGDVYPGAWRVFAACGGRRLVLTATPRVMAEPFLRDCLGADAVAGTELAMWRGRATGWRKAKTLRELIGDGEMPDVALGDRSSDYAFMSKGHEAYLVPRTPVEAVHVDKLPKRIIFHDGRLVQRPTPLVALLTLLWFPIGLLLSLVRVAAAALLPMPWLHVVFRVLGVRIVVKGAPPPRDPGRTAGVLFACSHRTAIDSVALSIALGRPVAVLSYSVSRLSEFNLRSVLRVLAEGDLIICPEGTTCREPFLLRFSALFAELTDDIVPVAMECQMSMFHGTTARGWKGMDPFYFLMNRTAVYTITFLDKLPHENTCGGGKSSHEVANYVQKLIASTLSYQCTSLTRKDKYRELAGNNGVVAVNTAKNRF >KQK90022 pep chromosome:Setaria_italica_v2.0:IX:38668715:38669642:-1 gene:SETIT_039079mg transcript:KQK90022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVEKTAALRFPDVTAGYLGSCDDWLLFDGVGDAGGDGYLLANPFSGDTVRLPGLSRVRFDVGDGTALAWRGITDDLRAHTGTTVRKLVMCPGGRVVAAVVGDGRLGKIAMCLAGAGSSWVVSGHDAWRGITDIAFYDGKVCAVEDTGELFAMPTGEDGRTGEPTVAWDRRVIKASHAVPARRRKKAPPPATQYLFVSGDRLLMIHRAVTGDGATKFANLVTARWSEVTSVGDDTALFVGRWCTLARRVLAYELPGNRIHFLDDDAFPRGCSDSFGSYNMGDGKTYPLLPPLELCNGAGDMALP >KQK92596 pep chromosome:Setaria_italica_v2.0:IX:56288191:56293080:-1 gene:SETIT_037497mg transcript:KQK92596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPASSYDCSFKVLLIGDSAVGKSSLLVSFVSAAHIDEDITPTIGVDFKIKFLTVGGKKLKLTIWDTAGQERFRTITSSYYRGAHGIILVYDVTKRESFTNLADVWTKEIEMHSTNKDCVKMLVGNKVDKDEDRVVTREEGLALAQEYGCLFLESSAKTRENVEKCFEELALKILEVPSLLEEGSSVVKRNTLKQKQENANQSGGCCQ >KQK90952 pep chromosome:Setaria_italica_v2.0:IX:46782652:46785287:1 gene:SETIT_038002mg transcript:KQK90952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDKLWDDTVAGPRPDTGLGRLRKQPARPAAVKINDPAGDATAFVPPSPASGSEETPVKVTRSIMIKRPAGYPSSPRSAASTPPASPLGTTPPISPFAGAGGRFRRKSSSDAYERASPPGTTSHPPPFEV >KQK91761 pep chromosome:Setaria_italica_v2.0:IX:51639351:51643789:-1 gene:SETIT_036479mg transcript:KQK91761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGNGAAMAAAAVLALAALLCSPAAGELARLEHTAKNGGSLSLLVVGDWGRKGTYNQSRVAEQMGKVGEQLDIDFVISTGDNFYENGLTGTDDQAFEQSFTDIYTAKSLQKPWYLVLGNHDYRGDVLAQLSPVLQKIDSRFICMRSFIVNAEIVDFFFVDTTPFQLKYWTHPKDDHYDWREVAPREKYLANLLKDLDEAMKKSTATWKIAVGHHTMRSVSDHGDTKELLQLLLPVLQANGVDFYINGHDHCLEHISSRDSPIQYFTSGGGSKAWRGVFQPNEDNLEFFYDGQGFMSLQLDQNQAEFTFYDVDGNELYQYTRSSPMETSHLRPSGYATEE >KQK88448 pep chromosome:Setaria_italica_v2.0:IX:14356237:14357831:1 gene:SETIT_038682mg transcript:KQK88448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFFSGSSDAFLTRVATEQRTSLIRAWEESEKAKAENRAARRLANVTSWENSKEQLEMKKAAQAEKLKNSAVAVHRAAEEKRAAAVARRGEEVIRAEEAAARYRARGQAPARLFGLG >KQK90929 pep chromosome:Setaria_italica_v2.0:IX:46707761:46711223:1 gene:SETIT_038734mg transcript:KQK90929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVYLYQSLLLSVVAVALLQLAKLALKKPGAAPPPLPPGPWKLPVVGSMHHLVNVLPHRALRDLAAAHGPLMLLQLGQTPLMVASSREAARAVLKTHDANFATRPKLLAGEIVGYEWADILFAPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEGTEKIAKNGTVIMMMTAQSPNTGCTRSARPGPSAPVNLSAMFHSITNSVVSRAAFGKKRENAAEFLAVTMAVVGLSSGFNIPDLFPTCTTVLARLTGMTRSLKEIHRTVDTILQPPGDHRGAQAARDVKIESGAAAKDVDVLIGLQEKGGFGFQLTNSVIKAIILDMFAGGTGTSGSAMEWGMSELMRNPAAFRGKAAVTEGDLQASNLRYMKVVIKEALRLHPPTPLLVPRETIEACELGGYTIPHRLASARPRMLPYRLNDVTILPSDTNKIRPKHRLSMRLTLVKNRCQYEFRPKRFKDGTRDFTSSNYKFLPFSSGCRMCPGFNYGLASMELALVGLLYHFNLSLPEGVKEVDMEEAPVLDIHRRTPLVLCATPYTPHVAT >KQK87225 pep chromosome:Setaria_italica_v2.0:IX:6162011:6163813:1 gene:SETIT_035307mg transcript:KQK87225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSPFPTVEKCSSNDRAGDTVVADLDGTLLCGRSSFPYFAHMAFEIGGVLRLLLLIVLAPLAGLLYYLVSEPAGIQVLIFASMAGTRVADIEAVARAVLPKFYCADLHPESWRVFSACGRRCVLTANPRVMVEAFLKEYIGADVVVGTELAVWRGRATGLVRAPGVLVGDRKADALRNAFGDVAPDVGLGDRRTDYPFMRLCKEGYVVPAAPRLKPVPREDLPRPVVFHDGRLVQKPSPALALLTVLWIPIGFLLACLRIAAGALLPMRVVYHAFRALGVRVTIKGNPPPPASRETGQTGVLFICSHRTLLDPIFLSTALGRPITAVTYSVSRLSEILSPIRTVRLTRDRAADAAMIRRLLAEGDLVICPEGTTCREPFLLRFSALFAELTDEIVPVAMENQMSMFHGTTARGWKGLDPFYFFMNPSPGYVVTFLNKLPAELTCSGGRSSHEVANYIQRLIASTLSYECTSFTRKDKYKALAGNDGTVVSKPNIDKKQVMGC >KQK90896 pep chromosome:Setaria_italica_v2.0:IX:46428166:46431110:1 gene:SETIT_035610mg transcript:KQK90896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMWISSFDFPSSASGIVVIKLPFALYPLHFSCSNKLLGPAVRVPGSRVFALGVLPPARPRFNFNPLVPSVASAVWCSCGRMDRRRRAVEVAAAVMVLLLLPIAPAGGARRVRLWIGFLTITALCKPSVLIITEPDIRNSGQSFVFNYTLAKAIVEYASAVYMTDLTALYTWTCSRCNDLTRGFEVTCIIVDVQNCLQAFVGVDPNLNAIIVAIRGTQENSIQNWIKDLIWKQVNLNYPNMPNAKVHIGFYSSYNNTLLRPAITNAVRKARKLHGDCDIIVTGHSMGGAIASFCALDLAISFGSDNVHLMSFGQPRVGNAAFASYFAKYVPKTIRMTHERDIVPHLPPYFFFLPQLTYRHFPREVWEHDVDGNTTFQVCDGSGEDPNCSRSVFVLFWSASDHLTYMGVKIEADDWSTCRIVLGQSVEQLGMSLASNIATSGHSVDVVIADHSVQVD >KQK92204 pep chromosome:Setaria_italica_v2.0:IX:54315148:54319213:1 gene:SETIT_037351mg transcript:KQK92204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTRDLVIASLSAAAGAAAAAAALRFMSSCTTSSVRPQNLSLATNGSAAQRPPKQSPFDPTKREGYISWDDYFMAIAFLSAERSKDPNRQVGACLVSQEGIILGIGYNGFPRGCSDDKLPWAKKSANGDPLETKYPYVVHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKRIDNSAHVYVASHKLLSMAGVKVRKHQPQMAHIPIKFQEP >KQK90172 pep chromosome:Setaria_italica_v2.0:IX:40388599:40390322:1 gene:SETIT_039269mg transcript:KQK90172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGAGEKLHHKEANVAHGAAVYGGAGKGAKSSFLYGLLLYVVLPVLVLYFVVIAASQFYNPRCSPEGNVAAAARFMVAKPNVSLARSLNASSSSPPPPPPPVAKPRLTAEEAPTGLRHIVFGIGASASLWQSRKEYIKLWWRPGRMRGFVWMDRPVHEFYSKSSRTGLPAIMVSSDTSKFPYTHGAGSRSALRISRIVSETFRLGLPGVRWFVMGDDDTVFLPENLVHVLSQYDHRQPYYIGSPSESHIQNLIFSYGMAFGGGGFAISRALAEELAKMQDGCLHRYPALYGSDDRIHACMSELGVPLTRHPGFHQCDLWGDVLGLLGAHPVAPLVTLHHLDFLEPVFPATPSRAASLRRLFDGPVRLDSAAVAQQSVCYDRAHQWTVSVSWGFAVMVVRGVLSPREMETPMRSFLNWYKRADYTAYSFNTRPVARQPCQKPHVYYMRGSRMDRRRNVTVTEYERHRVKHPACRWRIADPGEQLDSIVVLKKPDPDLWKRSPRRNCCRVVSSPKKGKDRSMTIDVGVCREGEFAKV >KQK88998 pep chromosome:Setaria_italica_v2.0:IX:19387039:19390075:1 gene:SETIT_039074mg transcript:KQK88998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRNKVLIRAAAADSDSSSSPALPPFRLRQLSLSAPAPIPALDLEILCCRLSRRHRVACLPQPPADALLVFQGCQSREAAEAVSEIAAAFPGASVGEEEALECSGELIAKAVGCDLRSMMLEHGWRCLGESIYVDSKFDQNQERTDLCAVKVEVRLGTNDDFEFVVSPDAFRYTTHKISDVASSNMMETFQHNNEVVLDIFNIRTACTTLPALQEGHVIDLFSSFVGYRKMLPSECCLDKFMELCLFKHGLDTNYDYHVAVKLTYGASLETKWWPSSLVLQGPGLQPALKSVRVSKAMSALQSFVELLKAWSFFGHNKLIIKEQVLLNCSSTLPAWDKAASNLTFHSSKNDNIEDLDSGHSNIMSKGQSFIL >KQK86972 pep chromosome:Setaria_italica_v2.0:IX:4810488:4811864:1 gene:SETIT_037033mg transcript:KQK86972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQECASAPLIDGQGTVCDASVLPEFYKILGVSSNKEQLQKITGAAENDGRIEEVVGTRSENSTGPGMMGRPFNAIEPGDTLNTSMKKLSSVPGHVECPSVSEQEEPDAASPGHDKLQDAVQTQPEDVVQDGVDAVQNQIFQENAKDPIENGPWQKPVATPAEDLLISSAGEITQRSVNSVTGEGNNTIGREESAGNEDLGNPVPNELSKRRKLTIPSHENSEFCLTIEATKMGTTKTDEKTDQNVRSGSGQLIAFTRRKRKALMQSSP >KQK87111 pep chromosome:Setaria_italica_v2.0:IX:5590444:5595346:-1 gene:SETIT_037150mg transcript:KQK87111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIARTGVYVDDYLEYSSTLAGDLQRILSTMRELDDRAHGIMGQTKEQIKYLLGVSSHGYDRPNMDDDESERMKKDIEASQDNALSLCTEKVLLARQAYDLIESHIKRLDEDLGQFAEDLKHEGKIPPDEPPVLPPIPVVSRDEKRRLGFSTPQASKKFREREWDRERGMDFDLMPPPGSSKKAGTPMDVDQMIDPNEPTYCICHQVSYGDMIACDNENCEGGEWFHYTCVGLTPETRFKGKWFCPTCRNLQ >KQK87779 pep chromosome:Setaria_italica_v2.0:IX:9305858:9306436:1 gene:SETIT_040327mg transcript:KQK87779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQPLKLFLKHMDAVLLICTHLIYCRKIQCMAAMKVVLLSAQNSLYVCSSFLKIVANGDHIHVYFY >KQK86725 pep chromosome:Setaria_italica_v2.0:IX:3461788:3464196:1 gene:SETIT_038208mg transcript:KQK86725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHVARASMRFTDADAGPSMRMWLTGPQPALFPRRLPTTHLRCSSRGGRHPATGDGLYEDTIVPPSPWPGMPPRRHRCPAGRQATATCRTRFSNQD >KQK87323 pep chromosome:Setaria_italica_v2.0:IX:6670253:6671803:1 gene:SETIT_039363mg transcript:KQK87323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITGHVFPKAPPPEYAWVLVVTVLDESCEIDIPTDEGIQVLSDVMNQYILWMQWEEEELTHDRFKVAYIDPARISEPEHKLKMTEMIKAQIEAAVTQAEKDAIKKAHKEEMHKCHKQPPGSVLCGYYVCEFIRNNERYRTNPEHMRTIDSNYSKMEDKQIDNICTDMARFILCEICHEDGAFFDKHGVLMADECTNLCR >KQK87546 pep chromosome:Setaria_italica_v2.0:IX:7862609:7864034:-1 gene:SETIT_040087mg transcript:KQK87546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASDSSPLHIVIFPWLAFGHMLASLELAERLAARGHRVSFVSTPRNISRLRPVPPALAPLIDFVALPLPRVDGLPDGAEATSDIPPGKTELHLKALDGLAAPFAAFLDAACADGSTNKVDWLFLDNFQYWAAAAAADHKIPCALNLTFAASTSAEYGVPRVEPPVDGSTASILQRFVLTLEKCQFVIQRACFELEPEPLPLLSDIFGKPVIPYGLVPPCPPAEGHKREHGNAALSWLDKQQPESVLFIALGSEPPVTVEQLHEIALGLELAGTTFLWALKKPNGLLLEADGDILPPGFEERTRDRGLVAMGWVPQPIILAHSSVGAFLTHGGWASTIEGVMSGHPMLFLTFLDEQRINAQLIERKKAGLRVPRREKDGSYDRQGIAGAIRAVMCEEESKSVFAANAKKMQEIVSDRNCQEKYIDELIQRLGSFEK >KQK86796 pep chromosome:Setaria_italica_v2.0:IX:3768529:3771793:1 gene:SETIT_036909mg transcript:KQK86796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFPSKCCKSNSNQYSPLSCCHGPSCVPNSGPSQPNTASSNLGVVASQLLKKRRKKRLVSFPTKLASTRSRRARAAGAAMGSSEADKPLRRIAASFEELAAVAKQQPAGAMDAGAFARACSNVSVLFGCLGIAFKFAERDYVAKVDDLLEASKSISTLPLMVELDIQQDTVRVPGSHTRNLLRVKRGIDMVKVLFEQMLVTEGNSLRDAASVAYAQVFAPHHGWAIRKAVAAGMYALPSKSQLLKKLNEDEDSAKAQMQNFVRSSAPVISYVEDLFTSRNLGIDW >KQK89078 pep chromosome:Setaria_italica_v2.0:IX:20323648:20324469:1 gene:SETIT_039457mg transcript:KQK89078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLQAHYGRVFSFGDSLTDTGNAAILPATAGGPFTRAPYSETFHHPGGRASDGRLIIDFIGGSLRGEVN >KQK87702 pep chromosome:Setaria_italica_v2.0:IX:8760752:8762829:-1 gene:SETIT_037919mg transcript:KQK87702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSLAALKIFGSQLASATEAPSSEGASPAQMLFGIRFQRVWIQGVLLSADYNEAGGGRFVLDDGSCIADLFVSPREAEGRPWRAGMYVMVVGAYIAGESKENFPAIKVHKMVDLSAQPDREAMWYMEVAEAYNLFYEPFLAASPSS >KQK88431 pep chromosome:Setaria_italica_v2.0:IX:14172630:14172911:1 gene:SETIT_040620mg transcript:KQK88431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEWIVPHRGKMDHLMALNFIHVLIQHIRCIIADIFQLTEPLFL >KQK90961 pep chromosome:Setaria_italica_v2.0:IX:46839716:46842311:1 gene:SETIT_037668mg transcript:KQK90961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSDFNAVILKRLFMSKTNRPPLSLRRLVNFMKGKENQIAVIVGTVTDDKRVYEVPAMKVAALRFTETARARIVNAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRAKGRKFEKARGRRNSRGFKV >KQK89330 pep chromosome:Setaria_italica_v2.0:IX:24243089:24243632:1 gene:SETIT_040544mg transcript:KQK89330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLPFYSLVSNRPWLLQIGCGVRKISGDGGKIGFGVLQIPSHATISSPPPPDAVQS >KQK90362 pep chromosome:Setaria_italica_v2.0:IX:42101670:42102488:-1 gene:SETIT_039831mg transcript:KQK90362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSSIEERTTQPETSPLSSQSPRIIADKEDPEHGIQQQEIPIQAANPSTSDLFSFNIDEFVDDEDTGTSHSMQNHPDIKDQLSAILQLFRQNTSVLLENTEPIQRLFRQIMTHLTDKMITLLTPAAFIESHYYEVQGARKRIADRQANYAQSLKALETERDQLMLELDRVNKAVAKAQGRLNDYPITIQEKKKELADSINQVCRQHRQVKDIPSFDEEDL >KQK91380 pep chromosome:Setaria_italica_v2.0:IX:49501375:49501788:1 gene:SETIT_038419mg transcript:KQK91380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVAPKHVAESWFHFSSILALSSLQRVKLSVVPFFHGLILAQLMLTRFVLFRLSGLKMKNILLL >KQK86855 pep chromosome:Setaria_italica_v2.0:IX:4145861:4147554:-1 gene:SETIT_039803mg transcript:KQK86855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNPFAAATKRRRLPPMQGDQHLPLELLLEVVARSDDAASIARCAAASRPLRRAMLGAGFRPVTRVGLIAAVRAGYDPARLLGVSYASADRGDDEHDIVRASWRLRFDTGQLRSLELVSSRGGLLVLWRHEAEAEPELRVCNTFTGNVACLPYMDGEVGKWGNPGIYRPTLLTVADAGRSFELLAMDGCLRTCIFSSSVIRSINGSGKWGAIRLVALPPEHDCWCFVNQSAHTSPAIIGRIVHWICRSTQRAAGVFILAVHADSAEATAIEPPPQGYLGGSSSTGSCCTLAATPEGNLSMFVPEAEVISMWTLSTEGWSRDAVICKHGIGKQVMPGVDVNGMICWCVGFGERSRTVIFWMEKVGLIELNLGTMKANVLPWGGEHGTSRIAGVCFHEIDVASLL >KQK87957 pep chromosome:Setaria_italica_v2.0:IX:10422119:10422524:1 gene:SETIT_040496mg transcript:KQK87957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRAYFLLQSARLREVTLTLRSYFVLLTDQLRGIFRA >KQK86160 pep chromosome:Setaria_italica_v2.0:IX:503185:504496:1 gene:SETIT_040354mg transcript:KQK86160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIDCLTIHFTLVMLTKCIYHIVRSTVSSTRTMRNLELFSPQ >KQK87007 pep chromosome:Setaria_italica_v2.0:IX:5055630:5061547:-1 gene:SETIT_034188mg transcript:KQK87007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALVGLRFAAPAFPQPQSQRHRDRLLPAARFTPLWRGRLYTARAAVAGPPEVDEDEAMSIDNLHRFFELNIGKWDGSFYQFDAHGRVLQGISTRLSVSTYGEDKLISLLQSLYIKQASSAMSIVDEEDSEPEWVEYKIKETNMFTVDKYQQIGFFPEEKAFALRYQTAGMLETVLRVGVLGEDDTGEESPKNLKIPSRKPSIVCENCLYSLEGNGRVRAFHIMDPKGVLDTLLVFHERQGSVVPQPLIHSPIGTENASSDRINALLGRWEGHSVTKRSGVYGATLAEADTAVVLKMDSNGLLTQDTISTKIGTGTTTTVNWMGSANNNLLQFDGGYEITLLPGGMYMGYPSDISKSVAQLDSFHLEFCWMESPGKRQRLVRTYDSAGLAVSSTYFLETKQRAFALALGVAPLFLPGRWSAAVPVVIAIARSSFACREKQGRSAPMDDRRGRGDAMRQRPFASAAQEQERVFDGGGGGGGGAGHGPAFGGDFDQGSSLMALLGAGGVSSSQPSLPTWGVEEVTAASAINLVPQSFSMANYAPPVPSYQQPTSFAPSPLGGRMDPYPPYLLSDPPPQWPPPRSTAAAASSLPPQNFAVLLPRYDQDMQQLRAAAFFGAGSSQSQAALPLLPPAPAIEQPAKDGYSWRKYGQKQLKDAESPRSYYKCTRDGCPVKKVVERSFDGFITEITYKGRHNHPRPQERGHAAGGNDALAAAAEDDVEGPSDDDDDALHEDDLDGGHGAPGMGADGGEGGQRVVKKPKIIIQTPSEVDLLDDGYRWRKYGQKVVKGNPRPRSYYKCTVDNCNVRKQIERASTDPRCVLTTYTGRHNHDPPGRGNEAPATAAAAGGGHPAPSAGGSGTFQQTGGGARQLKEES >KQK91379 pep chromosome:Setaria_italica_v2.0:IX:49498805:49499920:1 gene:SETIT_037416mg transcript:KQK91379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSFLPTTLPTAKPLPAFRTLSTAASLRPHPRPRTSTIRAAITRGRKEETVAAVREQLEGCYLLAGIKYEGLTVKQLQGIRDALPETCSLLVAKNTLVGKAIEGTPWEALKPCMKGMNAWLFVHTEEVPTALKPYRAFQKEERVEETNDFVGAVFEGKFYGPGDFKALETMPSRAEVYAQLLGALQGPATSLVTTLQAPARDVVAVLSAYVRKLEEEAGSA >KQK91138 pep chromosome:Setaria_italica_v2.0:IX:48038959:48040671:-1 gene:SETIT_036484mg transcript:KQK91138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPELAGKMTAKAAAAPAVKPTTRAYVTFLAGDGDYWKGVVGLAKGLRKVGSAYPLVVAVLPDVPESHRRILVSQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYERMVYLDADIQVFENIDELFELEKGSFYAVMDCFCEKTWSHTPQYKIGYCQQCPDRVAWPTAELGPPPALYFNAGMFVHEPSMATAKALLDTLRVTPPTPFAEQDFLNMFFREQYKPIPNVYNLVLAMLWRHPENVQLEKVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVNKWWNIYNDESLDFKGLPALPADADEVEAVAKKPIRAALAEAGTMKYVTAPSAA >KQK88237 pep chromosome:Setaria_italica_v2.0:IX:12455101:12455538:1 gene:SETIT_040657mg transcript:KQK88237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSVHYCTYAVEYDLWSSHHSMILFRSLLLVLVVGSSLVRCELTVSLLLHLVLFYISTDLYFLLP >KQK90553 pep chromosome:Setaria_italica_v2.0:IX:43593155:43594235:1 gene:SETIT_039848mg transcript:KQK90553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGRIDERDYRICICIYPKLGSAIILDSLRYTKDSYKDFLDIVQNAHRLYVLKGEECLENRKKAMKIITHRWCHKQPPGSVLCGYYVCEFLINNGRIDTRDAARDAALEDRGIINICRDMARFIQWEICHEDGEFFDPNGVLAADGCKRLRCWMKALPM >KQK93023 pep chromosome:Setaria_italica_v2.0:IX:58382758:58384306:-1 gene:SETIT_038740mg transcript:KQK93023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRRPVIGLLRDVESRLPPGFRFHPTDVELISYYLRAKVADNQQTKHQQQPPATTMVFEVDLHEREPWELPVAAKVSGNEWYFFSYRDRKYATGSRTNRATKLGYWNATGKDKVIHHHDHQEPAAAAAAGMVIGTRKTLVFYFGRAPNGRKSGWVMHEFRLLPRLMGTASPRAPGSECGIRVFHKGKGENEQQGTTGPGASWPAAGLCSPPPPLQQHLAAADNLVDHRRQFLEMMDSSNNTAAGGRHGTTSSASSGGDSLAT >KQK90637 pep chromosome:Setaria_italica_v2.0:IX:44108877:44111188:1 gene:SETIT_038634mg transcript:KQK90637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQEADGSKWMLHSNHNIKHFTKGEIDRMSNNYRTSLGSGAFGEVYVGVLEDGSMVAVKRFINNVKENFAKELIVHRGINHKNVLRLIGCCEEENALMLVTEYISNGNLSDVLHHDNRPVPLDVRLRISIECAEALAYMHSHMYTQVIHSDIKPDNILLDCNFHAKLSDSGISRLANTDKTLHTKNVIGSIGYMDPLFALDGCLTVKYDIYSFGVVLLELITRKKASVRGMFDAEITSKDNMKIFESIAKLAGECLTMEDKRPEMIDVAERLRVLRKASHQDQLQQRADLFSWVRKSKPAPSAASTIPAKILPSNELYRQFLLVEMKAVTNNFHESHVIGRGGCGRVYLGKIDGGQPSLIQVTSKLRHGNLVPLIGYCHTKEEMLLVYEYMARGNLQEYLYVSGTQGRSCPISWTKRMETCIGAARGLRYLHESQIIHGDVKTANILLDEEWLAKITDLILPDSREFVTMLCGTVGYLDPEYMMTCQLTEKSEVYSFGVVLLEVLCARPAFSREDPDEEASTLVAWALRCKVEGNLDQIVDPYLKGKINPQCLDKFVNTAEKCLAPKGTDRPSMGDLLVYQLSSGCCLDCAPSDNCRCSR >KQK92741 pep chromosome:Setaria_italica_v2.0:IX:57018556:57019314:-1 gene:SETIT_038165mg transcript:KQK92741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPRRRADLSPSPSPSSSSPLLSRLRSAASSLVSRQYSTNKGGNGTGGRSGGGSSSGPRPRRPGFVDPSTWRLFDSRAFGINQDAIPKDALAVLKKLRRQGA >KQK92998 pep chromosome:Setaria_italica_v2.0:IX:58252345:58258981:-1 gene:SETIT_033929mg transcript:KQK92998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGHLRPGSYLGDVSALSFLPSSPRPLLLAGTGSELLVYEVGAARLVAAFQVFDGVRVHGIERRGGSPNCSNCSLAVFGERRVKLFSLGVGVSADGDGSEVGEVDRLLAIGLSDNSVALWDLSKRMLVTRVKSPEKCLLYSMRMWGDSVRELLVASGTILNEILIWKLAPQNLGPSLLRSCEGDTSDVNDYEIRRLGDNQYMTVHLGRLKEHEGSIFRIAWSSDGSKFMSVSDDRSARLWMLSFKSQSFVNQTASQDTVEIIPNLTLFGHSARIWDCYVSDSIVITAGEDCTCCIWGMDGKLVKMFKEHIGRGIWRCLFDPNSLLLVTAGFDSAIKVHHLCSSTFHDKVEDKAVSDGLNYDYEIFVVSSPSVSGQYGPLDSKSEYVRCLHFAEENVLYVATNNGYLHHAELSNVEDVRWTEIIQVTEKAPIICMDVTTTYSNLSLNREDIIALGDGRGNVTIVRLTNGSIEPKVNLSFTWPAEKDRQLLGVYWCRSLECSHIFTADPRGVLKLWNIRDALFSSTDVINAPQKCPLVAVFESSFGARIMCLDVSPRAEILIAGDKKGNITAFPFPKILVLYDSGVMQQKMPPCDRFKGAHGISSVTSVHIKDSSSDQIEIHTTGGDGCICFFKYGRNVCKIEFFGMRQVKELGTIQSIYTNLAPESQLSTYAIGFTSADFIIWDLENETKMAQVSCGGWRRPYSYYLGTVPEYQNCLAFVKDHDIHIHRHWAPTQDKKLLPQVLHLQFHGREVHSLCFIDPASYSHLENSSDLLIATGCEDGTVRLTGFLTSGSGRWRSSKLLGEHVGGSAVRATCFTPKTYTITDKSCDYSSNGISDDITVENKDETLLLISVGSKQVLTTWILQPRIENGQVCLNGLDVDSKQSSKNLESGDLAMSFQWLTTHMPPKLTRNRLKAGHIKQSGEEGSSSAVQPNLGVMDHMENDWRYLSVTAFLLKHPVLRLTVCFVVVACSDATVVLRALLLPSRLWFDVALLVPQASPVLVLRHIIINASHYKDDDGDRYLIVSGSTDGSITFWNLTETVHGFMQLISETHPHMSIDCQRRPRTGRGSQGGRRRWRSLANHSSKKRDGDKSPPDGSNPSTPYATENSSETCGVENTHNTVHEGSDGSNSEMPSSTQSCDVPELMPILLLSGVHQSGVNCLHISYSATDKSYCIISGGDDQAVQCFSFTVGSLEDSSTTTARLNLHDNGTLKILFQHKVPSAHSAAVKGIWTDGTWAFSTGLDQRVRCWKMESSAKFTEYSHAIISVPEPETLDVFHDRAKTKYQIAVAGRGMQMVEFSPPEDDCQR >KQK91114 pep chromosome:Setaria_italica_v2.0:IX:47895009:47900261:1 gene:SETIT_035675mg transcript:KQK91114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAKRAALSPKVKRRVGKYELGRTIGEGTFAKVRFAKNTENGEPVAIKILDKEKVQRHRLVEQIKREICTMKLVKHPNVVRLLEVMGSKARIFIVLEYVTGGELFETIATNGRLKEDEACKYFQQLINAVDYCHSRGVYHRDLKLENLLLDAAGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDGGYDGATADIWSCGVILFVLLAGYLPFEDENIIALYKKISKAQFTCPSWFSAGAKNLITRILDPNPTTRITIAQILEDPWFKKGYKPPVFDEKYQTSLDDVDAAFGDSEDRHVKEETEDQPATMNAFELISLNQALNLENLFEAKKEYKRETRFTSQFPPKEIITKIEEAAKPLGFDIQKKKYKMRMENPKAGRKGNLNVATEVFQIAPSLHVVELKIAKGDTLEFQKFYRSLSTQLKDVVWKCDGEVEGNSTLA >KQK91113 pep chromosome:Setaria_italica_v2.0:IX:47897205:47899762:1 gene:SETIT_035675mg transcript:KQK91113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKREICTMKLVKHPNVVRLLEVMGSKARIFIVLEYVTGGELFETIATNGRLKEDEACKYFQQLINAVDYCHSRGVYHRDLKLENLLLDAAGNLKVSDFGLSALTEQVKADGLLHTTCGTPNYVAPEVIEDGGYDGATADIWSCGVILFVLLAGYLPFEDENIIALYKKISKAQFTCPSWFSAGAKNLITRILDPNPTTRITIAQILEDPWFKKGYKPPVFDEKYQTSLDDVDAAFGDSEDRHVKEETEDQPATMNAFELISLNQALNLENLFEAKKEYKRETRFTSQFPPKEIITKIEEAAKPLGFDIQKKKYKMRMENPKAGRKGNLNVATEVFQIAPSLHVVELKIAKGDTLEFQKFYRSLSTQLKDVVWKCDGEVEGNSTLA >KQK92673 pep chromosome:Setaria_italica_v2.0:IX:56718025:56720774:-1 gene:SETIT_034318mg transcript:KQK92673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAAAPPDAGGGGDPEAWYGSIQYLINISAVGAASCVLLFLLVKLRFDHRRIPGPSALAAKLLAVYHATAPQIALHCGADAAQFLLFERASFLILAAVAGAAVVAALPLNLLAGDAAIIDQFATTTISHIPKSSPLLWLHLLLTAAVVAIAHLGISRMEDALRITRFRDGNGNPSDPNSSSVAVFTIMIQGIPKTLAADRTPLKDYFEHKYPGKVYRVIVPFDLCTLEYLVQELGKVRNKISWLEAKLGARDLFDDFAHAEAAQSEEHRFVRRCKELWVMASERLGFTDEERLRKLQTKKLVLGSKLSDYKEGRAPGAGVAFVVFKDVYTANKAVRDFKLERKKTPIGRFFPVMELQLERSRWKVERAPPASDIYWNHLGLSKMSSRLRRIAVNTCLLLMLLFFSSPLAIISGMQSAARIINVEAMDHAKSWLAWLEGSSWFWTIIFQFLPNVLIFVSMYIIIPSVLSYFSKFECHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLESWILSMGRCYLDGPDCKQIEHYLSPSFLSRSSLSSLAFLITCTFLGISFDLLAPIPWIKHIMKKFRKNDMVQLVPEENEDYLMMQNGEESNNLTAPLMPEREDSGLLDSIEGHDLSLYPLNRSFHMPKQKFDFAQYYAFDITIFALTMIYSLFAPLVVPVGAAYFGYRYLVDKYNFLFVYRVRGFPAGNDGKLMDRVLCIMQFCVIFFLAAMLLFFAVQGDSMKLQAICTLGMLVFYKLLPSRSDSFQPSLLEGMQTVNSFVDGPTDYEVFSQPDLDWNMYQS >KQK87251 pep chromosome:Setaria_italica_v2.0:IX:6274419:6274764:-1 gene:SETIT_039490mg transcript:KQK87251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKLSFAFILLLSGLVVFGEADGKKTGAACGVQCFQGGYITCDNYPSQELDICDCQCAPKNGKNCKLHLLSSGDTFDCPAHGA >KQK86253 pep chromosome:Setaria_italica_v2.0:IX:952102:955147:1 gene:SETIT_040279mg transcript:KQK86253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAGYRPGLFLRNNLLAAYTRCGDMLYARLLFDGMPRRDAVSWNTLIAGYSSQGSPRLALCAFRDARRDGGVAVDRFTYATVLAACGGVRDGRSGRAAHGLAVVSGVARTAFVTNSVIDMYAKCGMIDEVRLVFDRAQERDEVSWNLLLSAYVRMGWPEVAVNVLVWMHRSGVKLDAFALGGILKACSELEDSEDVRMMLHGCVIKVGLDLDYFVGSAMVDMYAKNGGLEEAIKVFDCIPGQNVVIYNAMIAGFARLGNEPCTETRIEAVRLYANLLQRRIRPSKFTFKSVLEVCNLTNAVRCGRQIHAHVILSGFQDDEFIGNALINLYAKARLVDDSLRCFNSTPKREIFTWTSMITAFVHDEHPDKALDLFKELRYLEKEPDQFTMSTVMTACAALSVPITCEQIHCYAVKSGFDQFTCCGNSQIEMYRCAGDLKAANKSFETISCLDTFSWSQMILSYAVHGHEREALLLFKKMEDCGVMKNEFAFLAVLVACSHQRLINEGFRHYESMVSDYGFVGDVKHIACMVDLLGHAGKVADAEDFIMGSGLENDAVLWHALLRACRIHGDKDRGIKIGEKLIMLEPCAASSYVMLYNLYMDAGKISLAMRTRGQMRERGMTKEAGISWAEFGGSIHQFVDGDNSCSQKAETFTRLEELLVSVKQKTQHFGMNVWEMGFQARKVGENSISKHGELLAVAHGLTTLPNSAPVRVMKNQNMSWESHETLKLLSEGENREIIIRDPARFHHFSQGSCSCRDYW >KQK91369 pep chromosome:Setaria_italica_v2.0:IX:49463634:49464243:-1 gene:SETIT_040441mg transcript:KQK91369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQDGDSKQKTKPHGNPYPKRGSIKKQIIKDWTGGSGGGNDSGGDASSSGSATAGGYYGAD >KQK89095 pep chromosome:Setaria_italica_v2.0:IX:20618105:20619189:1 gene:SETIT_038570mg transcript:KQK89095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSLYKPTISANTISSPLALAFLGDEPLAPTDRTFQSSSECLLEEFGVLQSMSIGHRDVDATLDFHVFEVQDFDTLIGHPIENFLLDALTLGKLDVHLGKEPRSYVRNSY >KQK86965 pep chromosome:Setaria_italica_v2.0:IX:4753216:4754522:-1 gene:SETIT_039720mg transcript:KQK86965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAELSTWLESRDAHWCSQYQAAPAPDEESEIVAQFLAAPYPYQNDDGGEEQEQKRQHHKLGEISATSSTYWPDEPGHVSDPGTGACDYWPSNGDASNSNSSGSGAYFDGSGCCYYYLAEPDVSLGINTRTVLPCASSIDLNLLGDGEEEGAASLVHTVPPNPSPADHSHTASHRNVGDDSAAARAAVSAPKRKAQAGNDGGDLGRHKKKEKKTASKAAQKCSQESAQSKGSCSADESMPNCSAVNRRSGAHGGNVKARAAKGSATDPQSLYARRRRERINERLKILQKLVPNGTKVDISTMLEEAVHYVRFLQQQIKMLSSDEMWMYAPIAYNGMSLGIDLRISTPQ >KQK89843 pep chromosome:Setaria_italica_v2.0:IX:36398566:36401583:1 gene:SETIT_035821mg transcript:KQK89843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHFRRGVELGMASFQRCRPLSCQMAESAPFDAQKAAMRCKEKSRRSKCCHPEEMPVIPEQAMEFLSRTWSSCSTDLFQILSPSSLGLSPEDSERDEASGDRDEDEEKRLDTVHSNGGTSQLFNQTWRVLASGKPSSRQHRHKLVQPAWLNVGNMKAMLRGFILDSVPVTGSRRRRRRDELRLHSAQAHAAVSVAQLAAAVAGIVSICDLRPVAPASDSDKRLGTVLASAAALVATVCAEAAETAGANHGRVTSAVRTGLESRSSAELLTLTATAATCLRGAAALKRRAADLRGISSSTSSNAMAMSISAGIQKGTTLRVCLPCGRVRVRTVSVFPQRGGGAGAVALRLGKKRLHGAFATYKDYVVSAVGDGGGEAVVEGRPAFPVALVTEGEGATVQLLFEHQTHCKVWKAAIEGMLAEQKLKRDGN >KQK90850 pep chromosome:Setaria_italica_v2.0:IX:46189994:46192294:-1 gene:SETIT_037376mg transcript:KQK90850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWEEEAVEEEEYGEEMAASESEAEDVVVGQMPTVMVPKHIKKRSLKNKALSVTLDKKALRDFVTGFHKRKKKRRKEAQKVLQEKERKKRIEGRKRRKQEKEIALYGRVLSSADAELENEDIGDGGEEMENDESLSEIKTYEDDATRIIVTTSEITCEDDDIGPRTVGPVSAGYTNKNPSSVAKKNSSLGVKKKPQKRTFRNKSKAKKGDKKRGAVKGKRKGKGRK >KQK89177 pep chromosome:Setaria_italica_v2.0:IX:21544562:21549286:-1 gene:SETIT_035268mg transcript:KQK89177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVEAVVAAGVEPFPTVDKCDASGRGSHAVAADLDGTLLRSRSAFPYYALVAFEAGGVPRLLLLLLLSPLAAALRVLLASESACARVLVFAATAGARVADIESAARAVLPRFYAADVHPGAWRVFSACARRRVVLTATPRVMAEPFLRECLGADAVAGTELATWRGRATGFVDARRGVLVGRRKAEALREIFADDGDAPDVGLGDSRSDYAFMSMCKEAYIVPRAPVDPLPMDQLPRPVIFHDGRLVRRPTPLAALLVVLWFPAGFALACLRIAAGALLPMPLVYYAFWALGVRVLVRGAPPPPRAERAAGRLGVLFACSHRTLLDPIFLSAALGRPVAAVTYSLSRLSEFLSPIRTVRLTRDRASDAAMIRELLEEGDLAICPEGTTCREPFLLRFSALFAELTDEVVPVAMENRMGMFHGTTARGWKGMDPFYFFMNPSPAYVVTFLSKLPTELTCAGGKTSHEVANYIQRLIAATLSYECTSLTRKDKYRALAGNDGVVAAPKS >KQK89551 pep chromosome:Setaria_italica_v2.0:IX:32820928:32821299:-1 gene:SETIT_040346mg transcript:KQK89551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIVVKWLTVSLHVAVCETMFCIFYPVYHNGTDLS >KQK89536 pep chromosome:Setaria_italica_v2.0:IX:32624612:32626255:1 gene:SETIT_038541mg transcript:KQK89536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLAADTPQPDDPAPTSSDDRLLSFLRLKLAGEALPAAAGALFHDCDIYAADPATLTAGYLPAPARKGEGYSWFFFTFVRPKSSTDSRKKRMVGGGAGTWHSERAPRAVHDDEGNCVGHTQYFSYKRKTGKSCSERTDWYMVEFTDGQEGDHDRIHGGEPVLVLCKIYKAHSGSRSSSSSSRSARKRKATEEHADPSSAPLKAKRRLFSSSAPTQLPASQEQGEAAKVEPEAYHGEIDDHALDDFLQFMKSEFQTDSTSDYLNFSTNPEASQEQVSSRVTMATSGLELQSEAAKVEPEAYHGETDDDTVDDLLLYWESQCKTNSTSDYQKFSPNPEASASQSKTSTTHPSSLMSETEMSQAKIGDTSDYLRFWPEPEASQGMSNSTSDYLKFSPEAEATENMTNTAPPSLVMSEPGMTAQGKIGGTSDNLRLWSDLEAFQGMINSPSPSLLTSEPWMTLSFGSEPEVSQGMIQSTSEYLNFSPKTEALQKTRTTPRSLLMFEPEVQAPQGESETSALDWGIQMAGVGTTPVL >KQK91192 pep chromosome:Setaria_italica_v2.0:IX:48378962:48386192:1 gene:SETIT_034160mg transcript:KQK91192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKASDSSSQRSKRSDQGTGRDAAAASVVAIHGKLTQLVRQIQSKRLAHIKDKLEANRKTLQRHTCALFDVAAATEVASRGTEGGNALSQRAAEFQSRPAGSDLANGMGERDVVYVQEENPAAGTLVLSGSGSGGAAQRTVLRFVKLPLIERIPPYTTWIFLDKNQRMADDQSVGRRRIYYDAAGDEALICSDSDEEIPEPEEEKHFFTGGEDQLIWKATQEHGLNREVVNVLSQFIEATPSEIEERSEVLFEKNEKHSGSSDKIQRQLSLGKTMDAILDSFDNLFCRRCLVFDCRLHGCSQNLVFPCEKQPYSFEPDENKKPCGDQCYLRRREGFQDAHYDDLGGCATYTMESRTASHKVDVNILSESEDSNREEDNIKSMTFVGTSGSKIISSMSAEKSTTPPSADTSETENVSSDLPLSSLGKHKISKHGPRYRERSPGKRQKVFTSDVSFASNILNKLSIPEIRDTRLESRESGGDKLRILDESTKKSSSKDIWGGSTTSTTENVGRDSNNVTSTKNFMEHTLSCWSPLERDLYLKGIEIFGKNSCLIARNLLSGLKTCMEVANYMYNNGATMAKRPSKSTLGDFAETEQDYMEQDMAARTRIYRRRGRNRKLKYTWKSAGHPTVRKRIGDGKQWYTQYNPCGCQQMCGKDCPCVENGTCCEKYCGCSKSCKNKFRGCHCAKSQCRSRQCPCFAASRECDPDVCRNCWVSCGDGSLGEPPARGDGYQCGNMKLLLKQQQRILLGRSDIAGWGAFIKNPVSKNDYLGEYTGELISHKEADKRGKIYDRANSSFLFDLNDQFVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIYAKEHIEASEELFYDYRYGPDQAPAWARRPEGSKKDEASISHHRAHKVAR >KQK86860 pep chromosome:Setaria_italica_v2.0:IX:4178601:4185639:-1 gene:SETIT_034932mg transcript:KQK86860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPALICDTEQWKALQAHVGAIQKTHLRDLMADADRCKAMTAEYEGIFLDYSRQQATSETIEKLLKLAEAAKLKEKIEKMFKGEKINSTENRSVLHVALRAPRDAVINSDGVNVVPEVWSVKDKIKQFSETFRSGSWVGATGKALTNVVSVGIGGSFLGPLFVHTALQTDPEAAECAKGRQLRFLANVDPVDVARSIKDLDPETTLVVVVSKTFTTAETMLNARTLKEWIISSLGPQAVSKHMIAVSTNLKLVKEFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFPIVQRFLEGASSIDNHFYTSSFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKLAPHIQQLSMESNGKGVSIDGVQLPLETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLKGETVSNHDELMSNFFAQPDALAYGKTPEQLQSEKVPEHLIPHKTFKGNRPSLSLLLPTLSAYEIGQLLSIYEHRIAVQGFIWGINSFDQWGVELGKSLASQVRKQLHGSRMEGKPVEGFNHSTSSLLARYLAVKPSTPYDTTVLPKV >KQK86126 pep chromosome:Setaria_italica_v2.0:IX:388461:393795:-1 gene:SETIT_036211mg transcript:KQK86126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACIACVKEEGGGRDRDDNAGRSAGGDTPTCRDPVKSLTSQLKDMVLKLSGTHRQGAQQRRGGSPPPRGRATSIYRSGYYRPGVVQDDMAVPPATYLGGCGGTGASSASSTPAWGELGGRAEGEAREWVAQVEPGVQITFVSLPGGAGNDLKRIRFSREMYDKWQAQKWWGDNNDRIMELYNVRRFSRQVLPTPPRDDDAERESFYSQSQVGSMAGSPAATPSPAPLTPDRISWGAFARPAPPPSAAARQHSFRPLSPPPPSSSNPSERAWQHQQQQQRQNGAAGKSPAASEAAATEAARTTTSSRDDVSISNASEMEVTEWIIQDEPGVYITVRELPDGTRELRRVRFSRERFAELNAKLWWEENKERIQAQYL >KQK90619 pep chromosome:Setaria_italica_v2.0:IX:43988859:43991305:-1 gene:SETIT_037015mg transcript:KQK90619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAAPVRSSHTSTAGLLAWPHPDGAGPLPARRPNQPTEELRKVVFGGQGTEEADGLNKMRTGSAPKWKEMTGSSIFKAESASAAPAASRDRQASQITFAQEGSIAHRKPTTVAEVARQRELSHTVQSEGGGKMKRQVSTVKSKELSGHDIFADQEDPKPNRSRRSNYGSSALLSAVKNANVSTFSFGEADTDSTAKTAKKKGTSNKPADLNGKVISEKDSAPAVKQPLNRAKLEETTGSSVFADGKAPATGEQSGRRTRPPPGGESSISLA >KQK86567 pep chromosome:Setaria_italica_v2.0:IX:2710186:2711394:1 gene:SETIT_038398mg transcript:KQK86567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLKFDISNTIRYKKTIYGIVMINAWFLYLMTHVLSFDFYYRIDCQQTNLRRLDGTKWTHAMRTQFQV >KQK90542 pep chromosome:Setaria_italica_v2.0:IX:43532830:43538283:-1 gene:SETIT_035098mg transcript:KQK90542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHEARKSERKVHDLMDAARRRAQRRAAYLARRRGDPQQALQVSGTRCRVHRDDALYQATEDQQGLIPWNGKQDVLIDRFDGRALLDFIRDPSSRPFRVQEKSEEEEELEEFVNFERYRDLIKHRRRGFSDETGLQHVVQELEAKVVLPFSFEKPQSSQPPAIKGAYSQVGYSYKGDGNEESEDLNSDDEEEEEEDDEDDKDFSSDDSSDERMESIAKEFGVKRYNWLVYMDKKAKEEEKRQKEIIKGDPSIKKLSRRERRKASQIEREKEREAARSVGRVSYRDPYREQRRSPSYEAYSRGRRSRSRSRSRSPSYSRRHGRGIHAESSYRSKPKAPRVEYITEFGGSDDSSEPKVSGISPPSSPIRIDIPSRSSGGQILEALHSDPASSLSVEQERSAKLLKPLPSTSAALAKLSKGASGVTGKTPQTEKKETPQERLKRIMSKQLNKQIRKDTAAEIAKKREQERQKQEKLAEVGRYRRRSRSRSLSRSPPRRRRYSRSRSRSRSPRRYRSRSRSSSRSPSRSPRYRSRSRH >KQK92908 pep chromosome:Setaria_italica_v2.0:IX:57797201:57797509:1 gene:SETIT_038466mg transcript:KQK92908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISCIWTERYIVALHCSVHRINLIHRKPGSEMYIHFTLSWRKLSAREGIHISKLGAS >KQK86685 pep chromosome:Setaria_italica_v2.0:IX:3287076:3289957:-1 gene:SETIT_037609mg transcript:KQK86685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANTAAAGAATPAPAPAAPAAPVQVPRGQVDLADFIDWTGVECLNQDSSHSITNALKQGYRDDEGLYLASDSDEQLLIYIPFMQVVKLHSALFKGPEEEGPKTVKLFSNKEHMGFSNVNDYPPSDTLDLSADHLAENKPATLKYVKFQNVRSLTIFIEDNQSGSDVTKIHKIALYGTTVDTTNMKDLKKIEEH >KQK93049 pep chromosome:Setaria_italica_v2.0:IX:58509379:58510399:1 gene:SETIT_037106mg transcript:KQK93049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVVVASLVGGAVCGPPKVPPGKNISATCDGKWLDAKATWYGKATGAGPDDNGGACGYKDVNKAPFNSMNACGNSPIFKDGLGCGSCYEIKCDKPAECSGEPVIVHITDMNDEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYPADTKIAFHIEKGCNPNYFALLVKYAAGDGDIVAVDIKEKGSDEFVPLKHSWGAIWRIDSPKPIKGPIAVRLTSEGGTKLEQDDVIPEGWKPDTVYTSKLQF >KQK86682 pep chromosome:Setaria_italica_v2.0:IX:3282321:3282695:-1 gene:SETIT_040752mg transcript:KQK86682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYSLKERPNKTPTSTGACELEKYKRVKGRNKMKVFHSLVSLSQ >KQK87218 pep chromosome:Setaria_italica_v2.0:IX:6138414:6139358:-1 gene:SETIT_039624mg transcript:KQK87218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFMSYVDLKKAAMKDLEAGGDGIELPESGAGGVTDERLRGFFEEAEAVKAEMAAIRDALDRLHAANEEGKSLHQADALRAHRGRVNADIVAVLRRARDIRARLESLDRANAAQRRLSAGCREGTPLDRTRTAVTAGLRKKLKDLMLDFQALRQRMMSEYKETVERRYYTLTGEVPEEEVIERIISDGRGEELLGAAVAEHGKGAVLAAVHEIQDRHDAAREVERSLLELHQVFLDMAVMVETQGEKLDDIESHVANASHYVQGGNKELGKAREYQRSSRKWLCIGIIILLLLILLVIVPIATSFRKS >KQK88242 pep chromosome:Setaria_italica_v2.0:IX:12497923:12499479:-1 gene:SETIT_036545mg transcript:KQK88242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMATGPDTFSADCEKLSNVCLSPSNTVPVKSVNSRTARTVASARFWGRTRVTRKLGASCTPMRPVPSALVSGTTVSSTDCLSTAPGAVLETVMGTFLLGLALMRSTRTGTDGSGAAPGTARMMEDLGDQHPGELRLDGDADGERLRVDDEEGEGEVGGDAGGHDEEAVGDGAVAEEVGVVGREARLRVVVGEANVAAERDGAERVLDGAEAEAGERRAETDGELGDVDAPRRRSEEVARLVDQHDGGKDGGRGGHGLDAGKKVRRGGGGGGGLGEVAVAAAREREVHGEVVMGVRMEGGRAAELGGWGEMGGNHGGRGGGGGGGGGRGGRSG >KQK89221 pep chromosome:Setaria_italica_v2.0:IX:22178772:22179074:-1 gene:SETIT_039611mg transcript:KQK89221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIESAKCECCGLREDCTREYIAGVKAGFGGRWLCGLCSEAVRDEVAAKKRGDLEGAVRDHMSFCAKFGKKGPAFRVADGMRQMLRRRSSDISATSSAAS >KQK92131 pep chromosome:Setaria_italica_v2.0:IX:53875660:53876038:1 gene:SETIT_040572mg transcript:KQK92131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKRERYTTFVLMGPDHKVSIKDLCMYYYCYSCTQMQLF >KQK87256 pep chromosome:Setaria_italica_v2.0:IX:6283964:6284252:-1 gene:SETIT_040502mg transcript:KQK87256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTDAYRLQQILHKYKETLIALDGYSLELTWYNFGTHNTNWQHHG >KQK91062 pep chromosome:Setaria_italica_v2.0:IX:47497569:47501632:1 gene:SETIT_035599mg transcript:KQK91062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPPPHTLPTAPYPATPPPLQQQQPGPAPAGAATLLVRHLPEAITQEMLSRLFSHYGATSVRPCAGGKLRNCAFVDFRDEAAANHAHSLLNRLRFLGKVLIVERANRPNANNAHAKPQDQLEHGVSPAPSICSENQKNPTSTAEPIAPKLGVDYPFPPHLEYAYPPPDGNILNNIVNALIAVPRFYTQVLHLMNKMNLPAPFRMALPTPPLPSQVSAPPHPPPPLQPTTTEEPRSADLSSDESELESSDDDVDKRKSKRAKHEAIVGPAVDKSVAHEAVGVKPAALVSTELQVIKKKNPVLQIKIAPKATQKEPPIPSTTDKELDSTNEQLEEKHFVTPQEIEKDKLPPEEILSLPMFKNYTPGNPAIVLYIKNLAKDVTHDDFFYVFGSLFESMDSVRSGLSIKLMQEGRMRGQAFVTFPTVELAQRALNLAHGYVFKGKPMIIQFGRNPAANKAS >KQK86740 pep chromosome:Setaria_italica_v2.0:IX:3505767:3506597:-1 gene:SETIT_039742mg transcript:KQK86740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMLDLELRLGLGPRDAAPRSNGTAAEVARTGYGETSQHHVAQAMRAVSDDRDETEQPKSAAKVILQPPQLVEAGEEEEAATAEPESKRAKTEAPPANLDPPAVHQGDGGGATEPTWVRAELLPRHALPGDLQLHFVQEKVLTQSDLKSNKSRIFILSSGSDRLRPLLSAGELRSCGLHGSSRRRDRPAQGQDGAKKKKREDKTRHPGVLVLVYERGAEREAAALRLNSFWSTRAVVINGHGFGRFVAGSGFRKGDRVEFWAFRRPQDQHLCFVVA >KQK86723 pep chromosome:Setaria_italica_v2.0:IX:3451599:3452149:-1 gene:SETIT_039259mg transcript:KQK86723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQMVAAAVIASAIAIVFLPALASATDHVVGDGYGWTLGFDYAAWAESKQFTVGDTLAFKYSANSHNVAEVSGADFKACTKAAATSVWNSGKDVVALDKPGRRWFICVVGQHCRLGMKLNVTVLPGTPAPAPAPAPSHSQSRRFLSEW >KQK88635 pep chromosome:Setaria_italica_v2.0:IX:15693534:15694091:1 gene:SETIT_038254mg transcript:KQK88635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYMPREMTILGHLHFDFFLNRWRFLPPELLLPSQALPQKNNDIQNRTAKNIRALSCNLDSLSCQPVKRKIKLIRCRCVHAFIKQEY >KQK88961 pep chromosome:Setaria_italica_v2.0:IX:19013102:19013962:-1 gene:SETIT_039380mg transcript:KQK88961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPATAADKRDGKRPAPPEEDPTVDSAAATADGETTEQQAAGGAEGSGGGGGDLVLVTDCGTEVRLSRSAARMSTAILHMMEDGCAEGRVPVKGVDAGVLRLVVAYCERHAPHYDPVASAARLRDPFPPFPIEFPRGTHAIRPVTDPGPDPHGLEAWDKKFIADLPDNSALFAVILAANYLGIEDLLDLGCTAVADKMRGKTPEEIRDALDIENDYTPEQEAEVRRENAWAFED >KQK91615 pep chromosome:Setaria_italica_v2.0:IX:50855133:50859739:1 gene:SETIT_039286mg transcript:KQK91615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVLPAFFLLLVTINHLVAAMNETVFTFNGFSGANLSLDGMARVTPDGLLMLTNGTTALKGHAFYPTPLRFHGASNHAVASFSTAFVFGIIGQYTDVSSQGMAFVVSATRDFSTALPGHFLGLVNASDNGDASDHLFAVELDTVLNAEFRDIDDNHVGIDVNSLTSVRAASAGYYDDETGSFRNLSLISRKAMQVWVEYDGWAMELNVTMAPVEMPKPKKPLLSTVVNLSAVITDLAYVGFSSSTGIIFSHHYVLGWSFKMNGTVAPALNISLLPALPRTTSKTRPKVLVVVLPIASSVFVLALAAAAVVIAKRRAKFAELREDWEAGFGPHRFAYKDLFYATDGFKDRNLLGRGGFGSVYMGVLPKSKTKVAVKRVSHESRQGMKEFIAEVVSLGRLRHRNVVQLLGYCRRKGELLLVYDHMPNGSLDKYLHDRDKPTLDWGQRFKIIKGVASGLLYLHEDWEKVVIHRDIKASNVLLDAEMNGRLGDFGLARLYDHGTDPNTTHVVGTMGYLAPELGHRAKATPSTDVFAFGVFLLEVACGRPPVEEDAQGTPVVLVNWVLDHWRNGSIMEAADPRLGNDYALEEVELVLKFGLLCSHPLASARPSMRRVVQCLDGDMAFPEDHQSMRTNFSMTTLMEDQGLDPDAVECESSLASSVGTMSSTLFIPFLLLVALHKIKLVSPASDGRFAFEGFTSANLKLDGAAMVTPTGLLALTNDKHTKGHAFFRAPLLFHVPANGMTVASFSTTFAFAIISEHTQLSDHGLAFVVAPSSNLSADTGAQYMGLLNISDNGKASNHILAIELDTVLSPEFHDIDSNHIGIDVNNLQSVKSHTAGYYEEGTGKFLNLTLMSRKAMQVWVDYNGQAMELNVTLAPLGVEKPTEPLLSTALNLSKIVTGTSYVGFSSATGLSIAYHYILGWSFSLNGAAPVLNSSNLPALPRLPHQKRSLTEILVIVLPLATAGFIVALLTVVFMFVRRWLRHAELHEDWEVEFGPHRYSYKDLFHATEGFVSKQLLGIGGFGRVYKGILPRSNLEIAVKRVSHDSKQGMKEFIAEIVSMGRLRHKNLVQLLGYCRRKGELLLVYDYMSNGSLDKHLYDRNRPVLRWNIRFHIIKGIASGLLYLHEDWEQVVVHRDIKASNVLLDSEMNGCLGDFGLAKLYDHGTNPRTTRVVTCGRRPLELDQVVLLDWVLEHWNRGAVLETVDPRLCGEYIAEEARLVLKLGLLCSQPMPNARPNMRQVLQYLDGTVAVPEMTVTNLDYSSLMFVQNEGFDSYVMLDASSFATSIGPGSDLSGGR >KQK91694 pep chromosome:Setaria_italica_v2.0:IX:51278115:51286749:-1 gene:SETIT_033977mg transcript:KQK91694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARTKKSPQQIQMLEKFYSDVQYPKPDEMEQYATCVGLTYSQVRIWFKERRRKERREMETIGSHMERQLSGRSSGPRTSSSSSSCNEAPMYGISCSRPEFDSSTSVVGEENTVQSQVLFPKDYILRKIFRKDGPPLGSEFDPLPKSERDRIRDTTCHHSSQNQRAVKKRKIIESTSQRSSVPYEDTVPVRKHGIGKGLMTVWHAMYSQSHNVECQSGPNFIDETGCLRSLRPFDDRDGMAQKKVDKRSKPPLNKRKVPCKRVTGPKEHPPMDCHLSINKSESSELLTEQVTLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLAKFPPQSVKMKQPFSAKPWDSSPEMVKKLFQVIRFVYTHFGTIDVHPFTFDEFAQAFHDKDSLLLGEVHIGLLKLLLLNAEMGSDGVFVPRSSKDCRFLSFLNFVREQEFDVNFWIRSLNSLTWVEILRQVLVASGFGSKQHMLNRDFFNKEKNQMVKYGLRPRTLKGELFALLSKAGSGGLKVSVLAKSSEIVDLNVSGTLELEQLIYLTLSSDITLFEKIAPSAYRLRVDPQIKGKEDARSDSEDSGSVDDDEDASSSDDESNGSQKMNLPEHGDRIARKKEQKNAHGSPNKCSEIDESYPGERWLLGLMEGEYSDLSIDEKLDCLVALIDIASGAGSVPRLEEPQRVLHNMPRAQPHQSGGKIKKSTKNLYRSSDESLNGPGNSYSLDCSRQGRSASRRNQDYITDSERNDLSGVAHEPQVVLLGSDRRYNSYWLFLGPCRADDPGHRRVYFESSEDGHWEVVDSPQELLSLLSVLDSRGTREAHLLASMEKRQACLFEAMKKHVEGGNAIGLPASSDSFRSETSTGDGASPKTSSVSGASPVSDVENASVPPDLEDSNLDSSSAIVIENGKRGDERILMWDRLQAFDKWIWTSFYSVLTTVKCGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVGFDLEEKYAVHAATCREPEDAHEVPNHKVLPSQLQALKAAIHAIEASMPEVAFTGSWMKSAHKLWVKRLRRTSSLPELLQVLVDFVGAMDEDWLYKSSSSVSFSSYLDDIIVYFQTMPQTTSAVALWVVKLDALIAPYLDKPDTSRALAMKELAQDHRLVQGSLEDGFFHVMLQQAPS >KQK91693 pep chromosome:Setaria_italica_v2.0:IX:51278808:51286749:-1 gene:SETIT_033977mg transcript:KQK91693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARTKKSPQQIQMLEKFYSDVQYPKPDEMEQYATCVGLTYSQVRIWFKERRRKERREMETIGSHMERQLSGRSSGPRTSSSSSSCNEAPMYGISCSRPEFDSSTSVVGEENTVQSQVLFPKDYILRKIFRKDGPPLGSEFDPLPKSERDRIRDTTCHHSSQNQRAVKKRKIIESTSQRSSVPYEDTVPVRKHGIGKGLMTVWHAMYSQSHNVECQSGPNFIDETGCLRSLRPFDDRDGMAQKKVDKRSKPPLNKRKVPCKRVTGPKEHPPMDCHLSINKSESSELLTEQVTLVDDEELELSELQAGPNPLRCSAHLSSSGRHGCPLCKDLLAKFPPQSVKMKQPFSAKPWDSSPEMVKKLFQVIRFVYTHFGTIDVHPFTFDEFAQAFHDKDSLLLGEVHIGLLKLLLLNAEMGSDGVFVPRSSKDCRFLSFLNFVREQEFDVNFWIRSLNSLTWVEILRQVLVASGFGSKQHMLNRDFFNKEKNQMVKYGLRPRTLKGELFALLSKAGSGGLKVSVLAKSSEIVDLNVSGTLELEQLIYLTLSSDITLFEKIAPSAYRLRVDPQIKGKEDARSDSEDSGSVDDDEDASSSDDESNGSQKMNLPEHGDRIARKKEQKNAHGSPNKCSEIDESYPGERWLLGLMEGEYSDLSIDEKLDCLVALIDIASGAGSVPRLEEPQRVLHNMPRAQPHQSGGKIKKSTKNLYRSSDESLNGPGNSYSLDCSRQGRSASRRNQDYITDSERNDLSGVAHEPQVVLLGSDRRYNSYWLFLGPCRADDPGHRRVYFESSEDGHWEVVDSPQELLSLLSVLDSRGTREAHLLASMEKRQACLFEAMKKHVEGGNAIGLPASSDSFRSETSTGDGASPKTSSVSGASPVSDVENASVPPDLEDSNLDSSSAIVIENGKRGDERILMWDRLQAFDKWIWTSFYSVLTTVKCGKKSFKESLVRCESCHDLYWRDEKHCRICHSTFEVGFDLEEKYAVHAATCREPEDAHEVPNHKVLPSQLQALKAAIHAIEASMPEVAFTGSWMKSAHKLWVKRLRRTSSLPELLQVLVDFVGAMDEDWLYKSSSSVSFSSYLDDIIVYFQTMPQTTSAVALWVVKLDALIAPYLDKPDTSRALAMKELAQARSQASAR >KQK88506 pep chromosome:Setaria_italica_v2.0:IX:14724326:14726051:-1 gene:SETIT_036689mg transcript:KQK88506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEIEHSHLPIRGINIHVAQAGKDELGTVVFLHGFPEIWYSWRHQMLAAAAAGYRAIAPDCRGYGLSDQPPDNEEASWVWDDLVADVLAILDTFSIPKAFLLGKDFGAIPAYEFALQHPDRTRGVACLGIPFSPVPFPFDTMPEGFYVLRWGEPGRAEADFGRHDVRRVVRTVYVLFSGAEVPIAKEGQEIMDLADLSTPLPEWFTEEDLDAYAKLYEKSGFRYPLQMPYRSIHKMPNRLDARFQVPVLMVMGEKDYCFKLPGFETALRGGVMEKFMPDLKITFIPEGSHFVQEQFPEQVNELLLGFFKDHPVAA >KQK91382 pep chromosome:Setaria_italica_v2.0:IX:49518729:49520508:-1 gene:SETIT_039767mg transcript:KQK91382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYGGGDLLTEAMGSAARLVVVEDCVEAPGAFVLHLLLKRALAGGGAAAFLALAQPFTHYDRILRKMGCNLSLHRRNERLHFFELQAFPGGARDGAIADSFVRLYSEIQRVAEATRSGENAGQFTIVIDDVSLLEVAAHGSIDNVLDFLHYCVTLTSEMNCSLVILVHEDIYAGEENNGLLLHLRHIADLVVKAAPLSTGLAADVHGQLSVVNKGTFSEQRAKAQKVWNFHFKVKENGADFFYPGSRH >KQK90436 pep chromosome:Setaria_italica_v2.0:IX:42693386:42696925:1 gene:SETIT_035517mg transcript:KQK90436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATPQRIIHGGHNWERPERPGRRLLTDFPRPNPTPSLSVLPVYRPPGTPFPWLPAVPFSHLPRVIARTTIAARPPARISHPPTALRAPRNLAHLPRPLSLTIRESCLVHQPPPLHARHGSRHHQPPPHLPLRRRSAATTAWAHGGGGGDPDPDAGAGDSPKPDLRARGLVAAKLWCLAAVFAGTLLGGVSPYFMRWNEAFLALGTQFAGGVFLGTALMHFLSDANETFGDLLPGSAYPWAFMLACAGYVVTTLADVVVSHVVSRGRTAPGSSAGGAELEEGKVSATNGTSSEPQPAEAHGSDHSVASMLHNANTLGDSILLIAALCFHSVFEGIAIGVAETKADAWKALWTISLHKIFAAIAMGIALLRMLPNRPFLSCFAYAFAFAISSPIGVAIGIVIDATTQGRVADWIFAISMGLATGIFVYVSINHLLSKGYKPRRPVAVDTPVGRWLAVVLGVAVIAVVMIWDT >KQK90140 pep chromosome:Setaria_italica_v2.0:IX:40109050:40113465:-1 gene:SETIT_034411mg transcript:KQK90140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVLVAIAASIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVAMSLFGATVVTTFSGALADSIGRRPMLIASAVLYFVSGLFMLWAPSVYVLLLARLIDGLGIGLAVTLVPLYISETAPTDIRGLLNTLPQFSGSGGMFLSYCMVFGMSLLPKPDWRIMLGVLSIPSLIYFALTVFYLPESPRWLVSKGRMAEAKRVLQRLRGREDVSGEMALLVEGLGVGKDTRIEEYIIGPDDELADEGLAPDPEKIKLYGPEEGLSWVARPVRGQSALGSAYGLISQHGSMVSQGKPLVDPVVTLFGSVHEKMPEIMGSMRSTLFPNFGSMFSVAEQQQAKADWDAESQREGDEYASDHGGDDIEDNLQSPLISRQATSVEGKEIAAPHGSIMGAVGRSSGLMQGGEAVSSMGIGGGWQLAWKWTEREGADGQMEGGFQRIYLHEEGVQGNRGSILSLPGGDVPPGGEFVQAAALVSQPALYSKELLEQRAAGPAMVHPSEAVSKGPKWADLFEPGVKHALFVGIGIQILQQFAGINGVLYYTPQILEQAGVSVLLSNIGLSSSSASILISALTTLLMLPSIGIAMRLMDMSGRRFLLLATIPILIVALLVLVVVNIVDVGTMVHAALSTISVIVYFCFFVMGFGPVPNILCAEIFPTTVRGLCIAICALTFWIGDIIVTYTLPVMLNAIGLAGVFGIYAVVCILALVFVYMKVPETKGMPLEVITEFFSVGAKQAKED >KQK90244 pep chromosome:Setaria_italica_v2.0:IX:40947837:40949034:1 gene:SETIT_037635mg transcript:KQK90244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFRTSTNCKPTRWCSSGLRSDHRPRIRVSPRRVSSLPLPLLRVLLPSPRPAMANDGSPGGRAGRRCGSLTRVDLLTLLLAAALCSASYCLGIWHNSRGAADSRVLGPSAALAVGAASSCGGDADEPLDFETHHAAEHAGLSVSTPATMDTSTRRALRGATPGGTGHRGVAWAARGGDGGLRFADAGAVRA >KQK90508 pep chromosome:Setaria_italica_v2.0:IX:43261985:43265033:-1 gene:SETIT_039665mg transcript:KQK90508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIQARTAANGGDGLARYARDPSAGCTVLPLRLRFSLCHGGSGARGCGVYLAPDAADIIKDLVLVNRLKSTLPAGGVVKIGPWGGEGGLHWDIPAMATPLRLDSITVCSSLAVVDAISFRYWDAQGVKHDAGPWGGNDGDPYVIALEPSEFLTEVSGTFAYIGTQPTDAVTSLTFVTNLRKHGPFGDVDGTPFSVPVRDGGRIVALFGRGWDYIDAIGVYGSARGRRRARLGAWQLSETGWRMTRGAKRVSGAWGQAHRVEQREACSQARSGARGKVSDARGMGARFHGPDARFDGDQARFDGGRPRCIQA >KQK91794 pep chromosome:Setaria_italica_v2.0:IX:51827385:51828461:1 gene:SETIT_038939mg transcript:KQK91794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDETDVDALSLSMPLEDNVTPASGGKGSTKRSSNYTPQEDMQLCMSWESISMDPIVANEQPGKAYWKRIAEHFHANRTFHSDKNVNSLEHRWDMIKKECGKFQACYEQVERRHPSGVPYKEHLLEAQAMFTTMPPKKAFQFIHCWLKVRNCPKFQAIDKSHKRPRPSKSSTLSEKGAEEEEGDENGKSQTSDSARASQTKRPIGRKEAKQRLKTGGDAGPYKEAIEELILDKKEQKKLREEEKKLKEERWNETRMIHQQKISLEKEKFMWEQEQRIMFCDVSTLDPDQKTYVLAMRAHIAAQKMAAFNSGFGSGFDGGFDSSKGGDVNGASQ >KQK91833 pep chromosome:Setaria_italica_v2.0:IX:52124177:52125486:1 gene:SETIT_039619mg transcript:KQK91833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVVTNRGKVFFVDGPGGTGKTYLYRALLAKVRFMDLIAITTVTSGIAASIMPGGRTAHSRFKIPIKLGDNSVCNFTTSLIIWDEVAMTRRQAVETLDRSLQDIMGCTEPFGETYTNDYVQLPVDIVMEYNSDKSIDTLIEHVFPDLKGNCNSTQYMHERAILSTRNEHVDGLNARMIDMFPGKEKLMVRGFEENAIDGRLLMASMLGIEFFYQGYLCPLQKITLSFKFKRKHLPIRLSFAMTINKAKGVSRKTTWVLAKPNKDVDPNGKRTKNIVYRDVLEA >KQK88727 pep chromosome:Setaria_italica_v2.0:IX:16645023:16647137:1 gene:SETIT_034478mg transcript:KQK88727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRGVEPGVNSWNCIISGCVQNALYDEALRIFQEMCESKRPDSVTVASILPACAGLQALRIGKQLHSYVLRYGIKLNVYIGASLISMYSECGEFNYARVVFSTIEEEKNATVWNELIQLYIREGRMDKAWEAFNLMKEDGLEPDIVTYNSFIAEYARAGQKEQAYELFSGMVDMGLKPNVVSMNALICGLYQHGLYTDALEAFRYMQCSDDEKAKAWRFLDNSIPIQPTGTTITGVLSLLTDLKLDRLGKEVHCYALKNGLTSNIFVSSKLVDLYGKTGDMTSAANVFQKIGNKNVVTWNSLLAAYKHNRKPEVALKLFGEMLGSNCHPNLVTVQIALLSCGMTMALGYGRELHSYITKCWPGGYPVILACALMDMYGKCGNIGDARLVFECTVPKEITMWNTMMSCYLLHMMPRGVIDLFDCLEQSNIQPDPITFILLLSACKQEGLLEEAQNYFYNMEDVYGIKPTLKHYTCMVDIMGSAGLLVESLTLIEKMPFEPDACLWSTVLKACKLHSNLEVGEKAAKALFELEPNNTSNYMVLSNIYADTGLWDSTEAVRDAMTEQGLDVERQCSWLYHGTAVHSFEAGDLSHPAIDAILSTWKDLTTRMEQSGYSPRDIEPYCNIEADPFSCHHTEKIAVCYGLISTNDNEPIRISKNFRMCLECHSSIKFISRDKNRQILVSDGCAYHHFKDGTCSCGDAW >KQK92925 pep chromosome:Setaria_italica_v2.0:IX:57900821:57901453:-1 gene:SETIT_039264mg transcript:KQK92925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPWSGEMEREQDAGTPLPGRRVRADTRHPVYRGIRYRGGKWVSEIREPRKSNRIWLGTYPTPEMAAAAYDAAALALRGAEAALNFPGAAASRAAPVSCSPDDIRAAASAAAATMMVGYPGECAAGHSHLPQAAAIASTSSGSENAGAASASEQREKRIVDEDDVFQMPRLLEGMAEGLMMSPPRLSPTAEGAGSLEEDGNDDGMSLWDHS >KQK91870 pep chromosome:Setaria_italica_v2.0:IX:52426057:52433907:-1 gene:SETIT_033935mg transcript:KQK91870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPAIRKPHLLHRRDREEASPSPPPAAPTHSPSPRGFAIPDRPATGTPAPWTSSSLLARISASKRTEKAGDSDQIQPVHVAEFPQVVRNAQAGLLQKNFSGKNMFAGGIDKETSLAWMICGNELFIWNYSASVAKDCLVLDVPSSLIGYKDVKPLPGIQWTVCIMRWHSSGASTRNSGEILYRKSSTGVILCNKRTQAVAYWPDIYAEFNRSLVLSSPFDELSASDGASDRFRFNSIIAAAVPGGTHECIAIASEPTGALWLFKCSPEGIRQEKVLRDTLGDGGADHSQKSNGGRSLAWLPSNVSSEGSDRKFFLLTNNEVQCWSVSLLHDINIKKLGSQEIVGTDGDASIKKDIAGQKNIWLLDMQIDEHGKEFSILVATFCKDRVSGSNYTQYSLLTMLYKPNQKFSSEDNVVKVERFLEKKAPSQVIIPKARVEDEEFLFSMRLRTGGKPSGSVIILSGDGTATVAIYWRGSTRLYQFDLPWDSGKVLDASVIPSPEDGDEGAWVVLTEKAGVWAIPEKAVLVGGVEPPERSLSRKGSCNEAVAEEKRRTQVFSASVAPRRVSSEAWSAGERQRPPLTGIAQQAVVDEESEMLLSRLFHDFIISGAVNEALQKLRDAGAFDKEGEMNIFVRMSKSIVNTLAKHWTTTREAEFLASTIVSSLVEKQQKHEKFLQFLVLSKCHEELTSKQRAAMLTIMEHGEKLSGMIQLRELHNALIQQRSSTHLSPQLKTQATGALWNLIQLVGEKARRNTVLLMDRDNAEVFYSRVSDIEDLFYCLSHQLQYIISREEHPSVQMQRALELANACSTLVHAALHYRKEHKEWYPSPEGLITWNSHLVVRSGIWSLASFVMELLGEFGAADMTMKSNLWSQLEGLTDILLEAYIGLLTAKFERGDEHGVLVQEYCERRDELLRSLYNLAKQIVEAKYQESRDGKDNLDLKESIFREVISPILATAKRHEGYQTLWQICSDLNDSVLLRSLMHDSVGPHGGFSFFVFKELVNSRQYSKLLRLGEEFQEELASFLKDRNDLLWLHEICLNQFSSASETLHTYALRGSPDEDASVTTSRKPLSFAERRRLLYLSKIAATAGKDIDYEAKVARIEADMWILKLQEEIVQHDPEYAQVKYTTTLLDPSELIEMCLKRDQELSLKAFEVFASTSSSFRSSNRGLLEACWTNATNQDDWLKLSQASTSEGWSDEVIQESLQGTVLFKASRLCYSPDSVVYDGTFEDVLPVKKEDVHLRGLESKCLSVEEVLMQHKDFPDAGKLMMTAVIMGKEVSYTAAEPVEMES >KQK88996 pep chromosome:Setaria_italica_v2.0:IX:19319407:19319745:1 gene:SETIT_040300mg transcript:KQK88996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKPPLLAFVFCIESRSGTVDTFMVDFQAFLDLMCLMVV >KQK88282 pep chromosome:Setaria_italica_v2.0:IX:12869804:12873076:1 gene:SETIT_039630mg transcript:KQK88282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSYLAIGGWFIQVIFDKYLSYKLQRWAVNCGIDHELDRLRVALLRTQSVLHGTELAPTLSHSSLPWMRELRDCMYHAEDLLDKLEYNRLHHEMEESSANGSSSSPISTFMHSRFRNQGAPASSPEPCWDRSTKVKNEMVNLLERIEQVASGVSEALSLPRSIRSNNCNTMTSSIIHGKIIGRDFKSQQLVTALTSSQDENPVSVVSIVGVGGIGKTALAQHVYNDTRITENFNVRMWISVTYLFDELRITKEMLESASSSRFRHDGTTNFNRLQVALTARLASKRFLLVLDDVWNNDNITIAIEQENWQKLLAPLKGGAKGSKILLTTRSRMVAEMLQSSYIICLEALLVNDCWSLIKSCMFDETSHNINSQLENIGRKIAETLSGLPLAAKVVARHLKCKHSVDEWKQVLQRNAVWEEIMPILRTSYDNLPPHLKQCFAYCSIFPRGWEFEAEQLILQWIAQGCRRMEDIGKEYINDLCNKSFFAIQKKEFVSYYVMPPVIYELAKAVATEECFRIGDDEWTRIPSSVRHLSVHLDSLSALDDTIPYTNLRTLIFLTSNTVAPIKASIPQVVLDNVKSLRVLDLSPCMMDRLPDSIRQCVHLRYLNISSTTITTLPEFLCKLYHLQVLNLSGCRLGKLPSRMNNLVNLRHLTAANQIVSSITDIGRLKCLQRLPIFKVTREQTQSIVQLGYLLELQGSLQIRNLENINTPDDAKEAMLCKKGQLSVLQLMWAPDRDEVNTNREEDVLEALQPHENLKRLDIMGWMGVKSPSWLENEWLGNLEHIFLSGCNAWEQLPPLGQLTSVRTIWLQRLKMLRQIGPEAYGSSSQQEPFQSLEELVLDDMPELNEWLWSGHTMMNLHNVVIKDCKKLKALPPVPPNLTEITTAKKGYWMPYHHDVKLAHATTARSSVSSLCIFNCPLLLASLSSPTTSEITASFGLLRSIITDQMTILRSPLLRERLELIESLDIQDCSEITSFTAHDNDVLLQLKSLQSLCISGCNTLQSLPSTLSRIQSLYKLVLWNCPALESLPEEPLPLSIRKIEVALCHPHLKERLIKEYGVDWPKIAHIPWIEIDGEILQQKLQIGT >KQK91657 pep chromosome:Setaria_italica_v2.0:IX:51067275:51067601:-1 gene:SETIT_040829mg transcript:KQK91657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYCCLNSVIEMHPFSPFTLSCRHDRSINCTYSIGRTKR >KQK92771 pep chromosome:Setaria_italica_v2.0:IX:57181680:57185065:-1 gene:SETIT_037441mg transcript:KQK92771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEATQISAFTTAAAHALCFAGLAVAHSLAGRGILVSDPALALRLLVVCEAPVVIAVFSYLRRDPRSCSFFKAAARGLIGLPVGAFLNAFGAIVLGAPVGIKYWTVTIYWSLLMSLFTFVPAACVFGTSKIDWQNVLSHSIYFTPTDIENYMISAPCHGAVLGAWLGAWPMPLDWERPWQEWPICVTYGAVAGHLVGMVVSWVLIAVHKRSGRAKAD >KQK89789 pep chromosome:Setaria_italica_v2.0:IX:35980480:35980919:-1 gene:SETIT_040434mg transcript:KQK89789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGGAGAVFATVLQIGPFLVVAALLPPLPLLSRLLDLSAMAYSVLLMNHYCLVDWWSKQSKQFRKS >KQK89967 pep chromosome:Setaria_italica_v2.0:IX:37921462:37922585:1 gene:SETIT_038773mg transcript:KQK89967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWRCTGVVVLIILLLSVASHGRELPIKKSDQIFVYHHTLAKTIVEYASVVYMSDLTALYTWTCSRCNDLTQGFEMRSLIVGVGNCLQVPFSPQFEFSYHEYL >KQK92538 pep chromosome:Setaria_italica_v2.0:IX:56001744:56003013:-1 gene:SETIT_039188mg transcript:KQK92538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARLVTLLLLAAAASAPLASPQLDSAFYKTTCPDAEKVIFDVVQNRFKQDPGTAAGLLRLVFHDCFANGCDASILIDPLSNQASEKEAGPNISVRGYDVIEEIKTELEKKCPGVVSCADIVAASARDAVKLTGGPAYEVPMGRRDSLTSNREDADALPGPDIAVPKLIDEFSKQGFSLEEMIAMLAGGHSIGICRCFFIETDAAPIDPEYRKNISAACDGKDSGTVPMDSVSPNVFDGTYFALALAKKMPLTIDRLVGMDPKTEPVLKAMEAKPADFVPLFAKSMEKLSVLKVLTGKDGEIRKTCSEFNNPQASSDTSVIRISSLNPDQMGLSQPGAAKPAVAEARKVAPGGEEEATKRIRNAVKLTKTFVNEAAAKVEGGVVVNKAAQIQTPKPNV >KQK91178 pep chromosome:Setaria_italica_v2.0:IX:48308927:48309521:1 gene:SETIT_038524mg transcript:KQK91178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLVLYTCRTYTLYSSISSRLAYIYESSPLPPHFVYNKLAF >KQK87472 pep chromosome:Setaria_italica_v2.0:IX:7474036:7477378:1 gene:SETIT_035476mg transcript:KQK87472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDWERHRRRSSSTRHKCEKEQKEETEEKFEQLVPKLGGNDREKHKAEARKRADVTPTKYGEIQLQKQQQEIVHCSNNEGTSGNEKTMGVSGLGEGIPMSERSEDIFANDIFGESPIGAQELGKKRSFLIKENAHCDNWDDPGGYYTYHCGEVLHGRYEIMAGHGMGVFSNVVRAKDLKAGKGDSSEVAIKIIRNIPVMYKAGKQEISILEKLASADPKDRRHCVRFISSFMYRNHLCLIFESLHMNLRELLKKFGSDVGLKLTAVRTYSKQLFIALKHLKSCNVLHCDIKPDNVLVNEAKTKLKLCDFGSAMPTGTNEITPYLVSRFYRAPEIILGLPYNHPLDMWSVGCSLFELYTGKLLFPGKTNNDMLRLHMESKGALPKKMLRKGTFAKEHFDQDFKYFHEKDPVTKMTVKRHPVMNIKRQDVSSVVSSFPGEDPKMLFNFNDLLKRILVLDPEKRLKVEQALSHPFVSGK >KQK86845 pep chromosome:Setaria_italica_v2.0:IX:4100726:4101925:-1 gene:SETIT_038850mg transcript:KQK86845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITLDLLLDIVARSHDAATIVRCAAASRHLRPTPSCADNDDVIETTRHLRLDSSLLGSHSSFKSARFELLVLWRWLIPTGLSWHEATEIDRSDPRVCNTFTGHVTSLPPSGLSMGRDVEPQGIYRCAFLTIDVAGASFVLLVMDVKLQTRTFWSEDGQWGPLRRFASPCNILTEEQEIMGLTCRSHAAVVGETVHWLCIATELRLIPSRHSRIHPICENRLVILALQPDAVDAKVIELPQSFLESSGRRIPTSSSSPPDWLMLAATAAGTRLSLVCAEADVISTWTLDDDGSHWSRQPADPDAYAWFEFDGYGEMSDIVLIRMAGVRLVQLNLGTEEATVLCSQPDPERVVWWACLHETDLVSVLQGMEVF >KQK92438 pep chromosome:Setaria_italica_v2.0:IX:55478112:55478816:-1 gene:SETIT_040542mg transcript:KQK92438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANWLYSGTASYWHLNLIHNFRRYQNVIYVVPKKTSSALDSHSHPSPGRTSHPHRCIPGSERETTAPGKKLGVLDIHAWGIRLHI >KQK91654 pep chromosome:Setaria_italica_v2.0:IX:51058667:51059858:1 gene:SETIT_039996mg transcript:KQK91654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKNKLSISIACKLRPAKPPSRPAAADDGPKPAPTAQFVTEFDPSPTLPPAAAPVVVPPLPNSVNFGPRKPSSLTTSQEEAALAAQSPACCGGPAFVLDNPCASTRVDPRQCVGKSHRDRKEDTKAADRHRAGKHGFGYNPSELDPRKSRSGEWTFAANNKMTGNGTARKRGRDASDTTEEKDHNAGRRHNKISGEKRSRTTTKACEEERDGGQGNTALWLQSHIRVRVVSEKLGKRLYLMKGKVVDVVAPTACDVVMDDDLELVQGVEQGMLETVLPRTNGRVLVLYGKHKGVRGRLVEKDSEEEVGLVEDADSKAVVRVRFDQMAEYTVPLTCSCLATE >KQK92540 pep chromosome:Setaria_italica_v2.0:IX:56010908:56012673:1 gene:SETIT_036295mg transcript:KQK92540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGKGGDDRAEEAAAAEPVVLKMELHCAGCAQKVKKAIKRVPGVESIKADAAANRVVVTGTADAAALKARLEAKTKKAVEIISAGGGPKKTAPAAEPKDAGAGEKKDAGAGEKKADKDASPKEEKEKKQPPEEKKPKEETVLLKIRLHCDGCADRIRRRIYKIKGVKDVVLDGNAKDEVKVRGTMDIPAMLSYLKDKLNRDVEAVAPAKKDGGGEGKDDKKDKAGEGEKNKGAAAAPVGDDTKSKGKGIEVAAAGPSTAAAAAFMAAPAGPSTYHVAPPHGYVAYQQGPPPPASYYPPYPYYGNADAMGHANPSAATYYPHPGYQHQPDGSQQQAYPPYPYRFDMAPAPQLFSDENPNACSVM >KQK89081 pep chromosome:Setaria_italica_v2.0:IX:20413248:20414851:1 gene:SETIT_039026mg transcript:KQK89081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSRLLVAVALLHAAAAAATASNVHGDHRNSKPRYDRVFSFGDSLTDTGNALHLSPSGGGPASRPPYGETFFRRPTGRASDGRLVVDFIVEALGLPHPTPYLAGKAAADFRRGVNFAVGGATALDVHFFESRGLAPFVPVSLNNQTSWLKNVLQLFGSAKEQRKITSTSLFLVGEIGVNDYFIAALGRNRTVGEVKAFVPRIVAAIRSVVTDVIATGASTVVVPGMIPLGCEPQLLAQYKGTVGAGGYDPESGCLTRLNGLAELHNRELRRVLAGLRRAHPGTAIVYADLYRTVTDLVVSPAKYGFRSRPLVACCGGGGGAYNFDDTAFCGAVGTAACADPSEYVSWDGVHFTEAANRRIACAVLEGSHGADAPTLSNSWATMEAWRRRIGCV >KQK91704 pep chromosome:Setaria_italica_v2.0:IX:51355624:51356835:1 gene:SETIT_037169mg transcript:KQK91704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQARQRLLGVCAIVMAMGFANAVQGETELPVVVGLAKCSDCARKNMNAEAAFKGLQVAVKCRNSNGEYESTAVGPVDKSGAFSVPLAADLVGDDGELKRECFAQLHSASSEPCPGQEPSKIVAAPAVQGGGDKTFVALGGEVHRSSSECASAILCYPFLHKHHHVGIHTPVVVPHVPDHGHSLPPVTKPPVVVPEHKPPVPVPEHSAPPSTPVYTPPSTPVPVPEHKPPSTRTPIYHPPAQRKTVADP >KQK91529 pep chromosome:Setaria_italica_v2.0:IX:50408036:50410840:1 gene:SETIT_034821mg transcript:KQK91529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEVEGGGGRQLGRVLSFGIPDTALGLVMGYVEDPWDRDAISLVCRHWCRVDALSRKHVTVAMAYSTTPERLFRRFPCLESLKLKAKPRAAMFNLISDDWGGSASPWIRQLSATFHFLKKLHLRRMIVSDDDISILVRAKAHMLVSLKLDRCSGFSTPSIALVARSCKKLETLFLEESTIAENENDEWIRELATNNSVLETLNFFLTDLRASPEYLTLLVRNCQMLKTLKISECLMPDLTGLFRTAQTLQEFAGGAFEEPGQQVANRNYENYYFPPSLHRLGLHYMGANEMQILFPYSAALKKLDLQFTLLNTEDHCQIVQRCPNLEVLEARDVIGDRGLQVVAQTCKKLQRLRIERGDDDHGGLEDEQGVISQVGVMAVAQGCPELTYWAIHVSDITNAALEAVGTFSRNLNDFRLVLLDREAHITEFPLDNGVRALLRGCTKLRRFAFYVRPGVLTDVGLGYVGEFSKSIRYMLLGNVGESDNGIMQLSRGCPSLQKLELRGCVFSEHALAMAALQLKSLRYLWVQGYKASPTGADLMAMVRPFWNIEFIAPDQDGPCPDIKKQILAYYSLAGRRTDCPPSNPKTKH >KQK87892 pep chromosome:Setaria_italica_v2.0:IX:9996354:9998890:-1 gene:SETIT_037926mg transcript:KQK87892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAAASTIPMLRLATQLRPRLPSARYHWLRPLSSATHVTPPAAAGAGSLEPPDLPRLAKAARISLSPQEAEEFEPKIRQVVDWFGQLQAVDLESIEPSLRAGTAAGSSLREDKPETFVNTDAIVEAIPSYDDPYIKVPRVLNKE >KQK93112 pep chromosome:Setaria_italica_v2.0:IX:58831707:58832665:1 gene:SETIT_037014mg transcript:KQK93112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNHMGVAAINLVAALLSIPVIAAGIWLSTQADNACVQILQWPVVALGVAVLAVGLAGFVGAFWRLPWLLLAYLVAMLALVLALAGLAVFVFAVTAGSSGRPVPGRAFLEYDLDDYSGWLRRRLDAPGRWDRIKACLAATPTCSDLNQTSSYDTPQGFFTAAWLSPLQSGCCKPPTRCGYTFVTPTYWISPISAAADPDCAAWSNEQAKFCYSCASCKAGLLQNLRREWRRADIILAVDAAALLAVYAMGCYAFRTAKTDELFRRYRQGYT >KQK88656 pep chromosome:Setaria_italica_v2.0:IX:15825087:15826929:-1 gene:SETIT_038227mg transcript:KQK88656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSMLGDLDGLPEEDKMRMAAMIDQLQIRDSLRMYNSLVERCFTDCVDTFRRKTLDKQEESCVRRCAEKFLKHSMRVGMRFAELNQGVATPD >KQK88255 pep chromosome:Setaria_italica_v2.0:IX:12646750:12651502:1 gene:SETIT_034303mg transcript:KQK88255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAADRGSSREDIVIVDLESEVDAPPAMQRQDSLYVAATRAAGANNHGQDSWARTVRLALQCVGILYGDIGTSPLFVYSSTFRDGVGHPDDLLGALSLIIYSFLLFTVVKYVYIALRANDDGDGGTFALYTLISRHAKVSLIPNQQAEDELVSKYNRAKPPATLRRAQWMKELLETNKAVKISLFLLTMLATAMVISDAVLTPAISVLSAVGGLKEKAPYLTTDEIVWITVGILVVLFAIQRFGTDKVGYLFAPVILLWLLLIGGVGVYNLIKYDTGVLRAFNLKYIIDYFRRNKKKGWVSLGGILLCFTGTEALFSDLGYFSIRSIQLSFGFGLVPSVLLAYIGQAAYLRMHLEDVANSFYRSTPISLFWPTFILAIAASIIGSQAMISCAFATISHSQTLGCFPRVKILHTSRQYSGQLYIPEVNYLLCLGACLVTIGFKTTVIIGEAHGICVVLVMIITTLLLTIVMLLIWKISIWWIVLFFIVFMSSELIYLSAILYRFVHGAYVPVAMSAVLMVVMIVWHYVHVKKYNFELEHSVPRDKVKELLGRRDVQRAPGIGLFYTELVQGIPPVFPHLIEKIPSIHSVLIFVSMKHLPIPSVDMSERFLFRQVDREDYKVFQCVARYGYRDPFEEAKDFVGKLVEHLQYYIRDVNLYGVGSEPMMIQSSSYRSSHAESFGSHEKSSVKAVYAEEMLTPAESFSEHIRQASGKSKLFTQFQGEKMNIVEMMKIQQEQQAILEEMNKGVVYIFGESEVVARPHSSLLKKIVVNYLYSFLRKNSRNGEKMMSIPRRQVLKVGISYEI >KQK90192 pep chromosome:Setaria_italica_v2.0:IX:40519281:40520651:-1 gene:SETIT_040220mg transcript:KQK90192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIGWPAARVHERGARAKGGFTPRRKAPEGGGSRARVGRSGCQRGWQARPACTRRACGCTVGKKKKGEQGVRLALGPAWQGERKIGRAGASERLAGVAWLGRPAGPGGEGLGEEGWVVCRAFKKRTAHPPRSVAGAWDPSYSYYHHDPILAGAARFKQESPELDGAASAASSLLQYSSRLAVAELPQLESPPLPNQGSHRAPADGGEGDYSAAATTDWRALDRFVASQLTPDEEHATEQQEYCGKPLGTHAGDSGEDATDMVALLLLDGAVRHEEAGLLGSVADPAVCLHKNAARCGGHQEP >KQK91478 pep chromosome:Setaria_italica_v2.0:IX:50082064:50082709:-1 gene:SETIT_040687mg transcript:KQK91478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRQAQLLPCFFIRSAWLSLYTVIMCGALQAAEHDGIFAWTHQPAATRQPNNLTSYHTLQLPNPDATAAT >KQK92447 pep chromosome:Setaria_italica_v2.0:IX:55560216:55562180:-1 gene:SETIT_039898mg transcript:KQK92447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTGAPLAPFLVATLKRAARLRCGEQLHALAAKSGLLASNAFVRNSVLAFYARLPPSLASAHQLFDETPPPLRDAVARNTLLAALARAGHLDRVQHLLEEMPRSHRDAVSYTTVVTALARAGHAGRAVAVFRCMLAEDIIPNEVTLAGVVMAFARHGALATVGVAHGVALRWGLDGFVIVATNLIHAYAGVSELRCAHAVFDEMPDRNTVTWNALLNGYVKAGMMEMAADVFWRIPERDEVSWHTMVDGYIRADLILDALKAYAHMVGEVDANGNETLLVDLVKACAQYSAITEGQQLHSVILKNGFDAHAFVQATLINFYGCCGLIGLAQMQFRLSDKSHLASWNALLAGILRRGLMHEARQLFDDMPERDVISWSTLIYGYVLNGCSDMALQLFFSMLNAGFEPNEITLVSAVSAVAGSGTLDQGRWIHDYIINRSIRVTDNLSAGLIDMYAKCGSITDALQLFNHASDKFSSISPWNAMICSLAVHGYVHMSLDLFSHLQRTDIKPNSITFIGVLNACCHTGMVTEGKQHFESMRQFGVQPTIKHYGCMVDLLGRAGYLEEAEQLVAKMPMKADNVIWGSILSAARAHGNVGLGEKAAEELAKLDQAHGASKVALSNIYAYAGRWANVSVVRKELKDENLERQSGSSGIV >KQK86460 pep chromosome:Setaria_italica_v2.0:IX:2008081:2009010:-1 gene:SETIT_037797mg transcript:KQK86460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCFGCGGYQVRRWRADDKAETDHLLALAAGAGEGRLSIFRCDLFDGAALLDAARGCPGVFHLASPCIIDAVSDPQVPLPRPRCPLLRPKLTSSVWLWPQKQLMVPAVEGTLNVLRAAKEAGGVRRVVVTSSISAIVPSPGWPAGEVRDGRCWTDVDYCEENGVPQG >KQK87185 pep chromosome:Setaria_italica_v2.0:IX:6028880:6029329:-1 gene:SETIT_040813mg transcript:KQK87185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWTGSALGRGPKMMPRGPRADVEDGNEALGQEMGVAAAVVVVVVVVVRTLDVHGHDHGVEGGREARGRPARRTQADDSRWRRLLGAIDL >KQK86443 pep chromosome:Setaria_italica_v2.0:IX:1893124:1896102:-1 gene:SETIT_037473mg transcript:KQK86443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDRTIKIKPEGETETTDAQKSACCGS >KQK90114 pep chromosome:Setaria_italica_v2.0:IX:39833395:39834771:1 gene:SETIT_037081mg transcript:KQK90114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWRSHRQLVGHWGALPLPCALPALVRDTAQHGAGTRFPEGRGADHGAGSARRRSVQLQSRGLLAGADAAGVLVRARRVRAAARGARAGAQRARGRGAAPGPGGPRVRRRRRVAVHGVRRRVGPESERSRRGRRGLGPDRGTPAWRRARGGRRPRRGQRGHRAAEGEPRRECGAIDGRGGGFALTDGVDIAADGTIYFTDASYKYNLANHMADVLETRPHGRLMSFDPSTGRTVVLVRDLYFVNGVAVAPDQSSLIYCETVM >KQK87851 pep chromosome:Setaria_italica_v2.0:IX:9773689:9773948:1 gene:SETIT_040466mg transcript:KQK87851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVNLVGQHIHDIIWHDNIQDHKCFVLIKVSLGANMALLSP >KQK88312 pep chromosome:Setaria_italica_v2.0:IX:13072758:13073486:1 gene:SETIT_037492mg transcript:KQK88312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMARILFLVSLAAALAMAAAGPAAAAAAAAAARGHGRLIHLHFFMHDITGGPGQTAVQIVKGAGPAHPAMPPGSHFGDTTVMDDALTEGAAASSRLVGRARGTYTLASLREPVLSVAVNLALTGGAYNGSTVAVAGLDDISVGVRELAVVGGTGAFRRATGHVLWRTARMESRDHMVVELDVYATVPAARSPPPPRADDVRPAALNLD >KQK91649 pep chromosome:Setaria_italica_v2.0:IX:51031301:51034892:-1 gene:SETIT_035020mg transcript:KQK91649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVAIAHAALCPRPKAHRATFGCPAARARHVPRGLSGGGGAKWCAAAAAGKGARDVPPGFADARPVVAAEGTRAAGPDAVAAAAPPRGARRGSVAGAVALIVGTSIGSGILAVPQRTAPAGFIPSAACMVTCWAFLVAEALLIAEINVHLRRRRGKDVSLYGGGGDGNQDLEVISVKSMAQETLGAWGGHVATVAYLFLSYTSMVAYASKSGEVLSRLVAGVPEPVAGAAFTAALGLLVAGGGTGVTDRVNQLLTFVMIGLLLTIEVSAVAIGGGLSLPANANWEQVPAALPVIIFTLVFHDIAPVICAYLEGDLARIRLSILVGSLVPLVSLLVWDDIALGLATDLGGFDVQDMLKAEWSYTVVETFSLLAVGTSLIGTLLGASQFFIEQMTDLVSSSTKEHVNIKEMINKGIDAFRKKDGSGHPGVGVVAILEKNRLSYIATGIVVVPTIVIAATVPNSFSIATDIAGGYCMTILYGVLPPLMAWAIGSKLSGKSAGLADGELLEDNRERVDLTSAKPVLVGMGVFSVLMVLEQMSQDFFSFKAALVP >KQK87680 pep chromosome:Setaria_italica_v2.0:IX:8658916:8661665:1 gene:SETIT_037721mg transcript:KQK87680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANGGASRRSRPNVLVTGTPGTGKTTTCSLLSEAAGLRHVNIGDLVREKSLHDGWDDDLECHVINEDLVCDELEDMMEEGGILVDYHGCDFFPERWFDLVVVLQTDNSILHDRLTSRGYTGSKLSNNIECEIFQVLLEEARESYKEDIVMPLRSDNVEDISRNVGTLTDWVNNWRPS >KQK90933 pep chromosome:Setaria_italica_v2.0:IX:46724257:46725285:-1 gene:SETIT_039693mg transcript:KQK90933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKNLVAALLRAVALALLLTSSFSAAQPIKTTPTLWSFHLPLPNGVTGAESLAFDRRGQGPYAGVSDGRVLKWGGSTLGWTTFAHSPNYRKIPLCTASVVPSEETESICGRPLGLQFFAKTGDLYIADAYHGLMKVGPDGGEAEVLATQADGVPFHFVNGLDVDQATGDVYFTDSSITYPRRFNTEIMMNADATGRLLKYDARAKQVTVLRAGLPYPNGVAVSGDRTHVVVAHTVPCQAFRYWLKGSKAGQYELMADLPGYPDNVRRDAKGGYWVALNQEKARFDAAAPVKHLVGVRLGSDGVEVEELTAAKGVTLSDVAEKDGQLWLGSVELDYVGLVY >KQK89132 pep chromosome:Setaria_italica_v2.0:IX:21205748:21206896:-1 gene:SETIT_039019mg transcript:KQK89132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRETGLKPEGLYAKETLIKYWRLNRTKYPQPLTEAERREKRRKLDEGRELEKQRTMFIVENYVYSENYVYLLKIIRSETSGIMVRMEPLPLSINEDHLNSLIQLNRMVITHDLMVRTHHLSPAGGELTRKMKKKK >KQK91122 pep chromosome:Setaria_italica_v2.0:IX:47939071:47939969:-1 gene:SETIT_038118mg transcript:KQK91122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCALSSPSCLVRQPLCGNKLGKKLTPCLPSPRAVRVRVSAAKLPPGVEVPRVQPKLSEPFLGFTQTAEIWNSRACMIGLIGTFIVELVLNKGILQIIGVEVGKGLDLPL >KQK86731 pep chromosome:Setaria_italica_v2.0:IX:3482727:3483209:-1 gene:SETIT_040677mg transcript:KQK86731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKLIDTVQSIEKQLGISKISVSTITRKLNQTK >KQK91715 pep chromosome:Setaria_italica_v2.0:IX:51437021:51440117:-1 gene:SETIT_039548mg transcript:KQK91715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTSSDQHIKDGTNNISRKASRGFNLIECHSLPISQLVKSLGNSINGSENDLGCQKDVYFFPIFLFPDIIGNHIVFSPLVIILVHDSRYRREDSLELNDMEASNRYNIDTTGLVCCWPSEEVLAYYCINHSDMFRAKKVLELGSGYGLAGLVIAACTDADEVVISDGNPQKNISINAETFGETKVKSMILHWDKEQASEILNTFDIIVASDCTFFKQFHESLARTVKSLLKHSATSQAIFLSPQRGDSLDKFLRIIKENGLNYELIENYDPTVWDLHKKYVAGDDRAWPNYDKEHCYPLLVRISSFCE >KQK90925 pep chromosome:Setaria_italica_v2.0:IX:46667443:46672611:1 gene:SETIT_036511mg transcript:KQK90925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTFLSMRIPTPSPAHAASSPSLPLPLLRQARGGAASSALVARAAPGAPSPLFNPRGDPFLSTLAAASPEDLVAAAGGERRGEDHLPFLEIFQNAKLMASPAQVERSSSSYSQHRPRRPPPDLPSLLLHGRIVYIGMPLVPAVTELVVAQLMYLEWMNSKEPVYIYINSTGTARDDGEPVGMESEGFAIYDAMMRMKAEIHTLCIGAAAGHACLVLAAGKKGKRYMFPHAKAMIQQPRIPSYGMMQASDVVIRAKEVVHNRNTLVKLLARHTGNPPEKIDKVMRGPFYMDSLKAKEFGVIDKILWRGQEKYMADTLSPDEWDKVAGVRRPDLM >KQK88147 pep chromosome:Setaria_italica_v2.0:IX:11945431:11949644:-1 gene:SETIT_040149mg transcript:KQK88147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDDVLSNLRVVGVPTKSAIYIWGYNHSGQTARKGKECHLRIPKSLPPKLFKLGNGESLRWTDIACGREHTAAVASDGSLFTWGANDFGQLGDGTEESAKEPKKVKSLETELVKSVSCGAHCTAAVAEPRENDGTVSKSRLWVWGQNQGSDYPRLFWGAFTPDTVIKQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGCTSQGLQGARVLTAYARFLDDAPEQVKIVRVSCGEYHTAAISENGEVYTWGLGSMGQLGHCSLQSGDKELIPRRIVALDRIIIRDVSCGGVHSCAVTEGGALYAWGGGHVGQLGVGPQSGLFSCSLNGSDMLLRNIPVLVIPSGVRLATCGHSHTLVSMKDGRIYGWGYNSYGQAANAKSTYAWFPSPVDWCVGEVRRLAAGGGHSAVLTDACSLKELCEFKLAETVNLSNAELIEDVASRTGADALARLCEKLREHVHDQVECELLEKQVPGEVKAKAG >KQK89730 pep chromosome:Setaria_italica_v2.0:IX:35437994:35439603:1 gene:SETIT_035953mg transcript:KQK89730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTGVTSASTGTATGGGAGWPCGGGLNLGVRLNVLLLLSVVATNLVSLYHLSLRAATVPPLLLQQQHQQGGDQEELALIRQLDAIHAGVSQLNHLRSSSPPPPPPPPELLLYSRLAPVASACSAHPDLLHRYMSYTPFAPCPDDALLLAEPLLLRGCHPLPRRRCFSPTAAASASKLLPTDPFSPLPDAAVRWPKEGKCRSFSCLPPSLGFDVARTEAARFLRARGPLDLTAPQLLRLASLSRAGPIRLGLDVGGGTGTLAARLKKLANATVVTTTMNLGAPYSEAAAARGVVPLHAPLQQRFPVGDGTMDVVRAGHAVNRWIPEAALEFLWYDADRALRPGGLLWVDHFWCRRNDLEGVYAAMLRRLGYKTIKWAVADKSVAGGGNSGKDEVYLTALLQKPFS >KQK92905 pep chromosome:Setaria_italica_v2.0:IX:57784855:57786549:-1 gene:SETIT_035860mg transcript:KQK92905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPRLVRAPLRHASHRAAFSSRSLAPRGSDADANSDAAASDAIVRLVAAGGSSLEADFDRLDPALSHALVARTLRALTDSGVPAERFFAWASLRRGFSPSAHAHNLLIENAGKLADYRAMSRALALMSQRRLPLTDRAFAFLAPSGSSRSSCVEDAARAVLRVLDDVGGPCRASGVFSLVKALASTGEFDAAVSVIEETRRMARYFNVVVAAKCKAGNFVGAREVFDEMRKSGSAPNANTWNCLLGCLLKNGRLAEACGLVESMERSKPGEVPDSLTYEILTYHACKAGKMDSAMQILDQMFSANLTPRITIHSAFIKGYFYAGRIEDAQKYVDDMSTRDRHSVNRNYSLLAKLLRKSGRTIDAGRVLYELMEKGLRPDHSAYVKVAKDLYKMGRGDLASELKLMFQRFSVQADTGQ >KQK86652 pep chromosome:Setaria_italica_v2.0:IX:3137713:3138556:1 gene:SETIT_039454mg transcript:KQK86652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLVYDHAGASLSMIRCLPDPPFVPISTMKPVVVPDRHGSSDFELFLMARRGSSPSAAAHDDVLCVSTPATRANPASDGAWQVQGQVKLEEPFNTDVAFSLQGKGFWANLLQGLMYCDDLHAAGDVVDFSFIELPPGCRRRDLEQQMGADEYNEMVMDVQMRLTRTMACVGDSVCFVCIDHAKQYADDQWKKEWEVSARELWGFDGFKEAGLPEAPPEYRLLTADGDLCVMLADQSKFPRPYHQVTVVDDLCVLDVRGKRLLWHGLVHEYPCT >KQK89058 pep chromosome:Setaria_italica_v2.0:IX:20090396:20094233:1 gene:SETIT_035423mg transcript:KQK89058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRALTVDSLNPKVLALADHLGGDAIARRAQCIQKEIETKPGSHPFDEITYCNLSNPQSMGQQPNKFFREVLALCDYPRLLEQSETNSLFSSDAIARSRKILDLFPWRATGGYSHCQGTEGLRDVIAAGITCRDGFPCNAEDIFLTDGAAPPVHMMMHILIRDEKDGILCPIPSHYLYTSSMVLQGATLVPYYLDESRGWGVRMSDLKKQLDGARSKGVNVRGLVVINPGNPTGHVLVEENQREIVEFCRKEDLVLLADEVYQENIYTADKKFKSFKKIARSMGFSEGDISLVSFHSVSNGYYGECGRRGGYMEVTGFNSEVKKQVYKVASLSSCSNISGQILMSLVMNPPMVEDESYTSYQAERNGILSTFSRCAESMACALNCLEGVTCCKAEGAMFVFPSVHLPKRAIAAAEERNTEPDVFYALRLLENTGIVVAPGSVFGQVHGTWHFRCTILPKEEKIPLFISRFTAFHEGFMEEFRN >KQK89309 pep chromosome:Setaria_italica_v2.0:IX:23692322:23694077:1 gene:SETIT_035516mg transcript:KQK89309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAMLFIKDDLKTNDTQVQVLAGILNICAVVGSLTAGRVSDWAGRRRTISFAACIFFVGSVLMGLAPNFATLLVGRCVAGVGVGYALMIAPVYAAEISSAESRGALSSLPDICISLGILLGYVANYLLAKLPLVYGWRAMLGLGALPSAALAVGVFAMPESPRWLVMQGRAEEALAVLRRECETEDEAQVRLAEIKTAAGLAVDSAPGVPAPRSSGKGVWKELFLHPTPTVRRILVAALGVHFFNHLTGIEAVLLYSPRIFKAAGIATRDEVLAATVGVGVTKTVFILVAILLVDRIGRRRLYLSSLAGIIASLACLGLGLTVVERSAPHHAARWAVGLAITTVFTFVASFSVGVGPVTWTYSSEVFPLRLRAQGTSVGVAINRLINATVSMTFVSLYKAMTIGGAFFLFAGLSVVAAVFFYFLCPETHCRPLEEIEEVFSHGWCAHRGEVPAVEMRGSSVGDGNAKTQP >KQK92821 pep chromosome:Setaria_italica_v2.0:IX:57381275:57381837:1 gene:SETIT_040333mg transcript:KQK92821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQMIVENGITGGAELRELLQRFLSLNAACHHHLILRAFADVWEELFASAGGVPSPPPPPAEKISYSSRGSKRPAALTR >KQK89868 pep chromosome:Setaria_italica_v2.0:IX:36584706:36585185:-1 gene:SETIT_040793mg transcript:KQK89868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEDLVQVETIRRFWFPLISPSQEFLFSRFDFT >KQK88135 pep chromosome:Setaria_italica_v2.0:IX:11799493:11805074:1 gene:SETIT_039708mg transcript:KQK88135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAGGMSKRKAPVGDSAKCRGRSIRDFVGLFPTSTWCFHWKAKRTGESNMCHQCQRNDSGRVVRCQGCTLYKRRYCVKCIRRWYPHLSEYDFEKCCPSCRNICNCKACLRADVMQKVDNWDVSTDDKVKFSLRIVHFLLPWLKEFHQDQVLEKRIEASIQDASYLLLHKFVLLLIAGIDACSVKVTLATCWRNERTYWLVCNNCRTSIADFHRSCNKCFYDLRLSCCRELRGGHAPGGGVKSDKVTNLTYDGGKEDWQQRSSHDKVASKGPSDGQYAILIGSAVPPEDNTPNLTGWRANSNGSIPCPPNALGGCGSSLLELKCLLEEKFIADLLEKSNSVVNNGTQLESEGSKCSCFAESGYMNDETSRKASRRHNSCDNYIYCPTARDVQNESLDHFQEHWLKGQPVIVRDSLALASGLSWEPMVMWRALREIRDKNKDERLSVIALECLTWCEVDVNMHMFFTGYSRGLVGPADLPLLLKLKDWPPHSSFEERLPRHSTEFISALPLRAYTDPKSGPLNLAVKVPKDFAKPDLGPKVYIAYGVTQELEIGDSVTKIHCNMSDAVYILTHTDEIKLKSKRITAVKKMKEILSTKGASAYLQSSHADLAARTSTDSTEGMSAQLTGQTHSKQHACNSSGARGKRKRKKGKGNKIEHIPVSTESEDEDLPSVEGSQTEGDVTKLHDYLMKHADEFRHCNYEPVKQVTHPIHDQYFYLTHEHKRKLKEEYGIEPWTFEQRLGEAVLIPAGCPHQVRNLKSCINVALDFVSPENLRQCIRLTNEFRLLPKGHWANEDKLEVKKIALHALSKAIADITLYDCKD >KQK90986 pep chromosome:Setaria_italica_v2.0:IX:47025185:47031702:-1 gene:SETIT_034065mg transcript:KQK90986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRGAPAPAPAPEAVPAGGVAAAGAAADEVVRRVRPTEASERRRAEVVDYARRLVGSALGCEVLAFGSVPLKTYLPDGDIDLTVLGNTSYDSTLVNDVSCILESEEQNSDAEFVVKDLERINAEVRLIKCTIENIIVDISFNQTGGICALCFLELVDRKVGKNHLFKRSIILIKAWCYYESRLLGAHHGLISTYALEVLILYIFNLFHKSLNSPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLIVEATFAHTDDLLFDKEFIKSSVDKAIVPPRNSDACYTRFRSKHLNIIDPLKEYNNLGRSVNRASFNRIRTAFLYGARKLGHILMLPPEVIPDEIYVFFKNTLGRNGRGVRPDTDSNGALRASFGTGEALLEDISSMKISCNEEHENITSYHLSKSLCDENLYVGMNGPTHLSSSFPRVHNTALSTDLSTRSSNFVHHAPKQYSSFYQGNGRAGSGKCYLNQEVEQECIQSQVPLNNPSPLNSFAGVNASELVTEQKIIDVPVEKQHLPPSPLSLPDLSGDLDSQFRCLRQVQYHLEYLFDGFLQSVQDASSADTFEKDPFHIPACSILLNRDSGTPRLLLPSSSKSNGRNVSPVSFSQSTEYALQHSQNQNPLDRICQENVSLPSQTNVPSNGLSPSSSYAASEVSSVSRCYSFEDSAEMHGSGTDMHFPRKSCDTHKEQLASSRENGKILSNQTVSFESNQSPAPGAKFVSHKEQVALDSRTNEIAVDQALKIQGYLQSDREIVEKLNCHTQKKIFSHDNEARQVPKYRQDVCSNKNFLQKRCDTGMECTRAPGTMNQMPKHQSFNNRNTTECARSSLSKNLPIKQSFGTRKEHEIFDLPTKQRPICQPLKLENRRSGWDCSKKIPAEKQNYNNHKVPLSFVGGAGDISSQEKPPVSKTFQPYFPVANGRPLETIEFGSLGPFALKSNRATNTQTASKAFTFASPLVLQRSRAATTQNRPPGFCKVGDEDEFPPLSAGIR >KQK90985 pep chromosome:Setaria_italica_v2.0:IX:47024721:47031702:-1 gene:SETIT_034065mg transcript:KQK90985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRGAPAPAPAPEAVPAGGVAAAGAAADEVVRRVRPTEASERRRAEVVDYARRLVGSALGCEVLAFGSVPLKTYLPDGDIDLTVLGNTSYDSTLVNDVSCILESEEQNSDAEFVVKDLERINAEVRLIKCTIENIIVDISFNQTGGICALCFLELVDRKVGKNHLFKRSIILIKAWCYYESRLLGAHHGLISTYALEVLILYIFNLFHKSLNSPLEVLYRFLEYFSKFDWDNYCISLNGPVALSSLPNLIVEATFAHTDDLLFDKEFIKSSVDKAIVPPRNSDACYTRFRSKHLNIIDPLKEYNNLGRSVNRASFNRIRTAFLYGARKLGHILMLPPEVIPDEIYVFFKNTLGRNGRGVRPDTDSNGALRASFGTGEALLEDISSMKISCNEEHENITSYHLSKSLCDENLYVGMNGPTHLSSSFPRVHNTALSTDLSTRSSNFVHHAPKQYSSFYQGNGRAGSGKCYLNQEVEQECIQSQVPLNNPSPLNSFAGVNASELVTEQKIIDVPVEKQHLPPSPLSLPDLSGDLDSQFRCLRQVQYHLEYLFDGFLQSVQDASSADTFEKDPFHIPACSILLNRDSGTPRLLLPSSSKSNGRNVSPVSFSQSTEYALQHSQNQNPLDRICQENVSLPSQTNVPSNGLSPSSSYAASEVSSVSRCYSFEDSAEMHGSGTDMHFPRKSCDTHKEQLASSRENGKILSNQTVSFESNQSPAPGAKFVSHKEQVALDSRTNEIAVDQALKIQGYLQSDREIVEKLNCHTQKKIFSHDNEARQVPKYRQDVCSNKNFLQKRCDTGMECTRAPGTMNQMPKHQSFNNRNTTECARSSLSKNLPIKQSFGTRKEHEIFDLPTKQRPICQPLKLENRRSGWDCSKKIPAEKQNYNNHKVPLSFVGGAGDISSQEKPPVSKTFQPYFPVANGRPLETIEFGSLGPFALKSNRATNTQTASKAFTFASPLVLQRSRAATTQNRPPGFCKVGDEDEFPPLSAGIRIFLGALI >KQK87098 pep chromosome:Setaria_italica_v2.0:IX:5533872:5535984:-1 gene:SETIT_040033mg transcript:KQK87098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPAATAVACLLVAAVFLTTAAAGAEYVKYKDPKQPINERVQDLLSRMTLEEKIGQMSQIERANATTEVIEKYFIGSVLSGGGSVPAEKATASVWQKMITAMQKAALKTRLGIPIIYGIDAVHGNNNVYNATIFPHNIGLGATRDPTLVKRIGEATAHEARATGIPYTFAPCVAVCRDPRWGRCYESYSEDTRLVQLMTSNMVTGLQGDVPANHPKGVPFVGGSKKVAGCAKHFVGDGGTQRGINENNTVLSFHDLMRIHMPPYDNAVIKGISTVMISYSSFNGVKMHENKNLITDTLKNKMNFRGFVITDWQAVDRITNPPHQHYYHSIQETIHAGIDMVMIPYDYPEFVADLVKQVKQGQIRLDRVNDAVSRILRVKFTMGLFEDPLPDPRLHKELGAQEHRAIAREAVRKSLVLLKNGKKGDKQAMLPLSKKAKKILVAGSHAHDLGNQCGGWTIKRQGESGNNLTGVGTTILEAIKKAVSKNTTVDFVERPDKDDLAKSAGDYEYAVVAVGEPPYAETAGDNQNLTIPAPGPEVIKDVCGLVKCVVLLVSGRPLVVQPYVDYMDALVAAWLPGTEGQGVSDVLFGDYGFTGKLPRTWFKTVDQLPMNYGDKRYDPLFPFGYGITTKAAGHK >KQK86627 pep chromosome:Setaria_italica_v2.0:IX:2995664:3001999:-1 gene:SETIT_034559mg transcript:KQK86627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRDRDSEGRRRSSSSRRRRSPSPSDSEAASDSSGSPRRRRSRHSRRSRRRRDTPSSSSDASGSGSEDSGSDSGGDRGRRGRSGSRRRRDVTEEQIVEYMAKKAQKKAEKVAKKLKANAVSGYSNDSNPFGDPNLTENFVWRKKIERDVSQGQKVDISVKSEKKRQLERMAEIEKVKKRREERAIEKAQHEEEMQLLARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRTKPIDVLLKNLSFSDEFDVELNEPYLVFKGLTVKEMEELRDDIKMHLDLDRESQTNVKYWEALMVVCDWELGEARKRDAIDRARVRGEEPPPEVLAEERGLHASIEGDVKSLLDGKTSTELEDMQSQIESQMRSGTAKVVEYWEAILKRLHIYKAKACLREIHASILRKHLHRLEHPGTAELEVESEKEIDDKVEDEMHDDEDDKPYSPEPIPQQTDNHLEEEDGSFSPQLMHGDEDEDAIDPEEDKAELDRKREAVVFEHQRKVQEAIKAKARVPDEMEMKAIKTMGAMEEGDAVFGAGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKSKAPTYSIEKDGSTGETCLIRFHAGPPYEDIAFRIVNKEWEYSHKKGFKCTFERGILHLYFNFKRYRYRR >KQK86713 pep chromosome:Setaria_italica_v2.0:IX:3399699:3403182:-1 gene:SETIT_034975mg transcript:KQK86713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDESNKKKLSWSKSLVRKWFNIKSKAQDFHADHDASQGRDGHGDEWRTSCSEREAGTAKKSRTDRLSKRSVDRIRGVRNDFDPARLTEVQNYRIFASTWNVGGKSPPRGLNLDDWLHSSPPADIYVLGFQEIVPLNAGNVLGTEDNLPAKKWVSLVRRTLNKNPGCCYGGYRTPSPVPDPVVELDADFEGSSRKQDNFSILHRRSFNLSRSLRVEGNHMSSHPRLDRRFSVCDPVSLGGRPSDFDGNFPFMGSPDDHCIEEDSSNGAYFSPFTYGYGASAPTEENYSLQNTSRYCLVASKQMVGIFLTIWVRSEIRNDVRNLKVSCVGRGLMGYLGNKGSISISMSLHHTTFCFICCHLTSGEKEGDELRRNSDVMEILRKTRFPQVRGAGDVKSPETILEHDRVIWLGDLNYRIALSYCSAKALVEMHNWKQLLEKDQLRIERRCGRVFQGWKEGRIYFPPTYKYSFNSDRYSGVRPKEKRRTPAWCDRILWYGNGLMQLSYVRGESRFSDHRPVYSIFMADVEIVRPRRRNMGYFSSRIEVEELLPYSYSSGEKFY >KQK87509 pep chromosome:Setaria_italica_v2.0:IX:7640466:7642331:-1 gene:SETIT_039400mg transcript:KQK87509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREYQDAGGSGGDMGSSKDKMMAAAAGAGEQDEEVDELLAALGYKVRSSDMADVAQKLEQLEMAMGMGGAGAAADDGFVSHLATDTVHYNPSDLSSWVESMLSELNAPPPPLPPAPPAPRLASTSSTVTGGAAAGGVYFDLPPAVDSSSSTYALKPIPSPAAASADPSTDSAREPKRMRTGGGSTSSSSSSSSSLDGGRTRSSVVEAAPPAAQASAAASGPAVPVVVVDTQEAGIRLVHALLACAEAVQQENFAAAEALVKQIPMLASSQGGAMRKVAAYFGEALARRVYRFRPAPDSSLLDAAFADLLHAHFYESCPYLKFAHFTANQAILEAFAGCRRVHVVDFGIKQGMQWPALLQALALRPGGPPSFRLTGVGPPQPDETDALQQVGWKLAQFAHTIRVDFQYRGLVAATLADLEPFMLQPDGEDTDDEPEVIAVNSVFELHRLLAQPGALEKVLGTVRAVRPRIVTVVEQEANHNSGSFLDRFTESLHYYSTMFDSLEGAGSDNSAADASPAPAGGTDQVMSEVYLGRQICNVVACEGTERTERHETLGQWRNRLGRAGFEPVHLGSNAYKQASTLLALFAGGDGYRVEEKDGCLTLGWHTRPLIATSAWRAAAP >KQK88841 pep chromosome:Setaria_italica_v2.0:IX:17616653:17617999:1 gene:SETIT_039011mg transcript:KQK88841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVENAPHGDSVAAVADELFFSAGAGVDLETFFDHAVRVAAAPCFRVFAVLGWEMRGLTQGRFLQALEVAAAGSSGAKGEEEELEWLSNKDAFPAVETMLPAAAPRPPTKGARRRRRVVAKKAMAGRRCRHCGTEETPQWREGPEGAATLCNACGLRYRSGRLVPEYRPASSPTFSPEMHSNRHNRVVEMRRQRQVAAVASPGAAFGEKALGAVSSEALPKGERPAKRLRFRQQSPANPALRSPFEEAEPKNLAPAAPPRLFPTLTLEEGPQAVEATAGRSGGCDGDGGGAQ >KQK90786 pep chromosome:Setaria_italica_v2.0:IX:45754714:45755832:-1 gene:SETIT_038597mg transcript:KQK90786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRGARAASAASTAVAAGASARRSISMRLTAMAAMSSGGRRRKGQRRGEAKSPPPSPPPPPPPLPRHGETPSSKKKSGARPSVEAKKNRPAELEEARGPRRPEGGEARKGGAQQRPQEKAKAKRAVRWKCASGCGACCKLDKGPDFPTPDEIFADHPDDLQLYRSMTGDDGWCINYDKATRTCNIYQDRPSFCRVEPKIFDEFFGVPRSRFDREACSACLDNIKMVYGDDSAELSNFKRVIREESNKLEASKNQQDKLLDT >KQK88711 pep chromosome:Setaria_italica_v2.0:IX:16408245:16410543:-1 gene:SETIT_036679mg transcript:KQK88711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSSRGRSKLGQGHGSKVAPSSPAGDQSQPMTFKWNIDGFSSLLDKGAGWTYSRVFEVMGHNWYLKLNPRDKDNKEYVSLRLELDNSSVKSDTIVDASFKLLIYDQSFGKHREHEVSHSFQTASTSSGISCMISLNKLKKRSSKFLLNNCCVFGVEFVKVTTLKVNTTSETLFVQKMSIFNEAKTYTWDIDDFFALKNPGYSPEFEVGGYKWHITMYPSRDGNHLSLYLKLKRTNDLPEDTANLVEFTLSIKDQETGEHRNKGTGRCPFAKNARTWGWSKFISLEDFKDSANGYLVKTKCCIVAEVAIVGSSKME >KQK89709 pep chromosome:Setaria_italica_v2.0:IX:35259290:35261013:-1 gene:SETIT_0348742mg transcript:KQK89709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RCPPLCAFDMAHCSRCLIPWTEFGGLYLLEIHRILRPGGFWVLSGPPINYENRWHGWNTTAQAQKADFDRLKKMLAAMCFKLYNMKGDIAVWQKSPDATACYDKLTPITTPAKCDDSVDPDAAWYVPMRSCVTAPSAKYKKLGLNATPKWPQRLSVAPERINVVPGSSAGAFKHDDARWKLRAKHYKTLLPALGTDKIRNVMDMNTVYGGFAASLIKDPIWVMNVVSSYGPNSLGVVYDRGLIGVNHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKFVLLEMDRILRPTGYAIIRESTYFLDSVAAIAKGMRWSCEKRNPENKTDKDKILICQKKLWVGKQ >KQK87813 pep chromosome:Setaria_italica_v2.0:IX:9502779:9505727:1 gene:SETIT_034834mg transcript:KQK87813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASTISFSSPSSSPQSTPPPPPPRATPTELEAVRLRRLSDNLERLLDPAFLDCADAEVALAAGKGGAAVGVHRCILAARSAFFRDHFASLPPPAAVGEKPRLELADLVPGGRHIGQDALVPVLGYLYTGRLKSAPQDATVCMDDACGHGACRPAIDFVVESMYAASGFQISELVSLFQRRLSDFVSTALDEDVVPIVHVASTCELQDLLNQSLQRIAVSSLDSRYLEKELPDDIYCKIKEIRQSVFHDESENAILDPEHEKRVRNILKALDSDDVDLVGLLLKESAVTLDDAFAVHYAAAYCEPKVFAELLKLNSANVNLKNNSGYTPLHIACMRREPDIILSLVERGASVMERTPDGRDAFTICKRLTREKDCNRKLEKCEEKSKAYLCIDILEQELKRKSFILDQISIEESIATPLLVDNFHMRLINLENRVAFARIFFPSEAKLVMRIAQADSTEEFAGIRNFSKLKEVDLNETPTMQNKRLRERLDALTKTVELGRRYFPHCSDVLDKFLIEESTDLIYLETGTPEDQHLKRMRFSELKEDVRKAFTKDKAAVTAIASSASSSSSSRYEGRGRQSNRKSKQSR >KQK92053 pep chromosome:Setaria_italica_v2.0:IX:53416811:53421531:1 gene:SETIT_034986mg transcript:KQK92053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAAATAPDPAHPARPPLTPALDKPNSAAARRNSRSNKPVSSRYLSAGAAAAAASPASSTSSSTSSSSSSSSRRSLSAQRTRASTPPPQHSTSPTTTASAAAAAAAATATATTMRSLSVSFQGESFFYKTSRAPRASSPSSPAARRGPTPERRKSVSSVPEAENARPQGRWPAAKPKASDPLARSLDCSLDRKDSILAAVHLLRRSMAFDSTTSLSPSDPAAAAAPDLSASSDTDSVSSGSNSGAGDPPRRGISVPARFWQETNSRLRRLPEPGLPLPSSGRRSFSDSPMSPRLPGRSPSPCRGSRGAASPSRGRGGEASPNGHMMQAPANAPSIISFAAEVRRAKKGENRIEEAHRLRLLDNRHLQWRCINARTDASLLVQSFTAEKTLHSAWKEISRLRDNVSSKRCRLQLQKQKLKLFAILRGQMSYLEDWSHIEKHHSSALSAAIKALKASTLRLPVVDGAKGDVQGVKEAVNSAVDVMHTMTSSICNLLSKVEGTSSVVSELAKLATQEQMLLDQSKDLLSTVAAIHVKKCSLQAHMLQRNQKQCPTHL >KQK88010 pep chromosome:Setaria_italica_v2.0:IX:10840213:10845915:1 gene:SETIT_035585mg transcript:KQK88010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPRARPSAVAAGELRPPEPPLDPLEFLSRSWSASGRAFAPPPPTPPPAALVSPIAEDAACELEDCGVAAASGSSFSFASAATSQLIMERILAQSQEVAPLTSGRLSHSSGPLNGGGSLSDSPPVSPEIDDAKYCRAVSTPKPQAYRPGNKTVGRWLKDRKEKKKEETRAHNAQVHAAVSVAAVAAAVAAVAAATAAASGSGKDDRAARTDMAVASAATLVAAQCVEAAESMGAEREHLEAVVGSAVNVRTPGDIVTVTAAAATALRGAATLKARALKEVWNIAAVIPVEKGAVGGGGHHQKHGALPKLQQHRKLESNGSSISDDVSLEEENNFLGICSQELLARGTELLKRTRKGALHWKVVSVYINRMGLVMLKMKSRHVAGTITKKKKSVVIDVCRDVPAWPGRHLLEDGEHRRYFGLRTAEHRVIEFECTSQREYEMWTKGVARLLTIAGDRKRLA >KQK87173 pep chromosome:Setaria_italica_v2.0:IX:5911435:5912105:1 gene:SETIT_038825mg transcript:KQK87173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTSGANVVDGKGNDNAYKIELPEEGEDDEDLPSSSHSSTMAIALNDQDNIPNANKGPITPACAREIQNKVNLFLSNVHIFDEDSILPNACTFLVLRFEGLVSLEVKTKEQNKRLAEMKLCLTAQVFDNGIMREEREDGSTTRGKVQRAWETSYCGPACIQAEPIMKTNDRRGLIRSGGLDPPDRHRVKIV >KQK90697 pep chromosome:Setaria_italica_v2.0:IX:44958260:44961531:-1 gene:SETIT_035683mg transcript:KQK90697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYVTNGLKVYYVPWRPFLMQNTLPTLFLTLPIVRTIIIREKISVVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADAGSIHMNKVLQFTLADIDQAICVSHTSKENTVLRSGISPEKVFMVPNAVDTAMFTPSPKRLSCDEIVIVVISRLVYRKGADLLVEVIPDVCRLFPKVRFIIGGDGPKRVRLEEMREKFSLQDRVEMLGAVPHAQVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPDDMIVLAEPAPEDMVRAVKKAIDMLPGIDPQVMHLRMKKLYSWDDVAKRTEIVYDRAMQSSTTNLLDRLPRYLTCGSWAGKLFCIVMIINYLLWRLLEFLQPAEGIEEVPDIRPLHSQLDSRDDSCEAQEKQI >KQK91969 pep chromosome:Setaria_italica_v2.0:IX:52971451:52975346:-1 gene:SETIT_036593mg transcript:KQK91969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAALRREGRRLLLSPTVPIPSPAAAAARSSVVSPPEMAPLGARFVSTQIVRNRMKTVKNIQKITKAMKMVAASKLRAVQTRTENSRGLWQPFTALLGDAPSVDVKKNVIVTITSDKGLCGGINSTSVKVSRALHKLTSGPEKESTYVVLGEKGKVQLVRDSKKSIEMTITELQKNPINYTQIAVLADDILKNVEYDALRVIFNKFQSVISFKPTVATILSPEVAEKESEAGGKMGDLDSYEIEGGETKAEILQNLSEFQFSCVLYNGALENACSELGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >KQK87767 pep chromosome:Setaria_italica_v2.0:IX:9208250:9208850:1 gene:SETIT_039809mg transcript:KQK87767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAKKGGGGVPALGWWLMTVGTVRLPFAWPCFFGSASLCSATYSQAQGADVHGRTVGVWTLLSCTLCFLCAFNLGSRPIYAATFLSLVYAYGHFVVESLVYHTVRAANLAGLGFFAVTAMVWMLLQWNSHAPRAANKQP >KQK86054 pep chromosome:Setaria_italica_v2.0:IX:85894:87163:1 gene:SETIT_039856mg transcript:KQK86054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTIGSKPAQPTILLPSGPSLFLPLLHRDPFSLPIHPVATRDSPQLSAVAPPPPPPDLRWVVVVKSCRCWVAESRGGWASKSFWSGIPWWLPVIMLAGVYQAVVFLATGHITLFLPEAPFAAREALFNVGYKGIGLAVALTSCFSVLFNDQPWVLITWACLQSALIAAILAFWVCLVRAYGKQGATGTKS >KQK89134 pep chromosome:Setaria_italica_v2.0:IX:21209138:21209272:1 gene:SETIT_039696mg transcript:KQK89134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGQDSNLQSSGHEPDESTNSSTPLLPLIFLSLFPPGFPLLAWPG >KQK89679 pep chromosome:Setaria_italica_v2.0:IX:34980594:34983069:1 gene:SETIT_036261mg transcript:KQK89679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLAAACLCSRRRRARRLLLAAAAATAGYGLYRLYRHHRRRIVAALSLADAVSQVGSDLAEFLRSDSDQVPRSLLQLSKLAASEPVSSAASSLSESLASGVLRAISSHQHQLRQQQQQNPQTPLQDRILDRLLSPEGAGFASAVVGSFARNLVLSSCDTRTAAAGDREEPRWLAALCSATGKEAAADLVRVFVSTAVAAYLDRTAAVRTNDQLLTGLSDPRHEAKVKDLAVSVCNGAVETFLRTSRQLAKEASVARIEALAMERVGQNSDTNCVIQKVSSTLAVPSNRRFVLDVTGRVTAETVRSFLDFLAQRMSDGARKSIVIARDEVAERGLVAVKYLGAKSMAIFTISVALCMHILMGTRFLLPA >KQK87409 pep chromosome:Setaria_italica_v2.0:IX:7203070:7206368:1 gene:SETIT_034468mg transcript:KQK87409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTRALRRLDLRRSPPPRPSPQAAVAAAKKKEEASPWPPSSSSTSSSSSSASAPAKHPAASSAAAAPRGGSAVRVYPLRDFPGLDAAALGGAFRDNVRWLLKQWGSSASGSGSAWRALLSDERTGAVVPIVAVEELAAASPAPLCDLCRCAGWSHHWVSKRKYHFIIPAAVDWDQPFRADTLLGRSDHLLHGLIHSNGFGHLVTLRGCDGGSRFLSGRQIMDIWDQLCTALRVRAVSVVDLTQKHSMDLRLLLGVANGETWFTRWGYCLAKGCFSVSTSTYAAALEALAALPVDYLRSRHVRRVVTIYRRLSNKPLATVREFLRCLLDWKHREAPLLSPSVKTSPRLTFLLPKSCVVKRLRQPCQRFEDVVDLLECRWSKKRLLNAAEVVVDKLREHANGTKITRQAVRDAARGAIGDTGLLDFVIKSLNDTVVGNHIVRRVPDPENRVLHFSLEEYAEPEPEPQLEPELEPEPVELDAERTPPAVRWPSTSEAERDLRAVYRAMVEARSEAAQAVLDCKHWVKWWGLGDESDDQLRFLVEWRPRPWEANELTRPMPPGDIVVVPLHASIGELLVEAEHALRDTYCFFDGFKAESLDGIAGEKWDPVMLGGAESGDTISVHGHGVDMETGLRCQGGVDAWEVQCVCGAQDDDGERMVACDACNVWHHTRCVGIADGVPVPPLFLCMSCGGALMAAGPILDEALMVPKVK >KQK86268 pep chromosome:Setaria_italica_v2.0:IX:1024525:1024972:1 gene:SETIT_040840mg transcript:KQK86268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQETISTTQFQNRAMENTSVTRTTDASVTMHDG >KQK88629 pep chromosome:Setaria_italica_v2.0:IX:15612017:15619801:1 gene:SETIT_035475mg transcript:KQK88629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAADGLPVEPQSLKKLSLKSLKRSLDLFAPAHSLLFAPDAESKRIRTGCKVRAEYGAVKDLPAEQGRGQGNGTSAAPSTALALPGTQDTKDAQRGGTSNAIVPAPLMLPKAPESTIPGKNTTLSIPGSSDRFSTSALMERIPSRWPRPAWHAPWKNYRVISGHLGWVRSIAFDPGNEWFCTGSADRTIKIWDLASGTLKLTLTGHIEQIRGLAVSQRHTYLFSAGDDKQVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDVLLTGGRDSVCRVWDIRTKAHVSALTGHDNTVCSVFARPTDPQVVTGSHDTTIKLWDLVAGRTMCTLTHHKKSVRAMAMHPKEKSFASASADNIKKFSLPKGEFLHNMLSQQKTIINAMAVNEDGVMATGGDNGSMWFWDWKSGHNFQQEQTIVQPGSLESEACIYALSYDVSGSRLVSCEADKTIKMWKEDLTATPETHPINFKPPKDIRRY >KQK88462 pep chromosome:Setaria_italica_v2.0:IX:14431802:14432067:1 gene:SETIT_038433mg transcript:KQK88462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEELQRPTLTKPLPSSHGLDFWSRRRWVRCRSCSDRPRQSHFPVPMAVRSRSLSQSRCSISI >KQK89050 pep chromosome:Setaria_italica_v2.0:IX:19969060:19972119:-1 gene:SETIT_039139mg transcript:KQK89050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPYGPTRPVDMVIDYYMNDYEFAEPPRVTSVQNAHRLPTFAKFAENVYFVIEQRGYESVVHYIAGLNAVQEITYSQDRVTVKTEDGLLYEADYVMVSVSLGVLQSNLITFNPPLPVRMENLSNYKFYMAVYTKMFLKFPKKYWLVGSGIEFFLYASSRRGHYPIWQGKKPHLENEYPGSSILLITITDDESRRIEQQSYEQTKAEATEVLRKMFPDRDVPNATDIFVPWWWSDKFFKGSFSNWPIGVNGYEYDQIRDPVERVHFMGEHASEHYNGYVHGAYLAGLDF >KQK89145 pep chromosome:Setaria_italica_v2.0:IX:21316312:21316676:-1 gene:SETIT_040709mg transcript:KQK89145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQNLLQGRGHTLSQQLQIVSDLLCGVTNHQCKAQQKLMDLVTC >KQK91223 pep chromosome:Setaria_italica_v2.0:IX:48596516:48598536:-1 gene:SETIT_039639mg transcript:KQK91223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGTDYDIGDEHLFDGVRFFLVGFESDVESQWLISSDGIRLQYRSEMEVRGGADAGSLGNGCTHVVVSNLFYDDPTCVAARAEGKKVVIDQWVEDSLDRGVLADVDRVIYWPVRHSNGIPGAQSLLICLTELEEIRN >KQK87219 pep chromosome:Setaria_italica_v2.0:IX:6140280:6141389:-1 gene:SETIT_038320mg transcript:KQK87219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCGVRSPAARDSEGASEGAAVGQGFDGAAVGRSSKGAAAMASRTANPLGQLHGGAIAPAAARSAMRGQERWTIGRRARR >KQK87514 pep chromosome:Setaria_italica_v2.0:IX:7678680:7679789:-1 gene:SETIT_038692mg transcript:KQK87514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPFCDSSSPMDLPLYQQLQLSPPSPKPDQSTGFFYYPCSPPFAAADASFHLSYQLGSAAAATPPQAVIDSPELPLQPVMEQAPAPAPAAELDLDAACANAQGAGAGLDRAAAAARKDRHSKICTAGGMRDRRMRLSLDVARKFFALQDMLGFDKASKTVQWLLNTSKSAIQEIMTDDASSECVEDGSSSLSVDGKPNPAELLGGGDQQPNKGNGRSGEGKKPAKARKPATTPKPPRKSSVNAHPVPDKETRAKARERARERTKEKHRMRWVKLASAIDVEAAAASVARDRPSSNNLSHHASSNMACAAAELEERCSSTLNNGGRMQEITGSSDVILPFGNGGYGAGNYYCQEQWDLGGVVFQQNSRFY >KQK90530 pep chromosome:Setaria_italica_v2.0:IX:43433410:43436925:-1 gene:SETIT_035077mg transcript:KQK90530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVASRVPSSSSSSSSPTRPAHFSVSGRFDHRFGRARPNPNPIALFFLLLLLPASGLASLIDPPCPSAGRSFATWEREGARPPLHCADPPARSFDSGRGSQMMGAEKPALGFPAGAEMDELELASPAGSPAPPPRKMHSLDFEHIGSLAAVAESLSRESKWGRALTSVRVVIFQAKINVLLPFGPLAIMLHYLSGKHQGWVFLFSLIGITPLAERLGYATEQLACYTGPTVGGLLNATFGNATEMIISIYALKNGMIRVVQQSLLGSILSNMLLVLGCAFFAGGLVHSDRDQVFNKASAVVNSGLLLMAVLGLMFPAVLHFTHSEAQYGKSEVALSRFSSCIMLVAYASYLFFQLKSHRSMYSPIGDEEEAIEDEEDEKEITQWEAICWLFILTIWISVLSGYLVDAIQGASDSLNLPVAFISVILLPIVGNAAEHASAIMFAMKNKLDITLGVAIGSSTQISMFVIPFCVVIGWIMGQEMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPDANEN >KQK90278 pep chromosome:Setaria_italica_v2.0:IX:41221402:41222454:-1 gene:SETIT_038619mg transcript:KQK90278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCGEVYPLSSAGSAEEEVPAKRYPAASLTDELLVEILRRLPVRSVCHFKCVSRSWRNLISDPGHRKKLPQTLVGFFYQSLSGERFPCLAHHFTNVTGKGIPFIYPSFSYLPVYSSDVVPLDCCNGLLLCHCFQSGPGDSDGLAAGLAARFALPAYPALSPHFHVIEYVEDESEYITGVDI >KQK92748 pep chromosome:Setaria_italica_v2.0:IX:57040039:57042513:-1 gene:SETIT_036097mg transcript:KQK92748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFGSADAAAAATKPPSPTKGPPAWPKPTDGGRTQEKGGAGPGRVLEAPRLREFTLAELRAATKGFKPEMVLGEGGFGRVYKGWVDERTLNPAKSSAGVIVAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCGEDRELLLVYEFMSKGSLENHLFRRGSTEPLAWNTRLKIAIGAARGLAFLHSSEKQVIYRDFKASNILLDSDFTAKLSDFGLAKNGPSAGRSHVTTRVIGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLRAHDLNRPGHQQNLVDWARPYLSGRGKLTSLMDQRLGGQYPPKAALQAAKLANKCLAGDPRSRPSMADVVTALEGVEAMQAPDAGAKGHRDLPPRPVARRSSPYHDSSRPPR >KQK89319 pep chromosome:Setaria_italica_v2.0:IX:23807828:23811600:1 gene:SETIT_036416mg transcript:KQK89319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLLDGLPNEVALQCLARVPFLFHPMLQLVCRSWRASVRSGDLLKIRNQIGATEELLCVLAFEPENMWQLYDPLRDKWITLPVMPSQIRNIARFGVASVAGKLYVIGGGSDRVDPLTGDHDRIFASNEVWSYDPLQRVWSQRAPMLVARAMFACCALDGKIIVAGGFTNCRKSISKAEIYDPEADMWEPLPDLRQAHSSACSGLVIKGKMHVLHKGLSTVQILEDGGSHWAVEDFSWLQGPMAMVGGELYVLSNSCIMKQRGENFPDKMVSCASEFQSRIGFGMIGLGNSIYLVGGVIGPGPRNQCIKPLSDVDILNVTSERPTWRPGSPMTHCRGSICGCALLRI >KQK88438 pep chromosome:Setaria_italica_v2.0:IX:14211324:14213197:-1 gene:SETIT_039986mg transcript:KQK88438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPAMLTRKHVPEDVGLRNAGEDEAGAGGQGQLHRDEKQHKPVLKKVKEKMKKIKNTLAGHGHGGDEPAGDAAGSTSSEDGSEDAAEREAAVEKGGYMEDVEDKPVVTESDPEVHGAPMYESERAPAVQDLVAKYDPARAPAVQEREGDGAPGVRLGDLGGPVVEDPAAPRSTTPAAREGEDIGTTPVVQQFETMNLSDDPTHVGAGKEDAKVEEWKDTAADKMGGATYTDKLKNAAAGTTEYGKKLASTVYEKVAGAGAGKRDDERMEAAPASNTGTEELKDAHATTGATNGSSGAGYTDKVRSAAAGTTEYGKQLASTAYEKVAGVGAAVAPNLRPQVGAGKPDDARGEAMPVSDTGAEEWQDAPAAATDAAGGGASGPGYTDKIKSAAAGTTEYGKQLASTVYEKVAGAGTAVAGKVQQATRSAGTATPGVGAQQQDASAGGQDKGVTVTGYIAKKLQPGDEDRALSEAISGAVQRRKEDVGGTVAQRVPAPGQVVTKAREAVTSLTGGNRVSETVQPSTAT >KQK88335 pep chromosome:Setaria_italica_v2.0:IX:13315463:13320631:1 gene:SETIT_035322mg transcript:KQK88335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLFPWLAWLLASLVAAYLLGLLGHGRRRRGLPPGPRPLPVIGSLHLLGNQPHRSLARLARTHGPLMSLRMGSVTTVVASSPAAAREFLQRHDAAFSNRSVPDAPGDHARNSSVWLPNAPRWRALRKIMGTELFAPHRLDALQHLRREKAQELVDHVGRLARSGEAVNVGRVAFTTSLNLVSRTIFSRDLTSLDDDGGSKEFQEVVTDIMEAAGYPNISDYYPALAAADLQGWRRHLARLFARLHRIFDEEIDGRLRAREAGGEPRKNDFLDLLLDAAEDGDNTAGLDRDTLRSLFTDLFSAGSDTSSSTVEWAMTELLQSPTSMAKACDELATVIGSRKSIEESDIGQLPYLQAVVKETFRLHPPAPLLLPRHTQADIKIMGYTIPQGSRVFINVWAMGRDKETWPEPEKFMPERFLGKTVDLRGGDFDLIPFGGGRRICPGMPLAIRMVHLLLASLLNQFTWRLPAEVERNGVDMAEKFGLTLTKAVPLCAIATPI >KQK92529 pep chromosome:Setaria_italica_v2.0:IX:55966770:55967533:1 gene:SETIT_040373mg transcript:KQK92529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFGRGGPGLVGEGRRPNSSALSSVARIHGGWLCVDAWMGEVPLLPSGSGFDEAVARSGKV >KQK88672 pep chromosome:Setaria_italica_v2.0:IX:16054720:16056412:-1 gene:SETIT_035426mg transcript:KQK88672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVYRIASPSEYLAITGYGINDVKLAKKAWIAPGQRCTRFDISPVNYTFEVQAMSAEKLPFILPAVFTIGPRSDDEECLLRYAKLISPHDKLSHHVNELVKGVIEGETRVLAASMTMEEIFRGTKSFKQAVFENVQLELNQFGLIIYNANVKQLVDVPGHEYFSYLGQKTQQEAVNQAKVDVAEARMKGEVGAKEREGTTRQNAAKVDAETKVYTVKRQGEGAKEEARVKAEVKVFENEREAEVAAANSELAMKKAGWEQQARVAEVEAAKAVAIRDAELQAEVERRNAARQTEKLKAEHLSKAVVDFEMKVQQANWELYNRQKAAEAKLFEQEKEAEARRAAAEASFFARRREAEAELYAKQKEAEGLAAMGEAQSAYLTAMLGALGGSYGALRDYLMVSSGVYQEMARINADAIRGLEPKISVWSGGAGGEGGDASGGGAMKELAGVYKMLPPLLTTVHEQTGMLPPAWMGTLTGGPSTSS >KQK91691 pep chromosome:Setaria_italica_v2.0:IX:51251671:51256708:1 gene:SETIT_037293mg transcript:KQK91691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAQAAAAAAAFLSFLSSSPTHHTAPSSSVSLGAAPILRVSLRAAATGGPRLPFHLRGRRVGAVVAQLPTTHPEVASGDKKIRWSSRAVRSFAMAELEARKMRYPTTGTEGLLMGILVEGTSGAAKLLRANGINLLKVREEAANVLGKSEMFYFSPMHPPLTEAAQRALDWAASEKLKSGEDGEVTANHLLLGIWSDKESAGHKILASLGFDDEKARLLAQTADEEAAMSPR >KQK92067 pep chromosome:Setaria_italica_v2.0:IX:53516653:53518348:1 gene:SETIT_036464mg transcript:KQK92067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAAIAAASTSAPATPTSILICREDGNDLFPDADDGAGADFVVARDDRLLVVDQDDEYVAVLLSKESASAAAGVGTLAEEMEEWMKAARSGCVRWIIKTTAMFRFSGKTAYVAVTYLDRFLAQRRVNRGQEWALQLLAVACLSLASKVEEHHAPRLSELRVDAYEFDTASILRMELLVLGTLQWRMIAATPFPYISCFAARFQQDERRPIVFRAVECVFAAIKEMSSVEYQPSTIAVASILAARGNDETPAANLDELKSILGSSWPQLDTGHVYSCYSAMVQEDKSSMHSAGVASSGVSVAAHVGSPDASVGTNNAAGTAPPATPDNNNKRRRLRSPQRQ >KQK92568 pep chromosome:Setaria_italica_v2.0:IX:56158828:56159052:-1 gene:SETIT_038714mg transcript:KQK92568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIMEEMAEFITLWELVHDVQFNEDEDQIEWKWMASGSYTLKSAYEAQFRGSFTTFEASDIWRAYTEAKHKFFA >KQK86586 pep chromosome:Setaria_italica_v2.0:IX:2797304:2797903:-1 gene:SETIT_040015mg transcript:KQK86586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GVFMNDEPTPILKIFNMKVQTCLLDVTSLVEVHGIVAIQNPLDVSLTDGYLCLLSPRRGMSMEFNCLSEVDIRMKATGDDDTDDQTLADGCMNTLRLRKLSIITLNVNGSDKQAVIYIQFGNFHSLI >KQK90584 pep chromosome:Setaria_italica_v2.0:IX:43853018:43858380:-1 gene:SETIT_034511mg transcript:KQK90584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRPAPAPRWQQTAQPRRGRSARVAPPPQLQWALYPPGRVPGGVTVHVNDEDGDWWLDIYPHLPEHEKPLECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNQSNFQHVCLDMAPGHRHKGVCRAGLLAVPGKSAKDNENHAPVPMSGWNHPDMSRKEKRLKGSEPLRTSNSINHCSAFEFSGVQESLENQDFSYDIDFLSQFLEKERDHYSSIWSPTNSLGQREAREWLRRLWVLKPKLRELIWKGACLAINVDKWYSCLEEICACHSLPLPTEDEKLPVGTGSNPVFIVSGNVIKIYAEGGLDYSVHGLGTELEFYDLLQKSGSPLINHIPEIIASGFLEYKDDIYRTIPWDGKGIPDVLAKHYPMEVSYANSCFPLGLWSKQRFGTSSSPDDSNRPIWPYMVTRKCNGDIFAHIRDMLSKTDILPLASSLGVQMRNIHLLPLPHMEHVPKSGNNDVKDCGDDTVLPEWKQLVSTLNRRKQDVKKHLANWGNSVPQVLIEKAEGYLPPNMDFLIKFVKDDGDVVYPLPSWIHSDIMDDNILIEGITESSPSGNSKGSYETDPEKMNAIHIIDFSDLSIGDPLCDIIPLHLDVFRGDTDLLREFLRSYQLPLLRGESNADIYKTVQNSKFRRASYRAMCYCILHEDNVLGAIFSLWKELRAVTSWEDVENFVWGELNRYQESCTP >KQK86633 pep chromosome:Setaria_italica_v2.0:IX:3030450:3030773:1 gene:SETIT_039654mg transcript:KQK86633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKSAPIGSRYGRVCEELLEMGARVAVRSYGHCPQTGRMYYKPPSTPATGTADGSRNGEESASREAGASAAAARRQQQQAAAKVEFHASEFILYGGGKEEPRHCSR >KQK86847 pep chromosome:Setaria_italica_v2.0:IX:4111467:4112079:1 gene:SETIT_040635mg transcript:KQK86847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLNADLTLGIIARSDDAATVVRCAAPSAILDDPSFRRRLELRAAGGLLYVPPPASSSSLRCSPCPCAGEGDRYKTVRPFSGVFLKIFGSRDPI >KQK86771 pep chromosome:Setaria_italica_v2.0:IX:3650050:3650572:-1 gene:SETIT_040324mg transcript:KQK86771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLILRMVVDYADCAADLAWYSNSVELAYDTGSAVC >KQK87452 pep chromosome:Setaria_italica_v2.0:IX:7372379:7374443:-1 gene:SETIT_039615mg transcript:KQK87452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISCAAECALSLACARWAARRLSLSGADDSASWPAASPASFAPVPRACRAALAAYDDGAGDDRPSPLCPPYRLVHDRARGEVVLAVRGLGLARPEDYRLLLDAGGPEPFAGGHAHRGLLRAAVWLLDREGPALRRMVAEAGPERCRLVFVGHSLGAGVAALAAVVAVRCWLGRLRLRREDVRCYAMAPPRCMSLGLAVEHADVVHSVVLQANTTCNILAINNRPDHAYFWNLCRMISCPELRHPYSTFLDLFSDTFVSEGKLKDPAKLYAPGTVFHILMEQEEESTSPPAQQKMLRAQELQSIDVEEGTIGLHSIEHLVYLEEKTLQGNSSSSSLDFDSPRTSTTSCTTSPSPRSEPSEWDELMGAFLGDHEHDDDLGHDSVCPPPICNWAILFNSFVMFRQQLGYINSINCKSLSQKSVILRA >KQK88163 pep chromosome:Setaria_italica_v2.0:IX:12062129:12065701:-1 gene:SETIT_040061mg transcript:KQK88163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRPRIRAVPFRPLLLLLLVPLIYSVSRLHPWAPEKGVCLPPPAAPKRPDRLVIGPAAGQGRPDRLQCQGLKALNNIGISSEGNYSGEHISFVTVFTTYSSVQDGDGKVPFDTVTVGNHSYSKIERSMAILNTFTSFLKVSMPRSNVIILTDPDSKFSVNQGSAILLPIEGNYSRGNLMLQRIKSYIVMEGFNHFVLTDSDIAVVDDLGDIFKKYPFCHLALTFRNNKGQPLNSGFVAIRGTRDGITKAVEFFKQVLEAYSLKYMKASRMLGDQLALAWVVKSHLPSAFRKFSKHEAFTGEVNGASVLFLPCAVYNWTPPEGAGQFHGMPLDVKDKYERFVSSFLFHEPIHIINKLLIVHFKGSRKRLMLEAWNFYNSTSKLSDMLCLILRSGRTKYDF >KQK87757 pep chromosome:Setaria_italica_v2.0:IX:9154380:9156715:-1 gene:SETIT_038956mg transcript:KQK87757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGSDPAGLVLGDGAGDDNIILNPEFEDGLDNWTGNGCKIELHDSLDDGKVLPANGKYFVAATGRTDTWNGVQQDVTARMQRKLLYEATATVRLHAAAGGGGVAACEVRATLGVQTADGRQQYLSVGKAQVSDKEWVQLQGKILLNSTVAKASIYIEGPQAGVDVLLDSLVVKHAQKAPPAPAPDFENLEYGANIIQNCNLDDGLNGWFPLGPCTLSVHDGAPRVVPPMAKESLALDDDEPLNGKHIHVTNRTQTWMGPAQIITDKLTLYATYQVSAWVRVGALPAGASPQNINVAVAVDSQWLNGGQVMARDERWYEVGGAFRVEAKPATRVMIYVQGPDAGVDLMVAGLQVFPVDRKVRVKHLKRLTDKVRKRDVVLKVTGADSGGAVKDAAGGGVEVRVRQVSNSFPLGACIMRTNMDNEDFVDFFTKNFNWAVFGNELKWYWTEPQRGQVSYADADDLLRLCSDHGMCVRGHCIFWEVENTVQQWVKTLSTDDLSAAVKSRLDGLLTRYKGKFKHYDVNNEMLHGSFYQDKLGKDIRATMFKTAAELDPDALLFVNDYNVESMCDIRATPEAYIQQIIELQEQGAPVGGVGLQGHVSNPVGPVIRSVLDRLAVLGLPIWFTEVDVSSANEHVRADDLEVMLREAYAHPAVEGVMLWGFWELFMSRDDAHLVDAEGQVNEAGRRLLQLKREWLTHAHGHADDNGEFKFRGHHGEYHVDVTTPTGKISQTFTVDKDDAPLVLNIKV >KQK91198 pep chromosome:Setaria_italica_v2.0:IX:48417447:48421040:-1 gene:SETIT_034967mg transcript:KQK91198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLHEPLVRRKRKKVLVDYLVKFRWILVIFVVLPISALIYFNIYLGDMWSAMKSEKKRQKQHDENVQKVVKRLKQRNPKKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMVAKVEPLVNMGQITRATCPMNLALAVVAELDDLTVGGLINGYGIEGSSHLYGLFSDTVVAMEVVLADGRVVRATKDNEYSDLFYGIPWSQGTLGFLVSAEIKLIPIKEYMKLTYIPVKGNLKEIAQAYADSFAPRDGDPAKVPDFVEGMVYNESEGVMMTGVYASKEEAKKGNKINCVGWWFKPWFYQHAQTALKRGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRFLLGWLMPPKVSLLKATQGEAIRNYYHDNHVIQDMLVPLYKVGDALEFVHREMEVYPLWLCPHRLYKLPVKTMVYPEPGFEHQHRQGDTSYAQMFTDVGVYYAPAAVLRGEEFNGAEAVHRLEQWLIENHSYQPQYAVSELNEKDFWRMFDASHYEHCRRKYGAVGTFMSVYYKSKKGRKTEKEVQEAEAAILEPAYADEA >KQK91752 pep chromosome:Setaria_italica_v2.0:IX:51607632:51608420:1 gene:SETIT_040598mg transcript:KQK91752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACFSYVRLQTRNLKLAYPGLTKNQILLMIAEQKFEQSGNRLRFPFCLFALFIVICIQFALPTF >KQK89909 pep chromosome:Setaria_italica_v2.0:IX:36997492:36999559:-1 gene:SETIT_036388mg transcript:KQK89909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAVNARDREQHGHGGLGLGLSLSLSIATAAPPPQQQRAISVAPISSHPAPPVPPQPQWWNGGAGLFFSPSSGMVDRSMERKLQQQPAVAAACHGHEMPFLRGIDVNRAPAAGESRRGCCSEDEEPAASSPNSTLSSLSGKRPAATRSGDLEGDHTPRAGGASDDEDSGAGGGSRKKLRLSKDQAAVLEESFKEHNTLNPVRTLPKQKAALAKQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQREVAELRALKLVAPHQYARMPPPTTLTMCPSCERLATADEAGRAARPAAPTGPWGPVPVRPVFVDGMIIDADARPEHPNSGGPVVVEKKSW >KQK92970 pep chromosome:Setaria_italica_v2.0:IX:58115033:58116547:1 gene:SETIT_038777mg transcript:KQK92970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPAPLPPTNSPSPASRPSRAPVVPAAQRGTGRHRQRRKPSSSPSTPEAEQPDALAHILRTEAAVSGISRKAAAVRQQSTRLWPRAVLEALDSAVAASRWESALEIFELLRKQHWYEPRSQTYARLLMMLGRCRQPGPATALFKAMLSEKLRPTVDVYTALVGAYGYSGLLEEALATIDQMKGAADCRPDGYTFSVLIDCCAKSRRFDLIPAVLDEMSYLGLECNSVIHNAIINGYGKAGMLEEMESALSKMLECGNNVPDIYTMNSIIWAYGNYGRTDEMEKWYSEFELMGVEPDTKTFNIMIKSYGKAGMYDKMMSIFKYMKKRFFSLTAVTFNIVIECFGRAGNIEKMEYYFRLMKIQGVKPSPITYCSLVNGYSKGGLLDKIPGIIRQTENTDVVLDTPFFNCVISAYAKSGDIKIMEEMLQLMKDKKCKPDKVTYATVIQAYTAQGMDEAAMLLEMEAEGFDKKLL >KQK90711 pep chromosome:Setaria_italica_v2.0:IX:45262575:45264282:1 gene:SETIT_035306mg transcript:KQK90711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQEIQQTKQLSQRQQQQELPPEDGATVQDHTSQDQQQQREQAGGAAGRHHRNKLTVLPLVFLIYFEVAGGPYGAERAVKAAGPLFTLLGFLVFPFAWGVPESLVTAELSAALPGNGGFVRWADRAFGPLAGSLLGTWKYLSCVINIAAYPALVADYLGQAIPAMAGPGRARTGTVVGMTVLLSFVNYTGLSIVGWGAVALGFVSLVPFVLMTGIAVPKVRPRRWAASVKGRKDWRLFFNTLFWNLNYWDSASTMAGEVERPERTLPRALAVAVVLIAASYLLPLMAATGATDAPPEAWANGYLADAAGIIGGSWLKYWIEAGAVLSSIGMFEAQLSSGAYQLLGMADLGLLPSAFARRAALFRTPWVAIAASSAVTLGVSFLGFDDVVATANFLYSLGTLLEFAAFLWLRARLPELKRPYRVPLPLPALAAMCAVPSAFLVYVCVVAGWRVFSLAGALTALGVGLHGAMMLCKSKKWLRFHTAAVAADEDPQGDPAAGHTV >KQK86459 pep chromosome:Setaria_italica_v2.0:IX:2006684:2007813:1 gene:SETIT_039918mg transcript:KQK86459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLEKSYVNTCNGVVLLAGGMPRPTTCVLWNPAVADEEREVTVPVSARDDCAILGLLLTRRQKPKELLVYALGGAGQKPRLRTLISDGLDGRISGRSFYMDGTIYLLHFDKSVILASDVDDETVTTVDLPRERRPWQRLAMSAPLVMSGRPCPCGLWLLTVEHRWERRCIIEGHQRPFMMQEIRNNRRDNYLIAGVWKCGGVLVVYLRMSSRKDGEDDKLFLHCTETKKLKVLNMPRSMTPEWSDYSLCWGYKPTLISPGSIAVNERDRRKGQKATLDTVCFMEFLLRIMLKLPENMQDVIGIPLLKSKASDSNFNNELYHSDSGSGSSVKDE >KQK90051 pep chromosome:Setaria_italica_v2.0:IX:39175475:39176223:1 gene:SETIT_039358mg transcript:KQK90051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPLAPLQELEADLRDLCSPSAKDEPDTAAAMDRLEVKLSAKSCFRTSISIAQHILGKVSCVRRMKFRKRNRIKALENKPEFVLLPEDRQDRSTVCPKYE >KQK92979 pep chromosome:Setaria_italica_v2.0:IX:58151360:58153556:1 gene:SETIT_035223mg transcript:KQK92979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRAASGAGAGALVAIAYAAVALAALRLLLSYKSALYALRRLWRCADEWAQAYQYHEVPRFACDGAENPLFRKAAAYVAALPSLEDADATSVLSSASRTNGGLSLQLGPGHTARDAFLGARLAWTNTDDDRLVLRVRRHDRTRVLRPYLQHVESVADEMEQRRRELRLFANTGVDGTTGAPRWASAPFTHPATLDAVAMDPDLKARVRADLESFLKGRAYYHRLGRAWRRSYLLYGPPGTGKSTFAAAMARFLGYDVYDIDLSRAGNDDLRALLMHTTPRSLILVEDLDRYVQGGGDGEARAARVLSFMDGVASCCGEERVMVFTMRGGKDAVDAAVVRPGRLDVHIHFTLCDFEAFKALASNYLGLKDHKLYPQVEEGFHAGARLSPAELGEIMLANRASPSRALRNVITKLQHVSGGGAPPRHPAHKRNTSWSGAGQQWEEQPARASAESTEADETAAPAGGGVFGKDAPMREFKKLYGLIKIRSRREGAGVAPLEGDAHGPPTPGNHDRER >KQK91401 pep chromosome:Setaria_italica_v2.0:IX:49601174:49602714:-1 gene:SETIT_039314mg transcript:KQK91401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGVPQEPEPQAPAICHHRRTILDHLRNNQVVVVSAAPGSGKSSVLPRCLTLGGYGPVVCAQPRHFAMTVASAKAARQGSEHDVTFTTTRRLIDVLRDGPASAFLAAFRTVVVDEAHDRTLCTDVLLGMVRAAAATEQMRHLKVVVCTASGPADGVLGDFVGAPVVASKSPSDVLAFLPDVVRVEDAYDQLGLPGVAVCVVVLATDVAETAVLVPGITYVVDTGVLSEDPLERVSKETANRRAAVAGAAGCPGHCCRLRRAYRPAHQAGWRALQARVHAQETHAACDMPGFELLDQAVAPALENVVGELVASGYLDKHGKLTEKGKHEAYDED >KQK89656 pep chromosome:Setaria_italica_v2.0:IX:34555515:34555670:-1 gene:SETIT_039818mg transcript:KQK89656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PENTLKPGDVIQCRECGYRILYKKRTRHSKATSFLLFSAPIYDCLCSHCID >KQK90897 pep chromosome:Setaria_italica_v2.0:IX:46432102:46434414:-1 gene:SETIT_035417mg transcript:KQK90897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTTCDPVVVVEHRKPQPYTPSPWGDFFLSHQPCTPSQLLSMKERARVKEEEVKQIVRDTFASSSDMALKLELVDTLQRIGVGYHYGEEIDDLLRAVHRDVQALHQEGGCDDDGLYVTSLRFYLLRKHGYRVSSDVFVKFKDEQGNFASTDDVSCFLMLYDAAHLRTRGEEILDSAIAFTKIRLQSVMDSLEPELAREVQCTLETPRYRRVERVEARRYISVYERKAAPSRNDTILEFAKLDYNILQALYCEELKALTIWWKDLRSRADLRFARERVVEMYFWMLGVVHEPQYSYSRILLTKFFKLVSLIDDFCDSYSTTEESEEFTMAIEKLALTLILETLIDIAQCYHAEVKWRDEHYVPAKVEEHLQLSAPSSACMHITNLTFISLGDVTTREDIQWVSSYPKIIRGVCTIARISNDIMSHEREQASKHVVSTVQTCMKEYGFAPEQAKGKLGMLIDEAWMDIVKGCLDGKQPMHGIVGKGG >KQK89244 pep chromosome:Setaria_italica_v2.0:IX:22492341:22494355:-1 gene:SETIT_037455mg transcript:KQK89244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVRSFASLYCQKLRSTTRLGPVTAAPPRFHATNRQMFSASSGAAEARPPPALPRQFQEQQRTPFFTWARLAIGSVLAAAAPFVHSRWVSFLRIQSEVEMVKDTVEVVAEVVEEAATVAEKVSSEVAEQLPEGGRLRTAAVIMEHASKEVAQEAHLAQDIIHKVDEIEEDVKAIIEPIMDHSKHVHAKACHTSNRKIIKDPNVYVAISFSNVRNS >KQK92800 pep chromosome:Setaria_italica_v2.0:IX:57279095:57281308:-1 gene:SETIT_036026mg transcript:KQK92800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQRVAAAAALLACLAMAASPVRGRNITAILDGYKEYKLYNKYLSETKVCDEINSRQSTSMTILVLSNDAMTTLASDAGDSLPAIKNALRLHSVLDYYDRKKVKKYGDESAATLYQATGDAASTTGNVKVVDQEDKNYAFSAATPGARLCTVTKEVETHPFKFAILEVTAPIEFDGLFDTPATSNLTRLLERAGCKVFASLAARTGVLKTYEDAMDKGLTLFAPNDDAFQAKDAPDVKTMSTANLTRLLQYNALPSYNTKTSLKFVKGTLRTMASTKAGKDGVTVVAKGDDVSLDTGKARSRVADTVVDSVPFSLLTLDSLLVPPELYVGAPEAPAPEPSPAETPASQPADAPSVAADHAADHKTKKPSSAIASRPIGALAAAACSVVLASLLL >KQK89356 pep chromosome:Setaria_italica_v2.0:IX:24827833:24829191:-1 gene:SETIT_037875mg transcript:KQK89356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGQTSWKSAGFFEGIYVRARLPDELDLVSVLTVCWMCAGTRFRLLLPDLRLNTDVPYRALQAARIRALCLTYLQRTWDPCQVPERLRLRVDNTHGLAGLCRFRDYITGQLWSGFEASATMTTFVMEVAGATRTLGEWLMEGTVSWLRGMSYWW >KQK88971 pep chromosome:Setaria_italica_v2.0:IX:19091623:19092539:-1 gene:SETIT_0359352mg transcript:KQK88971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLFVAGTDTTSTIVEWSLAELIRHPAILKQAQAELDAVVGRGRLLSESDLPRLTFFNAVIKETFRLHPSTPLSLPRMAAAECEVAGYRIPKGSELLVNVWGIARDPALWGPDPLEFRPARFLPGGSHADVDVKGGDFGLIPFGAGRRICAGLSWGLRMVTLASATLVHAFDWEMPAGQTPDELDMEEAFTLLLQRAVPLMVHPVPRLLPLAYEIA >KQK92443 pep chromosome:Setaria_italica_v2.0:IX:55498635:55501917:-1 gene:SETIT_035454mg transcript:KQK92443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQTLNPHRHPAAVSPTPAPVPRRGHTPQPLLHLPPRRRLAGAAARPRAVAAAVSGPVNEAQRRRGAPRGGAGEEGGKETDLATLGNLCVDVVLSVPQLPPAPREERKAYMERLAASPPDQKFWEAGGNCNLAFAAARLGLRCSTLGHVGEEIYGKFLLDVLQAEGISVIGMLENTDATACRQAYETLLCWVLVDPFQKHGFCSRADFSEEPAFSWIRKLPADIRTAIHHSKILFCNGYAFDEFFPDVIASSIDCAIDAGTAVFFDPGPRGKSLLNGTLDEQRALEHALRLSDVLLLTSDEAESLTNVKNPIEAGQELLKRGIRTKQVVIKMGSKGSIMITKNAVSCAPSFKINVVDTVGCGDSFTAAIAFGFLHDLPAVNTLTLANAVGAATATGCGAGRNVAHLDKVLQFLREANLNEDTAWGELIEGSSLCPDVTVLCRTAVNGFSEHFVHVPVGDVVSDLLPMFEAVSERSTVQA >KQK90353 pep chromosome:Setaria_italica_v2.0:IX:41978179:41978596:-1 gene:SETIT_040779mg transcript:KQK90353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYQSESRKHRWFPKSKRLGKRGRGLEKPAAVLLYNVAVS >KQK89793 pep chromosome:Setaria_italica_v2.0:IX:35999531:36001250:1 gene:SETIT_0391401mg transcript:KQK89793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCAPQMGDVAKDLAAGTVGGAAQLVVGHPFDTIKVKLQSQPTPPPGRPPLYAGAGDAVRQTLAAEGARGLYKGMGAPLATVAAFNAVLFTIRGQMEAVLRSEPGVPLTVGQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALAAAAPAAAAAGAGGAAAATVAAPAVAVKYGGPMEVARHVLRSEGGTRGLFKGLFPTLAREVPGNAIMFGMYEAIKQALAGGQDTSQLGRGSLILAGGLAGASFWGPVYPADVVKSVLQVDDYKNPKYSGSMDAFRKILAADGVKGLYKGFGPAMLRSMPANGACFLAYEVTRSALG >KQK89234 pep chromosome:Setaria_italica_v2.0:IX:22298814:22301269:1 gene:SETIT_036892mg transcript:KQK89234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTMTQEAAAAAPPERPSSAFVLRSLQDYTVGAIPTLFYVPDFISQSEQSQLLHHIYQAPAPKWKSLKNRRLQNWGGVVHEKGLLPQALPSWLTRITDRIWQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYYPVVAIISLASPVVIDFTPHKRLKEQEHTDPQNRQTDELLGPSMMESNGSGSHECGTSNESDPASSSLMLMPCSLLIFKDQAYTDYLHGIQDNELHNLDKVANLSRCQELRHLNSDSIQGTMDEQHGTFRRTATRVSLTCRLVLKVHKKLFKI >KQK89235 pep chromosome:Setaria_italica_v2.0:IX:22298814:22300846:1 gene:SETIT_036892mg transcript:KQK89235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTMTQEAAAAAPPERPSSAFVLRSLQDYTVGAIPTLFYVPDFISQSEQSQLLHHIYQAPAPKWKSLKNRRLQNWGGVVHEKGLLPQALPSWLTRITDRIWQWTGLFPSAINHVLINEYHPNQGIMPHQDGPAYYPVVAIISLASPVVIDFTPHKRLKEQEHTDPQNRQTDELLGPSMMESNGSGSHECGTSNESDPASSSLMLMPCSLLIFKDQAYTDYLHGIQDNELHNLDKVKQ >KQK86902 pep chromosome:Setaria_italica_v2.0:IX:4371418:4372053:-1 gene:SETIT_039908mg transcript:KQK86902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNCEGAGSSEDDQDASCPEEIDPCAEDKELKHQLLRKYGGYLGGLRQEFTKRKKKGKLPKEARQKLLHWWELHYKWPYPSETEKMALAETTGLDQKQINNWFINQRKRHWKPTSEDMPFAMMEAAAAAGGFHAPQGAAALYMADSRTAFMAPDGMYRLGS >KQK86521 pep chromosome:Setaria_italica_v2.0:IX:2411099:2415598:1 gene:SETIT_034323mg transcript:KQK86521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTEPFDEAELLALPASPAASPPRRLKRLKKSSSQTTATTSTTVATTTSPPTGSPPPPSPPQQQASPGGETLAPSPSSPPNPSPRPPTPPDANAPTPLPHSSPAPVSSPLPPTDSPDNDEEDDGLDPLFSETVGAGGWDPLGAPAEGEDGDEEELLGGGLIEELRRENSVKKRLDMDEAERGMAAGAEAKGKRSKRKRQEEAPKESAREKKRSEKERRAQLDSIHAESQRLLRETRSASFRPIVQPVCKPISSLLEKIRLRKLEILKKSSTTTEDNDAASEPAIDSAVRLDVPQVKEVTRDDKDLRIDGVEELGTNGHELDQCGVAEDEDDLNCKEKDLHTKASDEEASDRSQENHEENTQSSEKHNDSVDQTQLPPSSSPAKKSTDDESSSEDEEEEDNNKENIEPSTQDNDVNTREHLQRAIGGDSCPDNAILKDFLDDEAEEEDDSDNDMMRFKDDDEDDGSDENEVFNDLIAAGYEEKEVDHDKRNELHQKWLQQQDAAETNNVMQRLKFGHQEQKSVHEDEDEDIEDSENESEKEMSYDLTPANVVRQNSEKAKQMIAKMFADDNDTYDHSDDEEIEEYLARQRISKREVDNNTFISPLEDDSSREVFGLIKKLNIAPQPERRGKQSTSNHELLMTGKNSSASKSSFLGRTASGSMVSSYRSVHRSYVFGRDDSNSSTRSCMSTSESFSDMDQTNSSQPKKAKFSSSQQKPVGPRANSKSDTNSGVSLFEILRRTSSVTSDRQELSSQESCSTITESQAVHQFSAFKLSRRFSRVGARN >KQK89881 pep chromosome:Setaria_italica_v2.0:IX:36678026:36679005:-1 gene:SETIT_039158mg transcript:KQK89881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPIDEKLSSRGRKRTRATMLGVNHQVWRPVRRSPSPPTTDSDSSSWTTDDDADAGSSSWTTDDEADVADTAAVTTTSSTIRDGTTPTANRTPTSVEPPSNPAKRISCLLVLFLLLAPFLLRRCTDRPLSVVSRICLLVCSYLFLTMGAFTVFRYVSHLPLLAFSITRTLSPFAGMAVLYLSTISTAGLCGSALAERRQRDGVEKSAAAVKINDKADRTTPAMSAPLLSLACAVRVLWMCFSIGPEDAVAAVFELSFMVIACLFSWTLFVDGCLLHCALFSGQALGMLGVAGCIFLYVMLFALAILFGKPLQLPSPG >KQK86999 pep chromosome:Setaria_italica_v2.0:IX:5006149:5010530:-1 gene:SETIT_039884mg transcript:KQK86999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMDSYNNIESSIADGLDCRPLKKAKCEQLNDCDLSPSPPSSTSLASSCDNIESSNVYDLDAQPLKEEKGEQMNDLDISMSPPSATTLPSSSPEKDPYIIDSIVAKKVSCSDWIDFESDNDRRILLDDKKHENHHEQFKVDQTYDYLPQDYEMTDLDYCALITIETSLESDILVKIDDIFVTQSQLSCLLDPKKFLNDDVISAYICCIKYQTHLESRNDVKFYFENPFISVILKRDGKLGVGQDGNHMTKIVRNYLKHEMILIPINIKETHWYLAIINTQKCEIQVLDSSCWDSNRGDLADTLQGLQFHLDKIGRQQNLISHNWKDLQVISWIITEQLQEPIQKDGSSCGLFMLKFMEYWTGDSLSHPITQEDINCFRYKLAGILLCWKTNTAQTTPKNISLLGNSDDQKEPKATDSLLEETKYQSLMSILSKISENELIGGLCDYIKSINCPETLEEVWVRNSKPYSISLTVRKLQEILKEDLPMDCDCMNLVIRKFMFDEIQMMKKTKGTISKHYLDTRFWMITDYGRHPNFRKKLDVDQLAETVSSWPGVNYSVSRCKLVRHHFPIPISTTYKHIQFNKTFILFILNQDTRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWSEDVFLWRQIILSDVPIKNRELSGYLVSLFMCIWKDEELRLPILKDGYELRKQFMAQLLTYKENECEDNLPAGVRDFLRCINATQS >KQK87271 pep chromosome:Setaria_italica_v2.0:IX:6340725:6341216:-1 gene:SETIT_040367mg transcript:KQK87271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNSECDKNRKFNISIDSHKAIRDELLFLFVSASMRIYKCRW >KQK90977 pep chromosome:Setaria_italica_v2.0:IX:46958339:46960893:1 gene:SETIT_035055mg transcript:KQK90977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEAECAAAPAAADAVGGGGGRAEVDTSAPFESVREAVDRFGGSAAWFAPSKKHEHSEQAAEAIDVQEQAAQLENEVARKERETLDVLKELESTKKVIADLKLKIQKEATETSPDEAVKSEETDQVSAPGPEEQQPENVTVDMDGMEGIEENPQLSSGSVLVELEQAKEILNRTTSDLAQVRAAVELLRNSIAKEKILLERGQEKLSSNTSLVSHLEDELDQTAQKLETLKDLQKRRKDPSDIFIEIKKMTTEVQQLRSMANDSKSEAMLLAAEIEQAKASISTAEIRCIAAKKMEEAARAAESLALAEIKALLSSQSSFEGDNSSDGVTLSIEEYFTLCSKTLEADENSKKKVGDAMLQVDIANSSESESVKRLEDAKVEVEECKKALQEALKRVEAANHGKLAVEEILRRWKSESGHRKRSICGSPKFKNAAHPRKDSHSMDITDDVSDRSFKQTLSIGQILSMKLMGPDGYDKSVWDDKASEMPNISLGQILNRSGVLCREDMAPRKRISGKRKKFALTGLSVLLAKQSKSKKKRESF >KQK89420 pep chromosome:Setaria_italica_v2.0:IX:28447954:28449741:1 gene:SETIT_039977mg transcript:KQK89420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSSSAVEPRRRHDHDHAPRPPPHARFTSSEFSPSPPPKLHSPPLSSAVHGSSGGGLTNPRPPLPPAPSSGTTGELPRTGLLFHHRPPKRNPESTAENFMYELVTEPKGQQEQQEDSVQGPEEPSAKQQKEGKPQSIISNFKIMQCS >KQK91344 pep chromosome:Setaria_italica_v2.0:IX:49344372:49348051:-1 gene:SETIT_035109mg transcript:KQK91344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAATMTWHEDLATLVGDTGARFPGAGGDAPAAANVAAAGAGWYGEEEEGRTEEGWAQQAKGFAESTAEMLLELGRGLWDVAAQSLAGAEDSELARRLRKRAAATGERLSFMNEYLPEERDPVRCWLIVAGVAFVTLLVLGVGSGNETPVELPKKLIISPPSANRIQLPDGRYLAYEEQGVSADRARFSLIAPHSFLSSRLAGIPGISASLLEEFGARLVTYDLPGFGESDPHPGRNLNSSALDMLHLANGLDIPDKFWVVGYSGGGMHAWSALRYIPDRVAGAAMFAPMANPYDSKMTKDERHKTWDSWSTKRKLMHILARRFPSLLPLFYRRSFLSGKQGQAESWLSLSLGKKDKTLLDGPEFNAFWERNVAESVRQGDARPFVEEAVLQVSDWGFSLSDIQMQKKEDRGFFELIKSFFNQVEREWVGFLGPIHIWQGMDDRVVSPSVAEFVRRVVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPINPAPQPSELASELAEETTAPDNVTEQEQGKSSLA >KQK91343 pep chromosome:Setaria_italica_v2.0:IX:49344015:49348156:-1 gene:SETIT_035109mg transcript:KQK91343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAATMTWHEDLATLVGDTGARFPGAGGDAPAAANVAAAGAGWYGEEEEGRTEEGWAQQAKGFAESTAEMLLELGRGLWDVAAQSLAGAEDSELARRLRKRAAATGERLSFMNEYLPEERDPVRCWLIVAGVAFVTLLVLGVGSGNETPVELPKKLIISPPSANRIQLPDGRYLAYEEQGVSADRARFSLIAPHSFLSSRLAGIPGISASLLEEFGARLVTYDLPGFGESDPHPGRNLNSSALDMLHLANGLDIPDKFWVVGYSGGGMHAWSALRYIPDRVAAMFAPMANPYDSKMTKDERHKTWDSWSTKRKLMHILARRFPSLLPLFYRRSFLSGKQGQAESWLSLSLGKKDKTLLDGPEFNAFWERNVAESVRQGDARPFVEEAVLQVSDWGFSLSDIQMQKKEDRGFFELIKSFFNQVEREWVGFLGPIHIWQGMDDRVVSPSVAEFVRRVVPGATVHKLLDEGHFSYFCFCDECHRQIFSTLFGIPQGPINPAPQPSELASELAEETTAPDNVTEQEQGKSSLA >KQK91096 pep chromosome:Setaria_italica_v2.0:IX:47751386:47752423:1 gene:SETIT_038154mg transcript:KQK91096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDSSPVMTDSERRAYRYGHPAAPRLRGMRKSWSNDSLAGYGAYGGGGRASCVCAPTTHPGSFRCKHHRHAASNLGAATAAPQAVAKHDEEQETAPDADEADKSS >KQK86308 pep chromosome:Setaria_italica_v2.0:IX:1240754:1243715:-1 gene:SETIT_035618mg transcript:KQK86308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPANGKRSPPPPPPPGRCHFWLPNKRRHCANSPLPSSQYCGNHLPESASGAGRRVPCPVDPSHTVLEENLEEHVGKCPLKKQVAALAAQPYYSKGINSGGSEAGRGITSAEKRAAVYRLTDDEFRGLLGKIRSVHAAAAVAMRESYLITDACDKWMSGQVDRKVPYQEKHVAQQASIVGNMEAFGLLRKGGADVVGGENVAVSAQAVMEFGAGRGYLTQVLVDCYGIRNVFLVERRSYKLKADRSLRQNEDVTLERLRIDNKSFLSGLGITEEEFHAITWFSSWAVDGDHSSPGSYAEVEDTSSEVREPEKPDPEIIGIERIIRSIPTGERASLGFMCKDIIDTGRLLWLRHKGLVADLVSYVPSNISPENRLLIAKCTS >KQK86309 pep chromosome:Setaria_italica_v2.0:IX:1240869:1243715:-1 gene:SETIT_035618mg transcript:KQK86309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPANGKRSPPPPPPPGRCHFWLPNKRRHCANSPLPSSQYCGNHLPESASGAGRRVPCPVDPSHTVLEENLEEHVGKCPLKKQVAALAAQPYYSKGINSGGSEAGRGITSAEKRAAVYRLTDDEFRGLLGKIRSVHAAAAVAMRESYLITDACDKWMSGQVDRKVPYQEKHVAQQASIVGNMEAFGLLRKGGADVVGGENVAVSAQAVMEFGAGRGYLTQVLVDCYGIRNVFLVERRSYKLKADRSLRQNEDVTLERLRIDIEDLNLHGIEALRGLKYLAIGKHLCGPATDMTMTCCLPEQYDQTEERVHGKHSLQGLALATCCHHLCQWKHYANKSFLSGLGITEEEFHAITWFSSWAVDGDHSSPGSYAEVEDTSSEVREPEKPDPEIIGIERIIRSIPTGERASLGFMCKDIIDTGRLLWLRHKGLVADLVSYVPSNISPENRLLIAKCTS >KQK90006 pep chromosome:Setaria_italica_v2.0:IX:38485063:38485605:1 gene:SETIT_037915mg transcript:KQK90006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLALLLAVTLLSAASTSSGCGSACPSPGTPYPQPPSGGGGGGGGGGGGGGGGGGGNSSSSCPIDTLKLEVCADVLQLLRLNIGVPDDEQCCPLLQGLADLDAAVCLCLAVRANVLGIVLDIPVDLTLLLNYCHKDRVAGFICPAN >KQK90868 pep chromosome:Setaria_italica_v2.0:IX:46286272:46287104:-1 gene:SETIT_040384mg transcript:KQK90868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQLRRRWLWGTGRRRGDRGEQERRRLVAPSREQRRRRRRPLLPLGERGHGGRGRTTRRQRARRRGPQGGGGQAAGGRPQVLGGVPGVGLPLRLGSRPDQWPRQSAGLSKFGLGTSLQVTATVACVFGVSKIHSSKKMQVCSCSNRPSSLVILSFRKSCALCIYFLHFTLGYFFSSWCKTGAKKK >KQK86331 pep chromosome:Setaria_italica_v2.0:IX:1327255:1327761:-1 gene:SETIT_039847mg transcript:KQK86331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAKPSDAAAAAPVTGVPVGGPPVAAGNATSQWSSGLFDCFDDCGLCCLTCWCPCITFGRVAEIVDRGATSCGTSGALYALLAYLTGCQWIYSCTYRSKMRAQFGLPENPCCDCCACALCQQYKELKARGFDPELGWDLNAQRGAGAGQAMYAPAAQGMGR >KQK88951 pep chromosome:Setaria_italica_v2.0:IX:18957521:18958273:1 gene:SETIT_038718mg transcript:KQK88951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRWLGMWGVLAACAAAGAAAWSPGTATFYGGADGSGTMGGACGYDNLYNAGYGINNAALSTVLFNDGASCGQCYTITCDGSRPGGQYCKPGNTVTVTATNLCPPNYALPNGGWCGPGRPHFDMSQPAWESIGVVQAGIVPVLYEQVKCSRSGGVRFSIAGSNYFLLVNIQNLAGSGSVAAAWVKGDKTGWIQMSRNWGANWQALAGLVGQGLSFAVTTTGGQYIQFLNAAPAWWQFGQTYNTYQQFVY >KQK86073 pep chromosome:Setaria_italica_v2.0:IX:179473:181182:-1 gene:SETIT_034929mg transcript:KQK86073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYMVARVSQHAAAYNAQPQESVAVAVADHRPPPVSQRTRGSAMTQHRRRQALEQEVADLQKQLSDEQTVHQILERALQPSSARSAVLSIPAFIPAKAKELLAELLLVEEEIARLEDQIKTMKQGGRGGMTMPPPEPDAPPPTTNSKSPRPSAAGNNNHLNKSMFFISQAMAAMDKHVATPMGSSPKQNSINFALPPPPTRSRHSLDKQQQPAKTTILHELPISSKQSVNPNKLSERIVKCLICIFIRLLRSSRVADMDKSGNLATSFSFRIDTGLNVAAAAAAKDKDKDRGQQDHYGIFAIQDAIVRDIGPYKNLVRFTSSSSLDLRGFSSSPLLTKLRGMLEALQQVDLRFLNHQQKLAFWLNAYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQKFNALVIENFILRQPSSVKEEFWKCDVDVEEQQVRELYGLKSSEPNILFALCCGIRSSPAVSDCILASTN >KQK86074 pep chromosome:Setaria_italica_v2.0:IX:178846:181357:-1 gene:SETIT_034929mg transcript:KQK86074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYMVARVSQHAAAYNAQPQESVAVAVADHRPPPVSQRTRGSAMTQHRRRQALEQEVADLQKQLSDEQTVHQILERALQPSSARSAVLSIPAFIPAKAKELLAELLLVEEEIARLEDQIKTMKQGGRGGMTMPPPEPDAPPPTTNSKSPRPSAAGNNNHLNKSMFFISQAMAAMDKHVATPMGSSPKQNSINFALPPPPTRSRHSLDKQQQPAKTTILHELPISSKQSVNPNKLSERIVKCLICIFIRLLRSSRVADMDKSGNLATSFSFRIDTGLNVAAAAAAKDKDKDRGQQDHYGIFAIQDAIVRDIGPYKNLVRFTSSSSLDLRGFSSSPLLTKLRGMLEALQQVDLRFLNHQQKLAFWLNAYNTCIMHGILQHGLPSNSEKLLALKNKATINVSGQKFNALVIENFILRQPSSVKEEFWKCDVDVEEQQVRELYGLKSSEPNILFALCCGIRSSPALRIYKADRVAMDLEKAKLEYLQASLVVTSTRRLLIPSLIHSSMHDFAKDMESLLRWICEQLPTSWSLRKSMVECLSLRGHTHHLINMEEVVDVIPFDYEFQYLLPM >KQK87361 pep chromosome:Setaria_italica_v2.0:IX:6883634:6884635:-1 gene:SETIT_040383mg transcript:KQK87361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAYASSRLVEALHRPPAVGVRQPRRPLLLLSLVLLSLAAVVAILRAPRIAIRRGAAPGSGPGAA >KQK87428 pep chromosome:Setaria_italica_v2.0:IX:7264452:7269538:-1 gene:SETIT_038303mg transcript:KQK87428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVSEDGDRRRTAHAAGYGDLGRTLLDLQAAADQIFDAVTKRTAEEREKLSGISTRIKAAKRSQRSDGVRVESDVNPSST >KQK90394 pep chromosome:Setaria_italica_v2.0:IX:42329463:42333762:1 gene:SETIT_034169mg transcript:KQK90394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARMAKGFSQEVTEFDRQMGCMAGMFQIFDRRRLLTGRRRGGSPGTGNELPPGHDLPSSSTYALVHNSANPNTTPENSFSKSMAENTILSMESSRVSSSSSSCSSLSSLDGSKPVQQELPYINKDPAVGRTVRSSRSLKSCNKEVKSKRRNTDFRDVVKDSINREPGVLAIKTTTMAQRNGLHKDSPRPLLISKSTDGTYVIAIDRSSELPAYTDESRRQPRFSCDDRQLLQQVEAQDSQMPSSKLRELPRLSLDSRKESVKPSSHLKNFGCAKTDDSLYDNVKSQESPSHRRASGVIAKLMGLEETIDSSGPARSHRQAPDIQNGNPSDIPRSIHPDPSVSQLMAQPPILKTKPSTRIVPEAAPWKQQERGITRYYDEARPISVSTYDDIERRLRHLALSECNKDLRALRILGNLHTKHTPCQRDYSARLLPIQKATAEGNTTGKDLQSPVVINKTARRIMRSKASVAPLAAPKVHRKLQHEERPFTRKSDSSGRKKIHSHNKRDHSRAEEAVGSIISPPPSRSLSPRLVQKSDCGRIPLAVPLMSPAKTLNEVVSPRGRLRSKASQANSICRDDKMSIIPESRICLSKQETPPILSSDKKNIHTLENIPSPISVLDATFCQDGLSPSLRSMSNSFQDVATHTLDECWNPVSLPDTPTLKKNIEGNHIIPENMKALIQKLELLQLLSDEAPSTNDNLLTVTANKDRHYIYEILSASGLLRSELSSKMIPCRFQRNTPLSFEVRSQFQLPSYTINPEVFLILEQAKPAAGKLHRKLIFDLANELIAQKIHGGGSVRQQVQMIICKKSSGWHLFKELCSEIEMLQPEASIIRFSEEEEEERKLAKNAVHEMGKWKSFDSELQGVVLDIERHIFKNLIDEVISSEAMRKV >KQK87524 pep chromosome:Setaria_italica_v2.0:IX:7779518:7779871:-1 gene:SETIT_040377mg transcript:KQK87524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPHFHHNEAWEDQVVIPTVLFICLDIVSTMFSYFLTLSPR >KQK86624 pep chromosome:Setaria_italica_v2.0:IX:2960513:2962466:1 gene:SETIT_036782mg transcript:KQK86624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPPLTSWRWRPLLAAHPRANRSPALRPRAPASPLWTSIPGNPCAGAAVARTPCAAAVSGGAKAEVTEREAPDWDGLKRVALVALGCCAAAAVLGCGAARASAEDSIRASGFGLRVAESLRRLGWPDDAVVFALATLPVIELRGAIPVGYWMRLHPVRLTVLSVLGNLVPVPFIILYLKKLATFLSQRSASATRFMDLLFERARRKAAPVEEFQWLGLMLFVAVPFPGTGAWTGAIIASVLGMPFWSGFSANFMGVILAGLLVNLLMNLGLKYAIITGVVLFFVSSAMWSVLRSLKKSVNAK >KQK92515 pep chromosome:Setaria_italica_v2.0:IX:55908828:55909758:-1 gene:SETIT_040025mg transcript:KQK92515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRRAPSYLLLLVVAAASRLVPAAAGDDGGWSKGTATFYGGGDASGTMGGACGYGNLYWSGYGTDTAALSSPLFDDGASCGQCFTVTCDAASSQWCLKGKSVTVTATNLCPPNYALSGDEGGWCNPPRRHLDMAQPAFLQIAQCKGGIVPVLYQRTPCMKQGGVRFTMAGSNYFVLVLITNVAGTGSVKAVWVKGSNTDRMPMSRNWGANWQSLAGLTGQALTFGVTNTDGKTVVVTDVVPAWWKFGQSFTSGVQFSS >KQK86659 pep chromosome:Setaria_italica_v2.0:IX:3176635:3178680:1 gene:SETIT_036532mg transcript:KQK86659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAIKAASTSYSTFSPADQPSLSRLAATAAVKMPAGRGKAAAAVVIRAVAAAAAPLSPAPARSAGKRCLPVSQTMARLKAHGKTAFIPYITAGDPDLATTAEALRLLDACGADVIELGVPFSDPYADGPVIQASTARALASGTTPDGVLAMLKEVTPELSCPVVLFSYFNPIVHRGLADFAAAAKEAGAHGLIVPDLPYAATCALRSEAMKNELELVLLTTPSTPEDRMKEITEASEGFVYLVSVSGVTGPRANVNARVESLIKEVKQVIDKPVAVGFGISKPEHVKQIAEWGADGVIIGSAMVRQLGEAGSPKEGLKRLEEYARSMKNALP >KQK92212 pep chromosome:Setaria_italica_v2.0:IX:54404092:54405164:1 gene:SETIT_039623mg transcript:KQK92212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEQDTSHAPTPPSTPRWPSPLVIFSACLVLLGAGGLLLLRVYFVHGVRRLWLSTLSQLSGWPLLVPPICISLFRGRREGIANHLLPARLVGAVALLRGLFAVACFVYSLGAQSLPVSTSSLLLAMQLAFNAVFAFLFVGLRFTPFSANAVVLLTLGPAVLGVGPPSGKPAGEGSRTYWTVFCEAIAAAALAGLVLPLVEVAMARYGRRTGAAARVPPPYVTVMQMQVVMGAAGTMVCLLGMAVKGDFRAMPREAPEFGLGKTKYCLVFIFEAVSWQLFNLGIMGLIICVSSLLAGKFDGTNGIALVLSLWGFVSYLYGENAQKKLEAKKIAPQACH >KQK87648 pep chromosome:Setaria_italica_v2.0:IX:8429498:8434739:-1 gene:SETIT_034827mg transcript:KQK87648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRAGGSALLALAVLLAASSCLQARVDQLHHGRQVSDWSRKHNFELQNFSSSQMDDLHLLGRPEEITRRKLRDRRTGVRKKMEVVQQDDEALVKLENTGIERSKAVDSAVLGKYSIWRRENENEKADSRVRQMRDQMIMARIYSVLAKSRDKLDLYQELLARLKESQRSLGEATADAELPKSASERIKAMSQVLSKARDLLYDCKAITHRLRAMLLSADEQVRSLKKQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLSPEKRKFPNSENLENPDLYHYALFSDNVLAASVVVNSTIMNAKEPEKHVFHLVTDKLNFGAMNMWFLLNPPGDATIHVENVDDFKWLNSSYCPVLKQLESAAMKEYYFKADRPKTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQRDLTGLWEVDLNGNVNGAVETCGESFHRFDKYLNFSNPNISQNFDPNACGWAYGMNMFDLEEWKRKDITGIYHKWQNMNENRLLWKLGTLPPGLLTFYKLTHPLDKSWHVLGLGYNPTIERSEIDNAAVIHYNGNMKPWLEIAMTKYRPYWTKYINYEHPYIHGCKISQ >KQK92801 pep chromosome:Setaria_italica_v2.0:IX:57283415:57286678:-1 gene:SETIT_036147mg transcript:KQK92801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHELRSSSGIQRVHGQPVLLSRISSYSAMNNPVFSNVHSAYNVPLRSYHGMDATVGLPSVMTSSPFFASAPKEKSFSGFMVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFARTMKDEGVISLWRGNTANVIRYFPTQALNFAFKDHFKRMFNFKKDKDGYWKWFAGNLASGGAAGACSLFFVYSLDYARTRLANDAKVAKKGGGERQFNGLIDVYRKTLASDGIAGLYRGFSISCVGIIVYRGLYFGMYDSLKPVLLVGSLQDNFLASFFLGWCITMGAGLASYPIDTVRRRMMMTSGEAVKYNSSLDAFKQIVAKEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVIMFGKTYGSGGA >KQK88417 pep chromosome:Setaria_italica_v2.0:IX:14067237:14070219:-1 gene:SETIT_040504mg transcript:KQK88417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQRRGASGRPSGTDGSDFSYRMVVDSRYQRVAEGRSRLARLILVQALHQVAGDALLLLSLSKGKEVNKFAALSAAAGLLAIVVGELGRRRTMAVLLRLYTSLSSIAVAFSVTCIIRSELFLKFMKQNTEAITSYEMFDAVRVALGILLQMVVIATTTRLLQNMSPPRRAS >KQK88416 pep chromosome:Setaria_italica_v2.0:IX:14067237:14067889:-1 gene:SETIT_040504mg transcript:KQK88416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQNTEAITSYEMFDAVRVALGILLQMVVIATTTRLLQNMSPPRRAS >KQK87806 pep chromosome:Setaria_italica_v2.0:IX:9459571:9461087:-1 gene:SETIT_038709mg transcript:KQK87806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGHGGGAARRRARGHPPPPPAAGSRRVPVRLCAAWRAVVDAHRLLRADLLPLSLGGIFINFNNYYISELFSCPSTAGRPSVSGKHDYLPEPTSRSWSEVHDHCNGLVLVNGFDDDGICWYVLNPATRWVARLPPLPPPPMEMDTYQDMYLVYDPAVSPDYDVVSVSHLLYKELPGYPDYDSSIDDRDPAVEQSEWPPLVCTLHAFSSRTGQWEERSFAREGGSAGTVADMRDDLREQRNAMEWVLKNDRDLRKCLLKHKLGYSRRPGCGYGRADTNCYYDEYDKDDNMEAPVEEEFEFSSQVSEDEESAWNSDDGYHGCMDILGFHPFEEIIFLSESITRGLAYHFNSSKVEVLGNIYPAAYGKELGNEQLIMSSFPYTPCWL >KQK86061 pep chromosome:Setaria_italica_v2.0:IX:110652:114309:1 gene:SETIT_036810mg transcript:KQK86061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKTLIWALQPLSFLRLRFCPLPRSPPSKQQQQEKSRSARAGETSPSFAMQPIKVYADRRSQPSRAVIIFCRVNQIDFEEVTVDLFKSQHLTPQFRKINPLGQVPAIVDGRFKLFESHAILRYLASVFPGVADHWYPADLFTRAKIESILDWHHSNLRRGAATLVMHTALAPFLGLTTSPDAVKQAEKLLSQSLERIESVWLKGGAKFLLGSPQPSIADLSLVCEIMQLEILGDDVRDRFLGAHEKILVWMDNVKKATSPHFEEVHELLFQVKARMLSKAAAANQTSEPSTKHKIASKL >KQK88449 pep chromosome:Setaria_italica_v2.0:IX:14371854:14372949:1 gene:SETIT_0354532mg transcript:KQK88449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQPRARYPPGYGSGGGGRGGGGGNGGGGGGGGGGGNHNYYGRNPQPQPQHHHHYQHQQPQQQQQQQQLAHRNSSHLHQQWLRRDQAAASAAGPGDAAGRTASHLDAVDSSSQDWKAQLNIPAPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTG >KQK90360 pep chromosome:Setaria_italica_v2.0:IX:42061579:42064331:1 gene:SETIT_038783mg transcript:KQK90360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRWIHDCQLFTPKHEKGVNDFKEFVKSRYSDEKQILCMYRGCLSQFRCTIDVVSLHLLLTRMASTYTRWIHHGETFEDRVQENANLQDNGEDGDQEMEDEATEDGLPKMIANMYHAKELPEMIVDMYHAKEFGRKMLECIKEHINRKVSPGSKYTQFTFVMKLLHIKSFYRISNVAFNGILKVVSLAFPGACVATSYDDAMKYIRAMKCFSATMYLAVSELGNFFRQLCCKTLKLDVLKKLKTYIPIILCKLEKFFPPAFFDVMVHLAVHLPDEAILRGPMYPVERRLCTLKRSVRNMARPEGSISEAYVANEALTFCSRYFADDNVDTQFNQEGRNRENAKTSFLDLDYNKLVWYVLNNCEEG >KQK91112 pep chromosome:Setaria_italica_v2.0:IX:47875212:47877234:1 gene:SETIT_037152mg transcript:KQK91112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAPPPPSRARIRPWLVAGDLALAAAWVCAGALVKLLVYGPLGFGGRPEAEAVKVSLSLVYMFIFAWLEAATGGASYNPLTVLAAAVASHGGPAVYLFTAFVRIPAQVVGAVLGVKLIQFTFPNVGKGARLSVGAHHGALAEGLATFMVVMVSVTLKKKKMKSFFMKTWITSIWKNTIHILSSDITGGIMNPASAFAWAYARGDHTTFDHLLVYWLAPLQATLLGVWVVTFLTKPKKIKEQEADENKTKKE >KQK87876 pep chromosome:Setaria_italica_v2.0:IX:9901607:9903250:1 gene:SETIT_036318mg transcript:KQK87876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSNVAGGVKKEAVDAAAQGTPLLRAGGVVKKEPVDEPTDAGKDELRHEEAEASAAKRRREEESGDEAVGVGRKKRQRVVAEGLSLGRERKRDADPATRRTTTGADATAAAIVPQCRCSMLLSMPPLQRLLDACRDVFKGTPTPPSSIIVPFICRLMGRIGPHDVGLMDDLDYFHRMNAAARQTPPIITCKTIYSCSNFTIAVFFLPQRAVMPLHDHPGMTVFSKVLIGSTHVEAYDWLRPRVSGQGSPAAMLAEKVLDHNVTAASDAWVLFPDTGGNMHRFVVDGHCAFLDVLTPPYAPAEQRSCTYYEDLPYDELDPCAVSSGLTEAQRRRQLAWLREVPQPKDLRIANLPYQGPKIF >KQK87547 pep chromosome:Setaria_italica_v2.0:IX:7867371:7868717:-1 gene:SETIT_040021mg transcript:KQK87547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIVIFPWLAFGHLLPCLELAERLAARGHRVSFVSTPHNLARLPPVHPALARLVDLVALPLPRVDGLPDGAESTSDVPHDRFELHRKAFDGLAAPFAAFLDAACAAADGRRKPDWVVADFIHHWLGDSHAEQRQAIGHSMSAAPAFEAQHTTEAFATEGASGPSIISRFVQTLTRSRFVAIRSCPELEPDAFPLLARLYGKPAVPLGLLPPQPDGTRKSTEDDATLRWLDAQPAKSVVYVALGTEAPLRVELLRELAHGLELAGTRFLWALRPPVGTDEASIIPGGFAERTGGRGLVTTRWVPQVSVLAHGAVCAFLTHCGWGSIVEGLQFGHPLIMLPTFGNQGPNARLMEGRQVGVPVPRDDKAGQGVPTEARKLQEIVADRECHERCIDGFIQHLRSCKDE >KQK89757 pep chromosome:Setaria_italica_v2.0:IX:35666713:35668066:1 gene:SETIT_036431mg transcript:KQK89757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAAAAAAADPSSGDTHPTPRYAIGYALAPKKQQSFIQPSLVARAAARGMDLVPVDASRPLAEQGPFHLLIHKLYGDDWRAQLEAFAARHPAVPVVDPLHAIDRLHNRISMLQVVSDLDHAADKDRTFGIPSQVVVYDAAALADSGLLAALRFPLIAKPLVADGTAKSHKMSLVYHREGLGKLRPPLVLQEFVNHGGVIFKVYVVGGHVTCVKRRSLPDVSPEDDASAEGSVSFSQVSNLPTERTAEEYYGEKSLEDAVMPPAAFVNQIAGGLRHALGLQLFNFDMIRDVRAGDRYLVIDINYFPGYAKMPGYETVLTDFFWEMVHKDDDVVVQEEKESNHVSVK >KQK88185 pep chromosome:Setaria_italica_v2.0:IX:12221645:12223112:-1 gene:SETIT_040261mg transcript:KQK88185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYELAMKILVLSLVLVAVAGSIPPSKMLRRVPAAIYVFGDSTMDVGNSNYLPGKNVPRADHPYYGIDMPGSGKPNGRFSNGYNTADFFAQSMGFMSSPPPYLSLAPSSNNLVQTAFATGVSYASSGAGILDSTNAGKSIPLSRQVQYFSATCSKMVASKGSGAVSALLSRSIFLIGIGGNDLAAFANAEPAHSDVAAFYDTLISNYSATITELYAMGARRFAVINVGFAGCLPVARALDAAGACSDTRNELAAGFNGALRSLLAGLVPRLQGLAYSLADSYGIMAAIFADPLASGFTDVASACCGSGRLGAAGCLPTSAVCADHDRNYFWDGIHPSQRAASVRTRAFYDGPAQYTTPINFKQLVVASGTQ >KQK91406 pep chromosome:Setaria_italica_v2.0:IX:49625695:49627947:-1 gene:SETIT_040245mg transcript:KQK91406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVWSTAAAPVEASDAPPGRSSRTPSLKLNQLLALRSPSSVSKATTDTSGHLVVDVHPTATSDDGDGSSRPADDAFIDRPAVHFVLAFDDLTYSVKRPRSSFRLSRHEGTGADGARTRALLDGISGAAREGEILAVLGASGAGKSTLIDALAGRIQRESLRGAVALNGEAMDGRLLRVISAYVMQDDLLYPMLTVAETLMYSAEFRLPSSLSASKKRSRVQALIDQLGLRAAADTIIGDEGRRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQHIAQSGSVVIMSIHQPSYRILGLLDRLLFLSRGRTVYYGPPAGLPPFFLEFGHPIPDGENPAEFALDTIRELEGTPNGTKELVEFSKSWQEKPLSRTISAASSGPEHKPSLTLKEAIGLSIARGKLVSGTSPGGGGGSSTSASTAKVATYANPWWVEVWVLTRRAFTNTRRTPELFLIRLGTVTVTAFILATVFWRLDNTPKGVNERFGFFAIGMSTMFYTSADALPVFLVERYIFLRETAHNAYRRSSYTLSNAIVALPPLVLLSLAFAGITFFAVGLAGGAQGFAFFALIVLASFWAGSGFVTFLSAVVPHVIIGYTVVVAVLAYFLLFSGFFVTRDRIPSYWIWFHYLSLIKYPYQAVMQNEFGADPGRCFMRGVQMFDGTPMGRLPEATKVNVLNAMSRSMRVDFNSSSCITAGPDVLAKQAVTDLGKWACLWITVAWGFVFRVLFYLTLLLGSRNKRR >KQK92661 pep chromosome:Setaria_italica_v2.0:IX:56649086:56649823:1 gene:SETIT_040494mg transcript:KQK92661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGLRQYAEYLGHFNGCCVLLTATVIQRNSRWALLFVICLDVGLDQCQYVWCSNHHAVHSCVFSFFLKKIMSVKC >KQK90151 pep chromosome:Setaria_italica_v2.0:IX:40197792:40198619:1 gene:SETIT_039972mg transcript:KQK90151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAPAHGGVEDSERARGAGAAWVEKEHMFEKVVTPSDVGKLNRLVIPKQHAERYFPALDASAAAAAAGTGGKGLVLSFEDRSGKAWRFRYSYWNSSQSYVMTKGWSRFVKEKRLGAGDTVLFSRGAGQGPPRARLFIDFRRRRQDLAFLQPPLASAQRFLPLPSVPICPWQDYGAYGASAAPVPSSRHVLFLRPQVPAAVVLASVPVSVAASTVEATRSKRVRLFGVNLDCPPDGEDGAGVTRAASTLLQLPSPSSSTSSSTAGKDACSLDLGL >KQK91028 pep chromosome:Setaria_italica_v2.0:IX:47231439:47234167:-1 gene:SETIT_039426mg transcript:KQK91028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKGKRRSARLLKLEEEKNDGDSAGVCLLDPWQIIRNSISDNSTSQSSVGQIIEYILDELELRDRHELFAMPDDIQVTDYAERVSRPGDFATLRQKNKDGMYTALEQFENDVYMVFQRAITMNSQNTVPFREAMSLLDQAKQVFMSLKNNQMFSETELAAWRKRYLDQLQQPITPEGREVGNRGPPRHAAATPSKLPATTPRKKSAAETKKQENASAGAGGNTPENQRARQRGAKESKGTAPGKKARKDAATTAGAAGASVVARRRLTYNEGAGADQGWRTMARPVFQGRHVTFNSLPQEHTYRNSLHGFVRHAGLKARVAAEFRTLECVARARHSHVPQCWNGFAPGAGFLPPTPRPLGAAAAAAPEATPARPPSAADLAAAAPECKLETDEVLKLFVLMGTPAAFLERAKKMFGEGEREESARKDGQGQATRAADGARVGAATAAETGQKRGASEPSAAAAACGPFAPPKLVPGRLGFGQFAGSSAQPFKLKSKPSTSSNAASKKKIS >KQK88463 pep chromosome:Setaria_italica_v2.0:IX:14433510:14434131:-1 gene:SETIT_040708mg transcript:KQK88463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYWKYWPYILNKHWKLGKLLKHWKLLQGRSAYMMEQCISFFNMKTMELDHGSIISNHSIL >KQK89700 pep chromosome:Setaria_italica_v2.0:IX:35152051:35153205:1 gene:SETIT_040106mg transcript:KQK89700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMEMVPGQAAACWTAPSPCKTTRAPPLFFRRRIMITATATRSRREAAAMSAPEKADVLRSLDGWAESNLLPLLKPVERSWQPHDLLPDSASPGFREAVDELRARARELPDDYYVCLVGNMVTEEALPTYHAALNSFVGYDRPTAADAWARWSRGWTAEENRHGDLLNRYLYLCGRVDVRRVEQTIHHLITAGMRLPSDGCPYRGFIYTAFQERATAISHGNTARRAGQMGDAALARICGAIAGDERRHEAAYTRVVAELFRLTPDAAVRALGYMMRERILMPAAHMFDGRDPDLFRHYAAVAQGLGVYTTADYADLVEFFVERWGVAGLGHGLTGEGRRAQDYVCRLPERVRKMDRLDARRRQQQPRRVPFSWVFDRQVELRL >KQK91421 pep chromosome:Setaria_italica_v2.0:IX:49718007:49720945:1 gene:SETIT_035835mg transcript:KQK91421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHRQSSMSKQHRPHHHRTSLSRTLASYLLREKRLLFVLLGFLLASSFFLLYPSLTQHRAAGSSSATNIAVAVARKIPRGGVRRLPVGVRKPSLRVVVTGGAGFVGSHLVDKLLARGDSVIVVDNFFTGRKDNVAHHLGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKFNPIKTIISFPLPFVHLFVWIGFPMPTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKESYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRKQPMTVYGDGIQTRSFQYVSDLVDGLVTLMESDHIGPFNLGNPGEFTMLELAQVVKETIDPGASVEFKPNTADDPQMRKPDISKAKALLNWEPKISLKQGLPRMVSDFQKRIMDEK >KQK89645 pep chromosome:Setaria_italica_v2.0:IX:34436002:34439855:-1 gene:SETIT_034120mg transcript:KQK89645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGIMVSVATGAMNSLLDKLTALLGKEFRLDNGVKRDIAFLKDELSCINALLEKLANMEVLDLQTKEWRKQVREMAYDIEDCIDNYMHQSCQKRSSGIIGFFHVYVQKVKDLSGHHGVAQQIKELKDRIVEAKHRRKRYKLDNEVDPGTNNVLSIDPRLPALYVESSVLVGIDIPRHHLINMLDDGEQSLKVISIVGLGGLGKTTLANEAYKRISWQFDCKAFVSVSQKPDVKKILWVILSQVKNQDCANTETGDENQLINALRGFLKDKRYFIVIDDIWNTQAWKTIKCALLDNSCGSRILVTTRIATIAKTCCSPHHDTVYELRQLSEADSMSLFYKRIFGSEDSCPINLKDVATDIIKRCGGLPLAIITMASLLATKSGRREEWLDVCSSIGLGLQNYKVEEMERILSLSYNDLPYHLKTCLLYLSMYPEDYKVDMFQLVRRWIAEGFVKVKSGRNLVDEGKCYFNELINRSLIQPVNIELDGQATACRVHDMILDLIVSKAVEENFITPIGDPTQTLVSQEKVRRLSIDYRAPDAVISDSSLIFSHSRSLSIFGYSEKMLSFSNFQALRVLDLESSVKLQNCYLQNIGNLFQLRYLRIAASSITRLPEEIGELQFLEILDLRSTWIRKLPASIVKLRRLIFLLVNGSQLLDGVRNMQFLEELSGVSIYNECSKNSLQELGSLTNLRTLQLTWHISASRSDRAVYTDILASSLGKLVSSKLRVLRIIRGPGSADIAIDSWSSPPHLLRELYIPRCCFKRIPEWMTSMANLYRLCIRIKQVTQEILDILGGLSSLLDLELRLEAGDEPMEMLSLCNSKFRCLKIFRLYGPIKGLVFEAGAVPQLEALSIEIRACEEQSAFADHPDLGIHHLASLRDLNVWINCEGAKVEEVEALEAAITDATNLLPNHPTPRFYRDNEEGMEKDGACVKGG >KQK89863 pep chromosome:Setaria_italica_v2.0:IX:36548627:36550296:1 gene:SETIT_0338255mg transcript:KQK89863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAHKFVEQSAEAYQYAQQNKKQSWWSFGWTGSSKDEEDSKSFTDEDWERLNRIIGYKENTEYIPDQQDMKLMQFYFEIRMKHNASKLTIDDSECLADLSCQDFSCNLKMYPEAKIFDLKLGSYRLLSPYGLLAESADVVDSFVGIFSYKPFDEQLDWSLTAKASPCYITYLKDSIDQIVGFFKSSPTISQNLALETAAAVQMTLDEVKRTAQQQMTRVLKDQSRFSLNMDIAAPKITVPTKFRPDDVHETKLLLDLGNLVLRTE >KQK88065 pep chromosome:Setaria_italica_v2.0:IX:11237028:11242782:-1 gene:SETIT_039787mg transcript:KQK88065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRSSTTSREQRQQSSQDMGSSCRRCKLRAENAYKNLDDHQKYFLMLMKGDFQQAMTVPVGFVKSFKGEFRGEIKLETRNGESYCVGVVKQPDKVSFSAGWGVFVKTYDLHTDDSFGYEKATSVVVNDESVPLRVQKSSRDDIEYNFPKQYIVRHLGRKERTIFLQRDSQRYQAKLNIGAVAKLSQGGWRRFVKANGIEVGDICLFELLKDGETCTMNVHIIRSYEVAD >KQK88708 pep chromosome:Setaria_italica_v2.0:IX:16396705:16397170:-1 gene:SETIT_040638mg transcript:KQK88708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVALSMVKGFSAWFHLKLLKLSGSCSECDD >KQK87165 pep chromosome:Setaria_italica_v2.0:IX:5871212:5872191:-1 gene:SETIT_037987mg transcript:KQK87165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAASAAAERKVTIRVLLVEDEEIHRVLARALMKAVGGVELDEAGNGAEAVRRVREGGAYDLILADRQMPVMDGHEATRQIRALGVTTPIVGLSSDSLAADVDAFIKAGADDFTPKPLSKEKLNHILAKFNLA >KQK86395 pep chromosome:Setaria_italica_v2.0:IX:1629083:1631023:-1 gene:SETIT_036952mg transcript:KQK86395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGDGAAASGKLTEHSASGAEKGLPRRGKSLSGRTLNTAQIPLVASHPEVYEPCDDSFALVDALLSDKAQLLTLQPRLCMEVGCGSGYVITSLAIMLRQLASGTQYLATDINKHAAETTQATLEAHGVHADVIVTDIVSGLEKHLAGMVDVVVINPPYVPTPEEEIRCKGIASSWAGGLNGRQVIDRILPAVREILSERGWLYMVALEDNDPLDICHLMSEMGYASRVVLKRCTEEESLFVLKFWRDPHTGTSASPKSPKSESWFSQLPFKSLWHKGS >KQK88744 pep chromosome:Setaria_italica_v2.0:IX:16748410:16755126:1 gene:SETIT_034354mg transcript:KQK88744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQQQEKASAAAGRGSPLLRRCKRERYTHGLRPPQMEALRAMCGALIPSLPVEGPFHGDKHGGGNKDDVERFYRASAADGAIPDEVAEMATGCAREAMLLVRVILWMLSTKVGTFVLCGWLCVSSRFPYVFKFADMPLERREEVLKWWSKTRWLFPLKVTFVLVKILSHYSFYTTINEHSDNPSWKAIGYSVPDVDRPQEDLSEAAPSPSPRPLDSGVVETRSLDDAALLRSLMDKGLAVKADVSGPHHTVQCDVVIVGSGCGGGVAAAVLAAAGHKVVVVEKGDYFTAEDYSSIEGPSMERLYEKGGIFCTSNASTIMFTGATVGGGSAINWSASIRTPEWVTQEWAREHGLPVFGSPEYAHAMDAVCTRLAVTSGCREEGFQNKALRNGCEALGLRADAVPRNTSEGHFCGSCHLGCPTGEKRGTDTTWLVDAVAQGAVVLTGCKAERFVLESNPGKNGCRSKRCVGLVAKCMGDGITRKLRIEAKVSISACGALMTPPLLRRSGLKNRHIGRNLHLHPVSMAWGYFPDGTPEPRPLTGKCYEGGIITTLHRVTARTIVQTPALGPGSMASLIPWESGRDMKERMLRYARTAHAFALVRDRGAGTVGREGRVRYVPSSEDVEELRNGLRRALRILVAAGAAEVGTHRSDGLRLRCEGLRDEDLEAFLNEVTVAKGPMIPGSDTWALLCSAHQMGSCRMGSRPRDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSTAYYVSKGIAESLAARVKKP >KQK86805 pep chromosome:Setaria_italica_v2.0:IX:3810105:3812074:-1 gene:SETIT_035259mg transcript:KQK86805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQEGWCGRDSRVCVWYRTYHVGDALGGDPDDLGRGGGAPGLGLAAADGDDEQVLDARGERELALVVEHVRDGGRRGVAERDATADRRDAGGPVARPRLHRRRGPARPRARRGADVAEAEVVGAGLDGVGAREEERAARGEVVAWDQHRPHRVAQREVPEQLAERGGARAAEQRAQAAPAPATERERVLRREERAPLGPPRDQHGREHAPGSGPRCDVEEVGQPGAVVPGPPPQRGLQPDERRAGEQPVGGPPAAVDRQDAHLALALLRRQRPQRRRLPRTGPRLLRRGPRGEELGVVVAEEELALEDGEDLVGELVHVQARRRVRPRGIHLVPHAAHSPPLARSRGVSCVRGEHSAPEQLLARAGRQPAKWFWCAGSADSGRSPGAGPRQGTLVERTFLCRVRVPEPPVRWGSGYLAADSSAPNHALRSPRAAPYRAAVECKRLLARPLLRSVRGEIGGGEMGSRGALARGGGGRPLAPGGLALVRTGAGCVPGAAGTALRLRGQGAV >KQK90328 pep chromosome:Setaria_italica_v2.0:IX:41645279:41647274:-1 gene:SETIT_038339mg transcript:KQK90328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGNGQKSRMARERNAEKNKAAKGSQLDTNKKAMSIQCKVCMQTFMCTTTEVKCREHAEAKHPKSDVYQCFPHLKK >KQK92119 pep chromosome:Setaria_italica_v2.0:IX:53782608:53788150:-1 gene:SETIT_034799mg transcript:KQK92119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPPRRSDVDFADVFGGPPRRSSGNEHRSRRGSLDTSSFGSSAPRARSGGSDTPVFGDHRGSSDRRRQLGEEFYKDIFPGNEAASPRRGGVGDWGDVFSVPASPGSTARPRSSFSMRFNRGTDGSMPTSLSRQTSNRNDDVTSYAYSVPTSPNASMDNYLAQGAAQQDSRKKPFSFLSRFRSQSGKKKNTSNHVSSMDSECEGTPISLESIMATDKFHFSFYKWAGKGAFLVLPATAEEKAGDIIGLRNFPQVAVQGIDLIDDEDIMSTATGASKSQQDYEDSKSGKHNTNSATKEGAIPLLFDDYMQGMKQGNDHTKNDASSASPTAKSSRSPSGEKSRSSRVKEKVKGFIKIFSPENSPKRKRAPETPDQTSVGKNGSKSELQDKFSISSLEANEDVQTAQMNNQNAFIPAPYPVNDVQERMDKPVLTDNSKTETKTDTISGSNKAASNESIHDDTKDKADNTIDHVEIDIEDLDGCVVEHVSEGDDQEKELIKVSESKIREWSRGKEGNIRSLLSTLQYVLWPGSGWKPVPLVDIIEGAAVKKAYQRALLCLHPDKLQQRGAAMHQKYIAEKVFDILQESWKEFNSVTFG >KQK87985 pep chromosome:Setaria_italica_v2.0:IX:10613872:10614962:1 gene:SETIT_040510mg transcript:KQK87985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNMVMYKLKKDMAALKQMVVKLSSWRKIKFAEIQDSYKSSTEGCTALCLEQSKEPSTNEGRGEDAAGSQGRGQADVEVGTSGHLT >KQK89750 pep chromosome:Setaria_italica_v2.0:IX:35555162:35559037:-1 gene:SETIT_039053mg transcript:KQK89750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASKPKSSASIDLPAKPSAAAAAAERRSFKASPAAASSRAAATDKQQRNAKASELQAQLSLVQEELKSARERLAYVDNERAQVLEDMALARRLAADASGKLEESLAARRRAEEALELERFESTEREQSAIDATRRVEDEWRRKYDNIKKRHAEDVASLIAATRELDGVRDELAATALARNSALGQADELQRIANDSAKRAEALTAEVARLKSHLDTELEARAKEAAETIEKLESEAAALGAELRTARAFEEKLAKAEELVEGLKVDLAYAKSAEADASRSAQEWKAKAESLETRLGEISRLNKRNEESLTSLTNSFEDCTSMLQDKQSQVLQLKEKVASLEKEASEHKEGFLETSRRLDVATKEASELQVIIDRLRSEHQLLLEAHQQVVVAEYTASAQAGHLTEDKSRLLKELDDTRDERDKVKKAVEDLAVALREVSSEAREAKERVLAKQAELDNAQIQISELKATMKNAEDRYKSSKDEWISKEAGFVDMLKRSDDGMSSIQTEMNRLTESLRVAEKEAQELKADKAQLLNKLQEFEFQAMNTSSSAEEAKAESSHLKDLLSFKDKELLALNHEVTELRLRERTASEKVTELSKLLAEVAARKAAEENTDKSKAQIAKLEMDKVLESLKAAECEAKAAKDEKAQLQGKLRLLESKITEANLTSEEAKISSLRFKETLEDKEHELASIVHENTEMRAREAAAIARTDELAALLAEATARKGGEPLNNGAVARSPEKQPSALLKLICSPMHHHGVRDDENNGESVVQMEDIKHVEVETVRQVKHAKEISVSAVDATSLENSKIIEDDLSKERDDDSESIDDDDDDDVESPGDDGLVDQMNGLLISGPTSSFNQDQHVHKKKKALLRKFGSLLKKKAHFTKLSSHS >KQK91204 pep chromosome:Setaria_italica_v2.0:IX:48439930:48440739:-1 gene:SETIT_038011mg transcript:KQK91204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAVTAMAAIASFPAPRSLQQAPAPLQLRQNAVSFVARPVRAHRRLVAVAASSPATPPDLSNKVSESIKHAQEACADDPVSGECAAAWDEVEELSAAASHARDRQKGADPLEEYCKENPETDECRTYED >KQK91437 pep chromosome:Setaria_italica_v2.0:IX:49804241:49808947:1 gene:SETIT_035137mg transcript:KQK91437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSMSMSMRKLPPPPPTNTPLHTTTNQPRRRPASPDKTPARLRPPASAPTCPRAPRPAASRSAMPPPSLLLLHSCAPLQPRPFRMNSRAAQSRVVVCSAASAEGFVPAAPILLSEGPWKQVEGGVTAAKGFKAAGIYGGLRAKGEKPDLALVACDVDATVAGAFTTNVVAAAPVLYCKNVLSTSKTGRAVLINAGQANAATGDLGYQDAVDSADAVAKLLNVSTDNILIQSTGVIGQRIKKEALLNSLPSLVGSLSSSVQGANSAAVAITTTDLVSKSIAVQTEIGGVAIRIGGMAKGSGMIHPNMATMLGVLTTDAQVSSDVWREMVRTSVSRSFNQITVDGDTSTNDCVIAMASGLSGLSGILSLDSTEAQQFQACLDAVMQGLAKSIAWDGEGATCLIEVTVSGANNEAEAAKIARAVASSSLVKAAVFGRDPNWGRIACSVGYSGIQFDANRLDISLGAIPLMKNGQPLPFDRSAASKYLKDAGDVHGTVNIDISVGSGGGNGKAWGCDLSYKYVEINAEYTT >KQK88223 pep chromosome:Setaria_italica_v2.0:IX:12391021:12391626:1 gene:SETIT_038493mg transcript:KQK88223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRACFKYRGSKKKCRWQQKLKHQLINCEKQVQHLQCPLTFSYTAARLFNGG >KQK89574 pep chromosome:Setaria_italica_v2.0:IX:33128703:33130305:-1 gene:SETIT_035406mg transcript:KQK89574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVVSAVAGDLINRLMSFLISKYKSEEQLEEKMKRLQDLLIRAHMIVEEAEVRYITNSKMLLQLKKLVEVMYQGYHVLDTIKYRTLCSSSADESEVSSSNINELSFTTCIEHLCTKNCTPNIHGLQITLDNLESTISNMKEFVLLLGGCERMFRRPYDSYVYIDNFMFGRHVEKQLVINILQQENIPPFAPAVLPIIGGSRVGKKTLVAHVCNNEKVRSKFSSILHVRGENIWRIAREVGPVRSLVMVEFTTDVDEEDWLKFYSSVKQMGRGSKIIIISKIAKLSRFGTVKPVRLDALSHEEYSYLFKVLAFGGTNPEEHPQLAVIAEDLAVALGGSLITANVCADMMRKNQNVHFWISIFKNYRNVVRKSFSVFREHPKNLMDQDHPIDITRLASSSWALPSSATFRLMPPHTEVDDSKTELPKVMVGDLIAGSAILPREEFELVSWESRIPPYKRFVNFATCCDEEPISQHHTASAGKKRKRLDK >KQK87518 pep chromosome:Setaria_italica_v2.0:IX:7746293:7754861:-1 gene:SETIT_034665mg transcript:KQK87518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRVQVSTVRESRSDDRRFSIFSGTKTLHLRAETREDRAAWLEALRATKDMFPRMSSSEMVGPGDTAAAVAVSTERLRQRLQQEGVSEAAIADSESIVRAEFEALHKQLVLAKQKHALLVETLRQLETEKVDLENTLVDESQRQSKEYGSASKSRHEKYSEGSASESDDYNEPQDPAEETDDDENIYFDTRDFLSSSSFKSSGSDFQRSEAGSDDEDDYPMDGIDPSMKSVGISYPYVRRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLIDRASEWGKRGNSLMRILSVAAFAVSGYASTDGRSCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGTGWRFWADSNLKSKFWGRSIQLDPVGALTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIQGNREYSCKLKFKEQSIIDRNPHQVQGVVQDRSGRTVATLFGKWDESMHYVMGDCFGKGKGSEQFSEAHLLWKRSKPPKFPTRYNLTRFAITLNELTPGLKEKLPPTDSRLRPDQRCLENGEYERANAEKLRLEQRQRQARKMQESGWKPRWFAKDKATDTYRYLGGYWESREKSSWEGCPDIFGQVPNDPMITD >KQK90516 pep chromosome:Setaria_italica_v2.0:IX:43327325:43329163:-1 gene:SETIT_040154mg transcript:KQK90516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDGGQSRKRLVVGVISACILIAMVVGTVAFFLTEKAEDDDRLSKRNLSKTTRTVELFCAPADYQGTCRDTLERALSQSADPAVYPHAAAAAAVTAVDRALEEGFNRSSVLDAVRQSNDTLVWEAIHDCRMLLGTCRDNVERALASIAWRGVEGPVQDLQSWLSAVITFQGSCVDMFPKGEIRDEVKTAMEKAREISSNALAIIKQGAALASMLDLHTDVDKGKGGGDGASRRLEEDVVPAWVHREERELIAAGKGGLTPNVTVAKDGSGDFASISAALDAMPNKYTGRYFIYVKEGVYEETVNITNCRGNITMYGDGAKKSIVTGSKSVLDGIRMWRTATFAVDGDSFTAMRLGIRNTAGVEKQQALALRVKGDKAIFFNCRIEGNQDTLFAQAYRQFYRSCVISGTVDFIMGDAAAVFQRCVILVRQPRPGQPAVVTAHARRDHQQTTGFVIHRSQIVADEQLAASNNRSGPAAAVKTYLGRPWKDFARTVVMESVIEGFVHGQGYMPWEGKENLGTAFFGEFANAGDGANVTGRKDMQGFHVMSKEKALQFTVGHFLHGAEWIPESGAPVSLGLAGGGEE >KQK92785 pep chromosome:Setaria_italica_v2.0:IX:57220658:57226925:1 gene:SETIT_033947mg transcript:KQK92785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYSLTLQRATGAVCAVIGSFSGRDTKKSAASGSASTQEIAVARGSTLDLLRPDPETGRLRTLLSVDVFGAIRSLAQFRLTGATKDYLVVGSDSGRLVILEYSPDRNRFDKVHQETFGKSGCRRIVPGQLLAVDPKGRALCIAALEKQKLVYVLNRDAAARLTISSPLEAHKSNTLTFSLTALDCGFDNPIFAAIELEYAESDRDPTGQAANQAQKLLTFYELDLGLNHVSRKASEPIDNGANLLVTVPGGGDGPSGVLVCCDNFVLYRNQGHPEVRAVIPRRADLPAERGVLIVAAATHRQKNLFFFLLQTEYGDIFKVDLEHSGETVTELRIKYFDTIPVTSATCVLRSGFLFAASEFGNHALYQFRDIGRDADVESSSATLMETEEGFQPVFFQPRPLKNLMRIDEIESLMPVMDMRVANLFDEETPQLFTACGRGPRSTLRILRPGLAISEMARSMLPAEPIAVWTVKKNINDMFDAYIVVSFANVTLVLSIGETIEEVSDSQFLDTTHSLAVTLLGEDSLMQVHPSGIRHIREDGRVNEWRTPGKKTITKVGSNRLQVVIALSGGELIYFEMDMTGQLMEVEKQDMSGDVACLAIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCLQPLSVQSVSSAPESLLFLEVQASVGGEDGGDYPANLFLNAGLQNGVLFRTNVDMVTGQLSDTRSRFLGLRPPKLFPCMVSHRQAMLCLSSRPWLGYIHQGHFLLTPLSCDTLESAASFSSDQCSEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVILPKKKYIAVIESDKGAFSAEEREAAKKECLEASGAAENGNANNGDPMENGDGQEDGAEEGNTFPDEQYGYPKAESERWVSCIRILDPRSRDTTCLLELQDNEAAVSICTVNFHDKEHGTLLAVGTAKGLQFWPKRTLAAGFIHIYKFVSDGRSLELLHKTQVEEVPLALCQFQGRLLAGVGSVLRLYDLGKRKLLRKCENKLFPKTIVSIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDSVPRWVTAAQHIDFDTMAGADKFGNIYFARLPQDISDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHVGDVVTSLQKASLIPGGGECLIYGTVMGSVGALLAFTSREDVDFFSHLEMHLRQEHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQYPSLPADMQRKIADELDRTPGEILKKLEDIRNKII >KQK86874 pep chromosome:Setaria_italica_v2.0:IX:4232730:4239730:-1 gene:SETIT_037881mg transcript:KQK86874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGMINANPVVHERTERAAHPHAGDALDPLDVFDTVRDIKDPEHPYSLEQLSVLSQESISVDEKLGRIQITFTPTVQHCSMATVIGLCLRLKLMQNFPPHFKVDIKVAPGSLANEESVNKQLNDKERVAAALENPNLRQLVDECLCSNDPYSH >KQK88924 pep chromosome:Setaria_italica_v2.0:IX:18708092:18716417:1 gene:SETIT_038742mg transcript:KQK88924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PWLPSAGFQVATHSGYLSGGPGLSRLKCRVQCSQLWHSTSPVDNQKEKDRYSCIDQSTSYLHVQSLRNFPTEKLCGEVVVVRLDSALLLGHLGPCTFALERALLTIKYLYKARAKVVIVTSWDTLLQSDNPEIKSIDSFAEYLSSLLQVEVIPVDGAPGLTSFKKEEWVQNSIILFENLLNFRGEVANCNDFSQKLASGATIFVNDSFSLSHKILASTVGITRYCYASLAGFHFEEELTQLIKITDTMRRPYIAIIGGSNFLRKAPALRMLTSLCDGLFFVGKLSFQIMKGLGMPVPSQFIETNAVMEVLQIIQVARDRNVPIYYPTDLWCLNNDGGTLGVISSTGQLDGWTPADIGPSTLEKISSIIPLYKKILWIGPTNYDLAEEFSVGATRLGQILEKASFDSCEVILVGSAACKALKRETDSSSRYIEFQIATVVWEFLKGRILPGIAALDKRYPYQIPWSTVFCDPTLPLVVDIGSGNGLFLFQMAKSYESSNFLGLEMNEKLVIRCLQGMAWDEKRNLHFVATNATSTFHSIVSSYPGRLTLVTIQCPNPDFNKEQNRWRMVRRMLIEAVADLLQTNGQVYLQSDVESVLLGMKEQFLSYGKGQLVVDGDDCGHGMDNPFGVVSDWERHVLARGAPMYRTMLRKV >KQK90200 pep chromosome:Setaria_italica_v2.0:IX:40572201:40573126:-1 gene:SETIT_037370mg transcript:KQK90200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGGELKLLGVWDSPYVNRVQIMLNLKGISYEYIEEDLLNKSKLLLNSNPVHKKVPVLIHDGKPIAESQVIVQYLDEVFSGTGPSVLPADPYKRATARFWAAFVDDKVGSPWHTILFAREAEKKADAAARIITALGTLEGAFKDCSRGGDYFGGDGIGFVDVVLGSYLGWFKVFEKMVGVRVLDAARTPLLAAWGERFAAADAAKDVLPSDVDKVIEFLQTFLD >KQK90753 pep chromosome:Setaria_italica_v2.0:IX:45575442:45575969:1 gene:SETIT_038084mg transcript:KQK90753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMVRSLSPDEPPRHPCSSSCCRLGTACPPRRPRASTSVASHCRSPGAAGLGGSMSCWAATALRPQASADRRRWFRGAASLTPPVPRGCLSTSKSTRSSHWPCATPPVFRVKSKSNE >KQK92023 pep chromosome:Setaria_italica_v2.0:IX:53278543:53285843:1 gene:SETIT_034790mg transcript:KQK92023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRAFLGAPCSSLATGARRLAFASPPPRALAPALRRRVAGRCVAPVSSSPDAASAPEPYVLTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLEKKVIFITGTDEHGEKIATSAEASGRNPKEHCDAISSSYKMLWADLDIEYDKFIRTTDYKHEAVVNEFYSRVLNSGDIYRADYEGLYCVSCEEYKDEKELAENNTCPVHLKPCVARKEDNYFFALSKYQHKLEELLAKNPNFVRPSHRLNEVQGWIKSGLRDFSISRASVDWGIPVPNDTKQTIYVWFDALLGYISALLDDGEQASLQQAIDRGWPASLHLIGKDILRFHAVYWPAMLMSAGISVPDAVFGHGFLTKDGMKMGKSLGNTLEPKDLVERFGGDAVRYFFLREVEFGNDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCKSTLAVDSIAAVDGVPFRENVENLVDKAKHHYENLLLSSACESVLEIGNLGNLYIDEQAPWSCFKKGGESTEKAAKDLVIILETMRIIAIALSPITPSLSLRIYTQLGFTEDQFRVLRWEDTKWGGLKAGQVMMEPKPVFARIETETEAEAQATSKAAKGGKKKAQSKGLVEA >KQK89592 pep chromosome:Setaria_italica_v2.0:IX:33602028:33603308:1 gene:SETIT_0392172mg transcript:KQK89592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRNRKGGRDGYLEKNQLRSCGLHDYCVTMKYSIGVPITSQQNDKYIIGTGGYGKVYKVELQDSKLVAVKKLHSNEDEVNDERFRRAGQRIRFWHKRTALVEDVAQAIAYLHYECNPPIIHRDITSNNILLDTRFEAYVSDFGTARILKPDSSNWNALAGTYGYIAPEKCDVYSFGVFVLEVVVGGGGGGGR >KQK88228 pep chromosome:Setaria_italica_v2.0:IX:12406581:12409300:-1 gene:SETIT_039327mg transcript:KQK88228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLLLLALFAHHPGRSHAFNLMNYVCNNRTSYGINSTYQSNVVSLLGSLATNASSSTVGFATETLGAAPDQVWGLALCRGDVNATSCASCLSLAPNITFGFCRGVRDASIYYDRCLLRYSDTDFLADPDAAAAAAAPVPFGVNKDINITSNPGQYVGLAADLIGALAGWAAHNSTRRYAAGVITSGEGFATTNRDLVYSIYGLVQCTPDLAPGTCQGCLGRLRDSMPRLFNGTSGAQFTAVWCNLRYEVYPFYDGSPVVNLVAPPPPAPASQNTNRTKGSGNAGTVMAIVLGVLVVVLASAFIIYIWRKGQAKQYPKEDEDAGSLLFDLTTLRRATANFAEENKLGHGGFGAVYKGFLPDGREIAVKRLDKASAQGLKELRNELLLVAKLRHNNLAKLLGVCLKGQEKLLVYEYLPNRSLDTFLFAPAAESNPLLDWETRYRIIYGVARGLLYLHEDSQIKIIHRDLKASNVLLDADMNPKISDFGLARLFNGDKTTTVTSQVVGTLGYMAPEYAVLGHLSVKLDVYSFGVLVLEVVTGRRNTDTCFESELEEPGNLLSYVWDHWLKRTPLETMDPSLDCQAPESEVLKCIHIGLLCVQENPADRPTMLDILMMLHGQEASFAAPSKPAFTFAYSEMMNSEERDNVSGGSGNQRAAAAAAVFSVNGMSVSEFQPR >KQK86455 pep chromosome:Setaria_italica_v2.0:IX:1962143:1966117:-1 gene:SETIT_036068mg transcript:KQK86455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDLNVASPEDSGTSSSSVLNSGDGGFRFGLLGSPVDDDDCSGEIAPGASSGFMTRQLFPPPTPPTEPERAAAPVPVWQPRRAEDPAVAQRPPAAVAAKKTRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLEADINFSLGDYEDDLKQMRNWTKEEFVHILRRQSTGFARGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDSEVEAARAYDRAALRFNGREAVTNFEPSSYNAGDSLPDTESEAIVDADAVDLDLRISQPNVQDTKRDNIIVGLQPTYDSPESSNTMTSQERTMERRPEVGAQPFPTWAWQTQGPPHMPLHHSAASSGFSTAVGGANGGGPPSHPPAPSFPNYQFFS >KQK91165 pep chromosome:Setaria_italica_v2.0:IX:48235631:48236309:-1 gene:SETIT_038387mg transcript:KQK91165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEELLRGRLELESVAMKETKGKKENTGKQELKTEDLVRHIRCLVGIGQQIVVLQKCILVVCVWILME >KQK87592 pep chromosome:Setaria_italica_v2.0:IX:8097792:8100613:-1 gene:SETIT_034386mg transcript:KQK87592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMPISASPAAGVDGGPLAAGTPRKNATAAAAVAEMARHLTVDTDDAFAGLLELAADDDAEGLRRALERAPPAAADEAGLWYGRRKVLEHRTPLMVAATYGSLAALRLLVSLPSVDVNRRSGTDGTTALHCAASGGSRTAVEAVKLLLGAGADADATDDSGRRPADVISVLPKMFDAKFALQDLLGCPKSEHGMLRVVTRSTNWISSPVSSPTAEDARSPSAAVMMTTKFADLPRVATSEKKEYPVDPSLPDIKNSIYASDEFRMYSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPDFRKGVCRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRRVCFFAHTTDELRPLYVSTGSAVPSPRASATAAMEMAAAMGLMPGSPSSVSAVMSPFTPPMSPSGNGMPPSLGWQQPNVPTLHLPGSSLQSSRLRTSLSARDMPADDYSLMQDLDSQLMNDLCYSRLSSSTGNHSARTKSLNPSNLDDLFSAEMVSSPRYSNADQGAMFSPSHKAAILNQFQQQQQALLSPINTGVYSPKAVDNQQLPSHSSLLQASLGMSSPGRMSPRCVESGSPMNSHLAAALVQREKQQQQQQQQTMRSLSSRDLGPSAARASALVGSPLSSSWSKWGSPSGTPDWGVNGEELGKLRRSSSFELRSGGDDPDLSWVHTLVKESPPEKQVTMAESINSVGPSPLMPPGMNNSEGSGLNTQLDGHDQAAVIGALLEQMQLDQQVGSLAT >KQK89542 pep chromosome:Setaria_italica_v2.0:IX:32702178:32703283:1 gene:SETIT_037586mg transcript:KQK89542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVLIDRSASGFDFMRWTDAVDDGCRMLAPHTSIYSSFPYVSQLPPFQYTPYMQPSLSLPPLPPRRAAARLPLPPVMVATMAKYAAPPPPAGAAAMAKPAAPRRTGLGEYKRKKPRAPRPPGEEPPRAQRRKPLERATPLPPAPAVDEALDDLEREVTRGFVEDLMHALAPPPSSLPLPTFSLVRAAAVKAAAASCAV >KQK86214 pep chromosome:Setaria_italica_v2.0:IX:791215:792536:-1 gene:SETIT_037641mg transcript:KQK86214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAVAAGQVLDGSNPAVARVRQLIGGPEYSPDGWSRCWEEGVTPWDLGQPTPAVVELAKSGTLPGDAATVLVPGCGAGYDVVALSGPGRFVVGLDICETAVAKAKQWSAAADDGSLFAFVAADFFTWEPPELFDLIFDYTFFCAFHPSMRPAWAKRVADLLKPNGELITLMYLAQGQEAGPPFNTTVLE >KQK88169 pep chromosome:Setaria_italica_v2.0:IX:12110986:12113114:1 gene:SETIT_039760mg transcript:KQK88169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTSNLSGYGSHPRTPALAHRRSPAPEWRPATGGGRARVCEGASHPLRGYLFKSCKKVNPLIANYVCQIEEKNKHIRKLERKKDQKAMELRRQVACKKVSRTIEDNHRLDEELKTWQRDIDNRRKELEDLASKSNIVDKAKIEEDLEKNAKENESLNSAIQKQMEANKELFCLLQKQELQEGTNDALRVLKCLQKQLNAKHELELEKVRLKGELEVRKHMVAEEDTKLQQDLDKMHEDLEEINEEIAYANDFNQTFIVREEMASEELEDAKKEMIRALEQRSGKSGTRSNMNFGVKRMGELDQKAFRAACRNRIAEDDFDVEFTLIFSKWEDEIRQTKWYIDADGKKKEKIQEDDERLQALKAEFGEEAHGLVSPLERDPVPELWSLKDDRKATIPEVVAYLFKQWKANKNKRAYY >KQK87535 pep chromosome:Setaria_italica_v2.0:IX:7830886:7831387:-1 gene:SETIT_040735mg transcript:KQK87535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAGNPSSLPKLVTSGIRAILRAAPIGNQSSATTNQASPTAPSHHHHRITKFFTIQHITVATTTTTTPASTQTTREEDQSTYAHLPDDDR >KQK90043 pep chromosome:Setaria_italica_v2.0:IX:39139391:39142893:-1 gene:SETIT_034092mg transcript:KQK90043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGVVGGGRPEDRAGENGGVPEAEESAVKTVAAEEEGGVDVVVEGGDDAVAAQDGDGDEVGEENRGPGGGAVESGAAGTPLTGDHQPVVTPNTRVVLETGQRAAEKWDEASPRAEIDIEESIASDVNQESADENAMGQAIREYVTAAVLADRTKGKRLEKDMKSSAEPAVVVEELDGLSSSDDENTATSAPPAQSTSSSSTPAQSNSAVSGRSNGPSLPSRPAGLGSSSSLLQPPARPVQQVRFNGSASLATESAEDDGDGNDEIHEKLQMIRVKFLRLAHRFGQTPHNMVVSQVLYRLGLAEQLRRTTANGSFSFDRAREMAERLEAAGNEPLDFSCTILVLGKTGVGKSATINSIFDDTRLDTNAFDFSTRKVQEVVGTVEGIRVKVIDTPGLSCSSLEQHHNQKVLNSVKRLISKNPPDIVLYFDRLDMQSRDNGDVPLLQTITKVFGASVWFNAIVVLTHAASAPPDGLNGIPLSYEMFVTQRSHVVQQAIRQAAGDIRLMNPVSLVENHAACRTNRAGQRVLPNGQVWKPQLLLLCFASKVLAEANVLLKLQDSNPVGKLSRTRIPPLPFLLSSLLQSRAPLKLPEEQFGDDDDLEDDSADDCDSDDDSDYDDLPPFKRLTKAQLSKLNKAQQKAYLEELDYREKLFYRKQLKEERMRRKLMKKMAAEASTRANDFNNSNSEDDPNTPTNVAVPMPDMVLPSSFDSDNPSHRYRFLDTPSEWLVRPVLETQGWDHDVGYEGLNVERLFAVKGKVPLSISGQLTKDKKDCSMQMEVASSVKHAEGKTTSLGLDLQSVGKDMAYTIRGESRFKNFRRNNTAAGISATLLGDSVSAGVKIEDKLIVNKQLRVLVGGGAMSGRGDVAYGGRLEATLRDKDYPIGRMLSTLALSVVDWHGDLAIGCNIQSQIPAGRASNLVGHANLSNKGTGQVGIRLNSSEHLELALVALVPIFQNIRKLLQNYSEST >KQK87005 pep chromosome:Setaria_italica_v2.0:IX:5046055:5046474:1 gene:SETIT_039297mg transcript:KQK87005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCVGEIGDRPPSSGSEGNPDTAADANVAWLEDNVGRSSVGRVSQSPPPKRTKSMEYYVERISESMMQKTMNERNLISREEEEVMEILHLIEQDGVPNGSELSITAAENRIA >KQK87427 pep chromosome:Setaria_italica_v2.0:IX:7263168:7263749:-1 gene:SETIT_040786mg transcript:KQK87427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSGCKALLLAALIVSSSRLLHGPAGCSAAGAGSPGPALPARPDVGGEEEAKQPGDGEALPFLGRGVRACFPAGAVGRFHQAMLVVLLARGGGRMAAGRWRSSRRRG >KQK89225 pep chromosome:Setaria_italica_v2.0:IX:22205784:22207772:-1 gene:SETIT_039274mg transcript:KQK89225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATQIPSSSAPEEHRPCLAPQAPPWATTGRSKFQRWRDDSPSSGAFGDGASPSFKDVLLVGTVPVVIPALTTSGPPTAGARIILYPKTRRSHVVAGTPNDYGLLKAENRQARQARHRHEAPPCRAVPADLRGRCFNCFSLKHRAADCCSRPRCFVCRELGHRSGQCPSRRPAALRVVPRPRPMLTWCSVATSEMASQQPPVAAADVPPADAATTAQGRKRRHRARRSRAKRSTETPGGGLEEGNEGFSQSIPSEDDRNLIAEDRPLKPRHILDRSASIARREDDLARALVITMIKGHEVGAVELVRTTIANRFEIKEESLILRPWGSTSFLLILPTDAMLKRVYNGGRPIITPSARLHVMQWTRFLQSSAASLPLAIEVEIRGIPAHAWELPTAELLLNEYCWIVGLHPSIAERRDIYQKKAWCSNPNYFPSRQHQEARHRQLRDAVRQCSLATEFINSLSKTPGALLPIPHINKRRKKLMQPPTEAPRRSRRLAGLEAANLEVCPAHLKKRVMRALDLEVNDEKEQFDQRILDKYAKCFQQLQATPHTKALAAIFGWSPQEDDTAFGVVECMV >KQK87301 pep chromosome:Setaria_italica_v2.0:IX:6531134:6531503:-1 gene:SETIT_040538mg transcript:KQK87301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHVRGQAKSHVSSRRRASACRGLLGWTLRLPSARAEAGGVGIFSLEFTTRL >KQK93042 pep chromosome:Setaria_italica_v2.0:IX:58461009:58464410:1 gene:SETIT_036653mg transcript:KQK93042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWPPPALRANHRAKSDPLATAVLSAAAAASSFPVRYFDSRMASNAQVQQPKPTKVSLSYEEISKLFSLPIAEAASILGVCTSVLKRICRSHGIVRWPYRKLVSGKAGDDTKGPEREKAKELLELSKIAKQKALSPSGSSIVSSSTSQGAAKSQQGSSKAGQVSVSPPAGKQNASPSLAHGSQTKAIPGYMDDFKYGFPSSGLPCETMKWWGGSSDTDCELTKDGNREAHGEASKGMTDDDELDWGADEGEAEADGAVTAEASGQLCSLTRKAVDDGRKLLNGGTRRGREFSRLNKRQKVALAQVFGASLPDAVLLVFNE >KQK86504 pep chromosome:Setaria_italica_v2.0:IX:2310177:2311911:-1 gene:SETIT_040191mg transcript:KQK86504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHFVLLISRQGKVRLTKWYTPYPQKQRSKVIKEISALVLTRGPKLCNFVDWQGYRVVYKRYASLYFCMCIDPADNELETLQIIHHYVEILDRYFGNVCELDLIFNFHKAYFILDEILIAGELQESNKKAVLRLVTTQDALVEAAKEEASSLSNIIAQATK >KQK86442 pep chromosome:Setaria_italica_v2.0:IX:1892364:1892822:1 gene:SETIT_038895mg transcript:KQK86442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLTFGQEEPAELGIISVAEGVMLLLARGGGGGEPPASPRVFECKTCSRRFPSFQALGGHRASHKRPRAGDASPAAPAAKARAHGCAALGGHMRRHRAVAEEEERESAAAAASRGLAEEAEPKPDGAARGLLGLDLNIAPS >KQK90845 pep chromosome:Setaria_italica_v2.0:IX:46141619:46145344:1 gene:SETIT_035124mg transcript:KQK90845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCAAARMFAYNATLCACDPGYYYHLSSGNGTGSCAPMPGGGWGDWKVGAVGAPRNQSLYFLAPVLPIDAVRRLTQSQAVLLEAALAALLSWLAFCAAARFAGRDPRGERRLFRARYWISRFDCLFDNSHWARDQQVLRKRKTELGGTCSVASLILFTGLLTVLLYQAIKRRSIEMHRVKPANAPDLLSFVNDLEFHITTISGMSCAQAVAPSTFAMGTPGYMDFRLVSLPTMFTYSCANTSQGPSITLKCNGCRMPPRDHFVSWQFVDLPGQPAAAVGFQFNLTARQHGNDKHVSFVSGTMNSDGYTDDGKLRTFRGPDSNVLKIQLFPQIYNKLGNLRLLQPLVQDFTQGSAFSDVGSLNASLQNPSDGVVNTTLYISYLSDYIVEISNESVVGPVSVIASIGGLYAFSVAICLCLMSQCEARIKKLRDEDTRMLKILSKRRARRNWDKVRKFVMYTWGPGYPDPTDRSGQQPEGSTVDSLHRTLHKRREPIRQATSDANRPNRVPADMGAIDIERAGEAKQPSSSR >KQK88111 pep chromosome:Setaria_italica_v2.0:IX:11614473:11615718:1 gene:SETIT_037164mg transcript:KQK88111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDWSMEQMADEASVNEQSTERLPMAGGEQISRVDGAMAWLDLTLAVKASQDDLSAPATDGDSSSSDGEPAKPASPPSPAAGAAGAGAAPHKVFSCNFCMRKFFSSQALGGHQNAHKRERSAAKRSSSSATAYHHLHAQRMVMAGLPLEAHAAFVHAALRVSPASSAIHKASQELAAARAAATAAGTAPRFHDGDSAATAAATPWTQLLFEEPVSSTWPGSFRMRTQPEPPSSEQQPSEQSKKIDLDLRL >KQK92840 pep chromosome:Setaria_italica_v2.0:IX:57451170:57451735:1 gene:SETIT_038099mg transcript:KQK92840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMACPGGVYASPTPSAAHSRGQTVDMVCPGGDDARRDEKLQQPRETAAESCGRRLWRTRSALCSLRYDRCRRQQPTPMSGSARTPDHPRPQRSRNIAGNFLAFTGTRTLISLTC >KQK92155 pep chromosome:Setaria_italica_v2.0:IX:54047087:54050123:1 gene:SETIT_035472mg transcript:KQK92155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLPHKIPQQRVSPQFTPVNPQRRSVVLSTSAMAAYQTAFVPEPQLLNTEIIAQKATNFVADTARKDKSIGYLDVFVHQARDIHNVCIYHKQDVYAKLRLTSSPDLSCSTKVINSAGHNPVFEESLRLDVQTVDASLKCEIWMLSRVRNYLEDQLLGFALVPLADIVMGDGKLVQEFSLTSTDLFHTPAGFVQLSLSYTGCSPDVILISSPNKSVSRVPDSGNDCVVPAQLEKIEFPDLNVVKEDEIMVSKYLEMGSLDSQNPIKTENGKLLHSGNGNDIPSELGKIEFPDSENPVKADNGKLLQFGAAVPGTAICADKLEEHQDESPLSCVSTTGSSTTLSATQQSVSEPSSEPSETTVEAPPRQSQREKSQDVTDGEADSSEALAAPKDEVVKPVISINLQPEQSVVQQDIVDMYMKSMQQFTDSLAKMKLPLDVENSSPSNDDSDSSTIEKPSPSPSSSATKGSRVFYGSRAFF >KQK92671 pep chromosome:Setaria_italica_v2.0:IX:56709295:56710865:-1 gene:SETIT_037220mg transcript:KQK92671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVPTAAMASSLLLRPRASFRRTLPPPPPSSRRALPATLLQAASTQQKQPGLSARSYEGERRQPAGTRLYSLAPYPLLLAALLPGAEPVTAVFAPFVELVKTLDLPGWLVHWGHPGNMAVVLFAMGGYGTYLGFRIKLSDDPEEKAKAKDLHPKLLAGMFFFFALGATGGVTALLTSDKPIFESPHAVTGVIGLALLTIQSILPKLFEGNPGLRTTHGLLGSGIMTLFLIHAAFGLQLGLSF >KQK88519 pep chromosome:Setaria_italica_v2.0:IX:14781713:14782626:-1 gene:SETIT_039840mg transcript:KQK88519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGHGEGQLHHRAPGPGLLDSVRQFVKNVRQLEMPIDVVVCNAAVYQPTAKEPSYTADGFEMSVGVNHLGHFLLARELLSDLQSSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGVGSSVMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGVTFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSEDEAGKRLAQVVSDPSLTKSGVYWSWNKNSASFENQLSEEASDAEKAKKLWEISEKLVGLA >KQK86081 pep chromosome:Setaria_italica_v2.0:IX:215606:217182:1 gene:SETIT_035320mg transcript:KQK86081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPPPPAEPEAPPPPPHHKHKHKHKQEQERWLAELRAQRGIALPLVGMNLTWFAKLAVTTAFLGRLGEMELAAGTLGYSFANVTGFAVLSGLCGAMEPICGQAHGARNVGLLRRTLLMATVMLLAASVPIALLWLRVDAVLLRFGQQPDIAATARTYVLCLLPDLAVTSFLNPLKAYLSSQEVTLPPLFAAALGLALHIPLTLCLSRTMGIRGVAAAVCLSDLAVAAMIAAYVLAHELQAGRRRSTTTTTCSSTLTWLGLLRLALPCCLNTCLEWWSYEILVLLTGRLPDARRMVAVIAVTLNFDYLLFAGMLSLSVSASVRVSNELGAGDATLARRAARVSIAGGAIAGVAGGLVMLAARRPWARMYTRSPEVRDGVARAMKVMALLEVVNFPLNVCGGIVRATARPLLGMYAVVAGFYLVALPVGVALGFKARLGLEGLLAGFLVGAAASLAVLVTVIVCMDWVAEADKAQKRAGADDQDTQEDNSKDCNCNAVTTP >KQK91923 pep chromosome:Setaria_italica_v2.0:IX:52734566:52738080:1 gene:SETIT_040075mg transcript:KQK91923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRVKVRQKPRKGSASTSARAALPAAEAEALLAFLEKTEAILAQARFLLRCASKWSLTTGRYSLPLIAALVAFSAEVNGVTSLSVEDIAQDISAGIRITLRRYKELVDALVHVARQLLPWGADVNAKNLLLNAQVLLRLMEMRSQSDPSEEFLESFAPNIAGIVRAYSSVDEDESKYLQIAPAEEKEFEDQKITEKGLSDAYQNVLERLAQIKKHGKVSKGADWRKRWKGGLELEPWMDPDVVDIDIGYDAPPPSFTAGMEELRIDAIRKAPAAPAASANHSQPGVRNGDACPPQKSARKKRGGKKMDDIDRIILGDDLVEMPDSPDGRKKRRKIGSCDGIDWEDCIIELLLLHGANEAEIEQGQNRRLLELHVFSALSGGKLKNGDAASQVFSI >KQK92646 pep chromosome:Setaria_italica_v2.0:IX:56607589:56608072:-1 gene:SETIT_038512mg transcript:KQK92646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLAGMKYIFLPAFMTLHGRDLLLVINLTIRKLWYTDFMNRLHTSCRVQ >KQK87073 pep chromosome:Setaria_italica_v2.0:IX:5452369:5457017:-1 gene:SETIT_033905mg transcript:KQK87073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRMITITSTSKGEYTRDIGDVDLQAYNNVESIAFYQVPANLTSGFSMESERSLRVHVCMDNNGVNFLNRFLRHLVENEEKYRNLTDLLFHGIEWQIEDLQLLCSFLGLDSIVKQVEFQKNVFSTKSALALVPLSEMLQKNNTIKAVVFSECRIGSTGATLLASALANNRSVEEFQVWEDSIGSKGAEELSKMIEVNYMLKKLIILDNSSITVAPLISAVLARNRRVEVHIWGHSRGTKGGLDSCKIVEFLPETGSIRIYNNINSSGLQRVACALAWNTTVTTLDMSSVPLRSKWTKELRGVLERNKSLKTIKLSKCSLGNKAVVYVAAGLFKNNYVENLSLDGNRFGGVGLEHLLCPLSTFSPLQKQANTTLKVLSFGGEGAKIGRHGVTAILQMLETNQSLIQLAICNDASLKPTDVVKIFTSLERNATLRSLSLRGCKGVEGEVILQTIMGILEVNPWIEEIDLHETPLHISGKTEQIYEKLCQNGSVVLPNDFLDLPLSAPTCCRVFLCGQESAGKSTLHNSINECMNPLKSPCLDVPSTSKTPVEQTAYTSANKINAFFDGNTKLTMCNLDGHKESFALQDFMFLVHGGPCFFMIVSSLVAKPANRYPKTIDQIEWELIYWLKFLVSNAKRVSQLFLPSVTIVLTHYDKVAHLPEGLEPIAALVQRLREDFLPYAEIYPTVFAVDARSLVSVTRLTNHLRMTTKTILQRVPQVYEVCNDFVRILHDWRLKNNKAIIRWSEFRELCQLNVPALRLRSRRDNVEKVDTRRRAVAKSLHNLGEIIFLEELGALIMDCDWFCRDVLSQLATLKSVKTERNGFVHRQELERILQEKLRNQLQGSNWRAGASFQGSDVINLLLKLELCYEQDPGNPNTLLLVPAILEESKEGTQQWHLTIPECRYVGRRLKCKDTHMFLTSDFFPRLQVRLHNKIMCLGQQQGALYTLEKNLIYTVINGVHLRVELGKKDSSIDVLACSSKSVTDMVRIFHKLIIPTILNLSSSLMFEESIIRPDCVKYLIPHRFLQTQQLPLKRIKQILLSLPAESIYEYEHTWSAIESNKRIILQSGSDHARDMLSDDDFHEVLHRRYYDLQHLATELAVTPDNQQQPEIIPETDVVDPSILGIARGVEMVLQRLKRVEQGIRDLKEEIASLRYYEYHLVTELHRKMDYVMNYNIQLEERKVPQMFYLVSLDNRSKQLVTRILPGMRSLRVHMLCEFRREMHVVEDQLGCDLIQVDNQTVKSLLPYMSKFMKLLTFALKIGAHFIVGMGEMIPDLSREVVHLLDSSAMYGAAASAASVGALGAAALYGRGRNNSNQGGASDMGEDMKAARQWLVDFLKGQGVLTGMDIAQRFGLWRVRYRDDGHIAWICRKHIAAREDEIFELPL >KQK87877 pep chromosome:Setaria_italica_v2.0:IX:9919401:9919874:-1 gene:SETIT_038404mg transcript:KQK87877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVSTFVYDNCQSSVSLHLNYWLNKIHTSIISLRHNIKSSTDIKVCMINPLSLEHLNFRYASKDNR >KQK89649 pep chromosome:Setaria_italica_v2.0:IX:34488547:34489863:1 gene:SETIT_039389mg transcript:KQK89649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATGVKGNSLTFVCLLKSCGEQCDAKLGRQVHCCIVKGGWSNVIVDSAVAHFYAQCGDVTATSTVFDRMASRDVVSWTTMITAYVQHGHGDKALQMFPTMVAEGFRPNEFTVCSVLKACAEEKALRFGKQLHGAVVKKLYKYDIHVGSALVTMYARCGEVFGAQAVFDKMPRRNTITWTSMISGYAQSGYGEEAVLLFRKMKMRRVFVNNLTIVGLLSACGSMQSIYLGKELHAQIIKKCMGDNLQVGTTLVWFYSKCGEHSYAARILGAMPDRDAVAWTAMISGYSNLGHNVEALKSLDEMLWDGVTPNTYTYSSALKACARLEALRDGRRIHGVVNMTQAFSNVFVGCSLIDMYMRCGKVNEARRVFDAMPEHNLVTWKVMIAGFTQNGLSEEALKYMYLMQQEGYVVDDFVLSTVLTSCGDLQLKSDCISFLAQ >KQK90339 pep chromosome:Setaria_italica_v2.0:IX:41797132:41799182:1 gene:SETIT_035630mg transcript:KQK90339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKQPSNSSTGAATQGQARRPRTHLQSSSPALLFSSRPIPSPSLPPANAAFRIHPAPAMSWWWTGAIGAVKKRQDEQAAAAEPTYQSVALVVGSTGIVGTSLLDILPLADTPGGPWKVYALSRRPLPPWSPPPSPAVTHLHLDLADAAAVADALKPLTDITHVFYVAWTARPTEAENREANAAMLRNVLSVVVPNCPALVHVCLQTGRKHYVGPFEALGGVSFPDPPFTEDMPRLDCPNFYYDMEDILFDEVNRREGAVSWSVHRPTTVFGYSPRSAMNVVGSLCVYAAICRKEGATFRWPGSRIAWEGFSDASDADLIAEHEIWAAVDPFAKNEAFNCSNGDLYKWKYLWPILADHFGVEWAGYEGEENRFKLEEAMAGKEALWAEIVRENELISTELDEITNWWFVDAVFGVEFEHLDSMNKSKEHGFLGFRNTVNSFNTWIEKLKVFKIVP >KQK92196 pep chromosome:Setaria_italica_v2.0:IX:54273798:54276013:1 gene:SETIT_037450mg transcript:KQK92196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGEKVDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHRSVKAQIWDTAGQERYRAVTSAYYRGALGALLVYDITRRQSFDHIPRWLDELRAHADKNIVIMLVGNKSDLEEQRAVSTEDAKEFAEKENLFFLETSALQATNVESAFQTVLTEIFKIHSKKNMVSEPKSNGAAPAMPGKKVLVPGPAQEIPKSKCCSSM >KQK90310 pep chromosome:Setaria_italica_v2.0:IX:41530656:41532970:-1 gene:SETIT_034653mg transcript:KQK90310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFSSSSSSRHYHHQSSRAPPAAPSSSPPAPAPPTQPPSTSSGAGGHGGGLFEAADFSFPQVDIDLDFSSPATSSGAGGGGGTAASSSGGGGAGRWAAQLLLQCARAVAARDSQRVQQLMWMLNELASPYGDVDQKLASYFLQGLFARLTTSGPRTLRTLAAASDRNTSFESTRRTALRFQELSPWASFGHVAANGAILEAFLEAAAAAASSSSSSSSSQPPRLHILDLSNTFCTQWPTLLEALATRSSDDTPHLSITTVVPAAAPSSAAAQRVMREIAQRLEKFARLMGVPFSFRAVHHAGDLAELDLDGLGLREGGAALAINCVNALRGVAPGGARQRDAFLASLRRLEPRVVTVVEEDADLVEPDPDASSSEEAASTEAAFIKVFTEGLRFFSAYMDSLEESFPKTSNERLVLERAAGRAIVDLVSCPASESAERRETGASWARRMRSAGFSPVAFSDDVADDMRSLLRRYREGWSLREPGTDDGAAAGVFLAWKEQPVVWTSAWRP >KQK92377 pep chromosome:Setaria_italica_v2.0:IX:55233107:55236073:-1 gene:SETIT_035939mg transcript:KQK92377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSSSASAKALSCLHASLLAPQTPQRTVVAASASLLRRASVRMRASAAAPAELKKTVWVWTENRQVMTAAVERGWSTFLFGSKDLGKDWSSTARINPLFIDGPNIFDGENQKVAVISQVSSPRELELVQPDSVEAVNVVIDFRGGWQVIPAENIVAAFQGCRGTVLAVSTNSNEAQVFLEALEQGLDGVVLKVDDMDDIIKLKDYFDRRNEAKSQLPLTKATVSKVEVVGMGDRVCVDLCSIMRPGEGLLVCVGSYARGMFLVHSECLETNYIASRPFRVNAGPVHAYVTVPGGKTSYLSELRSGKEVIVVDQHGLWRTVVVGRVKIESRPLILVEAKDNSGDDTYSIFLQNAETVALVTHESGSSGRTAIPVTSLKVGDEVLVRKQGGARHTGIEIQEFIVEK >KQK92966 pep chromosome:Setaria_italica_v2.0:IX:58096586:58097805:1 gene:SETIT_040540mg transcript:KQK92966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVMRRRVKFQWNIWHVLAATQIVWSVTTASLISVGL >KQK90956 pep chromosome:Setaria_italica_v2.0:IX:46813602:46814223:1 gene:SETIT_039089mg transcript:KQK90956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKNLVLLAAAVGPAVGLIPSLSGIGNPVGVASGVVPCSIGSAIDVAAVPGVAYANVQAVLPSGVLNSLLSSQCRAVVTTPLVACNVSLAGTNGTLSAPLQLPGSVTAVGSIVGTILGIPVTVISGILALFGGVFSSVPNLG >KQK87729 pep chromosome:Setaria_italica_v2.0:IX:8942308:8948856:-1 gene:SETIT_034631mg transcript:KQK87729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQPSFSSTMALKSSCSGLKFPKTALLPCFGGISRQDIQDRNASLTYLIPKVASVTDQSTSEPSKPRQSKHTVDPTAPEFLPLPSFEECFPRSTKESSEIVHEESGHVLKVPFRRVHLTGDQKHFDTYDTSGPQNISPRIGLPKIRKEWIDRREKMGSPRYTQMYYAKHGIITEEMLYCAKRENLSPEFVRTEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVLSSIEDEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSPVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMAFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVKEGMNAMSAEFLAARKTISGEQHGEAGGEIYVPESYAAQK >KQK87728 pep chromosome:Setaria_italica_v2.0:IX:8943895:8947875:-1 gene:SETIT_034631mg transcript:KQK87728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALQPSFSSTMALKSSCSGLKFPKTALLPCFGGISRQDIQDRNASLTYLIPKVASVTDQSTSEPSKPRQSKHTVDPTAPEFLPLPSFEECFPRSTKESSEIVHEESGHVLKVPFRRVHLTGDQKHFDTYDTSGPQNISPRIGLPKIRKEWIDRREKMGSPRYTQMYYAKHGIITEEMLYCAKRENLSPEFVRTEVARGRAIIPSNKRHLELEPMIVGRNFLVKVNANIGNSAVLSSIEDEVHKLQWATMWGADTVMDLSTGRHIHETREWIIRNSPVPIGTVPIYQALEKVNGIAENLSWEIFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDEILDICNQYDVALSIGDGLRPGSIYDANDSAQFAELLTQGELTRRAWAKDVQVMNEGPGHIPMHKIPENMEKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPYAQAWDDALSKARFEFRWLDQFALSLDPVTAMAFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDIRKYADEHGYGTVEEAVKEGMNAMSAEFLAARKTISGEQHGEAGGEIYVPESYAAQK >KQK88278 pep chromosome:Setaria_italica_v2.0:IX:12824752:12826377:-1 gene:SETIT_035427mg transcript:KQK88278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVTHDPEAALAGDKEPRGPRSLLLRARDNLAFRSVWSELNGAMGDLGTYIPIVLSLALASHLDLGTTLIFTGIYNAVTGLIYGVPMPVQPMKAIAATALSDPSFGIPEVMAAGILTAAFVLLLGVTRLMQLVYWFVPLPVVRGIQLAQGLNFAMAAVKYIRYEQNLGKGKSSGRRPWTGLDGLILAIAAICFILLVNGAGSESSRRSSSNSSTVQDQGHGQGGDRDTHRQSQEEGERQEEERDESGRWRSMIRRAAPAIPSAVIVFVLGVAFAVARHPAAVRELRAGPSRMRVVRISREAWRQGFLKGAVPQIPLSVLNSVVAVCKLTRDLFPEKAAAASATSVSVTMGAMNLVGCWFGAMPCCHGAGGLAGQYRFGGRSGGCVAALGALKLALGLALGGSMLRVLVAFPVGLLGVLLLFAGVELAVVARDMSSKAEAFVMLLCAAVSLVGSSAALGFLCGMVAHGLLMLRAWAMGFRLS >KQK88096 pep chromosome:Setaria_italica_v2.0:IX:11473626:11476485:1 gene:SETIT_036309mg transcript:KQK88096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAAVTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRNRADGQLVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILGVQYSIPDYVHISPECQDLISRIFVANPATRITIPEIRNHPWFLKNLPADLMDDSTMSNQYEEPEQPMQSMDEIMQILAEATIPAAGSSGINQFLNDGLDLDDDMEDLDSDADLDLESSGEIVYAM >KQK88097 pep chromosome:Setaria_italica_v2.0:IX:11473932:11475791:1 gene:SETIT_036309mg transcript:KQK88097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAAVTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRNRADGQLVAVKYIERGEKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMQVCHRDLKLENTLLDGSTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKVADVWSCGVTLYVMLVGAYPFEDPDEPKNFRKTIQRILGVQYSIPDYVHISPECQDLISRIFVANPATVSTFSLVGPLVLHILCFEPLTNIVYTEDHYP >KQK91056 pep chromosome:Setaria_italica_v2.0:IX:47423919:47438692:-1 gene:SETIT_033859mg transcript:KQK91056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQVTAMEPAAAAAGEGQRFKRIPRQAWSGNLELDPLLNENLDQWPHLNELVQCYKADFVKDDGKYGRYESVAPPSFQNQIFEGPDTDIETELQLCNVRHSKPEDATEDDTPSTSGRQIYETEPSASSSKVHCSLSPLPAYEPAYDWENERSLIFGQRVPESLPAINNSGLKITVKVLSLSFQAGLIEPFSGTICLYNRDRREKLSEDFYFHILPTDMQDAQISLDRRGVFSLDAPSPSVCLLIQLEKAATEEGGVTPSVYSRKEPVHLTEKEKQKLQVWSRIMSYKESFAWAMIPLFEGNHAGGLGDAASPSSPLAPSISGSSSQDSIVDPISKLTLDGKLNHYSSGSSVIVEISNLNKVKESYIEDSLQDPKRKVHKPVKGVLRLEVEKLHDGHHDADNVSEGGSMANDLNDAGDLSNGRCNRSSFDGIRSSVNSSGAAQKDAHHNGKISSCENSDSFQAFDFRMMTRSEPFSQLFHCLYVYPLTVSLSRKRNLFVRVELRKDDSDIRKPPLEAVHPRERNTMLQKWGHTQIAVGTRMASYHDELKISLPALLTPQHHLVFTFFHVDLQMKLEAPKPVIVGYSVLPLSTHIQLLSDVSLPILRELVPHYLQESGKERMDYLEDGKTVFRLRLRLCSSLFPVNERIRDFFVEYDRHTLHTSPPWGSELLEAINSLKNVESTALLQFLQPILNMLLHLIGDGGETLQVAAFRAMVNILTRVQQESSDGAERNRFLINYVDYAFDDFGDRQAPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMGLEQSRLFYHNLPLGEDVPPLQLKEGVFRCIMQLFDCLLTEVHERCKKGLSLAKRLNSTLAFFCYDLLSIIEPRQVFELVSLYMDKFAGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLSSVLIQEIFLTLDHDDLSQRAKAARILVVLICKHEFDARYQKSEDKLYIAQLYFPLIGQILDEMPVFYNLNAVEKREVLVVILQIVRNLDDATLIKAWQQSIARTRLFFKLLEECITHFEHNKTGDSMLLGASSRSPDVERPASPKYSERLSPSVNAYLSEASRHEIRPQGTPENGYMWNRVSPQLSSPNQPYSLREALAQAQSSRIGSTARALRESLHPVLRQKLELWEENLSTAVSLEVLGITEKFSVAAGTRSITTDYAKLDCVTSILMGLLSRSQPLAFWKAFLPVVYNIFNLHGATLMARENDRFLKQIAFHLLRLAVFRNDSIRKRAVVGLQILVRNSFNYFKNTTRLRVMLTITLSELMSDVQVTQMKSDGSLEESGEARRLRKSLEEMADVRSKDLLKDCGLPVTALEAAPEGSNDNRWSWVEVKHLSKCLVQALDAGLEHALLGSVVNVDRYAAAEGFYKLAMAYAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVIMQALVGRNDAVWSKEHVASLRKICPIVSTDVSAEVSAAEVEGYGASKLTVDSAVKYLQLANKLFAQAELYHFCASIQELIIPVYKSRRSYGQLAKCHTSLTNIYESILEQEASPIPFIDATYYRVGFYGERFGKLNKKEYVFREPRDVRLGDIMEKLSHTYEAKMDGNHTLHIIPDSRQVNADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVTKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQEFHTQLVNGFQSLTAELSHYIPAILSEL >KQK92956 pep chromosome:Setaria_italica_v2.0:IX:58042487:58043002:1 gene:SETIT_040146mg transcript:KQK92956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWRTGSGSGWSGSSGGGRRPNQQGHIARNCTTPICSACREPGDIDTNCTTTICESCDAQGHIAGNCTAVCTRCNASGHSSAHCTAPVCTICQGPHWEVYCENGGPYRGIYLYGGDDACAHCGQRGHFNKQCPDVQEILRKSGRNRGGQS >KQK88574 pep chromosome:Setaria_italica_v2.0:IX:15162539:15163114:-1 gene:SETIT_039336mg transcript:KQK88574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSARAAAAMAIFVLVALSTSHMAFSLRPGAGLGVCRASGYLPGRSGNCEKSNDPDCCEDGKKYPQYRCSPPVTASTKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQSLGMVDITWSEE >KQK89651 pep chromosome:Setaria_italica_v2.0:IX:34499598:34502131:-1 gene:SETIT_036648mg transcript:KQK89651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEEERDVLFKKFTSGFLVLRNPVPLDEFRLCYYMPDPLESVDPDADSEDANLWIRHALQSNARSVKVEIWDDRLHLNPAVFASKCLTSLQLSSVMLFHGFFRNLQTGCTALERLLLSDCAIDDDEITSQTLKVLSIGDSTEFTFDEQLSISIPSLCYLGFSVEAGIPLLKDMGSLVTASVSVASGGTQVDDIRKFLRSLSGVTNLDFNYGGMLKMEKSSQWCPKFNNLRTLTLGKWCLPEDYYALKVFLQNCPNLVKLTLKLKECNYTSQSIIGELDEGSFTCQHIEMLEIVCLEGDRNVLEKLFPEGGATFGQVRFRH >KQK89035 pep chromosome:Setaria_italica_v2.0:IX:19805007:19806503:-1 gene:SETIT_039683mg transcript:KQK89035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLCVQCCCCTPWTRTLEQELGRRCRLLRFCWDSPQDRRDEFLRTHGPSVRALVSGGGSGCDAALIDALPRLEIIACYSVGFDPVDLAWCRDRGVRVTNSPGVLTDDVADLAVGLAIAALRRIPQADRYIRAGHWKANVDYGLTTRFSGKRVGIWARQDRVPRGWKLPVARSTTTTREEKYKPSRTTPVQLAANSDVLVVACSLNEQSRRTVSREVIERRARERRARRARRRARARRHARRGPPRRRRGAGLDVFEDEPDVPEVLTALDHVVLAPHVGSGTRETRRVMADLVLGNLEAHALEKPLLTPVV >KQK91672 pep chromosome:Setaria_italica_v2.0:IX:51145296:51150728:1 gene:SETIT_036590mg transcript:KQK91672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGFLSRVLLLAFGYAYPAYECYKTVELNKPEIEQLIFWCQYWILVALLTVLERFGDFTISWLPFYSEAKLMFFVYLWYPKTKGTTYIYGTFFRPYISQHENEIDRNLLELRARATDTVVLYFQKAASKGQNTFFDVLKYVAAQSPSQKSRQPPHQEPQQPQQHQPQVQQQQPQKQAAPVMRRAASIAARQAAMAQQSQETKPVPSSPKIKRQASAKSSSVASTKPVTSASTPKSGGSPKKSESKPAIEPVRTPATSADSPKSEPSAKLLPEAEEVDKMAIDEAGGDAAEGTDELDPSLDESPMEETIRVTRAKLRRRTTPEDPAGN >KQK87214 pep chromosome:Setaria_italica_v2.0:IX:6122809:6124232:-1 gene:SETIT_040063mg transcript:KQK87214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTRARQDHEQNETRTRDHAARLRCPPARGDRFSPTRLGLLSHRDRNTSPGWTVESAAVRSVVVRRAMAAAAPTVRGYGPASSSSSVALHRPRPRMPASFAPVATRRAASVRLRAAVADAPRGLQLQRREEGLLGSVLGRGGEEEEEEELLEKVGEEKVEGWMRESIAEIVRNIGEAPFLVHLFSSDDDDGVTVRREPASPESWPDVQRRWGAGGQRRPDGIILVEQVAAAAADGGASATEAARQVWGLVVQARGMECASCYVLDTCRVRSSAGFCTHFCLARAQCFGDPVELQLRNAWLNRLAGRR >KQK90345 pep chromosome:Setaria_italica_v2.0:IX:41882049:41884098:-1 gene:SETIT_034733mg transcript:KQK90345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMTDMPAATALRAPAPAAPAAAAAAGSDKDAEKLRFIEEMTSNVDAVQERVLAEILARNAGTEYLARCGLAGATDRAAFRARVPVVTYEDLQPDIQRIANGDRSPILSAHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKALYFLFVKSETTTPGGLTARPVLTSYYKSEHFKNRPYDPYHDYTSPTAAILCADAFQSMYAQMACGLCQRHDVLRVGAVFASGLLRAIRFLQLHWEQLADDIEAGSLTPRVTDPSVREAVADILRPDPELARFLRAECSRGDWAGIVTRVWPNTKYLDVIVTGAMQQYIPTLQYYSGGLPMACTMYASSECYFGLNLRPMCRPSEVSYTIMPNMGYFEFLPVDEASGVASGDAAQLVDLARVEAGREYELVITTYAGLYRYRVGDILRVTGFHNAAPQFRFVRRKNVLLSIESDKTDEAELQRAVDRASALLRERRGAAVVEYTSHACTRSIPGHYVVYWELLATAAEQGEDAVDGETLERCCLEMEEALNSVYRQSRVADGSIGPLEIRVVRSGTFEELMDYAISRGASINQYKVPRCVSFPPIVELLDSRVVSRHFSPSPPHWAPAAAPRAD >KQK90630 pep chromosome:Setaria_italica_v2.0:IX:44089371:44091728:-1 gene:SETIT_034637mg transcript:KQK90630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLGNKVMELMQGTDRSKWIVHSNHNIKCFGKGDIEQITNNYRYILGSGGFGEVYEGVLEDKSMVAVKKFIHNVKENFAKELTIHCEINHRNVVRLIGYCIDENALTMVTEYVPNGNLSDVLHHENAPIPLDIRLRIATECAEALAYMHSHMYTQVIHGDIKPANILLDGNFNAKLSDFGISRLVTTDKTLYTENVKGSIGYMDPLFARDGRLTVKSDVYSFGVVLLELITRKKATTGDVSIVSVFTEDVARGVRELREMIDPEIANQNNMIILEEVAKLAIECLRMERDRRPEMIDVAENLRMLQKASHQGQEPVYLFSWTGKCLRAPPAVVSMATNILPSDLCRHFSFEEIKAATNNFDESLLVGEGAFGRVYHGKIDGGATEVAIKHRQPWSKYRAPEFYAEIEVMSKLCHHHLVPLIGHCNEKDELILVYEYMARGSLRKHLYMTQEPPLTWKQRLEICIGAARGLQHLHLLQIIHRNINTSDILLDEDWIAKITGIGLSTTEPSTGETTTFKSSGGLIDPEYFRTFQLTEKSDVYSFGAVLFEVLCAGPVMNCIFPIRVGNVVDWALQCKQEGNIDQIVSPYIKGRINAQCFHKFIETAEKCVADRGIDRPSMEDVLLDLECALELQVSAEVNTS >KQK90740 pep chromosome:Setaria_italica_v2.0:IX:45466410:45466695:1 gene:SETIT_039194mg transcript:KQK90740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAVTVVRIILAIILPPLGVFLKHGIKIEFWICLLLSFFAYLPGIIYAVWVIVK >KQK90995 pep chromosome:Setaria_italica_v2.0:IX:47082554:47083074:1 gene:SETIT_038507mg transcript:KQK90995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALHNSLITIKRLARRFTICSYHLSTLGRIKPPSHLSSSDQLPSKEGSQK >KQK86582 pep chromosome:Setaria_italica_v2.0:IX:2773926:2776107:-1 gene:SETIT_037524mg transcript:KQK86582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRRPLASPCRGRIVVVVLLSALLLLSSAAHSAEESTRVLSVGEELVGETMPLRNGRRVYRINGLRPSAWYEVKISYPASIPSSFSIRLVDDPDGADWSSKNRRLLNTEKIIFKAEGSNPVYVLVTVEPEGVVAKPNVPEREHALFNIVCDELMLGLPVFTWWVGIAALLCIVLASLAPLVLQLHKLLDNYEGSDLNQADAAKMS >KQK86760 pep chromosome:Setaria_italica_v2.0:IX:3611089:3614936:1 gene:SETIT_036617mg transcript:KQK86760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKAKMESKLSSAAAFVEGGVQDACDDACSICLDAFCDSNPSTVTNCKHDYHLQCILEWCQRSSQCPMCWQPISMKDPMSQELLEAVEQERNMRANRSHSTALFRHPMLGDFEIPVGADDAELEERIIQHLAAAAAVRRSHRHHRRDGHHSRSGANSHPQVLVLSTDEHTTSGQEGDYEQAPAVFSGHRLGTLVQQERTTRGLEGAINPPLYCSTPADSNERIAGMQSTPVDQDRAGPSDLPSFSDTLRSRLQSASMKYKDSITKSASGWRERWFSRSNTISDISSEVRREVNAGIAAVSRMMERMDTRDGTGPSATSASGSGSH >KQK90359 pep chromosome:Setaria_italica_v2.0:IX:42057415:42060840:1 gene:SETIT_040096mg transcript:KQK90359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERHAVDYRPSVSGDYFIKNPTLPHPHEVCRHVFQSFKGNQGNLRDTLHADVRALLALYEAAHLGTPKEQFLIKAQKQTISLLTSVVDHLERPLAKKARLYIPLYEEDKEECNELVLELAKIYFYFVQRIHREEVREISNMWYHGLESPRKLFYARHRPTEAYVWALGVYYEPQYVKARKLLAKFIATITPYDDTFDNYGIWEELEPFANVMQRWNMKDDVEQLRECYRDYAHFMFSTMIEIENALPEDVARRNVDTIRDIINEVCKGYVVEIGWRDNNYIPSLEEHLNNITEEILKWMSNFPQIVKGSCIVSRLMDDIVAHA >KQK87272 pep chromosome:Setaria_italica_v2.0:IX:6345603:6346109:-1 gene:SETIT_039057mg transcript:KQK87272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSNWFINARVRLWKPMIEEMYQQECRELEGSSAGGGGPESGNDPSGADDTHSPTTTGAAQLQQQQHGTAAPGLMPHKPDPGAAGPSAADAAFVGIDPVELLGGDAHVGGGADDLYGRFEPGVRMRYGPGATGAAAGDVSLTLGLQHAGAGNAGPDGAGRFSLTDYSGC >KQK88785 pep chromosome:Setaria_italica_v2.0:IX:17178870:17185064:-1 gene:SETIT_036047mg transcript:KQK88785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQVGGQGARPTFFEMSAAQQLPASLRAALTYSLGVFALRRPLLHKVLDYEDEFFALLMGVLESHSLRTTDGSFSESLYGLRRRPVKVSVKKSPGTESSDKVYDSALMKRQKILSVVFLVVLPYFKSKLQSIYNKEREARLQATLWGQDDVRFDEAGFVLDQEQTSQAQNDPTTDEVSNLTRFKKNFASLIGVCYPWIHATNEGFSFAYQLLYLLDATAFYSPALHVLGLHVCRATGQELMDSSSRISRIRNRELERLRGPPWLKAVQRVFLSCMYTTLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPTPKVAKDGIPLPSDKTLCPLCCQKRANPSVLSVSGFVFCYSCIFKSVSQHKRCPVTLMPATVEQIRRLFHDL >KQK87469 pep chromosome:Setaria_italica_v2.0:IX:7460333:7462625:1 gene:SETIT_037287mg transcript:KQK87469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFRTQMRWSSSAQASAQEQLCEGAAAVVAARQGMETPLKEVAEAFEELARGMDADAGELRLAPFGDTCALVSVLFSSLGMAFRFAEIEYVTKVNDLIGAGKSYRTLSDILDKDIENDSVKKQGSHSRNLRRVRLGLGLIKALFEQFLTTEGCSLYDAATTAYGQVCAPFHSWAIRKAVGAGMYTLPSREQLIVRLNETDCSVQKEMRRYIDASSPIIEYIDNLFLSRNISLDW >KQK89322 pep chromosome:Setaria_italica_v2.0:IX:23945985:23948239:1 gene:SETIT_039641mg transcript:KQK89322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVVHSVEYDHTASIEASLCLPDPMKPLYNGGIIQNGAFNSGLMGWSTHRNIKAGVRSSPSGNKFAVVQGAGGTLSISGNVLPSHSVYQRVRMQRDTHYSLSAWLQVPAGSAHVKAVVKAPNGERVIAGAVVARSGCWSMLKGGMTTYSSGHGEIYFESDAPVDIWVDSVSLQPFSFDEWDAHARRSANTTRRSTIRLVARGADHKPMANATVIIELLRAGFPFGNTMTKEILNLPAYEKWFTSRFTVATFENEMKWYSTEWNQNQEDYRIPDAMLKLTNKYGIRVRGHNVFWDDQNSQIRWVRPMNTDQLKAAMQKRLKSVVSRYAGKVIHWDVVNENLHFNFFEGKFGPTASAQIYNQVGQIDHNAILFMNEFNTLEQPGDPNPVPSKYVAKMNQIRSYPGNGGLKLGVGLESHFSTPNIPYMRSALDTLAKMNLPMWLTEVDVVKGPNQVKFLEQVLREGYGHPNVNGMIMWAAWHANGCYVMCLTDNSFKNLPVGNVVDKLIAEWKTHRTAATTDKNGIVELNLPHGEYNFTVTHPSVKGTAIHTMTVDAASSASDHTITVKA >KQK86474 pep chromosome:Setaria_italica_v2.0:IX:2089589:2092952:1 gene:SETIT_035013mg transcript:KQK86474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVKSAPGGQRNWSSGGSTSGRKNKEKGDDRAKGTRVASWNNSKETEGHKGRQGDAGSTRRWSEGVPRVGEKKSMSGFDRRKRKIDGDSWDDDGGGKNVSPSKSKFTRKTASTINRRKVVPGKDHRLKSRSLYEEDSRAGRRSTSKVSDIRGIKGRSPLSNNSGASKGKKFDASTEFRRTKRGGAKDVALDEEVEDNKKSDDSGHITEEEKPRPRLTRVLDQSGKKLKPAKKDVVPDAEEPSPPKKRKRMKLDPYDTSNKRIEDSPPVQDVSRAEKVMVKCAPEETEMSINAKFRAIQPAPSILSYVEDNLLGRRRLNEIKNAGYNVKISAPLDNVPFSTSTERERIEESVFRNQLEFFAAAKMSSSFPPPTLPEIAFAGVSNVGKSSLLNALTRQWGIVRTSDKPGLTQSINFFRLASKLCLVDLPGYGFAYAKEEVKESWQDLVKEYVSTRVGLERVCLLVHTKRGMKPLDYELVDLMERHKTPYQIVLTKTDLVFPIDVARRAVEIQESLKKNKSVVKPVMMVSSKTGAGIRNLRGVLGKLARFIKP >KQK88837 pep chromosome:Setaria_italica_v2.0:IX:17582752:17584596:1 gene:SETIT_034744mg transcript:KQK88837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHNAGDLPLSAPAGDGSGGKRAVEKEEEAEDKMDKYDKGRIRCSRLCFLFALAATVSILARHCYAAGLGRGGNAGVVRIEAVHASPPPPSVRQDRKIVPIARREPSVSDHSPSAPVDAGDDASWKPSASEPAASDNGGKTTSSKEKHSPSPPRGSKYGGRPFARALASADNKNDLCGGQYIYVQELPARFNKDMVQHCDKLSPWTDMCRYTTNGGFGPLLRGGKGAFQGTGAGWYDTDEHALDIIFHERIKRYECLTDDPSLAAAVFVPFYAGLDVARHLWGNNVSARDELALDLAHHIAGRPEWRAMGGRDHFFVAGRTTWDFRRKADGQAEWGSKLLNLPAAQNMTALVVEASPWHLNDVAIPYPTAFHPASDEDLFFWQDRVRALNRTHLFSFAGVARPGDAKSIEGRLVDQCKESPACALMECSTTGPDNKCESPASVMRLFQSSTFCLLPRAGTDTSRPAFDAVLAGCIPVFFHPASAYVQYSWHLPKSHTDYSVYIPEEDVRSKNASVEERLRKIPPEVVGKMRDAVVGLIPSVTYSDATSRLETTVKDAFDIAVAAVISKVTKLRRGIVDGRPEEEKIERYSWKYPLLGEGQKAEDPHEWDPLFN >KQK90435 pep chromosome:Setaria_italica_v2.0:IX:42689427:42690897:-1 gene:SETIT_038122mg transcript:KQK90435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLTGSEIAGFVVGGLLLGATIAAPKVDGFIASSQRRSLGMCKRCGDLRIVACSQCKGMGSVRKGGLLNLGMLDDLYESLGAEAKTENLIPCTKCRSKGRLLCPECSKVI >KQK88510 pep chromosome:Setaria_italica_v2.0:IX:14740910:14743078:-1 gene:SETIT_036130mg transcript:KQK88510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGETEKTKATEGRLTGREPPSSHESKRRRSLPGGAMADRFFPNDFPDFVAEAEAPGGDGDRRPAGVRGLLSLPYARLSDRFLRAARRLKDKVVEETWVKAGRQVTDYTLYTGALGTALLLFKSFRVTGDRGDLALAADIVHACDDASRGLPFLTFICGRAGVCALGAVIAKHCDDQLRVTQYLSSFDEITITEKVPNELLYGRAGYLWACLFLNKHLSEKTIPLEHINSVAKDIIREGRKLSSKGSSPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEQDDVKNTLRYMIKNRFPSGNYPSSEGNESDRLVHWCHGAPGVALTLAKAYEKADEFIAEGAMHGGDRPFSLFEGKAGMAYLLLDMVDPSESRFPAYEL >KQK88511 pep chromosome:Setaria_italica_v2.0:IX:14740910:14743078:-1 gene:SETIT_036130mg transcript:KQK88511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGETEKTKATEGRLTGREPPSSHESKRRRSLPGGAMADRFFPNDFPDFVAEAEAPGGDGDRRPAGVRGLLSLPYARLSDRFLRAARRLKDKVVEETWVKAGRQVTDYTLYTGALGTALLLFKSFRVTGDRGDLALAADIVHACDDASRGLPRRFLTFICGRAGVCALGAVIAKHCDDQLRVTQYLSSFDEITITEKVPNELLYGRAGYLWACLFLNKHLSEKTIPLEHINSVAKDIIREGRKLSSKGSSPLMYEWHGKKYWGAAHGLAGIMHVLMHTELKLDEQDDVKNTLRYMIKNRFPSGNYPSSEGNESDRLVHWCHGAPGVALTLAKAYEKADEFIAEGAMHGGDRPFSLFEGKAGMAYLLLDMVDPSESRFPAYEL >KQK87516 pep chromosome:Setaria_italica_v2.0:IX:7714293:7717300:1 gene:SETIT_034434mg transcript:KQK87516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVAPAMIAVGGAGGEETAEEAVVEKTLQNGDVYRGGFSQGAPHGKGKYVWADGCMYEGEWRKGKASGKGRFSWPSGATFEGEFRGGRIEGQGAFVGPDGATYRGAWVADRRHGVGAKSYANGDFYEGQWRRNLQDGHGRYVWANGNQYVGEWRAGVLSGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPGTCVDLPAISGTFFPPVGAGAAGTVRKRSSVEGVGEKAAPRICIWESEGEAGDITCDIVDALEASMLYKEAAAVAGGATYMRTLPQRSTRRAASGVPRWASSAATTPESKRPGQTISKGHKNYELMLQLQLGIRYSVGKSAAVPMRALIQADFDPKEKFWTKFPPEGSKVTPPHSSAEFRWKDYCPMVFRHLRKLFAVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVRKSEVKLLIRMLNSYYQHVSQYKNSLITRFYGVHCVKPFNGQKVRFIVMGNLFCSEYRIHRRFDLKGSSYGRTADKFDDEIDETTTLKDLDLNFVFRLQRSWYTDLHEQLRRDCNFLESEGIMDYSFLVGVHFCDDISASKMGSSTFTASPKLLTKSESFQGGGTPELCFSDDDFDMMPDCRR >KQK87517 pep chromosome:Setaria_italica_v2.0:IX:7714293:7718807:1 gene:SETIT_034434mg transcript:KQK87517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVAPAMIAVGGAGGEETAEEAVVEKTLQNGDVYRGGFSQGAPHGKGKYVWADGCMYEGEWRKGKASGKGRFSWPSGATFEGEFRGGRIEGQGAFVGPDGATYRGAWVADRRHGVGAKSYANGDFYEGQWRRNLQDGHGRYVWANGNQYVGEWRAGVLSGRGVLIWANGSRYDGVWENGVPRGTGVFTWPDGSRYVGSWPGTCVDLPAISGTFFPPVGAGAAGTVRKRSSVEGVGEKAAPRICIWESEGEAGDITCDIVDALEASMLYKEAAAVAGGATYMRTLPQRSTRRAASGVPRWASSAATTPESKRPGQTISKGHKNYELMLQLQLGIRYSVGKSAAVPMRALIQADFDPKEKFWTKFPPEGSKVTPPHSSAEFRWKDYCPMVFRHLRKLFAVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVRKSEVKLLIRMLNSYYQHVSQYKNSLITRFYGVHCVKPFNGQKVRFIVMGNLFCSEYRIHRRFDLKGSSYGRTADKFDDEIDETTTLKDLDLNFVFRLQRSWYTDLHEQLRRDCNFLESEGIMDYSFLVGVHFCDDISASKMGSSTFTASPKLLTKSESFQGGGTPELCFSDDDFDMMPDCRRKPLIRLGSHMPARAEQASRRSEFDPYLFTGGGFLFPNQTGEVHDVILYFGIIDILQDYDITKKLEHAYKSLQTDPNSISAVDPKLYSKRFQDFIGRIFVEDG >KQK88127 pep chromosome:Setaria_italica_v2.0:IX:11729383:11730839:-1 gene:SETIT_037653mg transcript:KQK88127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLVNHCDMELMKMAMLRHEETFRQQVHELHRLYRVQKQLMMSGGVSRPSSELIGCRRRQTRRSCRQPRRALDLRLPADDYILVVAAGNATPPPRQEDGLELTLAVGGGGAGRRKRRDEGTGTGTPVGSDCSGGSLTSSSSITDTTSGSPPFLLQEGAAAVTQQPPWLVQCLSLKMAAAWVNGHVVGF >KQK90714 pep chromosome:Setaria_italica_v2.0:IX:45272976:45273755:1 gene:SETIT_038523mg transcript:KQK90714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLEDGISDIFFINSPGGWLISEMAIFDTMQTVTHLIYIQYASE >KQK89672 pep chromosome:Setaria_italica_v2.0:IX:34867721:34868100:-1 gene:SETIT_038452mg transcript:KQK89672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCTAVALTSTKCGTTRRSRGGRSEQPVEVKQVAIDVVPPSYANKLLRMLLDIKLGLAQ >KQK90635 pep chromosome:Setaria_italica_v2.0:IX:44098338:44102132:1 gene:SETIT_037553mg transcript:KQK90635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIRLEAAAMQSIAGAGAGAGELEPAPAAEAASARRSHATAAARAAGRAAMAALVLGRSARVRSGEGSGGLEVVGVFRSWWAAGSGQVREEERRHSPFHFDFSVLKSSPPSPRNSQILAMGAEAEGTAPPQAAAAGEPATAARAQPISAAQFLSWKQRKDAEEAARKAEAAQKRAADIASGAVQMNGRELFQHEPWVFDNNIY >KQK90093 pep chromosome:Setaria_italica_v2.0:IX:39532438:39532904:1 gene:SETIT_040359mg transcript:KQK90093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYEHHYSLESKIVKGLCSRRNIEWVQLLAINYRWK >KQK90262 pep chromosome:Setaria_italica_v2.0:IX:41060600:41060974:-1 gene:SETIT_040458mg transcript:KQK90262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNRHIHSICMAACKLTVIAVNFFFFTFTSLKFKGPDLFNILPPRR >KQK87563 pep chromosome:Setaria_italica_v2.0:IX:7919628:7922071:-1 gene:SETIT_034315mg transcript:KQK87563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAARVRRPRLQRPLLEPARPSLSPAARAKTEKAPAPLTLPLKAFKLRLANGPPLAPTAKAFKSYAETCASLLRLCRHAAADASNRPTSSVSSALPLVLSLHAHALRSGLGADCSVASNLLTAYAAFARAADRDRAFRDCVACGAASSFVYDFMVSEHVKAGDIAAARRLFDRMPDRSVVSYTTMVDALMKCGSVRDAVELYERCPLHSVAFFTAMISGFVRNELHSYALPVFRKMLSCSVRPNVVTLICVIKACVGAGEFDLAMGVVGLAIKWNLFEKSIEVHNSLITLYLRMGDAAAAHKVFDEMEVRDVVSWTALLDVYAELGDLEGARWVLDAMPERNEVSWGTLIARHEQKGDAAEAVRLYSQMLADSCRPNISCFSSVLSACGTLQELRGGTQIHANALKMGSTSSLFVSSSLIDMYCKCKQCTYAQRIFDSLPQKSIVCWNSLISGYSWNGKMVEADELFKKMPARNAASWNTIISGYAENRRFVDALKSFSAMLASGQIPGEITLSSVLLACANLCSLEMGKMVHAKIVKLGIEDNIFMGTALSDMYAKSGDLDSSKRIFYQMPEKNNITWTAMVQGLAENGFAEESILLFENMMANGISPNEHTFLAILFACSHSGLVEQAIHYFETMQAHAIPPKLKHYTCMVDVLARAGRLTEAEELLMKVPINFEANAWSALLSACNTYSNKEIGERAAKKLHELERDNTAGYVLLSNMYASCGKWKDAAEMRILMKGASLKKDGGCSWLQLRGQYHAFFSWEAKHPFLFGGLLRKGQFSEKMRIPVSSPNS >KQK88938 pep chromosome:Setaria_italica_v2.0:IX:18808729:18814699:1 gene:SETIT_036476mg transcript:KQK88938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGAGPSSGPAHSASTGAGGGSPSDKGGAAPSVSASVSTPASESTVARRLNGLDLQADDAPSSQPATSKKKKRGARAVGPDKNGRGLRQFSMRVCEKVESKGRTTYNEVADELVAEFSDPNINIDSPDPDNPSAQQYDEKNIRRRVYDALNVLMAMEIISKDKKEIQWRGLPKTSMNDIEELKTEIVGLKGRIDKKSAYLQDLQDQYVSLQNLVQRNEQLYGSGDAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELQDDSFVLKAMGLSGKEETDGTQAPVANGGECSSTPNNYWHQSPQPTRPRGVRLPNSPPIPGILKGRVKHEH >KQK89489 pep chromosome:Setaria_italica_v2.0:IX:31833994:31834530:1 gene:SETIT_038614mg transcript:KQK89489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRSNHPRVFLDINIGGEHVGRVVIELFADEVPNTAENFRMLCTGERGTGRWSGKRLHYKGAPFHRVVPGFMCQGGDITASNGTGGESALGYGRYFPDEGFSAVKHDGPGVVSMANAGPNTNGSQFFITFGETPWLDDRHVAFGRVVEGMDAVRAIEKAGSMSGRTVRPVVIADCGE >KQK90155 pep chromosome:Setaria_italica_v2.0:IX:40287918:40289631:-1 gene:SETIT_037757mg transcript:KQK90155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAPAATGAASPAAPTVAKGEAKAAARSVGLRLPALPPLPGLGLAAQGQTRAVSVCKLLARNVVAMAAGEPAAPNAANEEFTEFVNALKQEWDRIEDKYAVTTLAVAATLGMWSAGGVVSAIDRLPVVPGLMEAVGIGYSGWFAYRNLLFKSDRDAFFAKVREVYEDIISG >KQK88586 pep chromosome:Setaria_italica_v2.0:IX:15308362:15308910:-1 gene:SETIT_039788mg transcript:KQK88586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFLLVALSATHLAFSLRPGGGLGVCRASGYLPGRSGNCEKSNDPDCCEDGKKYPQYRCSPPVTASNKAVLTLNSFEKGKDGGGPSECDNAYHSDEEKVVALSTGWFSNMARCGHRIKISANGNSVYAKVVDECDSVHGCDDEHNFEPPCDNNIVDASPAVWDALGLDQSLGMVDITWSEE >KQK89098 pep chromosome:Setaria_italica_v2.0:IX:20665112:20666773:-1 gene:SETIT_035438mg transcript:KQK89098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQLIWNKPSVSRWINGLLSRMGTDILCLRLGSVHVVAVACPEMAREVLRVKEAAFMSRPSTFVSSAFSYGYKSASLTTFEQQWRKMRRIVTSEILSPALDRRLHAQRVDEADHLIRYVSDQIKMTQDNTINIRHVGQHFCGNLVRRLVFGKRYFGEAEPAMAVAGPGDDEVEHVDALFTLVNYVYGFCVSDYFPALVGLDLDGHEKVAKGVVSTFDRLHDPIIEERMREWADRREAVEKREAADFLDVLVSLEDAAGHPLLTFEEIKAQIVEIMFATVDSPSNAAEWALAEMLNKPDVMQKAIDELDAVVGRERLVQESDICKLNYLKSCIREAFRIHPFHAFTAPRVAMEDTTIGGYTVPKGSHVILSRIGLGHKPTVWPEPLEFRPERHLLVGDGMVGLGEPDLRFVSFSTGRRGCPAVPLGTSVTMMLFARLLHGFTWTKPPGVDEIALQESRTSLSLAEPLLLQAEPRLAAHVYLAN >KQK87763 pep chromosome:Setaria_italica_v2.0:IX:9191077:9191253:1 gene:SETIT_039674mg transcript:KQK87763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKLQSLPRNSASTPLHRCCFLTGRPRANYRDFGLSRHILREMVYACLLPSATRSSW >KQK91063 pep chromosome:Setaria_italica_v2.0:IX:47506010:47508075:1 gene:SETIT_036947mg transcript:KQK91063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQAVAKGSVVSPCGSRAAPGLLSRRRGAVATRMAPSAVRIGGSWRKTAFLGGRLAVGPRRSRSASRTLVASPVQMNMNLAIGKSMRWWEKGLQPNMREIESAQDLVDSLTNAGDRLVIVDFFSPGCGGCRALHPKICQFAEQNPDVLFLQVNHEEHKSMCYSLHVHVLPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHKPDRCSIGPTRGLEESELLALAANKDLQFTYTKKPELIPSGDAAAEVIAPEPTKLPAATKPSVKIGSEERSLVSSGR >KQK86843 pep chromosome:Setaria_italica_v2.0:IX:4088508:4090209:-1 gene:SETIT_036447mg transcript:KQK86843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKEFGREVMSMDKVKTDGEALIAAGDEEEDDVVLPGFRFHPTDEELVTFYLRRKVARKPLSIEIIKEMDIYKHDPWDLPKASTAGGEKEWYFFCLRGRKYRNSIRPNRVTGSGFWKATGIDRPIYSAANSGESIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPAATAANASPSMQEAEVWTICRIFKRNITYRRQQPQQVWRQPAAASNALPPTDSSSNTGSGFESDGGDEYMNCLPASAPSAPRQLHFSNQINMLNGGGGFFRESGVHNQQFQGQWFNSIPAPAAVEQKPQLNSPAMTIAFHQNDQSLAANDFCKDGYWDEIARFMEVNDPTVFYDCRYA >KQK86506 pep chromosome:Setaria_italica_v2.0:IX:2325382:2331538:-1 gene:SETIT_034376mg transcript:KQK86506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATALSTSLPHLPPRRVTSFPSSSAAVPLHSRAARLRESRLAAVAPTASEVLDSTNGAIPTASKSGAARGYGREYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAMLPPIEVVVGSIANADPNSPDEWEDGLADRLQYDADGNVKTEIVKTPFVQIPLGVTEDRLIGSVDVEASVRSGTTVFQPGLLAEAHRGVLYVDEINLLDDGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGSVREHLLDRIAINLSADLPMSFDDRVAAVEIATQFQESSKEVFKMVEEETEVAKTQIILAREYLKDVNISTEQLKYLVMEAIRGGCQGHRAELYAARVAKCLAAMEGREKVFVDDLKKAVELVILPRSILSDNPQDQQQEQPPPPPPPPPPENQDSSEDQDEEEEDDQEDDEEENEQQDQQIPEEFIFDAEGGLVDDKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVRRLAVDATLRAAAPYQKLRKAKDNDKTRKVFVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVSIIPFRGDYAEVLLPPSRSIAMARKRLEKLPCGGGSPLAHGLSTAVRVGLNAEKSGDVGRIMIVAITDGRANVSLKRSTDPEAAAASDAPRPSTQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKTALTDLKGS >KQK91980 pep chromosome:Setaria_italica_v2.0:IX:53059401:53063217:-1 gene:SETIT_035483mg transcript:KQK91980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFRVLHLVRPFLAFLPEVQSADRKIPFREKVIYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLVGSKIIEVDNSVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGTGNAILIILQLFFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLVFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILHSALITNLYFISQLLYRKYSGNFLVNLLGKWKESEYSGHSVPVGGLAYYVTAPSSLADVIANPFHALFYVVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGVCIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKERATELGFFGF >KQK88779 pep chromosome:Setaria_italica_v2.0:IX:17122515:17122671:-1 gene:SETIT_039690mg transcript:KQK88779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHPAIFSSKEEDPSLDDILEKLLDRQQATLLKELSSLFRMALACLL >KQK92623 pep chromosome:Setaria_italica_v2.0:IX:56420191:56425398:1 gene:SETIT_034915mg transcript:KQK92623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAAASLASKARQAGSGARQVGSRLAWSRNYAAKDIKFGVQARALMLRGVEELADAVKVTMGPKGRTVIIEQSFGAPKVTKDGVTVAKSIEFSDRVKNVGASLVKQVANATNDTAGDGTTCATVLTKAIFTEGCKSVAAGMNAMDLRRGISMAVDAVVTNLKGMARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIADGNTLYNELEVVEGMKLDRGYISPYFITNEKNQKCELNDPLILIHDKKISNVHAVANVMELAMKKQKPLLIVVEDLESDVLGTLILNRLRGGIKVCAVKAPGFGDTKKANLQDLAILTGAEVITQELGMNLENFEPQMFGTCKKVTISKDDTVILDGAGDKKALEERAEQLRSAIEQCTSDFDKEKLKERLAKLSGGVAVLKIGGASEAEVGEKKDRVIDALNATKAAVEEGIVPGGGVALLYASKDLDKLQSANLDQKIGVQIIQNALKTPVQTIASNAGVEGAVIVGKLLEQENTDLGYDAAKGEYVDMVKAGIIDPLKVIRTALMDAASVSSLMTTTESIIVESPKEESSAPAGGMEY >KQK88037 pep chromosome:Setaria_italica_v2.0:IX:11054572:11058738:-1 gene:SETIT_0373931mg transcript:KQK88037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQCLDGVRHLCGVLLKCCDIDLKQPNGLEDPEVLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTSKKESLFAYRVFDLFDTKHNGILGFEEFARALSVFHPNAPLDEKIDFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDEVIESIIDK >KQK88415 pep chromosome:Setaria_italica_v2.0:IX:14060555:14061681:1 gene:SETIT_037368mg transcript:KQK88415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPERRRSAAAPTLPALLLVLLSCCCWCGATAARPAPSSGDALPLPGFIKSWCAGTEYPALCEATLAPYAAAVGSSPAHLSWAALTVTLGGARAATAAMKAMAGAGHLAPLGAEAARDCVSMLGDAEDLLEQAVDAMARLWKGRSGQQAGTGSTGSSSRDVRFQLDSVQTWASAALTNDDMCVEGFKAEAAGGGGVREAVRGHLVGVSHLTANALGIVNAMVKQIP >KQK92049 pep chromosome:Setaria_italica_v2.0:IX:53397286:53398770:1 gene:SETIT_038080mg transcript:KQK92049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPSTLVLLIFAFVGGNLLMGISSNARSAKNSTDPGHGNIELNGRKLKERYTIRKTRGLENIRTDDYQPVDPSPSSKATIRPGPIEHGAPILPYVPQYPPPPGGHPEGVSPAGSPST >KQK87797 pep chromosome:Setaria_italica_v2.0:IX:9422364:9428553:-1 gene:SETIT_036161mg transcript:KQK87797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAELKEKHAAATASVNSLRERLRQRREMLLDTDVARYSKAQGRTPVSFNPTDLVCCRTLQGHSGKVYSLDWTPEKNWIVSASQDGRLIVWNALTSQKTHAIKLHCPWVMTCAFAPNGQSVACGGLDSACSIFNLNSQADRDGNMPVSRILTGHKGYVSSCQYVPDQESRLITSSGDQTCVLWDVTTGQRISIFGGEFPSGHTADVQSVSINSSNTNMFVSGSCDATVRLWDIRIASRAVRTYHGHEADVNSVKFFPDGHRFGTGSDDGTCRLFDMRTGHQLQVYSREPNRDDNELPTVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGNLQNSHDGRISCLGMSSDGSALCTGSWDKNLKIWAFSGHRKIV >KQK86641 pep chromosome:Setaria_italica_v2.0:IX:3063505:3064153:-1 gene:SETIT_039998mg transcript:KQK86641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAIEKFPWWPRAPPTDSESKPSRVAGPAVVGLTRPPHPPPTSPLFPFPPLPRLQIPKHPSSRGRKSAPIGSWYGRVCEELLEMGARVAVRSYGHCTQTGRMYYKPPSTPATADSNRNGEEAASGGAGAAAAAVRRQQQ >KQK92954 pep chromosome:Setaria_italica_v2.0:IX:58036698:58036928:-1 gene:SETIT_038897mg transcript:KQK92954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVGEVVSSKPVTLGKAAPVFTLFAASAASGLPAEAGALVLSAAEAAAELHAFRRNAGAGESSEKRRKKRKRSDTG >KQK90212 pep chromosome:Setaria_italica_v2.0:IX:40645626:40646599:-1 gene:SETIT_037292mg transcript:KQK90212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGDELKLLGMWASPFALRVKLALGFKGLSYQYVEENLRNKSDLLLKSNPVHKKVPVLIHNGKPVCESQIIVQYLDEVYSATGPSFLPVDPYERATARFWAAFIDDKFLVSWLKAGRGKTEEEKAEGVKETFAAVETLEGAFKECSKGKPFFGGDSVGYLDIVLGALVAWMRTAEVRHGIRLFDAFRSPLLEKWVERFGKLEEVVAVMPDIDRLVEHAKVREAEVAAAAVNN >KQK86873 pep chromosome:Setaria_italica_v2.0:IX:4234577:4235159:-1 gene:SETIT_040473mg transcript:KQK86873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVLRNHASLLHQSSAYASVKSHLSASTAGYI >KQK88893 pep chromosome:Setaria_italica_v2.0:IX:18269048:18269906:-1 gene:SETIT_038161mg transcript:KQK88893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDVPKPQPQPQGARCSRNDGKRWRCKGAAVPGYLFCDRHIAWSSRKRKPRPRKHEQQQHGSGGLGPPTAKGDVASAEEDTPELGHDGGFQKRAKGGETWPAA >KQK88385 pep chromosome:Setaria_italica_v2.0:IX:13830329:13834445:1 gene:SETIT_037082mg transcript:KQK88385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAVTLLRLPLARLSYHLRSAPSPRLPPPRLRISTSHRFLSSLGHGSASAAVSEAVAAPEPEGDSVDAVEESHEEESTAEEEAEAPRSFVLPRLPRPKLSVKERKELASYAHGLGKKLKSQQVGKGGVTPNLVSAFSDNLESNELLKLKIHGNCPGELPDVILQLEESTGSIAIDQIGRSVILYRPSTSKMKKRQEAAENARRFARSKEEIARRFVKSEESFEERPRNSAGRRFVSTFGSQQKRRPMASKGSSYGRG >KQK87709 pep chromosome:Setaria_italica_v2.0:IX:8813562:8814380:1 gene:SETIT_0340052mg transcript:KQK87709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTRGGGGGGRRGGRVDQGDGRGGGGGAGAGRGRGRGAAADLGAHPTDGARGGGGGRGDRGVAAAPGAAQRGAHFQPPHPAAGARRGGYPGVAQGRGQQVASPAPTPTPVEVEVLRRQVERKVVVSQAPGGPREGPSSSPAQRQAPAPGLAPAAAARPQMQANAPGRLAQPAAAGSPSPLPARAPAPAPGQVAVPAPAGSSSSFPARAPAAPGHSAAAAPRLEMQGKAPVQTTQMALAAPAGSLPPTSSKALVLPPRPGYGTAGRRCRVRANH >KQK88481 pep chromosome:Setaria_italica_v2.0:IX:14546681:14547161:-1 gene:SETIT_038112mg transcript:KQK88481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPKMLLVTLAVVAVLAALPLGKGHGGEEGGGAAPGNDANARAWPCCDTCGVCTRSLPPICSCRDLSPGGCHPACRNCLQSTTGGVRGAPLFQCTDFITNFCKRRCTPAAAGA >KQK87976 pep chromosome:Setaria_italica_v2.0:IX:10571084:10572529:1 gene:SETIT_035795mg transcript:KQK87976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDKLSYEIFSLLETKFLFGAGCLSSGPGTPARAFLDGCGRVRVLAIDGCGAGAEDALLAAAALARLEAGLRKQTGDTDARVADFFDVAAGAGAGGVLAAMLFLRGPDGRPRYSAQQALAFVAGSVGKKDWGGRRGRWAKLFRGGSRGGDRAFRRVFGDATLRDTVAPLLVPCYDLATAAPFVFSRADAVEGDAFDFRLRDVCSATCAAGGEPVAVRSVDGTTAIAAASAGVAAMGNPAAAAITHVLHNKQEFPLAAGVEDLLVLSIGSGASVPTSDGSRTPMPTRSPSPREVARVTAEGVADMVDESVAMAFGHACGSNYVRIKASKAPMALQAETAAAAAGAMLAQRNVESVLFRGRRLSERTNAEKVDALAAELVKEQERRRCSPLPNVAIKQVATPRLSSATTASSGTATARTASTMPSPASWDSRR >KQK86856 pep chromosome:Setaria_italica_v2.0:IX:4148219:4148358:1 gene:SETIT_0374232mg transcript:KQK86856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGADADAPSSEGAEQLRACREAAQRMRWTPGVLSVRYGGSGKRAC >KQK88297 pep chromosome:Setaria_italica_v2.0:IX:12982905:12987275:-1 gene:SETIT_039133mg transcript:KQK88297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRSAFCPATRTFRSLRPPIPLPPADAPVSFPSFALSRLPSPLPAHPAFLDASTGAALSFPALLARVRSLAAALRGALGVAKGDVALVLAPPSLDVPVVYLAVLSIGAIVSPVSPLSTAADVARAVGLCNPSVVFATAATVGKVPAARKMTVVLLDSPQFESFLHGHELAWADELPPPPVEVRQSDVAVISYSSGTTGRTKAVAQSHRRLMASSLQVPAARPRAPGGGHVVTLLGVPMFHSYGLHMLMRGVVTAETTAVVTAPPRGGGAAAVLAAAARCGATRMFVAPPVVVAMQRGGIGPEGFPDLVRVDCGGAPLSPAAASAFHERFPDVELSLALGSTEGGLISNMAGHQECHRIKSTGYVGGNETRTCAFDSNGWLKTGDLCYFDEDCFLYIVDRLKDLIKYKAYQIAPAELEDVLHLIPGIFDAAVIPYPDEEAGQIPVAFVARQKGSNNLTEDQVMEFVAHQVAPYKKIRRVVFVDSIPRLPSGKLLRRELLRKHSTLPKSNSRL >KQK87669 pep chromosome:Setaria_italica_v2.0:IX:8611547:8612789:-1 gene:SETIT_040168mg transcript:KQK87669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACVEAAAAPPRHHAATQLSRNRKRMHGAPPAGPRNSVRPSNSALLREALFLEACAGNPFVVGSRGLARDPATAELCLVMDCGGAREPPLRPAPARPRREPAAVRGTVRAATWHLLTGAKRMHERRIIHRDIKPSNILVDDDRGARAPSTSATSGTPCPRTSRRRTSQPARCVTRRPEMLLGSLSPDYDERVDTWSLGCGLDEDGQLCAIFDVLGVPDMRRHGRGSRPQRFAVVFMPELDMQRDNLLRKQFPEMKLTKEGFEVLTLLAAAAALKHPWFAKIDVPELSKREEVAPLWPKRRRINAVRVS >KQK87599 pep chromosome:Setaria_italica_v2.0:IX:8133269:8134763:-1 gene:SETIT_039738mg transcript:KQK87599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEENQEKFADTESGGGGGGGRGPAVRAICVFCGSRPGNRPSFSAAALDLGKQLVERQINLVYGGGSGGLMGLVSKAVYDGGRHVLGVIPSALLPEEVSGETLGEVKVVRDMHERKSEMAKHADAFIALPGGYGTIEELLEIIAWAQLGIHNKPVGLLNVDGFYNSLLSLFDKGVEEGFIDAKARNIFVLADTAAELLNKLTEARLAADEEDGTAAGGGEEEKGAAAGVKRKRS >KQK90831 pep chromosome:Setaria_italica_v2.0:IX:46061676:46061857:-1 gene:SETIT_039236mg transcript:KQK90831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRRQRNSGVNGSCTSDAMYAPPN >KQK92029 pep chromosome:Setaria_italica_v2.0:IX:53305948:53306738:-1 gene:SETIT_037961mg transcript:KQK92029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDELAAAPAPAPSAPGDQSAAAFSFSIWPPTQRTRDAVVRRLVETLAGDTILCKRYGAVPAADAEPAARAIEAEAFDAAAATGGAAASVEEGIEALQFYSKEVSRRLLDFVKSRAADAKAEAPSEEAAPAAAEGEAA >KQK86241 pep chromosome:Setaria_italica_v2.0:IX:892634:893304:1 gene:SETIT_038461mg transcript:KQK86241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIANTLICTYMSNMRINPFESQCLLHQSNCIWPLKQFVNFANNRSKAFTIKMLENIE >KQK92272 pep chromosome:Setaria_italica_v2.0:IX:54682019:54683612:1 gene:SETIT_036118mg transcript:KQK92272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRGHEHAGPYLRVRGGRPYPLTRSDEAARPANFGAPTPCHDPPLLPPSPAQLASVRLVTRFNNQQAERQEPKRESKMCGGAILAELIPSTPAGRVTPGHLWPAASKGKQQRRADDYEAAFREFDEEEEEVESKPAFAFSASFAATRKLRRQQRQELAAPAPSRRRKAAQYKGVRRRPWGKWAAEIRDPVKGVRVWLGTFPSAEAAALAYDAAARDIRGARAKLNFPSPADAVQNSGKRGRAAEVVDLVADEEDEASESSDSGALPDFSWQGVSVYDEEAPAAHPALEAADQSSGGASKRQRTEPESADDEASPRASDSESDALFDAFIFGDQFAYFNGGAYESLDSLFSADAVQGSSAAVAADEGMGLWSFDDGCLVEDNLSF >KQK89277 pep chromosome:Setaria_italica_v2.0:IX:22961461:22963183:-1 gene:SETIT_039764mg transcript:KQK89277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQIKQVVVVSISPPNVDRSKLIQEEEKFLQLNAQAPYILIRALSEDVLDAIMDEDDDYHTNHDASDHKKSPTSANEVKPEVPVSETRCSGSVSEVASDCSISEDHSSHQPHEESTSPSHSSSHHESEDEVLKFGFDKMTRRDKKDLEKGYACATHSFSYVAQLEEANQKLKAQLEELSSKYVNLQATHKELECSHEKLVDSHTMLEMAHESCDDLITQDNENLKQEVEKLKMDLSRLKGKGVAQSPQDNGDSIVKKLEKGSTLQSSCKNAINAQRWGHFASICPKKKEDKPTLSKRQRSLSKRRCFNCHEKGHKIASCASSGSHSGKTRSSGLAKPQGPVLAVKPHIKLNRGFLKAQEKYMGGAAIKSQNKKDTSSIKHKIYYACRKKGHLGKECPNGNASKLKSIHNDSKELRNNLNDTCAAKMTHSPKASTKSIWAPKTLLTDSSGPNMVWVPKCA >KQK92176 pep chromosome:Setaria_italica_v2.0:IX:54163304:54164490:-1 gene:SETIT_037521mg transcript:KQK92176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVARTTTAMSILALAALLATTTVRVDGATAAAPAPAPAADCTDALLSLAGCLSYVQEGSTVATPDPTCCSGLKDVVRNEVACLCQVFQGGQNLGISLNMTKALQLPAACKVKTPPVSKCHVAVPGVPSASPVPAPSAGAAPFFGQSPPSATPSESPAPAGTGSDSNTPAAAPSPARSGAASLSASTAQTTLAAAAVAATLLVYRVL >KQK88291 pep chromosome:Setaria_italica_v2.0:IX:12940500:12944596:1 gene:SETIT_036485mg transcript:KQK88291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPHPRLLLLPLLLLAAAAASTARADDLVAELQSLRARSPSGVIHLTDTSVTRFLSAPSARRPYSVLVFFDAASLHSKTDLHLPQLRTEFALLSASFLAHNPGSGDLFFADIEFAESQHSFHQFGVNSLPHVRLVRPEHASLGGSEQMDQSHFSRLADSMAEFIESRTGLEIGPIVRPPLLSRNQIILLGILFLISIPFMIKRIIDGETLLHDRRVWMAGALFVYFFSVSGGMYGIIRHTPMFLTDRSDPNKLVFFYQGSGMQLGAEGFAVGFLYTLVGLMIAGVTHLLVRVESLQTQRFAMLAVMAIGWWAVRKVIYLDNWKTGYSIHTFWPSSWR >KQK90378 pep chromosome:Setaria_italica_v2.0:IX:42229026:42229448:-1 gene:SETIT_040442mg transcript:KQK90378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCVCVGPRQTCRVEMLLSWVFWYMWQINTQLLVLIC >KQK90447 pep chromosome:Setaria_italica_v2.0:IX:42739389:42744168:-1 gene:SETIT_034410mg transcript:KQK90447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKERQSELARPGRVFNRNISRGGYSQSQHGFTQEFRIVKDNRSKQKEAVETLPEASHNRDPSNEHTVSNVGDKSSTEKLAAQHHLVTPNVNGHGAAQADKGIKSAAQASSVRKLEQSEGMQATVVGSHAVSGKGSQNRVATPPSGKNNFGGELCCSSSDPIHVPSPGSKSAGTFGAIKREVGVVGARQRPSDSPATNTSTSNSLAKVTSAQKDNPQNEHQSGLPGASLRNGRLNLPVPLSGRASHHVSHTKVSPHLEWKPKSISPSCVNHEVSVVPPSVDCNQADLAGLSKKLSQANASQDEHVIIPEHIRVPDSERTHLIFGTFEPEIDPKASVTASHTVVTTEDLNDHPSPSQTALNSITSTDVAPNDKMDHLGSCSPLPQPESIISVSEHQQSLTESVEAPSPGVVGEYGTNEMITSKVTYSQPQFQHQDNPAIQNFKSYEPDSRYVMPFITKFVDGQTAQSTAYTSEAMGLHPANAHQLPASGAAQQPVPQMYPQQFPVPQYPNFLPYRNVYSAQYGSPMVVPNYSSNPAFPQLPHASSYLVMPNGAPQLAANGMKYGSSHQYKPVFQGTPAGYGGYASHNSYPVSNGVISSTGAIEDANMNKYKDNNLYAPNPQAEAADLWVQGQREILNMPPAQFYNIVGQPVSPHAAYLPPHNGHPSFSPAPPHPAQLQYPGFPHALHPTSVTMVQNPQAMVHQPGAPGNLGLDMAAMGPGSQVGAFQQNQLGHLGWAPQSF >KQK90563 pep chromosome:Setaria_italica_v2.0:IX:43683721:43685233:-1 gene:SETIT_039604mg transcript:KQK90563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLKAVFVVFAWAFDAQQVFISVFTDAEPLWHCVGSAGNSSCTTAAVATPCALPRGMWAWDRPTKTSVVSEWALQCAGPALVSLPASSFFAGCLAGGFLLTTLADSLLGRKKMLLVSLASMSIAGVLTAFAPNVWAYAALRFVSGFARSIVGTCTLVLSTELVGKSSGVAARPRWLLVRSRTQEAVETLQRIASLNGGSSAATASFSMLHHACATDEEAAASGGGVFSTLRMVAERSWAIRRLAAIMVAGFGVGMVYFGLPLGVGSLGSNLYLSTTYNALAEVPSAALSWLLAARARRRVSLVALAAAAGAFSLACVAVPRGAGAARMAAELVSFFATCTAYDLILIYSIELFPITSVRNSAVGLVRQWGMIWSFGVFGLAIGCAGLFAACLPETRGKSMSDTMEEEERNEAAAASCTSRSSMAKYGDSNLV >KQK92549 pep chromosome:Setaria_italica_v2.0:IX:56060824:56062669:1 gene:SETIT_035143mg transcript:KQK92549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQLNVLATLDQAKTQWYHFMAIVIAGMGFFTDAYDLFCIALVTKLLGRIYYTDPNSKEPGTLPPSVSAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGFTLILMVLCSVASALSFGHTAKGVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFGTIIALLVSAVFRKAYPAPSFADNPKASLVPEADYMWRIILMFGTIPAALTYYWRMKMPETARYTALIARNTKQAAADMTKVLQKEIQDEEEEVQRQVIAGDSWGLFSSQFLRRHGLHLLATTSTWFLLDIAFYSQNLFQKDIFTKVGWIPPAKTMNAIEEVFRISRAQALIALCGTIPGYWFTVALIDVMGRFWIQLMGFLMMTVFMIALAVPYEHWTQPAHHTGFVVLYGLTFFFANFGPNSTTFVVPAEIFPARLRSTCHGVSAAAGKAGAIVGAFGFLYAAQDPKKPDHGYTPGIGIRNTLFLLAGTNFLGMIMSLFVPESKGKSLEEISKENIGDDATDAPARV >KQK87028 pep chromosome:Setaria_italica_v2.0:IX:5141954:5143581:-1 gene:SETIT_035627mg transcript:KQK87028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTETTIMAAPAPQPHVMVLPFPAQGHVIPLMELSHRLVDYGFKIYFVNTEFNHDCILKSMQNKGVIHGGIHMLSIPDGMDPADDHTDIGKLVGGLPAAMFSPLEELIKIKKIKWVIADVSMSWALKLTNTVGVRIALCLTYSASVFALRMKLPKLIEDGVIDESGNVKMHKMIQLMPPTDSTEIPWVSLGSTTERRRVNIRNVIDTNKLMALAEAIICNTFREVEPEALALLPNALPIGPLVAPMSELTGNFWSEDQTCLTWLDKQAPGSVIYAAFGSSTVFDVTRFQELANGLVLSGRPFLWVVRPNFTREIKEEWFNQFKQSTSGKGLVVTWAPQQRVLSHPSVACFMTHCGWNSTMEGVLHGVPFLCCPYFSDQFCNQSYVCNVWKTGLKLCANEQGVITKEEIKDKVAQLLGTEDIKARAVMWKNKACASIREGGSSHHNLLKLVKLLQEG >KQK87122 pep chromosome:Setaria_italica_v2.0:IX:5650787:5651486:1 gene:SETIT_039826mg transcript:KQK87122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARPSRIECPEPPKEPEPTNPGRVFDTERVAPRDATESATEQLARGGSRGDGAVDETYDTRVKIAEALEGSARAIGDKPVEPSDAAAIRAAEASAVGAGAGRAAVVVPGGVVERAQAAVAANARLALVGEDKVTMNDVLTWEATMRLPTGKAVTSEVAAAAAEAEAANDPRGKTNPRGVSAALDMAAKHNSEHAQAS >KQK86976 pep chromosome:Setaria_italica_v2.0:IX:4836932:4839796:-1 gene:SETIT_036628mg transcript:KQK86976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEIRILAAFLAALAAAAGVAAQLRQDYYAAVCPDLESIVRDAVSQKVQDQPVAVGATIRLFFHDCFVEGCDASVIMVSTGNNTAEKDHPSNLSLAGDGFDTVIKAKAAVDAVPACTNQVSCADILALATRDVIALAGGPSYAVELGRLDGLVSLSTNVDGKLPPPSFDLDQLTTLFAAKNLSQADMIALSGAHTLGFAHCNTFAGRIQPESVDPTMNATYAADLQAACPAGVDPNIALQLDPVTPQAFDNQYFVNLVNGRGLFTSDQVLYSDARSQPTVVAWAQNATDFEQAFVDAMTKLGRVDVKTDPSLGNIRRDCAFLN >KQK88638 pep chromosome:Setaria_italica_v2.0:IX:15701082:15701711:1 gene:SETIT_038348mg transcript:KQK88638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTILNMPHEHPYSKSLKISSEMPTRLIKCMSPKEKVAKERFKDCLLLKDPTQHKVTVHAYLVKIIIFHKKQIKL >KQK86434 pep chromosome:Setaria_italica_v2.0:IX:1866149:1869588:1 gene:SETIT_037100mg transcript:KQK86434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSRSRSRSRSRSQSRSRSRSRSPRRRDRLRSERAPRRSRSRSRSRSRSPYRRRERRGNRDFMCKNCRRPGHYAKDCPSAATCNNCNLPGHFAAECTSATVCWNCKESGHIATECKNEALCHTCNKTGHLARDCPTSGSNVKLCNNCFKPGHIAVDCTNERACNNCRQPGHIARECKNDPVCNLCNVSGHVARSCPKTTLASEIQGGPFRDILCRICGQPGHISRNCMATIICDTCGGRGHMSYECPSARIFNRGLRRF >KQK88005 pep chromosome:Setaria_italica_v2.0:IX:10763544:10771537:1 gene:SETIT_033883mg transcript:KQK88005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTSPTNTRRKYSWWWDSHICPKNSKWLKENLSDMDSKIKLMIRIIEEDAESFAKRAEMYYRRRPELMTLLEELYRAYRALAERYDHAAGELRQAHRKIAEAFPDQVLMDLDDDLPAETASIETDMDNPDMSPYFLSFINASDSKKRNKDDQDHERLHKELASLLEENQNLKDRISSMLEHSNKAECEILCLKESLAQQEEEKEAAVSLCQQSTARLQNLKSEIVHTQEKFNRLKEEMQTVPQLLGNGDEHFFLLERANQDLHLELDNLKLLLKQKHDELNDKQAEMEKLHISTEEEHLKRMQAEMAQLSLEKQLLLAQDKLRHLALEKQSEVSKKKDIEESKAVLQKELEKILEEKQKLNDQSHSSSAVIIRLQDEIISMKNMQRRLEEEVCQHLEEKNKLQHELSHLKEDRSDWERKHSSINEQIQSVNLNVESLQALAQELRDGNVELKEIVKNHESIELLHIDNLKQLERMSETNTQLEKSLSSAATELEGLREKKVALEESCMHLKSKIATHQSERAVLVAQIEVVSQTMEDLLEKNVFLENSLSDANAELESLRRKLKELKESSQALQNQNSILQYEKKTLAHQVDSITVTLLNLERQYKELERRHSDLQKEKDLVLDEVIKLQEQIRLERKEHEDSTHSSNTRFDALQDKISLLLEEGRNREVQLGEEELKIVKAQVEIFVMQQCLNDMAEVNSDISAQLRKKKETCKVQEGKMYSLSQHNQKLTEGIDSVVKVLHLDRKYESLDQMKLEIIMQLILTEISCLLNNISDAQDVKQNELVERSLVVTLLEHFGQEVADLRSERHALKQDQQTKNEELLQLQREKEELMKISDEFLEEVEARNHKVDELKAEAKFLVGRLSELQESRRSLQSEMTKLLQANSFLSSELNDSIEKQKVFEHDFSNLVTEAVSKDILSVIFRSLHEERTLQLKSLHNNFGCMQTAGSELYQEIKMMNKRLGEIEIENNYLGKELSRTMSVYGGSVVQTAGGKGHPGRRDSSLLHSDRKTQEDYHVNTEVEHKEFGDADFQESNEILQEEVFKLRNELEMLRSKEKIVFDIKSCDEEIMKLLANMQMAIMNAALFKEKVLELIITCESFEISAMVQKEVLKEEIIQRNSYVDELKDKLNAVEIENRRLKVDLNGDFTMLGSLQTEVSALEEQTLSLANDCLQPNKLRMEENVLSPEVLKTSMRSSGDENAMRMVKDMELQKLHGTIKALQKVVTDTGVLLEQERLDFNANLQEAKKQIEVLKLKEILDDDIIEMNYEQMLKDIQLDLIQTSSGRRTSPFGQEKKSVAQVDDKMVNLRAIVGPSRGHMAVDLRPPQSESFGTDNNQMVVKELSIDKQELPRLTAMEPHQEWKNKVVERLFSDAQRLNALQSSIQELKTNAETSEELELESVRYQIREAEGTIMQLIDTNSKLSKKAEEFTSADGLDAENTDLRSRHQRKILERARKMSEKIGRLEVEMQKVQQALLKYEEEQSSRKTSKALQRRSKVQLVEYLYGRRRDSRKQRSSPCGCMRAKTIDD >KQK93087 pep chromosome:Setaria_italica_v2.0:IX:58688413:58695477:-1 gene:SETIT_034256mg transcript:KQK93087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGKTLQAISLLSHLKVQRIAPGPFLVLCPLSVTDGWLSEFSKFCPSLRVLQYVGDKVHRRDLRRTLFELVQRASTSSHSNELSFDVLMTTYDIALMDQDFLSQIPWHYAIIDEAQRLKNPSSVLYNVLEQRFIMPRRLLLTGTPIQNNLSELWALMHFCLPSIFGKLDEFLSTFKEAGDSLTGSEANKANRQFKIIKHILKAFMLRRTKALLIERGILALPALTELTVMVPLTQLQKKLYMSVLRKELQTLLSITGGSSRHQSLQNIVIQLRKACSHPYLFSGIEPEPYVEGEHLVQVSGKLIVLDLVLKKLHELGHRVLLFAQMTQTLDILQDFLELRNYTYERLDGSVRAEERFAAIRNFSSQSTKGLMRDDGQSGAFVFMISTRAGGVGLNLIGADTVIFYEQDWNPQADKQALQRAHRIGQLNHVLSINLVSERTIEEVIMRRAERKLKLSHNVIGEEDRTDVKGGDMGNEASDMRSIIFGLHLFDPADTTTDTINEDTTSETISVEKLAKLKTMSEKVVMMRSHEPSEKDERAFEINPNLADGSGTVIRRASDSISVDPGLDESAYLSWFKKFKEASHSIEDAAAELGRQRAAPEEKLLKREVNKKKVEEKRLAKWETMGYKTLAVKEPDITASQNISDSGSVQLVYGDCTNPSKVCPAKPAIIFSCIDNSGTWGHGGMFDALTRLSTCIPDAYHRASEFDDLHMGDLHLIYLDEANCTRSLDAPLWVALAIVQSYNPRRKVPRSEISMPDLELCLSKAASSAAQRSAVIHMPRIGYQGGSQRSEWYTIERLLRKYSSLHGIDIFVYYFQRSSRQQTDSADASAGSSSS >KQK86925 pep chromosome:Setaria_italica_v2.0:IX:4525235:4528623:1 gene:SETIT_039149mg transcript:KQK86925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAFKSTTRRDIHASSSSASRSDPPPCPRRSRSRSVSATPRARGHDSLQEDYANTRTNPLFDSAVSPSPPQQPAGTATSAGGGDAPRRYRKREPLKGGGRGGRARSVPVAPQRRHSASAPSADGAGAVRGRRASRARLVTDTEARDAARKLQSWRSRHLISESKQRGIGASCSSQGSTTGVAIWQQNHSTVPVDPVLEIPPEFDPDSAEFISDFSDCATEYRKKDVVEIPLDFDTDAAELDSDSHERARKLRADLAVEEQREQELSRMLKGIVTASNFTETHKKRPRRKSSIERLKVSRHLAEEALNYFEECVSISTMDGTDFSSPEDHQLNSVLNVQPKSNSRFFHKGRSSSQEPHTPADQHGHHEEFDKQTQCSISITGSDVSDGVNFSNTKCHMKFRNNSSEDLDGLDTPRSRSSCFSFTHESVKNVENCDVPQYLGNFGMGNNKELRETRSSYFADDYVSQKVNPDLLKDMATFQNRMQYGGLFICNVRTF >KQK90754 pep chromosome:Setaria_italica_v2.0:IX:45577791:45578783:1 gene:SETIT_039321mg transcript:KQK90754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFRLVVVVTCALLLASACQGLHVGYYKKTCPRVEHIVRAEVKKFVYKNAGIGAGLIRMLFHDCFVQGCDGSVLLDPTPANPEPEKRSPPNFPSLRGFEVIDAAKDAVERACPGKVSCADIVAFAARDAAYFLSKLKVKIDMPAGRLDGRVSRASEALDNLPPPFFNVSELVAAFAAKGLGAEDMVVLSGAHTVGRSHCSSFVADRLAAPSDINAGFANFLRRRCPANPTPANDPTVNQDFVTPNALDSQYYKNVLGHKVLFASDAALLGSPETAKMVRDNAYIPGWWEDRFKAAFVKMSRVEVKTGKQGEIRKNCRVVNHGHPHRHY >KQK88244 pep chromosome:Setaria_italica_v2.0:IX:12514644:12515036:1 gene:SETIT_040443mg transcript:KQK88244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLAPTTAPPRRQLPLTGVAPNSGEDRSYPSLPLYPLLPRPHCSRI >KQK91639 pep chromosome:Setaria_italica_v2.0:IX:50962724:50965587:1 gene:SETIT_040693mg transcript:KQK91639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSFFDREKKNPKWTSRKNLGNFVSHVQSRLFKADSKHHIAKSFGRQKLLLSCLERLPCLFLLKKGQLSRWPSLVQQISISFIRGSELRSGWFGSFFASWFLGTFLMVSVIPPILPGHVLHMPSFSYHQPS >KQK86190 pep chromosome:Setaria_italica_v2.0:IX:672922:673494:-1 gene:SETIT_039177mg transcript:KQK86190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAAIHKTQQAETPACLPFPSLPFSFPALPYNTKAMKDRRGSSGGGDRFAVFPFSMGCMSQSAVSVADPSEKKPQSDPSSSSSAATATTTAQSPEEGAGEGVKEKAAAAAGTPASPGLVAAGVSRLMKGIKSLSLMFAGDGDDSEEEDEEREMVIGYPTDVQH >KQK87499 pep chromosome:Setaria_italica_v2.0:IX:7573709:7574719:-1 gene:SETIT_038547mg transcript:KQK87499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLCTRPTDCLAGRAFFGAHRGVRAAPAPVPAPRRPMQQKQQQESRIPGTASPPVAARERANGRQRRRGSPPAAGAGSPPPHKRVMENVVILKRGEPIPHVVAAALPAAGPSVAQAEKAEPADLRGALAGTDERVAVAANCGVRDKVDESLAAAEQSSSEAEKVDAVTTAGQRDAKAEEAGTVAAAVERGEQTKEEDEPVAFAGQSGAPAASAEPAAAEDKRVPDTEAKNAEAAEHHAAGAPAKAEPVATPAHQTVLPQAEEETEQAPVATTGAATKAKQALPAAYSGPSFAAAAPDPRSLPIPVLLLKTRGRAARTIRAPPDDGRAPVPTAAAA >KQK91025 pep chromosome:Setaria_italica_v2.0:IX:47224037:47225330:1 gene:SETIT_037148mg transcript:KQK91025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRALAAWRQLIMLLALLFLDAAVARQCFWPGQAPDDAGCLSWRVMVEANNARGWRTVPAQCVGYVKGYMTRGQYLRDLAGVMEQASDYADQVDAGADGLDAWVFDIDDTCLSNLPYYETKQFGAYDPSAFKAWASKEACPGIPPVLGLFTALLDKGFKVFLLSGRDEETLGPCTTGNLEAEGFSGYERLIMRTPEYRGQSSSVFKSAMRKQLVDEGYRIRGNVGDQWSDLQGDCVGDRVFKIPNPMYFVP >KQK88947 pep chromosome:Setaria_italica_v2.0:IX:18901176:18902162:-1 gene:SETIT_037172mg transcript:KQK88947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLILCTALAACLTIAAADWSQGTATFYGGPDGSDTMGGACGYENLYNAGYGINNAALSETLFKDGASCGQCYLIICDGSRPGGQYCKHGTAITITATNLCPANYALPNGGWCGPGRPHFDMSQPAWENIGVYQAGIIPIVYQQVKCWRNGGVRFSIAGFNYFLLVNIQNLAGSGSVGAAWIKGDNTGWIQMSRNWGTNWHALSGLVGQGLSFAVTSTGGQYIQFLNVVPGWWQFGQTYNTYQNFDY >KQK87210 pep chromosome:Setaria_italica_v2.0:IX:6115899:6120654:1 gene:SETIT_037503mg transcript:KQK87210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVQAIRSHGSVLKYAVLQHVSAPKPAMLPAAFSRFMSVSSARLEDRGFETATVADVLKSKGKSADGSWLWCTTEDNVYEAVKSMTHHNVGALVVVKPGQDKAIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTKVLQAMQLMTENRIRHIPVIDGTGMVGMVSIGDVVRAVVNEHREELNRLNAYIQGGY >KQK87212 pep chromosome:Setaria_italica_v2.0:IX:6117647:6120654:1 gene:SETIT_037503mg transcript:KQK87212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKMQRAVQAIRSHGSVLKYAVLQHVSAPKPAMLPAAFSRFMSVSSARLEDRGFETATVADVLKSKGKSADGSWLWCTTEDNVYEAVKSMTHHNVGALVVVKPGQDKAIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTKVLQAMQLMTENRIRHIPVIDGTGMVGMVSIGDVVRAVVNEHREELNRLNAYIQGGY >KQK87211 pep chromosome:Setaria_italica_v2.0:IX:6115899:6120654:1 gene:SETIT_037503mg transcript:KQK87211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAVQAIRSHGSVLKYAVLQHVSAPKPAMLPAAFSRFMSVSSARLEDRGFETATVADVLKSKGKSADGSWLWCTTEDNVYEAVKSMTHHNVGALVVVKPGQDKAIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVKPDTKVLQAMQLMTENRIRHIPVIDGTGMVGMVSIGDVVRAVVNEHREELNRLNAYIQGGY >KQK88782 pep chromosome:Setaria_italica_v2.0:IX:17163260:17169037:-1 gene:SETIT_034547mg transcript:KQK88782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSSKKSGVEVAPAAVSVPEGKSGKKGKRNAEDEIEKAVSAKKQKTVPVKVEPVKKQPPPKKVESSSSEEDSSDSEEEVEVQPKKVTQPKKGTQPAKEETSDDSSDESSSDDEPSKKPVATSNKPPAAAASSSSSDESSDSDDSSSDEEPAKKPTTLTKKPAAVVSNGSKKVESDSSSSDSSSDEESDEDEKPAAQLKKPSVASAQKKTQESDSSDSDSDDESDEDLPAKAPVATKKKEESSESSDSESESESEDEDNNAKKVQPAKAAGPKKKEESSDSSESDSETDSDSDSDEPEKPTIAAKRPLATEKKNGQSSDDSDDSSDESSDESDEEPPQKKPKNSAPSGAAKAATKVPKKEESSSDESSDDESEESSDDDNEIEVKPKVEVETPKTKSAQNEAKTPTKNQSQATGSKTIFVGNLSYSIEREQVKQFFEEAGEVVDVRLSTFEDGSFKGYGHVEFATAEAAQKALEFANHDLMGRPVRVDLALERGAYTPGSGRDNSSFKKFAPRSGNTVFIKGFDTSSGEDQIRSALEGLFGSCGEITRVSIPKDYETGACKGMAYMDFKDPDSLNKAYELNGSDLGGYSLYVDEAKPRPDNNRDGGFSGDRRGSFSGRGGRSDRGRGGGRGRDGGRGRGFGGRGGRGDRGRGTPFRQTAGTASTGKKTTFGDDE >KQK87595 pep chromosome:Setaria_italica_v2.0:IX:8122837:8123272:1 gene:SETIT_040292mg transcript:KQK87595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRENRLQTVESPNISPYSLSKMGEKEYIFTI >KQK91630 pep chromosome:Setaria_italica_v2.0:IX:50931105:50931740:1 gene:SETIT_039800mg transcript:KQK91630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQYPFMYASAPPTPGNMETGLITAYPPAHGLPVRAPAQQPGGGAPADDRDRSGGWAGNDPNTLLVVATLITALAYVLGLSMPGGYWQGTQLRDDGRIVHLAGDPIMRDLHRPRVASWVGVASSMVMTLSLLVRMAVDSRHVLWSFSVAFSSLVLTFIVSQSRTNLSLDILVWLAVLILTWLIIGLHPDSRARVIWAIFCGNRGN >KQK86538 pep chromosome:Setaria_italica_v2.0:IX:2503034:2503183:-1 gene:SETIT_038587mg transcript:KQK86538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFESSKLSLPLGFILVLAWMFKTPSLQFVSALSFGRDAFVEHREHVFAC >KQK89011 pep chromosome:Setaria_italica_v2.0:IX:19467106:19467452:-1 gene:SETIT_040310mg transcript:KQK89011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFQRVAPTSGPGDSTLYPVAEPPLPVFRLGSEMSKT >KQK89671 pep chromosome:Setaria_italica_v2.0:IX:34866126:34867274:1 gene:SETIT_036674mg transcript:KQK89671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLRCTVHTTSSASRSSTSTTPATCAATAPLLSPAHSSCIMLRRIHVGTVSAGWMTGTNLSTSTAARRVHCPARSTQTLITSPWATSRLSSPSGDDASSCCFGPQQPEVARSEGVRDRAPLAVVRQEALRLDGVVHDDQPAEQPSGAAAGAAFVAEKQQAVGLLVHLRRREEGAAPGEGVVDAEGGALREQGATAEDEEAAAGLHGRVPVKHIRRERRLDADGGGGVGRRGEGRRAGRALALVVAVEEAEEARRAMRPRAAALDERWAEDVAAEEPACGGAGDERGGVAPIYNLQDELRRERRRQRWRIMFQLILL >KQK91069 pep chromosome:Setaria_italica_v2.0:IX:47573058:47575297:1 gene:SETIT_039112mg transcript:KQK91069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSNSPDRQSSGGSPEERGSGGSGSGGRGTGGEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLERFGAVGDANVFYWFQNRRSRSRRRQRQMQAAAAAAAAAASSAAQSSPAASPTVGLPSGAVQYPLAMGGSACQYEQQASSSSSSGSTGGSSLGLFALGPGVPGTGAGYFQASCGASSPLASGLMGDVDSGGGNDDLFAISRQMGFAESPVAASSSVAPSTAGHQQQYYSCQSPAATITVFINGVPMEVPRGPIDLRAMFGQDVMLVHSTGALLPVNDYGILTQSLQMGESYFL >KQK87200 pep chromosome:Setaria_italica_v2.0:IX:6069464:6072710:1 gene:SETIT_035400mg transcript:KQK87200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDESPPPAQAAEKSQPAEQATGGWGGWGLNIFSEISRNAVEVAKSAIADIQQPPEQDTGPDSGEKDKEKEPEGEEEEERRKAALEKLEKASEDSILGQGLKAFDSSVETITTGTWQALGTAWKSGSLFVQKLENSASSLAETIQQGELPAKASVIAPTILETGRSFTARGMEVLERVGKETMEFIVEETGMEVDKGSAGEGDQQTEEEQFEEVSFDRCFYIYGGPDQLEELEALSSHYALLFNRKKGKLSAEQKTYYDGKLKEIQQIFSLSTNAEEDGPDSDKGKKIESADTDADAEMKKLCETSVSKAAKMAAGFATALGGLSPNDIIKRTTNRLETIHSEGVHRLSEMCCLAVSQLLVLGKSVISAANKSKNEDDENDVKIDWPEDPISKAKTIRWKVQSISVDMEKVSTSFATGISDVAEAYAAAIQNALADKQDDLPSQKSVQEKAKSISNHLNSDQTSAVSKLQDALQYLAYVVVCASMPSV >KQK88248 pep chromosome:Setaria_italica_v2.0:IX:12531189:12531574:1 gene:SETIT_040406mg transcript:KQK88248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLLSNQTCSTNTASYFLSLPLPFDASMMKW >KQK90416 pep chromosome:Setaria_italica_v2.0:IX:42516288:42517393:-1 gene:SETIT_039223mg transcript:KQK90416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWPDEPSYHPLRSAGVFGFLGRVGDSFLAGLTAGSAYYFFTGFRNSPNGRLAGGARAVRAYAPRLAGSFAALWAVWGLVDTAILLARRNEEDIWNTIATTAATRGFTHARRGVRSAARAALVGGAFAAFDEGVFIVIDDSVLVSPAPKVKRPHVRTGIPPRKPVGEDPGGGNGWLASRSRGAQGEQACTGVRQEAREINSISG >KQK86243 pep chromosome:Setaria_italica_v2.0:IX:907099:909217:1 gene:SETIT_037401mg transcript:KQK86243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDTLDLLLPPDAVPKSYEDKVKATPQCDSFMHLHLGFGVENAREGLGVHHIVVDNWNKGVDGEQNVVLISVPSVLSKDLAPPGKHTLHAYTPGTEPFRLWEGMDRKSADYRRLKEERSEVMWKAVEAALGPKFSREKCDVKLVGTPLTHKRFLRRNRGTYGPAIKAGEATFPGQATPIPQIFCCGDSTFPGIGVPAVAASGAIVANTLVSVSQHSELLDAVGI >KQK87003 pep chromosome:Setaria_italica_v2.0:IX:5036546:5039071:1 gene:SETIT_039238mg transcript:KQK87003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSMLERKRVPKFIILSILAIISITSTNAIASPKDSFVPRDNYLISCGASGSVQLDDGRTFRSDPESASFLSTPVDIKITANNYPAAASPLSPLYLSARVFSDVSTYSFFVSQPGRHWIRLYFLPIPDKQYNLTTATFSVFTDNMVLLHDFSIIASPPNPVLREYIVVTQGDNLKIIFTPKKDSIAFINAIEVVSAPPSLIPNTTNSLPPQEQFDISNNALQVVYRLNMGGALVTAFNDTLGRIWLPDAPFLKLEAAAKAAWVPPRTIKYPDDKTITPLIAPAFIYSTAQQTASTNTSQARFNITWEMEAEPGFKYLIRLHFCDIISKALNSLYFNVYINGMMGVSNLDLSSLTMGLAVAYYQDFTVDSSSIINSTLLVQVGPSTTDSSNTDAILNGLEVMKISNQANSLDGLFSPKTSSQLGKRTLTGIGLALAVIAAALAMVICCRRNRRPEWQKTNSFHSWFLPLNSSQSSFMSSCSRLSRNRFGSTRTKSGFSSLFASSAYGLGRYFTFAEIQKATKNFEEKDVLGVGGFGKVYLGVLEDGTKLAIKRGNPSSDQGMNEFLTEIQMLSKLRHRHLVSLIGCCDENNEMILVYEFMSNGPLRDHLYGGTNLKPLSWKQRLEISIGAAKGLHYLHTGAAQGIIHRDVKTTNILLDENFVAKVADFGLSKAAPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPAINPALPRDQVNLAEWALTWYRKGELNKIIDPHIAGQIRPDSLEMFAEAAEKCLADYGVDRPSMGDVLWKLEFALQLQEKGDVVDGTSNGIPMKSFNASSLDDMEKPSSAMPPVQGR >KQK86995 pep chromosome:Setaria_italica_v2.0:IX:4968778:4970896:-1 gene:SETIT_035161mg transcript:KQK86995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAYVAVLTFAFLFVLHYLVARVGGGGNGGKGNGKGNKAARRLPPSPPAVPFLGHLHLVKTPFHAALARLAARHGPVFSMRMGSRRAVVVSAPECARECFTEHDIAFANRPLFPSQKLVSFGGNSLSMASYGPYWRNLRRVASVQLLSAHRVSCMSPVISAEVRAMVRRINRAAAAAAGGAARVQLKRRLFELSLSVLMETIAQTKTSRTEANADTDMSPEAQVFKQIVDDVVPHIGTANLWDYLPVLRWFDVFGVRNKLVAAVNRRNMFLRRLIDAERRRVNGGGDESEKKSVIAVLLSLQKSEPEVYSDTMIMSLCANLFGAGTETTSTTTEWAMALLLNHPEKLKKAQAEIDVVVGTSRLITPDDVQRLGYLQSIINETLRLYPAAPLLLPHESSADCKVGGYDVPRGTMLLVNVYAIHRDPDVWEDPTEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTVGLVLGTLIQCFDWDRIDGAEVDMTESGGLTIPMAVPLEAMCRPRAVMHDVLEEL >KQK87523 pep chromosome:Setaria_italica_v2.0:IX:7774932:7779473:1 gene:SETIT_035418mg transcript:KQK87523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWAEQLQRELAGRGLAVASIPGKGRGLVASRTFFPGEVIISQEPYTSTPNKILVRSSCDHCFASSNLRKCSACRVTWYCSSDCQKEEWKLHQLECRVMAALTEDRKKMLTPTIRLMVRLVLKRKLQNEKAIPSSNIDNYYLVEALESHISKVDENQLVLYAQMANLVSLILPSHELDLKEIAHTFSKFACNAHTICDPELRPLGTGLYPVISIINHSCVPNAVLIFDGRTAYVRALQPIGKDEEVSISYIETAAVTKKRQNDLKQYFFTCTCPRCLKDSEEDAILESYRCKNQACDGFLLPESGGKSYTCQKCSISRDEEEIKKMTREILLLSDKASSFVSSGNTTEAGSIYEKIEQLEQNLYHAFSITLLHTRETLLKVHMELQDWQTALTYCRLTIPVYERVYPPSHPMIGLQFYTCGKLEWLLECTEDALKSLTRAADILGITHGTKSQFMKELFGKLEEARAEVSFKLSSSRGHDEQFS >KQK91463 pep chromosome:Setaria_italica_v2.0:IX:49946538:49948347:1 gene:SETIT_036197mg transcript:KQK91463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPSVPAYLDPPNWNNQQGQQPRASAGGGDAPLLPVGPAAATAAGPDNSGLPSSSSTASAAVAAQARPNSMAERARLARMPQPEQALKCPRCDSTNTKFCYYNNYSLSQPRHFCKACRRYWTRGGSLRNVPVGGGCRRNKRSSKSSGGSSSSKPYSSARQLAGPSSSTPSSTPGATGAIIPPSLGSFSHHLPFLGTMHQPGPNLGLAFSAGLPPLGMQHMDTVDQFPVASGGGATIGASLEQWRVQQQPQQQFPFLTGGGILELPPPAMYQLGLDGNNRGGSGSAAAAAFTLGQTSATTARQEGSMKVEGSKGQDMSLQRQYMAALRHGSQGVWDGIHGNAGSSGGDGGGNGGSSWPMNIPGFHSSSTGGGNGSGL >KQK88989 pep chromosome:Setaria_italica_v2.0:IX:19227396:19227848:-1 gene:SETIT_038720mg transcript:KQK88989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRLSFIYTDSVPHDYVNDDDDSDDDVMILWQNLFVAADIYDLRKLKLVSEENLYRYIEATTVASILALAEQHDCQGLKDACLDFHNSLGKKKS >KQK87840 pep chromosome:Setaria_italica_v2.0:IX:9695758:9696045:-1 gene:SETIT_040192mg transcript:KQK87840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKRMSGGGESPARGAGAGADEEEKVPRGHVPMVAGGEGEGERVLVPVRLLSDPSVAELLDMAAQRYGYGQPGVLRVPCDAGHFRRVLDGAMHR >KQK86978 pep chromosome:Setaria_italica_v2.0:IX:4852834:4854091:1 gene:SETIT_037397mg transcript:KQK86978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMEQVFRHCDKDTLKLAMLKHEETFRQQVHELHRLYRIQKLLMRDLKRELKSQSNLSTSPNGGYMEHGRGALGMCAYEHRYAARGPGGYVAVATPTPRTALSLDVVAPAVEYVRSAEEDEDDEAEEETDDDAELELTLAVGGGGAKKRYGEYPSGGESLSSSSTESDVLTAAASGREWRQARGTPYHKRRPAAGGLDVVQVEDGVGMQAPPPLLFHWLSLKMA >KQK90914 pep chromosome:Setaria_italica_v2.0:IX:46588529:46589167:-1 gene:SETIT_038917mg transcript:KQK90914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFAFFALLALSVSAASAYISPVSALAATSIAVTHPCVQLQALASGILAPSPVLIQQQLAILQQQCQAHLAVQSIMTLQQQQQLLVNPIATMLPNVFNQLALANPITAAYWQQQQFLPNQQQLVSSVLNQVGLANPITAAYLQQQQLLPNVFNQLALASPVTQLQQQQLVSSVFNQVALANPYLQQPFIGGAIF >KQK92994 pep chromosome:Setaria_italica_v2.0:IX:58223892:58226699:-1 gene:SETIT_034749mg transcript:KQK92994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVNQQKTLETDASNAPLNQPPHTHIFPVSSPDDKDIECESPRPNPISGSKDPLVDKSVLTSAGPENLPTTSAPASGTCKVEEILNKERTPEADASNVSPNQPPHSSFSCPDNQDMECEYPRPTPRYESEQPVMDNSGLTSIVPENLTSASTPDICKMEDILNEKRTLEANPSNGSVIQSPQSKVFPVSSPSTNPKPEIKEPVVVSYVLTSAASDNLTEQHMDPPDAFVSLKSDPPTGVPRPELDEPVVVSSVLTLAAPENLTKQHVDSPDAFVSHKSGPSTGGLGAMESDFKCEETIQKELYCQSESTVVTRGNMLIDLSYGAESIDVSDVLESLMEEQRCGTSYMQGTTDLEDFLATSVEEEPQCSSPIALSPWGEPSYYQGDAVDSALWGAQDDPINNMWSLLSPRPTLQPSSGIGTEGKVAFGINEVVLAHGNNNEIVRGGLVPGEDNVNQVNLGAPTDWVLPEQIPSIPNDMSMSSVDESTGVVGWQPSANQNLNEGTTWSTSQNLNMSSNEKAGPSSKKTWEAPRKQESTDSSISSSGEVIGNTRKGLNPPSGNANRGIQRNHHRGRYSQISESWLLSSNHSRSRSDRFGSGGSSRSTSKGHTRG >KQK88823 pep chromosome:Setaria_italica_v2.0:IX:17427454:17429712:1 gene:SETIT_039992mg transcript:KQK88823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIYGNQQTVDLIICDVFFPTEDSLLILQEVTSKFGIPTVIMSSNGDTATVMKYITNGASDFLIKPLRIEELKNIWQHVFRKQIGAEHRKCNNAEHVDQLPYRTMGITEATATLDSEIRENNGTVTDIRDLRKSRLSWTMQLHRQFIAAVNSLGSDKAVPNKILEIMKVKHLTREQVASHLQKYRLHQRNSSQRLHKDGAPSSSSHESSILRTQLNTSSNSLYFDQDGCMEITDYSLPMDDLSSGLDCMLGERERNNYSPEGFQNFRWDPDKQGYETTYLWNFEAE >KQK89668 pep chromosome:Setaria_italica_v2.0:IX:34764058:34766278:-1 gene:SETIT_036673mg transcript:KQK89668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSASQWLAELENDDLGGLEFIDPLSMQQLAESLANELWNEPRAPQEQQQEQNYQPQQCTPPPKGFAFVGDLNLNTANGRCPTPVVSAGGIDNMFSFTAGGLSAPLNFTAQEETGQAESVATGSTVGKCCSSSTTEKKSGGRKPSSSVKEHVIAERKRREKMHHQFATLASIIPDITKTDKVSVLGSTIEYLHHLRNRLRTLQEQQHQQGSSTAESPTTLNARRCCIASEGDGAASPKIEADVQGTTVLLRVVCRKKKGVLIMVLTELEKHRLSIINTNVVPFAKSSLNITITAQIEDGSSTTVELVNNLNSALKKF >KQK88305 pep chromosome:Setaria_italica_v2.0:IX:13024164:13024766:1 gene:SETIT_040707mg transcript:KQK88305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRQRVRVRRRGRAPRQGERGRGAQAHGAADVPAGGACPRGAGGNHEQVNGRLYKSTCMNDAVLLPCSYQYDVIFRICVMGNRGIYEELHAFSILTCNVILPICMDVFHFF >KQK93099 pep chromosome:Setaria_italica_v2.0:IX:58779433:58782708:1 gene:SETIT_035219mg transcript:KQK93099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGGGFAEGPGGAGYSGRVTSFVVLSCIVAGSGGILFGYDLGISGGVTSMESFLSKFFPDVYHQMRGDKKVSNYCRFDSELLTVFTSSLYVAGLVATLFASSVTRRFGRRASILIGGSVFIAGSVFGGAAVNVYMLLLNRILLGIGLGFTNQSIPLYLSEMAPPQYRGAISNGFELCISIGILIANLINYGVEKIADGWGWRISLSLAAVPAAFLTIGAIFLPETPSFIIQRDGNIDEAKILLQRLRGTTGIQKELDDLVSASNISRTIRHPLRNIFKRKYRPQLVIALLIPFFNQVTGINVINFYAPVMFRTIGLKESASLMSAVVTRICATVANIVAMVVVDRFGRRKLFLVGGVQMILSQIMVGAVLAAKFKDHGGMEKEYAYLVLIIMCVFVAGFAWSWGPLTYLVPTEICPLEIRSAGQSIVIAVIFLVTFVIGQTFLAMLCHLKFGTFFLFGGWVCVMTVFVYFFLPETKQLPMEQMEQVWRRHWFWKRIVGEEEEEREAGSIALSTT >KQK86381 pep chromosome:Setaria_italica_v2.0:IX:1550885:1552227:1 gene:SETIT_037528mg transcript:KQK86381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQAAIPEWVNAPSEEEKAEYRNDNETLQKMGTVVRLPPIVEPRKTRKAVEDKKAVDDKCKCSHPGSEACVGAHVKEAWKRVKYQLGEPAFRNCGFDAMGERVLKLWTAEDKKKLSDIERSVPQNNLEDFMNIALKQFRSERTRDLSKYYYNIFLPRRLASLNRTEATNAKNISPDDEGNNQDDGNDVRHSEGKSKGSGSSSKRSRK >KQK86878 pep chromosome:Setaria_italica_v2.0:IX:4250155:4254191:-1 gene:SETIT_034421mg transcript:KQK86878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVARGPPGRPSSALLGCWRRRQPLGFGAKVGIAIALGLSFAIIWTSVSPTSSSQQISTERSSFAAEVAAPPTASHNRTSTSIAGGHAHRKPRPVPHSHKKRHPAPSGSHSHPHRTNATSSPDAAAAKADHSEPAPITDPEPKEKEPEQEQEQEPDMEMEPEKEAELPMPEESGDNSGKAPAEGEEEKPPQLELEEEPGEGDGDEDFEVAKKAAPSKKRKLPPLFSSSAHYHWKHCGAKSGYHYIPCVDFDGDGSQRHHERSCPRSPVTCLVSLPKDYKQPAPWPERKDKVWYANVAHPRLSNYVKGHNWLNHSGEYLMFPLDEWEFKGGARHYVESIDEMAPDIDWGKNIRIVLDIKCKSAGFGIALLEKDVITLSLGLTNDQTDLAQVALERGIPATVGSLGSQRLPFPSGAFDAIHCGECNIPWHSNGGKLLLEINRILRPGGYFIISSKSADLESEEGISASMTALCWNAIAYNSDDVSEVGVKIFQRPATNEEYDLRAKKDPPFCKEEQNKANAWYTHIKHCLHKAPVGIEERGSDWPQEWPKRLDSYPEWLGDLQTRVAADHNHWKAVVEKSYLDGLGIDWSNIRNVMDMRAVYGGFAAALASKKVWVMNVVPVHASDTLPIIYERGLIGVYHDWCEPFSTYPRSYDLLHADHLFSRLKIRCRQPVAIVVEMDRILRPGAWAIIRDKLEILDPLETILKSLHWEIVMTFRKDKEGIMSVKKTTWRP >KQK90433 pep chromosome:Setaria_italica_v2.0:IX:42677167:42678030:1 gene:SETIT_038557mg transcript:KQK90433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASENNVVHGDGKASVYGSARFVVSYWQSLLQIRHGKENDRKGKVPIYDSWKLEKEQRNKALHEQKREWSVPPQGWSKLNVDASFDPISGEANLGIIIRDHMGKVLLSSWKHGLRCGSSVEDAEAAACLEGVQLINEWIRKPTVLESDCQNLVLSLNYESENRASFSNIVKEIKSSLSVISEVKVCKIGRECNTGCFWRDHAPTCVHELLCHDCNLVSN >KQK89842 pep chromosome:Setaria_italica_v2.0:IX:36393038:36394997:1 gene:SETIT_036816mg transcript:KQK89842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPRAPAPLPRRRLLSISAPSPGRIGIGNSDGISFSRGEEKKRRRISWTRSAATPADMATDSALTKLAPLEAILFDIDGTLCDSDPIHFCAFRELLQQIGFNGGVPITEEFYSANISGGHNDHLARSLFPDMDHEKAMQFMDDKEALFRKLAPGQLKAVDGLHDLCKWIEGRNLKRAAVTNAPRANAELMLSLLGLADFFPVLIIGSECDRAKPFPDPYLKALELIGASPDHTFIFEDSASGIRAGVAAGVPVVGLTTRNPGKALNDAGASLLIKDFQDPKLLSMLEELKPATANGRV >KQK89981 pep chromosome:Setaria_italica_v2.0:IX:38161284:38163390:1 gene:SETIT_036231mg transcript:KQK89981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYGGGSPRPRSSASSRRPCAAATTASRAGSGAFVYDGMRATPLSTSAANFTRSLRKAASFAHKKPLPSADAPPPRRTLSSKENSGSSPGDAALLMMSPRRSMPEPGAAAARGPWEPTRRRRSTTGTTTTDDAGAGKGPSGPLREMMAPRRKEEPEKEEAAHRARMLTARLLQWRFANARMEKAMARATSAAENKLFYTWLRVAELRNIQAAKRIVAQRRRQKLKLARLLRPQLPLLASWEPLSRPHVDATADLGRVLSAACTSVPLAAGAEADVESLHETVFSCVGTVDEIEAIVDTFYAKAGATSGALGELARTIQQEVECLEEATRLSSIVTGLQMQEVSLRANLIQAKQRLRLGPAVAAPAFAASGWCF >KQK92498 pep chromosome:Setaria_italica_v2.0:IX:55843564:55844834:-1 gene:SETIT_040062mg transcript:KQK92498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATTPHSLLLQRPTTPAAPRASSASSLRLPARAAKISCAAVAAPSASSPVAGAGDRGVYNFAAGPATLPLNLVNYHGSGMSIMEMSHRGKEFDAAIKKAEADLRALLAVPDTHAVLFLQGGATTQFAAVPLNLCAGPTDPADFVVSGSWSDKAFKEAKKFSAASVAWSGKDGKYTALPPFDAIKQNPEARFLHICSNETIHGVEFKDYPVPQNKSGILVADMSSNFCSKPVDVSRFGVIYAGAQKNVGPSGVTIAIVRKDLIGNAQPITPVMLDFKTHADNASLYNTPPCFAIYICGLVFEDLLAQGGLAEVEKKNAHKAGILYDTIDASGGYYICPVEKSVRSLMNVPFTLAKGPDFEKQFIAEAAKEGMVQLKGHRSVGGVRASIYNAMPLAGVEKLVAFMKDFQARNP >KQK88853 pep chromosome:Setaria_italica_v2.0:IX:17808411:17812189:-1 gene:SETIT_036356mg transcript:KQK88853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRIAPGVGANLLGQHSAERNQDATTYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKILNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLYDTFSAFGVIVTNPKIMRDPETGNSRGFGFVSYESFESSDQAIEAMNNQHLCNRPITVSYAYKKDTKGERHGTPAERLLAANNPGSQRNRPHTMFASGPPTQGLPNGAPPVPRSYVNGTVPGQIQHIRPPPPVGQFPPPMQMHGQPAWPAPPHTAPPPMPQQLQYRLPMRPPPPNMMPPPVGMVRPPPPPAGMSAPPMWMPPPPPPQQGGGMPPPPMSMPPPPPPPSG >KQK90334 pep chromosome:Setaria_italica_v2.0:IX:41689671:41694723:1 gene:SETIT_037021mg transcript:KQK90334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVEEAHNLRVVGEGKRGVIVLAHGFGTDQSVWKHLVPHLVADYRVVLFDTMGAGPTNPDYFDFGRYATLEGYALDLLAILQELGVQSCIYVGHSVSAVIGLLASISRPDLFTKLVLLSASPRYLNDVDYYGGFEQDELDELFEAIRSNYKAWCSGFAPLCVGGDMESVAVQEFSRTLFNIRPDIALSVAQTIFQSDVRSLLPLVSVPCHIVQSTKDLAVPVVVSEYLHKHLGSDSIVEVMPSEGHLPQLSSPDIVVPVLLRHIQHDIAV >KQK91341 pep chromosome:Setaria_italica_v2.0:IX:49336189:49340984:-1 gene:SETIT_036021mg transcript:KQK91341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGETPADDGAPPPGALYSFGTPWPELNEGLSYSDEFRCADADAATTLIEFYSSNYKSSAPLLGWIKRIRNGQITVDGQVITDPDMTLRDGSKLVYHRLPWPEPFAPYLLEVLYEDDDMVALNKPSGLQVLPKGLFQQRTVLAQVQMKDWKMTSCCSKRKDAQSHPVPVHRLGRGTSGLLLCAKTKVAKVRLASYFAEGAINAGNKRDKSEFQEERKISKFYRALVSGILDNDEVVVTQPIGLVHYPGVAEGLYAACSSGKPAMSKVCVLERLAHQNHTLVQVEIHSGRPHQIRIHLAYIGHPLVDDPLYGIGGHPKFVEPESAGTDSSFAYDGGYERPLQPVPGDCGYHLHAHWLVLCHPTTNEMVKITAPLPHILQTQEERRAAFERVGD >KQK86337 pep chromosome:Setaria_italica_v2.0:IX:1350855:1356292:-1 gene:SETIT_034563mg transcript:KQK86337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGSDLQSPKKRKREHAEGKIKPRPQVKGGGDGAKRKKHPGAGGYAAHGGAGEAPAKKRPVTPKEKRLAAKEMSEARKMKRKRHYSLEKELAKLWEKMRCHDVSKEERSKLVSQAIRKMDGKYLDIAGSHVTARVLQTCVKWCSQSERDAIFDDLQPHLLTLSRKKYAVFLVKKLIELATKKQFASFISSLHGHVAKLLPHTIGAAVVDYAFQRATPPQKRQLLLELYSTELQLFKDLTVQSSFSLLETISKLGLQKSSVLQYMTIEIQKILEKGTVEYSIVHTAILEYFTIADKTSAMDVIHQLIPLLTQGASIIDGDEPSIATELPNKTKAKKKRLSEPLIVRIMQTREGLKLAISCLKHGSAKDRKKIIKSLKGQIMKLALNDFGCLFLISIISIVDDTKLVSKIVIQELAKHLKELIFDKNGRRPLLQLLHPLCSRYLSPADLACLSYNVPSLSAKEGEASEGATEVISENKVDAMTDKEPDDLEGMQIVSESKKDPSRRRHELLIKSDLAEALVQSCIENVGELLRSNFGKELLYEVAVGGKDNVLEGVTDRIHMLHDAIASDAAQPKTEDIEHAFENFFSSRVIRRMIIDCPAFAVTLWRKALKGKCKIWAEGHSSKVVAAFLESPSSEVRNLAKPELQPLIDTGILKVPDHKGAVEK >KQK86388 pep chromosome:Setaria_italica_v2.0:IX:1595928:1597430:-1 gene:SETIT_035560mg transcript:KQK86388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPAPTMAAESNANHGGRHRHVLLFPLPYQGHINPMFRLAGVLHARGFAVTVFHTHFNAPDPARHPHYRFVPVPDVVSGPDPVAIEDVVAHIVSIGGACEAAFRDLLAAVLEEYSRDAVACLVADAHLLPIFQAANCLGVPTLALRTSSAVSFACFTAYPMLCEKGYLPVQDSQLDTPVVELPPYRVRDLMDIGKDGHEVLCEMMARAVAAVKGSSGLILNTFDALERRELEGLRQDLAVPVFDIGPLHKFSPAGESSLLRQDRSCLEWLDAWPPASVLYVSFGSLACMSPRDLEETAWGIAGSCVPFLWVVRPGMVRGSAHQELPKGFEAATRGRGMVVGWAPQEELLRHRAVGGFWTHNGWNSTTESVCEGVPMLCRPYFGDQMGNARYVEHVWRVGLELVGELEMGRVEAAIRRLMTGRDGAEMRSRAGQLKKAAEECTGKGGTSCLAIDKLVTHMMSL >KQK89535 pep chromosome:Setaria_italica_v2.0:IX:32619522:32620730:-1 gene:SETIT_039138mg transcript:KQK89535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLTSLANAHGGDHDAGKETELALKIGNVNYCETGDTLDKGGPKVILGAGKGIWGSGLNILDYDFVVPLDLHYDWC >KQK89710 pep chromosome:Setaria_italica_v2.0:IX:35261180:35262588:-1 gene:SETIT_0348741mg transcript:KQK89710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYSKEDKPERAAGAGAGSRAVPVALVVIVLCAFSFYLGGVYSTGRSLLDAIQPAPTLLTLGTTAAARHSSDQPHPASPTTATAVAFPECPADFQDYTPCTDPKRWRRYGNYRLSFMERHCPPPPDRQQCLVPPPKGYKPPIRWPKSKDQCWYRNVPYDWINSQKSNQHWLAKEGDRFRFPGGGTMFPNGVSAYVDLMAALIPGMRDGTVRTALDTGCGVASWGGDLLGRGILT >KQK92471 pep chromosome:Setaria_italica_v2.0:IX:55696218:55703795:-1 gene:SETIT_034110mg transcript:KQK92471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGPTSGKKSLNKVTLLFKLPYYTQWGQSLLIAGSEPALGSWNVKQGLSLSPVHQDNELFWCGRVSVAAGFTSEYKYYLVDDNKNVLRWEAGEKRKLVLPEGVQDGDVIEIRDWWQDASDALFLRSAFKNVIFNDTEGVKKELQSVSLNKSLDSEDIVVQFVISCPRLVSGSTVVVTGSNPQLGRWQAQDGLKLSYVGDSIWKANCVLRKSEFPVKYKYCQISQAGNPSLELGPNREVDIDLSSPKQSRYVVLSDGALRDAPWRGAGVAVPVFSIRSDEDLGVGEFLDLKLLVDWAVNSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVQALSDSIPADVKEEIQQAKKHLDKKDVDYEATLSTKMSIAKKIFNLEKDKVLNSSSFKQFLSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSEFSKEKLEKLISEGTLHHDVIRFHYYVQYHLYMQLSEAAAYARKKKVILKGDLPIGVDRNSVDTWVYPTLFRMNTATGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMAKYFTAYRIDHILGFFRIWELPDHAATGLVGKFRPSIPLSQEELLSEGLWDFDRMSRPYIRQEFLEEKFGSFWTVIAANFLTEYQKQCYEFKEDCNTEKKIIAKIKTSPEKSLWLEKEDSIRRGLFDFIQNIVLIRDPEDPTKFYPRFNLEDTSSFRDLDEHSKNVLRRLYYDYYFARQENLWRQNALKTLPVLLNSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMPSEPNLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRSRFYKTVVGSDEEPPSRCTPEVVHFIVQQHFDAPSMWAIFPLQDLLALKDKYTTRPAPEETINDPTNPKHYWRFRVHVTLESLLDDKDIQATIKDLVTSSGRSFPGKVGGADESGEKLSKVQLNGKA >KQK89682 pep chromosome:Setaria_italica_v2.0:IX:35000279:35005381:1 gene:SETIT_034205mg transcript:KQK89682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSKLKNHSMEPTEQKGKPDIIILDSDDEDENNSGYNKLAPKTNNELTTSELASNVMKWVASNGMSQASETMHAEGDKNTQIVPYGQSAALVNQFPLQNSWQPSIQFERVVLQKRPEEQRMQDLVAANIAERRAETQVFLSLPTEKKRRRSDPSLHVREDATTVPRQRKRKSDTDPVGADLSSGLRETDISSEPDLPIEEEEKPKNESDGLEEYWKDFALAVESTKLDAVDEAANEKEEDSGKMEDVDCIHDIRIHEDLGHVCRICGMIVRRAESIIDYQWKKASRRKTDCYGGHSKDVDDKDYGIVKLSEDFIVSDIAIHPRHARQMRPHQLEGFNFLVKNLIGDKPGGCILAHAPGSGKTFMLISFIQSFLARYPSARPLVVLPKGILGTWKKEIQQWQVQDIPLYDFYSVKAEKRVDQLEVIKSWEDKMSILFLGYKQFSTIISDDGGSKAAAACRERLLKVPNLLIMDEGHTPRNRETDVLESLNRVETPRKVVLSGTLFQNHVNEVFNILNLVRPKFLKMESSRPIVRRIMSQVVMSGRSSKGIADNAFTESVEETLLHDENFKRKAHIIRGLRELTKDVLHYYKGDILDELPGLVDFSVFLKLTPMQKEITQKKMGAYDKFKRSAVDSSLYVHPCLSEISEVNAEDRANTLTDKSIDIMIDSINVRDGVKARFFMNILSLANSAGEKLLAFSQYILPMKFLERLLIKVKGWHVGKEIFMISGDTSQEDRELRVDQFNNSADAKVLFGSIRACGEGISLVGASRVVILDVHLNPSVTRQAIGRAFRPGQHKKVFVYRLVAADSAEEKHHETAFKKEVIPKLWFEWSEHCTTEDFKLSQVDIDDSGDELLDTKAVRQDIKALYRR >KQK89681 pep chromosome:Setaria_italica_v2.0:IX:35000279:35005381:1 gene:SETIT_034205mg transcript:KQK89681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSKLKNHSMEPTEQKGKPDIIILDSDDEDENNSGYNKLAPKTNNELTTSELASNVMKWVASNGMSQASETMHAEGDKNTQIVPYGQSAALVNQFPLQNSWQPSIQFERVVLQKRPEEQRMQDLVAANIAERRAETQVFLSLPTEKKRRRSDPSLHVREDATTVPRQRKRKSDTDPVGADLSSGLRETDISSEPDLPIEEEEKPKNESDGLEEYWKDFALAVESTKLDAVDEAANEKEEDSGKMEDVDCIHDIRIHEDLGHVCRICGMIVRRAESIIDYQWKKASRRKTDCYGGHSKDVDDKDYGIVKLSEDFIVSDIAIHPRHARQMRPHQLEGFNFLVKNLIGDKPGGCILAHAPGSGKTFMLISFIQSFLARYPSARPLVVLPKGILGTWKKEIQQWQVQDIPLYDFYSVKAEKRVDQLEVIKSWEDKMSILFLGYKQFSTIISDDGGSKAAAACRERLLKVPNLLIMDEGHTPRNRETDVLESLNRVETPRKVVLSGTLFQNHVNEVFNILNLVRPKFLKMESSRPIVRRIMSQVVMSGRSSKGIADNAFTESVEETLLHDENFKRKAHIIRGLRELTKDVLHYYKGDILDELPGLVDFSVFLKLTPMQKEITQKKMGAYDKFKRSAVDSSLYVHPCLSEISEVNAEDRANTLTDKSIDIMIDSINVRDGVKARFFMNILSLANSAGEKLLAFSQYILPMKFLERLLIKVKGWHVGKEIFMISGDTSQEDRELRVDQFNNSADAKVLFGSIRACGEGISLVGASRVVILDVHLNPSVTRQAIGRAFRPGQHKKVFVYRLVAADSAEEKHHETAFKKEVIPKLWFEWSEHCTTEDFKLSQVDIDDSGDELLDTKAVRQDIKALYRR >KQK91514 pep chromosome:Setaria_italica_v2.0:IX:50344321:50345400:1 gene:SETIT_039610mg transcript:KQK91514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKHASRSCSVGPVGIRFSAAGALAGFLNCGGAVSCSRSLSLSSAPVLRLASISAISVGLVGYHDPVTTKGTTSLFPDRSLHIVMS >KQK90258 pep chromosome:Setaria_italica_v2.0:IX:41049346:41049969:1 gene:SETIT_040624mg transcript:KQK90258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDGRVSAVHVFFPGRRTCEGISRSSAVCFCVRRTRTFRHPAGMSVCVVFVVARLSSPSGYQGRRLTYYGRSWSCFCVRRAWWSCHCSSYSETRLLTESSRFRGVRIFSPDSGVKFM >KQK90900 pep chromosome:Setaria_italica_v2.0:IX:46474799:46475730:-1 gene:SETIT_0401262mg transcript:KQK90900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKCVIYHFRRNQEFCRLRIGIGRPPGQMDPKAFVLQKFNRTGRERIDSAIKEGVNILKMVATKGLTEAARLSNADQKYKHLRSHDLQD >KQK90450 pep chromosome:Setaria_italica_v2.0:IX:42761813:42762530:1 gene:SETIT_037896mg transcript:KQK90450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPRGRSYPCTTTLQGWQVVPVHPLPGALVVNVGDVLTNGAYASVKHMVVLDAERSRTTVAMFHDACVGGLVTPLPELLRGCDTRPRYRYIRKLEYRNGSTGALAQRRRYVDSFFRYIRTQLHTSHSHRTNTHTCVSIYTHTRCACPYTH >KQK91855 pep chromosome:Setaria_italica_v2.0:IX:52325723:52328876:-1 gene:SETIT_035900mg transcript:KQK91855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAVATAEEAERLAAAAWGEEGEEEGKRGAGMKRRKRYGLVEYRALPGYLRDNEYIHRHYRCEWPLPQVLLSAFSIHNETLNVWTHLIGFFIFLALTIYTATQVPNVVDIQSLKHLPDVLRNADIHKIQAELVSCLPSLPHLSDLEKLKDELKSSWNSMEVLPSLSRWHLLELLSNCLPHRFTHTNETSLSVLQSMKDDIANMIAPELIRPIARWPFFAFLGGAMFCLLASSTCHLLSCHSRRLAYIMLRLDYAGIAALIATSFYPPVYYSFMCYPFFCNLYLSFITILGVATIAFSLLPVFQNPEFRTIRACLFFGMGASGVIPVLHKLVLFWHQPEALHTTGYEVLMGLFYGLGALVYATRVPERWMPGKFDIAGHSHQLFHILVVAGAYTHYHAGLVYLKWRDLQGC >KQK88877 pep chromosome:Setaria_italica_v2.0:IX:18035436:18036020:1 gene:SETIT_038676mg transcript:KQK88877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKLLAIGFVVLLGINLSKAREFDWEHPTTGANSQGGGGGAGGGSSNGYGSGSGSGYGSSGASNSPGGLYGTGDSMARGEGGGGGGGNNGGYGAGSGSAVGAGGSGGGGGQGGGPLNGDGSGSGSGRGIGSGNVYGPRGGSSANGGGGGGGSAGGRDGGYARGYGGASGSGSGQGGQS >KQK90849 pep chromosome:Setaria_italica_v2.0:IX:46173446:46189235:-1 gene:SETIT_033829mg transcript:KQK90849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGLVQLLVGFVVAWEAVELVLRRGLLLSVFKLGIFAALAAAAGCVAVILLARAVAWVLRRAAKLSIGCRSYGLNYLRDITISSPKGAVESICIGEIRLGLRKPLTQLGFTILTHGPILQMQISDLDVVLRQPVKSSNKKKPSSRKPSSTSSAKAKGKSKGQAKWRLITSVASLLSLSIVELRLKAPKAAVGIKDLKIDISKTGGLAPVLNVQINIIPIFIQALEPDSIDNNTSVFSKLDWWVSGQYCSAMDTSDCSSFLFEDISLSCDLHQRDKGIRVKNLDLILGPIVVNLEEKLLTKKKQSASTVADQKDEPSVDNKSSARSEGGKLASLNKKISLLPEKVSFNMSKLDLKFLPKDHGLSVNNEIGSISLRCTRLQPQHDFGENTTHLRLETDVTEIHLLMDGATSVLEVAKVSTVVSANIPTQPALPVQAEVDVKISGFQCNLIISRIKPLIRINSDKKKPLVLRESPQQEKAPKEKLALALACTLSAPDFTLVLHSLDDVPLYHCLFQSTSVSASKLVDQGTQLHVKLGELKLLVAGKRLQSMNESISGTLLHISHSTLDLEQKDPGKDNGEDHAKSSISVKISEIRMYFCFYYLESLCANAMSYKVFLKSILPPKKRPVHENAPQKSIKKAKGAQLLKINVAQCFIMYDGDMRLEDMAIADPKRVNFGSQGGRVVIINEDNGSPRMAYVNSTSLPDHKNVHFSTTLEICQFCVSLNKAKNSMQVELESFRLTHKEDQLDNKPVEETKLFDVRKAKFVQRSGGQNDVAACSLINVTDIAIRYEPDPCLELLEVATRLKSVLHRIKLQNSVTEVKDDTLSMDIPAKKEDHGQQEKAQRKRESVIAIDLESLKISGELADGVEAMVQVGSIFSENAKIGVLVEGLAVSFCGAWIFKSSRMQLSRIPVSVSDSHPDKKLQSAAVCDWVIQCRDANICLPFRLQLRAIDDAVEDTLRAFKLISAAKTSVLFPEKKSSTSSSSSSKKSKSKSMAFRYVRIIVRDLIAEIEEEPMQGWLDEHISLMKNVFCESTVRLNLLDELASGKSKDSPKAKVDTSTSEKNNDCPEADADVPGAHSIEKLREEIYRKAFQSYYQACQKLPISEGSGACSSGFQSGFKMSTRRASVMSVCAKDVDVSLSKIDGGDEGMISFIKSVDPVCAKNDIPFSRLYGSNFTLKTRSLSVYLRDYAFPLFSGISGKCDGRLVLGQQATCFQPQVRQDVYVGKWWKVNLLRSATGYTPPMKTYCDIPLHFKKGEVSFGVGYEPVFADISYAFTCALRRANLAKRWFFERPEPPRRERSLPWWDDMRNYIHGKFNLSFTETEWHLPASTNPYEKMDQMLITSDYMEICYVDGYVSLSSKYLKAYITSLESLAKKTSLEIPHHPAIPFLETPSFFMDISIQWGCDSGNPMDHFIFALPAEGKPRDKVFDPFRSTSLSLKWSFSLKPSTTEPTEHQRKSDVYTNDSPTVNVGAHDLVWLARWWNLLFLPPHKLRLFSRFPRFGVPRFVRSGNLPLDRVMTEQCIRFDAIFLKINNMPLQPDDPAKGLTLHFTKFRIEIAFSRGKQIFTFDCKREPLDLVYQGIDLHLVKVFLNRIPEPSTSKDSKVENKSTKDRDSPVDKGNKKTSSTEKSRDDGFFLHSDYFTIRKQSPKADAARLSAWQEDGRKKSEMPLIKSEFDGGDESDHDQSGSDDEGFNVVVADSCQRVFVHGLKILWNLENRAAILSWVGGLTQAFQPPKPSPSRQYTQRKILEKKQLIKEAEMPKDGALNSVSASQPSEPQQMKSSESPPSNESSKSDLTSSSETALKPSNNSDAEEEGTRNFMVNIVQPQFNLHSEEANGRFLLAAGSGRVMVRSFHSVVQVGQEMFEKAIGSSNVATGGAGPEMTWSRVELSVMLEHVQAHVAPTDVDPGAGIQWLPKIHRRSSEVKRTGALLERVFMPCQMYLRFTRHKGGTPELKVKPLKELAFNSPDITAGMTSRQFQVMMDVLTNLLFARTPRKPKSNLSYPLDNDDDDIEEASDAVVPDGVEEVELAKIGVEVKERARKLLLDDIRALSTGAESSHDQSPSPKADDSTWIVTGSRLMLVKQLKKGLVNVRNGRKEAYSTLRTAMQKAAQLRLMEKEKNKSPSCAMRISTRINKVVWSMLADGKSFAEAEINDMIFDFDRDYKDIGIAQLTTKLFVLKNGLANAKSDTVLAPWNPPSEWGKNAMLRVNARQGAPTGGNSVIESFLVDIYPLKIYLTEAMYRMMWGYFFPGDEQQPQKRQELFKVSTTAGTRRKKSTSVAETNSPNNQSSKETTFTQKPELRRTSSFDRSWEETVAESVANELVSQIQVQSNAQSESQDAAKDSKLLRPVRSTREDKKIVEPNEVKQTRPQKLMDFRNIKISQVELLLTYEGLPFAVSDVRLLMDTFHREDFTGTWARLFSRVKKHIVWGVLKSVTGMQGKKFKAKSTSQKEPSAALISASDFNLSDSDGDDAGNSDQLPAFLKKPSDGAGDGFATSVKGLFNSQKKKAKAFVLKTMKGEAEHDFHGERSENEIEFSPFARQLTITKTKKLIRRHTKKLNKSKVHKNAAAEQEVLPPRAPAGYNTDSSDSSSAETSPKD >KQK92090 pep chromosome:Setaria_italica_v2.0:IX:53616006:53618379:-1 gene:SETIT_037284mg transcript:KQK92090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGGGGSPAASGSGSSSEDDGDAAWKAAINSIAAVGFGVPSSNGVAKAVSGGSGEADSDAELEQPHEGKPQAPKLKLYQIKVRNMLDDILEKNLEIVKAPCLNLTDPTETEGGIKLFKKAPPGIKMDSTDKLHVQLKRPRIVPGEEIDEKSKKFRHMLRSVVVDGSDILVSAKNASQRSLARLEARETAAKAKAKREEERVQELKKVRGEKWLPSIARQMKEEKDWEQRRQ >KQK87280 pep chromosome:Setaria_italica_v2.0:IX:6384067:6385303:-1 gene:SETIT_040232mg transcript:KQK87280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMSIRDRPEHCTPSRVVALAAVCLVVVLCTYATSSPAGDAAGKEQKYNIWRRRSSLYKAFAPDDDLEVALRGAAYANRTLILTVLNEAYAEEDGLLDLFLQSMTEGDGTAQLIDHVLFVAMDRQAFRRCRSLGGLRCYLLRQRYGTHADDDLASEQLYMSDGFIRMMWRRIRFLGDVLKHGYNFIFTDMDVMWLRNPFPRLDLGDGGEDLLISSDKFNGVARDYVGNELNTGFFFVASNGRTTALFDEWHAARRGSPGMKEQDVLNAMKRRGAFRRLGVRARVLDTARFSGFCQDSRDAAQVATVHANCCRTKRAKVADLRAVLRAARRLNTTAAGLRWPPHSECVKSWA >KQK90576 pep chromosome:Setaria_italica_v2.0:IX:43792622:43793954:1 gene:SETIT_036502mg transcript:KQK90576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGKEVKTRPDPKVEIQEKGEIFFFYRPKVGKDEARGPDDVQRMYIVLRPESGDRAVEEKQAPDSGKEGRKHHHQDDGDRGGSGGGASTKEGHEGGHGKEEVNIEEQPLLRLVVMGRKSLPDPAKHSRPYWGYVELVTTKVQDIKDALKEEEYSTATQGQRRRPAARALGEGVYRILRHEPGGRRSPHTHLVYKLELPTRGDGEPQEAMNVEPEASFLVQVKNPDPPSGGRGGGFRGLQSKRRAAFPAHLQGAFGSRRFAPADPPDLLNYEGCELLLIAASDDVEEELGLELEGEAEGEEGEGSQGEEQRAAGCSDLVKMFGEVADVKPLLSGSWD >KQK86750 pep chromosome:Setaria_italica_v2.0:IX:3571623:3572918:-1 gene:SETIT_037355mg transcript:KQK86750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERHTIPLLLPRRSACCAWDAGDRVVSLHLPTRGLAGPLPPPRPDGPEHDAAGSPAAAGSPSPAAARSPIPRCRCPIPRLQAAAPLILPPPAPAASQAAPTRAAAGGRGGGGAGVAGEARPPTQRPAAVGGADLWGEAVRLCGCRWKGSRMGSAEGRPAPDAKEGQGSPAPSAAEGEGPLAPDAEEGEGPLVPDAEEGERKPATDAEEGEGPTTAGWRSRRRRQGWP >KQK87712 pep chromosome:Setaria_italica_v2.0:IX:8843281:8847647:1 gene:SETIT_036711mg transcript:KQK87712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEGRIFVGGLSWQTDERKLEDAFGRFGKVVDAQIMLERHTNRHRGFGFVTFEDRRAVDSAIKEMHGQELDGRTISVNKAEPKMNTDDTRYDSGGGRAEYRGGRSDGPPPGNCFECGRPGHWVRDCPNAAGGRSGRFSSKFSGGSGGGRGDRFSGSDRFGDRYMDDRYDGGRYGYRDQVDTRDRYAGGRDRYANDRYPSGGDHFGADRYGGGPDRYAPSGYGRERERSYERDGVRGGGGGGGYDRSGPRGGGSYDRDGPRGGGYDRDGPRGGVTDRYGGGGPARYDGGSYRERPGPYDRPSRGGGRFDDRY >KQK92533 pep chromosome:Setaria_italica_v2.0:IX:55988596:55991948:1 gene:SETIT_034892mg transcript:KQK92533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEAAVPTAGYGEDGVYRSLRPAVPIPSDPGLSLNDLIFRRADACPAALALVDAATGRALTFAGLRSAALTAAAALSTRAGVRPGDVVLLLAPNCVLYPVCFLAVTALGAVATTANPHYTTREIATQVADARAKLIITFADLLPKVAELHLPAILLDVDDDAGSATASIPPSTNVIIPYSDLIDGVREAEYRRPATKQGDTAALLYSSGTTGTSKGVILTHGNFIAAHAMLTSDQDARGEGPNVFLCFLPMFHLFGLSVVTLGQLQRGNAVVVMPRFAVDDAMAAVQRHRVTYLCCVPPVMIALAKHGRTGRYDLSSLKFILSGAAPLGKDLMEAVAKDFPDAEIVQGYGMTETCGIITLENPERVKVRQLGSTGTLVIQVEAKIVDVETLKHLPPNQLGEICARGPNIMQGYLKNVEATEFTIKQGWLHTGDLGYFDEGGRLFVVDRLKELIKYKGFQIAPAELEGLLLSHPEIHDAVVIPFPDAEAGQVPVAYVVRAPQSSLSEADIQSFIEKQVAHYKRLRRVTFVDSVPKSSSGKILRRELIGQVSQRLRQSSGSTLRSPEEPQAKLGAA >KQK91603 pep chromosome:Setaria_italica_v2.0:IX:50771206:50777006:1 gene:SETIT_038878mg transcript:KQK91603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTVESVLSGTMPALSVSSMPALGAGTPPVMGVGTQPALGASTAPAMGAGTPRSLGSGSSPATVDDTAQGSGVGFIYSTPLAVVHPYTTVSVKSHVPVTLTMKNSTYSKWASFFKSMCGKFSLQSHIDDDDGQHVQSVTRKSGREMDPWEQQLGEKMDVPAGSTEGSGKAEARRQDTDGLGAASQEANLDRRLIVHGGPAGEDLEEGEFEYDFEEEEPVGGAKKRWFAVARYYSSRIAKSKILFSELSNVWGDVTSRVLGDNRLSEVIIESIPLWIHMYDIPVGVMSIGFVSALGAKVGRVLEVGEAVKGFKRSQGERPNGVYGKYENVPHFCFCCGRIGHAERECLDEELYEGKARFGTKLRALPFKREVARMLSFQATVPPAKRDLNFSGTQKDKVISFSGSSSLNGGRHGRMQQHPKRQQEKEDKEADGLATEGRVEESSNSKFVVTPEVADGLANGVQKMVVDMAVPNGNIDPSVVAGCSQDAMMGFPEMVSGIDSYDGSSDGSLSIQEELMMSKTAGAPLSLHEQLLRLRLRPASPGASKDINKPKKHRSALKLEVIAKSLKEMQQGGVRSDGLHVPPDWIAWSFERTGMFSVRSAYKLAMREKYEMGVILVQKENGLRGK >KQK91527 pep chromosome:Setaria_italica_v2.0:IX:50396569:50398889:1 gene:SETIT_036750mg transcript:KQK91527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAAVASPLLLSLSSSSSPFLSSSSTSFLPPSSSAAPLPASRKAAVSVLRALRAEAATLPVLSFTGDKVGEVTLDLKSAPPSTARAVVHRAIITDRQNARRGTASTLTRGEVRGGGRKPYQQKKTGKARRGSQRTPLRPGGGVVFGPKPRDWSIKINRKEKRLAISTALASAAVAEDAFVVEEFDEAFASGPKTRDFVAALQRWGLDPKQKAMFFATEFDDNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAVEYLNSRYGASASDDYDTDDEDDVGEELVEQEVEEGTTEEAAQDATEES >KQK91528 pep chromosome:Setaria_italica_v2.0:IX:50396569:50400580:1 gene:SETIT_036750mg transcript:KQK91528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAAVASPLLLSLSSSSSPFLSSSSTSFLPPSSSAAPLPASRKAAVSVLRALRAEAATLPVLSFTGDKVGEVTLDLKSAPPSTARAVVHRAIITDRQNARRGTASTLTRGEVRGGGRKPYQQKKTGKARRGSQRTPLRPGGGVVFGPKPRDWSIKINRKEKRLAISTALASAAVAEDAFVVEEFDEAFASGPKTRDFVAALQRWGLDPKQKAMFFATEFDDNVRLSGRNIGSLKMLTPRTLNLYDILDARKLFFTPAAVEYLNSRYGASASDDYDTDDEDDVGEELVEQEVEEGTTEEAAQDATEES >KQK91902 pep chromosome:Setaria_italica_v2.0:IX:52603999:52604216:-1 gene:SETIT_040590mg transcript:KQK91902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSTFKFPKRKIKVVKLDTFHFLNSFTKYNLCAILRNVIFWGLYRGLSFY >KQK89395 pep chromosome:Setaria_italica_v2.0:IX:27570623:27571326:-1 gene:SETIT_039352mg transcript:KQK89395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYRFVYKDVEGTSTQWGDSQRRLGNLPPKPEPFKSPAFAPKVEADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSLVPITGSDFVREVSQAPSDIWVIQTEYGI >KQK87137 pep chromosome:Setaria_italica_v2.0:IX:5722282:5725159:-1 gene:SETIT_035970mg transcript:KQK87137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTGGVARGRSRSFGGISSTEPGAAGGGNGGDLFVRAGADNEMYVRADKIDLKNLDVQLEKTRSQVWLEHQRSTQRSASPLPEATLLEWEIDLAKLDIQNQIAHGTFGVVYRGTYDGHDVAVKVLDWGKDGQDTAAKHREAFQKEVAVWQKLDHPNITKFVGASMGTSQLKIPKKGSTPCGGRSVPNECCVVVVEFQHGGTLKTLLYNHRDKKLSYKKVVHLALDLARGLSYLHSKKIMHRDVKAENMLLDRKRTLKIADFGVARVEAQSSEVTGQTGTLGYMAPEVLQGKPYDHKCDVYSFGILLWETYCCAMAYPNYSLADISYHVVKLGIRPDIPRCCPRALSDIMMRCWDGNPDNRPEMAEVVTMLEKIDTGSGKGGMTPVDDHVAHGCSCFGFNRSA >KQK93061 pep chromosome:Setaria_italica_v2.0:IX:58564948:58575819:1 gene:SETIT_033838mg transcript:KQK93061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPDFFCFLTPLSFRRHQQLVPYKLKCDKEPLNNKLGPPDFYPQTPNCPEETLTKEYAQAGYKETVEGIEEAREIVLSQIPHFCKPDVVVKCKEALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKSGVYPEQRPCNEDTRRKWAEALAQPNKRLRSLSEHVPHGYRRKSLFEVLTRYNVPLLRATWFVKVTYLNQLQARPTPNSISAGASDNQRSNQWTKDVVEYLQQILDEFCSKEGTVVPPSFREQSSPGLNAGTNQIKVKTEASPAGGDGEEPLVHFKWRYMVRLIQWHLTEELLVPSVLIEWLSNQLQERDSIDVLELLLPIVLGLVDTITLSQTYVRMFVELLVRRLSDTSVVDSPKRPSVSSVIAELLRYMVLAVPDTFVSLDCFPLPSFVVPDVYGRGALLKITGGGGIASSKRCDAYRYLSCGYAVCSIQKRASDLATVANPNLQVRGAAKVVQALDKALVTGNLSVAYSSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSIFFLCEWATCDYRDCRASPCQNVKFTGRRDLSQVHVAVSILKNKMDEMNNLSRSKSSNRITMNNIVKGSSLNDACLPVAAGDDSSGLRNNTNNLDEKKDTSGIFESPGPLHDIIVCWLDQHEVSSAAGFTRVDVLIVELIRNGIFYPQAYVRQLIISGITDKNDIMLDVERKRRHHRTLKQLPGSSLFDILEETRTAEEQQLYEIMSTYSSERRLVLSELSCGPSFYASSRGEYASSSCIRKQNDLPVASGGDKHGRVPEQVEDVKALVSSLLSFTYPHPVETEPCQIKTSFQESATSTLSQVETGEAKSGCEDCMRSKGQKLDDGATPFQGFPLIQSDEEDIWWVRKGTKLHESFNVEPAQKSVKQTSRGRAKVVRKTQSLAQLAAARIEGSQGASTSHVCESKLSCPHHKPNMDGDNVKDFDHMRMTNLTEVGKSLKRLRLLERRSVSLWLLKSIRQLVEGSEMTASKATNSISTLSLQPDDKSASKWRLGDEELLSVLYVLDTCCDLVSGARFLVWLLAKIRGGLGSSGQPGRSSMHMRNREHQVCQVSEALVFSSLLRYENILLATDILPDVLSASVNRNSVSATARHPGSAAFAYVRYFLKKYRDVASVARWEKNFRTTCDQRLLAELDNGRSIDGDFVSSSGVSAGEEIDEQVRQKLNGRSSRLMQNMKEIVQRQADEVQRSLKEKKVLPAPKSPPSFEKEDSYQISHDIVFGLVECIRQNGGANPDGDLSIVASAVSAVVVNAGHVIAKHLDFAGGNYQGVNSVSNSLNFVRHTLRIHINSLCLLKDTLGDRFSRVFEIALAAEASAAVTAAFAPAKMQRNQFQPSPETHDAYGNHTSDLSNSGKGFVGRTAKVAAAVSALVVGAVVHGAVSLERMVAALKIKDGLDILQLLRGLKTSTNGVSRAAGTFRMENSTEVSAHWFRILLGNCRTVYDGLIADILGESYILALSRLQQTLPLSLIFPPAYSIFAMVLWRRYIFNREDPQLYQSLSNAINDITRHQPFREICFRNTHQLYNLLASDVGDSEFAAMLESHSPDRNSKILPFVPLRARLFLDALVDCNTPMTTQGDGASEPCDPKDNELKLSERLMQLLDTLQPAKFHWQWVEMRLLLDEQALMEKVAAGKTALESLRSLSPNAEGFALSDSEKGFTEVILSRLLARPDAAPLYSEVVHLLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLSDVIASKTAKRKLEVTSIEEGEVVDDTVDAKRPVKTPSHSVDRSFEGIRSINKYLTEKALAELVLPCIDRSSADIRGILSGDLIKQMGTISDHIKAVTRNGAKQAGSVPSGNEMPSSKSSGRKGIRGGSPNIGRRAPVGNDPSPPSASALRAALWLRLQFIIRLLPVIMADRSMRHTLASAILGLLATRMIYEDADLPLPPTNAIALRREVDSLLEPPLDVLLDRPGESLFERLLCVLHALLGSCKPSWLKSRPASKSTIRTQRDFSAFDNEAAEGLQSALDHMELPETIRRRIQAAMPILPPCRHPSIQCQPPQLSLAALTPLQSCILGAGPQQKSSSVSWVPTNVSSRSKAVLPSHDPEMEVDPWTLLEDGTSCPSTSSGSNGPSGVTGDLANLKACSWLKGAVRVRRTELTYIGSLDDDS >KQK93062 pep chromosome:Setaria_italica_v2.0:IX:58567071:58575819:1 gene:SETIT_033838mg transcript:KQK93062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLIQWHLTEELLVPSVLIEWLSNQLQERDSIDVLELLLPIVLGLVDTITLSQTYVRMFVELLVRRLSDTSVVDSPKRPSVSSVIAELLRYMVLAVPDTFVSLDCFPLPSFVVPDVYGRGALLKITGGGGIASSKRCDAYRYLSCGYAVCSIQKRASDLATVANPNLQVRGAAKVVQALDKALVTGNLSVAYSSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSIFFLCEWATCDYRDCRASPCQNVKFTGRRDLSQVHVAVSILKNKMDEMNNLSRSKSSNRITMNNIVKGSSLNDACLPVAAGDDSSGLRNNTNNLDEKKDTSGIFESPGPLHDIIVCWLDQHEVSSAAGFTRVDVLIVELIRNGIFYPQAYVRQLIISGITDKNDIMLDVERKRRHHRTLKQLPGSSLFDILEETRTAEEQQLYEIMSTYSSERRLVLSELSCGPSFYASSRGEYASSSCIRKQNDLPVASGGDKHGRVPEQVEDVKALVSSLLSFTYPHPVETEPCQIKTSFQESATSTLSQVETGEAKSGCEDCMRSKGQKLDDGATPFQGFPLIQSDEEDIWWVRKGTKLHESFNVEPAQKSVKQTSRGRAKVVRKTQSLAQLAAARIEGSQGASTSHVCESKLSCPHHKPNMDGDNVKDFDHMRMTNLTEVGKSLKRLRLLERRSVSLWLLKSIRQLVEGSEMTASKATNSISTLSLQPDDKSASKWRLGDEELLSVLYVLDTCCDLVSGARFLVWLLAKIRGGLGSSGQPGRSSMHMRNREHQVCQVSEALVFSSLLRYENILLATDILPDVLSASVNRNSVSATARHPGSAAFAYVRYFLKKYRDVASVARWEKNFRTTCDQRLLAELDNGRSIDGDFVSSSGVSAGEEIDEQVRQKLNGRSSRLMQNMKEIVQRQADEVQRSLKEKKVLPAPKSPPSFEKEDSYQISHDIVFGLVECIRQNGGANPDGDLSIVASAVSAVVVNAGHVIAKHLDFAGGNYQGVNSVSNSLNFVRHTLRIHINSLCLLKDTLGDRFSRVFEIALAAEASAAVTAAFAPAKMQRNQFQPSPETHDAYGNHTSDLSNSGKGFVGRTAKVAAAVSALVVGAVVHGAVSLERMVAALKIKDGLDILQLLRGLKTSTNGVSRAAGTFRMENSTEVSAHWFRILLGNCRTVYDGLIADILGESYILALSRLQQTLPLSLIFPPAYSIFAMVLWRRYIFNREDPQLYQSLSNAINDITRHQPFREICFRNTHQLYNLLASDVGDSEFAAMLESHSPDRNSKILPFVPLRARLFLDALVDCNTPMTTQGDGASEPCDPKDNELKLSERLMQLLDTLQPAKFHWQWVEMRLLLDEQALMEKVAAGKTALESLRSLSPNAEGFALSDSEKGFTEVILSRLLARPDAAPLYSEVVHLLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLSDVIASKTAKRKLEVTSIEEGEVVDDTVDAKRPVKTPSHSVDRSFEGIRSINKYLTEKALAELVLPCIDRSSADIRGILSGDLIKQMGTISDHIKAVTRNGAKQAGSVPSGNEMPSSKSSGRKGIRGGSPNIGRRAPVGNDPSPPSASALRAALWLRLQFIIRLLPVIMADRSMRHTLASAILGLLATRMIYEDADLPLPPTNAIALRREVDSLLEPPLDVLLDRPGESLFERLLCVLHALLGSCKPSWLKSRPASKSTIRTQRDFSAFDNEAAEGLQSALDHMELPETIRRRIQAAMPILPPCRHPSIQCQPPQLSLAALTPLQSCILGAGPQQKSSSVSWVPTNVSSRSKAVLPSHDPEMEVDPWTLLEDGTSCPSTSSGSNGPSGVTGDLANLKACSWLKGAVRVRRTELTYIGSLDDDS >KQK93060 pep chromosome:Setaria_italica_v2.0:IX:58564948:58575819:1 gene:SETIT_033838mg transcript:KQK93060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNPDFFCFLTPLSFRRHQQLVPYKLKCDKEPLNNKLGPPDFYPQTPNCPEETLTKEYAQAGYKETVEGIEEAREIVLSQIPHFCKPDVVVKCKEALKKRLRAINESRAQKRKAGQVYGVPLSGSLLIKSGVYPEQRPCNEDTRRKWAEALAQPNKRLRSLSEHVPHGYRRKSLFEVLTRYNVPLLRATWFVKVTYLNQLQARPTPNSISAGASDNQRSNQWTKDVVEYLQQILDEFCSKEGTVVPPSFREQSSPGLNAGTNQIKVKTEASPAGGDGEEPLVHFKWRYMVRLIQWHLTEELLVPSVLIEWLSNQLQERDSIDVLELLLPIVLGLVDTITLSQTYVRMFVELLVRRLSDTSVVDSPKRPSVSSVIAELLRYMVLAVPDTFVSLDCFPLPSFVVPDVYGRGALLKITGGGGIASSKRCDAYRYLSCGYAVCSIQKRASDLATVANPNLQVRGAAKVVQALDKALVTGNLSVAYSSLFNDLSDALMEERWIKEVSPCLQSSLMWIGTVELSLICSIFFLCEWATCDYRDCRASPCQNVKFTGRRDLSQVHVAVSILKNKMDEMNNLSRSKSSNRITMNNIVKGSSLNDACLPVAAGDDSSGLRNNTNNLDEKKDTSGIFESPGPLHDIIVCWLDQHEVSSAAGFTRVDVLIVELIRNGIFYPQAYVRQLIISGITDKNDIMLDVERKRRHHRTLKQLPGSSLFDILEETRTAEEQQLYEIMSTYSSERRLVLSELSCGPSFYASSRGEYASSSCIRKQNDLPVASGGDKHGRVPEQVEDVKALVSSLLSFTYPHPVETEPCQIKTSFQESATSTLSQVETGEAKSGCEDCMRSKGQKLDDGATPFQGFPLIQSDEEDIWWVRKGTKLHESFNVEPAQKSVKQTSRGRAKVVRKTQSLAQLAAARIEGSQGASTSHVCESKLSCPHHKPNMDGDNVKDFDHMRMTNLTEVGKSLKRLRLLERRSVSLWLLKSIRQLVEGSEMTASKATNSISTLSLQPDDKSASKWRLGDEELLSVLYVLDTCCDLVSGARFLVWLLAKIRGGLGSSGQPGRSSMHMRNREHQVCQVSEALVFSSLLRYENILLATDILPDVLSASVNRNSVSATARHPGSAAFAYVRYFLKKYRDVASVARWEKNFRTTCDQRLLAELDNGRSIDGDFVSSSGVSAGEEIDEQVRQKLNGRSSRLMQNMKEIVQRQADEVQRSLKEKKVLPAPKSPPSFEKEDSYQISHDIVFGLVECIRQNGGANPDGDLSIVASAVSAVVVNAGHVIAKHLDFAGGNYQGVNSVSNSLNFVRHTLRIHINSLCLLKDTLGDRFSRVFEIALAAEASAAVTAAFAPAKMQRNQFQPSPETHDAYGNHTSDLSNSGKGFVGRTAKVAAAVSALVVGAVVHGAVSLERMVAALKIKDGLDILQLLRGLKTSTNGVSRAAGTFRMENSTEVSAHWFRILLGNCRTVYDGLIADILGESYILALSRLQQTLPLSLIFPPAYSIFAMVLWRRYIFNREDPQLYQSLSNAINDITRHQPFREICFRNTHQLYNLLASDVGDSEFAAMLESHSPDRNSKILPFVPLRARLFLDALVDCNTPMTTQGDGASEPCDPKDNELKLSERLMQLLDTLQPAKFHWQWVEMRLLLDEQALMEKVAAGKTALESLRSLSPNAEGFALSDSEKGFTEVILSRLLARPDAAPLYSEVVHLLGKLQESLVMDVKWILQGQDAILGRRSTRQQLVHIAQRKGLSTKAQVWKPWGWSSLLSDVIASKTAKRKLEVTSIEEGEVVDDTVDAKRPVKTPSHSVDRSFEGIRSINKYLTEKALAELVLPCIDRSSADIRGILSGDLIKQMGTISDHIKAVTRNGAKQAGSVPSGNEMPSSKSSGRKGIRGGSPNIGRRAPVGNDPSPPSASALRAALWLRLQFIIRLLPVIMADRREVDSLLEPPLDVLLDRPGESLFERLLCVLHALLGSCKPSWLKSRPASKSTIRTQRDFSAFDNEAAEGLQSALDHMELPETIRRRIQAAMPILPPCRHPSIQCQPPQLSLAALTPLQSCILGAGPQQKSSSVSWVPTNVSSRSKAVLPSHDPEMEVDPWTLLEDGTSCPSTSSGSNGPSGVTGDLANLKACSWLKGAVRVRRTELTYIGSLDDDS >KQK87120 pep chromosome:Setaria_italica_v2.0:IX:5636588:5638686:1 gene:SETIT_039222mg transcript:KQK87120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPPPPMPTLDRCCRCCLSPSAKLQRAVETRQKPICTAGGGAARLPITRRADASSLLLGLAGMAVAAPALARADDESNVRGGGEGGKSLLGSGGDYGGAGQAGGGGGAEGSAGGDEGAGCPNRGSSRGGRGWLGRRRRGGSCSSWRCGGRARAASLLLGLAGMAVAAPVRADDESSGGGEEGVLGAIKKVLPKAYLKAAREVVRTLRESLEEDGGGDMAKFRWNADAAKESIREFLGGWRGQQAAAAEKAIGSLAEFYSEAGSSAPLPQDVKNKILNDLSTADI >KQK92041 pep chromosome:Setaria_italica_v2.0:IX:53360936:53363252:1 gene:SETIT_036328mg transcript:KQK92041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFDRWEKDPFFLAAEEVQESADRMESVYRIWVQERSGGGGDSEAAGISEGPTAAELRRELHTALGTAKWQLDELERAIRSNDHVISAGKDTRARHDDFVAAIGYRILEVENNLKQSNVAEGRGPLSWVHLDEDERDDLAAFLSAGPFQQKDKVVTTLAGDIEVGSNATRMKNDISTDSSKDSAGSTDLISGRAKDDLHRGHRRAVSASADIGSWSMSIPNECEEALEQSSDGPHKAPLLKIVKTCALASALQSKPRTKCKNGAVRWAGVNPQDVEEAIPLNTSQLTQGLDGCFERNKSCLSACDEDTYNKKLYGWLGALHRQLQRSQYQIRYGHPVQFVVLALAALVIFVCILRTIW >KQK92693 pep chromosome:Setaria_italica_v2.0:IX:56813214:56815920:-1 gene:SETIT_034150mg transcript:KQK92693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYYSCFSYPKPPTNFPTVQLSGLADTGELISFQEMLGLLVRPDVGVVVIEGIGGSGKTWAAKAAYQAAMTSNIFNAYIWVSLSISCSLRQCINKIAASLSCGVRDNLSVERAKTIINEYLTQRKFLLVLDNAYFTEESILESLGVPHPQRQNLGSKVFVTTRTTRTRGVMDPDRLIIPQPLTSEESRDLLHEKIGRHTNFAHDLFSNFYGVPLLIILLAGVLCDAPTQDVFSDLIANMLVSLGTWVSVFHTMQRMVEFGYHQLPSDNARHCLLYCLLFPEEQGIPVKELIRHWIMDGLLQEFFSFDEANHIGKEILDVLIKHGMVYLEDNDHVRMHDVIRETVSKFGKDKDYKEQRDWYFENSSITKLEHLAKSSNRVSLMYTEMECLRGSPRCLFLSSLLLRGNYLLKAISEEFFCHMGALGILDLSFTRIKVLPPSISCLAKLRMLLLIGCDHLEEIQHIGSLVQLEVLDASGCVSLKSIDPRSFDHMVLLRILDLSKTSITSLTSIPAHMAVSHLNLPGCSFFGSDSALPYGMSKSGAVQNLQLGNIENLTDWMGMLWLPCGLIFQLSGRFGMKVSSGVHRHRKTYVYASDAYFFNCLEKYSPLWFNCFQKFQIIISPLMDDQTMDMDAQVTETDFIFQNSCIRTTHFMHSIDLNRYVQINDTDGVPSDLDSILYHAELISLNRLTVTTQFSDLNIKSMKAARELWIENIDQLESLLLADEVRALSAVGNLHNLWISNMENLASFCKGVEDVTSFGCLKRLILDCCPNLLYLFPSELRFPNLEMLHIRFCDTLERVFDCSVLGQDAVPRLQSLQLWELPELTCVCGGVLPSLKNLKVRGCVKLRKIPVGVDENSPLVITNGERLWWDNLIWDDESIKRWVLFRGWGPLLPQFCN >KQK88751 pep chromosome:Setaria_italica_v2.0:IX:16836728:16841625:-1 gene:SETIT_035586mg transcript:KQK88751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVAMLTLTLLACSAAMLARLLVARARRRRCYLLDYVCYKATDDRKLPTDLCGEIIQRNKLLGLEEYKFLLKVIVNSGIGEETYGPRNIIEGGEARPDRLKEGMEEMDETFHAVLDELFARSAAPGGVGIRPADVDILVVNVSMFSPAPSLAARVVRRYGLREDVKVYNLTGMGCSATLIALDLVNNFFRTHAGQVALVMTSESIAPNWYAGNKRSFMLGNCLFRSGGCAYFLTNDPRLRPHAKLRLRHVVRTHTGSSEEAYNCALQMEDDAGRPGFHLGKELPRAAVHAFVHNLRVLAPRVLPLPELLRLACATLSARLARKKQRGSNHLTIRMKAGVDHFCVHTGGAAVIDGVGKGLTLTEHDLEPSRMTLHRFGNTSASSVWYVLGYMEAKGRLRKGDRVLMLTFGAGFKCNSCVWTVEKPATDAGVWKDRIDQYPLKDVSNPFMEKYGFVKDMMNL >KQK89331 pep chromosome:Setaria_italica_v2.0:IX:24245579:24251659:-1 gene:SETIT_036310mg transcript:KQK89331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVCKCRKATRLYCFVHQVPVCGECICFQEHELCVVKNYAEWVVNSDYDWPQHCSSCNSVLEAGSEETTRLGCLHVMHTKCLISHIQNFPTQTAPAGYVCPSCSEPIWPPSSIKDTGSRLHAKLKEAIVQTGLEKNVFGNHFVTMPKADTRTPPAFASDPLKRLSSSGDRESNGANIISSAKDASLPSTLHSGMYSSASVGSGAPIHVEPEIVEIEGPSPVITQFPEQESNFIRSPSPHGPGAMTRKGATSVDRQNSEISYYADDEDGNRKKYTKRGTFRHRFLRMLLPFWSSALPTLPVTAPSKKESDAPEGRIRQRSSRMDPTKILLAMAIMACIATMGILYYRLSQRSLSENFVEDEIQ >KQK87672 pep chromosome:Setaria_italica_v2.0:IX:8621196:8621901:1 gene:SETIT_038188mg transcript:KQK87672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYPSGLFGSHILARLTAQSVLRFGPEDLNMLLSCMLRENNQVCDAKVFRIMRISCFLILETHPLRLVQSEPSYFCLTFFLQHFVPRNLVFHKTRHPNKP >KQK88681 pep chromosome:Setaria_italica_v2.0:IX:16160701:16162490:-1 gene:SETIT_039883mg transcript:KQK88681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGHEIVQQQRQRDPEHGGGGESSGKDYTDPPPQPVLTASELRRWSLYRAAIAEFVATLLFLYLTVATVIGYKRQAESDASGCGGVGVLGIAWAFGGMIFLLVYCAAGISGGHINPAVTLALLQARKVSVPRAALYVAAQCLGAVCGAGLVRAIHSPDAFVRLGGGANAVGDGYGRGTGLAAEVVGTFVLVYTVFSATDAKRNARDSHIPVLAPLPIGFAVFVVHLATIPITGTGINPARSFGAAVVYNQARAWQDQWIFWVGPLTGAAMATLYHEHVLRASAIKALGSFKAG >KQK89290 pep chromosome:Setaria_italica_v2.0:IX:23152044:23153707:-1 gene:SETIT_039273mg transcript:KQK89290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGHPCLICDGRFARQQASDTDLEQTTTMPRGKLGMKLIENPKKRRATYKNRRDGLVQKTSQLATLCGVEALLVCFDPKPAGSGQDGGGGGAAAATTWPANREDVLKLIEKYRETPADKIRHSFNAATYYQEELAKQQRKLLKIEQCGPDMLSLQDCRLADLSTADLGTLLVALDETLRKAQQRIVALGGHLDDDGGDVLPAATAMVTAPHAVPMPLPLAEYSSFDLAFAMPDAGSMVTQYDYPPHDMMPLPQPVPLQPPCLAYHQMPLPSYTFQMPPATTTLIAPHDLGMTGTMDFPTFFTNFANGSATAAGFYDDFMLGFDATGGGVYVDDYVAAGQADFAAGHAGTGYQLEHRMPAGVWAPVSRMNNNPGPMDAAAFQEGNDLAGLPGSSCSSNGAIFQGGFQKK >KQK89891 pep chromosome:Setaria_italica_v2.0:IX:36812427:36813254:1 gene:SETIT_039770mg transcript:KQK89891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRFVVNFPNATGYEQEQELDVRKPIKSAGGFYIFAAKPSENGYIKAVRFETQSVQVGDPVHSFVFPREGYITPTGYCRGSVIDVCCRVLFHDCDMHEYAYLGSPLFNLSGDLVGITYLDQGHWQAWTVWELLDTFKKWKSTIVSKGIEEPAGAEPQVEE >KQK90145 pep chromosome:Setaria_italica_v2.0:IX:40160254:40160406:-1 gene:SETIT_039934mg transcript:KQK90145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVILAASSHPTAAARISHLKQSNMARSFSFHKSLTKCLQNKNLLPNCYS >KQK86784 pep chromosome:Setaria_italica_v2.0:IX:3727393:3730132:-1 gene:SETIT_039838mg transcript:KQK86784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRRNTPEDADGARISRPSGPPRGRLARLDSSPLLPLRPRVTADGRSNRGGEGKTAAARPPDRAETRSRAAHAMAAAGSMQPVGGDWGGESIEFVPDFGPIGCFGSRLGWEFRGGGCVPVAPFKPPSGGTTNEVVEASGTAKHGEIVSATGNNVTSNVTSNISRPVPPRPWQQQGYGNSYGGYGSNMYSSYGGFNGTYGNNMYSGYGGGYGTTYGGYGGPMYNGGTGGPYGGYGMGMGPYNQGPNSFGPPAPPPGFWVSFLRVLCDRAGMLYGELARFVLRLLGIKTKPKKGGVKGSGAPPLEGTSQQFVEAPKATNSSWDSVWTENGKGK >KQK92715 pep chromosome:Setaria_italica_v2.0:IX:56902369:56902671:-1 gene:SETIT_038432mg transcript:KQK92715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWPSRLPRFLLDKISMQFKGKAGQLLSQLTKRRKKTQYGSKSDIRPKARSRSALYLPQLITV >KQK92226 pep chromosome:Setaria_italica_v2.0:IX:54490858:54491275:1 gene:SETIT_040758mg transcript:KQK92226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNNKYSHFSSGWFVSLLSYVASGEVRLLYLCK >KQK91482 pep chromosome:Setaria_italica_v2.0:IX:50098008:50098653:-1 gene:SETIT_040028mg transcript:KQK91482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSWWSARASTVGVPRKGLKSLVILICWKVWKDRTIFNHVEASTTILSSKIKDEILTWIMRLSAWAPH >KQK90434 pep chromosome:Setaria_italica_v2.0:IX:42680196:42682035:1 gene:SETIT_035269mg transcript:KQK90434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSSLCGFLDLRAAAPFLVAAVVFYLLTEQLSYRRKKGPLPGPALVVPFLGSVARLIRDPTAYWDGLAARAKESHLGLSAEYFLGTFVVFIRDAELSHRVLTNVRPDAFHFIGHPFGKKLFGDYNLIYLFGDEHKELRRRIAPNFTPRALSTYAAIQQRVIVAHLRRWLHRSEHDVPFRLRVPCRDMNLETSQTVFVGPYLTAEAREAFARDYHTFNVGVMALPVDLPGFAFRRAKQAVARLVRTLTGCAGESKARMRAGGEPSCLMDYWMQDTVREEDEAAAAGNPPPPHASDEQVGGHVFDFLFAAQDASTSSLCWAVSALAAHPGVLARVRAEVATLWSPDSGEPITAAQAAEMRYTQAVAREVIRLRPPATTVPHIAGEPFALAEGYTVPKGATVFPSLYESSFQGFRDPAAFDPDRFFSEERREDVEFRRNFLAFGAGAHQCVGQRYALNHLVLFIALFASLVEFRRHATEGCDDLVYIPTIAPRDDCAVYLKQRCAELPSF >KQK92064 pep chromosome:Setaria_italica_v2.0:IX:53498539:53499447:-1 gene:SETIT_037631mg transcript:KQK92064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKLFEEHTNQFILSQIWIAPEGKELQNSFKTLRKLFLHGIYVEFDLLWTLVLLESAPSVQIFGIKIWNHICGKDTQRREICSERTIGSWSTTKLGGSTSFLQLKRLEFGGFQQVKQHLDLIRTVIKRAPNLETLLLEDKKYCQKCEAVTNSVCSSKTSMFRKNEEDILVKQFGTGDVSRPIQIIFRPLQC >KQK86820 pep chromosome:Setaria_italica_v2.0:IX:3939680:3941752:-1 gene:SETIT_037490mg transcript:KQK86820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQEVKDSRPSRSPSEPNLFLQWGSRKRLRCVKTRDDGSPSPSPSEVLRRVVPRATRPLLGADIAPFRSPRRPSTLQRRKSDSPANEYKQSMALSPEKDRYYSTRGSPFPFEGNGFDFGGLTEDKGTTALPRFFIALSNKEKEEDFMAMKGCKLPQRPKKRPKLMQKCLLMVSPGAWLSDLSHERYEVREKKSSKKRARGLKALSLESDSE >KQK89940 pep chromosome:Setaria_italica_v2.0:IX:37502448:37510562:1 gene:SETIT_0338422mg transcript:KQK89940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDTDFQSQNFQLAGEDNNRFPSGLRPFALPKLDIEDQLQGHLRFDNLIDSEVFFSVQGHESNWIEVLSTGSTVVDFSSSAAESCSISKTNNVWSEATSTESVEMLLKSVGENETTGNMDSNAHLQLSGMDSQTDPSNVHPKSMNSPTDSTVVPAKKDQSQSTDSRMTDDPDRSQSIHSRMAADPSNTEPQIEHFAPFLMNKETEQAAGSVAEKCIASEKLSSSNNTSGSCPGVGNYFEAVHHDHSLDKLSVPSAEVDSRNLNNEPFTELAPLQNIYVNDSYHFEQDDKESEVDVTQDSKICHINESKVEGGLPELQSLSCAGQSLGAVNLSSQVSSETLLSESSDGLLEAITNPVKLHRSDTTCNRVDNTLQPSFSPVQHGTEGLNTSIDRSNELNANEFGIGSNSALSHLSEADSRNSNPHLVSSLSPKSKVADTTGVPEETKNAGASSTNISCTGDESKLGVLEHHQDSVDNLKSGAMEEKTNGEEIPAVSGTIEQTVENDHEENATGATGTSKDKVNSSDSIAPENFPADTFNASEDPNIPSINHETFKERDTPALVEEPENMHLDLSTSGPQEKMSAPAISSSSGITSTTVTDTFGTSEDKNGCSLDVSVDDSSALPDEKDLKVSTMNHEGPFKEGAKSALEDEDHNVTPGSEPGGMSAVPEDSNIDVYSSTVSATEKEEYKKASSLGGLTTEETQDKSGNHPDASSQKCQTDRSSIQCEHHIDQATPPSLGISTGKVVEKIVETPLNTSDDLNAHVQDTVLNHGTDHSPGTVPSQGKVGSSILEPGNGTEICTGTTCGSPSGAEPSLQGGGQGSNALLPNTLDGQSGDPKDCEASADAAQSSKQCSTRNVGCAPDSQETNPAGGDRSFSFEVGAPPNVSEKAHSPVWSPFPRYKASQSTEITSENLQPGSPGSSLKITTENPQPGSSLKSKKTSIVKSGKEQLSERKVAESAGGPSDNSNIGSSTKNKSSPPAQSQQHPTPECSVSYADLVNLPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMMAAFGEPGGGKPTWEAAWRAAVVRFQYQKSISTGLETPTSSRIGSSVPEKGNKGTAVRTAPASKKGGKTVVPAHSSVTLQSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYPQSPGPRPAPWLIPSQNLIFDSSVQPTVPTNETAKGASSKNISISHAVSPGLVVPSPAPSIVSSPTAVLNDEKQKAPASSSKHETASRKPRKRKKASASLEQQPVIASPQLKTDMMLTPETKHTEGFTLSTHPPSNALGSRVVPNTSQIPSVANYQITGGMDSEQRIIFSEQICGAIEQSTGQAKGASMHSMEAVRHKEGIWSHLSTISISNLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALNSSSSLKSVHHEASEFYVSSNPPSLSSSTPASSLKLKNTHAPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELTAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSERVRNKTGSSNVNPVTETLEVYAPANLSKPGRKRGRKPKYDQAQLNLEPSSSGKELQLEEIHSGHGVEDVPTAVPLDGNRNDTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPREEWVPLRQDGDKPPQIRLAHPSTFSKFKTRKRRRETAGSCLWVIGDHVDAWVKDSWREGVIAQNYEANETKYVVHFS >KQK89941 pep chromosome:Setaria_italica_v2.0:IX:37502448:37510562:1 gene:SETIT_0338422mg transcript:KQK89941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDDTDFQSQNFQLAGEDNNRFPSGLRPFALPKLDIEDQLQGHLRFDNLIDSEVFFSVQGHESNWIEVLSTGSTVVDFSSSAAESCSISKTNNVWSEATSTESVEMLLKSVGENETTGNMDSNAHLQLSGMDSQTDPSNVHPKSMNSPTDSTVVPAKKDQSQSTDSRMTDDPDRSQSIHSRMAADPSNTEPQIEHFAPFLMNKETEQAAGSVAEKCIASEKLSSSNNTSGSCPGVGNYFEAVHHDHSLDKLSVPSAEVDSRNLNNEPFTELAPLQNIYVNDSYHFEQDDKESEVDVTQDSKICHINESKVEGGLPELQSLSCAGQSLGAVNLSSQVSSETLLSESSDGLLEAITNPVKLHRSDTTCNRVDNTLQPSFSPVQHGTEGLNTSIDRSNELNANEFGIGSNSALSHLSEADSRNSNPHLVSSLSPKSKVADTTGVPEETKNAGASSTNISCTGDESKLGVLEHHQDSVDNLKSGAMEEKTNGEEIPAVSGTIEQTVENDHEENATGATGTSKDKVNSSDSIAPENFPADTFNASEDPNIPSINHETFKERDTPALVEEPENMHLDLSTSGPQEKMSAPAISSSSGITSTTVTDTFGTSEDKNGCSLDVSVDDSSALPDEKDLKVSTMNHEGPFKEGAKSALEDEDHNVTPGSEPGGMSAVPEDSNIDVYSSTVSATEKEEYKKASSLGGLTTEETQDKSGNHPDASSQKCQTDRSSIQCEHHIDQATPPSLGISTGKVVEKIVETPLNTSDDLNAHVQDTVLNHGTDHSPGTVPSQGKVGSSILEPGNGTEICTGTTCGSPSGAEPSLQGGGQGSNALLPNTLDGQSGDPKDCEASADAAQSSKQCSTRNVGCAPDSQETNPAGGDRSFSFEVGAPPNVSEKAHSPVWSPFPRYKASQSTEITSENLQPGSPGSSLKITTENPQPGSSLKSKKTSIVKSGKEQLSERKVAESAGGPSDNSNIGSSTKNKSSPPAQSQQHPTPECSDLVNLPFTDPQHLQLRAQIFVYGALIQGTPPGEAYMMAAFGEPGGGKPTWEAAWRAAVVRFQYQKSISTGLETPTSSRIGSSVPEKGNKGTAVRTAPASKKGGKTVVPAHSSVTLQSPTFNVPLGSSTFNLQRGTHLDFSQAVSPFTYNSHMRQPSPGVAPWYPQSPGPRPAPWLIPSQNLIFDSSVQPTVPTNETAKGASSKNISISHAVSPGLVVPSPAPSIVSSPTAVLNDEKQKAPASSSKHETASRKPRKRKKASASLEQQPVIASPQLKTDMMLTPETKHTEGFTLSTHPPSNALGSRVVPNTSQIPSVANYQITGGMDSEQRIIFSEQICGAIEQSTGQAKGASMHSMEAVRHKEGIWSHLSTISISNLPREVEEKLTSAAAAAEAAVSVAKAAAEAAKMASEAALQAKMMAEEALNSSSSLKSVHHEASEFYVSSNPPSLSSSTPASSLKLKNTHAPGSIISVAREAARKRVEEASAAAKRAENLDAILKAAELTAEAVFRAGTIIGMGEPLPFTLRELLEAGPDGYWKSERVRNKTGSSNVNPVTETLEVYAPANLSKPGRKRGRKPKYDQAQLNLEPSSSGKELQLEEIHSGHGVEDVPTAVPLDGNRNDTAPISIIWNGIEKGSAVEVLSDKGGFGVAWFSAKVVDINENNAFVSYDNHNGTGPREEWVPLRQDGDKPPQIRLAHPSTFSKFKTRKRRRETAGSCLWVIGDHVDAWVKDSWREGVIAQNYEANETKYVVHFS >KQK86329 pep chromosome:Setaria_italica_v2.0:IX:1324578:1325228:-1 gene:SETIT_039779mg transcript:KQK86329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTGTSLLLLFLCVSALHHAAGCARVSPGASPIVATCMTGPFPELCVGELGQRLLDIQNAIASAAPGQGASIAGAPGQVDVKALVAVALQAASEAGAVAASIFEGKLPGFNTGVPDFRKCLGNCSVTMSSAMQKLHGASAALRSGNHEVAKTLASRSFTDVSSCTVSCKDLNGDVRLIIVQSLTEFQKMLQIAISFMNKMKPNDPLPLPVRRVP >KQK88139 pep chromosome:Setaria_italica_v2.0:IX:11840065:11840490:1 gene:SETIT_038412mg transcript:KQK88139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRDEGIQQHYSFGAKRGSGWGGRELSVIALRREGILPPSLSKRHSSIFSGRCFPSESSDLSRLCI >KQK90005 pep chromosome:Setaria_italica_v2.0:IX:38470357:38470839:-1 gene:SETIT_040311mg transcript:KQK90005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLVDNKLCAALTNKLNMVYNSKNSISHWIHDSEQIPKYNNEL >KQK92062 pep chromosome:Setaria_italica_v2.0:IX:53481836:53487296:1 gene:SETIT_0342222mg transcript:KQK92062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPPSHQSKPSQHRRQHHNPGPRQPPPPQRYVPKSASPAAPKPSPPSQPSLTTALRSSTASSSASGSGTGRNTSGSVGGGEADGFVAYLPHDEAVAAGLGGLDAHESQAVVDLLNDALAALLRAKPREFWRQVAQNTSLHDFLDSYLQFRHRWYDLPHRAPKGAVAGLVVGELELCRRVFMVLYRISSNKDPGAGRGESLSLKEHTALLLEKKLLDLPKLLDICAIYEHDNNKLTSSLVTNAINVQPNALDGINIVIPEFLGIFHTMHDRCMASLQVLDFINDAIVTLDSFVGAYQPAALLFCTNFEMNYGVEELLNTLSRLYDSLLPSLLQGFKVMSKSQSNREASPDSMLSDTALGIRMLSKRTIKFGWRLLHYCYLNDQLKEHDAQTSTKMFPANVEDPMIRGDILVQTLKDINREAAYSSQLNHGSTFLQSLESEFQLMSQIDNIRNKGWIYMDDEQFQFISRLCGSTHSWNSVPDLPVSSHGGELQQKDEETAMIESKISQIRDLFPDYGKGFLAACLEAYNLNPEEVIQRILEGTLHQDLLALDTSLEEMPQKKLTPTAVKDKGKGILVETAPQITAKPYKVAEACYVVQDGPSSATSSASQGPSSAISSASQGPSSAVSSEFQGSSTSSVSSVPQ >KQK86864 pep chromosome:Setaria_italica_v2.0:IX:4198256:4202799:1 gene:SETIT_037848mg transcript:KQK86864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHHPQQYGDPYRGLVPSPQPDHHLHALQYHHQQQQPAMMSPPQAQPGLMSPPQPQQHHHASLASHFHLLHLVTRLSDAIGSGTRDQNFDALVEELTSQFARCQQLLNSISGTISSKSTTVEGQRQSLDETRQLLDQRKELITKYRSSVEDLLKGDTR >KQK87288 pep chromosome:Setaria_italica_v2.0:IX:6437346:6438266:-1 gene:SETIT_040228mg transcript:KQK87288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARNCHASLPLRLVISCIALLLLLPPLSGAAATAVTHLPWFDGALPFYLETGYVGVEEATGTELFYYFVESERSRRTDLFVEKRYNGTLPQLVYNPYSWTQMASIIFVDSPRLRDVGDISSSLQILTFLRKWFGDHPQYRSNPFYIGGDSHAGKMTPVIAHYMFQKVNF >KQK92893 pep chromosome:Setaria_italica_v2.0:IX:57739473:57741924:1 gene:SETIT_038760mg transcript:KQK92893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLYILRLFLMLQRFHVESKGRRKKLNEMCISAFDKEADLDVLNHPILNFFYYLGNCFISPNPKRLDLILVH >KQK87101 pep chromosome:Setaria_italica_v2.0:IX:5550991:5557684:1 gene:SETIT_033983mg transcript:KQK87101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGLRRGLGILLVPLPNAPSRPPPISLAALLLHPHRLNNGFSRRSFCSFPGGGRAVEQFSDDEYDHEYEDLRVRATSSAAGARIIPLCDWFSPSSSVANIDEWRWKLSMLQRNAEEQEIISRDRRDRRDYDQIANLAKRMGLYSQTYGRVIVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLVQEHLDRALLSLDKSGGNTESGSEAAGKADNVNLDEQHDSLLDRSVMEKILQRKSIRMRNFQRSWQESPEGVKMLEFRKSLPAYKDKERLLAAIARNQVIVISGETGCGKTTQLPQFVLEAEIESGRGAFCNIICTQPRRISAMAVAERVSTERGENLGESVGYKVRLEGMKGKDTHLLFCTSGILLRRLLSDRNLNGVTHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSSYFGGAPTIHIPGFTHPVRAHFLEDILERSGYKLTSSNQLDDYGQDKVWKTQRQLLPRKRKNQITTLVEDALKNSSFETYGSRTRDSLANWNPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWDDISCLKDQLKAHPLLGDPNRVLLLACHGSMATAEQRLIFEKAPPNVRKVVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISRASARQIKSLQVGSIGEFLSAALQPPEPLAVQNAVEFLKMIGALDGNENLTDLGRYLSMLPVDPKLGKMLIMGSVFRCIDPILTVVAGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFSYILKDSGLIDSDANINNSLSHNQSLVRGIICSGLFPGIASVVHRENSMSFKTMDDGQVLLYANSVNAKYQTIPYPWLVFGEKVKVNAVFIRDSTGVSDSILILFGGAVAKGSMAGHLKMLDGYIDFFMDPSLSECYLQLREELDKLIQKKLEDPNFDIHKEGKYILYAAQELTAGDLCEGRFVFGRETSRAKLQSPEEEGKSSLIKDGMNPKSLLQTLLMRAGHTPPKYKTKHLKTNEFRAMVEFKGMQFVGKPKRNKQLAERDAAIEALAWLTQTSGVKPQDEGDDSSPLDLTDSMLKLLTRPRRHSKNNSRRR >KQK90474 pep chromosome:Setaria_italica_v2.0:IX:42951819:42953054:1 gene:SETIT_039521mg transcript:KQK90474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIINWMQNRLHGKTDNRSFDGAAAVSSSREKHLNAEQWPQGGLLSIGTLGNDEPPPAQQEEDLPEFTVEEVKKLQDALARILRRARSKSSARGSGAGEDRPPLDRFLNCPSCLEVDRRVVQTTKHGDGDGHSGDLSPDTKIILTRARDLLDNSSGSGSIKQKSFKFLLKKMLVCNGGFSAPARSLKDPVESRMEKFFRTILGKKMNAGSGNGTASSRKKYLLEDGTKEKRRGGRRRCGCEDEEREESCRWDRTDSEFIVLEI >KQK92518 pep chromosome:Setaria_italica_v2.0:IX:55936595:55937942:-1 gene:SETIT_040046mg transcript:KQK92518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVEDIVIAGAGLAGLATARGLHRKGVRSLVLESSLMLRASGFAFTTWTNAFRALDTLGVGDKIQHRTTQRVIVRHWETSHFSGADADARCGKAVKRGPGRRQQGAHEAFGGEHAGEGEGQCEAGDGEDEQGRGYDGRGRGRDAGDGGLP >KQK92348 pep chromosome:Setaria_italica_v2.0:IX:55050581:55051936:1 gene:SETIT_039043mg transcript:KQK92348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSMVDSVSFNPSGDANNASPWPSSILLAQEAYVVISRNDTTASAKSRADHTVEVTFWVADPPAVSFYTFHCSKPPVSDCEDADLEVQPHVVGAQGRFILLRTRFASGDDEDEYFIYKGDPESPSLESIPLPDDDRLRGVSEFGIVPRGDGGHYLLVALCYTAKYLDYRLHIFSSEDRTWRTKELLNPCPGVHTIIPAKVFMLQDGMLCWVDFVQGLLMCDVLQEPLHAHYIPLPEFLPENRPKGKQYVSGASARRFRDVACVDGMIKFIEMEHRVITEEIIDVPAEKPFNPRDKDVLYDSDLIMLSKHKDVDIKPKILRSVNGWSAMTWTREVGSNCWLKGCAVDVDDILVDHSVRLASYSPWSLKFKNNLAYPTLSTDANDLVYLQSSMILRNPNRLARVVAVDLAEKTLKVKALVGYPFGRRYDPSEQIFHPCALSNYLKRTKGNC >KQK87415 pep chromosome:Setaria_italica_v2.0:IX:7220218:7222473:-1 gene:SETIT_039176mg transcript:KQK87415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PWPRNPPPPRRRRREPCGEGDGDACASIAMWNTHARSASMSVSVTRMAAYADPAPGVPLRRALLYAVLLLGSNLATFLFASSSCAPPPSPVTAAPATGPRTVPIPEHVELPPEFHAFAGPHALPYGRNPNWGTAELRPPAGHPCLAFPDLLAAFMSYRVNGSCPDDELPAQRLLLRGCEPLPRRRCRPAAPPDPAPPLPYPDALWSTPPDRSVHWSAYKCKSFRCLIDRARSPHFDDCKDCFDLAGREHHRWLNATTGGDGKKKKSIPLEFSIDEVLASASPPGSVRIGLDIGGGSGTFAVRMRERGVTVVATTVNLNGPFSAVAAARGVVPLYVSVAARLPFFDNTLDVVHSMHVLSGWMPPAALQFALFDVYRVLRPGGLFWLDHFFCGEAEMAAYVEVVESVGFGKLRWVTRRKLDRGAERKEMYLSALLEKPLKNSWRHPSEEEVTLDQEDGEG >KQK89217 pep chromosome:Setaria_italica_v2.0:IX:22108935:22110029:-1 gene:SETIT_039929mg transcript:KQK89217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDRHRSVSASAIVAGAASGYHELTIKGYSRTKSALPNGKHILSRPFRVAGHTWAIRYFPNGDRPESADHISLYLFLKDPVADGVMVQFEFSFIDQVEKQKPSYIANRTARRFFVPATSNNGVWGYKEFIKSATLEQSGRIKDDCLTVRFDIIVPKELTTEDALVPGAPFVVVPPADWPQHFRGLLLGGKGADVTFLVLGETFAGHRCVLAARSPVFDALLFGPMKEGTATESCIRIDDMLPQVFQSLLHFIYTDSLPEVKGQDEDSATMAQHLLEAADRYDLQRLKLICEDKLCKHIYVTTVATTLALAEQHHCQELKEACFEFLKSRGTLDDVMATNGFQHLAKSSPSALFQLMSKLAKR >KQK90841 pep chromosome:Setaria_italica_v2.0:IX:46109328:46113102:-1 gene:SETIT_035462mg transcript:KQK90841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEKKRRSWFERIRRLFTSSEPKEKPRADKKSKSKRWLPGKLRTQHSFALPAPAPAPAPAAAAADHEIRQAEDEQSKHAMTVALATAAAAEAAVAAAHAAAEVVRLTGQQPAPPPLEQEEHAAVLIQSAYRGYLARRALRALKGLVRLQALIRGQAVRRQTAATLRGLESLIRIQARHRSKAGGPDHLNALDGADDDDDALLLRRSRELYAAAVHEQQQAGSKGWDSSIFSKEEMSAMSRSREEAALKRVRALQYASVQSEKLGIRRPPPLSRDEAADALTQRWSWLEEWVGSQPFDKDVPVAHQSPYNAADGTAKARQALAGLGGDADRLGCSARRSFVRTRRAPARAGDYYYEDAAPCSPAPFPGYMASTASAKAKFRSMSTPKERSAGTDAFSEHCFPFADRMLSPIPSMSPIPSIASDMGFARSTRPPAAQRSPRVKGPMTPARSRSRRSPSHHSFGSEAALHQLQMEHYTPVR >KQK87236 pep chromosome:Setaria_italica_v2.0:IX:6214768:6218457:1 gene:SETIT_036718mg transcript:KQK87236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILRFLITLGVTVCDVQPISCAPSTVSLAPSRVLAPAAATSPSPAAAPSSPPRESRAPPQHAGRRASAPAAEMDRYQRVERPRNESTIEENEIRITAQGLIRNYVSYATSLLQERRIKEIVLKAMGQAISKSVAVAEIIKKRIPGLHQDTNISSVSITDTWEPIEEGLVALEMTRHVSMISITLSPGELDKNTPGYQAPAYVEQPRQQQRVQQAPPPQRQPRRPQGQFQQLEYEDSYARGRGRGRGRGRGRGWGRGGYGGYGGYGNNQGGYNQGGGYYDNQGGYGSYDNQGGYGWWIWLQPRQIWKLPRKWWV >KQK87487 pep chromosome:Setaria_italica_v2.0:IX:7543544:7546057:1 gene:SETIT_034402mg transcript:KQK87487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSADAMTEPAKPVFLTKAERERLALERRQAAVSDQRRTALDLLQSLPRPPPPPPPGGPPPSGSNSAPRESSSSHRDSSDRDRDRDRDRDRRRDDDSRRDRDRDRERDRDDSSRRDRDRDRDRDRDRRDRDRDRDRERERDRDRERGDRGDRERDRQEKMAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNTLYQSPHEARLLFGRGFLAGIDRREQKKAAAAHEKETRAELRRKAGVEDRPEDDVVDKKKAAAAEMYDAFDMRVDRHWSEKALEEMTERDWRIFREDFNISYKGSRIPRPMRKWSESKLGTELLRAIDKAGYEKPSPIQMAAIPLGLQQRDVIGIAETGSGKTAAFVLPMLSYITRLPPISEDNEAEGPYAVVMAPTRELAQQIEEETVKFATYLGIKVVSIVGGQSIEEQGFKIRQGCEIVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNLKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQNVIMVKEQEKMSRLQKILMDLGDKTAIVFCNTKKTADMRAKDLDKAGFRVTTLHGGKSQDQREISLDGFRNRRFNVLVATDVAGRGIDIPDVAHVINYEMPSSIDTYTHRIGRTGRAGKKGVATSFLTLENTDIFFDLKQMLIQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTVFANH >KQK89055 pep chromosome:Setaria_italica_v2.0:IX:20040979:20044960:1 gene:SETIT_036319mg transcript:KQK89055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGVGGNHVFSSWFLEFVPAKSRGTWMIVFSVFWTIGTIFEASLAWVVLTRLSWRWLLAFTALPCFLLLLLFVFAPESPRYLCVQNRISDATLVLERMAKANKVALPPGVLTYHKETQLGDHDPLTSQNGHLPVRENDSTVDNAMSSKSGGIAALRKLFSRKLLRSTLLIWFVWFANSFAYYGLVLLSSQLSDANRRCTSGQKSELHQKNVNLYKDVFITSLAEFPGLVISAIIVDWFGRKATMWILLFGCCAFLGPLAVHQKESLTTALLFGARACGMGSSTVLCLYAPEVYPTSARSTGVGIATAIGKIGGIVCPIIAVGMLRSCHQMEAVVVFELVLGLAGVACILFPVETKGREMK >KQK91222 pep chromosome:Setaria_italica_v2.0:IX:48591692:48595401:-1 gene:SETIT_033996mg transcript:KQK91222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAFSNHHLDTLNKAPGILKGHTDHVSGKSSASQDHIDVTKVPLSSPLRGNQSADELDSSKVDRWQRHEKCAPSGIHITAAGRSNTDDKLTNHESNPKSGGDSKFNSIKNTSNSKKASRKSLLPEVHSVNHMQSPKRAEDSTLRADSNISSLEMGHQKVFENADDQSKKGNENIKCVDGLNGAYAQKRKILVSPASLNLQKEDLVSETGPLDSPFASWLSDASDAEANAVNFGKQQFSLSTSRQRRSRKTSLKHGGLINGIKLPESSSSDKNVKSSLKARMSLKAMVENKCTRTPSPAVQDGKTSFSFQNKDGEDTQGSGNAVNQDCLHEIGNLRTKDQAHDKSVHNSSNSHVVSSSGNVGTKVTDPLKVNDYEEPVVSNSELERVVSDANVKDKEDAKRLQDTSSNVQGETSYSKKVATPERRNAGAKRPRSASIEAEGSAINSGKKVVTESWPAEVIPHENADPASKNGCTMASAAELKTNPSKKALICRVTDTVAKRTRNACAKTDDTQVASSLEFSKVISQENIEANPKKFFDTGNADEQQRNSPKKIPNTRVRNTAAKRSRKSDINTSNEPLVDKTGTVATGSLFDDLFPSDNEDYPKKLSSCASASDCGTLSSKTVSNGRTRNAVAKRKMKTVEDKSGSKCGKVGSVIASVAEAVSSKRTEEISCNSNKITTDQDSDKSNKDVIKDASGLFCQDSGTVDKQGGSYNFNLRSSKRNKALTSDHEKENRLDHSNLNSISNRTSSLQSKFDAKSIEKSTRVLSDSEHQGVKVSESGTLIVSEPALFILSGNREQRRDCRSILRRLKGRVCRDSHHWSYQATHFIAPDPLRRTEKFFAAAAAGRWILKKEYLTSCTEAGKFVDEEPFEWFGSGLNDGETISLDAPRKWRNIRQQMGHGAFYGMQIVVYGQLILPTLDTVKRAVKAGDGTILATSPPYSRFLDSGFDFAVVSASLPRADAWVQEFIRHGIPCVSADYLVDYVCKPGHPLDRHVLFKTNDLANKSLEKLMKNQQEMATDMEQSEDEEDDPEDLSCSACGCKDRGEVMLICGDEDGETGCGIGMHIDCCDPPLDAVPDDDWLCPKCAVPKAKRKPTRGTERKARGSRRR >KQK87275 pep chromosome:Setaria_italica_v2.0:IX:6347809:6348554:1 gene:SETIT_038402mg transcript:KQK87275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIIDLLYPYYKSKLCYPITMPMRIARFHTRCQEGIAGQGELKRDRVLRCYIGQDQRRKCFYDAAIG >KQK89067 pep chromosome:Setaria_italica_v2.0:IX:20176825:20180319:1 gene:SETIT_039950mg transcript:KQK89067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVHPGRSSQSTHVGHVQKPIHSEQTHGQLGRLQNEPANPSSHREESHVEPLDETISRPSKKKSRFVAGPDSYPARKVHIEHHNQAVYASEAQRVHSKSSVHTDKVDDRFTNGTVAVHSKQKDGNVFVPSIIEQERTKSLNQAVDDQQPAGEIPNNMVHADKVQVDFASEAGNGKKSAKDSRGNTKRKIKILTNSSNALPHLRRSKRLVKESHNLVDVDPIEKIDTSPNQNLSEAPEIEKTLADSDPSSPAQDRFPHGGSNERDGVDATTPPALNHGTQQDDQFPRTQMYSPETRWALPVASSNSWHDCEILQESFSGVGQLDRGYAENLSASCSRLVALLPSPAATALPTTTPPSSHLPLNCSTPTLLQQQPPSPLYSQDAPCGDVLPGPISNSSKKRRGRAPEKLMEPHKEADRPVSWNVHPPCPKVATTLSLLIKQNYPGIYVSDDTSGNGQSCEHVWSPGHEEECQKIFNRKAVRQLVNLFCHERQRIRQVLAAKKDKKSSPAPRARGEMELEEDDVREDSDKEQRDESMVVLEHEDPLKWKPFSYQQRKNRYSGKHTCNAAGSQKITLHEQVMDTRDQPNKEAQCTQLGSHSVQRQVGSGKRGSYCGAIGVSKKSQHESSSKSSPVCLSKQGQQPMFTKEQVQEMINQALQGLNEAWEKKFLSLEQKMPSMSSSHIVPNVSTLQLSI >KQK91164 pep chromosome:Setaria_italica_v2.0:IX:48233727:48235336:1 gene:SETIT_039921mg transcript:KQK91164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLKRGTLLTTIGMDPNNCIFPIAMAVVEVESLVSWKWFLETLKEDLKIDNTFPWTIMTDKQKGLIPEHRFCVRHLYSNFQEKFKGEILKISCGHKMKHLNPDARGWLLKMPPNTWVRTFFSKFPKCDILQNNNYQEKNEFAKLCYALPLGNGVFQVLIKDYQHIVDINAKSCDCRRHQLVGIPCSHAISCLRHERISPESVLPSCYSIEAFSNAYSCSIWPCKDRTEWQNVHGPEVLPPVYEKKPHEVRGPNGLKLSKHGVNMHCRHCSEARHNTATCTLKKMDFNSEDAKKLVANTKAQLQTEAAQVGTPQEQPQDLPINQEVRVQDDVGSQQVTQASTATLSQLFELQSFSFPNILFVKKIGCPLPESTFILSNQPIPRPGPLTTITKAGRAAATNKRKKFTSKKRQQGI >KQK86404 pep chromosome:Setaria_italica_v2.0:IX:1676157:1676366:-1 gene:SETIT_040431mg transcript:KQK86404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDKQVLNVSVRFTFLFMSSLIVMSVWFGDTPVLMLNKV >KQK87580 pep chromosome:Setaria_italica_v2.0:IX:8057382:8059842:1 gene:SETIT_037754mg transcript:KQK87580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIRRFCCDDLLRFASVNLDHLTETFNMSFYMTYLARWPDYFHAAVNPGGRVMGYIMGKVEGQGESWHGHVTAVSVASEFRRQKLAKKLMNLLEEISDKMDKAYFVDLFVRASNMPAIRMYEKLGYVVYRRVLRYYSGEEDGLDMRKALSQDVEKKSIIPLKRPITPDELEYD >KQK87927 pep chromosome:Setaria_italica_v2.0:IX:10225070:10228019:-1 gene:SETIT_036953mg transcript:KQK87927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKGETKTDGVRMAMGPLDCPVCYEPLEPPIYQCGVGHLVCKSCCKRLKKCPLCTRTAFERCFGMERVVETVEVPCCFAKNGCTKKITYFNKKKHEKACRYGPCFCPEPGCGFTGPAVALANHLITRHKWPFMKFKYFEQFSLSLQRGPRVLQAPDSKIFLMNLKPVEPLGHAISLVCVQPEAMDSRFGCSVAFSCFTGHHQLSTLDAVRSSSLSDGMPEDFFCIVPKAGGTEIFLRTTIDNELVHDEEDELEDEDEDEDDESYNEDEDDEEDDSDDE >KQK89780 pep chromosome:Setaria_italica_v2.0:IX:35860208:35860718:1 gene:SETIT_040777mg transcript:KQK89780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTRVSIPTKLLPVHFILPVHFVLLPVAALHYSSLHTHYMQLQLYRILMIYFWLVEEWVTR >KQK88126 pep chromosome:Setaria_italica_v2.0:IX:11723548:11728356:1 gene:SETIT_034210mg transcript:KQK88126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPASSSPPPPSAADGDGDGVGGGAARCSSPTPAPRRRTSPNRSGGSARKSPGSRDFGGGSVLKSVNKSTLQFKKSRNRRSGSPINWTPRKKTESYMKRKIKHLQETDGMTASLHETLGNANPHYTRMAREKIAAREAARKATEARKAAMVEASWCRILRAARIQNKNAEEVMEKAMLRATEAFEEARTMGVMMYDTPDCPHQQYEVESSSHTGGRSTHKVTASFQTAFQVDMEVSAAVKKAFVQLANSSDSAKREEFKELLWKISQNPVLTEADVNSEDKTQLGDCSDEGTSLKLNKENLTGNSAPSDFNTTKVQESIDVVSIMLERLKALHEDELASLAVIVATSGLNAALQSDRGKYHETDPANNISAGSLRSQSRRYSTAASFVDVQRPKKEVASELPSLDKFLVKHLSKLERDVQEAREASRKVTSVKSVAHDAHSQFSSSNAKAAESTSDLGSILVKHVSKLEKEVLEAKKNNQSFHLVKGSCKDVKASDVQSRNRESEFNRTQSDSEAENKSDLKGSCDSKRSDEDGNQIQDFSDYVQEDKENRSLYSHQLPPSGAKGRQGGRRLTRIEAAKLEALKSFCTLDGNALDAGLDKIFVKPIHRLEKEKREARERQTNVYKHPEKHAQSTTVTEGLDDILVKHVSRLEREMIDYKKRNALVEGWTNISHDQRKNGNSAKSSESLDQVLVKHVSRLEREKMEFEKRNALGGGTNMQNDKQRPCNSGTALDSLDQILVKHVSGLEKEKIEHEKERSMTLLKKSHAQCTDGAAGSLADIFVKRPTKLEQAKLASAAEEKLASGLNPVEERRRAREKELLDVWGGMGLGNSMKPHVSKIERDKAAYRIAEAEQKQISAAGEP >KQK88735 pep chromosome:Setaria_italica_v2.0:IX:16691447:16694972:1 gene:SETIT_037480mg transcript:KQK88735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPFAKKPTPREVMRSSKRDLTNATRGIERDIASLQQEEKKLVAEIKRTAKTGNEAATKILARQLIRLRQQISNLQGNRAQIRGIATHTQAMHANTSVATGLQSASKAMGALNKQMAPAKQTKIMQEFQKQSAQMDMMNEMMSDSIDDVLDDDQAEEETEELANQVLDEIGVDIASQLSSAPKGKIAGKKVQVDDSSELEELEKRLAALKNP >KQK87860 pep chromosome:Setaria_italica_v2.0:IX:9812690:9815078:1 gene:SETIT_037907mg transcript:KQK87860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSAGLRQPPVAPAASLRGRRSVRPPLRAVSPSTRSVSRAVKVRASAIYDLQRSKSNLESLFCYDKSVPEEDIGKPTGLNLEKKNVGENPPCSSCEAKGAVLCATCAGTGLYVDSILESQGIIVKVRCLGCGGTGNIMCSKCGGRGHT >KQK92271 pep chromosome:Setaria_italica_v2.0:IX:54676705:54678608:1 gene:SETIT_036976mg transcript:KQK92271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCITKRKGVAGKHVRHEHNTSFCRSIAQTRRPCHACSRLWSRARMLSWTMRDTGEWPGENKNRMPPVWPICAAPPSPLSSLSCNIFSISVSPSSTYTDIHAPREADTCKLKHRASMIPRAPSLFHLEEGVGASTSTPMAASSAGELVGLRLIIQPSPRKQQLPTVLRRSAVRVPPAATGASSKCHENGRVFVGLEFLKRCFCCHKNLDATMDVFVYKGEQAFCSAECRCQHIAKEERREIEMLIRKRRDAFHRRHAAAAPKLQGANRLMRLQTAAR >KQK88202 pep chromosome:Setaria_italica_v2.0:IX:12305121:12306217:1 gene:SETIT_039282mg transcript:KQK88202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPRNHALAEAMDARRWDAEAPLGRTVLVAHAAFLHAGFVPYGNPAAHRFPGRTMVWVVSSPQPCNAADTAVLRLCAHGDFLILYGYLASGGSRPGTRWARVIARGLSGDLGAVACTLANDELGARLRNTLAGALARRLFADICAENAALLPSRLTLLPADLQAAILGKLAGAILVM >KQK89854 pep chromosome:Setaria_italica_v2.0:IX:36487293:36488569:1 gene:SETIT_036586mg transcript:KQK89854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAARMSAPALMVTLAVLALVAGGRAQLQYGFYKGKCNASDVEAVVQSLVKARFAREAAIVAYLLRLQFHECAVNGCDGSLLIDGPGTEKTAPPNLSVKGYDLIATIKAELEKKCPGVVSCSDIEILATRDAVALAGGPPYAVRTGRRDRRQSRAYDVKLPGADYTAAQAVAYYTRLGMNAYDTVVLLGAHTVGATHCSAIKNSRLYGYGGKPGATDPGMDPATASVYKRYVCPNVSSSDGNTVFLDDQWSAVKVDNHYYRNLQLRRGVLSVDQNLYNDGSTRWIVDQLASNAGLFQSQFARVLVKLSEVNVLTGTQGEIRKVCSKFN >KQK90265 pep chromosome:Setaria_italica_v2.0:IX:41075940:41079179:1 gene:SETIT_035915mg transcript:KQK90265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDVVVSDPAAAGSSSSAASFAETRVICRVCQKQFAQYTCPRCNSRYCSLPCYKGHSLQCTESFMRENVMDELKQMQPEDESKKKMLDILKRLHLEEEMESDGEDEPMLSEELIQKVMSGEEIKLEDLSDDEIKRFRQALASGELSKMIEPWTPWWKTPSARSIPLSPDGIQLIRQVNTKGTATSDPMTDQEPSINEIPEGPESPLPSLKQLTRAEPSPLLAVHLVDILYSYCFTLRLYNGDWHSDPLGASTVALSMSKVMGEDAKPETVPEALTACIEETCSPAYRHTGGFRLAIGLVEDIITILSLGHNALVCALSDFHQLIEAGKRMLKAEKVGKTQSTQSSSKLRGAARKLFFMTCWVHEQPGEAWPPLARIVEVQKASLEELDTGNCKADKKSKQQSKVLIEEL >KQK90695 pep chromosome:Setaria_italica_v2.0:IX:44953367:44957118:-1 gene:SETIT_037413mg transcript:KQK90695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPASWEQGGDEYDYLFKVVLIGDSGVGKSNLLSRFTKNTFALDSKSTIGVEFATRTLQVENKTIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTKVMTFENVKRWLKELRDHADSNIVVMLIGNKIDLRHLRSVAVEDAASFAESEGLFFIETSALDATNVEKAFQTVLAEVYRVISKKPLSSEESGSGSGNIRGGQSIQVSATNSSTLTSRCCSS >KQK92218 pep chromosome:Setaria_italica_v2.0:IX:54436353:54439823:-1 gene:SETIT_035809mg transcript:KQK92218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGGSDLYLVVGRTGPCDSTARGRRHWRVSAAGRAVGSPENGVPKETGISVVAAAPCPATRPAAPPDSPHGRAPPPHRDSAACLDHARAARGANEPGLPGGLFVALRGCVCRPSSGPNEVHNLHGRSQINSTNRAALSSRPRNVRAADIEPNANANAHAPHRSAITPTGDMNPPAAAASTGGADRAAAAPEYAPYPRLSPEDVAPPPPPPYHAATATAAPPPYVGNPYVSSPAGGAATAPKNTMDSVKDVLGKMGKRFGEAARKTENITGNFWQHLKTGPSITDAAMGRISQVTKVIAEGGYEKIFHQTFEVAPGEKLKKPYACYLSTSAGPVMGVLYLSNVKLAFCSDNPLAYQVGDKTEWSYYKVVIPLAQLRSVNSSTSRTNASEKYIQVVSVDNHEFWFMGFVYYDSAVKNLQEALQEAQNLRA >KQK91080 pep chromosome:Setaria_italica_v2.0:IX:47667211:47667673:-1 gene:SETIT_040626mg transcript:KQK91080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHGSCKDAVSSALRNLGLKNAYTTRSLHRVKIYLERFSGILPPP >KQK92863 pep chromosome:Setaria_italica_v2.0:IX:57562668:57563973:1 gene:SETIT_038257mg transcript:KQK92863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRGKTQMKRIENPTSRQVTFSKRRNGLLKKAFELSVLCDAEVALVVFSPRGKLYEFANGRRVANGEMRPEKMANVCYTAKDLQQHYF >KQK89238 pep chromosome:Setaria_italica_v2.0:IX:22394871:22396118:1 gene:SETIT_038632mg transcript:KQK89238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSSALPALLLVAALIAGAAVADDDDDDDHHHHDAGGKSICDEADCGRGTCIERLGWIPWRSSYKCDCDPGWNRAIKMVASSPCNVPECSFNSSCLNLALLLPRGIPFSDPCVAVNCGSGECKKDEGFHYHCECEKGYANMLNNTKFPCIDDSCLMGMHCPALDDPAPPPPAPKVASSSLAPPDMGFRNCKRRKEK >KQK88284 pep chromosome:Setaria_italica_v2.0:IX:12887199:12889415:1 gene:SETIT_039748mg transcript:KQK88284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDVPLVVQGVISATEPSSNLAHFLLPRAERGRLPAPPSPPCNVRPIRHGELQVEFKGWPVAPDLWKVWVDKLRARHEPLWRDAGILHAILATTCWVGRDDGTLLQLAAFWSGDTNTFVFPWGEATVTLEDVAVLAGLPLRGEPVCKKLYGSARGDVDALEDVRSSLCQSSWNAKPSHAAWAKHFSELPPEDEFEVLEHEGFLAMWLSLFVLPVPPSDEVRPEVLPVAARLARGGTVALAPAALASIYADLSALRRHVVSSGERQPFAAWAPLDILQLWVWERFPELRPEAAARSTPPRDAPRAVRRWHDAHKVFDPRYVHAVLMSPAKFEWAPYGSSGFAPPPGSYGRRDIARRTELLLFAHCLRACEMVGMNSIEQYRPHRVARQLGFDQDVPGAVARANSNQFSAWATYKIGLVKFSFIVPSNEPAVTAEYEQWWEPCSRACATAVAEAARMKVSILTQIAGDVNGMMPGWVRNAAKRSTLRRGKKVSQGSSGAAATLMSAVDEPSASVAEKERSKSLQQQSQEEAPQPVTMPDEQNSISEQAQVLAHHLVKQTQFGATTSSLLKPSEERRPVSTGNNEPAAAPEDLTLQQEPADGVAAVRTNAGLLPGPTEETRTRAVTVEMGNGEISSSDPVLEVDRVQKYCVSDLAAALKNITLQQEPADPLAAVTTDAGLLQEPTEETRTRAVTVEM >KQK89879 pep chromosome:Setaria_italica_v2.0:IX:36659889:36662586:1 gene:SETIT_037507mg transcript:KQK89879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTGSAPVSDVESAPGEGEKGEKPPPRFELELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLKYWQRPEYIKYIMYPHCLFFLELLQNANFRNAMAHPASKELAHRQQYFFWKNYRNNRMKHILPRPPPEPAPAAPSQGPAVMPLPPSVPTPVAPPVPAPASSMPAVATGGASAMSPMQFVGTPGTNMPKTDMRNAMGNRKRKMG >KQK91245 pep chromosome:Setaria_italica_v2.0:IX:48750330:48752042:-1 gene:SETIT_039250mg transcript:KQK91245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLLPSVPSPTPLPSQSPERKKGERPGDKPQIPEIAAGSSTAAAATVTPPRRERKAEPVAGEGRGRTKGGNRLPACRGGLVGAASGLRILLLCSPAHPHLPRLASHGFRLASPPLHAPIRRHLPGERGAIQLRFRRRGCLPCCLRAPFCLGPASPCGRWTPSRNCTGVLASWGRSKQQPPRGRPGARKRWPSRWSAMLWVARLSGFFSAAMVMVVLSPSLQSFPPAEAIRSSQFDGSVRFPGQIAGGARGIAFRRAPSFRNAADCGGGGKGNGTAANVCDPSLVHIAITLDEEYLRGSVAAVHSVVQHARCPESVFFHFLVSDPGLGDLVRAVFPQLRFKVYYFDPDRVRGLISTSVRQALEQPLNYARNYLADLLEPCVRRVIYLDSDLVLVDDVAKLWRTDLGGRTVGAPEYCHANFTKYFTGRFWSDQRFAGTFAGRRPCYFNTGVMVLDLERWRQAGYTQRIERWMEIQKSPPGRIYELGSLPPFLLVFAGHVAPIEHRWNQHGLGGDNVLGSCRDLHPGPVSLLHWSGSGKPWARLGAGRPCPLDALWAPFDLYGPAGAGAAEESR >KQK86690 pep chromosome:Setaria_italica_v2.0:IX:3315193:3317388:1 gene:SETIT_037939mg transcript:KQK86690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSHCESHCAGPFRFITCLPKSKDASRDAASAPAPRLAAVAEEEVVPPVQKIEVPVAGKDGNEEEAEEQEDGEKAAVATAAAPTKSCLKKANCGDGKCAVKGNVQWLDLLGKDLTEVKEYEPSERGDFLDDGDGISACVCVIQ >KQK89781 pep chromosome:Setaria_italica_v2.0:IX:35879074:35882980:1 gene:SETIT_035275mg transcript:KQK89781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVHCSTSFPFDKRRRHARTQRQARRRGAAPSTSTISSSSSSSSPPPLPLFHPLPPGHRSPSLPRQSIGPSIDRARGTGSQSGAVPPVRYFDSIGLVDCLVSVGAMAATEASADKNAVFRKLRAKSDNKMCFDCYAKNPTWASVTYGVFLCIDCSAVHRSLGVHVSFVRSTNLDSWTPEQLKMMVYGGNHRAQAFFKQHGWTDGGKIEAKYTSRAADLYRQLLAKEVAKSSTEDGNNSWPSSPVSAPQGPNQTAAFPDLKPTEASKENASEKTEPVRSPRAPTHSFKKPIGAKKPGNKTGGLGARKLTSKPNESLYEQKPEEPAPVLPSVTESTTTRSKSYTSRFEYVENAPASRTGSSSGDNQMSGHVAPPKSSNFFAEFGMDSGYNKKSSSSSKVQVEESSEAREKFSNAKSISSSQFFGDQASFEKEAQVSLQKFSGSSSISSADLFGHPANNSSVDLSASDLINRISFQATQDLSSLKNMAGETGKKLTSMASNIITDLQDRIL >KQK86726 pep chromosome:Setaria_italica_v2.0:IX:3467371:3472108:1 gene:SETIT_034319mg transcript:KQK86726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPDHLLLIFFSVLLALLPETTQLQPSQVWTLIKIQQLLNDPPMLSHWRHSTDFCGGAGGFMGPTGSAAVLCYGDTVTQLHIAGAPGSPPLPRNFSVGALVTTLSRLPDLKVLTLSSVGLWGPLPGKLGRLAALEIVNVSGNYLYGDIPRGMSRLAGLQTLVLDDNILGGELPAWIGTLPSLTVLSLRNNTFRGAVPESIGSMPSLRSLVLASNNLSGNLPDMSRLTNLQAIDVSGNSLGPAFPKLGRKVVTVVLSRNRFGGGLPEALGSFYLLERLDVSWNRFVGPFTPALLSLPSIRYLSIAGNRFTGTLSDKALCGDNLRFVDLSLNLLMGSVPACLRSPDRKPDTVVLVSTNCLDSSDGSQRPSPFCQNQALAVGIMPGKERRNAASKAGFVAGIVMATLVAVSVVGFIVFFTVRKAATKGSKARTLATSEEESSSTGYPSKLLADARYISQTVKLGALGIPSYRSFSLVELEAATNNFENSYLLGQDSLGEMYRGRLGNGTPVTIRTLKIKRSQTTQSLNRHIDTISRLRHQNLVSALGHCFEYDLDDSTVTQLYIVFEYVQNGNLRSRISQGTEGCKLTWSQRISAAIGVAKGIQFLHGGIIPGLVGNDLRITNILLDQNHVAKIGNYNIPILAEAMKSEKGGAGNKFQTDSPMYSDKTDIFDFGVILLEVVSGKTITSMYEVDILKELLAWAIADEDRVRRRSFADPAVSKGCSDESLRTVMEICQRCLAKEAAQRPSVEDVLWNLQFAAQVQDDWEVEAWSSGGGSPVSSSSRVTRPSRLNLSR >KQK87975 pep chromosome:Setaria_italica_v2.0:IX:10559402:10566099:1 gene:SETIT_035798mg transcript:KQK87975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKAGGGAADEEEFRAEVEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVQWLPDRAEPPGKDHSVQKMILGTHTSDNEPNYLMLAQVQLPLDDAEADARHYDDDHADIGGFGAASGKVQIVQQINHDGEVNRARYMPQNSFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLKGHNSEGYGLSWSIFKEGHLLSGSDDAQICLWDIKANSKNKSLDALQIFKHHDGVVEDVAWHLRHEYLFGSVGDDHHLLIWDLRSPAPTKPVQSVVAHQGEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKIDKSLHTFDCHKEEVFQVGWSPKNETILASCCLGRRLMVWDLSRIDQEQTPEDAEDGPPELMFIHGGHTSKISDFSWNPCEDWVIASVAEDNILQIWQMAENIYHDEDDLPISDEPPKTS >KQK87156 pep chromosome:Setaria_italica_v2.0:IX:5818927:5820084:-1 gene:SETIT_040175mg transcript:KQK87156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVKATGDEEGERSSKRRRTEESPPQQQHLYLILDDWKLGYSIRKIDVSSGDPVDLLRSYLTSSPRSGCRLPSSASRRVASCPCSSPPRWALGSWPCTPRKIGATPTAAVPSSTSTRRASTSSLGTRTLTSSCPSTSPSATSSWLSGPTPSSCSTMDDPSFQLESLSWRKLPDAPFDTGEVMSYATLHDKQTITIFASVGLITEDATFSFQTAADGRSPVWRHNGKWTLPFHGPGYFVPSLNAWVGLSMYSLETGHICACDLVSASSGHGRCPSWKFSKEKLFSDDPTETHVGATLVYTGQGSRFCLLECVIIYYKYRAKPYNLKEKDVDPQAFRYLYRVTTFSLKYDENGDLTTGGSRRVRYYEAPKGVVTRFVCENPVAFWM >KQK91410 pep chromosome:Setaria_italica_v2.0:IX:49647170:49648042:-1 gene:SETIT_040665mg transcript:KQK91410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDKENSSSIHGLLNLTLSGTAQRVRWASPSSGPQSVRSSSSQTSSASTRLQTILHSKLL >KQK88104 pep chromosome:Setaria_italica_v2.0:IX:11516756:11518292:1 gene:SETIT_035788mg transcript:KQK88104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPKRYVIVLLTFICTNVCYIERVGFSIAYTVAADAISVNQANKGMILSMFYYGYVLSQIPGGWAAQRIGGRRVLLLSFILWSLICGLMPLDPNRVTILVLSRLFVGVAQGFIFPAIHTVLAQWVPPQERSRSVSLTTSGMYLGAACGMLFFPSLVKNMGPQSVFFVEAVLGVVWSVIWLKFSSDPPRTDLPKVSMPKVASRDMIKAQAGGVVAPRTVKIPWRRIIFSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLRLRLQDMGSSKMLPYFNMFIFSNIGGVVADHLITRRILSVTKTRKLLNTIGFVVSAFALMALPFFSTPSGTVTCSAISLGFLALGRAGFAVNHMDVAPKFAGIVMGVSNTAGTLAGIVGVGLTGNILEGAKASNKDLTNTETWKTVFFVPAYLCIFSSVIFLAFSTGEKVFE >KQK88899 pep chromosome:Setaria_italica_v2.0:IX:18325564:18328668:1 gene:SETIT_037286mg transcript:KQK88899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMAYSAVAHFLVQWTDCKLAGALGLLKIMLYKVYADGSSAPPDWEREASIREFYGVIFPSLLQLPSGITELDERKQRRLCLKKFRSRDEELSEVDTERELECGICLEVSRKVVLPDCAHTLCMRCFEDWNAKSKSCPFCRACLEEVKPGSLWVFTDDSDVVDMDTLTRENIRRLFMYINKLPLVVLHVADLDIYEYRIK >KQK88900 pep chromosome:Setaria_italica_v2.0:IX:18325564:18328668:1 gene:SETIT_037286mg transcript:KQK88900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFQDSVKALEADIEHANALASEFLRDYDGSVIQMRMAYSAVAHFLVQWTDCKLAGALGLLKIMLYKVYADGSSAPPDWEREASIREFYGVIFPSLLQLPSGITELDERKQRRLCLKKFRSRDEELSEVDTERELECGICLEVSRKVVLPDCAHTLCMRCFEDWNAKSKSCPFCRACLEEVKPGSLWVFTDDSDVVDMDTLTRENIRRLFMYINKLPLVVLHVADLDIYEYRIK >KQK93100 pep chromosome:Setaria_italica_v2.0:IX:58783032:58786775:-1 gene:SETIT_036522mg transcript:KQK93100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQRAATALLLLLPLLAAAGTSTLPFIVLHGIGDQCANHGVAHFTKLLAEWSGSDGHCIEIGSGTWDSWVMPLQQQADIICNKVKEMEQLKSGYNIVGLSQGNLIGRAVVEYCDDGPPVKNFISLGGPHAGTASVPLCGSGIFCIIVDALIKLEIYSDYVQAHLAPSGYLKIPTDMADYLKSCRFLPKLNNEIPDGRNATYKERFSSLENLVLIMFENDAVLIPRETAWFGYYPDGAFDPILPPQKTKLYEEDWIGLKTLDEAGRVKFVSVAGGHLGISKGDMKKYIVPYLVDRSSSEKTRSVSDLLGAAWHSTREALGLTEGDDEMLYSRAAL >KQK87861 pep chromosome:Setaria_italica_v2.0:IX:9816080:9819828:1 gene:SETIT_039412mg transcript:KQK87861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGFKREDIRVLVDNHGHLRTRGERQIAGTRWSRFQKDFQLPDNCNVDGIRAKFESETLTITLPKKTASPPAAAPVAAPSQRIPPPALPELPGRPAVPAAPLAPAASQRQPAERRPSLPRKPAAPELPARLPSVPTPEPEPSLEAVQRAKEEEEKKRMEMETMGKMEEDRKAAQKKEQEDEAAAMGEMAMARQPRPASASRGLLVNVAVAVVVLLGITAYVWHTLRNAAGGAGDHGHGHGHLGAGSYGDEITQLTDKLFMSCVPCSINERMCHANQGSGEMSYGCRCHLRVRGERPVEAGSTKWIRFNKDLKLPDNCDIDGMRAKLEDKKLTIKLPKKNRPSPPGSSAPEPAPAAQKEEKSRPSPPDSSASEPAPAVQEEEGKGRPSPPGGSASAPEPAPTMQEEKKSPPTPPDGSASQPAPAVQEEEKSRPSPPGGSAPEQAPPPTQEEKNSLPSPPDASASEPAPAVQEEEESRPSLPNGFAPDQAPGTQEEKKSRPSPPDGSVREPAPKMQEEDEDENEDEEETMVEIEMADGRRPIHWILVAVAAVSFVGITAYVVWRKLRSGCAAGAGDHGPGELAGAGSYIDE >KQK92850 pep chromosome:Setaria_italica_v2.0:IX:57516779:57517129:-1 gene:SETIT_040589mg transcript:KQK92850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKQETDQRCRHHQDSPNLDQRVFYTIS >KQK89930 pep chromosome:Setaria_italica_v2.0:IX:37426878:37428772:1 gene:SETIT_040140mg transcript:KQK89930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYILNSLRRSRNQYTDLIDMLNRAWAHFCQHHSGEFKEQLHIHSEFPVITLRGPRGTVGWVDLWRGIVLRDVLEESPELRDMPLPLPAKGNWSKFRNGCPYYSRDIVVNQSRDTSYQVCRDGELEITQPTMGHSTSDPQSYYEWLRMQDPQSYSFIPGSWKATIWSMPIPVTSWNDWQCRCSVHSEDIDLPADITMHYKLLHKGRAEMEMVFAVDVRVGTLQGLVKLDAKRRLGFMRCYLASGISKHLNTSGNLHCSFPCCDDCSGCHLCHAI >KQK91631 pep chromosome:Setaria_italica_v2.0:IX:50934242:50934877:1 gene:SETIT_039911mg transcript:KQK91631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHYPPPPPLHTSAPPTPGNGNRETGHVAVHPPPPPPTALARGLPVSQQQLGGEHDLDPNALLVGATLITMLAYLLGTFIPGGYWQQDWSDDGKRVLYRAGDPIMRDLHRPRYCVFRIASWVGVASSMVMTLSLLVRMAPDSRHVRWSFAVSYSSLLLTFAVSQTKTHLSVDIIVWLAVLVVSWLITSTGRIRGANRARIMKLFCCSSGDS >KQK88949 pep chromosome:Setaria_italica_v2.0:IX:18910430:18911296:-1 gene:SETIT_039294mg transcript:KQK88949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTKSLILLCAVLAACLAIAAAGWSPGTATFYGGADGSGTMGGACGYDNLYNAGYGVNNAALSTTLFNDGASCGQCYKITCDRSRPGGRYCKPGNSITVTATNLCPPNYALPNGGWCGPGRPHFDMSQPAWEHIGVYQAGIVPVLYQQVKCSRSGGVRFSIAGSDYFLLVNIQNLAGSGSVAAALVKGDKTGWIHMSRNWGANWQALSGLVGQRLSFAVTSTGGQYIQFLNVVPSWWQFGMAFSTNLNFVH >KQK93078 pep chromosome:Setaria_italica_v2.0:IX:58653725:58653799:1 gene:SETIT_039096mg transcript:KQK93078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTFILRKCHQPINATLHNVYSF >KQK91261 pep chromosome:Setaria_italica_v2.0:IX:48835688:48840318:-1 gene:SETIT_034677mg transcript:KQK91261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVAAPIRDLLTSFSPSADFLALSSGDGRIKVWDAVRGRLQTEFADIPAVEVGAVAETKRGHLALDYTCMKWVQLSSKKKRKAGSSLLVLGTGSGDVLALDVAAGQWKWKVSDCHPGGVTAVAYSKHGRSVYTAGADGMVCRIDASDGSVLGKFRSSSKAISALAVSSDGNILATAAGQLRTFDTSGNKKIQKFSGHPVAVRSMVFSNDSQYVLSSGIGERYIAIWKLGSDKTQSSNCILSMEHPAIFVDCKCSDEGEIHVLAISEIGVCYFWSASNIDDLRNKKPTKISVSESSLSRAQAFSIFAAKLQGVDGPTSAHVLLAYGSVVKPSFEKLLVCYGTDINLGISQDGVLLPNIQTTMTKKDQTVKKQETVTALDRANAEDAILPLPKLHTQEKKRKHGVTKPSGDIEPAIHSDITTARSIQKRVPVQRIEDDGICIEDMMRECGVLDTGVDQSIEGHPGIPTNIFSEFFGDGSIKADANLPSKKIRVHLRSLKPEDACKLLENLVSAWKMRSGSTKLVLRWIYCLLVVHGRFIHSEKSTKLISNLEKMCSERYSATEDLLKLSGRLRLIKAQVDKVANNASEQPSEEIQDAAAAIQSEEEEEEEEVDEMVFGQDSDSSQNSDEDAE >KQK91431 pep chromosome:Setaria_italica_v2.0:IX:49769324:49771090:-1 gene:SETIT_036778mg transcript:KQK91431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRHSKNNNDLAFFTYEEKRKLGYGTQRERLGKDSIKPFDACCLCLKPLIDPHCCPKGHAFCKECILECLLAQKKDIKRKLAAHEAQKKQEKEEEEEKLILQKAKELDAFDQQNHGAVPQYHDRSGSQDKNGFHGANSVKVTSFEEEALRNMKAFWLPSATPEATVKVDAPSTDTICPEGQEKLKLKSLFPISFTEENGDQKRKKSVEKSYMCPSCMSTLTNTMTLVAISTCGHVFCKKCSDKFLLKDKVCLECNKPFKERNLVTLEKGGTGFAAHDERLEAREFKHLGSGSGLGLVKPAPKA >KQK89692 pep chromosome:Setaria_italica_v2.0:IX:35091978:35095438:-1 gene:SETIT_039265mg transcript:KQK89692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDEPLDFEKEEGPLLPAPCPAKRKKVIGLDDLLLDYFETGKDKLKVKAARSRHAPRGYGSDDENTNVRENEITFCKIFEDCEEKIIAKELDARDDVPPWGQQIFDCQKAPSNLCSMGVENCQLLQSFCASEHPDFDLEIQQGEGFLEGMLMDGWLLKLVHIGGSVEDSITSWTLTKLLYSSDKKLQASATDFWDSILSLDEDNKLLVNLWYFPSYSVLKCAMLSYGYLFETPGTKASTSESATAGLFRTNSSCVGPPHNIIAWLRVVSACCKIRKVRSIFSPSEAEQLLVIVISLLLDRGLEGLLLILGDCLNSCISYFNTSEWESSCVVVAESITQRVSIDLNCLRIVDCITAITRTNKHSKFLRSQLALQLLKINFGLKVGNVEKMLKLVTSINVKEKELLMDNLLFSSDAFRDKTMIVDTWRNYLCNCSTQIGFRNWSLYAPK >KQK92749 pep chromosome:Setaria_italica_v2.0:IX:57043795:57047762:-1 gene:SETIT_036213mg transcript:KQK92749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFGCFAPEADEGDGDHKPSKPDDSSGADARRKVAPDVANGYAHSFTFKDLLVATGYFNEANFIGEGGFGKVYKGKINGQMVAVKQLAQDGVQGRNEFLVEVLMLTVLNHPNLVSLVGFCAQGDERLLVYEYMPFGSLESHLFDVPLGKKPLDWNTRVRIAVGVAEGLSYLHNVADPPVIYRDMKAANILLGEDFSPKLSDFGLAKVGPVGDRTHVSTRVMGTYGYCAPDYVVSGKLTMKSDIYSFGVLLLELITGRRIYDASRPKPEQSLLTWSRPFLHDKRKFYRLADPALLGCYPSSALNQLVVISIMCLQDQPHVRPIIADVVIGLNHVASQPYAPERPPVAMSSPANSGSPQFVGTPSRRRGGRRMAQYA >KQK91161 pep chromosome:Setaria_italica_v2.0:IX:48213085:48231496:-1 gene:SETIT_034007mg transcript:KQK91161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHLAPSSGSLHRLLASRHYPPTSQAPPPRPLLLPKPLSAAVQLPRRGRRGVVVAAAAAASAPSPSSPGTEVAEGPAWGKVSAVLFDMDGVLCNSEEPSRQAGVDVFAEMGVEVTVDDFVPFMGTGEANFLGGVARVKGVKDFNPESAKKRFFEIYLDKYAKLNSGIGFPGALELIMECKNAGLKVAVASSADRIKVDANLAAAGLPVSLFDAIVSADAFEKLKPAPDIFLAASKNLGVDTSECIVIEDALAGVQAAKAAEMRCIAVTTTLEEDALQEGSPSLIRKNIGDVSINDILYGGSNARHNEGAESSGNISSIGNASPENLNGATDAGVSGTQSSPTSKTEGLLGSRREILRYGSLGIAVSCVFVAVRNWKAMQFASPKGLLNFFTGGSSSIFVNNEGESLSSRAQQIKKYLADFESGGSATYVPEFPRKLDWLNTAPLQFGRDLKGKVVLLDFWTYCCINCMHVLPDLEFVEKKYKDKPFTVVGVHSAKFDNEKDLDAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVLIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEERKLLQNDPLPLALEKDKDSRLLTSPLKFPGKLALDVENNRLFISDSNHNRIVVTNLEGQFICQVGSSEEGLLDGSFDAALFNRPQGLAYNSKKNVLYVADTENHALREINFVDETVRTLAGNGTKGSDYKGGGQGTDQVLNSPWDVCYDPSQETVYIAMAGQHQIWKHNIRDGVTKVLSGDGYEKNLNGSSATSTSFAQPSGISLAPELQELFVADSESSSIRAVNLKTGGSRLLAGGDPVFAENLFRFGDYDGTGSDVLLQHPLGVVYASDNQVYVADSYNHKIKRLDPVTRKVTTVAGTGRAGYKDGPGLSAQLSEPAGLVEVGEGRLLVADTNNSAIRKLAVNLMWKQSHLMQSRLSQQMVF >KQK91162 pep chromosome:Setaria_italica_v2.0:IX:48213334:48231480:-1 gene:SETIT_034007mg transcript:KQK91162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHLAPSSGSLHRLLASRHYPPTSQAPPPRPLLLPKPLSAAVQLPRRGRRGVVVAAAAAASAPSPSSPGTEVAEGPAWGKVSAVLFDMDGVLCNSEEPSRQAGVDVFAEMGVEVTVDDFVPFMGTGEANFLGGVARVKGVKDFNPESAKKRFFEIYLDKYAKLNSGIGFPGALELIMECKNAGLKVAVASSADRIKVDANLAAAGLPVSLFDAIVSADAFEKLKPAPDIFLAASKNLGVDTSECIVIEDALAGVQAAKAAEMRCIAVTTTLEEDALQEGSPSLIRKNIGDVSINDILYGGSNARHNEGAESSGNISSIGNASPENLNGATDAGVSGTQSSPTSKTEGLLGSRREILRYGSLGIAVSCVFVAVRNWKAMQFASPKGLLNFFTGGSSSIFVNNEGESLSSRAQQIKKYLADFESGGSATYVPEFPRKLDWLNTAPLQFGRDLKGKVVLLDFWTYCCINCMHVLPDLEFVEKKYKDKPFTVVGVHSAKFDNEKDLDAIRNAVLRYNITHPVVNDGDMYLWRELGVNSWPTFVLIGPNGKVLAQISGEGHRKDLDDVVGAALEFYEERKLLQNDPLPLALEKDKDSRLLTSPLKFPGKLALDVENNRLFISDSNHNRIVVTNLEGQFICQVGSSEEGLLDGSFDAALFNRPQGLAYNSKKNVLYVADTENHALREINFVDETVRTLAGNGTKGSDYKGGGQGTDQVLNSPWDVCYDPSQETVYIAMAGQHQIWKHNIRDGVTKVLSGDGYEKNLNGSSATSTSFAQPSGISLAPELQELFVADSESSSIRAVNLKTGGSRLLAGGDPVFAENLFRFGDYDGTGSDVLLQHPLGVVYASDNQVYVADSYNHKIKRLDPVTRKVTTVAGTGRAGYKDGPGLSAQLSEPAGLVEVGEGRLLVADTNNSAIRYITLSEKGAEVKTLDLIGVQPPSPKPKTLKRLRRRLSVDTDVINVDGGSSMEGFLSLAITVPDGYHFSKEARSKFDVETEPSNAIEIEPANGFLNSEGLASLKFKRISSVSSMGRINCKVYYCKEDEVCLYQSIAFDVKFHEGPEPSPTQITLSYSVTPRDNSGGAQLIAGRKNAKV >KQK86139 pep chromosome:Setaria_italica_v2.0:IX:439929:444069:1 gene:SETIT_036709mg transcript:KQK86139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLWHLGDELRGQPKVVEDRQWSLMTSKLAEITKSKGERMNDLDYARMNTVPEVKQWDKMQYHHDEPRMDHINLGLMNLDLKMNDLKMNEAALKNPFRNMGYNMNPMYPKGNNANVNAFKMNVGVNKYSNSPNVKEAIGKNNGANNNGSNSNGNANNNSAVDKRFKTLPTSEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPARYRDSVRAITPGLPLFLYNYTTHQLHGVFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLCKPLEEDSFRPVLHHYDGPKFRLELSIAETLSLLDLCEKEGI >KQK86715 pep chromosome:Setaria_italica_v2.0:IX:3408547:3415762:-1 gene:SETIT_034472mg transcript:KQK86715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGARRGLRSNKVDNIQAADPVGSPAVPAPRGRAARRGGAAAGRVNKAAAKGRGRSSPEHRGKRLKAIDLQTDLRCKNLPEAVAVEAVIGTAQEGLCLSKVADRAASLRMDGDSADKFAAAEDDATATPVPERVQVGNSPEYLTGRKLGKGGFGQVCVGKRVSGGSSRMGSDAYEVALKFEHRSSKGCNYAPPYEWQVYQTLNGCYGIPSVHYKGRQGDYYILVMDMLGSSLWDLWNSVGQAMSPHMVACIAVEAISILEKLHSKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASKWKEAASGKHVQYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIRGRLPWQGYQGDNKSFLVCKKKMATSPEILCCFCPAPFKHFLEMVTNMKFDEEPNYPKLVSLFDGLIEGPASRPIRIDGALKVGQKRGRTLANLEDDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLHQHIEKGNQDGLYISSVASSANFWALIMDAGTGFCSQVYELSQMFLHKEWITEQWEKNYYITAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMATAGNRWGVVMSRNSGYATQVVELDFLYPSEGIHHRWETGYRITSTAATPDQAAFILSIPKRKPMDETQETLRTSAFPSNHVKEKWVKNLYISSICYGRTVC >KQK88277 pep chromosome:Setaria_italica_v2.0:IX:12822712:12823092:-1 gene:SETIT_040712mg transcript:KQK88277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTAGVHVWTYACSYQARIFPFMFQIRTKLYECS >KQK86463 pep chromosome:Setaria_italica_v2.0:IX:2020157:2024072:-1 gene:SETIT_036731mg transcript:KQK86463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRGPHVISLADPNPPLLGRAPGAASAAVPASAPAPSSASAAHPAFAVIEERLVARDQDTQELLIDNQRFAATHVALQQQLIAAQHELRAVSVAATRARAERENEVRALAEQAAHIEAEARAVAAARAEVDQVHADIQVLARARAELVSRLQVLRDHLARKKAEASKTDSVRAQIETMRREIQKGRAAVDFEKKAHSDNLEQSKAMEKNMIAVASEIERLRGELLNAEKGTTAVNSAAAVPNSGYPAAYGSSEATYPGMYGNPDPTYAAHSYPDAYSTNQAHMHTEGNSHYISQPVSYGQYESQHTNVQR >KQK89106 pep chromosome:Setaria_italica_v2.0:IX:20703561:20704982:1 gene:SETIT_040802mg transcript:KQK89106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAHPLPLEVWPIRCRRRAQLRTGGAEVAAAAHRLPQLLQAKVPYRCSMKCSMDLAARCSQLCLWRMKEP >KQK92250 pep chromosome:Setaria_italica_v2.0:IX:54560497:54561358:-1 gene:SETIT_039249mg transcript:KQK92250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSHSYINDGTPRNGIVLLPVETGTVPPQCSHLLNPEEFRRQGHQVIDFIADYYASMLGEYPVHPSVNPGFLRRELPADAPLRPERDAFDAALRDVRDLILPGLTHWQSPRHFAHFPASSSTVGALGEALTAGINVVPFTWAASPAATELEMVVVDWLGKALHLPESLMFCGGGGGTLLGTTCEAILCALVAARDRKLADIGSRRIGDLVVYCSDQTHFAFRKAAHIAGIHRDNCREIATCRDDMFALSPAELHAAMQADVDAGLVPLFLCATVGTTQTTAVDPI >KQK91126 pep chromosome:Setaria_italica_v2.0:IX:47960881:47961647:1 gene:SETIT_038291mg transcript:KQK91126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDYTIYVRQVYNGPIEVGDKGENHYALRFRASGVALQIRVIKVNPLCLFNCCEDAHEVFMHQALSFFFLFLGISLNAQICVL >KQK92109 pep chromosome:Setaria_italica_v2.0:IX:53724679:53726204:1 gene:SETIT_037918mg transcript:KQK92109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDASSGPRQQSSAGNDVEGGGGAAARANAERRGRREEAAAVARRQSKRAAAASLLEDTASSPAFFGYSKAMGSAEANGYGGADAPMIEIANAADFSYAFSTTTGFKSPLNGTALGGYMQMQYSPAPVNPMPTRQVSRGAPEKKRW >KQK86312 pep chromosome:Setaria_italica_v2.0:IX:1257594:1260575:-1 gene:SETIT_035084mg transcript:KQK86312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAFAKSLSQLNRALIRRLNALVIRAQPPPPGRPDGHVAAVTLDALPVPAGGGAAVCKVEGGLLRSSSAFPYFMLVALEAGGLLRGILLLVLYPALRLLGHGCAIKAMAVVSFLGLRKDAFRAGRAALPRLFLEDVSAEVFDATVAPTASPHRRRRCVCVSAMPRAMVEPFLKDYLGVDAVVAPEMRVFRGRYLGVMQGESEVLRGLDVEKMIAMAEKGGDGSDVVGVGGLGSSFVQLFQKHCKEVYVPTESARRRWHALPRRRFPKPLIFHDGRIAFRPTTAATLAMFMWLPLGAALAVVRIASFIVLPFSLSVPLLAALGMHSRLIANASAAATNLFACNHRSLLDPLYVAAAAGRADLAAATYSISRLSEVLSPIPTFRLTRDRAADRAAMQAKLSRSGGLVVCPEGTTCREPFLLRFSPLFAELGRDVTPVALHSAVGMFHGTTAGGWKALDPLFLLMNPVPAYIVQFLDTVDCGGGGPEAARAVANEVQRRIAEALGYTCTGLTRRDKYLMLAGNEGIVDVDHGARKKTAY >KQK86927 pep chromosome:Setaria_italica_v2.0:IX:4539766:4543940:1 gene:SETIT_034640mg transcript:KQK86927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAALEKKGHRAFAKPLKSFSSSEHKRSKSYFEDMYAADALRSSDKTIVLPKPEVVKAKVKSDINKDVQPGRGAQSTLRKEILQLEKHLKDQQVVRGALEKALGPDAAPVNLSPENPMPKAANELIREIATLELEVKNMEQYLLTLYRKAFEQQAPTFSPPDHQDASKPSVSSRSGQLREMPMAMKSCKSRGDAALRSSYPPPHKKWNDPLTDCCTSVRPDRAVDSDVLRCQSALSYRGVCSSRILPSEDDSLARALRSCHSQPFSFLEEGETGASGMISLAEYLGTNVADHIPETPNNLSEEMVRCMAGIYCRLADPPLVHHGSSSSPTSSFSSASAISPQYVGDMWSPNYKRENTLDSRLINPFHVEGLKEFSGPYNTMVEVPMISRDSRRLKEAEDLLQTYKLILYRLETVDLRRMTNEEKIAFWVNIHNALLMHAYLKNGVPQNNLKKTSLLAKAACKIAGRNINVAVIQSMVLGCNTHCPGQWLRTLLYPRIKSKVSKAGHEWRAFAVAQSEPLLRFALCSGSHSDPAVRVYTPKRLFHQLEAAKEEFIRATAGVWREQKLLLPKLVEAYAKDVKLSPQGLVDMVQRYLPESMRMAVQRCQQGGRSSSKVVEWVPYNPAFRYLLARDLAFPHLS >KQK86717 pep chromosome:Setaria_italica_v2.0:IX:3429617:3432395:1 gene:SETIT_038958mg transcript:KQK86717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPRVGRDGVSDSSSSSDGPLQLHVYEDGGALRSFIVDISNRPRHERVLLPAGEPSRVSPLVDYLSRGVGEPSSRSGRRLRVVSDRRRPAPRQARQPAVRAPPAVVGRRLRVVSDRRRPAPRQARLPAVRAPPAVLCVNPRGATPRPAAAEDTMASRDDLVGVYKGGCLLYLTHRRTFNQADHPGVTLSWRVGTTPDGDDYGEIVRRARSHSLPGRCGAEGASRHGVHRSPSAGEPLNLYRQPDGATSGNGVDSAKGMQIFVPKINDKQKFPQDGTGDIVESFGKLKLSEVKKELQKMEKNFIHLIESGDKAMERQLVDAIHSLSDNQGITRYSYLVVTLVLGWNIWSCLIQVVKVIILKLIQEEEDDEDDLNYDPAHDDDDEDDDDDYMNGLAQLPPLPPGTYYWNGITMVDRIGAVRDLNPEFHILGFGSNLTIHERIRLWATGDTMGFDFQPTEMLRYVWRLELLLNGENPGNLAHSVNEPPVPNPGDYDEGWTTDEEMVFSDMEEEDLDLENGSNDMDED >KQK88453 pep chromosome:Setaria_italica_v2.0:IX:14379200:14384924:-1 gene:SETIT_034747mg transcript:KQK88453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSAARRSAQIRRLLSSSAPQAGAGAAVPGPCIVHKRGNDILHDPWYNKDTAFPMTERDRLGLRGLLPPRVMSFEQQYERFINSYRSLENNTRGEPDSIVALAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCENYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAEKVDMIVVTDGSRILGLGDLGVQGIGIPIGKLDVYVAAAGINPQKVLPIMLDVGTNNEKLLEDKLYLGLRQPRLEGEEYLAVVDEFMEAVHARWPKAVVQFEDFQMKWAFETLQRYRNRFCMFNDDVQGTAGVALAGLLGAVRAQGRPLQDFTNQKIVVVGAGSAGIGVLSMAKQAMLRMPGIHRTGEGHNQFWVLDKDGLITKARKGLDPAVARFARGYGPDEVPDLHEGASLVEVVKKVKPHVLLGLSGVGGIFNEEVLKAMKESDSPRPAVFAMSNPTTKAECTPDDVFKHVGENAIFASGSPFSNVSLGNGKTGYANQANNMYLFPGIGLGALLSGARHISDGMLQAAAECLASYITDNEIRKGILFPSVSSIRHITAQVGAAVVRAAVAEDLAEGCCDVGPRELGSMSELETVDYVARKMWYPIYSPLVSDK >KQK87032 pep chromosome:Setaria_italica_v2.0:IX:5156388:5157761:-1 gene:SETIT_040038mg transcript:KQK87032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRVLVLPYPAQGHVIPMMELSHSLVEHGVKGTFVNTELNHGLILGALASRDSVLGGVDMVSVPDGLGCGEDRKDLARLTDSFSKVMPVELGKLVARINADARGRGRITWLVADVNMAWAFPVAKRLGLRAAGFCPSSAAMFATRIRIPEMISDGILDDRGWPRRRGTFQLAPAMPPIDTSEFSWNRAGDTRGQPVIFQLILRNNAATHLAEAIVCNTIQELEPGALALFPSVLPVGPLSSDKPVGSFWTEDASCAAWLDAQPANSVVYVAFGSFAAYDTAQLVELAEGLALTSRPFLWVVRPGSAGEELLDRLRRRAAPRGRVVSWCPQRRVLAHPAVACFLTHCGWNSTMEAVANGVPLLCWPYFTDQFLNQSYICDVWRTGIKVPRPAGGGTTTGLVGRDVVRGKVEELLGDAGTKARALALRDLARRAVGEDGSSRRNLERFVDLVKGSAT >KQK92786 pep chromosome:Setaria_italica_v2.0:IX:57227612:57227955:1 gene:SETIT_040561mg transcript:KQK92786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPSKRSFSLVPTVLSTLNWVLVIFIDSVISCTTWQ >KQK89556 pep chromosome:Setaria_italica_v2.0:IX:32865108:32865767:1 gene:SETIT_039425mg transcript:KQK89556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCKLVALFFAFAVVVATTVQPSEARIRGDQLLHPSTFHNTPPQSPSSSSSAVPPHLSSLPPPSPPAQLTECMTPLIGMIPCMDYLTNLTVLAPPTECCDGLKSIIRDAPICLCHGMTGDMNDLMPLPIDPVRMIILPLACGAMLPLQTLFSCNTQQVPPIMPPMAAPAPTNPSASPIR >KQK91572 pep chromosome:Setaria_italica_v2.0:IX:50584234:50586126:-1 gene:SETIT_036015mg transcript:KQK91572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEICCEVAAGSSSSDRKGEGVGDAGSRAARRRRMEIRRLRVVAEEQAAKRRRLEGDDGEEEEDAVRDPAPRYGVTSVCGRRRDMEDAVTARPGFIHGHHFFGVFDGHGCSHVATSCGVRMHEIVAEEAAAAAAGSSASDEAARWRDVMEKSYARMDAEAVGSRDTTGPAPTCRCEMQMPKCDHVGSTAVVAVVGPRHLVVANCGDSRAVLCSGGAAIPLSADHKPDRPDELERIHAAGGRVIFWDGARVFGMLAMSRAIGDSYLKPFVISDPEVRVVERKDGEDEFLILASDGLWDVVSNEVACKVVRACLRNGAVRRGERSSPTSNLSPRQSSGSSSGDEEAGPSDGAASDSDGEGGEDKACAEAAILLTKLALARQTADNVSVVVVNLRRRRRS >KQK92426 pep chromosome:Setaria_italica_v2.0:IX:55409394:55412259:1 gene:SETIT_039090mg transcript:KQK92426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPASPSTTTTAAPHVAHKIPAGDGPYARAKHFQLVEKDLDASIAWFWKAINTGDKVDSALKDMAVVMKQRGYLTEAIDAVKSLRHLCPRQSQDSLDNILLDLYKASGRTKEEIELLKNKLRKIYLGEAFHGKATKRARSHGRKILVSVRQETSRVLGNLAWAYMQQRNFMAAEVVYRKAQMIDPDANKACNLALCLIEQSRLADAEVVLADVLAGRYQARDQQDGKIVRKVGELLARIMEQTSPGGGGGAYDGRRGGESDEDDDWVEDEMLALLDVAAVKQWVAPYRKSNRRLPVFEEISPIFMEQMAC >KQK87727 pep chromosome:Setaria_italica_v2.0:IX:8931545:8938024:-1 gene:SETIT_035075mg transcript:KQK87727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGRRRRGGGGGRGSFAGRGGGVQGGSGRGGGGGGGAGRGEYDQQRLSAEAISDIASGLGMECTINYGPDLQMSPQIKFLMACDSGNIRRIKALVESLDKDDRESLASARMEGLGALHAAAMKGKVEVCRYLVEVLKFDINSVSSPELGMTPLISAVSEGQVAAVRYLLDKGADPNKQDHEGYAPLHDAAKGGFDEIGRLLLTGGAIVDISSSEGTPLHAAAAFGKIGIMQILLEHHADVNKVSARGCTPLAETLLATPERLDESTRLKCLKLLVKAGADLNSRHPQTPLVIGTLKGLTECVECLLEAGADANIPAHDVGSKPIEIAAESGRRKLVEILFPFTSPIQAVPNWSIEGIIAHAKSINSKDKVNQGDNKDSKVDQKLHDEKAIKQDAASSKSYPEASDNKDRKAQLKLQGGKAVEGKDYAGALKFYSEAINLDPKDAVLYSNRSFCHVKLGEAHEAFRDANTCIRLRPGWTKGYYRKGAALMYLKEYKQACDVFMAGIKLDPTNEEMEQAFWEAAEAMKKEHSAEKRVNSVD >KQK91173 pep chromosome:Setaria_italica_v2.0:IX:48267302:48269069:-1 gene:SETIT_038776mg transcript:KQK91173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPPHERRPRVASHFVLLACLVLLAPTRVAGAGMPATFIFGDSLVDAGNNNYIVSLSKANYPPNGIDFLGHQPTGRYTNGRTIVDILGQEMGLGGFVPPYMSPETTGDALLRGVNYASGGGGILNQTGSIFGGRLNLDAQIDNYANSRHDLIARHGEVAAVSLLRGALFSVTMGSNDFINNYLTPILSVPERASTPPAAFIGAMIAKYRQQLARLYLLDARKVVVANVGPIGCIPYQRETNPSAAAACAEFPNQLARSFNRRLRALVDELGAALPGSRFVYADVYHIVSDIIANYRSHGFEVADSACCYVGGRFGGLVPCGPTSRYCADRSKYVFWDPYHPSDAANALIARRILDGGPSDISPVNVRQLIMA >KQK86462 pep chromosome:Setaria_italica_v2.0:IX:2012132:2018695:-1 gene:SETIT_035190mg transcript:KQK86462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRAPLFPQLLLLVAAALLAAASLRRAAGAGEFDVRRHLSTVTRYDVARGSNSVNSMPTIPDGCRVIHLNLVARHGTRAPTKKRIKELDRLSIRLEALINEAKQGLKSDSLKKIPSWIKGWESRWKGRTKGGELTSEGEEELYNLATRVKERFQDLFDDEYHPDVYSIRATQVSRASASAVAFGLGLLSGKGKLGPGNNRAFSVLSESRASDICLRFFDSCETYKAYRKRKEPDVKKQKEPVLEHVTAALVNRYHLNFTTQDVSSLWFLCKQEASLLNITNQACGLFNEAEVYFLEWTDDLEGFVLKGYGESINYRMGLPLLKDVVQSMEEAIIAKEEHHPDGTYEKARLRFAHAETVVPFSCLLGLFLEGSDFEKIQREEALDLPPMPPQGRNWKGSVVAPFAGNNMLVLYQCPGKTSDGSTFGSQNNSYVIQVLHNEIPVLMPGCGNKDFCPFEEFKEKIVKPHLKHDYDMICKIKPPVAGEEPATFSSKVSGFFTGLLSQKGYRVASAESVKTEL >KQK89554 pep chromosome:Setaria_italica_v2.0:IX:32862466:32863154:1 gene:SETIT_040185mg transcript:KQK89554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTSTGLILGPKQITLAETTGGAFREYIDTFDGYTFLYPKSWIQVKGAGADIFFRDPFVLDENMSVEIDIIPFVVKIHQRRGSRPSGEGCGEKVLKKQYLTEFRSTRLGVRRESNVLSVLSKVADDGKLYYEVEVNIKSYASNNELAVMPQDRNKWLYELRLQTPEQVFMQEEDLRRVMESFRVIKAA >KQK90083 pep chromosome:Setaria_italica_v2.0:IX:39453364:39459688:-1 gene:SETIT_035021mg transcript:KQK90083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAFAPASASPPPWSPRPPPRQARARVRLPPPRSGSGSDGGGGDEPTTATPWVSPDWLTSLSRAVGRAGADDSGIPVASAKLDDVQDLLGGALFLPLFKWFREEGPVYRLAAGPRDFVIVSDPAVAKHVLRGYGSRYEKGLVAEVSEFLFGSGFATAEGALWTVRRRAVVPSLHKRFLSIMVEKVFCKCTERLIQKLEPYALSGEPVNMEARFSQLTLDVIGLSLFNYNFDSLTTDSPVIDAVYTALKEAELRSTDLLPYWKIDFLCKIIPRQIKAENAVKTIRNTVEELIMKCKEIVEAENEQIEGEEYVNEGDPSILRFLLASRDEVSSVQLRDDLLSMLVAGHETTGSVLTWTIYLLSKDLTALRRAQDEVDRVLQGRLPRYEDVKELKYLMRCINESMRLYPHPPVLLRRAIVDDVLPGNYKVKAGQDIMISVYNIHRSPEVWDRADEFIPERFDLEGPVPNESNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIVLQKMDIQLVPDQKINMTTGATIHTTNGLYMNVSLRKVQQEAELALR >KQK87471 pep chromosome:Setaria_italica_v2.0:IX:7468266:7470511:-1 gene:SETIT_034533mg transcript:KQK87471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHSVLALALLSLLCTGYLCGVADGASAPKGKKGKAASASGPVIGIDLGTTYSCVGAYQNGHVDIIANDQGNRITPSWVAFTDDERLVGEAAKNQAPLNPQRTIFDIKRLIGRRFDDEEVQRDIRYLPYKVVNRGGKPYVEVPMKGGERKAFSPEEISAMILSKMRETAESYLGRRVAGAVVTVPAYFNDAQRQATKDAGTIAGLTVPRIINEPTAAAIAYGLDKKGVEMMNVLVYDLGGGTFDVSVLSLDRGVFEVLATSGDTHLGGEDFDQRVMDHFIRLIKRKHGRDISKDGRAMGKLRRECERAKRALSSQHQVRVEIESLFDGVDFSETLTRAKFEELNMDLFKKTLGPVKKAIADAKLKKTDIDEIVLVGGSTRIPKVQELLTEMFDGKEPNKGINPDEAVAYGAAVQGSIISGEGGAETKDILLLDVTPLTLGIETAGGVMTKLIPRNTRIPVKKSQVFTTYEDHQTTVSIKVFEGERSLTKDCRELGRFDLKGIPPAPRGVPQIEVTFEVDENGILHVTAADKAGGRSESITITNDKGRLSQEEIDRMVREAEEFAEEDRRVRERVDARNRLENYVYRMRATVKDGAGGMAGKIGEEDRERMEAALAEALEWLEEQDGAAGRAAEKDDFEEKLREVEEVCGPIIKQVYEKSGGGAGGSAGAADEDDVNEL >KQK91493 pep chromosome:Setaria_italica_v2.0:IX:50206175:50215168:-1 gene:SETIT_034034mg transcript:KQK91493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSLELLLIQFLMPDNDARRQAEEQIRRLARDPQVVPALVHHLRTAKTPNVRQLAAVLLRKKITSHWPKLHPDSKANLKQALIDSITLDHSHPVRRASANVVSIIAKYAIPAGEWPDLLPFLFQCSQSPQEDHREVALILFSSLTETIGATFQSHLNDLQPVLLKCLQDETSSRVRIAALKAVGSFIEYVNDGGDIVKMFRDFVPSILNVSRQCLANGEEDVASIAFEIFDELIESPAPLLGDSVRSIVQFSLEVSANQDLEINIRQQAIQIVSWLAKFKASFLKKHKLVVPILQVMCPLLTETANEDEDSDLAADRSAAEVIDTMAINLPRHVLAPVLEFASVSFHHTNPKYREAAVTSLGVISEGCCEHLKDKLEDCLKIVLEALKDQEQMVRGAASFALGQFAEHLQPEILSHYANVLPCILNALEDPSDEVKEKSYYALAAFCEDMGEDILPYLDPLICRLVMSLQSSPRNLQETCMSAIGSVAAAAEQAFTPYAEKVLEMMKGFMVLTNDEDLCARARATEVVGIVAMAVGRARVEAILPPFIEAAISGFGLDYSELREYTHGFFSNVAEILGDNFTQYLPHVVPLVFSSCNLDDGSAVDIDDADSVENGFGGVSSDEDNDEPRVRNISVRTGVLDEKAAATQAIGFFALHTKSAYAPYLEESLKILIRHSGYFHEDLRLQAVISLKHILTAVRAIPPTHADVLEKQKDVLDTVLNIYIKTMTEDDDKEVVAQACMSVADIVKDCGFAAIEPYMLRFAEATLVLLRQESSCQQVESDGEDDGDIDHDEVLMDAVSDLLPAFAKVMGSYFDPIFAKLFDPLMKFAKSPHPPQDKTMVVATLAEVAQEMGAPISAYVDKIMPLVLKELASSDATNRRNAAFCVGEICKNGGAAALKYYGDILRSLHNLFSNSESDDAVRDNAAGAIARMVMVQPQSIPLNQVLPVFIKALPLKEDHEESMPVYSCICSLLLSSHPQILPLVPDVIHVFAQVVVSPDESDEVKTNIGKAVSHLISVYGQQMQPILSALPPAHANALAAFASRR >KQK86548 pep chromosome:Setaria_italica_v2.0:IX:2606895:2608204:1 gene:SETIT_040585mg transcript:KQK86548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAIRKACASLEEGYLPPVTFVVVQKRHHTRLFPEVHGRRDMTDKSGNILPGTVVDQKICHPTEFDFYLCSHAGIQNSAFRG >KQK86963 pep chromosome:Setaria_italica_v2.0:IX:4737257:4743211:-1 gene:SETIT_036542mg transcript:KQK86963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSDSELVERLQEVLRSSDLNTTTTAALRRRLEEEFGTDLSHKKAFIREQVDLYLAEVAAKAEPEEPKEEEPEEAAVPKEEEPEPETEEGEGEVEEGEGEEDEDEEEEDEDGDSGGARKKQRSDKGNGGKKRGGGFTKLCSLSPALQEFVGASELARTEVVKKLWAYIREHNLQDQSNKRKILPDERLRKIFNVNSIDMFQMNKALTKHIWPLNSEGPVSPDRSTPKEKPQKRDRNEGKKQKGGSSGAGSGLLVPLQLSNDLVKFIGTGESMLSRSDVVKRMWEYIKENNLQDPSDRRKIICDEKLKDLLQVESFNGFTVSKLLAPHFTKTK >KQK89193 pep chromosome:Setaria_italica_v2.0:IX:21737805:21742542:1 gene:SETIT_034356mg transcript:KQK89193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTTSQEYAFQSKLLQEFTNVPSIDSAWVLKTNNKDISTAMFSISQPDLLANSTRKYTMYSHITRAGTNSLDFQWSPFPTEMTGVSVIVPSPSGSKLLVVRNGEKGCPTKLEIVDQSHMEKEIHVGQSMHGPLYTDEWFHGISWNQEETLIAYIAEAPPQPRTAFNDCGYRKEDSSEEDCNSWKGQGDWEEDWGERYCRKGRPSLFVLDIASGEVRAAKGIATSLSVGQVVWAPSSSSGSQKYLVFVGWLEHNGFQNTARKLGIKYCSNRPCALYAIASPFEGPEPDTKRVSDSKSDSAAAAQNLTASINSAFFPRFSRDGKILVFLSAKQAVNSGAHNATNSLHKINWPSDWKMDKQLNVTEVVPIVMCPEDDCFPGLYCSSILSNPWLSDGCTMILTSAWRSTEVILSIDVLSGKVTRITPEDSHYSWSVLAIDGDNVLAVSSSPIDPPHIRYGRQVTPEGQEHRWTWDEVDSPLVTASNKVKSLLSHHSVTILKIPVANSSDDLSDGGKLPFEAIFVSCKDSSHSPTVVILHGGPHSVSVSSYVKSSAFLASLGFNLLIVNYRGTPGFGEEALQSLPGKVGSQDVQDCLTAVDHVINEKLIDASKVAVVGISHGGFLTTHLIGQAPDRFVVAAARNPVCNLSLMIGTTDIPDWCYMVACGPESKQYASESPSPDHLHLFYQKSPIAHISKVKAPLLMLLGGADLRVPASNGLQYARALRERGGGVKIMMFPEDIHEIIIPRSDFESFLNIGVWFKKHME >KQK92437 pep chromosome:Setaria_italica_v2.0:IX:55467979:55472236:-1 gene:SETIT_040163mg transcript:KQK92437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAGFKRQKRGNEPSSPGTQSQSDIFLHNRCLRLQFLEQLRELKTGSFDLKAINTKRRELIGIIERLQQVPIQQLYASPVPKPSDSTPHNFGQIGRNYSSNNVIDLDADKDNFKYHTQANVGNISADSTISAVDSNDKDRVKSFGHENSSPNQNANYIGQHLLLEQPVRHQEIIKLDNCSSSTEPQVKKVKGGMDTDNGSVETKKIILFDSDSTSGQQPLIKQVHGNINTNIEDDLEEKGKVGRTLDKYVGSYKVPCEIVQNELQSNESNHHEKDSPVDELDDLWMGMSVALACSEQINQVGQNIVPIESNREETEDACNHDFLMKDDLGIVCRICGLIQKRIESIFEHSWKKCKQTYRTYPAKHRKSSDPDSTENPLGTNLNVVPDALSIHPQHSQQMKPHQVEGFNFLIKNLADQNNPGGCILAHAPGSGKTFLLISFVHSFLARYPAGRPLIMLPKGILGTWKSEFLRWQVENIPLYDFYSSKANSRSEQCKILKLWEENRSILLLGYQQFAHIISDDSSDRETLVCREKLLRVPSLVILDEGHTSRNDQTDLLSALETIQTPRKVVLTGTLFQNHVSEVFNILNLVRPKFLKMQRSRAIMKRILTKVDMVRTSVRSKTISEKVFYELIEENLQKDSKTMRVMIIQNLRELTENILHYYQGEILKELPGLVDFTVFLNMSTKQEDVVKDLVGLKRFEAHAKCNAVSLHPCLKDVKNVDKKNRNISKRKMDSIVHGIDINDGVKARFIYNLLSLSEAAGEKVLVFSQYVRSLDFLEMLFTKMKGWKAGVNTFLMDGGLTQEQREQAVERFNNSPEAKVFLGSIKACGEGISLVGASRVVILDVHENPAVMRQAIGRAFRPGQSKMVYCYRLIAAGTLEEADHHTAFKKEQVSKLWFEWDELCNNEDFELTKVDVSDCKDRFLESSALQEDIKSLFKRYISMINIDTFFAIR >KQK90916 pep chromosome:Setaria_italica_v2.0:IX:46602406:46610522:-1 gene:SETIT_034162mg transcript:KQK90916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPEDLRCKRSDGKQWRCSAPSMPDKTVCEKHYVQAKRRSASSALRASLRRSSSSSAAPFRSAAAARLRDEARPAPLPMAVARPLYARVAGEAVYVAEPVPAPAPARGVVAYEGLPLGNAAGARTAAELVGRGTAWLTDAGPAETRSCHQCRKAGGVHWCSSCDRRGYCAGCISRWYSDIPIDDVRKVCPACRGICNCRVCLQGDNLIKARVQEIPVVDKLRYLHCLLAYVLPVLKQIYSDQCFEIGVETRSSGPKTDILRAKINSDEQMCCDFCKVPVFDYHRHCPKCLYDLCLDCCRDIRRSRATIARGEDNEDHVEDKSRDSFSKRARLEPSTESVNDKSCSQQMDLNNIDIKSLVPTWRVSNDGSLTCGPHEAGGCGSSKLVLRRIFKINWIAKLVKSSEEMVNGCKVHDLQDGCLSYSDGRRLDLIGQQNLGLPKCSNSEDISGNCVYSPVLEDLKHEGIMHFRKHWIKAEPIVIRKAFEPSLSSIWDPVSIWRGIQEIMDEEMNEDVIVKAVDCSNRSEVDIELKQFIKGYSDGNKGGDGRLLMLKLKEWPRPSVLEEFLLCHRPEFIVNFPLVDFIHPRWGLLNLTAKLPQDALQPEVGMKLLIAYGSRQELGQGDPVMNLTINMDDVVHMLMHAAEMHNQCPKRLLSNGSERIANGTSAHVNDHSPVPNLDLDVGEPEHKHTISHCEEVKANNLEESQAGAVWDVFRRQDLPKLNEYLAAHQEEFGASCQAVPSVKYPIYDQTVYLNNYHKKTLKDQYGIEPCTFHQHIGEAVFIPAGCPFQVKNLQSTVQLALNFLSPESLPESVRMAQEIRCLPNGHVAKLKMLEVKKISLYAASSAVREIQRITLDPKFNLDASLEDQNLTRAVSENLARVNKQRKVSFS >KQK89299 pep chromosome:Setaria_italica_v2.0:IX:23418277:23418787:-1 gene:SETIT_040448mg transcript:KQK89299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKIAVALLLAHNSNLQGAINTEMFDCSSYPCMAAWTFRWRRQTKMIWPGPQPPPPP >KQK93097 pep chromosome:Setaria_italica_v2.0:IX:58774750:58776419:1 gene:SETIT_036033mg transcript:KQK93097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGTSCTVTTTTWAWAWACCSSPSTRRRRILLLLPPLPSSSRLRLSCCSLDRDPIPIPIRIPMAAKAKAIRVHELGGPEVMRWEEVEVGDPKEGEIRIRTTAIGVNFIDVYFRKGVYAAPAMPFTPGMEAVGVVTAVGPGLTGRKVGDVVAYAGNPMGSYAQEQILPASVAVPVPPSVDHKQAASVMLKGMTAHVLLRRVFKVQSGHTVLVHAAAGGVGSLLCQWANALGATVIGTVSNEEKAAQATQDGCHHVIIYTNEDVTTRVKEITSGKGVNVVYDSVGKDTYKASVECLASRGFMVSFGQSSGKPDPIPMSDLASKSLFLTRPSLMHYTATRDELLESAGEVFANVANGVLRVRVNHTYPLSEAARAHADLEARKTSGSIVLIPDSDS >KQK87928 pep chromosome:Setaria_italica_v2.0:IX:10230805:10239225:1 gene:SETIT_034069mg transcript:KQK87928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDSRMTTESDSDSDAAAAAASAGGSGSGSETSSSSAPSTPGTPGTPAAAPNPAAVGAAGPRPAPGYTVVNAVIEKKEDGPGCRCGHTLTAVPAVGEEGTPGYIGPRLILFGGATALEGNSATPPSSAGSAGIRLAGATADVHCYDVSSNKWSRLTPLGEPPSPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRFLLTIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLSSAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTTPRTGRYSADAAGGDAAVELTRRCRHAAAAVNDLIFIYGGLRGGVLLDDLLVAEDLAAAETTNAANHAAASAAATNLQAGRAPGRYAYNDERTRQTATESGPDGAVVLGTPVAPPVNGDMYTDISPENAVLQGQRRLNKGVDYLVEASAAEAEAISATLAAVKARQVNGEVEQLPDKEQSPDSTSSSKHSSLIKPDIVLSNNMAAPPGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVIASLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPVKIFGDLHGQFGDLMRLFDEYGAPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPQNVHLIRGNHEAADINALFGFRIECIERMGERDGIWTWHRMNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSVVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAITSPEASPDHIEDTWMQELNANRPPTPTRGRPQAVANDRGSLAWI >KQK92388 pep chromosome:Setaria_italica_v2.0:IX:55269971:55273283:1 gene:SETIT_035608mg transcript:KQK92388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRPRVWLLAACAAVLLWASVAQLVAVGRLLLVFGIAGDADPSPPPSVLPPPRIYKSNGYLKISCNGGLNQMRSEICDMVAVARLLNLTMVVPELDKRSFWADQSNFGDIFDVRHFIDSLRDEVHIIKQLPERLDPGDSDVILQMPPVSWSDEKYYLHQILPLFSKYSAIHFNKTDARLANNGISTELQLLRCRVNFHALKFTPQIEGLGNKLVHKLRAKGSFVALHLRYEMDMLAFSGCNHGLSSEEAEELKKMRYAYPWWRDKEIDSQAKRSQGLCPLTPEETSLVLKALGFQKEALIYIAAGEIYGGDRRLEPLRAAFPKLVRKEMLLDSEVLRQFQNHSSQMAALDFIVSTASDVFIPTFDGNMAKLVEGHRRFLGFRRSVVLDRRKLVELLDLYTNKAISWDNFASSVQETHKSRVAQPSCRQKLENRPKEEDYFYANPHECLANSSLCS >KQK89312 pep chromosome:Setaria_italica_v2.0:IX:23729995:23733971:1 gene:SETIT_036071mg transcript:KQK89312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPPDPLLKLAALDISIRDSWSDEELVRFLAERKAEDPLPQDVLAGLDFSLVDPRDFTDMWYLNWSDDQQPYDSAENGIRKAKNGYWKPVESSRIPTSTGILGMKIILEFYEGQASCGKRTGWMMHEYQVEQNDEANLPQDYKSLCKVFFQGDNWDSAESQPNSLNVDAPNDNLESYLQYLAKLEEPKDVLQFDKVTPDANEQDVSSKRQFEQKPLGAVDYIDVIATGDYLELNDLLSSEASASTSENSSKRSMISEEYFDSDALLGEILKGSNTTDGQNQDHKFSIAAPTKSANVVLGPSKQGLVQIHDNNVMVPGTSQKKPPPEGDRGQHSSQGFQHQSPGSSCFPSSHVKRSRSNSSSSSQSSIKSQKERSTSKFGKIGKKYCCFGSF >KQK92419 pep chromosome:Setaria_italica_v2.0:IX:55378350:55378698:1 gene:SETIT_038415mg transcript:KQK92419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKQERKWYKREEAHAWKQDQVICLKAQRNLSNGQPSNRHNLAKTVLFTTLELEKESYRDSHTPT >KQK91350 pep chromosome:Setaria_italica_v2.0:IX:49390334:49394339:-1 gene:SETIT_036401mg transcript:KQK91350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSTDHGGAGGRGKKQGSQLWKKALLHSCLCFVMGFFTGFAPSSVSDWTSAAVSAGGMGSSHVVRALGGAVNRSLLAHGAAVGGAGLLGDAGLLGDAAASPRPLLVVVTTTESTPAASGERAAALTRMAHTLRLAPPPLLWVVVEAATDVPATARLLRTTGLMYRHLTYKDNFTAADAAAGKERHHQRNVALGHIEHHRLAGVVVFAGLGDTFDLRFFDQLRQISAFGAWPVATMARDARKVVVRGPACSSSAVTGWFSLDFSNGTAASATTARPPEVDAHGFAFNSSVLWDPERWGRYPTSEPDKSQDSMKFVQQVVLEDFSKVKGIPSDCSEVMVWHVDSTAPSSSS >KQK87601 pep chromosome:Setaria_italica_v2.0:IX:8152786:8153228:1 gene:SETIT_038086mg transcript:KQK87601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATASSSWAQAVRRALQEGAATATSYAQRAQKQEAAGSAAATVRSVQTLVVIVAAIVLAAVLAGVLARVCGGRYVVPSGDDRDIEGWIEKRCRSCLDSGLPPPAPAPGSAKMSEAK >KQK91060 pep chromosome:Setaria_italica_v2.0:IX:47484143:47486704:1 gene:SETIT_035639mg transcript:KQK91060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGISRRFLESNREEGGGYEYLMPGGSGALNSSRCHVGTDRYACPCLLPHSHFAFFPNPPLSFTHASTSPLSFPVRQPSPLPLVEAWSGREKGRLLLVLFGAAEMSEVSVINQAEVEDAGQLDLPPGFRFHPTDEEIITHYLTHKALDHRFVSGVIGEVDLNKCEPWDLPGRAKMGEKEWFFFCHKDRKYPTGTRTNRATETGYWKATGKDKEIFRGRGILVGMKKTLVFYRGRAPRGEKTGWVMHEFRLEGKLPHALPRSAKDEWAVCKVFNKELAARTEPAMAAAGAELERIGSLGFISELLDSAELPPLMDPSFGGEVDEVIDFKGVASTSGHAAAAPGTSYLPVKMEEHAPLQYQQQPPMFYSGQYFSLPAVNSGDLTPAIRRYCKAEQVASGQTTSVLSPSRETGLSTDRNAGGGCAEISSAVTPSASHQFLHDLDDPLLYVADFWKH >KQK88330 pep chromosome:Setaria_italica_v2.0:IX:13210654:13216862:-1 gene:SETIT_034122mg transcript:KQK88330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSFLLKATNIKRLLKWPLRRESALSTHLLAEIPPEVELSDYRRLPSSYCESPTGLLHGEDLKAELVPDLDIFFERLYEYFCAKGLRCIITKWIIEILNVTFMVCAIGFFFLFVDWDALGHLKCGVEALEVGKKPCDLMNVIKNHPLIPFTYVKMITIGSMVILTTYGIINFVKFFVKLRSTLNVRDFYYNSLKVTDLEIQTISWPKVVEKVVLLQKSQQLCVVKDLSEHDIMMRLMRKENYLIGMVNKGVIAFPIACWLPGVGPTVGSRFHGSKSYLMLPKMLEWTLNWCIFQSMFDSKFCVGKDFLTSPSVLKKRLILMGIAILLLSPCLVIFPLVYMFLRHAEEFYNHPSTASSRRWSNLSRWILREYNEVEHFFRHRMNNCTLHSLNYLKQFPTPLISIVAKFVSFVSGGLAGILLILGFLGESILEGHVFGRNLFWYTVVFGTIATVSRKVVADELQVIDPEGAMTLVVQHTHYMPKRWRGKESSELVRKEFETLFQYTITMLLEEMASIFITPYLLIFVLPKRVNDILCFISEFTVYVDGVGDVCSLSLFDFQRHGNRNYGSPLDSVKDMRSSQGKMEKSLLSFQSTYTSWQPNPDGKQFLCNLQRFKEKQIRKYTFQAMEDSQLRSSIGQSGGSIFHRLLSREVFLGNGIIYNFSPLGLLDTDQRAYPYILDWYYTCHSERLGRDVDSSTHRDEPGEDIWPPLTGSKPLTEIEEEEIWDSNLYERARSHLEASTSSAFFQRATFKRQGREQNSTSCPWWAQASIRRTDPRDSYVEPPHSSFIEPPDFANHYTSDHCSSQHSDWALNTAHPNGPEDSFHEPPNFGNHYVSGYHSSYHSSDVSEGTKELDQRDYRTTSSCSPQAALSKTRYMDDDPDLEQGLSFHFADIPQNDDGNEDKADGHGVTGICSSMPASLHVRIVPRSSDPV >KQK88791 pep chromosome:Setaria_italica_v2.0:IX:17215358:17215988:-1 gene:SETIT_040475mg transcript:KQK88791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQLQLSLYASGSRSSGRSAAAAVKQLLSRLRSSWRRRRAARPRQAAVRFGYDLHSYSQNFDDGLGSFDGHRL >KQK87067 pep chromosome:Setaria_italica_v2.0:IX:5397992:5402419:-1 gene:SETIT_036131mg transcript:KQK87067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDKRRCAAALGCDKQRLRRRQDAAALLLRRIKGLVRWVVKEVAAGRSPSIVLHRYRNYCSAADAASPSPCACSYDAPVGTDVLSLLHKDCHTSRLNVLLRVLLVVQQLLQQNKHCSKRDIYYMYPSIFVEVAVVDRAINDICILFKCSRHNLNVVPVVKGLVMGWIRFVEGEKKVYCITSVNAAFSIPVSIEAIKDVVSVAHYILVVEKETVFQRLANDKFCERNRCIVITGRGYPDIPTRRFLRHLVEQLHLPAYCLVDSDPYGFDILATYKFGSLQLAYDANLLRVPDIRWLGVFTSDFEDFCLPDCCLLHLSPEDRRKAESILARCYLHKEAPEWRSELEAMLQKGVKFEIEALSASSISFLSDKYIPQKIKQGRHL >KQK89262 pep chromosome:Setaria_italica_v2.0:IX:22738022:22739086:-1 gene:SETIT_036893mg transcript:KQK89262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRQWRRLHATARTSNKLLAPPPPLGAMEYRELIQALVTPAKAAPAVPPFMVDTVEESPAMAAVGASCYNLITPAQAAFYYRVGGRMGPAPCVMKRYYGLAAPAAAMAAKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTYDTAEEAALAYDGAAFRLRGDAARLNFPELRRGGRHLAPPLHPSVDAKLQAVTSSALAPAPASPRHESADVKNEPGCCSGSEASTTTTAADGADLSPSSTGSSPLAKAAAPLPEMQQLDFSEAPWDEADGVALRKYPSLEIDWDAILS >KQK90059 pep chromosome:Setaria_italica_v2.0:IX:39205726:39206199:-1 gene:SETIT_040145mg transcript:KQK90059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAPSSSSPSEFGSLFAAFDKDADGRISAAELRLCMGAALGEDVAAKDAEALVASADADGDGLLDGDEFARLAGDGDDEEEERRRGLEAAFGMYAAEGEGARMRITPASLKRMLGRLGAHREVDDCRAMIRRFDLDGDGVLSFDEFEIMMSA >KQK90429 pep chromosome:Setaria_italica_v2.0:IX:42605623:42606303:1 gene:SETIT_038696mg transcript:KQK90429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSDNDSGGPSNAGGELSSPREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKHYLHKFREIEGERAAASSGSAAAQQQQGDVARSAANAGGYAGYGAPGAGGMMMMMRQPMYGSPQQQQHQQPPPPPQQQQQQHQQHHMAMGGRGGGGGGSGGGGGSSSSSGLGRQDRA >KQK88148 pep chromosome:Setaria_italica_v2.0:IX:11951249:11954606:1 gene:SETIT_036445mg transcript:KQK88148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGGRTMQSFGRIRRKVGSLACFPSFDPRRNGLASLFLLGAHTLVYGHRPPDFSGILDSRVLTSATCLCFFLLPQTTRGGNVDQIPSNKRGRETKKFLVLCIPSRLLLSVRIPSVAKPSALRCTRSMASDTGKKLIQIDVSSDTVCPWCFVGKKNLEKAMEQSKDKFDFEVRWHPFFLNPDAPKEGIRKSDFYKMKFGPAQFERATSRMTEIFRGLGLEYDMSGLTGNTMDSHRLITLAGHQGYGKQNALVEELFQSYFCQGKYIGDKQVLLDAARKVGIEGAEELLEDPTRGVDEVQEELNKYSSGISGVPHFVINGKYQLSGGQPPSTFMRAFEMAAKDGA >KQK88846 pep chromosome:Setaria_italica_v2.0:IX:17720578:17723490:-1 gene:SETIT_035822mg transcript:KQK88846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEQRGTLRHEDAVENFMMDESSSDDNTENYFPNGEKQKDRADQQSKKSNFVNEESNWWEELLDENTYMEADYSGKMILLLDILSKSSELGDKVLVFSQSLTTLDLVEFYLSKLQIKGKEGKHWKRGKDWYRLDGSTPSSDRQNLVEMFNDPENARVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHDLQAIYRVWRYGQTKPVYAYRLMAHRTMEEKIYKRQVTKEGLAARVVDRQQVSRTISKEEMLHLFEFGEEELMEQNENGSTMTEKPFTSNTSGTSEPVPVDRLMLNLLSEQTGWIAGYHEHEALLQENEEERLTKEEQDMALSEWEALRKGVRDPERKSNMTAVPADPNVVRSVKAASRSRQPQQPKVNSNNQKKCNNLTHMLTLRSEGTKAGCTITCNECGQEICWETLNRDRTR >KQK90064 pep chromosome:Setaria_italica_v2.0:IX:39267982:39269595:1 gene:SETIT_035534mg transcript:KQK90064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVKATPALMSRLLPAPALVKLRACGLYKLSDRGSAKEVEAFEYSGETCAKNAADGDEGEYLGWSKEEIDAISALFDRPMRQKPLKPPNPAKQRALPLPLPHKTRLPVAPAPKQHVRLATRVALSSRASFSDQVRKNPEFLLGIAREIAAIPPEHGVSTVLDRWARFLRKGSLSLTIRELGHMGLPERALQTLCWAQRQKAVPLFPDDRVLASTIEVLARFGQLKVESALEQCVPTASRAILEAMSSGFIRAGKVDLTRKLLELARINNRTLHPSIYVKLMLEAIRTPEGYGLAMALVDELGERSDLELRPQDCTSVMKVCIKLRRYTAVESLFSWFRESGGSPTVVMYTTVIHSRCRDGMHREALSLAWEMEQAGCLLDLPAYRVIVKLCVALRDPERALRYLLRMEEAGFVPTSDMYNGLIEGYAAEGRLARCRQLIRESESAGVKLDRRLLSRLSETGNALSS >KQK91605 pep chromosome:Setaria_italica_v2.0:IX:50779573:50779911:1 gene:SETIT_040570mg transcript:KQK91605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSISIPVARSSCKFVLRVYSLENINSHSLLHSYHSHLGHSNSSCFCMLLNAVSN >KQK88012 pep chromosome:Setaria_italica_v2.0:IX:10871326:10872969:1 gene:SETIT_035125mg transcript:KQK88012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLRQLHAALVKSGLARDPIAASRAVAFCAGPCRDVAYAERIVRHHPRPNSFMWNTVIRALSDGARPEAAVALFVEMLGSPTPPERRTLPSVLAACARMGRAGAGAGAALHGMALKLGLAGDSYVRNAAIAMYGSCGAADEALALLAQCPEFDAVACNSAIVALARAGRVDEARAVFDGMPERTVATWSAMVSVYARAARCGEALALFAAMQEGGVEPNANVLVSVLGCCAGLGALEQGAWVHAYIDRHGVAMNALVVTALIDMYCKCGSVEKAREVFDMARSQGLAKLSSWNSMMQGLAVHGQWQEAIALFSELKSHGLSPDNVTFIAVLTAYGHSGMPDEAKAAFASMANEHKVEPGIEHYGCLVDALARAGRLREAEGAIQAMPMAPDAAVWGALLSGCRLHGDADLGARAAREAVRCDPRDSGAYVLAASVAARGGDAGRAAAAGVRGKMRKAGVGKVPGCSMIEVNGVVHEFVS >KQK87792 pep chromosome:Setaria_italica_v2.0:IX:9389109:9389540:1 gene:SETIT_040742mg transcript:KQK87792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVVGVMTQLRLRKWLVQSNQLLVMEAANPQIFEDNDAKVLKQLLLHNSTTQVMDTC >KQK89242 pep chromosome:Setaria_italica_v2.0:IX:22459331:22460225:-1 gene:SETIT_037356mg transcript:KQK89242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKPVALGLLALLSIGLSSAASARVARYSTAEGKGEGQGEGVAYGSGSGSGSGDGFGSSDTSNTGGRDGISKAHARAGGGGSGDGGSNLGGAAHGSGSGSGTSSSSSRGSGREWLAGGYANAGGAGVPIPWVMGMDLDQVLALAPDMMIVVIMGGTRMRLVVVMAMAEAMGYLVDMAMVKVTDKGMGIVAMDHHPPKIKPHGVGLDQPNLTAHHTTHSFSFCEESH >KQK88159 pep chromosome:Setaria_italica_v2.0:IX:12045054:12045606:1 gene:SETIT_0398012mg transcript:KQK88159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVNPTPATEDPPPLVNGEFAASDKNNNAEKFGPEESREVAVTSNNADEVKNGCENGTEGAADEVANIVEADDSNGDVKMIDTQHEVNTEGADVKMVDTQHEANTEAEDVKMVDTQHEANIEAKDVKMDGTQDVKMVHTQHEANIEAEEDACQDKEGKNGDNQHDKATEGE >KQK90867 pep chromosome:Setaria_italica_v2.0:IX:46279131:46284839:1 gene:SETIT_035353mg transcript:KQK90867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAAGDPPDSAGGGGGGEGGGGEVAPGEEGRGRRRWWRCAAAVLLGAAVVLSALFWLPPFAARRRRDEEARADPWGGADVVASFRLQRMIYELSGNKSKLEYDIFEEIGINNSAVSVLSLDPTAESNWTTVTFGIWPYPSNFTISPTELSILRSSLVSLVIHQSILHLTPSLFGNSSSFEILRFPGGITIIPPQTAFVPQKPDGLFNFSLNFPIDVVQDKLSELKAQMKSGLFLNEHEILYVTLTNLYGSTVAPPTIVQTSVLLAVGADNKPPSLQRLKQLAQTLRNSSSGNLGLNHTVFGRVKQISLSSYLQHSLNNSGNAHSPSPAPQPYNQPPSIHQDHIDHHHHHHHHHHHHHHDHSHQSLQHLPPAPAPLHGAPTFLSCGSTCTRRKEHSTAKHHSPSIRDPLLRHIVPAASPNSYGASGPYADPPSFHPRISSSPLPAVVFPAMPPSMRTLKPPNKFSSISPATSISFAPRLSSHWWVIASLLYWPLL >KQK90708 pep chromosome:Setaria_italica_v2.0:IX:45218687:45221321:1 gene:SETIT_038816mg transcript:KQK90708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVHVSLPPLLLLLLVLAAALPAGALRFDLHSGHTKCISDDMKVGTMAVGKYHIVAPDDGTSSLSSSSSQQQHQQLPDSHRISLRVTSPYGNSLHYAENVHSGNFAFTASEAGDYLACFWAPDHRPPVTVAFEFDWRSGVSARDWSAVAKKGQVEMMELELRKLEDTIKSIHEEMFYLREREEEMQELNRRTNSRMAWLGFLSLAICLSVAGLQLWHLKNFFERKKLL >KQK90710 pep chromosome:Setaria_italica_v2.0:IX:45243418:45245378:1 gene:SETIT_035309mg transcript:KQK90710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITQGIQASDKGSPVHPNASPANPQNLAIDATNLPTTAVAGGGAGQERGRNKLTLFPLIFLIFFEVAGGPYGAEPAVQAGGPLFALLGFLVFPFVWAVPESLVTAELSTAMPGNGGYVQWVDRAFGPFAASLMGTWKYICAAVGAAAFPALCSDYLSRAVPAVSGGGARVATIVTFNVALTLLSCTGLSVVGWTAVALGLAALSPFLLMVAIALPKIRPRRWGTTARVKDWKLLLNTLFWNLNGWDSVSTMAGEVERPGRTFPAALVSAVCIGSLGYLLPLMAATGAVDAPPEAWGDGYFADAAGVIAGKWLKYWIEAGAAASSVGLYSATLSSAAYLLVGMADLGHLPSVFAARASGLGTPWASIAATGAVALGMSFASFDSIVAVTNFLYGLGMLLELAAFLWLRARRPGMPRPYRVPAGTAGAAAMCGVPAAFLAAVMAVAGWKVCAASAGFTAAAVAVYYGMAFCRARGCVEFGRAEGGGGESGGTGCESRKEGQPGDV >KQK89015 pep chromosome:Setaria_italica_v2.0:IX:19498680:19503508:-1 gene:SETIT_039562mg transcript:KQK89015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNFFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMIAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCARDHIDGFPPLVHRWCMRHFAANMSRRQKSKGVIGKLKLLCTVHTESEFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRKKKRFTGEMDVSEGRLSADYDTENQKALNLDRAVMSRVIRTIGMAAPGYPLLEAAYDLHHRAHHLADNNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTYGFGSECPWPWHRHDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSDIVFSPMCYRDRELWRCTTPMIMYFVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDVPSEDDADIADAYDTVTRHGTQPERAPLHDYMGQQLARLSNEAG >KQK90196 pep chromosome:Setaria_italica_v2.0:IX:40552741:40553669:-1 gene:SETIT_037321mg transcript:KQK90196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGDLKVLGVWTSPFVIRVRIVLNLKGLAYEYVEEDLGNKSALLLGSNPVNKSVPVLLHGGRPVNESQVIIQYIDEVWAGAGPAVLPSDPYERAVARFWAAYVDDKVGSAWQGMLFRCNNEEERAEAVARAAEALQTLEGAFEECSKGKPFFGGDGIGFVDVVLGGYLGWFGAIDRIIGRKLIDPARTPLLAAWEERFRTTEVAKGIVPDDVDKVLAFLQTLLAIGSMK >KQK91594 pep chromosome:Setaria_italica_v2.0:IX:50704184:50705566:1 gene:SETIT_039664mg transcript:KQK91594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQILLLCLSLLAHAVAAVAAAADGEARFGGRVLLPLRVQGAPPPPQAPANKLRFRHDVSLTVSVAVGTPPQNVTMVLDTGSELSWLLCNGTGTRAPAPPPPGPAAAFNASASSTYGAVRCPSPECQWRGRDLPVPPFCAAPPSNSCRVSLSYADASSADGVLAADTFLLGGAPPVPALFGCVTSYYSATGGRGSSGNATSSSQEAATGLLGMNRGSLSFVTQTATRRFAYCIGPGYGPGLLVLGGDGAALAPPLNYTPLIEISQPLPYFDRVAYSVQLEGIRVGAALLPIPKSVLAPDHTGAGQTMVDSGTQFTFLLADAYGVLKGEFLNQTRPLLAPLGEPGFVFQGAFDACFRAPEARVAAASRLLPEVGLVLRGAEVAVAGEKLLYRVPGERRGEGGAEAVWCLTFGNSDMAGMSAYVIGHHHQQNVWVEYDLLNGRVGFAPARCDLATQRLGSGA >KQK90322 pep chromosome:Setaria_italica_v2.0:IX:41613348:41614252:-1 gene:SETIT_037642mg transcript:KQK90322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYHLKQYEKEHMKMAMLKQEETFKQQVQELHRLYRVQKLLMTDAASAATAMPAATRCDLEDERRAAENDAGSSRSWDDAYPEKGKKAAAPRLVLQESDLELTLSLGCFGTTGATNKAAKKEASSSVDSRTSGSSSSTESGSPDRRVVVLPAPSLIGSATTKPGAGSVGQRLEQDGLQQPPWLHKCLNLAR >KQK87206 pep chromosome:Setaria_italica_v2.0:IX:6089364:6089774:1 gene:SETIT_040822mg transcript:KQK87206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYGYQNQEQNCHTKRVFIFFTILMADGF >KQK92091 pep chromosome:Setaria_italica_v2.0:IX:53618719:53622017:1 gene:SETIT_034816mg transcript:KQK92091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQHSKDRMFITRTEWATEWGGAKQKEVAAPFKRLPFYCCALTFLPFEDPVCTVDGSVFDLMSIIPYLKKFGKHPVTGAPLKEEDLIPLTFHKNSDGEFQCPVLNKVFTEFTHIVAVKTTGNVFCYEAIQELNIKPKNWKELLTDDPFTRNDLITIQNPNVLDSKVLGEFDHVKKGLKLEDEELQRMKDDPTYNINISGDLKQMIKELGTEKGKEAFLQGGGGHKAQKERAAALAAILARKEKDDSKSGKEPKPQQTFSIVDAASASVHGRSAAAAKAASAEKTAARIAMHMAGDRAPVNAKLVKSRYTTGAASRSFTSTAYDPVTKNEFEYVKVERNPKKKGYVQLHTTHGDLNLELHCDITPRTCENFLTHCENGYYNGLIFHRSIKNFMIQGGDPTGTGSGGESIWGKPFKDEVNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSAPHLNFKHTVFGMVVGGLTTLSAMEKVPVDDDDRPLEEIKILRISIFVNPYTEPDEEEEKAKEEEEKKQDEDYDKVGSWYSNPGTGVAGSTSTGGGVGKYLKARTAGSVDVTVNAGAPDDSSKKRKATASSVEFKDFSGW >KQK86379 pep chromosome:Setaria_italica_v2.0:IX:1540238:1542901:1 gene:SETIT_039682mg transcript:KQK86379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVSMSVEFRSECDDIEEAKSPSNTSVAAVAVKNADASVYKIIHGYLKQKNNSIIRVAANVARKAASNKLSRKTSDVFDTLIQKQQSKWGNKSGPLLSGIFYCIASCSMILLNKVVLSGYNFDAGISLMLYQNFVCVVILLILELFHVITTEELTWKLIKVWIPVNLIFIGMLVTGMYSLKYINVAMVTILKNMTNIITAIGEIYIFRKGQNKKVWVALFLMIVSAVCGGITDLSFHLIGYTWQILNCFLTAGYSLTLRRLMDTAKQSTKSGSLNEVSMVLLNNALSIPFALILVVVFNEWEYVCQAEVIREPMFWVVATASGLLGLAISFSSVWFLHQTGPTTYSLVGSLNKIPISVAGVLLFNVPVFLLGYSLQRQK >KQK86205 pep chromosome:Setaria_italica_v2.0:IX:757200:758578:-1 gene:SETIT_039589mg transcript:KQK86205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RATYQKADGTWSIPTGEEIMIKLHEVARTHQEKISSAPVPIVEPFALVLGRKPNHIHMKRIRLQAQMEASEQREAAARARADAAEQRAQALEGQVSTVVETNTQLQEEQQSQRDELSSFSHINCGDQLVTIVTKIVRTNIDTRPLVVVAPGGISGSRPDDGSIRPLWPLYVGVAASEAGLTVRSKSDSESRF >KQK89776 pep chromosome:Setaria_italica_v2.0:IX:35823007:35840258:1 gene:SETIT_033830mg transcript:KQK89776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFASRHAAAEAATSPGPPAVAPAGPPEEPEYLARYLVVKHSWRGRYRRILCIASSGVVTLDPTTLNLTNSYDAGAEFDKAEALTATDEFTLAVRSDGRGKFKPMRFSSPLRPGILTELHRLRPVHPALDFPVLHLRRRTHEWAPFKLKVTSVGVELLEASGEMRWCLDFRDMSSPAIIFLGDSYGRKTTEGGGFVLCPLYGRKSKAFMAASGTTNTAIISHLTKTAKLMIGLSLSVDNSQSMKAADFIAKRAMEAVGAAETRHGEWSVTRLRSAAHGTASIESLGLGVGPRGGLGEQGDSVSRLLVLTNTSLVERRPENYEAVIVRPLSAVNALVRFAEEPQMFAFEFNDGCSIHVYASTSRDNLLATVLDVLQTQRQCAIPVLPRLTMPGHRIDPPCGVAHHQMPHHGSVDMEAATMHIKHLAAVAKEAVASSDAVPGAKIRLWRRIREFNACVPYTGVPVNIEVPEMVLVALMSLLPNHPQNSAPIDAYPLPPPSPKAAATIMGFVACLRRLLTSRSVASHVMAFPAAVTRIMGLLRNGSEGVAAEGSGLVAMLIGGGPGDASMLMDTRGESHATYMHAKSVLFSHPMYVPILVNRLKPISVSPLLSLSVVEVLEAMLCEPHGETTQHATFVELLRQVAGLRRRLFALFAHPAESVRETISVIMRTIAEEDAIAAESMRDAALKDGALLRHLLNAFFFPAGERRDVSRQLVALWADSYQPALDLLSRILPPGLVAYLHTRSDEDSQSQYDEAPLSRRQKRILQQRRARGSKSMAAQEQGTPSNGVDDGALFRHTSAGTYGGADVDQRHVGQYTSAHSPVAGMNIDPSHAMSVPHGSVPEALSENNHQIGAPQLDSHVYLVDSNANGNLVSSSHSDFSVPAQVVVENTPVGSGRLLCNWYGFWRAFSLDHNRADLIWNERTRQELKEALQAEVHNLDVEKERTDDIVPGGSVTEDAGGSDNLPRISWNYAEFSVRYPSLFKEVCVGQYYLRLLLESGSNYRAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGAVPDELGSSDDWCDMGRLDGFGGGGGSSVRELCSRAMAIVYEQHYKVIGPFDGTAHITVLLDRTDDRALRHRLLLLLKALMNDLSNVEACVLVGGCVLAVDLLTVAHETSERTAIPLQSNLIAATAFMEPSKEWMYIDKDGTQVGPLEKDALRRLWSKKSIDWTTKCWASGMSDWKRLRDIRELRWALSVRVPVLTPTQVGEAALSILHSMASAHSDLDDAGEIVTPTPRVKRILSSPRCLPHVAQVMLTGEPSIVEAAASLLKAIVTRNPKAMIRLYSTGAFYFALAYPGSNLLSISQLFSATHTHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAGAMVSDSDTPEIIWTHKMRAENLIHQVLQHLGDFPQKLAQHCHSLYDYAPMPPVTYPNLKDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDLSEEEACKILEISLDDLVLGENGCSKQSSELSVANSGNKIENIDEEKLKRQYRKLAIKYHPDKNPEGREKFVAVQKAYERLQASMQGLQGPQVWRLLLLLKAQCILYKRYGHVLEPFKYAGYPMLLNAVTVDKDDSNFLSSDRAPLLIAASELIWLTCVSSSLNGEELIRDGGIPLLATLLSRCMCIVQPTTLANEPAARIVTNIMHTFSVLSQFESGRVEILKFGGLVEDIVHCTELEFVPSAVDAALLTAANISVTPELQDALLRAGFLWYVLPLLLQYDSTAEENETSEAHGVGARVQIAKNLHAVHAIEALSRLCGLSSDEIRCPSNKPAYNALKALLTPKLADMLRNHPPKELLSNLNSNLESPEIIWNSSTRGELLKFVDQQRASQGPDGSYDLTESQSFTYESLSKELNVGNVYLRVYNNQPDFEISDQEEFCIALLKFIAELVQQWNSINLEESTIDQHVSLVDTSASENYQVGDSADEGKMDDSSEKQSTGTDGDSKVITNLQSGLTSLQNLLTSSPGLAAVFTSKERLIPLFECLALHVPPESNIPQICLSVLSLLTKHAPCLEAMVAERMSLILLFQILHCNPPCRDGALAVLYSLASTPELAWAAAKHGGVVYILELMLPLEEEIPMQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSAIKDGPGEAVVSSLEQTTETPELVWTPAMAASLSAQLATMASDLYQEQMKGRVVDWDVPEQASGQHVMKDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYVSSVAATHYEANAVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAMAYEGRRETMASGQATSGLQAEPSEHDNSGHSETNVQTPQERVRLSCLRVLHQLASSTTCAEAMAATSAGTPQVVPLLMKAIGWQGGSILALETLKRVVGAGNRARDALVAQGLKVGLVEVLLGILDWRAGGRQGLCNQMKWNESEASIGRVLAVEVLHAFATEGAHCARVLDVLNSSDVWGAYKDQKHDLFLPSNAQSSAAGVAGLIESSSSRLTYALTAPPPQPALVRLPSSAPSPPTAPANPSGRHSYHHS >KQK87150 pep chromosome:Setaria_italica_v2.0:IX:5788645:5804461:1 gene:SETIT_033826mg transcript:KQK87150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGGRVGGGLGGERRDRRRGGRRAAGQAAGWAATGGGAAWAATGGDGRRRGAATGDGRDAGRRRATTGALTTIKSRICVLHLKYSLGIWFCCFKGKDELESDFRRFWEEFRSSSSEKEKERALNLAVDVFCRLVKQHSSVAQLVAKLVEAHVFSFVIGRAFVTDVEKLRIHSKGRSLRVADVIGFFSDITELGICPGSNLLYAVEVLVTETNDKQPLLDSGILCCLIYILNSLLNPNEYSPSTSPARQAGSKIEESKKLDPTQSRRLEIKVLLMAVKDFNPQSGDAAYTMGIVDLLLECVELSYRPESGSIRLREDIHNAHGYQFLVQFALTLCSLHKNQTLQSSSKLVSGEDGFDPSHRLEQDIFSCDLSPQLSRLLDVLVNLSQVGPSENGGGKSLKSSHAKGTGHNRSRTPSADKFDEVMEVSSPKVKDLDAIQMLQDIFLKADNLEVQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMGSFPAALQEVILKILEYAVTVVNCIPEQELLSLCCLLQQPISTSLKHTVLSFFVKLLSFDQQYKKVLREVGVLGVLLDDLKQNKLFFGDEQHNKAFESTERISNASNFQKTVDNKDAILSPKLMASSSAKFPMFEDEGTITVAWDCLFYLLKRAEPNQQSFRSSNGVNIILPFLVSESHRSGVLRLLSCLIIEDSLQAHPEEIGSLVEILKSGMVSTSSGSQFKLDNDAKCDTFGALWRILGANSSAQRIFGEATGFSLLLTMLHSFQNDSENEETESSLHTHMKIFGFLLRAMTAAVCNNSVNRVRLHTILSSNTFYDLLSESGLLCVDCEKQVILLLLELALEIVLPPTSNLQVECISSETSEDESSFLSATSFGLSRLDKERVYNASAVVVLIRSLLVFTPKVQLELLRFIEKLANAGPFNQENLTSVGCVGLLLETISPFLEGSSPILNHALRIVELLGAYRLSSSELRLLVRYILQLKVKRSGHLFVNMMDKLIQMEDTRHGNVSLAPFIEMDMSKAGHASIQVSLGERTWPPVSGYSFVCWFQFQNFFKGQPKETEKTSKGAYGKRSGHVLRMFSVGAVDDANTLYAELYLHDNGVFTISTGSSSSLSFPGIEMEEGKWHHLAVVHSKPNALAGLFQASVASLYLDGKLRHTGKLGYSPSPFGKSLQVTLGTPTIRGKVSDMSWRLRCCYLFEEVLTPGGICFMYILGQGYRGLFQDTDLLRFVPNWACGGEVMAILDSLEVDVPASSSSQRVDSSMKQGNSRLEISGIVWDMERLRNLSLQLSGRKLIFAFDGTSSDAFRASGTLSLLNLVDPTSAAASPIGGIPRYGRLSGDVYICNQCTIGDTVQTVGGMPVVLALVEAAETRDMLHMALELLALSLQQSHQNVKNMQALRGYHLLALFLHRRMSLFDMQSLDIFFRIAACEASFPEPKKSKINRTASYASGMSPDASLDDLTLPKFGDDVSSGGSHGDLDEFSPQKDSFSHLSELENADLAGQSSEFIVLSNADMVEHVLLDWTIWVAAPISVQITLLGFLERMVSMHWFRNHNLTILRRINLVQHLLVTLQRGDVEIPVLEKLVVLLGVILEDGFLASELELVVRFIIMTFDPPELTPNHQIVREAMGKHVIVRNMLLEMLIDLQVTINAEELLEQWHKVVSSRLVTYFLDEAVHPTSMRWITTLLGVCLTSSTTFALKFRASGGFQGLNHVLPSFHDSPEIYYILFCLVFGKPVYPRVPEVRMLDFHALMPSDGNYGELKFVDLLDTIIAMAKATFDSLIMKSMLAHQNSNLSHLSGTLVADLDEATSDMGGDLQGEALMHKTYAARLMGGEAAAPAVATSILRFMVDLAKMCAPFSAVCRRHDFLESCVDLYFSCVRSDCALKMAKDLTTAATDEKNVHDNDNGSSKDTFSSLPHDQEQSANTMSVTSFPQEPKSSSSESTGVPNAFETAEVKADDSSNREQELSTKVLNGQANQMFNNAHDQGRITAPSANGIAESHQVTDSPNSVSMNNVGSPVLSERSTHRAASTPSASPMAPFTSWPGSAGSYSDGRHLTASPSMASSISGIDLDSSPDPKTHIQSSPAVNTLFPISSKLLLDIDDLGYGGGPCSAGATAVLDFVAQILADIISEQFKATLFIESVLESVPLFVDIESALVFQGLCLSRLMNFLERKLLLDDEEDGKKLDKSRWSANLDPLCWMIVDRVYMGCFPTPLRVLQTLEFLMSMLQLANKDGRIEDAVPPGKGILSIARGSRQLDPYIHAILKNTNRMIMYCFLPTFLKSMGEDDLLANLAFLTETGRSLASKPHQEDFSVDICTVLQLLIANKRLVLCPSNVDTDLMCCFCINLMALLQDKRLTAQNLAVDLLKYLVVHRRQSLEDLLVCKPNQGQQLDILHGGLDKLLTGSTSMFFEWLQSSQQTISKVLDQCALIMWVQYITGSAKFPGVRIKGMEVRRRKEMGRKSRESVKLDVRHWEQINERRYNLDLVRDVMSTELRAIRQDKYGWILHGESEWQSQLQELVHERGIFPMRQSSTEPAWQLCAVEGPYRMRKKLEHSKFKIDTIQNVLTSNLGFDDAKMTSKEDGDLLMTSGSDTMSGLNLLDYGTEQKDLDAAEFASFKDDDDIFKGGSTISAPIGWTDDKSSINEQSLHSATEFGAKSSSLSFHMTESHHGKSELSSPRRAPSVKGTDAKTSEDKSEKELLDNGEYLIRPYLEPYEKIRHKYNCERVAGLDKRDGIFLIGELCLYIIENFYIDDSNCICEKSSEDELSVIDQALGVNKDIMGSSESQLKSPSMWGATAKVGLGGRAWAYNGGAWGKEKLCSSSNLPHPWHMWKLDSVHELLKRDYQLRPVAIEIFSMDGCNELLVFHKKEREEVFRNLIAMNLPRNSMLDTTISASSKQDSGEGSRLFKVMAKSFSKRWQSGEITNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESDTLDLRNPQTFRKLDKPMGCQTEEGEDEFRKRYDSWDDPDVPKFHYGSHYSSAGIVLFYLLRLPPFSTENQKLQGGQFDHADRLFNSVKDTWVSAAGKSNTSDVKELIPEFYYLPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSTREFIRKHREALESDYVSENLHHWIDLIFGYKQRGKAAEDAVNVFYHYTYEGNVDIDAVSDPTMKASILAQINHFGQTPKQLFQKPHPQRRTDRKVPPHPLRYSAYLTQQEIRKTASSVSQIVSYNDKILIAAANSLLKPIAYSEYISWGFPDRSLRILTYDQDRLLSTHENLHGGSQIQCTGVSHDGNILTTGGDDGVVAVWRFVKDGIRRLLRMEKALCAHTGKITCVYVSQPYSLIVSGSDDCSVILWDLTSLVFVKQLPRFPASVSALHVNNLTGEILTGAGVLFAVWSINGDCLAVVNTSQLPSDLILSVASTTHSDWQDTNWYVTGHQSGAVKVWKMVHCSSDEAVNSKSKSPAIPSGGLSLNGQTPEYRLLLQKVLKSHKHPVTALCIPPDLKQLLSGDASGHLLSWSLKDDSFKGS >KQK90227 pep chromosome:Setaria_italica_v2.0:IX:40817265:40818043:-1 gene:SETIT_037714mg transcript:KQK90227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQRRLEEQRACGRRARAETRHPVYRGVRLRAGKWVSEIRELRKPSRIWLGTYPTPEMAAAAYDAAALALRGAGTALNFPDAARSRPAPASASAEDVRAAAAAAAAAMDGRRHHHHELRGDSGDAMAAGGVVDEDDLFEMPRLMMSMAEGLMMSPPALGPAAAPMMEADEEGVSLWDHS >KQK90548 pep chromosome:Setaria_italica_v2.0:IX:43561624:43564304:1 gene:SETIT_034498mg transcript:KQK90548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLDSNGHRETRMQNAENPAPVSVKSPDMSLEVQEKLPHNLAEHQKELTSPVGHTVSSSPEMLSHIRPAQASKEEGDHAILPNKTEAKNISENGFTSVNTMPAAEMKSKENNMNHHKNIAVAQENIVKSEKGSEGSYRGLVDTTAPFESVKEAVTKFGGIIDWKAYRAKSLEAVEELKLLKEELTSMHEQYAVLATERDVAIKRAEEVVAAAKDTEKQVEELTLELIASKESLEVAHASHHEAEEHRLGAALAKEQDCLAWEKELQQVQEELHQLNEQIVSKANVEAEIDGNEHKLLSLKSELAAYVDNKLNEEAGVIQEQGSDEAKEISRSIKQALVSKRKELDEYRGKLENAKTEANLIRVIAESLRSELDREKASLSTLQQSEGMASITVSSLDAELERTKQEIEMIHKKEAETREKMAELPRMLQQAAKEAEDAKMAAQSAQEELRKAKEEAEQTKAAATTADIRLRAVLKEIEASKASERLAVVAAQAMQESEETGSVGDSPRGVTLPINEYHALSKRVHEAEEVANEKVAAALAQIELAKESESRSLEKLHEASKEMDEKKSDLRIALERAEKANEGKLGAEQELRRWRAEHMQRRKAHEATKHAVSTVSTPPRTFDEHKSSHQEDDVFLTDPKLHRSTGSVDHFVSDEKVQKGSVDHFVSDEKVQKKKSFFPQLSTLLSRKAQTQT >KQK91475 pep chromosome:Setaria_italica_v2.0:IX:50055813:50059159:-1 gene:SETIT_036749mg transcript:KQK91475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDRMRRQGQYGEADITSMVAAQLHHYKAQQRVQQHPENSYTGRDPGQASGEHQYTPPKVRQSQWDRGGPNMPSKVPSYAYNEGQGAAGAQSFYDGQRSDAKVGLEKQTSKETRDHARTDRTETRYEDYNLPRTFEGLEQSFHEDIMMLSKELHDAEDAENSRHRERLKEINTQYQEKLLALRARQANYRDEFLRKESLARQQQYQQASMSHYANNSAGGEQHGYHPTALPGEQHGYHPTAATTPAAGGAGGAYGEAHRGYASGQYESFSDRPDYPEFHGGGRGRGRGFERRGQYPGGRDYNSGGRRF >KQK92799 pep chromosome:Setaria_italica_v2.0:IX:57273426:57273979:1 gene:SETIT_038224mg transcript:KQK92799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGTSQQALEFRESVLSTPPIRSNYRPCVVASPSEAEALVRVRAHVPGGWPRPPVVAISSADRPGLPLRSGSVLHAFLCNRRMCSETISREK >KQK86983 pep chromosome:Setaria_italica_v2.0:IX:4880921:4882384:-1 gene:SETIT_039179mg transcript:KQK86983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRHTWLEARKVFVRMLSSGGAASVSGEVLVDALVPAKRLCKLIISCRKASALEHELDHSGFRVTPEVAERVLERLDNAGMLAYRFFEWARRQKRGGCAHTVRSFHTVVASLAKIRQYQLMWDVVAIMRKEGVANVETFCIIMRKYARAQKFDEAVYTFNVMEKYGVAPNLAAFNSLLCALCKSKNVRKAQEIFDKMNNRFTPDAKTYSILLEGWGRAPNLPKMREVYNEMLESGCQPDIVTYGIMVDALCKAGRIEEAVLVVHDMSSRGCQPTTFIYSVLVHTYGVEMRIEDAVATFLDMEKDGIVPDVVVYNALVTAFCKVKKFDNAFRVMDDMEGHGITPNSRTWNIILNTLISHGKDDEAYRVFRSMIKRCQPDSDTYTMMIKMFCENDKIEMALKVWKYMRLKQFLPSMHTFSVLINGLCDKGEVSQACVLLEDMIEKGIRPPGSTFGKLRQLLLKEGRKDVLDFLVEKMKILIQEPLFD >KQK89254 pep chromosome:Setaria_italica_v2.0:IX:22634098:22636455:-1 gene:SETIT_036377mg transcript:KQK89254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPPPSAAVPRRRWQSRLSPTLVRDRCYTRSFHSAGLRPAAVPLPDGAVVHLWLPPTAATGANPLHPVLLLHGFGATATWQWAPFLRPLLAAGLAPYVPDLIFFGASASPAADRSPAYQAACIAAAMTALPSAPQRYAVVGVSYGGFVAYHLAHAFPEAVERLVLIAAGVCLEEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTENVKEKTELLYVLINGRKLSDLPKISQQTLIIWGEQDRVFPLELGLRLKRFVYLHLSSCPSLYHNPLSYHIQIQASG >KQK89253 pep chromosome:Setaria_italica_v2.0:IX:22633396:22636455:-1 gene:SETIT_036377mg transcript:KQK89253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPPPSAAVPRRRWQSRLSPTLVRDRCYTRSFHSAGLRPAAVPLPDGAVVHLWLPPTAATGANPLHPVLLLHGFGATATWQWAPFLRPLLAAGLAPYVPDLIFFGASASPAADRSPAYQAACIAAAMTALPSAPQRYAVVGVSYGGFVAYHLAHAFPEAVERLVLIAAGVCLEEADLAAGLFAVEDIAEAASLLLPQRPEDLRRLVGLTFCRPPRFMPSCFIRDYIRVMCTENVKEKTELLYVLINGRKLSDLPKISQQTLIIWGEQDRVFPLELGLRLKRHLGDTSELIVVKDAGHAINREKPAELCRLIKNYIVDPSVKYRDDRKGSWKNAIKRFVGSSLRKVDSSRPLL >KQK92337 pep chromosome:Setaria_italica_v2.0:IX:54980609:54981566:1 gene:SETIT_038414mg transcript:KQK92337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLLMALAFSAAPLTLYVPPVRSLSLFVEAMEAVCRDCAPYSHGAVLRFRLGLSRILAGLARALR >KQK92978 pep chromosome:Setaria_italica_v2.0:IX:58143875:58144422:-1 gene:SETIT_040296mg transcript:KQK92978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVEQLGQERPGMVDNLTDQVNSMEIDGPAAEGAEGHDAARAHYFGTVFAEVPPPALAAPARTAANAQAPTPQ >KQK87498 pep chromosome:Setaria_italica_v2.0:IX:7569217:7573314:1 gene:SETIT_035193mg transcript:KQK87498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGVAALGVNTERAAQYKGRMTLAVAMTCLVAAVGGAIFGYDIGISGGVTSMDPFLKKFFPAVYHRKNSGSQNNYCKYDNQGLAAFTSSLYLAGLVSSLAASPVTRNYGRKASIVCGGISFLVGATLNVAAVNLAMLILGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGGLNMMFQLATTLGIFTANLINYGTQNIKPWGWRLSLGLAAAPAMLMTLGGLLLPETPNSLIERGRVEEGRRVLERIRGTADVDAEFTDMVEASELANTIEHPFRNILERRNRPQLVMAVCMPAFQILTGINSILFYAPVLFQSMGFGGNASLYSSVLTGAVLFSSTLISIGTVDRLGRRKLLISGGIQMIVCQVIVAVILGVKFGADKQLSRSYSIAVVVVICLFVLAFGWSWGPLGWTVPSEIFPLETRSAGQSITVAVNLLFTFAIAQAFLSLLCAFKFGIFLFFAGWITVMTVFVYVFLPETKGVPIEEMVLLWRKHWFWKKVMPDMPLEDGWGAAGGHAANNSHK >KQK87526 pep chromosome:Setaria_italica_v2.0:IX:7784613:7788305:-1 gene:SETIT_037776mg transcript:KQK87526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSMVSVPIAPSSLPLSTRGRCSSVSFPSPKKGGIGHGSLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKITKELSEEEVITLRKEVGKYMIEGDLKRFNRVAIERLKEIRCYKGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSSSSQEE >KQK87527 pep chromosome:Setaria_italica_v2.0:IX:7786704:7788305:-1 gene:SETIT_037776mg transcript:KQK87527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSMVSVPIAPSSLPLSTRGRCSSVSFPSPKKGGIGHGSLRIECIRIGGVEIPNHKRVEYSLQYIHGIGRSRSRQILLDLNFDNKITKELSEEEVITLRKEVGKYMIEGDLKRFNRVAIERLKEIRCYKGIRHKLGLPVRGQRTKNNCRTLKGKRASVAKKKSSSSQEE >KQK90162 pep chromosome:Setaria_italica_v2.0:IX:40336546:40337395:-1 gene:SETIT_038663mg transcript:KQK90162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTAILLALVIALACAATTADARFTAMQWTPAHATFYGDETAAETMGGACGYGNLYATGYGTDTAALSTTLFKDGYGCGTCYQIRCAGSRWCYWGSPVITVTATNLCPPNWAQDTNNGGWCNPPRTHFDLSKPAFMKMAQWRAGIVPVMYRRVPCVRRGGLRFALQGNPYWLLAYVMNVAGAGDVAEMWVRSGARGAWVRMSHNWGASYQAFAQLGGRSLSFKVTSYTTRQTVVATDVAPANWCLGLTYQARVNFS >KQK91394 pep chromosome:Setaria_italica_v2.0:IX:49561532:49563457:1 gene:SETIT_037213mg transcript:KQK91394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGLASSAKETLPPALGSTSQPPPVFDGTTSLYICYFCPFAQRAWVTRNFKGLQDKIKLVAIDLQDKPAWYKEKVYPQGTVPSLEHNNEIVGESLDLIKYIDSNFDGPALLPGDAAKRQVADELIAYANAFTKALYSPLISHAEVSDEVVAALDKLEVALSKFNDGPFFLGQISLVDIAYVTILERVQIYYSHLRSYEITKGRPNLEKFIEEMNKIDAYTQTKNDPLFLLDLAKNHLKIA >KQK92033 pep chromosome:Setaria_italica_v2.0:IX:53316968:53317856:1 gene:SETIT_039175mg transcript:KQK92033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAEKMEKCMNSNAAASASPAPRGYPIIAMPKTIRYDAHKEHPLVLVDIGGSSGGNGRSFTCDGCGCRGAGPRYRCGACDFDLHELCATAPGTAWFFFHGQHPLALELAVEDGGDGSPRHCDICEMDIHGMHYRCRPCGFDAHPVCLQLPGAAVSPLHPEHLVMLSVGGPEECTRCGADCVWRYRCGVCDVNLHPRCLLGTDETPLNIPRSN >KQK89916 pep chromosome:Setaria_italica_v2.0:IX:37135544:37136037:-1 gene:SETIT_040654mg transcript:KQK89916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGPHPGPRARPPHVPSDASGNLVFLGHGYFLLR >KQK87125 pep chromosome:Setaria_italica_v2.0:IX:5659404:5660608:-1 gene:SETIT_039215mg transcript:KQK87125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESDEEKPRTRKRRRVGRGGDGGPGETRRRHLYLVLDDWSSGYSIRKVDLSPSDRCCRRCGGRRHQPCLPPSLFRFEASAPCGVIMSPYFSAAGSSIMAMILREGGGGASCFDGRAHPIPHFPIGGGRRDALGPTYFPIGDDRLFVLGAFSFHVLDMPLPDAASGTSQLDPLSWRELPDAPVDCTDVVSHVVLPDGQTIFVSVGIIPDDEATYSFHMAGNGSSVWRHHGGWALPFRGRGYFDGDLNVWVGLSAYRPEEIGRVCACDLVSAGSDYGRRPAWKLSKEKLFSEDPAEVHMGATLLYMGGKSRFCLVECVYIKGGRDDVAYEYDEVEEEDPPTYLFRVTTFSLKLDENGDLTTGNSRRVRYYSVPEVASNGFHRNPVAFWM >KQK88083 pep chromosome:Setaria_italica_v2.0:IX:11401829:11403313:1 gene:SETIT_036343mg transcript:KQK88083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEEGCSFSLMCLEDGADLDGGFADGADDGKLLLLYSGAEGEDDEEYMDHLVSKESSFCCSPSTSSPSPSSPDFSDFSDAGTEPSPSPMASSEDWFRCARRDTVKWILETRACFGFSHRTAYLAVTYFDRFCLHRCFDRSVMPWAARLLAVACVSLAAKMEEYRAPALPEFRADDDEYEFSCVSIRRMELLVLSTLGWRMGGVTPFDYLPCLSSRLRRGNSGAGAGAVIVAAKAAALIFSTAEAASVLDYRPSTVAVAAILAATHGAMTKEALESKISSLSPSCLLDKDDVYACHSLMLLSSSSNSSAATSTTAKRPPPSTSADSVGAGSTYESSSFAAAASSNKRARLLELPAVGR >KQK89885 pep chromosome:Setaria_italica_v2.0:IX:36710434:36712790:-1 gene:SETIT_037084mg transcript:KQK89885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPTSPAASPLLLASRLGALHGPAPLLFHHHRRAGAGASSVHFLAPRRKTRPGPAMSWLGKLGLSGLGGSPRASEASAALAQGPDEDQPAPGNEFAQFGAGCFWGVELAFQRVPGVTRTEVGYSQGNLHEPTYEDVCTGATNHNEVVRVQYDTTACKFDDLLDVFWARHDPTTPNRQGNDVGTQYRSGIYYYTPEQEKAARESLEKQQKLLNRTIVTEILPAKRFYRAEEYHQQYLEKGGRFGFRQSAAKGCNDPIRCYG >KQK86738 pep chromosome:Setaria_italica_v2.0:IX:3500623:3501120:-1 gene:SETIT_038977mg transcript:KQK86738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKTTLLVAAAVAAVVGVAALLPEMACAATYRVGDDAGWNTAVDYDAWASGKKFKVGDTLVFRYDTPSEKDVVVVDAQGYAECSVPDNARLLNSGDDHVVLEQAGQFFFICDAEGECDSGMKLAVNVH >KQK89687 pep chromosome:Setaria_italica_v2.0:IX:35040070:35040444:-1 gene:SETIT_038923mg transcript:KQK89687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSNKNLKQRVDDAACSGKPARTHWRRRDPADTSVYVVHPTQFRAVVQQLTGAGAASSPPPAAHHHQGGNGATAAQQTQSAVATGAIGAQQHGSRGEENNSSRRTLGQILDECMAWASAADD >KQK90832 pep chromosome:Setaria_italica_v2.0:IX:46068941:46071548:1 gene:SETIT_040573mg transcript:KQK90832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTHIGKFMDSVGSIFRGGDVLPWCDSDIIAGFEREIADATNEEQKNDSLMRFSWALVHSRQPEDVNRGIAMLQASLDRPSSPLQTREKLYLLAVGHYRTGDYTRSRQLLERCLEIQPDWRQALTLQRLVEEKTRRDGMIGMAIITGAFGLVGLVAGGIIAAASSSSSSSRKK >KQK87483 pep chromosome:Setaria_italica_v2.0:IX:7512074:7512576:1 gene:SETIT_040795mg transcript:KQK87483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEPKGREQFGPGHGHNCLHQCTAAPNKPFQVSSESYCRQF >KQK88910 pep chromosome:Setaria_italica_v2.0:IX:18500918:18503026:-1 gene:SETIT_038249mg transcript:KQK88910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPEPELAVPWSPADPSELSRAQTMEMGLGDLSAQQQFGLSSTIAPQPPLQMKVYLAHDDAMMDRQFGDTDLAKWIASTVLVITVHSK >KQK89602 pep chromosome:Setaria_italica_v2.0:IX:33686669:33687337:-1 gene:SETIT_037840mg transcript:KQK89602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIALVVLLALSAVATTTATCPQNFPLMTGIGMMDPCMQSCMMQQPFTMVSSFSPMMGMGSMVPCMQSCMMQRAFTIGGSPVLAMLMQQSPLAMLQQQCCMQSMMQGMMSPQCHCGAMCQMMHLQQIRMAMQLPFMWNTAAMMMQPTYWRQPFPSCAC >KQK91584 pep chromosome:Setaria_italica_v2.0:IX:50631992:50633196:1 gene:SETIT_038132mg transcript:KQK91584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYIGCRTSRTVATNSRRPFLDQQATAQIRYKKRILIDKHLYVVCSRIRKPGLIKRASKQLGKLKKNTNNESIVNCANNDSSAHKEWGVNKAGVKTVRCKHRDLLHRTEM >KQK91806 pep chromosome:Setaria_italica_v2.0:IX:51900887:51901930:1 gene:SETIT_039509mg transcript:KQK91806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRFGNIAYDLPRHIVRKTLVNLQGKPCPDPVCTVAEYTARHPVVVPVPSEGVRLVGEKLGRCFSTTSEPAEDTKELLFIPTESDKIEPPRNLGPGEYMIPGQLVFPEPGKLKFRDVMFQQSTPGGIRANYLDAHAFITKNLLQPGIRVPDDIKHQLKLMKSPESATMGPLISRHASVVPWGLRRGLVMSYIPYIRHVLPHVDPAAEATVLSEMPYLDDWIERAKNNKLLELFFNHRRDKYRADATGLLDFFYDISINKMEWCWSRCFKPDGGYPPGESGYKPDETEIVMTVTYPELMPKIQESLWKTNHLQKAR >KQK87014 pep chromosome:Setaria_italica_v2.0:IX:5073788:5077231:-1 gene:SETIT_040074mg transcript:KQK87014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSLAGGATAGAASRVRFAPTSNNLLVSSWDSGLRLYDADANALRFKADSEAALLDCCFENESAAFAGCSDGSVRRYDFRSGSEDTVGLHEDVVACTEYCQITGQIVTGTFNKKLQFWDAKVRSVSPNSTITFNSDVTSLSLCGMYISAAVAKTVNFYDMRNLTGPVEANFSPLEYQIRCHQSSAEWDGYVAGSVDGVVALNYLDDVKNENMGYAFRCHPNSRNGRSNLVPINCIAMHPSKKTFVTGDDGGYAIAWDAELKKKLIELPSYSGSVASMAYNYNGQLLAVAPNYYQEADKM >KQK92593 pep chromosome:Setaria_italica_v2.0:IX:56275318:56276426:-1 gene:SETIT_038247mg transcript:KQK92593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIQYSEKYFDDTYEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQEAAAAAAAQMLPK >KQK89621 pep chromosome:Setaria_italica_v2.0:IX:33896700:33900238:1 gene:SETIT_033990mg transcript:KQK89621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLHVLLLLSSIYVSSSVFTPVVVVAATDAEALLRFKASIQKDPGGALSSWQQSGSDGPCSWHGVGCDDGGDGRVTRLDLAGGGLVAGRASLAALSAIDTLQHLNLSGNGALRADAADLLNLTRALRTLDFSYGGLGGVLPGDLLARYPNLTDVRLSRNNLTGVLPESLLASAPTSIRSFDVSGNNLSGDISTMSFAETLTLLDLSENRFGGAIPPALSRCAGLATLNLSYNGLTGSIPEAVAGIAGLEVFDVSSNHLTGAIPDSIGNACASLEVLKVSSNNISGPIPDSLSSCHALRLLDAANNKLTGAIPAAVLGNLTSLESLLLSNNFISGSLPGTIASCTNLRVADFSSNKISGALPAELCSPGAAALEELRMPDNMVTGAIPPGLANCSRLRVIDFSINYLRGPIPPELGQLRGLEKLVMWFNGLEGRIPAELGQCRGLRTLILNNNFIAGDIPVELFNCTGLEWVSLTSNRITGTIRPEFGRLTRLAVLQLANNSLEGAIPKELGNCSSLMWLDLNSNRLTGEIPRRLGRQLGSTPLSGILSGNTLAFVRNVGNSCKGVGGLLEFAGIRPERLLQVPTLKSCDFTRLYSGAAVSGWTRYQTLEYLDLSYNALSGSIPEEFGDMAVLQVLDLARNNLTGGIPASLGRLSNLGVFDVSRNALSGGIPDSFSNLSFLVQIDVSENNLSGEIPQRGQLSTLPASQYAGNPGLCGMPLLPCGPPPRATASSVLAEPDGGRRGAPWGAILAALVAGVVACGLAAACAVVARARRKEAREARMLSSLQDGTRTATVWKLGKAEKEALSINVATFQRQLRRLTFTQLIEATNGFSAGSLVGSGGFGEVFKATLKDGSRVAIKKLIHLSYQGDREFTAEMETLGKIKHRNLVPLLGYCKIGEERLLVYEYMPHGSLEDALHGGGGALRLPWARRRRVARGAARGLCFLHHNCIPHIIHRDMKSSNVLLDADMEARVADFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSLGVVFLELLTGRRPTDKEDFGDTNLVGWVKMKVREGAGKEVVDPELVAAAVDGEEREMARFLELALQCVDDFPSKRPNMLQVVATLRELDDAPPSSNEPAQSAACD >KQK92632 pep chromosome:Setaria_italica_v2.0:IX:56505383:56506744:-1 gene:SETIT_038894mg transcript:KQK92632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMVISEYVLRKPWLNCRFLNCILRFIRCCKIAEPIGRSLGQLNLLKATKKRRCLPERCKTLRPVPAAIQEMICDTLERLRRRTNLEGYEELSRYCKARTHIERMMVWHVATSKLLEEEEDQLRLGEGQNGLEGGEGKNDLEVGRSSGGSKGLGYYKLVATTLSRYCAYLVFYKPKLLPIASNSVRYMCNELVREANSKEKPNGSQDATAAIEGDKLSKMLAELWCELIKELGKGGEFITHLRALLYHAGIDDKFSGSSTADADAAPNKEDNHGQGGSNISVQVVLCVVIC >KQK86289 pep chromosome:Setaria_italica_v2.0:IX:1140924:1141574:-1 gene:SETIT_039842mg transcript:KQK86289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFWEPCFGKDNECSNCDCGWKTFAIWAGIILVLCAVIIVLVIAFAVKFPPKATADDAVLSRFALAPGSPAANSTISYNITATVSLRNPNIHRAIAYGPLAVSFSFNGSRFDESGTIPAFDHKPRKTATVRVTVGGVDKPVKLTADGVKEFRSDNDTGKFGVEMRLDTTLQYKGRSAKCPLVVVCPLQLQLVDPDVAATAFQRTKCTILRAKKSGC >KQK89601 pep chromosome:Setaria_italica_v2.0:IX:33683880:33684554:-1 gene:SETIT_037837mg transcript:KQK89601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIALVVLLALSAVATTTATCPQNFPLMMGIGMMDPCMQSCMMQQPFTMQSPLAMLQQQCCMQSMMQGMMSPQCRCGAMCQMMHLQQIRIAMQLPFMWNTAAMMMQPTYWRQPFPSCAC >KQK89600 pep chromosome:Setaria_italica_v2.0:IX:33684026:33684508:-1 gene:SETIT_037837mg transcript:KQK89600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIALVVLLALSAVATTTATCPQNFPLMMGIGMMDPCMQSCMMQQPFTMVSSFSPIMGMGSMVPCMQSCMMQRAFTIGGSPLLAMLMQQSPLAMLQQQCCMQSMMQGMMSPQCRCGAMCQMMHLQQIRIAMQLPFMWNTAAMMMQPTYWRQPFPSCAC >KQK87277 pep chromosome:Setaria_italica_v2.0:IX:6352112:6352296:1 gene:SETIT_040792mg transcript:KQK87277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWDRCYYDWEIHIPLGVCVAAAPAPAAAACMPLAATCHVRQE >KQK91768 pep chromosome:Setaria_italica_v2.0:IX:51685422:51685872:-1 gene:SETIT_038393mg transcript:KQK91768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQHSTCWGCSSPSSLPCCWPAADEVHTSPTQALHRRLPLLLRRGDTFKPPQGREDHLLRCAEVNRR >KQK90802 pep chromosome:Setaria_italica_v2.0:IX:45841259:45844461:1 gene:SETIT_037739mg transcript:KQK90802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGKGLARRWAVELHDVSSSASPAVPDPPGFTRSAPDADDAAGARQRKDSEAAWKAQKAWEVAQSPFKNLMMMGFMMWMAGSTVHLFSIGITFSALWQPISALRSVGKVFEPFKDPRVDTIAPKLLFIALNLAAMGLGVWKLNTLGLLPTNPSDWVSSLAPAPEVEYAGGGIPLI >KQK91221 pep chromosome:Setaria_italica_v2.0:IX:48578315:48581767:1 gene:SETIT_034576mg transcript:KQK91221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCEEEGGLVVGQHDWCPPLGDRFKLRLGCAIDAREAMAVSSPSSAPEKKRKWLLSNRKVIDKYLREARAILVAAPESGGGDAVAALGLVDAALELSPRMEAALELRARALLVLRRYREVAEMLRDYIPSCGKSCSGDDTASSSSAASLLSSGSGDLGTISRAKLLSPDRHRSDDAEPDARPVRSFRCFDISELKRRVLAGLSKNPNTDTQWRYLVLGQACFHLGLIEDAMVLLQTGRRLASAAFRRESVCWSDDSFTSSAAAAAVATVPSGKTSKSGSAFIIPAMESEAVSQLLAHVKLLLRRRAAAMAALDAGLPAEAVRHFSKILEARRGVLPHPFAAACLVGRAAAFQAGGRPADAIADCNRALALDPAYIPALRARADLLQSVGALADCLRDLDHLKLLYDAALRDGKLPGPRWRPQGGVRYREIAGAHRKLTARIQGLRSRVAAGEACNIDYYLLLGVRRGCTRSELERAHLLLSLKLKPDRAVVFGERLELVDEHRDLEAVRDQARMSALLLYRMLQKGYSFVMSAVLDEEAAERQRAKDAAAAAAAAAAAALAAKQEAAKQEPPQPVPEKSKTTETVRPRSPPGRAPKAKTKPKTAAVPTVSKAPVAVTSTAPVYQGVFCRDMAVVGTLLSRGAGGGFDRALPVKCEAMSC >KQK89053 pep chromosome:Setaria_italica_v2.0:IX:19987140:19992624:-1 gene:SETIT_034449mg transcript:KQK89053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAWAAWLLVLLCFGAWTPRRILVSAATDANDVTALNTLFTSMSSPGQLQGWKASGGDPCSESWQGITCSGSSVTAIKLPGLGLSGTLAYNMNTMDSLVELDLSQNNLGGGQQIPYNLPNKKLERLNLAGNQFSGAVPYSISTMPKLQYLNLNHNQLSGDITDIFSNLPSLTTVDLSSNSLTGNLPQSFTSLSSLKTLYLQNNQLTGSINVLANLPLDDLNVANNRFTGWIPNELKKINSLQTDGNSWSTGPSPPPPPFTAPPHSRSNRRKSPGQHSNGSNNSSSGGSSGIGAGAIAGIIISVLVIGAVVAFFLIKRKQRKGAMPEHYEQRQPFNSFPSNEVKEMKPIQEATTVEVESLPSPAAVNLKPPPKIERNQSFDDDDIASKPVAKKSNAAPVKATVYSVADLQMATDSFSMDNLIGEGTFGRVYRAQFSDGKVLAVKKLNSTALPSQSSDDFYELVSNISKLHHPNLSELVGYCMEHGQYLLVFDFHRNGSLHDMIHLSDEYNKPLSWNSRVKIALGSARALEYLHEICSPSIIHKNFKSSNILLDTELNPHISDAGLSSFVPDAEFQASDQGSGYGAPEVDMTGQYTLKSDVYSFGVVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALDRMVDPALKGLYPAKSLSRFADVVALCVQPEPEFRPPMSEVVQALVRLVQRANMTRRMLDGEEASRRPDDQDQEFV >KQK92262 pep chromosome:Setaria_italica_v2.0:IX:54637083:54640049:-1 gene:SETIT_038646mg transcript:KQK92262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHCINLSKFFKITRFLHQSKQHRVKSFASSAPTSEARHLEALTVLLHRTCSLKCLRTLHARLAVAGAIRDTSVVMGLVERYLSLGKPAPAASFFAEAYHGRPTVYSLNLAVRCFSDHEFHRELLDLYRELCAFGSDNFTFPPVIKACTAVSCLRLGREVHCRVLRTGHGGNVGVQTALLDMYAKAGQIDVSRRVFDGMVQRDLISWNAMVSGYSLNGCFKEAVEALQEMQQGGMRLNASTLVGIIGMCGSVEAKDAGSSLHALAMKYGAIADESLTSAFISMYSAFDDLSSSRLVFDLQPVKDLVSFNSMISAYMQHSNWKEAFKVFRLMHCAGLGPNPVTVVSVLPSCNDFFGINQGKSVHGMIIKFGLAEQVSVASALVSMYSKLGKLDSAVLLFCCFTTKNNLMWNSMISGYLVNNDWDMALDSFCKMQIKGVAPDATAIINVISGCRHTKDLYMAKSIHAYAVRNRFESNQSVMNTLLATYAGCGNISTSYTLFQKMEVRMLISWNTMISGFAEVGDSEASLMLFCQMCHEEVQFDLVTLIGVISSLSASENATVGESVHSLAIKSGCNSDVSLTNALITMYTNCGIVGAGQQLFDSCCFANTITYNALMSGYRKNNVSEKILPLFYQMVKNDVKPNLVTLLNLLPVCRSQLQGKSIHSYAVRNFTRFETPLSTSAMGMYSRFDNVEYCSKIFSLVGERNIISWNAFLSACVQCKQADVVVDFFKHMLFINVKPDAVTILALISACSQLGDADFAACVTAVIFQRGFNAKVLVLNALIDMHSRCGSISFARELFDSSVEKDSVTWGAMINAYSMHGNGEAALDLFSTMIDSGVDPDDITFVSVLSACSHSGFVEQGRTLFKSLQADYGITPRMEHYACMVDLLGRTGHLDEAYDIVRSMPSRPSDNLLESLLGACRFHGNSKIGESVGKLLIESEHGKSRSYVMLSNIYASAGKWSDCEELRSDMEAKGLRKDVGVSLIGMT >KQK86628 pep chromosome:Setaria_italica_v2.0:IX:3003858:3005347:-1 gene:SETIT_034725mg transcript:KQK86628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFASGDGGGGGPQVLTARFVRQVVLGRWFMVFACLLILSASGATYIFGIYSKVLKSSLGYDQRTLNTLSFFKDLGANVGVLSGLINEVTPPWVVLAMGAAMNLVGYLMIYLAIDGRTARPPVWLMCIYICVGANSQSFANTGALVTCVKNFPESRGIVLGLLKGFVGLSGAIFTQLYLAIYGDDAKSLVLLIAWLPAAVSILFVHTIRIMPYPRPTRRGAAAGASSATSNDAFFCFLYISIALATYLLVMIVVQKQVNFSHAAYGVSAAALLLVLFLPLAVVVKQEYKIQKELQESLREHPTVTVEKPAADLQMVAADEPAANKSQLQSTTEQTEETSPPPSGCLGSCLRHMFSPPAQGEDYTILQALVSVDMLVLFLATICGVGGTLTAIDNMGQIGQSLGYPAKSINTFVSLISIWNYAGRVTSGFASEIFLT >KQK89276 pep chromosome:Setaria_italica_v2.0:IX:22941533:22944425:-1 gene:SETIT_039028mg transcript:KQK89276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVEGFRVESPRVRYGAGEIESEYRYDTTEVVPPGDGGAGWVVRPKSVTYNFKTSTSVPKLGVMLVGWGGNNGTTLTAGVIANREGISWETKEKVHKANYFGSLTQASTIRVGSHNGEEVYAPFKSLVPMVDPNSIVFGGWDISNLSMADAMARAKVLDINLQKQLRPYMQSMVPLPGIFNPDFVAANQGARANNLIQGTKKEQVEQIKKDIREFKEKNKVDKVVVLWTANTERYSNVVTGLNDTMDNLMASLEKNEAEISPSTLYAIACVSEGVPFVNGSPQNTFVPGLIELAIKKNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIASYNHLGNNDGMNLSAPQVFRSKEISKSSVVDDMVASNPILYSPGEHPDHVIVIKYIPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGQDKFHSFHPVATILSYLSKAPLVPPGTPVVNALAKQRAMLENILRACVGLAPENNMILEHK >KQK91983 pep chromosome:Setaria_italica_v2.0:IX:53085904:53086660:-1 gene:SETIT_037290mg transcript:KQK91983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRTPSNLSQHVAEPNVSIQMLEKKCLISKQRSQLDSNTLNHSFDSEIDPEEASVMHAGEPNNLHDLPFHPGPQPSMVANSTRQAHQVDVPVAVHHLGFPLEPSDPNTYVSGVLTPTPTTPVTGTIGASHIGSTREPRHVARRVGRAPGRSPPAAGPRTPLTSGVGRRHRALCWLRASRSIAGRATPGRPYSMSALRTCIPGANPPSGSRGRRRGARSGGPAALGERAGVEELGA >KQK90696 pep chromosome:Setaria_italica_v2.0:IX:44957615:44958072:1 gene:SETIT_040551mg transcript:KQK90696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLARVVNIVLLRQNRKYERLLQDYHKVLLQAACRSRLNTPPQ >KQK86992 pep chromosome:Setaria_italica_v2.0:IX:4935537:4937615:1 gene:SETIT_035202mg transcript:KQK86992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAACIAILSLVFLFVLHHLLGVASRNGKNKSVRLPPSPPAIPFLGHLHLVKTPFHAVLSRLAARHGPVFSLRMGSSRRAVVVSSPDGAKECFTEHDVAFANRPLFPSQKLANFGGTALSVASYGPHWRNLRRVATVQLLSAHRVACMSPVISAEVRAMVRRMNRAATAAVGGAARIQLKRRLFEFSLSVLMETIAQSKTSRTEANADTDMSPEAQVYKQIMDDVLPHLSTANLWDYLPVLRWFDVFGAINKLVAAVSRRDVFLRRLIDAERQREDGGGGGDSEKKSMIAVLLSLQKLDPKFYTDTMITSLCSNMFGAGTETTSTTTEWAMALLLNHPETLMKAQAEIDAAVGTSRLVTADDVSRLTYLQCIINETFRLYPAVPLLLPHESSADCKVGGYDVPRGTMLLVNVYAIHRDPDAWEDPAEFRPERFEDGKAEGRLLMPFGMGRRKCPGETLALRTVGLVLGTLIQCFDWDRVGGVEVDMTESGGLTIPMAVPLEAVCRPRAAMRDVLEEL >KQK92980 pep chromosome:Setaria_italica_v2.0:IX:58153995:58154331:-1 gene:SETIT_040740mg transcript:KQK92980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCANMTYRSCACTPFFLLGCACIKLKTWNS >KQK86324 pep chromosome:Setaria_italica_v2.0:IX:1303677:1304621:1 gene:SETIT_038929mg transcript:KQK86324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMECPEDHNDEFCPSNDRRLIDGYLRPKIAGKNVSSAYVHDADVCLDHPYDLVRGHTPAPGTGDGDGRAWFFFSPERYVGGGGGKTSARGGGSRPGHRARAVVGADGKKKGTWHAEGKKEAVAGSGGGYCRSLTYHEMTPSGSFVKPGWLMVEYGVDDEDGGGGGVVLCKVYKSRRGPGSNVPSRKRKADVEAPSASSFLSRQRRTHHDDDAMSCNKAAPQRQETELVRYQGTEQVHGGDPHPGDADAFTMLRAFMETDEDENALQLPANFDPVKYLLGEHQPGPGNTILEELMGSSAPVQCSATPSSLLFDND >KQK88701 pep chromosome:Setaria_italica_v2.0:IX:16373515:16374575:-1 gene:SETIT_040646mg transcript:KQK88701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYCYILLACQTIGNISHGILPCIYVNLIVMQARFAIVGPCLLVQ >KQK86615 pep chromosome:Setaria_italica_v2.0:IX:2916220:2923081:-1 gene:SETIT_034104mg transcript:KQK86615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKQRGGGGGEPAGEGEAATGGTGGGHSPSTVFVSNLPYTFKSSDLEAVFSEVGPVRRCFMVAEKGSETSRGFGFVQFATVQDAERAIQQKNGFPVAGRKIRVKLAINRAPLKERLQKKENIQAKDSDAKDEADDTSATVKHKESSIKADSGFNGNYFSCCVFIPLLIFLNTNTICYVGPEKPQLLAKDAMVSKEASIGDSDKVKSSEKQRVAKTVIFGGLPDFAMASEVFRQAGEIGPVVSVNYPLPKEEMELHGLARDGCTSDAAAVLFASVKSAWDSVVRLHRKEVKGAIVWARQLGGEGSKIRKWRVIVRNLPFKITEKEIMDMFSSAGFVWDVSIPHKSDEGLSKGFAFVSFTRKQDAENAIKNINGKVVAKRPVAVDWAVPKKVYTVAAKSGAEDNELANVPDDGSDDDTSEENLVGEDDSSELDQEISNRPSEDDFKTEVDISRKVLENLIKSSEKSEPSGVDGSDIDTDTETENDTPEKKKPESPVAGKSAKSKRVTDAKITDPASKPDKKDTDLDRTIFISNLPFDISNEEVTKRFSVFGKVESFFPVLHKLTKRPRGTGFLKFSTAEAADAAVSAANAAPGLGIFMKSRALNVMKAMDKESAHKKALDKAKTEVEDRRNLYLAKEGEILAGTPAAEGVSDADMNKRNWLARRKAEMLQSPKFHVSKTRLIIYNLPKTMTINDVKKLCREAVISRATRQNPVIRKVNILKNEKKGVQKHSRGVAFVDFQEHEHALVALRVLNNNPETFGAERRPIVEFALEDVEKVRLQKIRMERNRKSAAEAAEDQQSPSGDQPAGDGSHAGSRRTFRKGNKQRSHDRPSKPSDSGEGPAKDPVAGDQSAVEGVRKGRPAKRSRKSNEGTVLADRDRKDATPIAAGNQAVSSEHDQSVAPKKRKNRKDGQTEQKRGKATKRTRKEPTGEGGVDKSLVEQYRSKFLQHGVSKTKA >KQK92195 pep chromosome:Setaria_italica_v2.0:IX:54269573:54272690:1 gene:SETIT_034654mg transcript:KQK92195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVSCFTGLLGGRRKASKGKNKGAYARRVNGNDCPKVKPVEFLDMADTVDVSRRGDNVPACNSKFVVGSATGLARRGSGENGDKAAIKRGCSSGADLVVVAGAGSSGYDSNGTGKSAKSIEPDAGESSSVGRMFPTPTASPKLKRSCSNIETTTRSSAPPKGFEFDLPAKSRSDNDLNAVPPARSTTPSGAPDASPAASVRTSCSADRVMLKKRSSRQVLPSRSRRLWWQLFLWSHRNLHRAGAAMPALPSAAEAPHQHDGYTSDTLDAITVATADAKDKDAAAVEEDPIPSQWVAFSAEASSPLDRVSAWVNSLGDGSFHAVDEEEDAMEHDVAAVARPQPQCSEIVELPAAGKRHNPQARRRAADEAANQASSIVHTLNVFSSVAHISGMGLKAVPAIAAFSTLRAVNLSGNLIVQVAPGSLPKGLHSLDLSRNKIATIEGLRELTKLRVLNLSYNRISRIGHGLSGCTAIRELYLAGNKISDVEGLHRLLKLAVLDVGFNRITTAKSLGQLVANYASLRALNLLGNPVQAATGDDTLRRAVSGLLPRIEYLNRQAVKPQRAREVAKDSVAQAALGNGGGWSSRRRTARRVTQSPGPSSRSRGRDGGSSRRASRSRSKTRRNQGTSLSISRR >KQK86468 pep chromosome:Setaria_italica_v2.0:IX:2046099:2047874:1 gene:SETIT_035463mg transcript:KQK86468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTPPRKGRSTAGALGDGLEEAWLVAEAPAKKAKWGVTVSFGLRGHFNSFVLLLLVLFVVFAVSITTRNDDGNGGHERREAAATTTVPPTPADDPGAAGDDGGQGDDLVGECDMSSGRWVYDDVSYPLYKESACKFMSDQSACGRFGRTDLKYQHWRWQPHGCDLPRFDAVRLLRRLRNKRLAFVGDSLNRNQWISMVCLIDTATPTLHKSMAGGNTSLVSFRIHEYNASVDFYWSPLLVESNSDHPVHHRVADRVVRAGSIAGHARHWADADVLVFNSYLWWRRQTMKVLWGSFEAATAAEAAAEGEHRAAYEVTDSLRAFELAIRTWSEWLELHVDRARTQFFFTSISPTHLYSDEWEAGSGGNHQCYNETEPILTEAHRGRDTDPAFARAVEAEVARLGDRGVAVRVLNVTQLSEHRKDAHPSVHRRQWSPPTAAEMEARARDPSSAADCIHWCLPGVPDVWNQMLYAHIVSSS >KQK88176 pep chromosome:Setaria_italica_v2.0:IX:12152005:12155712:1 gene:SETIT_040686mg transcript:KQK88176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCSLSVNLADRETELTEKLMATDHLFPINRLQLMEFHLKNNHATLS >KQK86545 pep chromosome:Setaria_italica_v2.0:IX:2559010:2562312:1 gene:SETIT_036633mg transcript:KQK86545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETLAAESAAGETHAAVETERKEETLEEVLARHRKEKSKLQDKETSLKKAAAKGSKAEQKARKKQVEEEITRLSAELEAKHAAELASFGYKPSGSSEKGNLDNLVKAIAGVTMSSNSESAKPSKVAKRRQKKAKEEAAREQRIQEEQSNLVSDRMLENDKLESKLEPLGLTVQEIKPDGHCLYRAVENQLSLHSNGTARYSYQDLRQMTAKYMREHAADFLPFFLAEGKAETGSDPSESFEKYCQEIESTAAWGGHLELGALTHCLKKHIVVYSGSFPDVEMGQEYKSGGSSAGDASIRLSFHRHAYGLGEHYNSVIPTESS >KQK89758 pep chromosome:Setaria_italica_v2.0:IX:35703341:35703841:-1 gene:SETIT_039369mg transcript:KQK89758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVSHRIETRTPIPFPTQAERASERRRRRAEKMVRKAKVEFDEQPPDNFDPKNPYGDPVAMLEYREHLVREKWIQIETAKIIRERLRWCYRIEGINHHQKCRHLVDQYLEATRGVGWGKDARPPEFHEPKKVAEAE >KQK90636 pep chromosome:Setaria_italica_v2.0:IX:44103951:44105902:1 gene:SETIT_039985mg transcript:KQK90636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIQEADRSKWMVHSNHSIKCFTEGDIDRMTNNYRTSLGSGSFGEVYEGVLDDKHGCSEKLIVHREINHKNVVRLIGCCEEENALMLVTEYIANGNLSDFLHNNNGPIPFDVRLRIAIECAEALAYMHSHMYTQVIRGDIKPGNILLDSNFHAKLSDFGISRLVNTDKTLLTKSVIGSIGYMDPLFARDGCLTVKSDVYSFGVVLLELIARKKAIAVVDNVNIVSAFTNALASGVKGVRGMFDAEIASKDNMKILERVAKLAGECLTMERGKRPEMVDVMERLRILRKDSYQDQGQRVDLFSWVRKSKPTPTAAATIVVPAEFSLSSLCRVFSLEEMKAATNNFDWSLLVGEGAFGSVYHGKINGGETIVAIKRHNWDPLRGERDFRTVIEMSSKHPHHNVLPLVGYHGYFNGFGEMILVYDYMAHGCFRDHLCRTKQPPLTWNRRLEICIGAARGLHCLHTSQIIHGNVNTKKILLNENWVAKINLSLSKSLPYSEESDVYSFGVVLFAEEGKLDQIIDPYLMGRINPRCLDKFIETAEKCVAERGIDRPSMVDVVSDLEHALQFQVGAEASGGLAGSSMSNSSMDEGAVVGMDSDDLAPATPSRSL >KQK87730 pep chromosome:Setaria_italica_v2.0:IX:8955818:8957067:-1 gene:SETIT_036413mg transcript:KQK87730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSPPLLLLLCAVGATAAAVPRYREAPHFTNSAAAQCPPPLPPSNADAACSPHAAVHVAMTLDASYLRGTMAAVLSVLRHASCPESIYFHFIASATATKATAEELRATVRASFPSLAFRVYPFADEARVAGLISTSIRGALDRPLNYARSYLASTLPPCVRRVVYLDSDIVLTDDIASLAATPLPGEETAVAAPEYCGANFTAYFTPGFWASPALSSTFAGRRACYFNTGVMVLDLARWRRAGYTAQIEEWMELQKRVRIYELGSLPPFLLVFAGRIASVDHRWNQHGLGGDNYRGLCRGLHAGAVSLLHWSGKGKPWDRLDAGRPCPLDAVWAKYDLLRPAAGIESS >KQK92816 pep chromosome:Setaria_italica_v2.0:IX:57357221:57358627:1 gene:SETIT_040770mg transcript:KQK92816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGGIDGPIIVSIVPSARRIWSALSLIWSETGVVVDKF >KQK88319 pep chromosome:Setaria_italica_v2.0:IX:13135202:13136936:1 gene:SETIT_038260mg transcript:KQK88319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGHALQRRDQEQPPLDLGSGEMRSTEERWRVPVRPHVCWSLWLSKTHVPRLRCCPSCSPFRHVFKRGESAIDESEIPARGEWNSMD >KQK88602 pep chromosome:Setaria_italica_v2.0:IX:15454838:15455566:1 gene:SETIT_038882mg transcript:KQK88602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLSQKTDNYMQGFKEHLTLGPKLSATIRGKLSFGAKVLKAGGIDKVFREYFAVEKDEKLVKAFQCYLSTTAVTVDGFDFWLMGFISHEKSFRYLQHAISELR >KQK86093 pep chromosome:Setaria_italica_v2.0:IX:257499:258689:-1 gene:SETIT_037070mg transcript:KQK86093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATLLLLLVCFLAASFLAAGGGRRTVVFLLAGQSNMGGRGGATNGTWDRVVPPECAPSPRILRLSPDLRWEEAREPLHQGIDLHNVLGVGPGMPFAHAVLRSRRLPPHAAVGLVPCAQGATPITSWSRGTELYERMLARARAALSLPGGDRELAALLWYQGEADTITRRDADLYTARMEAFVRDVRRDLNMPHLLVIQVGLATGQGKFIELVREAQRRVKLPDVKYVDAKGLPIASDYTHLTTPAQVQLGKMLANAYLATLL >KQK88925 pep chromosome:Setaria_italica_v2.0:IX:18716713:18718707:-1 gene:SETIT_038872mg transcript:KQK88925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATAWQEMVVVVFVGIGIVAVGAAEFAYDGFAGAGLALDGMATLTPAGLLQLTNDTIMPKGHAFHPEPVTFRRPAAGAGGAAAAAAMSSFSTTFVFAIVSEFLDLSTSGFAFLVAPSTDLSTAMPNQYLGVFNGTDNGDARNRIFAVEFDTVRNPEFADINNNHVGVDVNSLNSSAAAPAGYYDDATGAFRNLSLISREPMQVWVDYDAATTEITVAMAPARSPRPKRPLLSKRIDLSTVITDTACVGFSSASSIVLVKHYVLGWSFSLDGDAPALDYAKLPKLPRIGPKPQSKGLTIALPVAATVTVLAVVAIGVLLLLRRLRYAELREDWEVEFGPQRFAFKDLYDATGGFKDKWLLGAGGFGTVYKGVLPGPGAEIAVKKVSHHSRQGMKEFVAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKHLHCREDKPVLSWAQRLHIIRGVAAGLLYMHEDWKQVVIHRDIKASNVLLDGEMNGRLGDFGLARLHDHGDDPQTTRVVGTMGYLAPELVRAGKATARSDVFAFGVFLLEVACGRRPVEEDAGTDTDTDTSDCFVLVDWVLGHWRNGSITSAVDARLGSGYDVVAADLVLRLGLACLHPSPAARPSMRQVTQYLDGSAPLPELPATDMSFTTFAGMGRYQPLFDSWS >KQK89374 pep chromosome:Setaria_italica_v2.0:IX:25865553:25866384:-1 gene:SETIT_037726mg transcript:KQK89374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPAAAPSMHHAQWNAHFGHPVPKNVLRKQMKKKPKVVQPPPCEVCKIQCDTLEVLMIHKQGKKHKKNLEKLQDSITPKPLLKPTSNAMGPTMAPSAVANSVVPAVQSKKKKISAATPADLEVKKRRVLEAGAAQGEVKICTVCNVVVNSQKVYEFHIAGQKHQAMVQKQQSLHFVA >KQK86286 pep chromosome:Setaria_italica_v2.0:IX:1112093:1115230:-1 gene:SETIT_039209mg transcript:KQK86286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPALARELLDAARAPGFVEWQLRVRRQIHQHPELAFEEHRTSELVRAELRAVGVPYIWPVARTGVVATIAGPAAAAGEGPVFALRADMDALPIQEMVEWEFKSKEDGKMHACGHDAHVAMLLGAARLLQSRKNDLKGTVKLVFQPAEESHAGAYHVLKEGVLDDVQAIFGLHVDTGMTVGTVGSKPGPFLAGSARLTATITGKGGHAAGPNLTVDPIVPASSAVLSLQQLVARETDPLQGAVVSVTFIKGGEAFNVIPESVTLGGTFRSLTNEGLSYLKKRIREVIEGQAAVSRCTAAVDFMEEKLRPYPATVNDEAMHAHAKAVAESMLGEANVKLRPPIMAAEDFSFYAQKIPAAFFTIGVSNEEMGKIHHVHSPHVVIDEGALPIGAAFHAAVAIEYLNKHASASGSGKLLA >KQK88551 pep chromosome:Setaria_italica_v2.0:IX:15018735:15019403:1 gene:SETIT_039773mg transcript:KQK88551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCSGLPRCSVPSYVLLSAKSGTVTFEVTNAAPNTTGPSSSGAPSNQTSPADRKPVDTVTLVVEVDDTGGVAFTRGNGIHLSAQYVGGYSGDLMFEETTRVWQWDGQNQRYQKT >KQK89908 pep chromosome:Setaria_italica_v2.0:IX:36992210:36994336:1 gene:SETIT_036013mg transcript:KQK89908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPRNRASPIRIVRLYPHLTPDQRKMIEDAGFGGLLKIGCPTFPLGFCGWLLRRFDTDYCELVIKGRGRIPVTSDSVHRVLGIPNGGGDVKYGLDEDAMAFMSDKLDASGKYWPTVSSIENSLKQMKSADEHFLRTFMVLVISSFLCPTTSLRISPRCFPPLVDIKSIRELNWCKFVVEQLRKSVRAFARKGKNSVPGCLFYLVDTEDTSADEYEDQSSVDGSSRDDDSEDDPDWEQDELNSSKQKRVRTEPGDGAAKSEKGTGEGSGRDSDTPKGNQETGNGRTDDHANLKKRTLACVDGGTSEARSARQKTGEKSGVAVEKMAVQTPSSPLHGGGRPCNSGPRLCDDGRTFKVKEEMEKTPVIDLCTPTSSESDCAVLKTADPSSPVDGPKRAS >KQK86184 pep chromosome:Setaria_italica_v2.0:IX:650946:654037:1 gene:SETIT_037449mg transcript:KQK86184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFLVLFAEGAVALLLMVKVGPLRELAMRVVDQVKTGKGPATVKTLACTLTVILMSDVASILKIQNRGLKLGSVSPMDQVLWRTHLLEASLIGYTLFLAFVIDRLHHYLRKLMTLRKTSSTSREEVEKLQMENQSLREKEGNSSGEMKKLQREIAKLNESMKKLKFENEEHERKASAAEAHVNALQKQSEELLLEYDRLLEDNQILQTQLLSRG >KQK92531 pep chromosome:Setaria_italica_v2.0:IX:55979608:55984015:-1 gene:SETIT_035886mg transcript:KQK92531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTTAATAVAVSLHPLLSRPACILRFGRRLPPLLLRATSSASTSSAPDFNITFAEPAPAKKASSPSPSAQPLVPWIVRGEDGKPRLSTSPPPEVLQAIALAEAEAKKAAKKESLKGQKGAVAAAAAASSASVKAKERKAAPAAPPKFSKAARRFYNENIKDHEPQRLAKVLAAAGVASRRTSEELIFQGKVTVNGSVCTSPQTKVDIAKDSIYVNGNRISKKLPPKLYFAVNKPKGYICSCGEESKSVVSLFNDYLKGWNKIQPGLPKPRLFTVGRLDVATTGLIIVTNDGEFAQKLAHPSSNVTKEYVVTIDGAVHKKHLIAISEGTKIDGVMCVPDLVEPLSAQSDTRKTRLKIVVHEGRNHEVRELVQNAGLKVYALKRVRVGRFRLPADLGIGKFVELKQADIKALEGNN >KQK87368 pep chromosome:Setaria_italica_v2.0:IX:6929429:6935087:-1 gene:SETIT_0342552mg transcript:KQK87368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEMVVAVPNGVKAEAAAAAAATADSPKSVLEDEKISEPKKGDDSLATEPTKQEEESTDDFVDASLSLPADLEIDSDSAPVAKAVKEEEQLQEPVKEEEVDDFVDESLSVPIDLEAKNGDASLITEAMKKEEEQLEGARVKSEEEEEARKREEAAKQAFDPEARYSKLDELLTKTQLFSEFLLEKMDQIADEVVEPQAEEPPAEEKKKGRGRKRKANAAPQYNDKKAKTAVAAMLTRSREERLADDCNLSEEERWKKEQANLVPLMTGGKLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLKGKGMHGPYMIIAPLSTLSNWVNEISRFVPSVTSIIYHGDKAARAEIRRKFMPKIKTAVSPDFPIVVTSYEMAMSDAKFLAHYKWKYVVVDEGHRLKNSKCKLLREIKRIPMDNKLLLTGTPLQNNLAELWSLLNFILPDIFASHQEFESWFDFSAKGSEEKEETEEKRRVHVVSKLHAILRPFLLRRMKEDVEQMLPRKKEIIIYANMTELQKRIQDHLVEKTFDVYLNEESDIVLRRPGIKAKLNSLFIQLRKNCSHPDLLEAAFGTTSLYPPVDKLLEQCGKFQLLDRLLTSLLARKHKVLIFSQWTKVLDIMEYYLDSKGLEVCRIDGGVKLEERRRQIAEFNDVNSNLNVFILSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTRPVHVYRLATSNSVEGRIIKKAFGKLKLEHVVIGKGQFEQERAKPNVLEEGELLALLRDEQAEEDRMIQTDISDEDLLKLMDRSDLSGPPGAADATPLIPLKGPGWEVVVPTKSGGGMLSSLTS >KQK89349 pep chromosome:Setaria_italica_v2.0:IX:24759984:24760987:-1 gene:SETIT_040005mg transcript:KQK89349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRKLPSGDDGNLGMPKTIVFGRSRHHLNDINLLKQNKMVIAGRAPGSEVVPKSKDNEIVIFCNLLYAVLRFELDPVIIDILHLYDIYLHLLTPNALVHLSVYMWICKTTKIKPSAAGFASAHKVHLQPKYLLEESANGVVEKKSHFGCLNFMYRIGVVSRVAAYCNKWPIDWHQHWLYHEVESEEDGEVNHLVTDKIEALHQDYKVDLPPCLEGDDFIWMLRLFARKYSTCDIIEEYCKLGVWPVRRGWRIPDSCWTDAEGSIPCPDWSKCFKITKEHINPVKIEEHGHFILSAESPSEYEDA >KQK91172 pep chromosome:Setaria_italica_v2.0:IX:48265075:48266595:-1 gene:SETIT_037035mg transcript:KQK91172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPPDSGDLIVVEPARPGSPVAVVTINRPAALNALTKPMMVSLAAAFRRLGADDAVAAVVLAGRGRAFCSGVDLTAAEDVFKGDVKDVATDPVAQMELCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFLDTHAKFGIFPSWGLSQKLSRIIGPNRAREVSLTCMPVTAEMAERWGLVNHIVDDKEVLNKAIEVAEAIARNNPNLVLLYKSVINDGFQLDLKHAQALEKVRTCTLRGTFYLRGA >KQK91171 pep chromosome:Setaria_italica_v2.0:IX:48264444:48266595:-1 gene:SETIT_037035mg transcript:KQK91171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPPDSGDLIVVEPARPGSPVAVVTINRPAALNALTKPMMVSLAAAFRRLGADDAVAAVVLAGRGRAFCSGVDLTAAEDVFKGDVKDVATDPVAQMELCRKPIVGAIAGFAVTAGFEIALACDILVAGRSAKFLDTHAKFGIFPSWGLSQKLSRIIGPNRAREVSLTCMPVTAEMAERWGLVNHIVDDKEVLNKAIEVAEAIARNNPNLVLLYKSVINDGFQLDLKHAQALEKERGHKYYSGMTKEQFANMQKFIQGRGSKPQSKL >KQK87507 pep chromosome:Setaria_italica_v2.0:IX:7607560:7616375:1 gene:SETIT_034838mg transcript:KQK87507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGAAANLWVLLGLGIAGVLLAARRLRRSARPDHGAFIARLELLPPPQPPPPQAPHPLTGLCFAIADALHVSGYVTSFGSLEWAKTHDAETQTSPVVSALVDGGAICVGKTVIDEMAYSIHGENKHFDTPTNPAAPERVPGGCSSGSAVAVAGGMVDFALGIDSIGGVRIPGAYCGVLAFRPSHAVSSGGVIPVAPSLDTIGWFARDPNVLRRVGHLLLRLPYADIRPPRHFYIADDCFELSKIPARRLTQVVTKSVEKLFGRQVTRVNLENYLASKISSLRNYSNGHKNGDSKFPSLLALCNAMRSLHKREFKDQHMEWINSVKPAVDARIVSNLSEDGDSDIDACQDARNKARLALGALLKDDGILVIPTALGCPPKLNAKELSSESYNSQTLCLSSLASMSGCCQVSIPLGTHDKCPISVSFIARHGGDRFLLDTVQTMYATIQEQVEILAKSNVSSKQAMNEEAAETAKEKGNAAFKEKQWQKAVNLYTEAIKLNGKVATYYSNRAAAFLELTNYRQAEADCTNAIDLEPKSVKAYLRRGTAREMMGYYKEAVEDFNHALVLEPMNKTAGVAVNRLKKLFA >KQK90113 pep chromosome:Setaria_italica_v2.0:IX:39827220:39828832:1 gene:SETIT_036274mg transcript:KQK90113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGLGFLKAVALVLAPVALAVALYSPRDFSPAPLPPEYSYGPDVSAPRHEARALEQSERVGEGRLPGPEDLAYDAAGGWLYTGCADGWVRRVSVPGGDVEDWVRTGGRPLGVVLAADGGLIVADADIGLLKVSPERKVELLTDAAEGLEFALTDGVDVAADGTIYFTDASYKYNLANHMTDVLEARPHGRLLSFDPATGRTAVLVRDLYFANGVAISPDQSSLIYCETVVRRCSRYHIAGDKKGSVEKFIDNLPGFPDNIRYDGEGRYWIALSAGRTLQWDLLMKYPFVRKLLYLVEKFVAVPHGLKNSGTMSVTLDGEPVSTYTDPGLALATSWLKVGKHLYYGSLTKTYLSRIDVTKSSAELNK >KQK86606 pep chromosome:Setaria_italica_v2.0:IX:2870537:2877328:1 gene:SETIT_034548mg transcript:KQK86606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAQLLGEDGRGYELARRLEACGAWRAWLGDDAAHAALTQHLTSPATWDNFLSPAASPSPPPRPLLLLQLRVRALLFDKASAALQLGPRGAGPAGLHSINANYLQLHGDDIYFSLEDEQEDNTQHQVHSRTAFSPSRDSSMMSQRHNRYDELPDTWYKQYANKFRTWHSTLRSGDKEIPKRTPEGMSDYLKVCSVHKRKRAVFMDDPSVSAPMVENGPSLHSKNAGEHSNSTDETFIPEIRFSSDCVPESAIPRTSGISMTNKIEVHGILDNLPAPVSRNTAMLERFGMVPEYYKTGNKYRGKDGSRIEGKSLSQEQALLMTRKLVARYLANSDFESGTAASIDVLSEIIIKHICKLGRNLKLLTDSYRKQFSSIELLKMFLQTVGYSNIGPLMEITKTGTRTANYPIHQDAQVLQSQHPNSLLHAQQIPRQFPASLLQNLTPQQQQQLQNLTPQQQQLLQQQHWLRRSGQLTSPRGPLTMADKNQPMVNVKIENTMDSQIDSPYGSLTRQQQFNLRQQQLLHHQKQQLQQQQLQQQQQQQQLNQQQQHLNQQQQHLQQQQQQLNQQQQLQQQQHLNQQQQQLQQQQHLQQQQQINHHQQQLNQQQQLNQQQMAMSANQNAQLAQQFNQVPSMSAYGMRMPPVKVEAFHELVSGDSSLKQDNDPNKLTSPK >KQK87831 pep chromosome:Setaria_italica_v2.0:IX:9600787:9603082:1 gene:SETIT_035081mg transcript:KQK87831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGARATPFLLLFLATLLCAAAAASSWEDREPWRCARRCEDRPRHERAQCVQECREEERERGRRDELGRRGDRRGEGSGDEREREREQEQEQEQSRRPYVFDRRSFRRVVRSEQGSVRALRPFHEASKLLRGIRNYRVAILEANPRSFIVPSHTDAHSIGYVAQGEGVVTTIENGERRSYTVKEGDVFVASAGAVTYLANPDGRRKLVIVKILHTISVPGKSSDRLERLFGKQDKGIIVRASEEQVRELRRHASEGGHGPHWPLPPFGESHGPYSLLDQRPTIANRHGQLYQADARSYRDLAEHDVRVSFVNISAGSMSAPFYDSRSIKLACVLDGEGYIEIICPHLAQRHEGRSERGKGRRGEEESESEEQEQEEAGQGYHTIRARVSRGTAFVVPVGHPVVEVASRGSNLQIVYFEIHADKNERVFLAGANNVLKKLDNTAKELAFAARAREVDEVLDAQRDQGFLAGPEESSREEREEEEEHEGRRGPKEWEKEEEREARRGREQREKEEERRGHGRREEVAETFLRLATGRL >KQK89953 pep chromosome:Setaria_italica_v2.0:IX:37538644:37538934:-1 gene:SETIT_040071mg transcript:KQK89953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLRKMSSGTQSEGSHQPASKRAVNSCRKNVPGTSFVSDLRDHVHEFIHASADEHRTCFTKTIKKMFGMSKIVAEKSAKVEEAGPESVLPLQTTVSQ >KQK92143 pep chromosome:Setaria_italica_v2.0:IX:53968642:53970573:1 gene:SETIT_040213mg transcript:KQK92143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLRNSRWRVIVMQPDHTHPMVKAIGVRKHLRSHRSISWADYELLKTLHHRNISTTQIMGVLADFHGGLGNLTFSSKDVSNMRTHLRGGLTYRDMDATLEYFQKLQAESPSFYYATMIDDNNVVRGLFWVDGRTRELYKSFGDCIFFDTTYCTNRYDMPLNNHLHNILLGCAMLPDETTETFVWVLERLKGEMGGREPNNIMTDQDKAMKAAIVIVFPNATHRCCKWHVLRKANDKLAWLISEEEDFAKEFDYCVNRTETPEEFEMLWASIEDKYHLQENEFFQSMSGTRRMWAPAYFRKYFFPFTGTTGRLESMNSLFKKVVHPQDSMLQFITQYDYIMDTRAERENKERCKGEISDPPLWGRYSFEKQATAFYTGEVFGKFQELLRDSTRYKVGAVESDDQGWSIQIVHPNSTRVCMVTIDKDATSYTCSCNMFDRDGLLCPHILKVFTNRDVEKIPKKYLLRRWSKEVTIMIPERLSGTEPAFGVPTTNKLRYNALCRKMTSLAAEACLGPEKYIVASTGIDTLVQAVRTARVSQEMQQDEASNVATGQQSKTPAVMVKNPTRTKSKGRPKEKVERFKSVVAQAKEKAMKKKAKGKKTAQKIPPYGHSVQTCAYMTKAEALAKDLKETELKL >KQK88091 pep chromosome:Setaria_italica_v2.0:IX:11454973:11456164:-1 gene:SETIT_036905mg transcript:KQK88091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSPCAACKLLRRKCTQGCVFAPYFPPDNPAKFANVHRVFGASNVSKLLNELPQAQREDAVNSLAYEAEARLRDPVYGCVSYISVLQLRIKQARDELAAARKELASYIGPAAFAPFVAPPQYHHHQYAGVPLASGAGMGLGVGVAPQHGHGHPQQQIMVQHQQHLHHHQQMAEAQQLAATVEVAREQELMMRQAAAYAHAVPGSSAGATVAVVPPDAVPYEGGFLFQQQQQPPPSQAQTAVALTYQMEQSPPPSSSGQSHPEVSHQQNTDGSDEGSGGGGVPPA >KQK90095 pep chromosome:Setaria_italica_v2.0:IX:39539687:39540916:-1 gene:SETIT_039252mg transcript:KQK90095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGDVPVRQATALLLACALAPLLTLPLQPCAAQQPPSPGYYPSATLRSLAFSEAYRTLWGPQHQTLSPDGRSLTLWMDRSSGSGFKSARAYRSGYFGASVRVQPGYTAGVNTAFYLSNSEEYPGHHDEIDMELLGTVPGEPYTLQTNVYVRGSGDGTIVGREMRFHLWFDPTADFHHYAIIWNPDQILFLVDDVPIRRYERKTEATFPDREMWAYGSIWDASDWATDGGRYRVDYRYQPFVSRFADLKIGGCAADAPPQGCRPVPASPSGAALSPQQEAAMRWAQRNSMVYYYCLDSSRDRVLYPEC >KQK88085 pep chromosome:Setaria_italica_v2.0:IX:11408466:11412733:1 gene:SETIT_034143mg transcript:KQK88085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAAVHAGSNEVAKAARKIIWVFVEQRAVAGVEKLVFRLAEPVLFRSLQVANSNVRHNALHLLLDLFPLEDPDVTKDVNDPLIEKQFFLIDKLLMDDYPEIRTVAVEGICRILNQYWEIVPAPTISKFLSKIVDDMSKDSCNEVRLSTLNGLIYLLDNPQSHEILKVLLPRLSDMISDTALSVRTAAVDLLLAIRDLRSFQYNKVVGLGTLLSSLANDHPRIAQKITKLLIPSYFPSKLSPKEACARCIALIKRSPAAGARFCEFALSEGSSPRSIVELVKFSITLSLSRTGLNSDQIDGLIIASVNLIKSLSEEHSSLSTLREFLNAKLRLVLQTVVSEGARAALLSIAPVVLPNNLSVLHEECMGIVLNAARISKQEECQEAALAAHKLIVLSGCCDELFEALTDILQSKASEFAEIYGLEPPPCPVAPSRRKKGKLLRKTQAHDHILGKGSSKSKVSNEELAVAAGAAWQINEIVKAEELRGSFLQSSYLEIAFSSLKVISQVFVEQCLYLDSLDLAPVLAYLSLATYNALPDVDPGSCSESSAANRSLDHLLNCFDKLLNAPVKNPPSKSRATRSKDQQKRASEGNTVKGTVNAIMLGTSILKFIIDTTTIKLVDDDKIRCLKFASSYTKYAISSIKKHQEQGSSFKGDDLKDALVLIRSSFTYAAKIIHLVLSSSTEESSPPEEVFFLANDLLDLVPSVESLAGSRFALSIVSALKQWLPVLILGLVCRWIMGPQNEMAANSCHFGDSCLPLWVTAVAKNELLDGKEPVQDEQSDQAAEGEDSPSSRKLAEMMVILLKKGSPRILDCVGRVLLSTLQLMLQRSEYDIVLGITRFVCARLLGNNTSALEKLQLTHDSLRENFLEIDRCVREDLVDDDGSNDTRQLLESTKALIRSVLPEV >KQK90092 pep chromosome:Setaria_italica_v2.0:IX:39531623:39534173:-1 gene:SETIT_038008mg transcript:KQK90092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSVSYVSAAKLVSMARGNPRVAIIDVRDEERSYQAHIAGSHHFASGSFAARMPELVQAASGKDTLVFHCALSQVRGPTCARMFFDYLSETKEDSEIKNIMVLELGFNGWEVSGQPVCRCTDAPCKGTCS >KQK92550 pep chromosome:Setaria_italica_v2.0:IX:56063969:56065600:-1 gene:SETIT_035047mg transcript:KQK92550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQERRAQLQVLTTLDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYTDPASPDPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKSVYGMTLLLMVICSVASGLSFGSTPNGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVTLILSTVFRKAFPAPAYLVDAAASTVPQADYVWRIILMLGAAPAILTYYWRTKMPETARYTALVAKNAKQAAADMSKVLQVEIDAESEKLDEITRNKDYGLFSSRFAKRHGFHLLGTAATWFLVDIAYYSQNLFQKDIFASIHWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVFLIDILGRFAIQLLGFAMMTVFMLGLAVPYHHWTTSGNHIGFAVMYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQPKDKAHVDAGYKPGIGVQNALIVLAVCNFLGFLFTFLVPESKGKSLEEMSGEANEEETTGTSANANAMQPSGLEMV >KQK92663 pep chromosome:Setaria_italica_v2.0:IX:56659354:56661166:-1 gene:SETIT_036061mg transcript:KQK92663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLGCFGGAKERRRRRKRSPAQSPNGRARAATRVTPKKVDLDGEAVSAAAPLLATLLELRDSTDDMCLAVVKKKVTFDPNVTTYEAAAIPEEDGEGADPEDDGASREKDWMLAPECAKSEAVPFNHRYSNCADSDNDSEYEDAEEEEYDEFEDDEEDEEEEDGLDECAIDDDDEEHGLLGIGRSEEEACESLFLLPISKTSKESGGQVAAPGVAAPEAPSVLNSVENLTQWKEAKPRAAAAPKSSDKENVTLGQENRMGLLAEPAVPAKKKDERPAGSDYSYTPSTPSKQEASVDASLSTWLGSSGTPESNSVRSYSPISREDRPILGALTVEDIKISSANSSPRRSRSPSPSPDDMPILGTVGAYWNCSAKGADPVTRGGFMRTRTRFG >KQK87638 pep chromosome:Setaria_italica_v2.0:IX:8346125:8350540:-1 gene:SETIT_035078mg transcript:KQK87638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPPLAARVRRSLLPLLLCCCCLAAWSPPPAARALPLCTDGRAPLPLNRTLAFCSAYGGGSGNSSSCCDAAADAALRKRFDAMKVPDAACAGVVKSVLCAVSCFYFLNNRATRSIHGTQSPKCAGRCSCNSAANCDPSKLGTDNGAQPCQYQVVVSEYSAKVSSSTVSMATSANPSEVRRIFTMGLPYTAHHGGQILFGPTDGYLYLMMGDGGSKGDPFNFSQNKKSLLGKIMRLDIDGTQSQSQTLNQSLWGNYSIPKDNPFADDSDLQPEIWALGFSNPWRCSFDSKRPSYFYCGDVGQDAYEEVDLITKGGNYGWRAYEGPYIYHPQQSPGGNTSLDSINAIFPVMGYDHSSVNKEIGSASITGGYVYRGSTDPCLYGRYLYTDLYSSMMWTGTETPEGSGNYTSAVIPLSCSKNSPIACESSTGSTDPLLGYIFSFGEDNSKDIFVLASKGVYRVVRPSLCGYTCAAEKPDTNNGTTTTPGSSSSAPATRLGKSVAVALALAVVLLRCPHGLFFGIVSFALSVQAALVTPLVL >KQK89494 pep chromosome:Setaria_italica_v2.0:IX:31875377:31877184:-1 gene:SETIT_034995mg transcript:KQK89494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTHQDVVFEVNAVGWAPCGARTALSQHHDDPARLPTAAVGHGHKPSGRYVLAAARADEEDGLRQAITLGALRPRVTYRVAGWISVACEAAEEEDGNQQGGHPVRVSIRVGDDDGGCVIDGGAVCAEPGRWAEIKGAFRLRESPRSAAVHVHGPPAGVDVKVMDLRIIAIDRKARFSYLKDKTDKVRKRDVVLKLGGAAGASVRVVQLDSGFPLGSCINGEVIQNPAFVDFFTNHLDWAVFENELKWYWTEAHRGQLNYGDADRLLDFCDRAGKPARGHCIFWAVDGDVQQWIKDIGGDRDQLMAVVQERIRGLLGRYAGRFPHYDVNNEMLHGRFFRDRLGDDVAALMFREAARLDPGAALFVNDYNVECGNDPNATPDKYIGLIRDLQRGGAQVGGIGLQGHVSNPVGEVICDALDKLSATDLPVWITELDVGEPDEALRADDLEVVLREAYAHPSVQGVVLWGFMQGHMWRQDAALVNADGTVNDAGQRFIELRREWTSDARGRLDGDGQFKFRGFHGSYVAQVTTATGKMLKAFTVDKGDAALVLDMDI >KQK91799 pep chromosome:Setaria_italica_v2.0:IX:51864432:51865864:-1 gene:SETIT_036487mg transcript:KQK91799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAMLPAAAVALCAAVLALAALDGGVRAQQLSPGYYDDSCPHVYDTVRRVIQEARTGDPRILASLLRLHFHDCFASGCDGSLLLDETLTMRSEKAADPNNGSVRGFPVVNDIKAALEDACPGVVSCADILALAAEVSVELAGGPYWRVMLGRRDSKAADFDGAQNLPDPKESLKDLKKKFADVGLDDTDFVALQGAHTIGRAQCQSIQDRLYNFSSTEQPDPTLDHGYLAALRQRCPAAAPGDDGTALNDLDPATPDTFDNSYYANIQCNRGLLRSDQAMLSAPEEGAESTAPIVGRFAHSQAEFFQSFATAMIKMGNIAPLTGSMGEVRRDCRVVN >KQK86222 pep chromosome:Setaria_italica_v2.0:IX:836245:836601:-1 gene:SETIT_038697mg transcript:KQK86222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTTLMIKIACLIPEACRNAGKLPAALITSGIVQAAAALALVVFRSPAGIFSHGKAPFYLYYGILVAVIIFGFVEASVGFYVSGDLTRRGAIGMTILWISILPIVLVAGLGGFVILK >KQK87325 pep chromosome:Setaria_italica_v2.0:IX:6685372:6686871:1 gene:SETIT_037920mg transcript:KQK87325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGSSEEDQEGSGGETEIPEVDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLSWWDLHYKWPYPSTQKVALAESTGLDLKQINNWFINQRKRHWKPSEEMHHLMMDGYHTTGAFYMDGHFINDGGLYRLG >KQK87326 pep chromosome:Setaria_italica_v2.0:IX:6685372:6686871:1 gene:SETIT_037920mg transcript:KQK87326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGSSEEDQEGSGGETEIPEVDAHGVDQELKHHLLKKYSGYLSSLKQELSKKKKKGKLPKEARQQLLSWWDLHYKWPYPSETQKVALAESTGLDLKQINNWFINQRKRHWKPSEEMHHLMMDGYHTTGAFYMDGHFINDGGLYRLG >KQK89663 pep chromosome:Setaria_italica_v2.0:IX:34660673:34670381:1 gene:SETIT_033890mg transcript:KQK89663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSPSSAAPTRASGRKRAAKAEEIHRNQEEEEEVAAASSAKRRRGASSGKKPKPPPKQAKTAKAGRKKKAEAERAEPVEDDVCAEEPDEEEMAMGEEEAAAEAEEQEAAAAAAGSPGKKRVAQPRKRGTAAAGDHEPEFVGEPVPAAEARANWPKRYDRSAAARRPEEDEEVKARCHYRSAKVDNIVYTLGDDVYVKAEENEADYIGRITEFFEGTDRCQYFTCRWFFRPEDTVINSLVCIDVDGKKHDPRRVFLSEEKNDNVLDCIISKVKIVHVDPNMDPKAKAQLIEHCDLYYDMSYSVAYSTFANIPSENGASGSETASGISSDDMDLETSSGAPVRTATLLDLYSGCGGMSTGLCLGAALAGLKLETRWAVDLNSYACQSLKYNHPQTEVRNEKADEFLALLKEWAVLCDKYVHKDVGSDSAGSEDQEDDGSPLDKDEFVVEKLIGICYGGSGRENGLYFKVQWAGYGPEEDTWEPIDNLSDCPLKIKEFVQEGYRRKILPLPGDVDVICGGPPCQGISGFNRFRNRNEPLKDEKNKQMVTFMDIVAYLKPKYVLMENVVDILKFADGYLGRYALSCLVAMNYQARLGMMVAGCYGLPQFRMRVFLWGALSSMVLPKYPLPTHDVVVRGGAPNAFSQSIVAYDETQRPSLKKALLLGDAISDLPQVENYQPHDVMEYTSSPKTEFQRYIRLGRKDMLDWSFGEEAGPDEGKLLDHQPLRLNNDDYERVKQIPVKKGANFRDLKGVKVGANNIVEWDPEIERVYLSSGKPLVPDYAMSFIKGRSPKPFGRLWWDETVPTVVTRAEPHNQIILHPTQARVLTVRENARLQGFPDYYRLFGPIKEKYIQVGNAVAVPVARALGYCLGQAFLGESEGSQPLYELPASFTSVARVAQVGASSVSTPAGEMASAPQSSPSSGNSTQSPPPSPSPPPPSPPPSQASISPPKVESSSFPAAKLSPPPPAPRKSGGSGNGGETSYSSSKDGKKKSSSSPSAPDHVGAVITGVVLGVVGFALLMAIVACLCCSRKKKKRPPPMNMPFYTDEKGNVYYPNAGLPPMWQQYGSNGSIPPPPGWHQHGGGNPLSQSPGSMAAPLSGEIYSSGPHGPALPPPSPNVALGFSKSSFSYEELAAATSGFSAGNMLGQGGFGYVHKGVLAGSGKEVAVKQLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGNQRMLVYEFVPNNTLEHHLYSKQGPVMDWPTRMKIALGSAKGLAYLHEDCHPRIIHRDIKASNILLDANFEAMVADFGLAKLTTDTNTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVMLLELLTGRRPIDTANYMEDSLVDWARPLLAAALAGEAGFEELVDARLGGEYSAVEVERMAACAAASTRHSAKRRPKMSQIVRALEGDASLDDLHQDGVKPGQSMLFSAGGSENISRLRQLAFDSGEHDDYTTDYSTDSSAATTGRPPRRP >KQK89490 pep chromosome:Setaria_italica_v2.0:IX:31834815:31835286:1 gene:SETIT_038368mg transcript:KQK89490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGKLPGAVRIALGSNLNELAAFHTQMAIKTLTDNSKCGEPYMQLFEARSVNHDISPICTSKSTQDNIHIGS >KQK91289 pep chromosome:Setaria_italica_v2.0:IX:49026787:49028220:1 gene:SETIT_039821mg transcript:KQK91289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPVPAARRFSGGPPAWVFLEELASFGDVRNATTATGVTSAGRAVDVTFELVDPPGVSRWFVHCPGLEEDRGFNGSPQILNAAGALVVMRMLFVARRGRVIDYFVYRAGPGWPSLDLVPGPAKSFKLEIFVFSSETRAWSSRVATFSWDEETTYDEELMHTPTKAVAAGGGSLAWIDLWRGVLWGKRPNPIYRLCEWLDDGQPVLRLIQWPVPPPRDVPLDIASPVELRDATMSNGVIRFVELQLRYGDHGWTAPPAIMVGRPPSSASCLLRKIPDNDGVNELADLKRLVSATPRLSLQDEDVVYIEARTRMRPGDSKSSLLLTVNATEERLEAAEQITLRLRLSRRTFSRFLDASPACE >KQK88773 pep chromosome:Setaria_italica_v2.0:IX:17076012:17076332:1 gene:SETIT_040077mg transcript:KQK88773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLCLTCCYSREVWHMVINWIGQRLVAQPSHMISVEGWWISTLAPFRRKERRSMVAIIMYYTAWNIWKERNRRVFGGKSMTTIGVFALIRGDIALRRRACGTPSVG >KQK86887 pep chromosome:Setaria_italica_v2.0:IX:4303146:4310086:-1 gene:SETIT_034459mg transcript:KQK86887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIRAPASKQTATLQVAVKCRPLTDTEQRRSRHIIQVIDDKTVVVLDPDLSKDYLDLIQNRTKERRYTFDHVYAPGCSNSDVYKNISSTIAGVVQGLNATVFAYGSTGSGKTYTMVGTHSDPGLMVLSFRTIFELIKMDASPDTFEVSCSYLEVYNEVIYDLLERSSGHLELREDPQHGIMVAGLRSIKVHSADRILELLNIGNSRRKTESTEANATSSRSHAVLEITVKRKQKGQYGNQVLRGKLALVDLAGSERASETNNFGQKLRDGANINRSLLALANCINALGKQNKKGLAYVPYRNSKLTRILKDGLSGNSRTVMVATISPADDQYHHTTNTLKYADRAKEIKTHVHKNIGTLDTHVEDYQRMIDNLQVEVSQLKKELAEKEHQLSSKPTEKTADSELSWLNVLSQETGENVQERINLQKALFELEETNKRNRMELQHLDDAIARHQVKEMDSTVLQALTSRRQVILDNIRDNDEAGSGYRKDIEMNESRRRQLQDMIEEAVSNNGNRTYLHILSQYRLLGMTNAELQIEMAMRDQVIHNQRESLRSLWNILYGTGLNQKQILKLAAKQGLTVEGCPLPSSSPDVTTPPSFPPHGRLPQFMSFSSPQSEPYSPSACFFQHGFSTMSLLKNQHETPTICRQEHLSSYYMTSGCSPYSGDGKQWSSGRSMPFFSTPEKLREMSGFYPGTENAQSQRRKEDPWSMERK >KQK87346 pep chromosome:Setaria_italica_v2.0:IX:6790246:6794576:-1 gene:SETIT_035934mg transcript:KQK87346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAVEGCMHGELDIVYDTLRRLEEAEGIKIDLLLCCGDFQAVRNTDDLRCVNVPLKYRNMNSFWKYYSGQAVAPYPTIFIGGNHEASNYLWELYYGGWAAPNIYFLGFAGVVKFGNIRIGGLSGIHKQHNYHSGHYERPPYNEQTIRSVYHVRHYDVLKLMHVKEPLDIFLSHDWPLGITEYGNWQELIRAKNHFEAEVNNRTLGSKPAAELLNKLKPPYWFSAHLHCRFPAIIQHGENGPTTKFLALDKCFRGRNFLQVIDIPSNPGPYEIHYDEEWLAITRRFNSVFPLTRRRFTMRDEQLDTQDDRQWVRSKLNARGFKPFDFVQTAPSFNPSNPVSNSSITGSCRNPQTESFLQLLELPYLLDSSNSEGDERNESSSQPGNTLGDEDIELPDEDEDAADDDE >KQK91573 pep chromosome:Setaria_italica_v2.0:IX:50589208:50589333:1 gene:SETIT_039440mg transcript:KQK91573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIKKYLSVAPVPSALWFGALARLLIEINRLFPDVLSFLCG >KQK88339 pep chromosome:Setaria_italica_v2.0:IX:13362252:13365038:1 gene:SETIT_034647mg transcript:KQK88339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLEIDGKRRSNLLARRHVAARELVNHLFESRPVPLLCAVSGRGARSSLKTPPLQHSDLLPTSPLPLFVFRLVSSALRNRRTDATGSKASLPTARGGRGSSRKKKYGGRGAGSAMALDGGGGIWGGVIGALAYGVLAVAALRLVLSYKSAAHALRRAWRWADEWAQAYQYYEVPRLAGDDAENPLFRKAAAYVASLPSLEDADAACVLSSAAKSNEFALQLGPGHAARDAFLGARLAWTNAGDGRLVLRVRRHDRTRVLRPYLQHVESVADEMEARRRELRLYANTGGAPRWASAPFTHPATLDTVAMDPELKARVRADLEGFLKGRAYYHRLGRVWRRSYLLYGAPGTGKSTFAAAMARFLGYDVYDIDLSRGGCDDDPRALLLDTAPRSLILVEDLDRYLRGGDGETAAARTARVLGFMDGLSSCCGEERVMVFTMSGGKDGVDPAVLRPGRLDVHIHFTMCDFEGFKALASNYLGLKDHKLYPQVEEGFHAGARLSPAELGEIMIANRGSPSRALRTVISALQHVAPAPAPPPAQPQRAGTTARPPRLTSRWSGHLDYASAAASEASAASQSSPRAGGGGGFAKDAPIREFKKLYGLIKYRSRKEAGVVPVDDNAASPNGRGSEASSDKDRAGD >KQK87257 pep chromosome:Setaria_italica_v2.0:IX:6285313:6289994:1 gene:SETIT_035518mg transcript:KQK87257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKPAPAANGAAAGADEATRRRGGRLWYAVAGALLVALLAVAVSSRSFPGIPSSSQGGCGCPAARKYTGMVEDCCCEYETVDSINEEVLHPILQELVKLPFFRYFKVKLWCDCPFWPDDGMCQLRDCTVCECPDNEFPEPFKKPYSGLSPDNMICQEGKPQAAVDKTLDSKVFKGWVETDNPWTSDDETDFNEMTYVNLQLNPERYTGYTGDSARRIWDSIYKENCPKYPSEELCHEKKALYKLISGLHSSISVHIAYDYLVDKSTNSWGQNLPLLYDRVLKYPERVQNLYFTYLFVLRAVTKAADYLEQAEYNTGNPEDDLKTQSLVKQLLYHPKLRSACPKPFDEAKLWQGENGPELKQEIQKQFRNISAIMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGDNHLNQPLQLQRNEVIALFNLLNRLSESVKFVHEKGSSIEEVIKEQRPPTVQKGASKPNLKLDFL >KQK92034 pep chromosome:Setaria_italica_v2.0:IX:53323230:53324771:-1 gene:SETIT_038813mg transcript:KQK92034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKPGPRLFRYVSKPRKPAAPSAAPEATSTAAAPASDADADAVYRIVTSAPTPSAMESALAASAVPLTPPLLDAVMRRFRFAHGDPLRALSLLSLAADAPSPFAIDTALYVLGRSRRFAHMWDLLDSTRRICPNAVSPRTAMVVLGRVAKVCSVRETVDSFRRLARMFRRADTTGLFNALLRTLCQEKSMSDARNVYHALKYEFQVNRQTFNILLSGWKSAEDAEAFVAEMRELGVEPDLVTYNSLIDCHCKNKDVEKAYKLLDEMREKDISPDVITYTSLIGGLGLIGQPDKAKDLLKEMHELGCYPDIPAYNAAIRNFVIAKRLGDAFALMDEMASKGLKPNPTTYNLFFRCYYWAYDIGSAWRLYERMRSEGCFLNTQSCMFIIRLCRRHGEVAQALELWSDMVSNGFGSFTLVSDVLFDLLCDEGKLEDAERCFHQMVELGQKPSNVAFRRIKILMQLAKQEESIARLTEKMARFGRLAPEVCQKLHHAAESRHSNGDGADIDILRAD >KQK89541 pep chromosome:Setaria_italica_v2.0:IX:32675958:32678186:1 gene:SETIT_039590mg transcript:KQK89541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTALSLPARLMINGCSFLPEPWSQPETLPPYLNKNLTLEDLKTGVTFASAGSGYTMPPSTLTIERQLQLFTEYKAKVGTIPERALYIVCSGSNDIVEHFTLADGMSSPEYADMMAQRAIALVEVYQLMK >KQK89779 pep chromosome:Setaria_italica_v2.0:IX:35858015:35858548:1 gene:SETIT_039533mg transcript:KQK89779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQSGSCTVPSGCGARRSSLHACYGPSLSLLFSTASRGRGGGGRTRSPRAAVREEGRPRSPPDAAYATTNTPVPSAREDDLDAATTTKQVPPSSQHRPALRPKDPLPRSPRHKASTLGGSAQAAIGSSSTRAGSSLPQASAVPGQRAWTTKPLIGSTPAGEEQRWRWRKHGRRTRT >KQK86623 pep chromosome:Setaria_italica_v2.0:IX:2954290:2955727:1 gene:SETIT_038148mg transcript:KQK86623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKENAQAKLLVLLALQAVIYFSASWCGPCRFIEPALKQMASTFADVEFIKIDVDELAEVAGEWKVEAMPTFILVKRGKEVSRVVGANKGELERNVEKQRLLISA >KQK86622 pep chromosome:Setaria_italica_v2.0:IX:2954290:2955727:1 gene:SETIT_038148mg transcript:KQK86622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLKENAQAKLAVIYFSASWCGPCRFIEPALKQMASTFADVEFIKIDVDELAEVAGEWKVEAMPTFILVKRGKEVSRVVGANKGELERNVEKQRLLISA >KQK89599 pep chromosome:Setaria_italica_v2.0:IX:33670914:33672394:1 gene:SETIT_039331mg transcript:KQK89599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALPMVVTAQLLAAAVLALALVWVLHFRGGVSWERASNPLYVYTAHPLFMVIGFVICTGEAVMAYRIVLGPRAAKKAVHMLLHLVAMAFAAVGLYAAFKYHHDAGIPDLRSLHSWLGIATIALYALQWLVAFVYFVFPGAAMTMRADYAPWHIFFGIVIFHMAILTAETGLAKFIFPLNDYPSEAFIINFTGLALLMFGVVVVLVVILPSRY >KQK90270 pep chromosome:Setaria_italica_v2.0:IX:41144303:41147154:-1 gene:SETIT_038886mg transcript:KQK90270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YALGWNHLNSSTDNESAEDDYQVPFNNYPRRPSPPLLWIQASTPPPLPRPSEPKQQEEESNSDFLEGTFLKIGSGKQKATRNKSRKNNKKKKGKSRKQPNASEMQSELRTEPSSTCVNAASGDEALPFSSSYVTTDVPLLEYSSSSSSQVTELISPVSRASSGNEKELSSSSNEYRSFSTSYYSVSGSSVNDSSTGSNESVAESYTGCNAWNNTKGHAVAGSLSDARSKLSWSAAVLAGSLGLNEWGGDRNKPNDHKSSSVTISPTSSSQEGSLCGNDTSHDGEFQKVISRKTAQKLKKIQRLRSPTPSPTVSASVPISSALVPKAPSEITIGHYIKDDCFSGRKPNHKKGEYKKGQSLGSVLKTGFTNEGNVPGNSRMLLKTVHPGNLESNQMALSSTSHHHVALEVIEETCSSGCLLLQGELKALAPADGDAKDTDSRAEEQKKEENGLTSHESVLDKIIKAVNNAYQIQAASDMYMSCGHPVADIETFLRSATPVIEQIPHTTNQMPGVALRSVWNWYEEPESFGIEVEIHRNIKCGTTGTYRRSEICAYFVPSLSAVQLFGQCSFNASSNNGVLLFEYFEREKPFLRPPLFTKIKQLVSGVNLHGNTIFGDPKQLESVRLSDLHPASWFCVAWYPICQIPSAAGRCQAAFLTYHSLGKLVPQTCSTYMPDGLTPVVCPIVGLLSYKDEGEKWFQLGEQPRSKPTSGGSMEKDPAELLNERMRALKHGASAMSKALVPKATGEAMNYHPDYEFFCSRAL >KQK86447 pep chromosome:Setaria_italica_v2.0:IX:1914565:1916649:1 gene:SETIT_039971mg transcript:KQK86447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTKDDDVDEPTKQQTEPSPPAGDQSIVGAADLLSGLCDDVLVHILGLAKDAKDAVRTGALSRRWRGLWRRVPALRFASRQGNAKGFMAFVDDTLALRARSGDGGLEQLEIRLNMRNAACRNERLVPPSIGAAERWIRYAVRHGVKSFQFELDLPKEDDEDEDEEAPVMVLDELPSSAKLEAMFLDLSYAWVSLPATVVFASLTDLKLEFMKVAGDNVLLLGRLVSSACCPNLRKLHMFSVTLAGPGQQKLLVEAGVLKELSLEEMDGMRSLELRAPSLRVLRIEDCDDLESLAASAPRLEKLSCRGNPLLIIDGDFPSVSLLKLDLKSHGHAYGDDKSHGIDIIKGKIPQLDHVRSLKAQISPTVNQNMHSFVGCVASLLTGTTFSNIRYLCLDFFIFYEIALCPGAVRKSNFICDHRKSHEISFIHLKEAEFRRVRGTDCELGFLQYVLS >KQK90319 pep chromosome:Setaria_italica_v2.0:IX:41591960:41593162:1 gene:SETIT_037346mg transcript:KQK90319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCNGCRVLRKGCSEGCVLRPCLQWIDAADAQGHATVFVAKFFGRAGLLSFISAVPDAQRPVAAVRGGGAYHQPGPRRGGAAGDGELAPLPGRRRHRAAWRRHRPAAGARQGHRRERRPLRPGLRSQARRRMVHLLHGEAGAEGRQRRAARRGGALRPRAVPEPRVPAGAGGAEGPAPAAGHAVHELGRVRDHHHDRRRQGAGAAQPFSLSLTRPQLILIHACARAWVP >KQK92628 pep chromosome:Setaria_italica_v2.0:IX:56461650:56467755:-1 gene:SETIT_033885mg transcript:KQK92628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSFPSLPLPEAAAAAAHASLLALAALLLLLRAARALASRCASCLKAAPRRGPAAAVAAGGGTLAATARAWHRAVLASCAYALLAQVAALSYEVAVAGSRVSAGALLLPAVQAVAWAALLVLALQARALGWARFPALVRVWWVVSFALCVGIAYDDSRRLIRDEPRTVDYAHMVANFASVPALGFLCLVGVMGSTGLEFEFTDENGVHEPLLLGRQRREAEEEPGCLRVTPYADAGILSLATLSWLSPLLSIGAQRPLELADIPLLAHKDRAKSCYKAMSAHYERQRLENPYREPSLTWAILKSFWREAAVNGAFAAVNTIVSYVGPYLISYFVDYLSGNIAFPHEGYILASIFFVAKLLETLTARQWYLGVDIMGIHVKSGLTAMVYRKGLRLSNASRQSHTSGEIVNYMAVDVQRVGDYAWYFHDIWMLPLQIILALAILYKNVGIAMVSTLIATVLSIAASVPVAKLQEHYQDKLMASKDERMRKTSECLKNMRILKLQAWEDRYRLQLETMRNVECRWLRWALYSQAAVTFVFWSSPIFVSVITFGTCILLGGQLTAGGVLSALATFRILQEPLRNFPDLISMMAQTRVSLDRLSHFLQQEELPDDATINVPQSSTDKAIDIKDGTFSWNPYSPTPTLSGIHLSVVRSMRVAVCGVIGSGKSSLLSSILGEIPKLCGHVRISGTAAYVPQTAWIQSGNIEENILFGSPMDRQRYKRVIAACSLKKDLELLQYGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILSALATKTVIYVTHQVEFLPAADLILVLKDGHITQAGKYDDLLQAGTDFNALVSAHKEAIETMDIFEDSDGDTVSSSIPNKRLTPSISNIDNLKNKVHENGQPSKTRGIKEKKKNEERKKKRTVQEEERERGRVSLNVYLSYMGEAYKGTLIPLIILAQTMFQVLQIASNWWMAWANPQTEGDAPKTDSVVLLVVYMSLAFGSSLFVFVRSLLVATFGLAAAQKLFVKMLRCVFRAPMSFFDTTPAGRILNRVSVDQSVVDLDIAFRLGGFASTTIQLLGIVAVMSKVTWQVLILIVPMAIACMWMQRYYIASSRELTRILSVQKSPVIHLFSESIAGAATIRGFAQEKRFMKRNLYLLDCFARPLFSSLAAIEWLCLRMELLSTFVFAFCMAILVSFPPGTIEPSMAGLAVTYGLNLNARMSRWILSFCKLENRIISVERIYQYCKIPSEAPLVIENCRPQSSWPENGNIELIDLKVRYKDDLPLVLHGVSCMFPGGKKIGIVGRTGSGKSTLIQALFRLIEPTGGKIIIDNIDISAIGLHDLRSRLSIIPQDPTLFEGTIRMNLDPLEERADHEIWEALEKCQLGEVIRSKEEKLDSPVLENGDNWSVGQRQLIALGRALLKQAKILVLDEATASVDTATDNLIQKIIRSEFKDCTVCTIAHRIPTVIDSDLVLVLSDGKVAEFDTPQRLLEDKSSMFMQLVSEYSTRSSCI >KQK90855 pep chromosome:Setaria_italica_v2.0:IX:46209652:46213162:1 gene:SETIT_039421mg transcript:KQK90855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGDKSRKEKKRGNNQIPQAPQQPPPPLAAVAAAAASTAQPQRLAASDPPPEAKALAHIPRMAAARSLILRRLRLAAAPSSAASFRPAAALQEALWGRRWMSSEDAKGSFLDKGEVTDRIIKTVRNFQKIDDPSKVTPDAHFKNDLGLDSLDTVEVVMALEEEFGFEIPDNEADKIDSIKVAVDFIASHPQAK >KQK88674 pep chromosome:Setaria_italica_v2.0:IX:16107642:16109798:-1 gene:SETIT_038576mg transcript:KQK88674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERPFARPPPHPVPIDLVRRCFNCLATDHVAAACRNPSRCLRCRQEGHRSCHCRRAHSQSTRRSFSPPTVCAPSSPKVGDQDMVAADDDISKPSPPPSPRPLGDLSRRPAREICVVPRSHEIDTTEERLSTYALVGMVGGTRPAVSPIQFEPEDFLVEFTSSSAADRVLHSYLSADAPFQLIVLGSACSSFVEASAPLASNNRCSLYVASWCMHPDLIPTEKLLFIPEPPEPYMECGLFLKPHELIHSKQDGLWYLVHVRIVEFQDWSLSSDSSDDSPDWFGSSNDEEYPSSRHGGRDHPWLRTSRFNHDGASGSGGGSSLGSGWGPSFLSASKGLSSRAWSLATPMCFEQCTITVLPDKGHAWEVEDLPPDGWQFKPTATGVLDPMTVEAALLPVGRGMLPTCYPPLCSSGSREVAFDDGLGRQSLPGVEEMGYEVAQLPPPPDPPTLAQSLLTQTGPQPDSKLALLEAVRFADVPAPELPDSPLHGSFVMQRGSSLALPLHFPLRIISPGATCLTTSRRRARTPLTRPSPLLRRSKRLAKK >KQK87658 pep chromosome:Setaria_italica_v2.0:IX:8541761:8542800:1 gene:SETIT_039099mg transcript:KQK87658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALEELKIKKNLQLINVRRLVYVENFPLVIRDNPRLERISNNPSLEWTDISRCPVLKELDGLPSLRSLEWWDWDAEALPEYLREAKLKKLHVDCSRSLLKLIALQDESSEWGKIHHVHHRINHRSNWYNGKNFKCIGAIRREDEDNPRAPDDPMVRSWKRWTKHIYFAKFQRGFERNSFNTGCSYDASDEASE >KQK88583 pep chromosome:Setaria_italica_v2.0:IX:15263249:15263758:1 gene:SETIT_040083mg transcript:KQK88583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKIMVVLVVALALLQVSCAADRATTPTPPRPTSSTTSRPAGLRHKKAPHHHSGGHHGGGTPAVMTANGFRRGESGGGPSACDGHFHSDGELIVALSTEWFARGRRCHRRIRITSARHGRTVEARVVDECDSRRGCRHNIVDSSPAVWRALGLDTDVGEVHVTWSDA >KQK87443 pep chromosome:Setaria_italica_v2.0:IX:7327723:7330962:1 gene:SETIT_036280mg transcript:KQK87443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTDLVNLDLSDCTEKIIAEYIWVGGSGMDVRSKARTLSGPVDDPSKLPKWNFDGSSTGQATGDDSEVILRPQAIFRDPFRKGKNILVMCDCYAPNGEPIPTNKRHGAAKIFSHPDVKAEEPWFGIEQEYTLLQKDTNWPLGWPLGGYPGPQGPYYCAAGADKSYGRDIVDAHYKACLYAGIDISGINGEVMPGQWEFQVGPAVGISAGDQIWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRSDGGYEVIKKAIQKLGLRHREHIAAYGDGNERRLTGRHETADINTFIWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYVVTSLIAETTLLWNPSHSNGNGVAAP >KQK89703 pep chromosome:Setaria_italica_v2.0:IX:35188163:35190312:-1 gene:SETIT_036477mg transcript:KQK89703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNGGRRDDGGEDDVLDHEYQEEYVRNSRGMSLFACRWLPGKRRNKQQGPKALVFLCHGYAVECGVTMRGTGERLARAGYAVYGLDYEGHGRSDGLQGYVPDFKALVQDCDDHFTSVVRSHGATVRHRFLLGESMGGAVALLLHRARPDFWTGAVLVAPMCKIADDMRPHPVVVNILRAMTSIIPTWKIVPTNDVIDAAYRTQEKRDEIRGNPYCYKDKPRLKTAFELLKVSLDVEANLLHQVSLPFLIVHGGADKVTDPSVSELLYRSAASQDKTLKLYPGMWHALTSGESPNNIRTVFQDIIAWLDHRSYRTTSSMEEVELKARHDDQHHQQHGNK >KQK88273 pep chromosome:Setaria_italica_v2.0:IX:12782712:12788175:-1 gene:SETIT_034944mg transcript:KQK88273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTASPAAGYGSDGVYRSPRSAAPIPSDPALSLSDFVLSRAAVCPSALALVDAATGRALTFEALRSAVLATAAALSSRARVRRGDVVLLLAPNSVLYPVCFLAVTAIGAVATTANPLYTPREIAKQVDDAGVKLVVTISELLPKIADLRLPAILLDGDGAAVPSDYPNNGVTLYSDLIAGVQEAEYRRPPTRQSDTAALFYSSGTTGVSKGVVLTHGNFIAALTTATSDQDELGEGRNVFLCFLPMFHIYGMSVVTLGQLRRGNAVVVMARFDLEAVLAAVKRHRVTYLYCVPPVMIALARHGRGGSYDISSLRCIGSAAAPLGKDVMEAVADKFPNAEIIQDRFTLSNLHTHLVQSYGMTETCGMISLEYPQKGRTRPFGSTGSLVSGVEAKIVDAKTMKHLPPNQLGEICVRGPNIMRGYLNNVEATELTIKQGWLHTGDLGYFDERGQLYVVDRLKELIKYKGFQIAPAELEGLLLSHAQVLDAVVIPYPDPEAGEVPIAYVVRSPNSSLSEADVQKFIEKQVAYYKRPRKVKFVDSVPKSASGKILRRELIAQVRSSKL >KQK90337 pep chromosome:Setaria_italica_v2.0:IX:41714769:41715447:1 gene:SETIT_037516mg transcript:KQK90337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITHDDYVSLCLMALAAAGGGGQAAATMTTQWPQNTAACWTAAARERDELRFRCSVCGKAFASHQALGGHKASHRKPPPAAVPLPLHLHASSSSSAGGAASSSDGGSSGGQAGRHRCSVCHRSFATGQALGGHKRCHYWDGLSVSVTASASGSGSSVKDFDLNLMPLPAAAAAAAGARRRWGEEEEVQSPLPVRKRRLSGPSLELSL >KQK88395 pep chromosome:Setaria_italica_v2.0:IX:13943067:13944227:1 gene:SETIT_039979mg transcript:KQK88395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPLRVPARLLHARCKTTAAQHVAARHLDHTFERLAAAHLPLVAASPLVDALRASPEPLALPDLARRLPLRLHRRGPLHFLRLFPRVFHLRAPLPLSLSLTPAAADLLAVASSPADAARTLHRLLAMSGSRALPLRAVFRVWRELSLPDDFEESVIAGHPHLFRLAPNPAEPNTHILHLVADSAAEDFTPVVDKTRSDRYAFKLQFPPGFRLTKEYRKKVKEWQQLPYAGPYEAVSPRAGGSKRVSKLARRKMEKRAVGIAHEFLSLTVEKMVEVEKFSQFRKWFGIEVNVRDVFLDHPGIFYLSAKGKRHTVFLREAYDRGKLVEPNEVSEARAKLVELMLLRRRGLGNANSSANMASGAASDAKESGDDFVEHEDCLLDVPET >KQK90004 pep chromosome:Setaria_italica_v2.0:IX:38462221:38462676:1 gene:SETIT_039714mg transcript:KQK90004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKHGLFVALNLLLFAAAVYGCADNCEPSYPTPPVEPPSSTYVPEPPAPIAPATDGHSHRPAGRCPLDALKLQVCASVLDGLVKISLPEEREKCCRLLDGLVDIDAAACLCTVLKTNLLGISLHVPIDISLSLNRCGRKDYPPGLTCPRY >KQK91713 pep chromosome:Setaria_italica_v2.0:IX:51418793:51419432:1 gene:SETIT_038311mg transcript:KQK91713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRLNSDNHLWWLATAMDGIPSGTKLSTSSLLLFVPHCDLLQQSQSFVPQAGLLKYVRRGLKIMEATVMKKGRCLYVFFL >KQK86484 pep chromosome:Setaria_italica_v2.0:IX:2157440:2159986:-1 gene:SETIT_037643mg transcript:KQK86484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYKLCYSQFDTNQGFGLSPLSTAGRGESWPATPGSTPFVIAGTLYGWMCRAAAGDPAECCQLSNLDSRSTMSLRTRGVLLISSRAACGWIDTTGLSEQARRPSSHHASRAFSSGYDADWHADLDAWIGIMKLAAKEVQLFRCRPIDAAELPIRTACPKRVTRGR >KQK92788 pep chromosome:Setaria_italica_v2.0:IX:57233741:57234496:-1 gene:SETIT_037760mg transcript:KQK92788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPMAASAHRRRRARRWTSRYHPGATESAPVPAGARASRARAAPRDVKLPVAAAVRVRRHRPGVHVRAHRRRTRWNGACDDVLYLLLHCARATDRLPHVRCAQRQPASLASATCRRPPGRPLFRGGAAWVFAVSGRRGIPGGARAVGGARGEREPADARGNSSGDHTGPWLRI >KQK91286 pep chromosome:Setaria_italica_v2.0:IX:49014213:49014764:1 gene:SETIT_038427mg transcript:KQK91286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKQYSSKVPLVHMFQEKAFEVVVLCSVHVYPLVLIASLGWRNQSWKLKREKQEKEVKGNAGV >KQK88934 pep chromosome:Setaria_italica_v2.0:IX:18754292:18756081:-1 gene:SETIT_036320mg transcript:KQK88934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKVTVTGPADAAELKERIEARAKKPVQIVSAGAGPPKKDKEKEKEKEKKADGGGEKKADKEKGGGEKKADKEKGGDKKAEKKEKGGDKPKEEKKPKEPKEETVTLKIRLHCEGCIDRIKRRIYKIKGVKDVVIDAAKDLVKVTGTMDAAALPGYLRDKLSRTVEVVAPGKKDGGDKKGDDKKDKADGGDKKKDGGGGGEEKKDKSAGAASASVAPMPMADAGMYQMPPHYGSYAPYPPAPGGYYGAAPAPHPASFYPNAGVQYPPPPAYPYGGAHLHAPQMFSDENPNACSVM >KQK87417 pep chromosome:Setaria_italica_v2.0:IX:7239959:7244654:1 gene:SETIT_033895mg transcript:KQK87417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGQMMASNLLRTEGFPGNMGKKSGLIAARSLGSRRLRMTRHCFHQQHLCWPRALRVAVHNIRLSSCPGAVVSKGFDSPLVDNSDTALDVGIIHLYRIPFLQESETMELLRKVKAKVSANIVDIMTEQCFNVQLDNPLTSEKLSTLHWLLAETYEPEKLLTRSFLEEEVSGSSCTVIVEVGPRMAFSTAFSTNAVSICKSLSLVEVTRLERSRRYLLRLEPGSGPLDENQLKEFVLLVHDRMTECVYPNKLTSFQSEVVPEPVRVVPVIERGKEALEEINVKMGLAFDKQDIDYYTHLFRDDIKRNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMPNTLFQLVKRPLKANLNNSVIGFKDNSSAIKGFPVNQLRPTIPGSTSPLSIMMRELDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGKGSFVVASTAGYCVGNLRIDNAYTPWEDPSFSYPVNLASPLRILVDASDGASDYGNKFGEPLIQGYTRTFGMRLLNGERREWLKPIMFSGAIGQIDHAHISKGDPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACAEMGENNPIISIHDQGAGGNCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDALLVKPESRSLLESLCQRERVSMAVIGKIDGCGKIVLIDSAAMEHAKLNGLPPPTPVEELELEKVLGDMPQKTFEFKRVSQVTEPLDIAPEVTLLDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACSIGEQPIKGLLNPKAMARLAVGEALTNLVWAKVTSLADVKASGNWMYAAKLDGEGADMYDAAVALADCMVELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISTYVTCPDITLTVTPDLKLGKDGILLHIDLANGNRRLGGSALAQAFDQIGNDCPDVDDVGYLKKVFEAIQELITQRLISAGHDISDGGLIVSALEMAFAGNCGFKLDIDLEGRSLLEGLFAEELGLVIEVHSEYLDIVKQKLEAAGVSANVIGEVTSSPEIKVFVDGNLHLKEKTSDLRDLWEETSFQLEELQRLKACVKLEKEGLKIRTSPSWSLSFTPKFTDEKLLIASSKPKVAIIREEGSNGDREMAAAFHAAGFEPWDITMSDLLAGKSSLTEFRGIAFVGGFSYADVLDSAKGWAASIRFNQPLIQQFQDFYHRPDTFSLGVCNGCQLMALLGWVPGSDVGGSLGIGGDMSQPRFIHNESGRFECRFTSVSIGNSPAIMFKGMEGSTLGVWSAHGEGRAFFPDENVLATVVQSNLAPVRYCDDANNITETYPFNPNGSPLGIAALCSPDGRHLAMMPHPERCFMMWQYPWYPKEWQVEESGPSPWLRMFQNAREWCS >KQK89524 pep chromosome:Setaria_italica_v2.0:IX:32380881:32383759:-1 gene:SETIT_038866mg transcript:KQK89524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNEEKDLRIRGRFDAGDKRSHYVLMPVACDDDWLFYKELVKDSQVACAELVVDEDVLPANEVDEEEDDEEGGDDDCSHGSDDSGDEGDFDTCRVNNNFDVANLEDNISVGSEEEQSDGEDDIGNGGKEQVSFVDEARPSVNEKVTEPTKSGGEDDIGNGGEEQVSFVDEARPSVNEKVTEPTPVVQSIPTPMMQPTPMVQSIPTPMTQPTPVLFIEKGMRFDSLEELKFFLRDYSVRHHRPYNVVHSSAKMRYTVCCQHGCEWKVWARPLPDDRQKWRITRHSQCMACYIARRIAALLHAGPDISIAVVIETIKGFTNYVVKYGKTRKAKQHVIAMLWGDWKDTYGRVPRILEAIAHYNPGTKWCTHTIVQMVGATTNKSTSNDGRTKAGGCMPACYEPPIMPLWGSKQVATS >KQK92765 pep chromosome:Setaria_italica_v2.0:IX:57157797:57160447:-1 gene:SETIT_036260mg transcript:KQK92765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSVEPQTPPDSPSMDVGVGKPPRHQLTSIRHCASSMRIAAAAASSDYGMDLGTLSLISPTDVRPGFLPVFRSGSCAEIGPKSYMEDEHVCVDNLIEHLGGRAAGIPAPGAFYGVFDGHGGTDAACFVRKNILKFIVEDMHFPNNVEKAIRGAFLKADHALADSHSLDNNSGTTALTALIFGRTLFVANAGDCRAVLGKRGRAVELSRDHKPNCKSEKIRIEKLGGTVFDGYLNGQLAVARALGDWHMKGPKGSISPLSAEPELQEIVLSEEDEFLIIGCDGLWDVMTSQCAVTIVRKELMQHNNPERCSSELVQEALKRDSYDNLTVVVICFSSNPPPQIDIPRSRVRRSISLEGLHLLRGALDRNA >KQK92696 pep chromosome:Setaria_italica_v2.0:IX:56820240:56821538:1 gene:SETIT_036000mg transcript:KQK92696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEVVQFLVRKFVDSLTEEAVVAAELPFSAQFHDMRGELEKAAVSPANADELRECLYELNDLLAECRMLTNRPNRRRFFTQPDAWRFSKTKKRVAAVRRRVLQCVGNNSDGNAAASEEDGAAVTAGLDRWTTSWLERSRIHGFDQQLTELESMAFRDCGAGRLNGVGIFGMGGSGKTALAQLLFSSPRARGRFFPRIWMCMSRTACAGADRRKEVLQGILMALGNEEDAILSMDGSNSLAELVVAVHEQLKGKRYLIVFDDVWHVNRWYADVVGGHQAPQRADDWSERLAFGLPKDRGGLVIVTSRLEQAAEAMVGKSCLHRVRPLTDTESCWEIFMDALSQEKGTVDLATVNSMKQEILQTCGGLPLAAKTMGDILARSSFSSPASTSTSQELIHQE >KQK86470 pep chromosome:Setaria_italica_v2.0:IX:2065742:2076011:-1 gene:SETIT_033845mg transcript:KQK86470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRYQIRNEYGLSDPELYAAPGEEDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEDVMATASRGHGLMLRLQQLEAEFPAVEKAIISQTDHSNYPHDDGVDWHANLQLKQNMITQGDMPRFILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKAEHTSNMLEIDVSIEKKPRRLKKKAIRWRKGATLESLLAKSESFTTPKDRTSRKVPPRTTKLKSRHPRSPDHKTISRICREHLLEVISSQQKIFSRYSGRQCHVRFRSTDSSETGEMENFGAIVQSSGKLELTKIVPINESNTMEIISAPTDGSAYLELGDKQILGKQHEPLEKNGMVRDLGKLQDCPNFQVGESNHSSHSGHEEKHILAGVPADQDADGCRPDDICSDQDNFIDALNNMGPEGEADPEIETEFDPSANVEQIELNRDSKEGEDALYAESPQVGPAIDSSPGFNSSCNAEEATCMDLPSDSANPAVSASNGPSSVSQSGRQLNDVDWIKDEEPFDDEDLMDVSSSSSVASVNAVLQTDEDLYGCQQHQEKAYHYQSSDNAAVIHSSDKHSPKTSSDLDGTAVGNNNYTDEVYCSMEQGQDVVLDDTSMVSSKPNYVPEDEDKLSFRIADDLFPHPTIPNQEEIQEMEKELEGGSLDTDASPGILASWPDKNHVMCLNDVEMDKDNVIVPEEIAADMAPTGLDPHDNHDHQDGIAPEHSGMRNNLPYESYDDEIAEDMHSLLNDGLSTPFNKDVAEDNQIVVLEGGACPASLNSHKEDSEQAPAMARNLSDVQEFPVVIQGVPSQEETEAHAVETLAPVSCVFSADTEPVGISVLPAPNTSSLPDNSSSCVEQHALTEMEDIVEGGKVVVAEESTTSRFADDVVPPEDFIDDAIYTEKTQILATDSTEEASRHDLQLQHNSPLREGLEPVEATDRNLGEVDASREYISKERMLQTDNIPPLNEIEPTGEKCSDRDEVLSAGHFPVESDYQEELLESSHNAGVHSLCDLDKDFAVSLKCNTMGEQPEDVDDQDLAWGMPDQDFTSTNPFMDPAYMLSLTQTCPSPIVSCQPCFPEEQDFLSELLVQHDNMGAAADSLWEPATPPDEAPLPSEVMTEEDFRSFCREYHEINFSAAAEGCHSEPASDSNNISNAFLVSESDFPSSVSALPVKLNQEACVHSKFGSQCAEPSSTMDIQGATSMTFSGKEDLTDETPGVDSHLKSDASFSVNRSSELDMLSVPVDLQQEQLSGIDSHSSSPLLDKEMTGEGCCSPSSDVVEVKHDLEIHANLALHSFINETGDELDVAVQVEPEVGAHADDNQDIPCCSTSEKDDSLMGKPVLVQESEVFAFGEFDSPIVPLSSIDDIEDNQDSASRTYVQTEQESECCTSGELDSQTTPSPLLDEKIDKLDGPPLSNAAMLDQESEVCVPSGLDSRIALCSSTDENVHELDHPPLSSSVLINPESEDHVLGDTSSKVTMCSLVEDKIDESEDPQPNHNFPEVRQEALASPELDFQVAPCPLNDDKVGEIEGPPSCNGRVESEKESDCSIESDSQSAPCSSNSPVLADTGVLTSPVMPNNEEMNQLSPGPPPAVQFQNDSYEDPQAQAPPPLPPLQWRLGRPRLGLLSRKSSMPEPARSIDPVFQASNQDMDIRLGLLDQPDRSIEPVSSQATEEDTHQSSLLDGSDQNVEVGLSTSVTVTGVARTEHYWPFSEASENIKGPEHISSSFNRNLDAGVTSATADEEHLDDSGVTHGAVLYSSDPLLRLPADEQQEPQLCTLSSDTRETSVHPSRMHPGASENDKSTDAAGGMESTSTKGPDYENSFYQQPQHVESFSKTSDYGEHITNASVEGVKHQSGTSETLSDPAKHSAPGTLLKDGNSKGSQILQEQNVGSSQDNKSGGPLPSSESMASEDYRHDEYNLERENRHPPSNPGPLVAWPGDKNNFFSGLDEASFAHAEQPPVMGWTVGPQMIHPKYGISAEESQFEPNITDNHLIKKPISIKNIPRNPLVDAVAAHDRSSMRKISELAPSADKPKPTERNLLLEQIRNKTFNLKPVAPSQPTAMRSPARANTRNLKVAAIIEKANAIRQAVGSDDEDADSWSDA >KQK86469 pep chromosome:Setaria_italica_v2.0:IX:2065742:2075437:-1 gene:SETIT_033845mg transcript:KQK86469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASRGHGLMLRLQQLEAEFPAVEKAIISQTDHSNYPHDDGVDWHANLQLKQNMITQGDMPRFILDSYEECRGPPHLFTLDKFDVAGAGASLKRYSDPSFFKAEHTSNMLEIDVSIEKKPRRLKKKAIRWRKGATLESLLAKSESFTTPKDRTSRKVPPRTTKLKSRHPRSPDHKTISRICREHLLEVISSQQKIFSRYSGRQCHVRFRSTDSSETGEMENFGAIVQSSGKLELTKIVPINESNTMEIISAPTDGSAYLELGDKQILGKQHEPLEKNGMVRDLGKLQDCPNFQVGESNHSSHSGHEEKHILAGVPADQDADGCRPDDICSDQDNFIDALNNMGPEGEADPEIETEFDPSANVEQIELNRDSKEGEDALYAESPQVGPAIDSSPGFNSSCNAEEATCMDLPSDSANPAVSASNGPSSVSQSGRQLNDVDWIKDEEPFDDEDLMDVSSSSSVASVNAVLQTDEDLYGCQQHQEKAYHYQSSDNAAVIHSSDKHSPKTSSDLDGTAVGNNNYTDEVYCSMEQGQDVVLDDTSMVSSKPNYVPEDEDKLSFRIADDLFPHPTIPNQEEIQEMEKELEGGSLDTDASPGILASWPDKNHVMCLNDVEMDKDNVIVPEEIAADMAPTGLDPHDNHDHQDGIAPEHSGMRNNLPYESYDDEIAEDMHSLLNDGLSTPFNKDVAEDNQIVVLEGGACPASLNSHKEDSEQAPAMARNLSDVQEFPVVIQGVPSQEETEAHAVETLAPVSCVFSADTEPVGISVLPAPNTSSLPDNSSSCVEQHALTEMEDIVEGGKVVVAEESTTSRFADDVVPPEDFIDDAIYTEKTQILATDSTEEASRHDLQLQHNSPLREGLEPVEATDRNLGEVDASREYISKERMLQTDNIPPLNEIEPTGEKCSDRDEVLSAGHFPVESDYQEELLESSHNAGVHSLCDLDKDFAVSLKCNTMGEQPEDVDDQDLAWGMPDQDFTSTNPFMDPAYMLSLTQTCPSPIVSCQPCFPEEQDFLSELLVQHDNMGAAADSLWEPATPPDEAPLPSEVMTEEDFRSFCREYHEINFSAAAEGCHSEPASDSNNISNAFLVSESDFPSSVSALPVKLNQEACVHSKFGSQCAEPSSTMDIQGATSMTFSGKEDLTDETPGVDSHLKSDASFSVNRSSELDMLSVPVDLQQEQLSGIDSHSSSPLLDKEMTGEGCCSPSSDVVEVKHDLEIHANLALHSFINETGDELDVAVQVEPEVGAHADDNQDIPCCSTSEKDDSLMGKPVLVQESEVFAFGEFDSPIVPLSSIDDIEDNQDSASRTYVQTEQESECCTSGELDSQTTPSPLLDEKIDKLDGPPLSNAAMLDQESEVCVPSGLDSRIALCSSTDENVHELDHPPLSSSVLINPESEDHVLGDTSSKVTMCSLVEDKIDESEDPQPNHNFPEVRQEALASPELDFQVAPCPLNDDKVGEIEGPPSCNGRVESEKESDCSIESDSQSAPCSSNSPVLADTGVLTSPVMPNNEEMNQLSPGPPPAVQFQNDSYEDPQAQAPPPLPPLQWRLGRPRLGLLSRKSSMPEPARSIDPVFQASNQDMDIRLGLLDQPDRSIEPVSSQATEEDTHQSSLLDGSDQNVEVGLSTSVTVTGVARTEHYWPFSEASENIKGPEHISSSFNRNLDAGVTSATADEEHLDDSGVTHGAVLYSSDPLLRLPADEQQEPQLCTLSSDTRETSVHPSRMHPGASENDKSTDAAGGMESTSTKGPDYENSFYQQPQHVESFSKTSDYGEHITNASVEGVKHQSGTSETLSDPAKHSAPGTLLKDGNSKGSQILQEQNVGSSQDNKSGGPLPSSESMASEDYRHDEYNLERENRHPPSNPGPLVAWPGDKNNFFSGLDEASFAHAEQPPVMGWTVGPQMIHPKYGISAEESQFEPNITDNHLIKKPISIKNIPRNPLVDAVAAHDRSSMRKISELAPSADKPKPTERNLLLEQIRNKTFNLKPVAPSQPTAMRSPARANTRNLKVAAIIEKANAIRQAVGSDDEDADSWSDA >KQK86923 pep chromosome:Setaria_italica_v2.0:IX:4514268:4520636:1 gene:SETIT_039357mg transcript:KQK86923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGGGAGGAGGGGGGSFSEQRLVEKLNKLNNSAASIQTLSQWCIFHRKRAKRVVDTWEKQFNSATKDKKVSFLYLSNDILQNSKRKGGDFVNEFWRVLPRSLKHVYENGGEDGKKVVARLIGIWDERKVFGTRIESLKDDILGDNPPVLDNNGNSSNPTSNPSSNSKAARKDSGIIVKKLTVGGMPEKIVTAYQSVLDQHFDEDTALNKCKSTVGVLERINKDIDDASTNGNQPASTLISNLQEQQMTLKQCIEQLESVDAARISLINQLKEALSEQESKSVVLRGQLQVARAEAERAIQLRQQLGSALATSGTQSSSSPLMITPPEQTAAMMQGSGVRSTPPRPQPLNPATSLPPTVSAVGDESKRTAAAMADKLASLSAPAFHSLISSLAAEQAASINGGSPSGEFSGGPPGFQIEKRPRIEKTTQASDMGAPPFFGQAPQVQQQVGGVPTSLGGTQPQTPGPFPPPPPPLPSILPPLLQQFAQNTGGMVGVGGPFGMMAGSIPPPPPLSLPAGFPGLSGPPPPPPPPPAQNQPQQQQSPQPPQQSPTSAGFFQTSSMGFFPPVQVQQSPSAQRQ >KQK89968 pep chromosome:Setaria_italica_v2.0:IX:37937133:37938779:1 gene:SETIT_037057mg transcript:KQK89968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASFKVVALGALVFSLLVSYGSCARPVSFNASAFTADPNWEAARATWYGAPTGAGPDDDGGACGFKNVNLPPFSAMTSCGNEPLFKDGKGCGSCYQIRCVNNPACSGNPETVIITDMNYYPVAKYHFDLSGTAFGAMAKPGRNDELRHAGIIDIQFQRVPCNYPGQKVTFHVEEGSNPVYLAVLVEFEDGDGDVVQVDLMEANSGSWTPMRESWGSIWRLDSNHRLQAPFSLRITNESGKTLVANQVIPANWVPNTYYRSIVQY >KQK86806 pep chromosome:Setaria_italica_v2.0:IX:3817951:3820946:-1 gene:SETIT_036199mg transcript:KQK86806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAVAANVAVIGGGITGAVSASLLAARGVAVTLFDSGRGAGGRMSQRRATMEDGTELRFDHGAPYFTVTNDEVARVVSGWEARGIVAEWKATFACFDLATGKFTDFEKEGSTKKYVGVPAMNSICKSLCLEDGVEAKFGVTVGKMDWLQDRSSWSLASLDGKDLGYFDYVVATDKNVASPRFSGLTGRPPPLDLSLFPRLSVMVQDIPVRPCFALMVAFSEPLAMVPVHGFSFNNSDSLSWAYCDSSKPGRACVPPNRQSWVLHSTTEYASKVINTIGPRKPSADALAKVAEELFREFQATGLSIPQPIFMKAHRWGGAFPAIAVGGDDKCIWDKSTKLAICGDFCASPSVEGAVISAMRGASKILGCLNCPSGL >KQK90046 pep chromosome:Setaria_italica_v2.0:IX:39150271:39154225:-1 gene:SETIT_034372mg transcript:KQK90046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPLAFRLPFPSACRPPPPRTLAPPAPRRLPLRLAAAAARRFRPPTADDEPPEAAEDSSHGLNRYDQLARSVERARSRQPEITPDHPLFSSPSEAGGAGGGSYDPDDEFFDEIDRAIAEKREEFTRRGLIKPSPASPPSSSQSQPEDEVLADELSPEEVIDLDEIRKLQGLSVVSVADEEDEEAEGAEDEDGDDGLPLDEDEEGFDVTEELGLEGARIRQPAFRMTLAELLDESKLVPVAVTGDQDVALAGVQHDASLVAAGDLFVCVGEEGLAGLTEADKRGAVAVVADQDVNIEGTLACRALVIVDDIAAALRVLPACLYRRPSTDMAVIGVTGTDGVTTTTHLVKAMYEAMGVRTGMVGVLGAYAFGSNKLDARPDASGDPIAVQKLMATMLHNGAEAVVLETATDEMPPSGVDSEIDYDIAVLTNVKHTDGEDGMTYEEYMGSMASLFSRMVDPERHRKVVNIDDPTAPFFAAQGGLDVPVVTYSFENKKADVYTLKYQLSLFETEVLVQTPHGILEISSGLLGRANIYNILATVAVGIAVGAPLEDIVRGIEEVDAIPGQCELIDEEQAFGVIVDHARTPEALSRLLDGVRELGPRRIITVVGCCGEKERGKRPVMTKVAADKSDVVMLTSDNPANEDPLDILDDMLAGVGWTMEEYLKYGTNDYYPPLPNGHRLFLHDIRRVAVRAAVAMGEQGDVVVITGKGNDTYQIEGDKSEFFDDREECREALQYVDQLHRAGIDTSEFPWRLPESH >KQK87201 pep chromosome:Setaria_italica_v2.0:IX:6077748:6078447:1 gene:SETIT_038363mg transcript:KQK87201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAQSVSRRLDMEDIKNSNCRRLQINAQDIRQCSKFESTRSHPQAMGTAKCYYNHKLSNITLYSPSGEYSNKY >KQK89199 pep chromosome:Setaria_italica_v2.0:IX:21818941:21819036:1 gene:SETIT_039815mg transcript:KQK89199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AWAREVREMAYDVEDCVDAFSCKQRVASSPE >KQK89212 pep chromosome:Setaria_italica_v2.0:IX:22069402:22069537:-1 gene:SETIT_038736mg transcript:KQK89212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPGLDAGDGVTLPSRSAIIGGTAAGYHLLDIEGYSHTKDLPT >KQK89675 pep chromosome:Setaria_italica_v2.0:IX:34890918:34891715:1 gene:SETIT_038563mg transcript:KQK89675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFAFFALLALSTTATSAYISPLSALAATASPLFWPQATSIAATHPCVQLQALASGILAPSSVLIQQPLAILQQQCQAHLALQSIMSLQQQQLLVNNIATMLPNVFNQLALANHITAAYWQQQQLLPNVVNQLALTSPFAQWYQQQLVSMALTYPITAAWQQQQLLPNVFNQLALASPLAHLQQQTLVASVFNQVPLANPITTAWQQQQLLPNVFNQLALQQALVSSVFNQVALANPYLQQPFIGGAIF >KQK88286 pep chromosome:Setaria_italica_v2.0:IX:12917501:12919798:1 gene:SETIT_039373mg transcript:KQK88286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEEPLVQESTVPVVPAADPSGRSVRLVYTLLPGAEPFARLPALPSPPRDPGPVLARSGALAEFRGWPGGRSKLWRRWVDKLQPRHEVLWRDLGILDAILTTTYRVWRDEGALLQLAAFWSAATSTFVFPWGEATVTLQDVAALAGLPLVGGPVRAPVPEELEKEVGALEAVRVVLNQSKNRKPSYGVWVKHFLNRAPDKEASAAGGRGDLEEHGAFLSMWLSRFVLPSPPLDVVQSATFPIAVRLARGQSVALAPAALASIYSDLSALKRRLGLHKRKEPPFGVSAPMQILQLWVWERFPELRPKMVSSRAPDVVGMPRVARWHNVRNVLDTKYVYRVLMSPKEFEWRPYGSSSVALQPKTGGCWVRGQDITRSKALLSFARCLRACELVGMNCIERYRPHRVARQLGFYQDVPGNVVRVNSRWEKAWESYNVEAKNLAFVVPNHKPGVTVKYAQWWEPYSSACATAVANAVNTKRLYVLVNPVKRKMEGLLAADSDKKMHVDTSIGIQQPAPDAAEDLEDEIPLGAVSCGSNEAIIGAATKVDMLPSPEDILVISDCEVETGLNNRTLRQEPDVLTHAATIQTYVGHSEGPTEEMQKCTVAGDKVHKEKTGSLYCNEKGNEDVLVSNQELESVIENLAEANRKKS >KQK91118 pep chromosome:Setaria_italica_v2.0:IX:47916702:47923417:1 gene:SETIT_034835mg transcript:KQK91118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGILAVLGVADVSLAKRSRIIELSRRLRHRGPDWSGLHCHQDCYLAHQRLAIVDPTSGDQPLYNEDKSVVVTVNGEIYNHEELKAKLTTHKFQTGSDCEVIAHLYEEYGEEFVDMLDGMFAFVLLDTRDKSFIAARDAIGICPLYMGWGLDGSVWFSSEMKALSDDCERFITFPPGHLYSSKTGGLRRWFNPPWFSESIPSTPYNPLLLREMFEKSVIKRLMTDVPFGVLLSGGLDSSLVASVASRHLEETKFAKQWGSKLHTFCIGLKGSPDLKAAREVADYLGTVHHEFHFTVQEGIDALEEVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKKEFHEETCRKIKALHLYDCLRANKATSAWGVEARVPFLDKSFINIAMDIDPEWKMIRRDLGRIEKWVIRNAFDDEKRPYLPKHILYRQKEQFSDGVGYSWIDGLKDHASEHVSDSMMMNASFVYPENTPTTKEGYYYRMIFEKYFPKPAARSTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHDAAYEDTPGAAPAAVTDNSLRPAIGESLVKPVTPASAV >KQK88320 pep chromosome:Setaria_italica_v2.0:IX:13143076:13146862:1 gene:SETIT_036036mg transcript:KQK88320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLRPAPPAPAAAVTVPRRRLLTSHSRLKAPSTRPPLASLRLRPAAPLRGIPSRTWYRAAAANADAAPSQGPGDDTGARSALVLLGEALSLGFPVWVASACAIALWRPPAFLWVGPTAQMLGISFTMLGMGMTLTLDDLKTALLMPRELAAGFILQYTVMPLSGFFVSKLLKLPAHYAAGLILVSCCPGGTASNIVTYLARANVALSVLMTAASTFAAAFMTPLLTSKLAGQYVAVDPMGLFVSTSQVVLAPVLLGALLNQYCNGLVQLVSPLMPFVAVATVAVLCGNAIAQNASAILSSGVQVVLSVGCLHGSGFFFGYVLSRILGIDTASARTISIEVGMQNSVLGVVLATKHFGNPLTAVPCAVSSICHSVYGSILAGIWRSMPPTEKRE >KQK89889 pep chromosome:Setaria_italica_v2.0:IX:36755725:36757836:-1 gene:SETIT_036966mg transcript:KQK89889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPEEENGRKVAPVVFRLFGVDVRRVADEELDDFGNGFELRKISSMPNLTVNSIDPVLPPGEAGDGKAYASDDLELASRQQKRRRRKAQERKKGIPWTEEEHRKFLDGLRQLGKGDWRGISKGFVTTRTATQVASHAQKYFLRQTNPGKKKRRASLFDVGIADFSDDQVPSPPNSATKPAPTQEIIHTDRGDVPIPSYRGFGGILGNNIQVSELTNYFERPMAHAETSFASMASGLETASSVNSLELSIAINNLELSIAPPALCGCGGAAGAIKVL >KQK91934 pep chromosome:Setaria_italica_v2.0:IX:52784032:52788904:-1 gene:SETIT_033904mg transcript:KQK91934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQRSYGDGLDDDRRRFYDRGPPPPPPPPRRPPREYDGDRFERRKGFGGGGGGGFHDGRYREYPSPREYGGDRAMHRSESFSGFRREFPKGFRSERDRSRRDGDGGSAWRRPGSGWRDAECFDEYRAPSRLGAASLTAQPQRSRSRSRSPSVPRRRFEVTKAEKLKKQTAGVSEIEEGEVAPDAEPKARPAAVEHRKQVEPSRAKEKGPERGKVKKLDSRVPADKGTQGKGATGAADPDNAGKEEVRRIDGMLAEAGKPIDEGTMLADAGKPIDEGSEKSSMKVEEEVSGGHEVQAQDVVTGDASKFGLSTSPMQQEVLQRGVHRQEETTNSVDVVGQSTSSSILNEVIQEEAPTQEETVNDINDAEKSAPSSFSQGVVQDEVMMAHKTGSAADDVGKSTSPVMRQEVVQEEALALDSTSYAADEVGKLSGMPQQVLQEEVMKQDGTSSDVHRIETDTSDDLLREATQEKMTMLDETAHNTALEPVSYSGMLKEAMHEGESMMDATGNTTDVAGRSNSADVAEDAMPEKAAVQETTNAVNLTGESNTFTGPQREVIASLQLQAPEIKEFEKLTVEMDKPTEHAAFQPAEEELEMDQCRKGGASKESMIVENEADVLDESVEKQLTGFDIETDADGARMFHRSTKEHVGDSKEDAASANVMTRESMAEAKGNGIAFDVLSKKVKVDHSTSAGRSLDSALQLGVEPTETSNSASTTPVKQENDTVKLGKLDLSLSLSGCLQNSEFKCSIPQTARLVHAACSQPLPSSSFRTNSDGFAASVSLTSSQTFGHNPSCSLTQQSLDNYEHSVGSKPFFMGVGQMSNRTGRQAQLSSESTQKGSATPLLQRVLLNDHMPETNTLAGIKGHNNVMSNDLRRHASIPGVLSPTHSRGSHDSGSEHNRHRRQLTRERSSSSLTRGDRQEGEQLVINGAGVIERIISKVVSEPLHLTGRMLQEMTENSITYLREAISDIIVDPGKREQIIALQEALKKRSDLNSDMLRMCPRVLMEILVAIKTGHPYFIKKSSSVATSNLVDIFLNLKCCNLSCQSILPVDDCDCKVCQRKTGFCSSCMCIVCSKFDSASNTCSWVGCDVCLHWCHTDCGLRHSLIRKGQSASRAYGTTEMQFHCAACGHPSEMFGFVKEVFRTCAQHWRMETLIRELQYVERIFSASDDVRGKRVRNFVKQMLIKSENKAYHPEVVKCVIAFFSDDDTILGINPSVPLKGIPCSIAEAIDGIPSSSRKAAWTPFTLEGLPVLDKTTVQSTTGSPSLHRKSGEAEFQTIDNKKAVTDELDSLIRLKQAEAYMYQERANDARNEVDNLRRIIMVKNARIEEDYATQIDDLDIYELQERRKRKIEELQVIERTHHEFLNMKTRMVASIRELLSKMEALKQNRTI >KQK92221 pep chromosome:Setaria_italica_v2.0:IX:54459919:54462075:-1 gene:SETIT_037692mg transcript:KQK92221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGKASSPGAVAGASGGPPQQPPGAAVCCMCGDRGLLPELFRCSACSVRSQHTYCTDRYPKVESYGTCNWCLRVDGGVAASTSSSPRSAGKAAARSPAGHSDPTSGGGRSPKVAARGDFASSNPSKPIKKQQPQHQRLLLRRSASDLGSRAVRDAPPPSPGVARGRPRVRRYKLLEEVITS >KQK87914 pep chromosome:Setaria_italica_v2.0:IX:10147758:10148795:1 gene:SETIT_038600mg transcript:KQK87914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLRSRILRTLQSFPNAAAQSNVLLALPPGAGPSPEPPVTPCGHRQEPAPQEEVPADEAVDARELPGGGADDDGDKENVSPGVNPRKAKKMKLSSDHQDDTCYRRPDLASATLFDPDLLAAFRLAVDTYAQALEVSKRRDDDDDHGDGVPVGGDGEGGGGGGAGVADPLEAFERRCPPGGERAVVLYTTSLRGVRKTFEDCARVRRLLEGLRVAFLERDVSMHAPYREELRAMLCGQDGGGGDPPPAFPVPPRLFVDGRYLGGADEVVALHERSQLRPVLRRAPRRGAGEGPCAVCGGAWFVVCGGCSGSHWLHDAGGATVTPAGRVACSACNENGLVPCPLCS >KQK86124 pep chromosome:Setaria_italica_v2.0:IX:386274:387565:1 gene:SETIT_038811mg transcript:KQK86124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLKYDAVESTYNTKHNVPRRESPWKVDVESSGVPAKLRLLEQELINLEKVVNGDLSKIPLVMRKQVKRYQTLAGKIDDLCKRMQTSDPCDSTLSSEFRTQRQTEFLLEAFHLQHRATETRQKLSALQAETAKSSFGDELTAEAKMCTRRALSSIRNNFKEIQRSLEIWLARILGDLEGMLARDGASRIREYFLSPYASAVR >KQK88371 pep chromosome:Setaria_italica_v2.0:IX:13642434:13644633:1 gene:SETIT_036366mg transcript:KQK88371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQRYRFFCCGCGANAAAGDREGDDDGDFGVFEEKVEKGAEAGPRGLSWAQVEAMTGGFTSAVVGEGGFSTVYLARLSGALAAVKVHRSSERLHRVFRQELDALQRVRHPHIVRLLGFCDQQEEGVLVLEFAANGNLHERLHGGGKAAGTMPWARRVSVALQVARALEYLHDQCEPQVVHGDVKASNVLLDASMAAKLCDFGSARMGFSAAVRPRSSAHTMLGSPGYVDPHYIRSGVVTKKSDVYSFGVLLLELLTGMEAFCPEEGRLLTAVLAPRLRAGAGAPTCDARGLVDERLGTAYDAAEAAAVAALAAACVGENPSLRPSMADVVRTLEQGAQGSISAVGRRSDGHGKV >KQK89192 pep chromosome:Setaria_italica_v2.0:IX:21729959:21737171:1 gene:SETIT_034264mg transcript:KQK89192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLTTAFITCAKFHCRSSIAAANLLLVSASHSRACAPPRGPLLRRRIDKVSLRSIAMATTQASEAEADKGLPLGMDATMVDEYASQSKLLQEFVKIPSCGKAWIFNSKDENTSRAVVSIGQSDLLGNKRRKFLLNSHISKSASKSVDFHWSPFPTEISGVSAVIPSPSGEKLLLVRNSEDDSPTKLEIWGSCQLKNEIHIAKSVHGSLYTDEWFEGISWNQEETFVAYVAEEPPQPKPVFNDYGFKKEGSSEKDCKSWKGQGDWEETWGETYSKKRIPALFVVNISSGEVRPVKGIPRSLSVGQVIWAPSPSYGLVFVAWSSDNGFQHTPRKLGIKYCYNRPCALYAAPHPFREEAEKPSTEGDKGETTTMIKLTADLNSAFFPRFSPDGKYLVFISAKSAVDSGAHNATNSMHRIEWPTDGKLDGSLGVADVVPIVMCPKENCFPGLYCFGLLRDPWLTDGRTMILSSVWGSKEVVLSINVVSCEVLRVSPQDSDCSWNVLALDKNDILAVSSSLITLPQIYYGIKVSQIESHWEWQEVSTPLPKLSDEISSILSEHKFSILKVPISNLSDKLADGAKLPFEAIFVSHKDSASNPTIVVLHGGPHSVYPSSYSKSLAFLFSQGYNLLVVNYRGSLGFGEEALQSLPGNIGSQDVNDVLTALDLVIRRGLIDPSKVAVVGGSHGGFLTTHLIGQAPDTFVAAAARNPVCNLQLMVGTTDIPDWCFVEIYGKEGKKYFSESPSVDDLCQFHQKSPISHISKVKTPTLFLLGAQDLRVPVSNGLQYARALKERGIESKTIVFPEDIHGIDKPQSDFESFLNIGVWFKKHLSK >KQK89516 pep chromosome:Setaria_italica_v2.0:IX:32183302:32184037:-1 gene:SETIT_039391mg transcript:KQK89516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARRPCWSLSDLPIEVFIDIVGHLAVTSDNPLEDLRRLRATCRLMLRACGDRAMRHSRATVSGLNVVAYLYALFLYRNNGSATDDDIARMYIRRMEGEGEDGAAAQVSTGSMKLGNLGCQEHREEVYNYVWCYTWRKRSDPLPPTPVRGDFPCIGGTCGKAKSWPQIRLFCSEGCRIRYEIAIFVSKI >KQK90747 pep chromosome:Setaria_italica_v2.0:IX:45514969:45515997:-1 gene:SETIT_039036mg transcript:KQK90747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKNLVASLLCAVALALLLTSSSCAAQPIKTTPTLWSFHLPLPNGVTGAESLAFDRLGQGPYAGVSDGRVLKWGGSTLGWTTFAHSPNYRKIPLCTASVVPSEETESICGRPLGLQFFAKTGDLYIADAYHGLMKVGPDGGEAEVLATQADGVPFHFVNGLDVDQATGDVYFTDSSITYPRRFNTEIMMNADATGRLLKYDARAKQVTVLRAGLPYPNGVAVSGDRTHVVVAHTVPCQAFRYWLKGSKAGQYELMADLPGYPDNVRRDAKGGYWVALNQEKARLDAAAPVKHLVGVRLGSDGVEVEELTAAKGVTLSDVAEKDGQLWLGSVELDYVGLVY >KQK86583 pep chromosome:Setaria_italica_v2.0:IX:2779547:2783665:1 gene:SETIT_034594mg transcript:KQK86583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSPGPGAPCRRRAIQGFVALFLAYALVVLLLESPLVSTSLPGAAGASAAASRKLHLDGAWEGGRAAPARPSKHPHRETLSADGGRRRSGIVSGLELRHLNSTRSGSLRKVAAEAAELGARVFSDLQTLATTLPSLEDSSDEEEKSKCPHSIVLSGDEFRERGRAVELPCGLTLGSHITVAATPHEAHPERDPKITLLKDGEEPIMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTPLRCEGWRSRADEETVDGLVKCEKWIRDDERRLEESKTSWWLNRLIGRTKTVSVDWPYPFVEDRLFVLTLTAGLEGYHVNVDGRHVTSFPYRTGFVLEDATGLSLNGDLDVQSVFAGTLPTTHPSFSPQKHLEMLPSWQAPPLPDEPVEIFIGILSAGNHFAERMAARKTWMSAAHKSLNVVARFFVALHGRNEVNAELKKEAEFFGDIVIVPFMDSYDLVVLKTIAICEYGVRVVSARYIMKCDDDTFVRLESVITEVRKIRNGESLYIGNMNYHHKPLRNGKWAVTYEEWPEEDYPIYANGPGYVISSDIADSILSEFVNHKLRLFKMEDVSMGMWVERFNNTRLVKYVHSVKFCQFGCIDDYYTAHYQSPRQMLCLWDKLQAGKAQCCNMR >KQK88995 pep chromosome:Setaria_italica_v2.0:IX:19305378:19307457:-1 gene:SETIT_038269mg transcript:KQK88995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILIFVSVQLITEQNRNSSRKGKCTYLEQRRQSAVSINFKYGLGKQWLAKDDNHNFYFMHVQSIMTRMYFTKKDNNHNCYLSKKTV >KQK92904 pep chromosome:Setaria_italica_v2.0:IX:57783313:57784086:-1 gene:SETIT_038166mg transcript:KQK92904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KQK86897 pep chromosome:Setaria_italica_v2.0:IX:4355837:4356910:1 gene:SETIT_037019mg transcript:KQK86897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRQSPSRSNNRSKKLRPSHTLEAFLLVAVGVWIAYQVMRSYGKQRVVAVETDVDADGKPARRWLGRKGFVGFAAGQASADDDIVGIGDGSDFVGRGAAGSSDDRLSQARDAGDEEDQEAGEDDGVDSDADDVAEAADEEEDGTDFLSQSGNDEEEMETAQGQAQNGINMTVVPPVNATDTVQDGGAVLPANATGGAADGTALTSSGYPLKNNNSSADLSSRDRGAAGDASNKLLANNGSSPGENHSLQINKNETAGSVAGHGISSRRSIS >KQK92539 pep chromosome:Setaria_italica_v2.0:IX:56003937:56008732:-1 gene:SETIT_036124mg transcript:KQK92539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGVADNPDGGGGAPGAQLPPPPMGARAALGTLPVSGGAAHSASTSGGSAGSPSSRSEQNAPDGAGKGAAPGSAAAAVAASTPASETTFLRLNNLDINGDDAPSSQAPTSKKKKRGARAVGPDKGNRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFTDPNNNIEAPDPENPNAQQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTSISDIEDLKMELVGLKGRIEKKSAYLQELQDQYVGLQNLIQRNEQLYGSGNTPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDSYVLKEMRFCGREQHDSAQEMISNGGEGSSMSNIYWQQVQHPGRPNNGTVGLPSSPPIPGILKGRVKHEH >KQK92282 pep chromosome:Setaria_italica_v2.0:IX:54715486:54716131:-1 gene:SETIT_037952mg transcript:KQK92282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNRSGEWHAGCNPVRQQEDCSAPAGSTPSMDGTSLRCVTVANYKLVGSMPSSMEARSLVASADLIYLGSRNGAVEIWSREKLTRTGYTRTGTLRPVPGGDAAAGTDRQSSTAGSRSARMRAVNRASSCRSRADYWRYRQ >KQK86970 pep chromosome:Setaria_italica_v2.0:IX:4803086:4807329:-1 gene:SETIT_035940mg transcript:KQK86970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWCALRSRVLPLPAAAATAAPHGLLLRFLLSTAAPHYHRRRRVAPTAHAAAAEAPLPMTPRFGRATRHPGGATSVARVYADANAQRPKEYWDYESLDIQWGEQDGYEVLRKVGRGKYSEVFEGFRPGSDDRCIIKILKPVKKKKIKREIKILQNLYGGPNIVKLLDVVRDEESKTPSLIFEYVNNTDFKVLYPALSDYDIRYYIFELLKALDYCHSRGIMHRDVKPHNIMIDHEKRQLRLIDWGLAEFYHPKMEYNARVASRCYKGPELLVDLLDYDYSLDLWSLGCMFASMIFRVDPFFSGQDNYDQLVKITEVLGTEDFYNYLEKYGLQLDPQLERLVGRHNRKPWTKFVNARNIHLVSSEAIDLVDKLLRYDHQERPTAKETMAHPYFNPIRSSESSRTNSQ >KQK91465 pep chromosome:Setaria_italica_v2.0:IX:49987688:49990271:-1 gene:SETIT_034883mg transcript:KQK91465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFQDITERLEQALAGISFDELNISDEVELVHAQFKRAKERSDSSDDDLFNDLMSVYNSSSSANVDPDILRRLSEKLQLVTIYDLNQESLTLHEMASGGDPGAIVEKMSMLLKRIKDFVQTQDPEMGAQASTTDISPKDNSACPAIPDDFRCPISLDLMKDPVIVATGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLITQWCEANGIEPPKRSAQLSNAPKPCTAAEHNNVVELLQKLSSQNLEDQRGAAGMLRQLAKRSAENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGIVHVLKRGSMEARENSAATLFSLSIVDENKVTIGASGAIPALVQLLSNGSQRGKKDAATALFNLCIYQGNKGKAVRAGLVPILLELLTETESGMVDEALAILAILSGHPEGKAAIGAASAIPVLVGVIRNGSARNKENAAAVMVHLCNGEQQQQHLAEAQEQGIVSLLEELAESGTDRGKRKAIQLLERMNRFLKQQSQAQAQAEAMAQVHAQAQAQALALVEAQAQADMQAERSLLPTSSSHIPD >KQK91464 pep chromosome:Setaria_italica_v2.0:IX:49988225:49990178:-1 gene:SETIT_034883mg transcript:KQK91464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTFQDITERLEQALAGISFDELNISDEVREQVELVHAQFKRAKERSDSSDDDLFNDLMSVYNSSSSANVDPDILRRLSEKLQLVTIYDLNQESLTLHEMASGGDPGAIVEKMSMLLKRIKDFVQTQDPEMGAQASTTDISPKDNSACPAIPDDFRCPISLDLMKDPVIVATGQTYERGCIERWLEAGHDTCPKTQQKLPNKSLTPNYVLRSLITQWCEANGIEPPKRSAQLSNAPKPCTAAEHNNVVELLQKLSSQNLEDQRGAAGMLRQLAKRSAENRACIGDAGAIPILVSLLSTTDVSTQEHVVTALLNLSIYEENKARIITSGAVPGIVHVLKRGSMEARENSAATLFSLSIVDENKVTIGASGAIPALVQLLSNGSQRGKKDAATALFNLCIYQGNKGKAVRAGLVPILLELLTETESGMVDEALAILAILSGHPEGKAAIGAASAIPVLVGVIRNGSARNKENAAAVMVHLCNGEQQQQHLAEAQEQGIVSLLEELAESGTDRGKRKAIQLLERMNRFLKQQSQAQAQAEAMAQVHAQAQAQALALVEAQAQADMQAERSLLPTSSSHIPD >KQK92546 pep chromosome:Setaria_italica_v2.0:IX:56042938:56051679:-1 gene:SETIT_033886mg transcript:KQK92546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPAVEAGGPGETPVPAWLRGLPRAPEYRPTESEFADPIAFLSRVEREAAAYGICKVIPPYPRPSRRFVFAHLNRSLTSSSDAANPALSGSSSSTAPSRPESAAVFTTRHQELGTPRRGRPPPQVLKQVWQSGEQYTLEQFEAKSRAFSKIHLAGLREPTPLEVESLFWKVSADRPIYIEYANDVPGSGFAAPPQSRHRKKRRREGDQVEEGEKGSGWRLSGSPWNLQAIARAPGSLTRFMPDDVPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHTGAPKTWYAVPGDRASELEEVIRVHGYGGNPDRLASLAVLGEKTTLMSPDVLVARGVPCCRLVQYPGEFVVTFPRAYHIGFSHGFNCGEAANFATPQWLKFAKDAAVRRAVMNYLPMLSHQQLLYLLAVSFITRTPSVLSGIRTSRLRDRRKEERELLVKQEFLQDMINENKLLCSFLKKKSIDNVVLWEPDLLPSSTVLNSCSSGSKAPEKKSEDGCRIESSQCNHKDNSSPDGSALMTGAQTKCTSANSKSSDAASASVEKSDADIDDEDDLPFDLSIDSGSLTCVACGILGYPFMAILQPSKEALEGISPVHRERSTLSFEKEKNSNVFPCCPAGGNFGSSFVPNRTSCSVEQSCLATPAEQTNIDPQNVNSHKDISLTENELAGPVQQHSDSSHSCRSENTLHSCSNREKSDNTIPKDSLGPEVKQTGRGDIDVQAVESCDGTISWNTSSTFARPRIFCLQHALEIEELLEGKGGVRALIICHSDYIKLKALAISIAEEIEFQFDCTDVPLANASKSDLHLINISIDDEGHEEDGRDWTSQMGLNLKYCAKLRKETSGIRDQSPLSVWELFSNPSPVSVVPNLKWLCRKARTPYKVIGIITSSGTSATAEEVKPEVKKETCTTGNVHEDDSRQHISQQSGLVQPSGLHDSDDRENKSSCSEENDHDRHCLIDIPIAVAEYPMKHQVCEGAVGVSTCNDTICSSDSQDSSPLATSPVDVTRYQGCIQSTELSSSSTFSVRQFLNDESTSVEGSMNCMSNHEYLQSQDVTLQCRDECLQVQQDQEEMRLCNSSNRTSVDPCLKEDLVISEEKHGSNVSAALENEHDCAKTSKCSYKTNESAAVNQLKTHDAGAVPEKQKSSCDEMTCGSADVQCSITFGCLGSADVPGSTQPQSVPHDLMSDELQVDSHHCVVKAVELKSNNSAKHESPQIDNLILEDAQAAATTAIPGHDGKSVHAGSNSFDILLGALAEESKVTDAPGKDEVGKASLTLMTLASNDHSADEIAEGKVVEVAKTNTIFGATKDDEQVDRPHDFHLSDVVSRSIGNSNRTEIICYVRRKHKRKKDSQSNTDSSQSLGNFIRSPCESLRPRTKPAVVETIEVSAGKKGKRAKVVLFQCDIDLCDMTFETRAELNAHKRNICTDESCGKRFSSHKYLKRHQCVHSDKRPFKCPWDGCEMTFKWLWAQTEHVRVHTGERPYKCSAPSCGQTFRYVSDYSRHRKKFNHY >KQK89748 pep chromosome:Setaria_italica_v2.0:IX:35549892:35550126:1 gene:SETIT_0374122mg transcript:KQK89748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWWAAEMRFPICSGRQRSSQQPAADCHSIHFHSPYHQRWPRCRLPTQVKRGGSPLLLHTTPLAEARRPVHRLGSPSP >KQK89609 pep chromosome:Setaria_italica_v2.0:IX:33766081:33766968:1 gene:SETIT_039709mg transcript:KQK89609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRHGRLLKATREKIGGKNLVRRTASRYSTTFLTLKRQEKCNIVLSTNFWDVVKDCVSALEPLLIQLRLVNSDDMPALPGVYAGMDLAKKKINDSFANKPLILRRVMDIKLDEAALFLDPRKFYDIRKNDSAYACRQRIMFNDVLGQMVVGDADLVARIDKWWTSFGGIAIEVQRFAKRIVGLCCSAAPGHERNWSTFEYVSN >KQK90932 pep chromosome:Setaria_italica_v2.0:IX:46721587:46723484:1 gene:SETIT_036847mg transcript:KQK90932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDHELTLTQVGDLPEVCLAHAIALTSPRDACRCAAVSPAFRAAADSDHVWRRFLPPGHRGATVLLPQGPPAKSTETSKDAYLRLCDAAVIVDGEGNGSMRMWLDKASGAKCYMLSARALSLPWDDGEFSWRWTPHPLSRFGDVAELVECSSLDIYGRLPAAELTPATSYAAYLVYGVAEGHRGLSYPDQETTVALGGGLVAAARARHAVCLHPAEEAEARKFRAVSRGAGEDSPRRPRLREDGWSEMEMGRLRTPSDGGGQEADQEVVVSFEVLGWYPKRGLIVEGVEFRPVN >KQK87803 pep chromosome:Setaria_italica_v2.0:IX:9442845:9443903:1 gene:SETIT_038626mg transcript:KQK87803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACVEAAAAAAPRHQAAAQPTRKRTRVAMGTTDDYEETCCLGQGAFGAVIKGRHRATGGAVAMKFLTSEPAGGGPAALLREALFLEACAGNPFVVGSRGLARDPATAELCLVMECGGASLRDALRQRDRAGRPPLPEAMVRAAMWQLLNGAKRMHDAHIIHRDIKPENILVGDDRVLRFCDFGLAVHMAERPPYTQAGTLWYMAPEMLLEKPDYDALVDIWSLGCVMGELITGRAPFQGEDSEDQLCAIVGVLGVPDDMAWPWFSSTPFANEMTELDQQRHKSNILRCKYPETKLSDEGFELLNGLLTCNPDKRLTAAAALKHPWFSKMDVLDLPKDGLVSPSPKRPRCA >KQK92945 pep chromosome:Setaria_italica_v2.0:IX:58000161:58001724:1 gene:SETIT_039841mg transcript:KQK92945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEELLRCSLDDASTIIESSLIKDRALKICGTRDIPSIVAFVEADLMCELLKHGAKPSNDIIQLSSVIRMCALSLVYLREPQSIASAAAMVGMANEAKRMCDWMKRENRLITFSLPQPRELHRSCLIRMKALDVMTRMLHECFFSSSKL >KQK90437 pep chromosome:Setaria_italica_v2.0:IX:42695803:42696294:-1 gene:SETIT_040730mg transcript:KQK90437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMTELKHRGNKYQERKAITTILGERSAYYSVVLNQ >KQK86547 pep chromosome:Setaria_italica_v2.0:IX:2598737:2599288:-1 gene:SETIT_040355mg transcript:KQK86547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPCFRGNFQLKLFFFIHFQTSCVGGLREDFLAISLQG >KQK86609 pep chromosome:Setaria_italica_v2.0:IX:2885581:2887143:1 gene:SETIT_039494mg transcript:KQK86609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAAAFSVRALPLSLPLLHRFVLVQLWWVVLVGRRRGNSAPNNQTIYRSAMEAKFFRFLKLVGVGFKARSESQGRELFLKLGYSHEVQFTTPPAVRVFCFKPNVICCTGIDKDRVHQFAGAVRNCKPPEVYKGKGILYLDEVIKLKPGKKQKK >KQK92456 pep chromosome:Setaria_italica_v2.0:IX:55608221:55609712:-1 gene:SETIT_036740mg transcript:KQK92456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAQIDPSAAAPPRSRFKRLFERQVPRVSPAERLPAAPAGGEKDELSEPSSLCLDGMVRSFLEDGVGGGGGERGAAARCCNCFHAGDTSDDEDGPASADAAAADIAETIKGLVHCASLRERNLLADVSTQVERHRASGARKRDLLRLLAESLRAAGHDAAVCLSRWDKSSSHLAGEHAYLDVLLPAGSDRAERERVLVDVDFRSAFEVARPTKAYRAVLQRLPSVFVGREDRLRLLVAAAADAARASLKKRGLHLPPWRKPEYMRAKWLSPYDRETPPPPPPPLPQPQQPEASTGELAGDGEGGAPSA >KQK90396 pep chromosome:Setaria_italica_v2.0:IX:42341962:42345369:1 gene:SETIT_036707mg transcript:KQK90396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDFLGAFGGGGVRGGGEGARPQAEDAAAGGAAGGESDDVELSLGLSLGGRFGTEAKRQRLARSSSIASVCSVSSLDGDDADPSPAAPLPLLRTSSLPTETEEERWRRREMQSRRRLEARRKRVERRNSMGGAPPSAPPKPGGEATATAAANGVQLRRSVGSQGSNSVNTAEQGIGGSAVCQSTDSKSPSTSDNTNQNNMLPPTKATEKPQNGTSTEQPRLRTLGSLTTRTSSCSDIRKIMMEDMPMVSSKVEGPNARRIDGFLYRYKKGEDVRIVCVCHGSFLTPAEFVKHAGGGDVSNPLRHIVVNPSPFS >KQK91717 pep chromosome:Setaria_italica_v2.0:IX:51442047:51444126:-1 gene:SETIT_0349682mg transcript:KQK91717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRGQPEPALYNGGILKFGNSDDPDGYRTTETGVFSPAFVVYNLNKTTMYTFSCWVKLEGASAALITARLAPDNSGARCIATVLARSDCWAFVKGGFVLDWPTQTSVIFFQNADKTPMKITVASGSLQPFTTDQWAMHQQDTIRKRRKRVATIHVADPQGARVVGASVSVQQTTKDFPIGSAIASTILGNQAYQQWFVDRFNAAVFEDELKWYSTEPMSGLLRFDVPDQMLAFVRSHRVMVRGHNIFWENQDATPRWVKNLTADDLRSAVNTRIQSLMTRYRGEFAHWDVNNEMLHYNFYEQRLGPNASMEFFSVAQDADPLATLFMNEYNVIETCDDPFSTVDTYVAKLKELRAGGAILEGIGLEGHFSKPNIPLMRAILDKLATLGLPIWFTEIDISNKFDAQTQAAYLEQVMREAYSHPAVSGVMLWTALHQSGCYQMCLTDWNLNNLPTGDVVDRLLNEWRTLQAGGQTDAHGAYSFSGYLGEYTLTVSYNNRTTQSTFSLSPGDETRHINVQM >KQK91390 pep chromosome:Setaria_italica_v2.0:IX:49542967:49544757:1 gene:SETIT_039649mg transcript:KQK91390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPSWLTAVPPDPRAYGHLIQLCADSGHLAAGRQLHARLVSLSVTPSNFLASKLISLYSRAARLDDARRVFDAIPRPSVFAWNAILIALSLHSPDPSAAVRLFATSGISPDEITLSALLKSLAASGPGLSTLVAGELHAVAILRGFGADLFVSNGLITAYNNAGDTRSARAVFDEMPRRDVVSWNSLISSYARAGLYRECLDLFQELTRVHAGGGVGPNSVTVASVLHACAQLKAVDFGVKVHRFAAENGLDMDVPAWNSIVGFYAKCGRLEYARKLFEGMPKKDSVSYSAMITGYMNHGDVDKGMELFRQADAQGISIWNAMTAGLVQNGRQSDVLGLLHEMIGSGMLPNSATLSIIIPSVPLFSTLLGVKQAHGYAIRNNYDQSVSVVSALIDAYSKAGFFDGALKVFELTGDRSKILWTSIISAVAAHGKAAEALRLFDKMISAGITPDTIAFTAVLTACAHAGKVVDARKIFDSMQVVFGITPVMEQYACMVSALSRAGMLKDALELVNNMPFQPNAKVWGALLNGAAEFGDVELGRFVFDRLYMIEPKNTGNYIVMANLYSNAGKWEEAEIIRSMMWGVGLEKVPGCSWN >KQK93026 pep chromosome:Setaria_italica_v2.0:IX:58401034:58401750:-1 gene:SETIT_038672mg transcript:KQK93026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFAMSRLPHRDPEGAHHFELLLRLHDTEEEEEENRRRWAWLPIPDPPLLSSPEWYFSACFVAGAHIWVSICGEGTFTFDTARRRWHKEGTWELPVMMGQAILVPDFLGDSRQLLFGFCSKEEGGHFCAVDMEARPPAIIKSWPEARFSRLGYRPVLETASLAYFGGGRFCISMLIDTGYIYNYKPFVTNNMTNLVRLTRQAITFSAVEVTPELQLLIRKDEWYSMPLGSTLCSMYNI >KQK91846 pep chromosome:Setaria_italica_v2.0:IX:52237314:52242741:-1 gene:SETIT_035100mg transcript:KQK91846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAGAGLQLIGAAAATLLAAVLVAAVLGRRRGSGPRSPLVEEKAAAEAACATGDGAGAAGDGGSDVIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALFKDGRNTKLAYPLEKFHSDVAGRSFHNGRFIQRMRQKAASLPNVQLEQGTVTSLLEENGTVKGVQYKTKSGQELKAYAPLTIVCDGCFSNLRRALCSPKVDVPSCFVGLVLENCQLPHPNHGHVILANPSPILFYPISSTEVRCLVDVPGQKVPSIASGEMANYLKTVVAPQIPPEIYESFIAAIDKGSIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLKPLRNLHDASSLCKYLESFYTLRKPVASTINTLAGALYKVFSASPDQARNEMRQACFDYLSLGGVFSNGPIALLSGLNPRPLSLVAHFFAVAIYGVGRLMLPLPSPKRMWIGARLISGACGIILPIIKAEGVRQMFFPATVPAYYRAAPTVE >KQK92695 pep chromosome:Setaria_italica_v2.0:IX:56817098:56819463:-1 gene:SETIT_034501mg transcript:KQK92695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMNGTHIVSAESMLAVWNEWEIRMLVLTSLALQVFLLFFAGIRKRNVSAVLSLLLWLAYLLADSIAIYALGYLSQTRVPKGVDPESFKRTHRIQAFWAPFLLLHLGGQDTITAFSTEDNELWKRHLLSLLTQVALAMYVFTKSHPGAGILAPAVFMFLSGIVKYGERTWALKCASMDNLRSGMVTTPDPGPNYAKFMEEYRFTREAGLQAEIVIEQERRAEAAAVTVGVAEESVPYTTVITDASRFFVIFKRLFVNLILSFLERTRSQATFLRLTPEQAYKIIEIELSLMYDTLHSKAAVIHTWYGRLFRCLTLLSTSTACLLFNVHHKGKHQSYNSIDVCITNILFGGALCLEVYAIGMMLISYWTYAALQSCNFRFLSNMIFRSIQYFRPESRAKWSNLMAQHNLISFCLLDKPIMLTKVLSVLGLKARWDSWMYIRHIDVSHELKILVFRELKDKTVSIVDAESYRKFSNHRGQWALQCKGYYKELGWSVEVEFDESILLWHIATDLCFHSDDDNDAAKIAQYVEISRAISNYMLFLLVARPFMLTAGIGQIRFGDTCAEAKNFFERAEMARPDAGAAARMVLDVNAEIAPRDVKGDRSKSALFDACRLAKSLLELQPHKRWRVIRVVWVEMLCYAANKCRSNFHAKQLSGGGELLTVVWFLMAHLGVGEQYRIEAGHARAKLIVEKN >KQK87238 pep chromosome:Setaria_italica_v2.0:IX:6221061:6225825:-1 gene:SETIT_034284mg transcript:KQK87238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLRVHRCGGGAVEWSPSPVVALATSPCASQVAAAREDGSLELWLVSPGSVGWHHQLTIQGNAESRVTSLVWGRSGVGAAGRLLSSSVDGSVVEWDLFHLQQKTVLDTAGGPLWQMAIEPSDDSKNTEINGSGIAINGHANNNDSSDSDLSNVDDGDDSEDEDSPANTRSSYHVNKLQRLALACDDGSVRLYNVPESGALTYYRSLPRVSGRTLSVTWSSNAKFIFSGSSDGLIRCWDSTSFQEKYRITAGLGGAGTGSELCIWTLLFLRCGTLVSGDSTGSVQFWDSSHGTLLQAHSYHKGDVNALATLPSQNRVFSAGSDGQVILYKVSKDDSGTSKNAVKEQVRKWVYVGYVRAHTHDVRALTMAVPICREDELSEEKAVKIRRREKRQFSYHKWAHLGVPMLISGGDDTKLFAYSAREFTQFAPHNFCPAPQRPLINLARDSTVNGDSVMLVQSANWLDVLLVTVQNKLTPSTSSREDAKVRQLARLKSKGSRKIISSAASTNGTLLAYSDCVRPCLFALKHKSGKKYTLDKLELPKGLPCSQSMMFTVDSSNLILAGRDGKIYVIDIETREISNVFHPTRKADATKVSSRESPVTKMFLSVDGQWLAAVNCFGDIYVFNLEVQRQHWFISRMNGGSVTSGGFCPKNNALVITTSKNEVYVFDVEAKQLGEWSKRYTHHLPRSFQEFPGEVIGLSFPPQSSSIVVVYSTRAMCLIDFGLPVIEDVQLPNRSTGPAEKTDAQKGTKIKQKRKAHNEELKQENKNNFNFFAFKEPVLFVGHLLDGSILVVEKRWMDVVEGFGAPVHRHIYGT >KQK87808 pep chromosome:Setaria_italica_v2.0:IX:9469483:9469647:1 gene:SETIT_039228mg transcript:KQK87808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIRMVVHWIQLWSHLLPMDQWEPIVTGCNQLLMVAQDFYFQAAGWQHTRKIANG >KQK87732 pep chromosome:Setaria_italica_v2.0:IX:8986700:8988195:1 gene:SETIT_039662mg transcript:KQK87732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHDYDAEAALARFHEFRAGVRGLVESGVAAAAVPPLFTTSTMPTPQAPATPSSSFTVPVVDLSLPRSDTVALVRAAARSRGFFHVTNHRVPAGIVASAIAAVRAFHEQPLAARSAFYSLHPVGSVAYSTIPITQQQQGVVDAPVLPWRDSLRVRFGPGEPELGNLPAWCRDALRVYQRLLKGFGEEMAWLLSEALGVGAGRLEQELRVRGWLMACHYYPPCPEPARVVGSLEHTDPGVFTVLVQDSVGGLQVRYHDGDGGGGDGGGVWVDVVPVAGALLVNIGDLLKVVSNDEFKSVEHRVVIKSKQDARVSIALFFNPAKRGDSDRFGPLPELVTAERPARYGNFTFFEFMSSRRKLGHSRSSIQRLKVTSN >KQK92527 pep chromosome:Setaria_italica_v2.0:IX:55964959:55965456:-1 gene:SETIT_040739mg transcript:KQK92527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERHALARASDAACSCRAMAPAIGTQAGPARGGGLREQAVGSRRSNWIRSRI >KQK92153 pep chromosome:Setaria_italica_v2.0:IX:54039347:54041893:-1 gene:SETIT_037477mg transcript:KQK92153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSGAAPAAAAAVEAESGSGGKGSWYVLGERAVLVPYLREHVPRYHEWMQDPALLEATASEPLSLAQEFDVHRSWTLDPLKHTFIVLDKELIEGRFSPGNRHTEAMVGDVNIYMNDPDDMQLAEIEIMIAEHKSRGKGIGQEAILLMMAFAVEKYGIHTFRAKISESNTASLKLFRKLGFKDASYSAVFKEVTLEAPADALPLRFPLTIGDW >KQK89313 pep chromosome:Setaria_italica_v2.0:IX:23735236:23736407:-1 gene:SETIT_038757mg transcript:KQK89313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMCHSGGIARPRRLGRANAAAAEVEEEEGKEKGEPGSYEAACSADPELRTFDAALRRRASRAISAVASGVEVRSLSLGSLREVTGCLLDMNQEVVRVVLASKRDVWRSPDLFDLVEGYFDGSLHTLDFLVALDASLRRARDSQLLLHLALQRLREGAEGDAQEPSAAPAPDRYAGAMAELRRFKAAGDPFTPEFFAAFQAVYREHLAMLAEAPPGCRIRSVRAWRRVSGVVFVATFAALLVCSVVAAAIAAPPIAAALAAAASLPVGSVGKWVDSLLKQYQEALHGHKEVVSAMQVGTFIAIEDLDAIRVLVDRLEVQVSSMVDCIELAERGEEAVRLGIEEIKKKLEVFMKGVDDLGEHADRCSRDIRRARTVVLQRIINPRN >KQK89876 pep chromosome:Setaria_italica_v2.0:IX:36626433:36629656:1 gene:SETIT_040258mg transcript:KQK89876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCMRSVSRAAHASFPLAVRSPRFDLPSLPTAPPAPPSAPRLLVPQTRPRRGPTMLAFAPRASDPGLPEYPDPAALEATERALETFPPLVFAGEARKLEERLGEAFLLQGGDCAESFKEFSANNIRDTFRLMLQMAVILTFGGQMPTIKVGRLGGQFAKPRSNPTETRDGVTLPLYTYRGDIINGDTFDEKSRVPDPERLIKAYNQSASTLNLLRGFTHGGFADLQRVTQWNLDFLRHSTQGDRYLELSQRVQDAVGFMAAAGLTTRHPIMTTAELWTSHECLHLQYEQALTREDSISGMYYDCSGHMLWVGERTRQLDGAHVEFLRGISNPLGVKVSDKLDPSELVKLCEILNPHNKPGRLTIITRMGAENMRVKLPHMIRAVRQAGMIVTWVSNPMHGNTIRAPCGLKTRSFDATRAFFDVHEQEGSHPGGVHLEMTGQDVTECIGGSKAVTFDDLGDRYHTHCDPRLNASQSLELAFAIADRLRKKRNMTWNKLMSRAIA >KQK86048 pep chromosome:Setaria_italica_v2.0:IX:961:1641:1 gene:SETIT_039546mg transcript:KQK86048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILLLLSLTFAIVAAEASTQPLSPATKKSIDDLTLLFQEVIDSINTATPPAKKPEATRASSKHIHTAELDVAKAAKAGDEKKLAHLILSYRMASTMVIHAPPAEKLKVMEDTFNSAAAPNALECPNIDKAYCETRSKLNTAILGVVAAASPEQKKLGDKDSTLPKSMHTAISTINKAYADGDDKEIARVLAAYNKAADSVIAAPPSDKLKVMKSTFKHAAASGA >KQK92130 pep chromosome:Setaria_italica_v2.0:IX:53871652:53872009:1 gene:SETIT_040334mg transcript:KQK92130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLWIVLHKQYLLTRADSPSIHCIARKSLC >KQK90778 pep chromosome:Setaria_italica_v2.0:IX:45729370:45731701:1 gene:SETIT_034723mg transcript:KQK90778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLHATPTKYREVRIDGLAHRPNQIAPSPRTLRSYASYRTPGTRHNENSPDCEGDVADEETGLRRRPTVETPGSASAAPAPTVAVAGVVVVLAPRRAPAVPPPRAVAPARTPAASRLLSLVPAAVLSDPDFARLTLSRLLPAPAPSLRFLRFLSSHLPAPAPDAAPAGASPPLPGVDEFLLRLPPRLAADAADLLASQLGIHPSLRALNTASRVALRAARPDLVFRLFSAFSSSPDYPGDATTVGCLARAYAAEGRPLDGLQLLRDAARRGSPPPADAAADVVGAFAADGNFAKVSATLHLMIAAGSIPDTVVYQRIIHGLFARGEGREALRVFREIKQRGYEIDRAMYTMVIHGLYEMRRTRHARKMWDEMVGRGFEPNEYAYCSRVTHYCKAGDFEKARKVYDEMLGKGFKQTTVTCNILIKGFCVHERVYDALEVFEEMSIKGIKHDVITYNTLIRGLCKVGMLAEAIRMYEWLSSSGLEPTVSTFSPLIATMCKEGQVDAAVDLIKSMQAKGLEPLVWNNDCIINGFCKIGRSDEGMAWLAGMLKNNIKPRQQTFNSLVESLSTSGRVDDALLILDIMFKVGFELGRCACTILVDKLCTGNVLYSHQLDDILASNQ >KQK89070 pep chromosome:Setaria_italica_v2.0:IX:20204391:20204894:-1 gene:SETIT_039304mg transcript:KQK89070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEADCCSGRRRFAVACSVLSRCVRAEAAAAGRVAAAAAPHGRSEAEAQAASSTMLLMPGADVDAREEAAAAPAPAQLTIMYGGRVLVFDDVPAGWAAEVMRAAARQDVPDGPDDLQVARKASLQRLMQKRRDRTAARVPYAAPARPVVLVAPKEGQEEGDAGSWLGL >KQK88162 pep chromosome:Setaria_italica_v2.0:IX:12061436:12061858:1 gene:SETIT_040768mg transcript:KQK88162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRMLCVWLCLNRTKELSTVSRQFHIPCA >KQK91310 pep chromosome:Setaria_italica_v2.0:IX:49141876:49145188:1 gene:SETIT_036902mg transcript:KQK91310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIGPAEPARERARLGFSFTRPVMDSITHLNRRSANRRHKAAPPHRRGRHAQQQPVAGASATPSPTATAAATTAPNAMADTAEHRPAEEEEEAAAAGEDEDTGAQVAPIVKLEEVAVTTGEEDEDVLLDMKAKLYRFDKDGNQWKERGTGTVKLLKHKENSKVRLVMRQAKTLKICANHLVVATTKMQEHAGSDKSCVWHALDFADGELKEEMFAIRFGSVDNCKKFKDTVEEIAEQQGKNEEKDSEEASSAAELVEKLTVTEAKKEETVEKEESPAADDKKDVKE >KQK90121 pep chromosome:Setaria_italica_v2.0:IX:39990964:39993449:-1 gene:SETIT_037029mg transcript:KQK90121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAASLSLVLLLVFVAVPSPARGCDRCVRRSKATYQASSLALNAGSCGYGSLAASLNGGFLAAASPELYRGGVGCGACFQVRCRDSELCSAAGTKVVVTDQARTSSNRTGLVLSAAAYAAMARAGEAARLRAHRVVDVEYKRIPCEYANRNLSIRVEEKSRPPSQLSIRFLYQGGQTDIVAVDVATVGSSNWRFMTREHGPAWSTAQAPAGPLQFRLVVTGGYDGKWVWAESEVLPRRWEAGRVYDTGVQVADVAQEGCYPCDTHEWQ >KQK88128 pep chromosome:Setaria_italica_v2.0:IX:11748271:11749719:1 gene:SETIT_039765mg transcript:KQK88128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPEIEVVVVDISEPRIAGWNSERLPIYEPGLDDVVRQCRGRNLSFSTDVHRHVADADIVFVSVNTPTKTCGLGAGKAADLTYWESAARMIADVSLSDKIVVEKSTVPVKTAEAIEKILAHNGRSGVRYQILSNPEFLAEGTAVRDLLSPDRVLIGGRETPEGRAAVAALRDVYARWVPGDRIITTNLWSAELSKLAANAFLAQRISSVNAISALCEATGADVAEVAHSVGKDARIGPRFLAASVGFGGSCFQKDILNLVYICECYGLPEVAGYWREVIRINDYQKCRFVNRVVSSMFNTVAGKKVAVLGFAFKKDTGDTRETPAIDVCNGLLGDKAVVSIYDPQVTGEQVARDLAMKKFDWDHPRHLQPLSATEMAKQVAVAADAYEAARDAHAVCILTEWDEFRSLDYKRMFDAMHKPAFIFDGRNVVDVAKLREIGFVVYSIGKPLDDWLKDMPAVA >KQK89200 pep chromosome:Setaria_italica_v2.0:IX:21835155:21836554:-1 gene:SETIT_039729mg transcript:KQK89200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCLQLRSRSIGEHALDWEMFRRWHDGCSIAFNERLSREDRAMEDGLPGLANVSKVVQAGLTAASFSSPALV >KQK88717 pep chromosome:Setaria_italica_v2.0:IX:16486783:16488552:1 gene:SETIT_035734mg transcript:KQK88717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAPAPHPPPASLDARTGGRILRRAAGHLFHPASLPPLLLAALLLLLFRAALLAGTLRLASFADRDPALRSLLARLSPPSPPSPPPPPHHLPRRRSPFTSPSSSLSDDDVLVGPLDPAASSPSRRHNASFHHVLFTSYSSAPKPYPVPLPNPIPASASPFFLAVHNETAPPKPASLRGNELRLLDLTRRDAAAIIHLLALLSSAHVLAILGYIAVHSAALGAVFASVARRHVHGRRRGFLLAGVARGARRLTGFAFLRWATRDAVVQMLCLWFFADVHDQAQLFRLFVVAKLMPFSASVNPWLAAAVAGPELDGFFVAWAVLDAVVSVLFTVVPWVVVMDRDPRPPGRNAVKEGCYLVSLMATDATLLKCWETVVCGSMGRLIMVTFGGKVLGGFLHAIAEVYFMVVWLLFYFAARCKEVRLGGRQFGLEDVAAAIDGFR >KQK87650 pep chromosome:Setaria_italica_v2.0:IX:8460609:8466310:1 gene:SETIT_040224mg transcript:KQK87650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDAFASKLASILAGMAKGEVEMLLGVPGEITKLETTLGDLSSILADAERRRIRDSTVERWVRELKDVMYDADDILDLCQIMEGGEDPSSSAAARKATSGCWNIPAMFFCFRNPVVAHEIGKKIQALNKRLEDLEKRSSRFGFIKQAINSSGYSINNAINPWSNSNTKTGSIIIKSDVVGEKIEEDTKKIVDLLIRNEVNEISVLQSLLASFRAKHEGFVGNKDLLERALKDTVRQKKKFLLVMDDVWSEKVWNDLLRVPLSHGASGSRVMVTTRNDGVAYGMKAQHLHRVDKLQTEDAWILLKNQVVLNESDEADVDELRSIGMEIVKRCDRLPLAVKVLGGLLRCKSRTRDAWTDVTSHNTWSTTGIDEDINKAVYLSYEDLPSHLKQCFVYCSLIPKGKLIMRGTMVQLWIAAGHVHNKMSAPEKLAKEYYKELVSRNLLEPNKNYYSRAACSMHDVVRSFTQYIIKDEGILVSDGQDVNRSLSTAKLRHLSISNKAVGHDTLQKQALLRTLMLFGSSTIVELKDLLNNLSCLRVLHLEDINLVELPDSICHLKHLRSLCLPGTSISTIPQGIGDLKFLQAIDLRGCANIHQLPNSILKLRKLRSLNLNNTAITSVPRGLGKLEDLVKIWGFPTHYSDESTGGWCSIEELRPLSKLQSLEIRCLEKAPSGSMAAKANLSSKHHLTWLDLVFTSRLGDNGVVEGNISEEEHRRTEEVLDNLCPPTCMEELDIKGYFARGLPQWMRTMSAFGSLRRLVLHDHACCPHLPNGLGQLPFLDYFWVNRAPSVQCVGHDFLFPSLGGQADGKVTRNNNRQPHHTSRGAGVAFPKLRKVGFEGMLGWTEWEWEHHVPAMPALEELTIRNGKLQRLPAGLAQHACRLRELRLTHVHHLVSVDNFPSLVKLWSYDNPRLERISNNPSLQWIDISNCRALKELDRLPSFRSLEWWDFSAEALPEYLREAKLKKLRVDCSRRLLKLIALQDESSEWGKIQHVQQVKAYGHKKKGEDEDAEWYIYYTKEPYSFDAYLGKST >KQK91111 pep chromosome:Setaria_italica_v2.0:IX:47867201:47874918:1 gene:SETIT_034569mg transcript:KQK91111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPRACSFYWLFDVLVLVCGLCQILYIGSVAAQTAQLSVDASPHNAQVIPGNMFGIFFEEINHAGAGGLWAELVSNRGFEAGGANTPSNIDPWLIIGDESNIIVATDRSSCFAGNPIALRMEVLCEASGCPSGGVGIYNPGYWGMNIERTKVYRATMYIRSSEAVELTVSLTSSDGLQNLASHTITGDKEDFVEWTKVEFDLESNDRNTNSRLQLTTTKSGIIWFDQVSLMPEDTYMGHGFRKDLASMLANLKPRFLKFPGGNYVMGNYLVNSFRWSETVGPWEERPGHFNDAWAYWTDDGLGFFEFLQLAEDLGACPVWVVNDGASLNEEVPSATIAAFVKDVVNGIEFARGDPQTAWGSVRAAMGHPEPFQLYYVSVGNQECSKYYYKDNYVKFYSAIKASYPDIKIISSCDRSAISPVNPADLYDVHVYTSSGDMFSKSRMFDNTARSGPKAIVSEYAVTGNDAGRGTLIAALAEAAFLIGLERNSDVVEMASCAPLFVNDNDRRWNPDAIVFNSWQHYGCPNYWMLHFFKDSSGGALHPSTIQLSNYDQLVTSAITWNNSQDGNTYLKIKVVNFGSKAVNLNISVTGLETDIRTFGSIKTVLTSGWLRDENSFQQPDKVVPAASPITNAGEQMGVLLDSYSLTSFDLLLGSSQAMHSST >KQK88625 pep chromosome:Setaria_italica_v2.0:IX:15595903:15597165:-1 gene:SETIT_038215mg transcript:KQK88625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFGDGYVGMAQDAVKIRQLEKQREAERRKIEKLKNKSSDGQPGLLQFGSSTSELPVGWSMGWHPLLKESNRRSNQLQIVMRHKISYPSGSHIF >KQK87691 pep chromosome:Setaria_italica_v2.0:IX:8711725:8713507:-1 gene:SETIT_036440mg transcript:KQK87691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLARAPPLPPPLAASYPATPERALRFRSISSSRAAVATASAAAKPAASSRSGQKRKQVASVANPLVKHCVKLRLSAAYRRSCRRLLLVGLAPILEMCRFELDAIDYLLLLDGVEVPEELREFCGDVVYVSAAVMKKVSGMQSVDSTEAIAVMHMPRHFRDLGSHEDGDSLHGLFNHPKRILVLDGIQDPGNLGTLIRSACAFKWDGVFLLPASCDPFNEKALRAARGASLQLPVVTGTWHDLHELMTKYNMKMMAGHPESSGDASKGIQSLSKELADSLLNESLCLVLGSEGNGLSSETLQACELVNIPMEGTFESLNVSVAGGIFLFMLQPKYQIDSRTLTP >KQK86407 pep chromosome:Setaria_italica_v2.0:IX:1690224:1693096:-1 gene:SETIT_038722mg transcript:KQK86407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQEGDDAAAAAGAGAEEEEVVQIGAQKHDPAWKHCLMVRAEGRVRLKCAYCGKHFLGGGIHRFKEHLARRPGNACCCPKVPRDVQDTMMRSLDAVAAKKMQRKLANALPPGDMRRFAPTDASPASAASGGATDSPIHMIPLNEVLDFEPVPLDEQRPPLPETMRGSVSRVDDEMIQGAAQGIQEDDDDSACG >KQK86703 pep chromosome:Setaria_italica_v2.0:IX:3361797:3362551:-1 gene:SETIT_039518mg transcript:KQK86703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAALPVHRRPPRDMDLAENRNRCGRARRWDPYGSTEPLLAFIRDVVLPHPWFRAICLHDGHPVARCPCREGVPCRAELGYVLAHAHWGRGVATAAAKRTVAAVFGEVEGLERVEALVDLANPASQRVLEKAVLRKYGVVRDMITFSFFTPTQCPSDSH >KQK87873 pep chromosome:Setaria_italica_v2.0:IX:9882834:9886010:1 gene:SETIT_037238mg transcript:KQK87873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYSGGGGGGATLSEMYQSARRLLLSARDGVARVERLASAPTSSSYSSAPLVGGGAPGDPAVAEGVRREVAQIQGLCAQMDRLWRSIPAKGQRDLWKRKVEQLSEEVDSLKETLDRHTLRQKKRILEAKERAELFERANGESSHVLRIFDDEAQAMQSARSSSRMLEEAYETGVAILHKYADQRDRLKSAQRKALDVLNTVGLSNSVLKLIERRHRVDKWIAYAGMIITVVVMIAFWRLTH >KQK88974 pep chromosome:Setaria_italica_v2.0:IX:19107414:19107629:1 gene:SETIT_040818mg transcript:KQK88974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRPEQKSTKILLLAIQIKIYEETVNYYKINIHRMV >KQK88270 pep chromosome:Setaria_italica_v2.0:IX:12767545:12768137:-1 gene:SETIT_038237mg transcript:KQK88270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVEGAFEAQAPATFSTAFFTTILCTSRDSTPLSHRGFMKFFTNSHRAHLNRSIGALLSSTALRCGGPVFDSEISNCVATSELTKLKDS >KQK88722 pep chromosome:Setaria_italica_v2.0:IX:16556783:16558420:1 gene:SETIT_039871mg transcript:KQK88722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEISRKPRWFSVNRRDSGQIKLRAVEHPASSTDNPDRGTRTPPTIATRLHRPPLPRRWQPATETPAGLRPLAGGIHRTRPPSSLHLQLRPPPAPRPPVVSGADFHFKNPDRTDDISLVTAPTVAKNLSFSAVTSPFTAHCLLPRSPHSLAALHCATARPFQPRRQLILTPTLVSAPPLPLPPNLSAEHAVSEPASELDLPSAPLGLSGDLGGGAASYPLRAGWLAGRPGGSRRRRGRMDLQVPHPDSPHSDNSGGGGSASGALTPAAASSAGAALASPSRGAHVLEFLRYLDQFGKTKVHTPACPFFGHPAPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGRPENNPFGARAVRLYLREVRDHQSRARGVSYEKKKRKKAPAAHPVPAAVISSSSPHDGNGHTHHYEHQMPPPPPPGAAA >KQK88225 pep chromosome:Setaria_italica_v2.0:IX:12392748:12393228:-1 gene:SETIT_040659mg transcript:KQK88225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSFACLRKAVEPSAGRHQQKFRERDSVILSFVFIPNGC >KQK89071 pep chromosome:Setaria_italica_v2.0:IX:20216248:20216971:-1 gene:SETIT_037796mg transcript:KQK89071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDCNGRRRFALACGVLSRCVRAKAAAGVAAAAHARPATTPTAASTMLLLPGADVAPDVREEAEASTPTPAPAQLTIMYGGRVLVFDDVPADSAAELLRLAAGGAKDIPVARKASLQRFMEKRRDRLAAQTPYDAASRPTTAASKKRQQEGHAGAWLGLGSPGGCVR >KQK87988 pep chromosome:Setaria_italica_v2.0:IX:10628816:10630599:1 gene:SETIT_039882mg transcript:KQK87988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPTLRGPWTEEEDETLKEMVKVYGERKWAAVSQHLPGRIGKQCRERWTNHLRPDIDKTIWTENDDIELIKAHKIHGNRWSMIARQLPGRSENAVKNHWNATKRSLKAKRRLKKKKNAEAPPGQQWSILEQYIRSLPPAAVAEDGAGAAPQQAPSDDSPPSSYNTGGGYYGGEVVSPPLAPAAAGFDPAALGMYLSAAAGNNPSSAAAAVNLGAMNPDLAPPSYLGLDLNSYYYYGGAPLAPAARAPPPQMMMMEQDQQDSSNASNSNNLITYPFVDHMAWQSSSVHADAYYANNASAGHYPYYYYGDAAGAGPSGGGGGGGGGDATAIPDDVDVVQMASREFQMNPSEDEVTLNLAGFM >KQK89905 pep chromosome:Setaria_italica_v2.0:IX:36972615:36976819:-1 gene:SETIT_035522mg transcript:KQK89905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTEPYKQTGPCCFSPDARFLAVAVDYRLVVRDVVSLKVVQLFSCVDKISSLEWASDSEYILCGLYKRPMVQAWSLSQPDWTCKIDEGPAGIAYARWSPDSRHILTTSEFQLRLTVWSLVNTACVHVQWPKHASRGVSFTKDGKFAAISTRRDCKDYINLLSCHSWEIMSVFAVDTVDLAGVEWSPDDSAIVVWDSLLEYKVLIYSPDGRCLFKYLAYESGLGVKSVSWSPCGQFLAVGSYDQAVRTLNHLTWKTFAEFTHAASIRSPCNAAIFKEVDDPWQLDMSELCLSDGFSRNMQDNGAENGTEGGGSRVKYAVMDVPITLPSTKPATDKPNPKQGIGMLSWSSDSHYFFTRNDNMPTALWIWDICRLELAAVLVQKDPIRAAAWDPTCTRLVLCTESPHLYMWTPSGACCVNIPLPNFRIVDLKWNSDGSCLLLKDRDSFCCAAIVSALPEEGPDQSDESSEDE >KQK87963 pep chromosome:Setaria_italica_v2.0:IX:10449799:10454761:1 gene:SETIT_034684mg transcript:KQK87963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKLGSKPDAFKRQGQAWFCTTGLPSDVTVEVGDMSFHLHKFPLLSKSAFLARSIEENSDQEECVIKLNDIPGGAKSFELVARFCYGVKIELSPANVVYLRCASEHLEMTEEVAEDNLISQSEIFLNQVVLRNWKDSLTALETCDDLLPHAEDLQIVKRCIESLASKATTDPNLFGWPIREHGIMQSPGGSVLWNGISTGARPRNFNADWWYDDASSLSFPMYKRLISTMESRGIRPEIIAGSLTYYAKKYLPGLNRRHSMGTVPLTATLSEVEQKNLLEEIDRLLPVHKGIASTKVLLGLLRTAMILKASPTCISNLEKRIGMQLDQATLEDLLLPNFSYTMETLYNVECVHRILDHFLAMDQANGGDSPCLDDVMASPSLTPITSVAKLIDGYLAEIAPDINLKLPKFQALASAVPEYARPLDDGLYRAIDIYLKAHSWLSEAEREQLCRLLDCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLHLRTSIAGCLLVSDNLEGSRPLRSGIATSGEAGGWATAVRENQVLKVGMDNMRMRLAELEKECSSMRQEIEKLGGRSSKGGGGGWASRVVPRRLGLKVRSQMCSAQEGSVSEQQRSMSAKLDKLQAKVTKQKKQLAADA >KQK89231 pep chromosome:Setaria_italica_v2.0:IX:22239585:22248570:1 gene:SETIT_033841mg transcript:KQK89231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALARHDSRQYSWLWVSHISPKNSKWLQENLSDMDTKVKSMIKLINEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDQATGALRQAHRTMSEAFPNQMPSMSDESPSASGQEMEPHTPDMSTSTRAPFDSNEQKDGVGVSPQNFTSKRNGTHPEETSALSSRKGLKLFNDLSSSGENAPRAGFDGKVRKGLTFQSPEVKQKEDISKDMENLQQEVSRLLAESPESETTDVETVLQLNSDKDTSLLQYNKSSEQISTLESELSKAQADLKKLTDEMAADVQKLINAETLNIAIQSEVEGLDQKMKMQQQELDRKLKELESFRFSFQEEHEKRMQAENALLSQGKELAQSHEEVQRLATEINMANEKLNDLKQTKEDLENTVCELKKDVESLTEQNHSSEMLIQKLHDEINTLKDSKNELQSEIQSLKSIISQLNTEKNAALLQYQQCVEQVSVLESQLSKLQLEVEETRQKVQLLTQDLEQEREEANSVRAQLQDECHRRTQTEATLLMTEGLHSQLQEKMKTLTQDLDGSTEKLSDLENNKLNLESTLKELKNTILDLNSEKDAALLEQQKSLEKASDLELELSKMQLEMEKHEQKIQLLELEIAQKNENVDSLELSLKDECEKRLQTQTSLVSMERMYSQSQEDVSRLHLEIEKQNGKLNELENLSSELKNTILLLNAEKDATLHENQQSSARISGLESELTALKAELEQVEGKVQILGQELKHKKEEADNLQISLQDEAQKRVEGESSLLMMTNLHSESQNEVNRLALEIEKLTGNLSQVENSKMDLENIVTKHTEEIHSLREQNLSTELMIKDLHRELEALKELNVKLQTEMGLHIDEKEVLRRDFACQREEKENLEGIHHTLVDEMDALKTSAAINHKLIEELQIMNSKLKEVCAKNEVEKALLSEKLQEVEKLSEEYSLLENSLSDANAEMDALREKIKAFEASESSLKDIISCHVSEKAVLTSELEILGKSLSDVSEKNSILDTSLSDIKTELEDLRTKLKSSEESCQAQLANNSALSAEMDALRENIKTLEVSESSLKDAISCHVSEKANLASELESLGKHLSDVLEKNSVLDISLSDMKIELEDLRTKLKDSEEACQAHLTNNSALFAEKNNLLSQLESITVIMKALEDKHANLEDKHSSVSREKDFAYDQVSELQDQLRIKNEEYEVLVKSHKLQVNSYEKQISSLEAKNHYMEEVLQQEQQKNISASIHTVILENSLADEQNKKVALFTECKKYAEANHSATMLVSELMEEARYNKEERETLLMHNEKLRVGISQQMKVLNICKDLGPADLAEDEILLQTVSDETINILKLKDETEDVNRLMYIELSVLSTVLLQLGMELRDLHLRKCALEKEVESGVAESLALQTSNHQMLKENEQLRQGLQESSERENVLKTEVSVIEEKLSCLRESYRVSQDETSNLTKKIESLSKEYQSLSEKYNYLEDENGTVLEECMMLENLCLFFRGHNNEIASALVSLTDEMALLSLAKGDLDLEINELSRRSTVLESENNNLKEYFVYLLEILRTRLVLSEFDLNTNKSVCQELFIELENCMAQLTQKDDELLEAEEKVQFLQEKNRELCGVVGSLQVAIEGAKVVKGELEKKITRLAEQLTTKDDEILLLHQANEALKSDLGHYEREFVALMGDAITSSVNSAVYEEKALELLMKGKATEISAVTLKELLMNEIYSRDAQIEELQNKMSGIQEEHAELKAELGTHLNLIASLADQVSVLEENTLSLSKPCSTEGKEETAQMPHVQEGNYGLESHCLPEGTPELQGLIARVEALQVVLLNAKDRQDQESAESAAKLAAANTVIQELKARGSSRMEAKEIYSDNEKQKDVEVSKGKQVQIMKDIELDQISTCPPYGTGATLYPLGNGANSELDDDMLQLWEAAERNCKNQTAKSSSSEHDIQAVEEVKSEYPSSELVRGRDLEINKLEVSKGAVEPHEVWSKSVLERLASDAQRLLSIQASIEELKRKMEEPPKGKSPMNSEYSSVSTQLHETDGYVLEQINFNNKLTRKAENYPALSDNMNTEREGYSSRRKISEQVQKGSENVARLELELQKIQYVLLKLEEEHEYRRLKVSDKRTRVLLRDYLYGRKDRGGGQKKKKRAPFCGCVRPKPRTEP >KQK91168 pep chromosome:Setaria_italica_v2.0:IX:48252184:48253404:1 gene:SETIT_039368mg transcript:KQK91168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECERGHDAGEENGFFYHDDAQVEAMQRRVDATAPLGDDPYTILRLPVAVRERHRDLYEPKVVSVGPYYHGRAGLGAAQQHKWRLLRDFLSRGNNKKAAGGLLGACLRAAREVEAEARRCYAEGFGLGADEFAELLVLDGCFLLEFFLRKGEGQLAAPGGAKWAWHHMYHDALLLENQIPFFVIEKLHGFAFAGEDDGAGRDALLDIFCKAFAGDLPSSRAIRPPSDKTIHHLLHLHYECNVRNPAADSDKGGRNTIGDASAASLAIWKQPAAAIQSPRSGEGAGRKGRLTSMIPPAAKMEEAGVTFKRKAAPRDVFDASFRYGVLHMPAFVVDEGAKVLLANLVAFEQGGGRAARQLDGGNLVTGLVALVGSLVNSRRDLEVLRRCGIMHCMLADDDAVAYFNHVV >KQK91297 pep chromosome:Setaria_italica_v2.0:IX:49073912:49074396:-1 gene:SETIT_040535mg transcript:KQK91297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLTAMYCTPHHTASDLNGLCRVSFSLCQSAGDRRCSRRPAVL >KQK92487 pep chromosome:Setaria_italica_v2.0:IX:55774776:55775378:1 gene:SETIT_039936mg transcript:KQK92487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGCFSRLRRPPAGSPAPEPIPGSDDASTSAASTSAGGSTSPCSSSSSARCKNGGASSSPHGHGRDGGAVGKNPSALSESGLSSAIASRRFFLSSPGRSNSIVDSSAHGAALGLSVGAAGVAVPTYSPDPHADFLRSMEEMAAALRLDARRRGDRARLHELLLCYLALNDRRAHKYVVSAFTDLLLRLTAATNLDDEHHD >KQK87783 pep chromosome:Setaria_italica_v2.0:IX:9345743:9348160:1 gene:SETIT_038482mg transcript:KQK87783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSDFTATLNQAFSGIRWNNQMNICQVKFNRQNYGRCEHIEVKSCQLAAAQFVA >KQK91381 pep chromosome:Setaria_italica_v2.0:IX:49505501:49513630:1 gene:SETIT_034368mg transcript:KQK91381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTCQAGTDGPSHKDVRGIANGATANGYHGAEADADEWREKEDDLPNGHSGPPGAQQVDEQKDQQGQTIQWERFLPVKTLRVLLVEIDDSTRQVVSALLRKCCYEVIPAENGLHAWQHLEDLQNNIDLVLTEVFMPCLSGIGLLSKITSHKVCKDIPVIMMSSNDSMSMVFKCLSKGAVDFLVKPLRKNELKNLWQHVWRRCHSSSGSGSESGIQTQKCAKPNTGDEYENNSASSHDDDENDDEEDDDLSVGLNARDGSDNGSGTQSSWTKRAVEIDSPQQMSPDQLADPPDSTCAQVIHPKSEICSNKWLPAANKRNSKKQKENKDESMGKYLEIGAPRNSTAEYQSSLNDTSVNPTEKRHEVHIPQCKSKKKVMEEDDCTNMLSEPNTETADLISSIARNTEGQQAVQVADAPDCPAKMPVGNDKDHDSHIEVTPHELGLKRLKTNGATTEIHDEWNILRRSDLSAFTRYHTSVASNQGGAGFGESSSPQDNSSEAVKTDSTCKMKSNSDAAPIKQGSNGSSNNNDMGSSTKNVVAKPSGNRERVTSPSAVKSNQHPMPHQISPANVVGKDKTDEGISNAVKVGHPAEVPQSCVQHHHHVHYYLHVMTQQQPSIDRGSSDAQCGSSNVFDPPVEGHAANYSVNGAVSGGHNGSNGQNGSSAGPNIARPNMESVNGTMSKNVAGGGSGSGSGNGTYQNRFPQREAALNKFRLKRKDRNFGKKVRYQSRKRLAEQRPRVRGQFVRQSGQEDQAGQDIER >KQK91239 pep chromosome:Setaria_italica_v2.0:IX:48714654:48716487:-1 gene:SETIT_039512mg transcript:KQK91239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGELGFLSPARPSRVSSKRGDDRGFGSPTWSSPLGTLLDSPSSCISESRAGGDGVSGFSSPTWGSPLEKLFNSPSSCVSDDSRVGGNGSGFSSPTWGSPLETLFYSPSSCVSDSRGDGDGSGFSKPKQASPLETLLNSPSSCVSDGRGCGNSSSPRVSKERDSEVQKAERLLREIAERYDDCFLRLRNATAELADLRRERIHLGAENLHLSLLLEELEAAEQSKQASAVALNLTLPPRPVQAEAASGRAPKSISMRSKGFLSPKQPLRETQPQRLRVRTSPAKEDASEKEKDDGEVEMEASRQGAVKTELCNKWERGGCPYDRRCRFAHGMEELRPVIRHPRYKTLACQLFAAASGCPYGHRCHFRHSLPSTVETC >KQK90349 pep chromosome:Setaria_italica_v2.0:IX:41929489:41933836:1 gene:SETIT_040253mg transcript:KQK90349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEAVAKNEGKVRGGGGVSNGGGGGVNGRRRWKGGGGGRQHPIVQAYPALLPLPIHAAHARRNGAVALPLPPPVLVYLHQPPPPLLFPKAAACYRKPSGAPPQRGASWRSRKPPPPPHAVTAALLPLPHDTELLQHKRCFIRENQTSDMKANHVSTNQDSSITMHGVTIAPRPDGGGVKGSVIPLYANHFLVRFDPGKKIFHYDVDISPHPSKETARMIKNKLVEENSSVLSGALPAFDGRKNLFSPIEFKQDRLEFFVSLPAAASTRFIAAKQNGHMLGKQNHKVFRVNLRLVSKLSGEDLNKYLNEEKDGIPLPQDYLHALDVILREGAMENSIPIGRSLYSHSMGEAKDIGGGAVVLRGFFQSLRPTKQGLALNVDLSLTAFHESIGILAYLQKCCDFMKDLSQMKTRALAEDERREVEKALKNIRVFVCHRETDQRYHVHGLTEETTENLKFRDRSGKDYMVLDYFKEHYNHDIKFRKLPCLQIGKSKPCYVPMELCMVCEGQKFLGKLSDEQTSKMLKMGCQRPSERKGLIKGVVEGAFAARSNSYADQFSLQVSKDMTQLSGRVLLPPKLKLGNGGRIKDITPDRYDRQWNLMDSHVAEGSKIKSWALISFGGSPEHQSFVPKFINQLSSRCEQLGILLNKKTVLSPLFERIHLLNNVGILENKLKKIQEAASGNLQLLICVMERKHRGYADLKRIAETSIGVVTQCCLYSNLCKLSFQFLANLALKINAKLGGCNVALYNSLPCQIPRIFSDKEPVMFMGADVTHPHPLDDSSPSVVAVVASMNWPSANKYTSRMRSQTHRKEIIEHLDVMTGELLEEFVKEVGKLPSRIIFFRDGVSETQFYKVLSEELQAVRLTCSRYPGYKPSITFVVVQKRHHTRLFHREKNGGSTHYSDQNVPPGTVVDTVITHPREFDFYLCSHWGTKGTSRPTHYRVLWDENNFKSDEMQQLIHNLCYTFARCTKPVSLVPPAYYAHLAAYRGRLYLERSDSSDTSRTTLYRSTPLQTAPLPKLRDSVKGLMFYC >KQK91295 pep chromosome:Setaria_italica_v2.0:IX:49050291:49059854:-1 gene:SETIT_034171mg transcript:KQK91295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSSRYAKHRRIGEDEETEEDEEEAEEELERFDDFTIASSWERFISEIEAICRQWLADGPKILMQKGAEIVPPFENLYLIKRELKHGKRVYSMEYHFMKSAKGKNSYWDDDTHSMQLSFGVDEFLMIAPLSASGVVLDDPESTKLLSSVAIALSNCGSNWPAFVPVHDPSRKAHIGIQNLGTVFTRRFEADRIGSQVPIRLMHLEGLHELFLSKFVLSSTDFPSRVKVHFSMKLTYRTPEYDYDNETLDSEAAESLTENEVASHPKKQWDDDCPWAEWYSAEDPVKGFELTAIWGERTFEETLEMAEVENASSFDADSWFLHPVVSQYMVDDSIGKFVGFASQLHLLVNAYESSAEAQFLEDFVADTSGQDNSKSTTTVPPPSVIDRVMKDLFKAGNSNYMEAENKHSRAMRGAPADSLFGQFCLHALWFGNCNIRAIAVLWIDFVREIRWCWEESERLPRMKSSSSIDLSSCLIHQKLQMLAICIERKKSLNREKGAGHKDETSNATAVNKTRKGSAGVVPKMMLLNTFQEMHAPYTQDAPLMTEDMHEERLHAAEAFGNAIGLSGQLERDILSSDMSAFKAANPDAVFEDFIRWHSPGDWVSEDKADGNSGWPPKGKLSQRMSEHGNMWRKIWNDAPPLPVSEQKSLLDPVREGEKVLHYLETLRPQQLLEQMVCTAFKSSADILNKTTYGGFKLMKTKMDQLYATMASTLKSLQGNSDISDLAGDLKRLCQVFEHIEKLLILAASIHRKLIDAPRLAQAIFADYFNYYLPKMGSTLESICYEKEFTTKEKVGMHERDAVSNLFPPPTANQSWRKVLSMGNLLNGHEPMQREIIFSVKERISNGHYSSPTPLCTDDEQIQTHRMYISGTSNDLWVALSVTSWD >KQK90517 pep chromosome:Setaria_italica_v2.0:IX:43332681:43335202:1 gene:SETIT_037231mg transcript:KQK90517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKGRKLAGRGEEMSAHYAFGPQEDDAIIKHRLLTRTTTTRGEPPLKKLQKKFMSFATEIEKDADNISDYERLYKAFLQEINTFELPLLKSKTVVDANIREKESFNELQVEIERQTLQAQTDIEDLKKQLEQSKIERQHKEECEAIRKVISLQPPRSETEKLIADLEKEIADLEAENVACVRTLELRKKQFALLLHVVDELQISVEDEQKSIADELRAIAEEQKMSIEEGSGGASDAMAVD >KQK88623 pep chromosome:Setaria_italica_v2.0:IX:15585103:15588885:-1 gene:SETIT_037314mg transcript:KQK88623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAEMEKPVAVRRAEELVEREMAGRDASHDAAHALRVRDLALSLAAEEGLSAPARLLTVELAALLHDIGDYKYTKNNVEDMSIVEKFLEEVGLEEWQKDEIVSIIKGMGFKNEVSKKSIFEPTIEFAIVQDADRLDAIGAIGIARCFTYGGSKNSALHDPRILPRDNLSKEKYMSKEEKQTSINHFHEKLFKLKDMMKTEAGKRRAEKRHKFMEDFVAEFYEEWSGRA >KQK87228 pep chromosome:Setaria_italica_v2.0:IX:6192890:6193484:-1 gene:SETIT_040797mg transcript:KQK87228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGCVRGCSCTHQTFQLAVVVVCAARCLPRSCTPLWPAAFYFTAVTFSGGMEQSG >KQK90129 pep chromosome:Setaria_italica_v2.0:IX:40023070:40024085:1 gene:SETIT_039462mg transcript:KQK90129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHDGYAAATAAPPLTLAASPAPAPEQEPKWTRRDDKFLELLLFTRNTVSLHIASGIIGKTPVQIHQRCTFMFAELSHVLESLEVLTPPVWDMEIAAMAAAVEEEVVPEAVVPAAPPVAARKNSAERAVGAGGDKRNKKAAEKWTEYEHRLFLAGLPFYRGNWNAMSREFLTSKTASQIASHYQKYRNREKQREHDNCKRASIHDITEPGIAAAFAVSGGEAAAWKGELPRSEASARGQENEPWEPIESGEDGLSPVEEFPGEDDPGTLLT >KQK92327 pep chromosome:Setaria_italica_v2.0:IX:54925514:54927979:-1 gene:SETIT_038920mg transcript:KQK92327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAALAVTTDKHQAEAEEVAADYFGGGDGWVPFPSLTTALIDLIERIAWYPCNLASGSRGCSICNCAATRQAGSGGELGMVRSGEASSSVFLLQFFCSSFVDSLPRLQEKKFLSVSPSHSHTRLEQNDSVLDSGYHINFLAYRMDSASAVGAPVLFFTEAIILSCDETDIHLCVPVDLVTDIGSCFTCEINRKKVVHPTYDEYLGGREFQLDEVNYGSDFPNPLDVDYIFFDAGRDRTFANYLWRESTGHRGRL >KQK90496 pep chromosome:Setaria_italica_v2.0:IX:43171679:43174333:-1 gene:SETIT_036745mg transcript:KQK90496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAGGAPRALSQKEQDIQMMLAADVHLGTKNCDFQMERYVFKRRTDGIFIINLGKTWEKLQLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKESALGNIPTIAFCDTDSPMRYVDIGIPANNKGKQSIGCLFWLLARMVLQMRGTILPGHKWEVMVDLFFYRDPEEAKELEEEEAPVAPDYAAVADYGAPAADTWATEQWGATEAQPGALPAAPVGAEWGAAPAPAGADGWDPAAAVPPPAAAPAATGWEEGSAPAPTGWQ >KQK91215 pep chromosome:Setaria_italica_v2.0:IX:48530115:48530420:-1 gene:SETIT_040495mg transcript:KQK91215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLYLLSCSNLALHFVTLKSNIKRISLFRYVFTLSLGDAP >KQK88476 pep chromosome:Setaria_italica_v2.0:IX:14521841:14523450:-1 gene:SETIT_035349mg transcript:KQK88476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRRLLRRLSTTAESAVTDSRSLSPSQPLHDDLYRRIADVGRPNLPLSPVLEQWAREGHTIKKHAIQAIVKKLVGLRRFAHALELSFWMTDRRHLHLTAGDVAYRLELINKVHGLEKAVEYFGMVPKQLMKPQCYGSLLKCYVEAKDVKKAEQLFTKIEEMGVKSSYAYNWMMNLFLETGQLERVHAMFQDMEEKGVKPNVFSVESLLAAYIAAEDFEGVQKVLNKTNPHEKLLSWHGYASAGRLFMKYGMQERAAMALLEAERRISPNNGRIAYSFLLNTYTDLKMYPEVERIWRVYKSKVPPCNSMYMSRISALLKKNDIDGAEEALKECETVCVSYKDFRVINLVVDAYCREGLVEKAVALVDDAIKKGRTPLANTWYKLAGGFFMTGQVLKAVDMTRKALASVIPPCTWKPDLANVLMSLNHFMEQKDVEAAEEMASMLQKLVPLTRDVYHSLLKTYVLAGKPVSDLLERMKKDGLEADEETDRILAGECE >KQK91236 pep chromosome:Setaria_italica_v2.0:IX:48696116:48702001:1 gene:SETIT_034055mg transcript:KQK91236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAAILSLSAAAVVEDVLRQHGCRLSDRDLASRRAEEAASRRNEAAGWLRRTVGAVAARDLPEEPSEEEFRLGLRNGQILCSALNRVHPGAVPKASAPCVVVNTADSVLQADGAALSAFQYFENVRNFLVATQEIGLPCFEASDLEQGGKSARVVNCVLALKSYGDWKQCGGTGPWKYGGNLKPSASGKSFGRKNSEPFRRSQSMNEGEVPYEEAGFNADAHLDSSDMSTSRPLKMLVSAVLSDKRPDEVPQLLESMLSKLVEEFENRLNSQNELVKAALKNGTDSTKSFSKSKVLVETTPNTSGRKMDATDIYCNHKQTKKEASREVSLKQHSILQQQSKNVEELKADLITTKAGMEYMQMKYSEDVNLLGRHLFSLAHAASGYHKVLEENRKLYNQVQDLKGNIRVYCRVRPFLPGQGSPSTVGSIDEGNITIITPSKSGKEGRKTFSFNKVFGPSATQDEVFIDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPKNMTELTQGVNYRALGDLFKLAEQRKGTFIYDIAVQMIEIYNEQVRDLLIRNNSQNGLNVPDASLVRVASTMDVMELMNVGQKNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVDKSEVTGERLKEAQHINKSLSALGDVIASLAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPESDAVGETISTLKFAERVSTVELGAARLNKESGEVRELKEQIARLKSALALKDSGSEQIMSRDSDAFNMKMPSPGFSNRRQGSCDLLSSQTNFRQPMEDVGNIEVRANPTLRQKKPSFDLQDLLTSNDSPSWPDSNLRVNFQMGDEREMVSGDWIDKVVVNNNNSVGDWEGDSAALPDFFYQRYHSGMREKQYQRNNTRQKDDHEYEQQRPRFYSTNTDDSDDIDMATSDSSESDALWQLNVQSMNSSISESGAKVKKPQAKLRDGSDSRTPVHSQIPSASRKATNGSNRSVRQPLSRSDSRRLSSNGRQAGTK >KQK87791 pep chromosome:Setaria_italica_v2.0:IX:9383054:9383767:-1 gene:SETIT_040210mg transcript:KQK87791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSREILLLPGLLLVVLVAAAPSDAAAVRGCQLDVLAPTVALFCAHGLPAEWCCQALAHSARVGGGARCLCRLAAEEPLVRAALNSTYLLWLYTACASSGHAGGPAASYSYPPSSPPAASASACDGAGDAPAAAAPADATGCATAVLADQMELFCRGGRSSSSPNPPCCEAVVGSVRGGADGVPCFCHVPQSSRSFGVDRISGLYAACVGSGPGDAGDLAGKCPSPRSAANAQGRV >KQK88620 pep chromosome:Setaria_italica_v2.0:IX:15559990:15560652:1 gene:SETIT_039290mg transcript:KQK88620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NHPWAKRPATAPPPGFPDVRQPPPKQYQRKPPGQRAPAQPQPPAPNAHRTPGPPPVDAHRARHVPVPQPAPNACRALAPPAAPPPVDAHRARHVPVPQPAPNACRALAPPAAPPPARAGPGGAMPPPLKRRSALPKPPKHPAAKKRPTVPCAFCGVLCMTAWHLKQHEKGRKHRNKVAYLAGEMNVKCPVCDVHLSGALNVEQHYAGKLHAWRVKLSGGP >KQK88709 pep chromosome:Setaria_italica_v2.0:IX:16399588:16401864:1 gene:SETIT_038668mg transcript:KQK88709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLPDELLEEIFVRLPAKSIGRLRCLSRSWAATLSWASFVDHHLRRANPAGQPKVFLVPDASTAEADALYAWQAPGGSEIKKLMSLNTDTIPQPEMLLPLTKPCRGLVLLRCPPALSYYVCNPSTGALLPVTDTRIDCSGAGHVSYGLGYSAATREHKLARLLCFVDQDGAPVATRCEVLVLDASAHWRPSAGRPPVCVVSGEKAAVFLNGRLYFAGYGGEIVAFDVADETFGVLAHPAEVYATVSPCLTELGGYLCVSHGLAGFGEPYTVWLLRDYEAERWEKLCCIDQSAWPDAELGVTPVDVFHEEACERSKIMFRTGGCTLFAVDLERDGGVYPDVLLSPDSIDAMGVCGCRLGLYEESLVTPGRTAEEIVFASPLAAAWSEVLKRLPARLVARLNLVCRDWRALIGADRFIHAQAVHAELNDAPRIMFSDCNNIGRFTALEDLINDGAPAGTPPLASHGSMFVCSQPCHGLVIWGHASIGYYVCNPSTGRSNNLRVTFDDDLMDTSGEGEAAFFARRVGLGYDTVTNKHRLVCLSYRHKSYATREYELECAVQNIRDGGAWCSVDPPPPRPVADAPPVDVGGKLFWTVDRELEPPRPRGAGCEIVAMDNHTCDFEVLQGPPCSWDGELVSILELRGKLGAVCAHRSSNTLVIWTMRDYGGGCSWSLEYSIEFGKSSPEYSCDTTVPLAIDPNGHRVLLSTGRSLGWYDPRTGAIQTIYSLGTRRERGKNFVPVVYRESLFRPDGMRRLRAPN >KQK89093 pep chromosome:Setaria_italica_v2.0:IX:20593169:20594610:-1 gene:SETIT_039451mg transcript:KQK89093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTSLGKISLPRQQRRLLQPIHSPRHKRVTAAHPAALLQELFGDAEPPKPRRASDETLAVHAGEKLGKGADEAATDSIATPIVSGTTHWFKSSEDLIAFKEGRRHSHEYGRYSNPTVKVLEDKISALERAEATLVTSSGMNAIVATLLALVPPGGHVVTTTDCYSEARAFIRDRLSKMGIRSTFIDLDDMESLKAVLEQDDVTLFYADSPTNPLLKCVDIRLVAELCHRKGTLVCIDSTLASPINQKPLTLGADVVLHSATKYMAGHHDVIAGCISGSKTLISKIRAWHHDLGGAISPNAAYMIIRGLKTMALRVEAHNRTALDMARLLELHPKIERVHYPGLESNPWHQVAKSQMTGYGGVVSFEVKSDLCGTMRFVDALEIPLIATSLGGCESLVQQPAVMSFWGKSDDEKAKNGIKDNLVRFSFGIEKFEDLRDDILQALEKI >KQK90979 pep chromosome:Setaria_italica_v2.0:IX:46967585:46968135:-1 gene:SETIT_040331mg transcript:KQK90979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRRSRQVRTRRRPGAVVALVRITYAQRLLFVSRGSPIRSRREAGGWRAQGISRSDRGPRRGAVGPWPVGVVSASLSSEISLSLGRRHDSGRGADPGTRWGA >KQK86430 pep chromosome:Setaria_italica_v2.0:IX:1837805:1840165:-1 gene:SETIT_035896mg transcript:KQK86430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPGALMAPRPDMETIAVAASGGGGGGGGPTGGSAVRPGSMTERARLAKIPQPEPGLKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRTKSSKSSSSSAAASASATGGGTSSSTSSTATGGSSAAAAVMPPQGQLPFLASLHHPLGGDHYSTGASRLGFPGLSSLDPVDYQLGGAGGGGGTAIGFEQWRLPQIQQFPFLSRPDAVPPPMSGIYPFDVEGHGDPAGFAGQMLGGSKVPGSAGLITQLASVKMEDNPPSTAMTSLPREFLGLPGNLQFWGGGGNGGASGNNGGTANTGGGGGGSGASAPGSSWVDLSGFNSSSSGNIL >KQK89032 pep chromosome:Setaria_italica_v2.0:IX:19668764:19669418:1 gene:SETIT_038284mg transcript:KQK89032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYGLHPSIWEVVVLSLTPPKNGIPMAEQAQDYFRNAQVVRVIISSLCAQEFNKVRSVKIAKVIWDTLNEAHEGTDQVREGKT >KQK86269 pep chromosome:Setaria_italica_v2.0:IX:1026649:1027860:1 gene:SETIT_038794mg transcript:KQK86269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCRRSRSPVIYYLPMLFAGVQSSQRWGRVGQPGKADLHEGRARQRGRPVGAHEVGDLEPAQARSIGERRGRHAGDGGEAVEAAGGDPDEELLGCDLRRVGEELRVVELEHGGVAGAVLEVHLAPAAVARVGGVAELEVLRRAPAHRRRAVVAAHAHVAAVAEHLALVRHRRPLLRRRVAAARRAVGAEVAVGVEPQEPQHVAALAVVAAVRHHDRGRRQPEVGQQHEAVGLYRERRRAQRHVPREGRVVAFVERQVPGALVNGEDVGAGGEVHPGGEFLRHDHRVRGVVERLPQGGFGVLEHEVATLLDGRGGDALAAGHGRPEHDPRSVDELEVTAGREAERAARVNGGAGARGPDGDPAGVGDGGNEEGRGSRGRREGERECVVGRRP >KQK92883 pep chromosome:Setaria_italica_v2.0:IX:57677415:57678741:1 gene:SETIT_040161mg transcript:KQK92883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPMLPITNPHGGDARSSSSALDQWTKRFQEAERLVDDVVERIAERDSVPPSLPRKLQRRTAEIRRKVTILGTRLDMLQEDLSDLPKKQNISLKQLNKLAEKLSGLSSKAKEVGGQFTMNHSSDGNDLCGSSEKSTKIDVNSIADMDNRDMVNLQRKVMKEQDSQLEILEETVVSTKHIALAINEELDLQTRLIDDLDESVEDTSTQLQRAVRRLKKLSTRMRKDGSCWGIVLAVIAAVICVAVVWALITT >KQK87000 pep chromosome:Setaria_italica_v2.0:IX:5012441:5016585:1 gene:SETIT_035784mg transcript:KQK87000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPVAAVGVFRCGGTRSGTGDLRRWMQLRLPPAADPRLLLLVAAEIVTGETCRLQRRQHLRRAVAGAAEEKEGCVATPAIAKAEDKNEAEVAMGHTMTEICDKFIEFFMHKKPETKDWKKVLVFREEWQRYRKQFYKRCQVRIDMETDSSLKQKLAVLARKVKKIDDEIEKHMELFTELRDNPADINAIVARRRKDFTGDFFRHLNFLVNAYNGLDERDGVVRLGAKCLSAIHAYDCTLEQLDIESAQSKFDDILNSSSLEDACDKIKSLAKAKQLDSSLILLINRAWAAAKDSTTMKDKVKDIMYHIYTSTKESLKSISPPEMKLLKYLLNIEDPEERFGALATAFSPGDEREAKDEDALYTTPNELHKWIKMMLDSYHLNKEETDFMDARKMSDPVIIQRLTLLKETIEEEYMKQYIHPDEPESMDDEELE >KQK89082 pep chromosome:Setaria_italica_v2.0:IX:20421582:20425126:-1 gene:SETIT_036062mg transcript:KQK89082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLSLRLGFVAPPYPRTGSGRFVSSCRAVASAGGGPPRPITVAGDPPTVVSAPDRRIVAIGDVHGDLSQTRAALVLAGVLSAESDGHVWTGGRTVLVQVGDILDRGKDEIAILSLLSSLNLQAKSQGGAVFQVNGNHETMNVEGDFRYVDPGGFDECIRFLEYLDESDGNWEEVFLNWVDVSERRKKEYRASPNSDWRPWNFVNKQRGFAARSSLFKRGGPLACELARHPVVLKINDWVFCHGGLLPHHVEYGIERMNREVSMWMKCSGEDSDDETGIPFIATRGYDSVVWSRLYSHDPAERPRRALMLSSIVAEQTLKSVGAKGMVVGHTPQIGGVNCKCDGKVWCVDVGMSYGVLYSRPEVLEIVNDRPRVLKKRRDSYDEMEVLDYL >KQK92839 pep chromosome:Setaria_italica_v2.0:IX:57445653:57447942:1 gene:SETIT_036951mg transcript:KQK92839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAVTLPSSGVPFPVSNTAARRCLLLPSAPSRRALRVVASAATEAPPKPTPPPTSPSGIVLVDPSEAQRVHRLKAVYDQKVVPLITEEFGYTNVHQVPKLEKIVVNCGLGADAGNNKGLESAMKDLANITGQWPVKTKAKKSVASFKIREGNTIGIAVTLRGRIMYNFLDRLINLGLPRTMDFLGVNPNSFDGHGNYSLGLRDQGVFPEIPYEVGGKKNGMDVCIVTSAKTDNEALRLLTLLGMPFSENIKSDMVIRKKRLKRHHFLSKGKGKGGRK >KQK88700 pep chromosome:Setaria_italica_v2.0:IX:16372655:16373204:-1 gene:SETIT_038403mg transcript:KQK88700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSLVCSSRFQSFTERDSCVSPHYLVCVNGILAVDAVQSRWRSDCDYRSERNSACIAMYRLDSC >KQK92885 pep chromosome:Setaria_italica_v2.0:IX:57696084:57697236:-1 gene:SETIT_036864mg transcript:KQK92885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTAGGLTRPGGGEERTTEQAAAVEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAANEKGLLLSFEDRTGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFGRGVGEAARGRLFIDWRRRPDPPVQYHRLPLPSIPYAPWAAHAAVGARTTVLHLPPSPSSFYDYDSHRRYAGYDAYGAGGRQLLFYRPHHQQHPQATMVLDSVPVRIPTTPSQHAEPPPPVASSASKRVRLFGPEEESGGGRAAPPTQTTMPLQLPSPPSSSSSSSGKARCSLNLDL >KQK89849 pep chromosome:Setaria_italica_v2.0:IX:36436982:36438571:-1 gene:SETIT_039288mg transcript:KQK89849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVPGETPPPASPRQYSGLLGALHHCISGGNAPAAVSLLPTLARAGLRAPFPLLSSLAGLLLLRPSAPSFPSLAGRLLLYVRLAGLKRLVPCSTQLADRLLSLHFLLGRPRDARRLFAKMPRPSVHSYNAMLSGYARLALAAPAAEVFAAMPHRELVSYNAVMLALGRGGEAQGAMELYSELRNMYPSLGYNHHTFLALLVACAELMDRELAMQLHGHLVVLGFLSDVNIASSLLDVYSKCGCVDDARNIFDEMLIKDGHMWTMIVCACAEDGQLATARQLFDQMPEKNVSSWNAIIKGYVFHGKPVEALDIFQHLMRECLQPDQFTFGSCLSACAAMPMHSLKLGQQIHGMLLRSGFDLSAIIISSLIDMYSKCGYLDGAIQVFELTGREMKGAMLWNGMLSALCHHGHSKDAIALFVQMIRERQKPDANTFLLVLTACCHCNLVEEGMKLFDLMNGRYRIVPGQDHCLCMVELVSHTSDDKVVEWIKSSPFSFNKQVWEILVRNCTIHGNRELLNKVEDQLAELDHP >KQK90239 pep chromosome:Setaria_italica_v2.0:IX:40899823:40902441:-1 gene:SETIT_036822mg transcript:KQK90239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWVSGLLGADCAAAEAAAGPAAVGLGDLPELCAAQVLLRLDPPEICRLARLNHAFRGAAGADFVWEAKLPENYRYLMEFVGSGEEGRRRRRRAGKKEIYARLSKPVPFGDGQKEFWLDKSRGMICMALSSKALVITGIDDRRYWQHMPTSESRFQSVAYLQQIWWFEVVGEVDFCFPVGTYSLYFRVHLGKFYKRFGRRHCSSEHVHGWNKKPVRFQLSTSDGQQVLSQCYLEEPGSWVLYHAGDFVASKPDQQMKLKFSMAQIDCTHTKGGLCVDSVLIYPKGKGFQQGRVVRSQR >KQK91091 pep chromosome:Setaria_italica_v2.0:IX:47729593:47730258:1 gene:SETIT_039872mg transcript:KQK91091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARVAGVPSVRLASRLQIAISFFPSSTRRAGRRVGSAAGPPPALTADVRVVIRRHFPAVGPLGTRIVVQKVSEDIALRRRPSRKLSEPDRVERALAQDVIPLVGHPFDRGAVVAASREICARVAVACADPRVAGAGVRVLVIVDTFACPVPAMWSGAVKNAIARADDPCKEPESGLPEAAAKEQPRPVGVIGDTRPKPVEERFEGWLPW >KQK88963 pep chromosome:Setaria_italica_v2.0:IX:19020208:19021297:-1 gene:SETIT_037243mg transcript:KQK88963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNGRVFEDFVPPHSMVSEPATHTLSIDLSAAGYNKEQVRVQMVRNHRRLIVRGERPVAGNRWSRFRLELRVPDDCDVKAIHAKFVDGVVLVTMPGVAPEPVRVEAGAAAGQQDPSPPAAVKPAAAASAQDQKDAAGRAAQHQQDGDGRAARGGGADDEGEKKEEAVQKQEMRQRVTSSAKDDGGRDDAASGEGEVLTPASPSRQDYGFLHGRRKMATTVLGVVLVLISLGIYVKYSLWP >KQK86642 pep chromosome:Setaria_italica_v2.0:IX:3076736:3079547:-1 gene:SETIT_034445mg transcript:KQK86642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSTGKPWRYHHLSTLLSSAVLEWILMLLLLLEGLLSYLVTAFARLCKLQPPCPMCTRLDHVLGKVQKGFYCDLMCTSHKAEASSWAFCHIHQKLVDVHSMCEACLLSFATDKKSSLETYRSLVGKLGVGIDNAGRRDNFTSGNDTSEVQDMKETICSCCSRPLEVKSHPFVVLQSKASGIGIEGICRVVSRDHQNIDEINYAAYSELRTSDTESEPWQPVGNVGNLLKDYNDNLKEGFAMRHPLTKIADDMPPYDNSQGKIPEQSELTLVQNDGSDIKPFENSEELGNILDDGKANLQPTDLTIKNGQQITEDSDTRDQSEDDVWHNALSSTEELSVAAKSAKTDTIADGKKAEFTDTTRRKESFKVHEDLKSLLSQLSNASQAPDCDSPTVQNQHEHAILQNITRALSLDRNYSGIPESMVNEAEGECTIDQLKQQIELDRKSISRLWKDLEEERNASAVAANQTMAMITRLQEEKASMQMEALQYQRMMEEQSEYDREDLQKVAQTVQDLQAEIEGYKMKLKDQLLVDEIRDHMCLSCSKEHGSIISRSKSLSGFEDEKTYISKRLRKLRQKLHEFSNNSKHVPLPKLSDDKEGSFDDRDREHAYEDADEDGKTDDSVFNKHLGRDGNRFMDSKHGKGHDPKGQYHAMVSENVLASFEEEISEVSGRLIALEADRSFLEHSVNSLRNGKAGEELIRDIASSLRELRKMGIPWKEYD >KQK91825 pep chromosome:Setaria_italica_v2.0:IX:52048178:52049371:1 gene:SETIT_040276mg transcript:KQK91825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFLCSCSASVILPVLNVNKIVNEFHVREDYVYFTKAQIQEKEKELKREYKLLKDAKQQSGAHFDAKAGRIKACPAVWENILDSYPKAKKFRSKGFPLFEALGELYDGQTTEGTYNYTSNQLTDPTQVENGDKVENMEVLNSDLQETMAGREDDDVMVLEDDQMRQRRDATVTKNTENDERVVHSSQRRAATVTRNTEEREPKRQKKISNLEGLMERYIGMRTKQGEDEAVQLAREKEESEGNAFSIKKCISVLNTLEVTKEEKAKSFKLFKDPDNRQILSACDDDPEVALLWLRSEIA >KQK86472 pep chromosome:Setaria_italica_v2.0:IX:2081407:2082157:1 gene:SETIT_038824mg transcript:KQK86472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPAPATAAAEAPAPAPPPPVTAVVNARFCAPEATAFALTKTISYTGRDFTVTDAAGAAVMQVEAAVFALLKRSLLLDAARRPVLTMQDSGYLMGTRWAVFRGDSTSRRNLLFRVVKSSAIQIRTKIYVFLASNAGEEAPDFVIRGSYYDGACTVSPGNSDAAIAQITRRNSAQLLGFGRNKYTARINPGIDQAFILALTVILDEMH >KQK88504 pep chromosome:Setaria_italica_v2.0:IX:14722191:14722652:-1 gene:SETIT_040743mg transcript:KQK88504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHLVGTCLRFYHQPSCGAAQEPPDFSSCCRAR >KQK88357 pep chromosome:Setaria_italica_v2.0:IX:13544064:13545172:-1 gene:SETIT_038236mg transcript:KQK88357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACFDSSFLCASAGAESFHHYLLIGENLHFLSPLSSFSLFASSIPILPLGFPISLYACMVGMDCFWYSQSFREHVIVKAATRSTKLSVSI >KQK86426 pep chromosome:Setaria_italica_v2.0:IX:1791778:1792185:-1 gene:SETIT_040452mg transcript:KQK86426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKFFTTYNTEYCPIRLLFLRLPCLIIQFDPNPKKAQKL >KQK90347 pep chromosome:Setaria_italica_v2.0:IX:41910531:41912467:1 gene:SETIT_034882mg transcript:KQK90347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDVWPWLASLPAPPPAAEPDAPPPPPPWSLQLASSPDGPSIVLQADAATTADGDDGSEPAPLVAFSLAINGAGAASALWTSDGFAVSSPVPVRLQLLVQLLNDVLDRSPYVPCLGANAVEFAGVDASSEARVDAEVVSAVMGAAGTDAFAAFFSLALLLRLFWLCALDAPADAGYLFFRDLGAGIERALGACRPALAAFLCFVGPDVEERFMRSLGYMLAKWCLLREMQAATGSAAKAEVPRRRRALPAACLSYAAEAHGLWVLKGYAPVLAMARVTAAASTSITASPHEVPEEPALRYGLVHQQLEAVVQLEYAVRVRDGRFLAVSVRVDNVRVRVARLAFRKDDEDAGGGATDDDAEDAGDDVMDGERHFPSRIRLWVGPRFGASYATGPSLGRSTGNPERDVEMTRTIKGAFSGATKLANGNVNAPRMKAKMRSSARTRSRSWRWEQEAEGSAGLFEGVLYDPVTGTEVSAWRPGTGGSGAADPRNGMRRRYGGPGRAFSKMRGLVVAGDELPEEMTWRVGREEEGRTLRWRLGLKAWVSYLPNEVRSRHFETRCAEWAHEVELPLVPINGDES >KQK87925 pep chromosome:Setaria_italica_v2.0:IX:10220557:10220981:1 gene:SETIT_040594mg transcript:KQK87925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKYSNILMNFIVLAISRSKVLFMKLSKQHMFWVACITTEFT >KQK88939 pep chromosome:Setaria_italica_v2.0:IX:18844483:18846515:1 gene:SETIT_035299mg transcript:KQK88939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNKVLLAVALAVLLAVLSKLKSRLVAKPKLKLPPGPWTLPLIGSLHHLVTSPSIYRAMRGLSHKYGPLMMLRLGEVPTLVVSSPEAAEAITKTHDITFADRHLNATIAVLTFDGTDIVFGSYGERWRQLRKISVLELLSVARVQSFRRIREEEVARFVQSLAASAGAGAAPVNLTKMISKLINDTFVRESVGGRCKYQDEYLEAFDTAVRQTSVLTVADLFPSSRLMQVLGSAPRKALACRKRIERILEQIIQEKKEAFDSGDETAHEGLLGVLLKLQKDRSTPTPLTNDTILTIMFDMFGAGSDTSSTTLNWCMTELVRSPAAMAKAQADVREAWKGKTMITEDDLEGLSYLKLVIKEALRLHCPLPLLLPRQCRETCQVMGYDIPKGTSVFINAWAICRDPKYWDDAEEFKPERFEKNNIDYKGTNYEFLPFGSGRRMCPGANLGIANVELALASLLYHFDWKLPDGMEPKDVDVWEASGLIGKKNTGLIVHPVTRVAPAS >KQK87215 pep chromosome:Setaria_italica_v2.0:IX:6126508:6128409:1 gene:SETIT_036133mg transcript:KQK87215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQNPMPRLLGQNQLPSIIPSVCIFLHFANCSISQASVSLVFFNHPSLVGRAARHCTARPSFLPLSLSFPRVRVNAAQNLRLLAFDSAQKGSKAPARLDRQRFPHLLSPDQIDRQCRTPRSPLSRTPERRESMAASWAAPPSGYPVGHGQAYGNQQAAAPQPQSTAVPVTVASNGVGNPYVMVTPAAATPSTCQTVMKALGRYGKLLEDGTRKAADATGNIWHHLRTAPNMADAAVARLSQGTKVYAEGGHDRVFYQTFGAMPGEQLRKAYACYLSTSSGPVIGTLYLSTARLAFCSDSPVCYQGPAGQPIECMYYKVVLPLSQVRSVNPSSSTRNRAERYIQITTTDNHEFWFMGFVNYDKALKNLYEALQHRDVHGQKHS >KQK88799 pep chromosome:Setaria_italica_v2.0:IX:17265776:17267639:1 gene:SETIT_037869mg transcript:KQK88799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAATLKDAAARKPVLATIRLIVPAGAARPAPPVGPALGFYRLNLMAFCKDFNARTQKYKAETPMQVTLTAYKDSTFEFVVKSPSVSWFLKKAAGIETASSRPGHSNVSSLTLRHVYEIAKLKQADPFCKHMSLEALCKSIIGTANSMGIEIVKDL >KQK87439 pep chromosome:Setaria_italica_v2.0:IX:7313044:7315300:-1 gene:SETIT_0347911mg transcript:KQK87439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATAAAASASFPAAAALCRDGRRPSRVSAAATTPAEAAALAAVPAPMVRVVPESLQRESGCLVAGFRERGAGAADGDGFGDAAPGGGGGPGAMEYLTSVLSSKVYDVAIESPLQLATKLSDRLGVNLWIKREDLQPVFSFKLRGAYNMMAKLSPEQLERGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPEIKWKSVERLGATVVLEGDSYDEAQSYAKLRCEQEGRTFIPPFDHPDVITGQGTVGMEIVRQLQGPLHAIFVPVGGGGLIAGIAAYVKRVRPEVKIIGVEPSDANAMALSLCHGKRVMLEHVGGFADGVAVKTVGEETFRLCRELVDGIVMVSRDAICASIK >KQK86336 pep chromosome:Setaria_italica_v2.0:IX:1348632:1350294:1 gene:SETIT_039226mg transcript:KQK86336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAIGAGRERGSDAAAAAAAKAQAAREVCAASAAFASCPHRRRSPRGAGSRPHFVDWYLVLAIGEAASEDAVRRRYRQLAHAWRRALMESVAVPVPPGQYVATPPAGAHACLTDKARRRAYDAERRASFCAACHDRHATRSSAAAGGRLRATTEKQTTAAAGAAARSKQPRAAPAAQALREVQNRMRDECRVIDGCLRANNAAACARRRQSFPLFDPSDRRSFPDYPHVRPPPFGNAEFWRFEERLGRADQNQRWCRGGGGGESPVYQIRTAAAECTDRTKRAW >KQK92957 pep chromosome:Setaria_italica_v2.0:IX:58046553:58049231:1 gene:SETIT_037392mg transcript:KQK92957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWEEEAVEEEEYGEEMAASESEAEDVVVGQMPTVMVPKHIKKRSLKNKALSVTLDKKALRDFVTGFHKRKKKRRKEAQKVLQEKERKKRIEARKRRKQEKEIALYGRVLSSDDVELENEDIGDDGEEMENDEPLSEIKTYEDDGTRITVTTSEITCEDDDIGPRNVGPVSSYTNKNSSSMAKKNSSLGVKKKPQKRTFKNKSKAKKGDKKRGAVKGKRKGRGRK >KQK87764 pep chromosome:Setaria_italica_v2.0:IX:9191848:9193091:-1 gene:SETIT_040270mg transcript:KQK87764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVKATGRIAQIPMSEAYLGHVINALAKPIDGRGEIVASESRLIESPTLGIISMCSVYEPLQTGLIAIDSMIPIGCAVATDTILNLKGQDAICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVVAEMADSPATLQYLAPYTGAALAEYFMYREWHTLIIYDDLSKQAEAYRQMSLLLRRPLSLSRGYVSAYIPTNVISIADGQIFLSADLFNVGIRPAINVELLRQTQSNPLPVEEQVATIYTGTRGYLDSLEIEQVKKFLDELRKHLKDTKPQFQEIISSSKTFTEQAETLLKEAIQEQLERFSLQEQT >KQK92880 pep chromosome:Setaria_italica_v2.0:IX:57672379:57674685:-1 gene:SETIT_037430mg transcript:KQK92880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEQAPAAEVEKSEAAAPAEAAPKAEQPAEDEAPVVEDVKEDEDDDDEDEDDDDDDDDAGEGELGAGATEGSKQSRSEKKSRKAMMKLGMKPVTGVSRITIKRAKNILFVVSKPDVFKSPTSETYVIFGEAKIEDLSSQLQAQAAQQFRMQDLSKVMAKQDAAAAAAAADEEEEVDETGIEPRDIDLVMTQASVPRAKAVKALKAHDGDIVSAIMELTA >KQK89614 pep chromosome:Setaria_italica_v2.0:IX:33792332:33792559:-1 gene:SETIT_039591mg transcript:KQK89614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFLSHLILFFSHGCTECPDKCDYRCSATSHKKPCLFFCNYCCQKCLCVPSGTYGNKEECPCYNNMKNKEGGPKCP >KQK88458 pep chromosome:Setaria_italica_v2.0:IX:14414868:14418916:1 gene:SETIT_035665mg transcript:KQK88458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVGCGGMWARVLSLHALLPSPSSSPRPRGGAQARPPIPPPPAAIAAAGDPSLPRLAQSEHGRRAVPPSLPRPPSAGPSTRRGASAGLPGPLLLVTAPEAPLRSRESSADGDPSGVGTSSSRAGFLLIMGENKWMGKRWEDMDTDVLVKIFKELNLVELSPVSQVCRLWRLACSDPLIWGTLDFGLLKSNFIQTRASPYIWVDDRSDKRLARILRVAMAVSCGNVNCMIFHYNLYMKDEHLHFISERSPHLKRLVMPAWNRITKVGICQAIQRWQELESLTMPTIGHPPYIMEEIGRSCKNFTELKIMGSFDHNFASAISQFLPKLKVLSLRCSKVNMDALQSLLHKMEYLEVLNISHCLLLVVAANGRKQVVHELDGQILERASRLREFHYCQSRSCITCQRMVVDEGIMRWYRYEDWFWRRDEVRSLDLQDYGKLFDAGCERMTSVE >KQK91938 pep chromosome:Setaria_italica_v2.0:IX:52810570:52813307:-1 gene:SETIT_038685mg transcript:KQK91938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADGPTAESSDELRLRSGPPPRVVDVDDYGAGSNGGCDDTEAFLAAWREACNSTDYRSMFLVPEGKTYLLMPVTFRGPCRAISITAMIKGTLEAPSNRSVWLDRNLQEWITFEGIDRLHVLGGGTLNGNGQQWWINSCKLNKPMRCIKGPTALYFRRCTHLVVEDLQVRDSMQMHVAISYSWNVLVSKLFITAPGWSPNTDGIHVSNSKEVSISDCTISTGDDCVSIVSGSMFVRVTGIFCGPGHGISIGSLGASNSWAHVSDVLVEKATLQGTTNGVRIKTWQGGHGFAERITFQDVSMHNVTNPVIIDQNYCDPRKPCHEQGSGVAVRNIHYRNISGTSASKVAISFICSEAVHCDGIVMQDINLVGEGRHTACSYRNATVAQLGYIFPFCSVEM >KQK88493 pep chromosome:Setaria_italica_v2.0:IX:14615990:14619030:-1 gene:SETIT_036824mg transcript:KQK88493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEKEEPCAGYRHGPPWVFKGSALYQLHLVKASTARAFVPRGLRLVEAFGYTLGGMFLARYHDSPAGAFDELVVIAGIVWNPPTSCAWAARVLVNSVEACRHGRKEVGLPSHVATFSKTEASALGNKPLVESNSFLSVLGIGSTVPKQESRREIEICETKGSSTKHLCNISMPLTGSHRHHKWMGPAIRMSLPSFSGQTEDHPDLLKYSCQVECRVRPVKPARIWNPTTTELQECSDGKINSAGSNTLADSYAQSQSISVLLSKPIFALEFSSLRMHVDAPKIVVPHCKKEEVGYSST >KQK89117 pep chromosome:Setaria_italica_v2.0:IX:20951244:20954017:-1 gene:SETIT_039651mg transcript:KQK89117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRAWMYSGWQHGKAPSNCWIHRTTKFLNHAFSFPGVAENDTIKCPCAKCIQKLKKKVRNKARVEADIVEASLVEEATNNLCLYFRSKAPSIKNKMPRYDDGASTFQGRCISPRGTRGLSNEECKVAFLYILTNIPEMDDFFMLNGWKNVHGIKIVPNFFDWFKNK >KQK90253 pep chromosome:Setaria_italica_v2.0:IX:41031298:41036725:-1 gene:SETIT_035842mg transcript:KQK90253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAARRNIATRLSHHLTRRVHPCVPHALTSHSSDEQASSSKPLPIPLPPLHTPLQHRSGAAQTVGFLPFSLHLAGPTRRSFSSSAPAPAPAPDIETAPAAEVDAAGVLADAAEAAASVPAPFPGEVAAAAADSFLPVAALQHFIDAIHTFTGLNWWACIVLTTLIIRTATVPMLVSQLKAMTKLNAIKPEMEAIKDQTDTMDLKSAEEGKAKMQALFKKHGVSPFAPMKGLLIQGPIFMSFFFAISNMVEKVPSLKGGGTLWFTDLTTPDSLYLLPVLTGLTALATVEFNLQQGMEGSPMTGKMKNVARGMAFMAVPFTMNFAKGIFCYWITSNIFSLAYGVVIRRPAVKKLFNLPDLPAQPSPATQMPTFNFFGGSRAAESPVAIAGAQRSPLEQPDAAALGYKVKNLEKKVKSRGKRKHR >KQK92047 pep chromosome:Setaria_italica_v2.0:IX:53382736:53383100:1 gene:SETIT_038621mg transcript:KQK92047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENQSEKSARGARRPLCIKTRKQTGKKEKDQEQQRSTYSVQLESSKAVLLH >KQK88487 pep chromosome:Setaria_italica_v2.0:IX:14587882:14590928:-1 gene:SETIT_035205mg transcript:KQK88487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKELVVQRNGPVDIREIAAKATLREVRQNGHTYVELRRVGKRVIFFCTICLTECFSDNVLFDHLKGNLHSRRYAEAKVTLFGPMPWPFNDGVLFFNNSREKDPLLLDSSSQSTRELALVPQPEVSGNEIEVTSKLRDGSSSRNGVEGARNCAKGHASGRVAAVSEDHVLSNSGTDGPLVIPGVLIKDVVSDLPVHHLGYGNISYRICEGNKNCKKISKIWCAWVGQEGSHGSQSCNTYEQSGFAIVNFSYTHDLGRKWSSDEQDLSISAGSFFVIDDAGHRGKRMRKSFSDQEASSEESNGQSSSPQGSSQAIVTGSPTGTSHNLQVGLLSSKSVRKELRKQKRIAAEKVCDICGRPMLPGKDVATLLNCNTGNLACSSRNSSGAYHLFHTSCLLHWSILCQYEMLSDQIASKGKSNRGRKAKNAPKKSKITSIFCPECQGTGIHVKGDELEKPSISLSEMFRFKLKAIEAHKAWLKSPEVLENCSTGLHFPSEHLENSEEKVMPLKSIPFYAADG >KQK87247 pep chromosome:Setaria_italica_v2.0:IX:6261288:6261897:-1 gene:SETIT_038220mg transcript:KQK87247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYVEMLDMGVRIAARFHSHCPQTARMYYKPPQTQAATSSSSAEDNAKARSFGLHAAPVLRPFSEAMDVGAGDRPGHQLHDFDTAQVIVYEVI >KQK88474 pep chromosome:Setaria_italica_v2.0:IX:14514774:14515131:-1 gene:SETIT_038361mg transcript:KQK88474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEKDVEAAEMTSMVQKFIPLTRDVYRYFLKRSVHAGKPVSDLLDRMSKDGLEADEETSRILHVECSRCTIVHH >KQK86677 pep chromosome:Setaria_italica_v2.0:IX:3258769:3260064:1 gene:SETIT_039822mg transcript:KQK86677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISSSIVHAQLPKKIQEEQSATMSVSLVTASVLPPCRAFRAAASLSACALRPRAHRPSPPSAWRAGVRCLAATPPPPEVPGQTPAEVPGTDRPPQEVPSIDTPPEFDAPPGVDVPMPGAPGPGPELPGPAMPSPPVPEIPTVPPNPDVPPPQPPEVEPPRPPPEVDPPRPPPEVAPPQPPGASTVLPPLNLPFRPVLAPLVRVLPWPRVRGCRVPKLAPSPVPHSALYVSASRPSGAAAPVVAVAVLFVFLPLPCRRREGANPDGGLHRHAPCHGIAQTPRMLTGHFCPWDLRIPLHRFRSLAARDSCPRRAAQFSSARDYPASPGRPIV >KQK90798 pep chromosome:Setaria_italica_v2.0:IX:45818491:45820256:-1 gene:SETIT_035116mg transcript:KQK90798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPSPASFLAVVLAAALFLVAILRQRRPTRKYNLPPGPRPWPVIGNLNLIGPLPHHSIHDLSARYGPLMSLRFGSSPVVVGSSVETARFFLKTHDLAFIDRPRTAAGKHTTYNYSGLFWSPHGAYWRQGRRLWQAELFNARRLASLEHVRGEEVRSMLNDLRASAAAAAAGGQHAAVALREHLYMVNLNVISRMVLGRKYVVDGAGSPVTPEEFRRMIDEHFSLNGALNVGDMIPWLSWLDPQGYVRRMKRSAKMFDRFLEYVLDEHNERRRREGKEFIATDMVDVLLELADDPNLEVPIGRDGVKGFTLGFTELRMQDLIGGGTDTSSVTVEWAMSEILRSPEVLAKASEELERVIGRDRLVAEQDIPNLPYMEAIVKETMRLHPVAPLMSPRLSREDVSMGRYDIPAGTRVLINVWAIGRDPAVWEAPMEFRPERFVGSGVDVKGQDFELLPFGSGRRMCPGIGLGLRMVHMILANLLHAFAWRLPGGAAAAEELSMEETFSLTVPRRVPLEAVAEPKLPDHLYAVP >KQK86851 pep chromosome:Setaria_italica_v2.0:IX:4120226:4122107:-1 gene:SETIT_035347mg transcript:KQK86851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRALDPDNASKIMGLLLIQDNSVKDLIRLAFGPEDLLRAVVASAHAELAAKPASPPSPVLGPGPPWGGVADQAAYDGGADAFYPEEEYSFLLSDDEAGAWRPCMYFARGFCRNGSSCRFLHGLPEDDAAAAEREMVVMRAKALAAAARPQQLMASAFPFSPSPSPPKGVSLDSLLQQQNEPQRVLFGGGEDMHRFPVRSPRMDRGDLISSPAARQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYPETVKVILSKGNPHFVCDARVLVKPYKEKGKVPDRFRKLQHPHHGDFAGCTSPTGLLNSRDPFDLTQPQIGPRMMYGNIVKHEAFLRRKLEEQQHAAELQRAIELEGRRFMGLQLLDLASRGHHLGSPAGSPMFPGQADGNGTSIVEDDTSIADNRVDSGSRAMSGPAAAATSATGAEDEPEEQQEGDGDGSPKQAVNPGEEKRESIPVTATPNVACGFQER >KQK92258 pep chromosome:Setaria_italica_v2.0:IX:54604840:54606635:1 gene:SETIT_037266mg transcript:KQK92258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVAKQGMSPYEAARERTVLENKRKMEALNLRHLSAAIKEAPKTPSPMKQKRRRIVEHAVVVPSPPRRSRRVANLPAVKYSEIAPHTADRMTRSPRKPADLIYLASRGSISMKARMEAATKAEELESQLDPEIPSFVKAMLHSHVVRGFWLGLPSHFCDTYMPKQDSIVTLVDEKDEEFDTNYLAYKKGLSGGWAGFAISHGLQDGDAAVFQLIKPTTFKVHIIRAASGDGSEEDE >KQK91503 pep chromosome:Setaria_italica_v2.0:IX:50289255:50289983:-1 gene:SETIT_039170mg transcript:KQK91503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNTSNGVATATAFSDDKARPESGGVGGKSAARPYKGVRMRSWGSWVSEIRAPNQKRRIWLGSYATPEAAARAYDAALLCLKGSDAVLNFPASSSPTSSSSSPHHHVDTTRHSDPAASSGAMSPRSIQRAAAAAAAAFDAGLISASVDDRCSSSSAGATTPTSTSLSTLCSADHVREHATTSSPATASAGSPPEGEELWTDLDAFASPKFMDLVSAGAAPFSSTWEDPEDDGDVMRLWSFC >KQK90089 pep chromosome:Setaria_italica_v2.0:IX:39524811:39525088:-1 gene:SETIT_040767mg transcript:KQK90089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAFGSFSKLKSRTENSVFGKPKQTGLKFGDPK >KQK91210 pep chromosome:Setaria_italica_v2.0:IX:48485089:48486393:1 gene:SETIT_039147mg transcript:KQK91210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKADRRAWQHRPLRLIVILVLFLLGAPCAIFFFMSTGVALPRIRIEYDRCGGAPTTSVRTNQPPASPSPPPPHLGVDGDEKQSPPPPPRQLMDPPLSLGPAVSDYDERRDKWLRDNPRFPAFVALGRPRVLVVSGSSPRRCSAPDGDHLLLRAFKNKVDYCRVHGFDIFYSTSVLDAELTGFWTKLPLLRSLMLAHPETEFLWWMDSDLMLTDMLSEPPWGRYARHNLVVPGWDDKVYGDRSWLGINAGSFIIRNCRWSLDLLDAWARMGPRGPVREMYGKLIAETLSDRGPYEACDQSALVYLLVTERGRWGGKTLLENTYSLHGFWAGIVDKYEEMRRPGGEPVPLVTHFVGCKPCGGGDSSYDAERCRRGMERALNFADDQILNLYGFGHESLNTTAVRRVRNDTGGPLDADDVELGRLLHPTFMAAKL >KQK90308 pep chromosome:Setaria_italica_v2.0:IX:41471117:41474430:1 gene:SETIT_035830mg transcript:KQK90308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGGRRDGPLMLRGGGSGGGKPLSRGSRIAVAVAVGVALGCVCAFLYPDGIFRPSASALHWSSHVDSTACESTGQVTNLKSQLVSLERQNAEMRRQINELSMKLQLAGQGKDETLYKAGPFGTAKALRTNPTVIPDESVNPRLAKILEEVAVKKELIVALANSNVREMLEVWFTNIKRVGIPNFLVVALDDNIESFCKSKGVPVYRRDPDEGIDNIAKTGGNHAVSGLKFRVLREFLQLGYSILLSDIDIIFLRNPFDHLYRDSDVESMSDGHNNMTAYGFNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVAYRLSHEKAWDQAVFNEELFFPSRPGYEGLHASRRTMDIYFFMNSKVLFKTVRKDAQLKKLKPVIVHLNYHPDKLDRMKAVVEFYVNGKQDALQRFPDGSE >KQK92063 pep chromosome:Setaria_italica_v2.0:IX:53487522:53488384:1 gene:SETIT_0342221mg transcript:KQK92063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WKNYSYKVAGSVAVSSAREAAVMRQTQKDTIYGLGRGGNVPFGAPSRQHIDVEEEEVDAANNYSGGISNPRGRGRRGGGRGQGNPQENENPSGRGYGRGGRRGGWNQGYLAEENGNPNGQQGFGRGGRRGGSNQGNPAEEDANSNGRQEGFGRGARRGGRNHDRSSEDNEDHDPAQGFARGGPAPRGGGPGRGGGRNHHRRDRAMKKHMQGLTGL >KQK86163 pep chromosome:Setaria_italica_v2.0:IX:514502:519350:-1 gene:SETIT_034939mg transcript:KQK86163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALRFPAVARESPAALAAAAAKLGREQDFLCASARPGPQCWRRRGLVVRCQTGAAAVLKNEEATAAAAAAAHKARTGFTVVMKFGGSSLASAERMREVADLILSFPEETPVIVLSAMGKTTNNLLLAGEKAVSCGAPKASEIPELAVIKDLHHRTIDELGLDRSVVSGLLDELEQLLKGVAMMKELTLRTRDYLVSFGECMSTRIFAAYLNKLGKKARQYDAFDIGFITTDDFTNADILEVTYPAVAKRLHGDWMDDPAIPIVTGFLGKGCKSCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYANAIPVPYLTFDEAAELAYFGAQVLHPQSMRPARDGDIPVRVKNSYNRHAPGTVITKARDMSKSILTSIVLKSNVTMLDIVSTRMLGQYGFLAKVFSIFEDLGISVDCVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKFAVVHLLQRRSIISLVGNVQRSSLILEKAFNVLRRQGVNVQMISQGASKVNISLVVNDCEAKQCVQALHSAFFENGFMSEVEGADVPQNSASLNSNGAIYGN >KQK86544 pep chromosome:Setaria_italica_v2.0:IX:2552902:2553921:-1 gene:SETIT_037566mg transcript:KQK86544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATQASAATRHLLAAAWSPAAKAPRSSQLALPSSSRGPAPLRAAAEEAPAAATQEAPKGFVPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWTSPKEQVFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNAGRQGVGQNFRSIGKNVSPIEVKFTGKNTFDI >KQK87986 pep chromosome:Setaria_italica_v2.0:IX:10617831:10618882:-1 gene:SETIT_039117mg transcript:KQK87986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVRLGSNTRCRSGAVSPLRTLPLSPPLAAPLWDPPSAARPSSPGSAACAPGRPCLVRQLACPAVLASPVRRGEEGVEMGQPCGALPRQVQEGPTLGVADLLKYKTLINQALKVALLCVLNNPKSRPPMRVVVKMLLEAKEENKLMLKLAPPNI >KQK91777 pep chromosome:Setaria_italica_v2.0:IX:51736211:51746209:-1 gene:SETIT_034409mg transcript:KQK91777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSAASIPNAFLLLILLVDATASAAASVPAGDGDVNTTVFTSGAATSSPRDDTEMYICYLCTGRNPLLIRYCPIYWDECHLVCYADADTSAAATASAIPAAAAPPSLGPPADPATGVRDEECYVMKLYRNGSYTIVSRLGCSQIARCLLSCGGVRMRDTNFGFPRVPPTSSSPPAEAAAEPSPKRRRVGEAAEEPPTPPEMREEALERLRGVVRDSVGKHLYSSAIFLADKVAAATGDPADLYMLAQALFLGRHYRRALHLLNNSRLLRDLRFRFLAAKCLEELKEWHQCLLMLGDAKIDEHGKVLDQDDDSDIYFDKDAEDHEINIKSAICFLRGKAYEALDNRDLARQWYKAAIKADPLCYEALECLVDNYMLTCEEESELLASLQFRKEDGWLSAFYSCLIRKHEKEYVVEAKFKELERESCSISSSSSGETLKNNIDVLACKAEYYHQSGEYQKCWQLTSSLLERDPFHLKCTLVHLAAAMELGHSNDLYLLACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFGKATGLDGTFPPAWIGTGIAYAAQEEGDQAMAAFRTAARLFPGCHLPTLYMGMQYVRMHNFKLAEQFFTQAKSICPSDPLIYNELGVVAYNMKEYQKAVQFFELTLGHTSSSQNEMWEPTLVNLGHALRKLKKYDNAVSYYEKALTFPTKSLSAFAGLAYTYHLMDNFEAAINYYHKALWLKPDDQFCTDMLTYALESSCHSTARRRIV >KQK87431 pep chromosome:Setaria_italica_v2.0:IX:7285595:7286599:-1 gene:SETIT_039880mg transcript:KQK87431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTVRERRLPQLHISLDVPSCAFRHPNPPPVAAPASTSASRADCEFRLSDFERVAVLGRGNGGTVYKVAHRRTSALYALKVLHRGDPGAASEVDALRRADSSPHVVRCHSVLPAAGAGAGASGDVALLLELVDGGSLDAVVARRGAFPELALAEVAAQALAGLAHLHARRIVHRDVKPANLLVSAAGEVKIADFGIAKVLSRAGDHCAAYEGTAAYMSPERFDTERHGHADPCAADVWSLGVTILELLMGRYPLLPAGQKPSWAALMCAICFGELPSLPDGAASPELRAFVAKDYTKRASVAQLLAHPFVAGRDVAASKDALRWLVAGA >KQK86229 pep chromosome:Setaria_italica_v2.0:IX:867179:868585:-1 gene:SETIT_037440mg transcript:KQK86229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPMALPLPTSASTTLPPFRASLPTATRLPSACPCPRPRAVSAGYAASFYSGSAAATGGAEDEEVGDEGGSASGFGAGLGLGGGGLGMSAAEAALALEEREMPPCPPGLRQYETMVVLRPDMSEEERLALIQRYEELLVTGGAMYVEVFNRGVIPLAYSIRKRNSRTGLPSTYYDGIYLLVTYFTKPDSLSTLQQRLNADDDVIRSTSFKVRPRKAF >KQK92984 pep chromosome:Setaria_italica_v2.0:IX:58167199:58169742:1 gene:SETIT_036928mg transcript:KQK92984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSVVVNCGSASALAQSLGFNTALMPPWRRRAFSEGYIWPAGLARAHLAEANNQLPYLLPTKECDGYGKERMVVEAVCAVRNDCRRRRVYPPPSSFHPRESKAAPLAPSKKGEEQMKAGRKNLRRACDEGAAVTLAEGESIMQVLTLRGSNVIEVMDGEGVKSLALFPAKFQRSFWIKSGSFVVVDASGRDQALESGSKIACVVSQVLFHEQVRALQKSGNWPAIFKSTANEGSQAGTQVQTTQVDEEQDSDEDDDLPPLEANTNRNRLYELYSDSDSGSDS >KQK86431 pep chromosome:Setaria_italica_v2.0:IX:1843820:1847776:-1 gene:SETIT_034612mg transcript:KQK86431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKMWPYKVIPGPGDKPMIVVQYKGEEKQFSAEEISSMVLIKMREIAEAYLGLTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKNKKDITGNPRALRRLRTSCERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQDAEKYKSEDEEHKKKVEAKNSLENYAYNMRNTIQDEKIASKLPADDKKKIEDAVEQAIQWLDSNQLAEVEEFEDKMKELEGLCNPIIAKMYQGAGADMAGGMEDDAPAAPGGAGPKIEEVD >KQK90623 pep chromosome:Setaria_italica_v2.0:IX:44018420:44027962:-1 gene:SETIT_033878mg transcript:KQK90623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKVFGTGVFEFRHPRAAEYPLPADAAPATTAAPDKVPASTGGASITLLDIQRDRLTRVAVEHWGAAAAAGAFDADLVREIYATELRVEGRGRKTVPLHRVMILEVSQYLENYLWPHFDPANASFEHVMSIILMVNEKFRENVAAWTCFHDRKDAFKGFLWRVLKLKEEERALNMAEKTNYLLFMINAFQSLEDELVRETILQLVSLKLWNTLSFGRLQMELCLNPELIKKWTKIKRREAKEAKKADQPTNPSETLENKFLRNLIEEFLEILDSKVILSSQDGGEESVLNEPLGGQVDDSCVLYCERFMEFLIDMLSQLPTRRFLRPLVADVAVVAKCHLSALYTHEKGRLFAQLVDLLQFYEGFEINDHSGTQLGDDDILQAHYSRFQAFQLLAFKQVAKLRDFSLSSIGSLHKRADLTKKLLVLSDVELQDLVCNKLKLISEKDPCSGRRDFLIEVLVAFFEKRQSQKDAVNALPLYPNEQIMWDESLVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLHAHINNEGETAFRGWSRMAVPIKEFKIKEVKQPNIGEVKPSAVTADVTFSISSYRHQIKSEWDALKEHDVLFLLSIRPSFEPLSPEEAAKSTVPERLGLQYVRGCEVIEIRDEEGTLMNDFTGKIKREEWKPPKGEMRTVRIALDTAQYHIDVTETAEKGAENVYGTFNILMRRKPKENNFKAILESIRDLMNETCVVPEWLHNIFLGYGNPSAAQWINMPDLLEVIDFKDTFLDANHVQQSFPDYQVTFINSDGTENLHPSPPFKIRLSKKLRESSHALPGNVNSSLSVKNNDNMADGESQKEKLIVETYIPADPGPYPQDKPKQNSVRFTPTQIGAIISGVQPGLTMVVGPPGTGKTDTAVQILNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLGEVSKLARSLRLPEDVGYTCETAAYFWLLHVYARWEQFLAACAQNQDNPTFVKDRFPFSEFFSDTPQPIFTGESFEKDMHAAKGCFKHLSTIFQELEECRAFELLKSTVERANYLMTKQAKIVAMTCTHAALKRRDFLQLGFKFDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRELGDLPYVREQAIFHKANAGFSFEYQLVDVPDYKGKGESAPSPWFYQNEGEAEYIVNIYIYMRLIGYPANKISILTTYNGQKLLIRDVINKRCKPWNIEPPNKVTTVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFQLLLQRPDKLALNLEECTPFTERPLGETGNIHYVTGIEDIEHLVKFRLEHLSQMQYMQYYAPPANELPPAAPENIADVVPPENGSVLNQPKEHMAVEENGGASDTTVSNKMEEDAVEAKDGTMQEGNKMSEGNRDGDVAAKDKGEEHDDANDKMEEGDASLKDKIEEENSEPKDKMDEE >KQK91490 pep chromosome:Setaria_italica_v2.0:IX:50183126:50188475:1 gene:SETIT_036494mg transcript:KQK91490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGSARGFNRRVVAMILPARKVRRTRPGSIMYFNYLKMVAGWSVSDPITAQKFLPDGRKIFWAFHQLAEPRPARSKRVFPTSACPLPSTISMGSSEADEDQLLKSFLAEVSEAERDNEVLRILGCFKLNPFEHLKLSFDSSPDEVKKQYRKLSLLVHPDKCKHPQAQEAFAALAKAQQLLLDPQERGYILDQVTAAKEELRAKRKKELKKDSASKIKSLVDEGKYEEQFERSDEFQQQLIIKVREILTEKEWRRRKMQMRISEEEGRLKKDEEETKEMWKRKREHEEKWEETRDQRVSSWRDFMKTGKKGRKGEIKPPKLKTEDPNKSYVQRPVKPK >KQK88073 pep chromosome:Setaria_italica_v2.0:IX:11309269:11309680:-1 gene:SETIT_040757mg transcript:KQK88073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRAPEQKFLTDYVRMRAELHCMPIERLYCMGNQRRRRRPAACTLT >KQK90954 pep chromosome:Setaria_italica_v2.0:IX:46804828:46806785:-1 gene:SETIT_035416mg transcript:KQK90954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKAAMPMKQQTVVLYPSPGVGHIVPMVHLAKVFLAHGFDVAMVIAKPPAGSPDFRIVDVGDLAASNPAITFHVLPPVPDADLAVPGKPPFLLTLQVLRRYDGELERFLRSIPRRRLHSLVTGMFSTSAVDVGARIGVPVYAFFASAAATLAVVAQMPALLAGRPAGLKELGDAPLEFLGVPPFPASHLVRELLEHPEDELCKTMVDVWTRNTDAAGVLVNTFESLESAAVRALRDPRCVPGRALPPIYCVGPLVGGDGTGSADQGRAGAERHECLAWLDAQPEGSVVFLCFGSRGTHPPEQLREIAGGLDRSGHRFLWSVRTPAGAGDPESLDAFLPEGFLERTKGRGLIFRSWAPQVEVLRHPATGAFVTHCGWNSTLEAIKEGVPMLCWPLYAEQLMNKVFITEDMGVGVEMEGYRVGFVRTEEVETKVGLVMESDEGRVIRARAVARKKEAVAALEDGGSSRASFARFLFDVENLDKQLGK >KQK86118 pep chromosome:Setaria_italica_v2.0:IX:357890:360598:1 gene:SETIT_037242mg transcript:KQK86118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTSRADGRNPNQLRPFKCTGNPLNRAHGSARWAQGDTVVLAAVYGPKPGTRKGENPEKASIEVVWKPKTGQIGRQEKEYEMTLKRTLQSICVLTVHPNTTTSVVLQVVGDDGSLLPCAINASCTALSFAGFPLKHLAVAIGCGVMENGAVILDMNTAEEQQLKSYVHLVFPNSRKLVDSKESKQKDEEFERGLITSITHGVMSEDDYFNCIERGLAASSRVSDFMRKTLKKAVPSIVDA >KQK87249 pep chromosome:Setaria_italica_v2.0:IX:6268406:6268904:-1 gene:SETIT_039397mg transcript:KQK87249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SNRTCPPSKLALPVTFLLCGLMVIGCSIQSAEAKPGRVCAMYCLNGTYMTCPPKDPEKLPPACNCCLAKKRGCTIYLSDGGALYCMGRLLQE >KQK87632 pep chromosome:Setaria_italica_v2.0:IX:8321667:8322485:-1 gene:SETIT_039596mg transcript:KQK87632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAETSRRAAGDGRSHMKLLCSHGGRLIPRGPDGAIRYVGGETRVLAVPRDASFRDLTRRLEEMAGGAEVRAVRHRLADEGLEDVTVSVTCDEELAHMRDEYDRLRATRPAARFRVFVTTAAAASGGGGVVQRGRSAAAGLPPLAPKMRRVQSEQAQLHRRPAYPTAPSLPEFAGRLHAQPSLHHHHQQQQCCCCSCRRPTYPAPVPARPMHAAPYMSKKASAAPSMPAAKATGRVVFLTDAGSEKARSRDSQAAMERRRAIWEFE >KQK88068 pep chromosome:Setaria_italica_v2.0:IX:11272669:11274149:-1 gene:SETIT_037821mg transcript:KQK88068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNTSPWKLTCVKFLTILWRAKTLVVYLSLHTLYHVGTLSKPQKKIVEERVRAIQAKFPICVAVMKNNNGVAQKWMLELGVRYAAAHLPASGQAVALQCMGKTWITQMVIHNGRRWFLNGGWARFARDNGLRVGDICLFELKKNKRKLTMKVHIISREQSSLK >KQK87433 pep chromosome:Setaria_italica_v2.0:IX:7294995:7295993:-1 gene:SETIT_038892mg transcript:KQK87433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAREKRLPPLHLSLNVPSRPAVQEPAFRHANPPVAAAQPASTPLARSSQFRLADFDRLAVLGRGNGGTVYKVRHRETSALYALKVLHQGDAAAEADILGRTASPFVVRCHSVLPAPASGDVALLLELADGGSLDSVSGRRGAFPEAALAEVAGQALSGLAYLHARRVVHLDIKPANLLATTAGEVKVADFGIARVLPRAGDHCTSYAGTAAYMSPERFDPEAHGGHYDPCAADVWSLGVTVLELLVGRYPLLPAGQQPNWAALMCAICFGERPALPDGAASPELRSFVAACLQKDYRKRASVAELLAHPFVAGRDVTRSRRALRELVAEA >KQK92689 pep chromosome:Setaria_italica_v2.0:IX:56792827:56794605:1 gene:SETIT_036470mg transcript:KQK92689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDEETFLDELMSLRREASASAPWQGYQPGGGVMMSDLLFYGGEAGADATSSGGMDLSPFQELAPIQPVAPPQRPHEDFNFDCLSEVCNPYRSCVAVPEEAAPGQTLVAPLHDAMAEEETSGDKGLYYGGGGSPTFVFGGGAGESSEMAIIRGVGGPHHRSKLHGAPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELTERIKVLEEEIGASPEDLNLLNTLKDSSNSSNEMMVRNSTKFDVENRGDGNTRIEICCPTNPGVLLSTVSALEVLGLEIEQCVVSCFSDFGMQASCLQEDGERQVISTEEIKQALFRSAGYGGRCL >KQK88712 pep chromosome:Setaria_italica_v2.0:IX:16436176:16437336:-1 gene:SETIT_040006mg transcript:KQK88712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWERDWASLERGLLHDVFTRLPADADAASFRHVCRGWRTAAGPGALVPGPWFVLQSSAAGGHGAFVRPAGRRRVKPVRLDAAKAPVCASRGWLAVEDGGRLLLREPISRAEFPLPDFEDPEYQDYQLSDIFLSDDPLDAPGRWVAFAFFKMKHVTYAGHVLAFCRPGDGEWARFDLDGQYAGFYWGLEFFRGRAYVLVGISCRIAVCDVDARTLVASSVTLEHVMEWPWRDAQVHLVECGGDLLAAAVSHYEDRMPPSCCARLRRQPRTVGVRVVKVEFAAGGGGAMPVALSDVEGTGDYALFVAPRGHAFALPASGFPAVRPSCVYHFDTNMTTKSASGMIITDLLDHTPHQHRPLRKLPLAGKWHPLSWFRPRCPSFDTTPARRR >KQK88614 pep chromosome:Setaria_italica_v2.0:IX:15525203:15529152:-1 gene:SETIT_034972mg transcript:KQK88614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWALTPVDTVRGTQKYYIFAAGTYKVGRKDCDVIVQADTSISRVHAEIAIEKMVAWDPNSGGPASPSYVRVVDRSKYGTFVNKVHETQGSRLHKDEDVMLIDGDTVTFGTGNATFRLSFVPIVAFFHGGKSARIDRSLHAVMTSIGAYATRKWSDECTHVLADESCSLTPELLDAVIGKKQIVLGDWFKAMAEKNIRTEIPSCTQYIPNLTLDGTVIKMVEINLIQNCLAGYTFILGPSDKYQFGEKLHGLLESTGAKYLHIDEFCPNSQDSVAGDTDQQILVVPARYPLEFSKIRVLFPLSKISDVKLFAAMLSGRLEATSIEPPAFIVTSSNSTDETIVEDSDVEMEMATSNPTGAANKSQNHIENISDDEKEITNITDEAAVTVSGTKASVVQPNDPQKVEASKPMEDDVKVIEKTAIYRSKARDEDVRVINKVPKDENLDISRDGACDVIFSQDLVVKRLPRPAPAAATEVRGVNFKRFRKRETVSGNSFKDLVPFAREPYRESDYEAGTVTDFMREEKQRKQMEAIAEDLFNNAKSKKRAAAGSSIQTLLTGCR >KQK88321 pep chromosome:Setaria_italica_v2.0:IX:13147195:13148710:-1 gene:SETIT_036927mg transcript:KQK88321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQASIAEAFRKQSEVIGRKSTAKATAAAFVRRSRRNIRANGHSTYFRGRGRASSDDAALACSDDEEDGNGENGGKEESSAEESSPEKKQKRPPKWPTPRSSPARAACNEEVASDDKDDVGISRENFSTSPLRAWGKNGTRSQTRYSSFSGSNGRVVKGGRMIKLVECLRNSDDNDSERDIHLCLLPLDGQTTPNLEKPYLCCGPTLSIKQLCQFVATQTSHKDEEVEIYALKPSYSDLVSTNTSGFDKARLVGEERLSDLRSSFTFPNGVLELVYAIKVAN >KQK92330 pep chromosome:Setaria_italica_v2.0:IX:54960278:54963976:-1 gene:SETIT_036414mg transcript:KQK92330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAATSTAAALLAPRLTCSAIRARLSSSRLPLRRIAAMAAAPSSFRPEEARSPPALELPIPPLSKFKVAMCQLSVTPDKARNIAHARAAIEAAAADGAKLVLLPEIWNGPYSNDSFPEYAEDIEAGGDAAPSFSMMSEVARSLQITLVGGSIAERSGNNLYNTCCVFGSDGKLKGKHRKIHLFDIDIPGKITFKESKTLTAGQSPTVVDTDVGRIGIGICYDIRFQELAMLYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADNQLFVATCGPARDASAGYVAWGHSTLVGPFGEVIVTTEHEETTIIAEIDYSLIEQRRQFLPLQYQRRGDLYQLVDVQRLGSQ >KQK90102 pep chromosome:Setaria_italica_v2.0:IX:39588241:39592593:-1 gene:SETIT_036008mg transcript:KQK90102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAVMEYLRSCWGPSSPAGRSRRGSDAAGRQDGLLWYKDGGRVVDGEFSMAVVQANNLLEDHSQVESGPLSATEPDLQGTFVGVYDGHGGPETARYINDHLFNHLRRFASEHKCMSADVIRKAFQATEEGFISVVSSQWSLSPQLAAVGSCCLVGVVCSGTLYVANLGDSRAVLGRLVKGTGEVLAMQLSAEHNASFEEVRRELQASHPDDPHIVVLKHNAWRVKGIIQITRSIGDVYLKKPEFNRAPLHSKFRLQETFRRPLLSSDPAITVHQMEPTDKFIIFASDGLWEQLSNQEAVDMVQSSPRNGIARRLVKAAMREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFFDSNDMATAAWSRPTVSLRGGGVPIPPNTLAPFSVPTELNSSY >KQK89860 pep chromosome:Setaria_italica_v2.0:IX:36541597:36541693:1 gene:SETIT_0338252mg transcript:KQK89860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEDQVAFLLQKYLGNYVRGLNKEALKISVWR >KQK86234 pep chromosome:Setaria_italica_v2.0:IX:878386:880162:1 gene:SETIT_036961mg transcript:KQK86234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLRAGGARGENNSEAGESSEEAVGSPGRQQPASRAQATKSRIRGEAENQVARSAAAMSGRRPATWEQAGDEYDYLFKVVLIGDSGVGKSNLLSRFTRNTFSLDSKSTIGVEFATRTIQVEGKTIKAQIWDTAGQERYRAITGAYYRGAVGALLVYDTTKASTFENARRWLKELRDHADANTAVMLIGNKTDLAHLRAVAQADAAALAEREGLSFVETSALDATNVDTAFETALTEIYRIVSKKALAASSAGSVGEGQSIQVSAGDSGGLTTRCCGF >KQK86414 pep chromosome:Setaria_italica_v2.0:IX:1720828:1721125:1 gene:SETIT_040697mg transcript:KQK86414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCIPLASSSSTADAMTRLLCPLPPSTTRPWTTISMRWPYESMEGIG >KQK89428 pep chromosome:Setaria_italica_v2.0:IX:29057597:29065038:1 gene:SETIT_037422mg transcript:KQK89428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLYPFADVAADGAPRLDATAEEELVRVERAAALALGPRAPEPPGTLFLTTRRVIWIGEGGKGYAVDFIAVSLHAVSRDPEAYPSPCIYTQIETEAGSDEESDESDSEINGEIEVSKVTEMRIIPSDPGQLDGLFEAFSLCAELNPDPNAESDEENGWVHGDEGDEDMTDGSDAEFSDVNPIGQTDDRDITHAVVELQINDQRFQDAEEADEESNRNWH >KQK89367 pep chromosome:Setaria_italica_v2.0:IX:25583487:25586699:-1 gene:SETIT_039560mg transcript:KQK89367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EELEDSDSSAHEPETTTSGCQSKKKWGQRGRNQYPEGQWRVDVVSLVGEPIEPPLVRSVFHNAIGTIIRTKEILDSLISNWLFVPEGRKVEMRKHLKQTFILPKSKELKKCGELNDKYVKKSLTPFNEYGSITQAQWDEFVSQKNRELVVSNIHKLCLGPSGYREKIDKWWQDREAAIVVGQTDPYECLDEHGWQWLQAREPTIVDGKCTFSQPETNQVILSISTRCMLHIPVGRASKTKEVAKGLAILVGSLYEGKPIACLYACRYSKLHTNIEYNVIIGVDDIPYLLDCAKKFEYGKPLLPDSQSYWFQVKCKRLHSWYIRACRLGLRTIWARYSSDVFGPPFDDEANLIFDFQDIHDMFCLSELELELVKLWCMMQENDVHVVKENPGYLDPYAICKVKNNFPSKWRENHDKLAKYYVREHIRVHERYTTDPRRTRPQLHSSCLHEKQLLNIGTDLCRFILHEVVNPLGTFYHPEHELAQDDEWENPLYHRG >KQK86645 pep chromosome:Setaria_italica_v2.0:IX:3096589:3097670:1 gene:SETIT_039411mg transcript:KQK86645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRVVLAAVTAGVTALPGGCTQRAPTNDRDPLALYPSPPAAASWAASFTERMAASVAWPTPPLCALVPGQEPIIPHRRRIRALARLLQRTRVDGLLVREEFVEFIQKRTIDSRLNLTTLLSVPVLAMVTKRMTKGFPGMGKVVSKPSP >KQK87902 pep chromosome:Setaria_italica_v2.0:IX:10061691:10062314:1 gene:SETIT_038678mg transcript:KQK87902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRSTRPHHHRRAEASSPATSLAVAAARAQEAPRHRPRVPVQVREPQDAAQQQLRRSAAFPPRRQLRRPPQRCDSDLNIREHRSCSEVAGGTAAGCAAVCCCFPCVMVEVVVLATVRAPAALCRRAARVRRGRRRSSSAGQATEIYELLVDDGGIVEVDASAAEAVALPVTHALEETGELEKEVWARFYGAGFWRSPSNLGEEDR >KQK91392 pep chromosome:Setaria_italica_v2.0:IX:49550890:49553905:-1 gene:SETIT_037798mg transcript:KQK91392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSSTPADAAAPSPAAAATGEAAASPASSTPAQNPTAAATAAAGATDLEKKMRRAERFGTAVVMSEEEKRSSRAERFGTGSSNVKDEEKKKSRAERFGLASSSSSDEEAKKKARLERFGQGTNVDKAEEEKRKARAARFAETSSGSPRENGKDNSKPDAATVTGTA >KQK92898 pep chromosome:Setaria_italica_v2.0:IX:57763420:57764485:-1 gene:SETIT_037846mg transcript:KQK92898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACSRCLQAVLLWAALSPWTLALEAAAAVPAKLKAAPAPVVAGPVSKVEDARMFQIYYGQSFKVIKNSGDGKSYLLMQNTSKMASKTKYCTGRIKSFVIPLANFSVDTTASPVSFFEVSNAGYKLRLHCSIVQWPMGPSAGEKKEQNLINIYIQFLI >KQK88269 pep chromosome:Setaria_italica_v2.0:IX:12755603:12757522:-1 gene:SETIT_034731mg transcript:KQK88269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSSLPPPTIPGSKPPPKLRPAVLAASAPTATTSTDAAAGGRLSALIRSLCAAGRTAEAARALSAAGDGAGIVAYNAMVAGYCRAGQLPAARRLAAAVPVPPNAYTYFPIVRALCARGRIADALAVLDEMPRRGCAPIPPMYHVILEAACRGGGFRSAVRVLGSLHQRGCTLDVGNFNLVLNAICDQGSVDEAVRLLRDLPSFGCQPDVVSYNAVLKGLCMAKRWGEVEELMEEMVKVDCPPNIVTFNTLIGYLCRNGLFERVHEVLAQMADHGCTPDIRMYATIIDGICKEGHLEVAHEILSRMPSYGLKPNVVCYNTVLKGLCSAERWEEAEELLADMFDKDCPLDDVTFNILVDFFCRNGLVDRVIELLEQMLEHGCMPDVITYTTVINGFCKEGLIDEAVMLLKSMAACGCKPNTISYTIVLKGLCSAERWVDAEELMAQMIQQGCPPNPFTFNTLINFLCKKGMVEQAIELLKQMLAGKTDEALELLNVMVNKGMSPNTIIYSSIACALSREGRINKVIQMIDSIQDATIRSDPVLYNAVISSLCKRGETDRAIEFLAYMVSCGCMPNESTYTILIRGLASEGFVKEAQEMLSELCSRGALRKQLMKHFGIE >KQK87679 pep chromosome:Setaria_italica_v2.0:IX:8653430:8654530:1 gene:SETIT_038620mg transcript:KQK87679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDGITKDKARDDGAKMRPDGKHSKDGKEEQALGEKTRVKWPLQRRTTAGKKEKTRVKRSLQPRCRTGGSTLLLVWMMILVRAHAVQCGYVPVDVTTEEFYLTERPHAEIFGIIYNIAIDVIPRLEVRGHHFLGVKDPERLDLGGDGSAPGRWIKVPLRGETDEDRVTLCFADTDLYLLAFMNNVGTWHCFRGYENMFPGCVVLNPVTDKNHPDFWNEQYPQLITDGFKKMHTVPLGKRSALEAARILGRHRHGTTPLPELKSALLRSMIMFSEAFRFRVIREAFSGDLWETETHLTQPQAALVVNWTNISVLLWKWDREGKWPENRLSRAVKDETEISSAEEALDVVDLLRRPRAVRIRVAGKGD >KQK88909 pep chromosome:Setaria_italica_v2.0:IX:18458667:18459337:-1 gene:SETIT_039881mg transcript:KQK88909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSTSGGRKHGGRKNNDHWTQDEVRLLVNGVSEYGVGKWRDVRTKYFLTSIRTPVHLKDKWKNLVKACKKVLFSDIYISSSYFVIAAAMQLLCHSLTPQESGRMLLPLEQSLIERIMEIDDYDPYPKQSNSAPDRLAPTTSPDLPSVLPPARWSVVKARTERPSMHKSKNNNQRTSIDTNKDL >KQK89150 pep chromosome:Setaria_italica_v2.0:IX:21359837:21362475:-1 gene:SETIT_036641mg transcript:KQK89150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQARRVLQVAPPGKGDGEAFPTVQAAVDAVPLGNRARVVIRLAPGVYREPVYVAKTKNFITIAGAAPEGTVISWDNTATRIKHSQSSRVIGTGTFGCGTFIVEGEDFIAENITFENSAPQGSGQAVAVRVTADRCAFYNCRFLGWQDTLYLHYGKQYLRDCYIEGHCDFIFGNSIALMEHCHIHCKAAGYITAHSRKSTSESTGYVFLRCIITGNGEAGYMFLGRPWGPFGRVVFAHTFMDRCIKPSGWHNWDKSENERTACFYEYRCSGPGSRSSNRVAWCRQLLDVEAEQFLSHTFIDPDLDRPWLRQMMATRVPASA >KQK90829 pep chromosome:Setaria_italica_v2.0:IX:46054284:46055305:1 gene:SETIT_037263mg transcript:KQK90829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGGCLLAPYFCAALLSRRGRRAARLVLWGGESRAARHGKLAGQVMLDFAGTVVCRADAFYLGRPAPVLAIEDRLLAGGTYLVLPVERLPQGYDALTAASLAALSYDRAAAAGGGPSIAGGPKSPFEYVKGDDGRTVIKVTPEFLIGAITSSRAAGADREGGGEEACAGTLCSTPELRKHYEQLVGAGRGARAWSPRLDTIKERKGRRGFAAVSPGRLSPVAVRLLSRLDGKGDR >KQK88337 pep chromosome:Setaria_italica_v2.0:IX:13332614:13333854:1 gene:SETIT_037451mg transcript:KQK88337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSRPAAYHAPNPSRPHPTAAMADPRMFPSGSDDRAEASDASRRLYNPYQDLNMPNSYRTLYDLPTSPEFLFQEEALAQRRSWGENLTFYTGVGYLSGAVGGAALGLRDAARGAEPGETAKIRANRVLNACGSSGRRVGNTLGVIGLMYAGIESAMVAARDRDDWINSVAAGLGTGALFRAANGPRSAAVAGALGGVLAAAATGGKQLAKRYVPVI >KQK89334 pep chromosome:Setaria_italica_v2.0:IX:24480807:24481178:1 gene:SETIT_038788mg transcript:KQK89334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKSYRAPNADSVLCTYLPEIHSLPSPACSEMTGKATARVDGMKWEAEETTFLAEAERAYQAAAWSKILAAQTSAGKQNKALEVLTTDVQCQLNTMESSQKEKMQP >KQK92045 pep chromosome:Setaria_italica_v2.0:IX:53372740:53376187:-1 gene:SETIT_037656mg transcript:KQK92045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASRPVVHPMEVAAPAPAPANPAQQPPGVLMKDLPGMPGTPSGLGLRVTQLLFAAVSLAVMSSTSDFASVSAFCYLIAAAILQCVWSLSVAIVDIYALLVKRCLRNRRLVTLFAIGDTITCGVTFSGACAAAGITVLIDNDLNICSENHCSSFMTAVAMAFMCSFSLSPSFVLNLYSVASTRVLAAG >KQK89142 pep chromosome:Setaria_italica_v2.0:IX:21304113:21307781:-1 gene:SETIT_035152mg transcript:KQK89142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAQPMEYAGSVSSGQKHLGYFGVHTSSRLQCVGYDKKSRTNQLVIRAISVDRPQLDFSNPNWKKQFQEDFDKCFSLPHLRDVIDVEPRPTTFSLKSRIPLENVNGSMQESWNGYVNDDDRALLKVIKFASPTSAGADCIDPDCSWVEQWVHRAGPRKQIYFEPQYVKAGIVTCGGLCPGLNDVIRQIVLTLEKYGVKNIVGIQHGFRGFFEDHLSEVPLSRHVVQNINLAGGSFLGVSRGGANISDIVDSIQARRLDMLFVLGGNGTHAGANAIHEECRKRKLQVSIVGVPKTIDNDILLMDKTFGFDTAVEAAQRAINSAYIEAHSAFHGIGLVKLMGRSSGFITMHASLSSGQVDVCLIPEVPFTLDGPNGVLRHLEHLIETKGFALVCVAEGAGQEYLQKSNATDASGNMVLSDIGVHLQQKIKSHFSNIGVHSDIKYIDPTYMLRAVRANASDAILCTVLGQNAVHGAFAGFSGITTGVCNTHNVYLPIPEVIKSARFVDPNSRMWHRCLTSTGQPDFH >KQK90032 pep chromosome:Setaria_italica_v2.0:IX:38759529:38761229:1 gene:SETIT_038934mg transcript:KQK90032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFDHWTTRDLGERQPTSWRSTDVLGKPKKTMKKCVRRLNMLSFTYPPEFNTGENQRLRGLNAKLLDVFRLIAHSYDCLAEEQDKYDNLLTVWLNQERIDSTKATLRDLRAECEALLAEVSAIGGEFYIPPKLQGKVRLKKYVPVGSLQHLTGADHFFQEQLPDGALGENHERDVGSINRLSFQVNRMAFLQACGVEYAQTKLYKGNIFVKSNVLLAVR >KQK89411 pep chromosome:Setaria_italica_v2.0:IX:28010472:28010561:1 gene:SETIT_0396612mg transcript:KQK89411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCIHDYRQFHEGLLLKLPFTTIFEYLQ >KQK89540 pep chromosome:Setaria_italica_v2.0:IX:32661589:32663434:1 gene:SETIT_036397mg transcript:KQK89540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLIAAVVVLAGAIIPAAGANVVARAHPPAVAPSGPKVPALFAFGDSIVDTGNNNYIRTITRSNFPPYGRDFPDHMATGRFSDGRISMDFLASALGLKEMLPPYLDKNLTMDELRTGVSFASAGSGLDNATCLTAAAMTVEQQLQLFMEYKTKVGSIPGRSLYLICWGSNDVVQHFTFNDGLSDPDYADLMTQRASNFIQRLISLGARQIAVTGVPPVGCVPAQRLIGGGLLRRRQCAEGLNQLAMLYNRELNQEIDKLARRFRDVNLVYIDLYAILADIIQRYQELGFKNGKDACCGVIGLESGVLCNFMSPVCENPAQYVFWDGYHPTERAYKIMIDQLIARYIRFLR >KQK90190 pep chromosome:Setaria_italica_v2.0:IX:40495655:40496304:-1 gene:SETIT_040518mg transcript:KQK90190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSQVSWSRTTSRHLSILPALPQMMLWRVCHEAMWVWQKKPSMR >KQK92530 pep chromosome:Setaria_italica_v2.0:IX:55968074:55978683:-1 gene:SETIT_034184mg transcript:KQK92530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAGWAPARSVERHGAPRAGGSTRSKSVAPGPRRPSPSPARSRPAPDHGGSADSCRVRVAVRLRPKNSEDLAHGADFDSCVELQPESKRLKLKKNNWSCESYRFDEVFSENASQKRVYEVVAKPVSVLEGYNGTVMAYGQTGTGKTYTVGRLGKDDPSERGIMLYLESVQDLLAPEKTNIPIVEDAKTGEVSLPGAAIVEIKDLEHAFQLLQIGEANRHAANTKMNTESSRSHAILIIHLQRSSRIKEENCTSLSNDTHDILPDDLPLVLKSKLLIVDLAGSERIDKSGSEGHMIEEAKFINLSLTSLGKCINALAENGPHIPTRDSKLTRILRDSFGGTARTSLVVTIGPSSRHYSETSSTIMFGQRAMKVMNTIKLKEEVDYEVLYKKMEREVDQLTSEMERQQKLIKSEKMQLDKKLKESERSFHDLRMTSNMQIEQQQLENLSKTKILADTSKEHEKERGELLRKLEEERCCSSSMKDRMSVLQQQLCDAQSSAQLQESMARELEKKLTKVSEEFASQVQSLKEKISELISEKEVIYEELKSTQEKVQQEMRQRQGLEDQILRLKQSTSDNCADESKTSCGMVRSGSGLGNAAFVSKSGKIREALSGQRGTISKIFEEVGLANVLALLKSEDLDVQIHAVKVVANLAAEDINQERIVEEGGLDALLSLLETSENTTIHRVTAGAVANLAMNGSNQGVIMNKGGARLLANVASKTDDPQTLRMVAGAIANLCGNEKLHLMLKQDGGIKALLGMFRSGHADVIAQIARGIANFAKCESRVISQGHRKGRSLLIEDGVFTWMVANSTRFSASTRRHIELAFCHLAQNEDNTCDIIASGGIKELLRISRESPREDTRNLAKKALDSNPAFLREVQ >KQK88424 pep chromosome:Setaria_italica_v2.0:IX:14131492:14135528:-1 gene:SETIT_034798mg transcript:KQK88424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLLALVAALLALRPALATDPYAFFDWDVSYVTAAPLGVKQQVIGINGKFPGPVVNITTNWNVVVNVLNDLDEPLLITWNGIQHRKNCWQDGVLGTNCPIPSGWNWTYEFQVKDQIGSFFYFPSTGLQRAAGGFGGIVVNNRDVIAVPFGRPDGDITILIGDWYNKNHTDLRKMLDKGKDLGMPDGVLINGKGPYRYNDSLVPAGIEYETFNVHPGRTYRIRVHNVGTSTSLNFRIQGHNMLLVETEGSYTTQQNYTNLDVHVGQSYSFLVTTDQNASSDYYIVASARQVNESLWRRVTGVAVLRYSNSGGPASGPLPDPPQDRDDRTFSMNQARSVRWNLSAGAARPNPQGSFRYSAINVTQAYLLRSTAPVSIGGRRRATLNGLSYAPPETPLRLADAYGVEGVYTLDFPERPPPGAAPRIARSIINGTYRGFMELIFQNNDTRMQSYHMDGYAFFVVGMDYGEWTEDSRGTYNKGDGVARSTIQVYPGAWAAVLVSLDNVGIWNVRSENLDSWYLGQEVYVRVVNPEDTGNKTEMAIPGNALYCGQLHKYQKEQTPHHKMGVSAAAPRSSFAARRLVSAALLLAGSFVLAP >KQK90336 pep chromosome:Setaria_italica_v2.0:IX:41712116:41713161:1 gene:SETIT_037429mg transcript:KQK90336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGVEALVDPTALSLALPMPTPALKEEDYLAICLAALAGTRGTGLKPAGFGQHGKWCPQPIAAPPPPPAREEELRFRCAVCGKAFASYQALGGHKSSHRKPPTEQQRAAALAAAAAQAAASAGGLDETAPGPHRCTICRRGFATGQALGGHKRCHYWDGMSVSVSVSASGTTGSSGVTVRDFDLNLAPLPESYAGMKRWAEEEEVQSPLPIKKRRMLID >KQK92289 pep chromosome:Setaria_italica_v2.0:IX:54741166:54744137:-1 gene:SETIT_035105mg transcript:KQK92289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAVTQEARRTTRQAVPASSRVAIIGGGISGLAAAKQLAAHDPVVFEATASVGGVWKHCAYRSTRLQTPRPDYEFSDYPWRNREDPTFPTHAEIVEYLEGYADAFGLWRYIALGAKVVDVKFLGGRAAGFTELWSGTGEPLQGKPMWEIGVATAGSDDVRYYQFEFVVMCAGKYGDVPRMPVFPPGRGPEVFRGQVMHSLDYCKLTEEETVELMRGKKVVVVGYKKSAIDLALECAEANQGEGGEACTMLVRTLHWVVPSYSIWGLPFFLFYSTRLSQFLYERPGQGILRSMLCRLMAPLRAGVSKFIESYLAWKLPLDKYGLRPNHPFVEDYASCQMAILPDGFFDMADRDMIRFKRAPGGWCFSRDGVLLDDGTEVKADLVFLATGFEGKDKLRAVLPQPFRGLVVDKSGMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLDGRFALPSVEDMVRHVDGEAEAMRRTTRFYRRHCISTYSIHDSDAMCADLGTRVLRKGNLLAELFAPYNNQDYKEE >KQK91074 pep chromosome:Setaria_italica_v2.0:IX:47625913:47630679:1 gene:SETIT_037475mg transcript:KQK91074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDPGRTPAQGDEAGSTSPWPLRKLQSFTPGLWSQYKVYENAVVESTKGTIADALVLVKEHQAEAIGCATVAGFILFRGPRRFLYRNTFGRFKTEKDLLNDAEESMMEYKTSIANLKKESKYTLDKVAIGESDLQRGQTDLRSTGKQIQSLIGSIYKAESTAAGLMDRLRTIPTRQSLELRAEVASMASDLKNQRYALQERINKISEYGVRV >KQK89698 pep chromosome:Setaria_italica_v2.0:IX:35143972:35145697:1 gene:SETIT_0352502mg transcript:KQK89698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAQAPSGGGAAWRRLLLLLTVLPLTLAALAFVLQWRGSGVDDPTARWPPHAFPGKAAPARLSLPSSDCRDVLVGSSTPSFPYLRGWSFPSDSGSPKVCVQTSTSAGLEQILPWLFYHKVVGVAQFLLFVEGRAAKPNVAGVLESIPGVKVVYRTKDLEEQQARSRIWNETWLSGFFYKPCNYELFVKQSLNMEMAIVMARDYGMDWIIHLDTDELLYPGGASEYSVRHLLAEVPHDVDMVIFPNYESSVERDNIKDPFSE >KQK89112 pep chromosome:Setaria_italica_v2.0:IX:20770422:20776119:-1 gene:SETIT_034446mg transcript:KQK89112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPGDAPLTAANNIQPFFVLHKAAAAAASSVPSSRARRRIDASLPSSPNPKSAKRSRDVDVQDEEDSELYEQLRLEAFHRTWSKIQSTIDEVLRGINLKLFDQVLQWAQESFSAVRAIAKPCHAEVQQPYPLLTDVICRRIPTSFVLTKNAEFVDDITTFRDLAEHLQSNGCHLAKLSAAELSVKHGVGGCFRSLLRQLLSDVPDVADVYSLASWYCEAENYDQPIVIIIDDLEQCSGDVLGELVMMLSEWVIKIPIFFVMGIATTLDAPKKLLSSEALQRLDSCKLTLGSPSDRMNALVEAVLVKPCAGFCISHEVATFLRNYFFRHDGTITSFISALKLACSKHFSMEPLSFLCMGVLEEDCEEFWRGKFEALPQVIWKYAFGLPSCSSAKNSSNSSNDMVKGLSNLLKLQKDWGSVLLCLYEAGRHDKVQLLDIFCEAVNPDLRTQNASNSDLFVSKVNSEKLSGVKPGSGDVFMAQVMNAIRYLPMKTLLHVLEVWSNYVKGISEINEKVKELQSTTIDADSARTAKEKWTRRSTGSAGNGTAPLNEKAAMLLQDVTRKYLVPVECLPFHEIICFKNVSVLQSALIGNPRRMVQLDLLKSQSHLKCSCCSRSGTAVSGSLHDTSIMCNLAQEYGDVINLHDWYISFEGIINSTNSKVKRKSYGSPSKKKSKSTPPESEAMIQARFCRAVTEMQITGLLRMPSKRRPDLVQRIAFGS >KQK86543 pep chromosome:Setaria_italica_v2.0:IX:2539715:2541936:-1 gene:SETIT_037499mg transcript:KQK86543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGMKGFLVLTLGLAMAATSSAVTYKVGDSSGWTILGNINYTDWTTKKNFHVGDIIEFVYPQGIHNVLEVTKDAYDSCSNSTPIATHTSGDDKIAIKRPGHRFFICGVPGHCAAGQKVNIRVLKPRSSDAPSKAPAPAPARSSSAASPSGGSEPSAASPPAASSTDSTPDTPATTAPAPNANGAGVVRAGYRAVAAMALAAVASMAVLQ >KQK90207 pep chromosome:Setaria_italica_v2.0:IX:40626802:40627606:-1 gene:SETIT_037285mg transcript:KQK90207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGENAELKLVGQWASAFVTRVKLALHLKGLSYESIEEDLRNKSELLLASNPVHKAVPVLIHNGKPICESQIIVQYIDETFVGNGPSLLPADPYERAVARFWAAYIEEKLVAPWDQVFRVKTDEERAEAMKQMFAAVDVLEGGLKECSRGKCFFGGDNVGYVDVILGGAASYAKANEALFGAKLFDAAKTPLLAAWLERFSELDAAKAVLQDVDRVVEHGKFLIAKNSARASSNN >KQK90206 pep chromosome:Setaria_italica_v2.0:IX:40626535:40627657:-1 gene:SETIT_037285mg transcript:KQK90206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGENAELKLVGQWASAFVTRVKLALHLKGLSYESIEEDLRNKSELLLASNPVHKAVPVLIHNGKPICESQIIVQYIDETFVGNGPSLLPADPYERAVARFWAAYIEEKVFRVKTDEERAEAMKQMFAAVDVLEGGLKECSRGKCFFGGDNVGYVDVILGGAASYAKANEALFGAKLFDAAKTPLLAAWLERFSELDAAKAVLQDVDRVVEHGKFLIAKNSARASSNN >KQK92915 pep chromosome:Setaria_italica_v2.0:IX:57845888:57850749:-1 gene:SETIT_035565mg transcript:KQK92915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEAKDVGILAMDIYFPPTCVLQEELETHDGVSKGKYTIGLGQDSMAFCTDVEDVISMSLTVVKSLLKNYNIDPKCIGRLEVGSETVIDKSKSIKTWLMQIFEEYGNTDIEGVDSSNACYGGTAALFNCVNWVESNSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPNAPISFESKYRGSHMAHAYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYNVFCKKYEKHEGKQFSIFDADYVVFHSPYNKLVQKSFARLCYNDFLRNCSTVDEESREKLAPYAGLSSEESYQSRDLEKASQQVAKNLYESKVQPTTLIPKQVGNMYTASLYAAFASVIYNRHETLAGQRIVMFSYGSGLTSTMFSFKINEGEHPFSLLNIANVLDVSKKLEARHVVPPKKFVEALKLMEHRYGAKDFVTSQDTSLLPAGTYYLTHVDSMYRRFYAAKDDGVTTAVSNGH >KQK90295 pep chromosome:Setaria_italica_v2.0:IX:41336840:41337787:1 gene:SETIT_036877mg transcript:KQK90295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMAHHKKVDAETSEGMEPRFRPIAPKPMPSPPPLMPIGGKRERDAMSYPSPPFWWATGDVEAPMVTRGWCMPKIFLPSHEEHLRRLSLEGSFASSRPPLPDAERLFLIERDLISKLQVPKVIKPHPTRPKRTTICIDCSNIVESTTWLVEVAVSKKTTREVEAELELPNALPAIVSGCNNNRVHLTNDAYKKMVGQPLCPWLNSLLGAGASRRMNGEVVLYVQMFSIVSCLPSNRCAFPCTAKISWQHEDGTASLIVPCAVEHLTGNSDDYCFIWRFDSKKSSIMYSFP >KQK88221 pep chromosome:Setaria_italica_v2.0:IX:12387997:12388691:-1 gene:SETIT_039993mg transcript:KQK88221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYWRALEERHAPRENYLLMCCYLHCCL >KQK88503 pep chromosome:Setaria_italica_v2.0:IX:14717753:14719689:-1 gene:SETIT_036672mg transcript:KQK88503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEQQIEHSHLPIRGLNLHVAQAGKGDKGAVVFLHGFPEIWYSWRHQMLAVAAAGYRAVAPDWRGYGLSDQPPEEEEVSYDDLLGDLLGILDALSIPKAFLVGKDFGAVPAYDFALRHPDRICGVMCLGIPFTPFASSFAAMPEGFYMSRWLEPGRAEADFGRYDVKRVVRTIYVLFSSSEIPIAKEDQEITDLADLSTPLPEWFSEKDLAVYASLYEKSGFRYPLKMPYRSLHKRHTIEDPKFQVPVFVVMGEKDYVYKLPGFASVLKDGIMGMFAPDLKIVYIPEGSHFVQEQFPDKVNELLVGFLEDHPVPVAA >KQK88716 pep chromosome:Setaria_italica_v2.0:IX:16470571:16472708:1 gene:SETIT_038699mg transcript:KQK88716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IHDPSFKWKVYGFSALLERGATPANSTRFHCCGYKWYKVTPAHKRSGDGIPYVALQLFLSRVSFKPGHTMNALFELSVYNHSNGTYYGGKANVFSPEKKPLVISKKHITVQNLFLQKKEFIRGTYTWTMSNYLDLKLLVNSPAFEVGGHKWYVNMYPLGDKYSTKSLSLFLHLHDPKELPDPKSGMMIELTLSILDVKHGKHFTRRFVFAAGDKAGWGWSNFIRLKTFKDPSRGYLLGSNCVLKADITITGSSND >KQK87664 pep chromosome:Setaria_italica_v2.0:IX:8590596:8591386:1 gene:SETIT_037575mg transcript:KQK87664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLVPIGPRSILMAYGYFALEVFHSTTAAGDEGSGSVGDPIIVEWDVCGEADDKEPEEYTQTICAGPGRMLEITYLVIPNAIEANVEVRLKLKDLDYRSRVVVHLFSCERGRSWSAPSGSPWILPLSPSVTALPYRRQLELHLEVDLTVIAISISGNQEEEEETNLKVTGLKFTHRVGNQEREVNGDQVEVNITWSTIFS >KQK88525 pep chromosome:Setaria_italica_v2.0:IX:14806978:14808244:1 gene:SETIT_037640mg transcript:KQK88525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASYGFNYHGTETLYSGFFGTEMDCEIFIGPVYYQRLRHMVSDKFQVRTTGRIDQITRQPIGGRKHGGGIRFGEMERDALLAHGSSYLLHDRLHSCSDYHIADVCSFCGSLLTATVIKSDTQKKAKREMLGLPTVRPQKNFACQACKTSKGMETVAMPYVFRYLAAELAAMNIKLELRLSNKSELPPSQGS >KQK91784 pep chromosome:Setaria_italica_v2.0:IX:51782118:51785069:1 gene:SETIT_040184mg transcript:KQK91784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSIERRIDIKGVKHHTTDSWRACTYILVTECFEELAYYGIQFNLVTFLKNVLHENNVTAARNYTNWQGTCYIAPLIGAIIADSYLGRYLTTLAFFIVYLLGMATMSISASFPTCAGPDCLQDGSSKSFAFFLGLYMMAIGAGGIKPCVSSFGADQFDDSIPADRLKKDSFFNWFFFAIYIGSFVSGTAVVWVQDHYGWVVGLGIPTLFIAFAIATFMLGSGSYRVQKPLGSPIVGVLQVIVAAARKWAVRVPHDDSLLHEAPDKASMVDGHKLQHTPELRFLDKAAVISSDEELADPWRLCTVTQVEELKVIVGMLPIWATGIVYFSVLAQFSSTFLEQGRTMDKQVGAFAIPPASLASFDAVSVIFWVPIYDRVLVPAARRLTGKERGLSELQRFGAGLVLSVLVMTAAALVETRRLALAHGEGLSSMSILWQVPQYFLVGASVVFACVGQTEFFYNEAPPSMRSLCSALALLTVALGSYLSSLVVTAVAWLTTRGGEPGWIPDDLNDGHLDRFFWLLAALSALNLAVFVWCARRYRRKNVY >KQK88788 pep chromosome:Setaria_italica_v2.0:IX:17197404:17198011:1 gene:SETIT_040438mg transcript:KQK88788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDLHYLTTPYKEEKRVNYRNCMPNWMWPLSNHRPGIQSLSELVTRDH >KQK90029 pep chromosome:Setaria_italica_v2.0:IX:38754899:38755804:1 gene:SETIT_038314mg transcript:KQK90029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDSGKPEAAQTEKCQQLKKKSFERSTEQSRRLYLQKTRDENINHFRKFETCSVYLKMNITSRMLLEQENLKLFYIPPTT >KQK92764 pep chromosome:Setaria_italica_v2.0:IX:57156312:57156999:-1 gene:SETIT_040610mg transcript:KQK92764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARRIEESRCLNSEAKPRLQMSLHRRLGQQCASTLTYFSQKGIFTRM >KQK88090 pep chromosome:Setaria_italica_v2.0:IX:11453093:11454905:-1 gene:SETIT_040780mg transcript:KQK88090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVEVDRHSREKHAWCGREKHAWCASVPGGEQQMVKY >KQK88767 pep chromosome:Setaria_italica_v2.0:IX:17052858:17056235:-1 gene:SETIT_039351mg transcript:KQK88767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHQRSRSASASSTATRSDITELDFASADLDCPFGSVDALGPVELRETAYEIFFMSCRSSGAAPSSRGGGAAEGEVSSPMAGGGARGGGAGGGSRVKKALGLRPRRLSPGAQPMMARTLSQTSGPGSPGRARRPMTSAEIMRQQMRVTEQSDARLRRTLMRTVVGQVGRKPETIVLPLELLRQLKPAEFADAEEYHQWQFRQVKLLEAGLILHPSLPLDRLHSAVLRFREVMRATEIRAIDTGKGSEVMRALTSAVQALSWRSATAGAAVEACHWADGYPLNVLLYCSLLQAIFDLRECTVVLDEVDGLLELIKRTWPTLGISRTVHTVCLAWVLFQQYVITGQVEPDLAAAALAVLVDVAADAKQGSRDPVYAKVLLSALGGMREWSEKRLLDYHDSYEKCIGGAATESMEILLSLALAAGKIVADREGAGDGNFAGDRVDYYIRCSMKSAFTNILENGLGEADSVIIDRENDPASVLMQLARDTEQLAMFERRNFSPVLRRWHPAPVAVAAVTLHGCFGVVLRQYLARVTILTEELVRVLHSASRLEKALAQMTAEDAADCDDGRAKAVVGDMEPFEVESVVMGLLKAWMDDKLGLAKDCVLRARDTESWIPKSKEEPFAGSAMELMKLARFTIDEFSEIPASAKEEVVHDLVDGLEAIFQDYISFVASCGTKQNYLPPLPPLTRCNQDSGFFRLWKKAALPTCQAPDGNTRGGGGSHHTPRPSISRGTQRLYVRLNTLHYVLTNVQALDAALASPSSAGLDRARAAAQASIPAVAEVAAHRLIFLDSRHSFYQGLYARGVGAGGDARIRPALRLLKQNLSFLVTVLADRAQPVAVREVMRASFEAFLMVLLAGGNERSFARGDHAVVEEDLRSLKRAFCTCGEGLVPEDVVAREAETAEAVVDLMARSTDCLIDAFSAATCESIGTDGDGEDEDGGGGATPLPPTTRKWDPADPNTILRVLCHRDDEAANQYLKRTFQLARRR >KQK89747 pep chromosome:Setaria_italica_v2.0:IX:35544508:35546599:1 gene:SETIT_036232mg transcript:KQK89747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPLSTRRITRSLAAAAAASAQKSAAAGTDSAAQFSLAKNATAGEPQPRAALHDITNDSPIVGLAAGGLHGTDKTPASTAAKTRRRAPRRTPGSGEALLRGQVKALLHKVEEEQGCAPAALVRPARIQALLGVSRSPAQLLAPTPANTPQIGPVPAAREGLLMPDGVPVVPCVLEEEELLLPKLQIIAAPLPPPQPEENLGECQLNRALVFDDSPEKSSASNGSAVLFQESSTGSCNGKSSSPEDDSSSAWSIQVHASSEKGDEEELGVEDLGEYTEEEEEWEEDSDDEDCFDDLCEEMSRMTVVDEEERKAGLPQFEGRHTRFIYNSDDEIEREEVADAAEARAELGALMLRDLPVPEGRHLRFQEDEEDEE >KQK86360 pep chromosome:Setaria_italica_v2.0:IX:1426577:1427044:-1 gene:SETIT_038870mg transcript:KQK86360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSFSPMALVVALVLVLGTSTCHAARRLADDTAPAAAPAAIPAIPAVPKPTIPAVPAVTVPPMPAVPAVTLPPMPAVTVPAVPAMPAVPGAALPPLPAAVVPAVPKVTLPPIPGMPKVTLPPMPSVSIPGMPTTPFLAPPPKA >KQK90884 pep chromosome:Setaria_italica_v2.0:IX:46359664:46361796:-1 gene:SETIT_034947mg transcript:KQK90884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKIHQYYYHRANTHQAKRPRKVQSAASPSADVVSSSGRQPMEAVLMQRAAAAQARWGCVGEAAMAGAGQQSAGVVRLGAARRAAGGAVRASRLGPVRAHVSAERSMEAAGEGLVVEEEDAVRLFVGLPADVVVSDGRGVCRPRAVSAALRALKLLGVDGVELPVSWAAVQPGPGGWFEWAGYRAIAAMVCDAGLDLRVSLRTDGDALPEWVADAAAADPDVLFTDRSGHRREGCLSFAVDELPVLLGKSPLEAYEAFFRSFADEFNDLLGSTITDVTVSLGPNGELRYPSYPPGNNGSADGYSGVGEFQCYDMYMLARLKRHAESSGQPLWGLSGPHDGPRYDESPESSAFFREPGGSWNTAYGEFFLSWYAGELLAHGDRVLAAASRAFAGKPVELSAKVPLLCGSRPAAEVTAGLHGGYVPVAKMFARHGCTVIACGVDARLDARAEEHLARVKAACAERGARLAAESAPLAVARDGAGATGVWLSAGRTRPRQFTYQRMGADFFSPGHWPLFVQFVRALECPEEAHEDDLPGGIAGGERLTVPSASAVQGDARAVQTV >KQK89550 pep chromosome:Setaria_italica_v2.0:IX:32820542:32822995:1 gene:SETIT_040418mg transcript:KQK89550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKYRKKKMQAAAQVEAVDKEQTESSSSGHQVHKLLTK >KQK89729 pep chromosome:Setaria_italica_v2.0:IX:35422534:35426057:1 gene:SETIT_035041mg transcript:KQK89729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAMIGVTTLRCHHHRLSARPRSTSTARSCMLPPRCRRCGSLTPHSSSCSAASSASTSHGRPALNRIAAGNTSFMGPANNNGTAAPHRSLSSNIRRRLTVPNSTAGAGNASATSSSSGLLPTILGVAHLLVSLGIVVATDKYLKQAFVAASIKFPSALFGMFCVFSVLVVFDTFVPALAKGFMDFFEPATLFIQRWLPLFYVPSLVVLPLAVRDVPAASGIKILTITFGGWFASLAVAGYTALAVRKLVKTQLIPAEPMSKPSPFSTFETWAWAAIFVASFGVAYFNPTALGTTARTCLPFLLAATVLGYMVGSGLPAGVKKVLHPIISCALSADLAAVAYGYLSGSGLNAVLGDYLTKAPSNPGAGDVLMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSIAIASTFSLYSTAILGRLIGLEPSLTVSILPRCITVALALSIVSFFEGVNSSLTAAVVVLTGLIGANFVQAAMDKLGLNDPIARGLGTASSAHGLGTAALSAKEPEALPFCAIAYGLTGIFGSLICSVPAVRQSLIFIAG >KQK87197 pep chromosome:Setaria_italica_v2.0:IX:6063006:6063531:1 gene:SETIT_040674mg transcript:KQK87197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQEDQQREDYSKFRTENQESTTVYLPEKKQMVRTTKSWKHTDTNRKKEGLAGFMVAEVPISRPAFCRGRAIVDAVDESAPPEPRFAARRTGRRCRRISAPVAGSAKPERQVPP >KQK91196 pep chromosome:Setaria_italica_v2.0:IX:48409620:48411437:-1 gene:SETIT_038599mg transcript:KQK91196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNVEVAALVHKIAGLHAAIAKLPSLSPSPQVDALFTDLVMACVPPSPVDVTKLDPEAQRMREELIRLCSDAEGHLEAHYSDMLNAFDNPLDHLGRFPYFSNYINLSKLEYDLLVRYIPGLAPSRVAFVGSGPLPFSSLVLAARHLPNTVFDNYDRSGAANDRARKLVRADEDLRKRMAFHTVDVANLTDELGKYDVVFLAALVGMAAEDKAKVVAHLGRHMADGAALVVRSAHGARGFLYPIVDPEDIRRGGFDVLTVYHPDDEVINSVIVARKIDANANMEVAALVQKITSLHAAITKLPSLSPSPDVDRLFTELVMACVPPSPVDVTKLAPDAQKMREELIRLCSDAEGHLEAHYSDMLNAFDNPLDHLSRFPYFSNYINLSKLEYDLLVRYIPGLAPSRVAFVGSGPLPFSSLVLAARHLPNTVFDNYDRSGAANDRARKLVRADEDLRKRMTFHTVDVANLTDELGKYDVVFLAALVGMAAEDKAKVVAHLGRHMADGAALVVRSAHGARGFLYPVVDPEDICRGGFDVRAVYHPDDEVINSVIIARKVGAHGNGLQNGQHANARGAVPICCKVEASALQKREEMAAKELSI >KQK91521 pep chromosome:Setaria_italica_v2.0:IX:50371814:50379466:-1 gene:SETIT_034166mg transcript:KQK91521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMDMPDPDELEWMESHGLLPEEEEDVYFDDPDEGFVPPHGDSDQPRDSSQPPEPAPPRANEASEGGLKRPPPPPPPEKEEEERSKRRNVEREDSEDEEWLRYSPPPPAPEVVVAEKTISRFASEIHGDCVPVTAPNGERVYAKLAVKGLVGGGISGTRQGAHFSNPNPNHKGLLSESFHSLTRRAEQEALAKALQESTDSLDNEASSATPLVTEKLWVEKYAPNSFTELLSDEHTNREVLLWLKQWDSCVFGSHIRATCDDVLSALRRHSSTIQKNANNKNFFSKSKGGPVDMPLNTPSSNSEGLGGSFSKRSPADNTPEQKVLLLCGPPGLGKTTLAHVAARHCGYHVVEINASDDRSASSIETKILDVVQMNSIMSDSKPKCLVIDEIDGALGDGKGAVEVILKMINAEKSNNSDRSTNAEETQVRKASKKSQRMAKLLRPTEKYCPAECDIRSCLNTLQFLNKKGVALNISSFDSQVIGQKDKSKSILDVWKQVLQKKKLKRSGKAESHFSKDKDTDSLFTLISNRGDYEVTMDGIHENFLRLSYHDPMLQKTVKCLDILGVSDCLTKYVYRTQQMPLLAYQPPIAITISRMVAQVEKPNIEWPKALQRSRTMLLEKKDMLKTWQTEMSPVVSRHMSVESFVEDIASPFLHILSPLSLRPVALNLLSQREKDELVQLVDTMVSYSVTYRNTKFAPQERANISVVPHDVPSLSFHPPFSDIINFKGYQSEHIDLSLAMKQLLVHEVEKQKIIKYSAGKLNQTNDGDVRSEPLSAIRKKAIADSIAPALHSSKDSSKRNSTTLQMQSNSASSLNGKSPAPAKKHSNRATNFFDRFRKERQVDAKTHSDAGQQGATTQRDSRPLIFKYNEGFTNAVKRPVRVRDLLLS >KQK88613 pep chromosome:Setaria_italica_v2.0:IX:15522434:15525024:1 gene:SETIT_037903mg transcript:KQK88613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGRIELDKLSVEQLKGLKEQTDLEVNLLQDSLTKIRTAITRLENASAALQDLSLRPHGKKMLVPLTASLYVPGSLDDAEKVLVDVGTGYFIEKTMDQGKEYCERKINLLKSNFDELLEVATKKKAIADEMDLLLRTKLRQASPGPSS >KQK92014 pep chromosome:Setaria_italica_v2.0:IX:53225320:53225784:1 gene:SETIT_040319mg transcript:KQK92014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRTSLFATCNRTSLSSVGIAIPAILLFILTMLSMW >KQK86951 pep chromosome:Setaria_italica_v2.0:IX:4673826:4675664:1 gene:SETIT_037604mg transcript:KQK86951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSTTLRPLVPAAASPAAALTVRAVRNYDSIPKRKPFSSSRSILDEFLRQEKPLVQRTKDQITDYCTTIEGDECCSCWDAYFELNKLEHELPKDEIARMVKDSEGDVRYLIDSIHHRSNLRKKMAEKTRATVSSSSLGQSAKPRPFPVPDGLPKTQEELAEEEEALMPESSYTRLLRRMGRYPDWYTPRPDHETD >KQK89439 pep chromosome:Setaria_italica_v2.0:IX:29707725:29708138:1 gene:SETIT_040186mg transcript:KQK89439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRIRTHRVAHLRGWKPNSDLIRVGLAIWLATLPPCTCGYLGGVAPAALGRAADEPPTSHDEPTTSRGTGGDLAVHVDELLRSRWT >KQK87022 pep chromosome:Setaria_italica_v2.0:IX:5116112:5123272:1 gene:SETIT_034019mg transcript:KQK87022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHAPPPWHRALTWAHRPAAQHVRCPHRLARSHGHSTHTCSERAGRTATAETGEERYFKRPLDFPPPRELVITHRIARIAAALPTARARAGAGAAMATNASPPLQLATAFRPLASAAGGGGGGLLAGGGGVATGRGRGRAQRRVAARSVASDRDVQGPVSLEEGLPSVLNSIDSSAIASNIKHHAEFKPLFSPEHFSPLKAYHATAKSVLDALLINWNATYDYYDKMNVKQAYYLSMEFLQGRALTNAIGNLELTGEYAEALKQLGQNLEDVASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKDGQEEIAENWLEMGYPWEIVRNDVSYPVKFYGKVVEGTDGRKHWIGGENIKAVAHDVPIPGYKTRTTNNLRLWSTTVPAQDFDLEAFNAGDHTKAYEAHLNAEKICHVLYPGDESPEGKVLRLKQQYTLCSASLQDIIARFESRAGDSLNWEDFPSKVAVQMNDTHPTLCIPELMRILIDFKGLSWDEAWSITERTVAYTNHTVLPEALEKWSLDIMQKLLPRHVEIIETIDQELINNIVTKYGTADTALLKKKLKEMRILDNVDLPASIAQLFVKPKEKKESPIKSKKKLLVKSLDTIAEVEEETELEEEETEVLSETEEENVESKEVEAEEDSEDELDPFVKSDPKLPRVVRMANLCVVGGHSVNGVAEIHSEIVKQDVFNSFYEMWPTKFQNKTNGVTPRRWIRFCNPELSTIISKWTGSDDWVLNTDKLAELKKFADNEDLHSEWRAAKWANKMKVVSLIRDKTGYIVSPDAMFDVQVKRIHEYKRQLLNILGIVYRYKKMKEMSAEERIKSFVPRVCIFGGKAFATYIQAKRIVKFITDVAATVNHDSDIGDLLKVVFVPDYNVSVAEALIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEENFFLFGAEAHEIVGLRKERAEGKFVPDPRFEEVKGFIRSGVFGTYNYDELMGSLEGNEGYGRADYFLVGKDFPSYIECQEKVDEAYRDQKLWTKMSILNTAGSSKFSSDRTIHEYAKDIWNIRPVILP >KQK90480 pep chromosome:Setaria_italica_v2.0:IX:42988142:42993451:-1 gene:SETIT_034974mg transcript:KQK90480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAGGGGGRWCVVTGGRGFAARHLVTMLLRSGEWRVRVADLAPAITLDRDEEEGFLGAAFREGQAAYASADLRDKAQVARAFEGAEVVFHMAAPDSSINSFQLHYSVNVEGTKNVIDACIRCKVKRLIYTSSPSVVFDGVHGIFNADESMPYPDKFNDSYSETKADAEKLVMRANGREGLLTCCIRPSSIFGPGDKLLVPSLVAAARAGKSKYIIGDGNNYYDFTYVENVAYGHVCAEKTLSSEDGAKIAAGKTYFITNMEPIKFWEFMSLILEGLGYERPSVKIPVSVMMPVAHVVEWTYKKFAKYGMKVPQLTPSRIRLLSCNRTFSCSRAKDQLGYEPLVSLKDGLKRTVESYPHLQAQNQRSISKASIFLGNGNLAKTVLWEDTKQTVTVLLLLAVIYYHLFTCGYTFITAMAKLLSLTALFLFIHGMLPTNVFGHKIEKLEASNFHITQVEAHHVAHSISSSWNSLVAALRSLCRGNDWPLFFKVALSLLVVSILSSMSSQAAFKIGTALVFTGFKAYEKWEDTIDSMAGDACSILLHFGSTKKSSSEKQM >KQK88030 pep chromosome:Setaria_italica_v2.0:IX:10990005:10993009:1 gene:SETIT_036408mg transcript:KQK88030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVEEANRAAVESCHRVLALLSQPHDPAQARSIALGTDEACAKFRKVVSLLSNGGGAGAGGEAGPSGTSRSHPRAKVVSRRQNPGFLTQKGFLDSNTPVVVLNSAHPSPSSAQVYPRTGALDAQSIHPLGGPPKLVQPLSAHFQFSNVSSRYQFPHQQQQKLQAEMFKRSNSGINLKFDSTSGTGTMSSARSFLSSLSMDGSVASLDGKSSSFHLIGGPAMSDPVNAQQAPRRRCTGRGEDGTGKCAVTGRCHCSKRSRKLRVKRSIKVPAISNKIADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERCVDDSSMLIVTYEGEHNHTRMPTQSTQA >KQK91845 pep chromosome:Setaria_italica_v2.0:IX:52240975:52241341:-1 gene:SETIT_040837mg transcript:KQK91845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKLGCMYEIKQAIKLLTQLRNFLCNIPFIELWAARKCWKEIVATGYICLRPC >KQK90503 pep chromosome:Setaria_italica_v2.0:IX:43234405:43234724:-1 gene:SETIT_038511mg transcript:KQK90503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSINFTDIYRILVKKKPPMHAMITVEKIQHNTLTAKHLASGRLNFIDN >KQK86233 pep chromosome:Setaria_italica_v2.0:IX:872674:876730:-1 gene:SETIT_036896mg transcript:KQK86233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSAAYSASATVVAMAKGNGNGKGVRGGASAGANKVTRPPRITSNVKQSLRILKFWKEYERRQTSGPQPATRYRKKKVMKEVLPDDTDIYEDPSSTLQLTNEGLEIASPVILVDGYNVCGYWGKLKKDFMNGRQEIARQMLIDELVSFSAVREVKVVVVFDAANSGVSTHKETYKGVDVVYSADLSADSWIEKEVEALVADGCPKVWVVTSDALEQQLAHGEGALIWSSKRLVKEIKESEKELDLELKETRSTSLQGKLLQHKLNPKVVHALKGLRNKLEEQERRKK >KQK90150 pep chromosome:Setaria_italica_v2.0:IX:40197313:40197627:1 gene:SETIT_038163mg transcript:KQK90150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSSLRKLPGAAPVLLFPTLSPPYLTYACCLLIYAHSSAFAQNLADHIHRNKGNNLPSAPELDPHFFPIFDHQTSLEIAIHILAANHLVSELVQQISCIPI >KQK91587 pep chromosome:Setaria_italica_v2.0:IX:50674420:50674938:-1 gene:SETIT_039716mg transcript:KQK91587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKVSGSGEPVRSASASVSKKRPPSRLQKHAPASLQLEQGAAGAGAAPGAGAWGDGRAAIPLLSPLVMTPTMPVWEADQAGAAWREGGADQAEEKSGGEQQLQHHRGAARHGGSGERQAHDAPPVPAPAGGGGWRHPALATPVAEPASLVSFFQSQCAVEVRNAQQ >KQK91578 pep chromosome:Setaria_italica_v2.0:IX:50617182:50622994:1 gene:SETIT_035212mg transcript:KQK91578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKWKVAEAGGCAGVAGGGGGDQRRRCVAASLSMLIAATLAFLAYVAFFPNDGAGGLYRLWRCQDCAGELGEFPGDEAAAADGPSPPRAARTPTTLSHIVFGIGASARTWDQRRGYAELWWRPDQMRGHVWLDEEPVSPWPAATCPPYRVSADASRFGDRASAARMARIAADSFLAVAAELGNDTARDELRWFVMGDDDTVFFPDNLVAVLRKYDHGEMYYVGAPSESVEQDVMHSYGMAFGGGGFAVSYPAAAALAKAIDGCLDRYVYFYGSDQRVQACLAELGVPLTREPGFHQVDIRGDAYGMLAAHPVAPLVSLHHLDHIQPISPRGKTALEAVRPLVGASRLDPARALQQSFCYQRGPGYVWSVSVAWGYTVQLYPWAVAPHDLEVPLQTFRTWRSWADGPFVFNTRPLSPHDACARPAMFFLSGARNETARATVTEYARHDAKPPEKECDRASFRAASTVHTVRVIAPRMSESDWRRAPRRHCCKTRRTRWGSVLEVRIRRCVRGELTSP >KQK86701 pep chromosome:Setaria_italica_v2.0:IX:3356392:3358025:-1 gene:SETIT_035658mg transcript:KQK86701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAWASDPQVAAFCRWEPYESREPLLAFLRDTVLPHPWFRAVCAVPGGARCRGELGYVLARAHWGKGVAMAARRASAMVAEAPFVVLKDSVAVHGLTVRPEEERATVAPGKDWPSIQISRKKAHGCGNHGHKLLEGLTLYLHSDFATDLGSFLSIGLSDEARRSIEAKLGAAPGATSWHACSSPSDRAYSLVYDSTDASLNMIPSIPRGLIPSFTAAPVFRSTGVARDHELVLLARRKWLQLSNNNYRVCVCTPETRGNAEPDSTGLWQVRKRCFPELPYALSVDEVFSFQGMLFWADLSQGLVYCSHQLPAGESPVEFGFTSLPRGYLVDEEMAPEPLKMGRTISCIGGCIRFVCIDRHSSSPGDYTVNVWTPDLDHRQWKDHKGFPCLWKEILRQAGFMDAEARDVVARYPVLIPGGALCLLLSNMPGKPGYICGFDMGNKSLLWSGTS >KQK87056 pep chromosome:Setaria_italica_v2.0:IX:5327346:5328757:-1 gene:SETIT_037994mg transcript:KQK87056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLTKLYSMKEAALHNTPEDCWVVVDGKIYDVTKYLEDHPGGADVLLEATGKDAKEEFDDAGHSKSAKELMQDYFIGELDPTPEIPEMEVVRKEQDTGFASKLMDSAVQYWVIPVAAVGISIVVAILCARRK >KQK89787 pep chromosome:Setaria_italica_v2.0:IX:35925023:35925832:-1 gene:SETIT_037805mg transcript:KQK89787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDGREDAGARTRRAWGHREDAAAACTRGKLSRGGCHSDVHSAGRVACLLPVDLCSLGSSDRGGKGTEDLPHGSPIDDTYTRQRALKEMPLRLEPHQNYSSSVQDIVLDNFEEKTSSELGTAPICSILQHLYMIHPCEQKKDAITKWRWHSFPHNPRDGRHIHSD >KQK92157 pep chromosome:Setaria_italica_v2.0:IX:54055014:54055807:1 gene:SETIT_038052mg transcript:KQK92157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSEKGNMSIQLVGAAALRISPPSTAPSGDAARSSSFSTAGCRASLFAIPAARCRPFLTRMACEPCHPPSRGSSDGSPPPRGPAMATAGKGGLARGNAIGRLVLPSPHNRRRKGSHRTQPSG >KQK92188 pep chromosome:Setaria_italica_v2.0:IX:54221339:54222753:1 gene:SETIT_037398mg transcript:KQK92188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLQSALLPEANKRRPCLSLVGGAVASTATSKKRKRDGSDDDGENSRGEVVDGIELNFDAAPLPPEWQRCLDIQSGQIHYYNTRTQKRTLKDPRGEQDFRAAPADDDDDEESANCAPPGLDLELNLTFSPRRPSAPEKKKPKPASPPPAAAQRRQPAEAEDSREMVAAVCVRCHMLVMMCRASPACPNCKFLHTPSRAAPPSPPPEPETAPLKLGLQLLCCRD >KQK89003 pep chromosome:Setaria_italica_v2.0:IX:19397559:19398424:-1 gene:SETIT_039408mg transcript:KQK89003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSTIYRVEVPWESGDPPSISRESSPLTILLVPSSRIQGFEAVTPSQTVITAFRKSPRAATWKLSMRGARASLAKPYGFGRTLGTASRAAFHLGRCLNWYLRAVNNHLLTGYVHSQETWFRVIRFFGLQWLTPQLGIPFAKWWVHAKKKVDKVQRKGFDSLVWFVTWSLWKEQNHRVHERVVLQPMALAPVVLEEVRL >KQK90784 pep chromosome:Setaria_italica_v2.0:IX:45751049:45751858:1 gene:SETIT_038874mg transcript:KQK90784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETLIGWELPYDLIADVLRRAAWPCPVRGATSSTRADLLPRSVGGLFLNYRAHCFPEFLSSPTTGPSISGDLEDYDGSLYLGISQKGVYFAFNHDWHRVWIFLLNGSCGQMVWEMKHHVDLRTFARKLHAREDYGDLQHKGPWILQDISYYKYPCGNDKHKEVVEDNFNWSSDDDSVLNTEHMVKGHYDGYTSFLGFHPYKEIVFLNAALSRAVAYHWNTSRFQDLGNIFPKNYRDIAGQCVDIERSFPYTPCWMEFPEIKLQV >KQK88131 pep chromosome:Setaria_italica_v2.0:IX:11765790:11767412:-1 gene:SETIT_035617mg transcript:KQK88131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAAVPGDPPAGATVLDSLGEDITRIVYPVSACMLLVVLLVSLLSSPSSPSPLTASIAAATGGGGGVSGGVGDDIPTALVTALTFVVAVTAATFLLALLFYLRCTPCLRAYLGFSALAVLFVLGGQVALLLLSRLRFPLDAVSFALLLPNAAGALALAALAPASVPIALHQAALVAVAVLTAFWFTLLPEWTTWALLVAMAIYDLAAVLLPGGPLRVLLELAIERNEEIPALVYEARPVDPRHGRNWRLWREGRQPGADLDASSTTVDVTGEVSGRRLDANSGNSSAPQVHEATISAGNVNNSRPRETLAAALSSDSTVEQAGEVSALREHRVAVAEMRVPLIQPRPERSGEEEEDEDGIGLSSSGAIKLGLGDFIFYSVLVGRAAMYDYMTVYACYLAIIAGLGITLLLLAFFRKALPALPVSIALGVVFYVLTRTLLEEFVVQCSTNLLMF >KQK86397 pep chromosome:Setaria_italica_v2.0:IX:1636129:1636473:1 gene:SETIT_040121mg transcript:KQK86397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLNPGSHHLPGAEATAPPEMETEAAAARQAKESLELAFQLSQILDTGLDRHTLSLLMALCDRGANPEALAALVRELSSAAPPAAAAAAPSPMSNGTASPAPATASLFPSGLRRP >KQK92294 pep chromosome:Setaria_italica_v2.0:IX:54784558:54785916:-1 gene:SETIT_035689mg transcript:KQK92294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHATCSRNYLSELLRCRGQGQWQCQCPYQLSPARGMTRGRSVKDRSKKKRVHALEVATERWKVLSKVLTVVDALKKEEEHVTPLKRLEILRPQLGLVKPHKVAHFVRRSPHLFEVCRDSRGVMWAGLSPQAEALVEEEARLLEEHSPTAAQYVTRMLMMSVDRRLAVDKIAHFRRDMGLPHDFRTRWVHMFPEQFRLVRLEDGEYLELVSWNPNWAVTELEKKAAASAGDANASPPGELSLPFPMKFPPNFTSYYRFRGKAHHYVKTGNTEQFQKITYLSPYAETKGLTPGSPEFDKRAVAVMHEILSFMLEKRLVTDHLTHFRREFVMPQKLMRLLLKHYGIFYVSERGKRFSVFLTEAYDGTELIEKCPLVRWREKLLQLTGYRGRMKNLGKFDASSDSEDYLLGGGDDAVLDIESENSDDILDGCSISDDSEMDLGDTNEFCRD >KQK92452 pep chromosome:Setaria_italica_v2.0:IX:55577648:55583274:-1 gene:SETIT_040148mg transcript:KQK92452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEAIDERLFTRNKVTSIISLILVLVDPGGRALSAPAAWASGSPLLPSGSGPHRFLGGGHKCKTEARSPAAETAGWKLPCCPPPRGPPAEERRVVLGRGRRVLTMRIMQFSDFASWTVSRVPLGLLKGKAMESGTNASHARERNYEVMEEFLSSTTPILAHTALLLSLEKIT >KQK91225 pep chromosome:Setaria_italica_v2.0:IX:48621200:48625171:1 gene:SETIT_039538mg transcript:KQK91225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKRGRWTAEEDEILANYIAKHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADVKRGNISKEEEDVIIKLHATLGNRWSLIASHLPGRTDNEIKNYWNSHLSRQIHTYRRTYTAGPDTTITIDISKLHSAEKRRGGRTPGRSPKRATTSSGGGGGGSNKAKSKELPDPDSKKPEPESGEAKVASGPVAAAASAASSPRHSDGARSAVVDPDQNQPDSSSGAGGGSTPEGPCSEDTTGPLAALDPMEFGGLWEAESEMELLLSSGGVEAGPDPLTGFVDAVGEAGQVDDLLDMDWDGFAAHIWGDHPTAQVQQQNDDDQSTLLQPDGPQAAAGCNEHQEDELESFATWLLSDSF >KQK90023 pep chromosome:Setaria_italica_v2.0:IX:38672596:38673564:-1 gene:SETIT_038729mg transcript:KQK90023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTCTAPPPTVRGVHHFEIIGYRLIKGLSPGEYVRSGAFVVGGYRWSVRFYPGGFSPPHRAHVSAFLKITTNSARAWARFDLRLLDRATGLSRSVHRAAAPVVFDYSAAHKKCKGKRGARAFMPRAELEASPYLRGDRLTIECVVDVVVAAGEAVAPPPRRLRAPPPDLSKHLGDLLDQQDLADIAFHVGGEVFRAHKVVLATRSPVFMAELYGGMKEKGMERIAIDDVQPLVFGALIRFVYTDVLVLPGDLEGDDYKEMVRHLLEAADRYGVERLKLVCESILCRSLDGNTVETTLALADQHYCKALKDVCVQFMSLGL >KQK86162 pep chromosome:Setaria_italica_v2.0:IX:512580:514397:1 gene:SETIT_036860mg transcript:KQK86162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAALLLQPRVVSLPPNPRLAPTFNKPAPTFAPIGRRRAGARLRAVGDGPGAGLADQTTVYNGVYGPWTVEDSDVREVLLYRSGLVTAAASFVAAASAAFLPEGNAAGDAIRQSIDLLYAAGAAGLGLSLVLIHIYVTPIKRFLQALWAVGVLGSVGTYLVAAQPLDEGLVQYVLEHPAALWFVGPTFAALTGLVFKEGLCYGKLEAGILTFVIPGLLLGHLSGLMDNSTKSGLLGVWMVLFTIFAARKFQQPIKDDIGDKSVFMFNALPEEEKNALIQKLERQNEQKFE >KQK86920 pep chromosome:Setaria_italica_v2.0:IX:4498390:4502015:1 gene:SETIT_035643mg transcript:KQK86920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSLIQGISISVSDDEEATGKVRVRVRRKRSRPHVSAYARRRRLLFRTARLGVPLLLAALAVSLLLYESYRLTPSHSSTLPPPSFANFGDLSRAADSPRKSCLKFLDPEKLQNLELPEIPETNISIKEVVYRSSLHHIEDDIPTHTESSRFNSFTGYQTLTERDESFKTKESVTVHCGFYDENGGFRVSAADKEYMRYCKVVVATCAFGGGDDLHQPIGMTENSFRKVCYVAFWDEVTRAAQEEEGNMIGEDNKIGLWRIILVSDLPFSDQRLNGKIPKLISHRLFPMARYSIWVDSKSQFRRDPLGVLEALLWRSNSSLALSEHGARSSLYDEAKAIVKKHKATPEEVKVQLDQYRQDGIPDEKRFNGKKALAEASVIVRDHGPSTNLFMCLWFNEVVRFTSRDQLSFPYVLRRLRPPGVHLFPVCARKDLVNSFGHRRKVKPLVKDAR >KQK90289 pep chromosome:Setaria_italica_v2.0:IX:41272614:41282343:1 gene:SETIT_0339102mg transcript:KQK90289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTREAEEETAAAAVAAAAEEWSDEAVIYVNGVRRVLPDGLAHLTLLQYLRDIGLRGTKLGCGEGGCGACTVMVSCYDRTTKKSLHFAINACLAPLYSVEGMHIITVEGLGDRQRGLHPVQECLAKAHGSQCGFCTPGFVMSMYALLRSSKEPPTEEQIEDCLAGNLCRCTGYRPIIDAFRVFAKTDNLVYTNSSSQNTDGQAICPSTGKPCSCKNEPDANANGSSLSSSVERYSPCSYNEIDGNAYDDKELIFPPELQLRKVMPLKLNGFNETRWFRPLKLNQVLHLKSCYPDAKLIIGNSEVGVETKFKNAHYKVMISVTHVPELNTLKVEEDGIHIGSAVRLAQLQNFLKRVIAERDLPETSSCQAIVSQLKWFAGTQIRNVASVGGNICTASPISDLNPLWMAVGAKFQIIDVNSSVRTTLAKDFFLGYRKVDIKPDEILLSVILPWTRPFEFVKEFKQAHRREDDIALVNAGMRVYLRETEGKWIISDVSIVYGGVAAVPLSASTTENFLTGKKWNSELLDDTFNLLKEDIPLSENAPGGMVEFRRSLTLSFFFKFFLSVTHDMNMKGLLEDGLHADHVSAIQPYVRPVTVGTQGYEMVRQGTSVGQPMVHMSAMLQVTGEAEYTDDTPTPPNTLHAALVLSRKAHARILSIDDSIAKSSPGFVGLFLSKDIPGTNHTGPIIHDEEVFASDVVTCVGQIIGIVVADTHDNAKNAANKVHIEYSELPAILSIEDAVKSGSFHPNTKLCLGKGDVEQCFMSGACDRIISGEVQVGGQEHFYMEPQGTLVWPVDSGNEIHMVSSTQAPQKHQKYVANVLGLPLSKVVCKTKRIGGGFGGKETRSATFAAAVSVPSYRLRRPVKLILDRDVDMISSGQRHSFLGRYKVGFTNDGKVLALDLELYNNGGNSLDLSRAVLERAMFHSENVYDIPNIRISGQVCLTNFPSNTAFRGFGGPQGMLITENWIHHIASELQRSPEDIKELNFHDDGTVLHYGQLLQNCRIRSVWDELKASCNFVEARKAVRSFNSNNRWRKRGIAMVPTKFGISFTSKFMNQ >KQK86091 pep chromosome:Setaria_italica_v2.0:IX:251331:252980:-1 gene:SETIT_037340mg transcript:KQK86091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVPAAKKSKASSRFRRVCVFCGSSPGKKAAYQLAAVQLGRQLVERGIDLVYGGGSVGLMGLVSRAVHNAGGHVLGIAPKAVLPRELIGDTPGELKDVPGMHQRKAEMARNADAFIALPGGYGTLEELLEVITWAQLGIHSKPVGLLNVDGYYDSLLSFVDRAVEEGFVTPAARHIVVAAHTPHDLLAMLEDYVPVHDADEPKLSWEMAEHIIKSSSSDDHHTSLLFSR >KQK89799 pep chromosome:Setaria_italica_v2.0:IX:36021202:36025173:-1 gene:SETIT_035679mg transcript:KQK89799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASATIAAAATASSPSRLIRRFPSSPPPPSLLPLAARPGARSSPRACSYHRFVVRWKGRARALLGGFSDAGVSESDDDDEDEDAVEPAAAAAAPERWDVLGLGQAMVDFSGTVDDEFLERLGIEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGSSRSAGYPELKIAMAGSVGSDPLGSFYRAKLRRANVHFLSKPVKDGTTGTVIVLTTPDAQRTMLAYQGTSSTLSYDSDLANLVSKSNVLIVEGYLFELPHTIEAIKQACEDAHKNGALIAVTASDVSCIKRCYNDFWDIVGNYADILFANANEARAFCELPSTDSPMSATRYLSHSVPLVSVTDGVHGSYIGVKGEAIYIPPPPCLPVDTCGAGDAYASGILYGILRGASDLKGIGLLASRVAAVVVGQQGTRLRVQDADRLAESFAHHLDSLEFCSDV >KQK87550 pep chromosome:Setaria_italica_v2.0:IX:7876775:7882571:-1 gene:SETIT_034345mg transcript:KQK87550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGEASTGNIQRGSTLPNGDIYVGNFAGLVPHGFGKYMWTDGTLYYGEWDTSKMTGRGEIQWPSGASYDGDLCGGFIDGTGTFKGVDGSLYKGSWRMNKKHGMGTMVYANSDTYEGFWNEGLPDGFGKYTWADGNTYIGRWKSGKMNGRGVMKWTNGDTLDCNWLNGLAHGKGFCKYSSGACYIGTWDRGLKDGHGMFYQPGSKMPCNLEVSECVTDHDVSSASSSGNENVNSGLLFLLQKLCNTWRIRSLFHRPRRISNGTAPVFDDNSGNRLSEDSSTVPLTTGECLQDNVADKVLVYEREYVQGVLISEKPKGHDSGMPDSSKSHDQTLQKQAGGPMETIYRGHRSYYLMLNLQLGIRYTVGKITPVPLREVRSNDFGPRARIRMYFPSEGSQYTPPHCSVNFFWKDYCPMVFRNLREMFHIDAADYMMSLCGDDSLKELSSPGKSGSIFYLSQDERFVIKTLRKAELKILLKMLPKYYNHVRAYDNTLITKFFGVHRITLKGGRKVRFVVMGNMFCTELRIHRKYDLKGSTQGRSTKKQNINENTTLKDLDLSYVFHVDKPWRDALIRQISLDCMFLESQSIIDYSMLLGIHFRAPSHLKTSSSHQNSLESGISDTDLLQYEEKSSWKGFLLVAHEPGTTVGGSHIRGSMVRASEAGYEEVDLVLPGTGRFRVQLGVNMPARALKVREDMNTELENPDNIEEYDVVLYLGIIDILQEYNVSKRVEHAVKSLKFDPLSISAVDPSSYAKRFVKFLESVFPEQY >KQK87061 pep chromosome:Setaria_italica_v2.0:IX:5373259:5376635:-1 gene:SETIT_034953mg transcript:KQK87061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGRFSNFNPFQGPTRTVRSCPVAPRNVVVIDEDDDGGDDASEPEVFIIGGAAPPPTPAAAPGCQARKGNGLSGNVITIDDDEDVGEGAGWDKAGPSTSRASSGSPASMTPGRGSPGNRYGFDSTSDSDLSEGWDFDTDDGGSSDCEILDDTSGTAREMWETAASRKKMPHGVHEREDGWAAAFESCARSEAQPYKDSEGFFGAGCNLDETYFSTAWKGDSHNDTGGTKEGSEHVQSSANGVKDGQGPSVPNAKKCSNGNVGEGTEGMQDTNSSAKDVHAEPHPDENIFQSSSDACKGGVQNNTGVSKDHHGPSSAPSAKECSNEEGVVPQKTSEGFQTPRADGTFVYKFVSANMVFPGNSSADRKDGSPPMSVSTPDKIDEKIPDGEYSQKDQSPPEPSHASVIGERERHKESIEYKRAAEEEWASRQRQLQIQAEEAKKLRKRKKAEAQRLLDMEKRQKQRLQEVRESQRKNEEAIQLKEQYRGVVRKELEDLERRYWDMTSILRALGIPVEGGEVKAYKQALLKFHPDRVSRNDMYQQVKAEETFKFISRLKEKLPRF >KQK87281 pep chromosome:Setaria_italica_v2.0:IX:6385805:6393523:-1 gene:SETIT_034261mg transcript:KQK87281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGAPPRGGRGRVLWLLSVEIVLILVWTQGAGADSAESKALFPQDVEAGEKDVYLSHSCIHDEILHQRRRAGRKEYSVMPQVYHEPQEKVDHVRGRHLLGLSSSRVTQRNARKPIRIYLNYDAVGHSPDRDCKRVGDIVKLGEPPVPSAPGTPICDPHGDPPLVGDCWYNCTLEDIAGEDKKQRLRKALGQTVEWFRKALAVEPVKGNLRLSGYSACGQDGGVQLPHAYVEDGVSNADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFTHFRDERKRRRGQVTVQTLDEKLGRMVTRVVLPRVVMHSRHHYGAFSQNFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSRMTLALLEDSGWYQANYSMAEHLDWGRNQGTEFAVSPCNSWKGAYHCNTTQLSGCTYNREAEGYCPIVSYSGDLPKWAQYFPQANKGGQSSLADYCTYYVAYSDGSCTDVNSARAPDRMLGEVRGSNSRCMASTLVRTGFVRGSMTQGNGCYQHRCTNNSLEVAVDGIWKSCPQSGGPVQFPGFNGELICPAYHELCNTVPVPVTGQCPKSCSFNGDCIDGTCHCFPGFHGRDCSRRSCPDKCSGHGICKANGICECQSGWTGIDCSTAVCDEQCSLHGGVCDNGKCEFRCSDYAGYTCLKGSTILPSLSMCHDVLVRDSDGQHCAPSELSILQQLEAVVLVPNYNRLMPSGRTFLNFFNNANCAAAAKRLACWISIQRCDEDGDNRLRVCYSACELYNSACGAGLDCSDQTLFSKREEEEKGVPCTGYGEKKSFWL >KQK91538 pep chromosome:Setaria_italica_v2.0:IX:50444823:50446818:1 gene:SETIT_037588mg transcript:KQK91538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGTQQKCKVCTKTVYPMDQLSTDGVVFHRSCFKCQHCKSTLSLSNYSSFEGVPYCKAHFEQLFKETGSYNKSFQSQSPAKLTPEKLAPELTRSPSKAARMFSGTQDKCATCGKTAYPLEKVTVEEKAYHKSCFKCSHGGCAITPSNYAALEGTLYCKHHFSQLFKEKGSYNHLIKCASVKRAEAQPEQPAQPAADSS >KQK86523 pep chromosome:Setaria_italica_v2.0:IX:2420394:2422861:-1 gene:SETIT_039367mg transcript:KQK86523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSASKSSGDERPSGAPGDPSPGAGTTAPLQGHAEWAASMQAYYAAGGQPYAWHAAQGRADQHMMAAAAAAAAGAAPPYGAPVPFPVSFHPAYYAHAAMATGVPYTAGEPVAAAEGKNKRKSSGAPSVGSASGRYHFISCNCSGIGTILVDCEPSQAATTQDAAAETQSASKRRSAAKLSVSTPETAAISETRPNLNIGMDLWSNSPVKAETSGQGEINAAASSQHDITLSQMDERELKRERRKQSNRESARRSRLRKQQECEELAQKVTDLTAINGALRSELDQLKKACEDMKAENSQLMGEMEQSEAPSVVTTLSIQIDTSKAHHGNNDQHHNKNNNDNKG >KQK87477 pep chromosome:Setaria_italica_v2.0:IX:7500589:7501331:1 gene:SETIT_038045mg transcript:KQK87477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRGSASASRAMAFAVACCILAADAGTTYYVGDNNGWSFSSPSWPNGKHFHAGDTLVFRYVPWIHNVVAVDEGGYDGCTTPPGSRTYTSGDDRVTLARGDNFFICTRFGHCNLGMKLVVYAA >KQK86751 pep chromosome:Setaria_italica_v2.0:IX:3573967:3575001:1 gene:SETIT_039159mg transcript:KQK86751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTSAEAATVSGDAAPHVVADFAGMAQLLSDGTFVRHTDAASALPPASSPSTSSAQWKDVAYDPDHDLKLRMYRPDSADDEAASEKLPVLVYFHGGGFCVGSFSLPNTHVSCLRLAGELPAIVLSADYRLAPENRLPAAHDDARTLVSWMRNQAASPADADPWLAESADFGRVFVTGDSAGGNIAHHVAVAVGSGMLGVSPARVAGYVLFWPFFAGVERTASEAEYPPGPFLTLTVSDQFLRLSLPSEGDTRDHPAVNPFGPDSPPLGGVALPPTLVVAAGRDLLRDRVAGYAARLRAMGKPVELVEFQGEHHGFFIVEPWGDAGDELIRVVRRFVYGSTSCN >KQK87475 pep chromosome:Setaria_italica_v2.0:IX:7494019:7494922:1 gene:SETIT_038013mg transcript:KQK87475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQGRGSAARGNTAAVVAVVLLCVLLHGEVAESAVYTVGDRGGWSFNTANWPRGKRFRAGDVLVFRYSPKAHNVVPVSAAGYRSCSAPRGVRALTSGNDRVTLKRGVNYFICSFQGHCQAGMKVAVTAA >KQK88177 pep chromosome:Setaria_italica_v2.0:IX:12153554:12155341:-1 gene:SETIT_035281mg transcript:KQK88177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKIPFLAFFFLVLLHKLIRSYIAPPSRSTKPPALRLPPGPWQLPLIGSLHHLLLSRFRDLPHRALLEMSGTFGPLMLLRFGAVPTLVVSSAEAAREVMKTHDLAFCSRHLSATIGIISCGGKDIIFSNYNERWRELRKLCMLELFSQRRVLSFRPVREEEVANLLRSVSGECGGGPVNLREGICHAINDIAVRTAIGNRCKYQDEYLHELDEAVRLTGGFNLADLYPSSRLVRRLSAAARDMERCQKNMYRIIECIIQERKGSPTPEREDDLLGVLLRLQREGGLQFDLTNEIVSTVIFDIFSAGSETSSTVLVWAMAELVKNPRVMHKAQAELRETFKGQDKLTEGDMVKLRYLHLVIKETLRLHAPVPLLLPRECRQTCQVMGYDIPKGTKVFVNVWAIARDSKLWQDGDEFRPERFDGSSIDFKGTHFEYTPFGAGRRICPGITLGLANTELLLASLLYHFDWELPDGVKMEELDMAEAFGITLRKKSRLWLKAKPHINVISN >KQK89950 pep chromosome:Setaria_italica_v2.0:IX:37534975:37535666:1 gene:SETIT_040547mg transcript:KQK89950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHRCSFPSPARRRSAAPRLHRRSSLAPQLGRRSFNVRRCSPAMGERRREGLPPPPRGPPTVKSTRRRPVEPPQRPNQRDAAPLSSPCGRIDEPPSRRRFEAPPFGPILRSLRCSPAHEGACLHRAGVRMWRGRLGTPGRRSTGAGDGD >KQK90454 pep chromosome:Setaria_italica_v2.0:IX:42770785:42772262:1 gene:SETIT_039283mg transcript:KQK90454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAKLISRDRITDAAATLFSTNSVKQIPERFIRTDELQAAGAVVSDGEAFELPVVDMAKLLDPESSASETAKLGSACRDWGFFQLTNHGVDEAVIQRMKESAAEFFSLPLESKNAIAFQGGGDKFQGFGHHFSGGSSAGKLDWAECVLLVTQPVQGRKTELWPANPPSFRGTLDKYSMEMTGLARRLLRFMAIDLGVSQEALLDAFFSGDAEKGQSMAMHHYPPCRHPEKVLGIPPHTDGLGLTVLLHADDTPGLQIKRGGRWFPVRPLPGAFVVNVGDILNVLTNGAYASVEHRVVPDAERGRTTVVVFQDASVDGMVKPFPELLGGDEAQARYNSIGKLEYTEGNFRALGEGTRFLESLKK >KQK91187 pep chromosome:Setaria_italica_v2.0:IX:48351476:48355570:-1 gene:SETIT_034343mg transcript:KQK91187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRPKLGGFSIPRPTTYSFERSQPVPRLYRPTDDPDLDDIAFSDDAPTDAPAAAAVASKAQDAEEIDPLDAFMAEIQEEIRAPPPPPKPEAVRRADSDDDEDDPVESFLRAKKDAGLALAADAMRAGYDSDEEVYAAAKAVDAGMMEYDSDDNPIVVDKKKIEPIPALDHSTIEYDAFTKDFYEEKPLISGMSDQEVADYMKSLAIRVSGFDVPRPIKNFEDCGFPVPLMNAIAKQAYEKPTTIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVICAPTRELAHQIYLEAKKFAKPYNLRVAAVYGGVSKFDQFKELKAGCEVVIATPGRLIDLLKMKALKMFRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPYKVERLAREILTDPIRVTVGQVGSANEDIKQVVNVLPSDTEKMPWLLEKLPGMIDDGDVLVFASKKARVDEIEKELNQRGFRIAALHGDKDQASRMETLQKFKSGTYHVLVATDVAARGLDIKSIKTVVNFDIAKEMDMHIHRIGRTGRAGDKDGTAYTLITQKEARFAGELVHSLIAAGQDVPNELMDLAMKDGRFRAKRDSRKGGKKGGGKGKGGGGGAGRSRGVRGVDFGLGIGYNAESGAQVPAPRTAAVNSLKTGMMQQFKSSFVSGSSNTPSSSAPSFVRPALRGFVSGGTIGGDSRPAQSAPSFVPASRSAPLAPSFVPASRPAGNSNEIGNQNPESSRDRSRERKRPSGWDR >KQK87069 pep chromosome:Setaria_italica_v2.0:IX:5411491:5416180:-1 gene:SETIT_034030mg transcript:KQK87069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRSNNRGTCSRSSSARSKHSARVVAQTPVDAQLHAEFEGSQRHFDYSSSVGAANRPLASTSTVSAYLQTMQRGRYIQPFGCLLAVHPDTFALLAYSENAPEMLDLTPHAVPTIDQRDALAVGADVRTLFRSQSSVALHKAATFGEVNLLNPILVHARTLGKPFYAIMHRIDVGLVIDLEPVNPADVPVTAAGALKSYKLAAKAISRLQSLPSGNLSLLCDVLVREVSELTGYDRVMAYKFHEDEHGEVIAECRRSDLEPYLGLHYPATDIPQASRFLFMKNKVRMICDYSAVPVKIIQDDSLAQPLSLCGSTLRAPHGCHAQYMANMGSVASLVMSVTINEDEEDEDTGSDQQPKGRKLWGLVVCHHTSPRFVPFPLRYACEFLLQVFGIQLNKEVELAAQAKERHILRTQTLLCDMLLRDAPVGIFTQSPNVMDLVKCDGAALYYQNQLWALGSVPSEAEIKSIVAWLQENHDGSTGLSTDSLVEAGYPGAAALREVVCGMAAIKISSKDFIFWFRAHTAKEIKWGGAKHEAVDADENGRKMHPRSSFKAFLEVVKWRSVPWEDVEMDAIHSLQLILRGSLQDEDANRNNVRTIVKAPSEDTKKIQGLLELRTVTDEMVRLIETATAPILAVDIAGNINGWNNKAAELTGLPVMEAIGRPLVDLVMSDSVEVVKQILDSALQGIEEQNLEIRLKTFNQQECNGPVILMVNSCCSRDLSEKVVGVCFVAQDLTGQKMIMDKYTRIQGDYVAIVKNPSELIPPIFMINDLGSCLEWNEAMQKITGMKREDAIDKLLIGEVFTLHDYGCRVKDHATLTKLSILMNTVISGQDPGKLPFGFFNTDGKYVESLLTANKRTNAEGKITGALCFLHVASPELQHALQVQKMSEQAATNSFKELTYIRQELRNPLNGMQFTHSLLEPSELTEEQRRLVASNVLCQDQLKKILHDTDLESIEQCYMEMNTVEFKLEEALNTVLMQGMSLGKEKRISIERDWPVEVSCMHLYGDNLRLQQVLADYLACTLQFTQPAKDLLYSKSFPRRKILGLACRLLI >KQK90574 pep chromosome:Setaria_italica_v2.0:IX:43776551:43780726:-1 gene:SETIT_034266mg transcript:KQK90574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLLHGLIDARIVAADLSVTSDGQLQPTKKTRMKRKVFSWISKLPFCKCQRLENAIGLGTAGKLYATVDIDKARVGRTRMLDPVHSPRWNEAFRIYCAHDASNIIFTVKADNAIGATLIGRAYLPTRDVVAGQKVERWLDICDEKRQPLEGGDKIHVQLQFTDVAADPEGGWGAGVGGAGPYGGVPRTFFEQRRGCRVRLYGDAHVFDGFAPHVQLDGGRPYEPRRCWEDVFEAINNARRMVYIAGWSVNTGVQLVRDPRRPSSETLGQLLIRKADEGVTVLMLVWDDRTSGGLGPIKRDGLMATHDEDTAGFFRGTRVHCVLCPRNPDKDRSYVQDVETATMFTHHQKTVVVDGGGVPGPNAPPGLVSFLGGIDLCDGRYDTQEHPLFHTLGTTHNKDFHQPNFPGASIKKGGPREPWHDVHCRVEGPAAWDVLDNFEQRWRKQGSGDNLLVALDKAWAPREAAAGDAESWNVQVFRSIDGGAAAGFPENRDEAPDEAARRGLVSGKDHVIERSIQDAYIHAIRRARDFVYIENQYFLGSSYAWRQNDGVTVEAINALHLIPKELSLKIVSKIEAGERFAVYVVLPMWPEGVPESGSVQAILDWQRRTMEMMYRDVSLAIRAKGLQADPRDYLTFFCLGNREAPRPGEYVPPERPDPDTDYERAQQARRFMIYVHAKTMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPSHLATRNRPARGEVHGFRVALWQEHLGQAAAAAAGELLLRPSSLACVHRVNQVAQQHWDMFASDTFQGDLPGHLMAYPIVVGDEGKITGMTTQFPDTKAKVLGSKSNVLPPILTT >KQK86540 pep chromosome:Setaria_italica_v2.0:IX:2529681:2531762:-1 gene:SETIT_037006mg transcript:KQK86540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERGGERGGERGGFGRGFGRGGRGDRGGRRGGRRGPRQEEEKWVPVTKLGRLVKENKFSKIEEIYLHSLPVKEHQIVETLVPGLKDEVMKITPVQKQTRAGQRTRFKAFVVVGDNDGHVGLGVKCAKEVATAIRGAIILAKLSVVPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGSGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPEFWRETKFTKTPFQEFTDLLAKPKGLMLEAPTETVEA >KQK91774 pep chromosome:Setaria_italica_v2.0:IX:51718990:51722462:1 gene:SETIT_035635mg transcript:KQK91774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVKSHHQMLGSSSTSSSSPSASRQPAAAAVAPPPPPPSSSCLTDQQPSPAKRKRRPPGTPDDEMDVPQQTPMRSGGAVSADAAGVGPVRVRDLRVRLPAGGRTCRCTRRRAPRCRGRLVKRAPPGRRRGQRRRRPLWETAPPAAATTPRKRVFRVPRAELPPPRPRPHALGDLVGIKKHFRRKHGGRRQWVCARCAKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNSGRMRGEVVPVPSTLPVIRPAVPRHPPAVAPPPELQLLPASTAAPLTAATTASLSTVTTTTTSSAHEQPHVATTTKLQLSIGPVITAACSNGAVAAAAIGEEEDAEELRRAVEEKAAADAARERAREEAAAAERALEEARRARQRARADLEKACALRDHAARLLAQVTCHACRQRSLVAMSLAVVAGEGHGHGGPAVACDPMRGGGVGAAGI >KQK86092 pep chromosome:Setaria_italica_v2.0:IX:255945:256289:1 gene:SETIT_039890mg transcript:KQK86092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGVTPAAEKLCRRCKGSYDPSANTRLSCRFHPSFFVCRRHDDQKRYSSVLRAPRRRSPLRRQVLRLLRRRGPRCSRMHHRLPPLLRRRPRLE >KQK92270 pep chromosome:Setaria_italica_v2.0:IX:54654237:54659817:1 gene:SETIT_034109mg transcript:KQK92270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELRNPLLGLEKFSSEEIDLESLPLEDVFEQLNTSRSGLSSADAAERLQLFGANRLKEKRENKILKFLSFMWNPLSWVMEAAAVMALVLANGGSQGPDWEDFVGIVCLLVINSIISFIEENNAGNAAAALMARLAPKTKALRDGQWQELDASILVPGDIISIKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKRSGDLVFTGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTEVVGHFQKVLTCIGNFCICSIAVGVILEVIIMFPVQHRSYRNGINNVLVILIGGIPIAMPTVLSVTLAIGSHHLSQQGAITKRMTAIEEMAGMDVLCCDKTGTLTLNHLTVDKNLIEVFSRVMDKDMVVLLAARASRVENQDAIDMAIINMLADPREARANITEVHFLPFNPVDKRTAITYIDSDHKWFRVSKGAPEQILSLCYYKDDVAEKVQAVIENFAERGLRALAVAYQEIPERSRDSPGGPWILCGLLPLFDPPRHDSADTIRRALDLGVCVKMITGDHLAIAKETGRRLGMGTNMHPSASLFGRGNGENSAAVPVDELVEKADGFAGVFPEHKYEIVRILQGKGHVCGMTGDGVNDAPALKKADIGIAVSDATDAARGAADIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFVLLASIWEYDFPPFMVLIIAILNDGTIMTISKDRVKPSPRPDRWKLNEIFATGVVMGTYLALVTVLFYWAVTRTTFFESHFKVRSLKEDAEKVSSAMYLQVSIISQALIFVTRSHGLSFLERPGALLICAFVVAQLVATLVTVYATIGFASISAIGWRWAGVIWLYSLVFYVPLDLIKIAVRYILSGKAWNLLIDRKTAFTRKSDFRKEDQESRWALSRRDVQQRAFSDHLLSSSMPFSQIVDQARWRAEITRLGERHALRAHVESLMRLKRVDSRIIRTAQTV >KQK88369 pep chromosome:Setaria_italica_v2.0:IX:13621025:13622378:1 gene:SETIT_038177mg transcript:KQK88369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLAHLAWPPPATPPWHHKRATELTAAGHTTTAQTLAPMTADASDGCHCRPGKCLDDASRRGMALEGVTIVVAGKGHSQYRKRRLLVQSNEVFMIDFISSI >KQK91103 pep chromosome:Setaria_italica_v2.0:IX:47796867:47798598:-1 gene:SETIT_036251mg transcript:KQK91103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKHRIFAEDLLLAAEGEDHFDRVPDSLVLIIFNKLADARSLGRCSAVSRRFNALVPLVDDACLRIDRVIPADGGDGADALGLAGAPRPRAVLSHLLKAMLNAVLKPFAHCDAKSGAHGGKHSQQQQHHSPAQVLKNFSSIRNLRMELPVSDVGTDDGVILKWKAVFGSTLQSCVILGGTKVERAAAGTHAPMAAAAAATDSDGAGDESGSIPESFYTNGGLKLRVVWTISSLIAAATRHYLLREIVKEHPTLEQVALTDAHGQGTLSMGRDQLKEFRDKPLAAAAAANRTQVPACNMKLRYAPLLELSDGTRIHGATLVVIKPVGESGGIGGGRKELDDFVADTFNGPFREAVGVLSKRRTYLLEMNGF >KQK89512 pep chromosome:Setaria_italica_v2.0:IX:32146108:32147406:-1 gene:SETIT_038090mg transcript:KQK89512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLKTAALLAATLLVLLAAAAAVSGQPSPHFDVPNCQNDIDALWRTCKQYVKKEGPKQKPSSDCCKTVQAADAHSSCICDYLGSPDARENLSLEKVFYVTKQCGVTIPAGCGSKRF >KQK91778 pep chromosome:Setaria_italica_v2.0:IX:51748963:51749661:-1 gene:SETIT_039876mg transcript:KQK91778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPNEAAVVVGVQPVPAAATKKSAWTMDEDAALREQVRAHGPQNWAAISAALPGRNPKSCRLRWCQHLTPGVDPARPFSPEEDEKIAHFHRLYPNKWATIAGFLPGRSDNAIKNRWNSVLGKQQPQHHQQQRAAAVPFLGLSDGTLPLFPLTSGDVRAFGRSVPVLRRPPPGDAGVDLSGACLKLFPLAPGDLVGGNDSGEAAEMDVDCSADDQTVTEMTLWPSTMAAFKAM >KQK92071 pep chromosome:Setaria_italica_v2.0:IX:53534892:53535701:-1 gene:SETIT_038911mg transcript:KQK92071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIKGGGFKQRGFIQLGRTEQLIIYLMVSSGTQNSERSPALHLSTGIVGFLARRAMSTHRQRSSQQPGVPLDSPGSSTGSVESPPPWSSVQQHPAAPFDSNDTEEMVQADMAKHAAAGHAYRGVRKRPWGKFAAEIRDSTRDGVRVWLGTFDSAEAAALAYDQAAFAMRGAAAVLNFPVERVRESLEGMGVDASCGGSPVVALKRRHSMRARRRPGTGSRRGKAAGRSEVMELEDLGTEYLEALLGATTEEQRENSSSSTSSWCRSHHSI >KQK89167 pep chromosome:Setaria_italica_v2.0:IX:21486263:21487215:-1 gene:SETIT_039319mg transcript:KQK89167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEAAALSQLQLQLLALVSELRLLRERERGARDELRDAGQRWEEAEEEHRREARELRAEVAARDDALRKLEARIKCLENENELLEKNENNLKETMEGLLQSREAFIKHYEAGIQLLRSSASFGLPLLFC >KQK89471 pep chromosome:Setaria_italica_v2.0:IX:31264733:31271036:-1 gene:SETIT_034012mg transcript:KQK89471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSASTSTPRRGGAPVDYVSMSPSPSLTPRSSSAHKPTPRHRDRDRAPLLYGNSSSSAAQATQQQESSTPKATASSSKGPGPGGVNVQVLLRCRPLSEEERRISTPVVITCNEQRREVSVAQNIANKQIDRTFVFDKVFGPKSQQQDVFNHAVVPLVNEVLDGYNCTIFAYGQTGTGKTYTMEGGGGSKAQNGDLPSDSGVIPRAVKRIFDILEEQSAEYSMKVSFLELYNEELTDLLAPEESKFSDDKSKKPMALMEDGKGGVFVRGLEEELVSSAAEIYRILDRGSAKRKTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGARDGRAREAGEINKSLLTLGRVINTLVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATIAPSVHCLEETLSTLDYAHRAKHIKNKPEVNQKMMKSALIKDLYFEMDRLKQELFATREKNGVYIPREQYLADEAEKKAMSEKLDRLELVLESKDKQLDELQGLNESQKLLSADLTDKIQRLQKKMKETECTLADLEERYMHANDKIKEKQYLIENLLKSEKVLVGEAQTLRSELENTAGDLYGLFSKLERKEKIEDANRSIVQQFHSQLTQDMSLLHRTVSTSVYQQESLLKSLEEEMKSFLSSKGKVAGGLQEHVRKLKETFNSRITELHGIANELKQKYQLSFENLNSQVITHTSGLEDCMKGLLVDADQLLAELQNGLSQQEMNFCTFIDQQHEEISRNLERTKSVSATTMNFFRTIDSHALELKKVLEESQMAHQKQLFQLQEKFEAIVADEEKYLMEKVAGLLAESNARKKNVVRDDICSLNRAASERSDNLQTETTKLHDFTSSMKGQWEAYMQRTEEAFHQNVSSIEQKKCFLAENLEQCKTRVQSCSEQWSTAQNSVLALGRSHAEATNSVISDGTEESNQLNARFSSAVMAGFEDNDVSSKYLLCSIDDALKLDHGTCENVKSITMTSRAELHDLQHGHCEKTTVITGNANRCLGDDYTVDEVTCSTPRRREIKVPSSQSIGELVTPPLEDLVKTFWDSRALTKLELNGNGKQSTTPETQRAPLTTIN >KQK87053 pep chromosome:Setaria_italica_v2.0:IX:5300203:5308077:-1 gene:SETIT_038787mg transcript:KQK87053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTTTRAAKIPSLHQTEINWDNLDKTKLYVVGAGMFSGVTVALYPVSVVKTRMQVASGDAMRRNALATFKNILKVDGVPGLYRGFPTVIIGAVPTRIIFLTALETTKAASLKLVEPFKLSEPVQAAFANGLAGLSASTCSQAIFVPIDVISQKLMVQGYSGHARYKGGIDVARKVIKADGVKGLYRGFGLSVMTYAPSSAVWWASYGSSQRIIWSALGHWQNKEEAPSQLKIVGVQASGGIIAGAVTSFVTTPIDTIKTRLQVMDNENKPKAGQVVKKLIAEDGWKGLYRGLAMDEAVQEAKLLRQVNALIVAHLRGQSLGQAAAAVAAATMTPLSAADSVPANHLLRLVAKGLAAERGGAASAFDSAGFGGVVPPLGSGAVDFSVQDVRGSSKSFPKHEAKHVSDHKNIARCARFSPDGKYFATGSADTSIKFFEVAKIKQTMVGDSKDGSARPVIRTFYDHMQPINDLDFHPESPILISASKDNTIKFFDFSKTNARKAFRVIQDTHNVRSVCFHPCGDYLLAGTDHPVAHLYDVNTFTCYLSANPQDSGSPINQVRYSCTGSLYVTASKDGSLRIWDGVSAECVRPIIGAHGSAEATSAVFTKDERYLLSCGKDSSVKLWEVGTGRLVKQYAGAVRRQFRCQAVFNETEEFVLSVDEQNNEVVVWDALTAEKVARLPPGGTGAPRWLDHSPVEPAFVTCGNDRSIRFWKQTV >KQK86495 pep chromosome:Setaria_italica_v2.0:IX:2261451:2272975:1 gene:SETIT_034127mg transcript:KQK86495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSAALRVPLPRLLLLGPSASILGAASRRRAAAAPAAALRFLSSSSFSTRSARPLRSRRRDRGNDERAAAAVGGSGGGSGEGDGGGVAVKERIVTVELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILYAMHEMGLASRRPFRKCARVVGEVLGKFHPHGDTAVYDALVRMAQDFSMRYPLVQGHGNFGSIDADPPAAMRYTECRLDPLAEAMFLTDLELNTVDFVPNFDNSQKEPSLLPTRVPSLLLNGSSGIAVGMATNIPPHNLGELVDALSVMIQNPEATLQELLECMPGPDFPTGGTILGHQGILEAYKSGRGRIVVRGKTDIEIIDQKTKREAIIIKEIPYQANKATLVEKIAELVEDKVLEGISDIRDESDRTGMRVVIELKRSADPAIVLNNLYRHTALQSSFSCNMVAILDGQPKLMGLREILQAFLDFRCSVIERRARFKLSQALERKHIVEGIVVGLDNLDAVIQIIRETSNHAAATEALVKEFDLSEKQAEALLDITLRKLTSLERKKFVDEAKTLSEEISKLNELLSSKKLIFQLIQQEAADLKNKFSTLRRSLIDDSVNSEVDDIDIIPNEEMLLILSEKGYVKRMNPNTFNLQNRGTIGKSVGKMRMNDTTSDFIVCQMHDHVLYFSDKGIVYSARAYKIPECTRTATGTPLVQLLSLSDGERITSIIPVSEFGEDQYLVMLTVNGYIKKVPLNAFSSIRSSGIISIQLVPGDELKWVRRCGNDDLVALASQKGKVIVNSCDKIRPLGRNTRGACAMKLKDGDKMAAMDIIPATVHKMPETYNSRSRDLSPPWLLFIAENGLGKRVPLNAFRQSRFNLVGLAGYKLPEDCRLAAVFVAGLSLGDDGESDEQVVLVSQSGTVNRIKVKDISIQSRYARGVILMRLEHAGKIQSASLISAAAAEVAED >KQK90173 pep chromosome:Setaria_italica_v2.0:IX:40392958:40394243:1 gene:SETIT_038770mg transcript:KQK90173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSERPAGFTPHHLPFPSLPRAFLSCRRRLGERLRRRPAPVFAGPSPATSTHQVIVLRRARSIHPRCCCRLFSKNFGVQLNPHAPRYVSLVIWRALLNGQSPLNWKSWLQEPMDVKGLEGDKLENRLYVGNLDFRISESDVIKMFAPFGKIAAEDFLWHTRGPKQGEPRGYAFVQYTTKEEAQLAKEKMNGKLVCGRPMVVHLASEKSSLDSGPSQRALKDKKLTGGSASRSVQTDRAAKVSAIKSKLKSLEEEGCSTKKPRLTPNDLTGTREHSHKKF >KQK92554 pep chromosome:Setaria_italica_v2.0:IX:56092429:56092869:-1 gene:SETIT_038477mg transcript:KQK92554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFCIWRWMARAQCDSCLACVLPRLCEYGHVDFIPVVETGHKTAQKPNVAVPKVH >KQK90767 pep chromosome:Setaria_italica_v2.0:IX:45652586:45655140:1 gene:SETIT_038207mg transcript:KQK90767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLIPSLNRVLVEKLLQPKKSAGGILLPETTKQLNAAKVIAVGPGDRDRDGKLIPVSLNEGDTVLLPEYGGTEVKLAEKEYLLFREHDILGKLLE >KQK88048 pep chromosome:Setaria_italica_v2.0:IX:11108966:11111627:-1 gene:SETIT_038468mg transcript:KQK88048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGQIPRRPSKEAAYKQLRSHLIVMASCVAVIRAAPYILHFLTRDGDVQELKLEL >KQK86078 pep chromosome:Setaria_italica_v2.0:IX:195747:200161:1 gene:SETIT_034444mg transcript:KQK86078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSAASSDQYRSSSSSASSPARRYYLPKPGALRRPISFEDSPDWDDIHLDDSIHLATAASASASINSSAYPSPSPSLPVTTSASGAACRERKVAGATLVWKDLTVSSLSASTNRFSDRLVKSSNGYALPATLTVIMGPARSGKSTLLRAIAGRLGATERMYGEVFVNGAKSRLPYGSYGYVDRDDVLIDSLTVREMLYFSALLQLPGFLSSKKSIVEDAIAAMSLGDHADKLIGGHCFMKRLPNGERRRVSIARELVMRPHVLFIDEPLYNLDSVSALLLMVTLKKLASTGCTIIFTMYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNLQDDQGDFSSVSMDTAVAIRTLEATYKQSADSVAVESMIAKLTEKEGPYLKSKGRASDATRIVVLTWRSLLIMSRDWKYYWSRLALYMFIALSIGTIFSDIGHSLSSVVVRVSAIFAFVSFVILLSVSGVPAHIDDVKIYCHEETNRHSGAMVFLLGHFLSSFPFLFLVSISSSLVFYYLIGLRNEFSFLMYFVVTLFMCLLANEALMMIVAYIWLETYKCTLTLNCLYVIMMLVAGYFRIRESLPYAVWTYPLSFISFHTYAVQGLVENEYVGTSFAVGQIRSIPGVQAVRGSYDISSSANAKWVNLLVLLLMAIGYRIVLYMLLRLNVRKHARRLGSWRSCWFSIHGSASAK >KQK87688 pep chromosome:Setaria_italica_v2.0:IX:8696006:8696333:-1 gene:SETIT_0370082mg transcript:KQK87688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DCQVDVAKASFDVRQPDKELWKKVCRGFLKGRLQSQQEQQQVVVL >KQK87788 pep chromosome:Setaria_italica_v2.0:IX:9375834:9376610:1 gene:SETIT_040218mg transcript:KQK87788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKASGQGLKELRNELLLVAKLRHNHLAKLLGVCLQGQERLLVYDYLPNRSLDTFLFVEVEKRLLLDWETRYRIIHGTARGLVYLHEDSQIKIIHRDLKASNILLDGDMSPKISDFGLARLFNGDRTTTITSQVVGTLGYMAPEYAVLGHLSVKLDVYSFGVLILEIVTGRKNTDMRHVRIGR >KQK92129 pep chromosome:Setaria_italica_v2.0:IX:53870638:53873307:1 gene:SETIT_035090mg transcript:KQK92129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAQCPYCRASGPARCATTQPPLSRAVSECSACARIVLERHLHTHPFFPLFPSLHPLPLVTPDLATAVEPAPAPSAFPGGGDDEDPFLPAGFVSAFSAFSLERHPVLARSASAFSGQLAELERALAVDSAAASSNLDPAGPMVSVDSLRAYLQIVDVASILRLDRDIADHAFELFKDCSSATCLRNRSVEALATAALVQAIREAQQPRTLQEISTASNLPQKEIGKYIKILGESLKLSQPLNSNSIAVHMPRFCSLLQLNKSAQELAAHIGEVVVNKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPPDYTPATPPEKAFPMTTIYSGRSSSGKDLYQDKIFDSIKQKGPEPAEPDHMVIVKEEEDKKISALGRPPAKLEPHELSKAFWPSNAPFSTSPKSDREKTETSVRGFNLNEVSCAMDSDRADTTVKPNFGDRSLNESNMLPSPNRQPLPWQLKQGAPATGPYSRLRDQHLGLDLVAALKGIGKRSAGEGSDGRDKEGK >KQK89513 pep chromosome:Setaria_italica_v2.0:IX:32170594:32172654:-1 gene:SETIT_039041mg transcript:KQK89513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPPPSMAGEEERSTRPSTAAVSGGRRFLSAQATPSMATPSPFMTGDAERSTRPSTVVVEEEAEIEDRHYRSCELTGEREVTVHCFGADGQLVRRVRTKFDRKQVDFVWLLSLKEELGFGLQDYYYYKKRIGKANASVLAIDSTKDVECLLENMKSSEERKLRLIISKQEVVGAANITPLKRPRVNESDDVSDADDDSSLYDEYRDDTIKAYSKWLRHKGLLRDILAYNRGQAKPTEVREESNGSSDTPPQQWPTHARKPNQTKGGKKVGQGCLKGLAAVAKRSKSGLENKLKIEFSEKVGGPYGDNRRTFVDEVVLYTKQKAPLIGVRNWKASVKEDIAESVMNKSSTNSSNRGMLRTTHSGGSKPFSQ >KQK86903 pep chromosome:Setaria_italica_v2.0:IX:4375719:4376468:-1 gene:SETIT_039068mg transcript:KQK86903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFRDLGGGGSSASKASFLQLPLLPASSSAQGFPSPDGHHHSSRLALQQLLADPSAAQHSHRKDGSLAQGEISPVDAETIKTKIMSHPQYSALVAAYLDCQKVGAPPDVSDRLSAMAAKLDAQPGPSRRRHEPTRADPELDQFMEAYCNMLVKYQEELARPIQEAAEFFKSVERQLDSIT >KQK87397 pep chromosome:Setaria_italica_v2.0:IX:7101421:7101864:-1 gene:SETIT_038564mg transcript:KQK87397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSIPRALTPIKKEELLAAALLPFATTRAKQMASRFTALLLAATLLLSSAAVVVRGQQRGNPCPTNALADLKVCADVLVLLKLKINVPRSQQCCPLLGSLVNLDLAACLCAAIRLNVIGIPVNLPLDVPLVLNYCGRNASAVPGSNCS >KQK91057 pep chromosome:Setaria_italica_v2.0:IX:47454094:47456833:1 gene:SETIT_036303mg transcript:KQK91057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMANPATMLPPGFRFHPTDEELILHYLRNRAGNAGCPVDIIADVDIYKFDPWDLPSRAAYGDKEWYFFSPRDRKYPNGIRPNRAAGSGYWKATGTDKPIHSSATGESVGVKKALVFYKGRPPKGTKTNWIMHEYRLAADAQAGHTYRPMKFRNASMRLDDWVLCRIYKKTSHASPMAVPPLSDHEQDEPCGGFDENPYAASSSAAMLVQGAYPALQAAAGAAQRMPRIPSLSELFNDPSLAHFFEDAGSVVPDMARLDQQHHHHQHAGATLLGHPVTSQLLVNSMHGQTIPQMDSSASTSAAAGDGAAGKRKRSSETSTNAGTASAAKKPNGSCFGATFQIGNGLQGSLGHHMLLHSNTGMN >KQK88557 pep chromosome:Setaria_italica_v2.0:IX:15035522:15036762:-1 gene:SETIT_037365mg transcript:KQK88557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPAAVIAVSSVLLVMAATSGAVTFDATNSVASTDGGARFDREVGVDYAKQVLSDASSFIWGTFNQPNPEDRKPVDSVALNVVDNISAPAQTSGGAISLNAQYVAGFQGDVKTEVTGVLYHETTHVWQWDGQGQANGGLIEGIADFVRLRAGLAPDHWRKAGQGDKWDQGYDVTARFLDYCDSLKQGFVAELNGKMKDGYSDGFFQDILGKDVQQLWQDYKAQYGG >KQK87446 pep chromosome:Setaria_italica_v2.0:IX:7347285:7350691:1 gene:SETIT_034502mg transcript:KQK87446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPPCRPPPWGPRRLHSALPLLLLVVAALAARAGADDLASDARALLAFRDAVGRRLAWNASGAAGACSWTGVTCENGRVAVLRLPGATLSGAIPAGTLGNLTALHTLSLRLNGLSGALPDDLANAAALRNVFLNGNRLSGGFPQGILALPGLVRLALDGNDLSGPIPAALGSLTHLKALLLENNRFSGEIPDLKLELQQFNVSFNQLNGSIPAALRSQPRSAFLGMTGLCGGPLGPCPGGVSPSPAPAGQTPSPTPVPSGSGGGGASNGGSNGGNGGRSGHKSKKLSGGAIAGIAVGSALGAALLLFLFVCLCRKSGGTKTRSLEMPPPSSTPVAVAGGRKPPEMTSGAAVAPLTTIGHPNVPIGQSTSGKKLVFFGSAAAVAPFDLEDLLRASAEVLGKGAFGTTYKAVLESGATVAVKRLKDVTLSEPEFRERISEIGELQHEFIVPLRAYYYSKDEKLLVYDFMPMGSLSAVLHGNRSSGRTPLNWELRSSIALAAARGVEYIHSTSSTASHGNIKSSNVLLGKSYQARVSDNGLTTLVGPSSSPSRTTGYRAPEVIDSRRISQKADVYSFGVLLLELLTGKAPSQAALNDEGVDLPRWVQSVNRSEWSSEVFDMELMRHQNGEEPMAQLLQLAIDCVAQVPEARPSMAHVVMRLEEIKKSSVASNIEGGDDQSSKAESEVPTNPFAP >KQK93065 pep chromosome:Setaria_italica_v2.0:IX:58596077:58597619:-1 gene:SETIT_039761mg transcript:KQK93065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKEEEEKMREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGRYGGDSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGNIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGDYEEDEEEPEDLQD >KQK86882 pep chromosome:Setaria_italica_v2.0:IX:4264034:4272187:1 gene:SETIT_034927mg transcript:KQK86882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFARRPLSAALHLAPLSPPLLLFFASASSSCSPAAASASGGTRGCSAVRMDSGAVETTSTGAVWSTPSAEPRSVSIGKAIFCNRSLNMRNITAVGFDMDYTLAQYKPETFEALAYYGTIEKLVKDLHYPEELLTWEFDWKYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEEKVAAYGSTLIRDSFDEPDYALIDTLFSLGEAYLFAQLVDFMDNNPGKVPAGTDYPLMYKDVRSAVDLCHRDGTLKRMVAKEPGRYINEDLAIVPMLEMIKKSGRSTFLVTNSLWDYTDVVMNYLCGAYTSDAGSGLNHKWLQYFDIVITGSSKPSFFHDDNRAGLFEVEPDSGKLLNADIQIGSPRSSHQHATPVHKVYQGGNVGHLHRLLSIASSSQILYVGDHIYGDILRSKKVLGWRTMLVIPELEHEVKLLSESKSTRKELRHLRMERDSIEDRIHHLEWSLKFDDITENQKEKLFSEHVDLLQQREHVRRLHQEAQRQHHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYSSQVTNFGLYSPNKYYRPSEDYMPHEFDVLGL >KQK88372 pep chromosome:Setaria_italica_v2.0:IX:13656546:13658240:-1 gene:SETIT_035215mg transcript:KQK88372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPQHLLLGALLFLLPAALLLVCARGKRLRLPPGPPSLPLLGSVVWLTNSPAEIEPLLRRLFDRHGPVVALRVGARLSVFVADRRLAHAALVERSAALADRPRLASVRLLGENDNTITRASYGPVWRLLRRNLVAETLQPSRVRLFAPARAWVRRVLVDKLEEPGPDAAPPRVVETFQYAMFCLLVLMCFGERLDEPAVRAIAAAQRESLIYLSRNMTVFAFIPAVTKHLFRARLDKARALRRRIKELYLPLINARREYRKRGGEPKKETTFEHSYVDTLLDIKLHEDGDRPLTNDEIILLCSEFLNAGTDTTSTGLQWIMAELVKNPKIQEKLYDEIKAATNDDKEEVSEEDVHKMPYLKAVILEGLRKHPPGHFVLPHKAAEDMEIGGYLIPKGTTVNFMVAEMGRDEREWKNPMEFSPERFLPGGDGEGVDVTGTKGIRMMPFGAGRRICAGLGMAMLHLEYFVANMVREFEWEEVPGEEVDFAEKNEFTTVMMKPLRPRLVPRRTQKSMSTH >KQK93053 pep chromosome:Setaria_italica_v2.0:IX:58531497:58532276:-1 gene:SETIT_039870mg transcript:KQK93053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVVVASLVGGAVCGPPKVPPGKNISATCDGKWLDAKATWYGKATGAGPDDNGGACGYKDVNKAPFNSMNACGNSPIFKDGLGCGSCYEIKCDKPAECSGEPVIVHITDMNDEPIAAYHFDLAGTAFGAMAKKGEEEKLRKAGIIDMQFRRVKCKYPADTKIAFHIEKGCNPNYFALLVKYAAGDGDIVAVDIKEKGSDEFVPLKHSWGAIWRIDSPKPIKGPIAIRLTSEGGTKLEQDDVIPEGWKPDTVYTSKLQF >KQK87980 pep chromosome:Setaria_italica_v2.0:IX:10589267:10593137:1 gene:SETIT_037391mg transcript:KQK87980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIFKKKVDPKEALRTSKREMAVATRGVEREIGSLQMEEKKLVAEIKKTAKTGNEAATKILARQLVRLRQQILNLQGTRAQIRGAMYAGTSISAGMKGASKAMAAMNKQMEPVKQIKVMKEFQKQSTQLDMTLEMMSDAIDETLDKDEAEEETEELTNQVLDEIGVDVASQLSSAPKGRIGATNKKVDNSQARNAAAPARNVAAPPESSAEVDDLERRLASLRRI >KQK86298 pep chromosome:Setaria_italica_v2.0:IX:1179023:1181193:-1 gene:SETIT_035445mg transcript:KQK86298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRRPGVLPATLLLLFLAASAAAPWRQYGLAAVAAAPDGGGGFVGVNGTQFVMGSGGTKTVYFSGFNAYWLMLVASDPARRAKVVAAFRQASDHGLNLARTWAFSDGGETPLQVSPGVYDEAMFQGLDFVIAEARRHGIYLLLCLVNNFHDFGGKRQYVAWAREAGHGGLATADDFFNSTVVKGYYKDHVKAVLTRVNTVTGVAYREDPTILGWELMNEPRCDADPTGAMVQAWVEEMAPYVKSIDGDKHLVTAGLEGFYGAGAHESKDLNPWGIYYGTNYVGTHRAAGVDFATIHLYPDVWLWGSGAAAKLAFLRNWTRSHAAATELYLGKPLLVTEYGKFLWEGVAGANRTQRDRFLDLVLDSIYDSAAEGGPLVGGAFWQLLDPGMDTLRDGYEIILPEDRHAAGIIGNHSRQLAQLNGQDVDAVRRRRRRSARSRKVHVGSSGRSSSSDTRQLHMLLVRFISLFRSVSSLFSSV >KQK90763 pep chromosome:Setaria_italica_v2.0:IX:45625575:45628541:-1 gene:SETIT_036066mg transcript:KQK90763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPPPRIAGGGGGRKPRIPPLPPARTLLTALAAAVALAVLCLLSSSPAASLSGAWRSGARSGDKYLYWGGRVDCPGKHCGSCAGLGHQESSLRCALEEALFLGRVFVMPSRMCLSSVHNTKGVLQSSETSKQRWEESSCAMESLYDIDQISRTVPVILDNSKTWHDIVSKSVKLEVGGVAHVQGISRGELKQNSLYSTALIINRTASPLAWFMECKDRKNRSSVMLSYTFLPSMPAKKLRDAANKMKEILDDYDAIHVRRGDLLKNRKDRFGVERSLHPHLDRDTRPEYIRKRIAKWIPPGRTLYIASNERTPGFFSSLSDRYKLAYSSNFSSILEPVIENNYQLFMVERLIMQGAKTFVKTMREFDSDLTLCDDPKKNTKVWQRPVYTDD >KQK86349 pep chromosome:Setaria_italica_v2.0:IX:1384739:1387692:1 gene:SETIT_0339811mg transcript:KQK86349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALVENHHLCQRNTEGEKVLPDGLTWRRLLLLLCYSKKIIAEIESLSNRRGSSASSLGRFFQVPTLPYFLSCLLRSREHPRSSNDRNAGSVDSELDLDELLNEVQEDEEDDYDQLPPFKPLSKSQVAKLSKEQQKLYFDEYDYRTKLLQKKQLKEQVRRFKEMKEGNDNDVPSEDGHPDDEYDTDRSPMPDWALPSSFDSDDPVHRYRCLEPTPNLLVRAVNNPEVWDHDCGFDGVSVQHSLDVANKYPASLWVQVNKDKREFTIHMDSSMSVKHGDHASSLAGFDIQTIMDQLAYTLRGETKVKNFRKNTTTGGLSVTFLGNTVVTGAKFEDKLSVGNRLTLVANTGAVSVSGDSAYGVNMEATLHEKSYPVGQGLTTLGASLVRCHKEWTMTANLDSQFSVGRTSNMAVHVDLNNKLTGRVSIKANTSEQLKIALLGVCSATMYLWNKMHPGADANA >KQK90572 pep chromosome:Setaria_italica_v2.0:IX:43768529:43768759:1 gene:SETIT_039846mg transcript:KQK90572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWKAAAQTRFRVFKHENGIAVRVIACFQPLQNCQAEYFRHLLKPVT >KQK88198 pep chromosome:Setaria_italica_v2.0:IX:12281251:12281647:1 gene:SETIT_040724mg transcript:KQK88198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTISPYDAFFFSSHAVQTHMPIHTHMHSHIKMNRMQ >KQK87132 pep chromosome:Setaria_italica_v2.0:IX:5691413:5694643:1 gene:SETIT_035790mg transcript:KQK87132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTAVEEAEVSGAAEFAPALIAAHPHGNSVAVAIGPELRVFDLKVGSPVLLSDDCGGRSHSDAIRAICFSVSGALFASAGDDKLVKVWKTDSWRCIRTITSEKRVSAVAISNDDLYVTFADKFGVVWLVTLGEDGTEQVSVDNKPVSILGHYCSIITSMNFSPDGRFIATADRDSKIRVTLFPKNPLKGAREIQSFCLGHTDFVSCIAFTNISENQSFLISGGGDSTVRLWDHINGCLLDTCQVRDKMEELLELNETDDSSLAIADIRPSNDGSLVAVAIQSFNGVMLLACDLVGKKLSFLKVITMEKSYIPTSLASSFSSELLWTVMGASNMPNQASSQLLTRLRIIRRFQKDLLSSDNCPAILEDSEVPHGEKLLLALQGSLDVAKQEEVLASVLAALKVSMHKMLVKKHYSEERREQRKRGRNDKKIKS >KQK92864 pep chromosome:Setaria_italica_v2.0:IX:57567233:57567377:-1 gene:SETIT_040348mg transcript:KQK92864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVTNLQFIKLVVRMIHLLFGEKNLVLQ >KQK89124 pep chromosome:Setaria_italica_v2.0:IX:21087389:21087898:-1 gene:SETIT_038392mg transcript:KQK89124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAQMNIPRNYGETAHFLKRTAQITSAQLQKRLKLLRGWEGRENAAGLGVSLINMENTQVLPCTTMTS >KQK89477 pep chromosome:Setaria_italica_v2.0:IX:31684980:31686016:1 gene:SETIT_037486mg transcript:KQK89477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIMGSSYTAAASRSPVLPASPLVLARGSWRPAVAAFRPSAAVKCRRPLTVTCALPDKERPPAFSIPPTALLCPVPPPDGKERWDIKEEDDRVTLWLQVPGLSASDIEVTTGEDVLEIKRKVTSQQQAAAVDAHGVGAFHIRLLMTKEYDGSRATADLKAGMLEVTVPKNPQREVKRLELGKPAPRGTRKGSDETRSDPNRANKGQGGLAG >KQK87270 pep chromosome:Setaria_italica_v2.0:IX:6340111:6340591:1 gene:SETIT_040521mg transcript:KQK87270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVAHCLGNHGLIITLVVDQNCNEMRTKTVLVYFAIPLWESVWCVGIWKHSTSHYWVLERKDDLPGKKQRRLV >KQK88172 pep chromosome:Setaria_italica_v2.0:IX:12117875:12119582:-1 gene:SETIT_037900mg transcript:KQK88172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSPSSAEAAPPLEAPAAADKEDEWDADGYVIPNLLTQDNDVTEPSIPEAKDPEPLQAKDEKIYLGPHGAPPSQVKQQELNNVGRKQRFRNKLKEADRKFTGNAQENKVESLRELMGARASGTSMPRSSPRDWLDPHCHESEFDRKPTR >KQK86577 pep chromosome:Setaria_italica_v2.0:IX:2749356:2752196:-1 gene:SETIT_036364mg transcript:KQK86577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKSKRTSAPTPVEIPLPVPQPVGAPGTQHVPLPSIPSMFRSGTWCLPRPPQSLPHSSPPYWLAGGVQQPGMTGSAAQGAWWTPAGFGGSWHSDVSEDSDVQAWGLHSHPPGGFLNMLKSTPQATNNGTASQAIHIESDNNDVDCNRSEKRLTWTKEEDLKLVSAWLNNSNDPIQANFKKNDQYWNGVADLFNKTIPKNRVRSAKQIKDHFGRIKKRVTWFCGNWKEANSMWGSGESDEDVMKRALQSYEEDHKKDGPFAYRHCWEVLSKEPKWEAYLERLEDVDSEKRKFSVDEEVEQQFSLDDVRDERPIGGKKAKEQHKKKKKDQTSIIDIEDELHEVYNTSPNREASVVC >KQK88743 pep chromosome:Setaria_italica_v2.0:IX:16740107:16746129:1 gene:SETIT_034359mg transcript:KQK88743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAEAKAATRRWSRGHPLLGGCKRERYTHGLRPPQMEALRAMCGALIPSLPVVEGHHGGDADHGRGSKDVVERFYLASAADGTIPDEVAELVTRCVWEAVVLVNVVLWILSTRVGTLALCGRLCMSGKFPYVRKFADMPVERREEALGRWNKARWLFPLKITFVVIKVLSHYAFYTMVNENSDNPCWKAIGYSVPDMEEPREAPSPRSLDNGVVETKALNDTTLLRSLVDKGLVVRTDASTYHTVQCDVVIVGSGCGGGVAAAALASAGHKVVVVEKGEYFTAEDYSSVEGPSMERLYEKGGIFCTSNVTTVLFTGSMVGGGSAVNWSASIRTPEEVRQEWAREHGLPVFASPGYVEAMDAVCARLAVTDGCREEGFQNKAVRRGCEALGLRADAVPRNSSEGHFCGSCHLGCPTGDKRGTDTTWLVDSVARGAVILTGCKAECFILESNSGENARRSRKCVGLVATCMVAGVTKKLRIEAKVSIAACGALMTPPLLRNSGLKNRHIGRNLHLHPVSMAWGYFPENKQQEPQPPPLTGKCYEGGIITTMHRVTERTIVETPALGPGCFASMVPWESGRDMKDRMRRYARTAHAFALVRDRGAGTVDGEGRVCYSPARDDVDELRNGLRRALRILVAAGAAEVGTHRSDGHRLRCDGGVRDDELDAFLDEVTVATGPMLPGSDKWALLCSAHQMGSCRMGSSPRDGAVDGRGESWEAEGLYVCDGSLLPTAVGVNPMITIQSTAYCLSEGIAESLAQRKRR >KQK88456 pep chromosome:Setaria_italica_v2.0:IX:14396623:14401931:1 gene:SETIT_034828mg transcript:KQK88456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGGATPRPAPLPAAISSTATLLVPASVAMPTPRLTHLRRLLLPLRSAAPHPLAPNPGRPLPVPAHASLLLLPRAMAGAAHAGVATGSAEYEEVLGCLGSLITQKVRADTGNRCNQWELMAKYVQILELEEPIAQLKVVHVAGTKGKGSTCTFTESILRSCGFRTGLFTSPHLMDVRERFRLDGLDISEEKFITYFWWCWNKLKDKTGDDVPMPAYFRFLALLAFKIFSDEQVDVAVLEVGLGGKYDATNVVRAPVVCGISSLGYDHMEILGNTLGEIAGEKAGILKKGVPAYTVPQLEEAMSVLRRQASELGVSLQVVQHLDPKKLEGQPLGLHGEHQYTNAGLAVALANTWLEKQGHLDRMHARHSDALPDQFIKGLSSACLQGRAQIVPDPQVNSENDKDGNSSLVFYLDGAHSPESMEMCARWFAHVTNYDRMQPVSLKQPHADRNSKKILLFNCMTVRDPQRLLPHLLDTCAQNGLHFEQALFVPNQSQYNKLGSLASPPSEREQTDLSWQLSLQRVWESLPHSNKGLNGVNTSKTSSVFESLPLAIQWLRETAKQNPSTQFQVLVTGSLHLVGDVLRLLKK >KQK90650 pep chromosome:Setaria_italica_v2.0:IX:44223348:44225844:-1 gene:SETIT_037617mg transcript:KQK90650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARELLTLDEKNPRRIFEGEALLRRMNRYGLLGEGQNKLDYVLALTVENFLQRRLQTIVFKNGMAKSIHHARVLIRQRHIRVGRQLVNIPSFMVRVDSEKHVDFSLTSPLGGGEPGRVKRKNQNKASGGGGDGDEDEE >KQK91309 pep chromosome:Setaria_italica_v2.0:IX:49137212:49140842:-1 gene:SETIT_034850mg transcript:KQK91309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPLRSLLVVSLLLSSVALHVAAAKTIDPYKVLGVDKKASQRDIQKAFHKLSLKYHPDKNKGKGAQEKFEEINNAYEILSDEEKRKNYDLYGDEKGNAGFSGGNFGNREGYTYFTGGGPKTSYFQSGDGWQTMGGQGNTKSFSFSFGGNPGASGGKPFGGGFDFSDVFSNLFGGGSMGASQHGGSAGSTRANTGTSGQHSGAVKIQDVTTQIFSKEISDQGITWLLLFYTPQSKGQFVLENVMQDVVHSLDGALRAGKVNCDNEKSLCKKAGVSLGKSARLFVYSYATTEKGSLHEYSGEHDAKSLKTFCQEHLPRFSKRVDIGQFSFPPNVLPNLPQVLLLSSKKDTPAMWRAISGMFRNRLIFYDAEVHDVSHPLLKSLGVKNLPAVIGRTVNGEEHLLKDGISVKDLRSGIRELKTLLENFEKKNKKLASNQANKKPSSQREENNVPLLTASNFEEICGEKTSVCIIGVFGSTKAKEQLEAVLSEISKKTLIRGQNYISGSALSYALLDRNKQSVFLSSFDKSGFKSSDKLLIAYKPRRGRFAVYKDEVTLEEAEGFVGSVLNGDVQLSPTQQKPVLR >KQK90685 pep chromosome:Setaria_italica_v2.0:IX:44762501:44763817:1 gene:SETIT_038887mg transcript:KQK90685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNSTLPEAKTDAAAIAAAGELLCRSLAGVRRWSDAAAGDAVRAGYTEAVGRRRCANGPSSASSSSSSSAKLRRLAPWCLGGDLGLGTVRVWVLVAGLEWLPPSPLASSSSSARRRKFLRWLLIPARSARRDGTTGEILRSLGDWIRPGRIRKPLI >KQK91061 pep chromosome:Setaria_italica_v2.0:IX:47494821:47495486:-1 gene:SETIT_040198mg transcript:KQK91061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVRVAGVQSVRVASRLQIAISFFPSSTRRAGRRVGSAGGAPPALTADVRVVIRRHFPAVGPLGTRIVQKVSEDIALRRRPARKLREPDRVERALAEDVLPLVGHPFDRGAVIATSREICARVAAACADPRVAGAGVRVLVMVDTLACPVVFCPRPPCKSTMWSGGAVKNVIAKADDPCTEPESGLPAAAAKEQPRPVGVIGDSRAKPVEERFEGWLPW >KQK92751 pep chromosome:Setaria_italica_v2.0:IX:57066983:57070254:1 gene:SETIT_037300mg transcript:KQK92751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPCPFQGDLFLTDRRITELERAAPPASPLAPAAACLTGPMAGAPSRCLFVTGPPGVGKTTLVMRVFEALRASHPNLTIRGFYTREVRESGERVGFEVVTLDGRSGPLASSKVSSPESFRWPTVGKYKVDVASLESLALPELQVKEDTDLFIIDEVGKMELFSSAFFPAVMRVVESNIPVLATIPIPRNGRDIPGVARLRNHPGAAIFTLNTGNRDTMRETIYNQLSSLLQKR >KQK91349 pep chromosome:Setaria_italica_v2.0:IX:49365727:49366232:-1 gene:SETIT_040467mg transcript:KQK91349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLMIYSRALCFRVLARSSCKVLGFVDASCLLKLLIHRIVLARSIVSLNIVRCSRTRFHNCY >KQK92580 pep chromosome:Setaria_italica_v2.0:IX:56211552:56215633:1 gene:SETIT_034297mg transcript:KQK92580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSESSRGRSKQEVEENVNTRSSWKEEHENQENADSRKYSDRSRKHGYEYEVGHQDDDRMITPSNDRNESMRNSDRSSGLARSADEDIYNVRKDSRSPKLPRRSPDDSKDRDYDRRRGREDKNEWEPSRRFSPDASARSSERREGSREKQQRDQEEKASVRRTDEVYADESGGSLRVDTREAQRDDKSDRGRDRSWNEKARDLEGSKDYGRNSQLRDPKEANDAEWRNAQERLDGGNFHGRGGYRRDSRGRSESVRGPSTYGNRYDNSDSIEIRPNSNLNFGREGSASGRRFEVGAHRDSVPGGTDDKSTDYPESDPSGGTSMVSSFPQQGPKGDRPSRGGRGRPNGRDSQRIGVPMPIMPPPFGPLGLPPGPMQHMGPNIPHSPGPPLLPGVFMPPFPGPLVWPGARGVDVNMLSVPPNLPMPPPVAAEHRFTPNVGAGSGHNIHLNQIDTGLGAPTDVSGLGYNHMGPQSRDMLHDKPGWTPHRNSGPNRKAPSRGEQNDYSQNFVDTGMRPQNFIRELDLTSVAEDYPKLRELIQRKDEIVAKSASPPMYYKCDLREHVLSPEFFGTKFDVILVDPPWEEYAHRAPGITDHIEYWNAEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDVCWVKTNKKNATPSLRHDSHTLLQHSKEHCLMGIKGTVRRSTDGHVIHANIDTDIIIAEEPTDGSTKKPEDMYRIIEHFALGRRRLELFGEDHNIRPGWLTLGKNLSYSNFNKETYIRNFADKDGKVWQGGGGRNPPPEAPHLVVTTPEIESLRPKSPPAKN >KQK90327 pep chromosome:Setaria_italica_v2.0:IX:41644727:41645111:1 gene:SETIT_040404mg transcript:KQK90327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFTWRKWCICFLKISGHWTPSLSLRTSMYRLFTHISNHLPNTNIPLS >KQK89544 pep chromosome:Setaria_italica_v2.0:IX:32760037:32761716:-1 gene:SETIT_038407mg transcript:KQK89544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLESAQFKKLPQKLGYRTCYPFTSFCAAGLALVCSSVPPAMSEEDLLTHIEVIKDQELNLMVEDR >KQK87761 pep chromosome:Setaria_italica_v2.0:IX:9188113:9189481:1 gene:SETIT_039733mg transcript:KQK87761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNVTYSFIFLAHRPFAGSFGLNTDILATNLINLTVVVGRILSTIRNSEELHRGTLEQLEKARIRLQKANLINATSISLEQLEKSKNETLYFEKQRAMNQVRQRVFQQAVQGALGTLNSCLNTELHFRTIRANIGILGAIEWKR >KQK89530 pep chromosome:Setaria_italica_v2.0:IX:32521836:32524881:-1 gene:SETIT_037750mg transcript:KQK89530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCSSRRSEVVRAPVVYRQHNLEEHEPLSSAFDGSSPASAIVAVDTNLDTSTPDTYRAPPAPLPYDVVLAVPDDPGLEKSDIKSKTDDQQESMNNQESLKVDESCKKGVLEDKPDEEDVCPICLEEYDEENPRSVTKCDHHFHLCCILEWMERSDTCPVCDQITLVDEMFE >KQK91810 pep chromosome:Setaria_italica_v2.0:IX:51928688:51929030:1 gene:SETIT_038243mg transcript:KQK91810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHIPFTPSHKSSPYFATYVEDFTKPLSLSFRLFGNILADELVVVVLVSLVPLVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >KQK89912 pep chromosome:Setaria_italica_v2.0:IX:37035261:37036384:1 gene:SETIT_039051mg transcript:KQK89912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSTCKSLESGVEDDLKICKFGLHDISNALSFCCLNEGLQRRIWLGGKGDWLVTTNTSLYMELLNPITRTKVPLPSFGNNLSGIELPSYRELSVIFPPFACDVRRVVLSRTPSHADGNEAIALFSDGLLTYTARGENIWRLLKNPIDHDDNAYNYYPEVFLDVIVYHGWVIACEEDGPVIIPWAKLPLFVTSTDLKGNSVYVADVGNYDVGICSLGKEGQVSITNQDFPFDEKAHLLKGWTICTPMWFRPTAHAKGNN >KQK86928 pep chromosome:Setaria_italica_v2.0:IX:4549177:4550230:-1 gene:SETIT_037674mg transcript:KQK86928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICVSCDAADEGAATARVVLPSGELREYAPPATAAMALEEVGGQGSWFLCDADGMVFEGPVAVAAVAPGEELQPGQIYFVLPAEMQRRRLTRDEVAKLAVKASSALVKAAAEAAAAQPSSPCRRRRRGAVAPLVFPVPEEEYAAADPVSPVSPRVAAAQKRRVACRGGRAATRFSPDLTAIPESE >KQK87543 pep chromosome:Setaria_italica_v2.0:IX:7850068:7853418:-1 gene:SETIT_035766mg transcript:KQK87543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGQETDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEYGTASNIKSRVNRQSVLAAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDQRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWENLDVNRYVLKNSATGETIIKHLNKEQEADQSHFRDPSTNAELEVQEKTSLLEWFANEYKKFGCTLEFVTNKSQEGSQFCRGFGGIGGMLRYQLDIRSFDELSDDEGVYEDSD >KQK87309 pep chromosome:Setaria_italica_v2.0:IX:6580241:6582298:1 gene:SETIT_037459mg transcript:KQK87309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTVDFASGDAAAWRAALAAYDRRLEALDKPDLVAVDSFYRHDLPALLRGREPDPFIAKPELVRLLQWKLSRGKWRPRLMDFVKGLDDAVVESASRKAFAALPDLSRAITELTVLKGVGPATASAVLAAYAPDVAPFMSDEAMVAALGNAKDYTLKQYLAFADKLQAKSKELTVGEESFTPSDVERALWSSAIGSKSPASGSPKSESKMRGKRKR >KQK92953 pep chromosome:Setaria_italica_v2.0:IX:58030890:58033661:-1 gene:SETIT_036900mg transcript:KQK92953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGASDLAGMEPSGKVARAGLGDLPELCAAEVLLHLDAPDICRLARLNRAFRGAAAADFVWEAKLPENYGRLLRFVDGAEEGEMGKMDIFARLAKPVPFDGGRREFWVEKTKGGICMALSSKALVITGIDDRRYWVNMPTTESRFHSIAYLQQIWWFEVVGEVDFCFPVGTYSLYFRLHLGKSSTRFGRHICSTEQIHGWDKKPVRFQFSASDGQHAVSQCYLDEPGSWILYHVGDFVASGSEQPIKLKFSLAQIDCTHTKGGLCVDSVLIYPKGFEPERVIRSQK >KQK87110 pep chromosome:Setaria_italica_v2.0:IX:5583621:5587678:-1 gene:SETIT_034900mg transcript:KQK87110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAEAAGSSSSRRRMEDKEGAAAAAAAAMEADAEAGARGRGEDEDEEGESSDYTSEDEGTEDYRRGGYHAVRVGDSFKQGAYVVQSKLGWGHFSTVWLAWDTAHSRYVALKVQKSAQHYTEAAMDEIKILKQIADGDPDDSKCVVKLLDHFKHSGPNGNHVCMVFEFLGDNLLTLIKYTNYRGIPLPMVKEICRHVLIGLDYLHRTLSIIHTDLKPENILLVSTIDPSKDPRKSGVPLVLPSAKTDEPTPKAPAPSVNGGLSKNQKKKIRRKAKRAAAATSEGGNAVASADTDGSDDRGDLGTTNEGSPSQDGAKKRSKGDRQGSKGAKKKMAMEADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSREFFNRYGDLRHIRRLRFWPLNKVLMEKYEFTERNANDMADFLVPILDFVPEKRPTAAQLLQHPWLDAGPLQKQPTTLPDSTQNSADGVSEKQRKENEERDAMAVELGNIAIDGASPSRTASDPQASTNKATATPSKK >KQK87142 pep chromosome:Setaria_italica_v2.0:IX:5749989:5752271:1 gene:SETIT_036148mg transcript:KQK87142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFHGIVKEEEFDIELDFTGAPAGDAAAAAAAASSWAVGVPELPRPMEGLGEAGPTPFLTKTYDVVDDPNTDTVVSWGFAGNSFVVWDANAFATVILPRYFKHSNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQRELLKTIKRRRPPSSPSAQQGQGQGQGQAQSPAVFLEVGQFGLEGEVHRLQRDKGILLAEVVKLRQEQQATRAQMQAMEERITTAEQKQQQMTVFLARAMKNPDFLRMLVDRQGRRQRELEDALSKKRRRPIGYLPRGGDGISSGAATEAAVGDYISGLPVGVNGVAEPADDESRPDHTGGGEDTESFWVELLSLGLEEKRREGGVEGSGAGADVDNDVDDDVDVLVQSIYHLNPNPGSPSGK >KQK88075 pep chromosome:Setaria_italica_v2.0:IX:11328759:11330804:1 gene:SETIT_035850mg transcript:KQK88075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQATTRPHQNRNPVVTIIFLYPDTSEIPTKFTYNPSRFIESNLLRPNQSLLAASQVTQLRRRKARSIAMGGFSMDQSFVQAPEHRPKPTVTEATGIPLIDLSPLTGGGGGDAAAVDALAAEVGAASRDWGFFVVVGHGVPAETVARATEAQRAFFALPAERKAAVRRSEAEPLGYYESEHTKNVRDWKEVYDLVPGGLQPPIAVADGEVVFENKWPEDLPGFREALEEYMQAMEELAFKILELIARSLNLRPDRLHGFFKDQTTFIRLNHYPPCPSPDLALGVGRHKDAGALTILYQDDVGGLDVRRRSDGDWVRVKPVPDSFIINVGDLIQVWSNDRYESAEHRVTVNSAKERFSRPYFFNPAGYTMVEPVEELVSEEDPPRYDAYNWGNFFSTRKNSNFKKLSVENIQIAHFKRSVAA >KQK89997 pep chromosome:Setaria_italica_v2.0:IX:38424203:38424672:1 gene:SETIT_040469mg transcript:KQK89997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSSLKYSFIDLAPNCFSGSCKILFSEGVNLAVSVFPCEGDGCRPHR >KQK91865 pep chromosome:Setaria_italica_v2.0:IX:52384794:52386218:-1 gene:SETIT_039790mg transcript:KQK91865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLGSIAARPVPGSYGLPGQDKYFESRVEQHGSTVVRINVPPGPLNPRVVPLLDAKSFPVLFDVDKVKKRDVFTGTYMPSASLTGGYRVCAYLDPSEPTHAKVKQLLFSLLVSRKDAVLEEGGKSDFNKLNDATSFDFICDAYFGVRPSATDLGAGGPSKAAKWLLLQLAPLPTLGLPMFIEEPFLHTLPLPSILVSGVYKALYKYFSTAASEALDAAESLDLSREEACHNLLFATVFNSYGGFKKLFPGILADVSKAGEKLHQRLAAEIRTAVAEAGGEVTVAALEKMELTNSVVREALRLDPPVKFQYGRAKEEMQIESHDAVYVVNKGEMLFGYQPCATKDARVFGSTAGQFVGDRFVGDEGSKLLHVGNKQCPGKNLVVLVGRLFLVELFLRYDTFAVEVGKDPLGAKVTFTGITKATSGPGTQ >KQK91796 pep chromosome:Setaria_italica_v2.0:IX:51835020:51837109:1 gene:SETIT_036119mg transcript:KQK91796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEKGRAPCAQTGTTTSEHRGYKTRRRKSEPTTEPYDAVIVNARSMAPSRRGRMSCSPHQLVVVLLSAAAALGFGVRAGAAQLCGEYYDRTCPDVHRIVRRVLKKAHEADARIYASLTRLHFHDCFVQGCDGSILLDNSSSIVSEKFAAPNNNSARGYPVVDAVKAALEEACPGVVSCADILAIAAKISVELSGGPRWRVPLGRRDGTTANITAANDLPSPFDNLTALQQKFGAVGLDDTDLVALSGAHTFGRVQCQFVTPRLYNFSGTNRPDPTLDRGYRAFLSLRCPRGGDGTALNDLDPTTPDAFDNSYYTNIEARRGTLQSDQELLSTPGAPTAAIVGRFAGSQKAFFKSFARSMINMGNIEVLTGSQGEVRKNCRVVNGS >KQK91193 pep chromosome:Setaria_italica_v2.0:IX:48386594:48389146:-1 gene:SETIT_034564mg transcript:KQK91193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQRSSSTSSSNSSYSSSYHLVSPKSLLLLSFASSSLLFSFLFALFALRHGRPLPFASAHISANGSAVDIERAPALGGPVGGAGVVEVDEAVRGRRSKDWVAEGTRRAGAGDLSPAPVVGSGSAIEVKGEVTGGGNGGAPANGEVLGGQEIAEAGNYSSIGALGLAIDSKEEAVRVGVDGEKLEKDSSVSEKPNSAEGKNLSKKAETPLDVGNASASVEVTATAEKLEGAESVRAVNFSMEASGTVMVVRGESLQDGYVGNEYSSSVQAAYAYQRGEQRESSDHSAGKNNSVAAPANPVKQDPNLIEEAVASKMDSSQIDAVHCDVYDGGWVFDETYPLYTSDSCPFIDEGFSCGANGRMDDNYMKWRWQPKNCNIPRFDARRMLEMLRGKRLVFIGDSINRNQWESMMCLLRMAVSDPARIHETRGRKITKEKGDYNFKFLDYNCSVEFHVTHFLVHEGKARIGQKRTKTLRIDTIDRSSSRWKGADVLVFNTAHWWSHHKTKAGVNYYQEGDHVHPHLDAYTAYQRALTTWASWVDRYINPQQTRVFFRSTSPSHFSGGEWNSGGHCRESTVPLNDTHARPVPERNVILEQVTKQMKTPVTVLNITNLSGIRIDGHPSVYGRKAVGLTASSVQDCSHWCLPGVPDAWNELLFYHLVSSQEKRM >KQK90112 pep chromosome:Setaria_italica_v2.0:IX:39761954:39762842:-1 gene:SETIT_040093mg transcript:KQK90112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSARTTVPPPSRVRQILDEHRPREMVDNMALIIMDQTYDALLEILGAEAPRSADGHVEVTRPVDPADPASPLLSVNASAAHCCISLINGLHGGATSAEQESKPQQYRLPRATITASPGALHLARVAGGGGHWTCADVWPDVSGKGLFGVLEAIKARVGATIRLEASLLRMARGSGCQQSPKVREICEVRVALEKMRAAVDITAIMRRRRCQKRRRPLIQEEITCGRLDVDQACDAEALARRLSALHVGQKRGRQSRR >KQK91230 pep chromosome:Setaria_italica_v2.0:IX:48645677:48646639:-1 gene:SETIT_037437mg transcript:KQK91230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGKAAPMKKPSFGTRAWRLLRLAVLWARRGGAAHSLRLLRTLRRHGHGLGLGGGGARGDRLRHGEREFSIDETPAFRFRTPSARVLRLIPCIAPETPGLYGDDRYFFRDAAARALEEDDAEAYGSSYGADQLGSERAGGDEEEEEELSCYCAYGGGDDEQLLERAVAESCRASTAAEEDAGVDVKADEFIARFYAQMKLQRQISWLQYNEMMQRSVS >KQK90210 pep chromosome:Setaria_italica_v2.0:IX:40641773:40642820:-1 gene:SETIT_037329mg transcript:KQK90210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGDELKLLGMWASPFAVRVRLALSFKGLRYEYIEEDLSNKSDLLLSSNPVHKKVPVLIHNGKPVCESQIIVEYIDEVFRGKGLSLIPADPYERARARFWAAFMDDKLLASWVQAARGKTVEEKMELLKPTFAAVETLEAAFRECSKGRPFFGGDNVGYLDVMVGALVAWVHAAEARHGLKLFDASRSPLLNAWVDRFSKLDETKALLPDIRKLVEYANMREAQAAAAN >KQK91336 pep chromosome:Setaria_italica_v2.0:IX:49320014:49323050:-1 gene:SETIT_035157mg transcript:KQK91336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPHPTPFPGKRQLSPQSPASHSLILGSIRPPRRAFGTTRSNNVPVEKPAPPLQKPSKVSPPPPKKPSKLSPPPLLKPSKTSPPAVQKPSKLSPPATQKPSKLSPPNPVRATKPSKFAAKPLKKVAPGADLEAKAKKRSQRVSFQEAEVGAAAPRSGEKAKAYADDAAGHTPMVAMRAAEKPANVLAAETPFFSAQNCSSCTVDQLESATYWLAQIRLAESVGKHWVAAAFFRLAFECQAQPIHRIQSELRSYAVRHESAGTLTPLFDELLTAHGMPVNQPKFDVDGCEKIDTPIANAVDKNTDTATLKVDECLECDSGDDLIDVGVIIADKHDEDAMGQPDFQRKLDESFEFDDSEAVIVEQFDEENFDLLKNIEIKVPCSNESIQPACRSSTEKLSPRESVVAMDSSSGHLSLDKLSEKLSPGMGSSSSKRLSSVSSFDKKSPLSSERLTSSCPSYKKSAFTRDLSSKQMVSGSHSDVKPNAIAGVPDHESKVIQDVASECPALFYQLESRGPVDAAANYEMH >KQK92508 pep chromosome:Setaria_italica_v2.0:IX:55875468:55879538:-1 gene:SETIT_035863mg transcript:KQK92508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWDNLGELSNIAQLTGLDAVKLISLIVKAASTARMHKSNCRRFARHLKLIGGLLEQLRVSELRKYPETREPLEQLEDALRRGYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQSEIDNYLRLVPLITLVDNARIRDRLEYIERDQCEYSFDEEDKKVQDALLNPDPCTNPTIVLKKTLSCSYPNLPFNEALKKESEKLQVELQRSQSHMDLGSCEVIQHLLGVTKTVACTIPEEETNAKVSEKDSNYKESKGDVAKSYDDDDYPKKQKDACSTQRCSSPVSYGHDLVSRRGSYSDEWHADLLGCCSEPALCLKTLFFPCGTFSRIASVAKDRPMSSGEACNDIMAYSLILSCCCYTCCVRRKLRQKLDIAGGCCDDFLSHLLCCCCALVQEWREVEIRGAYGEKTKTTPPPCQYMEH >KQK89263 pep chromosome:Setaria_italica_v2.0:IX:22742559:22746133:-1 gene:SETIT_037777mg transcript:KQK89263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRASLLLAAALCVLAASGAAASRDLRPLRSASFVVRGRVWCDTCRAGFETPVSTYIAGAKVRVECRSKTTGLKSCTYEGHTDRTGTYNILVTDEHEDELCESVLISSPDMRCATTVPGRERAPVFLTSNNGVASNVRMANALGFQKDVALPRCAQVLKMYEDEDDHL >KQK89714 pep chromosome:Setaria_italica_v2.0:IX:35288029:35292338:1 gene:SETIT_035529mg transcript:KQK89714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGRAGGANRPAWLQQYELVGKIGEGTYGLVFLARLKPSHPAPGRRGPPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNSPINAYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKALCDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKNTPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPWWQNDQQHIQGHKYENPGLHNIVHLPQKSPAFDLLSKMLEYDPRKRITAAQALEHEYFRMDPLPGRNQERKLCNILFVQSILQQILKEQQAFNQLSRLQGMQLKVNPYRDQCHDKCSSNLWWGCQEWQLEQTWLPSMLRRRLAWLG >KQK89713 pep chromosome:Setaria_italica_v2.0:IX:35288029:35292338:1 gene:SETIT_035529mg transcript:KQK89713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGRAGGANRPAWLQQYELVGKIGEGTYGLVFLARLKPSHPAPGRRGPPIAIKKFKQSKEGDGVSPTAIREIMLLREINHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHREKLNSPINAYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGIIKIADFGLARIYQAPLKALCDNGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGVEAKNTPNPFQLDQLDKIFKVLGHPTVEKWPTLANLPWWQNDQQHIQGHKYENPGLHNIVHLPQKSPAFDLLSKMLEYDPRKRITAAQALEHEYFRMDPLPGRNALLPSQPGEKIVQYPVRPVDTTTDFEGTTSLQPTQPPSGNAAQGQSVSRSMPRQMQQQPMVGMPRVAAGTNMAAFNAASQAGMAGLNPGNIPMQRGAGGQSHPHQLRRKADQGMMQNPGYPQQKRRF >KQK86605 pep chromosome:Setaria_italica_v2.0:IX:2869208:2869279:-1 gene:SETIT_039603mg transcript:KQK86605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLLSGLNSGVVLSLIAVLWTV >KQK90134 pep chromosome:Setaria_italica_v2.0:IX:40052570:40053571:-1 gene:SETIT_039995mg transcript:KQK90134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAAVLLLLPGILFTAMAATPYPHGRGGDPLLGASKKYEGSSDLVDLRYHMGPVLSAAPLRLYVLWYGRWDPAHQAPVRDFLLSISDPSPPRPSVADWWATAALYADQTLANVTRRVALAGEAADESASLGRSLSRLDIQRVLASTVAAGHLPADTRGGAYLVLTAPGVGVQDFCRAVCGFHYFTFPSLVGHTLPYAGGRCADVCAYPFALPSYMSRSGMAALRPPNGDAGVDGMVSVIAHELAELATNPLINAWYAGEDPTAPTEIADLCEGVYGTGGGGGYAGKVAVDKQGRSWNVNGRKGRKFLVQWLWSPEAKACVGPNASD >KQK87072 pep chromosome:Setaria_italica_v2.0:IX:5448178:5452140:1 gene:SETIT_034415mg transcript:KQK87072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKSPVAAEERRCPVEEVALVVPETDDPSLPVMTFRAWTLGLASCVVLIFLNTFFTYRTQPLTISGILAQILVLPAGRFMAAVLPDREVRLLGGRLGSFNLNPGPFNVKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYKQTLSFVLALLIVLTTQILGYGWAGMLRRYLVDPAEMWWPSNLAQVSLFRALHEKEDGEKSRGPSRMRFFLIVFFASFAYYALPGYLLPILTFFSWACWVWPHSITAQQVGSGYHGLGIGAFTLDWAGISAYHGSPLVAPWASIANTAVGFVMFIYIIVPLCYWQFNTFDARRFPIFSNQLFTAAGQKYDTTKVLTKDFDLNVAAYDSYGKLYLSPLFAISIGSGFLRFTSTIVHVLLFHGSDMWKQSRSAMNAVKLDVHAKLMQRYKQVPQWWFLVLLLGSVVVSLLMAFVWKEEVQLPWWGMLFAFALAFVVTLPIGVIQATTNQQPGYDIIAQFMIGYALPGKPIANLLFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLAVAWWMLDNIENICDVEALHPDSPWTCPKYRVTFDASVIWGLIGPGRLFGRHGLYRNLVWLFVVGAVLPVPVWLLSRAFPEKKWIALINIPVISYGFAGMPPATPTNIATWLVTGTIFNYFVFRYRKGWWQKYNYVLSAALDAGTAFMGVLIFFALQNAHHELKWWGTEVDHCPLASCPTAPGIVVKGCPVF >KQK92127 pep chromosome:Setaria_italica_v2.0:IX:53855640:53857919:-1 gene:SETIT_035166mg transcript:KQK92127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDADAVPAAVAPAKRAPINKYAFASALLASMNSVLLGYDISVMSGAQLFMKEDLKITDTQIEILAGVINIYSLFGSLAAGFTSDWLGRRYTMVLAAAIFFTGALLMGLAPDYALLMVGRFVAGIGVGFALMIAPVYTAEVAPTSARGFLTSFPEVFNNFGILLGYVSNFAFARLPVHLSWRAMFLVGAVPPVFLGVAVLAMPESPRWLVMRGRIDDARRVLQKTSDSPAEAEERLLDIKKVVGIPEGVSDADDVAAIVRANNKGSRGDGVWKELLINPSRPVRRMLMAGLGLMFIQQATGVDCVVLYSPRVFERAGIKSKTNSLGASMAVGACKTFFIPISTLLLDRIGRRPLLLASGGGMAIFLFTLATSLHMMDRRPEGEAAALGAVSIAAMLSFVASFASGLGPVAWVYCSEIYPLRLRAQAAAIGTGLNRIMSGATTMSFLSLSNAITIAGSFYLYACIMAAGWVFMYFFLPETMGKSLEDTAKLFGKDADDDDGVVVAAGRHESKKSSTELSAQQH >KQK90299 pep chromosome:Setaria_italica_v2.0:IX:41370055:41371608:1 gene:SETIT_039785mg transcript:KQK90299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHVLCQPLLISAIAVALVHVLRRFLLGPKKARHPPGPWKLPVIGSMHHLVNVLPHRALRDLAGAHGPLMMLQLGETPLVVVSSREMARQVLKTHDANFATRPRLLSGEIVLYRWADILFSPSGEYWRKLRQLCAAEVLSPKRVLTFRHIREQEVASQVERIRAAGPTTPVDLSATFYNLAISIVSRASFGNKQRNADEFLTAMKTGVALSSGFKIPDLFPTWRPVLAAVTGMRRTLEDVHRTVDSTLEGVIEERKRARDEKARSGKAADAAAEEENLVDLLIGLQEKGSSGFHLNRDSIKAIIFDMFTAGTGTLASSLDWGMSELMRNGRVMGKLQGEIRAAFRGKAAVTEADIQAASLSYLKLVIKETLRLHPPVPLLVPRESIDECEIEGYKIPARSRVIVNAWAIGRDPKYWDDADEFKPERFEGNAMDFMGSSYEYIPFGAGRRMCPGISYGLPVLEMALVQLLYHFDWSLQEGVDEVDMTEAPGLGVRRKSPLLLRATPFAPETGCNVPV >KQK88972 pep chromosome:Setaria_italica_v2.0:IX:19093618:19094562:-1 gene:SETIT_0359351mg transcript:KQK88972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYNYQDVVFAPYGPRWRAMRKVCAVNLFSARALDDLRAVREREAALMVRSLAAAGQATAAVPLGRAVNVCTTNALSRAAVGRRVFAAGAGDDEGAREFKEIVLEVMQVGGVLNVGDFVPALRWLDPQGVVAKMKKLHRRFDDMMNGIIADRRKAGVTEEGKDLLGLLLAMVKDAGGEEDRITETNAKALIL >KQK89947 pep chromosome:Setaria_italica_v2.0:IX:37528119:37528759:1 gene:SETIT_0386482mg transcript:KQK89947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAEVGRSIPVPNVQALAQTCSGSDEQIPERYIRVEEAAEEVISGRDISSAIPIIDLNKLLDPQSSKEECAKLGSACNQWGFFQLINHGVPDEVIHNFRNGMAEFFKQSLEAKKMYSQVPAVLKGM >KQK92405 pep chromosome:Setaria_italica_v2.0:IX:55325751:55327166:1 gene:SETIT_036287mg transcript:KQK92405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAVPAALLLLLLLAVAAVGEVAAKTTVEDVTEQGGKEEESWTGWAKDKITEGLGLKHHPDVDEEEAARKAGHTAKSARESAQHAASEVGKKAGDAKDAAGDAAAGASSKAGHAKDQAKETVKGAAGEASSKAGYAKEKTKEAAEAASQRGAEAHERSKQGKAKVEEKAREKAGQGYEGAKDMAGKAHETLRQTTDAAAEKAGAAKDAAWETTAAAKDKAGAAKDAAANKAGAAANKAGAAKDAAWEKTGSAKDAAADKAGAAKDAAWEKAEAAGKKAQQSKEAAKGKATEKAASAKDAAWETVEAAKEKANEGYEKVKEKARETADTAKERIEEVKEKVAGPDADNKGKHRRADADKYRSEDEL >KQK89914 pep chromosome:Setaria_italica_v2.0:IX:37102054:37105576:-1 gene:SETIT_039823mg transcript:KQK89914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGAFVEGAPADSGEGYGRVTPFVVLSCVVAGSGGVLFGYDLGISGSSRRCTVKVSHYCEFNSELLTLFTSSLYVAGLVSTMVAASITRRYGRRVSMLIGGTVFVTGSVFGSAAVNVPMLILNRILLGIGLGFTNQSILLYLSEMARPQYRGAINDGFELCISLGILCTNILSYFVIKITAGWGWRISLSMAALPAAFLTIGTIFLPETPSFIIQRDGDTDKARILLQKLRGTTSVQKELDDLVSASNLSRTIKYPFGKICKRKYRPQLVIALLVPFFNQVTGINVMNFYAPVMFGTIGLKETASLFSSVVTRLCATCANIIAMMVVDRFGRRKLFIVGGVQMILSQFTVGAILAAKFKDYEDMDNEYAYLALRGHGGPLTFLVPAEVCPLEIRSTGQSIVVAVVFLMAFVIGQTFLEVLCSINSATFFIFGGWICLMTLFVYLFLPETKKLPMEKMEQVWRRHWFWKKIVGEEVDNKQAESGKTALPGL >KQK91747 pep chromosome:Setaria_italica_v2.0:IX:51571449:51572783:1 gene:SETIT_035714mg transcript:KQK91747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLARRARRAVTKAVSLGAGAGGVELAIPAHFRCPISLDLMRDPVTAPTGITYDRESIEAWLDTGRATCPVTHAPLRHEDLVPNHAIRRVIQDWCVANRSRGVERIPTPKIPVTPVQASELLFDLAGAARRRDAVPRCAEVVARIKALARDSERNRRCFASIGTGRALAAAFKSLASAADTAPAGRVLEDILAALVCMMPLDEEAAATLGSPSSLGSLVAIAESGSLAGRMNAVLAIKEVVSCDGAFVDLSRKLDEIVDALVKIIKAPICPQATKAAMVATYHLARSDERVAARLATAGLVPVLVEALVEADKSMSEKALAVLDAVLASEEGRESARAHALAVPVLVKKMFRVSDLATELAVSAMWRLGRAPRDGEEDDEVTRCLVEALRVGAFQKLLLLLQVGCRDATKEKATELLKMLNKYKGVGECVDAGDFRGLNKLSA >KQK91993 pep chromosome:Setaria_italica_v2.0:IX:53123366:53127915:-1 gene:SETIT_037087mg transcript:KQK91993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCSSRSSDSPASRATRWRSTGIVALRDARLKELPNEVLQVGNSLRTLDLTNNKLVEIPQEVGGLVNMQRLVLAGNLIENIPANIGYLRNLKILTLDRNRISILPEELGSLSNLQQLSISHNSLMCLPKSVGDLRNMLILNVSDNKLKELPESIGGCNSLEELQANGNSIEDVPSSICNLVCLKSLSLNGNKIRQLPENILKDCTALQNLSLHDNPIMRDQFQQMEGFNEFEARRRKKFDKQIDSRVMLGSTALDEGVDFH >KQK90728 pep chromosome:Setaria_italica_v2.0:IX:45364832:45368389:-1 gene:SETIT_034555mg transcript:KQK90728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHNIESSNANGFDTRPLKKAKCEQLNDCDLSPSPPSSTSLASSCDNIESSNVYDLDAQPLKEEKSEQMNDLDISLSPPSPTTLASSSLVNDEKHQDQHEQYKVDQTYDYLPQDYEMTDLDYCAQITIETSFKDDILVKIDDIFVRQAQLSCLLDSEKFLNDDVISAYICCIKDQAHLESRNDVKFYFENPFISILLKRDGKLGVGEDGNHITKIVRNYLKHEMILIPINIKEKHWYLAIINTQKCEIQVLDSLCWDFNRGDLADTLQGLQYHLNIIGRQQNLISHNWKDLQVISWIITEQLQEPMQKDGSCCGLFMLKFMEYWIGDSLSHPITQEDINHFRFKLAGILLCWKTNTAQTTPQNISLLGSSDGQKEPKASDSLSKETKYQSLMSVLSKISVHELVGGLCDYIKSINCPETLEKVWVRNSKPYSISLTLRKLQEILKEDLPMDRDCFNLVIRKFMFDDIQMMNKTKGTISKHYLDTRFWIITDFGRHPNFRKKIDVEQLAETVSSWPGVNYSVLRCKLVRHHFPIPISTSTVLAIIATSHTRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWNEDVSILITELSGYLVSLFMCIWKDEELQLPVLKDGYDLRKQFMAQLLTYKENECEDNMPAGVRDFLRCINATQS >KQK92843 pep chromosome:Setaria_italica_v2.0:IX:57465017:57466208:-1 gene:SETIT_036983mg transcript:KQK92843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPSAHALPTPSPRALVTRARRPAALMEVASLQHLGDSFSRSWLKRGAQAAPPPFDADLGHSFGSSRSFIDMDPAELFSMRWTTTAPPPETDFEFGLPGGGGGSSDPPSPVLVSASQIIRDGRLLPSEPVSRRSGAQQHHGDRVADLPSAPRSSPSSPLYHSAQSTPASLSSCSSGRSGVAGGKNASARPPPLFAAGRRGRPSSWKILVQYLRFLMPLYRKVRALRRFSAPRPRVAPASPARASTSSMEWCHGNADTAVRDAILYCKKSSGQDL >KQK93019 pep chromosome:Setaria_italica_v2.0:IX:58357983:58359840:1 gene:SETIT_039293mg transcript:KQK93019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTTSRSSRFKRICVFCGSSTGNKTTDRDAAIDLAQHLVWRDIDLVYGGGSIGLMGLVSQAVYHGGRHRVSGDTWGEVSPVADMHQRKAEMATHSDAFIALPGGYGTLEELLEVITWAQLGIHRKPVELVNVDGYYDSLLAFIEQAVEEGFISPSAGCIIVSAPTPKELMDKLEDYVPYYDRVASGLKWESASS >KQK89462 pep chromosome:Setaria_italica_v2.0:IX:30393036:30393528:-1 gene:SETIT_038375mg transcript:KQK89462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSPRADDDRSRDRQQLEKQMSPPRRAEGQVQTGSPQQAEAQARTTTGGERTTQMNPPRQVDKLTRTSPS >KQK90373 pep chromosome:Setaria_italica_v2.0:IX:42210573:42213406:-1 gene:SETIT_035368mg transcript:KQK90373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTHEKNADQFDRLSYGNLRGLDASGNSEESSFGNGCKDSSSVPPEKFGFPWLPVENCQSATLDHDKRPLSDVKPCQVACKRPKQTDHNTWLYSLEECPFTSETEISASALADELVETKQPDHIPGSNGATTCSVSSGIPCPNHEQSVGVENLQLPDWVTSFPSYFEDWGTVAGYNQVGDIDSPVHEYLPRKCVPIGPEHQADIPEWRPRVSVSVTGGSGFCADLDCSSVSTSEPVSRGYDFENAKWIRDCVIPISSCSSPVDWVGDNKADCECSDEGSVRCARQHIIEARESLKMSLGHDKFCELGLCEMGEDIAQRWTDEEEKRFQRVVFSNSGSLGKNFWDHLPLAFPDKTSKDLVSYYFNVFMLRKRAQQNRSDLLHVDSDDDELHGESSVACHEEEDSAVESPKHEHFIDNSLPIEGDHKEYGGEHITGPSFHECTSECRHLPNQMHLYSNAKNIAQNVYDQDELRASFEGQHNGAHTPKGVQCAEFS >KQK87071 pep chromosome:Setaria_italica_v2.0:IX:5432920:5442704:-1 gene:SETIT_034803mg transcript:KQK87071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLQHSRARLSPDEQLAAEKSFALYCKPVELYNIIQRRAVKNPLFIQRSLLYKIQARRKKRIQLTISLSGSTNTQAQNIFPLYVLLARPTSNITLEGHSPIYRFSRVCLLTSFSEFGNKDKTEATFIIPDLKTLSTSRACNLNIIFISCGQVGQIIGEDKCSGNEVEGSSLQKLEGKCSWGKIATNLLASSLEKCVTLSVGETADLISTVKMSPSFLEPKFLEQDNCLTFCSHKVDDTGSYQLKVHMSAEEAGARDLSLSPYSNYSYNDVPPSMLPEIIRLRAGNVLFNYKYYNNTMQKTEVTEDFSCPFCLVPCGSFKGLGCHLNSSHDLFHFEFWISEECQAVNVSLKADAWKTELLAEGVDPRHQTFSYRSRFKKRRRLETTAEKFRHVHSHIMESGSHEDAQALSEDDYVQRENGFSVADAPVDPSHTVPGSNLPPPTVLQFGKSRKLSVERSDPRNKQLLQKRQFFHSHRAQPMALEQVFSDHDSEDEVDDDIADFEDRRMLDDFVDVTKDEKLIMHMWNSFVRKQRVLADGHIPWACEAFTRSHGQQLVQNPALLWGWRFFMIKLWNHSLLDARTMNTCNIILQSYQD >KQK86869 pep chromosome:Setaria_italica_v2.0:IX:4222907:4226779:-1 gene:SETIT_034097mg transcript:KQK86869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVPDLGSDFAQKLLKDLRRRRERLGFESAPPPAQRGTANTAAPRDAYSNSRKPIQFQKPQQAAPAPRVGRSEAATNRLYRQGNSSIAGGSKPRRHDAPPVAHSHAIVPFQGGGGSKRTTPAANTGVDMQMALALALSNSGKLHNVQLVARQGTGASMFFGEPDRTTTQARHLLTPGAHVGKVAIGVQKLNDILMAYSSGGVRRGSVEIGKQLLRGAMDLEESLSMLMMLQDASDYMETSGEGKVLLLEGGKENWKSSTPHSTSSASARLVEIVDDDSETEQADNARSPSDAFMQIVPHSLSQNYRSNQSSPLQFTAVTNNSKSNATSGEKDDSKVRMPSLIAKLMGLENLPSAKAVAERKGTERFVKPEAVPRRATAKNAMVGTLPIRIIASERVPSKGQSKNFQTREWNISLTKSEEPVLSNRFSHLMSDKQTRQTMRQVLSKQEGTDRRASLSQVVDDKIVHQDMKLTEDSNQQKTAISAGKKMNFLQRFRKNAKNKPVTEEKDIVQENKQNLGKKQTISIKQRDSEVKPRRTREKFNKENLATPESKAQGKNGKTAKTDKMRRQPQSKLTDKHIMDIMEKKVQSYSRTTQSETASQNYRRTKSETASQNLEHKRPLRSEPKRTKEKFEYIAMTELKNAEETKVDDTGAPKPLDNTPSDDGVFKQSTVEMKDSNSTSGISADQSEKQFTEEINDPITTVEQTTADSIAEENDARVDHTSSETTQILETISEGELQEQQLQQMTEVHDQSRNGLDHIMKPDNLTDSKNHKMIVVSCDSFTENQLLLTEMLLKDPYLLETAKAITGFHAPVSAIHVNTGKWLDKGNEVLSDVGREVIRRKGKRTEAMVDVSTTRTANLKLQTLSDLIRELDGDIQSLNIPRKLHQQSDNSTAENLKMVLLSDIEHTHSDANSVWDFGWNRLRDLPIEKNEVVKDLEKNILGGIITDVARELIDVSLRHGCCACEA >KQK88563 pep chromosome:Setaria_italica_v2.0:IX:15099039:15100025:-1 gene:SETIT_038944mg transcript:KQK88563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTCTQMDAGHANWDDNTTKVFLDLCIDEKNKINYNKKGLTKLGWHNLNTNFKQQTGRKYSCKQLQNKFNRGTRIEENGANKYFHGKALSFYDELTTLFGSTDTEGSPMLCVGGIGDRIPSCGSEGNLDPVVEENVAWLEDNVGRSSVGRVSQRSGKEHVVDSPPPKKSKSMEYYVERISESMIQRTMNERNLISREEEEVMEMMHLVEQDGVPNGSELYFIATELFRSPARRASYRSITAAENRIAWLRWTWDNVKRK >KQK89360 pep chromosome:Setaria_italica_v2.0:IX:25254381:25256065:-1 gene:SETIT_037876mg transcript:KQK89360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPRHLHLDDVPCVAARTSPPHCSGRWPAEVPKQMGTSKEDSRLRPSQSAAGNTIGLMLALAFGFGRIIHDGLMFRQNFSIRSYEIVADRTTSIETLMNHLQETAPNHVKTAGLLDDGFGSTPEMSKRNLLWVVSQMQAIVERYPCWLTFCSD >KQK91411 pep chromosome:Setaria_italica_v2.0:IX:49650474:49651344:-1 gene:SETIT_039672mg transcript:KQK91411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INVHVPVPADEEAANGSAKNRPWTGEIKRKILASARRKRIRVVREEEEPRNANVAEKQSAPERKVAERQATTTQGLRPALKRGSSFRRDDGAPEAIAVAVRERKALRVRFDLPEEETIAAPVVEPPRFPTGALGEPPESFAHSGPEMTAAFLMSVTAADAGSATAIDPELVARWTERKEASSRRLRYLRDYCPFQREEGYEEDEESTPETTTLPAEPDHAEEAPTRVKTGLPFDSPESEAEFAKAIRSRFLRGLDGSSS >KQK87366 pep chromosome:Setaria_italica_v2.0:IX:6912903:6918310:1 gene:SETIT_035411mg transcript:KQK87366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALVERLEAAVARLEAAVASGASLALAAPRDLDVPAAADPVIVAYDEFVAEAVGRLNTAAEKIGGKVLDATKVLAEAFAVAKDLLVQAKQLQKPASMADAQGFLKPLGDVIAKATAMTEGRRPDYFNHLKSVADSLPALAWVAFLGKDCGMSFPTAHVEESWQMAEFYNNKVLVEYRNKDPDHVEWAKALKDLYVPGLRDFVKKHYPLGPVWGPVGGATASQPKAAAPTPKEPAAKAPPPPAPPSAPLFTTEKSPKSSRPKEGMSAVFQEISSKPVTAGLRKVTDDMKSKNRTDRSGVVSSTAAAPAAPEKTSRAGSFSFKSGPPKLELQMGRKWVVENQVGKKTLAIDDCDSRQSIYVYGCKDSVLQVNGKVNNITVDKCTKFGIVFKDVVAAFEVVNCNGVEVQCQGTAPTISIDNTSGCQLYLSKDSLGASITSAKSSEINVMVPSGATDGDWVEHALPQQYIHSFKDGQFITSPVSHSGA >KQK89437 pep chromosome:Setaria_italica_v2.0:IX:29702857:29703343:-1 gene:SETIT_040648mg transcript:KQK89437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFKLHLQLGFHASKHKAAYFEVSITCFLVSILNSSGCILSRFFW >KQK90065 pep chromosome:Setaria_italica_v2.0:IX:39270135:39273881:1 gene:SETIT_035996mg transcript:KQK90065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLRLPLVLLLPIALTVLLLLSTSSPRPRPPALQPLPCGAALSDAADGRWVPTPSPAPPPLYSPSCPFHRNAWNCLRNGRPKLAALSWAPTRCGGAVVPRIDAAGFLAAARGRRVGLVGDSLSENLVVALLCALRSADDGARKWKRRGAWRGGYFPREDVVVAYHRAVLLAKYTRQPVEKSKELQKDGIKGTYRVDVDIPADDWVNVTKFYDVLIFNTGHWWDTYKFPKETPLVFYKGGKPMEPPLGMQDGLKVVLNSMASYIEREVPRKTLKLWRTQSPRHFYGGEWDHNGSCVSDRLLEDHELDSWFDPRFGGVNKEARMVNSAIEEALAGTDIQLLNLTYMSEFRADAHPAIWLGKKDAVAVYGQDCMHWCLPGVPDTWVDILAAQILHYLKQEKG >KQK91186 pep chromosome:Setaria_italica_v2.0:IX:48350057:48350841:-1 gene:SETIT_039919mg transcript:KQK91186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIPAAERPRLTLEDYIVFFTTRGGKGLSLRQLNEIIYMHAFARLHRVPKPAMVDALRSVELMRPRRSTVPLNATAPPPSAAPAAAAALSADEATRDIEDLGWRECPVGSLLSVRAGVRSLDAAATPVPISAIARISPPSLLSASSPLPPAPPAAARKKRSPTGRGKAATKTRRRHVVELLTLPSVEMATSA >KQK90136 pep chromosome:Setaria_italica_v2.0:IX:40079362:40080196:1 gene:SETIT_039234mg transcript:KQK90136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRERVEGAVRQYNRSKVPRLRWTPDLHRRFVHAIHRLGGQHKATPKRVLQLMGVGGLTISHVKSHLQMYRNMTTDDLDIKEMQQVVDRTQMYAGVQVWTDMEQDHHGYYYCWCCYSQKELLLHDLQLK >KQK87941 pep chromosome:Setaria_italica_v2.0:IX:10325801:10328110:1 gene:SETIT_034469mg transcript:KQK87941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGGVDRSGFQMDYAGVGAGAGVSASRRRFVPTESLARGVITQGSAQLRTIGRSIRAGATMAAVFQEDLKNTSRRIFDPQDPMLVRLNRAFLISCIVAIAVDPMFFYLPMVTDEGNLCVGIDRWLAIATTVVRTVVDLFFLVRIALQFRTAYIKPSSRVFGRGELVIDTAQIARRYMRRFFAADLLSVIPFPQVVIWSFLHRSKGTAVLDTRDRLLFIVFTQYIPRVVRIYPISSELKRSSGAFAETAYAGAAYYLLWYLLASHIVGAFWYLLSIERVSDCWREACNEFPGCNVIYMYCGNDRQLGFLEWRTITRQVINETCEPGRDGVPPFNYGIYSTAVTSNVLKSKDTASKLLFCLWWGLANLSTLGQGLKTSIYTGEALFSIALAIFGLILMAMLIGNIQTYLQSLTVRLEEMRVKQRDSEQWMHHRLLPPELRDRVRRYDQYKWLNTHGVDEEALVQNLPKDLRRDIKRHLCLGLVRRVPLFANMDERLLDAICERLKPSLCTEHIYIIREGDPVEQMFFIIRGSLESITTDGGRTGFYNRSLLEEGDFCGEELLTWALDPKAGVCLPSSTRTVRALSEVEAFALHADELKFVAGQFRRMHSKQVQHTFRFYSHQWRTWAATYIQAAWRRHLKRKAAELRRREEELMEDDEGKNSIRTTILVSRFAANALRGVHRQRSRRTGAGNELLMPVPKPREPDFGSDY >KQK89202 pep chromosome:Setaria_italica_v2.0:IX:21854559:21855004:-1 gene:SETIT_040539mg transcript:KQK89202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRATQQVQCHEEGGWDRTIISPRFSGAK >KQK87209 pep chromosome:Setaria_italica_v2.0:IX:6098580:6106641:1 gene:SETIT_034111mg transcript:KQK87209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPSSPRHPPKYGSAVVQNALEQLASIDLIKLCKEAKIEHCRATRDLSSCGRYVHHVLTSCGHASLCAECSQRCDVCPICRSPITDNGNRVRFRLYDKCIEAGLISKQLDERFQEKEDYGNPVNTDVQRLHSLFDVALQNNLTSLICHYITDVCLDDNAVSSDPLLAFLLDEVVIKDWCKRAVNALISEIGMIYRSGLEMMESKLSQLQKFAAQLAGIYSVLEVMIASFTEAVSAHVNDLHQLIENTLKAKQHSEAMIWCIRHRFLQDIYSRYADYTSWSSDVIQRKASAEARKWPDIFDKGSGDSEANQGTLFIEQALQNLGIEQSYRSEEEEAAITRLQDEQSSSMFCSTITADHFSLNRYPFKNLREAVDVLFLHGASDMVIAKQAILLYYLFDRHWTRPDSEWRYLVDDFAATFGITNRTLLECLVFCLLDDYSSEALEEACSLLPKISSKEAHPKIAQVLLERQRPDMALVVLKCTGRDSFSVTESIEKDGISSLSEAVTAVRVRIEHGHLTEAFVYHRSYCSRVKEQRAADMTHAGDALRSSWIYHVEGMMTEFCNICIERNLVDKMIDLPWDSEEEKHLHKSLFDYAHEMPTEPCGSLLVVYYLRRYRYLEAYEVDRSLQRFEQKKLESTTEEIASKIRKIAQWRENLVAKCLDILPEVQRENMKAINSGEQSQFARTAQRSSPVSQVVRSPSPAIELSSSFTPVLQNKSSHHSKNINVSTHSGGLIRSSLSEFDRKLPSVLQSRAVPQGTPAFNMRSAGGIFPSVGQNGESPFFRGAKDISSRKGEAGFRKGIKPVDDALSMFLNLSSDDTPMKDYRTSLLKTEVNKTTPFQGKDSVGKGEFRFGSRAEKPFILNGTGVSQNGLPKVSGSAGFREDYKLPTENILSNKKSSVDEAAASKGVSRWRSDESSEDEGERRTNRESGDSLVTRRRPRFSRR >KQK92224 pep chromosome:Setaria_italica_v2.0:IX:54475606:54483760:-1 gene:SETIT_033957mg transcript:KQK92224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKLGGRGGGRGGGGGGSKRPPGPHGRGRGGASSIGGMGAPPRGRAAAASAAPAQPAGRDEAFRLESSGPPALAAIIRLTPDLIDEIRRAEEAGGGARIKFNPSVYNSSDNVIDVSGKEFKFSWAPEQSELCDIYEERQSGEDGNGLLLECGSAWRKVNVQRILDESAKNLVKMRSEEAERLSKSRKSIVLDPANPSVKNQAKAMTAAAVEGNMRRMNWKQKNELFKKNKAAVIAPTKSVSKVKLSNNIPKGNFSISPAPSPEQPGANIPSFPVGSDANNEVITPFDSNKGGNSKTEKATSSKMSKGINRRASAHSASVDDNTNEVRSLLISALSENPKGMSLKALEKAVADVFPNASKKIESIIKNIANYQAPGRYVLKPGLEVENSKRHTSEEGRSINENVEEFPPSLKIDDPDIFESIDVVDSPAATAGDGKVNNGSEDKARTSSESASDSDSDSDSSDSGSDSGSQSRSAAESGSGSSSDSDSDASSSSKEGSDAFVDITSDDDKANTAQTKVGDDLNLSSPPRDLTRLDVDDEQIDIGTNMDYTTTSPHIDLNNFNTHDDDAEAGGLAAGNLKKPSEITGSKNIPSTRMEPTRVDSKYNEMSYEDDLFDDSLRTISENLPNEEADQFTKQHANRRKSTSKDGSNHGSMSTIDKSAKPKLKRSSGNENSTAKPESAKKVKVDAASPGVTGSFSEHKKSLLPEKHMNDRLNKETGSVSRIASRDSSPAMKGRPLASGNIQKIDQSPNLPIPTMHSERPKENIEKSSLKKKTDKMQKPWHGTDGDFGTHGDGHHASFDGSDDSSTRKRSRHGDSLSDGKMLKRSKDANVNINSMNLSKTSGGNAGPDEITTFPEPNESNGELPSSQRDNFERSPHGKKKLQRELSDLELGELRESSLDNDNGRTRKQFERNSSSKSLDGKLTDINNSYPSMNSRKVHLTGFHDKGKPSPQEYGIGGHINQEAFPRKATGYDFDDNRPQQRGNVPENQHFPRTDNSDSENISYRPGDKTSKKESRMAQGGMLEYPDMKKKTTSRLPQNGSNNAIVPRTQKSLSPSDNEERSRNNSLIESETGRKRDSSSDDDNLFFSKYDKDEPELKAPIKDFSQYKDYVQEYNEKYEAYSYLNSQIEKTKSEFLKVQEDLNVAKERDKEQYYNTVERLRDMYRESGARHKLMKKVFVLLHEELRIMKQRIRDFTEAYSNE >KQK91930 pep chromosome:Setaria_italica_v2.0:IX:52763399:52767763:1 gene:SETIT_034355mg transcript:KQK91930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVFVRAVARRHVVWIAGCRAGSSDFSTGCWASAHYTDADHPFSGPVLQQTADLLAAPSLQAQFLIQPRFPRAREGPRPLGGYAAIRRSSPRCFPTLPGRTRPTSDSAAPPVGVRAPRACLRSLVVSRRCIPALRLSLFFYLLPPPPSPHSPTHRITRALPLLFTSPNNRHKAPLPEIPRRLVKMAEQVYTVASDSETTGEDKSQPSFPDVAIGIDIGTSKCSVAVWNGHQVELLKNTRNQHGMRSYVMFKDDNLSAGVTGGATRENAHEERDILSGSAIFNMKRLIGRTDTDEVVQASKALPFLVQTLGIGVRPFIAALVNNMWRSTTPEEVLAIFLLELKALVEMHLKHPVRNAVLTIPVAFSRFQQTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQLMHDNMGSGIEKIALIFNMGAGYCDVAVAATAGGVSQIRALSGCTVGGEDILQNTMRHVLPNFDSLYAGQTMDRIKSMGLVRIATQDAIHKLANQESVEINVDLGNGQQVSKVLDHSEFEQVNRAIFEKCEKIINQCLVDAKLVPEDINDVILVGGCSRIPRIRSLVLGLCKKEVSYKNVDALEAAVSGAALEGAIASGVTDPSGSLDLLTIQATPMNLGIRADGDGFAAIIPRNTTVPARRDMLFTTTHDNQTEALIAVYEGEGERAEDNHLLGYFKIAGIPPAPKGSVEISVCMDIDASNVLRVFAGVVKPQGPAIPPFIEVRMPTLDDGHGWCGQALAKMYGKTLDLAVLPKKLQP >KQK92926 pep chromosome:Setaria_italica_v2.0:IX:57902023:57905280:-1 gene:SETIT_036469mg transcript:KQK92926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANVALFFASSPSTSAASSFLSRRPLPRCCAAFPRRASSRLSVMAALGDDPIREWILTEGKATQIKGTRSIGGGCINSAQRYDTDAGPFFVKTNSRIGPEMFEGEALGLKAMYDTKSIRVPLPYKVGSLPTGGSFIIMEFIEFGRSRGDQSVLGRKLAEMHKAAKSDKGYGFHVDNTIGSTPQINTWTADWIEFYSKHRLGYQLELVSRRYGDSAIYEKGQRLIKNIRPLFDGAILEPCLLHGDLWSGNISSDTNGEPVILDPACYYGHNEAEFGMSWCAGFGGDFYNAYFQVVPKQPGFEKRRDLYLLYHYLNHYNLFGSGYRSSAMSIIEDYLYLLAV >KQK89232 pep chromosome:Setaria_italica_v2.0:IX:22250098:22251724:-1 gene:SETIT_038617mg transcript:KQK89232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAGRWLKSIIAGRKDGGGKKALPQQQQGDATPLPGGASSSSREKKRWSFRRPAPVAATATAQQGKAVCLAPSPLSTSLDPAAAGLAGVSVSGRDLDQNEHAVAVAVAAAAAADAAVMAAAEAAAAVARLAAAEDESDVSIPCAVEDAAAARIQATFRGYLARKALCALRGLVKLQALIRGQLVRRQANATLRRMQALVDAQSRLRAQRARMADADHVAAYQRRSPQHPRRRSSYKKSETRLVTMYGLLCRACAQEMDRSGEEHVKIVEVDISDPAARRGRSSCSAAATESREHRLSEYYCYGGGGVQCSPAPSSAAFGAELSPQRAYSGHFDDAFPFADHAATARSSPYVSPYPYDAGAAAGADGYGAVPSYMANTESSRAKARSQSAPRQRTDAPAAALERQPSRRRSGAPKKMMQRSSSHIGVPAAAAFGYGYGYGYGYGYEPPQQQRNPWAGVRLDRSSASVVGSECGSTSSVLTAATVGYCRSLVGFE >KQK87367 pep chromosome:Setaria_italica_v2.0:IX:6917760:6920808:-1 gene:SETIT_035791mg transcript:KQK87367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRWIMLALLGVIQLLRLPSVAPQSFIGINYGDVADNLPPPSSTARLLQSTTISKVRLYGTDPAVVSAFAGTGISLLLGATNGDIANLASSPAAASAWVAAHIPSSSPAVSTVSVGNEVLFADASLASQLVPAMQNLYDALPPNSSVKVSTVNAMDVLASSDPPSSGAFKPELSATLDPLLAFLSKTGSPFLINPYPYFAYLSDPRPETLAFCLFQPNAGRPDAGSGLTYTNMFDAMVDAVRAALDAKGYKDVEIVVAETGWPHKGDTDEAGATVENARAFVSGLVSHLRSLAGTPRAPGKSVETYIFAVYDEDLKPGKASERYFGLFQTSLTETYPTGLLRNGTAGLGPAMAPAPAPTSVQPPPALPTPQVTPAPPGSAAVAGPSGLCAPGTATARVACTHHNAAESSRTFSVLTIIAGFWFTALQMLI >KQK86974 pep chromosome:Setaria_italica_v2.0:IX:4825987:4826907:1 gene:SETIT_038798mg transcript:KQK86974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCRKMARVDVAELKQRLVKRLGRQRAGKYFAHLTRLLNLKLTKVEFDKLCFATIGKDNIALHNALIRGIISNALSGVPPPSRQAVTGQSGTTTAPSGQCVGVALPVVGNVGAVVDSGDGELARERGAPVGKVVSVEDGEEVEQVRSAPCVQSRSPITAPLGISVAGSSGLRMRRRMDDPAPSCYDSGHLLDTATLCEGLKRRLHSDGIGVTVQGVDALNRGLDEFLRRLIKPCMDLSRVRASSRRIGKVNEKFTGRMNGLQQPNLGYSTTLQDFAVAVQSDPHSLGPNWPTQIEKIQTMSFGGE >KQK89407 pep chromosome:Setaria_italica_v2.0:IX:27793641:27794180:-1 gene:SETIT_040343mg transcript:KQK89407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSATRSCTGTSVQPSLSTGFVVGSPPAMKSKWKKSFLALYSTTGAGESPSAAVGMW >KQK89354 pep chromosome:Setaria_italica_v2.0:IX:24826167:24826727:-1 gene:SETIT_040586mg transcript:KQK89354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFVVMISISRTVDPSKERVECMVKQEKNMMQLTHKYAAKGEGNIEDVALANCSY >KQK91383 pep chromosome:Setaria_italica_v2.0:IX:49526472:49527707:1 gene:SETIT_040361mg transcript:KQK91383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAITEVVRTLDKISKPATKKRQLERGGPSTTARTSSGGNQAQVKDQGQGS >KQK93079 pep chromosome:Setaria_italica_v2.0:IX:58674894:58676179:-1 gene:SETIT_036411mg transcript:KQK93079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPVPSVQAMVAATGGAHVPPRYLRPEVAADAVAGDGEAAIPIIDFERLLHPEVCRDESARLHTACQEWGFFQLINHDVPDDVIEGMKANVEGFFGLPAETKKQVAQERGQLEGYGQLFVVSEDQKLDWADILYLNTQPPQHRNMRFWPDKPGTFRSTLDAYSAAVNNVADRLLGIMSTNLGLQPEAIASKCVGGIQSMRMNYYPPCAEADKVVGFSPHSDADLLTLVLQVNQVQGLQVKRDDGSWVPVRPLQGAFVVNVGDILQIFTNGRYRSIEHRAVINTERARLSVAAFHSPSIHATIGPLRELVTDQEPEIVMYKTVDHESFMRLFFSAKLEGKSFLQRMKL >KQK90307 pep chromosome:Setaria_italica_v2.0:IX:41452724:41453878:-1 gene:SETIT_039492mg transcript:KQK90307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSKKRASATADLTDDLIVEILSRLPAKSICRFKCVSWHWYGLITNPEHRKKIPQTLSGFFYRSYKLNHEKDMVIFPDFVGIMGDEEQPFSDPSLTFITGYKLIIPKICCNGLLFCLCWKVYPRDESDYVVCNPATEKWVVLPESGDESIALVYCFGFDPAISPYFYVFQITDEDDDYGYIDGVNIYSPETGAWSRNKNGWGNELHLVDRGAVFLNGMLHLLTYDFKILAVDTQGKRWRTIPLLETMTVSCFWKGPEAFIGQSQGLLYYINMRAGDTSKLSVWILEGYDSGEWIFKYSINTSQIFGEKDLMFERDYALIAIHPECNLIYFVWRCEDMLMSYDMDRGKVCVSSLKEHLYDRPFLPYLPYVPFLSDSLSATRLGT >KQK89417 pep chromosome:Setaria_italica_v2.0:IX:28330990:28332527:-1 gene:SETIT_035663mg transcript:KQK89417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLVPLLLLSVLGSTSASASTDRHVNALVKLKVALVPATSNALADWDPAAAPPAHCSFSGVSCDAAARVVGINLTGIPLQGGVLPPEMALLDALENLTVTACSLAGSVPASLAELPALRHLNLSNNNFTGPFPAPAGAGEPYFPVLEVVDAYNNNLSGPLPPFGAAHTRLRYLHLGGNYFSGAIWESYADLTALEYLGLNGNSLSGRVPASLARLKRLREMYIGYFNLFDGGIPPELGELDSLVRLDMSSCNLMGPIPPELGRLAHLDTLFLQMNRLSGEIPTQLGGLRNLESLDFSMNELTGEIPAGLANLTSLTLLHLFRNHLRGNIPEFVANLPNLEVLQVWENNLTGNLPAGLGKNSPLKMLDVATNHLTGHIPPDLCAGGMLEVVVLMENCLSGPIPDSLAQCKTLRRIRLGKTMFSGSVPAQLFDLPETNMLQLTEDLLDGKL >KQK90655 pep chromosome:Setaria_italica_v2.0:IX:44267490:44268078:-1 gene:SETIT_037704mg transcript:KQK90655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHSRYKRRRVQTSEHIKKRPERRQLRLCMGSEPRRTLHLLISTAPIVVAAGATNCFGPAASTPAALAPPAAGASAGAGAGAGSRPRTTSSSLLRPFTMAGGRSAARRHTKAETARSLDDQPLVLPMSLLLLMMLLLSRRHATPADAWALMASLHLPKATPGPKAQRDKGGMSKAAHRRF >KQK88384 pep chromosome:Setaria_italica_v2.0:IX:13825049:13829027:1 gene:SETIT_035620mg transcript:KQK88384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSREAQVNGQHLTQYHLPSPEPTPSGHPVISARKGKGRTPEREPDATSSLLPDSDLSSARLHSHPHPRLRLDRPRRRRGWRRLRPMEAIRKQASKLREQVARQQQAVMKQFGGGYGADGAFADEADAQQHSKLEKLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLCEDGKKYGTENTCTSGSTLSKAALSFAKARSMMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQMKLREASGNGDMISRLEAAETKLQELKSNMGVLGKEAVAAMTAVEAQQQRLTLQRLIALVESERNYHQRVLQILDQLEREMVSERQRIEGAPPPVVESSMPPPPAYEEVNGIFMRNTVAELVETVEYFLAEAIQSYRAESDTELNLAAGDYIVVRKVSNNGWAEGECRGKAGWFPYDYIEKRERVLASKVGQVF >KQK92089 pep chromosome:Setaria_italica_v2.0:IX:53617093:53617582:-1 gene:SETIT_040386mg transcript:KQK92089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHALGGETCEFHPLCDIHIHPSLEQFFSLCSDLMIQPGKPNVQQDKLLRDVCYGAVTYSTTISVQ >KQK91558 pep chromosome:Setaria_italica_v2.0:IX:50546502:50547013:1 gene:SETIT_037943mg transcript:KQK91558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDGIWVLRAGKRGIWRGVVRAGESAAAARAGEIGAPTSPRESPSVPRADSERGKGKQKAKRAAAGLRRQGLAAPTPSTSTDPTPSSTSRRSSVPLLLRPPLHLPVRPVCSHVPLPVSSTLLFCLCLDILCSAACGRMLCSV >KQK88175 pep chromosome:Setaria_italica_v2.0:IX:12147359:12147721:-1 gene:SETIT_038832mg transcript:KQK88175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSLPLLVIFFLLFKLITSRCTSPSRTNPPILRLPPGPWQLPLIGNLPQGPHDLPHRALRELSQSYGPLMLLRLGAVPTLVVSSAEAAREVMRTHDLAFCSRHLSATIGILN >KQK91617 pep chromosome:Setaria_italica_v2.0:IX:50869704:50872351:1 gene:SETIT_035048mg transcript:KQK91617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFGKSTSKQTAKLKTLVKLTATRLAAVRRPRLGRRSIARSDVGQLLSIGHLDRALLRAEQVIEEDNMLEVLDVIELYCKILIEQAAQLENPKECGEEIKDAAAGLMFASARCGELPELLDARAILADKFGRDFAAAAKEGAPGVVDPTLVRKLSGERASLEQKRRLAKEIAAENDILLEFPQNPVEIRQVGRTTSQITNQREKEQSKNAPAREFVQESAAKTDRREVRGTHKPVDGKVNPSLAQLSVDEKVLRESNKYFDARMAAEAAFKSASFAAMAARAAVELSRTESQGKGPRGGGYDKARPVRTTAATEQGTAPPSWRPQKSPSPSPSWSDRSTATSVGSDAAYKGKEVLFDQSDEELEDVVWPPPPQRRPSYSRAASTVGTGVGAGASPWHGDARTRPFQDGVPENNHPQHRRHATEFAGGNARAPALHDALGGGQRGQYVAPPYRRNPAANTGRSSDAGAGAGAYESSAYVHPPYARIVSALERSNEHIARHEEVRRIGTDARVLQERVYGAAAPGHGHGPLNPEGRTNSVRTRR >KQK86576 pep chromosome:Setaria_italica_v2.0:IX:2738006:2743304:-1 gene:SETIT_034642mg transcript:KQK86576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSWADSVANAEESVPATVTATAPAVNHQSGRPTLSAYVPPHLRGRSAGPSSEIQAVPAAAPAPAEVRSAAVQPSGYASVVVGGSRWSGPTGGGGSSAIVGPRQGGGGGGGGRGGGGGWNSRPGWDRRDREPNPFANTEASDVDFEAQENTGINFDAYEDIPVETSGHDVPPPVNTFAEIDLGDALNENIRRCKYVKPTPVQRHAIPIAIGGRDLMACAQTGSGKTAAFCFPIISGILKSRPPQRQRGSRTACPLALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPIHQQLRELERGVEILVATPGRLMDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVDQMDMPPRGVRQTMLFSATFPKEIQRLASDFLADYIFLAVGRVGSSTDLIAQRVEFVLEADKRSYLMDLLHAQKANAAHGKQALTLVFVETKRGADALEDWLYKNGFPATSIHGDRTQQEREYALRSFKSGATPILVATDVAARGLDIPHVAHVINFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNLSLAKPLCELMQEANQEVPQWLERYAARSSYGGGGGRNRRSGGARFGGRDFRRDRDFRGGGGGGGYGGGGGYGGGGYGGGGGGYGGSYGGGATSSWD >KQK91664 pep chromosome:Setaria_italica_v2.0:IX:51112537:51115750:1 gene:SETIT_035096mg transcript:KQK91664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGSAAAADLVLKAACERCGAAKDLYGTSCRHTTLCTDCGRAVARARGRCAVCAAPVTRLIREYDVRLDTSAEKASFIGRFSTGLPPLSKRSSGGNRWSLRKEVPQGRQLTGNMREKYYSRRPWILEDETGEHQYQGQTEDPQATYYSLTLKGKDITAVPVGSWYNFGKVAQYKQLTLEEAEEKMNKRRSSASGCEQRLIKVAINGASAFSSGVKKLEDVNEGATNGVHPKKGDRNENGNQSVKVEEDEEGKAARKNSHGLTTKGMDEDDEEGGKDKDFDLDDEIEKGDHWEHEETFTDDDETLDIDIEERPDLADPEAAPPEIKQDDNETELGSSSSNLSKSGQELKKLLRQAAGEESDTDDKNTDEDEPPSPECAPKQLLEPKSEPVDSNPSKLTPSAQAQNPTPPSKSTQKRRSGGGDANTSNGAASKKIKTEPETRTMSVKDETPSSLEPTSEASLPARMTELSPVTEEEVRTVLRAIAPVSSQDLVSRFRSRVLTQEDKKAFLNIVKKISHMYKNNGRSYIVLRQEHK >KQK86306 pep chromosome:Setaria_italica_v2.0:IX:1222776:1225248:-1 gene:SETIT_034773mg transcript:KQK86306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRPLALTFFFCCILLLETICCSGAFVELSYDSTQVKIPSSSVITECRVMVTEKQHAYLFLKPFECRPKSCRPEHVAGSFVNEVLDPNRQLNISNIVVTATKRKLGRLRRTLQSIHASLGAAGLAQSVRVSPELMLSSLRIMAKDRAHKKQWSKIRESVRRSGSFVQVKIEAEANSELAVAAEIQEAVADVAALLGSDAGVVLHLKSRAAPSAVAMAKLVGDISREKRLLGVLVDVSSPRRELGEARATAHDEFSPVTNPAATPVTNPVTVPATNPVSNPMSPGFVTVPSTNPGDNGFSTNPNLPPLYPEPTTPATMPDPTTMPPSMVPTPFTSPVTAPTMPGPVTNPAAPVTNPATTPTQFPGTSPVTNPVTTYPYPQQGGAAGAGGIPTAPVYQPPATMPGTVQPSAPAVAGAGQTWCVAKTGLTDLAMQDGIDYACGMGGADCSAIQPMGSCYNPNTLQAHASYAFNSYFQKNPSPASCDFGGAGMLVNVNPSSGTCMYQTSSSGFGAGYSPGATGTVPTGYTPGMSGAVPTGYSPGWQGGVGGGSGSTVLNANNPGGNSMYGGSDNPTGLTAGAAPLSCGWVLCLIWMVTFAFVKEKV >KQK87274 pep chromosome:Setaria_italica_v2.0:IX:6347251:6347768:-1 gene:SETIT_040557mg transcript:KQK87274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFPNFLFQIVEKCLGKHCIDKKTRKNDSHLDQVNSMTLVEGATHCKFFTHITDKYF >KQK92858 pep chromosome:Setaria_italica_v2.0:IX:57542737:57544522:-1 gene:SETIT_037090mg transcript:KQK92858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEHAPCTKPRPDKAKKRKKPKKDKWGQPLSAAAAEEDPSVEPEQEPPAVGAEESAAAAAAAAGAEESEAAAAAEGYEPGKVVASGMPYTTTEVDIRKLFEFYGPLRSVQLSRFPDSGNFRGLAFVCFESDEDAAKSIELDGFKIGNRYMRVERCRVTASSNKKRKAEFQTDPEKSVGCLSAYVGNLSWNVTEKDLRAFFKSSKIASMRFAIDKRTGGSRGFCHVDFEDDESLEKAVAMNQSELQGRPVKVAYSVSNRG >KQK89886 pep chromosome:Setaria_italica_v2.0:IX:36739901:36745365:-1 gene:SETIT_034094mg transcript:KQK89886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAWHLLTVLVRLGRPVAASDLATAASAAALTTAASASTSAAALSVSPDLVERMCRIPGSPLRISGGGVVTASETAVLAFMRFAGLDVPAPRVLLRPPEVRKWSGEVTIRYERKRKVSDVSCFSTKRHRLLAPDSDLMEHSEQESNQLVAQTCAPAATGEVHLEVMQELQDRLPTISTFIGEPSLGLPTGATLVPNDAKITTLCLQPELAQSLKGDDGTVLGNMALTLVPTNLSDCCSVNLPPLDAEKSKNIDAEVDGKSSRIGESEQAAFLNCTVEDSDDLQKESVHPTTIHAVVAGETENHAGERENQAEDLNLVCKNPGSPINYNTKRDDSIEAFDTIPNQADALQYNCPDAGHHENLPTCGQEKNPLCANACAEVCKDKTTQILFQPPMDTKAAPIASQMNRNSEPEALPQEATRYDCMDMRDLNIIAENRESKYLNNGKQPWNEVEANVSKNGQDRMVAKQNEKTKKNALPKEDKDRFAAKAQKSHVVPKQLPSFKGFVIEEEEGSGGYGTVYRALRKKDGRIFAIKCPHPNAHPHHVNNELKMLERFGGKHCVIKYECSLKSGELECFVLEHVEHDRPEILKKEIALLELQWYGYCLFRALASLHRQDLHQKFLKNSKSETISCGKDTASQTLSKFAPVVHAKEAVDDSKQPLPLKRKRSSKNPVDSAPKIDNKSKHGTQAADVSGVTSAKDPTSTKTSLDRLKQPMPYKGRKELMNFLHEAMQSPNKNTVPAPASQRKRVAAPIGSVDRKLFMLTPMPLHSGGSAVAGSGTFNNKGHGKHRREGPCVGTKGFRAPEVLFRSFHQGCKVDVWSAGVTLLYLIIGRTPFGGDPEQNIKEIAKLKGSEELWEVAKVHNCESSFPSDLFDFKSFHSVDLRQWCTANTRRPEFLKLIPESFFDLLDKCLAVNPRCRLTSEDALKHDFFSPCRDSFRKPKMLRISAGSDAASSSSPQNIALTAKQS >KQK88220 pep chromosome:Setaria_italica_v2.0:IX:12383506:12384539:-1 gene:SETIT_036924mg transcript:KQK88220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHSKFICCKLYISESLNAMAIDAIDCAARSDLQVAVLSKFEDCLYNRVRYTLVSYIVNDSSTGEVIYSPIRKVLFAMMEAAFSAINLNLHSGAHPRMGVNDDLSFHPLGQSTMEDAVSLAKQVASDTGNDFRVPVLLYAAAHPAGKSVGAIRRELGYYRPNYMGSQWSGSMLPDVLPISPDEGPNNVSSERGATTVGATPFLENYNVPILSKDVAVVRRITRSVSGRGGGLPSVQALALSMVIAQRLHACWIRIMSVPIKFRQWWSRLQQTKDLMLRRGTSR >KQK89092 pep chromosome:Setaria_italica_v2.0:IX:20540834:20542444:-1 gene:SETIT_036596mg transcript:KQK89092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGSARVDEHGGDVEEARCMPAEVSWEMLDKSRFFVLGAALFSGVSAALYPAVVLKTHLQVAPPPQAAASAAAAAILRRDGPRGFYRGFGASLAGTVPARALYMAALEATKSAVGSAALRLGFAEPAASAAASAAGGVSAAVAAQVVWTPVDVVSQRLMVQTAPAPAAAHYRGGADAFRKILVADGVRGLYRGFGVSVLTYAPSSAAWWASYATAQRLLWRAVGPAHHDSRGATVAVQGASAAAAGGAAALVTMPLDTVKTRLQVMGAGSRAPTLAAAARDLVREGGWAACYRGLGPRWASMSLSAATMVTAYEFLKRLSAKEGSL >KQK91446 pep chromosome:Setaria_italica_v2.0:IX:49844769:49844943:1 gene:SETIT_040457mg transcript:KQK91446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIWMGKVYVWISSGNHRVCRSNHCSKLSKVLLQFGSPLLFPLKNSNI >KQK87636 pep chromosome:Setaria_italica_v2.0:IX:8340694:8343232:-1 gene:SETIT_035659mg transcript:KQK87636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAACPARTASAVDLRWLLSVAAGGLFALLLLLATSPFPLPTSSRLFVSPVSRSSSTTSSPRPLPPLFVESTLSRSTPPAATSPPRFAYLISGSAGDAGMMRRCLLALYHPRNRYILHLDAEAPDADRAGLAAFVAAHPVLSAAGNVRVIEKANLVTYRGPTMVTTTLHAAAAFLWGEGRGRGADWDWFINLSASDYPLVTQDDLMHVFSKLPRDLNFIDHTSNITWKAFARAMPVIIDPALYMKTKGDLFWVQEKRSLPTAFKLFTGSAWMVLSRPFVEYLIWGWDNLPRTVLMYYANFISSPEGYFHTVACNAGAFRNTTVNSDLHYISWDNPPMQHPHHLTLADWDAMLASGAPFGRKFPRDDPVLDRIDAEVLARPGAGTVAPGGWCAGGEGEERRGNGSGDPCAAVGNAGLLRPGPGAERLQTLVTSLLSEENFRPRQCVVEEEN >KQK91748 pep chromosome:Setaria_italica_v2.0:IX:51587866:51591636:1 gene:SETIT_036630mg transcript:KQK91748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPIPREWTGLQQFPAATQTKLHELLGKLKEENVSTLTILVMGKGGVGKSSTVNSIVGERVATVSAFQSEGLRPTMCSRTRAGFTLNIIDTPGLIEGGYINEQAVEIIKRFLLGKTVDVLLYVDRLDAYRMDTLDEQVIRAITNSFGKDIWRRALVVLTHAQLSPPDGIDYNEFFTRRSEALLRYIRSGAGINKREYGDFQLPIALVENSGRCKTNEHGEKILPDGTPWVPNLMKEITVVISNGSEPIHVDQKLIDGPNPNNRWKMFIPLILAVEYFLVVKGIRRAIHADIANGKVDDWEQRYRDLVGSRDPVEQKGSRNRKA >KQK92338 pep chromosome:Setaria_italica_v2.0:IX:54983882:54987594:-1 gene:SETIT_035403mg transcript:KQK92338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSVASTLPGLRPLLRRRPLLNPKALLRSRLPPRPFCALSSSAPPAAAAAPSVEGAGGAVAVEEHLTRCAAADRAPLRVAVLVSGGVDSSVALRLLHAAGHRCTAFYLKIWFQEDFRNFWSECPWDEDLKYAQVVCDKIDVPLEVVHLSDEYWNHVVSHIINEYRCGRTPNPDVLCNTRIKFGAFLEAIENLGFDYIASGHYAHVVHPSVENTEAPSVLQLSKDKIKDQTYFLSHLSQAQLRRLLFPLGCIKKDEVRRLAAQMDLPNQGRKDSQGICFLGKVKFSEFVERHIGEMEGIILEAESGDYLGNHRGFWFYTIGQRQGLRLAGGPWYVVEKDVQNNVVFVSRNYYSLDKRRRTFRVGSLNWFDDSGPGNSERLKCKVRHSPEFHDCTVTKEHTEENGDVLVVRLSEDDQGLAAGQFAAFYREDLCLGSGIILDSWDEMSFPVCSRALEIAKLEDKSSLGKPVRIINLEHIVKPEQEAIKVA >KQK89002 pep chromosome:Setaria_italica_v2.0:IX:19395748:19396800:-1 gene:SETIT_039433mg transcript:KQK89002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSPSSSSDDLGAPSRSTIVGRTETWHHLLDIKEYSYSKKLPTGNYISCPFTAGGHSWAIHYYPKGRNSSYAAFISVYLGLNKGVAANAVAEPVKALAKFSLLDQAGKPVPSHTHTTGQFDFSSESRGYLSYHDFIDRAWLEDSEHLKDDSFTIRCDIVVTTELRVEETRAAAPFVVVPPLDLHRHVGDLLVSKHGADVTFQVAGETFSALRYVLVARSKVFKAELFGPMKEGASIRVDDMEARVFRVLLGFVYTDTLPDDLGMDQQEEAAMAQHLLVAADRYNLERLKLFCEEKLCKLINRDSAATVLEARIQFLGFPLNLSGVKATEGFDHLARS >KQK90066 pep chromosome:Setaria_italica_v2.0:IX:39275496:39282914:1 gene:SETIT_033941mg transcript:KQK90066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMFMPCAVMPSSILPSQICSCSMPVWCRANFFSSQGLNCKNSPLPDSPLPPHSGLPSPSLRPGHLIGDMPETVAEANLRRQLEQTLAADPSSPLHHYNLGVFLWGRAEAEQEGDGDEARRLRAAAAEHFLAAAKLNPNDGVPFRFLGHHYARGGDTQRAAKCYQRAVALNPDDAEAGEALCDLLDVEGKESLELAVCNEAAGKSPRAFWAFGRLGYLQVHQRKWSDAIQSLQHAIRGYPTCADLWEALGLAYHRLGMFTAAVKSYGRAIELDSSRVFVLIESGNIQLMLGYFRKGVEQFRSALEMAPHNHSAYFGLASALLAWARNCVTTGAFGWAASLLKEASEAAKNCASLTGNLSCVWKLHGDVQLALARCFPWEDGKIKRGVDVQMFKDSVQEWRNACLSAANGAKLSYQRALHLTPWEANVHNDTAVCLDLIYSMDGNNRHNPNFWELSEKMSLGALILEPVNKDFWVTLGSMSHDLALKQHSFIRALHLDMSLSEAWAYLGKIYRQAGDKQLAKEAFDRARSIDPSLALPWAGMSAENYHQSGGGTVNESFESCLRAAQILPLPEFQIGLGTIAARTGNLLSPQVLMGVRQAVRRAPHYPESHNINGLVSEVRSDFQSAIRFYHQARFALGMMYNSKSDNKYALADVSVNLARSLYKAGLATDAVRECEELRSQGLLSMDGLQIYALALWKTGRSEEALSVSRNLAENLSGMKPESATEALGFICTLTYAISGKDTAAAVIHKLPGQLNYSSQLKFIISALDALHPNKRFQLPQLSMPPRLTSYEVMSEVHSNIALGKAIGGELDKPLRVDASLSYLKKVLHMYPNCSLVRNQLGSLLLWSGDWMASHKAVRVTSLTHGHTSSMGLRSAHQIQACAMVCCYATCTSYPKFSFPTCEHQYLSEHDEIHHLQRLVHREPWNQDARYLLVLAIFQKAREEKYPKHICIILKRLILQVLSNISNSRENKVVQHEVFLLLLLSSEICLQYLDYENCIGQAKEALRMTASSCVDTFFAHLQLCRAYAVQGDLLNSRNEYMNCLKNHTNIEMGWVILKHLESACSLEASSDEIDINLRECIKRNGSDPSKWMSLFNLVCAQCFVWDENFASAEKALAQACAEGDPDSCILFFNGATCMEIARRFAAPQFISRASSSLRKAQQKSHASLPLVSLLLAQAEGSLGSKTKWEKNLRLEWFSWPPELRPAEVYFQMHLLARQSAAAAFQQNQLVETMQNPESWLLRAIHLNPSCSRYWKALMQLMDA >KQK89932 pep chromosome:Setaria_italica_v2.0:IX:37437941:37439422:-1 gene:SETIT_038974mg transcript:KQK89932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSGERFANRVLSIHRFINRSLVGRLLPGARTSTVVPAAAPSELVRRLGDNALVVDADALLLNPSRGAAFPPYFLVAVEAGGYIRGLVLLALYPVLHVLSHGARAKAMAFVSFCGLRRDEAARIGRAVLPKLFFREASDMRAIEELKALPKEVKVVAVTRTFPTVMVEAFLKEYVGFNAVVGKELEGGQRYLTGVMTESEIDMKRLARVLKQTQKTSCISPKPMVFHDGRLAFTPTPVAALAMYIYFPFAVVLAVIRIAIYVLLPWRLSSVVAGLTGVRVRVIGATPAADDGDAEGTGKPRGGRLYACNHRTLLDPIGIACALKRPVAAVTYSLSRLSEVLSPIPLRRLTRNREEDRRRMSSMLARGDVVVCPEGTTCREPYLLRFSPLFTELALEVTPVAVDAITTVFYATSTSPVAKSFDSVYFLMNPRPEYSVQFLEPVNFEESGKSSIEVANEVQRALASALGFEGTALTRKDKYLLLAGNEGVVKTK >KQK93082 pep chromosome:Setaria_italica_v2.0:IX:58679951:58683120:-1 gene:SETIT_038847mg transcript:KQK93082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFRVRRPPSPWPPPPPPLHLLASARHRNRPPSRRIHAANSGDSQGKGKFLLPGATAAVLVMLGALHARRMYDDNKVVQRKEKGIEPEFSPDFKASFFRLLPLRSMSRFWGSLMEVDVPVFMRPTIYKTWARAFHSDLQEVALPLEEYPSLQAFFIRSLKEGARPVDPDPNCLVSPVDGKVLRLGELRGPGTMIEQVKGFSYSVSSLLGTSSSLHDAAEEELSRERIEQSTPENSNAKSWWRVSVASPKLRDQTVLSPKKGIFYCVIYLHPGDYHRVHSPVDWNILKRRHFSGHLFPQNERAVRTIRNLYVENERVVLEGRWKEGFVALAAIGATNVGSIRVNLEPELRTNRAVSMMVHSLAAEERVYEPEGTGVVVKKGEEIAGFKMGSTVVLVFEAPSREVSSASADFSFCVRAGDKVRVGEAIGRWSDES >KQK88730 pep chromosome:Setaria_italica_v2.0:IX:16657399:16657840:1 gene:SETIT_040641mg transcript:KQK88730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLRILKQGEAPKSKAKANRHIYILNKLCVLTYMVI >KQK91641 pep chromosome:Setaria_italica_v2.0:IX:50978235:50981438:-1 gene:SETIT_034085mg transcript:KQK91641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGSKGRARASRSQNPSLESDEEEEARRVKEEIADDLNGEPAEEDDDEEVVVEDEEVVIPSDDEEEDGGGGGDDGFVPRTLEEALVPRVGTVFDSVDEAFALYKAYAYRTGFHAVRRTCHNYEGLRYRSTFTCTYGGKSRAGAAPSDVPGTRYPLRSKRGAAAQEKKSRRGAAEKTGCKAMLLIRDKRVDDRWKVESVELEHNHPCTPDMVRFLKAYREMPESAKKKAKITDEMDGMVEKSLSEIAETRKFPTRPKRGASGGAAVGSHRFSRIESFVQRFGEDDLTALKKFVETMQCKKPNFIHSWDLDRERRVKNFFWTDSRAQAQYRYFGDVITLDVMYLQHSRSSLPLATLLGVNNHGHLVLLGCGLLSGDTKENYLWLLKRWLNCMNGKPPEAITTGYSDVIAEAVAEVFPNARHRFCFWHILKKLLENVGRTHEKEAISSRFKEVVYDSVTLTDFEREWGAMVDQYNLKDNEWFSALYSCRKQWAPGYVNHSFWAGTSAIRKVEKPDPYFDGVVTKTTLPVFLEQYETTLKGKLEREAYDDLRSYYSRLTLLSGLPFEEQLVEIYTVTMFQAFQDEIKQLMHVICKEVDRSGSSITYMASELIQGKKVDYTVVYNNSDKDVWCICRSFPSRGILCSHALAVLKQENVLMLPSKYILDRWRKDFRILTSSANTDCTESDRNLGIYDDLYSRGHEYFEDVIDIGAREPELKEFVLSAMKEAKDRLIRPDHSQPGDQRVDVNMTVTGPVSADTRVDVNMASHASALIQGDRRVDANMASNPPALVHGDTMTSNATALIHRDRRVEMKMPTTHLIHGEGRVDMNMASPHLMQRERRVDMNMASPHLIQGDTRVDMNLASPHFIHSDRRVDMNLASPHLMHGDRRVDMNMASPHLIPGDTRVDMNMVSTSQNGMHTFDLVNVNLESGSLPMAATEFMQLHPHPPVYHPKQLLDMRDQVMDANKRPNMETNTYFMGGGMHVG >KQK87408 pep chromosome:Setaria_italica_v2.0:IX:7192250:7196282:1 gene:SETIT_034101mg transcript:KQK87408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPTASTAPRRRREGAGGLWCASVLLALMALSAGAAAETLASDVEAMRAVAKALGADKTLGWDIAGDPCSPKPWDRVSCDSSGRVTAIQVGGRGLTGTLAPEVRNLTALTRLEVFGNFLAGPLPSLAGLSSLQVLLARDCNFTSIPADFFKGLTELAAVDIDDNPFAAWTLPDDLAACTALTNFSANTANITGTLPDFFGAIPGLQRLSLAFNQLSGPVPASLADAPLVQLWLNGQNGVRFNGSISFVSNMTSLEQLWLQSNAFTGPLPDFTGFDSLSDLQLRDNRLTGPVPDSLVKLKSLKKLTLTNNLLQGPMPKFSGDLKPDLIATTERFCLQEPGMPCDPRVSLLLDVAAGFMYPESLADVWKGNDPCSFPDVTCIQGNITRLNLANKGLSGSISPAIGKIRSLQILNLANNNITGTVPEEVASLPKLTEVNLSNNNLYGKLPTFAKNVVLNTAGNPNIGKDAPAPTAGSGDTGNDSPMGGGGSKSSGSNGGSSSSSAGVIAGSVVGAVAGLGLVAALGFYCYKRKQKPFGRVQSPHAMVIHPRHSGSDDMVKITVAGGNANGGARASETYSQASSGPRDIHVVESGNMVISIQVLRNVTNNFSEDNILGRGGFGTVYKGELHDGTKIAVKRMEAGVMGNKGLNEFKSEIAVLTKVRHRNLVSLLGYCLDGNERILVYEYMPQGTLSQHLFEWSENNLRPLEWKKRLSIALDVARGVEYLHSLAQQTFIHRDLKPSNILLGDDMKAKVADFGLVRLAPADGKCVSVETRLAGTFGYLAPEYAVTGRVTTKADVFSFGVILMELITGRKALDETQPEDSMHLVTWFRRMQLNKDTFRKAIDPVIDLDEETFASVSTVSELAGHCCAREPHQRPDMGHAVNVLSTLSDVWKPTDPDSDDSYGIDLDMTLPQALKKWQAFEDSSHFDGATSSFVASLDNTQTSIPTRPPGFAESFTSADGR >KQK90965 pep chromosome:Setaria_italica_v2.0:IX:46848687:46849451:1 gene:SETIT_040647mg transcript:KQK90965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHSDISVRKEARYHESVRQENTERSMPIPACTISFKLSMHVQEVPQPHVTYQGNYQL >KQK86261 pep chromosome:Setaria_italica_v2.0:IX:994324:997645:-1 gene:SETIT_035303mg transcript:KQK86261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLQGKDGKGGGQVGSDDHPSATAELRALWGMAAPITALNCVVYLRAMVSVLCLGRLGPLDLAGGALAIGLTNITGHSVLFGLASGLEPLCAQAFGSRNHDLLTLSLQRAMLLLFLAALPIALLWLNVGPILVALGQDPAISAPAAAYARFALPDLAASVVLQPLRVYLRSQGITRPMAACSAIAVALHVPLNVLLVFGLGFGVRGVAAAQALTNTNMLLFLLAYIRWARACDDTWRGWARITAVASGLPELARLAVPSCVGVCLEWWWYEVVTVLAGYLPNPAAAVGGAGVLIQTTSLMYTVPMALAACVSTRVGNELGAGKPRRARMAAMVALACALAIGAVHVAWTAALSRQWVELFTTEPAVVRLAAAAMPIVGLCELGNCPQTTGCGVLRGTARPAVGARINLLSFYLVGTPVAVYLAFGAGAGFSGLWYGLLSAQATCVALILAAVVWRTDWQVEAMRAKKLAGLELAPTTTAATTTNDAESKRLVAANGEPAEDV >KQK92361 pep chromosome:Setaria_italica_v2.0:IX:55135641:55136717:-1 gene:SETIT_038282mg transcript:KQK92361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRRGRISDDEINELISKLQALLPESSRRRNASRSSASKLLKETCSYIKSLHREVDDLSERLSGLMSTMDNDSPQAEIIRSLLR >KQK90001 pep chromosome:Setaria_italica_v2.0:IX:38442785:38443527:1 gene:SETIT_038019mg transcript:KQK90001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKPALFLALGLLFAVAAHGCTPYCPGPVVPTPPVVPTPSHGHGGRCPIDALKLRVCANVLGLVKVGLPQYDQCCPLLQGLVDLDAAVCLCTAIKADVLGIHLNVPVSLNLILNKCGKICPADFTCPQ >KQK89637 pep chromosome:Setaria_italica_v2.0:IX:34299289:34301857:1 gene:SETIT_036480mg transcript:KQK89637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPRAAVAGVVAAAAVALCLHGAAAQLCEDYYDDTCPDAYDIVKQVLIDAHRSDVRIYASLIRLHFHDCFVLGCDGSILLDNMTGMQTEKEAVPNMGSARGYDVVDAAKAALEDACPGVVSCADILALAAEISVELSGGPKWGVLLGRLDGKTSSISGANNLPAPFETLDMLRRKFRAVGLNSDVDLVALSGAHTFGRVQCLNIADSPADRLYNFSGTNRPDPTLDPAYRAFLIRRCPTKNGNSPVLNDLDPTTPDLFDKNYYTNLEVNRGILTSDQELKSSPQARGTTAPIVDQFARSQDAFFKSFAQSMINMGNIQPITDPSMGEVRCDCKKVNDS >KQK92759 pep chromosome:Setaria_italica_v2.0:IX:57128416:57128938:1 gene:SETIT_040342mg transcript:KQK92759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILCDFRVLLFGEDSKPDVLIKTMRLPASRCCLNLLKFKKF >KQK87843 pep chromosome:Setaria_italica_v2.0:IX:9715576:9718287:-1 gene:SETIT_037583mg transcript:KQK87843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAVAVAVAVPGVGGRAGGGGGAASSASAPCAACKLLRRRCAAGCVFAPYFPPGEPHKFANVHKVFGASNVSKLLQEIPVQHRGDAVSSLVYEANARVRDPVYGCVGAISSLQQQVEALQAQLALAQAEMVRLKMSNDYIVHRLKAASRGGGGSSYAGSPSSMSSPKTAEPEAHCKATPELLDMVVDQPGMDDAQFWSY >KQK89606 pep chromosome:Setaria_italica_v2.0:IX:33732224:33733522:1 gene:SETIT_038675mg transcript:KQK89606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTRSEPVLVHPAGDAAATVAEEYYFLSNLDQNVSVPMKTVHVFTSSSDNAASLMRESLSRVLPSYYPFQGALAVRPDGRLAVRNDRRGVPFVEAAADGELREVTDGDVSAPGAAEALANLVYTVRTGGEDATEEASSLLTVQVTTFKCGGLVLGLAMNHCLADGQSAAEFLRSWAEVARGVPLSTSPFLDRSLQSARPVPTISFPHDEFAEIDDVSGLAGVFGGDVPFVYRSFTFDASKLERLKKAASEDAAASTTTTTCSTFVALTAFVWVVRTRALRMRPEQRSKLLFAVDGRRRVEPPLPRGFWGNAVIFACCISDAGDLLGRPLSAAARSIQDAIARTDDAFIRSAIDYIERNRGARPSLTATTLVTAWNRLGLDTADFGWGQAVHSGPAELPQKEVVMILGGDRDSQSKVLVMGLPLSCVQVFEEM >KQK90730 pep chromosome:Setaria_italica_v2.0:IX:45376650:45377328:1 gene:SETIT_040219mg transcript:KQK90730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSKKIVLKVDIVGDECKATRAMNTVAKFCGVKSMAVDGDKGTLTVVGAVDVVRVAKALRKAGFEAHVLSVGPEEEKKPDSNPAKKPDEAAKLPPPPTCCAGCSACCPPAPAPVPVAPFPGAVVCYDERPAGNGCAIL >KQK91755 pep chromosome:Setaria_italica_v2.0:IX:51610745:51614180:-1 gene:SETIT_034399mg transcript:KQK91755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDSDGSKDASAGAPGSAPPEPPFPNRELTLSSYLCDKPPLASAAAGPSSPPNPAAAAASAAEDAAAAAASAKLCVERDFLHLSAPKRGDPPGDDSSVVGGKKPRLDSLQLSLSLSNDAPAPPPPSSSQPPSHLASLLPADGDLRGGSAATAAAAATTTAAAVPAAAAPPPRRTYSANTGRTRSINSDDMSYSYSVFSHNPSCSLTHNSTDIYAAGEGTNGSVHSRFNFRPMGDGSVAFATAPLKEGTSSFFPTELPARMVAPAAALSAGGSFDGSRGGMHSSRPDRILREIVSDSVASMAQVLQDFPSETLEVLRETVRNMIDAPERRDELSSLQRKLERRSDLTAETLGRANKTQLEILVAIKTGMAVFVTGKGRVSSSELVEMFLLTRCRNLNCKSALPVDDCECKICSTKKGFCSACMCPVCQKFDCAANTCSWVGCDVCSHWCHAACALERNLIRPGPTLKGTMGTTEMQFQCLGCNHASEMFGFVKEVFNCCAENWSPETQMKELDFVRKIFAASEDFEGKGLHAKAEEVLSMLAKKLITPSDATSSMLQFFKYGVTDYSVTGSKSKGILAAQTCKSTDMLHLQTPTITPPKSSFNFKPSTSILDTQLEALKASPKSLPIENHFSSASKDDDASSLETIVKCKEAEAKLFQKLADDARKEVDSYRHIVRAKTQKLEEEYATKLAKLGFQETEEKRRKKMEELKMLENSHYDYHKMKLRMQTEIQGLLERMEATKKMWV >KQK87858 pep chromosome:Setaria_italica_v2.0:IX:9794080:9797290:-1 gene:SETIT_035350mg transcript:KQK87858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASYHWLAVMAALVVALVAGLPAAGALGVNWGTMATHRLPSGTVVRMLQDNGIRKVKLFDADPGPMDALAGSGIEVMVGIPNNMLDMMTDYGTARDWVHENVSRYNFDGGVTIKYVAVGNEPFLSAFNGTFLNVTLPALQNIQRALDDAGLGESIKATVPLNADVYNSPVSNPVPSAGRFRSDIADLMTEIVQFLNQSGAPFTVNIYPFLSLYGSDGFPLDYAFFDGTSSPVVDAGSGITYTNVFDANFDTLVSALAAAGAGGLPVVVGEVGWPTDGDTHATAAYAQKFYAGLLRKLAANAGTPLRPSQYIEVYLFSLIDEDAKSVAPGNFERHWGIVRYDGQPKYPMDLSGGQGGNAAPAALVAARGVQYLPRQWCVANPNAPDTSRISDGVAYACSLSDCTALGYGSSCNGLDAAGNASYAFNMYFQVQNQAEGSCDFQGLAVTTAQNPSTDACNFTIQIEPSAAGRRRRAPVAAALPLLLVLRAVLQVVL >KQK91997 pep chromosome:Setaria_italica_v2.0:IX:53139954:53143062:-1 gene:SETIT_035452mg transcript:KQK91997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCLGAGYVGGPTMAVIALKCPAIEVCVVDISVPRIAAWNSDQLPIYEPGLDEVVKQCRGRNLFFSNDIEKHVAEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVAKSDKIVVEKSTVPVKTAEAIEKILAHNSKGIKFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGQKAVKALKDVYANWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVAEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVSGKKIAVLGFAFKKDTGDTRETAAIDVCKGLLGDKAKISIYDPQVTEEQIQRDLAMNKFDWDHPIHLQPMSPTAVKQVSVTWDAYEATKGAHGICILTEWDEFKTLDYKKIYDSMQKPAFLFDGRNVIDAEKMREIGFIVYSIGKPLDPWLKDMPAVA >KQK92842 pep chromosome:Setaria_italica_v2.0:IX:57459776:57459970:-1 gene:SETIT_039963mg transcript:KQK92842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHAAAAAAASSAAPWRSGGGSARHMPRRGQIKARIASAAVQSVASVLLKAIHGSHILVRNPF >KQK87770 pep chromosome:Setaria_italica_v2.0:IX:9220911:9223844:1 gene:SETIT_034320mg transcript:KQK87770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIAAARSPSPGPASRPCCGGGGLRRSADSSPFRPAASPPDSPQRSSSVCKNSGSRASPRPCGAEKENDPRDAARTHKVRTSGGVGCGGGGGVSKSFMAPTISAASKAVAPSASPRKRILGERNDPVPSSPGDHLAHSSAKPRGPPPPPPEATLGAPRRLRLSLDGVPAPPPPAAAPVASHAARHSFGGDEEVGNPACKNRQDAGSAAAAPYDPKTNYLSPRPRFLRYKPNPRVEMYRHSGGGSVRRLEDRFASESSSTEEDASEEEQEQTTLSSVADDFKEEETSALAPAPEARAEPAASAVASVLQPHAAPDSPLACVLTPEQESPRAGGVLTPEQEPSVSPAPARQKKKKRSPLRFLLAPLALVLFMAAAFVCVPPPPGSPVMLNTSLSKVSDFLSVQESYPVELAARLKQWSSSSLNFVTSYWEALASSQEQEVFGPHFVANLSAAPADGDAYHAVGCSAQTIPIIVEQELEIQEVDSESYTEMIADPDVESMAKFGDAEVEEPINDAEMEQEYAVPSFMEEANSSVDDAEVEEFIAEMAEEVSGSSGEEMAGEASGSGSEEMADFIQNSDIPSQSAAEPEQAEDMASLQQDVQTEDSEGDHADGKEDQEAHHGEKLGSDMWPSYLDKISNPATLGAALAAFIVPAALALLYMRQKQARVAMDSNEPVEQVEQVEQVGILSGSGSSEGVAKSSRSQNPVVEETEKLGGSGASQYSSSLSSGLGRRRKAREEGSLGLEPVVSRRDSTAQSTASYGSFTTYEKIPAKKGNKEDEAMTPVRRSRRNVKPPEA >KQK90397 pep chromosome:Setaria_italica_v2.0:IX:42345410:42351143:-1 gene:SETIT_034247mg transcript:KQK90397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRSGAKKKDKKNKVILPPELPPEVDDEEVYVSEEDIDFYGRHRFHTFDQKSIDRYVGRTAGHDEAEVERLYEERNKRKATDASRRPREEDDDLEVDPVDALPIKTLQGELVYNKAKKARYEENTGSMKSKAQENGADAKQSIKKEPTGKSKNKKGDDKVKNTQSQTEVPKGKLHSDVLEEVKKELSAEELFEKKKAQLAELGMAMLEDPELNIRSLNDMLSISNDKDQKVVKLGLMSLLAVFKDIIPSYRIRQLTEKELAVEVSKEVKKTRYYEYTLLRCYKTYLQKLISLEKQRHFYPVAVRCMCALLDTAPHFNFRESLLASVVKNLSSSDDVVRKMCCETIRSIFINEGKHRGEATIEAVRLIADHVKLNDCQLHPDSIEVFLSLRFDEDLGEHETEEQKVKPKKNKRWQNQEAPKQLPVSDKKKTRRELISKAREEVDADLRAVSFTLDPKERKGIQRETLSALFETYFRILKHTMSTSNLRSKASIVSPGASHPLLAPCLEGLGKFSHLIDLDFMGELISCLKKLSGYSDRQDETPHDNTLSVSERMQCCIVAFKVWRSNLEALNVDLQDFFVQLYNLILEYRPDRDRGEVLADALKTLLWEGRQQDMLRAAAFIKRLATFALSFGSAEAIAALITLKHLLQKNSKCRNMLENDSGGGSLSSLVAKYNPEAKDPYLSGALASVLWELSLLEKHYDISVSSMASNILSMANLNPTQNPVPILNVNPLEAYRDLSIERELSKPASKALSLNLKKKRRGKEFVVLSPDVLQKADCSVDKDKLEEKLQNHFAVLRGISENERLRAELNHTLSSINMYKEYKKQKKNTKSKIVRKKVARV >KQK86433 pep chromosome:Setaria_italica_v2.0:IX:1864980:1865822:1 gene:SETIT_037890mg transcript:KQK86433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNLERTIYPPQLLVSCQKFPARTSCAGFVVLKPSTKQKLRFEGDSYKKTLAEFLQVVPAFLGGKCRCPRCEKPRDSSVIQAGEGSKSQPRLLSVDDGSTVTDFDFDEAEITSPYSCENAIRAAIIGLLMICIFIAFLAGMNDPTSVPSSA >KQK89548 pep chromosome:Setaria_italica_v2.0:IX:32794759:32808362:-1 gene:SETIT_033963mg transcript:KQK89548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRRRRGGGPERAADNWERLVRAALKRDRDHLRAGGAAGGLGLAAAVPASLGRTTNIEQILQAADDIEDEDPNVARILCEQAYTLAQNLDPSSAGRGMLQFKTGLQSVIKQKLAKKDGAAIDRQNDIQVLWNFYLDYKSRRRVDDMQREQERLRESGTFSTEMGARAMEMKKVYVTLRALLDVLEILVGQSPTDRLHRQILEEIKKIKRSDAALRGELIPYNIVPLDAPSSVTNIIGFFPEVRAATTAIQNCEDLPRFPYDAPQLRQKDIFDLLQYVFGFQDDNIRNQRENVVLTLANAQSRLGLLVETEPKIDEKAVTEVFCKVLDNYMKWCRYLGKRVAWTSLEAVNKNRKIILVALYFLIWGEAANVRFLPECLCYIFHNMAKELDGILDSSEAEPAKSCITSDGSTSYLEKIITPIYETMAAEANNNNGGKAAHSDWRNYDDFNEYFWSRSCFELSWPPDEGSKFLRKPAKRKRTGKTNFVEHRTFLHLYRSFHRLWIFLLLMFQGLAIIAFRHGKINIDTFKVLLSAGPAFFILNFVECCLDVLLMIGAYKTARGFAISRLVIRFFWLTAVSTFVTYLYVKVLEERNARNSDSTYFRIYGLVLGGYAAVRIVFALMAKIPACHRLSSFSDRSQFFQFFKWIYQERYYVGRGLYESIRDYARYVIFWLVILACKFTFAYFLQIKPLVEPTNIIVQLHDLKYSWHDLVSRGNKNALTILSLWAPVLAIYLMDIHIWYTLLSALVGGVMGARDRLGEIRSIEMLHKRFESFPEAFAKNLSPRRISIGPVAQDSEITKMHASIFSPFWNEIIRSLREEDYISNREMDLLMMPSNCGNLRLVQWPLFLLTSKIMLANDYASDCKDSQYELWYRISKDEYMAYAVKECYYSTEKILHSLVDAEGQRWVERLFRDLSDSIAQGSLLVTINLRKLQLVLTRLTGLTGLLIRNETAGLAAGVTKALLELFEVVTHEFLAPNLREQFDTWQLLLRARNEGRLFSKIFWPNDPELKEQVKRLHLLLTVKDSAANIPKNLEARRRLQFFTNSLFMDMPDAKPVSEMIPFSVFTPYYSETVLYSMSELCVDNEDGISILFYLQKIYPGAAGGATGPAQGEGVEVAPEAGTVGPALGAGSGTAPVDVEPDENHGGAVPAGHNGSGGWSTGLVGNREERSGSEVEGGGEVVE >KQK91677 pep chromosome:Setaria_italica_v2.0:IX:51172046:51174183:-1 gene:SETIT_038035mg transcript:KQK91677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVYGGLKGKLGVEDAPELQLNRIRITLSSKNVKNLEKVCADLVKGAKDKQLRVKGPVRIPTKVLHITTRKSPCGEGTNTWDRFEFRIHKRVIDLISSPDVVKQITSITIEPGVEVEVTIADV >KQK91740 pep chromosome:Setaria_italica_v2.0:IX:51539924:51543488:1 gene:SETIT_034572mg transcript:KQK91740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEHGEGVGRCILVGLHMDAVGRDLLRWALNKAARRGDRVVAVHIYRKSDLCRTNTLRLIRTLDDYLAEYESLCSQKEIVLVGRATPGSSIQKELVKEAKLCAAMVVVLGANKKYSFGGSTSLAKYCAKKLPPTTTVVAIQNGKPVFVREAPKPPLGAEPKPVLRTVLHPSVGLEPKVIIPNPNRSARSMDFDAEGCGHAAAAAPATKSFDDATTASGGVTLEQRLGWPLLRREHAAAAAVAVPAPSAAKDHEPRKQSVVQWVMSLPRRTAPTESPGTHAGAGLASELKAMLDGGGARCRWFRYEELYDYTNHFSAENLIGNGGNSRVYRGSLACGQQVAIKLSKASAEASKDFLREVDIITKLQHHRIVPLIGVCVEGPNLISVYSYLPRGSLEDNLHGERSKPALSWENRYKAALGIAEALSYVHSGGSRPVIHRDVKSSNILLTEEFEPQLSDFGLAIWAPTNPTSLTHSDVVGTFGYLAPEYFMYGKVTDKVDVYAFGVVLLELLSGRKPISGDGSSPKGQESLVMWATPVLSSGDISDLLDPTLDVEHDEAEMRRMATAACLCIRRSARLRPPISQILSILRGESTASIADQGGAAELDCLDDEAYPAANVRSHLGLALLDVEDSESISSTEHSSGLSPLEEYLRERWSRSSSFD >KQK87762 pep chromosome:Setaria_italica_v2.0:IX:9189990:9190816:1 gene:SETIT_037249mg transcript:KQK87762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTALATSLLLGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGHGGTCDISAWDAFYLAVFWMLNTVGWVTFYWHWKHITLWQGNISQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSELIETLAWAHERTPLANLIRWRDKPVALSIVQPRLVGLAHFSVGYIFTYAAFLIALTSGKFG >KQK89415 pep chromosome:Setaria_italica_v2.0:IX:28133567:28134184:-1 gene:SETIT_038113mg transcript:KQK89415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKFATILFVAAVACAFAITSTSAATVTAQSCAEQIKYFTNCLARDEIRQQCCIVVENASCLCQLKQAVAVPCIPHRRHGHRCPRNVVPPAVQMAELQRLPCFKGLKCLRA >KQK90194 pep chromosome:Setaria_italica_v2.0:IX:40544899:40547271:1 gene:SETIT_039566mg transcript:KQK90194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPAPQHQKQHRAGLPPTPPLPAPAGPGSHSLHHPDACMDDSSAAARAQGGLPPRKAHRRSRSDVAFGYFQPPPPPKMEAGGWGLPVGAAGDDLFNAYMSMEGMDGLNNSDGDSRGSSGMRTNGADSSENESEDYGGGGGGGADSQFLLWGDAGKKKRNAAGEPAPPPPARHARSLSMDSLMGKLSFSANGEPGKFSLEFGGGEFTPAEMKRIMADEKLAEMALADPKRVKRVLANRQSAARSKERRMRYIAELEQKVQILQTEATTLSAQLTLLQRDSAGLATQNNELKFRLQAMEQQAQLRDALNEALTTEVQRLKLGDTSSSRAINLSQQMQLRCQDQMMEMHKQQGEQIPFYQLEQREQNGAPRNRDSK >KQK87520 pep chromosome:Setaria_italica_v2.0:IX:7764457:7768875:-1 gene:SETIT_034330mg transcript:KQK87520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRDSFLRPPTPYHAAGASAGKGTTAPTGGDTAAGNLTSSSGSSSSLTISPTALLREIHAAVKRQRPPGSLQTSFPRATRVLVSRAERTNKAGPSTSEVKNREGKVMQSQRGLLGPSRLQNATPDQQKISGTAKLGYSTPDELMLTTPSVLKNSTDTCGQSVDQNYHQKTQANLLADREKSSLEASSQIASRNSLVAESFKKEQFNSVVDPQLTSQSDNVGITVDSRMDSMLSYLHSVSLTAGESNPADHGAQYHQQKHQELEIADAAVDMELSISPADAPNLSQRGIEEARNQNHGEPMTRCSAIGSSVTAVSIHSGPTVQSSQAPQSSGYASPMQMPESAAESSKGVLGHCPQKEHAVATGVGDWIPLDQQARVGNGATDKAASSVGSLRAEGLPANDQSTSARDGGAPRPNKGEKERHKKNYDPNVFFKVNGKLYQKLGKIGSGGSSEVHKVISSDCTIYAMKKIKLRGRDYPTAYGFCQEIEYLNKLKGKSNIIQLIDYEVTDKSLLLEGSMSPRDGTIKDDHYIYMVLEYGEIDLAHMVAQKWKERNNSNMKIDENWLRFYWQQMLEAVNTIHDERIVHSDLKPANFMLVRGSLKLIDFGIAKAIMNDTTNIRRDAQVGTLNYMSPEAFMCDDTDSGGNIIKCGRPSDIWSLGCILYQMVYGKTPFANYKSFWAKYKEVTDRNHKIIYEPVDNPWLIDLMQRCLAWDRNDRWRIPQLLHHPFLNPPVPRDVPPVNHDPCRLLMERIRVHWDNPVVQKLRSVIEKLDEDQC >KQK87444 pep chromosome:Setaria_italica_v2.0:IX:7331425:7337746:-1 gene:SETIT_034613mg transcript:KQK87444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPVCSCSLPSPAIIPPRPRRHRHRTTTPSPPSHSRSLLHHQRLLRPTPLLASRGDRRRIVGGPAMFSVTKKATTPFEGQKPGTSGLRKKVTVFQQPHYLQNFVQSTFNALPAEEVKGATIVVSGDGRYFSKDAVQIITKMAAANGVRRVWVGQNSLMSTPAVSAVIRERIGEDGSKATGAFILTASHNPGGPTEDFGIKYNMGNGGPAPESVTDKIFSNTTTISEYLISEDLPDVDISEIGVSSFSGPEGPFAVEVFDSSVDYIKLMKSIFDFEAIKKLLTSPKFTFCYDALHGVAGAYAKHIFVEELGADESSLLNCVPKEDFGGGHPDPNLTYAKELVERMGLGKSSSNVEPPEFGAAADGDADRNMILGKRFFVTPSDSVAIIAANAVQSIPYFASGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKDNLGGGDKLVSVEDIVRQHWATYGRHYYTRYDYENVDAGAAKELMANLVSMQSSLSDVNKLIKEIRSDVSEVVAADEFEYKDPVDGSVSKHQGIRYLFGDGSRLVFRLSGTGSVGATIRVYIEQYEKDSSKTGRESSDALAPLVDVALKLSKMQEYTGRSAPTVIT >KQK93012 pep chromosome:Setaria_italica_v2.0:IX:58313591:58316565:-1 gene:SETIT_036405mg transcript:KQK93012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKQVLVRPCGYRRRYDDDDRGSSTGSGAREEVVLDQSPSPPPMSSCGRYVLHRVCRFDTLAGVAIKYGVEVADVKRANGLTTDLQMFAHKTLRVPLHGRHPPAAATTSPPSSSPSHADRSREWTTRRPPKNGASWDPFLKPPRSTVSPSMSLLQGYYGLTPTPQENLTNAGTEMATYAKGHHRKARSLSSSFSIENGDANRETDDAEKPIRRRQKADVELTTREDNGGSLLARAGQGLAMRPKPGSRADMNSSQQDLLATWMPSYGDGLQAVKKSSSTPEFQDSDSISIASVWLKSKWNLKPDAFTLTLPLPLFDGIPKPLFDSIPKPLLDNIPNSIAAWRNKAAKD >KQK87422 pep chromosome:Setaria_italica_v2.0:IX:7248053:7248249:-1 gene:SETIT_040639mg transcript:KQK87422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMFSSALPAIKFICSKVETSYLSEKVHCFSHEVVQLISFLITKGSESLI >KQK91003 pep chromosome:Setaria_italica_v2.0:IX:47140460:47147938:-1 gene:SETIT_033928mg transcript:KQK91003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGQPLLPSSSGSADSPLKQQAPARPSVASLGCLCTTDSFSSSLYEDCDTASVSLADEREVEPGHHQEVSDVSRVAEHFQSADSHFFHRLSVECSQKERQRKVSWGGAMEMQRSPSSLDIGMVSTSHEKPNRSQRVRNKSSQFEDPFSSEHEPRLIYINDPNRTNDRYEFTGNEIRTSKYTLITFLPKNLFIQFHRLAYVYFLVIAALNQLPPLAVFGRTASLFPLLFVLFVTAIKDGYEDWRRHRSDRNENNREALVLQHGDFRLKKWKNICAGEVVKIHANETMPCDMVLLGTSDPNGIAYIQTMNLDGESNLKTRYARQETTSMICDASYSGLIKCEQPNRNIYEFTATMELNSQRVPLGQSNIVLRGCQLKNTEWIIGVVVYAGQETKAMLNSTISPSKSSNLESYMNRETLWLSAFLLIICSVVATGMGVWLFKNSKNLDALPYYRRKYFTFGRENRKDFKFYGIALEIFFSFLSSVIIFQIMIPISLYITMELVRVGQSYFMIGDTRMYDSNSGSRFQCRSLNINEDLGQIRYIFSDKTGTLTQNKMEFQQASIYGKNYGSSLQVTSDFSHEISTTESLRQSGRKPKINVDSALMALLNQPLIGEERLAAHDFFLTLAACNTVIPVSTETSHDLTNEVDEIGAIDYQGESPDEQALVTAASAYGYTLVERTTGHIVIDVLGERLRLDVLGLHEFDSVRKRMSVVVRFPDNNVKVLVKGADTSMLSILKVEIGDGLYDSLHAKIIEATKNHLSGYSSEGLRTLVIGSKNLTDAEFIEWQESYEEASTSMHERSAKLRQTAGLVECNLTLLGATGIEDKLQDGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCRLLTPSMHSIIINGSSEFECKHLLADAKARFGIKSADFRRDSQGAEDLYNGDISKLRSSNGHMSESATPNFELTGVIAGDKSEYSEKVTNFDGTELALIIDGSSLVYILEKDLESELFDLATSCKVVICCRVAPLQKAGIVDLIKSRTSDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIAYMILYNFYRNAVFVLMLFWYILYTAYSATLALTDWSSVFYSLIYTSVPTVVVGILDKDLSHNTLLYYPRLYEAGLRNEGYNLTLFWITMVDTLWQSLVLFYVPFFTYNISTMDIWSMGSLWTIAVVIIVNIHLAMDIRRWVLITHLAVWGSIAATFLCMVLIDSIPIFPNYGTIYNMAASRTYWLSVCLIIVLGLLPRFLCKVIYQTFWPSDIQIAREAELLKKLPQPLGSRPESDIS >KQK90556 pep chromosome:Setaria_italica_v2.0:IX:43631402:43631704:-1 gene:SETIT_038746mg transcript:KQK90556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYKSSNNMSKVALMVAVLLLASQITSSHGTPLIVNRRYLLQSAATSASTMKGMIEGTTTPTDGGGAQGATEDVRPTTPTHSPGIGHAFINNKIGRKLLT >KQK87574 pep chromosome:Setaria_italica_v2.0:IX:7994347:8000308:-1 gene:SETIT_034174mg transcript:KQK87574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWHGVADRLRGKNKEAWNEGKIRGTVVLVKKEVLAVGDFHASLLDGVHKILGWDEGVALRLVSATAAEPSNGGRGKLGNEAHLEEAVVSLKSKTNGETVFRVNFEWDESQGIPGAVLVRNLQHAEFYLKTITLEGVPGKGTVVFVANSWVFPHRLYTQDRIFFANDTYLPSKMPAALVPYRQDELKILQGDNNAGPYKEHDRVYRYDFYNDLGEPDKGENHARPILGGSQEHPYPRRCRTGRDPTETDPNSESRLFLLNLNIYVPRDERFGHLKMSDFLGYSLKAIIEAVLPTIGTFIDDTPKEFDSFEDILGLYELGPEAPNHPIIAAIREKIPSEFLRSILPNGSHDHPLKMPLPNIIKSDVLKKAPEFNFGWRTDEEFGRETLAGVNPVIIKRLTEFPVKSTLDLRQYGDHTSKITEAHIQHNLEGYTVQNALKNNKLFILDHHDHFMPYLDRINKLEGNFIYASRTLLFLKDDGTLKPVAIELSLPHPDGQQHGADSKVYTPAHTGVAGHIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFVIATNRQLSVVHPVHKLLSPHYRDTLNINALARQTLINAGGIFELTVFPGKYALEMSSDVYKSWNFNEQALPADLVKRGVAEPDQSSPYGVRLLIKDYPYAVDGLVIWWAIERWVKEYLDIYYPSDSELQRDVELQAWWKEVREEAHGDLKDRDWWPKMDTVQQLARSCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRPMPEPGTKEYAQLEAGQEEADKVYIRTITSQFQTILGISLIEILSKHSSDEVYLGQRDEPERWTSDAKALDAFKRFGSRLVEIENRIKTMNDNPVFKNRKGPVEMPYMLLYPNTSDVDGTKGEGLTAMGIPNSISI >KQK87078 pep chromosome:Setaria_italica_v2.0:IX:5477325:5479971:1 gene:SETIT_036007mg transcript:KQK87078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPGVVAAALVVAAVAAFCGTDPLRMGSMVDFPGFEAHFVDLPDPAEMPPHADARERLRSAEVRFRGEVQGPESVAFDPRGRGPYTGVADGRVVFWDGERWAHFATASPRWTQELCGGPRASPLEYLPNEHICGRPLGLRFDKRTGDLYIADAYFGLLKVGPEGGLATPLATEAEGVRLNFTNDLDLDDEGNVYFTDSSIHYQRRNFMQLVFSGDPSGRLLKYNPQTKETTVLHRNLQFPNGVSMSKDGSFFVFCEGSRGRLSRYWLKGEKAGTVDLFAILPGFPDNVRTNEKGEFWVAIHCRRSLYARLMSRHVKLRKFLLSLPIPAKYHYLSQIGGRLHAVIIKYSPDGEVLDILEDTKGEVVRAVSEVEEKDGKLWIGSVLMPFIAVFDLTKAS >KQK90732 pep chromosome:Setaria_italica_v2.0:IX:45414003:45415801:1 gene:SETIT_036638mg transcript:KQK90732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQSCCHKKKLRRGLWSPEEDEKLMNHIAKYGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGTFSQEEEDLIIHLHSLLGNKWSQIAAQLPGRTDNEVKNFWNSYIKKRLRERGIDPATHQPLAEPAAAPCRAVFGDVVDLIPPTTTPLQAPLAADSMPLDGVKLPLDWPVAGTAAPPPSSLSRSSCYHQLQGACFDMDALQQHCAAAAVPAAPVVPSASSSSTLTSMAEAEHCNASVAGADGLPWLELGPNAVADAGHVDSYAGALDELRWSEYFDAAFQAAASQQGALQAGQCVYSGKDDVAVHFDVHGLSNWC >KQK93050 pep chromosome:Setaria_italica_v2.0:IX:58520270:58524466:1 gene:SETIT_034582mg transcript:KQK93050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDEQLATLMRGLRVQNLRDEQFADDNVCLRLVEVETADNNKGLPLVYNPEIISAYWGNRPRAVATRVVQLLSVAGGFISNLISDLINKKLKENEVARAIELREIVTSLGPAYIKLGQVLSIRPDILSPAAMIELQKLCDKVPSFPDDIAMTLLEEELGRLKETGELVAVKVQRPFVLETVTIDLFIIRNLGLVLRRFPQVSIDVVGLVDEWAARFFEELDYVNEGENGTYFAEMMKEDLPQVVVPKTYHKYTSRKVLTTQWIEGEKLSQSTEDDLLDTGFFHADPHPGNMIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYDAIVKDFVKLGFIPEGVNLDSILPVLAKVFDQALEEGGAKNINFQELAADLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGDPEFTIVDEAYPYIAQRLLTDESPRLRSALCYTMYGKTGVFDAERFIDVMQAFENFIRAAKSGGGENLKGNMAELADIGAQPSTCLVPIFPMAIAQPEQPVKARAALAFLLSERGNFFREFILDEIVKAIDAVSREQLIQIAVSFGIGNATPVFSMVPVRARGLLPTITEEDRVILNNVEKVVKFLTSRTATPTMNGDVNMLSVVQELLPVLPGISSKILPDILSRLSSRVWTVDQRGIFVKF >KQK91684 pep chromosome:Setaria_italica_v2.0:IX:51204672:51206063:1 gene:SETIT_039213mg transcript:KQK91684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATCSSAMTPPSRRAPSRTPPARAHDEHSVGWLPPGSPRWRALRKVCSAELFAPRRVDAHRSLRCDKVRRLASHVARLARKGVAVDVGRAAFTTVLNLLSCAIFSTDLADLDDRGASGALKGVIEEFTAAVGVPNVSDFFPLLAPLDPQRLRTRVGRVFDRLHAILDEQIERRMQERAAGEPPKNDFLDLLLDYRGAEDGQGFGRQTLLSLLTDLFSAGSDTSAATVEWAMAELLQNPSSMVKAQHELAQVIGSKQEIEENDIGQLKYLQAIVKETFRLHPPVPLLLPHQAETATEIRGYTVPRGARVLVNVWAIGQDPELWSEPEKFMPERFLEKEMDFRGKDFELLPFGSGRRICPGMPLADPKPI >KQK89702 pep chromosome:Setaria_italica_v2.0:IX:35160751:35173851:-1 gene:SETIT_033931mg transcript:KQK89702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIRRLGAAERRMVVDTLIANIQRDNLRLLRKQRQRMDRVGIRPPTVEVRWRDVSVEAECRVVQGKPLPTIWNAAISNLSAASRMLGFNRQHAKVRILNGVSGVVKPSKLTLLLGPPGCGKSTLLKALAGKLSANLKVTGEIEYNGVKLSDFVPEKTAAYIDQYDLHVPEMTVRETIDFSARFQGVGNRTAIMKEVIRREKEAGITPDPDVDTYMKIMGLDICADIMVGDAMRRGISGGEKKRLTTGEMIVGPSKALFMDEISTGLDSSTTFQIVSCLQQMAHISESTILVSLLQPAPETYELFDDIILMAEGKIVYHGSKSCIMSFFESCGFKCPERKGAADFLQEVLSRKDQQQYWSRHTETYNFVTADQFCDKFRVSQIGQNLAGEISTPYEKSEGHKNALSYSIYSLSKLELLKACFARELLLMKRNAFIHITKTLQLGLLAAITGTVFLRTHMGVDRVHANYYMGSLFYALILLMVNGFPELAMAVSKLPVFYKQRDYNFYPAWAYAVPAFILKVPISLVESIAWTSISYFLIGYTPEASRFLCHLLVLFLIHTGSLSMFRCVASYCQTMVAGSVGGVMSFLVILLFGGFIIPRPSMPNWLKWGFWLSPLSYAEIGLTGNEFLAARWLKYTVSGVTLGRRILMDRGLNFSSYFFWISIGALIGFILLFNIGFAIGLTVKRRRMVLPFTPLAISFQDVNYYVDTPAEMREQGYMERKLQLLHNITGAFQPGVLSALMGVTGAGKTTLLDVLAGRKTGGVIEGDIKIGGYPKVQQTFARISGYCEQTDVHSPQITVGESVAYSAWLRLPTEIDSKTRNEFVNQVLETIELDEIRDALVGIPGINGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAVKNVTDTGRTVVCTIHQPSIEIFEAFDQLMLMKRGGELIYAGPLGHHSCKVIQYFQAISGVPKIKDNYNPSTWMLEVTSTSMEIQLGVDFAQVYRDSSMYKDKDELVRRLSIPPLGTNNLHFPTRYPQKFWEQFKACLWKQCLSYWRSPSYNLVRIVFLTVSCIAFGVLYWQQGNINHINDQQGLFTILGCMYGTTLFAGINNCQSVMPFVSIERSVMYRERFAGMYSPWAYSFAQVAMEIPYVFVQIMLFMFIAYPLIGYAWELAKFFWFLYTMFCTLLYFLYLGMMMVSITPNIQVASILASMFYTIQNLMSGFIVPAPQIPKWWLWLYYTSPMSWTLNVFFTTQFGYEDDKKIEVFGETKSVAAFVRDYFGFRCDLLPLAAVVLAAFPIFFATLFGYSISKLNFQRR >KQK86130 pep chromosome:Setaria_italica_v2.0:IX:404620:406198:-1 gene:SETIT_037626mg transcript:KQK86130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLAFCEVAAKLPCWSECSGSRLLAAYRVRRGAVSCRWEKPGTFSLLWASPSYRRNSRQMQWAIRTMSDDSSGQPGNSTRLFSAIQSFWNKFSAKLKKARKGLATKIMFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYSASFGFLGRIRNMVTMFNYWKAGLTLGGFSSFHQAHAHFIKT >KQK86129 pep chromosome:Setaria_italica_v2.0:IX:404620:406546:-1 gene:SETIT_037626mg transcript:KQK86129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLAFCEVAAKLPCWSECSGSRLLAAYRVRRGAVSCRWEKPGTFSLLWASPSYRRNSRQMQWAIRTMSDDSSGQPGNSTRLFSAIQSFWNKFSAKLKKARKGLATKIMFFLIGFYCATAFATVIGQTGDWDILSAGLAVAIVEGIGALMYSASFGFLGRIRNMVTMFNYWKAGLTLGGFSSFHQAHAHFIKT >KQK92141 pep chromosome:Setaria_italica_v2.0:IX:53942441:53946133:-1 gene:SETIT_034578mg transcript:KQK92141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAVSDGGEGFEDIASRTSSHRRMDSIQHGHGYKVGFPPKKGLFAEFSDAVKETFFADDPLREYKDLPKSKKIWLGLQQVFPVLDWSRDYSLGKFKGDLIAGLTIASLCIPQDIGYSKLANLPPHVGLYSSFVPPLIYAAMGSSRDIAIGPVAVVSLLLGTLLQNEIDPNTHPLEYSRLAFTATFFAGVTQAALGFFRLGFLIEFLSHAAIVGFMAGAAITIALQQLKGFLGIAHFTKKSDIISVMESVWGNVHHGWNWQTILIGASFLAFLLVAKYIGKKNKRFFWVSAIAPLISVIISTFFVYITRADKHGVSIVKDIKKGINPPSASLIYFTGPYLATGFRIGAVAGMIGLTEAIAIGRTFAALKDYQIDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCKTAVSNVVMAIVVMLTLLLITPLFKYTPNAILSSIIISAVLGLIDYESAYLVWKVDKLDFLACLGAFLGVIFSSVEYGLLIAVAISLAKILLQVTRPRTALLGNLPRTTLYRNVEQYPDATKVPGVLIVRVDSAIYFTNSNYVKERILRWLRDEEEQQKDQKLAKIEFLIVELSPVIDIDTSGIHALEELLKALEKRKIQLVLANPGPDVIQKLRAAKFTELIGEDKIFLTVSDAVKKFAPKVVDNV >KQK88946 pep chromosome:Setaria_italica_v2.0:IX:18896703:18897569:-1 gene:SETIT_039707mg transcript:KQK88946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAKSLILCTVLAACLALAAAQGSPGTATFYGGPDGSGTMGGACGYDNLYNAGYGVLNAALSQTLFNDGASCGQCYTITCDRSRTGGQYCKPGNSITVTATNLCPANYALPNGGWCGPGRPHFDMSQPAWENIGIYQAGVIPVLYQQVKCSRSGGVRFSLAGSNYFLLVNIQNLAGSGSVGAAWVKGDKTGWIQMSRNWGANWQALAGLVGQGLSFAVTSTGGQYIQFLNIVPAWWQFGQTFSNNYQNFAY >KQK86831 pep chromosome:Setaria_italica_v2.0:IX:3994789:3997900:1 gene:SETIT_037933mg transcript:KQK86831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMRSHSNASSGMGVAPNIRETFVELQMKKAFRYVIFKIEEKQKQVVVEKTGATTESYDDFLASLPENDCRYALYDFDFVTGENVQKSKIFFIAWSPSTSRIRAKMLYATSKDRMKHELDGFHYEIQATDPTEMDIEVLRERAH >KQK87081 pep chromosome:Setaria_italica_v2.0:IX:5496153:5496981:1 gene:SETIT_037683mg transcript:KQK87081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTEAAASGTPGAGAEDGAGRPVSKALKVVAAVDASEESLQALSWALDNVVRCHPDASLVVVHAQHAVDHFVYPIATHGIAYAPPAAVESMRKAQEENSRRILARALGMCKERQVDATAAVVEGDAKEAICQAVERFQAGLLVLGSRGLGKIKRAFLGSVSDYLSHHACCPVLVVKPTKAHAK >KQK87033 pep chromosome:Setaria_italica_v2.0:IX:5158230:5159801:-1 gene:SETIT_035607mg transcript:KQK87033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPHVLALPFPAQGHIIPLMQLSHRLVEHGIEVTFVNTEANHTLVLDAMAANGAGCPSLDGIRLVGVPDGLADGDDRKDLGKLVDALSQHMPCYLEELVGRIEASGGTKISWLVADEGMGWAFEVAKKLGIRAACFWTGSAAFLASMLRIPQLIQDGVIDEKGWPKRQETFQFAPGMPPLHTSHLSWNNAGAPKDQPAIFQLVVRNNEAKDLAEVTVCNSFGDAEPAAFKLYPDILPVGPLFADVQFKKPVGQFLPEDARCLEWLDAWPDRSVVYVAFGSFTVFNPHQFEELALGLELTGRPFLWVVRPDFVAGLSKPWLDEFQRRVGDSGLIVSWCPQQQVLAHRAVACFVSHCGWNSTMEGVRNGVPFLCWPYFTDQFQNESYICNVWRTGLAVVRGADGVVTKEELSGKVERVIGDEGIRERVGALRDAARRSIAEGGSSHENFKKFVELLIIP >KQK90486 pep chromosome:Setaria_italica_v2.0:IX:43071166:43075171:1 gene:SETIT_036202mg transcript:KQK90486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLHDGGSNNGGRQEEARRRWCAVTGGRGFMARHLVAALLRSGEWHVRVTDLAADIVLGPGENEGLLGDALRDGRAVYASADVCNLDQLIKAFEGVDVVFHTAAADSSKNNLQLHYKVNTEGTKNVIDACMICKVKRLIHTSSIAVVFDGVHGLLNVNESLPYPDKFPDAYAQTKAEAEKLVMKANGINDLLTCCIRPASIFGPGDIVIPTLDQCGKTHFIFGDGKNRDDFVYVENVVHGHICADKTLSTMEGAKTSGGKAYFITNMEPMNMWDFLYMLHEELGYKRLFKIRIPLVVIKPVSYLVEWAYNVLLHHYGFCPPQVLTPTRIKYLTLHRTFSCNRAAEELGYKPIVTLMDGLKIAVKSYIRLRNTNSY >KQK91228 pep chromosome:Setaria_italica_v2.0:IX:48641649:48643138:1 gene:SETIT_038961mg transcript:KQK91228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNWGYLGDSQIFVQFTPKIKVASTRFSDYHFRNALAGCCTKIDLSRKLLVSLVVHFC >KQK88901 pep chromosome:Setaria_italica_v2.0:IX:18328729:18329183:1 gene:SETIT_040611mg transcript:KQK88901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLDDDLAEEKHEWACAVALLTASKWPTAPLSFAAAAALLRWRLDTTNAHLLHCIKILSS >KQK92171 pep chromosome:Setaria_italica_v2.0:IX:54132496:54137235:1 gene:SETIT_034590mg transcript:KQK92171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCPFQLWHIVVPTQRSSLPLVKQENKKDEYANSHSTNHFAPPSSSRTIVHPDGSPSFLSHCLTASQAAAGRRPSGPPPSPPRSGLPSPLPAILADLRQRQAPGGSNRLSRAPPSRGSSAAASSLLSLALLFAFHSRRAASGVVVRSRGEERQDVHRELPRGESERAVRPVGDRVGVPVRHDGAGARGQGRRLPMGRPPQVRQVQLPDQHRRPQARGDARGVGRQQRVHADGWGHRQQGGDLMGDQGQGAASQLLRLPHPGLHHQGRQLQRGGDLRPVQEPPAHGWDISNMNLADSMTRAKVLDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQVDQIIKDIREFKEKNKVDKIVVLWTANTERYSNVCAGLNDTVENLLASVDKNEAEISPSTLYAIACVMEGVPFINGSPQNTFVPGLIDLAIKNNCLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEEKFHSFHPVATILSYLTKAPLVPPGTPVVNALAKQRAMLENIMRACVGLAPENNMILEYK >KQK88153 pep chromosome:Setaria_italica_v2.0:IX:11990108:11992411:-1 gene:SETIT_035641mg transcript:KQK88153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMDEGYGPTWDSDDEYDNFIRKMNPPRIVIDNESSAEATIVRVDSANEYGILLEVIQVMIDLNLVIGKAYITSDGGWFMDVFNVTDKEGKKIKDDVTLAQIEDYIRKSLGADSRYIPSRRRSVGVAAAADHNVIELMGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEDTGLAVTDPDRLERIRERLSYLLRGGDLSRGAAMAVSSETATMHTERRLHQMMLDDGDYEQLQRQAPEQSQRPNVTVRNWNDKDYSVVTIRCKDRSKLLFDTVCTLTDLQYVVFHANIDAKDNQAYQEFYVRHVNGSPMNTETERLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVTTRGRMAVNTFYVRGSAGEAVDQKTIDSIRQAIGQNLQVKGQPEPPVAQKKESPTWFLFANLFRPRSLYSFGLFMC >KQK88152 pep chromosome:Setaria_italica_v2.0:IX:11989813:11992764:-1 gene:SETIT_035641mg transcript:KQK88152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMDEGYGPTWDSDDEYDNFIRKMNPPRIVIDNESSAEATIVRVDSANEYGILLEVIQVMIDLNLVIGKAYITSDGGWFMDDKEGKKIKDDVTLAQIEDYIRKSLGADSRYIPSRRRSVGVAAAADHNVIELMGTDRPGLLSEVSAVLASLKCNVVSAEIWTHNTRAAAVMRVTDEDTGLAVTDPDRLERIRERLSYLLRGGDLSRGAAMAVSSETATMHTERRLHQMMLDDGDYEQLQRQAPEQSQRPNVTVRNWNDKDYSVVTIRCKDRSKLLFDTVCTLTDLQYVVFHANIDAKDNQAYQEFYVRHVNGSPMNTETERLRVIQCLEAAIERRVSEGVKLELCTNDKVGLLSEVTRIFRENSLTVTRAEVTTRGRMAVNTFYVRGSAGEAVDQKTIDSIRQAIGQNLQVKGQPEPPVAQKKESPTWFLFANLFRPRSLYSFGLFMC >KQK89819 pep chromosome:Setaria_italica_v2.0:IX:36163089:36165228:-1 gene:SETIT_036254mg transcript:KQK89819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAEAVSRRLGAAVRDLSGAWYGRHMAAAERAIRTRLPLVDLVLEVRDARVPASSAFEPLRRRRPLDPDSLRVVVLNKADLADPSETEKWVAYVKKQGACPCIAVNSHNRESIKELLSVVQSRIWEIKHGQRDCTGTVLLVGIPNVGKSAIVNAMHQIGRIGAAEKGKLKHAIVSSHPGETRDISGYKVASHPNIYVLDTPGVLSPRFANDDSGPILALTGAIKGSFLEEYDIAHFLLAVVNSTHEYMKWENLNQVGDSSFYSGNANTSRSHNKKRQYVSDHTQDFIVKAVRQVLFETISSFKGDLRKEDEFRRLIDCQFTSLQEVFKVSIESSEDICKCVALKLLNLYRTGRLGHYTLDNVPDVVA >KQK92609 pep chromosome:Setaria_italica_v2.0:IX:56323916:56324406:-1 gene:SETIT_040675mg transcript:KQK92609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKNAPPYPKYTKNGVAIPLQRYCVLVAKILVTSMRMSR >KQK87673 pep chromosome:Setaria_italica_v2.0:IX:8621196:8622322:-1 gene:SETIT_038116mg transcript:KQK87673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLDCASGIASLSEADPRPGEPGRLPGLHDERLWTFLIGQPGQRKATAGSPTQILSLQPSCPGSPETLVLVKPAQELILQWKLFLSRRFNKVIKSLIKHQAGHALRAMWFA >KQK87010 pep chromosome:Setaria_italica_v2.0:IX:5066511:5067447:1 gene:SETIT_038385mg transcript:KQK87010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSTSDEAWAFWLRRLLFLLRWCGHLGVAGYLPRGGAALGVAVAGILDGGGCSSEPYHFVIVDDDGNE >KQK92763 pep chromosome:Setaria_italica_v2.0:IX:57154200:57156193:1 gene:SETIT_036243mg transcript:KQK92763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPQPLPAVTRAANEIGGGATSDQQGTGGAAARRAVKSLLFLAAVALPCLALYRAVAPGAGLVLPGAAAVPWRLGAPRDDVGLDGEDARLERVLRGAAMANDTVILTTLNSAWSEPGSVLDVFLESFRAGESTRELLDHLVIVSLDTTAHARCRQIHRHCFALVTDGVDFSGQKNFMTDGYLKMMWRRIDFLREVLEKGFSFIFTDTDIVWFRNPLPHFYPDGDFQIACDHFTGNPDDLSNSPNGGFAYVRSNTETIEFYRFWYAAREKHPGLHDQDVLNSIKRDSFVAELGVKIKFLSTELFGGLCEPSRNMSRVCTMHANCCIGLSRKISDLNAMLQDWRRFMALPRDEKHSVSWTVPRNCSLQKLER >KQK92911 pep chromosome:Setaria_italica_v2.0:IX:57828563:57831187:1 gene:SETIT_034390mg transcript:KQK92911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQRSHLAFLLLSSFALLAVCSAQERKNYVVHLEPRDDGSTDSVEEWHRSFLPEATLDSAGDGGPRIIHSYSHVLSGFAARLTEAEAESLRSKEGCLRLYPEEFLPLATTHSPGFLGLHLGKDGFWSRSGFGRGVVIGLLDTGILPSHPSFGDAGLPPPPKKWKGTCEFRSIAGGGCNNKVIGARAFGSAAVNNTAPPVDDAGHGTHTASTAAGNFVQNADVRGNAHGTASGMAPHAHLAIYKVCARSRCSIMDIIAGLDAAVKDGVDVLSFSISATDGAQFNYDLIAVATFKAMEHGIFVSAAAGNDGPVAGTIRNGAPWMLTVAAGTTDRTIRTTVRLGNGQEFDGESLFQPRNNTAGRQLPIVFPGRNGDPDARDCSTLVEAEVRGKVVLCESRSIGEHVEQGQMVSAYGGAGMILMNKAAEGYTTFADAHVLPASHVSYAAGSKIAAYVKSTPKPTATITFRGTVMGSSPAPSVAFFSSRGPNKASPGILKPDITGPGMNILAAWAPSEMHPEFVDDVSLTFFMESGTSMSTPHLSGIAAIIKSLHPTWSPAAIKSAIMTSSSTADHAGVPIKDEQYRRASFYSMGAGYVNPSRAVDPGLVYDLGTNEYIAYLCGLGLGDDGVKEITGRRIACAKLKAITEAELNYPSLVVKLLSQPITVRRTVTNVGRANSVYTAVVDMPKGVSVVVRPPMLRFSRVNEKQSFTVTVRWNGQPAVAGAEGNLKWVSNEHVVRSPIVIPPAKAVA >KQK89770 pep chromosome:Setaria_italica_v2.0:IX:35791669:35796835:-1 gene:SETIT_039584mg transcript:KQK89770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLGEPSSSSAIPAKVWQPGVDALEDGEELQYDPTAYNYFHQFVSIGCPFLSFDVVRDHLGLVRSEFPHTLYGVAGTQTPKGTPNYVGIFMLSNMKGKMPRPDGECDMDGDSSSDDEEGTKDTMQLKKVAHAGSVNRIRSMTQKPHLCATWGETGHVQVWDYSSFLNSLSDSGMEDKVIHKLVPLKVFSGHKDEGFAIDWNPLVTGRLVSGDLTKCIHLWEPTSSNWNIDANPFVGHSKSVEDLQWSPTEADIFASSSADKTIAIWDIRTGKKPCIIMKAHNSDVNVISWNRLANNMIASGSDDGSVSVHDYRLIKGVGSLAAHFKYHKKAITSIEWSPFEASTLAVSSEDHQLTIWDLAVERDAEGEDEFESKMQDHVKAPEDLPPQLLFVHQGQKHWKEVHWHPQVPGMLVATGIDGLDVLMPSNVAATLAYLDGWARAKP >KQK91611 pep chromosome:Setaria_italica_v2.0:IX:50828926:50829535:-1 gene:SETIT_038073mg transcript:KQK91611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGRCSAASRCLALGGLLAACLLLGAADAATHRVDWSFNADSWSRGKSFRAGDVLEFNYDPSLHNVVAVDAGGYYGCSSSGRAYGSGSDRITLGPGTNYFICSLNGHCGMGMKMAVNAS >KQK93032 pep chromosome:Setaria_italica_v2.0:IX:58446770:58449350:-1 gene:SETIT_035828mg transcript:KQK93032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPKPRRSQAAPTLAGKLRKHSTWLLLLLWFALSLYLFISATPSATAPLRRSAFLRSKARALSATTAGAAPPVRIYVYDLPARFNRDWVAADARCARHLFAAEVAVHEALLAYAGRAARPEDADLFFVPVYVSCNFSTPNGFPSLSHARGLLAEAVDLVRSQMPYWNRSAGADHVFVASHDFGACFHPMEDVAIADGIPAFLKRSILLQTFGVQGHHVCQEVEHVVIPPHVPPEVSRELPEPEKMQRDIFAFFRGKMELHPKNISGRFYSKKVRTELLQHYGRNHKFYLKRKRFDNYRSEMARSLFCLCPLGWAPWSPRLVESVLLGCIPVIIADNIRLPFPSVLRWPEISLQVAEKDIASLEMVLDHVVATNLTTIQKNLWDPVKRKALVFNHPMEVGDATWQVLRELEVLLDQSQRRYVGSWR >KQK91119 pep chromosome:Setaria_italica_v2.0:IX:47931397:47933123:-1 gene:SETIT_039402mg transcript:KQK91119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGNRGGVGVLATATYTFGVDVEDGVEVLSTPQESFIDMTFNSSDSARDYYNSYARHTRFSIRTDTSQIAGKEREFINLLHGCNITTTRASQIMGELYGSIAHCPYTEGDAKNLRVEYCAENKVKDMKATLDYFEELKNEDPDFYYNYTPDDEDRLENLSWVDGAARKAYKL >KQK91982 pep chromosome:Setaria_italica_v2.0:IX:53078129:53081246:1 gene:SETIT_035908mg transcript:KQK91982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMGMDAYRFSIAWSRILPNGIGQVNQAGIDHYNKLIDALLAKGIEPYVTLYHWDLPQALEDRYNGWLDRQIINDFAAYAEICFKAFGDRVKHWITLNEPHTVAIQGYDDGLQAPGRCSLLLHLYCKAGNSGTEPYIVAHNFILAHATVSDIYRRNYKATQNGELGIAFDVMWFEPMTNTTINIEAAKRGQEFQLGWFADPFFFGDYPASMRSRVGDRLPKFTTDEAALVKGALDFVGINHYTTYYTRHNSTNIIGRLLHNTLADTGTISLPFRNGKAIGDRANSIWLYIVPSGMRSLMNYVKERYNSPPIYITENGMDDGNSPFTSIKDALKDSKRIKYHNDYLTNLAASIKEDGCDVRGYFAWSLLDNWEWTAGYSSRFGLYFVDYKDNLKRYPKSSVQWFKTLLSSS >KQK90261 pep chromosome:Setaria_italica_v2.0:IX:41059468:41064240:1 gene:SETIT_035203mg transcript:KQK90261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALVPETAQRLLTPETLRTAAKQSQGIHLVPLSLRRAIKRYLRDQDKAHMNRKVLLLSASFDRAKGTGAELAAAATRGALLDDPNAPSGAEQRAARWKVRSAYGDIGLRYREDETVAYVASRMPAIYAACHRVLREVRRRLPEFAPAKVLDFGAGPSSALWAMRAVWPKSIERVNLVEPSKEMQRAGQSLLDNLKGLPLIHSYDSIQELNRNIEKHERGHDLVISSYALGEIPSLSDRITIVRQLWDLTSDVLVLLEPGTPQGAKIISQMRSYILWMEKRKCRKSEKSSSRPPSNVKSIVAHEASLKNGSFVVAPCPHDGQCPLENSDKYCHFVQRLERTSSQRAYKRSKGVPLRGFEDEKFCYVALRRGKRPEEAWPLDGMKFETLKERRAKRKPEDLSIDYDDQFPSEQDEEVPNDGGDSLVPYASDEHELSLFHDSEEAEEEEQTIRADLGGGWGRIIYSPIRRGKQVQMDVCRSTKRDASEGAFERVVVTRSKNPTLHFQARRSLWGDLWPF >KQK86695 pep chromosome:Setaria_italica_v2.0:IX:3340619:3342040:1 gene:SETIT_039655mg transcript:KQK86695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALTSIKGIGRRFSNIVCKKADIDMNRGSYQILLASELSPDELERLMTVVANPEQFKVPDWFLNMKKDYKDDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLDYWGLRVRGQHTKTRGKTVGVSKKR >KQK88649 pep chromosome:Setaria_italica_v2.0:IX:15745716:15749156:1 gene:SETIT_035154mg transcript:KQK88649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSREGKEVTNIPDNGVLLRAVSLSFMATWRANDSEVQRAAHALHTPFPRRCRGRRSARARGIAAQRTGAQRVAQRASLRETVLTSPYPDTLSHTVTLTRDAAKPERLCLELTARDGAEAVGGVEAVRAVAHPAAVPPGAARRRRQPRRGLPGLPRASPRQGRRRRRHRRRPQPVQGICDVLAETARRDHGLDADPLTDFVICCGQSEAFAAAIFATIDQGDEVLLFDPAYETYETCIELARGVPVYVRLDPPSWTLNEDKFLKSFTSRTKAVVLNSPHNPTGKVFSKEELLIIAQACQKMDCFAITDEVYEYITYDENKHISLASLPGMQERTIITSSLSKTYSVTGWRIGWACAPASIASAIRNIHIKLTDSAPAPFQEAALTALTSTPDFYSSLKKDYEVRRDFILQLLKDFGFHISFKPQGSVFVFAELPLSWQLSDIDFVTNLINDAGVAAVPGHGFFHDNCDRESYHNQYVRFAFCKSDDTLMAAALRMRKLADSKVKTWLTGSGSARQEDQTASASL >KQK86517 pep chromosome:Setaria_italica_v2.0:IX:2391309:2391783:-1 gene:SETIT_038540mg transcript:KQK86517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSISNLPSTRDETRGAAAVEKLRGLGLSNVIFHQLEITDAPSIARLAGFLKTRFGKLDILVCVAGDDIVDGSSGVSFLSSAKQCRSCWP >KQK88984 pep chromosome:Setaria_italica_v2.0:IX:19178122:19185997:1 gene:SETIT_034087mg transcript:KQK88984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIPFVSKRSHRSSEAAGPSNQPPAAPQQQPPSPARTAAASASSSPPAAEEDFISQEEEYQMQLAMALSVSASVSDAGGAGDPDGEQIRKAKLMSLGRGNPGAAGDQGGGGTAESLSRQYQEYNFLDYNEKVIDGFYDICGLSAESSRQKKIPSLSELQMSIGDLGFEVIVIDHKFDNALREMKDVAQCCMDRDDIPVSVRRIAEVVAEHMGGPVIDANEMFTRWLGKSIEQRTSHQTSLLPIGRIEIGLSRHRALLFKILADSVGIPCKLVKGSHYTGVEDDAFSIIKMDNDREYLVDVMAAPGTLIPADVFNSKGTSFNSNQTGQNQVTDSITNTDNEPVALQFESNHNQLHTPSNNNWIPDNHSGHAKTTTPSVLNPCADTLSMTAGVSSVPCALVPQMQSDQPSTAGTLLKQKQDLKLLQNSQDKEECKRLFSDLNPLRDIGPGKSSVALKRPDNRNNEFQRRRENVAPVPARSQQPLVIKNWSAFNDISNNKQYNFAEGSVPRRNVINNVASSSQLAWSAAKHYNSNAVERNNRSYVAPVRNYDNGTIGTSATTAASNSGERLDKSNMGVASDYDMIGTSSANTACTYQIGKVAEKGPCDDLEKGSMYSVFDSQLSVSAQGLVLQANENKENYGRHEHQKLYPDLRKSPPDRFMGAPKQHSGAISPSQVGSSRVDIVLEDVSECEILWEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFYGDALDEFRCEVRIMRRLRHPNIVLFMGAVTRPPNLSIVSEYLPRGSLHKIIHRCEIDEKRRIKMALDVARGMNCLHTSVPTIVHRDLKSPNLLVDDNWTVKVCDFGLSRLKHSTFLSSKSTAGTPEWMAPEVLRNEQSNEKCDVYSFGVILWELATLRTPWQGMNPMQVVGAVGFQDRRLDIPKEVDPLVAKIIRDCWQKDPNLRPSFGQLTSYLKTLQRLVVPSHQEIPNPHTQQQIWVNHTP >KQK92896 pep chromosome:Setaria_italica_v2.0:IX:57758294:57763875:1 gene:SETIT_036499mg transcript:KQK92896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFETLDNSKKSNKPKYSKFTQQELPACKPLLTPAIVISAFLLVGIIFVPVGLASLSASQEIVELIDRYDTNCVPMADKVGYIQDSKTDKSCTRTLTVPKHMKGPIQIYYQIGDFYQNHRRYVKSRSDKQLRYKNAAHLTKDCEPEGFSADGAPIVPCGLIAWSLFNDTYTISVNKKVIEVNKKDIAWQSDKNKKFGSDVYPRNFQNGNLIGGAKLNESIPLSEQEDLIVWMRTAALPTFRKLYGRIETDIMANDQLTVVIQNNYNTYSFGGSKALVLSTTSWIGGKNNFIGVAYLIVGGLCLFLALVFVVLYMFKPRTLGDPSFLSWNRDTLDCPN >KQK90654 pep chromosome:Setaria_italica_v2.0:IX:44260717:44264825:-1 gene:SETIT_034750mg transcript:KQK90654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLTENRTRNTLIVVVVFGLCSFFYLLGAWQRSGSGGGDRIQNWVNEQTKCAQLPNLNFETHHRASDLPNDTGSSKIKPFEPCDEQYTDYTPCEEQKRAMTFPRDNMIYRERHCPPDKEKLYCLIPAPNGYVAPFRWPKSRDFVPYANVPHKSLTVEKAIQNWVHYEGNVFRFPGGGTQFPQGADTYIDQLASVIPIAEGKVRTALDTGCGVASLGAYLLKKNVLTMSFAPRDNHEAQVQFALERGVPAYIGVLGSIKLPFPSRVFDMAHCSRCLIPWSGNDGMYMMEVDRVLRPGGYWVLSGPPIGWKIHYKGWKRTKEDLRNEQRKIEQFAELLCWKKISEKDGIAIWRKRLNDKSCSMKQDNPKIGKCELVNDNDVWYKKMEVCITPLPEVNSASEVAGGQLEPFPKRLNAVPPRITLGSMPGFSVQSYEEDNKLWQKHVKAYKKTNNLLDTGRYRNLMDMNAGLGSFAAALESPKLWVMNVIPTIANTSTLGVIYERGLIGMYHDWCEGFSTYPRTYDLIHSNAIFSLYQNKCKFEDILLEMDRILRPEGAVIVRDKVDALVKVEKIANAMRWKTRLADHEDGPHVPEKILFAVKQYWATTSKSS >KQK86846 pep chromosome:Setaria_italica_v2.0:IX:4103537:4109226:-1 gene:SETIT_035014mg transcript:KQK86846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNIDAAAAASSHRTEPHIEEQPPMEMTDMKLEEITHPPLDQLHHMKYCVDSNPSWGGAILLGFQHFILCLGTAVMIPTILVPLMGGNAHDKAQVVQTMLFVTGINTMLQTLFGTRLPTIIGGSYAFTIPIISIISDPSLMQITDDHTRFKMTMRAIQGALIISSCVQIMLGYSQLWGICSRFFSPLGMVPLVALGGLGLFERGFPVMGTCVEIGLPMLILFVALSQYLKHVQICHFRILERFSVLISIAVVWAYAHILTVSGAHKHASLRTQLNCRTDHANLMTTAPWIGVPYPLQWGAPTFSADHSFGMMAAVVVSLIESTGAFQAAARLASATPPPPFVLSRGIGWQGIGLLFNGLFGTVSGSAVSVENVGLIGATRIGSRRVIQISAGFMIFFSILGRFGALFASIPFALFAAIYCVLFGYVGGVGLSFMQFTNMNSMRNLFIIGTSLFLGFSIPEYFSQFTMSSHHGPAHTRAGWFNDLINTIFSSRPTVGFIISVVLDNTLDVEKKAEDSGMSWWARFRSFGGDSRTLEFYNLPFNLDRFFPPS >KQK88497 pep chromosome:Setaria_italica_v2.0:IX:14655621:14657962:-1 gene:SETIT_036234mg transcript:KQK88497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLLANEVSDLCIGKPAVRSLPLSAAAGELAAALRRVARSGAAACVAVTGPARAVAGRVGLADLLCFLCTEPEALARPAAALAKPVSALLPKDGGGEVRRVDPRSSILEALDAILSGAQVLAVPLRAGGRKKQLIGGAAAADFCWLTQEDLVRYFLNSIGLFYHAAARSVSSLGLVRTDFLSVRPGESALSAAPLIRHAVATETAVAVVTEDGHLVGEISPALLAACDETAAAAIATLSVADLMAYIDYFGSPPEHILRAVKAGLKDKGLDAMLDLIEDETLSSFSSLSASSSSDEETGRPLLRRPSSGSYGRRSAEEPVVCSPASSLVAVMVQALAHRVSYVWVLEEEDDCRLAGIVTFADVLRVFREQLQ >KQK89933 pep chromosome:Setaria_italica_v2.0:IX:37449594:37450836:-1 gene:SETIT_039135mg transcript:KQK89933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FIEVAKSLDCTQRELVKSMWFHHLLDLSCNTMPKQLIMWLVNHFDVLTKTFILPNGSFTLSPLHIHQVLGIPVGGSPLPKAYNEDTRKLILEKTKCAGDKFKRVFSLFALTSCLCPTGSECASSEFYTAIHIPDRIITIDWAIVVLDKLVTSISKYPAKKSCTTAALGGCTFAMMVVYFELLDTSELNLPDSLPRISLWSTENVSTYIELDRINEGSNNFGRTIVSYSFCVPLFNFVFTQISVATTRELPEHIPFLSSSLTLHFFAPTS >KQK90724 pep chromosome:Setaria_italica_v2.0:IX:45339177:45340489:-1 gene:SETIT_038719mg transcript:KQK90724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPATDDEATGVTIEEEFGEKGHGGARSSAGTAAAAAAAEEDRGEARPPAGPTCCICMEPWTCNDAHRSCCIPCGHVYGRSCLERWLHRCGESSAKCPRCGEQFAQKHIINLYAQGNLWDAIKHLR >KQK90340 pep chromosome:Setaria_italica_v2.0:IX:41821548:41822933:1 gene:SETIT_035990mg transcript:KQK90340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWWWAGAVGTVRKRQDDLAAVSQSEQAFESVALVVGSTGIVGASLVDILLLPDTPGGPWKVYAISRRPLPPWSLPSSSSVTHIHVDLTDSAAAAEVLTPLIDITHVFYVAWTWRATEEENCEANSAMLRNVLSVVVPNCPALVHVSLQTGTRHYFGRLDSENCVHYPPYTEDMPRLDMPVFYYDQEDVLIDAVARRGGGAVSWSVHRPNIIFGFSPRCAINLVCSLCVYAAVCCKEGTPLRWPGSRGGWEGFITPSDADLVAEHHIWAGFDPMAKNEAFNCSNGDVCTWKKLWPILAGRFGLEWVGYEGEEKRLKLAEAMAGKEALWAEIVEENELVATHVSEVANWWVVDKSLDRYGLEWDILDSMNKSKEHGFLGFRNTFRSFNTCIDRLKAHKIVP >KQK89865 pep chromosome:Setaria_italica_v2.0:IX:36577534:36580732:1 gene:SETIT_034983mg transcript:KQK89865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLRSTTSFLSPVDPNSKLLHKPGADDAPPSCAAVPAPSHAAAPSRRLRLVRAAAAAAPAPAMDRAPAEAAELLHGGAAGQDHGRPRGGVPVYVMLPLDTVGPGGQLSRQRAVAASLMALRGAGVEGVMVDVWWGVVEREGPGRYDWEAYAELVRMVERAGLRLQAVMSFHQCGGNVGDTCNIPLPPWVLEEMSSNPDIVYTDRSGRRNPEYISLGCDTLPVLKGRTPIQVYADYMRSFHDRFRDYLGNVIAEIQVGMGPCGELRYPSYPEANGTWRFPGIGEFQCYDKYMRASLEAAAVAAGHEEWGRGGPHDAGEYKQMPEETGFFRRDGTWSTEYGQFFLEWYSGMLLEHGDRVLAAADAVFGGTGATLSAKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYAPIARMLAKRGAVLNFTCMEMKDEQQPQHASCSPEQLVQQVKAAASAAGVELAGENALERYDEAAFSQVVSTARGAGLAAFTYLRMNKALFDGDNWREFVSFVRAMADGGARPALPRCDTGHSDLYVGFLDAAKERKAPEAEGAATAVAL >KQK87083 pep chromosome:Setaria_italica_v2.0:IX:5499037:5508156:1 gene:SETIT_034545mg transcript:KQK87083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSAAGEDIVQHLSSNSNPSSSKLAKLEARMAGKAVSTPSSPPQHPMAASAPTVAFMDQEELPETSSSDDDNGEEFLIQKNTLKRPRSPDGDHILALGNFEGSANEAAKILDVMDSRSSSDNSNRKKQGRGRGRAGTGRGRGSKTDQTRVTSTSSSVVTNGQLDKLTNKEPRSSVQSGHDDKAAVQEELSMLRGKVACLEEELAKSRQEATNYHQLSDRLAKELKDLKDHDQQMRSKQMKVLSDLLIAVSKAERQEARMRIRQESFRLGNVGVMRAGTIISETWEDGQAIKDLNSHLKSLLETKETIERHRKSLKKRQSDKGDGSDAETSMSEEDILLQDEICKSRLTSIKREEEQYLKERDRYELEKGRLIREMKRLRDEDGSRFNNFQILHHRYALLNLLGKGGFSEVYKAFDLVEYKYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHPNIVRLWDIFEIDHNTFCTVLEYCSGKDLDAVLKATPILPEKEARIIIVQIFQGLVYLNKRGQKIIHYDLKPGNVLFDEIGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFDLSKTPFISSKVDVWSAGVMFYQMLFGRRPFGHDQTQERILREDTIINARRVEFPSKPAVSNEAKDLIRRCLTYNQSERPDVLTIAQEPYLSYAKR >KQK93030 pep chromosome:Setaria_italica_v2.0:IX:58436570:58441671:-1 gene:SETIT_034810mg transcript:KQK93030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRSRARGRTLQPVVLDDLLEGVFDVDYGEADLEGGEGEEESDDDGGSEEEDGEGSSDDDDEEEDDEEEEEEQEREHFVEGGPSTRARVSAVAAADAAAERATTPTCPVCMEPWASQGPHRISCIPCGHVYGRSCLERWLTQRGNRSATCPQCSKRFKQKDIINLYAPEVTAPKNELEKEISYLRERNDSLENKVLHHDKLFEEMTKRQIVLEQGIIDAVSLKRQKVAEHSDRAVHLEPSTSATVSFCSQYELFLDGARVIGIDASNQIILASGRAAAVGAEHVITKISMGSAHEPFKVHLPPDTKAIRDMCILPGGSAIFTSVGRKLSLFSMTTDSVVLQCDLPVPGWSCSADVSSSRHIYAGLQNGMLMVFDVRQTTRPLHSMVGLSTNPIHTLHSVIDNNGCRKILSASAVGPCMWDADNNQSRPHLLTEMDSQRVCISLACAPPSSDVLVASFRPKVEASEDATASQVYLSQTPTRPVGSGKLGHHALVRRTGNASFTEGPTCYANVSEVRMSKSAIIPYGDNQHLFAYGDESLHGVRTWQLPSFVIHADLCAHRQPILDLRYAESSGGGRYLGCLSEEKLEVFKIS >KQK89754 pep chromosome:Setaria_italica_v2.0:IX:35613875:35617697:-1 gene:SETIT_036554mg transcript:KQK89754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPGSVEAPAAGAGKSVCVMDAAGPLGHALVDRLLRRGYTVHAATYGRGEAEEESAAAAAGALLKHLSRGGCGDAYGHRLKVFRADPFDYHAIADAVRGCAGVFCMFNTPDDQAQCDEVTVETEVRAAHNVLEACAQTDAMERVVFTSSATAVVWGSDATATAGGGREERLAVDEKCWSDLAFCRKFKLWHALAKTLSEKTAWALAMDRGVDMVAINAGLLTAPGLTAAHPYLKGAPDMYGAGVLATVDADFLADAHVAAFESPTAYGRYLCFDNAVCRPEDAVKLAQMLSPAAPRSPPSDELKVIPQRIQNKKLNKLMLEFSSGVYGELD >KQK88753 pep chromosome:Setaria_italica_v2.0:IX:16952723:16953391:1 gene:SETIT_039311mg transcript:KQK88753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLVKIGPWGGSGGDPRDDIVAAGVAPHRLQSVVIRCQGAVDAISFTYAGVDGAPRMVGPWGGSGGHMFGAGEFVKEISGTYGPFGGHTVVRSLTFVTNIGKHGPFGTPWQTPFSVPVQDGAHVVGFFGRSGSLLDAVGVYRSDKTSPPGSGLRVQATRQRGQGTRRRGQAMTPS >KQK88812 pep chromosome:Setaria_italica_v2.0:IX:17378439:17380739:1 gene:SETIT_039747mg transcript:KQK88812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVAAICKVTVPHSRALRCASKICAICLGAMKSGQGQALFTAECSHKFHFHCISSNVQHGNKVCPICRALWKELPFQGPLISDAAHGTARVNPSRWAQAGMLSANPLDELPVFRTPESAVFDDDEQINPPSESHDGDETPASLEITTHTEFPAVQETVAQENFAILIHLKAPPASASIGTRAPLDLVTVLDVSGSMAGTKLGLLKRAMRFLIQNLRPIDRLSVIAFSTSAWRLFPLRKMTEFEQDQSLQAISSLVANGGTNIAEGLWKAARVMEDRQASNPVSSIIILSDGVDTHTLPHAPRNGPPLDYGRLVPRSILPGSGHHVPIHAFGFGLDHDSRAMHALSEMSRGTFSFIDDAVGSSIQDAFAQCMGGLLSVVVQEAQLSIECAGEGVLVTSIKSGGYASGVDGNGRGGFVDIDHLYADEEKDFLVTVRVPAAHGDTELIRASCEYRDAVSGETVQVVGDLVTVPRPPGPVTAAMSLQVEREWHRVHATEDMAAARAAAEEHDYERAASILESRRLALESHAPLSSDRQTQALVAELREMQERALNSQRYNESGRAYMLSGLSSHSFQRATARGDSTELTGLVHTYQTPSMVDMLHRSQALLPEVVVALN >KQK91121 pep chromosome:Setaria_italica_v2.0:IX:47935632:47937274:-1 gene:SETIT_039689mg transcript:KQK91121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPRHHALPPPGHHRRTLSSTLVDESVAAAAALVHKWHPDDAPAGGSLFLHGAEEDEPRRFLRAAADLHRAMLFFASDVAHGSSHGLVEAQALLQTAMRRLDLELRVLLDDIDSIQSDHAADASRSRNNIRAVAEAMMAAGYGKECISTFKTRRRAALTASLRRLLGFSPPVDHLHKITWDQLDARIIPSWLAATTAAFGSLFAAEKDLCDAVFAGDNAAVGEAVFATVANDQATGLLAVTEAAEARARRAPERLFRVLDVHDALTEALPALLSVFGDGSEVAARAALSVAKVGEAARGALGSLEAAIQKEPSKATATGGAVHPLTRYVMNYVVFLADYKEGLALLYDYDYDSDSSEQASPSQAGGRGRCYREAALSYLFLANNTRYVANKVAGSGQLRGVLGDGWAEAQSAKARVHVGVHVRATWDKVTSLCTQQGGAEPEAVEAAVMEAVGMQEQWVAADDEMCEALRAAAVPKYRMFYRRHGAAVRLTPGDVTAMITALFGGPLDLVSQRRQEDPNPYRDPTRRPRQLFT >KQK90506 pep chromosome:Setaria_italica_v2.0:IX:43255153:43259744:-1 gene:SETIT_035704mg transcript:KQK90506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLISAAYGATSDDDDGEPPSSLPVATGPASFAPPPLKRPRWEYQPYLPPPQSSPQPPRPDAAPPLASPASGRYVSKRERAILAASRAPVDSASLLPSQATAAVDSSVGSVIDSNLRGDILHSLQCQPKPGSSKTLPSKLSVSLKGHTKAINCVDWSPTHAHLLTSAGMDHRVHVWNVWDKGNTTARVLKHHTAAVKDVRWSPRGLSLLSGGLDCSLQLVDVVEGKVIKVFKEDQAVDVIKFNPSNPNLFLSGGSKGSLRLWDIRSGVVTKVFHRSLGTILDIEFSADGRQFISSTDTTRSNISENTIIVWDVLRQVPLSNQVYTEAFTCPCVRYHPHEASFVAQSNGNYIAIFSARPPFKLNKYLRFEGHGVWGFPVKCNFSPSGRELASGSSDGSIYFYDYKSARLLRKIEAFKEACTDVVYHPVMPNVIASCSWGGEISVFE >KQK92147 pep chromosome:Setaria_italica_v2.0:IX:54015576:54021184:-1 gene:SETIT_036595mg transcript:KQK92147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNRHRRGRGSSSSSSRRAKQEASMDDGPGTSLPRQEDTEEEFKGSRIQLAMWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKADHSIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEDTAHLLLGKFKWGHAFLSLNRDLLKAYSQCESGSEIINVQNSWLSSNSSVPKPPVNEKSRRSTEEGSEGDSDDDLPPLVENLNHLNHNQDEESEEGSEGDSDNDLPPLEENLNHLNLNQDKESEEGSEGDSDDDLPPLEENLNHLNLNQDKESEGRK >KQK92148 pep chromosome:Setaria_italica_v2.0:IX:54016601:54020989:-1 gene:SETIT_036595mg transcript:KQK92148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYNRHRRGRGSSSSSSRRAKQEASMDDGPGTSLPRQEEDTEEEFKGSRIQLAMWDFGQCDVKRCTGRKLSRFGLLKELRVTNGFGGVVLSPVGTQCVSKADHSIVQRKGLAVVDCSWARLSDVPFVKLRCGAPRLLPWLVAANPVNYGRPCELSCVEALSAALIICGEEDTAHLLLGKFKWGHAFLSLNRDLLKAYSQCESGSEIINVQNSWLSSNSSVPKPPVNEKSRRSTEEGSEGDSDDDLPPLVENLNHLNHNQDEESEEGSEGDSDNDLPPLEENLNHLNLNQDKESEEGSEGDSDDDLPPLEENLNHLNLNQDKESEGRK >KQK92989 pep chromosome:Setaria_italica_v2.0:IX:58200840:58201316:1 gene:SETIT_038508mg transcript:KQK92989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWFIPFSSPLGLELECGHPTSLLPQQRRQQALKRLPLCTLLRGLQGCTYG >KQK86808 pep chromosome:Setaria_italica_v2.0:IX:3824993:3828919:-1 gene:SETIT_034504mg transcript:KQK86808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLEDLTRVLAELAARLSRPPAGGGASSAGDTLSSSISSLASVLNPNGDRGGASSGTRVLDASLSLMCFDPLEVNRARVDCLVRTLLSALSASVSCRVVRPDGGAGEEMLCVGSSVSPGDCRELLQSCAALVQKLGDCDAGRHSYDLLYAAAKTAVLSPHCQCLFWLPYYKEVGESVYDMGAIAAELIDHPSNHVPPSDNSIPLRLLLWHLDPSIIKHDLSAMLQEIIRRPLLCLRKELHNRMEWRIIIICLVCSPTMFMEMRSLLHFWFLATGLGSVLELHSALVSSALDILLKPMSWGISIELGQKFPFPHAYFPIQHSDLLAILTGPLSCKAFLDLVSCIDSLVHLDNTRTRCSSPKNSQLQPLKGLVKYNSAWYMIINFPVWFNFATALLFHRAGSQDYLSETISKETFADSISDVNLAQRAAFYLSWVLCPSNDDQCQMLANNILEISHSWARNNKKHPSYPSSTVNHKRKLRLPTAADSETNSVSSLIKEFDDCCSKFCSTTASQVQAEKLSDFLPSCHNLLHLLIPLGVLLVSSSCVNEQNCDMLLRYAITGQVIKSNEVQMKTNDHVSNDGFTSSCSGTADRWALGGAYLIFGWLDVVEDMSSLILDREDTCQHFLSQLRTKTGPYLLKCVKLLFEMLDEADQDRDFVIDLHNRLLNWDKHGQGCEIFGDVILKMNKKFKLP >KQK92798 pep chromosome:Setaria_italica_v2.0:IX:57270266:57270813:-1 gene:SETIT_040806mg transcript:KQK92798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISQHYNLHTFFINCYNSSIVENIRNILLFTLILIRSLVYTS >KQK86310 pep chromosome:Setaria_italica_v2.0:IX:1245238:1246318:-1 gene:SETIT_037042mg transcript:KQK86310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPRLDGKVAIVTGGASGIGEAAARLFASNGATVVIADIQDALGEAVAASAGCTFMRCDVTDEAQVEATVGAVVAAHGRLDVMLSNAGVLLPTGSVMDMDLAELDRVMAVNFRGAAACVKHAARAMVSPPDNGARGGAIVCTASVASLQGGFGPASYTASKHALLGLVRAAAGELGRHGVRVNCVSPGGVATPMSCALMGVGPEELEAMTVPHNVLRGKVLRAEDVAEAALFLASDQASFISGHNLVVDGATTAVNPAVLHTVGL >KQK90494 pep chromosome:Setaria_italica_v2.0:IX:43161331:43168348:-1 gene:SETIT_035346mg transcript:KQK90494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVAPPLCTWLVAACLSAACGSGEKEKHRRHRGGGVGVGGLFGTRRRPGSGRRGGARSGMSMAVALHPERGVVETKKADVKERRVVVTGMGVVTPLGHDPDEFYNNLLQGISGISEIEAFDCSRYPTRIAGEIKSFSTDGWVAPKLAKRMDKFMQYLITAGKKALENAGITEEIMNELEKSRCGVLIGSAMGGMKVFSDAIEALRVSYKKMNPFCVPFATTNMGSAILAMDLGWMGPNYSISTACATSNFCILNAANHIRKGEADVMLCGGSDAPIIPIGLGGFVACRALSQRNSDPTKASRPWDVDRDGFVMGEGSGVLVLEELEHAKQRGAQIYAEFLGGSFTCDAYHMTEPHPEGRGVILCIENALADSGVRKEDINYVNAHATSTQMGDLKEFEALTRCFGQNPQLRVNSTKSMTGHLLGAAGGIEAVAAIQAIRTGWLHPNINLDNPEKNVDVSLLVGQQKERCDVKVALSNSFGFGGHNSSILFAPFK >KQK87820 pep chromosome:Setaria_italica_v2.0:IX:9540487:9542493:1 gene:SETIT_0353231mg transcript:KQK87820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATQLVLRAHKEAVNSLAGNGSPATLGTVATAVANANATAMEATKEIEAAMKVSMRAALGLGTNNSNEGQLDDLTIMKETLRVKDEELQHLAKDIRSRDATIKEIADKLTETAEAAEAAASAAHTMDEQRRLLCVEIERLKQALERQIEQSMLKLRQSEEKVISLSKEKDQLMKERDAAFQEAYMWRTELGKAREQAVIQEATIARAEEKARASEADAAARIKEAAEKLHAVEKEKEELLALVGVLQSQVQREQSSTKQVCEERSESCSGADNSPPLTKHVDASDDNVDKACVSDSRSVLLSSDSTEVQLAVDGVDIRPIGDAEWGTFQQSEALIADVREVSPEAEGGSLDIPVVNPPPVSDHIQGGTTHP >KQK89680 pep chromosome:Setaria_italica_v2.0:IX:34987378:34989138:1 gene:SETIT_038752mg transcript:KQK89680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCCSPFSPRIQTCSPPPPRHPSSLPRSALCSGEQGRSNKSKSHRVRAQAFKSKNQSLLSQSHRGDGHGDPADEDRGGDLPGSAAPSLPDSEAVAFLLRSCRSKTGVRRAHAVALRSLDSLGVFVSNNLISAYVKFDEVADAKKVFDEMGDRSVVSWTAMMNGYQKLGRHSEVVRLFLDMLATGVQGNSLTFVCLLKSCGEQCDAKLGRQVHCCIMKGGWSNMIVDSAVAHFYAQCGDVAAASAVFNRMASRDVVSWTTMITAYVQHGHGNKALQMFPMMVAEGFRPNEFTVCSILKACAEEKDLRFGEQLHGAIVKKLYKYDIHVGSALVTMYARCGEVFDAQAVFDKMPRRNTITWTSMISGYAQSGYGEEAVLLFRKMKMRRVFVNNLTIVGLLSACGSMQSKYLGKELHAQIMKNCMGDNLQIGSTLVWFYSKCGEHTYAARILEAMPDRDAVAWTAMISGYNNLGHNVEALKSLDEMLWDGVTPNTYTYSSALKACARLEALRDGKRIHGVVNKTQAFSNVFVGCSLIDMYMRCGKVDEARRVFDAMPEHNLVTWKVMITGFTQNGLCKEALKYMYLMQQE >KQK90417 pep chromosome:Setaria_italica_v2.0:IX:42519130:42521866:-1 gene:SETIT_037379mg transcript:KQK90417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTPETSREPCPDRILDDVGGAFGMGAVGGSVYHFLKGLYNSPKGHRLAGGATSARMLAPRLGGSFAVWGGLFSTFDCAFVYVREREDPWNSIAAGAATGGLLAMRQGLMASARSAVFGGALLGLIEGAGIMLNRVLVVPLPPEDMLQYPGQEPGQHASPGFLGVPPAPPIAVQEVPAPDSGSTGWLGGLFGRKPQQDKIAGGDRKSEVLEMDLPPKAVPSFDYK >KQK86251 pep chromosome:Setaria_italica_v2.0:IX:943806:945548:1 gene:SETIT_039247mg transcript:KQK86251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACYAFVGLAWATKLAWDFWAQPAVLAQPKSFVRSGEGLHGLVHLADSSVLCNGGCGDKEGQACYFRETEETHSYWDVNFEIIIVDDDSPDGTQDIVKQLQQVYGEDRVLLRARPRKLGLGTKAYLHGLKHASGEFVVIMDADLSHHLLCETLISENIRETDADVVTGTRYVKNGGVHGWNLMRKLTSRGANVLAQTLLQPGASDLTGLFRQYKRDALEDLISSCVSKGYVFQMEMIVRATRKGYHIEEVPITFVDRVFGISKLCGSEIVEYLKGLVYLLLTT >KQK86392 pep chromosome:Setaria_italica_v2.0:IX:1616453:1618782:1 gene:SETIT_038287mg transcript:KQK86392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQRAGRLLRAENPPFRRRGFCCSESRKSSGAMAVGDLAFKALTAGLGVATLYLAATFSVNVYRGLSWHSEQSKLEKAKTED >KQK90804 pep chromosome:Setaria_italica_v2.0:IX:45847492:45851864:1 gene:SETIT_036549mg transcript:KQK90804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFFRMASRLRPSTPEEVVRSIKDSFLALHTRTHAKALEEVEKNVSSLRLLISGDGEVEPNQEQVLQITLEICKEDVISLFVQNLPSLGWTVRKDLVHCWCILLRQKVDESYCCFQYIENHLELLDFLVGCYKNVDIALNCGNMLRECIKYPTLAKYILESGSFELFFEYVELPNFDIASDALNTFKDLLTKHEIVVAEFLGSHYDQFFELYSRLLSSANYVTRRQAMKFLSEFLLEAPNSQIMKRYIVEVRFLNIMINLLKDSSKNIRICAFHVFKVFVANPNKPRPIIEALLENRRELLKLLHNLPTSKGDDELDEEKDLIIQQIQKLA >KQK86427 pep chromosome:Setaria_italica_v2.0:IX:1790806:1793395:1 gene:SETIT_037165mg transcript:KQK86427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRVRLKHLSIVQWILMSSRPSHRCDRRQLRPAAGEAPAPLSPTSTPTAAAKPSAPELAAAMRPLDEKETTQVFEKLFKFTGPNLKHLLERPAVEGPDPEPGRYCLRLHKNRVYYASESLVRRATAVARPRLAAVGTPIGKFTHHGSFHLTVHALDLLAAHARRRVWLKPDTERSFLFGNSVPKSSLARITENTKAGDGVVVMSMADVPLGFGVAARSAQDCRKADTNAVVVLHQSDAGEYLRKEEELM >KQK91901 pep chromosome:Setaria_italica_v2.0:IX:52601605:52606410:-1 gene:SETIT_035409mg transcript:KQK91901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTRPAARWPPSSPMPATALFLPANPNPTPSPAYSLTPPASTSGELHFRLRLPRHARSRTYLPAAFGRGSPAAGRREKDYYATLNIRRDATLQEVKAAYRTLARKYHPDMNKSPGAEEKFKEISAAYEILSDEDKRSFYDRFGEEGLSGDYVHGDTGMHGIDPYELFNAFFGGSDKLFGDSMGPGGFHYSAKVNDNRGLDISYDLPISFEESMLGGKREINIFCHETCGTCHGTGAKSSNGIIECTRCRGQGRLMKTQRTHFGIVSQISSCLNCGGSGKVISEHCTECHGSRKVQVERNIKVDIPGGIDDGSTIQVRGGGSMQLFHVMHFYLIGRGASGDLYVFIRVHEKQGIHRDGLNLYSDVSVDYTDAILGTTVKVETIEGLKDLHIPSGTQPGDNLKFSQLGVPDIKRPNVRGDHYFVIKVKIPKNISDQERLLVEELAALNKAQNKPISGTTNIGNFQDRNHHPSARRKRSFWVGIWNLFR >KQK92625 pep chromosome:Setaria_italica_v2.0:IX:56448515:56452845:1 gene:SETIT_036313mg transcript:KQK92625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGQQISENFYVRGDGTRAYYFSNEYLVDLFSKCGFTLEEICVHNKQVENRSLDLVMNRNWIQATFTLNSAGPQGLNGQHDLTDVCEGKEDKLVADSSEKKNSSEEIDLSEDFCNMFGASHNLDEVQIIGVKARGHDFKIKMLTKEYQHTCKLTGLMLWESAQLMCGLLAENPSIVAGKRVLELGCGSAGICSMVAASYAQFVVATDGDAESLDLLRQNISSNLESNLLDRIMIRKLFWGNKDDVRAVRELSGNDTGFNCIIGTDVTYNPDAILPLFKTARELISDKSDEDSRAAFILSYIQRRVDENSILSNAMAQGFRLVDKWINGVHDSNGIISSWFSGNDVCSAYRNITHSILYFEL >KQK91188 pep chromosome:Setaria_italica_v2.0:IX:48361086:48369478:1 gene:SETIT_034078mg transcript:KQK91188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSAKLLPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGAPNERKIMKLCEYAAKNPLRIPKIAKFLEQRTHKELRSAHVSFVRIITEAYSKLLFICKEQMAYFAISLMNVLTELLESKQENIHILGCQTLANFINSQVDNTYARNIESLVRKVCGLSRQQGEEHRLLRAASLQCLSAMIWFMKEHSYIFADFDEVVQSVLENYRAEESIGGGDDRHASQHNWVDEIARCEGRPGLGGGNDVNISSTTIRLRPARNSSALTREERESPEVWSHICVQKLAELAKESTTMRRILDPMLSYFDKKKQWPPRHGLALLVLSDMAYPEKISGNEQLILTAVIRHLDHKNVSHDPQTKSDIIQTATSLARQLRSRGFTAELVVAGDLCKHLRKTLEAVESGSVEDLNLNESLQNFLEECLMEVVRGINDVRPLYDMMAITLENLPSIPTVARATLGSLLILSHIISLTSVSSNAPMVFPEALLQQILKAMVHPDIDTRVGAHHMFSAIITRGPSHLRSESEYLYETKKQSRTTSVFASATALLEKLRREKESLSSDKPRNIINDDVKERSTHEEDHKHVWSRKNSAYFSKLVFSFMERCAKLSSPVQEANIALLTEDQTNQLLSAFWIQANQTDNIPFNYEAIGHSYSLTVLSSRLKNSSNCNIIQFFQLPLSLRRVLPASCQLSIFTLATSMLAFTGKVCHITELSDLLRCFTSSKVDPYLRIGEDLQLYVRLQSDLGSYGSENDQEVAKSILSDCRMKVGTNDQQLLDVIASALSSVTEMEKDVLVKELTEMFTPEEMPLFGSNSALDWANFNGQAFSDESLSFDEECSRTSSVDCGLHESPITNTASSISKITLPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGLGTRKKLSSWLVSGHESTPDNPMPSLPTAHHSIIPKVNSCGFESIHRVSSEPCSMVKLPPASPFDNFLKAAYRTQPEL >KQK91189 pep chromosome:Setaria_italica_v2.0:IX:48361086:48369478:1 gene:SETIT_034078mg transcript:KQK91189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLSAKLLPSCESMCVCCPALRPSSRRPVKRYKKLLAEIFPKTPDGAPNERKIMKLCEYAAKNPLRIPKIAKFLEQRTHKELRSAHVSFVRIITEAYSKLLFICKEQMAYFAISLMNVLTELLESKQENIHILGCQTLANFINSQVDNTYARNIESLVRKVCGLSRQQGEEHRLLRAASLQCLSAMIWFMKEHSYIFADFDEVVQSVLENYRAEESIGGGDDRHASQHNWVDEIARCEGRPGLGGGNDVNISSTTIRLRPARNSSALTREERESPEVWSHICVQKLAELAKESTTMRRILDPMLSYFDKKKQWPPRHGLALLVLSDMAYPEKISGNEQLILTAVIRHLDHKNVSHDPQTKSDIIQTATSLARQLRSRGFTAELVVAGDLCKHLRKTLEAVESGSVEDLNLNESLQNFLEECLMEVVRGINDVRPLYDMMAITLENLPSIPTVARATLGSLLILSHIISLTSVSSNAPMVFPEALLQQILKAMVHPDIDTRVGAHHMFSAIITRGPSHLRSESEYLYETKKQSRTTSVFASATALLEKLRREKESLSSDKPRNIINDDVKERSTHEEDHKHVWSRKNSAYFSKLVFSFMERCAKLSSPVQEANIALLTEDQTNQLLSAFWIQANQTDNIPFNYEAIGHSYSLTVLSSRLKNSSNCNIIQFFQLPLSLRSIALTPGGVLPASCQLSIFTLATSMLAFTGKVCHITELSDLLRCFTSSKVDPYLRIGEDLQLYVRLQSDLGSYGSENDQEVAKSILSDCRMKVGTNDQQLLDVIASALSSVTEMEKDVLVKELTEMFTPEEMPLFGSNSALDWANFNGQAFSDESLSFDEECSRTSSVDCGLHESPITNTASSISKITLPQSVPHVLGVGQLLESALHVAGQVAGASVSTSPLPYGTMTSQCEALGLGTRKKLSSWLVSGHESTPDNPMPSLPTAHHSIIPKVNSCGFESIHRVSSEPCSMVKLPPASPFDNFLKAAYRTQPEL >KQK87133 pep chromosome:Setaria_italica_v2.0:IX:5699081:5700667:1 gene:SETIT_039024mg transcript:KQK87133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QDDKIVLRLPSTHRQQIYPCRVAPTEPESTAPRMGSDASDGRVLCSWSWSSVLGMFLRSKIQEMILRRRSRSMNSGAAAQRGHASDQLASSSTVPCDGDSAGAGGRKSATARALFASPRLLHSSSLPTGTVFAKGPVPDAESETAFSMSPTSVLDAAASFRSGTDAAGSSRRRPWRDNALHGLADALDCGDQQPEGIVLAATSPSLLVRSCSLDRRVEFGVKNKSSWLPLRACSGREATPPAPADPWEMEPSSEDYTCVISRGPNPRTVHIFGDRVVEAGAAEASAAESSPRPINLPARGDRGFLSL >KQK88470 pep chromosome:Setaria_italica_v2.0:IX:14485205:14489193:-1 gene:SETIT_035600mg transcript:KQK88470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTEPRWRINSSFSPPTSRRWDCRYSSDGLPHRVHDAPHDAPPYVSSLSSHSKGSRSAFGSDQYLNHHHSVSDGALSYFGSPADSLQAPRWTPSLQRFDLGEFSTPAGGSRPETSDYPQSSERQLTATSSFSSASPFSESSQLASSSKQPAPYLPRNQMGRRSFMSKPVYPLVFRNPVSETEASRMPEVTNAGRTTPSDDSQASPLWRRSLASPELKFHNALSELGKMEASPEPNTSSRREGFRWSNASSYDFGYDGDAIDISDHISIESQRSPTNSVRFLKCGLCERFLRQKSPWTSNRIVRNTDMPVAAVLPCRHVFHADCLEESTPKTELHEPPCPLCTRATDDEGHVSFSEPLHVALRSARRNLSLGGGAGGSSSSANPPHSDHGLKRNHSAIVPRRSGSSLFRNRFKKQFPFKARIGKDLFGGRIFNKVGSSSSSGQQDDHGQPAAKHDPSMK >KQK87416 pep chromosome:Setaria_italica_v2.0:IX:7223589:7229766:-1 gene:SETIT_034778mg transcript:KQK87416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRSGGRQLSFELLAGDLTADDADDTSPRSLPDTTSDGQRRRRRRSKRKRGFRSPPIEEAASEGEEPRGEGVGDAVAAFRVTDLRSTAETVCESSEPERSAASCVTYVGVELRQRSVSGAGRVLSASAEDGASSCGSSTRESTSAAAAVADVAAAAAAAWRPEANGGVKKKLEKEESLDWEKYMKENGNILGEVEHLDNSPFRYFLGELYGGNALRSTIAVGNEKKRQRVYNTMFHVPWRCERLIVAGFFVCLDSFLSLLTIMPARIVVTIWRLLKTRKFLQPNAADLSDYGCFVVLALGVASLQMIDISLIYHVIRGQGTIKLYVVYNVLEIFDKLCQSFGEDVLQVLFNSAEGLSACSTDNVTFELLRFLLDEAIAVVAFVVHSFVLLAQAITLSTCIIAHNNALLALLVSNNFAEIKSNVFKRVSKENLHNLVYYDIIERFHITAFLLFVLAQNILEAEGPWFDSFLINASLVFLCEVLIDAIKHSFLAKFNEIKPVAYSEFLEDLCKQILNDKPDDRQKDLTFIPLAPACVVIRVLTPVYATLLPAGPFIWRVFWILLWSVLTYFMLAIFKILVGLILRCLANWYVNLRLKRKQHVD >KQK89676 pep chromosome:Setaria_italica_v2.0:IX:34914355:34916731:-1 gene:SETIT_039066mg transcript:KQK89676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRARLSSRPYSAVAAAEPCPRGWLAVRRSRPSKRARRVVTTIPQGDGDDDGTPLTDEILVGIFAGLPDFSDLVRCAATCRRWCRLVSGEAAFICRAKRCWTPPSPLIRSLALGFFYSPRHGAAPRFAATASASRRLGLRQPSLNALIQGLDDGLFDASRLVASRNGLLVVELQRRKRERALKLCVCNPMTGEVTVLPPLGGKEIVSPFACTVLTADDYYNNDDKTCGTAPASPSPPPCAPCHHCPCPGSEPRRRWPLHRTARRSPRRVSRTPAWPPPQPRSSRFAAPRSRIPLLLLEIGQDTQCGAALLSHLLPDADAEASSPAPHHRAKGKAKLGRKRERGKEVGA >KQK89878 pep chromosome:Setaria_italica_v2.0:IX:36636430:36637900:-1 gene:SETIT_036682mg transcript:KQK89878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSDAARDDVVSSPDLPPLAAPVAAAAAAAAAAASSGGGIGLGGGASGRRLPPPCWTEEETLALIEAYRDRWEALRKGNLRAADWDRVANAVTARCGRFPTATPKSGVQCRHKIEKLRKRYRAERSRSAGRSKGPKWPFFPLLHDLAGGGVPDPSPNAIVKIKTKGPAPLASTPSPVSSPSSEEGVRSRSLHGLISNGGAGSGLRFTIPKASRSKPAGVAAVKPERNGGEDDAESEAMAEVADALRAVGEGFLRMEERRLELSLQMEKEWMESEMKRTQTMLDAQQLFFEAFLGKQQQHKKARHSPASNAMEED >KQK93008 pep chromosome:Setaria_italica_v2.0:IX:58289018:58290940:-1 gene:SETIT_040274mg transcript:KQK93008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPASAQVHHTIRSVASCFGLHQRSVLNLDRCRIEDPNSENFTHAVFMRFQQKEDIAKFQSSAYYSKILDEHGSVSVDFESEVEDDIIPLFRRGEDFNYGVEFMLLISFLETASREAMEDASSSLQSLISQCSSFIVQATCGCCLNPENGYNHAAVIRFPSSDDLKLFRESIEYKDMWASKFHPVVEKSLELHFSVDPVGNQLM >KQK88315 pep chromosome:Setaria_italica_v2.0:IX:13118337:13120507:-1 gene:SETIT_039107mg transcript:KQK88315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSSWDESASGEKARLKDSEESGAAAGDEDEDEEDEKPRPEPVSSAEFQFAAPPEGYVEPAAFDELPPESPEDVAAAYESLYGPAFSGETVLGNNVFEVKVVDPVDMDREQRPNDEFSERVVQVNRVTKVVKGGRQLSYRAIVVVGDMKGHVGVGVGKAKEVSEAITKAAMNGRRNLVTVPLTKYSTFPHRADADFGAARVMLRPACPGSGVIAGGAVRVVLEMAGVENALGKQLRSKNPLNNARATIKATQMMRQFKDVADERGIPMEELWK >KQK87726 pep chromosome:Setaria_italica_v2.0:IX:8921653:8928280:-1 gene:SETIT_039912mg transcript:KQK87726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLDRITEESDKEEKLGPLHDAAGKGKMDTCQHLVENLGFDVNIPANDGSGKTPLACSVSGANVDVNSSEGTPLHVAAAHGKFGVMQILLEHHADPDRVSPDHCTPLAEVLCAANEKVNESGCLKCMNLLVKVAGADLNSTDPDTPLVIATSKGLTGCVDYLLEVGADANIRSKDGGRRPIEIAAKSGRRGLVESLLPFTSPIRTVSDWSVEGIIAYARSRPTEDKGQESDEDSNIQQNLRDESAVREDAGASRACTKDKLSDEDRKAQLKLHGAKAVSRMDYTGASKFYTEAIMLDPADATLYSNRSFCHLKIGEKKDALVDANACISLRPEWPKGYYRKGAAHMSLKEYKEARDAFMDGLKLDPSNLDIQNAYWEADEAMIKKHSAGQTSLD >KQK92210 pep chromosome:Setaria_italica_v2.0:IX:54372236:54378128:-1 gene:SETIT_035355mg transcript:KQK92210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGKQRGDDEEEARRVSLLNADVRKEDWQVAAASDDKPLGRRVWEESGKLWVIVAPAIFSRVVTYSMNVITQAFAGHLGDLELAAISIANTVVVGFNFGLMLGMASALETLCGQAFGAKKFHMMGVYMQRSWIVLLLCAVLLLPMYFFAEDVLLLTGQSPALSAMAGRVSVWFIPLHFSFAILFPLQRFLQCQMKNFVNAAASAVALAVHVLVSWLLVSRFQFGLVGIALTLNFSWWATATMLFAYVSCGGCPETWHGFSVEAFAGMWEFLKLSSASGVMLCLENWYYRILILLTGNLKNAAIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGARFATIVSSITSLVIGLFFWVLIMGLHDKFAIIFTSSSVVLDAVDNLSVLLAFTILLNSIQPVLSGVAVGSGWQSMVAYVNIGCYYLIGVPMGILLGWLFNLGVLGIWAGMIGGTAVQTLILAIITVRCDWEKEAMIASTRMDKLSQVR >KQK88552 pep chromosome:Setaria_italica_v2.0:IX:15019569:15020319:1 gene:SETIT_039939mg transcript:KQK88552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPHVATVASLLALAAAATTAAAVTFDATNSASNTPGGQRFDQAVGLDYAKRVLSDASTFIWNTFNQPSPDDRKPVDKVTLVVEDIDGVAFTSANGIHLSAKYVGGYSGDVKKEVTGVLYHEVTHVWQWNGQGRANGGLIEGIADYVQLKAGYAPGSWVLPGQGRRWDQGYDVTARFLDYCDSLKPGFVAQLNAKMKDGYTDDFFAQILGKSVQQLWQDYKAA >KQK86581 pep chromosome:Setaria_italica_v2.0:IX:2767463:2770103:-1 gene:SETIT_037584mg transcript:KQK86581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAHGGGRGSSSSPAAAVAVMVVMTMVLAGVARGDFAADRAECANQLMGLATCLTFVQDKATARAPTPDCCAGLKQVVSASKKCMCVLVKDRDEPALGFKINVTRAMDLPDICNYPATFSDCPKILGMSTDAPEAEIFKEYAKKHESQNGTTQTAAATGAAGGKSASSSPTGGAGAGSQPSAVIVYLASALLAFVSVLA >KQK87916 pep chromosome:Setaria_italica_v2.0:IX:10171079:10171540:-1 gene:SETIT_039625mg transcript:KQK87916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDAAFALEIAVVATLVVLVVVIVVASSGGCDCEQPAVGAGRAGAAVHDDVERALGSDTLVTYEQARAASGKGGGGTAGKSCAICLSDYAAGGDDELVRVLPACGHFFHAGCGIDGWLRAVGTCPVCRGEPWPLPRQPRPECAPMPPRASRAT >KQK90186 pep chromosome:Setaria_italica_v2.0:IX:40446715:40451809:-1 gene:SETIT_034632mg transcript:KQK90186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHGPAHPASLASAAALAGGGHPQPLVVTLNCLEDPSLEQDALSGAAAVEHAPLSALSAGRVEAAAAVLLPSLAFLPRAAQRRLRPWQLLLCLGSPDRAADAAAAAELGLRLVHVDANRAEEVADTVMALFLGLLRRTHLLSRHASSSSSPAAGWLGSVQPLCRGMRRCRGLVLGIVGINAAARCLATRSLAFRMSVLYFDPLYEATGKVKRPSIVFPSAARRMDTLNDLLAASDLVSLHCALTNDTTHILNAERLQHIKPGAFIVNTGSCQLIDDCALKQLLIDGTIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAIAILQSFLYDGVVPNNVISDEDEEISEVGCEDDQLGKQEKEHALQVGDGEQHTEESQLTTDYDKRRAISQPEEPQASAQSHSIGSRSEGRRSRSGKKGKKRPARRRSQQKMDELLTVEGGSNYSSRRDDDNQVLSSSSRFASPEDSKNKQKSSAESPMEIISENKLPAGLGRQPPEKLKEGFVIALKTRDNSGFYVSRERVAGGGWYLDVVPNATKRDPAAQFLVTFRNKDTMGLRSFVAGGKLLQANNKMEFVFANHSFDVCESWMLEGSLSECCKLVNRKNSSAVLEVYFEILGAPSEEDGVIRWLD >KQK90566 pep chromosome:Setaria_italica_v2.0:IX:43722597:43726652:1 gene:SETIT_035544mg transcript:KQK90566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGLGGSGASDPLTRRSGQVGGGYCCWRWARALMIVVYGVLSPSPDQNQQPPPVRPHPVPPPNAMDFSVGGSGGGGGGGGAGGPGEGRAQAERWLEIAEKLLAARDLVGCKRFAERAVESDPLLPGADELLAVADVLLASQSALPSGQPDPLAVLQLPPGACPDQAAVSRAFRRLALLLAPRNPHPGAEVALRLVNDAYAILSDPSRRPPPSANQATGTFSSQPAAAAAAAPPTPEFWTACPFCCYVHQYPRDLVGRALKCPNEGCRRGFVAAEIPTAPTIVPGTEMYQCAWGFFPLGFPNAADMGGNWKPFYKMFPWNTAPSGEGSGGRSQGNRGGRQPQTGSARGGSSRGRIKKTTARKKVGAGLRRRSFGGGVESGIDSTMLGQEGWAGDEDGGDGRAEEGRGININEAAQATDGSGRVNASGAGGVEDMSNFHIDVDATEDILGNLHNLPFLRVDNLGRML >KQK88473 pep chromosome:Setaria_italica_v2.0:IX:14498822:14501773:-1 gene:SETIT_036524mg transcript:KQK88473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLRRAVAQRFASSSSPAAFGLRRFLQEQPAFRPAVPPDRFMPLADRIRDLGVGFAFPRINLDGLVPPAAPPPAARREADAARELPAASLTVEEARKVLRATQMEAARARIRASGAGAVPYAEFLRLCCDAAGPDAGPSVARALDESGSVIVLGKTVFLRPDMVVKAIEKVIPVRETLPIAENDPAREALKAMEAQKANIDHAAVSQVRRELWCGLAYLVVQTAGFMRLTFWELSWDVMEPICFYVTSMYFMAGYAFFLRTKKEPSFEGFFESRFAAKQKRLMQARGFDLRRYDELRRACGLPPVLQARTPCATAPSSSAQEGGQCHSYCHCH >KQK88874 pep chromosome:Setaria_italica_v2.0:IX:17981486:17982070:1 gene:SETIT_040153mg transcript:KQK88874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSKLIALSLFVLLSIGLANAVRVARYSSSQGTGEGGGNGGAVVNGAGSGSGSGSGSGQTSGGASHASSGGGGSGGGWSKYGGSAFGGGSGTGSGSSLSEQGSSYGYGGTTNAGGSGGGGGGGQASGNEGSSGGNGSGSSETGRSYYNPYVTYANANAAGNGGGTGNTQNGGSGGGNGSGSGYGDAEP >KQK91776 pep chromosome:Setaria_italica_v2.0:IX:51730906:51735402:-1 gene:SETIT_034806mg transcript:KQK91776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPAAAAALLALLALAAAGGVAADGSDHRYKAGEPVPLYANKVGPFHNPSETYRYFDLPFCSPEKVREKSEALGEVLNGDRLVDAPYKLDFRVDLDSRSVCSKKLTTEDVAKFRNAVAKDYYFQMYYDDLPLWGFIGKVDKEGKADPSEWKYYLYKHIIFDILFNNDRVIEINVHTDQSALVDLTEDKETNVEFLYSVKWKETLTPFEKRMEKYSSSSNLPHHLEVHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEESGWKYIHGDVFRFPKNKSLFSAALGTGTQLFALTTFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYIATSFYCQLEGTNWVRNLLLTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTICVIVLIWTLVTFPLLVLGGIAGKNSKTEFQAPCRTTKYPREIPPLPWYRQTIPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGFFVYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGMVGFRAALFFVRHIYKSIKCE >KQK87929 pep chromosome:Setaria_italica_v2.0:IX:10248220:10250849:1 gene:SETIT_039528mg transcript:KQK87929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVMNVDVAPTIPDAESAPNEVVGHGCRIDDDVADSPNEFLFTQNDPIHEFSDLTLSDQAFAEGRDDELLEAPEAGPSMVIEEVVCDKERCPWRVCARKQNITGKWKITKVVGPHNCADHELTVRHPQLTSTLIAKRLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWRMIYGDWESGYEQLPVLFNAIKAVNPGMHYEYIPKPNAWKEDGRQIFGRAFWCFPQSVEAFRHCRPVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHVVGPGREVGVISDRHQGILHAVQEQIEGYAPLHHRWCTRHLAENLLRKDGVKDNFDLFQVAAQQLEDYYFQRKLEQVRTATNAEGRQWLAGLMRDLDRWTRSHDAGGWRYEFQCSNMAESFNKLLLRIRGMPVNAIVEFTFYRLVAWFNERHAKAEALQVAGERWAEKPKRHLIIANERASTHEVQCFDLGSGTYQVEHRGGTTSDGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAARHRNFDIESMIPHEFSVATLVRTWSPRFVPFRDPREWPPYDGPKYVADPAYRWNKRGTRKRTRHNMTMDQVSGRTRRGRATPFLADPEQNECGKCGRLGHNSRTCRWQISEDGALPPSRSGVRPDPPRSSYSGRAGNNLQFMIKALRASTSCVL >KQK88332 pep chromosome:Setaria_italica_v2.0:IX:13232324:13233897:1 gene:SETIT_035650mg transcript:KQK88332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAHAQLLLLVLFAWAWLFFAGALARGASGLHPVVLLPGFGCSQLDARLTDEFEPATAAPSCGGVPKGKKGWFRLWNNHTALQEDPTLVPCYAELLRLVYDPVAGDYRNVPGVETRVVAFGTTRGFGYGDPAMKNFCMEKLVRALEGVGYREGENLFGASYDFRYAAAAPGKESRVFSCFLSSLRVLVEQASERNGDAPVILVTHSFGGINANVFLRRSPLAWRRRYVKHFVMVSAGAGGGVSRLQFCGPSSSSPPTDPLSFADTGRSFAGVFSTLPSPKVFGDAPLVITRAKNYSAYDIPEYLKANGFSDGEVARYVTRVLPVTLDFSAPAVPMTCINGIGVPTAEKLVYWDGDFGAKPDEVLYGDGDGASNIASLLALDTLIGADPEQVYFRSVLIHNTSHGGAISDDFALDRLVNEVLEASRAILGQFVATAPRSIHGLKFTEQNKVV >KQK88351 pep chromosome:Setaria_italica_v2.0:IX:13463310:13467080:1 gene:SETIT_034537mg transcript:KQK88351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLLRAARRRELASPLGSLGASLQSTYAANICSKWGSFARPFSAKAAGSEVIGIDLGTTNSCVAVMEGKNPKVIENAEGARTTPSVVAFTQKGERLVGTPAKRQAVTNPQNTFFGTKRLIGRRFDDPQTQKEMKMVPYKIVKAPNGDAWVETTDGKQYSPSQVGAFVLTKMKETAESYLGKSVSKAVITVPAYFNDAQRQATKDAGRIAGLDVERIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDISILEISNGVFEVKATNGDTFLGGEDFDNTLLEFLVSDFKKTEGIDLSKDRLALQRLREAAEKAKVELSSTSQTEINLPFITADSSGAKHLNITLTRSKFESLVHNLIERTRDPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQEVVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIRVLQGEREMAADNKLLGEFDLVGIPPAPRGLPQIEVTFDIDANGIVTVSAKDKATGKEQNITIRSSGGLSEADIQKMVQEAELHAQKDQERKALIDIRNNADTTIYSIEKSLGEYRDKIPAEVASEIEAAIADLRQEMASDDIEKIKAKLEAANKAVSKIGQHMSGGGSGGSQSGSGPQSGGDQAPEAEYEEVKK >KQK91620 pep chromosome:Setaria_italica_v2.0:IX:50880942:50882516:1 gene:SETIT_035601mg transcript:KQK91620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGLANAATVAQLTGVDALGLISKIRQAARTARQNRRDCEHLARRVDMLAELLPSLRDPEAARPLAGLGDTLSEAHDLLVSCQAGGRVYQFVTASRKAERFRDVERKIDSYLLLFPVISHIGITRRLDGISSSAPPLGSNTSRMPEPAADEEFTMAEITVATNNFAVVLGDGDSGTVYKGKLHDGRDVAVKRLRPGRRGADDAFGTELAILSPLRHDHIVRLLGRCAEDGERVVVTQYMSNGSLHDHLHGRRPPSPVTASWKTRVQVLLGAARAVEHLHRHAVPLVIHGSVTSSHILLGDTWSPRLSGFGASLWRAAGVESQPVAVVADAYGYGDPELCSTGRIKPASDVYSLAVVMLEVLTGNPPVVTVWEEGSRTMVPMTLVSFALPSIQAGRLVDVLDRRPAPEPMTTWQLEPLQLVANTAARCLWLHGDNRPTISDVVANLEQALELICRRVHF >KQK86274 pep chromosome:Setaria_italica_v2.0:IX:1046513:1051885:-1 gene:SETIT_034452mg transcript:KQK86274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSSPAASFLPGILNPSANPNPRAPLRSAVAALSRGPCPSGRSVAAAAAAATGDHWGADHYHGGGHASSQEAAARAAHGVKCDVDVVSWRERRVLGSVAVAADVDTLWQVITDYERLADFIPNLVQSGRIPCPHEGRIWLEQRGLQQALYWHIEARVVLDLQEVPDSINGRELHFSMVDGDFKKFEGKWSIRSGPRSSSAILLYEVNVIPRFNFPAIFLERIIRSDLPVNLRALACRAEKIYLENQRCGFSAVDSKPSSTSSQLKFHSSTIDTTSSKFKEAPPTSGVGSVLPSPSSELIGKWGVYGNTCRIDRPCVVDEIHLRRFDGLLEHEGAHRCVFASITVKAPVREVWNVLTAYENLPEFVPNLAISRIVLRDNNKVRIMQEGCKGLLYMVLHARVVMDLREKLEEEISFEQVEGDFYSFKGKWRFEQLGDQHTLLKYMVETKMHKDTFLSESILEEIIYEDLPSNLCAIRDYIEKAGAEGSNSTTHSAAPTHPDIDHAEDRQSEKESASCSFSSMKQRPKVPGLQKDIEVLKSELENFIAKYGQDGFMPKRKHLRSHGRVDIEKAITRMGGFRKIASTMNLSLSYKNRKPRGYWDNLENLQEEISRFQKSWGMDPSYMPSRKSFERAGRYDIARALEKWGGVQEVSRLLSLELRRPRRQADSDDERQSESPAGSGITKQHGMKPDKANTSLDAHKWLLKLKDLDVNWVEY >KQK92608 pep chromosome:Setaria_italica_v2.0:IX:56321715:56327536:-1 gene:SETIT_035846mg transcript:KQK92608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAAPAAPSALPLSVFPKDSRPLPCLLLASLLLLLLLHLSSSSSSPAPSPPPPPPPRLAPLPTTAAAVSSAGPAPPTLAFLLTGSAGDADRLQRLLLATYHPRNTYLLLLDRAASPADREWLARKARAAPGHDNVHVVGDPGFANPRGASALAATLHGAALLLRIGQGWDWFVHLDAADYPLVTPDDLLHVLSYLPKDLNFIQHTSYIGWKESRQIRPIIVDPGLYLSSRNDIFYATQKRDLPNAYKLFTGSSSVILSRKFIEYCIVGMDNLPRTLLMYYTNMPLPHRKYFQTVLCNSPEFNRTVVNHDLHYSTWDAPSKNEPRLLTMADVENMTESGAAFGTRFPKDDPVLDHIDAEILHRLPGELVTGGWCIGVGHDSPCDVSGNLDVLRPGPAATKVAKFLAERLSYRSFYSQQCIWD >KQK86687 pep chromosome:Setaria_italica_v2.0:IX:3297744:3298795:-1 gene:SETIT_038263mg transcript:KQK86687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLLHSVLATMWKEKARAVARPLAAADRQLVRVLWRCANFRRSRTTSRTLCSTAELLQVWLMMGCSLFWSGCQDVGEKWLMVVVFL >KQK90738 pep chromosome:Setaria_italica_v2.0:IX:45451383:45453913:-1 gene:SETIT_035171mg transcript:KQK90738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLVPLAALVALLAAAAIAWLWDYAVVRLVWRPYAIGKELRGQGIHGPPYKFVRGSNDDIKAMKEEAEGLVLDVHDHNHLPRIAPHYLRWRAQYGEPFLYWFGTKPRICIFDYELARQILSSKSGHFLKTDPPSTLLDVVGKGLALLDGIDWVRHHRVIKPAFAMDKLKMMTTTMLACAQSMIKELENKACQSKNGEIEVDFDIELRELTADVISHAAFGSSYKLGKQVFQTQHALMAIYLESLLDVQIPGLKYLPTERNRRKWMLEKKLRSSLLQIIQPRLASTCRDYGNDLLGLMLESCIATEQGGKKGDLSMSIDEIIHECKMFFFAGHDTTSLLLTWAIFLLSAYPEWQERLRKEVFREIGREQPSADALSKLKETTMVLLETLRLYGPALFMQRKPVTDITVGATKLPKGVTVVIPIPIMHREKEVWGDDAGEFNPLRFENGVTRAGKVPHAMIAFSMGPRSCIGQNFAMLEAKSTLALLLQKFSFTLSPDYVHAPADVFSLKPKFGLPVIVRRLDA >KQK91837 pep chromosome:Setaria_italica_v2.0:IX:52170378:52170883:-1 gene:SETIT_038214mg transcript:KQK91837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMARRKAGRRARRMIQPNHQQQPGIAMSHARSKATGPNQKRAQRSPAPGGADGSPAYASSSGDSAAAIMIGNSDQGWPGNQRDVIMGDDERSLFD >KQK91233 pep chromosome:Setaria_italica_v2.0:IX:48669746:48673371:-1 gene:SETIT_034381mg transcript:KQK91233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEGPPPARREISARRRRWLDGDYDDDEEYVLEEEEEDYAEELSASSAGEEGEGSDAEYQQDEEEEEEEIETPRPKRPVKGSDRGRKGKVDPAAARSRRRKYEEDEDYEEEVEEEEEVEEYRDELEEVEEEEEAPPRPKSLAKCGGRSRNVKPPTAANRSHQRRHLDDEDMDFDPELDDEEDELDEDIDFDPEADDDDEDEYQDEEEEELSAIRARKVTVKNLAKQKSVSKRRTLKKKKKNKGSKASGKKSASAKAKKSAPVRRRRKRSMIDEYEEDEDEDEDDDDFIVEDERPRKKARKRNGREAELDAEVSPVEEETWPNLESDTSDFEFATSDEEHNNVETPVVEQITVKKGRKKRISGSESSSDSEFVVSDKELEDLRETELPKPVPIMLPASMRRICITRHGEGKGKEKQEQEEAGKPICGICLSEEQRATVQGVLNCCSHYFCFGCIMEWSRVESRCPLCKQRFTTITKSSKVDLGLGVRKAVIKVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLGDEGPSYTGIQRTVAYERQNYRNHVDSSSVSFGTAAPSGTLERPPSINPRRSFQGIDLNLSPREFPGETHPAESQVSTDSVSTPTGRRATLSGRRQIHRYIRILLTRPRPPSRQDAYHNIAQHSGGVPRTEPNRRNFPSSSEENTSHSMPDGIQNHHNGLPFVQAHNNFAPCMSLDGDEGVKSNLRNI >KQK91234 pep chromosome:Setaria_italica_v2.0:IX:48669154:48673769:-1 gene:SETIT_034381mg transcript:KQK91234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGEGPPPARREISARRRRWLDGDYDDDEEYVLEEEEEDYAEELSASSAGEEGEGSDAEYQQDEEEEEEEIETPRPKRPVKGSDRGRKGKVDPAAARSRRRKYEEDEDYEEEVEEEEEVEEYRDELEEVEEEEEAPPRPKSLAKCGGRSRNVKPPTAANRSHQRRHLDDEDMDFDPELDDEEDELDEDIDFDPEADDDDEDEYQDEEEEELSAIRARKVTVKNLAKQKSVSKRRTLKKKKKNKGSKASGKKSASAKAKKSAPVRRRRKRSMIDEYEEDEDEDEDDDDFIVEDERPRKKARKRNGREAELDAEVSPVEEETWPNLESDTSDFEFATSDEEHNNVETPVVEQITVKKGRKKRISGSESSSDSEFVVSDKELEDLRETELPKPVPIMLPASMRRICITRHGEGKGKEKQEQEEAGKPICGICLSEEQRATVQGVLNCCSHYFCFGCIMEWSRVESRCPLCKQRFTTITKSSKVDLGLGVRKAVIKVEERDQVYQPTEEEIRRWLDPYENVVCIECNQGGDDSLMLLCDICDSSAHTYCVGLGREVPEGNWYCGGCRLGDEGPSYTGIQRTVAYERQNYRNHVDSSSVSFGTAAPSGTLERPPSINPRRSFQGIDLNLSPREFPGETHPAESQVSTDSVSTPTGRRATLSGRRQIHRYIRILLTRPRPPSRQDAYHNIAQHSGGVPRTEPNRRNFPSSSEENTSHSMPDGIQNHHNGLPFVQAHNNFAPCMSLDGDEGVKSNLRNI >KQK88570 pep chromosome:Setaria_italica_v2.0:IX:15147882:15149168:1 gene:SETIT_036995mg transcript:KQK88570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHQRIHPVDLESGNRPTAPLVPGGSFRSDKGDPAPRANNPHHYQQQQQRGHGHGPLPPPPRRVAPLAPLPPPQKRRGRGCCCRFLCCAAVTLVVLAVLAAAAAGALYLIFRPKAPRYSVDRLAVSAFQVDPSTLTARAAFDVSVTAANPNSRIGIYYERGSSLSVWYESYRLARGALPAFYQGHRNTTVLALAMAGEVQLGSAVVSGLRDAQQAGSVPLVFRADVPVRVELGSFKLWKVTSRVRCDLVVDRLMDLSSPIKIKASNCKFSLKL >KQK90857 pep chromosome:Setaria_italica_v2.0:IX:46214071:46214792:-1 gene:SETIT_039863mg transcript:KQK90857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSYRMHELDDADINRLLPGEILEDIGVVVIDPAERQVPDVVDELAARLASVLGGAPKKTPCHHHPQVTVGRGSLDLAGGKGTVRRVMAVPPPFLPSPPAVPWQVMEGLMRRNSVVIHPTMPTMPGSTPTWPLAGGARPTTTAARRGTGTGVFFPRAEVAAAGQRQPCPCHSSAWTAGGHGDREVAAGNASRGCPYGAEALSWRPRPSASGARAATGMDLLNTKRTMTN >KQK86775 pep chromosome:Setaria_italica_v2.0:IX:3662317:3663246:-1 gene:SETIT_039496mg transcript:KQK86775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLFSSSWKRGGGGDGGDIESGADGVEMSAPPGAAAGASLDKFFEDVESIKDELRDLERIQRSLHEANEGSKSLHDAAAVRELRSRMDGDVASAIKKAKVVKLRLESLDRANAANRSVPGCGPGSSTDRTRTSVVAGLRKKLRDSMEAFSSLRSRIAAEYRDTVARRYFTVTGSQPDEATLDALAESGEGERFLQRAIAEQGRGEVLGVVAEIQERHGAVAELERSLLELQQVFNDMAVLGEQLDDIEGNVGRARSFVDRGREQLQVARKHQKSTRKWTFIAILIVLVIVLVIVLPIVLNNTKK >KQK92107 pep chromosome:Setaria_italica_v2.0:IX:53706037:53707301:-1 gene:SETIT_038968mg transcript:KQK92107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERNHASKPRKKRACGAAATSQARHASMGNCQAAEVATVLIQHPGGGRTERAYWALSAGAVMAANPGHYVAAVIATTQPAAAGDASGSAPVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFASKRHVKLSRVTIRAKDEDEEAKPAANRRRRRRARVDSRGAGAGERKESDRSLAKVMRQTEEVEPEPEQDPSSSDPSVTKHGHTDAAADLDAELDALLPHEALLGRRAARQWRPALQSIAEG >KQK88564 pep chromosome:Setaria_italica_v2.0:IX:15102100:15104318:-1 gene:SETIT_040484mg transcript:KQK88564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASRVGLSPVHEDKKETTNDGLMQSKRCIEKVPHQ >KQK88953 pep chromosome:Setaria_italica_v2.0:IX:18962131:18962529:-1 gene:SETIT_039020mg transcript:KQK88953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKLAVMAVLVLLLLVSARSYEQIPAVMTVNGFQRGESGGGPSECDGKYHDDNLMLAALSTGWYEGGIRCFRTIRIRSTQTGRTALAQVVDECDSTRGCRNNIVDTSKAVWAALGLDTDVGEVPVTWSDA >KQK86119 pep chromosome:Setaria_italica_v2.0:IX:361556:365291:1 gene:SETIT_035925mg transcript:KQK86119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDSFTDKNAVFRRLKAKPENKMCFDCNAKNPTWASVTYGIFLCLDCSAVHRSLGVHITFVRSTNLDSWTPDQLKMMAFGGNNRAHAFFKQHGWTDGGKVEAKYTSRAAELYRQILSKEVAKSATNDNALPSSPVSSEPPKPSDDFPEFKLSDAPAENLNGKQEPKSPKAPPRSPKAPTYPTFASSVKKPIGAKKVGGKTGGLGVRKLTTKPNESLYEQKPEEPKPVVPALTTTSTTKSGPSLHSRFEYMENEPSADSKSGGSHVTGHVAPPKTSDFFQEYGMGNGFQKKSSNASKTQIQETDEARKKFSNAKAISSSQFFGTQNREEKEAQLSLQKFAGSSSISSADLFGRDMNNSDLDLSASDLINRISFQASQDLSSLKDIAGETGKKLTSLASNFISDLDRML >KQK90386 pep chromosome:Setaria_italica_v2.0:IX:42293562:42293960:-1 gene:SETIT_039348mg transcript:KQK90386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITPETRFFGWWRRTFLAVQKEMRKGLDSLIILVAWEIWKHRNDCVFEKVRPTIQEVLRAISNEGGLWCMAGASRLRVGATESLLFSPA >KQK88296 pep chromosome:Setaria_italica_v2.0:IX:12980245:12981471:-1 gene:SETIT_038861mg transcript:KQK88296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLLLLALGAVQAADAVPPPSLQLQLGFYARSCPRAEAIVRRVVRRRAARDRSVLPALIRLHFHDCFVRGCDGSVLIDSTPGHPPAEKDAPPNLTLRMLDVIDDVKAAVEEACPGVVSCADVVALAARDAAAMAGRVRYDLPTGRRDGTVSSAAEVNLPSPSVSFSEALSAFRSIGLGVVDLTTLLGSHTMGFCHCGLIMNRLYNYNSTNPFDPSMDAGLLAVLRRRCPPHVVTPQNESRDVIVPMNFVAPLGPFGLDNSFYPSVLAGRAVLQIDQELASSGVARRIAAMFASRPGNFRRQFAKSMVKLGGVNVVTGRQGEVRLNCRRFNS >KQK89473 pep chromosome:Setaria_italica_v2.0:IX:31621278:31627716:1 gene:SETIT_036012mg transcript:KQK89473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGNGSLANGASTHGKPIKCKAAVAWGPGEPLAMEEVEVAPPGRREVRVKLLFTSICHTDLSFLKGENEQQRKFPRILGHEAAGVVESVGDGVEDLAPGDHVVPIFNGECGACAYCKSGKTNLCGTYRVNPFKSTMTSDGGTRFSVVDASSGERRPVYHFLNTSTFAEYTVLDAACAVKVHPKAPLEKMCLLSCGISTGVGAAWNTANVSTGSTVAVFGLGAVGLAVAEGARLRGAARIIGVDINPEKFTKGKEMGVTDFIDSKACDKPVHEVIREMTDGGVDYSFECTGINDVLREAFLSTHDGWGLTVVLGIHATPKMMPLHPMELFDGRRITGCVFGDFKGKSQLPDIVDKCMSGELKVNFDGFITHKMPFSDTNKAIQLLEEGKSLRCVLHF >KQK89571 pep chromosome:Setaria_italica_v2.0:IX:33093725:33095065:-1 gene:SETIT_038896mg transcript:KQK89571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDGSGRQGASSAAVPASPLEDDNLLSLILHRLPAVPSSLLRASLVCKGWRSLVSDPRFLRDFRTYHKNSPLLGFFSGDLLGNVEFTTMLGSSDCIPASRFSLCLRPGSRFLCSHHGRILILDQEEQQFLVWDPVTGELGNIAFPPAFNGKMLFIIDGGIVCAATDQGHVHGACHSDPFRLVFLGEDRERILACAYSSETRAWGNLFSIMRPPYFVRYNSPKCPSTLSRNSISLLLFGDKAVILEFDWGKGNLALIDVPSDAYDFDAFIGGMCQFMIAHVDSGGLCFYLLSAFSVHVWKRVCNSDGIATWMLEDTIELRNLLSLKPTMHLTILGLDEDNKVILKLTDSVVFMVSLESMQFKTLSTQLPFYSFSFHPFKSFYTPAVKPCCLIQS >KQK87252 pep chromosome:Setaria_italica_v2.0:IX:6277288:6277621:-1 gene:SETIT_039904mg transcript:KQK87252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKVSFAFILLLSGLLVLSEMGSAEAACPVRCIQGSYITCGNYPSKQLGGCDCQCAPKDGKNCRLHFLSTGGTFDCPKH >KQK88804 pep chromosome:Setaria_italica_v2.0:IX:17295090:17297962:1 gene:SETIT_036829mg transcript:KQK88804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAEEEAPERRGGGGKDSEWQRRRRARVGEKERHGGGRPAPRPAMVRWRPERAELGNCKVCFECWWWEWEWSGRERRRSRPLLVCVGRRPPPSGPRCWIGWGGSSSGGSHRPPPTLSRRRHRRRRAKQAGAARMPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVNGRIIRKRIHVRVEHVQPSRCTEEFRLRKAKNDQLKADAKVRGEVISTKRQPLGPKPGFMVEGATIETVTPIPYDVVNDLKGGY >KQK87293 pep chromosome:Setaria_italica_v2.0:IX:6460889:6462641:1 gene:SETIT_035645mg transcript:KQK87293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRPSLAPAPAAVTVMVVMLSTLSCSCCGASSAARAVAAGRRPVILIPGAGGNQLEARLTEEYRPASLACRVWPPVRGRGGWFRLWFDPSVLLPPLTRCFADRMTLYYDAGADDYRNAPGVETRVSDFGSTSTLRYLDPNLKLLTGYMNTLASTLEKAGYEEGRDLFGAPYDFRYGLAGPGHPSTVGTAYLQRLRLLVESACAANGGRPAILMAHSLGGLFALQLLARSPLPWRAAHVARLVTLSAPWGGSVQEMLTFASGNTLGVPFVDASLIRDEQRSAESNLWLLPAPRVFGNTTLVVSRGHGDGGGGNRSYSAKNMTQFLRDIGFEQGVEPYRARIRPLVEALPEPGVPVTCLVGTGVDTVESLVYGDGGFDEPPEEVVYGDGDGTVNLASLVGPIKAWSDSPAQVVEVVELPKVSHSGILNDRSALEQIIRIVDSINLNATSYQPS >KQK91966 pep chromosome:Setaria_italica_v2.0:IX:52952076:52955406:-1 gene:SETIT_034699mg transcript:KQK91966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAKSVTPGAVSHILEKPSPADAATVPELVVQVVDLKSIGNRFGFMASDGKDKIKAMLPTQFAAEVRSGNLQNLGLIRILDYTCNAVGGNNDNKALIITKCEVVSQALDAEIKSEAKKEEEPAIVIKPKDEEMVLSKPVNAPPVVLKPKLEVKSASQIVNEQRGNAAPAARLSMTRRVHPLISLNPYQGNWVIKVRVTSKGNLRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAAKKFYPMFELGKVYYVSKGSLRIANKQFKTVQNDYEMTLNESAVVEEAEGETFIPPVQYNFVKIDQLGSYVNGRELVDIVGVVQSVSPTMSIRRKLDNETIPKRDIVVADDSGKTVSISLWNDLATTTGQELLDMVDSSPIIAIRSLRVSDFQGVSLSTVGKSTLMVNPDLPEVHNLKSWYDNEGKGTSMAPIGADMGAARAGGLRSMYSDRVFLSHITSDPNMGQEKPVFFSLNAIISHIKPDQNMWYRACKTCNKKVTEAVGGGYWCEGCNKNDAECSLRYIMVIKVSDPTGEAWVSVFNEHAERIIGCSADELDRIRKEEGDDSYIQKLKEATWVPHLFRVSVAQHEYMNERRQRITVRTEAPVDYAAESKYLLEEIAKLTAC >KQK88488 pep chromosome:Setaria_italica_v2.0:IX:14592292:14595869:-1 gene:SETIT_036836mg transcript:KQK88488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSALRRSLPRRASLRRALPSHRPPAASEFCRSFQSGDGEIGETMDEFEARLFGSNKGVDEGSLYRKLDKVENTSKRYGMGSGSMAGFGGLGNRSSSGSMGGFGVFGDRSSSGPLGGFDSLNDGMGETLAHVARNFQMDDDDDDDEDWDDEDFDFRPDVDFRRGSTYNVRDLDLKRPAAAKNPPRPQFETTTAEVLRKADFRNVRFLSNFLTEAGIIIKRSQTRISAKAQRKIAREIKTARAFGLMPFTTMGRRPFIFGRSAEEHYSEEEYGFDFVKKDGGPDEDNGDAEPNVEAA >KQK92937 pep chromosome:Setaria_italica_v2.0:IX:57976339:57977405:-1 gene:SETIT_040076mg transcript:KQK92937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCTSVYSSAAMLGMAREAEMMCMWMLKNNKPVDFYDDLIPHEIRDRHIVRSGTLNFMVSMLEKSSAEHKIDKEEPASRSGPRGDGYGIAVDGAANTTRGSMEEGFWEKLWGWERLVPLCSYVKWSDYGRYLEEYYKHNANDVSRWRRNSCGVAWMTPALSLRVA >KQK86137 pep chromosome:Setaria_italica_v2.0:IX:428628:429479:1 gene:SETIT_037861mg transcript:KQK86137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMNRLQDLTPAPSMTVPIEHSSRPTLGFPLGTALLLLVIFSLSGMFSCCYHWDKLRSLLRSRHPAMFQEGEHTVISITSSPSKETPDHKLEKVGKECGLPVIMPGDKVPKFFARPCPHEMCLPEAEKTEVPLETKCSVHETICICTSSVHESTLSR >KQK88736 pep chromosome:Setaria_italica_v2.0:IX:16696728:16700675:-1 gene:SETIT_035447mg transcript:KQK88736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHVIGGKYKLGRKIGSGSFGELYLGVNIQNGEEVGIKLEPVKTKHPQLHYESKVYMLLQGGNGIPHLKWYGVEGEYNVMVIDLLGPSLEDLFNCCNRKLSMKTVLMLADQLINRVEYMHSKGFIHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYKDLQTHKHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESVGYLLLYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTSAEVLCKSYPSEFVSYFHYCRSLRFEDRPDYSFLKKLFRDVFVREGYQFDYVFDWTALKYPQMSSNNKLVQQPSARIAGAGPSAERTDKASVGQEIRDRFTGAVEAFARRNPGSGRHGDHSRHKSHADSFGTSNEAVADSEKSRFLSRGGSSSKMPAGSSSRPTSSGDCSDQNRRWVSGSSGGSGRPSTAQRLHHSGGAENSRSSPRSPVARGAAPGRGGGGSGSRNNTTFRSLERLSISTSRRK >KQK90157 pep chromosome:Setaria_italica_v2.0:IX:40299446:40300566:-1 gene:SETIT_037911mg transcript:KQK90157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLLSTAVHRTMPGNYVRPEAQRPRLAEVVSGARIPVVDLTSPDRAAVVAAIGGACRTHGFFQVLNHGIDAGLIAEVMAVAREFFRLPAEEKAKLYSDDPARKIRLSTSFNVRKETVHNWRDYLRLHCHPLDQFVPDWPSNPPDFK >KQK87143 pep chromosome:Setaria_italica_v2.0:IX:5752978:5755878:1 gene:SETIT_037465mg transcript:KQK87143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRPAVLLLVVVALAAVLSAARWADALSVTVTDTECIHEFVPYEGDTVSGNFVVVDHDIFWSSDHPGIDLTVTSPGGNTVHTLKGKSGEKFEFKAPRGGMYKFCFHNPYGAPETVSFYIHVGHIPNEHNLAKDEHLDPINVKIAELKEALESVTAEQKYLKAREARHRHTNESTRKRVMFYTMAEYLAFMAASALQVVYIRRLFSKNVAYNRV >KQK88913 pep chromosome:Setaria_italica_v2.0:IX:18553052:18554471:-1 gene:SETIT_039750mg transcript:KQK88913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAAAAAAATATAAALRLRAAAASLSSPPAALFTPCRAAIPRAAASPVMDAQFESFRAQLDESSTLRDRIRAVVSEIESASRVATAALLLVHQPVPLEDVLGKAKAQVEVIKGLYAQLAEVLKECPGQYYRYHGDWRSETQAVVSMLAFMHWLETDGLLMHTDAQEKLGLSSGEFCLDVEDYLTGLCFMSNEFVSSITDQTKNSSHNFILGICLWFETENVVQPA >KQK89821 pep chromosome:Setaria_italica_v2.0:IX:36198444:36202657:1 gene:SETIT_040216mg transcript:KQK89821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPCHKRRRRPGRGLAISSSSPEVVANSLRVRHPEFRSQKADHSTAAVRRIPSAIPSPSSSGSDNDHWASRRRHDAQTTTSTSLSDESVQPPSPHAFDLSKTIIRSQYASQTSKRNPGTIQQMEMEIKVIPEKARRLIASDGGGGSDAKPEAPAIEGVPRGERGPRFADLGGMEAVIEQLMMELVVPLCHPELPRRLGVRPVAGLLLHGPPGCGKTTLAHAIANETGVPFYKISAPDVVSGVSGASEENIRGLFQKAYRTAPSIVFIDEIDAIASKRENLQREMERRIVTQLMTCMDEFHQNIGGGHSDAESSEKKPGYVIVIGATNRPDAVDQALRRPGRFDREISLGIPDEHARKQILKMLTQNLRLEGQFDLFKIARATPGFVGADLKALIDKAGNLAMKRIIDERRVQYSHGHDGNNKPDWWRQPWDVDEVESLHITMGDFEEAAKLVQPSLRREGFSSIPDVTWDDVGGLDSLRREFDRCIVRCIKNPEDYEAFGVNLQAGFLLFGPPGCGKTLIAKAVAHEAGANFIHIKGPELLNKYVGESESEVRKVFIRARTNSPCILFFDEADALTTKRGNEGGWVVERVVTQLLTELEDQRQGVYVIGATNRIDVIDDAFLRPGRFGKKHYVPLPGADERVSVLKACARSKPISSGVDLDALARREECSNLTGADLASLVNEAAMAALEERLEILENGTSSMSSSCLIELSHFEQALSKVKPSVSEQQRKHYEALSKRYSSN >KQK87282 pep chromosome:Setaria_italica_v2.0:IX:6395973:6397327:-1 gene:SETIT_038015mg transcript:KQK87282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPQGKLMDPIRSPIAATSSFHQSAVKHLQQGVILAHRNGISRRCLLTLLTSTAAIPDSSESRKALLQEYLKKSKENKEKNDKERLDDYYKRNYKDYFGLIEGPAREKKEEERTESEKRILEWLDKNK >KQK88527 pep chromosome:Setaria_italica_v2.0:IX:14820046:14821497:1 gene:SETIT_039922mg transcript:KQK88527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLSFPGKKALRFAGDTSAAERDADLAVLMHARAHVVLASESARASAAEAAAALRAYLRVVYASKMMAGPSQQKLSFAWRDAGDESDKKKAKAESSLSSLAAAAQGQGQGHTSLVTEWAVALFALAAELARAAAAENRRGADGIRRACGALCDAAGALRAAAGARAHDAGDQMCHVGDQACLAAFERLMLAQALECYFELAVAGGKPPALCSKIARQVSHDYNEVFVKLGSLQQQPINKSWALHVQAKAAYFDAESSLQRARALREQGAGFVGEAVARLRHAVSVLDAGGGKTGPLGKKASSSSSTAAALVPVRDAAARLRKEVEAELAAAENDNCQVYFERVPAVDALEELPALTEPLVRPTAVERILREPDGEAALANGGAPTIRH >KQK86564 pep chromosome:Setaria_italica_v2.0:IX:2694052:2694196:-1 gene:SETIT_039218mg transcript:KQK86564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLSHHLLLPRTGRPWLPLAITAPSGSKRLNGTCPAPRPRRPAVGVR >KQK89888 pep chromosome:Setaria_italica_v2.0:IX:36747117:36750493:-1 gene:SETIT_036870mg transcript:KQK89888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRERRLPPPAFRMENPFSVKVLQVFTGFGVGCGVGIGVGRPIYLGMIPGLQQVMSATRGATDAFSGVTRHVNSALRTSGLKNIEAGIGCGVGMGHGFGIGIALKPRVLHGIQSSFGEIMSKLTSRLKDSPEMPSASNPMARSLSSNQQTHDGMPMDLEAKTAESNLKNTTSYEMSRVQQPNQPEALTGSRTEKVIANFLQNPLFQNDTKMDSRDAPGNLQGMDNVLQLVLKHQRVIEELREENENLRQILVEELKVSPTKLQIDRKNGVKAYYPCSDCFECRRRSRKTR >KQK91376 pep chromosome:Setaria_italica_v2.0:IX:49488786:49490102:-1 gene:SETIT_038963mg transcript:KQK91376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKKRRAGAAAAGPASSSKKPRASAAASYAESLRSKLRPDASILATLRSLASASASSSKSKAAAKFLADHDPPDDPTSSYIVVADQDSASVTSRINRLVLAAARSILSGRGFSFAVPSRAASNQVYLPDLDRIVLLHRESARPFANVATARKATVTARVLSLVHAVLRRGIHVTKRDLFYTDVKLFGDQSQSDAVLDDVSCMLGCTRSSLHVVASEKGVVVGRLVFADDGDRIDCTRMGVGGKAIPPNIDRVSGIESDALFILLVEKDAAFMRLAEDRFYNRFPCIILTAKGQPDVATRLFLRRLKVELKLPVLALVDSDPYGLKILSVYMCGSKNMSYDSANLTTPDIKWLGVRPSDLDKYRVPEQCRLPMTDHDIKVGKELLEEDFVKQNEGWVKELETMLRTRQKAEIQALSSFGFQYLTEVYLPLKLQQQDWI >KQK87842 pep chromosome:Setaria_italica_v2.0:IX:9712676:9715390:1 gene:SETIT_036443mg transcript:KQK87842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGEGAAGMGAGDFALPDEVLAALPRDPYEQLDLARRITALAVAGRVSGLEREAGRLRAEAAEKDRESAELRERVALLDTALQETNARLRAALEDNIKLSKERDSLAQTSKKLARDLQKLESFKRHLMQSLRDDSSSPQETIDITTCDQSVASKASSCGDGGSASHPTPNVLSESFDVGSTNREGTATRPLIQKYALSSHITPRLTPEATPKILSTSTSPRRMSTTATPKLMSGATSPTRARIEGHMSMTPWYPSSKQSSAANSPPRGRPNPGRTPRIDGKEFFRQARSRLSYEQFGAFLANIKELNAHKQSREETLKKAEEIFGPDNKDLYLSFQGLLNRSMP >KQK88516 pep chromosome:Setaria_italica_v2.0:IX:14771615:14772108:-1 gene:SETIT_040447mg transcript:KQK88516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVFLDYSILLLVAQSLIVITVIILYFGEAM >KQK89593 pep chromosome:Setaria_italica_v2.0:IX:33603791:33603940:1 gene:SETIT_0392171mg transcript:KQK89593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EILDLRSSPPTTIEEEGIVLLVKVAFSCLGASPQARPTMQEVYQASSSF >KQK87681 pep chromosome:Setaria_italica_v2.0:IX:8669531:8669941:-1 gene:SETIT_039792mg transcript:KQK87681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTARPIQLPPHPPRKRRQTAPKPHSRAHQQIPSSPARRRPAAAMGFVMEFAENLILRMMEDPDKRDQVRREHVYRMKERCERTKAAWSLPLRPYGFWTYERFNSQLSWDPQISQAAGRRDPYDDLITRHSGSPPSS >KQK91601 pep chromosome:Setaria_italica_v2.0:IX:50763665:50767562:1 gene:SETIT_035113mg transcript:KQK91601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGIRFPANLFGHPTDKRIEAVNSELQYWTNHSNAPVTKVVFGHFPMSFTASSEKGQRYESVFARQSISAYLCGHLHAKVSKQLWRHHEMRTIEGHKSSFWEWELGDWKDYRLMRILAIDGGAVSFIDHTLKHALKTSILVTYPTDSRSMNMLESEKGSMRNDINVLVFSHQVIRNVSARVFDSHSEFKIVEEIPLQLVASSSAHRPLFHAKWNAENYRSPSPTRYWLQVFVLDSHGVKVSSEQRPFSVEGKMAIPTRPWLNYLVFEVQWEDMYQVLLWSNMGFTTVLLLTPKLLYHFVRRSSSYQRWAVSVLSSPIQLRKAYFWLIWFLIEGTRSKPFWLCLVIYVLWLIEMPWFWGCATSEDGEIAQMYLSGWSMPFHDGGFTGNKLSNPDVLVITLPFLYLVLVPVIVLIYGLFAEKAIAYLRRSRRIEYSADSANMHTESACLLPVAPRALLMSDNMVSMMIQFCGSWTRRALLLACLITAAIHLKLCSKLMSAYGMVPVALSPPLTWMPLLLLGVAAYCTKLHAD >KQK89970 pep chromosome:Setaria_italica_v2.0:IX:37944687:37946432:1 gene:SETIT_037030mg transcript:KQK89970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAAALVVALLAVLVAHGVRAHPELNHTSSAARQLRGSGGWLPAKATWYGAPNGAGPDDNGGACGFKHTNQYPFMSMTSCGNQPLFKDGQGCGACYQIKCTNKNNPACSGQPKTVMITDMNYYPVAKYHFDLSGTAFGALARPGLNDLLRHAGIIDIEFRRVSCDNRGLTINFHVEQGSNPVYLAVLVQYANKEGTVSQMDLLESGSRYWTPMRRSWGSVWRLDSNHPLRAPFSLRIRGESRRTLVAYNVIPANWRPNTDYRSYVQY >KQK90316 pep chromosome:Setaria_italica_v2.0:IX:41567076:41568107:1 gene:SETIT_038420mg transcript:KQK90316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPDLIMSTWLPKELQTLNLVSRLKLYEAQLPVLLKFLCKVGWSKSFRSGLIFSSPFVLTNSCSI >KQK89919 pep chromosome:Setaria_italica_v2.0:IX:37148471:37148798:1 gene:SETIT_040691mg transcript:KQK89919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQASSKSPRQSHKVLLNESRIVINLHEYFTGSRRAGEDPGLT >KQK91266 pep chromosome:Setaria_italica_v2.0:IX:48866731:48868627:1 gene:SETIT_036815mg transcript:KQK91266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKGSRATNKFRERRLAVSPVSGGWSYVLSTRRPWEASQLPTSDRSTGLVSSFRFVSFPSLCFEYCFSVAGQGGLPRSPAKGSIEEPARGSRLELATHHQGQGAASPRPQEAAELAALVVEESEEQRNRFLVLRLYEALNARDARRAQELLAADLEWWFHGPPARQHMMRLLTGAEKGNGGFAFTPRSVDAFGSTVIAEGADETRQLYWVHAWTVGPDGVITQLREYFNTDLTVTLLSGAASAKNAAAIAAAPPKQDAASSSSSSPSSASSSAGPKCLWQSRRADRAHKSLPGLVLAI >KQK93088 pep chromosome:Setaria_italica_v2.0:IX:58699636:58700917:1 gene:SETIT_040080mg transcript:KQK93088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTPRDGSISCTICAAVRIGWLGFLSVRNSVLSWIAGCFGKRQRPTPPSEFSWISVMGKPILNEDGSCTIASCTMCIEAQHRLAFERVNGRGSFTFKAKVPAELKKACSKRGIWNREDGADIREILKAIAQKGGVLTERVPNNIKLPISGYHCLHDIGGFQLMRLICAHGPVIGILWAELDDYDRAIGDIVYRRTPMEYRFPNSGAYHAVVCFGYKYDPQREELHIRVMDNHAEDGPLRWISFAALEEFYLPLIPEPVELHKLRRKKKREEHSVSAYVTHSMVTLEKKLMTWIRCRELDRFYKPRQQDVFLLDNKHTLTR >KQK88514 pep chromosome:Setaria_italica_v2.0:IX:14758497:14762331:-1 gene:SETIT_039169mg transcript:KQK88514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLRPGPAAAAPPIPVTSSSAHVAAVGGGGFGARSSRHRVSVGAFWTPSPQVLETRWRQRRRDTVVVRSDVVAGGAAAAAGDSTQALSVTSPDSPWLLAIEDSVFLLSFKDHMRGGVSSLAFL >KQK91557 pep chromosome:Setaria_italica_v2.0:IX:50542939:50544067:-1 gene:SETIT_038365mg transcript:KQK91557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDVSRLAEALVQYKGAWTRTSQSQCPLCPFSSPQLIYCMLNHHLSTLTGQEESTKVLDPKLHDHLGTEQNL >KQK92616 pep chromosome:Setaria_italica_v2.0:IX:56370588:56371691:1 gene:SETIT_037310mg transcript:KQK92616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDPQQRLRAVGDVLAGGDERTHLGEPAGALELAGAAGDGQPAQRRAHLVHPEEVDGVPVLAALHHGRERHHLRRPVCGARHVHALRAPQRLRAEVRPARQHVVDDGDRAEPEAEPVGAELHLRRGRRGRGAAPGLQEPCAGQAGVQRDAVVAVHRRHLPVGVGDRHAVPGRAEADGAREGDLPVVDVAEQARDGPRRRARAAQDVVHVEFQRRVRAAGAGAGAADTAGAA >KQK89406 pep chromosome:Setaria_italica_v2.0:IX:27781442:27783609:1 gene:SETIT_035875mg transcript:KQK89406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLHLLAALLLLLPSTPEATSSALLGISYGRVGNNLPPATSVPHILASLGVGRVRLYDADPATTRAFANTGVELVVGVPDECLATVSTPAGAASWVRSNIAPALPATKIAFLTVGNEVLTGANSSSLTRYLLPAMQCLHDALAQAGLDKQIAVTTAHNLGVLATSYPPSSAYFRKDLLPTLCPILDFHARAGSPFLVNAYPYFAYAEEPTGVDLEYALLEPGHAGVADPGSGLHYTSMLAAQVDAVYHAIAAANSAAARAVEVRVSETGWPSAGDANETGATPQNAARYNGNVMRLVAQGKGTPLRPATPMRVYMFALFNENMKPGPTSERNYGLFRPDGTPAYELSYRLPQDNTPSSSGGGGITGGGVYNAHGYGSDNGGYYSISAAGKATMGWWRWTQGAVAACVAVLVMAL >KQK89641 pep chromosome:Setaria_italica_v2.0:IX:34358078:34361791:1 gene:SETIT_036462mg transcript:KQK89641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSHSPRSASGATAVMAVLFVAALCLHGAMAQPLREDYYDGTCPDAYNIVKQVLIDAHESDERIYASLIRLHFHDCFVQGCDGSILLDNMTGMQSEKESPPNNGSARGYEVVDAVKCALEDACPGVVSCADILAIAAEISVELSGGPSWGVLLGRLDGRTSDFNGSQDLPAPFENLTTLQSKFQAVGLNDVDLVALSGAHTFGRVQCANVADQPADRLYNFSGTNMPDPTLDSAYRAFLSQRCPRNGDSSVLNDLDPTTPDTFDNHYYTNIEVNRGFLTSDQELKSAPEAQGTTAPIVDQFASSQDAFFASFAQSMINMGNIRPVTDPSQGEVRTNCRRIN >KQK86797 pep chromosome:Setaria_italica_v2.0:IX:3785155:3786598:1 gene:SETIT_037864mg transcript:KQK86797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVDDHEVIAYLLSTEIIPLCLRTMDLGSEISKTVSTFIVQKIILDDAGLKYICATRERFFALANVLAQMLEGLVEQPSPRLLKHIIRCYLRLTDNPSACHALRTHLPTVLRDGTFNDFLEKDLTTRLWLHQMLHNIAMANSGGGAPHTGLNRIMGM >KQK87049 pep chromosome:Setaria_italica_v2.0:IX:5277054:5277958:-1 gene:SETIT_039263mg transcript:KQK87049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFFHGKTSKQTSRLKKLLELALSRLAVARRPRLARRSICRGDVGQLLSLGHLHRALLRAEQVIEEDNMLQAFEIIELHCKRLIEHAKQLDKPQECSEGTMEAAAGITFAARWCGDLPELLLARAILEDKFGGDFAAAAKEGAGIVDPMLVWKLSGDKTDMELKKKVTKEIAAENSILVDFSELKEQEGIEQD >KQK88581 pep chromosome:Setaria_italica_v2.0:IX:15242134:15242190:1 gene:SETIT_040144mg transcript:KQK88581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALGLKTDDGRVPVTWSDA >KQK90367 pep chromosome:Setaria_italica_v2.0:IX:42161004:42162595:1 gene:SETIT_035284mg transcript:KQK90367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQEQPATLGRGDAGSTKAAAHFVFVPLNEQGHLIPAVDTALLLATHGALCTIVAPPSTAARVRHTVDAARHSGLPVRLVEFPLDYAAAGLPEGADHADRIEPRYMMSYYRAVALLRAPIERYLRARAPYPTCVVSDFFNPWTTELAAGLGVPRLSFFCMCAFSILCQHNLERFHAFAGVEDSNEPVVVPGLETRRVVVTRAQAPGFLRGIPIPEWEALADYIERARAEADGVIFNTFLELEPEYAAGYAAARGMKVWTVGPVSLYHQQAGAAATLASRGKGNNDTPAVDAAGCLRWLDGRDPGSVLYVSFGSIVQPEPKQVVELGLGLEASGHPFVWVVRNAGGYDEAVRAFLDELEARVAGRGLIVRGWAPQAVILSHAAAGGFVTHCGWNSMLEAIVAGLPVVTWPHFADQFLNEKMAVEVLGIGVSVGVKEPLIYQAVKKEIVVGRDVVEAAVRSVMGGGEEAERRRRRVRALAAKARAAVQEGGSSHANLLDLVKRFEPRDN >KQK87973 pep chromosome:Setaria_italica_v2.0:IX:10552563:10552739:1 gene:SETIT_039344mg transcript:KQK87973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRLAATCPDTFPDGVMDQRDMFIDAFSDVTTIVEP >KQK90240 pep chromosome:Setaria_italica_v2.0:IX:40904483:40904809:1 gene:SETIT_039525mg transcript:KQK90240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPEMVPCSQRGASYYNCQPTTGVGGRVRVGDLLADHLVVRRGISSERACRGTVGECMEYMDMDDEGEGDVARMSTDGGGKRRVLQGGSGYISYDMLCRDNMPCSRN >KQK88634 pep chromosome:Setaria_italica_v2.0:IX:15689630:15692621:-1 gene:SETIT_039428mg transcript:KQK88634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSSDGPSPTTPSPLGALPSTSPTPMPTPGSTTTLLAAAAPRSTFTLPLVPGEPSLLATLPLAPSFSTVGRSKAERWSDSSLSSGESFGTGRTSFKDVLLAGIHPAAASHAPGSHQAALAMSAQGASRVVPRIILRHHPRENIGTACGPDKEGWEKLRPPRPVPVDLKGCCFNRFSSGHRATTCSSGPRCFHCRELVPHHSQCVWHPVSRPTLPLAPLAAMEDCNVILDGSHGRKRQHRPWRKGGRGADQPAVISDDDTSVEEGDTRVISVVQSAAAVVRPSKPRHIGDRSASISQWEDTLARALVILVINGLVDSIPTTIAHRFEIKESSLILQRLGPSRILLILLSIEAMERVFNGGRPIITSSLHLHVIRWTRLMQSTVALLSSAVEEGIPAHAWELPMADGIHSDTHDQREVFKVAAWCSDPNKIPPEMELEITKPPSVEKEIHSVKRTLLYPVKIKVASPSPSPEDNDQGGNRRQRRLGSDSLSALGVFAAANLGSRVPAHARLGPRFKDGSHTPSMDVKTGASTPATLGDSAVAAAPSTSSTRVKVNPAVALVPPPRMALVPALGHNGLAVPASPKELSRLPRFEDTQPPRLEDAAALTAAPRAITARVHNGPVAFSSPEDIPGAEISSPKTMKNVVLEGSGIKTPSPFIPSGLVPLLGDGGAWARVLQCPFLLVQSYSKIYTWRRRPPVAGPNAESNDTSPVVQERNPLSPSVSKKATFMSKIRKRTTRILPTPRGNRTRQMARTPAAPPHRSYRIAGVELYGPVVTTPTRTRKKVMQALNIIGQNEGIDQQALDEYGTIFTQSSTLAATHVQAMAALFGWATPDEAELEEGRSGGVEI >KQK91281 pep chromosome:Setaria_italica_v2.0:IX:48982521:48985841:-1 gene:SETIT_039964mg transcript:KQK91281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKYVAEVGLHQRLCSTSAASSRSLSIWRRKKKMGKEGLMVVAQLKRLVALPPAGCHHRLEQFMRSNVSRLLRTDLLAVLAELLRQDHVVLSMKIYGVVRKESWYRPDMYFYRDMLYMLARNKKVDETRQVWADLKSEDVLFYQHTYGDIVRAFCDAGLSDLVMEIYEDMRSSPEPPLSLSFRVILKGLVLFLELREKIKQNFLELFPDMIVYDPPDSLSDVDDEFKF >KQK92617 pep chromosome:Setaria_italica_v2.0:IX:56372899:56382379:-1 gene:SETIT_034283mg transcript:KQK92617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQHCDTLVSEALWETIHMEVQDFVQDKLDTMLRTTFRKKKDLSRILSDMRTLSADWMAKTSNADPEQNSLHQETEEMRQNTFYPRPVAPTAAQIHCLQFLICELVSGGNMRKPGGLFGNSGSGIPVEDLKQLETFFYKLSFFLHILDYTATIGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESQDAGLLESILIPFDLYNDSAQHALTCLKQRFLYDEIEAEVDLCFDLLAQKLNEIIFTYYKSCAASTLLDSSFTYACDDGEKYFVKPLRFDAIFKLRRVMVLGRTIDLRSLITQRMNKIFRENIDFLLERFENGDLCGVVELQQLLDILELTHQSISRFLELDSYSLMLSEMQENLSLVSYSSRISSQIWSEMQTDFLPNFILCNTTQRFVRSTKGTHHSSQRSSASTGKPYFYCGSYDLTMAYQGLAGLYRDFFGVPHMFAVVKLLGSRSLPAIIRALLDHISSKITGMVPKITALQEALPKSIGLLSFDGGIAGCQKIIHEILTWEAKSEVKTEVLHDLKEIGSALYWMSILDIVLRQIDTTQFMQSAPWLGLVPGNDGQVKHAYSDNTPFTTLLNAATNAVTSSPACPNPSTFLVMSKQAEAASLLYKSNLNSGSVLEYALAFTSAALDRHYSKWSATPKTGFIDITTSKDFYRIFSGLQYSYLEETINYPSKKQEMLGDSVAWAGCTIMYLLGQQQHFELFDFSYQFLNVAEVESATISHYQSSERTKSPNLLQGYEGILEAMRKARRLNNHVFSMLRARCPLEDKVACAIKPSGAPLHRMKFMNTVSAFETLPQRAT >KQK88359 pep chromosome:Setaria_italica_v2.0:IX:13554514:13555115:1 gene:SETIT_038202mg transcript:KQK88359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVSVAPPHARALLVLVVTLTAALMAAAPPPARAAWVESDYPSSVPCGVTIPVEQCDPAADAANAACRDMCHYGGRRGGRCVSPGRLALVQGCHCRC >KQK89603 pep chromosome:Setaria_italica_v2.0:IX:33705326:33705996:1 gene:SETIT_037809mg transcript:KQK89603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMFHINGSCIAILIYCRCIIWHIAPQWHCGDIIPCIIDCMQHCCCSMANGDCCINIASKGEPPMVNARCIMQDCMHGTMEPIPIIGENELTMVNGCCIMHDCMHGSIMPIPVISGKFCGHVAEVVATAERARSTTRAMRDAMVFEYYLAHNKNGGVSEINLVMG >KQK87743 pep chromosome:Setaria_italica_v2.0:IX:9041845:9043282:1 gene:SETIT_036687mg transcript:KQK87743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRLSELLQEQQEPFLVEAAKARRPRRGRCASGGGAGGWLGCCPAAACRRLLRLCSHGFKKRKSGGGAGGGAGVGSGLRSALSKVLCGRAVRRVLRWEDLGAAGCFSGAGAGCGREFRRLRRSLGDSGECNPRAMVFAEDDADEEERMGWKADVDVDSSRQLSPVSVLELHSDDDDQSPVHSQWEDEKPSTSGSSPPSEYFLGPASPCFSFSYNLHDKFCEMEVDETEDEAVRNGRSIEEQISSWEKIAGDISRIPAMMELDLSRSMRQWREMEPEVREIGARIETLIFEDIRRETVCDMLTSHCTLAAAATSC >KQK89045 pep chromosome:Setaria_italica_v2.0:IX:19884233:19884628:1 gene:SETIT_040619mg transcript:KQK89045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLHVHLKIYFIDLAMRNGLNYLFVLEQQVIHSFD >KQK89135 pep chromosome:Setaria_italica_v2.0:IX:21210186:21212945:-1 gene:SETIT_040131mg transcript:KQK89135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIMLCTCSGDQSRFEDIPRSPESLATCDFSANGSSSKIASRETTPDDSQVNEVESDLRETLSLNYERGNFDAALLVLQGIDIRSLRPRMTSAIAESIKSRVPPRSSRRKTSQVNRMLMHMSMHSVSLLLEAILLKAKSLEGLGRVTALPSEMGIVVPEALQISYCPIYALMDIFHSALEYLPKLWMRSCCFEEAIIAYRRALAKPWNLDSERSANLQKDLAVTLLYCGVEVKFPEEFGQKGNLLTPGNNIEEAIFLLLVLTRKLSLQEIKWDPDLVNHLMYALSLSGHHEVLASHLEMLLPGTYTRSERWSILALCNSAAGMDDSALNIIRNGFCNPKHASEGIKFANKAMKSFRSHDMHFISIVNHFLGVCYGPFSRSSTSHLDKSRLQDDALRLLQDAAAMAKYNPEIMYSLAWENAMQRKLNAAVENATECLEMVMGGSVSAWKLLILVLSAQQNLQEAEAVADIAIDEAEKDDQLDILRLKAQIQASPKKEVWKSTTCSEVKSLQKLEMDTWLDLASIYTKLEAWHDSNICLDKARSIDFFYPKYWHVRVRSMLEGQSLHQEALMAFSFALSINPNYVPSMVSMAGILRNLGGNLLSNYCLR >KQK91224 pep chromosome:Setaria_italica_v2.0:IX:48601999:48603032:1 gene:SETIT_037722mg transcript:KQK91224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLSARHSRRCCGRFVAVLCLCATFCKPDDVPMDPLPPDLLPARSLRCFEDGQVYSCCEGAYRLNPSGILAVPVGAVDNYCGGACVVETEDVLNCVASALDGFAFSNGASVEDVRYALRRGCSHTLRRGDFNDLEPHLGDYPDIYGNDDEGSDGSKVTSPLKLLAFLGGAWLFLLDP >KQK86811 pep chromosome:Setaria_italica_v2.0:IX:3890378:3892239:-1 gene:SETIT_036789mg transcript:KQK86811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHRGGGDLVHLLLMLLLAAALLLAPAAAETEAEVAPTAPAQGASEEPEAAAAQQQLLPRPLVIELPSAAASELGGGDGSGDEFPPEVRCASWRLAAEANNLEPWGAVPAECAAHVRDYVTGTAYRSDLELVARESAAYARAAPLGGDGRDAWVFDVDETLLSNLPYYADHGYGLELFDHHKFDEWVERGEAPAIPSSLKLYKEVRELGFKIFLLTGRSEGHQAVTVDNLKKQGFHDWDKLILRAAADRKKTATTYKSEKRKEMEAEGYRILGNSGDQWSDLLGSSMSARSFKLPNPMYYIP >KQK89087 pep chromosome:Setaria_italica_v2.0:IX:20469893:20471604:-1 gene:SETIT_039966mg transcript:KQK89087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNQKTFRPKKNAPSGNKGVQLKKHIDSTLGSGNLRDAVRLPPGEDLNEWLAVNTVDFFNQVNILYGTLMEFCTPATCPTMSAGPKFEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDDESIFPQKIGTSFPQNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFTLFTSEFKLIDKAELAPLIDLIESIVSVC >KQK88138 pep chromosome:Setaria_italica_v2.0:IX:11821310:11821711:1 gene:SETIT_038353mg transcript:KQK88138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSPKLRIRKTDPANRPTAFSSFCKYETKRKYSVVSIQSSGKKIYSWRSLTKTRAIINNDKKELCVWKILYTY >KQK86111 pep chromosome:Setaria_italica_v2.0:IX:322262:326601:-1 gene:SETIT_038727mg transcript:KQK86111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEAPVVAGTAQGDLADVVARAGAIMAAAPPSTSHPRRPPPPSSLAAADHMSSSAAAMAAGQIMVPSYDEEPRPACSDAVMFDPYLPSSPATAPPRGGHWLPPQHQLALQISQHACYARDVAMAGAATDVDGDEAMRISPAAHQIMKSRKNEVKRVVCIPALPPTSSRPGGGEVIPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCMARKQVERSRSDPNMLVITYTAEHNHPWPMQRNVLAGYSRPHTHTSTNCKKKNSYRDVGPITPSPSPPSKNNANYYSEHNAVSSGNNLECQQTTDMMEANAAGYVAYTIGALDDDEDVAMHQPMSCNSIQTADEVFAELEELEPSNPASANIYSRGVSYEWHKF >KQK93003 pep chromosome:Setaria_italica_v2.0:IX:58269805:58271979:1 gene:SETIT_037661mg transcript:KQK93003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEAKKVEVEATKDIAEEKAVVPLPPPPAAKLDDKPADDSKAIVVVKDAAEKPAATGGSTERDAYLAKIVSEKRLTLITAWEESEKARAENRAAKKLAYITSWENAKKAEMEAELKKIEEQLEKKKAAYEEKLKNKLAMLHKTAEEKRALTEAKRGEEIIMAEELAAKYRAKGEAPTKLFGLLKA >KQK86809 pep chromosome:Setaria_italica_v2.0:IX:3870416:3871239:1 gene:SETIT_038150mg transcript:KQK86809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPIITPSPSDKAQGLLSIVLLDQSLFLLSCRLTAVGHIGTQTVARSGNGRHQEGLDGKGRNMYPATIWLRDRTLRRRLRIGPVSGITKNCRSREERNLKGDVARL >KQK91579 pep chromosome:Setaria_italica_v2.0:IX:50626778:50628105:1 gene:SETIT_037027mg transcript:KQK91579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDGHSLQGHHHGYAHSHAGAGGGADSGGNNNDEDDASPPPASGGGGAGAGPRRPRGRPPGSKNKPKPPVVVTRESPNAMRSHVLEIASGADIVDAIAGFSRRRQRGVSVLSGTGTVTNVTLRQPAGAGAAAVALRGRFEILSLSGAFLPAPAPPGATGLAVYLAGGQGQVVGGSVMGELIASGPVMVIAATFGNATYERLPLDQDAEEGAVLSGSSEGATAQQMEQQQSSGGNVVPPSMYAVPQTPPHDMFGQWGHAAVTRPPPTSF >KQK92881 pep chromosome:Setaria_italica_v2.0:IX:57661445:57673930:1 gene:SETIT_033853mg transcript:KQK92881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGGGDAGGGEHAAASYWYDACEDGASLLCGIDFAASADFDPGLIPAMDCGADDGFVAEIDRILESINAESAPTLAPPPPPPAPAPAPAPVAPPQLQPQLQEVAKAVAHNAVAVVDAAQRNHAVEARKEPRRESPVAVANGRGGGGEWRDGKRQRLTAGGTGESRHDCRRRPMPPPPSRGWEDRRGRREYERPRKRDRDHGHYAHDHHRREARGFWERDRGGKMVFRHGMWEAEVDRQGKRARTQDGNPVESKVEVDRTVAAQKEKPVTEEQARQYQLEVLEQAKSRNTIAFLETGAGKTLIAVLLIKSICDKMLKENKKMLAVFLVPKVPLVYQQAEVIRERTGYRVGHYCGEMGQDFWDSRKWQREFESKQVLVMTAQILLNILRHSIIKMDAIHLLILDECHHAVKKHPYSLVMSEFYHTTPKDKRPVVFGMTASPVNLKGVTSQEDCAIKIRNLESKLDCIVSTIKDRKELEKHVPMPSEVIIHYDKAATLLSFHEQIKQMEATVEEAALSSSKRTKWQFMGARDAGSRDELRLVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAQSFLTALQNDERANYQVDVKFQESYLKKVVDLLHCQLTEGAAMKSENNDVEMHNAENHKPNDLEEGELPDSHAVSVGEHVDEVIGAAVADGKVTPRVQALIKILLKYQQTEDFRAIIFVERVVTALVLPKVFAELPSLGFIRCASLIGHNNNQEMRSGQMQDTIEKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMLERGNLSHEAFLKHARSSEEALRKEAIQRTDLSHLDGTSMLSPVDTPDSMYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMQKHEKPEGSAEYSCKLQLPCNAPFEKLEGPICSSIRLAQQAVCLAACKKLHEMGAFTDMLLPDRGSGEGEKTEQNDEGDPLPGTARHREFYPEGVAEILRGEWILSGRDGCQSSQFIKLYMYSVNCVNIGTSKDPFVTQLSNFALIFGNELDAEVLSTTMDLFVARTMITKASLVFRGPIEITESQLVLLKSFHVRLMSIVLDVDVDPSTTPWDPAKAYLFVPVGAEKCMDVLREIDWTLVNSIVNSDAWNNPLQRARPDVYLGTNERTLGGDRREYGFGKLRHGTAFGQKAHPTYGIRGAIADFDVVKASGLLPARDRGHYNDYQNQGKLFMADSCWDAKDLAGMVVTAAHSGKRFYVDSICYNMNAENSFPRKEGYLGPLEYSSYADYYKQKYGVELIYKKQPLIRARGVSYCKNLLSPRFEHSEATNGEFSENLDKTYYVYLPPELCLVHPLPGSLIRGAQRLPSIMRRVESMLLAIQLKDIIGYPVPANKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMILYHYALNKSLQSYIQADRFAPSRWAAPGVLPVFDEETRDSERSIFDEESTPSSELLKDSYDDYADSMQEDGEIEADSSCYRVLSSKTLADVVEALIGVYYVAGGKMAANHLMRWIGIHAELDPQEIPPSKPYIIPESIMKSINFDTLEGALGIKFQSKGLLVEAITHASRPSSGVSCYQRLEFVGDAVLDHLITKHLFFTYTHLPPGRLTDLRAAAVNNENFARIAVKHKLHVHLRHGSSALETQIREFVKDVQEELSKPGFNSFGLGDCKAPKVLGDIFESIAGAIFLDSGYNTSIVWKVFQPLLDPMVTPDTLPMHPVRELQERCQQQAEGLEYKASRTANVATVEVFVDGIQIGVGQNPQKKMAQKLAARNALVVLKEKETAAKKDSEKDSEKKNGSQMFTRQTLNDICLRRQWPMPQYRCINEGGPAHAKRFVYAVRVNTSDRGWTDECIGEPMPSVKKAKDSAAILLLELLNRNYRDKPDGKK >KQK87828 pep chromosome:Setaria_italica_v2.0:IX:9591671:9592884:-1 gene:SETIT_037479mg transcript:KQK87828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRQLHPVTLALAVMVTAAATLSAAQQQPEAFPALPSCPPAPLSLSPCIGYVFGVSSATLTDCCSQLRSFLQAQAPCLCAASKLAPSPVGLILGQAQTIIPNVCHLPNPCDADAGDGEGSASPPSETTTTPAATTPATEEPSSSTAAADPDASGASPEPAEDSPAAATAPAGAGYKLPQLLHAAGATRSRGMAAGTVFITVFLASVATLSV >KQK91856 pep chromosome:Setaria_italica_v2.0:IX:52345953:52346000:1 gene:SETIT_039278mg transcript:KQK91856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGDPREVLRHVSHVQ >KQK90282 pep chromosome:Setaria_italica_v2.0:IX:41233570:41234018:1 gene:SETIT_038490mg transcript:KQK90282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAVRPTAKISTRHMAVYLSETSFRPYPTSIKHCECSLGISNTECIMTFESRQR >KQK86161 pep chromosome:Setaria_italica_v2.0:IX:507583:510455:1 gene:SETIT_037149mg transcript:KQK86161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSQQTVKTNGHGRHNDGDAAEWKQVAELRAVTEAQDPACKEEDDYMLRRFLRARDHHIGKASAMLLKYLKWKPTAKPNGSISEAEVAHELSQGKLCLQGQDRQGRPMIYGFGARHHPSNRDLDEFKRYVVYVLDATVARLPPGQEKFAAVADLKGWGYSNCDIRAYLAALDIMQNYYPERLGRVFLVHVPYVFMAAWKIVYPFIDDNTKKKFVFVSDKDLDRTLREAIDDAQLPEMYGGKLKLASPAPAAK >KQK87950 pep chromosome:Setaria_italica_v2.0:IX:10367734:10368785:1 gene:SETIT_036944mg transcript:KQK87950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRQSIARASVSALVAALPLLYVSLLRPPPAALAGDTAFWFLMSNCVIAAIVATSGDAGTLLFRSAPDDDLYCAPAGQPPVAAHGISSDDAVRPAVDVDVNGGRVQGEVASAVTSSYSVHHALPSLIEGQEEDDDDEAIQPGVETNHPVQRGEEKEVIIEPSTVKNNTFEVVEAQGEEDGDEVIPLATIEEGSALADPALELEPWSRVLTSTKSLPEEGAAAAREGPGLRRSATVGSKPAAEESEYWQLSDEELNRRVEDFIARFNREMRLQVEQEAGV >KQK90835 pep chromosome:Setaria_italica_v2.0:IX:46079616:46086265:-1 gene:SETIT_034759mg transcript:KQK90835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSPPQNPSSGDPSDPSSLAATALDVSARAWRSLVARIPPLPDTSGLLAAVSDLQRRYFGVRRRRRRRRAALPLPLRPAAAHSARIAGEMPKAFVILDDVVQHTLTNLHSIHKSLLFWQAKAEGTNSQKVYFMIFERGPRAFVDTTYQTLTRLGSNGRPVQYILHSASDMVSIKLAALTSMQHCLAAFLAEIHSEVDRCREGLTANSDKSLHTLFIVLNTTFSKLEVSLRNAGEGQDELFTYDGNSYELFEKLPEVDVESPEWTEALSTDGISLIYQNLQKLDSFLSSQLTSHKRPNKLTVYWLPYTCGALGLSVCSLWLLRHSSLMGSPDIDNWIQDAKESVAGFWDEHVEKPIISIRDELFETFKQRDKGVMENQEVQLTEDSLRRMLVAFCEQTEGQKLPEDSSVQAMLEILTKRYEKELIHPMKNLFSGELARAMLIQIQKLKLDLESGLLEMDQILRANAINFAVLAALPAFGLSLLLLMLLRTWIQRDHGAEGRGNIARCQRRLLLVDVERRLMEFQHYRDNGMEEEARYKFGLVLYTLDRLCKAVESHAKETGEWLSLREDIFDLAKLDMGMPDKMIVVSRLKWMYNCLLPFSSSRLPRL >KQK91292 pep chromosome:Setaria_italica_v2.0:IX:49038871:49040478:-1 gene:SETIT_036333mg transcript:KQK91292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSVGEEERREQGKTVAQPKAHQEEAAAAGAGGGGEPAQDGGFLSAMASKISATMSGTNGSGGEANAAAASDGEALKRDGSGEPGDEDGFLSAMASKIGAAMSGADGGGESNGGGNAAGAADDEGREKDEGNGGGGIFHKLLSSSPPASSSASGTLEAEAAKGEEKDQGVADEQAGILSAMASKIGMAMSAANGNGNHGTEDASKTSNGHAADGSNGDEKGGDANGGGILNTMASKIGMAMSGANGDEDHGGSGVNAKTGSSDAVDGSKDEEKRDEANGGGILSAVASKIGMTVSGSNGNGNHSTEDDAKTSNGEEEKEKGHNANGAGIVEQIISNLPSDDQAPDSDEASLLIAIIED >KQK87047 pep chromosome:Setaria_italica_v2.0:IX:5264922:5270010:1 gene:SETIT_034026mg transcript:KQK87047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARRSTASTAALLLLLLAAAVSISSSAELHRSQEQDRSALLQLKNAFPSVELLRRWSPNSGGADHCTWPGVTCDARSRVVALEVPSPSRRSVPGRELAGELPAAVGLLTELKEVSFPFHGLRGEIPGEIWGLEKLEVVNLSGNSLQGALPAVFPPRLRVLTLASNLLHGEIPSSLSTCKDLERLDLSGNRLTGSVPGALGGLPKLKWLDLSGNLLAGSIPTGLGNCAQLLSLRLFSNLLNGSVPAEIGRLTKLRVLDVSSNRLSGPIPQELGNCSDLSVLVLSSQFNSMNSHELNLFEGGIPESVTALPRLRVLWAPRAGLEGNVPTNWGRCHSLEMVNLGENLFSGPIPRELGQCSNLKFLNLSLNRLSGLLDKDLCPHCMSVFDVSGNELSGSIPACVNKVCASQLMLDEMTSSYSSVLMSKTLAELPFSFCNSGQCSVVYHNFANNNLEGHLTSLPFSADRFGNKMTYVFVVDHNKFSGSLDAILLEKCSILKGLIVSFRDNKISGQLTAEFSTKCTAIRALDLAGNQISGVMPANVGLLGALVKMDMSRNFLEGQIPASFKDFKSLRFLSLAGNNLSGRIPSCLGQLRSLKVLDLSSNSLAGKIPSNLVTLRDLSVLLLNNNRLSGNIPDLTSSPSLSIFNVSFNDLSGPLPSKIHSLTCDSVLGNPSLLPCEMSALSNPLANVRALTETDNNPPADNTAPDGSSSGGGFSKIEIASITSASAIVAVLLALIILYIYTRKCASRPSRRSLRRREVTVFVDIGAPLTYETVLRASGSFNASNCIGSGGFGATYKAEVAPGKLVAIKRLAIGRFQGIQQFQAEVKTLGRCRHPNLVTLIGYHLSDNEMFLIYNFLPGGNLERFIQERNKRPIDWRMLHKIALDVARALAYLHDNCVPRILHRDVKPSNILLDNDYTAYLSDFGLARLLGNSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSPYGNGFNIVAWACMLLQKGRAREFFIEGLWDVAPHDDLVEILHLGIKCTVDSLSSRPTMKQVVRRLKELRPPSY >KQK91929 pep chromosome:Setaria_italica_v2.0:IX:52758804:52762024:-1 gene:SETIT_040600mg transcript:KQK91929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSFHHRSGFHHRPGRRGLRRPQNITEEWTFSMCLLKTCSSFIQKMDSYRK >KQK92249 pep chromosome:Setaria_italica_v2.0:IX:54557107:54558678:1 gene:SETIT_039999mg transcript:KQK92249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSHCYISNGAPQNGVVVPVETGTLPPQDSHLLDPDEFRRQGHQVIDFIADYYARMGEYPVHPSVNPGFLRRELPADAPSRPERDAFDAALRDVRDLILPGLTHWQSPRHFAHFPASSSTVGALGEALTAGINVVPFTWAASPAATELEMVVVDWLGKALHLPESLMFCGGGGGTLLGTTCEAILCALVAARDRKLADIGSRRIGDLVVYCSDQTHFAFRKAAHIAGIHRDNCREIATCRDDMFALSPAELHAAMQADVDAGLAPLFLCATVGTTQTTAVDPIRELSAVAAAHGAWVHVDAAYAGSALVCPEFRHVIDGVEAVDSFSTNAHKWLLANNDCCALWVMRPSLLAAALRTEQEYILKDAAAEGHDVVDYKDWSVTLTRRFRALKVWLVLRCYGVEGLRAHVRAHMSMAASFESMVRADARFEVTATRQFALVCFRLRSPEKLGGEETANELNRRLLEEVNATGSGPYMSSAMVGGIYMLRCAVGSTLTEERHVREAWKVLQDQAASLLRKMEIDN >KQK92083 pep chromosome:Setaria_italica_v2.0:IX:53593870:53596726:1 gene:SETIT_039549mg transcript:KQK92083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTGKLILILLIVLFEGFLVTSAGGYSSEKSSGKSGGYASEQTSEKADGYSSKKSSVKVPYDYSANIEATVSSAPMSGCIKEPEKPLYGGGIISDASYPSSAGGKKLPCPTKGSVLKVDLKKDHHYALSAWLKLSKGTGDITAVIVTPDGKFVTAGAIAAQSGCWTLLKGGATSYSEGKGDLFFESNSTAEIMAESIALQGFSFDEWNAHREEVIAKDRKNKVKIMVESEGKPLPDAELSLEWVAKGFPLGNAMTKEILDIPEYEEWFTRRFKWATMENEMKWYSTEYHEGHEEYEVADRMLALAEKHNISVRGHNVFWDDQSHQMDWVSKLGEGKLKAAVAKRLKSVVSRYAGKVIHWDVVNENLHFRFFEDKLGKDASGEIFNEVAKLDGKPILFMNEYNTIEQPGDMAPLPTKYLAKLRQIQAYPGNKGLKYGIGLESHFDKPNIPYMRGSLDTLAAADVPVWLTEVDVTKGPKQVEYLEEVMREGFGHPGVRGIVMWGAWHAKGCYVMCLTDNKFKNLPVGDVVDKLLDEWRKVPEKPRTDDKGVFEAELFHGEYKVTVKHKSLPEPIVQTVDLDSKSTAKITC >KQK91612 pep chromosome:Setaria_italica_v2.0:IX:50833048:50834924:-1 gene:SETIT_039186mg transcript:KQK91612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKPSCAYASGIAPASGSGGRWDLLQRSIGVSAMHMQLLHNDRVIIFDRTDFGRSNLSLPGGRCRVNPRERALPGGDCTAHSAEYDVAANAARPLFVFTDTWCSSGTVAPDGTLVQTGGWNDGYRNARTMPVCGGSDGKSCDWSEKQDALAANRWYATNQILPDGRAFIIGGRRQFSYEFYPKADPSDTSVIQMPFLVQTRDPEENNLYPFVHLNIDGNLFIFAKNRAILLDYKRNKVARTYPVLADGDPRNYPSSGSSVLLPLKPNPTEAEVLVCGGAPSGSYNSTKGSARTFVPALATCGRIKITDPSPAWVIETMPSPRVMGDMILLPNGAEVAIINGAADGTAGWEAAGTPNYAPVIYRPDRSPGDRFEEQAATGVARLYHSSVVLLRDGRLLVGGSNPHTYYNFSGVEFPTELSLEAFSPEYLDQSNDMLRPRILDPSPIGAASSVSYGTTLKLQFSVPASARRRRGGAGGFGLGEVSVTMVAPSFTTHSFAMNQRLLFLEVGKTAAVRGRAGTYNVSATMPATAVLAPPGYYMVFVVNGHVPSEGIWVHIQ >KQK86497 pep chromosome:Setaria_italica_v2.0:IX:2273176:2275934:-1 gene:SETIT_037703mg transcript:KQK86497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSSNIASKS >KQK86496 pep chromosome:Setaria_italica_v2.0:IX:2273884:2275445:-1 gene:SETIT_037703mg transcript:KQK86496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHW >KQK90288 pep chromosome:Setaria_italica_v2.0:IX:41275728:41276226:1 gene:SETIT_040710mg transcript:KQK90288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEMANMEDVEACTRLRRDIHVVCMVRILLQKVALLWQGSV >KQK88253 pep chromosome:Setaria_italica_v2.0:IX:12603292:12608085:1 gene:SETIT_034290mg transcript:KQK88253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHHASAAAARGSMEIVPYSSELDLELPPVDVKRQDSLYRDANMPAAHAGHHGQESWVRTLRLAFQCVGILYADLGTSPLYVYANTFKKGVGHPDDVLGVLSIIIYSFILFTMIKIVFIALYANDEGDGGTFALYSLISRYAKVCLIPNQQAEDELVSRYRHRAKPSATLRRAQWMKNLLETSKAAKVSLFFLTIFATALAISDSMLTPPISVLAAVNGLKLRAPHLTTDQTVWITVGILVVLFAVQRFGTDKIGYTFAPVVFVWLLLMAGIGIYNMVKYDIGTLKAFNAKYIIDYFRRNKKKGWVSLGEILLCFTGTEALFADLGYFSIRSIQLSFTFGLLPSVLLTYIGQAAYLRKHMDMADISNVFFNSIPSSLFWPTFVLALIASVIGSQAMISCAFATMSHLQALNCFPRVKILHTSRRYSGQLYVPEVNFFLCISACVVTLSFRTTGFIAKAHEICVVLVMVITTLLMTIVMLLVWKVNIWWIAIFFVVFMSTESVYTAAVLYKFTHGPYVPLAISAVLMLIMIVWHYVHVKRYKYELENTVSRDEVKDLLERRDLKRVPGLGLFYTELVQGIPPIFPHLIEKIPTIHSVIVFITVKHLPIPHVDVSERFLFRQVEPKQFMVFRCVARYGYRDTLEMANDFVKVLVEYLQYYVRDLNLYGVGDEPLKIIFHSARGDDSFTWERKPSGHAIYAEEMLTPAQSFSELTMHPVSMSSRLAHFQTGKMNLEEMLKIEEDQKIIQREVDNGVVYIVGESEVVARPHSNLLKKIVVNYIYSFLRKNSRNGEKMLSIPRGQLLKVGITYEI >KQK89359 pep chromosome:Setaria_italica_v2.0:IX:25084785:25085598:-1 gene:SETIT_038105mg transcript:KQK89359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKPYILAIIIVAIYAGMYVISKAAFDHGMNSFVFVFYRQAAASVWLLPIALVLGRSTLGLNFSNASVTLTSATVASATSNSTPVITFRLGTALQVTQLYASSIKVYINLSTR >KQK86741 pep chromosome:Setaria_italica_v2.0:IX:3512620:3519704:1 gene:SETIT_033914mg transcript:KQK86741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAAAAAGTVVVAVNGQRYEAAGVDPSTTLLEFLRTHTPVRGPKLGCGEGGCGACVVLVSKYDPATDEVTEFSASSCLTLLRSVDRCSVTTSEGIGNTKDGYHPVQQRLSGFHASQCGFCTPGMCMSIFSALVKADKESGRPAPPAGFSKLTTSEAEKAVSGNLCRCTGYRPIVDACKSFAADVDLEDLGLNCFWKKGSEPAEVSKLPSYSSGAVCTFPEFLKSEIRASVDQVNRAEVPVSDDGWYRPKSIDELHRLFESDSFDENSVKIVASNTGSGVYKDEDLHDKYIDIKGVPELSVINKTSKGVELGSVVSISKAIDVLSDGNLVFRKIANHLNKVASPFVRNTATIGGNIIMAQRLQFPSDIATVLLAAGSTVSIQVSSKRLCLTLEEFLQQPPCDSRTLLLSIFIPDWGSDGLTFETFRAAPRPFGNAVSYANSAFLARTSSGHLIEDICLAFGAYGADHAIRARKVEDFLKGKSVTSSVIFEAVRLLKETVSPSEGTTHPEYRISLAISFLFTFLSSLANSFDEATKINVLNGSYTNGVANGSADHSPEEHLKVDSNDLPIRSRQEMIFTDEYKPVGKPIKKAGAELQASGEAVYVDDIPAPKDCLYGAFIYSTHSYAHVKGINFKTSLASKKVITVITAKDIPSSGQNIGSCFPMLGDEPLFADPIAEFAGQNIGVVIAETQKYAYMAAKQAVIEYSTENLQPPILTVEDAIQRNSYFQVPPFLAPKPVGDYNQGMSEADHKIISAEVKLESQYYFYMETQVALAIPDEDNCITIYSSTQIPEVTQNVVARCLGVPFHNVRLITRRVGGGFGGKAMKAIHVACACAVAAFKLQRPVRMYLDRKTDMIIAGGRHPMKVKYSVGFKSDGKITALHLDLGINAGISPDVSPLMPPAIIGALKKYNWGNLAFDAKVCKTNVSSKSAMRGPGDVQGSFIAEAIIEHVASALSVDTNAIRRKNLHDHESLAVFYGESAGEASTYSLVTMFDKLASSPDYHRRAEMVEHFNRSNKWKKRGISCVPITYEVNLRPTPGKVSIMNDGSIAVEVGGVEIGQGLWTKVKQMTAYGLGQLCQDGGECLLDKVRVIQADTLSMIQGGFTGGSTTSETSCEAVRLSCAALVERLKPIEESLKAKAGTVEWSALIAQASMASVNLTAHAYWTPDPTFRRYLNYGAAISEVEVDVLTGATTILRSDLLYDCGQSLNPAVDLGQVEGAFVQGVGFFTNEEYATNSDGLVINDGTWTYKIPTVDTIPKQFNVELINSARDQKRVLSSKASGEPPLLLACSVHCAMREAIRAARKEFSVCTGPANSALTFQMDVPATMPIVKELCGLDVVERYLESVSAAGPTTAKA >KQK93027 pep chromosome:Setaria_italica_v2.0:IX:58420539:58423000:1 gene:SETIT_036073mg transcript:KQK93027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGEAPRQARGGFLVGRVGDWIRARTACAHCADYPIRIHVLRRSPAGVVKRAGRICAGYRLYLSLRSVYSFFQESILTVFAYFQGMEPAKLRDYILHSERWVYLDVYVGTLDGGPLLGNVPKGQMVGYLPRHLHLNAQKTMYLSIQLLLKVIGIGCCPRNLIVKNIVITSPDCRPRFTANVDFDQNPDNRTCDAAMSALAGIFETILCKHLPGHESVPDEVHNLLYLMRQPDCFKLTEAIQYHPCLVPLENRGHLFLKEYQYTVDILRKIEPLNFRYVMTQLVYPRHWKIIAESNPYVQAVIERGDYDSERSKESTYTPLEPLKLQRHAPCHCLQDAANKMMPHGLGFEPADMNHSLYIMSEHLLASLQLALHRVGHLACLKTSFLFPWN >KQK88464 pep chromosome:Setaria_italica_v2.0:IX:14441718:14445043:-1 gene:SETIT_035107mg transcript:KQK88464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASTTSATAAAAAFGAASAAKPRGSPSALCPRVTAGGRRRSGVVRCDAGVEAQAQAVAKAASIAALEQFKISADRYMKERSSIAVIGLSVHTAPVEMREKLAVAEELWPRAIQELTNLNHIEEAAVLSTCNRMEIYVVALSWNRGIREVVDWMSKKSGIPASELREHLFMLRDSDATRHLFEVSAGLDSLVLGEGQILAQVKQVVRSGQNSGGLGKNIDRMFKDAITAGKRVRCETNISSGAVSVSSAAVELALMKLPKTEALSGRMLLIGAGKMGKLVIKHLIAKGCKKVVVVNRSVERVDAIREEMKDIEIVYRPLSEMYEAAAEADVVFTSTASETPLFTKEHAEALPPISDTMGGVRLFVDISVPRNVSACVSEVGSARVYNVDDLKEVVEANKEDRLRKAMEAQTIITQELKRFEAWRDSLETVPTIKKLRSYADRIRASELEKCLQKIGEDNLNKKMRRAIEELSTGIVNKLLHGPLQHLRCDGSDSRTLDETLENMHALNRMFSLDTEKAIIEQKIKAKVEKTQN >KQK90365 pep chromosome:Setaria_italica_v2.0:IX:42151601:42156295:1 gene:SETIT_037159mg transcript:KQK90365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVVKRYRCTHSATCVCLKGHISEDAMYLVFEHMKWNPKMIAIFSSVCKWFDEFAKRVLWKEFCHARAPKMMTDLHSDGSHIVDGNWKALGKLLIYCSACPRGDLFSNIHVPIPGHFVYRTRFSRTLGKSLVPPQCKSDVLYVSDSCEHLDQGEEGDLGLFRGIFKSFAGSNMKKMLIERQATFHPNEVCPYCKTKLWHLMQPNMIPSSASVRLDADDDSVEYYVCLNGHIIGSCTLMPYSDSEDTKEE >KQK86971 pep chromosome:Setaria_italica_v2.0:IX:4807704:4809804:1 gene:SETIT_039268mg transcript:KQK86971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAEELQLRDAARSQAQQNLDPGPVDKSVLVEQEFHKSEAIFVGKIYKPVRFIEHGTRLNQWEVKHEGMLALLQRSGFYHLSLLKRVQLDHALLNALVERWRRETQTFHLRFGEITVLLKDVAILTGLRVHGAPVTGPTNCNWEQLCTQLLGQEPPQIKGGSINIAWLHDTFKTLPEGANQSDVEYAARAYILYQIGCSLFPDPSGTRVHLRYLALLRDFDASGEMAWGAAVLAHLYRELGKASMKGKANCCAFLTLLQITWDPYTPNLIAGLPAICTFGSAVWRSRTPLICFQIVEMHVPDRVLLQFGMVQHIPDPVEAVERVTMQ >KQK86822 pep chromosome:Setaria_italica_v2.0:IX:3945869:3946626:1 gene:SETIT_038094mg transcript:KQK86822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWLLLRRIQSAGRLSGGHGRLLSFRGGEGLCRPLPPAGEPSVCSSAYGQIKTDTRITNHEPHLDRFSDPQVAHEDRQFIQFLDRMLDAMRNPQSLALIHRGRLASGLKALDDDI >KQK88089 pep chromosome:Setaria_italica_v2.0:IX:11445802:11450431:1 gene:SETIT_037218mg transcript:KQK88089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQCLRGKAAAAAAAGVSAPWRRAASASYHHTIQAVPRETSGPRAAARERRHGRVPAVLLTLAGASPGNGVAHRKLLTAERKQLVEMVKQSPYFLSTPVRLQVRAGERSNAVVHSGTVLPIKVHRDESTGHILNLVMVQADEGTMLKVNLPVEFKGESACPGLKKGGFLQKIRTSLVYLCPAEHIPPKIEVDLANLDVGDRVLMHNIPVHPSLKLLSKNETMPVCKILASKPVESETMQDLKS >KQK89661 pep chromosome:Setaria_italica_v2.0:IX:34641769:34644690:1 gene:SETIT_039931mg transcript:KQK89661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLLTILAFLLVLPSATAIGKVCGNAGNYTANGTYQSNLASVAATLPNNTSSLPQLFANATAGQGADAVYALALCRGDMTNNLTGCSDCVAGSFRYAQRMCPNDRAASVYDDGCLVGFSNRNFLVPANNNVTQDTSTLFEFWNPGSLAGNATLVSAGVRDLLAQTAQEAANNNGTPARFATAVMDASASATQTLYSMAQCTPDLSAGDCLSCLRWLIGMVNDTTSVRKGGRILVLRCNVRFETNMFNEGPPMKRITPSSSGPPAPPVPAPTPDKRSGIKPWVIPLIVAPPLAVVAICFIFYCCWKRRRNRKGNLRLRRKHANKLQAGDELDWEMEAELSEFSGQFPEGIEIAVKRLASHSGQGFIEFKNEVQLIAKLQHTNLVRLLGCCSQEEEKILVYEYLPNKSLDFFIFDENRKSLLDWNKRLAIIEGIAEGLLYLHKHSRLRVIHRDLKPSNILLDSELNPKISDFGLAKIFSSNNIEESTTRRVVGTYGYMAPEYASEGLFSIKSDVFSFGVLVLEILSGKRNSGSHECGDFINILGYAWQLYEEERWSELVDSSLVTMSHSAEMRRCMNIGLLCVQENAADRPTMLDVVAMLSSKTKILAEPKHPAYFNVRVGNEETPTTTKSCSINEMTISVTTPR >KQK86371 pep chromosome:Setaria_italica_v2.0:IX:1499434:1501982:-1 gene:SETIT_037151mg transcript:KQK86371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELHKLNEFYMEREEWYVIRLQVLKERIERVKAKKNDAFTSRSEFTEEMLEIRKDFVIIHGEMILLQTYSSLNFAGLVKILKKYDKRTGGLLSLPFTQRVRHQPFFTTEPLTRLVRECEANLELLFPVEAEVLEPSSSSNLEPHAGAKRDPTSSGDVETSDVYRSTLAAMKAIQGLRKASSTYNPLSLSRFFNGEDGEACSGAITSESSLSDSSTDSQIQDAGKDDKEVQSNSREHNAAQREHNVEGEPRDE >KQK92513 pep chromosome:Setaria_italica_v2.0:IX:55901254:55903031:1 gene:SETIT_037009mg transcript:KQK92513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQAMAKMSVGSPACNRAAGSLCRWRGAVAVRLGGSWSWRKSPFLGGRMAVGPRRSRPVSRNPVASPVQMNLSFGKTMKWWEKGLQPNMRAIHTAQELVDSLINAGDGLVIVDFFSPGCAGCHALHPKICQFAERNPDVQFLQVNFEEHKSMCHSLHVHVFPFFRFYRGAQGRLCSFSCTNATIKKFKDALAKHKPDRCSLGPIKGLEESELLALAANRDLQFTYTKEQDLAPSMEDGAEVITHDHPRLPAAAKPLVRQGSEDRAVVSSGR >KQK91203 pep chromosome:Setaria_italica_v2.0:IX:48437159:48439700:1 gene:SETIT_036907mg transcript:KQK91203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFELEPPPPQDWQRKRKGTKFRGTRLQFSPWSKRESPPPPRDQERRTWGSARQQPGSQTAAMDGGGVEASTTAAWMERHGQMYDRATRHPFTVSIRDGTIDLSAFKRWLSQDYLFVREFVAFIASVLLKCCKQEDSMDMEIILGGVASLSDELSWFKNEAARWGVDLASVSPLKSNIEYHRFLRSFAEPEISYAVAVTTFWIIETVYQDSFGFCIEEGNKTPPELLGTCQRWGSAEFKQYCQSLQRIADRSLENAPADAVKSAEEAFIRVLELEVGFWEMSSSQS >KQK90887 pep chromosome:Setaria_italica_v2.0:IX:46372446:46376159:-1 gene:SETIT_034611mg transcript:KQK90887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKGRKGGEGKASLLTSRHPRHVTGLASAGSWSRAVPSPPTAASPAQIFSPDRCTSPSRPLPPSRPCRTMAKLLLRAFCPRLPSHPSPSPLPGKRIATPPVSSESDHPSPSLPSLLPRPANWRTGPTPRVDHGTPVQRRRPAGRFAPSRGVAEQTSSSAQQQHSLHRSPLRSAPAHGSRSSPVLLLIPQAKPVGGSETGPVSTCGRTASHPLALSSVPRSSERRRLPIAVASPATGGMGEEDQRKQVVGSERDQELERRPGEEGEEEEAKKAAPGEAEAGAGAGADAASCDYCGVAAAAVYCRADAARLCLPCDRHVHGANGVCSRHARAPLCADCRAAAAVFRHAASAAFLCSNCDFGRHRDGGGEPALQDRCAVQPYSGCPPATELAALLGVPLFDKPAAEDGGWWNIWEEPQVLSLEDLIVPTTPCHGFQPLLTPSSPKNRSICTDEKMNEEILRQLGELAESDGGVQAAAGHEEAEQAGVQFPSWAPPPQYTTGHGNFGAENSHEVATMPTPGYENGNWNNGDYHVLNDACKVELTYDQVPVSSAEACLSSFVPMSEICPSMSNGSSMEENHQGNPNLATPLQAPKRTGFDVVPCPDRDSVISRYKEKRKTRRFDRQVRYESRKVRADGRQRIKGRFAKAHQT >KQK88610 pep chromosome:Setaria_italica_v2.0:IX:15516192:15520260:-1 gene:SETIT_036044mg transcript:KQK88610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPAAAYFAAAARKQYFCYQCNRTVLIAASAAAAGELSCPDCRGDFLEEVTVPAPTFIPLPFPFPFASTTIPAASTAPAPAPAGAPATGSGGSPSLSSSSSSAATSPSRHNDISSILHTFLGLHEQPGRVGGGGSVRSAAGTATPENEPEPFDPVIFFQNYLHNLMDGGANIQVLLDDASVSLGPGLGLGRVGGASFGDYFVGPGLEQLIEQLAENDPNRYGTPPAAKSALSSLPDVVVTDAMVAAAEGAECAVCKEDFSPGEVAKQMPCKHIYHNDCIVPWLELHNSCPICRFELPTDDPDYEGRKASNPQPPVGIAAAAAPSGSSTSAEGRMEEREENARVVERRFNVSLPWPFSGLGGQASQQDGNNGGSGSNSQGSGSQGGGTPSSKN >KQK91170 pep chromosome:Setaria_italica_v2.0:IX:48260072:48260487:-1 gene:SETIT_040349mg transcript:KQK91170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHLFVTALFLFHDVYVDVNHHIIVQPHDTTVDLYIFLN >KQK89565 pep chromosome:Setaria_italica_v2.0:IX:32964566:32966217:-1 gene:SETIT_037526mg transcript:KQK89565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGTVDHHRNPCPDRILEDVGIGFGMGAIGGSAYHFVRGLYNSPGGHRLAGGATAIRMNAPRVGGSFAVWGGLFNTFDCAMVCARGKEDPWNSIAAGACTGGLLALRRGLRASARSAAGGAALLALIEGAGIMLNRPLALQPPQPEYLPPPEIPVDGLPPVADQELPGPAGFFGGLFGRKQHDHKVAVKSELLELDLPSDAVPSFE >KQK91678 pep chromosome:Setaria_italica_v2.0:IX:51175162:51178143:-1 gene:SETIT_037337mg transcript:KQK91678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLQRFTDIAADGAPRLDAADGEELVRVDRAASVALGRRSPEPPGTLFVTTRRVIWLSEVEKGKGYAVDFLDITLHAVSRDLEAYPSPCLYTQIEAEVGTDEEAGESNPEANDELELSRVSEVRIILGDPAQLEALFDVFCHCAELNPDPNAERHGENGWFNGEDMTDVGWVHGDEDMVDENVPQFFNANPIGQNGGFDLSHSVFELQINDQRFEDAEEEQESHENGD >KQK90466 pep chromosome:Setaria_italica_v2.0:IX:42894369:42898083:-1 gene:SETIT_037367mg transcript:KQK90466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGARPSVPAVAAAAAAAGGASVADEPRDARVVRELLRSMGLGEGEYEPRVVHQFLDLAYRYVGDVLGDAQVYADHAGKAQIDADDVRLAIQAKVNFSFSQPPPREVLLELARNRNRIPLPKSIAPPGSIPLPPEQDTLLAQNYQLLPPLKPLPQVEETEDDNEEANPSMTPNPANPNPNYSQDQRGSEQQHTPQHGQRVSFQLNAVAAAAAKRPRMTMDQLNMT >KQK91860 pep chromosome:Setaria_italica_v2.0:IX:52367819:52371306:1 gene:SETIT_034674mg transcript:KQK91860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGRSKSDSIRVLRMDSVDSSSPRCLAHAQHQQDAGELKDQNSTSKMPSCPNELPCSLKREVQVLEKRLNDQFVMRRALEKALGYKPCAILSSNESCIPKPTEELIKEIAVLELEVICLEQHLLTLYRKAFEQQFCPANSSCDMESVNQSARSFSGILSAASELDFSTPRKHQLAQSSRMVLARKSTPTTSTSETSHEKTNIVRSHSSLLHRSVRVSPSANNLARALKPCHTSPLSFVEEGKCMDSGIVSLADILGTRVADHVPQTPNKISEDMIKCIAAIYIRLRDVPTVQHAFFPSPCSSFSSASGLSSKYTADIWSPRCRKESFIEAWQENALGNGESRELGLQYDSVVEVSALCKGDQRSADVKDMLRKYMSLVQLLETADLSGMKHEEKLAFWINVHNAMMMHAHIEYGIPQSNSKRILLTKVSYIISGQRVNAELIEYQILCCRAHSSGQWLRLLLYPKWKSRDKDELQGFAVDRPEPLVHFALSSGSYSDPVVRLYNPKSLFQQLEAAKEEYIRANVGVRGRGQHKVILPKALELYARDAGLGAQEVVAAVECHLPEGLRDAVRRSQQGGRARGRGVGGGGVEWRPHNLAFRYLLAKELVGGSPACGRQLEKAGPVGAVRADP >KQK88603 pep chromosome:Setaria_italica_v2.0:IX:15458660:15460753:-1 gene:SETIT_038542mg transcript:KQK88603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLRGQNTHPPDAAAATTLRLAALLQSYGRAGDIRRGRALHAHLLLSGAAAASTFLANHLITMYSHCADAASAVRVFGAVPRPNLVSWTTLVSGLVQNSMHADALAAFTAMRRADIVPTQFALSSAARAAAGLAAPRPGAQLHCVGIRLGFDTELFVASNLADMYSKCGLLCEACRVFDQMPQKDAVAWTAMIDGYAKNGSLQAAVLAFRDMKCEGLIGADQHVFCSALSASGGLKDVWLGRSLHCCIIKAGFELETVLRNALLDMYAKSGDLENASRAVKVDPGGWNVVSGTSLIDGYIETDRVDEALETYTELRRQGIEPNEFTFSSMIKGCAMQALLEQGTQLHAQVIKTSLIDDSFVGSTLVDMYGKCGLMSLSIQLFNEIGYHTEIAWNAIINVYAQHGHGWEAIQAFDRMTSSGIRPNHITFVSLLTACSHAGLVDEGLKYFYSMKDKHGIEPKEEHYSCIIDMYGRAGRLREAEKFIGEMPVKPNAHGWCSLLRACRMRGNKELGEIAAQNLMKLEPDNTGIHVSLSGIYASLGQWEDVKAVRKLMRDTRIKKLPGFSWVDANKKTHVFGSEDWSHPQQEQIYKKLEDLSGRIKEEGYVPDTTSLPLNLEDSAKERLLRYHSERIAVAFALISMPATKPIIVKKNLRICADCHSALKFISKVESRDIIVRDNSRFHHFVKGRCFCGDYW >KQK91808 pep chromosome:Setaria_italica_v2.0:IX:51912293:51914485:-1 gene:SETIT_037095mg transcript:KQK91808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSTTLSPGFSSLLRPIPRIRPQRQSQSQPPNPKPPKPLCLGLTLPPATAAPRLAAVPDGVAIADVVEKDWSFLESAGADLQRALAAGALSPASRVLAVTPTAAFVGALLASSPCELLVAAHESLYVLAGVKEAHDEVRCFHLEGGGGGKGGGVVEAVPERFVDFDVVFVCYFPGMGISAAALLKSLTKRCSKGARVVMFLDQGRQNFEQHRREHPDVVTSDLPSKSSLEKAASGNKYAITDFVDEPTLYLAVLQYQG >KQK89363 pep chromosome:Setaria_italica_v2.0:IX:25285301:25285673:1 gene:SETIT_039808mg transcript:KQK89363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGASPPSAGDGAYSSKLLDALRLVVVQAAGREVAARGRSCWSRAILAHRRRRRPLHQRTTSSSSRPGMSLLASKTRALGRLVLRCRRLSSLPALLAEVSDDYISALQM >KQK86225 pep chromosome:Setaria_italica_v2.0:IX:844056:844411:-1 gene:SETIT_038636mg transcript:KQK86225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTTLMIKIACLIPEACRNAGKLPAALITSGIVQAAAALALVVFRSPAGIFSHGKAPFYLYYGILASVGFYVSSDLTRRGAIGMTILWISILPIVLVAGLGGFVILK >KQK87886 pep chromosome:Setaria_italica_v2.0:IX:9958694:9961876:1 gene:SETIT_034028mg transcript:KQK87886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEEGARRRVVVEVCNARNLMPKDGQGTACAYAVVDFDGQRRRTATRPRDLNPHWGERLEFLVHDPDAMTSETLELNLYNDKKAIAATGSGRRGGTFLGKVKVAGASFAKGGEEALVYYPLEKRSVFSQIKGEIGLKIWFVDDPPPPQPAAPVGEEKGADAAAAEKKEAPAEGKEEKGTDAAAAAAPAEEKKAEAAPAEEKKAEEAKTEEKKPEAAEKKDDKGGKKKSPEKGKKDGEKPKEEGKAKEEDKKDAAAPPPSPSKLAPPPSPSKKDLAIAGVAGDLDIRPQSAAEKSMAASGASASYDLVDRVPYLFVRLLKAKRHGGGGGGQPLYAQLAIGTHAVRTRAATAAGEWDLVFAFHKDSLTDTSLEVTVHEEAKKPAKEGEPVPPDANLGFVSFDLQEVPKRSPPDSALAPQWYTLEGHCCEDGAAACDVMLAVWVGTQVDEAFQEAWHSDSGGYLVHTRSKAYLSPKLWYLRLSVIQAQDLRLPSPPDAKAKQCGGPIFPELYVKAQLGAQVFKTGRVPLGSAAAGTSNPSWNEDLLFVAAEPFDPFLAVVVEDVFSGQPVGQARVPLSTVHRRADDRVEPPSRWLNLCGDEARPYAGRVHVRVCLEGGYHVLDEAANVASDVRAASKHLSKPPVGMLEVGVRGAANLVPMKIAKDGASGSTDAYVVLKYGPKWARTRTILDQFNPRWNEQYAWDVFDPCTVLTIAVFDNVRYKAADAGGDPGKLPKDSRIGKLRIRLSTLDTNRVYANTFALTAVHPVGVRKMGELELAIRFTCPSWLTLMQAYGSPLLPRMHYVKPLGPAQQDVLRHTAMRIVSGRLARSEPPLGPEVVQYLLDTDTHSWSMRRSKANWFRVVGCLSHVATAVKWAHRVRTWAHPPTTVLVHALLVAVVLCPEMILPTVCLYLFLVLLWRYRARPRQPTGMDPRLSHVDSVSPDELDEEFDGLPSSRPADVVRMRYDRLRAVAGRAQTLLGDVAAQGERVEALLSWRDPRATGVFAVVTLLAALVLYAVPFKVLLLGMGFYYLRHPRFRGDMPSAGFNFFRRLPSLSDRVL >KQK88956 pep chromosome:Setaria_italica_v2.0:IX:18973774:18975069:-1 gene:SETIT_038289mg transcript:KQK88956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSHAGAGRRSIPEALAHALVCPLSKKPLRYCEASGSLVSDAVGVSFPIEDGIPSLVPKDGKFLEDDPEKSEQEPIPRDSSC >KQK89190 pep chromosome:Setaria_italica_v2.0:IX:21712432:21715724:-1 gene:SETIT_034973mg transcript:KQK89190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATLPPASSAAAAAAVRSLARAVSPRQPLLLDASRRHPLPLAAATSPGAHLRRALSVSASAAAGGGNGAASGAAEREYDYDLFTIGAGSGGMRASRVASALYGARAAVCEMPFATVASNTLGGVGGTCVLRGCVPKKLLVYASKYSHEFEESRGFGWMYETDPKHDWRTLITNKNLELQRLVGLQTNTLKKSGVTIIEGRGKIVDPHTVSVDGKLYTAKNILIAVGGRPSKPNIPGIEHAIDSDAALDLPSRPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEIRDFVAEQMSLRGVKFHIEQTPQAVIKSDDGLLTLKTNKETISGFSHVMFATGRKANTKNLGLEDVGVKMDKHGAILVDEYSRSSVDSIWAVGDVTNRLNLTPVALMEAGAIARTIFGNEPTKPDYRAVPSAVFSQPPIGQVGLTEEKAIEKYGDVDVYTSNFKPLRATLSGLPDRVYMKVIVCAKTNKVLGVHMCGEDAPEIIQGVAIAVKAGLTKQNFDATVGVHPTTAEEIVTMRSPTRKVRRDTAAEAKITDEAVSQK >KQK87593 pep chromosome:Setaria_italica_v2.0:IX:8105427:8108134:-1 gene:SETIT_038098mg transcript:KQK87593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECGRGTPILELDDANGNVYRCKHCRTHLALTDDIISKDFYCKNGKAYLFDKVVNVTVGEKEDRMLMTGMHTISDIFCVRCGVTLGWKYDAAAERTQKYKEGKFILDRDQLLGPE >KQK87449 pep chromosome:Setaria_italica_v2.0:IX:7362461:7365706:-1 gene:SETIT_040257mg transcript:KQK87449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRLATAAAAPALRRLSLHSAPPRPDPKLAFIRSELDDLSLSSSHKPPPRPPPSDQRQVTEGPRSGLARAGGAPAAVDIAHPWPEWVALMELLLRRGHVDPSAFAASSPSSKDANAVRTACLRFGREQPELIRHISRWDIQVALRCGCPSIDRKVVNSGKRLRAYVGLDEGEGKGSLGLLLMQSSLPNPLVLNLYIVCSQCNLRGSCERAYVKARKEEVGRTVDVIRILLTYGLDVITDNVENRACLNKTVKESIKSLLNEVVEVDSRGPGSSTAKSAQLKCQSSLPRKQGDWNCPKCDFLNFAKNIKCLRCDGEFQERYRLQHEDQEHLPLKKGDWICKRCNFLNFAKNTRCLQCHEKPTNRLLNPGEWECISCNYVNFKRNAFCLKCCWKRPKSLNSQDSIESRHDLEHSRNPTISFVQDGIRSKKWQIPQKNAPLSDEDSDFWSADDEGDDSRANDMFPLQKDYKFLESFPIVGGKTAISQDHLEREKWKDEMSRRSKGLPTKESQEHSRPFAPARLPRSMELVDSDDDIASWFSCGENNKNLEKA >KQK90361 pep chromosome:Setaria_italica_v2.0:IX:42091015:42094633:1 gene:SETIT_035866mg transcript:KQK90361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRGGDYSSSSNEKQKANKSHGNFDLDDSSHKEFDSLDAKVELHTEVVQKLSESVVSLSFIGKNEHYQYTGLVIKSNEYSSRFLTSATAIRCKQGGRKRNMDVLVHVNLPDGQMMRGYLMSLDFDYNTAVIGFGPFHVFKEASLDQPGEVGYINTVTAICCDSTGKIRSSTGVVTKKSSELYGQKLIMSTCIIPEFGIGGPLVDSEGNFVGMNMSQVDNEGTLFLPKETILQSIVPLFLPSTFVVGQASCCRASIKQGSDNQIERSTSEICKSSTLVVLNSEDSSPVAQEKGRGSDKSPETNQKSSVSSTSDSESESSQDTSELSNSPLPDNEFMKAFTDDLLSRGYPLPKMLEGGMELRKNFEEEFAGDIWNKLTKKVALDTSLSVVSLASFRGDFAVIRSYYFPIFTSFILISIP >KQK92683 pep chromosome:Setaria_italica_v2.0:IX:56759287:56762072:1 gene:SETIT_036837mg transcript:KQK92683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMGQEEDDIDHYEVLCLPSGEEGAALTIEQIEKAYRTQSRQRHPDKRPDDPNATADFQRLSSSYKLLRDESLRRQFDARLRGRREAAARAAASGVKRRKAVSDLEERERAAAAGQPANPEELAKREMKQKAADIERELEQFRTAKQAAAAGATASSAYGDKKGGASQDGVKTDKGKILKVSWDGSADSYTAAKLEELFQKFGKVEDIVIKTRKSRSKGSAIVVMGTKEAALLAIQNHFSLFPLNVAPVQESGGLPARPSQTHESRASNIDGTGFSDLEASVFRKLQEAQKRKQCG >KQK90904 pep chromosome:Setaria_italica_v2.0:IX:46513881:46516003:1 gene:SETIT_038941mg transcript:KQK90904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVGHPLVFAVGILGNILSFLVTLAPVPTFYRVYKKKSTESFQSVPYVVALLSAMLWLYYALLSMDVLLLSINAIACVVESVYLAIYLVYAPRNAMVFTAKLLCIMNMGLFGAMVAFLQFFVEGRRRVSIAGGVGAAFALAVFVAPLAIIRQVIRTKSVEFMPVWLSFFLTISAVVWFFYGLLMKDFFVAMPNVLGLLFGLAQMALYFVYRNPKKNGAVSEMQQVVAQAADAAEKEQQQQAHVAAATLDADGEEAVRADDGAKDDVVVDIMPPLPPLPAERAPPLPPPPAMIIPQPRAVEVV >KQK86164 pep chromosome:Setaria_italica_v2.0:IX:523193:524108:1 gene:SETIT_040555mg transcript:KQK86164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRVNVVHFMCKLMHQLPIDCYNEEGCTLFYGLIGFLTEWCTTYVMYSLSSALLLIMRFSVTLSPPLTGFLFHCLPV >KQK86940 pep chromosome:Setaria_italica_v2.0:IX:4593514:4594006:-1 gene:SETIT_040592mg transcript:KQK86940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVERGDKVLHTIGLRNFEYFGFITNMSHIAIAINGLSMLLIVFMALSFIILWLHVTIMNKVTGVVR >KQK92809 pep chromosome:Setaria_italica_v2.0:IX:57308093:57310870:-1 gene:SETIT_034157mg transcript:KQK92809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSSRAAAAAAPVLLAVLLLLLPPHWAGAATDVERRVLLDFKAAITADPRGVLETWTPSGNPCDFVGVTCGGASGPVQRLRIHGAGLAGALSPSLARLPALESISLFGNALTGGVPPSFRALAPTLRKLNLSRNALGGEIPPFLGTFPLLRLLDLSYNAFAGGIPPALFDPCLRLRYVSLAHNDLTGPVPPGIANCPRLAGFDFSYNRLSGEFPDRVCAPPEMNYISVRSNSLYGDLAGKVASCSSIDLFDVGSNRFSGAAPFALLGTVNITYFNVSSNDFDGEIPSITTCGSKFSYLDASGNRLTGPVPESVVNCRNLRVLDLGANALAGVVPPVIGTLRSLSVLRLAGNADISGSIPAELGGIEMLVTLDLAGLNLTGEVPGSLSQCKFLLELNLSGNKLQGAIPGTLDNLTYLKMLDLHRNQLDGGIPVTLGQLTNLVLLDLSENRLTGPIPQELGNLSNLSHFNVSFNNLSGMIPFVPVLQKFDFTAFMNNSLLCGPPLNNLCGGQDQGHRKRLGVPIIIAIVAAALILIGICIVCALNIKAYTRKTRDMEDSKEEEEVLVSESDPIASPGSNAIIGKLVLFSKSLPSRYEDWETGTKALLDKDCLIGGGSIGTVYKATFENGLSIAVKKLETLGRVRGQDEFEHEMSQLGNLSHPNLVAFQGYYWSSTMQLLLSEFMANGSLYDHLHGNRPYAFSESSSRGGGGELFWERRFNIALGAARALSYLHHDCRPQILHLNIKSSNIMLDGKYEAKLADYGLGKLLPILGSIELSRIHTAIGYIAPELASPSLRYSDKSDVFSFGVVLLEIVTGRKPVDSPGVATAVVLRDYVREILEDGTTSDCFDRSLRGFVEAEFVQVLKLGLVCTSNTPSSRPSMAEVVQYLESIRTSS >KQK92838 pep chromosome:Setaria_italica_v2.0:IX:57446512:57450176:-1 gene:SETIT_035616mg transcript:KQK92838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRIPRPAAVAVALCAAALLAACAGASAAGCRKHVAKVTEYGAVGDGKRLNTAAFAKAVADLSERARDGGAALVVPPGKWLTGPFNLTSHFTLYLDEGAEILASQDMKDWPLIAPLPSYGRGRDEPGPRYSNFIAGSNLTDVIITGKNGTINGQGQVWWDKYHAKELQFTRGYLLELLYSNNIIISNVTFVDSPSWNLHPTYCTNVTISGVTILAPVHSPNTDGIDPDSSSHVKIEDCYIVSGDDCIAVKSGWDEYGIKFNMPSQHIIIRRLTCISPTSAMIALGSEMSGGIRDVRAEDNIAINTESAVRVKSGVGRGGFVKDIFVRGLSLHTMKWVFWMTGNYGQHPDNSSDPNAMPEVTGINYSDVFAENVTMAGRMEGIPNDPYTGICISNVTARLAPDAKKLQWNCTDVKGVTYDVTPKPCPELGAEGKPCAFPEEELIIGPHELPKCTY >KQK89311 pep chromosome:Setaria_italica_v2.0:IX:23723117:23725252:1 gene:SETIT_036265mg transcript:KQK89311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSDDQHPYNSSEDGITRKTKNGYWKFMDSSRIPTSTAIIGVKITLEFYEGHALCGTKTGWVMHEYQVEHNEEANLPQDYKSLCKVFLKGEKNISDEIQQNSDNADSPKDSFKAYLQYLAKIEEPKQPVDADKQEISSSKGQNEQNTQHIADGIDIDNVLANEDYIELNDLLYDDDAVRNDALTLEDLDDLLIPQASAPTSTNSSKQSSAVHDALEDLEDLLTPEASASTSANSSKRSMFSEECFDCDAFLREILKDSNSNEGENKDNKFSIAAPTNLVNVVISPSEQGLVKIHDDNAIVAGTSQHEPFPGGDRDEHSSSGFKQRSPSKSSCFPSRSDSSQSNIKCRREQSSSKFGKIMKKYSCFRSL >KQK90633 pep chromosome:Setaria_italica_v2.0:IX:44093593:44096238:-1 gene:SETIT_037766mg transcript:KQK90633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAARAAAAVACLLLALASSAAGKGSHSPAPAPAVDCIAAAAGLADCLNYVSPGSTEKSPSKTCCGEVKTAVANPAVVDCLCSLAGNKGFPIDMKRVLALPGACGSSNTVFSKCHTPGASTGGSPSGGATASPPKAAASSRMTATALVAAVVAPLLAYCYLL >KQK90632 pep chromosome:Setaria_italica_v2.0:IX:44093856:44096178:-1 gene:SETIT_037766mg transcript:KQK90632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTAARAAAAVACLLLALASSAAGKGSHSPAPAPAVDCIAAAAGLADCLNYVSPGSTEKSPSKTCCGEVKTAVANPAVVDCLCSLAGNKGFPIDMKRVLALPGACGSSNTVFSKCHISAGPPAEAPGASTGGSPSGGATASPPKAAASSRMTATALVAAVVAPLLAYCYLL >KQK92457 pep chromosome:Setaria_italica_v2.0:IX:55611171:55611613:-1 gene:SETIT_038423mg transcript:KQK92457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEKAAFSPSASQLHLRHHHQLPSRSSFSPFDARNIREIFWPTTRCYSHTEAVKHAATEGPSA >KQK93002 pep chromosome:Setaria_italica_v2.0:IX:58263181:58263462:1 gene:SETIT_039510mg transcript:KQK93002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMKQQQVVVCAVLVLLAMAGVASAASCNVGQLTPCASALATGATPSASCCSSLKAQQGCFCTYAKNPTYARYINSPNAKKVVASCGLTVPRC >KQK89871 pep chromosome:Setaria_italica_v2.0:IX:36596057:36596535:1 gene:SETIT_040490mg transcript:KQK89871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRREGGRMEDGGQPWRPPGSGEGERGKGGGGSGSGTWCGTRVEGVSGTTSRGGRTGEWRWRRKA >KQK92312 pep chromosome:Setaria_italica_v2.0:IX:54868842:54875442:-1 gene:SETIT_034146mg transcript:KQK92312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAPAATDGRIRSYEDFARVHAYLLAASGVPPSAPAATDGRIRSYEDFARVHAYLLAASGVPPSLHERLYRKLADEVFDGGEVFAVEPCEDGRQRRLVLAAEDPLGRESDVFLVDHAWSFRLPDALKQLREVPGLAERMAALMCVDLDRRLETEELDEHDSDRSGSLEHVLQIVEKEKARIQERGSDSAAWLELEELGIDDDMLVALDLSTKFPNMVALNLWGNKLHDTEKVMQEIRKCPKLKALWLNENPVLGKGIDKAILDGLSELEIYNSHFTSKAGEWALSFCADIVGADNPCSSVESTLLESAVTIDLSDRCIHKLPEAFSPSKLPSLSKLNIRGNPLDQISGDNILKLFSGFTQLQELEVDIPGPLGNSAISILEALPNLTLLNGVNSSSIIESGKHIVDSALEPRLPEWSPEESLAERVIGAMWLYLMTYRLADEEKIDETPVWYVMDELGSAMRHSDNANFRIAPFLFMPEGKLATAISYTVLWPTHDVHTGEECTRDFLFGIGEEKQRSARLTAWFHTPENYFIQEFRKYQEQLQTNSICSSTKTKEAPSTKSVRPSDGRALRVYTDIPHVEEFLTRPEFVLTTDPKEADIIWVSMQVDSEVKNALGLTDKQYTNQFPFEACLVMKHHLAETIHKAWGSPEWLQPTYNLETHLSPLIGDYCTRKRDGVDNLWIMKPWNMARTIDTTVTGDLSAIIRLMETGPKICQKYIECPALFQGRKFDLRYIVLVRSIRPLEIFLSDVFWVRLANNQYTLEKTSFFEYETHFTVMNYIGRMNHMNTPEFIKEFEKEHQVKWLEIHESIRSTIRCVFESATAVHPEMQNPFSRAIYGVDVMLDNRFKPKILEVTYCPDCGRACKYDTQALVGNQNTIKGSDFFNRVFGCLFLDEQTNVSPL >KQK89743 pep chromosome:Setaria_italica_v2.0:IX:35493342:35496222:1 gene:SETIT_038679mg transcript:KQK89743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVNEKALGKCGRNPNSLKRKRDSPVAYDADACRTSGLRQRPANDSAVRFHVDQDRKAKIVCHFNKQVLQSYKNFMTSAPPKRILLRQGADWKVFPEKIVKLAQADFRAKKTITETGYQNQLFLLDFVHMTFIDSKTGLQRPIAWIDENGKGYFPETFLQDEKLFMKKDFGNGNHEYISVDPNGTQEMNGQLGASESSAESSNFDSSTEDISSLKRARAEKSSIGKKYGDMREAIGENEPCTLLPTACNLLPHQTNLGEVSRAQQTVEAVEKLLLQGMGSVIGSKDIIGIYRTPLLDDCAQVRYHFHQKQVQVTECHRGNANVRYAWLACSKSTVHEMMLNGVLQVHKPIKCPAYGEGTILAPANRSDTCVKYSDVDENGIVHMMLCRVIMGNVEIVHPGSKQHRPSSDYFDSGVDDLKNPQHYIVWDMNLNRHIYSEFVVTIKLPSKTKDSLVTQQDCQNSSDVSLVLNSSSPDCMSEEMNLEAPPALGGGCAAPMLGDSMEKAPSSPWMPFSMLFAAISTKVSPENMDMVIGCYEEFKSKRISRAELVKKLRHVVGDRVLISTIMRLQDKQLPPVGRHEANTSVAKMVAKP >KQK90692 pep chromosome:Setaria_italica_v2.0:IX:44837475:44840214:1 gene:SETIT_039609mg transcript:KQK90692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATQNPHRLGAGGYLAKIEKWRKEEEDRRIAGLPNLLVGLDECSRNWVLARVLLVKPNGEVDFKHPSTVEIFKRMGQLAEAKKKGLWKLDRERDMLTEAIRTPEHIGHLRAFTNNQESYKKRDRYKRDLEEKMRQIAMEVYATKQTNPIASGVRYPVDDIQVDTPCRLLQPVGRTLKKFREFATGVAITAHCHKQLPRSTLCGYYVCEFLKNNGSYRMNDPKDLPRIDTRNSALEDQGIVNICRDMAHSIQTEICHEKVLFFDPKGELAADGCEGLRTWTL >KQK91356 pep chromosome:Setaria_italica_v2.0:IX:49416380:49416823:1 gene:SETIT_040350mg transcript:KQK91356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVRKEEDGGGRRELQHRRLHGRVWIVGGGGGEWRGVWMVRQRR >KQK86110 pep chromosome:Setaria_italica_v2.0:IX:315177:315675:1 gene:SETIT_037976mg transcript:KQK86110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRITQRLVSTTMIDSLRGRVGTMSSMLAAPVHTVEVARRTRGHQRTSCGGRHGSIGQGGRVRSCDGGRRMMGATSGQLRWWLRRTEATSAAPLRASSPGPLVGTADDASGVELAKHASRDRLELEPSRQRERVR >KQK90913 pep chromosome:Setaria_italica_v2.0:IX:46567690:46568328:-1 gene:SETIT_039378mg transcript:KQK90913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFAFFALLALSASAASAYISPVSALAATSIAVTHPCVQLQALASGILAPSAVLIQQPLAILQQQCQAHLAVQSIMTLQQQQQLLVNPIATMLPNVFNQLALANPITAAYWQQQQFLPNVFNQLALTSPFAQLQQQQLVSSVLNQVALANPITAAYLQQQQLLPNVFNQLALQQQLVSSVFNQVALANPYLQQPFIGGAIF >KQK91917 pep chromosome:Setaria_italica_v2.0:IX:52702237:52704927:1 gene:SETIT_035360mg transcript:KQK91917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGVFSGSVNLKYVKLGYQYLVNHFLTLLLVPVMAATALELARLGPGELLTLWRSLELDLVHILCSAFLVVFVGTVYVMSRPRPVYLVDYSCYKPPASCRVPFATFMEHTRLISDDEKSVRFQTRILERSGLGEDTCLPPANHYIPPNPSMEASRAEAQLVIFSAIDDLVRRTGLKPKDIDILVVNCSLFSPTPSLSAMIINKYKLRSNIRSFNLSGMGCSAGLISIDLARDMLQVHPNSNALVVSTEIITPNFYQGTRRDMLLPNCLFRMGAAAILLSNRRREARRAKYRLVHVVRTHKGADDRAYRCVYQEEDDQGFSGISLSKELMAIAGDALKSNITTIGPLVLPMSEQLLFFFRLVGRKLINKKWKPYIPDFKLAFEHFCIHAGGRAVIDELQKNLQLSARHVEASRMTLHRFGNTSSSSLWYELAYIEAKGRMRRGDRVWQIGFGSGFKCNSAVWKCLRSIKTPTNGPWDDCIDRYPVDIPEVVKL >KQK87378 pep chromosome:Setaria_italica_v2.0:IX:6965908:6986322:-1 gene:SETIT_039987mg transcript:KQK87378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQETAVEEVLRAAAAEVSTSSAKRRLRLFRHTLPPLLAKASESPSDTASLVDLIFQTLPIYDDRASRKAVDDMVIQALGEPTFMKPFAAALVQSMEKNLKVTNPLTSFKLLRWSHYLLKWSQFATLSKGAFSRLANAQAVLCQVLMNGSYRRRRTCKQLFIHLFSEPSGMYKMYIEEVRDLRISMRDSPAFLNLILDFTITSPSLSAEYKSMFLDLYAKIVLSSKDRPPKAATEAFKPLFVEIGHEDFKNTVMPSCIKMLKRNPEIVLQSIGYLLKTVRLDLSKYCMEFMPVVLHQARHSDEERRINALSIIGTLSEKSSDPDALPSMVNAIKAILGGSEGKLSLPYQRIGMINALEQLSRSPPKQISRLAPSVSSFLLKCYKDDGIEEVKLAILSALGSWALVSAEAVQPDVVSFIAAGLKEKDTLRKGHLKLLRLVCKKSDSLTKVTSLLDHLIQLSKAGFSKATQRLDGIYALFAVLRFAAVDTKADGAVLKEKLWPLIAQNEPSLISLQLLPKLADDDCLAAVDLLQSLFVEHLFRVREYFSIESLLQLLIYLACHPSWEVRKVAYDAMKKVLSSSSGLAEDTLFLFTDWLSLVGERLSMLKQGDMDNSSDSQLPFTPSIEVLVKCLFLIAPYAVVHSLRSYSRLILCSHHPCLSSSASPAGVYKRLQRRLRQQQIVFVDLITPNISVICKHFIGLEERTLHDSFSENDIKIFYTPEGQLSTEQGVYVAEAVASKNTKLAKGRFRAYDDQDADTARSVVPAKTEKRESSGTGKRETGKSTKKTAPVDKAKTAKEEARELLLKEEASVRMKVGQVQKNLSLMLDALGELAIANPIFTHGQLPSLVNYVDPLLSSAIVSDAAFRTMLRLARCTAPPLCNWAPEIAAAIRVISIGDFEMVLDLMPVIVEEDSKKKPSSGLFEQIVNGLTIACKAGPLPADSFTFIFPVLYHVLSTVPAYHPSVGPMLNELCLGLRSHELAQALGGVYAKEVHVRLACLNAIKCVPIHSVQRDLQVSTSLWIAAHDPEKVVAELAEELWDRFGFDVITDYSGIFNALSHKNYNVRAASAEALAAALDENPDKMQDALSTLFSLYIRDLGPGVEFGDTHWLGRQGIALALHSIADVLASKDLPVVMTFLISRALADPNVDVRGRMINAGILIIDRHGKENVPLLFPIFESYLNKKVSTISLLIIASDEETYDLVREGVVIFTGALAKHLSKDDPKVHSVIEKLLDVLNTPSEAVQRAVSDCLSPLMVSKQEEGQALVSRLLDRMMKCEKYGERRGAAFGLAGVVKGFGISSLKKYGIATTLRQSLEDRMSAKSREGALLGFECLCEKLGRLFEPYVIQMLPLLLVAFSDQVLAVREAAECAARAMMSQLTGPGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQAAGQTALQEVGSVIKNPEISALVPILLSALMDPNDHTKHSLDILLQTTFINSIDAPSLALLVPIVHRGLRERGVETKKKAAQIVGNMSSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRAVASRALGSLISGMGEEIFPDLVPWLLDTLKSDSSNVERSGAAQGLSEVLAALGQDYFDRILPDIIRNCSHQKASVRDGHLTLFRYLPRSLGGVFQNYLQAVLPAILDGLADENESVRDAALSAGHVFVEHYAASSLPLLLPAIEDGIFSDNWRIRQSSVELLGDLLFKVAGTSGKAILEGGSDDEGASTEAQGHAIIEVLGREKRNEVLAAIYMVRSDVSLTVRQAALHVWKTIVANTPRTLKEIMPVLMDTLISSLASSSSERRQVAGRSLGELVRKLGERVLPSIIPILSQGLKDPNASRRQGVCIGLSEVMGSAGKHQLLSFMDELIPTIRTALCDSTQEVRESAGLAFSTLYKSAGLQAIDEIVPTLLRALEDDDTSATALDGLKQILSSFNAHALGALAEVAGPGLNSHIGTVLPALILAMDDEDADVQNSARKAAETVLLVIDEEGVETLIPELLRGINDSQASMRRGSAYLIGFLFKNTKLYLADEASDMMSTLIILLSDTDKATVSAALEAFSRVVGSIPKEQLPTHIKLVRDAVSTARDKERRRRKGAPVLVPGLCLPKALQPFLPIFQQGLISGTAETKEQAAEGLGELIDVTSEKTLKEVVVPITGPLIRILGDRFPWQVKSAILSTLTIIITKGGIALKPFLPQLQTTFVKCLHDTNRSVRTRAAAALGKLSALSTRVDPLVSDLLSMLQSGDESVKESVLSALKGVIKHAGKSVSAAIRSRGCDLLKDLLQADADDVRSCAAKVIGTLSLYMEETEISDLVQILLNMSTSPDWCTRHGALLGFSSISMHSPSKLCHLASFPSLVDLLKDSLKDDKFPVREVATRTLGRILCFQLQLEAGTLQLVQLLVLALRDDSSEVRRRSLSCIKAAAKVYHWSLSHCSL >KQK91489 pep chromosome:Setaria_italica_v2.0:IX:50167881:50169650:1 gene:SETIT_038034mg transcript:KQK91489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFVFPQGSQGCSRKCESSFCAVPPLLRYGKYCGILYSGCPGEKPCDALDACCMVHDHCVDTHNNDYLNTRCNENLLSCLDSVSPAGPTFPGNECDVGQTTSVIRGVIETAVLAGKILHKRDDGQ >KQK86536 pep chromosome:Setaria_italica_v2.0:IX:2493293:2494798:1 gene:SETIT_035806mg transcript:KQK86536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKVHLVFSAPLLALLFLSSALMAGLAAATSNGTSADDARGRSLASPSQGGVFSLDRYGARGDGRHDDAEALAKAWEAACASPRPAVVLVPGGKRYLLKVVRLSGPCKSGVTLAVKGTLVASPNRADWSDKDRRHWIVFRGVDELTVNGGGAVDGNGETWWKHSCKINKAMPCKEAPTALSFHFCTNLKVEGLKVMNSQQIHMSIEDCTNVQLRGLSITASGTSPNTDGIHITRSKDVQVTNCKIRTGDDCMSIENGTHNLHVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDAVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMDNVKNPIIIDQNYCDKAKPCKAQESAVEVSNVVFKNIRGTTITKDAIKLNCSRNVPCHGITLQNIDLKMEAGDGAAESTCQNAKWRKVGTVLPQPCTSKN >KQK92248 pep chromosome:Setaria_italica_v2.0:IX:54537404:54541538:-1 gene:SETIT_036733mg transcript:KQK92248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSLAFPFPSPPSGQARPAGRLRAGATGRFLGCSSPPPDVVVTRERGKNAKLIAALEKHNIQALELPLIKHVDGPDTYRLPDVLRNDKFDWITISSPEAAAVFLQGWKAAGSPKVRVAVVGAGTAKVFDEVSQSDDQSLEVAFSPSKAMGKVLASELPRGSESTCKVLYPASAKASHEIQDGLSDRGFHVTRLNTYTTVPVEDVEPLTLKLAISAPVVAVASPSALKAWLKLISKVDNWNNSIACIGETTGSAAKKLGLKSIYYPTTPGLEGWVESILEALRSHRQLKEAPKY >KQK92247 pep chromosome:Setaria_italica_v2.0:IX:54537895:54541473:-1 gene:SETIT_036733mg transcript:KQK92247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSSLAFPFPSPPSGQARPAGRLRAGATGRFLGCSSPPPDVVVTRERGKNAKLIAALEKHNIQALELPLIKHVDGPDTYRLPDVLRNDKFDWITISSPEAAAVFLQGWKAAGSPKVRVAVVGAGTAKVFDEVSQSDDQSLEVAFSPSKAMGKVLASELPRGSESTCKVLYPASAKASHEIQDGLSDRGFHVTRLNTYTTVPVEDVEPLTLKLAISAPVVAVASPSALKAWLKLISKVDNWNNSIACIGETTGSAAKKLGLKSIYYPTTPGLEGYAHIMLPFEQHHPIVMHTSGMLNISNCCSHQILRSP >KQK88402 pep chromosome:Setaria_italica_v2.0:IX:14000411:14004032:1 gene:SETIT_036758mg transcript:KQK88402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYWKHLKPSSSHAATPHRKLMARAVCVVTARPHLPLPPALALPAAAKKPLFRCRASSTMDAASASLPDAEKKTTTVFVAGSTGRTGKRIVEKLLARGFGVVAGTTDVGRAGGSLPQDPNLQLVRADVTESADKLVEVVRGVDAVVCATGFRRSFDPFAPWKVDNFGTVNLVEACRKAGITRFVLVSSILVNGAAMGQLLNPAYIVLNLLGLTLVAKLQAEKHIRKSGINYTIVRPGGLTDQPSTGNIVMEPEDTLYSGSISRDQVAEVAVGALLCTESSYKVVEIVARADAPNRPLEDMFAAIKQN >KQK89459 pep chromosome:Setaria_italica_v2.0:IX:30295171:30296880:-1 gene:SETIT_039296mg transcript:KQK89459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLHTKLPIHVQEELKRPEAPMQAAKFASEGGIIMRGHIPILTRRKDYKTDNKKTSQELRWQTGCGQFDIDTTSQHVIEACTDMLKLQQRQRRYRLKKKFFNDLPAHEERCTINTDNHGLLRFPQHIGSRSYREKRAEGDPIPIDLFKNFHCSKNGYTVPVQAVIEEEIQADLEIEKKGGTTLAKDR >KQK90514 pep chromosome:Setaria_italica_v2.0:IX:43302595:43304890:-1 gene:SETIT_039753mg transcript:KQK90514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVEKDLHMSTGDGANSYAANSRIQKRALLMTKPVLQKAIQDMYTSSISSRSTVVVADLGCSSGPNTLLVVDEVMSTLRDCAREEETTDEDDRRSMQVQFFLNDLPGNDFNLVFRSLQQLQDFDVEEEDETVALPCYVAGLPGSYYRRLFPCQSVHLFHSSYSLMWRSKVPDDLSNGTYLNEENVYIGKTTPPSVVKLFQEQFQKDFKLFLTLRCKELVGGGRMVLTFLGRKTEEMLTHGEVGSMYELFAKALQCLVQKGLVEKEKMNSFNLPYYAPSVDEVKALIEEELFDIENIRLFENNWDPLDDSDSDVVLDCASSGRNVANKSIRAVMEPLIINHFGEAILDELFMLFAAMVAKYLEIRKAKYPVIVVSLKKVRH >KQK90418 pep chromosome:Setaria_italica_v2.0:IX:42528537:42529214:-1 gene:SETIT_040004mg transcript:KQK90418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTDTTREPCPDRILEDAGGAFGMGAVGGSAYYFAKGLYNSPNGHRLAGGGTAVRMNAPRVGGSFAVWGSLFSTFDCAMVYARQKEDPWNSIAAGAATGGLLSLRHGLLATGRSAVFGGALLALIEGAGIMMNRVRDYPAEDLQKYFPGLQDQGQYGPPPGFLGVPPPAAPVVVEEVPIAAPGPTGWLGGLFGRKQLDKVAGGDLKSEVLQMDLPPEAIPSFD >KQK89422 pep chromosome:Setaria_italica_v2.0:IX:28712405:28712931:1 gene:SETIT_040500mg transcript:KQK89422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILGINKICSVRNNFQCCKKPSALIGSHTVQLFML >KQK87558 pep chromosome:Setaria_italica_v2.0:IX:7912768:7913498:-1 gene:SETIT_038445mg transcript:KQK87558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTWRHAHLWWIESAASIAPFACRPLAATPPQAMSRGSGRERRPEAPPAWGRTRHSTTSR >KQK90069 pep chromosome:Setaria_italica_v2.0:IX:39303182:39304336:1 gene:SETIT_038558mg transcript:KQK90069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSWIILSTAPHVSADALPDGADLSLALATPPRLSHLTVSTRVSSADPDPDARLKSPHVLAADPSGLLLAMTPPPLSESSPPTERVRRGPDGVEHTFTISYIPDPDYAVLDVVSATAHRLPDHDIFNAGCLGVIAAPGAKASGFMVVEFQFIVGGTGASLHCFSSQTGAWVEKDVRNPLPRWIWNFNNVVSHNGKLWWVDTAAGLLACDPFADNPDMAYVPLPENDDDNRDDGARGCACYYCSERQIATRRRVQLSNATFRCVQITSARKTKDHAHDTAPATVTMRTLADPETAHWTLDYKVPFADIWADHTYKAAGLPEKEPVLAFIHPNNPDVLYFSLDDYLFAVDMRAKKLIECEAHESGVSSSSLLAWELPPALTAPAA >KQK88329 pep chromosome:Setaria_italica_v2.0:IX:13206454:13209828:-1 gene:SETIT_036771mg transcript:KQK88329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDAAKEYVAGAAAGVAQVVVGHPFDTVKVKLQAHNTTAHGKVYKNAFHCTSRILLEEGIRGLYKGASSSFIGIAVESSLFFGTYSQAKQLLQGNYEDGRPHLQVIIPSAACSGALISCILTPTELTKCRMQVQGKDVMHGTRYSSPLDCAVKTLESEGLRGIFRGGLATLFREAIGNAVFFCTYEYSRYWMHNYLDSPRFSSSSHFVMAKDIGIGVMSGGISGMAFWTATLPLDVAKTVIQTDPDPHLSRNPFRVLSMVYKRAGMSGCYAGLGPTLARAFPANAAAIVAWEYSAKILGIKRG >KQK89310 pep chromosome:Setaria_italica_v2.0:IX:23705716:23707134:-1 gene:SETIT_039211mg transcript:KQK89310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRASMGKAKAGLGRSRLMYSMRDILGFLAVAAVTTIAIVLLLPPPCPCSVMSSQHAEPLPLGCRFFFITWGQGDKLPELLRRAAMDDKTIIMTFTNEAASVRVGVRTEPLLKHLVIVAVDAKAYERCQQVHPLCYALPAEGINYTSEQVYMTKDYLNMMWRRNRFQSRILELGYSFIFTDVDIIWLRNPLLRIPRPVPRRQPYDLDKPANGGFAYAKAAPRTVAFYGGWYAARTVYPGNHEQFVFDQVKHALSARHDVRVQFVDTAYLSGFCELSKDFNKACTVHANCLVGLKDKLAKLAGVLDEWKQFRATAALTD >KQK88447 pep chromosome:Setaria_italica_v2.0:IX:14348987:14353861:1 gene:SETIT_036403mg transcript:KQK88447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIPSLKSLNAFPHAEEHLLKKTYSGAIVTILGLIIMFTLFVHELQFYLTTYTVHQMSVDLKRGETLPIHINMSFPSLPCEVLSVDAIDMSGKHEVDLHTNIWKLRLDRYGHIIGTEYLSDLVEKEHGAHHDHDHGHEHNDEQKKHEHTFNEDAEKMVKSVKQALENGEGCRVYGMLDVQRVAGNFHISVHGLNIFVAEKIFEGSSHVNVSHVIHDLSFGPKYPGIHNPLDETSRVLHDTSGTFKYYIKVVPTEYRYLSKKVLLTNQFSVTEYFLPIRPTDRAWPAVYFLYDLSPITVTIKEERRNFLHFLTRLCAVLGGTFAMTGMLDRWMYRLIESVTNSKTRSVLR >KQK88967 pep chromosome:Setaria_italica_v2.0:IX:19058990:19061809:1 gene:SETIT_038586mg transcript:KQK88967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDISAYRRDSFRVAAWCSPPSIFPLEMELEILEPTMMADNLQPEKRTLVYPVTVSVIAAPDVLPRPGDPPSPPPADGDGRRRGNRTSVHARLGPHPAEIAHVASQDGAAASSGVLRRGGSAPLDIKDPTASALPEGASPPVNPRTMAASGPEREALASASHLADRAQRAAACASPEVVSPPVNPQTTAASGPEREASALASPLVDRAQRAAACASPEGVSPLVSVSRITDAPLIPNENTSFMARLTKNTTRILPTPCTNRIRTRARTPAAPPRRSHRIAGVELEPVGNTASSRNKKKVMRALDIIGETEGIDQHALDEYGKLFTRSSSITASHVQAPAALFGWATPVEEELALEVDT >KQK92317 pep chromosome:Setaria_italica_v2.0:IX:54887009:54889828:1 gene:SETIT_036643mg transcript:KQK92317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFSILPLMEFIARRAFLGAGLQPHTAALPSDDGSDGGQPRTVIHYWAPPGEPRLPPLLLIHGFGPMATWQWRRQVGPLSRHFHVIVPDLLGFGASSRGSPAAPSELAQAAALAALLDALPGLTADARVAAIGTSYGGFVAYALARAAGPGRVGPVVMSNSDLLKTAEDDRALLERAGGGLARTADLLMPLDARGARRLMELSFYRRQAITLLPDFVLRQAVQQLFMDKRDGKIELMKAITVGTDEFKLTPLPQDVLLIWGDHDQIFPLEKAFAVKRCLGESVRMEIFEKTGHVPQMEDPARFNKLILDFLLASQKPPSIQQ >KQK90983 pep chromosome:Setaria_italica_v2.0:IX:46981610:46981876:-1 gene:SETIT_039941mg transcript:KQK90983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRRAAAMLWVAVALAAAAVAGPAVPAPAPAATIGAEPGCFCDCMKNRCMTLGAGADKYDCAGACTEGCTQIGQPGQPRDDDFCGL >KQK90833 pep chromosome:Setaria_italica_v2.0:IX:46071751:46073404:-1 gene:SETIT_036609mg transcript:KQK90833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAAAAIPSPAQAAAADAESLRKAVQGWGTDEQALIEILGRRTAAQRAEVRRAYAELYRESLLDRLRSELSGDFRNAMVLWTMDPAERGARLANGALAAGKKTIGDQHAWALVEVACASAPDHLVAVRRAYRSLFGCSLEEDVAACPALQDPLGKLLVSLVRSYRCETELVDGDVAGLEAAQLAEAIRKRKQPHADEVIRIVSTRSKRQLRATFQRYERDHGADIDEDIAKHSSSQFSKILRSAIWCLTSPEKHFAEAIRYSILGFGTDEDTLTRAIVSGADIGMEKIKEEYKVRFKTTVTSDIVGDTSGYYKDFLLTLVGSEE >KQK91365 pep chromosome:Setaria_italica_v2.0:IX:49449744:49450495:-1 gene:SETIT_038492mg transcript:KQK91365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAREFELRPGSMYQSDRAESFVEARVDILIRPGRVERGPVDAPIQPADVVF >KQK87202 pep chromosome:Setaria_italica_v2.0:IX:6073527:6083976:-1 gene:SETIT_034338mg transcript:KQK87202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASGAPPPAMDEKARRTRDLLASFYNTDPSAASAAGAAAPASLARPSPTAAPASPLDSINSTSFDPEIYMNVLVQQSNLEGLLQRHVKMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMEAGMEQLLSKITSVQSKSDTVNTSLFDKRENIEKLHRTRNLLRKVQFIYDLPTRLNKCIKTEAYADAVRFFTGAKPIFEAYGDTSFQDCKKASEEAMDLVIQHLQAKLYSDSEPIEERAEAVVLLKQLNFPVDNLKSNLLEKLEDCLLNLQNESTQASIGDISKTFRAYLIIFPDSERRLIELAQALFSNRYETVRESLKKRIPSTDLLAMLRSLWEDASAIDEVIPEAALPAFSLETTRDIIRQHIATAFLHLQSEISGALVRTHSTSNEKLEESQLQTAMDTSKIKVSQGCIDLLQEFHNLIDGNTELLVKLRDLIIDWVQEGFQDFFQKLDGHFHVLSGRSKGFPQESSALDSVQIDKVPTVLVLMLAQLCVYIEQTTIPKVTEELASSFSGGGARSYEYGPPFVPGEICRLYRSSGEKFLHHYINMKTQKISKLLNKRFTTPVWIKHKEPREVNMFVDLLLLEFNGVVSEVKQILPGQIRRHRHSDSTGSTTSSRSNPMREDMLNRSNTHRARSQFLENHLAKLFEQKMEIFTKVEYTQESVISTVLKLCLKSLQEFVRLQTFNRSGFQQIQLDMEFLKSSLKEFVDDEAAISFLLKEVNNAAHERCLDPIPLEPPILDKLTNAKLAKIKEQNPNMQ >KQK89179 pep chromosome:Setaria_italica_v2.0:IX:21573220:21574086:1 gene:SETIT_039299mg transcript:KQK89179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHCPRWTSGARRPLLSWALIALLSFVAAGPVVLAEAIVFHVANRCPFPVWPASAPNTGHPVLAGGGFLVPPGKSRRVVAPATWNGRFWARTGCNFTANGGTGAAACLTGDCEGRLACNGSVGTPPATLVEVSLHADPSKGSSYDVSLVDGYNLPVAVSAKPATGNKCAIAGCAKDVNAVCPPELQVTAATGGGAKAATVVACKSACLAFGLDAFCCRGAYATPAACRGSVYSRLFKDACPAYYSYAYDTTAATATGWGDEEPDGGRAGAALM >KQK93098 pep chromosome:Setaria_italica_v2.0:IX:58777252:58778590:1 gene:SETIT_040092mg transcript:KQK93098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVVVMRHGDRLDQAEPIWPANKPRPWDPPLTDAGLLRAWTVGKRIRAAAAAGGWVVHRVLVSPFLRCRQTAERAVAALCAVPDDAALLAIEDAANVPLDTSRLKVSIEYGLSEMMNTQAMGGIVGKVAPGVKKWFPDLPELEAVFPAGTIDHSAEPIYPEVPKWEESIMEARSRYASVIKALADKYPNENLLLVTHGEGVGASVSYFEMGLEIYDVEYCAYSVLERQVAMKPGDEQGGEGFTADSFKVLTKSGTTGIQYAPVPESWFVG >KQK87918 pep chromosome:Setaria_italica_v2.0:IX:10174520:10175001:1 gene:SETIT_039424mg transcript:KQK87918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLGAALATLHAACLLAVPPPALAADHVVGGSGWCIPPSAGLYRAWADNRTFFVGDTLVFRFETGFHDVVQVGRREYEECTADDPYQIFRAGPAAVPLDSTGVRYYVCTVGNYCSLGVRLYVTVQRR >KQK89560 pep chromosome:Setaria_italica_v2.0:IX:32922527:32923402:1 gene:SETIT_039938mg transcript:KQK89560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYDECHMADGGGYKVFLSQELGFLLPIMEEEHCSIYYINFIHDRTDVLDSSPDDHTDYHQLATDFKIKQFTKFKRPFIDICIHSHDNDCGFYVIKFMELWNSDSFHVPILTENIRQYRSQLLFYCLYHKINEITKLHAGLEPHRPCM >KQK93111 pep chromosome:Setaria_italica_v2.0:IX:58828716:58830930:-1 gene:SETIT_034990mg transcript:KQK93111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANQALVTFAVNCVGNNLVTFMAVVMRLENADAANKANNWAGTTYVFSIVGALVSDSSWGRYKACTIFQIIFLAGLVELSIASHVFLARSCDFAHGEGRQEHCKPPTRAQSLVFYISIYQIALGNGAYQPAVTTFGADQFDETDAGESKSKSAFFGYFFVANNLGGVLAVTAMAYLEDRGHWALAFWIATAAALVGVVLFALGTLRYRHFLPSGNAIVSVCQVTIAAIKKRHVKAPQQAQDLYEEPPEATGDNETTKKLYNGQGRKMMLHTPEFRCLDKAAVVTQVEPLPAGGHHQQQGPWSLCTVTQVEELKCILRLAPIWLCSILFSTSYSQMSSVFIEQAQAMDGSLWKLEIPAAAMNVFEILGVTAFVFIYSFCIVKIMSKVWREPTELERMGIGLVISTLAMITSGVVEQQRLKHATGEASSSLTILWQIPQYVLIGASEVFMYVTMTEFFNDQLPEGLRSLGSAMSVASMSAGNFVSSLLVTVVMAVTSKGGRPGWIPQDLNHGRVDLFFFVIAALNAIDLLAYVVFARRYRPAPPMKPAAAADENGAE >KQK90907 pep chromosome:Setaria_italica_v2.0:IX:46528185:46528487:-1 gene:SETIT_039410mg transcript:KQK90907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLSYQWREGKGFKSVKGIRWSLKPVEDDCESYHTLEKKVGCKMY >KQK89080 pep chromosome:Setaria_italica_v2.0:IX:20379953:20381504:1 gene:SETIT_039524mg transcript:KQK89080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLSRLLMGLPLLLALLVAAEAAADPATGGSLSLARYTRVFAFGNSLTDTGNAAIFPATAKGPSTRPPYGQTYFGHPSGRASDGRLIIDFLVEELEVPLPTPYLAGRTAADFLDGANFALGGATALDPAFLASRGITSVVPISLGNETSWFVNVLQLLNSSGYDQRKITARSLFFVGEIGVNDYFLALMSKSADVAESLVPHIIGTIRSALTAMIGAGARTVVVTGMLPLGCEPKLLAMFPGGPGDYDPVSGCDARFNRIAVQHNRALKRMLWELRLRHPRRSFLYADVYRSVARAVASPARYGFGSKPLAACCGGGGGPYNFNYTAFCGTPKSTTCADPSEYISWDGIHLTEAAHEFIAHAMLGEVLSVVETGSRQA >KQK90385 pep chromosome:Setaria_italica_v2.0:IX:42290845:42291681:-1 gene:SETIT_037790mg transcript:KQK90385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADACTLTPAAALPPLLPTPRFFSTVAGRASEGSAWAGNKKPGRASASESWTKDKLLARTTASSSVPSRASLSQDWTKDKAQRKEETERVGRSSSREDPVGPKKRTLSRAPSVEVDRSEKKAKPEDAVEPVTVQYYAGPAFLKSPDPSEVPLPTMFLKRKTPKAADDRK >KQK88120 pep chromosome:Setaria_italica_v2.0:IX:11654609:11665643:1 gene:SETIT_033873mg transcript:KQK88120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQTRSGAPMEWTTVQHLDLRHSGGRRGASARPMQPHAAAFRASQAIVAVAIGTHVVEFDALTGSKIASIDLGTRVVRMAYSPTTSHVVIAILEDATIRSCDFATEQTLVLHSPEKKSDHVSIDTEVHLALTPLEPIVFFGFHKRMSVTVVGTVEGGRPPTKIKTDLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIQTYAVHYTLQLAVDSTIKLMGAGAFGFHPTLEWIFVGDRGGTLLAWDVSTERPSMIGITQAGSQPITSVSWLPTLRLLVTIAKDGSLQVWKTRVIINPNRQPMETHFFERAAIETMDITKILTLQGGEAVYPLPRIKNLAVHPKFNLAAVIFADMSGTEAAKNKAAYTREGRRQLFALLQGARGSTAAVLKEKLLALGSSGILAEHQLQAQLQEQHLKGQSQLTISDVARKAFLHSHFMEGHAKSGPISRLPLVTISDSSNLLRDVPVCQPYHLELNFFNKENRVVQYPVRAFYLDGFNLMAHNLSSGTDNLYKKLYSTIPSNMECHPKNISYSPKQHLFLVVFELSGPNGVAHEVVLYWEQTDLQTVNSKGSSIKGRDAAFLGPDDNQYAILEEDKTSLNLFSLKAVATKEALENNAAVLEENTFADNAANSTERQGPLHFTFESEVDRIFSSPLESTLLYVISGKHIGLAKLLQGYRLSTDNGLSITTKTDGKKFIKLKPNETVLQVHWQTTLRGPVVGILTTQRVLIASADLDILSSSSTKFDRGLPSYRSMLWVGPALIFSSATAISMLGWDNKVRSILSTSFPRSVLIGALNDRLLLVNPTDINPRQKKGVEIRSCLVGLLEPLLIGFATMQQHFEQKLDLSEVLYQITSRFDSLRITARSLDILAKGPPVCGDLAVSLSQAGPQFTQIMRCNYAIKALRFSTALSILKDEFLRSRDYPQCPPTSHLFQRFRELGYACIKYGQFDSAKETFEVIADHESMLDLFICHLNPSALRRLAQKLEESATDSELRRYLERILRVRSTGWTQGVFANFAAESMVPKGPEWAGGNWEIKTPTNIKTIPQWELAGEVMPYMKTTDAGIPSVVADHIGVYLGVMKGRGNVVEVSEKSLVKAIAAASSENAQPVSSESAEKNKAIAGGDSVGDTLARQLGVQIASSDEQAKAAEEFKKTLYGVVDGASSDEDESTSKTKKIHIRIRDKPAASAVDVNKLKEATKQLGLGPPLSRTRSLSGTPQEFNQAPTQPGGPAAAVSPAMPNTAIDLFGTNTLVQPQAPSGATGPVIAGMGVTAGPIPEDFFQNTIPSHQLAARLPPPGIVLSRMAQPAPGMYQGPPVPNQNMMANVGLPDGGVPPQAPPQQSQFPQQPGIPMDNIGLPDGGVPPQSQPLPSQPQTLPSQPQGFQPGIPTPSQPIDLSTLVEGPGAAKQAARPPAPTAVRPGQVPRGAPAAECYKMALAHLEQNQLTDALSCLDEAFLALAKDQSREADIKAQATICAQYKIAVALLQEIARLQRVQGAGTLSAKEEMARLSRHLASLPIQAKHRINCIRTAIKRNMEVQNYAYAKQMLDLLYSKAPPTKQDELKSLIDMCVQRGLTNKSIDPFEDPSQFCSVTLSRLSTIGHDVCDLCGAKFSALSAPGCVICGMGSIKRSDALAGGPGPAVPSPFG >KQK92103 pep chromosome:Setaria_italica_v2.0:IX:53675411:53677595:-1 gene:SETIT_037073mg transcript:KQK92103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKNKRISKGKKGGKKKTVDPFAKKDWYDIKAPSVFSVRNIGKTLVSRTQGTKIASEGLKHRVFEVSLADLQSDEDQAYRKIRLRAEDIQGRNVLTNFWGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDNYMLRLFCIGFTKRRPNQVKRTCYAQASQIRQIRRKMVEIMANQASTCDLKELVSKFIPEVIGKEIEKATSSIFPLQNVFIRKVKILKAPKFDLGKLMEVHGDYTKEDVGVKLERPAEAEEAVVGQEAAAAE >KQK87372 pep chromosome:Setaria_italica_v2.0:IX:6948608:6948958:1 gene:SETIT_039561mg transcript:KQK87372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGTHNKVDDTDKPRLPPATDAIAVVEKWRRVPLSWELFQPVVRIVAHCLLGPSRSDELKAQVARAAECLYWRATETMDAPALLATRSLMRLSQMVEEPIPEPSFSSAIENMAELGP >KQK89222 pep chromosome:Setaria_italica_v2.0:IX:22186406:22188052:1 gene:SETIT_039163mg transcript:KQK89222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HTPPMPDIKLPHFAAAPPPPVVVFQDDDPLEPRPAAGGAQAAPAANGGLVLKVQCEFPALGRGASWDKFAVLVDAKAPADVARAPLDLVTVLDVSGSMNGQKLELVKRAMCFVVDQLGPADRLSVVTFSNAAWRITHLARMSAAGKAAAKLAVERLVVVGGTNIGQGLLVGTQVLLGRRHRNAVASMILLSDGQDTCLPPAIAQPNGGVAKSYASLVPRSFASAGSRPGPIHTFGFGADHDAAAMHAIAEATGGTFSFVQDQAAIQDSFARCVGGLLSVAVQETRVAVTCLHRGVRVQDVKSGSYESNVAADGRAATIDAGELYDDERRLFLVLVYVPRARATEEVTRLVKVSCTYGDAATGQTAHAAAPAAVIQRPLELEHMPPPSIEVERERVRLAAAEGIAAARAAADGGQHAGAARILDARLKEVERSAPGAAGDDPTCEAIKEELRDLSARVGDRREYQQTGRACLLAGMSSHAQQRASGVELQGSAGKAAATATTRAYLTPKMEEMVDLSRESSRKRGSSQRPGGRSEQVIKQVKQDLSENF >KQK91638 pep chromosome:Setaria_italica_v2.0:IX:50965134:50965620:-1 gene:SETIT_040371mg transcript:KQK91638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWQYRWNYRYHQEGAKEPGSKERSKPEISQKG >KQK86704 pep chromosome:Setaria_italica_v2.0:IX:3362648:3364006:-1 gene:SETIT_036871mg transcript:KQK86704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKPATAKAPVEVTLRKFELSDVDAMMAWASDPQVAAVCRWDPYESTEPLLAFIRDVVLPHPWFRAICVAGGGDEEPRPVGAVSVSPTGDPCRAELGYVLARAHWGRGVATAAVKRTVAAVFGEVPGLERVEALVDVNNTASQRVLEKAGFTREAVLRKYGVVKGVVRDMVIFSFINTDPIDTTPFFAAGASPGRHPLVPALSAPRTRRRTRGSQHRGGDCGAAARAQSLDDAYVPAFSIPALCCAGGGGDAFPVGVLRHLDAGVLPSSAAPPKLVKTLGRHHSEPVIIP >KQK89898 pep chromosome:Setaria_italica_v2.0:IX:36915368:36917693:1 gene:SETIT_040037mg transcript:KQK89898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFKGSKVEVLQEAEVPFGSWRPGEIVSGNGHTYLVRYDESPVDFGVAVERVPRRLMRPCPPADDPVCWAVGSILEAFDSYSWKVAEVVRVLGKKHYLVRLLGSSLESRAHASDLRLRKLFPSCNLVMDSHIQLENQNAFEGATSRGIKRKSSAIKTHPQCSEITKKLRTPHRDGRHSKLVDRGSLRLAEKVDAVDSPCFMLGEKYTHASYKGHTITAEDFSDTESISSSVASCSPNSSPHKSQHYNLVYQTGDICSRTDDDEASTSERETSQHDNHGSREETHLLELHAYRATMLALYACGSISWEQEALLTNLRLTLNISTDEHLAELRSLVTHAVTSR >KQK87395 pep chromosome:Setaria_italica_v2.0:IX:7082919:7083452:1 gene:SETIT_040754mg transcript:KQK87395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHLCDLINMIILFPLSIQSNLVSLITVTFGCFVPARLPPSLIHCT >KQK87375 pep chromosome:Setaria_italica_v2.0:IX:6957145:6960582:1 gene:SETIT_036818mg transcript:KQK87375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYYHIKSCVRVHLNIDLLRRLPNFRCSRLLRVTRKPLFRQAAPNRLALQNPHRGLGRAAAISSPCRIPPLPDPADRRIASGGGAEEALEEEMASALSSLRYGDSLSVVAISAATAVLCEAISWLLIYRTATYNSLRASIERHSRKLDAMKSGAGSSASSSSSGGGGSSSAQPASSRAKKMDRVETSLKDAARELSLAKLKSGAVVAAVLFVVFGLLNSLFEGRTVAKLPFAPVPLVQRMSHRGLPGNDPTDCSMVFLYFLCSMSIRTNLQKLLGFAPPRAAAAAGGGLFPMPDPKVN >KQK87848 pep chromosome:Setaria_italica_v2.0:IX:9759533:9761173:1 gene:SETIT_038955mg transcript:KQK87848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDGYEWKKYGQKFIKNIQKNRSYFRCRHKLCGVKKKVEWHPSDPAGDLRIVYEGAHQHGSGAAPSSAKGAAAGASNQYELGAQYFGGARSH >KQK87287 pep chromosome:Setaria_italica_v2.0:IX:6413291:6430510:1 gene:SETIT_034067mg transcript:KQK87287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAYAKSVAEVLEAFGVDRTKGLSDSQVEQHTRLYGKNVLPQEESTPFWKLVLKQFDDLLVKILIAAAAISFLLAQMNGETGLSAFLEPSVIFMILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVGVGCKVPADMRMVEMLSHQLRVDQAILTGESCSVAKELESTSAMNAVYQDKTNILFSGTVVVAGRARAVVIGVGSNTAMGSIRDAMLRTEDEATPLKKKLDEFGTFLAKVIAGICILVWVVNIGHFRDPSHGGFVRGAIHYFKVAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKVCVVRSVHQRPMTDEYSISGTTFAPEGFIYDADGLQLEFPPQSPCLLHLAMCSALCNESTLQYNPDKKCYEKIGESTEVALRVLVEKVGLPGFDSMPSALNMLTKHERASYCNRYWENQFRKISVLEFSRDRKMMSVLCSRKQQEIMFSKGAPESIMARCTHILCNDDGSSVPLTMDIRNELEARFQSFAGKDTLRCLALALKRMPAGQQSICYDDEANLTFIGLVGMLDPPREEVRDAIHSCMSAGIRVIVVTGDNKSTAESLCRQIGAFEHLDDFAGYSYTASEFEGLPPLERTNALQRMVLFSRVEPSHKKMLVEALQTHNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFATIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGMPDTLVPVQLLWVNLVTDGLPATAIGFNKPDSNIMTVKPRKVNEAVVSGWLFFRYLVIGAYVGLATIAGFVWWFVYSENGPGLPYSELVNFDSCSARQTSYPCSIFEDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIHPWSNLWLVGSIILTMLLHVAVLYIEPLAALFSVSPLSWAEWKVVLYLSFPVILIDEVLKLFSRSPRGRRFPLRLWRREILPKESRDN >KQK91637 pep chromosome:Setaria_italica_v2.0:IX:50963318:50963773:1 gene:SETIT_040493mg transcript:KQK91637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVLYFRKQANAIQIMFLSHPCLMSYAIIMHASFKICWELYLYPVETELQREW >KQK86661 pep chromosome:Setaria_italica_v2.0:IX:3199825:3200124:1 gene:SETIT_0389102mg transcript:KQK86661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVLLTTPATPEDRMREITEASDGFVYLVSVNGVTGARANVNTRVECLIQEVKQVIDKPVAVGFGISTPEHVKQ >KQK91116 pep chromosome:Setaria_italica_v2.0:IX:47903325:47903767:-1 gene:SETIT_038470mg transcript:KQK91116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQFNLVGSRQLLYGCNKISIVTGSKRLQNILLRECINGLTMVMIRLGFLWGSQVR >KQK87930 pep chromosome:Setaria_italica_v2.0:IX:10251193:10252697:1 gene:SETIT_038577mg transcript:KQK87930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQPVTGPCVSDGWRARVAAFLGREVEEQGTRTSGVLISWLREHFGQCPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWIHCLTDWHQAGFYSWGSAVLCFLYRQLCEACRRTSGSPSVGGCVYLLQLWMWSRLPVGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVTHTRLDRAYLDYINEIDALTAHSEWELFEENVDENNEPHTNSAYRQYQSWYQGATRHRLREAWTQDDYAEIQSSDDEDTVYDQSTRAGRQVEAGPILDRMGRTLQTLVRDIEHIRPRVRDPEIRSVLE >KQK90338 pep chromosome:Setaria_italica_v2.0:IX:41720504:41725241:-1 gene:SETIT_034853mg transcript:KQK90338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRRGDYSSSSNEKQKANKSHGNFDLDDSSHKEFDSLDAEVELHTEVVQKLSESVVSLSFIGKNEHYQFTGLVINSNEYSSRFLTSATAIRCKQGGRKRNMDLLVHVNLPDGQMMRGYLMSLDFDYNTAVIGLGPVHVFKAVSLDQPGEVGYINTVTAICCNSTGKITSSTGVVTKKSSELYGQKLITSTCIIPEFGIGGPLVDSEGNFVGMNMSQVDNEGTLFLPKETILESLVPFFLPSLDMFHDLFSFGNSTFVVGQASCCRASIKQGSDNQIERSTSEICKSSTLVVLNSEGENSKFMKAFTNDLLSRGYPLPKMLEGGMELRKNFEEEFAGDIWNRLTKKVALDTSLSVVSLASFRGGTRFFACTGVLIGRHKSITRILTSASLVRFANKNEIDGSLKIRVLLPNKKHATGKLQHHNLHYNIAVVSIRNFHCRRIAKLHIEKPVYPLNDNQVVAIGRTFDTGKLMATSGVLTDNSSNLVSEEHGICTCKITKAGIGGPLIDFCGNFIGMNFYDAKRTPYLPRHIILEQLKDFDRKGSAAAKINEDGDPNRFSVPGPYWWYPSLAPRFIYERRRKH >KQK91299 pep chromosome:Setaria_italica_v2.0:IX:49081155:49087304:1 gene:SETIT_034045mg transcript:KQK91299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGEEAGGGGGSGNMSGVAEIDEDLHSRQLAVYGRETMRRLFASNVLISGLNGLGAEIAKNLALAGVKSVTLHDAGNVEMWDLSGNFFLSEDDIGKNRAVACAAKLQELNNAVDISALTEELTTEHLSKFQVAVFTDISLDKAFQFDDYCRSHQPPISFIKTEVRGLFGSVFCDFGPEFAVHDFDGEDPHTGIIASISNDNPATVYCIDDERLDFQEGDLVVFSEVQGMIELNDGKPRKIIRSRPYSFCIEEDTNNFGIYTKGGIVMQVKEQMVVEFKSLRDSIREPGNFPLSDCLKFDRPPLLHFAFLALDKFRKEFGRFPAVGCAQDGQRIVEFTASFNEATIDYKIEGKLDEKLLRLFASGSRAVLNPMAAIFGGIVGQEVVKACSGKFQPLYQFFYLDSVESLPTHQLDPKDLKPLNSRYDAQISVFGSRLQKKLRDANVFVVGSGALGCEFLKNLALMGVSCSRKGKLTITDDDVIEKSNLSSQFLFRDWNIGQAKSTVAATAASAINSCLHIDALQNRACPETEHVFHDAFWEGLDVVINALDNVNARMYMDMRCLYFQKPLLESGTLGTKCNTQMVIPHLTENYGASQDPPEKQAPMCTVHSFPHNIDHCVTWARSEFEGLLDKTPNEVNSFLSNPTQYAAAMKKAGDAQARELLERVRECLEKERCERFEDCITWARLKFEDYFSNRVKQLTFTFPEDAATSTGAPFWSAPKRFPRPLEFSTTDLSHVQFIMAASILRAVSFGITVPDWAKSTTNLIDAISKVYVPEFKPKSGVKIETDEKANNISSASVDDAAVIEDLLAKLEASAKKLPPGFRLKPIHFEKDDDTNFHMDLIAGLANMRARNYGIQEVDRLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHPVEDYHNTFSNLALPMLTKSEPLPPTVIKHKGMRWTVWDRWSIKGDITVAELLKWLSDKGLSAYSVSCGTSLLYNTMFPRHKDRLSRKIADVAKEVAKVDVPEYRKHLDVVVACEDDKGKDIDIPLISIYFR >KQK92774 pep chromosome:Setaria_italica_v2.0:IX:57190268:57195460:1 gene:SETIT_034456mg transcript:KQK92774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIWTPRSRRRGSERERWKDNRPLHHGPNLDPEEPAGRIEVGKDRRGTNRMAGAGRSGAVVAALACASGAAMGRGRGLHLSLDLAGKPNSPTRSGRGKMATPLPPLRRATTTLLLVVLVAVLASTARADLVISKADRRVDLTSHIVRVLASLKVENVGPDPVSQVLLSFPNIQAKNLAAIRAFGTEGKVKGPSTILPIEVVEPSGAPPELTFFSALLPKPLGKGKTLHLDVLTVFTHSLQPFPEEITQAEAQLVVYQDSAHYLSPYPVKVQTLTIRLPGGRVESYTRHPSAKLVDSELKYGQFEDLPPFSYLPVIVHFENNNPFAVAKEVIREIEISHWGNVQITEHYNIAHGGAKLKGEFSRIDYQSRPYVRGVSSFRNLIARLPPRAHSIYYRDEIGNISTSHLWSDSKKTHLEIEPRFPLFGGWQTTFTVGYGLPLQDFVFYSDGKRFLNITFGSPMEEILIEKLIVKVVLPEGSKDIEVSAPFPTKQWQEVKYSHLDIVGRPVVVLEKPDVIPEHNLYFQVYYKFNNISLLREPLMLITGFFLLFVACIVYMRTDMSISKSSPSYLAKLQWDEVQATVQKIQGLFEQCLAVHDKLEASLRDLSRTGDVQSCKAARKAADAQFKELWKELKPLLTSLQSSPQSYQIWPKVEDLITKEREMQEKLMTRHSTVVDSFEKKLRGQDVENRIALQQQKIAALRQEVESLLEYISEI >KQK86702 pep chromosome:Setaria_italica_v2.0:IX:3361409:3361597:-1 gene:SETIT_039835mg transcript:KQK86702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPIRRFLHLLIDDHHRAHTLRKIDTTPFFAAGACPQLGSVTPAGGGYAPHPAAVPGGTLR >KQK89505 pep chromosome:Setaria_italica_v2.0:IX:32044225:32047243:-1 gene:SETIT_039239mg transcript:KQK89505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGCAQPPSLAAAGRRRTLRCYIRCSSVHDLERSTAPRPGSSLPPLRVAKWVVLLADSSINAYQGLLKNEGKESYGVLYKQWQKNAANFSIDEHYPVRELWDRARSCWERIPAHEGKSVLVSEAKGEGRGRGRRPE >KQK91932 pep chromosome:Setaria_italica_v2.0:IX:52778600:52779046:-1 gene:SETIT_040633mg transcript:KQK91932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNTTNFFLERKLCFNRLHPRLTHALTNQPVKAGG >KQK91613 pep chromosome:Setaria_italica_v2.0:IX:50840315:50842671:-1 gene:SETIT_039059mg transcript:KQK91613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASGAGGRWDLLQCSIGVSAMHMQLLHNDRVIIFDRTNFGRSNLSLPAGHCRVNPRDKVLPKGDCTAHSVEYHVASNSFRPLFIFTDTWCSSGTVAPDGTLVETGGWLDGYGNTRTMPACAGGGVSCDWFEKQDALAAGRWYATNQILPDGRAFILGGLNQFSNEFYPKADPSDKSVIHMPFLEQTRDPELNNLYPFVLLNIDGNLFIFANNRAVLLDYKSNKVVRTYPVLTGGDPRNYPSSGSSVLLPLKPNPTEAEVLVCGSAPKGSYHSSRRRPVTPTFVPALATCGRIKITDAAPAWVVETMPSPRVAIINGATDGTAGWDAAKTPAYAPVIYRPDHPTGDRFEQHAAPTGIARMYHSSVVLLRDGRLLVGSSNLHILEAFSPEYLDTANDVLRPRILHPSPTGAAASVSYGAKMKLKFSVPAASGTRRRGGLGEASVTMVAPSFTTHSFAMNQRLLFLEATNRMVAVRGPVSTYHASVTMPATAVMAPPGYYMVFVVNGLVPSEGIWVHIQ >KQK91813 pep chromosome:Setaria_italica_v2.0:IX:51941784:51951066:1 gene:SETIT_035972mg transcript:KQK91813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCFLRAAAAPRLHLYRTAASQQLPSPLTVLRKGVSGQSVLPLTDSIESFQGPSVQNTPRIPLYDDSIPSGILDTLSNPTDGVTRADPSKGRIMLVDGTSVMYRSYYKILAQLQHGQLEHADGNGDWVLTIFKALSLLLDMLEFIPSHAAVVFDHDGMTFRHMLYPAYKSNRTPTPDTVVQGMQYLKASIKAMSIKVIEVPGVEADDVIGTLAVNSVSAGYKVRIVSPDKDFFQILSPSLRLLRIAPRGSGMVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVDGIGDVNAVKLITKFGSLENLLKSVDEVEDERIKQALISDSEQAILCKSLATLRSDLPPYMVPFKTTDLVFQKPQDDGTKFIKLLRALEAYAEGSSADPIIRRATYLWNKLKS >KQK88803 pep chromosome:Setaria_italica_v2.0:IX:17292495:17294934:-1 gene:SETIT_039303mg transcript:KQK88803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGCGLVTLDYLATVDAYPRPDDKIRTGELQKSGGGNAGNALTAAARLGLNTRVISKVANDETGGTVLSELKETGIDTSHVIISDGGNTTFVYIIIDKQTKTRTCILTPGDPPMVPSDLPMSCLSAALQDVSLLYLDGYSPEMALAVAKQADQMNIPILVDAEQERTKEELEGLLILASYIVCSGKFPKNWTSIPSLPCALLEILLQYPRAKFVIATLGEKGCMMLERSEAGDDSVVDAADIEAVADSLRLELHKDGIFPTCVASKPLRLSARGLGTVSGRLLIGTAEVIPASELADTTGCGDAFIGAVLHALSTEIPTEKMLPFASQVAGIKCRAIGARAGLPWRSDQRLAKYL >KQK88280 pep chromosome:Setaria_italica_v2.0:IX:12860339:12861487:-1 gene:SETIT_038554mg transcript:KQK88280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGTSPYFGCIVGRVANRIKNGKFTLNGVQHNLSINNPPNTLHGGFKGFDKSIWEVAEYNKGDNPSITFKYHSKDGEEGYPGDVSVTARYSILPSATLKLEMEATPLNKATPISLAQHTYWNLAGHNSGDVLPHSVQIWGSKITPVDQTSIPTGEFMPVSGTPFDFLTGNRIGSRIDQVPGGYDHNYVLDSGELRLGLRHVAKVVDPSSLRVLDIWADAPGVQFYTGNFLHGIVGKGGVVYGKHAGFCLETQGFPNAVNQPNFPSIVIRPGEKYQHTMLFEFSTE >KQK87170 pep chromosome:Setaria_italica_v2.0:IX:5888348:5890962:1 gene:SETIT_040199mg transcript:KQK87170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIKEIVCLTSQQQDVLSHIVSQLPINDAIRTSVLSRKWKDIWCGHTKLSLNSTTVRKHYFKSPIGYVFLTNMEFVARVDAILLQHSGGGIECMEIKFRLHNSYAYHIDRWVNFAIASKTKELIIDLSGSRNFLPQQLFCAHNGSYLQCLEVASVSLHLPADFKGFLNLKNFTLVDVSIGNEDIQCMLSRCNLLEYFEISYCRNITSIRTPRPLGQLNHLVVDNYLLLQNIELNGSPTTFKYNGTVIPFAFASTSRLTNVSIKFLSCHAALEYIVTGFPSALPRLESLTLHCAERERIILPGRPFQFSYLRHLKLELIIFCDKKIDVLDYALVLEVAPFIEKLELHMWMDCWWCQPYRKEDGELRIRLPHQQQHLKFVHISGFFGYKHQVELALHILRSSVILERMEITPRVEITKRETESRNLYYERTQYISGRKIASEFVCKADQRKVVEL >KQK92634 pep chromosome:Setaria_italica_v2.0:IX:56513341:56516622:-1 gene:SETIT_034946mg transcript:KQK92634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALNLAHQTGAAAAVAAAVPAAPRSAVVAAAATVASPSTAPSSAPALQAQTLTVDPAPVQATDSVKSDLAMACQALVEAAPEAEHADVAAELKSKAGVPVFVMMPLDTVRKDGNSLNRRRAVEASLAALKSAGVEGIMVDVWWGIAEAEGPGQYNFNGYMELMEMARKNGLKVQAVMSFHQCGGNVGDSVTIPLPKWVLEEMDKDQDLAYTDRSGRRNYEYVSLGCDALPVLKGRTPIQCYADFMRAFRDHFATYMGNTIVEIQVGMGPAGELRYPSYPESNGTWSFPGIGEFQCYDRYMLSSLKAAAEAVGKPEWGNAGPGDSGSYKDWPEDTPFFRREGGWNTEYGQFFMSWYSQMLLEHGERILSAATGVFTASPGVKISVKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYQPIARMLGRHGAVLNFTCVEMRDHEQPQDAQCRPEALVQQVALAARDAGVGLAGENALPRYDETAHDQVVATAAEKAEEERMVAFTYLRMGPDLFQPDNWRRFAAFVKRMSGAGKRDMCREQVEREASGVAHATQPLVQEAAVALTN >KQK87501 pep chromosome:Setaria_italica_v2.0:IX:7575817:7576068:-1 gene:SETIT_039420mg transcript:KQK87501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RYEKCEVVLAARQVVFRCGDAKEAELLACQEGLSLAIQWRHSPLILESDCQNVCNALNLTLEDRSRLAFLIQEVKFLTEEHMF >KQK87221 pep chromosome:Setaria_italica_v2.0:IX:6143998:6144306:1 gene:SETIT_040662mg transcript:KQK87221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSYIQVRTTAYMTAIHYSCTQAHPITCTFYVQYS >KQK89144 pep chromosome:Setaria_italica_v2.0:IX:21312301:21318032:-1 gene:SETIT_035831mg transcript:KQK89144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLCCVAARPHGTSTASREWSSIGRSDPPWRTNAGFSPPLSRGWEYRINSEGLSYGSHGDSGVAANYGSSLSSNSKEASRSWERNELPQEHRYSTSEGAISYFNSPDVSFQNHHAMLPMLRDSSVDEYMRVSVAEPIGALLLSEGISGQQNSGGSTSRSDGSEYDIVPKSYSSTPRNFPSRRSFLSKPIHPLSFPEHALEAQGTQSPIASASSNNPLRSEFKGKGELRSPGPMDYASGSHGESGNWSAASSMDLTDLSEQPEAERAGAQRSNNVMQKTRCDLCERFLTKRSPWGSRRIVRTGDLPVAGVLPCSHVYHAECLERTTPKGQKHDPPCPVCDKLAGKDTEHWSICRLKNGFPRLRSLGEGPSRVWSCAHAGDCVAGAVQIPRSNSIALLTRSGHKRHASSKGDPGKDWAETSKSACM >KQK89929 pep chromosome:Setaria_italica_v2.0:IX:37297689:37300346:1 gene:SETIT_036910mg transcript:KQK89929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASASGAACRVCGGVGECACHGHGHGIGGARCGGVAVADLNRGFPGMWHQAEEEPGVVVGGGAAAAAGLQEFQFFGHDEDHESVTWLFNDPAPHLHRGPAPAAVGNGVADAEQRRAPPLFDGYAHAQYGQTLPGHGLTFDVPLSRGGEVAAAAVLEAGLGLGGGGSNPATSSAKIMSFCGSTFTDAASSVPGEVVAAAANGSSGGGVVDPTMDREAKVMRYKEKRKRRRYEKQIRYASRKAYAEMRPRVKGRFAKVPDGEAPAPPAAAAAAGYEPGRLDLGWFRS >KQK90458 pep chromosome:Setaria_italica_v2.0:IX:42810034:42812403:1 gene:SETIT_034321mg transcript:KQK90458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPKKRTVVESGDGGLGLGIAAFIANGEDLGPIIRHSFESGKPEALMNNLRSIVKKKEVEIEELCRLHYEDFIVAVDELRGVLVDAEELKGMLSGENSHLQEASTSLLLKLDELLELYSVKKNVGEAITTLKICVEVFSLCMTCNNYIAEAKFHPALKTLDLIQKGYLQSIPLKLLKKVVARQIPLIKLHIEKKVCGEFNDWLVHIRRMAKQIGQVSISQASLARQKDEEMRARQREAEGHSHAGPDEHLYSLNLENTEEESALDFDLTPVYRAHHMHICLGIGEKFRDYYYKNRLMQLNLDMQISTSQPFLESHQPFLAQVAGFFIVEERVLRTADGLLSESQVETTWETAIAKITSILEEQFSRMRTASHFLLIKDYVTLLGAAVNKYGYQVTQLIEVLEKSRDRYHQLLLLECRKQMDDILTNDSYEQMVIKKEYEYNMNVTAFHLEHDDAIPDFPYVAPFSSSVPEVCRIVRSFIEDSVSYLSYGGLMNIFDVVKVFLDRLLIEVLNDSLLNMIYARSLAMSQMMQLAGNISVLEQACDMYLLHSAHLCGIPKRVAERSHSGLTARAVLKASQNAVYNSLINLANFKVDEFMVLLENVNWITEEAPDDANDYMNEVLIYLETLVSTAQEILPLEALYKVVSGAMSHISDSIMTTLLNDGVKRFTVNAVLGLDIDLKLLEAFADEKFDSTGLSDLGKETTFRDCLVEIRQLVNLLLSSQPENFMNPVIRQRNYGSLDYKKVAIVCDKYKDSADSLFGSLSNRNVKQNARKKSMDVLKRRLKDFS >KQK86145 pep chromosome:Setaria_italica_v2.0:IX:460669:462945:-1 gene:SETIT_037651mg transcript:KQK86145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAFLARPLARPLRASAALPRASRRPTRVAVVAAAAPERKPPGAAASTTNYVVPLDAAPSGITRPLVEILRDLNKRVPDTIVRPASRRASASDPVVPWYHANRMLSFYAPGWCGEIRDVIYTDSGKVTVIYRVTVRGTDGEVHREAAGTASLSDARFDDPVAAAEEAAFCKACARFGFGLYLYHDDETP >KQK90752 pep chromosome:Setaria_italica_v2.0:IX:45551471:45555562:-1 gene:SETIT_033939mg transcript:KQK90752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVETVLSMAQGRRIRNAHLTSLLSSLHQLLYDADDALDEIDYHRIHQDAQRRDQVTSVSTPSTVATAVLHLLSAVVSHQPLASPTFRGMFMYPGPWGRRKRRKLAHLPACDANASGQPGLELDRSALGRRIREATSQLRDVSEQVRKALQLEELESISLAAHSLPDNPRLTTSYPAGEHKMFGRNGQKDSIIEVLTDIRCSSQSRLLVLPVVGNGGIGKTTLLQHVYHDQRVRSFFDIRMWICVSHCHNFSVLRLTREMLEAATGKKQNRGSKNLDSLQKNLVERLQGKRFLVVFDDLWTVDEKKWELLLAPFNHIKASTNCTILVTTRDRRVSELISPIPAINLSGLDGEAFWDCFRAYIFDDEKHDGHHHLQPIGREIASKLNGYPLAAKSVGALLRKDLSIEHWSRILESRAWEEQNGTDGIMSILRLSYEYLPFHLQRCFSYCSLFPKGYRFLEKDLVLIWNSQGFIETKFDRKPEEVGHGYMYQLVSLGFFQKEVDPENCETWYLMHDIIHDLAINVSSKFCLTIDRISTFNMHPSATIRHMSIITESMYHEEISSNVARNEDIEKVVIKIASLLQKKYLRSLMLFGRYDSNFAHVFKMAFREATNMRSIIMSMMPYHEHEGSLLYDIGQCIHLRYIKFISYDHVQLPEALSRLYHLQVLDVGGATGDLTRSVSSGYTEAATPPWLAKHMFLTSLRCLYLDNCKGCKNLPAFSLFPALKKLHLIGLPDITQLQTASLEEVILSDMLKLQKWSVSEKHQLIDDLQVLEICNCPILIELPLPPTCNSDIANYRQLQSAVIKNCPCLMGLPPLPLGPKTKLMVEDVRTFPCSLMSYSADTNPSLLLVGKDQLRVLDGKVTSFQNLVSLQELSIDTCPSLTTISWQGFRQFCILKDLTIYRCPNLLSVPMTETERMLAKGLLPSLQRLEIWSCGITGKNLSCLLSNAPNLSFLKLKECSRIKRLTVHQLADQGSSILLPDGVAADGLLQIQPHSVSSLQELCFDSCPTICQNGEGLRGLITLKKLEIYDCPRFLSYLVFQNEDMHHWKSMSLNGLTAMENLSICHSKLEALDLESSKELKYIQVLGCTELTSVQVEVIHTSDSSILRLSICKHLNHLRRLEFRCLSDKLETEQEKALEQLISLNELQFSDCEYRFSVPEELHQLTYLKKLELINCSTISSFSERGLPPGLEHLVIVDCKYLESLPAGMYGHSFLKKLEIKSCPRIRSLPRAGLPASLQELRFEKCSSKLQQQLQRMNKADMVIVWS >KQK86964 pep chromosome:Setaria_italica_v2.0:IX:4745273:4752261:1 gene:SETIT_034335mg transcript:KQK86964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWWKRSSSPSRSSHSSSASTPASPARASTSRLIGGGGSGPSSRGDGGGGRGEPQPRLSRARRMRYVVDDLDVGVAAIGVDSPARRDAAAGFGLATVSSTPISRSPSNMEVAPARSSSTPVMLAHPLPLPGEGESSCRGPGRPLPSPTTRVLDGEWNLPAAEAPGVLETGSERMPPLLTRRVVAQTLPKTHEHNDFQLNGTTCGQRRKAFKEKFQDKNSDETLNFRLNIPARSAPSSGFSSPVQSPRRLSNVDFSSAAISIQDTNVWSARSLWSPDLMGSSPPSASPDKFAGGQERSPRSSPLRSPVLRSRNPSAPPSPMHPKLFPENHVSRPEGNGSASFHPLPLPPASVSPKQTNASHQVVPKVETPSVAGQWQKGKLLGSGTFGCVYEATNRHTGALCAMKEVNIIPDDAKSAESLKQLEQEIKFLSQFKHENIVQYYGSETIEERFYIYLEYVHPGSIHKYVHQHCGSLTESVIRNFTRHILKGLAFLHSQKIMHRDIKGANLLVDINGVVKLADFGMAKHLSTAAPNLSLKGTPYWMAPEVVRATLDKSAGYDLAVDIWSLGCTIIEMFTGKPPWSGLEGPAAMFKVLRTDPPIPDNLSPEGKDFLRCCFKRNPAERPTASKLLEHPFIQSSNHYNQHGSTPYNQHGSTPSFAAIKSPDTGHSGARDKIPWKNDSCVRGKHANGETSSARSSGSLAYRLTTPLPHLGTNSLSPPPLSSASSSGSATHTPNGMHFSVATHTPTGMHFSVAYPQPSPLRKPNGKEAINLI >KQK86079 pep chromosome:Setaria_italica_v2.0:IX:200550:205622:1 gene:SETIT_035533mg transcript:KQK86079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPAAASSPDPTPQDQAPSPSPAKRSAWKQPAPNGVVDTPAPGPAVMDANHWPALSEAAAKNTKLAPAPAPDSSRPPESSSPSPAPSSAMANSSNSHKHGSGTHHGRHKPARRGGGGGGEHSPRDHPDRSTGGWDHATGGTSSGGGGRGAHRNHNNGGGRRGNGVTGSGSGVSHHGGAAGGGGSFSGRRRGGYEPFYRGGGPPPMGMGPYMRGAPPPPPPMTVPPPFMGPPPPSVSPMRAFAGPMMFQDMPSPVPPVSPIYFYGPPPPPEALRGLALAPPMVGPPAYPYFQTLSEPQPEPEPEPEPEPEPDVEEERAKLLNQIEFYFSKENLCSDVYLRQQMDGQGWVDISLIAGFKKVLELTNDLQYVKETVKSSSILEMQGDKIRKQNDWEKWVIPRESNPDIPSSSASVPRPNVNHLAARLGGMGLHESAASSSSTTHGDVVQNGPPSGDNEAPVVEDNSGHQ >KQK90874 pep chromosome:Setaria_italica_v2.0:IX:46293561:46294057:-1 gene:SETIT_040842mg transcript:KQK90874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSQNHLYLHNYFLKFCSENLMSCVLLAPIGARCDSCRVGFFIYVTSQAFYPKMFHLPRKTLGCLE >KQK89923 pep chromosome:Setaria_italica_v2.0:IX:37189105:37189991:-1 gene:SETIT_039266mg transcript:KQK89923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSDKVVRVLEVLNTKDTARKAYQLVLTKPTELEVAKNVICLLLWLETIMGVEVLDKVAAMAPGDIWFTQVVTEASAVYSYILDGCPLPAPLEGIPTIVALCGGGPLVDFRFFKFHKELVARGVAVIRDSIGALVFDDNLHAMLRRFEDDARPLPAPELMVPFVTMRRTPPEDSRTAFVAFPECPCHRPSSQDIVNYFERTLEFGRCIERVETERPGAGQAPKHGIIVFMSAELRDEAMFKETAVFFRVEDHDMWVQLYMPPL >KQK90221 pep chromosome:Setaria_italica_v2.0:IX:40745163:40751207:1 gene:SETIT_034036mg transcript:KQK90221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGNHRPHYPPYHHPYPPPPQQPQAYPYGYQYPPPPLPSSAEQGAPYLAPSPSFPGYAAAPPQAPQQYHSGPLQAYPPPPQHHAYPPPAHPSLYGHGYGPYSSPYPSSYPSPNASPALSPSSSFHHQHGSAPEPASHAPSAPEPPSPAPSAPAYPIEDVLATMRLSDRYDYAQSPSVPPPSTPFSGGGMQVVPYGAAAGGSQHGGGMQVVPYGAAGGGSQHGGSVRASLKVVLLHGTLDIWVHDARHLPNKDMFSKKVGELLGPRITGAVGSKMSSASMTSDPYVTVQVSYATVARTYVIPNCENPVWSQNFIVPVGHEAAEVQFVVKDSDVFGAQIIGAVAIPAEKLLSGERIQGVYPVLEPNGKPCAPGAVLHLSIQFIPVARLTMYHHGVVAGPDSHGVPHTYFPLRRGMKVTLYQDAHVPDGCLPDIWLGNGLRYQHGQCWRDIYDAICQARKLIYIVGWSVFHTIHLVRDGTQAPSLGDLLKTKSQEGVRVLLLVWDDPTSRSILGFKMDGFMGTRDEETRRFFKHSSVQVLLCPRSAGKRHSWVKQQETGTIFTHHQKTVIVDADAGNYRRKIIAFVGGLDLCGGRYDTPWHPLFRTLQTVHKEDYYNPNFATVDARGPREPWHDLHSKIDGPAAYDVLQNFQERWLKAAKRHGIKKLAKSYDDALLSIERIPEIINLSDAAYFSDNDPETWHVQVFRSIDSNSAKGFPKDPRAATMKNLVCGKNVLIDMSIHTAYVHAIRAAQHYIYIENQYFIGSSFNWDSNKDLGANNLIPIEIALKIANKIKANERFSAYIVVPMWPEGNPTGAATQRILYWQNKTMQMMYETIYRALKEAGLDDMYEPQDYLNFFCLGNREVADSTSTSNASNTANNPQEQARKNRRFMVYVHSKGMIVDDEYVIIGSANINQRSMEGIRDTEIAMGAYQPQYTWANKVSAPRGQIYGYRMSLWAEHIGGIEEDFNHPESLECMRRVRYLGEENWKQFASDEVTEMRGHLMKYPVSVDRKGKVKPLPGCTTFPDLGGNICGSFMAIQENLTI >KQK86987 pep chromosome:Setaria_italica_v2.0:IX:4915820:4918885:-1 gene:SETIT_038818mg transcript:KQK86987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAAAVRHAHLLAPSAGRSRRRPSTVRMALREDGPSVAIVGATGAVGQEFLRVITDRDFPYRSLRLLASERSAGKRLAFEDREYTVQDLAAPGAFDGVDIALFSAGGGVSRKFGPAAVARGAVVVDNSSAFRMDPEVPLVIPEVNPEAMANVRLGQGAIVANPNCSTIICLMAATPLHRHAKVLRMVVSTYQAASGAGAAAMEELKLQTKEVLEGKAPTCNIFKQQYAFNIFSHNAPVLENGYNEEEMKMVKETRKIWNDKDVKVTATCIRVPVMRAHAESVNLQFEKALDEDTAREILRAAPGVTIVDDRAANRFPTPLEVSDKDDVAVGRIRQDLSQDDNRGLDIFVCGDQIRKGAALNAVQIAEMLLK >KQK86082 pep chromosome:Setaria_italica_v2.0:IX:218956:221097:1 gene:SETIT_037460mg transcript:KQK86082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHVVSCCLLVPSSGAKAAAASSSSPPNLKATKSREHTRIGRRDFVLRSSELATLAAIFHFSGTKPSYLGVQKNPPSLALCPATNNCVSTSEEISDSNHYAPPWNYNPKDGHRGKPISKEEAMKELIEVVTKTKPDNFTPRIVDKTDDYVRVEYESPIFGFVDDVEFWFPPGNKPIVQYRSASRSGFVDFDANKKRVKELRLALEKKGWASESSF >KQK88352 pep chromosome:Setaria_italica_v2.0:IX:13526115:13526753:-1 gene:SETIT_040756mg transcript:KQK88352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTPFPFSYPFFSPLVVSWPYPEMEAYLKAASPRKGLAADN >KQK88957 pep chromosome:Setaria_italica_v2.0:IX:18990703:18992539:1 gene:SETIT_035224mg transcript:KQK88957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVPLPLLLGTVAVAAAAAWYLLLRRGGGGGKRPLPPGPRGWPVLGNLPQLGAKPHHTMAAMAREHGPLFRLRFGSAEVVVAASAAVAAQFLRAHDANFSNRPPNSGAEHVAYNYQDLVFAPYGARWRALRKLCALHLFSARALDDLRAVREGEVALMVRELARQRGPAVALGQAANVCATNTLARATVGRRVFAVDGGEGAREFKEMVVELMQLAGVFNVGDFVPALAWLDPQGVVGRMKRLHRRYDDMMDRIIREREAAGGDGNDLLGVLLTRMREHRPLADGEDGTINETDIKALLLNLFTAGTDTTSSTVEWALAELIRHPDVLAKAQQELDAVVGRGRLVSESDLPRLTYLTAVIKETFRLHPSTPLSLPRVAAEDCEVGGYLVPAGTTLLVNVWAIARDPDAWPEPLEFRPDRFLSGGPHAGVDVKGSDFELIPFGAGRRICAGLSWGLRMVTLMTAALVHGLDWHLAGGVDADKLDMEEAYGLTLQRAVPLMVRPEPRLLPSAYASVE >KQK88849 pep chromosome:Setaria_italica_v2.0:IX:17775535:17778296:1 gene:SETIT_037577mg transcript:KQK88849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAARVLPKAVTFVTGNAKKLEEVRAILGSSVPFQSLKLDLPELQGEPEDISKEKARMAASKVNGPVLVEDTCLCFNALKGLPGPYIKWFLEKIGHEGLNNLIKAYEDKSAFAMCIFSLALGPGEEPITFVGRTAGKIVPARGPNDFGWDPVFQPDGFEQTYAEMPKSVKNEISHRGKALALVKEHFASANYTVQSDGSA >KQK86293 pep chromosome:Setaria_italica_v2.0:IX:1147417:1149366:-1 gene:SETIT_038937mg transcript:KQK86293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFGAPALIAFAVACAGFLWLRSRSSSKEMRDIPGTMGWPVIGETVSFISDFSSPAGILSFMRDRQKRFGKVFKTYVLGRITVFMTGREAAKILLSGKDGVVSLNLFYTGKQVLGPTSLLTTNGEEHKKLRRLIGEPLSIDALKKHFDFINELAVQTLDTWLGRRVLVLEEASSFTLKVIANMLVSLEPEGEEQEKFRANFKVISSSFASLPLKAPGTAFHRGLKARNRMYAMLDSVISRRREGGEVRSDFLQTLLRKHAGDDADKLTDSQLKDNILTLLVAGHDTTTAGLTWLVKFLGENPEVLEKLREEHLEIRERLNGTSHLRWSDVSNMPYTNKVMNETLRRATILPWFSRKAAQDFSIDGYDIKKGTSVNLDVVSIHHDPAVFADPERFHPDRFDQAVKPYSFLGFGSGPRMCPGMNLARLEICVFVHHLVCRYTWKPLADDDAVQPTLVRMPKNKYPIIATAL >KQK89174 pep chromosome:Setaria_italica_v2.0:IX:21525509:21526148:1 gene:SETIT_037162mg transcript:KQK89174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPVPPPWSCGRKWDELAGGIGDGDDDEEELFRGDAAMLPPHEMVARASAGGGYGAPVKPSSMLEGVGRTLKGRDLRRVRDAVLRQTGFLD >KQK92556 pep chromosome:Setaria_italica_v2.0:IX:56095815:56098367:-1 gene:SETIT_034950mg transcript:KQK92556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLPKSLLLACLSRPLRPRSPLPLLPTPPPHHPLPHRLPFSTQTLTPSPPPPPPDAAPPPASKPAGLALLEAAELHESEGDHRKALDLALKAVAPLHESHGGWSLPVARALRLAGAAASRVGLAGDGFESLGAAAEIVDHLAPARGRREPEVAAVGAAVHEQLARAKMAVGRRWDAVGDLRRALELKSGCLDEGSAELGDAYRDAAEAYAGVLDFDKALPLCSKALGIAEGRFGLDSKEVAKVRRLLAVVYTGLGRHVDALEQIELVKMVYEQLGLNVELSQVEIDGANIRILLGKSEEALNDLKRVMKRADKESEERALAYVAMAKILTSQERISDSKRCLEIARGIIDTNDSMNPGRVAEAYAEISLLHEAMAEFETSLSLMKKTLAILKDAKEMQHIEGSISARMGWLLLHSERVAEAVPYLETAVEKLKNCFGPQHFGLGFAYKNLGEAYLGMDRPESAVKFLMLAKGIIHATFGPTHEDSIDTTQSIANAYGVMGSYNLAMDFQEQVIDAYKSCGADSSDDLREACRLREQIKMKAKGLRHAVFPANSLPVLPDRND >KQK92170 pep chromosome:Setaria_italica_v2.0:IX:54113280:54120290:-1 gene:SETIT_035089mg transcript:KQK92170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQASNRSYRYPDNTQISYYNGNLVHVGGNGTYYMPQNHDDGHYISSDDGSQTSNSRAQGFQAQYCTLDSSSANCVYPAHSSTSSQSISGSPLSQQESHSDHAYGSSPSASCVTQVPSWTLKDLENVMLGPDSDIGSPDSSLLPGTALHENNWRELLGIKTGDLRQVIIACGKAVDENAFYMDALMSELRQMVSVSGEPMQRLGAYMLEGLIARLSFTGHALYKSLKCKEPVATSSELMSYMHLLYDICPFFKFGYMSANGAIADAVKGENFIHIIDFQIAQGSQWMTMIQALASRPGRRPYLRITGIDDSNSAHARGGGLDIVGQRLHSIAQSCGLPFEFNPVPAASHEVMYEHLCVRSGEAIVVNFAYQLHHTPDESVGIENHRDRILRMVKSLSPRVVTLVEQEANTNTAPFFLRYLETLDYYTAMFEAIDVARPRDDKKRISAEQHCVARDIVNLIACEGAERIERHEPFGKWRARLAMAGFRPYPLSPVVNSTIKTLLDSYHSYYRLEERDGVLYLGWKSRKLVVSSAWC >KQK92081 pep chromosome:Setaria_italica_v2.0:IX:53589251:53589728:-1 gene:SETIT_040545mg transcript:KQK92081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRHVKIQSLVSGLVSVDSGRQKRATGEEEAS >KQK87380 pep chromosome:Setaria_italica_v2.0:IX:7008182:7010776:-1 gene:SETIT_036070mg transcript:KQK87380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAHLFNAPLGESETAVGVGTVGSSEAIMLAGLAFKRRWQNKMKAAGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPHKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNAETGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWCIWRTKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGFEGYKNIMENCQENAMVLKQGLEKMGRFNIVSKDNGVPLVAFSLKDSSRHNEFEISDFLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIEKVLHELDTLPARVPSGDLAALAAAEASQREMDKQREVISLWKRAVLAKKKTNGVC >KQK86473 pep chromosome:Setaria_italica_v2.0:IX:2082748:2085140:-1 gene:SETIT_039437mg transcript:KQK86473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGEKTPANSAQPWKARSAHGSVYGRSSERQKQGACTFSPSVSSSKDSPQHLVTPVQPLKSADSRSSPCGSGSVGSDSGAAPFDICMSANKCPVIKLNPPLFEVNRGKRRDRELSKDVAPFQHLRPGMVLLKRFIKPNDQVKIVKVCQQLGVGSGGFYRPGYRDGAKLRLWMMCLGKNWDPDSCSYSDTRPFDGAQPPTIPEEFRKYVQDAIQASHELLKQRIGAANAVKELPLMSPDICLVNFYNSSGRLGLHQDKDESKSSLDKGLPVVSFSLGETTEFLYGDGRDEEKVSKVDLESGDVLIFGGKSRHIFHGVSNTKPKTAPKWLTDETSLRPGRLNLTFRQY >KQK88794 pep chromosome:Setaria_italica_v2.0:IX:17237691:17240805:1 gene:SETIT_037217mg transcript:KQK88794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIFVLSGQSNMAGRGGVHHRRWDGVVPPEAAPDPSIQRLSAALDWEEAREPLHADIDTAKTCGVGPGMVFARTVLPCLQEDNPGKGARTGIGLVPCAVGGTAIREWARGEFLYEQMVCRARVAAGYGEIEAVLWYQGESDAESDAATAAYNGNIERLITNVRADLEMPQLPFIQVALASGDKRNIDKVRSAQFSVNLPNVVTVDAMGLALKEDNMHLTTESQVKLGKMLAEAYIENFLTPTC >KQK91754 pep chromosome:Setaria_italica_v2.0:IX:51609061:51609771:1 gene:SETIT_038797mg transcript:KQK91754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKEMCQEPCDELSEISSQAASNTEASNSSGRVSLDLSLTVAAAAAAESSTTDISNCNSNRGSGGAQAEAAAVAAREPSRVFTCNYCQRKFFSSQALGGHQNAHRRERTLARRALRLDAAAPYGYYADVASLPLYGSGLYPIGIQAHASPAARPEQQPRHGDDAAAARAAELKPARGLLGPMPFFVGDDEVSFGWPGSFRPTAAAAVPAGGAALNSAGGAGDVLAGEEPDLTLRL >KQK90559 pep chromosome:Setaria_italica_v2.0:IX:43647614:43649186:-1 gene:SETIT_038612mg transcript:KQK90559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSSRRPCNACRTKAMAGSVVGEPVVPGQRVTVLTIDGGGIRGLIPGTILAFLEARLQELDGPEARLADYFDCIAGTSTGGLITAMITTPGEDKRPLFAARDINRFYFDNCPRIFPQSRSSLAAAMSALRKPRYNGKYLRSTIRSMLGETRVSDALTNVVIPTFDIKLIQPIIFSTYDVKNMPLKNALLSDVCISTSAAPTYLPAHYFQIQDAGGKTREYNLIDGGVAANNPTMVAMTQITKMMLAKDKEELYPVKPEDCRKFLVLSIGTGSTSDEGLFTARQCSRWGVVRWLRNNGMAPIIDIFMAASSDLVDIHAAVLFQSLHSDGHSLRGAAATVDAATPENMRTLVGIGERMLAQRVSRVNVETGRYEPVPGEGSNADALVALARQLSDERRARIARRAAAACAGGSRCCSPVKT >KQK87750 pep chromosome:Setaria_italica_v2.0:IX:9097591:9098051:-1 gene:SETIT_038409mg transcript:KQK87750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYQVKCGTCGKSTWAGCGRHVASVHRQIPEGQHCACRDWPGVGDKAAAAGDAAAAEGSSSTCTIL >KQK86787 pep chromosome:Setaria_italica_v2.0:IX:3739977:3744849:-1 gene:SETIT_036075mg transcript:KQK86787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVGDGGAAGAGDGAPGRSQQQGAKRGRGGGVRGSGSEADAAAAAWGQPPALLPPPQGPGAGSRIYRVRASGGKDRHSKVYTAKGIRDRRVRLSVPTAIQFYDLQDRLGFDQPSKAIEWLINAASDAIDKLPALDPAAFAALPGPADADAAGNKVKQQQQQHSGGSSTSETSKGSELSLSRSDGRGGAARDREVTVASTSAQAASFTELLTGVASAGSISAAEHKHSWQQQQPNVSAAAAADCVGIAHPGKGAHGLSTHAFSAPIKFGNAPPFGMVPAQPFNFTSSVEMPHFSLGQDALAASSASAGDYSLNFSMSSGFLGANRGTLQSNSQSNFSGYHHQQLQRLDGPFLFGHAAAAAHPASENQLTASAALQLWDGFRHSGMKEKSKN >KQK92855 pep chromosome:Setaria_italica_v2.0:IX:57523381:57524288:1 gene:SETIT_038270mg transcript:KQK92855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIICWQVTAAKYKHECNIFFFLLPAYDGICTGNELVLRLCTYTGDKGKLWLYNNKNSMLQIKITANKYASGQTSVYVCHEAVTSD >KQK87606 pep chromosome:Setaria_italica_v2.0:IX:8192260:8193133:-1 gene:SETIT_037872mg transcript:KQK87606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARVMPFWLLAAVLVAAASAQSSGSGGSDCTSALVSLSPCMDYISGNGSSSPSASCCSQLKSVVQSKPQCLCAAIGGGASSSLGGVTIDRERALGLPAACNVQTPPTSQCNAGSSGGEGSKATPSLPSGAAALRGPAGLVLGLAVAAVYAVSAAA >KQK86520 pep chromosome:Setaria_italica_v2.0:IX:2406907:2409451:1 gene:SETIT_036507mg transcript:KQK86520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMIFGSRHGASSLSKELRKRLESDSMAASASTPAASASSPGRDGGDPPAAAEVAAKATANTTACPCPICLEAFKDEAYLDTCFRKSSPLTKFSLIPATSADSFCYKCICQWVRIVASKHEEPMSSVRCPLCKTENLSIIHAFDGESFERWYIDQEPRKRRLSDAHELVSQFYNMTDITSNISGVQQYWEQHKYLRKKIWLETWLRREIQALTRDESVDAIVYHIHGVIGSFMKRLEKEHKSRRISPETRREEFRTLLSDAARPFLLGRTERFVTEVELFLVSNLNMEAYNKLRVQRFRESSSHLMREQDALPHDRSLEEHYLYFICNDTDCDEM >KQK91952 pep chromosome:Setaria_italica_v2.0:IX:52895647:52895915:-1 gene:SETIT_038394mg transcript:KQK91952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNYLLSGRYMGRACIWRAQRRRDGLVCIWSSCQAQPTRNPSPNKIGTNSPMLSKIVRLLPAHPLVKPN >KQK89949 pep chromosome:Setaria_italica_v2.0:IX:37531647:37533353:1 gene:SETIT_036360mg transcript:KQK89949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAEAGGSIPVPNVQALAQNYNISEEQIPERYIRLEETAEKVIGGHDIFSEIPIIDLNKLLDPQSSKEECAKLGSACKQWGFFQLINHGIPDEVISNFRNDMNEFFKQPLEAKKVYSMEPGNLEGYGQHFVVSDNQKLDWADMFYLMLRPSDSRNLRFWPRNPPSFRDSIDTYSSEAAKLVSCLLRFVAMDMGVEPESLLERFRGQPQSMRMTYYPPCKQAEKVVGLSPHTDGTGLTLLLQANDVQGLQIRKDGKWVAINALDGAFIVNVGDILEILSNGRYKSIEHRAVVHPTRERMSAAVFHQPCKYATVGPLPELVKKDGEAFYNSIGYMDFITRFFAAKLDGRDHLESLKS >KQK89990 pep chromosome:Setaria_italica_v2.0:IX:38372581:38373808:1 gene:SETIT_036794mg transcript:KQK89990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVAYAQQARRKKMGRERDRRREAREPRPRPKKKPKPMAMAKEAAGALFMPSPAPGPPVSSAFLTMAELPEGHSSRRVVELIFSSGWGGASGAPEPSVEALFRVHSASRAVARFEEARAAARAHGAAARCGADGNEMMRFQCRSSPADAPGGVFGAGVATCRLGASASAVRTFACSGAAHASVAGACGGGTATGRRAMLVCRVIAGRVRPANDPSPRHAHASGAAAYDSIDMGNGELVVLDSRAVLPCFLIIYKV >KQK86934 pep chromosome:Setaria_italica_v2.0:IX:4574344:4575336:1 gene:SETIT_036793mg transcript:KQK86934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPRLAAPAASRGSPSPTLTTTTPTGLGIRRRRRSGLHAAQPDTASRHHGDRGRPALHLANPVASASVTSTSSPDPIHGRFESDELRRLCRGPNPEAAIRLLDQMLQRGGGAELQPEEQAALLQSCADSRSLALLRPSSAIPAPILHGIATLYLKLGARGDARRVLEGQSRPPPPRRGRESEDAAVQAKRREAYEKVRELHEQIRAAGYVPDTRHVLHDVDEGAKARALMYHSERLAIAFGLVSTPPGTPLRVMKNLRICGDCHNAVKLIAKVTGREIVVRDNKRFHHFKDGDCSCGDYW >KQK88494 pep chromosome:Setaria_italica_v2.0:IX:14623370:14626112:-1 gene:SETIT_034189mg transcript:KQK88494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLACKLAHHLLCFSNKKKQNAKTDSLHVCSTPSPFFSLPSSLPSAATPTATPKRACYRRRRLPIPPPPQAALGAYPAIAAAAWSTAAAGSGIPPPPPTPTRRRRPPLYRFPTPRHRSRLAGRPDRYRAGDIGAEDALHLFDELLPQARPASIRAINCLLAVVSRDGPALAVSLFNRVARAGTDKVAPTVHTYGLLIGCCRRLGRLDLGFAALGRVLKTGWRAETITFTNLLKALCAQKKIGDAMDIMLRQMPELDCTPNVFSYSVLLKGLCEQKKSQEALELLYMMDDEVHSSPPTVVSFNTVIDGFCKQGDVDEALALFHEMLERGISPNLVTYSSIIDGLCKAQAMDRAEKFLRRMIEDGVTPDCTTYTSLVHGYCSLGQGDKADRIFQEMSRACVEPDTVIYTALMEYHCKSGRCSEARKIFDSMIQRGPKPDATAYSVLLHGYATEGSLFDVHNLYDLMVENHVEPDNHVFNILICAYVKHDMVDAAMVIFNKMQHQGLRPDIVTYGTLMISRGLHPNIIIFNTLIHGFCTCGNWEKAKALFFEMVNRGICPDIVFFNTIMGDLCKSRMVAEAQSLFDLMEHAGEEPNVITYTTLINGYCLAGKMDEAMKLLDVMVSVGLKPGAVTYDTLINGFCMIGRVNEAFALVREMLNKGVTPGTVTHSIVLQALFQSGQAASAKILYLSMTMDEVPLDVITYCIILRGLCKNNYVDEALQMFQNLCSKGFQLEIGTLNIMIGTLLKGGRKGEAMNLFSYILANRLAPDVVTYTLVMVHFIEEGLLEESDSLFLSMQENGCAPDSRMLNSVVRRLLQRGEVSRAGFYLSKIDENHFSLEASTSSLLTSVFTGGKYRHHRKFLPEKYRSSMDSTNG >KQK92058 pep chromosome:Setaria_italica_v2.0:IX:53450901:53453190:-1 gene:SETIT_0390051mg transcript:KQK92058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGARLLLVLALLAALLAVVLQLYRLRKPRLWTVEELSVYNGTDEGLPILLGILGSVFDVTKGRSHYGPGGGYHHFAGRDASRAFVSGNFT >KQK87887 pep chromosome:Setaria_italica_v2.0:IX:9970830:9972758:1 gene:SETIT_038924mg transcript:KQK87887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAVEIEEQIYSPFGNIEVPDSRGCCSGFTGSVTKVFFILHLLAFIALTIFLGVQASSHQNPTYKPFSNFIPLVSSVIVSTISACFWVILAVTNPPKAIKTSLWAAPVFALACGVVILLVANSAALGIGVLVVVFVVGAGLYSCWASGPRLRHASELLSASVTGAHLPPSTSCFVIFVLLAMFGYMAFWTVAISCIAAAEGHLMNYRMAYVAALLVSMAWTMQVLRYVVYVAVAKLAHVRLVYGIRMPGGAVEVFCGTIFGPAFGDICMGAMAVPVNSAIRGLARAMKTAAGGNDEFILSGQGCCFSVSEKMLGRANRWGFVHVGARGKAFCVASRDVWSLFVLRGIENLVDSDLTGSFCFLSSVTAGALASLVAGSWALATVDKDQKKLALPVSIYAFLIGYYMCRMMIAWPQACVAAYHVAYAENPHNPQLGTLIPEHLSELQAIAADRDRPRVVESKDDLDDP >KQK86707 pep chromosome:Setaria_italica_v2.0:IX:3368512:3368847:-1 gene:SETIT_040235mg transcript:KQK86707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVAYGSEVPSNPAGDFCWPVVATNDDEENIGKVAYNSILNLESPDPTTLLRIMMGNKYSTNKVSEQFQNVARLQAPDFATLLTVMNNAGYGETADDGHYDVDKVMTNLEGW >KQK88300 pep chromosome:Setaria_italica_v2.0:IX:13006251:13007729:-1 gene:SETIT_039954mg transcript:KQK88300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YPPKYLAYAKMRLFQVPSGGLLIVLVVCLFVTQVTLQLQPLFKRSVTFDERDDGDLEEQLAKFGYQHEFADIAWYPGHRRAVYRIDDRLPLSAPGDGVLDFIGFRATPTLGIQANRLAEDLLERAGNGTGKCVASRLTHAALSVAGYGLMRRSGGVFTGYPVVGPQHRMQASGGCVAGPEDALLTACPWDPRVRASSFFHQTTFSLPLARAAAFVADVRRLRELNPRALCGVELYDGILMRYVKASTAHLGKPPSAGSGGGDMVDFDMTYYRSRDPRRARLFEDVMEEIEQMGIFKYGGLPHWGKNRNLAFAGAAAKYPGMAEFLRVKDAYDPDGLFSSDWSDVVLGVGGGSPTRDAPGCALEGMCVCSRDEHCAPEQGYVCRPGKVYKEARVCTKVSS >KQK89737 pep chromosome:Setaria_italica_v2.0:IX:35474181:35475205:-1 gene:SETIT_038688mg transcript:KQK89737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKNLPLQRFVYLVVDGEKPCTLDLHRIDMSRFFNPRSLQTSAIVEGRLPRPSMTFYAPSSEHCSGSMHFVLLDHDKVLASDQTGRTTIYHVGLRAVSAAPALSGEARDSPVSIAVGDSIYILDAARKEHHLEALVYERGRGRGRWRGRLYDDDWCCQSVPAPPYRPANIGACAVVGGSHLWVSAGDEGTYSLDTAQGGQGVAEYVPEHGLWFGLSAKNGGGNSNLFCAFNLAGAARRQSRPAPRNAWEDLWPPRGWLPVASHLVHLGAARFCMARLFCEKHTERCGCGGMDGYLPWKSFAVFTGVEVEPCGKAGKGLRMVRHRSECYRLGDDNL >KQK89346 pep chromosome:Setaria_italica_v2.0:IX:24672516:24673145:1 gene:SETIT_038222mg transcript:KQK89346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQSTGTDLYLLPALPRSKWPHGCVKGLKARGGVTVNISWKEGGLREALLWSSSEQISFARLHYADRTAPFSLSSGQVYRFGRDLKCLKTWPL >KQK92177 pep chromosome:Setaria_italica_v2.0:IX:54167532:54170016:1 gene:SETIT_037946mg transcript:KQK92177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAWVRNKPGMASVKEMPLVQDGPPPGGFAPVRYARRIPTSGPSATAIFLTAFGAFAYGMYQVGQGNKVRRALKEEKIAARSAILPMLQAEEDERFVKEWKKYLEEEARIMKDVPGWKVGESVYNSGKWMPPATGELRPEVW >KQK86637 pep chromosome:Setaria_italica_v2.0:IX:3039917:3040426:1 gene:SETIT_040011mg transcript:KQK86637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHVMVDRRRSLQLLAKCREFQAGSFRTVTNSEQETEEKNWPCSHTCVLCDQQDETALHLVVNCCYAKEVWYRMSTWVGVAAISNNTNVSDIKWWETNMQAFNTKQKRSHAAILMYTAWHLWKERNRRIFQNQAMRPDQLLGLIQSDVLLRRMATGFPLLKEELLFSQ >KQK89918 pep chromosome:Setaria_italica_v2.0:IX:37145861:37148275:1 gene:SETIT_035324mg transcript:KQK89918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPASRGRWRRRSARSHLPVVVAVLVLLLPTLLLFSSGSSTMLRALLPFHSAASGGVPQCGGSVELEGERFLWYAPHSGFSNQVGELRNAAVAAALLNRTLVVPPVLDHHAVVLGSCPKFRVTDPASLRAAVWDHTMQLLREGRYVSMGDIIDLSPIKSMVGTIDFRVFVSLWCGVDMRKTCFSGLCCSVSGGSSMPADYNRCRALLSGLGGSEKGCVYPVQDDCRTTVWTYQQNNDGALDQFQPDEELKRRKKISYVRRRRDIYKALGPGSQAEDATLLAFGTLFSGPYKGSESYFDIHESPKDQRIQTVLEKIEFLPFAPEIMAAGREFAKNKIKEPFLCAQLRLLDGQFKNHWKATFSALKEKLKAVELEMKRNKNSGPVHMFIMTDLPPANWTKTYLANVAKDERYRLYTLKESDELVPQTAERLMAAEHGVRSGFLPKAIENTDKDCGPVQLPEILLYVEESVCSCASLGFVGTVGSTIAGSIETMRKNNVCKW >KQK89562 pep chromosome:Setaria_italica_v2.0:IX:32941923:32950776:-1 gene:SETIT_034925mg transcript:KQK89562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLIPLVRDYIDRMLHDIPGMKVLVLDPQTVGMVSVVYSQSDLLRKEVFLVETMDNASSSRESMAHLKAVYFLRPSADNVQKLRRHLAMPRFAEYHLFFSNILKIPQIQILADSDEQEVVQQVQEFYADFCAIDPYHFTLNIQNNHMYMLPTVVDPPGMQSFCDRAVDGIASVFLALKRRPVIRYQRTSDVAKRIAQETARLMYEQESGLFDFRRTENSSLLLVIDRRDDPVTPLLNQWTYQAMVHELIGIENNKVDLRGFANVPKDQQEVVLSSVQDDFFRANMFENFGDLGMNIKRMVDDFQHLSKSSLNLQSIGDMAKFVSNYPEYRKTHGNVTKHVALVSEMSRIVEERKLMLVSQTEQELACTSGQAAAFEAVTSLLNSESVSDIDRLRLVMLYALRYEKESSVQLMQLFNKLASCSAKYKSGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMEGIVKGRLRDVDYPLVGNQFQQGRPQDVVIFIVGGTTYEEARSVALYNAANPGVRFFLGGSVVLNSKRFLEDLGEAQRISKSSTII >KQK89211 pep chromosome:Setaria_italica_v2.0:IX:22053394:22054476:-1 gene:SETIT_039405mg transcript:KQK89211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSAPSPAAGDEPPSRSAASASAIVAGAVTGYHVLRIVGYSCTKEVPTGQRIDSRHFRVGGRTWFVVYHPNGSAADNADFISLFLALHGTAAEAVKAQVTISLLDQDGNPVPSYSFTTEYVNFSEKGSWGYPKFIERQALEKSEHLRDDCFTVRFDVTVMKNVHTVEEPFVVVPPSDMHRHFGDLLSCKEGADVKFRVGRKTFSAHRLVLSARSPVFKAELYGSMKESTTSSVIRIDDMKAEVFDALLTFIYTDTLPAMKEQDESAMAQHLLVAADSILALAEQHNCHGLKDACFAFLSSSSALDAVMETDGFEYLTVSCPRVLKELMSKLVPR >KQK89246 pep chromosome:Setaria_italica_v2.0:IX:22559362:22560148:1 gene:SETIT_039338mg transcript:KQK89246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPSQQGAVPENTISTEELRIRNDLEADIEKDLEREIIDNMCRLARHLQRLYQHRHGRQLMGSATDCRFSTPHAENTVLWEMNIRIKLDAQCRIDITKIENNSATVQPNSCPSTDQSNNRSLKIRHCDAIHCRKQHNHPVLPWR >KQK87020 pep chromosome:Setaria_italica_v2.0:IX:5107102:5107303:1 gene:SETIT_040102mg transcript:KQK87020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVPWLVHSPADEVDAYTVVRGSTIYVSSTTPGIGTYAFDTETWKWRHAGNWSLPFTGKAEYVPEFNL >KQK91315 pep chromosome:Setaria_italica_v2.0:IX:49206480:49207387:1 gene:SETIT_038970mg transcript:KQK91315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTCSVRNSHSPSLYTGAFTSSYTQLPRYRSHVSGTLTTVRSAAVAAAAFADDAFSAASSSARRRASSAPPPPVTVGSVTSRSFSCTTSTALALAFAFAAAAAAAPVVPARPRGGGRLEDAHDDLLPALCERVDGGVRGGLGLLQEVQVGGLGADGAGAELEVGDGLDVLVVQERGEDEEREHHRRQRQRRAVLARRHAGAQRRLLHRERRRREHRLAPLLHLRSPPVASAPALYTNSPTPPPPARPFRGGVHSHDREREKEAPSASISSIPPPSLYRIEIGRRNGARVA >KQK89214 pep chromosome:Setaria_italica_v2.0:IX:22093171:22094425:-1 gene:SETIT_036264mg transcript:KQK89214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEPAFTTSAIVASTASASHVLKIDGYSRTKGLAVGVHLRSCSFHVGGHSWHLAYLPNGDCVERSDYISIYLVLDGTAPGTAGGGPVLAQFSISLLDRAGKPAPTYTRTGTTNQFSAPGAHWGFPGFIRREVLEKSRHLNLKDDSFCVRCDVTVVTEFRAEDAATIAAEAAAVPVFVGVPPSDLSRHLGHLLLNEQGADVRFRVDGEDFPAHRCVLAVRSPVFQAELFGTMKEASSEAPCVEIHDMRADVFKNLLHFMYTDSLPEPEEPHDEEALMAQHLLVAADRYDMERLKLICEDTLCRHIEVSNAATTLALAEQHRCQGLKDACFQFLKTPGALNAVMATEDFDHLAVSCPSLIKELMSKLAAR >KQK92705 pep chromosome:Setaria_italica_v2.0:IX:56861211:56865693:-1 gene:SETIT_035984mg transcript:KQK92705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMLAARPYSAAIPLPQSPSPPSSFGLRPSRAAGSRSLAPRAAASATAVSTKPAAAAAPLTADRTVVRIGLPSKGRMAEQTLSLLKSCQLSVRQLNPRQYTADIPLIPNLEVWFQRPKDIVRKLQSGDLDLGIVGFDTVSEYGQVNDDLVVVHDALDFGQCRLSLAVPKGGVFENINSLEDFAKMPEWTEERPLRVVTGFGYLGAKFLKENGFNHVLLLSADGALESFPPMGMADAIIDLVSSGTTLRENNLKEIEGGVVLESQATLVASRRSLHKREGVLEITHELLERLEAHLRASAELMVTANMRGNSAEDVAERVLSLTSICGLQGPTISPVYCRRDGKVAVDYYAMNVVVPQKLLYKSIQQLRSIGGSGVLVTKLTYIFDEETPRWRNLLTELGL >KQK88436 pep chromosome:Setaria_italica_v2.0:IX:14204529:14206031:-1 gene:SETIT_039048mg transcript:KQK88436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGAHLPPLRAVRALSAQLCPSRHLCSGGSRNGGESPPVQSNGDGVREGEEVVSGLDAGRFPREMLLRLPPPGGRPESDDGENCSPGHGQRRQFFEDVRLEADRIFRILLQDGPGFSARQALDEMRPKVSNALVRKVLFRIVVSVDSVNRERYPKLAYKFFVWAGQQEGYRHDTSMYNLVMKVFSECGEVKAMWRLFEEMAEKGLPVSARTFHLLICTSGKVGLRRRLVERFIKLSTFSYRPFRNAFNAILHTLLTIEQYSLIEWVHEKMILEGHSPDVLTYNIVMRAKYMLGKLDQFHRLLDEMGKNGLTPDLHTYNLLLHVLGKGDKPLAALNLLNYMSDVGCVPNVLHFTNLIDGLGRAGNLEACKYFFDEMVKKGCEPDVVCYTVMITSYVAAGKFEEAQRFFDDMLVRGHLPNVYTYNSMIRGLCTVGEFDKAFATLKDMDSHGCTPNFSVYSSLVSRLRNAGKDSHANNVIKYMTDKGHYLHLLSRFGGYKRC >KQK89464 pep chromosome:Setaria_italica_v2.0:IX:30421864:30422882:-1 gene:SETIT_039396mg transcript:KQK89464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIKASTLESEGKDSLYEHGSFILEIPQEPCSFNASLESATLCAPSTYKDYNHLKVTPKNMGATLTTTPW >KQK88049 pep chromosome:Setaria_italica_v2.0:IX:11112618:11114659:-1 gene:SETIT_039103mg transcript:KQK88049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIKKESLSVAQQQDLSMVMGVFNIKQHHARALLIHYRWNTDRLNDHLDRKGQDRMLMEAGVVLQENNRVVSSAGSKTPSRKKVTCMVCFEDFSPRATSAMDCGHFFCNDCWTGYFVAALDSGKKQIRCMEVKCPAICDEDVVLRLLSQRDHAAAERLRDFLLQSYVDDNSAVKWCPSVPHCGRAIRLAAADAEPLCEVECPCGISFCFRCAATTHSPCPCVMWERWEAKRRSEAENVKWMLVNTKNCPKCFKPIIKEEGCNLITCKCGQYFCWLCGGATGAAHTWTSIAGHSCNRFEEKEKKVVDNAKRQLRRYEHYYNRFQNHGVSCKAEREKLGPAVAESAKKLEAHDSILIKDASWLANAHRSLLLCRQVLTQSYVFAFYMFDGEETRTRPLEPGSLTMAQRQNLFEDYQQQVEGNVERLSELLGRDMIELPEEEIMQARQDAVNLVKVVETHCRKMYSCIQDELLPMLVEPMSIASYQPGGPSKAKELPA >KQK88546 pep chromosome:Setaria_italica_v2.0:IX:14971865:14973146:-1 gene:SETIT_037080mg transcript:KQK88546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGARPGRRFTVGRSEDATHPDTIRAAISEFLATAIFVFAAEGSVLSLGKMYHDMSTAGGLVAVALAHALALSVAVAVAVNISGGHVNPAITFGALIGGRISLVRAVFYWVAQLLGAIAASLLLRLATGGMRPPGFALASGVGDWHAVLLEAAMTFGLMYAYYATVIDPKRGSVGTIGPLAVGFLLGANVLAGGPFDGAGMNPARVFGPALVGWRWRHHWVYWLGPFLGAGVAGLVYEYLVIPSADAAAPHAHQPLAPEDY >KQK90204 pep chromosome:Setaria_italica_v2.0:IX:40616274:40617356:-1 gene:SETIT_037328mg transcript:KQK90204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRNGELKLVGQWASPFVTRVKLALNLKGLSYEYVEEDLRNKSELLLSINPVHKSVPVLIHNGKAICESQAILHYIDEAFAGAGPSLLPADPYERAVARFWVAYIDDKLAPPWDRVFRAKTDEERDEAMMQIFSAAGALEGGLRECSKGKDFFGGDSVGYVDIVVGSLVPWVKATSVLAGAELVDAAKMPLLAAWMDRFGELETAKAVLQDVDSLVEHGRMLMAKNAARA >KQK90680 pep chromosome:Setaria_italica_v2.0:IX:44571767:44573770:-1 gene:SETIT_037419mg transcript:KQK90680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPLPLLRCLTASSSSALPLSVLVRKHKLGLLFSTAMDDGVSSQDKKTSPPPAGGVAERIMPHLLNIYGSRATARDFEIYAPNATFEDPLMRAHGVQQIKSSFYTLPKVFAESKIVEYTVHENPTGPGKAEILIDNKQHYKVLGKAVELTTLIRLQLQDGKVVTHEDWWDKKPLKNRDTVGFPLLGRVAEATRRGAMLLTHALMGFGKDPPAHPPPASSSS >KQK92551 pep chromosome:Setaria_italica_v2.0:IX:56071814:56072173:1 gene:SETIT_039759mg transcript:KQK92551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDKKEGKYRGVRKRPWGKFAAEIRDPERGGSRVWLGTFDTAEEAARAYDRAAFAMKGATAVLNFPAGAASMSSGSSSSSTSAPASGGARGRTRIPDSEKVELEYLDDRILEELLASED >KQK87213 pep chromosome:Setaria_italica_v2.0:IX:6118814:6119224:1 gene:SETIT_040773mg transcript:KQK87213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTRWTLHTYQQTLVTCNLKCIILDVSFSFSFEMKNR >KQK88173 pep chromosome:Setaria_italica_v2.0:IX:12140249:12142688:-1 gene:SETIT_035139mg transcript:KQK88173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATTLPLLGLLLVLPLFLIKLVARSYAPAWSRPGKPPPPPRLRLPPGPWQLPLIGSLHHLLLSRHGDLAHRALRDLSRRHGDLMLLRLGAVPTLVVSSAEAAREVMRTHDAAFASRHVTPTLAVFSVGGRDILFSPYGDLWRQLRRICVLELFSPRRVRSFRRIRQEEAASLLRSVADSCASAAQGDAGGTVVDIGERICRAMNDTVVRSAVGGRCARRDEFLRELQTAVALTGGFNLADLYPSSRLVRRLSRALRETERCNRTVRDIMDEIIRDQSAGGGREEEEDDDNLLAVLLRLQRDGDAQCPLTTEIITTVIMEIFAAGSETSSTTLEWALSELTRNPRVMHRAQSEVREAFKGQYKLTEADMEKLNYLAMVIKETLRLHVPVPFLLPRECREEPCRVMDYDIPKGTKVLVNAWAIARDGRYWQDPEEFMPERFEGSDVDFRGADFEFTPFGAGRRMCPGMALGLVNMELALAGLLYHFDWELPGGGGGEELDGDMCEAFGITVKRKDKLVLRATPRIPCAY >KQK91522 pep chromosome:Setaria_italica_v2.0:IX:50384710:50388991:1 gene:SETIT_035897mg transcript:KQK91522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAEEARAPLLLDEEAGGEWSSRPRRIALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVLVVTTHKGAPEEFHGAKVIGSWSFPCPLYQNVPLSLALSPRIFSEVNKFKPDIIHATSPGIMVIGALAIAKMISVPMVMSYHTHLPAYIPRYNLNWLLEPTWSFIRCLHRAADLTLVPSVAIAEDFETAKVVPANRIRLWNKGVDSESFHTKYRRHEMRVRLSGGEPEKPLIIHVGRFGREKNLDFLKRVMERLPGSRIAFVGDGPYRAELEKLFTGMPAVFTGMLQGEELSQAYASADVFAMPSESETLGQVVLESMASGVPVVAARAGGIPDIIPKDKEGKTSFLFTPGDLDECVRKIEQLLKSKDLRESVGKAAREEMEKCDWRAASRKIRNEHYSTAMSYWRKKMGKT >KQK88970 pep chromosome:Setaria_italica_v2.0:IX:19081558:19084056:-1 gene:SETIT_040241mg transcript:KQK88970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGGRRELLVSAAFTALLVASILLLPSLLLTGAGAGPKSWPFLSSLAASDGAAGEAPRYPVSFAYLISASSGDAGRAARLLAALYHPANSYLLHLDREAPAEEHRRLAELVSGRGVYATAGNVWIVGRPNLVTYRGPTMLTTTLHAVALLLRLRRRWDWFVNLSASDYPLVTQDDMMEAFAGLPRDLNFIQHTSHLGWKIKKRARPVILDTALYEDGRAELLRPENISTNLRRFPTAFKLYTGSAWTMLSRPFAEYVTMGWDNLPRTLLLYHANIISSPEFYFQTVACNSRRFRNATVNHDLHFIRWDNPPKQHPLYLTARDYRRMLLSGVPFARKFREGDPVLDRIDRDILRRRDPGQFAYGGWCSEGGAALCGNPQEPGREGAVKAGAGSRRLKALLRKALSPKNFRKQQCR >KQK92790 pep chromosome:Setaria_italica_v2.0:IX:57241661:57243032:1 gene:SETIT_038027mg transcript:KQK92790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAISPHLTATLPFLPTLRPRPRRLPQDAFAASVSAHRTAGVALHGCRHCNPLARRLHGFENTISFWTRTEHNKQALFASGRDDNSSSPDGPPVLTILAGVIVFLLVLWVIGSIVTWIVGLVFGAAKS >KQK86479 pep chromosome:Setaria_italica_v2.0:IX:2131054:2132207:1 gene:SETIT_037209mg transcript:KQK86479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNPSLQQLAAAAAEVAMTASEPPPPPRARVVRILVHDADATDSSSSEDEAPPPPRRARVGSSSVGARRRVMEAAGANPPVRFRGVRRRAWGRWAAEIRDPHGSRRIWLGTFNSAEEAAAAYDVANIRFRGASAHTNFPPARYLLPLEPAKPIISLTPGPGKVITLPPVPVKSTVPLQVKVKEEGGSCDGQGEEGSSEVKAFAPRPMWEMIPGKRKKRPGCGSGTRLRAIHAASVCVEEVGGA >KQK88205 pep chromosome:Setaria_italica_v2.0:IX:12317422:12320907:-1 gene:SETIT_036889mg transcript:KQK88205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHELRGDDFVKKADQKLSGWGFFRNKYEDAADLLDKAGNFFKLAKNWSRAAAVYKKIADCHLQGDGKHEAASAYVEAANCYKKFSPQDAAQALDSAVNLFLEIGRLNMAARYSKDIGEIYQQEQDLENAAVYLNRAADLFDSEGQSSQANSMTQKIAEIYAQLEKYQKATELFEEIARKLISNNLLKYSVRGILLNAGICQLCRGDPVAINNSLERYQDIDPTFSGTREYKLLADLAASMDEGDVAKFTDAVKEFDSFTRLDPWKTTLLLKAKNELKKKEDDDEDLT >KQK89083 pep chromosome:Setaria_italica_v2.0:IX:20434069:20437881:1 gene:SETIT_034351mg transcript:KQK89083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLPLLLLFLASLSLANANANADGGNTTTYIVFMDPARMPAVHASPAHWHAAHLESLSIDPARHLLYSYSAAAHGFAAALLPDHLPLLRGSPEVLQVVPDEVFQLHTTRSPEFLGLLTPAYQPAIGNLEAASHDVVIGVLDTGVWPESPSFAGANLPPPPARWKGVCEAGVDFPPSTCGRKLVGARSFSRGLHAANGGAIGVGRTTFRSARDRDGHGTHTASTAAGAVVANASLLGYATGTARGMAPGARVAAYKVCWPEGCLGSDILAGIDAAVADGVGVLSLSLGGGAAPYFRDTVAVGAFGAAAAGVFVSCSAGNSGPSGATVSNSAPWVATVGAGTLDRDFPAYVTLPTGARLAGVSLYAGTSPSPRPAMLPLVYGGGRDNASKLCLSGTLDPAAVRGKIVLCDRGVNARVEKGAVVKAAGGAGMVLANTAASGEELVADSHLLPAVAVGRTVGDKIREYAARGGGRPMAMLSFGGTVLGVRPSPVVAAFSSRGPNTVVPEILKPDMIGPGVNILAGWSGLAGPTGLAKDGRRTNFNIISGTSMSCPHISGVAALLKAAHPNWSPAAIKSALMTTAYTVDNTNSSLRDAADGSLANVFAYGAGHVDPQKALSPGLVYDISTNDYVAFLCSLDYSAPHIQVITKMSNFSCPKKFRPGDLNYPSFSVVFKQKSKRVMRFRREVTNVGPATSVYNVKVTSPASVSVTVTPTKLTFKKVGQKQRYYVTFASKAGQGQAKPDFGWISWANDDHVVRSPVAYTWKM >KQK86816 pep chromosome:Setaria_italica_v2.0:IX:3927409:3929591:1 gene:SETIT_037187mg transcript:KQK86816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERSESATEKIMSSIIDTIADNLPKQKSGQFGPGSVSDKVKNKLFGRQKTLHGALGGGKPADCLLWRNKKISSSVLAVATAIWVFFEWLDYHFLTIVSFALVLGMVVQFVWSNFSNMLSGSPSQVPRVELPDELFVNIAVAIGAQINKFLSFLQDLSCERNLKHFVVAIAGLWAAAVIGSWCNFLTVIYIGFVCAHTLPVLYEKYEDQVDNFLYSLLGLLQDQYQKLDQGVLSKIPKGNLKAKKNE >KQK87809 pep chromosome:Setaria_italica_v2.0:IX:9476790:9478182:1 gene:SETIT_036658mg transcript:KQK87809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEARQLELPRIDFSGVDPSAPGAGEWAAVRAQVVDALATFGCFDAHYPALTPDLRAAFFDGAVKKLFALPDDAKRRNTCSPDRPLFGYLGSMAGFTNAYESLAISDRVEPERVRAFADLMWPGGDNAAFCEAVHGAARRIAELEESVQRMVMEGLGVPEYHDAMRESMRHVFRMARYNAPGGDAEGKEVRYGTHQDCSMLTVVCQHAVDGLEVQTGDGEWIHFRPSSPASLVVIAGNELRAWTNDRVRAPFHRVTVGGDVARYSAILFALPGLKIQAPDELVDEEHPPRFKPHCNDDFMHFCVAHGARHEDRLKDFCGV >KQK93016 pep chromosome:Setaria_italica_v2.0:IX:58330204:58337698:-1 gene:SETIT_034643mg transcript:KQK93016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPSPPASNAGLRILLSKDRPSPSPPSTAGSTVSSHTDRDRIIGVFRSALSRTEPPETFALQTVQEAIKPQKETVLVLEENQSLENALRTLLQELVSSAVQSGKKIMQYGNSLDSGESNCLITRLLDIVLYLCERGHVEGGMVFQLLEDLTEMSTIKDCKDIFGYIESQQDVLGKQELFGRGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSALNIKGVFNTSNVTKYEKDATDGISVDFNFYKTLWSLQEHFSNPALTNTNLAKWQKFSSNLAVVLSTFEALPLSDDDGKLNNLDQEEDAAFNIKYLTSSKLMGLELKDPSFRRHILVQCLIFFDYLKAPGKNEKEGPTGGMKDEIKSCEERVKKLLEVIPPKGKEFLKSIEHILEREKNWVWWKRDGCLAFEKAPFEKKPVQAGGRKRKPRWRLGNKELSQLWKWAEQNPNVLTNPDRVRMPSITEYWKPLAEDMDPSAGIEEEYHHKSNRVYCWKGLRFSARQDLDGFARFSDYGIEGVVPSELLPPEVNAKFSSKPAEKVKRVKREDSKGASAQPKEQQVAATPETDGGGSGGDPEEGAVPMDSDNGAAEDGQKQSPEEVSGPESGQCEPEADADDNMKTETTSRDARAGEK >KQK87465 pep chromosome:Setaria_italica_v2.0:IX:7441605:7443503:-1 gene:SETIT_035937mg transcript:KQK87465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAGAATGAVLGARTARSCDGCMRRRARWHCPADDAFLCQACDTSVHSANPLARRHHRVRLPSASCSSPPRDPDAPAWLHGLKRRPRTPRSKPGVSKHETTPASIAAAVSAAVPDLEAEESAGSGIVGDNDYHGLEEDDEDLLYRVPVFDPMLAELYNPVADEGEQKPAACLISSLAETSPEFASGLAEADGLSGYDVPDMELASFAADMESLLMGVDEGFDDLGFLDEEKPQVNVDLGSMNLETMAVPAPERDDKKRKRPEMILKLNYDGVIASWARDGGSPWFHGERPHLDPHESWSDFPAGSRGLLGGTVTAVTGGEREARVSRYREKRRTRLFAKKIRYEVRKLNAEKRPRMKGRFVKRSSLPPLPRPPPPPSQQQQPRAMMPHVGMVLAPPLGAHGRFRF >KQK90414 pep chromosome:Setaria_italica_v2.0:IX:42487534:42488924:1 gene:SETIT_036341mg transcript:KQK90414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHLSDTPAEASASADDGSAGGSCWVKPGCMMELARLAKIPQPASGLMCPRCRSTETKFCYYNNYSLSQPRHFCKTCRRYWTHGGALRDLPFSSSVRRRRRNKPSNNKQTSSKVACCGAFSGSTGMSPSSSPSSGATIFSGRVATAATAILKPLEQLLIGGAEHHRAGASRLWFPGHSSQDPVPLGYRHQLGNSRGAATTIRLEHQRYLPQRQPFSLLGYKNGGTAPATSAICPFSEGARGAEAASFAGQMHAAISRARGSAAVTTTELASEMMAGNPPIPSTEMGTLASSPGEFLVGVQGDHDLFHFLGSGSWACGYGSTAGNNGSGGGSSCTAAPGSAWPDPSGFTSSSSGRSTIL >KQK87818 pep chromosome:Setaria_italica_v2.0:IX:9532729:9533944:-1 gene:SETIT_040176mg transcript:KQK87818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGSRANLLGQAGDEQSRSVVMAGAGALTVARDYRRGNWTVPETMLLIEAKRKVHGERHPGDQGLARWRWVEDYCWRAGCRRSHNQCNDRWDNLMRDYKKVRAYEAAGGGGGGGAGRAPSYWAMGRAERKEKGLPSNLLREIYDAMGEVIERRMSTGGSGGGGSSGAGGGFLGASSSLLDVPMQASPLAQVLPRPLPLEQETRHHGHGAAHFNPESPERKRRRPSLDELRPGTGSSTPPAPGTHGHYRQEQGHRHREDDDDHGGGDESSDSECSDDDDGDEVLSGAIGRCAAILSEALESREAAEERRHREVMAVEERRGRARQARREAGEQCVAGLAAAVNQLAGSMLALAAAKHKGKGGGHAAPK >KQK87904 pep chromosome:Setaria_italica_v2.0:IX:10079030:10079438:1 gene:SETIT_038397mg transcript:KQK87904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSTSIIHAWKKAERNNYVQYSSPEIKSKKQKSKKNLAYTNSIQSISTTSTLRFLSSFLHDRFSGVE >KQK87559 pep chromosome:Setaria_italica_v2.0:IX:7916403:7917236:1 gene:SETIT_039267mg transcript:KQK87559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLLSRARWLLVEHPAVASFRWQPGRTLGATPSFAAAVICGYLAAVLVLHRLVLPRLPPLPPRALRLASAAHNTVLLALSAAMAAGCALSTAATAPAPRWAWPFCFPPGGATEASGPVFFWAHVFYLSKVYELGDTLLILLGRRPLTLLHVYHHAVVIAMCYLWLATRQSLMPIALVTNAGVHVVMYSYYLSCSVGLRWPRRLKRAVTELQIVQFLFSFAASVVMLWLHFAAGGCEGMAGWVFNAVFNASLLALFLDFHGAAYKAAKGGSKGKAE >KQK90287 pep chromosome:Setaria_italica_v2.0:IX:41269298:41269998:1 gene:SETIT_040807mg transcript:KQK90287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLMLEWFPFTLELNSYNTLLKFLQSCCRFSKWSVHYCIGPSLLLHWSLGLKTCNLGYKHIESDSSTPSV >KQK86053 pep chromosome:Setaria_italica_v2.0:IX:71139:72981:1 gene:SETIT_039260mg transcript:KQK86053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPGSRGKLIGCLLRCVFLPACCIHVSAAASQEPGSGDTKDLVASWEPDSRRPFTSYEPGPASSAGNQSYGGRTGRAHPNISFWIVILYIWAASWRRLSSGAVKWCKIERLGWVAASTDLPLPGLGGDGGLPWNEDGEEFDADEEEEDQPVPIRNLDALASVIDRPDDFPNRYHVCVVGILFRDTPMMLKRLKEAAAADIGISFVGNLDRLRQAGDLHDGLTRLSESLQSLRATLGTDGAIDWPVVRRALEDETPLLAGLCKAQPESLRDRVLPLLERVKAILHALPDGRATDLDNASGDADARPTTPPRSGDADARPNSAAALVTNAADLDNAIGDADACPNYSAALVTKVAQLLEDMEALVLGGVLLKYPGPGAATFLAMEKEGAQEEAAEQQQRHVVCIEGRQYLTTILEEPHRYFLQSDFSIVKFQMADAQTMFRDIEKAVKEDGWWVGNTDRLEQVSKLHRNLCGISQSLEYVTMMLKNEEMDWWELDNIRRTTRKLPPLCELQPDNLSACILSLVPRIETILKALPDKPDNVEDEPEYPADLFATLIPQ >KQK86810 pep chromosome:Setaria_italica_v2.0:IX:3886789:3890113:1 gene:SETIT_037204mg transcript:KQK86810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHECSSAAVGEAPTTGEHRMGTTIVGVCYDGGVILGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADTQVISDYVRYFLHQHTIQLGQPATVKVAANLIRLLAYQNKNMLQAGMIIGGWDKYEGGQIFSVPLGGTILRQPFAIGGSGSSYLYALLDHEWREGMSQEEAEKFVVKVVSLAMARDGASGGVVRTVTINADGVKRNFYPGDKLPLWHEEMEPHNSLLDILAAGNPDPMVQ >KQK88842 pep chromosome:Setaria_italica_v2.0:IX:17646370:17648595:1 gene:SETIT_034871mg transcript:KQK88842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVEVLQGDSAAAVADDLFGSGADLQAFFDHAALDVKASGGGEEGEEELEWLSNKEAFPAVETMASSAPRQRTKGVPPPRWEVGWSPRQAPAVARPPAAGWRCRHCGTDKTPQRREGPEGRSTLCNACGVRYRSGRLVPEYRPASSPTFSPELHSNRHSRVVEMRRRREAAAGASLAAAGKGEEKGNEKLECLSNKGEFLAVQMMAAARPRTEGTRRPRKAVDWPAIAWRPPPPPRAPAVAARRPSQGGGVGVAVDQGRAPGGGDDGVGGCAAAYQGRTAVPADGGLNPAAPLAPAAAPGQQFGTEKTLQRLGGAEGRSTLCNACVVQYRSGCMVPVLPPACSPAFSPELRFDWHNRVEMHRRRERSAKLPPATARAGEKGKEELEWPSNKGVFPAAQAMSPAAAGARPQTKGVRRQRQRQQLRRRRVVELSPPRTPPPLRRRSRCGGEAAAVEQGRVRDGGAAADEVLAAAPAGGGKDPAAPAAAPRTPAVSRRRCRHCGTEKTPQWREGPEGRQTLCNACGVQYKSGRLVPEYRPASSPTFSPGLHSNCHRQVVQLRRRREESAEVSPAAAAVGDK >KQK88786 pep chromosome:Setaria_italica_v2.0:IX:17189308:17193728:1 gene:SETIT_036589mg transcript:KQK88786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASQAVSAPPSAAAPAPNPGKRKTRAKGKGNKNKAKKKLARSGQDDSIHRRRNKPSAKFLKLLRKRARDYNSDDDEEDDDRQQEHQPNPRRRRRDEEEEEEEEEDEALSHSDQEEEDEEEEGVSTSATTRFEQGCRAFRVAFLKIMAKKLPDDPLGPILSAHKKLVATKLAEELEEHKPKGEARKEKRMAAEKGHVIPEDHLDSKEKELIKVATKGVVRLFNAVSKAQKPRKDLNPSRTKDAKVLAKERKNTFLAELEMPSHQDKKSQAPSNFSKRTGKDEDEPAWAPLRDTYMLGSKLKDWDKMKDSAAASGQAEVPLGDSSDEE >KQK90375 pep chromosome:Setaria_italica_v2.0:IX:42218230:42221420:-1 gene:SETIT_038204mg transcript:KQK90375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMVLVACPPLVTEFNGFPGNHITVSHACMIKRRAVRRAPAHVAVDPDTRPSATRVDQQRRHARAEPPALLAAASRPGVPTRAAAARGLDADPISFK >KQK89655 pep chromosome:Setaria_italica_v2.0:IX:34540899:34541054:-1 gene:SETIT_039903mg transcript:KQK89655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PENTLKPGDVIQCRECGYRILYKKRTRHSKATSFLLFSAPIYDCLCSHCID >KQK90096 pep chromosome:Setaria_italica_v2.0:IX:39563140:39563649:-1 gene:SETIT_039448mg transcript:KQK90096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAGCTSGGDGGAAAAWTPPFCTIVAADTSDFSYLSCPRCELALPDGAASCFACGSVQPAPARVYRLLLSLATHDRVVPVVLFDRAARTLMGCPADNLARFFAAHPGSARAAADALRGEMCRVVLRAPTRNKRSAGAGDERLRAVSIVPLRDGFRPVVDTLRTLYARG >KQK92589 pep chromosome:Setaria_italica_v2.0:IX:56236967:56251579:-1 gene:SETIT_033920mg transcript:KQK92589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLEHQSLAIRMALAELIVVMASHCYLSGPPAELAVEFLVQHSAITDEDLNDLGTLKNDYFQDKRFEMKVSLAGLSELRTICEKGLLLLAITIPEMELVLWPFILKLIIPKKYTGAVATVCKCITELCRHKLSQTNPLYTEFNALNETPNPEDLFARLVVLLHNPLARGQLATQILTVLCYLGPLFPRNLSLFWQDEVPKMKAYISDPEDLKQDSTYQEIWDDMIINFLSESLDVVNDSEWVISLGDAFARQYDLYGISDGHAALLHRCLGMLLQKVDDRIYVSEKIDWMCRHSSMSIPINRLGLAQGIGLVAASHLDTVLEKLKNILDNAGQSALQRFLSFFSFGAKVDDVDDTYAALALMYGYAARYAPSTVIEARINALVGTNMLGRLLHVQHPTAKQAVITAIDLLGRAVISAAEMGISFPLKRRDQLLEYVLTLMGRDQSDDLTDFNSELLHTQSVALSACTTLVSLEPRLPMETRNRVMKATLGFFALPTEPSSIVESLITNLIILLGAILLTSGEDGRSRAEQLLHILRQLDPYVSSSLEHQRRRGCVAVQEVLIKFRNLCSGGFGALGSYPTFTMNKQIDQGGTRSLSSLPSAFVLPSRDSLSLGERTMAYLPRCADTDAEVRKAAIQIIALFFNISLSLPKQKAYANDIDLESSYSALSSLEELVSVVRREASVDQTEVFQRVVSSVCILLSKDELVILLHSCTLATCDKVKQSADASIQAIIMFITRRGKELREADVSRTTQSLLSSAVSLTDKHSRQEVLNAISCLAENTNHIVVFDEVLSVAGRDICTKDIPRIRGGWAIQDVFYAFSQHKELALLFLEYTLSILHKEPVTINSSEKGETTSESSADDCILQATMFAVNAFMRGGGKIGKQAVEKSYPSVLAGLILKLGSLHGLAELGRNELLRSLLIAFQSFCECVGDVEMGKIFARDGEQTEKEKWIDLVQEVACSSSVKRPKEVLPTCVILSKALNRNQRAEREAAAAALSEFIRHIEKEPTLLEQLVEELCQHVSDDSPTVRSLCLRGLVQIPDSHMLKYIQQVLGVILALLEDPNESVQLTAVQCLLTVLNLSEQDAVDPILINLLIRLRNLQVSMNTKMRSNAFAAYGALSAYGVGLQRTAFIEQIHATLPRLILHLHDDDLSVRLACRNTFQLLAPLMEVDGLSLLLSKQYFTSDRRSDYEDFIKDLARQLCRLSPARVDSYLESAIQAFDAPWPVIRANAVCLVSCMLSFLDDQRFIAPYFSQVFATLVGRMSQSPDAIVRAAASSALGILIKRSNMLRSMISRFDRAESSRNSQSGDSDTKAPSELQEEQEEVRMMCT >KQK87633 pep chromosome:Setaria_italica_v2.0:IX:8324785:8326448:-1 gene:SETIT_035772mg transcript:KQK87633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQSPPLHIAVFPWLAFGHLLPNLELAERLAARGHRVSFVSTPRIISRLRPVPLALAPLIDFVALPLPRVDGLPDGAEATSDIPPGKTDLHLKALDGLAAPFAAFLDAACADGSTNKVDWLFVDSFQYWAAAAAADRKIPCALILPFASSTLAEFGVPRLEPPVEGSTASILQRFVLTFEKCQLVIHRACSELEPEHTPLLPGIFGKPVIQYGLVPPCPPAQGHIEHDNAALSWLDKQQPESVLFIALGSEPPVTVEQLHEIALGLELAGTTFLWALKKPNGLLLEADGDILPPGFEERTRDRGLVAMGWVPQLSILAHSSVGAFLTHGGWSSTIEGAMSGHPMVFLTFLDEQRINAQLIERKKAGLRVPRCEKDGSYDRQGIAGAIRAVMCEEESKSVFAANAKKMQEIINDRKCQERYIDELIQRLRSFEK >KQK90391 pep chromosome:Setaria_italica_v2.0:IX:42306763:42307264:1 gene:SETIT_038246mg transcript:KQK90391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERFLPHRNHPYAHSTGGHTHETTTTVVHDFQITMAAGDNLVQITMAAEDNLVIASTVSITSHRTGSPGKSHHREQRGPWSKDGPANII >KQK86449 pep chromosome:Setaria_italica_v2.0:IX:1935337:1936272:-1 gene:SETIT_037600mg transcript:KQK86449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGKDNHDPSGADKGFHGGYPGGYGQYPAGYPTPPSAYPHGQGYPVPPGGYPPQGGYPQSGGYPPQGGYPQSGGYPPSHGAYPPGAYPPSGYPHQPGYPQAGYPSHGPPMSGHGAMYGGGHGAGGSAGYGAVIAGGAAAAAAAYGAHKISHGHGGGYGMHGHHGKFKHGKFKHGKFGKHKKMYGKHKKMFGRKWK >KQK90074 pep chromosome:Setaria_italica_v2.0:IX:39343913:39344876:1 gene:SETIT_039568mg transcript:KQK90074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRANWDDNTTKMFLDLCIDEKNKLNYNKKGLTNLGWHNLYTNFKQQTGKKYSCKQLQNKFNAFKRQYKDWRKLKDKSGTGWNNSTCTIDSDDEWWTARIEENEANKHFRGKMFPFYDELTTLFGTTDTEGGPILCVGGIGDRTPSSASEGNPDTAIDENVAWLEDNVGRSSVGRVSQRSGKEHVVDNPPPKRTNSMEYYVERISESMIQRTMNERNLISREEEEVMEMLHLVEQDGVPNGSELYFIATELFRSPARRASYRSITAAKNRIAWLRWTWDNIKRK >KQK87468 pep chromosome:Setaria_italica_v2.0:IX:7451125:7455434:-1 gene:SETIT_0347381mg transcript:KQK87468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPAQAGASDRDRSPPPPPPPPPQSSAAAAISSPLAVVCNFWKDFDLEKERSGLDEQGLKIAENQETSQKNRRKLAENTRDFKKASSDEKLSLFNSLLKSYQEEVDKLTKRAKFGENAFLNIYQKLYEAPDPYPALASMADQDQKLSELETENRKMKLELEEYRAEAAHLKNQQATIRRLEERNRQLEQQMEEKVREMVEMKQRSMAEDSQKTLEALKDRERSLQDQLRQATESVKNMQKLHESAQSQLFELRTQSEEDRTAKEAEVSLLMDEVERAQARLVSLEREKGDLRSQLQTTNEDASKSSDYLESSDILESSLNAKEKIISELNAELRNIESTLSNEREMHVNELKKLTALLTEKESALMELKKELQERPTRKLVDDLKKKVQILQ >KQK91700 pep chromosome:Setaria_italica_v2.0:IX:51341769:51342891:1 gene:SETIT_036701mg transcript:KQK91700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSFLPHVAICLALAFLLPSHAAVTAAPAGTIQRVTKQQILASIPPHWDENPVLFLTSPSGKYAAYFMRSQTTPGAGGLGADFCYVEVLDTTAPGAEGRSVWESECLAVSTVNTCALVFSWKGLEVFDGSTSVWHTHDTKSDDQNFLETLQLVDQGDMRILDKGGELAWKASDEPRAAQHCGMPGSPGLASALPPFAEPIGHGSSDLPFGFGQGAGGVGVGGVAQPEAPLAAPLPQPELPLAPLPQEADEFGGAAAAAAAQGQAGAGAGEAFGFGNQPLVDNSPYDSGALKHGFSLLISLAFCFSVAVAMGLAL >KQK91853 pep chromosome:Setaria_italica_v2.0:IX:52322548:52324348:-1 gene:SETIT_035912mg transcript:KQK91853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVPPLLVVAALLAPPLGSGAGRLHPVVLVPGYGSNQLEAMLTAGYEPSAPACAAADEQGWFQLWPNHTATRDASQVPCFADQMSLVYDTGADDYRDADGVATRVPFFGSARALIGWDQVVRQLEGMGYRDGETLLAAPYDFRYAVAPPGHPSAVGGRYFRDLGRLIQASRLSQGRPAVVVAHSFGCALTYQFLLSRPLPWRRRYVHHVVFLGSALGGFAPGMQVLSAGMDYGLPGVSRPAMLRLARSQQSALWRLPTPLVFGDRPLAVTGGATYTARNMSGFLDAIGFHEGVRPYETRVLPMWEALPAPMVPVTSVIGVGVRTPETYVFGTDGFEGEPEVVYGDGDGDINLVSLAAIEAWAGVEGQAMEVVRLPGVHHAGFFSVDFAVERVVDEIYKAGGSVELDRTS >KQK91426 pep chromosome:Setaria_italica_v2.0:IX:49750992:49751889:-1 gene:SETIT_038318mg transcript:KQK91426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDNSNPASYIHTVQHLIERCMTFGMSMEECMEALAKRADVQPVVTSTVWKELEKENKEFFDQYKQWMSEKRSATSNS >KQK86408 pep chromosome:Setaria_italica_v2.0:IX:1698314:1699956:-1 gene:SETIT_037032mg transcript:KQK86408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLQAATPRPLPLAFSSRRPAPPPPPPRALVRLAIVSNPSYPTARLSCRGPAPRWRRVRVRARAGAGGGRRRESPYEVLGVSPSAAPNEIKRAYRRLALKYHPDVNKEPNAQEKFLRIKHAYNTLMNSESRSKYASSSSDSSWSSYSSRESKSTAEEEPFYGFAEFLKDLQTEFQNWEAGLNSDQKPKSLWEELAAIGEEFVEFLENELKIDDSSPKDDTGNDPYTQFGGQAKNAKDSKTVTNNFDDGVSEIEAALEKLKKELGLG >KQK87141 pep chromosome:Setaria_italica_v2.0:IX:5748041:5749678:1 gene:SETIT_040642mg transcript:KQK87141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVRPSHESLLREHRPDAIVSDVPFWWTTDVAAELGVPRITFHPVGVFPQLAMNNLFKVRPDIIQMSSDAGAVVSVPGLPGKEITIPVSELPSFLVQDDHLSKTWEQIKACQLAGFGVIVNTFVDLEQPYCEEFSRVDARRAYFVGPLAQPSCSTVHRGGDCDADCLSWLSTKPRRSVVYVCFGSWAHFPATQSRELALGLEASKQPFLWVVRSDDSDSCQWAPEGWEQRVAGRGMVLRGWAPQVAVLAHPSVGAFLTHCGWNSVLEAASAGVPVLTWPLVFEQFINERLVTGVAAFGARVWDGGTRGERAGEAETTVPAEAVARAVAWFMEGGPRREGMEARARELAERARAAVGEDGSSWRDVHRLIDDVVQARASGLLQKEA >KQK91729 pep chromosome:Setaria_italica_v2.0:IX:51468462:51468625:-1 gene:SETIT_040408mg transcript:KQK91729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITKQRFFNGANDARGFGFFRAVVYGSVAHLPSRI >KQK88790 pep chromosome:Setaria_italica_v2.0:IX:17204241:17205081:-1 gene:SETIT_038331mg transcript:KQK88790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQLGLYTGGSRRRFHARSGGLSAAAAAKQLLSRLRSTWRRRVVRPRRAAVRFGYDLQSYCHNFDDGLASSDHRRL >KQK92848 pep chromosome:Setaria_italica_v2.0:IX:57492650:57497221:-1 gene:SETIT_034720mg transcript:KQK92848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDPNLGFADYFSAAADATAMEEGAPELYGLHADMEFLGSMRGGLAAMPAAAHGHGHSKAVLDDAGPNGGSANAATMQFLSAAGHHQQQQPSQAPLSLSLCRPDGGGVGVTLHEHLSGSSRHHQQQHPAPAAWMQNDYTAPTPQGPQHAGAWHLRSSKFLVPAQQLLQEFCSLPVDSAAASNKRAKAVTAKQPSSQQQQEDGGEGSSSSASWAPSPQIQAMDALELQRLKDKLYIMLEEVDRRYRRYCELMRSLAGGFEAVAGERAAAAYTALASRTISRHFRSLRDGIVAQLQAARKALGEKDMSVPGMTRGDTPRLRVLDQCIRQQKALTQAGMMESHPWRPQRGLPERAVTILRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYVEEMKDGQLDDGGGSGGQHSVNPNPSSSHASEAHGGGAAGGREVADGGENGVDRKPTRAQLMHETGSLASVVNIGGAGGGVSRLANFGIMDHLDFDAYGGGDQHNHQQAGGFGGVSLTLGLQQHDFHDGGGVNIAFGAPPSSAHHHGGGGAGYLFAAVGGQQMDGGVHQGHGHHVQFGAAGGIDGEAPPHAGQDHYRSLGAGFHLLRDLAG >KQK88047 pep chromosome:Setaria_italica_v2.0:IX:11108186:11108652:-1 gene:SETIT_038357mg transcript:KQK88047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAACRFERMELGTGAGGFPVAITCLICHPATLNTSSVDASSTQLPKNTTLLLLQQESLATASPIRKLQQRLLV >KQK89650 pep chromosome:Setaria_italica_v2.0:IX:34490355:34494438:-1 gene:SETIT_038546mg transcript:KQK89650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPAGAPGRACRINSLPSHVLTRAIYFLDAHQLVQTCVLSRRWRHLWRSFDGMAGTWVERNVLFKKFFNRFLMLRNPTPLDEFNLCEKSMDRKWDAESEDANLWIGHALQCNARSVNVSVSKEEGAKLHLDPVVFTSECFPTSLGLTWVLLLTGFFENLQMGCTLLERLKLHDCSIYDAEISSQSLKLFTIEAITPLLTNMGSLETATVSIGTSFYDTPLGDICQFLRGLSDVTHLDLRYRGPKMEKKIHWCPKFNNLTILTLGDWCLCANFYALIVFLHNSPNLMKLILKIRKNPDTHEKFIGELEERYFSCEHLKVVEIFCPEDWEDDPMCNDLEKILVGNGISLKSRMVIKVLI >KQK90491 pep chromosome:Setaria_italica_v2.0:IX:43116688:43119308:1 gene:SETIT_037350mg transcript:KQK90491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGKSMERASSFAMACSLLSRYVRENGAAAGELGLGIRAEAADAQRTPADAEKGDARKQTMDLFPQDAGFGTEAAAQEAPDAREKEKHQLTIFYAGKVLVFDDFPAEKAKDLMQMAGRGASVAQSSGSLPSPAVATVTDSTKVAAVPAAPIPVVSAQKNAADIPQAPKASLRRFLEKRKDRLTAKAPYQGSPSDATPVKKEMPESQPWLGLGPQTANPDLSLRQERNQ >KQK87569 pep chromosome:Setaria_italica_v2.0:IX:7948416:7957440:-1 gene:SETIT_033997mg transcript:KQK87569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQADAAAVLGADPAALTALLADLTSPANEARSRAEQQFHALRGSHPDALALSLAHLLLSPAHPSAPMAAVLLRRLIAPSSQAFVYPALAPATQSSLRALLLSAASAPALPRSVSRKLSDAVAELASFLLPSNAWPDLLSFLYKSIDSQSSPPGLQESALNILARLASHLAAVFPNLHGLLLAALSHPSSADVRVAGLNAAISLIQSLPSAGARDQFQDLLPAMMRALAESLNCGNEGSAQEALEMMIELAGAEPRFLRRQLPDVVASMLQIAEAPGLEDGTRHLAVEFVVTLAEARERAPGMMRKLPRYVGRLFAVIMTMLLDVQDEPAWHAAVSEEEDAGETGSYVFAQECLDRLAIAVGGNTILPVAAELLPSFFSSEDWKRRHAALVTIAQIAEGSAKVMIKNLEQLVGMVLNSFQDPHPRVRWAAINAVGQLSTDLGPELQNQLHHVVLPALASAMDDVQNPRVQAHAASAILNFSENCRPDILTPYLDGIVGKLLLLLQTGNQMVQEAALTALASAADSSQEHFQKYYDAVMPYLKAILMNATDKSNRMLRAKSMECISLVGMAVGKQKFKDDAKQVMEVLMTLQGSQMEADDPITSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVSVTSAGPEDGESDDEGVETITLGDKRIGIRTSLLEEKATACNMLCCYADELKEGFFPWIDQVTTTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGQAQGRDKSYLKQLSDYIVPALVEVMHKEPEPQICASILESLNESIQISGTLLEENQVRSVVEGVKEVIVASANRRIERTERAKAEDFDSEEEELLREENEQEDEIFDQVGDCLGTLAKTFKTYFLPFFDELSMYLTPMLGKDKTSEERRIAICIFDDVAEHCREAAVRYYDAYLPSLLEACTSENPDVRQAAVYGIGICAEFGGSAFRPHTGEALSRLYNVIKHPNALDLDNAMAYDNAVSALGKICQFHRDSIDASQVIPAWLSCLPIKNDLIEAKLVHEQLCAMLEKSERELLGHNNQYLAKIVSVFAEILCAGKDLATEQTASRMINLLRQLQTTLPPSVLASTWSSLQPQQQLALQSVLSS >KQK92357 pep chromosome:Setaria_italica_v2.0:IX:55117693:55122639:-1 gene:SETIT_034064mg transcript:KQK92357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTVFPVLRSSEYFTRPSIDELVEREEADPGYCSRVPNFVIGRAGYGQVRFLGNTDVRGIDLNDIVRFDKHSVVVYEDETGKPPVGHGLNKAAEVSLLLNLKDLPEPSILVEVLRCRARKQGTRFLSFNPVNGKWKFEVDHFSRFGLVDEEEEDAVMDEAAVRQPIAELRERDLPSNGYEMELSHSLPAHLGLDPAKMQEMRMAMFYNEEDDEYMEDGFPSDERYLSRERMNVDSPTSSGKGSRLRSVSPLHMSSQKVGRRSMPARKEPLALLEYSMNSSELGPSTQGMLMSGQNKGFPVKMTKVKGFKLQAEQETPVAGKMYTNCVVDAALLMGRSFRVGWGPNGMLVHSGSLVNSPGTGLSSVIHIEKVASDKVVRDDKNKIKEDLAELVFSDPMDLHKSLDHEFLETESDLFRLKLQKVVANRLVLPDICRSYIDIIERQLEISDLSMSSRVLLMHQVTVWELIRVLFSERANGNQLEPTGDDGQEGMVLDRKEGSVNIDLEALPLVRRADFSNWLQDSVCHRVQGEVGSLSDARYLELILLLLTGRQLDSAAEVAASRGDVRLAILLSQAGGSMSNRSDLSQQLDLWKMNGLDFNYIEEDRLKVYELLAGNIQAAFLDSPIDWKRYLGLIMWYQLQPDTSLDIIIHSYHHLLTEGKVPYPVPVYIDEGPLDEALQWSPGDRFDISFYLMLLHANQDEKFGLLKTMFSAFSSSYDPLDYHMIWHQRSILEAIGAFSSDDLHLLDLSFVYQLLCLGKCHWAIYVIVHMPYLDDAPYIHEKLIREVLSQYCESWSKDDAQRQYIVELGIPEEWMHEALALYHEYYGDRQGALENFIRCGNWKKAHTIFMTSVAHTMFLSSNHQEIWEITSALENHKSEIADWDLGAGIYIDFYILKNSMQEESAMDDLDPLEKKNESCKSFFGRLNDSLLVWGSKLPVESRACYSKMAEELCELFMNAPGEAMNLHMGCFQTMLNAPVPDDRKSSYLQEAVTLFTEILCSDS >KQK88009 pep chromosome:Setaria_italica_v2.0:IX:10812787:10814786:-1 gene:SETIT_035520mg transcript:KQK88009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAGKWLRSFLPGRRGGREKAAGAAETDLALALPGTGTAVTTPASTPGAKEKRRWSFRRPAAASPAKDALGGRLAAYGSLEPRVVDPDQHAVAVAIATAAAAEAAMAAKHAAAAVVRLSASAPGSKRTVIGIDEAAAIKIQAVFRSYLARKALCALRGLVKLQALVRGHLVRRQASHTLRCMQALVAAQQRARAARLRMLDDEKPFRTPRTTPTRRSSPHHPRFRHHQDPEENVKIVEVDTSGGAGEVHGTPRTSRRSSCYATPLCRTPSKNELYQKVSPTPSALTDASARTYSGRYDDFSFATARASPYRHTPSRHHHHHHDDEGAADKPAADHPLLVPSYMANTESSRAKARSQSAPRQRLSVSSAAAGEAACPWERQASSGRRRASLESQAQATPGARGVAPRYGLVRVQRCPSQASAPGACPWGSRLDRWSASAHDSECGSTSTVMTAATTTYCWSLATDNAGMA >KQK91255 pep chromosome:Setaria_italica_v2.0:IX:48799078:48802862:-1 gene:SETIT_037870mg transcript:KQK91255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTEVDISPSSSLPPWPLKDTTQTAAMTATTRTLDAPMTAPFMVASSAAPYDLYMLRRVTAPPPPPFLRLSRSDPPPPQRPLPLAHAAAAAAMGLFDALYRVVMRRNAVYVTFVVAGAFAGERAVDYGVHKLWEMNNVGKRYEDIPVLGQRPAEE >KQK92002 pep chromosome:Setaria_italica_v2.0:IX:53179875:53182528:-1 gene:SETIT_034848mg transcript:KQK92002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVWSGCSTSSAFGQELPPRGSRGDRCGTRFRPRSRGRISGDARQVQALSPAMVTAGACICRAAPCLLESEVGGKEDAGVAILGVDGEPHGADGHDGGKRRGLRRRPMRQPAVGEKAGVGAGSAPPATTAELDMKSEHGGSRLHFLEERNEETLSRRLIKLCQSNKVRSATELFDSMRASGLQPSAHACNSLLACFVRRSSLADAMRMFEFMKGKRMATGHTYTLILKAVASNGGYVSALEMFNEIEEEEDSKKNVDVIVYNTMISVCGRAKDWMLVERLWRRLEENSLSGTLLTYDLLVSIFVQCGQCELAIAAYQEMLQNGLDPSEDIMKAIIASCTKEGKWDFALATFSRMLSAGMTPNIILFNSTINALGKAGQDELAFRMYHLLTSSGFKPDQYTWSALLSALYKSGRCWDVLELFQGIKAKHPTLLNDHLYNIALMSCERLGQWEHGLQLLWMMEKSGLKVSVVSYNHVIGACEVASKPKVALQVYRRMINQRCLPDTFTHLSVIRACIWGSLWNEVEDILEEVDPDSSVYNTVIHGLCLRGKIGLANKVYAKMRGIGLVPDGKTRAFMLQHIATD >KQK87300 pep chromosome:Setaria_italica_v2.0:IX:6516692:6520488:-1 gene:SETIT_034822mg transcript:KQK87300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGGVVMDAGGGAAELGLLGGGSSRLLKHGRGNAAGEEHGWGGSGRAAKQARTTADVAEAAKAAVAPFLLGSCSPGHGGEQMLSFSSAPASSCASTAAVAAAAAAVAADGAMPLYYGTPASCSGLSSVSLSSSMQGAMARVRGPFTPSQWIELEHQALIYKYLAANIPIPHSLLVPIRRSLASSPYPPSYFGTSTLGWGSFQLGYSGNADLEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKHVEGQPGHAAKAMSAAVAAAAATQPAALAASGAGATAAGLTVSQHQQPVKSYAAGATDPCSLQYNRELVNKQNESDNMQDSDNLSMLTSMSTRNPGSLFPFSKQNNPFEVTSSRPEFGLVSSDSLMSSPHSSLENVNLLSSQSLNENQSSASLQHFVDWPRTPAQGGLSWPDAEDMQAQRSQLSVSAPMASSELSSASTSPIHEKLMLSPLKLSREYSPIGLSIAASRDEVSQLEANWATMFRDSSMGGPLGEVLTKNGNAEAKNCLSAPLNLLTDFWDSSPGMESSPVGVLQKTTFGSVSSSTGSSPRMENHGAYDGISNLRDDLGSIVVSHPNIRLL >KQK88587 pep chromosome:Setaria_italica_v2.0:IX:15309782:15309862:1 gene:SETIT_0388002mg transcript:KQK88587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKNMVVLSRSLLCSRFPATLPGGT >KQK90564 pep chromosome:Setaria_italica_v2.0:IX:43694507:43696106:-1 gene:SETIT_038553mg transcript:KQK90564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDTATAPLLTSHEAEPAAKVPSIDDIIETHIGGTGAMQLLKAFLVVFARVFDGQLLFISVFTEAEPRWHCVGSGAGNSSGSAPAQASPCTLPAGTWAWDRPAETSVVSEWALNCASPAVLSLPSSSFFAGCLAGGFLLTMLADSLLGRKRMLVMALASMSVAGALTAFAPDVWAYAALRFASGFARSMVGTCALVLSTELVGNRWRNTVNFAAFSCFTLGFLSLPAVAYAFRGASWRSMYLWTSLPCLFYAVLLHFLAQESPRWLLVRGRTQEAVETLRQIAAHNGRGTATATSFSKLNASAAMLENSGMTSAGGGVFATLRAMLERRWAIRRLATVMAAGFGVGLVYFGMLLNVGSLGSDLYLSVTYNALAELPSAALSWLVIARASRLACVAIPRGAAAARMAAELVSFFATSTAYNVILIYAIELFPTSLRNSALGLVRQAMVLGGVVAPVLVALGRKRSFWSFGVFGLAIGCSGLFAACLPETRGRSLSDTMEEEEERKDAAVANSSDNDLR >KQK92694 pep chromosome:Setaria_italica_v2.0:IX:56816183:56816331:-1 gene:SETIT_040836mg transcript:KQK92694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGSGGFQVGYCSPSSTVNSCISPIIRLPGRPRGYGRGNR >KQK91593 pep chromosome:Setaria_italica_v2.0:IX:50698145:50699555:1 gene:SETIT_036971mg transcript:KQK91593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSPVSYWCYRCSRFVRVSPATVVCPECDGGFLEQFPQPPPRGGGGSGRRGTMNPVIVLRGGSLSGFELYYDDGAGDGLRPLPGDVQHLLMGSGFHRLLDQFSREAAAPRPPASKAAVESMPSVTIAGGGAHCAVCQEAFEPGAAGREMPCKHVYHQDCILPWLSLRNSCPVCRQELPAAATPDAEADAGLTIWRLPRGGFAVGRFAGGPREQLPVVYTELDGGFSNGVGPRRVTWPEGERQVDGGEGRIRRVFRNLFGCFGHGSRQASSSQSLSG >KQK89673 pep chromosome:Setaria_italica_v2.0:IX:34870065:34875585:-1 gene:SETIT_034114mg transcript:KQK89673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSKGKKKQQPAASPQPSPHTRSSRGPENRGGGDGEGSVDLPSIAAAAAARFPVLVPRGGEGCFAGAVAEVASRGTSSGGCLGRLWLSEAAMVGAGMRPGCLVSVSLISSRSDQLDGFPLDDLFKECNRFFDLDVDNDLLRGEAGRNFVVATVFLSREVHKNGIKLSWDLACVLGYPSVGRPLFFSPLCTSQDPKHSDDVDILRVIKCKDLYLGLIPPEEESHDFASNSGSSMCLDPTTTRSALADEKVNELLQTSASRWLNGRHLLKGNFIPLSMCGKLSLFVVMGAKIDSYARDDLHEKGNTLPNAEDSSNLGGTLVSILVDRTTKVHLSDSVCTEKLGSDKTDLPSQVYEYDNKINEDSNHAPMLGGLSKESATIKEIISFSLVDQIGFPRYKGILLYGPPGTGKTSLASFCAYDAGANLFTINGPEIISHYYGESEKSLYDVFSSAKKAAPAVIFVDELDAIAPSRMEGGEELSIRMVATLLKLMDEVGPNDHVLLIAATNWPDRVPSPEQRMDILQHLLIGVYHSLNDEELKSVALETHGFVGADLAALCNEAAMCALRRYISLKENLNIQLGHPDSSVDKCIRDTDDPSGYQESLLPACFSTMSLDDAPCTNSNEKSSKSYDVNTKGSESYDAIDEKALSVNTEDFKKAKTKVRPSAMREVMLELPKVRWEDVGGQASVKQQLIEAIHWPQKRPEAFKRIGIQPPKGLLMMGPPGCSKTLMARAAASEAKLNFLAVKGPELFSKWVGDSEKAVRSLFAKARANAPAIIFFDEIDGLAVTRGHENDGTSVADRVLTQLLVEMDGLDEKVIVIAATNRPDKIDPALTRPGRFDRLLNVQPPNETDRADIFRIHTRSIPCGPDVNLEELARLTEGYTGADIKLVCREAAVAALDESFDIKQVAMRHFEFAISKIKPSDVKFYQKLGEDYRRFVDGS >KQK90392 pep chromosome:Setaria_italica_v2.0:IX:42309669:42318906:-1 gene:SETIT_034481mg transcript:KQK90392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVSAAEALDGHKDRFDLGVFVGDLALDEEVTSDDECLEGLQQELDDCKNDQEVANILANGIKLREYTKGVENNIRQVELDSIQDYIKESENLVSLHDQIRDCDNILSQMEMVLTGFQTEIGSISSEIKVLQEKSMDMGLKLKNRKAAESKLSKFVEDIIVPPRMIDIIVDGEVNDEYMKTLETLSKKIKFIDADPMVKSSKALKDVQPEVERLRQKAVSKIFEFVIQKFYALRKPKTNIQILQQSVLLKYKYTIIFLKEHAKEIYAEIRAAYIDTMNKVLSAHFRAYIQALEKLQMDIATSTDLLGVETRSTGFLFSIGKESLKTRSSVFALGERINILKEIDQPALIPHIAEAKSQKYPYEVLFRSLQKLLIDTATSEYLFTDDFFGEESIFHDIFAGPIQVVDEYFNAVLLNCYDAIGIMLMIRIIHQHQLIMFKRRIPCLDSYLDKVNMSLWPRFKMVFDLHLNSLRNANIKTLWEDDVHPHYVTRRYAEFTASLVHLNVEHGDGQLDLNLERLRMAIEDLLVKLAKMFSKPKLQTIFLINNYDLTIAILKEAGTEGGKAQLHFEEVLKSNIAIYVEELLQEHFSDLIRFVKTRPADETASSSEKISVAEVEPLVKDFASRYKAAIEQMHKDVITSFSNFLCGMEILRAALAQLLLYYTRLTECVKRINGGSALNKDLVSISSILFEIKKYSRTF >KQK88203 pep chromosome:Setaria_italica_v2.0:IX:12307262:12312537:1 gene:SETIT_034728mg transcript:KQK88203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWCSGESTKPVFVGIYGAVLGGFAVSALFFLLSSFSSLSAPPLPLPTGATTPAAAGNLSRSAPAQPETMYNRPIWKPPPRGSRMPSPRAFRLTRDMVAARARDGVIVVTFGNYAFLDFILTWVRHLTDLGVDNLLVGAMDTKLLRELYLRGVPVFDMGSRMATEDAGWGSPTFHKMGREKVLLINALLPFGYELLMCDTDMVWLKNPLPYLARYPDADLLTSSDQVIPTVTDDSLENWREVTGAFNIGIFHWRPTEPAKRLSKDWKDLVLSDDKLWDQNAFNDLVRKVFGQPVEGQGDLVYSYDGKLKLGVLPASIFCSGHTYFVQGMYKQLHLEPYAVHTTFQYAGTEGKRHRLREAMLFFDQPSYYDSPGGYLSFKPNIPKSLLLDGAHTVESHFALVNYQLKQIRTALAIASLLKRTLVMPPLWCRLDRMWFGHPGVMEGTMTRQPFLCPMDHVFEVHVMLKDLPKEEFGPHIDFREYSFLENPSLPKEVKESLLEVQLCDDHSSRCSAVNGTDKHRPLLLSRNSTEEKLLNIFSSYKSVKVIQFSSMVDAFGGFADADVEMKFRNRVKRYVGLWCCVELREIGHIYYDMYWDEKPGWKPHPPETREQDHPPWS >KQK91616 pep chromosome:Setaria_italica_v2.0:IX:50859869:50862459:-1 gene:SETIT_038230mg transcript:KQK91616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLQEARENHVKKKVEEALRSKMKQKALKECDFYCSKYAECARGRTFSVVWQCRKQAKELNECLHQFTNDSVLEEMKKAYMVEQESKEMKQ >KQK90062 pep chromosome:Setaria_italica_v2.0:IX:39257722:39258779:-1 gene:SETIT_040086mg transcript:KQK90062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPNVVVAAAAVVLAALVAGGSCDPSAPKVPPGPNITTDYGGRWLAAKATWYGQPVGAGPDDNGGACGIKNVNLPPYSGMTACGNLPIFKDGKGCGSCYQIRCGAPEECSNKPVTVFITDMNYDPIAPYHFDLSGTAFGSMAQAGLGDKLRHRGIIDLQFRRVRCKYAAGQKIVFHVEHGSNPNYLAVLVKFVANDGDIVQMDLKERASPEWKPMKLSWGAIWRMDTPKALRGPFSIRLTSESGKKLVATDVIPENWKPSTVYKSNIQF >KQK91948 pep chromosome:Setaria_italica_v2.0:IX:52869927:52874787:-1 gene:SETIT_035254mg transcript:KQK91948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGEVDHGKEMFHGHCHSDPVVDELNRLENLLREKERELGHAYSEIKGLKVTEALKDKAIAELSKELKKQDEKMRSLEKQLEQKNLDVKRLSNERKEALSAQFAAEATLRRIHSSQKDEESVPFDAIIAPLESDIRKYRHEIAVLQDDKKALERHVKLKEVALVEAGNILRSALERALIVEDVQNQNIELKKQMEIYHEENKLLEKANRQKVLEVEKLTHTISELEESILETGEVANAVHFYQNQAAKLNEEKKTLERELARAKVYVNRVASTAANEWKDDSDKLMPVKRWLEERRLLQGEIQRLRDKITIAEKSAKIEAQLNDKLKRRLKSLEHDMRNEISNSSTKDINKKVTTKRSTSQPRQPNTARVSPQPSSPEAIDRRRPISQPRPSIAGKVLKQPNSEAESAEKTRVAKRFDSPRARTVIAKGERPTKNHLWAPRIKMPSDAGKENKEQNPNPKAHLNVSHSQGQSDTKVFDGNDECGVQCSEHQEAMENERNANSSSAERSP >KQK88279 pep chromosome:Setaria_italica_v2.0:IX:12855670:12856921:1 gene:SETIT_038588mg transcript:KQK88279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVLTSEVLSASMEQRFHRRRAEEADHLVRLVYNQCSSPAGNNSVVDGRHVAQHFCGNMIRRLMFRKRHFVEPPPSSFSAGTAAGPGPEEVAHVDALFTLVNYVYSFSISHYIPAWIIGLDIDGHKKVVKGERIHERSTRGDKREPRDFLDVLVSLQDSEGQPFLSLDEIRAQTAEIMFAIVDNPSNAVEWALAEMMNKPEVMQKAIDELNTLVGKGRLVQESDLPRAFRLRPYHAFNPPHVAMQDTIVSGYFIPKDSHVFTLIRFISFSTGRRGCPGVSLGSSVTMMLFARLPQGFTWSMPASVHTM >KQK88827 pep chromosome:Setaria_italica_v2.0:IX:17440357:17441696:-1 gene:SETIT_036305mg transcript:KQK88827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSLLAAALAVLGLLARPSECARAFFVFGDSLVDNGNNNYLMTTARADSPPYGIDFPTHRATGRFSNGKNIPDIISEHLGAETTLPYLSPELRGQKLLVGANFASAGVGILNDTGIQFVDILRMSRQLRYFGEYQAKLRALVGAARARQLVRRSLTLITLGGNDFVNNYYLVPFSLRSRQFALPDYVRYLVSEYKKILIRLYAMGCRRVLVTGTGPLGCAPAILAQRSRNGECAAELMRAASLFNPQLARALDGLNARFGAGTFVAANAFRVHFDFVSDPAAYGFATATEACCGQGPHNGLGLCTAASNLCADRGRYVFWDPYHPTERANRIIVSQFMSGSLDYVSPMNLSTVLEMDARLGA >KQK91692 pep chromosome:Setaria_italica_v2.0:IX:51267990:51277092:1 gene:SETIT_033867mg transcript:KQK91692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAPPPTPPEADPRLVEVFTPFLEKLIKNASWRNKAHSKLSHTAKSILDRLQRPPPPPAAEAQAPSTPTSAPSTPTSSSAQPGPLRSLSLADSELLLAPVTSALGSGSAKLAEAALELLHRLIAHSYIHGEADPSADPSAQLVASLLDAACNALGLDDEHIELLLLKTLLSAVTSTSVRLHGDCLLRAVRACYDMYLGSRSAVNQATAKASLVQMLVIVFRRMEADSSTVPVQPIVVADMIELPDDGSGSTPTADPNVVQGFISKIIGDFDPLARTTSSAGAGAGATVAHDGAFETTAAAEEGANPADLLDSTDKDMLDAKYWEISMYKTAIEGRKDELGVEGAVVGTLDDDADVRIGNKLRRDAFLVFRALCKLSMKTPPKDAPADPIVMRGKILALELLKILLENAGAVFRTSERFLGAIKQYLCLSLLKNCASSHMIVFQLSCSIFISLVSRFRPGLKAEIGVFFPMIILRVLENIAQPNYQAKMIVLRFLEKLCGDSQILVDIFLNYDCDVHSSNIFERMVNGLLKTAQGPPAGVATTLVPPQDTTMKSEAMKCLVAILRSMGDWMNKQLRIPDPASPNVESEKNDNDGGNELSPTDNNGDESSEASDSHSELSNGISEAASLEQRRAYKMELQEGISLFNRKPKKGIEFLVNASKVGESPEEIAAFLKSASGLNKTMIGDYLGEREDLSLKVMHAYVDSFDFQGMEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKAFSSADTAYVLAYSVIMLNTDAHNPMVKNKMSPEDFIRNNRGIDDGKDLPEEFMRSLYERIWKKEIKMKEDEFVPQQQQSTSSNKILGLDNILNIVVRKRGSSMETSDDLIKHMQEQFKEKARMSESVFYPATDVVVLKFMVEVCWAPMLAAFSVPLDQSDDEIVISQCLEGFRSAIHVTAAMSMKTQRDAFITSLAKFTSLHSAADIRQKNVEAIKAILLIADEDGNYLQEAWEHILTCVSRFENLHLVGEGAPPDATFFALQQPDVDKSKQAKSSILPVLKKKAPNASSSSKRGSYDSAGVGGKASGVDQMNNEVTSLLEQVGMAEMNRVFVRSQKLNSEGIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWNVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVVVMRKSRAVEIRELIIRCVSQMVLARVNHVKSGWKSMFMVFATASYDDHKNIVLLAFEIIEKILREYFPYITETESTTFNDCVNCLIAFTNSRFNKDISLNAIGFLRFCAAKLAEGDIGSSRLKDNPTSNSNPPSPHLASDGKQEGAVLADKDDHIHFWFPLLAGLSELTFDLRPEIRKSALQVLFDTLRNHGHLFSLPLWEKVFDSVLFPIFDYVRHAIDPSGSSAQGQSVENDPAELDQDAWLYETCTLALQLVVDLFVRFYDTVNPLLKKVLSLLTSFIKRPHQSLAGIGIAAFVRLMSSAGSMFVDEKWLEVVLSLKEAATETLPDFTYISSGAYLENLPTENGGSSEQREDESQPLEDDNEQSSRSRNLYFAIGDAKCRAAVQLLLIQAVMEVYNMYRAQLSAQNTVILFEALHTVATHAHKINSDNDLRSKLQELGSMTQMQDPPLLRLENESYQLCLTILQNIFLDSAPDHGSTEVVESHLVGLCKEVLEVYLTTARPAQLSSGRQPLGHWLIPVGSSKRRELAARAPLVVATLQAISGLGDSSFEKNLGQFFPLLAGLISCEHGSSEVQVALSDMFGTWVGPLVLQSC >KQK86389 pep chromosome:Setaria_italica_v2.0:IX:1599225:1601007:-1 gene:SETIT_035523mg transcript:KQK86389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPAPTMAAESNANHGGRHRHVLLFPLPYQGHINPMFRLAGVLHARGFAVTVFHTHFNAPDPASHPHYRFVPVPDGMSGPAPVAIEDVVAHIVSIGGACEAAFRDRLAAVLEEYSRDAVTCLVADTHLLPIFQAANCLGVPTLALRTGSAVSFACFTAYPMLCEKGYLPVQGMPSSSNSQLDTPVVELPPCRVRDLMGIGKDGHEVLCEMIAGAVAAVKASSGLILNTFDALERRELEGLRQDLAVPVFDIGPLHKFSPAGESSLLRQDRSCLEWLDAWPPASVLYVSFGSLACMSPRDLEETAWGIAGSCVPFLWVVRPGFVGGCAENHLPERFEAATRGRGMVVGWAPQEEVLRHRAVGGFWTHNGWNSTTESICEGVPMLCRPYFADQMGNARYVEHVWEVGFEVSGELERGSVEAAIRRLMTESDGAGMRTRAGELKKASAECTGKGGSSCLAIDKLVTHMMSL >KQK87128 pep chromosome:Setaria_italica_v2.0:IX:5674435:5675312:-1 gene:SETIT_040393mg transcript:KQK87128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHGRPFGGRRAWCCGEGLHRGSAGGLLASPWILRTTIASQPADVS >KQK92567 pep chromosome:Setaria_italica_v2.0:IX:56154848:56156620:1 gene:SETIT_035811mg transcript:KQK92567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPQLPHPVPRETVAGAVASLTKWMKKRAAEGTPNLLADERDDLVVLQLSLRRVPASPTTRPRLLPLPHPVVAHDGASVCFISDDRPNSRSPSASGLLDASKSLHRLPVSEVIPLSTLRTDYRPYESRRRLAASHDLFIADRAILPLLPRVLGKAFYSTKKAPIGVDFTRVGWPEQVRKVLGSAFLYLRSGTCSGIKVGRLDMEEEEIVENVMAAVVAAVERVPKMWANVRALHLKAVDSVALPIYQIVPELGMKIEVPVARLEGELGSGEVIDAAEVETLGKKIDKKKRTLRYADANDGAGVASEESGKRKRNKKEQIVMQEEVQAEIKKKRRKSIVIPVDEGRKVGRKGKVKGKRDFESEMEEASIDIKKSKKGKIEEGKKKKKSVMCGGDDGEVLVDGLEDKKSKGVRSDGKVNKTRTRVKV >KQK91886 pep chromosome:Setaria_italica_v2.0:IX:52532441:52542087:-1 gene:SETIT_039248mg transcript:KQK91886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWEEDEAIGPDVASAGLHVSEQIGRNAAAQPDLEEALEASRYSSHPYSSHPKEWPPLVEIAETRQLPPMLIERYNAAAGEGTALCGIFSDIHRAWATVDNSFFIWRFDKWDGQCQDYNVDEQAICAVGLARAKPGIFVEAIQYLLVLATPVELMYFHLHLLCLLVLVGVCCSASADGTDPYAELSLQPLPEYMIATDGVTVTCITCTDKDQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGIGSLLSRWVLPNAFKFSTVDPIVDMVIDDERNTIYARTEGMKLQLFDLGANGDGPLTKVTEEKNIVDPRDAPYGGRRSNAQNICTITKTINCGNGSSVGLSSSLQRPTCLKIVATRPSPPLGVGGGLTFGAVSAAGRAHPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVLPLPDAAFTVQSLYADVECFTSFRKPSEKASIKLWAKGYLPTQHILPRRRVVVFNTMGLMEVIFNRPVDILRKLFDGNTLRSQIEEFFNRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGTTALSNTRTQAGGFSMGQVVQEAEPLFSGAYEGLCLCSSRLLYPIWELPIMVIRGPAGANEHEDGIVVCRLSAGALKILESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKTGPIMGSGGRGNGRSPYNSQIRDMNPTDQSASSKKPRLVYTSAELAAMEVRAMECLRRLLRRSGEALFLLQLVCHHNVVRLAQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEGGLPYYFNESDYKYYLAVECLERASMTNNPDERDILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDPRHHDMITLQREQCYEIVMNALRTLKGVGQGADKSSGLATAVDPASRSKYIKQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVSFLQSAGRKHQEEVRAVSSLTSGAAKLQDLGAPISTSQTKYLELLARYYVLKGEHIAAARMLLILAERQCSNSEEAPTLDKSSTVDLLEGKLAVLRFQMQIKQELELMAARVENILSNSESPNDPFPGDNILADAEAAKAAKDKAKELSLGLKSITQLYNDYAVPFNLWEVCLEMLSFANYSGDADSKIVREIWARLLDQALTREGVAEACSVVKRVGSKLDPADGACLPLDIISLHLEKAALDRLSSGEELVGDDDVARALLGACKGLPEPVLAVYDQLLSNGAIMPSLNIKLRLLRSVLAILREWGMSVIAHRLGTTSAGASFFFDGTFSLNQTGTANQGARDKIISLANRYMTEVRRLNLPQNQTENVYRGFRELEEKLLSPY >KQK88323 pep chromosome:Setaria_italica_v2.0:IX:13163379:13167372:-1 gene:SETIT_035884mg transcript:KQK88323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCASCLASACAGCACNLCTSAASAVSRRSARLAYCGLFAASLILSFLLRQFATPLLKHIPWINAFEHTPPDEWFQMNAVLRVSLGNFLFFAIFAIMMIGIKDQNDRRDAWHHGGWVAKIAVWAVLIVLMFCVPNIVTTIYEVLSKFGSGLFLLVQVVMLLDFTNNWNDSWVEKDEQKWEIALLVVTVVCYLSTFAFSGVLFMWFNPSGHDCGLNVFFIVMTLILAFAFAIVALHPQVNGSIMPASVISVYCAYLCYSSLSSEPDGYECNGLHMHSKQVSMSALILGMLTTVLSVVYSAVRAGSSTTFLSPPSSPRSGAKNPLLGDEETGKGVDKDTEPRPVSYSYTFFHLIFALASMYSAMLLTGWTSAASEKSELMDVGWTTVWVRICTEWVTAALYIWTLIAPLLFPDRDFS >KQK86196 pep chromosome:Setaria_italica_v2.0:IX:710416:718527:1 gene:SETIT_038959mg transcript:KQK86196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRCAAASSSPTPSSADSAPEHPLRRKCSPLLESVLLPGRSDLTVHEWKAVPDIWRTAAEKYPDLVAVIDPYHEPPTEWTYTQLEQEILDFSQGLRAIGVAPDEKLALFADNSCRWLVADQGIMATGAINVVRGTRSSDEELYQIYTHSESIALVVDSPQFFNRLAESFIPRINPRFIMLLWGDKSSIDSQAVKDIPLYSYKDITELGRESRNALFLSGQQGQQDVFETISPEDVATLIYTSGTSGTPKGVMLTHRNLLHQINNLWDIVPAERGDRFLSMLPPWHAYERACEYFIFTYGIQQVYTTVKYLKEDLQRYQPQYIISVPLVYETLYSSIHRQISSSSAARKIIALSLIKISLLYMEAKKIYEGTVLSNNTAEPSFIVYMVKWLWARFVAAFLWPLHNLAKILVYKKIHSAIGISKAGISGGGSLPMHVDKFFEAIGIKVQNGYGLTETSPVVAARRPFCNVLGTVGHPVKHTEIKVFDIETGEVLPDGSKGIVKIKGPQVMKGYYKNPSSTNEALDQEGWFSTGDIGWIVPHHAVGPSRKCGGMLVLEGRAKDTIVLSTGENVEPAEIEEAAGRSNLINQIVVIGQDQRRLGAIIVPNNDEVLAEAKRKSILDENGELGKDKVMNMLYDELRIWTAHCSFRIGPIMIADEPFTIDNGLMTPTMKIRRDKVTAKYQREIEALFK >KQK91438 pep chromosome:Setaria_italica_v2.0:IX:49810996:49811826:1 gene:SETIT_037849mg transcript:KQK91438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATGTGAGGKVKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGRLLAGVTIAHGGVLPNINPVLLPKKTAEKASSGGSKEAKSPKKAAKSPKKA >KQK91726 pep chromosome:Setaria_italica_v2.0:IX:51466671:51467334:1 gene:SETIT_040416mg transcript:KQK91726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSFSSFREWLGAGAGVLGSDWQTARAASSSSLTVQAKDGLQWSRARLMSHDEPR >KQK88246 pep chromosome:Setaria_italica_v2.0:IX:12524671:12525823:1 gene:SETIT_038325mg transcript:KQK88246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVDCCTIIHIIRHPNQCQSQVDCYLNQRRLECLFGCRPSIHHPMAPYQCHSHLDFIGAEVVVEQRGPKPCYYSKKFKS >KQK89538 pep chromosome:Setaria_italica_v2.0:IX:32644378:32645322:1 gene:SETIT_038680mg transcript:KQK89538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDALAMDVNGVAELLAGLHGGNDSDDDDTDDGTGIEDEEEVESEGGSLNDWDGRVHMVEACLPRGYEDIAARLTFAYITPAKVVGSVALFIPQALGVVALQIKVELLPSLRGAMLLRFASEQDRELVHKLSPIHHDDITLELECPQETLNRLFQEREWLANNFRGFCSLLEIDPTCLTGYDYSPLHLLIAVNHRLDIPSALWIDTEGSALGGSIAQLMPIHVWHRNGQMDTDQNLIPFFGPPPPPPHGPGNQPAGLAGLALPPPSPPNAASNGLLAVPPLHAPPHPQAPYYDAC >KQK90384 pep chromosome:Setaria_italica_v2.0:IX:42282805:42285479:-1 gene:SETIT_034291mg transcript:KQK90384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFFSILPVLSLLPLLSSAASPDTLPLRSSLSVDKHQTDVLRSPNGTFTCGFYSVYDNAFTFSIWYTNSANKTVVWTANRDRPVHARAAAVTLRKGGALVLTDYDSEVVWQAEGDTAGVQYAQLLDTGNLVMKNSSGRVVWQSFDSPTDTLLPTQHITASTKLVSITGLHVPGHYMFHFTDSSILSLIYDDVDVHEIYWPDPDNGEYQNNRNRYNSTRLAVLDDAGNFFSSDFVNQKALVASDEGNGIKRRLTLDPDGNLRLYSLNNSDGRWSVSWIAVSQPCNIHGLCGPNGICHYLPMPTCSCPPGYVMSNPGNWSQGCRAVVDITCTFQQAQPVKFLRLPGTDFWGSDQGHVEHVSLQACKNICRSDCTCKGFQYQQGSGTCYPKAFLYNGKAYPAPTKSTRMMYLKLPVGLNTSGISMPQTNVLISRKKHPDCSQMSESTMELFPDIHKAGQGEAKWFYFYGFAGAIFVLEVFFIASAWCFVLRWELGASEILAVEEGYKVMTSNFRRYSYKELVKATRKFNDELGRGGSGIVYRGILDDNQPVAVKVLENIRQCEEEFQAELRIIGRINHMNLVRIWGFCSESSHRMLVTEYIKNGSLANILFKDNILLEWRQRFNIALGVAKGLAYLHHECLEWVIHCDVKPENILLDQNLEPKIADFGLAKLLNRGGSNQNVSRVRGTIGYIAPEWISSLQITAKVDVYSYGVVLLELVLGKRVLDLAVGAEEVHKVLRKLVEMLADMLHKEESSSIAEVVDSRLNGQFNYMQVGTLIELAVSSLDEDRSKRPTMESIVQTLLLADESCSMW >KQK86275 pep chromosome:Setaria_italica_v2.0:IX:1057149:1059112:-1 gene:SETIT_039184mg transcript:KQK86275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPTCSACGASACCPHLLHAGADDSQAAFSIFTGEVHDHHEPGTQQPPGSLHEFQFFGQDDHESVAWLFDDPPPAVGDDRSPVENQPHQRPPAFDPFGLQYHPGKGLTFEVSLGQGEVDARLGVGGGARHTETAASAAIMSFCGSTFTDAASSRLKEPILINNQLQRPADPSMERAAKLMRYKEKRMRRCYEKQIRYASRKAYAEMRPRVRGRFTKVPEASAPRQPALATTSYDHSRLDLGRCWFHA >KQK91049 pep chromosome:Setaria_italica_v2.0:IX:47373492:47376792:-1 gene:SETIT_034544mg transcript:KQK91049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAYEATKVVFSRIQALDPDHAAKIMGLLLIQDHGEKEMIRLAFGPESLLHAVMAKARKDLGLLLPASPTSVAAAGHAPFLQLPRQNSGRAGAPSPLSVSSPSSWAQAPVFSRSNSTSNGTADEAAPGAGDELPSPVNGGAAPFFPQGGDALLDDLQLQEQLAFLNEGGANPAHQLTGLDGGECWSPGPGDGGGLLPFGLGWPNGGPVHRRSVSVNELCLGGGGGGDGFGWKPCLYYARGFCKNGSSCRFVHGGLPDDAAALAATKMEAAADQQQQCQDFLLRSKSQRLGPAAFPYSPTGSLPGSPSAASKCLSFLLQQQQQQQQHDRAAAAAALMLGGGDDAHKFMGRPRLDRADFASMMNPGSRQIYLTFPADSTFREEDVSNYFSIYGPVHDVRIPYQQKRMFGFVTFVYPETVKLILAKGNPHFICDARVLVKPYKEKGKVPDKYRKQQQGDFSGCTTPTGLDTRDPFDLHQLGARMLQHSNSANELLLRRKLEEQQQAIELQSRRLMGLQLLDLKARTAATAAASSPQPTPTANAFTSGPPASTTAVESPPESGEQLKLSSGFAAERKVNGGDKEESAGEGSPNAADSDQSGEHNLPDSPFASPTKSAVFAHDSFAATETESAVSRIGVESGNNTDGGGNHLRPPTLDIPSPRPYFFPMHRLSSDHGAMGM >KQK92300 pep chromosome:Setaria_italica_v2.0:IX:54810424:54811518:-1 gene:SETIT_039989mg transcript:KQK92300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLNWMQTKLHGSHSSRRKSEFSADSARPADTSSGLPQTDKLNDGGTAAMLSIGTFGMKEGHRLKSCGRFDELNKLQEELKSLVRARGADTADELGRVHHLQLERLLSCSSSSKNGGIVRQRSFRKLTRRTLGGFLPRPSFREAVPQTRFNEIIWGLLLKNAHPQSSSFNNPVMRDDRAVQMGRKGEAEVEDEAGKWIRTDSEYIVLEI >KQK93069 pep chromosome:Setaria_italica_v2.0:IX:58623015:58626453:1 gene:SETIT_034951mg transcript:KQK93069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMDLDLNCPPPPPEPAAQDDLRCSTLRQQLFFRDQGSILRKPNPCSAQGRSTHHRLIDLEKPAALDDDVEIVSSTAFTSYANHNVGLFNNSQSLPLESSPLVRDRLCSAPYITSGLAGSSDSPDSSPPVRAKDGVSGRMCIDLNVAQEDDSNICPEPSIASCSLVASSATRHSGDFSNNSRKTFLKGSESSIGSSKGSSVTVVTTISPPYSSMEVMAAGVFRDTTQSHKPLLVEASKCSPIPIRNIHHQHAEHTISGLDSQGWMEIPRTVSSVLSNGENNSSLGLPKLGGSQATNLMGQPAIAVHRELQEENITVISDDAVEDFDLNVTVEIIDFPSKVTTACARSKPVNNDRSEENSSNQYATQNEVRQNVPSVECRDYASPRLRSSNIGVSLPPEAVSIHDAEVGEDEKNAAMAADTLVSIFTANSAWMTDSHGSNSQTDGGDGRHEPAPSMNSFEESILGLEDMMRDDGESIGVRPPDKDGVSCGIKLKRGRGLRDFQREILPGLVSLARHEICDDLHAIGYEMRKTRPRRSFGDGGTPPTRTRLSRRCSTAWNRDV >KQK91367 pep chromosome:Setaria_italica_v2.0:IX:49453120:49456043:-1 gene:SETIT_037167mg transcript:KQK91367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKCYPTVSAEYQEAVEKARRKLRALIAEKSCAPLMLRLAWHSAGTFDVSTKTGGPFGTMKNPAEQAHGANAGLDIAVRMLEPVKEEFPILSYADLYQLAGVVAVEVTGGPEIPFHPGREDKPQPPPEGRLPDATKGSDHLRQVFGKQMGLSDQDIVALSGGHTLGRCHKERSGFEGAWTRNPLVFDNSYFKELVSGDKEGLLQLPSDKALLSDPAFRPLVEKYAADEKAFFDDYKEAHLKLSELGFADA >KQK88143 pep chromosome:Setaria_italica_v2.0:IX:11872799:11873872:-1 gene:SETIT_0387811mg transcript:KQK88143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CWNDKQERSGVWHLPIYARISAWGHEHIKSYAYSAAVIQVSNDQGGEYNAIRAGLHTFPSLYKDNKLHLFAQWTTDRDWKTGCYNADCPGFVPCKDSFAGVPKPGMVIEELSSYGSTDSSVILQIVKDERPGIGGDWWLYRVAGPVRFPLGHWPASLFTSLSRHAMEAAWYGAAGFARRGDDPPAMGSGRGPREGPGRAAYFADISLMDNMAYPVDDPSLGGVTAMLNAERCYQVAMDAGGRNTFFYGGP >KQK90283 pep chromosome:Setaria_italica_v2.0:IX:41238792:41240495:-1 gene:SETIT_035099mg transcript:KQK90283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCPVPPFDETRWIIRIRRIFDEEIELSEDQPICVFDVPKPLLVTKPAAYIPQLVALGPYHHCREELGDMERYKLSAAKRAQSHLPGTDFQQLVDAFTKLEHLIRAHYHRHLNLSNETLGWMMAIDVSFLLEFLQTFSTNNNQRATLQRIPSRMSHLVDSSRRTSSHSMLLRDIVMLENQIPLILLLKALETRCSSAQPAQSVLSSMLIGFFQEVSTFRGIGCPRTDINRHAHLLDFLYSNMVPRYADAATGEAGDESCHRHDYRKRTLNSVMELLVKRGSQIMSVIIDFMVRFLLKFIASLPCLSILGEPIEQLTQQASEPRGGASDVQNKNTSPLFEEIAVPSVTELAYTGVRFCPTVGDLSTVDFCPATATLHLPVIGVDVNSEVLLRNLVAYEASVAAGPLALARYVELMNGIIDTEEDARLLRECGVILNHLKSDREVAELWNGMTRSVRLTRVPALDRVIDELNRHHGGCWKVRVRAFVRARVLGSRELLACVALVVLVLFVGLQAFCVVRGCVPVSYGMPSRKIGA >KQK87298 pep chromosome:Setaria_italica_v2.0:IX:6512523:6513582:-1 gene:SETIT_037120mg transcript:KQK87298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRTKQPAVGSRRTRRAEQQPQPPPAVLAESPKIVSNPIFRCETGPSQLKPPAADHLRCVYRPGSLYALVHDPAAAAAATGGNGIGIGKPLPLPPCRAHVPASRSGPALGAGGPHGRVLRSSAPQDPFLAAYVACSKSAGVGEDAAGADRHQQRQKQGKKKKRRRCQATKKRGKEGEEIARGCGIWSGWAAGARYAGAMSCRYGCAVAEQQHGEAPATAAKKEAGEDAAAGPTLDLSWAPAVLTARALERRRQQR >KQK89630 pep chromosome:Setaria_italica_v2.0:IX:34135080:34138538:1 gene:SETIT_038843mg transcript:KQK89630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKKRGKKPAKRTRPGNQFADPPLTDYELQRMRTFLHNNAKMRQLGLPVLATLFVNTRIYPKIQQQNKAENSGLEYNGEDEPNSDGHLSDDGLEPETELGCTLASLSYKKKKTTTEVTERGVQKAQPEMQPGVCTRSQKNRAADATAVTNQAEICNQATKEGEQNGCLTGDEHIQTELNLSEVAIMNDVNENGEIEGANVSEDDPFDEEVWVRGPNIGRELDSMTRSRKGKLPLVIEPGKKRPNSVMIAAKFATECNIAVRNHVPIFPHWKEYKKHPKIINIDRVAKFHTNVKAAPMKKAYVAMMKKAIRQQRYNLKKRYFDALPLHLVPKTSPVTSMTDEQWDKLVEHLKNEQKMKNRENRSKVQFHQTTGSCSYEIQIVKLADKYKNEPPNALELFKEMHYSKKKGFAPTVQSLIVEMEEKLNEPVDDGLEPKDVTDVVYEALVQKTKKNKFLVNVGLRSKGTCVSERDLEEELVVEKQTSSDLRGVIKTQQQQMEEMMKKFEESETTRVKQEEELKKRQADTDALIRTLMSMVPGCQAKW >KQK88887 pep chromosome:Setaria_italica_v2.0:IX:18228120:18229541:-1 gene:SETIT_039984mg transcript:KQK88887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKTVVLYPGVGFGHLAPMLELAKAFLLHGGGDGALDVAVALVEPPVMGNGFAAAVAREEAANTSVAFHVLPPPAPAADGEAEQTLAWKLRFLRATNAPLRDLLRSLPSVRALVLDMFCADALDVAADLGLPAYFFFPSGAAGLAVFLALPARRRSMSTSFRELGGSTVLSFPGAPPFKVSELPQELADDGEGCQATLRVAARMVDARGILVNSFESLEPRAVRALRDGLCVPDRPTPPVYCVGPLVSPGGGDKEHQCLRWLDAQPDRSVVFLCFGSRGTFPKSQLEEIAVGLEKSGQRFLWVVRSPPGAGEALDLDALLPAGFLERTEGRGLVVGSWAPQVDVLRHRAAGAFVTHCGWNSTLEGVTAGLPLLCWPLYAEQEMNRVRIVEDMRLGVEMARGDDGAVRAEEVEAKVRWLMEDSDGALALRERVAAARDRAAEAIAEGGPSDVAFVEFLKDLLEASQIRKTLHP >KQK87130 pep chromosome:Setaria_italica_v2.0:IX:5683592:5685733:-1 gene:SETIT_035249mg transcript:KQK87130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWWAVGVTLLQASRNQPTNQPTAESSGGTSRLTRAEAGARGPPARERCSTPPDPDRRDVTRDGKRRCRCAGTPLQISKPTPSRINTPIPIPNPPTPPNQTDESMDDERKEMDPSPPAAAPPPRRAAAATVSGTPTASRGGSSTGSSCSSNSHPSAPASTGTPPSAVVPWAARAGDSCYYPGCRKDANCACDICLASIDATRDLVRAPEAASARRFFAGAAAAAARGRRPALFCRDRGAGAGEGSELNEPPWTPPMRSTAKSRRAPGQQASGESGGGASGARGSHDWALYAATVLGFLLLLWVDTGLVPEAAARGFGPKLSPEAVARVGAEARLAPGGLEHKLRVLERRVGQLVGGERAANCSSQDSVWRLHQNDQHVFHWRCTVHKSVAEEVSVWGSPLRTSGLLPATLSARHLTLLSGEITEWSDGKVWPTVRASNGSSWAYRRQSASAVRLEPETWVLEYQRSALFEGTRLIPAAAELLASRCSTMARRARRRLQAKRRLFGGAQANPT >KQK90768 pep chromosome:Setaria_italica_v2.0:IX:45655209:45657498:-1 gene:SETIT_039804mg transcript:KQK90768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPRCFPFSSSTSISSISRWRRLLRLHHLLPRRPRHRALPYGETFFRRPTGRFCDGRLIVDFIAEAPGLPFSPLFLRGGGGRTAEEFRHGANFAVGTATALGKDFFREMGLSPALVRFIPPCSLDVQMEWFKQVLRLLGPTEQGNLDALSAAERKGIMPSSLFVVGEIGENDYSYLILQNRSLDAVIKPLVIPKVVAKIENAIKVLIDLGARTIVVPGHFPMGCLPRYLTMLQSTDPGDYDASGCIRRLNDLIQQHNRAIRTLLARIPRDPAVAGFYAAVVYADYYEAGLEIIRTLSSTAAAEPPSPPACRHRRPSRRRRHRLAMRRRDQLLALLLWLRVVGASRRYRHCCHGWTSTPLLPLPIVALRPTARRSQSYCLYLVGGAGDRSLGKEWRWQLAGATRPQGGALVVCCEDGGPYNSNTFVSCNATSKYVPWDGERLTEPAYRFVARGVLDGPYAEPSILST >KQK92297 pep chromosome:Setaria_italica_v2.0:IX:54796106:54797515:-1 gene:SETIT_037592mg transcript:KQK92297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEHQQQPVVVAGGSRFAVTCGLLRQYMKEQGGNGARRLAPAVAMGLVPGADAAAAVAEEPEERKPVLELFPQQAGTLKDEQQRKMKEPAERAPLTIFYGGKMVVFDDFPAEKAEELMHLAGSGNAAAAGQNALGQPSLTDMPIARKASLKRFLEKRKNRLTAGDPYPVAATESMSSKAAVKEEGAPWLGVNSALSLS >KQK87733 pep chromosome:Setaria_italica_v2.0:IX:8998802:8999369:-1 gene:SETIT_038041mg transcript:KQK87733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPRGRSRKSRGAAMRALRGARDLYVRGLRGLDRLLAAASPRRAGVGRPTSSRVFGVGCDRDPEEELRELVRAMQARRAAAPSSMGAADASGKEAAGAPVVKKRRGATQLERINEDAAVVYPTS >KQK86361 pep chromosome:Setaria_italica_v2.0:IX:1457385:1461980:1 gene:SETIT_039516mg transcript:KQK86361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGGEEAASSALCAPMKATSEGAWQGGNPLHFSLPLIILQVCLVLVLTRGLAFALRPLRQPRVIAEIIGGILLGPSALGRSKVFLDHVFPPESLTVLDTLANIGLLFFLFLVGLELDPASLRRTGRRAFAIAVAGISLPFAFGVGSSLALRAAIDPGAPRGPLVVFMGVALSITAFPVLARILAELKLLTTDLGRMAMSAAAVNDITAWILLALAIALSGSGSPFVSIYVLLCGIGFVVAAIFLVRPALVYMARRSPAGEPIKESFVCSTVAIVLAAGFVTDAIGIHALFGAFLIGVLIPKEGAYAGALTEKMEDLVSSLFLPLYFVSSGLKTNVATISGATSWGFLALVITTSCAGKIGGTVVASQLMRVPPREALALGLLMNTKGLVELIVLNIGRDRRVLNEEAFAILVLMALITTFMTTPAVTAVYTPARRAAPYMHRTVERGDADSELRVLACFHASRTIPTLLNLVEASRGTRRSKLTVYAMHLVEHSERSSAISMVQRARRNGLPFSSRRGGGGGCGGEVVVAFEAFQRLSAVTVRPMTAISDLGTIHEDIVASAVNKRAALLVLPFHKTLSHDGAMEPVDRAFHQVNVRVLRSAPCSVAVLVDRVLGGAAQVPAPDVSYAVLVLFFGGPDDREALAYAACMGEHPGIELTVARFSSAAAKPNADDGGAIKDEEALQRYVTGRLKSGNGSVKYEEVTGAERQDVTSAIKTLGRGKNLVVAGRSAPTTTPLVEKSDCPELGPVGSYLATPEFSTTASVLVVQRYNPRSDPTCRRPAGEEDTEDAVAQFPSSSSRPGESESESQHSR >KQK88740 pep chromosome:Setaria_italica_v2.0:IX:16715847:16719183:-1 gene:SETIT_036622mg transcript:KQK88740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGAMGQEQPPHYKIYVKMVKEVALDVKCTDTVDQIKSKIGSIEGIDKNHQALFFGGNHLENNNRLADYNITTNSSVDLYVTDGMQISVSIPSVGKIIKLNLKKSQSVADVKAEIEQKGGIPLDEQILMCGCQQLEDNKVLSQCGLSNGQPLHVLVRPTDKLRISVSVDVERTVNLDVKSWYTVADVKLMIETLEGLPARSQILMRTQPGGAETLKDTDTLQNQLIRNNDTLTLYQNIQFFVKTYEGRTLTMSMKTCDTVDEVMKKVEERSLAKAGVYYLHYRGRALCPGDTLHKHKVVNNSTVEARLHNSHVSMAKQKETLGS >KQK90245 pep chromosome:Setaria_italica_v2.0:IX:40959773:40962274:-1 gene:SETIT_036561mg transcript:KQK90245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARYDVEKGGRSGEGSYPPPPEQMYPQREGEREWVPWFVPVVVAVNIALFAAAMYVNNCPAHAAPTRRGGACVARGFLHRFAFQPLSENPLLGPSSATLQKLGALVWDKVVHEHQGWRLLTCIWLHAGVVHLLANMLSLVLVGLRLEQQFGYVRIAIIYIVSGVGGSVLSSLFIRNNISVGASGALFGLLGAMLSELFTNWTIYSNKAAALLTLLVVIAINLAIGILPHVDNFAHIGGFLTGFLLGFIFLMRPHYGWMQRYVRPSDVKYTSKKYLPYQWVLLAVASVLAVLGFAIGMGMLFKGVNANDHCQWCRYLSCVPTSRWSCGK >KQK89241 pep chromosome:Setaria_italica_v2.0:IX:22438802:22440196:1 gene:SETIT_036423mg transcript:KQK89241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIASAALVFLAHCLLLHRCMGSEAGGVFDHGRHGVSLVRVEAPSRCGGGTPSSPPGADTPPPKPLLVAAPREAGEYPVLVFLHGYLVVNSFYSQLLQHVASHGFIVVAPQLYTISGADATEEINAAAAVIGWLAAGGLSSALPPGVRADATKVSVSGHSRGGKVAFALALGHAKLAIPLAALVAVDPVDGMGMGRQTPPPILTGRSGALRVSAPAMVIGTGLGELPRGPLLPPCAPRGVSHAAFCDEMDPAAASACHLVARDYGHTDMMDDDTPGARGILTRAICRSGGARAPMRRFVGGATVAFLKRWVGGDGAALDGIRARPEQAPVALSVVEFLGDEAMAQIA >KQK87006 pep chromosome:Setaria_italica_v2.0:IX:5052527:5055276:1 gene:SETIT_035111mg transcript:KQK87006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCVSSTRQRRRSRKLSVAARKFRRKVSAAIADAPIIRGAGGAARCLARHGVVHVEAPDSNVTLHLTKLQWQHSQMDAGSVICEEAWYDSVSILESTDSDDDLDNDFASVSGDPLPDVTGGGNAQQTSPCKDAACLLDTVQRLRSIANAEACEGDPPEKSDDSNAPTTATNGSSCKAEECCSSAMRELQSSVSCSPRPFPSSIPSNKVQPMPIVGVSPHQQQKKKTAVVRLSFRRRSYEGDEMTELTGSANYLYRPRAGFTVPCSTNEKISDGCWSVLEPSNFKVRGESFFKDKRKSPAPDCSPYTPIGADMFAYTRKIHHIAQHLSLPSLKTHETFPSLLIVNIQLPTYPATVFGDNDGDGISLVLYFKLSDSFDKEISPQLQDSIKRLMNDEMEKVKGFPVDSTVPYTERLKILAGLANPDDLQLSTAERKLVQTYNQKPVLSRPQHKFYKGPNYFEIDLDVHRFSFISRKGLETFRERLKHGVLDLGLTIQAQKTEELPEHVLCCMRLNKIDFADNGQIPTLITAADE >KQK90779 pep chromosome:Setaria_italica_v2.0:IX:45734899:45739588:1 gene:SETIT_035288mg transcript:KQK90779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVRVAEDVEAGVVGAGGGGAGGEVTEPLLRLCGKEEDAEPKIRADEAEASECGSEGRQAGGGGSLRMVLVSTAVAVCGSFEFGTCVGYSAPTQSGIIDEVGLSISEFAIFGSILTIGAMIGAVTSGRLADFLGRKMTMRISATICMFGWLSIHLAKSAIMLYFGRTLLGFSTGVLSYVVPVFIAEIAPKNLRGGLATSNQLLICSGSSATYIIGALVAWRNLVLVGLVPCVLLLGGLFFIPESPRWLANVGREKEFHISLQKLRGEDADISEEAIEIKEYIESLHSFPKARLQDLFLSKNIYAVIVGVGLMVFQQLGGINGVGFYASYIFSSAGFSGKLGTILIGIIQIPITLFGAILMDKSGRRVLLMVSTSGTFLGCFMTGISFYLKAQGLFPEWVPTLALSGILVYIGAYSIGMGPVPWVVMSEIFSIEMKAIGGSLVTLVSWLGSFAISYSFSFLMDWSSAGTFFMFAAASLITILFVAKLVPETKGRTLEEIQDSLNSRR >KQK93094 pep chromosome:Setaria_italica_v2.0:IX:58735942:58736439:-1 gene:SETIT_040799mg transcript:KQK93094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERKMPWSGEYPQSKVIRLNALQGAAEELGDLLEHRIGN >KQK90825 pep chromosome:Setaria_italica_v2.0:IX:46022605:46026756:-1 gene:SETIT_039195mg transcript:KQK90825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVSLTGNSFHVQDDAEPEGGLVRWVREGLVRVTVDEPTRLPDILTVSLHLRATPAEIACHLEGVTVVGVDHHFIVLNTGPKLHDFASSGHYLVHDTREEKLHLVPPIDLNEYREDFGRVPVIITGAGAGASSDFLLALKHSLFVFTGNHNDNHWIRKERRLPGEVTEYSFRTDVSFPIHGGLACWVDLNLGIMLCDLRSDDPCVRFVPLPEDYGMISFALLRGRPNVYSTAGFVDGSLRLVYMDGYDDEEFPRDQVIISTWSYKGGLDIGEPGQQGEWVREHGAAALRVGELLADTSFRAVPGLPERVVYFFSSDLAFVDGHVATRGEHVLCLNMESKKVQSWRKCPPGRSFQLIPFLIGVELPVDPRFSDLYHQATSQLKHLICSIVYMNCNALMPETAFDEN >KQK89438 pep chromosome:Setaria_italica_v2.0:IX:29707203:29707451:1 gene:SETIT_038687mg transcript:KQK89438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTHVETCVRTDPAREVVLSLNNIYALSLDLRLGYQTLRRSRVVIKVAFGFVKKHAMRHGQSRWDLPLSD >KQK91500 pep chromosome:Setaria_italica_v2.0:IX:50261986:50265808:-1 gene:SETIT_037341mg transcript:KQK91500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAVEKKEAEQEEQQLPQARKEDATAAVVEEDEADSEETERRNRDLKAGLHPLRRKLMLWYTRRTPGARSQSYEDNIKKIIDFSTVESFWVCYCHLARPSSLPSPTDLHLFKDGIRPLWEDPANQNGGKWIIRFKKAVSGRFWEDLVLVLVGDQLDFSDDVCGVVLSVRFNEDILSVWNRNASDHQAVMTLRDSIKRHLKLPHSYLMEYKPHDASRRDNSSYRNTWLRG >KQK90276 pep chromosome:Setaria_italica_v2.0:IX:41204594:41205489:-1 gene:SETIT_039326mg transcript:KQK90276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLAIFLLAVAATWQQAAASALPPAPAPAASALPPAPAPAGLGDAAGAEQAEVEFVRGCCARTLYPRLCCAALSPYAASVHSSHARLALASANLTLAALDALAARIPRPGSGGASSGALRDCAELVASAADQAARAAERLRGVERAVGLEVTWRVDDARTWLSAAMTNEDTCADGLCPRKSAPAPVRAELRARVRRAKQYTSIALTLVNMLYSSRS >KQK87859 pep chromosome:Setaria_italica_v2.0:IX:9806749:9808440:-1 gene:SETIT_039744mg transcript:KQK87859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRRRARTAPAAVPSACFLLLLLLLLTSSSLLSPTPPSRDGSSSSSLFAGKGAQGSDCAELQSIAGGEARCAYLRAHSPCSPAGYVDYLRLFYCGFAGAPAAACTVLALWLAVLFYLLGDTASEYFCASLEGLSSALRLPPAVAGVTLLSLGNGAPDVFASVVSFAAGDVGGVGLDSALGGALFVSTVVAGAVALAVGARGGIVVEPRGFVRDLCFLLLALCYLLAVLVNGAVTVWVAVSFVSLYVGYVVVVWTSHCCAEQGKPPAAGLAAPLLLDEDDDVPSLPSHSKTEASRGRAWLHCLATALCMPLYLPRRLTIPDIAAHRWSRPYAIASAALAPVLLAFTWTSQRHNPVSSTHRHSLAVLLGGAGLGLILAALAAATTDATSPPRGRKRRVPWLAAGFLMSVLWAYTLARELVALLVAIGYVVGVRPSVLGVTVLAWGDSLGDLVSNVAMAVHGGPGGAQTAVSGCYAGPLFNTVVGLGLSLALAAGARHPAPFLVPADAAAYEAVGFLAAALAWALFVVPVRGMRIDRVYGVGLIAIYLCFFAVRVFETLGLWSS >KQK92215 pep chromosome:Setaria_italica_v2.0:IX:54428137:54429521:1 gene:SETIT_036049mg transcript:KQK92215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITASSSPAMQEISSKAASTTSPARATTATPVPVPVRNRPSLMVIFSACLVLLGAGGPLLLRVYFVHGGERLFLSTMLQISGWPLLLPPICVSLFRGRSHGVANLLLPPRLACAGAVLGAFYAISCFVYSLGSQALPLSTSSLLLATQLAFTAVFAFLFAGLRFTPFSANAVVLLTIGPAVLGVGPGSGRPAGQSSKTYWTGFCEAVAAAALAGLVLPLVEVAMARYGRRTGPAARVPPPYVTVMQMQAVMGAAGTVVCLLGMAIKSDFQALPNEAATFGLGKTNYYLVLIWDAISWQLLNLGIMGLITCASSLLAGIMIAVLLPLSQVLSVIFLHEKFNGPKGIALVLSLWGFASYLYGERAQKKQELQKNEQQLALAKKTGDLESAAP >KQK88821 pep chromosome:Setaria_italica_v2.0:IX:17419336:17419959:1 gene:SETIT_038454mg transcript:KQK88821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSPRALCELGSRSMARRGGGDRYRQRQCWGALQEDAGDAEEGGGGDGAIRRSGEVIT >KQK89973 pep chromosome:Setaria_italica_v2.0:IX:38018711:38020204:1 gene:SETIT_036940mg transcript:KQK89973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVMISFKAIALVAALLSVVATYSAHAEPNYNETTARRELYYSVGSTPSTTWRPARATWYGRHNGAGPDNNGGGCGYSNTNLYPFNSMTTCGNQPLFLDGKGCGACYQIKCTSKNNPACSGEPKQVIITDVNYDTKIAPYHFDLSGTAFGAMAKPGYNDKLRKAGIIDMEFRRVPCNYKGLPVRFHVMGGCNPFYFAVIVYYAGSDGAVVQVDLKEANSNTWRPLYESWGAVWRIDPGHPLKAPLSLRVRSDSGKILVANNVIPLNWRGNADYRTIAQFR >KQK86908 pep chromosome:Setaria_italica_v2.0:IX:4408504:4412207:-1 gene:SETIT_034505mg transcript:KQK86908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNSSQNLSSCSTGGSDAAVGGGGSWLGFSLSPHMAATMDGSDGSNAVQMQQQHHGGLFYPPVVSASPAGFCYALGGGGQDGVSTAGANGGGGFYPGLSAMPLKSDGSLCIMEALHRSEQDHHGVVVSSASPKLEDFLGGGPAMALSLDNSSFYYGGGHGHGQDQAGYLQPLHCAGIPGSGGHGHDVYGGHAQLVDEHSAAAMAASWFAARGGDGYDVNGGAGAILPVQGNLHPLALSMSSGTGSQSSCVTMQAGARPHPHADAVAEYIGLDGSKKRGGGGAVQKQPTVHRKSIDTFGQRTSQYRGVTRYIPSHRIPTPSVRRCRRHHTRSAPTPATSRSLVAWFPPCKSRHRWTGRYEAHLWDNSCKKEGQTRKGRQGGYDMEEKAARAYDLAALKYWGTSTHINFPIEDYREELEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVSGNKDLYLGTFSTQEEAAEAYDVAAIKFRGLNAVTNFDITRYDVDKIMESNTLLPGEQVRRIKDSEQASEGDAVASAAAAVVQAGSCGAADTWRIQAAAALPGVARADELHVKGQHQDLLSSEAFSLLHDVVSVDAAGQGGGGGASAHMSNASSLAPSVSNSREQSPDRGVGGGGGLAMLFAKPAAAASKLACPLPLGSWVSPSPVSARPGVSIAHLPMFAAWTDA >KQK87519 pep chromosome:Setaria_italica_v2.0:IX:7746861:7747306:-1 gene:SETIT_040705mg transcript:KQK87519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHVVHATKHTLSLLSQLPTTHKSKSMLCHTLFHPSPIRFWIENYNQINAPQANWIEKLVIKKVLVQP >KQK88124 pep chromosome:Setaria_italica_v2.0:IX:11705262:11705838:-1 gene:SETIT_040439mg transcript:KQK88124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELPSLNQRREGVGMVAREQWIRVLMPFRIRSAWLEGQRGRVDG >KQK86247 pep chromosome:Setaria_italica_v2.0:IX:917712:920065:1 gene:SETIT_036894mg transcript:KQK86247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFKGAKMPSAPAGGSALVKVALVGGAGLYAVLNSFYNVEGGHRAIVFNRIEGIKDKVYPEGTHLMIPWIERPIIYDVRARPNLVESTSGSRDLQMVRIGLRVLTRPMPDQLPKIYRNLGENFNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERANNFNIALDDVSITSLSFGKEFTHAIEAKQVAAQEAERAKFIVEKAEQDKQSAIIRAQGEAKSAELIGQAIANNPAFLALRQIEAAREISHTMASSNNRVFLDSNDLLLGLQQLSVSGKQKK >KQK92509 pep chromosome:Setaria_italica_v2.0:IX:55881788:55884801:1 gene:SETIT_036268mg transcript:KQK92509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLISTPAASPTPSPSPSQTRIRLPGGSPLLPSRRLPAAAWPRLRLLQPARPGAIALASPLRHEGVSAVPEEVPRAAAAAAVGHPWKLLGSLLPKASTAALFLLTTLITGTLHSTIPHSAYASMQPVAKTGGGLLTTEILSSGWAGFLAGCLHTLSGPDHLVALAPLSIGRSSLESGLVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVFRAWGTRVVGLTLLIIGAMGIREASEVQESTQLVLEGVDASMSSSDPLQAPSAPRKKKVGFATFATGIVHGLQPDALLMVLPALALPSRFAGAAFLGMFLVGTVFSMGSYTAFVGSCSEALKEKVPRITEKLTWAASLVAIGMGLALLVGQFFGFSLY >KQK91270 pep chromosome:Setaria_italica_v2.0:IX:48923537:48926186:1 gene:SETIT_040775mg transcript:KQK91270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVTRTQIQVMLKNHTNKEILRIVNPLMDGISTCTCACTFGMTTKPAKQHKLPLEASDMQFCRGIWLCPCYLACSLDIDVSFFLFLFPFHSVSYTVLLWFMGFLCSGLFWFV >KQK88123 pep chromosome:Setaria_italica_v2.0:IX:11693477:11696072:1 gene:SETIT_035524mg transcript:KQK88123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRQGFFAALREEVARGLSPARARRKSEAADLAAAFRFAGGGGGGGEMLAPLMEGPDPESGDGEGCGGGGGRGARGRKEGWGHWVRGQLARAPSSVAAAAAGAGATRNDLRMLLGVMGAPLAPVQVCTAEPLPHLSVKDTPLETSSAHYILQQYLAASGGHKLLASVRNAYTMGKVRMVATEHETAGRLTKNRNAGRGGEPGRFVLWQMAPEMWYIELAVGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRQLRRTLQGLDPLITASMFAGARCIGEKKVNGEDCFILKLSTDAETLKARSEGFAEMIRHVMFGYFSQRTGLLVHIEDSHLTRIQSNTGGDAIYWETTISSFMEDYRPVDGIMIAHSGRSVVTLFRFGEVAMSHTKTRMEEVWSIEEVAFNVPGLSMDCFIPPTDIKSGSVDETMELTNGERSRAGPPPGHRAKVAALEKAEEDKVAWGGGTILENHN >KQK87344 pep chromosome:Setaria_italica_v2.0:IX:6768397:6772317:1 gene:SETIT_039077mg transcript:KQK87344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAADDASPSPGYVLRSTLSGHRRAVSTVKFSPDGRLLASASADKLLRVWSSSDLTPVAELAGHGEGVSDLSFSPDGRLLASASDDRTVRIWDLAAGGGARLVKTLTGHTNYAFCVSFSPHGNVLASGSFDETVRVWEVRSGKCLRVLPAHSEPVTAVDFDRDGAMIVSGSYDGLCRVWDSATGHCVKTLIDDESPPVSFAKFSPNGKFVLAATLDSTLRLWNFSAGKFLKTYTGHVNTKYCIPAAFSITNGKYIVSGSEDKCVYLWDLQSRKIVQKLEGHTDTVIAVSCHPRENMIASGALDNDKTVKVWVQKEDQ >KQK86334 pep chromosome:Setaria_italica_v2.0:IX:1334826:1335386:-1 gene:SETIT_040170mg transcript:KQK86334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASVRVLVLLLAVSASSLRRTAATITVEAACQQHTKHPEFCVKALSSANPEMKAAALNGGLPGIAELSLSLAAQSGAETVAFVKGLESMPGGMPPECLEECVGKFQAAVAELQRSKAAVEQGKDESGVSTWLSAAKTDGETCMDDCHRIEGGAELQMVDKVADLTKMCSIALSLTDASVHNRTA >KQK92525 pep chromosome:Setaria_italica_v2.0:IX:55960661:55961081:1 gene:SETIT_040731mg transcript:KQK92525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIILLAQVLSFVPKSLIIDLYYIIVRIHPPLQQRCFHIYLACQGHSPWTSSSSLV >KQK87758 pep chromosome:Setaria_italica_v2.0:IX:9158578:9159433:1 gene:SETIT_038040mg transcript:KQK87758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLFLLLLALLLTASAHASTHGHGKAAFAEDKSIVGVIGVIGSRPPSCAGRCRSCGHCEAVQVPISPQELQKKKKLGHGSRAAAAAAAAAAATGGRTMPASYDDHSNYKPLSWRCKCGRLILDP >KQK90877 pep chromosome:Setaria_italica_v2.0:IX:46311775:46312437:-1 gene:SETIT_038971mg transcript:KQK90877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGSRGFTPPVSRPIPLGYKIQERRPADPPPTPSPAIHIGSDPPSSRDHLPPRAPAPMALPFAQVQLLADAENLRHVVDPHYVKLVGVERLCDAPAMESVFLEKSMEGTGWEVLGMDQLRHASRLDMRLRTVRYEIIPALLRNPADDSALRRLSGQAQGIRRIAKRSSGYLRTLAAWIENRFRSVAEKVLKSKRPPSWLADRLYGLEVISKKVHKRRYILL >KQK86208 pep chromosome:Setaria_italica_v2.0:IX:766360:768782:1 gene:SETIT_036083mg transcript:KQK86208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMATTASNRLLLALTLSIVVVGAAAAAPPAAAAPPSAFRRVYAFGDSFTDTGNTRSTTGPYSFGYVSSPPYGATFFHRSTNRYSDGRLVVDFLAEALNLPSFLPPYLSLSNASAAAADGGGGVNFAVAGSTAIEHDFFARNNLSLDITPQSIMTQLAWFDDHLRKANESSKVVGDALFWVGEIGANDYAYTVIARDTIPTKLVRTMAVQRITTFVEGLLERGAKYVMVQGLPLTGCLTLAMTLARPDDRDNVSCVASVNRQSYAHNRRLLAALHQLRRRHPDAVIAYADYYAAHLAVMRSPAAYGFTEPFRACCGSGGGDYNFDLFATCGSPAVNTACAQPARYVNWDGVHMTEAMYKVVAGMFFHAGDAYCRPAFSDLLARKAQGKP >KQK88774 pep chromosome:Setaria_italica_v2.0:IX:17077545:17078525:-1 gene:SETIT_039962mg transcript:KQK88774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATRRKRPAPTGTCSSCPAAAGKKRPRYQFGSIYNYEKLEVLGEGTYGVVVKARDQRTGETVAIKWIRPDDDGAGATDLRAVFREAGCLEACRGHPSIVQMKEVAADEVTGHVFIVMEFVGPSLESRLTRPFSEAETRAMMRQLLRGAEKLHGEGTVHRDIKPDNILVGPGGTLKICDLGMAAPARRAGEPYPEETVAALWYRAPELLRGARTYGAAVDMWALGCVMSELLTGEPLFEDAKTEDDVLHRAHDLEDAMEFTDLAFGDLPELSEAGREVLRGLLSFAPEKRLTAAEALSHRWFDGEDAPLSSALCPRKDRRGFISFI >KQK91405 pep chromosome:Setaria_italica_v2.0:IX:49615656:49622679:1 gene:SETIT_033954mg transcript:KQK91405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAKKEEVCSHRLVPRLGEPAVGVPIKKRPVLLSDRSVASSMPLSIKPPSPVKDMPVSATGAGCGHESFFNIAKSDTNVITKGKGITDTQIQDHANRSFTSLSMTSGHGGLFNASSEIPSAESAARRFPPVVESQRQNFLALDLQLPSRQNGKDSNYGSIVKEEKVDQALSGLSSAEAHNNVHVASELNASSYSNSSDGRLPNLDLNVPLDPADSLEGLPTMHESGNGLFHHRTIQHQKAQVAPAAPISTTSNGVGQNVGSTVNMSNSFGLSHKCGPADVTLDLQLKPPTRPELGINWKGLVPAPELSLSLFGKPMDECKSLGAPNALFDSEIAGSSKKGSEETASTPGSDKVLVEKIVTPGPCNANPQDTTSATVSGIDQMTSHNLVKKEPEETPQQHILKGAEKAHLLERQSVVPASNCAESEMTDSPPQVPSRAGFDLNSEIFPNNGIHDGLNVATDNVPIPAESLLDITQAKTMPAVPEVEIDVKREESTNPVVAPVGGHSASLMEAKSLPSLSTVASPAVGLCESSSQPSVSTVCKPPARHVPVHADTTRRPCAANEACGALQGSSNPRAKSLLPNSRDNATIDGMSQGSAEMDCSDDEGNTVSRFPTTNKPHGESLGNGPTTKEDDINANNFCKELKKEQDSDMHEDCSSVTNKVNTQAVDGDKRIKTRVSVVSHAGEQGHRNEVFVTEKSKDKQSLNSGKNSPPTKTDNTMDDVKAATGSGSTDLQRPSALQKSTAPKLQPTRQSPKTSDLCLEKDRSSDIKSEMSPHGKQAASFNENHAKTAAVKMEHQTENEEVARHSDLQRRDSVLGKDSEVDGASSSQPHSECTKGKSAFEKLEHDKFQPDLCKTSPLQNERDGQLVGSHWRDLGHAYVNRNERWERFMESEREKNNGEWHGGRHASDMTNQRMTDHRGGWRGAGPRGHPRNFRGPRMRNEFADEPIGGRRRSFEDEPGHLNRVPHRRRRSPPPDSLMREVDIDGFHGREIPDHRLLAHGQIEDLPDDMMEDRFFMPRSHRHRGQGDHGFIQRDRSHSPAQRRGGHVHFHRGRSPEAMPRSPPLMRNERPYLSHCRHSRGHDERGGMQRNARRRGMEGDAFEPLLHPADLAELHAEEELTGRRKYTERRTYLRSSVSDEDEMLSYHTEDDMEFAEAGGGPREHDSRFRNRMAHDRARGEQEDGYRHRAHQGWRDGDSNDGRPKRRRY >KQK86599 pep chromosome:Setaria_italica_v2.0:IX:2842065:2845311:1 gene:SETIT_037131mg transcript:KQK86599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPAVAAELWRPPHLAAGGGRAVEATSAVTEKSGGGRGGGSAGRRKQREAPASEDDSSRIVSTSGGGGGQDLTDSGAKRFKTNKSNDNNGNQRTEAETQTRSAGKGVSKNPPAPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKVLQDLVPGCNKVIGKASVLDEIINYIQSLQCQVEFLSMKLEAVNAHANNGEAFPSKDFAAQTYNTAPGLTFDTQTPREYAQGTSASEWLHMQIGGGAYERVS >KQK90806 pep chromosome:Setaria_italica_v2.0:IX:45856638:45857123:-1 gene:SETIT_039161mg transcript:KQK90806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDGTGRLEVVRAHNADGSILRLESVDFEKQPRTCGCECSIKILGLRRTEWTLPTGTNLTVVGEAVKGNSGEILIKRPRNGGPFHVSRSSIDKIVSNLGSDARY >KQK88442 pep chromosome:Setaria_italica_v2.0:IX:14275048:14275831:1 gene:SETIT_037831mg transcript:KQK88442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLETKSNVPSNVVPSHARSIALQERRADVSLSARTPPACYTHLTLRPHLRLVERKEETVRTVPRAMAKAVAVLAAALLLVAAMGSGGASAQDCDSSRLADCAPAFLFGVTPSQSCCDKLRLEEQRGCLCVYAHDPQYSGFLNSTKARDALAYCQVAYPSC >KQK87931 pep chromosome:Setaria_italica_v2.0:IX:10261566:10269466:-1 gene:SETIT_033950mg transcript:KQK87931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEYEEGMEMGYGGHHQHRGGHAGYAEEEEEVGYGGGDGDEMEEDGDAEQRDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGRQAEFAETLHKISFGQIYLSKPMMTEADGETATLFPKSARLRNLTYSAPLYVDVSYRVMKKGHDCEEVTETSDYPKVFIGKVPIMLRSSYCTLYQQSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKFSYVAEVRSMAENQNRPASSMFVRMLSRAGAKGGSSGQYIRATLPYIRADIPIIIVFRALGFVADKDILEHICYDFSDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEFCETKKAYYFGYIIHRLLMCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKEVNLQFAIKAKTITSGLKYSLATGNWGQANQAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWGTENFEEISPAVIPQAAKIFVNGCWVGIHRNPDLLVKTLRRLRRQIDVNTEVGVVRDIRLKELRLYTDYGRCSRPLFIVENQRLLIKKAHIRALQQRETPDEGWHELVSKGYIEYIDTEEEETTMISMTINDLINARHNPEEAYSETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQLRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEEFGRPNRENTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTSPIPQDDAQGQASRYSKRDHSTSLRHSESGMVDQVLLTTNADGLRFVKVRMRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTIEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRKLTAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAFFLKERLFDQSDAYRVHVCEKCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTHDMKTGKDQKKR >KQK88761 pep chromosome:Setaria_italica_v2.0:IX:17018672:17027557:1 gene:SETIT_034077mg transcript:KQK88761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRGGRGGGGPNSHRGRGRGGGGGGRGGRGGGGGGGGRGEQRWWDPQWRAERLRQMHGEVEKVDENEWWNRIGQLREGTQQELVVKRNFGRDGQNILADMAQRQGIYFNAYNKGKTLVFSKVPLPDYRADLDERHGSTQKEIKMSNQTERRVEDLLSRSKLNTSDSASTSNVSIRQSLPSTSSSVVERATDVDKEKLSSQLRDLQNSRKMAASARSMQSFREKLPAFNMREGFLKAVAANQVLVISGETGCGKTTQLPQFILEEEIDNLCGADCSIICTQPRRISAISVAARVAAERGEELGETVGYQIRLESKRSAQTRLLFCTTGVLLRRLAQEPDLVGVSHLLIDEIHERGMNEDFLIIILRDLLPRRPDLRLVLMSATINAELFSKYFGDASIMHIPGFTFPVAEFFLEDVLEKTRYKIKSERDSSAGSSRRKRLSSVKSDPLSDLFEDIDIDKEYGNYSITTRQSLEAWSAAELDLSLVESTIEYICRYEAEGAILVFLTGWDEISKLLDKIKGNTFLGSSNRFLVLPLHGSMPTVNQREIFDRPPANMRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGFCYRLYPKVIHDAMPQFQLPEILRTPLQELCLTIKSLQLGAVSSFLAKSLQPPDPLSVNNAIELLKTIGALDDMEELTSLGRHLCTLPLDPNLGKMLLMGSVFQCLDPVLTIAAALAYRNPFVLPIDRKEEADAVKRSFAGNSCSDHIALLKAFVAWNEAKRSGRERSFCWENFLSPMTLKMMDDMRNQFFDLLSDIGFVSKTRGVKAYNQYGSDLEMVCAVLCAGLYPNVVQCKRRGKRTAFYTKDVGKVDIHPSSVNAFVNQFPLPYLVYSEKVKTASIYVRDSTNISDYALLLFGGSLSPSKTGEGIEMLGGYLHFSAPKRTIELIQRLRGELDKLLQRKIEEPGVDIFSEGMGVVAAAVELLHSQNEYH >KQK88567 pep chromosome:Setaria_italica_v2.0:IX:15136474:15137037:1 gene:SETIT_039370mg transcript:KQK88567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTRLLVLFALLQIMCFPFHEVSAATCHVSGVIRGKGRNCNRENGLDSCCIAGKHYPQFRCSPPVSAKTPAILTVNRFDNGADHVTRITSCDMRFHRDKELVVILSSGWLRLDGTNRCNKKIRVHANGRSVLAKVVDECDSVHGCKEENDFEPPCRNNVLNASPAVWKALRLNQTIGELKVTWSDV >KQK92886 pep chromosome:Setaria_italica_v2.0:IX:57713540:57715303:1 gene:SETIT_037620mg transcript:KQK92886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSTLSSFLLCCFYPSGGHRHGHRAGAYYYSSHPTSTNTLYYNEGGPFAGRRMGRSRPLSLQTVELKVRMCCSGCERVVKHALTKLRGVDTVEVEVEMEKVTVTGYVDRHRVLKEVRRAGKKAEFWPNPDLPLHFTSAKDYFHDEESYRRTYNYYRHGYNGDKHGNLPEPQRGADPVANMFNDDDVNACSIM >KQK91848 pep chromosome:Setaria_italica_v2.0:IX:52259674:52261531:-1 gene:SETIT_035497mg transcript:KQK91848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRLRSMEARGGAAAVETHRVDLPPTATLGDVKTLLAAKLSALPVPAESVRLSLNRSEELVSPDPAATLPALGLASGDLVFFALSPLTALAPPAQALPRNPSPGSGSVPTAMAVDGGKGSEKPSTGGSSLQARAVVVNPSVPAASSPADVVMAETVDATKGWSSFVLRDLKREMGNVVGAEGTAGGRLVAALHAALLEFGFHITNPMGSRLSLPPDWPPVASKPLAIKYTIPELSPMLHVAEEGKVAVLNFSLMPNFVIVYGYVPGAQSEVRRLCLELPRLEPLLYLDSDQLSAVQERGILDLWTVLKDEMCLPLMISLCQLNGLRLPPCLMALPADLKSKVLDLLPGDDLARVECTCKEMRNLAADDSLWKKFVQFRNSGEGPRGSMSAKAIFAEAWQANKKRQKRPHPTFWNYGWGNNPYSHPLRLPLIGGDSDRLPFIGNQGSVGRNFGNQRRNIVPNCILDGHRHNFL >KQK90792 pep chromosome:Setaria_italica_v2.0:IX:45792503:45793633:-1 gene:SETIT_039385mg transcript:KQK90792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAAIATLDDGSPFDPSLWDDFFITYTPPESELVDALERLGIDNHFHEEIAMALSRAYNNGLEFGSYNSDLHIVALWFRILRQHGIWVSVDVFDKFKDSIGNFKPTLSSDPRSLLSLHNAAHLATPSDEQALDEAISFSRRHLESMKGTSLAKLPSGVRAGALRIESHCWTPLRRFAEHI >KQK92346 pep chromosome:Setaria_italica_v2.0:IX:55042899:55043128:-1 gene:SETIT_040428mg transcript:KQK92346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILTMCWMLLHYGYYRFMDAALFIGRSFHSVLLFVGQMVYLFI >KQK90081 pep chromosome:Setaria_italica_v2.0:IX:39443302:39447480:1 gene:SETIT_039617mg transcript:KQK90081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQENTAESSLSGLAHLSSGASGSSKDLLDTAEETIEELLAEAQMWEAHSRQLKNDLETLQKECDEKSEKQSELLLELSASQAEQESLRQEIEELKLSLEVATARQTVAGLAKSGDAIDVQHELKDEVQFLRESNENLTIQLKKTQDANIELVSILQELEETVEAQRAEISSISQMSNVIDPELPINALSVQEDAEWARKLSLKEDEIVELKEKLDRLLNIENAGGAGSDAIYLELEKENDYLKVKMEELENDCSELTEENLELIHKLKEVSGVEGQDSCISDIQEMLNAGDLSGTSKSRVKYLQRKCADLELRMLNFQSESRELEEKFQKSQEELKERTLELSELRENLSNSCATELEESESELNLLKGRVQLKEREIEGLQHSKLEMETFIDNVLQRKIHELENDKVELELHISRLEDEKIELSESISGMEAELTNLTSEYESCIVQMDDSRTLIIDLKDKVQWQQAELEAQKVELKQKHLAFQKRFSEVQEDSETLKRLNAKLQAKVDNLVEECSSLQALTADLKKQKLELHSCATQLEQELEHSKRKTTDFCKTVEFLEAKLSSIQKDISSKEQSFLLELENVFQEHKEHEERINRAHSLLNKIEKEKIIEVENLEREIMSLTAQLSSTHEERESSTLDTIREASILRADKAKLEANLNDVNEQLRHHESQLEDIRKESKSKIKSLLDSLNTSKQNEEMLKTDAEDMRRLMEAAKSNEENLRTTSNELELKFKSSDYEKHQIMEENSGLKIQVQKIAGLQDELLKLQSSLDESKFEKGKLEELLRLLSEECDGLKVQKAMLTDKVAHMQDTSNNIGDEKQSKTAIQAKHESSIKQGNNDLATDSGGSSPVNEEPDLQTKIKSLESRLAEVLEENSMYRTQLKSPMPEGQSGSKDGEENNDDKIAQLESELKDMQDRLLN >KQK87324 pep chromosome:Setaria_italica_v2.0:IX:6679052:6680651:1 gene:SETIT_038700mg transcript:KQK87324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPFLISQGYHGLGRALALRIPRVGCHPFCAGARGDSGRRARSLRHYFTGDPPTPMEEITHHFGVGASGGHGHVQHHLHHHHPWGSSLSAVVAPPPQTPPSAGLPLTLNTAATGNSGGAGGNPVLQLANGGGLLDACVKAKEPSSSSPYAGDVDAIKAKIISHPHYYSLLAAYLECQKVGAPPEVSARLTAMAQELEARQRTALGGLGAATEPELDQFMEAYHEMLVKFREELTRPLQEAMEFMRRVESQLNSLSISGRSLRNILSS >KQK86303 pep chromosome:Setaria_italica_v2.0:IX:1207338:1210729:1 gene:SETIT_035933mg transcript:KQK86303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDQQKLHPHSSFMSKCERIRRPDGRVRVGVNTSCVDHVRALRSFCSDARWHSLSRSYRGEAYSKSARDNTRYGRGPGPPAAPMASLVPFPPPSPLPASVPRRRPSATRRLAACAARPSGDCSEAYSHERPRITEDAVQAPPPRSRHPGGPPPLSLSAFRPHKGRGAGPTHVRTRQTRPRRISPSLPSPSAERHPHLCPRKSCGKSRSASLPLPLPHPGAMEEAKVVESKDGTISVASAFAGHQEAVQDRDHKFLSKAVEEAYRGVDCGDGGPFGAVVVRNDEVVVSCHNMVLKHTDPTAHAEVTAIREACKKLGKIELSDCEIYASCEPCPMCFGAVHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTGYYQKSNMEIKQADGNGALIAEQVFEKTKEKFQMY >KQK88015 pep chromosome:Setaria_italica_v2.0:IX:10903383:10907752:1 gene:SETIT_036812mg transcript:KQK88015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILAVASPLKTLNPSPADPRRRRLSYSLLRLPPPVPRGRRLWCSAQYGETAAPPTTTPRPAEIPWSRELCNSVRLIGTVGTEVELRQLPSGSAVARGRLAVWKSATETTWVTLQFWDDLALVASEHVKKGDRIFVSGRLVSDTVDEGPEKRHVYYKVAVQQLNFIESMQPVRLYEPEASDDNPGGSRGGYFDSTSSSSEDKNRDNISSSSRSTEELWQAFFANPLDWWDNRTNKKNPRYPDFKHKHTGEALWVDGRNNPNWVISQLAVLDSRMGSLQDNERKPVSYMYADDFMTLDGSR >KQK90555 pep chromosome:Setaria_italica_v2.0:IX:43625309:43625470:-1 gene:SETIT_038898mg transcript:KQK90555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGTISPDGTGNGGAGGGVLGEDTRATDPGHSPGAGHAATNNGVGRRLLTVN >KQK89394 pep chromosome:Setaria_italica_v2.0:IX:27566525:27570433:-1 gene:SETIT_035699mg transcript:KQK89394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIWCVVQEEQGEPDPKLKIIERPVEDGDEEKTCGGGGGEEEKNGGRGDTVDEEDSEDDSEEDSEEDSEEDSEEDSEEDSEEDMRGWDEEDGNPYLPVKWPWEYPLHICPEGQKYTLEEAKEIVESTWERNGDLLSEWSDLFNNNTTPLPALPLRVLPRVTKNCVSGDDCYHVQYWIGDTDETALDHPYFIPCEMMQVFSLGLSSPLARPINIYGHFSVRDAWEPLRNYLFNRSRNDPAMISQGCSFLPLCSPCRGIYVCPYFLMDVNLWIKEEEGSPDTPLFSGYVEIDTSFAGFGSVLIGRFQGEVYGVNMIFALLGNSIETVIEVNAEAEQPSDVRISASTSGFDEEISLYDGKFCGSGSMFKHIVAVKKQEELHVVLKMNESTYKWTFKAGIGVVIAPEHPVSGFTQYFVMNVSFRTKGKAASAWQWSCICNDVRVSRMCL >KQK89864 pep chromosome:Setaria_italica_v2.0:IX:36550470:36573854:1 gene:SETIT_0338251mg transcript:KQK89864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIWDSYSSEEQDIYLNFNLVLSDVSAFLVDGDYHWNETSDGINLLPVIDKCGIALKLQQIQLESPLYPSTRMALRVPSLGFHFSPARYHRLMEILKIFQDSDSENSTSDLEHLWDQADFEGWSSLLVWKGVGNREAAWQRRYLRLVGPFLYVFENSTSTTYKQWFSLRGKQVHQVPAELTNGVHNILALHDSGQILEDTGALIFLFDNEEGRKIWQSRLQGAIYRASGSAAVSSFPEVALSSETNSFKGNFADVDTEKLFVAGILDELKICFSCGYEDDHKLKKVLLAKESSLFEFRAVGGQVELSVKGGNLLIGTILGSLEIEDQYYYPGSPVPRFLARSFINSMQTQEVPSPSRKNSAGPKGTPLKKNDSEENFFEASDDFDEFETPMLQERTISDYFSTQNFLPASLPSLQPPTFSRIPGLIPDSELQTVGFTLDGNDTIDSFVKAQIVIYDQHSPQYNNLDNRVVVTVATLTFFCHRPTVIAIMEFMNAINLANGPDTNKYKDTYPATVEDGTIEESKSDLEPEPAIKGLLAKGKSRIVFHLTSSMAEAQILLMNENGDRLATLSQNNLSTDIKVFTSSFSIKAALGNLKISDDSLRSSHPYFWVCDMRNPGGRSFVEIDFSSYNVGDEDYCGYDYSLAGQLSEVRIVYLNRFVQEIISYFMGLVPKSSDGVVKLKDDVTNSEKWVSKTDMEGSPALKLDVSFSRPIIVMPRETDSADFLELDVLYITVQNEFQWIGGDKNEMSAVHLDILTVTVKDINLVIGMDMVRGETIIQDVEGLSFELRRSLRDLRHQLPAVEAAIKVDVLKAALSNREYEIISECALSNFSETPRTVPTLNDPQYGTSTTPSHASASSESIQDLSQDEETWISNKFSVSVNLVELSLHSGSTRDSPLASVQASGAWLLYKSNTREESFLYATLKGFSVFDGREGTKDELRLAIGKSATVRDTSSVDGYDNHNELDSGEQRIQKDLGLEPIPPMLILDAIFRKSSSSLYLCVQRPKFLVALDFLLAIVEFFVPSARSLLSNDEDKDLLHMISPVILNDQIYYQEGSTFSLSPQKPLIVDSERFDHFIYDGKGGKLYLLDREGKLLSRPSSESFIHVLGCKRLQFRNVTIVNGEYLDSCVSLGDDCCYSASENDCVYFIREDDGLLSAPSKEIAEDTVKNASVDISTEFILELQAIGPELTFYSTSRNAGENLALSTKVIHARTDAHCRLIMKGGSMEMNGNILGLKMESNGIRVIEPFDMAVKYSSASGKTNLHVLVSEIYMNFSFSILRLFLAVEEEISAFLRMSSKKMSLECYQFDKVATVQAPTGYVAMGCVVSPGAAEPPLSSVFCLTASLVSSCNLRDCIALRDNGNMIFWRVDNSFGSFLPGYPSSMSMHGHAYDLRHMLFNSAGSSSKTISRSQDCRNDAAQLERSALTSGRLFEAVASFKLIWSNSGTSSPKKLSIWRPMLSEGMFYFGDIAVNGYEPPNSAVVLRDTGEDTFLRAPEGYDPVGRIKKHRGTEGISFWFPKAPSGFVALGCVASKSSPEKEDFSLLRCIRSDMVMGGQFSEESVWDSSSARTYEPFSLWTVDNDAGTFLVRSGYRKPPKRLALKLAGPPTSRSSDNIVVDAEIKTFSAVSFDDYGGMMVPLFGMSFDDVGLRYHGGSHHLNATVNLSFVARSYNDKYSSWEPFIEPTDGFLRYQYDMNTPGSPGQLRITSTRDLNVNVSVSNTNMLSQAYASWNNISLGDELYKKETFSSTEQPVLDVHRRRSYYVVPQNKLGQDIYIRTTENSSSLVTLLPSGDDRSIKVPASKNLLDSHLNGKSVKSYRLMVTAILADAEVKVDEGLATGEYMTAVRLFTENHSISDPRQQSARTCAAAGEHSSQNIRKVNWNEMFFFKVESEVCDLLSMLTTGQPVGIYSAPLKQVVQKLPPTSNSDSAKFDLTLGDLMSTKTVEPETVKPSGKIRFAVLVSGRASVQQGKTTSSGRSKTGYIQISPSKEGPWTDMKLNYAVPAACWRFGNCVIASEATVKEGNRYLSIRSLVSVTNTTNFVVDLRLKGRFAQSARSDEQGKDSSVKEDQILIGMLEPNSAVPVPLPGLSHPVAPYMLQLRPASHHEHINYSWSDVQERRSQTEFRKEEILDICVSDLYESENLLFCSQIDGTSSSCHGLWFCLSIEAKEIGKDVHMDPVYDWSIIIKSPLCLAYYLPISAHYTVSSSHHDDEDSSCSRGALNPGEAVKVHNVDPRNPLYLSLIPHGGWEQMHEPVLISHPTQAPSKFINLRSSLSRRIVQIVLEQSSDNDYLMARVIRIYVPYWISFARLPPLTLRLIDTSGKKEKKRLLARSHLERSEKHLYDIKHDELVEGYTIASGLNFKGLGLLSSVGGHGGQFGAVKELSPLGDMDGTVDISAYDDDGKCMHILLCSKPSSYQAVPTKVINVRPYITFTNRLGQDLYIKLSAGDEPKVLQAYDWRVSFMYSEGDTDKLQVRLADTDWCQPLEIVKEDTIVIAMRKQDGTMKFVKAEIRGYEEGSRFLIVFRLGPAYGPIRIENRTSSTTISTRQSGLGEDTWIQVKPLSTRKYSLDDPYGQKAIDVSIQKGDVACVLCVDLENPVGSYTSFREHGLKFSIVETSDIKILKFTDSLRKEEVYGSPGSELIDHQASALKENEIEPDAKPLELIVELGVVGISLIDHKPRELLYLHLQKVFISYMTGYDSGTTSRFKLILGQLQLDNQLPLSTMPVILATESRPDLNRPVFKANIAVGNVTSNGIQVYPHVYIRVINEAWRLNIHEPIIWALVDFYSNLRFVSASSSTTVTEVDPEIRIELVDISEIRLKISLETAPTQRPRGVLGVWSPVLSAVGNAFKIQVHLRKVMHRSRYMRKSSIIPAIMNRIKRDLIHNPLHLIFSVDFLGVTKSTLSSLSKGFAELSTDGQFLQLRSKQVWSRRITGVGDGLIQGTEAFAQGLAFGVSGVLRKPVESARQYGLIGIAPGLGRAFVGFIVQPLSGALDFFSLTVDGIGASFMRCVNILSNKSVPQRIRDPRAIHRDGIVREYDKVEAAGQMALYLAEASRYFACTDLFREPSKYAWSDYYEDHFILPNQRIALVTNKRVILLQCLDLDKMDKKPSKILWDVPWEEVLALELAKAGYQRPSHVIIHLKNFRRSENFVRLIKCNVDEDREPQALSLCSSVRKMWRSHQAAMKVIPLKVPSGQRHVYFASDDDKRESHSLSRSLISSRGTSSDVEQRLMNHTVNFQKMWSSEPEIRSRCKLVAKQVADDGRVFSIWRPLCPNGYISIGDVAHVGTHPPQLAAVYKNANGNFALPLGYDLVWRNCAEDYKSPVSIWLPRPPGGYVALGCVAVSAFEEPPLDCAFCVDERLAEAAEYEEQIIWASADAYPWGCYIYQVQSSSLQFMALRVSKEQSEQRPKKIVESLMQRAPATPRQEKQT >KQK91797 pep chromosome:Setaria_italica_v2.0:IX:51856555:51858645:1 gene:SETIT_038842mg transcript:KQK91797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SWVRNGVPHESQPSFGNGEPILPRPLPASVVDSRISDKENNDAADVGSDEEPQKNADGEYNTSDLLKQHVKRAKRIRAGLQKERLRRIERYKQRLALLL >KQK87878 pep chromosome:Setaria_italica_v2.0:IX:9915290:9920695:1 gene:SETIT_036441mg transcript:KQK87878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVAAHLPLRAPGRVGAAPSRSSVAAVDRFRGRPERRGLAAAPRGGRGLAWLRAEAVSGGGGGGGRREPMVPPYNVLITGSTKGIGYALARKFLEAGDNVIICSRSAEKVESVVGELKRGYGEQHVWGTACDVRDGKDVKALVEFARDKLKHIDIWINNAGSNAYTYKPLVETSDEALMEIITTNTLGLMICCREAINMMRNQPRGGHIFNLDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELQMNEVNNVMVHNLSPGMVTTDLLMSGATTKQAKFFINILAEPPDVVADYLVPNIREIPSNQSMKPTYIRFLTGLKAYSRIFSRLAFGARRNKYVTED >KQK88565 pep chromosome:Setaria_italica_v2.0:IX:15122845:15123393:1 gene:SETIT_039544mg transcript:KQK88565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLTLHVLAVSATKHHKPGASAGTCRASGVLHGKAGKCKTLHGSDCCVAGRKYPQFRCSPPVSASTPATLTLNSFEKGKDGGGPSECDHHFHKDSEMVVALSSGWLRLDGTRRCGKMVRVTAANGRSVLAKVVDECDSVNGCDNEHNFEPPCPNNVVDGSPAVWKALGLKQSLGEVKVTWSDV >KQK91479 pep chromosome:Setaria_italica_v2.0:IX:50085064:50089819:-1 gene:SETIT_035583mg transcript:KQK91479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKDQAGGAASSGGGFFSSFAAGVRSWGTAVHKSVNGLLGYEGLEVINPDGGTDDAEEEALRGRWKQEDRDSYWKMMHKYIGSDVTSLVTLPVIIFEPMTMLQKMAELMEYCELLDKADESEDPCMRMAYASAWAVSVYFAYQRTWKPFNPILGETYEMVNHQGITFLAEQVSHHPPMGVAHCENEHFTYDITSKLKTKFLGNSLEVYPVGRTRVTLKKSGVVLDLVPPPTKVNNLIFGRTWVDSPGEMVMTNLTTGDKAVLYFQPCGWFGAGRYEVDGYVYSAEEEPKIMITGKWNTFMSCQPCDQEGEPIPGTELKEIWRIAPTPQNDKYQYTHFAHKINSFDTAPKKLLPSDSRLRPDRYALEKGDMSKSGAEKSRLEEQQRAEKRTREAKGEQFTPRWFNLTDVVAPTPWGELEIYEYNGKYTEHRAAIDGSSVGDETDVTSIKFNPWQYGNSSSS >KQK92619 pep chromosome:Setaria_italica_v2.0:IX:56407960:56412042:-1 gene:SETIT_034179mg transcript:KQK92619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINLFDLSVGASAKVASRDGSPVRGTQSERKEYAGSKTVTGCTRRSSSDRSGGTPMKMLIAQEMAKEGDANQKTTNVVARLMGLDDNADLPKPLPSNRRTFPDGHLSATLARVNNQMSFEKRASSMEDVEYKDVYEVGYQPPRGECLSNESPRRPNEDHDKRRMDLVRQKFVEAKQLASHDNLLQSKEFHDALEVLNSNKDLFLKFLEEPNSLFAKQSGELHSAPTSPQRKRITVLKPSKSVDTRDDKAIKRQKNHAVDGNRVERSNSHKSDAAHVKVERLPKHTRIVVLKPTSAIASMEQFDQNYHADLDDSEAPAISRRLSDEIDWSVHGMCRRHNESNPMSAYRPYDQYAEEEGASLSDSDIGTPTSRHSWEYIYRFSNPYFGSSLSHASCSPESHVTKEAKKYTSDRWAIVPSSEITQGKVLVRRSLSTLGEMLAMPDMKKEEVADQASPDATSQLCSNEPTVGVSSNCAVGDGEGESSLRKISRSRSVPVSSSAFDSLRLDGGCSEAQHKESTASKDVKPKNGKSSLKGKISSFFSKRKKTEKEKVNPSPLGTPNSQVPSASTVVIDKSDVPEHVCTNLQNGVAFGYLEERFENGPTVVPLDELEAPSTSKSPVSLEKALPFEIRNSHFDQPSPTSVLDAQFDDVNEKSPISSERAITVKQEPLSRSLPIGSIARTLSWDDASQEASLCCTKGDSHEQEQYEFVEKILTSVGFCNEKAQDIFVRWHSLDCPLDPVVLDQLLERKVEDAKCRERRSNQRLLIDSVNAALLDIGQRKLWGAYPCTARYSNAPRVATCDVLVTDEAWRLVKSWLFDDENDIAGLGDNAGLAADWVVDKEIHGKGWSEMLRSEVDEISKEICGDVLSELVGEAFSELADAECH >KQK89069 pep chromosome:Setaria_italica_v2.0:IX:20200032:20200568:-1 gene:SETIT_039599mg transcript:KQK89069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADCSGRRRFAVACGVLSRCVRAEAAAGKMVAAESHARAGSASTMLLMPGADVALDVVGEGAAEATPAPTRARLTIMHGGRVLVFDDVTADRAAELVRVAAGQQVILGGSRTTDDVPVARKASLRRFMEKRRDRIATRSPYAAAAAFPTAAENGKEGEADTAGCCLELGISGGSAC >KQK88429 pep chromosome:Setaria_italica_v2.0:IX:14159627:14161640:-1 gene:SETIT_037170mg transcript:KQK88429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHGGLNILPQKRWNVYNFDNREKVRNDEAAAAREEQLQREAERRRESDLRLAALRRNRGLVQEEPPSAAPPPADGAAGGAAAEDPADALPSPASDGDHINLFSGRSGAAADFAALASASGGRGAARERDPDANPNQKNPKKRKKEEEVRAVGPDEEKYRLGYGLAGKGVAIPWYMSNPAAAAAKEGRARDAGEGSGGKRSGGKKTIEELREERKKREAKEKERERAVLVAAGRKERQSDRGRQSRWAR >KQK90648 pep chromosome:Setaria_italica_v2.0:IX:44214341:44220577:-1 gene:SETIT_035527mg transcript:KQK90648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEGETAAALRAEVAALRMRVQELERENQRLAKVASSCTCGFKEENVGSVAVASSCLNGSDPEARHNEKFHQSSALEKDLSPPFDHTVISTENEIQCNRNAEGNGLPDDSSKRTKRTGHQVAILSHCPKRLVALKVMYFGQRFYGFSSEGNMEPTVESEIFKALERAKLMVASRKESCYSRCGRTDKGVSASGQVISLYLRSNIKDVGEDMLDERSEIDYVKVLNRILPRDIRVLGWCPVPADFHARFTCLGREYKYLFWRGDLDILEMQKAASKFIGEHDFRNFCKMDAANVSNYKRRITEFTISSCDKRSYNDELCSMTIKGTAFLWHQVRCMVAVLFLIGQGLESPSVVDSLLDITKTPRKPQYKMAAELPLILRSCQFDKADFMCSSDVSQSLTEHLNDEYHHYMLQAEIFHEALSCLPCPESNPLETLQKKRNHIPLLSRQTEPSYEERIAKVKTKQTNIL >KQK90944 pep chromosome:Setaria_italica_v2.0:IX:46761910:46762186:1 gene:SETIT_040736mg transcript:KQK90944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLICSCSCWLRCITVFLESVMLLVVSAHYISPSLCSTNPYFQ >KQK86121 pep chromosome:Setaria_italica_v2.0:IX:369182:371454:1 gene:SETIT_036726mg transcript:KQK86121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPPSKRAKRAEPSSDEERISSESEEESFSASDSDGDDGEESSEELETVQADFAFFDPKPSDFHGVRLLLKTYLDSKPWDLTGFVDLILAQTTVGTVVKLADDDGEEGEGNGGDKTNAGGNDDDDLFGLISVLNLGRYAEHRCVKDLKEYLLAACSDKDSKKQLKSLLEEKASSVGLLVCRRFVNFPYELVPKLYDSLFDEVSWATEDEPTQELRDSFRFKHYLLLVRMLERKTPAKHKAKNSKDDDEPIIYPKLEDEIFNELSSWSFTFPIHSEQSSQQEMKNYKEMGLVMAVKAEAIPKFRKKLEALVCE >KQK91542 pep chromosome:Setaria_italica_v2.0:IX:50458398:50461897:1 gene:SETIT_036799mg transcript:KQK91542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVRKLAEDCCKYGNDNHHFGFALAKASEEFGKSHKQIEKEREDLLKILGEQVFEPLREMIMSAPLEDARLLTYRYQRIRQDMESQIADVMRKQLKSKESSGNADNSMKLQHAESKLSELRTTLAALGREATAAMEAVEAQQQQVTYERLLAMVDAERTYHQNAADILSKLHDEMLLAKHHNESTNHYDEQSSEPESETAPAQLHSDSTSDDPVLTKPSEPTGNGQEVQFLGEVIHPFDAQADGELSLSVGEYVVIRQVAANGWSEGECKGKAGWFPSAYVERRDKAPASKVIEPGLLTT >KQK92581 pep chromosome:Setaria_italica_v2.0:IX:56223726:56224441:1 gene:SETIT_038391mg transcript:KQK92581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVRVPTFLLFDLVYVCSTPQLQPSISFISLSPEPIVIRVDDGDLEFEAGRSDKYKVLVTGVAKEASEE >KQK89653 pep chromosome:Setaria_italica_v2.0:IX:34531785:34535018:-1 gene:SETIT_039706mg transcript:KQK89653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRPRIFNPILFGKRLFQQFVVDTYIKIESSRLDYIRNNQDILRADLYQGLVDSWCTGVEDADEVGKRTMLSPTFIGGPRNMRRRYMNAMALVRKFGKLDFFLTMTCNPNWDEIKNELYPGQSPQDHPNLVTQVFRAKLEELKRMLMEKDILGKVRACVYIMEFQRKGLPHAHFLLIMQRKYKITGPEQYNLLISAELPNKKKYPNLYRMVMKHMMYGPCGTLNPLYPCTRGRTSCKNRYPWPFCDSMSQGKDLYPIYWQRDDGHKEIMRGHILDSQWVVPYNPCLLRTFNCHINVEACSSIKSMKYLFKYIYKGHDRVSVAVRDARKKDDKGNVDEITQYKETRIYGIDLNKNHPPVQQLQLHLPDMHMVTYHKWDKIKWVVKRLGADESMLTAYFDYNRLHEEARGILYHDFLEHYTWESNGKFWKPRKNVGRLLSAHLAEGERYFLQVLLNHVARATSYRDLRTVDSVFLPLFREAAERRGLIEEDNTLDECLTENSLFHMPSSLRRLFTTILVFCKLNDVFGLWTKYLDAMSEDYRRNNPNPNLVEQIVLIDIRNMSQSMEKDIRSFPLPKIDDAYDDASGIPRGIFEEASIDQNSKDVGLSDSLNEEQRASYEEIMSNVDTEQGGLFFVDGPGGIGNTFLYRALLGTLHSQKKLAVATTTSGVAESIMLGGRMVHSRFKIPLTLEDGSCCSFTKQSGTAKLLQQGSLIIWDEASMTKRQAVEALDNSLLDIMGRQDLPFGGKTIIFGGDFRQVLPVVRKGSRAQIVDASIQRSYLWESMRHLMLMRIMRVQSDLWFVEYLLCIGGGAEEVNGDGDVCLPDDICVPYFEDSKKDLDRLIECIFPNLNANMTNKDYITSRAILSTRNDWVDNINMKMIGMFQGGKMVYHSFNSAIDDLHNYCSSKFLNTLTPNGLPPHLLKLKIGCPVILLRNIDPANGLCNGTRLVIQGFQRNSIDAKIVLGQHAEKRVFLPRIALCPSDDEMFPFQFKRKQFPIRLNSIPNVGVYLPHLVFSHGQLYVAMSRATARTNVKILALLSNAEAEEEEAKKKEKKNANKKGNGQ >KQK88109 pep chromosome:Setaria_italica_v2.0:IX:11604313:11605277:1 gene:SETIT_040303mg transcript:KQK88109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSRSLAAACVLSVLLAGCLATAADARRLLLVTAAMPPVADYMDMTMSPALAPGPEPGSDDLAGTMLFHGRGLLDGGIRLAGRLLLGLGL >KQK88400 pep chromosome:Setaria_italica_v2.0:IX:13992260:13996275:-1 gene:SETIT_038334mg transcript:KQK88400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRWRRPTRCPLAAPVTTTPPCRQRLRRTEAQIKHDGRRAEDTVAGARGDSTARRRRCIGGSHDTRGVSSGKQIKPGY >KQK91614 pep chromosome:Setaria_italica_v2.0:IX:50846748:50848301:-1 gene:SETIT_036247mg transcript:KQK91614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRMGALHIVGEPRVTFQQQPTAAAGGGGKNGHGAVVEEIHGLIRVYKDGHVERLPAIPDVPCTWGATAPGAPGGVVARDAVVDRATGVWARLYAPMAAATTGARLPVVVYFHGGGFCVGSAAWSCYHEFLAQLAARAGCAVMSVDYRLAPEHRLPAAFDDGLAAVRWLRHQAAVAATRAAAPDELSWWRARCGFDRVFLMGDSAGANVAFHVAARLGQGHLGALHPVTVRGAVIVQPFFGGEARTASEKTMAQPPGSALTLPTSDCYWRLALPAGAGRDHPWCNPLSRAAPRLETLPLPPVLVCVSEQDILRDRNLELCRAMRKAGKSVEQATYGGVGHAFQVLHNCHLSQPRTQEMLAHIKAFVCAR >KQK92065 pep chromosome:Setaria_italica_v2.0:IX:53500035:53500508:1 gene:SETIT_040569mg transcript:KQK92065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKRLVTKPTLRLNVLMLLSLLGEAKKLLINLIRASIASRTNL >KQK92126 pep chromosome:Setaria_italica_v2.0:IX:53847170:53848448:1 gene:SETIT_038945mg transcript:KQK92126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQNKIGSTDVIYREKYITWTDDTTEFMLQWYVDYQKDKPATFRWNKHHHHLCAEALNATFGIGATRHQVYRHFRAFKEKWNWISHAMAKSGSVRCWYIFSSVKNYTRKYNYLTRPIKFFHLMEELFGESAQANEFLAVDQNTLDVEDCKSESGSDDSFTAEHIENDSDTIARSSPAVVETIAHSSPPVKHTIACSSPQAVGFSSGMKRKNMKSPMKKHRKDKPKHAKALENDPIAGSIVMLAKSIAAPSDPYANLWKHIEDIPFPPRDKVDITSFLSKPEQVYLRNCLNAASDQSFGTWVTDYLGAKYSTSGGYAAL >KQK89520 pep chromosome:Setaria_italica_v2.0:IX:32200798:32205828:-1 gene:SETIT_035069mg transcript:KQK89520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGVGGVADEKAPEAFGLSRHVAEAEMEEQHGGSGESSVKSKLSGFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFAQLGMLSGILFQLFYGLLGSWTAYLISILYLEYRTRRERDKVDFRNHVIQWFEVLDGLLGRHWRNAGLAFNCTFLLFGSVIQLIGCASNIYYVNDRLDKRTWTYIFGACCATTVFIPSFHNYRVWSFLGLVMTTYTAWYIAVASLVNGQVEGVTHSGPTRIVLYFTGATNILYTFGGHAVTVEIMHAMWRPQKFKSIYLLATVYVLTLTLPSAAAAYWAFGDALLTHSNALALLPRTAFRDAAVVLMLIHQFITFGFACTPLYFVWEKLIGLHDCRSLCKRAAARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMVTFRSPQSRENAVERPPRFAGGWTGAYVINSFVVAWVLVVGFGFGGWASITNFVQQVNTFGLFAKCYQCPPHLTAPPAAPFAPPPMAPAPAMLPATVFNATGFFPPVPSPAPAPSPMMNFFLRHHHHRHHGRHGL >KQK87581 pep chromosome:Setaria_italica_v2.0:IX:8062210:8062693:1 gene:SETIT_040515mg transcript:KQK87581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFFIAKGKSCMIPSLLLGLAIKKMYTVKVNVSVEYMIIGK >KQK90318 pep chromosome:Setaria_italica_v2.0:IX:41578728:41584368:1 gene:SETIT_036501mg transcript:KQK90318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAPAAMGSGKELANPPADGISKLRFSDHSNNLLTVRLYDADANVLKGEFVHPGAVLDCCFHDDSSGFSAGADHTVRRLVFSSAKEDVLGRHDGPVRCVEYSYAAGQVITGSWDKTVKCWDPRGVSGPERTLVGTYTQPERVYSLSLVGNRLVVATAGRHVNIYDLRNMSQPEQKRDSSLKYQTRCVRCFPNGTGYALSSVEGRVSMEFFDLSESAQSKKYAFKCHRKSEAGRDTVYPVNAIAFHPIYGTFATGGCDGFVNVWDGINKKRLYQYSKYTSSIAALSFSKDGHLLAVASSYTYEEGEKSHEPDAIFIRTVNEVEVKPKPKVLAAPQ >KQK91823 pep chromosome:Setaria_italica_v2.0:IX:52008431:52010958:1 gene:SETIT_039633mg transcript:KQK91823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRPPTAAEVVGRLKDDGDFDALRRAIVRKVKDNEVLRNQIISEVKQSVVLQEDGSEKLKLKDLSDAIYKDIGRKVMGQISDEVWNVIQSNETDIRGTVEAVYNRILNPEKAPEPSSKKLKTKGKEQQVSPAKTPTPTTVAGEAEDDDLSEPPGFGFRDNQRNNIAAAAQKQQSPPNLENHNEGKPNGGEPVAVGGPAGDDDDDDDDDDGPQVPPGFG >KQK88411 pep chromosome:Setaria_italica_v2.0:IX:14045258:14045736:1 gene:SETIT_038429mg transcript:KQK88411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSVQPFPVHSVEFLGWMVINMRRQEALPQLTVCVTQTMSVGSALICILERGWASTFFYSL >KQK86535 pep chromosome:Setaria_italica_v2.0:IX:2488136:2489494:-1 gene:SETIT_038799mg transcript:KQK86535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGVFSLDRYGARGDGRHDDTRALAKAWKAACASPRPAVVLVPGGKRYLLKLVRLAGPCKSSVVLTVKGTLVASPNRADWSDRDRRHWIVFSAVDKLTVNGGGAVDGNGETWWKHSCKINKAMPCKEAPTALSFHYCTGLRVQDLKIVNSQQIHMSIEDCTNVQLAGLSITASGTSPNTDGIHITRSKDVQVTNCKIKTGDDCMSIENGTHNLYVSKVVCGPGHGISIGSLGDDNSRAEVSGITIDTVQLYGTTNGARIKTYQGGSGYAKDITFQNMIMDNVKNPIIIDQNYCDKAKPCKAQGSAVEVSNVVFKNIRGTTVTKDAIKLNCSKNVPCHGITLQNIDLKMEGGDGAAESTCQNAKWRKSGTVRPQPCTSKN >KQK89435 pep chromosome:Setaria_italica_v2.0:IX:29534655:29538048:-1 gene:SETIT_036171mg transcript:KQK89435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQQVRSKRNGVVQVQDGSDIRALVENKEAFAKFVDDRFRKLDVDGDGRLSVKELQPAVADIGAAIGLPARGSSRQADHIYAEVLNEFTHGKQDSVSKSDFQRVLSDILLGMAAGLQRDPIMILRINGEDLNEFLDSSRYEPEAAAMFSQVNPGNNASLRQCLLAALRHLTVDHGMPPASDSWVVENIIEPAMQKLTYDQLDQPVSREAFFQEFKKFLSIVTQRLQQHPVIVAHTENTFDGNGIRRLLSNKFEFDKLLDSVWRDVPKEHKDKTSKEYLRVALDRIADSASLPPYGAVDQVDAVVNEAFKMAKADDGKVVDEAEFKKLLTEILGAIMLQLDGNPISVSTNTVVHEPMSAPSPLLSPTPLSPMVSSPSE >KQK92472 pep chromosome:Setaria_italica_v2.0:IX:55708553:55709028:1 gene:SETIT_038450mg transcript:KQK92472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREPLPCADAKHGATGVATKRLTIISRSIMQPTNAIAGDRGMLVCVRSPVSRSCFATA >KQK87981 pep chromosome:Setaria_italica_v2.0:IX:10598936:10604051:-1 gene:SETIT_035870mg transcript:KQK87981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMATAAVAFTSSHLAPSISTRIRRRRPAPRASAGGVTARARRLRCEFVAGGGNGALSGEDDPRLVDRQKALDAAMSDINNSFGKGSVTRLGSAGGAFVETFPSGCLTLDFALGGGLPKGRVVEVYGPESSGKTTLALHAIAEIQKLGGNAMLVDAEHAFDPAYSKALGVDIENLIVCQPDNGEMALEIADRMCRSGAIDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLMFLNQIRYKIGVFYGNPEVTSGGIALKFFASVRLEIRSTGKIKSAKGDEDVGVKVRVRVQKSKVSRPYKQAEFEIIFGEGVSKLGCVLDCAELMEVVAKKGSWYSYKDMRLGQGREKALQYLRENPTICDEIEKVVRAMIPEGTRHMSLLAFGQSSLTEDDQVYDEQ >KQK87242 pep chromosome:Setaria_italica_v2.0:IX:6233644:6237391:-1 gene:SETIT_036747mg transcript:KQK87242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTVHVRGSCPSLRTCAQTQPVRASPPSDPQPMAAEPAADDHDPRPTPADGPAAAGGGDASASAAAEALMSAASEQLTMVYQGDVFVFDPVPPQKVQAVLLVLGGYEVPSGLVNMTVPTANDEKSTTVAARRVASLMRFREKRKERCFDKRIRYNVRKEVAQKMKRRKGQFAGRSDFGDGACSSVACGSPPNGEDDHFRETHCQNCGISSRLTPAMRRGPAGPRSLCNACGLMWANKGTLRSPINAPKMTLQHPANPSKTGDTDDKNSTVLPVEHNQATVKTDSGMMLEQEQKLDILPPTKEDIKSVS >KQK90254 pep chromosome:Setaria_italica_v2.0:IX:41035030:41035330:1 gene:SETIT_038522mg transcript:KQK90254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLIKNQMARMICPTFINVTLYFRIGSQIYLLPLIPNVSHLGNQHVL >KQK93107 pep chromosome:Setaria_italica_v2.0:IX:58818934:58821921:-1 gene:SETIT_036515mg transcript:KQK93107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPTLPLTRRPSDARRRGRLLLFLITLVAVSAAAALAYLSFPSAAPTPPPAPSSTVRADADCCRGMEGLELWGPAVKWGSNHRLPSAAACCASCKAMCPHPEGGACRCDSWVFCGDERRCKDRLGECWLKKQKDVMAPAVVARGEDVMWTSGLVFGKGEGIVELETNIGTLHIQLLPGCAPRSVDYFIELLGLRNCAGCRFYRAEGRGNVWDAKGNHERNAAFGPPYALLQGTLEVDGVPFKGIAREACPAVKRGSIAWVGPGPEFLISLANHEEWKDAYTVFGHVLPEDMGIAEEMALLPSSTDVWSNVTVKVLRDPVYFKVKRSSHASSSV >KQK92866 pep chromosome:Setaria_italica_v2.0:IX:57574837:57575443:-1 gene:SETIT_039481mg transcript:KQK92866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTLNAISNNKKSLFSQKALETKRDQLMLELDLVNKAIAEA >KQK89149 pep chromosome:Setaria_italica_v2.0:IX:21353004:21354233:1 gene:SETIT_039686mg transcript:KQK89149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGRSGNGGGKVVTFDDSVAGRRRNGGSLSSYLDVGNKDAVEAGRAARPMPGMGSRRRTYADGELDVFTAERYFKGAMDGDGGDRKEAIVRAAVAAVVPPVETAAARPAEAVVMARPSSTSASTASFTASSASSVNSQTALFRGGHRRRGRKCCVQVGVLMRTCSGKRSVRVDGDAAKEAHDVGEPPAASRIDWYRELRMQKAALGLVPGDGGNNHGVVAAGLPPVAAIGREMTREEKAAGVTFSSSMRRSVALVAPVVRANALPASGRAGIGDGDGDCDDDGAGSESSSDLFEIKSLMIEDCRYEPSEASIQWSVVTASATDASEPRGDRVPGRWVGGRGPVAGRQHRDHRPVGLLAGCASHRAVDVSAATRAAPNTGATAAAVQRRGDGFQKARNAGA >KQK86250 pep chromosome:Setaria_italica_v2.0:IX:932569:936778:1 gene:SETIT_038627mg transcript:KQK86250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGSAELEAAVLLHGDLDIRIVEAKCLPNMDIMSERMRRCFSSCGGGAGACSGDRPNAPPDGLRRGSRSAKKKIITSDPYVSVCLAGATVAQTRVIPNSENPRWEEHFRVEVAHAAARVEFHVKDNDVFGAQLIGVASVPVHKIAAGALISGWFPIEGHCSNSPRPAPELQFSIRYEPIEDNPLYKDGVGAGPRYSGVPNAYFPLRRGGRVTLYQDAHVADGNLPKIEIDGGRIYEHGKCWEDICHAIIQAHHLVYMVGWSIYHPVKLVREPTKPLPGGTPATLGDLLKGKVREGVRVVVLLWDDKTSHDKFLFKTDGVMHTHDEETRKFFSHSGVHCILVPRYASTKLSIFKQQVVGTLFTHHQKCVIVDSQAAGNNRKITAFIGGLDLCDGRYDTPEHRLFKDLDTIFKKDFHNPTFPVNSYGPRQPWHDLHCKVEGPAAYDILTNFEQRWRKATKWKVNLKKVASWHYDTLIKLNRMSWIVSPATGEANAHVCDEQDPENWHVQVFRSIDSGSIKGFPKLVQEAESQNLVCAKNLKIDRSIHSAYVKAIRSAQRFIYIENQYFIGSSFCWPSCKNAGADNLIPIELALKIASKIKAKERFAVYIVIPMWPEGIPTTAAMQQILFWQGQTMSMMYKIVADTLQSQDLFDAHPQDYLNFYCLGKRELADGDILSPKTLCNDTSPLHMAQKFRRFMIYVHSKGMVVDDEYVIIGSANINQRSMEGSRDTEIAMGAYQPHYKWAGNTCPPRGQVYGYRMSLWAEHLGTVEECFRRPETEECVRRVKEMAEENWHKYVSPDVEEETRGGGHLLRYPVQVGKDGQVGPVPGNEFFPDVGGKVLGAQSSSLPNALT >KQK91435 pep chromosome:Setaria_italica_v2.0:IX:49794301:49795420:1 gene:SETIT_036840mg transcript:KQK91435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKHSKGKISHRHPHPTKSAWSFTSLPSGVRNQPTQPPQTQNPTASLMASPPPSAQLPTSAAAAAGNGRAASPSPVDALFLQNLMSRVQLRPPFLDTNSFLTQDLDDFLLNEFAALSAAAGASDDDEDEGEDDELAGGEGSGEARRRRMLAREEAKLEKEIVRMVLSGEAEEKLKPNSGQSVAVGDHHLCVGFHDEPGGEYRVWEWHGHVMLFDDEDGYSAEYIYGNHFEPLAAATARAKKKEKEKREKDLSMGLRDLVVCTDDGVNGNRSKENGSSGGPRVVRRNVVNSPAAPAR >KQK89178 pep chromosome:Setaria_italica_v2.0:IX:21571880:21572247:-1 gene:SETIT_039154mg transcript:KQK89178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAEARTLTESEHDDLIHAREQLTKLLREEEIKYYQRAKTSEKRIFSLEQENGTIEGKVNLKAYTTKFYKDLFGAPDETESRIEDISQVTHVENNLLTAPFT >KQK88184 pep chromosome:Setaria_italica_v2.0:IX:12209725:12211974:-1 gene:SETIT_039855mg transcript:KQK88184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRNGNAAAAAPLPQHPHALLALPDLDRPPAVMEMWELEAVAAALPAKKRRLRETLDRLAACAPAPLPFRWEDLDTYISSLQYSVTLRRRHLREPDRSRPAPALAPAPTAVSALPSATGDPMRALEKSPPVHAPVPIPPTVSEPAATVGNAHRHRALEKSEHAPAPAPAPAAVFAPAATGVDVGKGKKRRTSRQETEADHDATRMQEPQAEMPKIHEHAAAASPLQDMNCNGTKSRPVPPGPAAADNGLVVAEPKASADATAQVDSVPVSKIAVVLQELPGPATASRHLERHKPCEAYWGAPAATLRCSVRGARHRRPGCGGSQPEDADAGRHGGRQEHLTVTRWRRGQGKSVSTWPRHRQWHAITAVTMQANVETISKITGVRHEFPASTPHDSGTASPPKVASFSLAKVPKQEPQDIEEVPDVEMDIVEAVEPKQEHPNDEVPDVEMEIVEPEEMQAFKEDAPVADEEASSPPQAKAQKADKVSPLPLACSNGGLARAGARAATATQEDAAGATVCRSSPGAVTRDAPNVLQAGAQKSDGVRTATRDSASNATRLAPAGSQDAAAEVSPVPPCRGNGVAQSGASTDDAMQTVAACKGAVAAGRDSLAATRRAYNATDLAIPSRGRKATGPSQAMAPPKASNNKHVLQKQHMTKQGYHEPIHPAQKQNHSFSRNHGGRPAGSLPVPEAQAAAAQWSKHGEGRGSPGHGGIAAAKGLQGAGRRQQEQGQRQGETIHVLQL >KQK90036 pep chromosome:Setaria_italica_v2.0:IX:38772746:38772983:-1 gene:SETIT_039374mg transcript:KQK90036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVTYTLHLIAYCTYNTLKTMHVRRQHVRNHTTFKNAKSIVSTSINQNRKKMFLYGHMVRF >KQK86480 pep chromosome:Setaria_italica_v2.0:IX:2133892:2138203:-1 gene:SETIT_036956mg transcript:KQK86480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLYSQGFSPARNLSPQIRSNPDVDSQYLAELLAEHQKLGPFMQVLPICNKLLSQEIMRVSSIVHNHGFGDFDRHRFRSPSPMSSPNPRSNVPGNGFSPWSGLQERLGFPQGTSMDWQGAPPSPSSHVVKKILRLEVPVDSYPNFNFVGRILGPRGNSLKRVEASTGCRVFIRGKGSIKDPGKEDKLRGKPGYEHLSDPLHILIEAEFPANIIDARLRHAQEIIEELLKPVDESQDFYKRQQLRELAMLNSTLREDSPHPGSVSPFSNGGMKRAKTGQ >KQK87723 pep chromosome:Setaria_italica_v2.0:IX:8895689:8901426:1 gene:SETIT_034602mg transcript:KQK87723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYYPSPGSERDSQTLYSTESGNASYPMPPTLGNLLYPNNASSGPYTEFSGIIQPQQNFMDLPGHPSAMSHDSSSNEATNMGTSLTEQRSFGPLKDMRNEMLMHLMDGAHSSGSDLIHNDAHNTAQVEFGMLNNHNSTSVPSAPGQGLSLSLNTHILAPSYPYWSAKPDLLSPNSYQGDDNRMKNMQSEASRAIRNSKYLKAAQELLDEIVSVWKSVKQKADKGPAESGKTDGKETDGGTKSEGVSSNPQESGANAAAELSTAEKQELQNKMAKLMAMLDEVDRKYKHYYHQMQLVMSSFDMVAGSGAAKPYTAVALQTISRHFRCLKDAINDQIAVIRKKLGEDDSTSGKEGKLTRLRYIDQQIRQQRAFQQYGMLQQNAWRPQRGLPENSVSILRAWLFEHFLHPYPKDSEKLMLSRQTGLTRSQISNWFINARVRLWKPMIEDMYKEEVGDVELDSNSSSDNGARSKDKAPSSEEKEDLKTSTSQACQSSQLDESKANVGGMMSLGGAPAGSFHNEVNPEDSFMSLMLKAQRPGETAGSSLLHHAVAHQSDESTQFMAYHLAELGRYSNNNVSLTLGLQHAENSLSSVPNTQTQPGFPGVRDEDIYNATAPLNVTSTSSDYESTNQMDQQQRQRFEPSPLMHDFVA >KQK90086 pep chromosome:Setaria_italica_v2.0:IX:39486231:39493893:-1 gene:SETIT_034061mg transcript:KQK90086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGAHADAAHHLPTAGPGASAASSSSSSAAAVGAARRRRRPGLSCRPSHLFFALLVALFTASLLVVWQLLPIGDGDGDGDAAAEADGETPPLPEGGDAGVMRFSSSRVALRAFDGESRLEAARSERRRWASLAPVRVALAVGNMNIDAQSLMLATVAKSLVGLGYEVEVLAFTDGEARDIWENICLVNIVNIDTLKSVDWSKYNAVLLSSLEGKRVVSILMQEPFRLIPVVWLIHEDTLGQHLRSYAELQESIPNVIEDWRAHFHACAYVVFPDSYLPFLYSPLDSGNFLVISGSPVDIWAAKRFGSSHSEETIRKQHGIKEDDVVILVVGSYLFFDDLPWDYATVMRASAPHILDIAKTKNLRVQFIFFCGNGSDAYNSAFQELASLMGLPDGSIKQFSMTHDIRNLLVFVDIVLYGSLKQEPGFPPLLLRSMSSEIPIVAPNLTVITKYFTDGVHGFLFDSANPSTVSSAFIQILGAKKLLDTAYSVALEGKLLSKNMLAHDCITAHIKLLESVIHFPSYAKLPSSASKVQERTWLWDPFEMKAALENSLLEDESHTSIKTLDILRDFPQSNQTTYSGTNDTSYDYPNLSDWNDLSEIEIFEDIERREMEEIDERVERPLLSWDEVYRNARKSERLKPEVNERDEGELERTGQPVCIYEIYNGEGAWPFLHHGSLYRGVTLSKGGRRPRSDDVDAVTRLSVLDNPYYRDLLCEFGAMFAIANRVDTVHKLPWIGFQSWQAAGRKVSLSESAEETLEEITTGENNGDVVYYWSPMDINQTSDFWSMCDSLNAGNCRSLFEDAFRTMYGLPENVAALPPMPSDGDHWSTLHSWLMPTPSFLKFVMFSRMFVDSLHSLNANSTEPAACLLGASEPEIRHCYCRILEVLVNIWAYHSGRKMVYLDPVTGDTREQHPLGERNEMWVKFFNFTLLKSMDEDLAEEADDGMHPGNDQWLWPLTGQVFWSGIADREREEKYIKKLDKKLKNKVKLLERQKSGYKQKPLGQ >KQK90766 pep chromosome:Setaria_italica_v2.0:IX:45646743:45647027:1 gene:SETIT_040115mg transcript:KQK90766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYSGKELKLIPLVLASLLLLMQFQSRGGLLRGRRLLMGRHGEAIFAKGLMEPVVAKEGGGALREEDKREVITGPNPLHNRR >KQK91260 pep chromosome:Setaria_italica_v2.0:IX:48834016:48834953:-1 gene:SETIT_037829mg transcript:KQK91260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANSWTLEIASPVAAPRLFRAAVMDWHTLAPKLVSLVVASAHPVEGEGGVGSVRQFNFTSAMPFSFMKEKLEFLDVDKCECKSTLVEGGGIGVAIESATSHIKVEPAAGGGSVVKVESTYKPLPSVEMKEDDVAKAKESVTAIFKAAEGYLVANPDAYN >KQK89784 pep chromosome:Setaria_italica_v2.0:IX:35901468:35908631:1 gene:SETIT_039684mg transcript:KQK89784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFGVLHCAACQGHLEVCKYLVEELGGGGSLYWGVLWHLANMKYLNCCGSTLYIAGVTPFMTSAQSGDISNVKYLFDHGGDLMKADAQGRNVLRYAVCTGSSTVTEFLLSKGIPVDIDFGDGTPLYHASINENLLCLNNYFDNMDNMCFTRVAGADVNGKCSVVSPLVFATGHRGYTNFIRLLLKAGADPNIPDDVPMTLSIFQLLWHATWSCFSSVRDCREEVEMLFPLTSPIPNVRNRSIDGIISHAKLENAKPMKEEHVKVRKATMRSLADKAFRTCCGNPCKLLFSVLKGSYVPCHTTLVDPNATLYSNRSLCKLKLGDGKGALSDAYRCRTLRPDWAKACYRQAKAHMLLKEYKQACDALLDAQKLDPGNEEIERALSKAIELMKISPDEDEE >KQK87734 pep chromosome:Setaria_italica_v2.0:IX:9006091:9006688:1 gene:SETIT_040079mg transcript:KQK87734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDRPLVVLHDEKDGHLVYDLLLLDGEEETLACFPHPVARLPSQWCCSFAVSGGSIVGAQYDWDDTVMKVGGYDFWERQASPRLRESEGRLVQWWPMCRGYSSRFLGGRHRDKPAMVPVADGTVVRMDTILFDGIYTFETLRLLPRRLITFVSAYFALGTCVWISVSHKGTFSLDTKDGT >KQK87769 pep chromosome:Setaria_italica_v2.0:IX:9217008:9217546:1 gene:SETIT_038164mg transcript:KQK87769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWKIPPRASRYYSEQLAGEASGATRLEPDPVRSLKGKAIGFRIPHHRWPPLPSPCRRTARIAGDVQVGGGKVCYGLWGSPRLQTKPVPVLRFWGALANPSRG >KQK88935 pep chromosome:Setaria_italica_v2.0:IX:18758599:18759032:1 gene:SETIT_039588mg transcript:KQK88935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHSASSYIVWLRQTLWRWRSRAAACVAVRLARRWSWRGTWRWASAAVVVRAGHLNHPVFRELLRQGLFKHVLCHLSSLSKSSLFVTLEDLKSGALSFCCVTAAGDSLPLLHGISADKAVW >KQK86236 pep chromosome:Setaria_italica_v2.0:IX:888670:889820:-1 gene:SETIT_040800mg transcript:KQK86236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILDVNARSLSMEETEFQKHMESARLVTQISVASPSSSQGLPTSAMARKEEADLAGSKFPFMDSETESLSPEEVKQLHDLYRQVVSRYTLLSKALTKLSIDEDQLLSSVDDS >KQK87898 pep chromosome:Setaria_italica_v2.0:IX:10031598:10034848:-1 gene:SETIT_034041mg transcript:KQK87898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAERSGEAAAADPRRVRNTCILAHVDHGKTTLADHLVASCGDGLLHPKLAGRLRFMDYLDEEQRRAITMKSAAVALRSRSGHRVSLIDSPGHIDFCSEVSSAARLSDSALILVDAVEGVHIQTHAALRQAFVERLRPCLVLNKIDRLITELCLTPAEAYMRLHRIVSDVNSIYSALRSHSYFSLLSALEDNPSSSSSSSTEDLPEDFEDDDDNEDAFQPLKGNVVFACALDGWGFRPQQFAELYARKVGVNPSAFLRGLWGPRYLDKKTGKVVGKKAIKSADPQPMFVEFVLSALWKMYELVLNDGGESKRVKKLVENFQLKIPERELKNKDPKVVLQSIMSQWLPLSDAVMDMVVECTPDPVAAQGFRVTRLMPKREVTPEDDAGCPEVVAEAERVRRCVEACDVSVDAPVVVYVSKMFAVPYKMLPLKGVNGELLNHHGASEPEECFMAFARVFSGVLHAGQKVFVLSPLYDPLKGDAVQKHVQEVELQYLYEMLGQGLKPVASVGAGSVVAIQGLGHHILKSATLSSTKSCWPFSSMMFQASPMLKVAIEPSNPADLGALVKGLKLLNRADPFVEYTVTQRGEHVLAAAGEIHLERCIKDLEERFAKVKLVVSDPLVSFKETIEGGGVGLVERMKAPQEFAERTTPNGRCTVRVQVLRLPNALTKVLEESEQLLGQIIEGKTAKGDGVLDPRLSQDDGNSAATLRQRMIDAIDSELEAISNQVDKEKLERYRKTWLGYLERIWSLGPWQVGPNLLLSPDAKSSDRAITIQDGRQGILVSGSSHVSERLGFVKESDTKDNSNLDNGESAADAPESLHLESLALRNNIVSGFQIAANAGPLCDEPMWGLAFIVEPYLFADGSDAANHFDQYNISSGQVITAVKEACRAAVLQNKPRIVEAMYFCELTAPYDQSGSTYGVLNKRRARILKDEMQEGTSLFTVHAYLPVAESVGFSNELRSLTAGAASALLVLSHWEAIAEDPLFIPRTQDELEEFGDGSSIGPNLAKKLMNSVRRRKGLHVEEKVVEHGTKQRTLAKKV >KQK86629 pep chromosome:Setaria_italica_v2.0:IX:3013282:3016362:-1 gene:SETIT_036281mg transcript:KQK86629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGGEGAALGKVTCAAWIRRRDDDGPTGVSRLLVAFGRGATASSPPLLELLEFDVRASALASEPLARVVLGEDADDTARAIAVHPGGRELVCATAKGCRVFKLVYRDFGVHLISREASPLQSVGPQKCLTFSTDGAKFAIGGEDGHLRIFHWQSLNVILDEPKAHKSFRDMDISLDSEFLVSTSIDGSARIWKVDEGSPLVNLTRSPDEKIECCRFSRDGTKPFLFCTLVKGHNVWTMAVDISNWKRIGYKKLSAKPISTLSVSLDGKYLALGTCDGNFCVVEVQKMEVAHWSKKVHLGSPISSIEFCPTERVVISTSHQWGAEITKLDVPPEWKVWQIWLVLLTLFVSSAVLFYLFFKHARIHL >KQK87920 pep chromosome:Setaria_italica_v2.0:IX:10181432:10188139:1 gene:SETIT_035861mg transcript:KQK87920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSKWIKSLVGIRKQEKGHNAEKQQKGQNAESSETRSSSDQSLHKRKHSLDPEGALAVEEIPVQSEALTDGNGIQTISNTICPNSTSLDVHVSQAEHESKEDMAATVIQSAFRAFLAKRALRALKGIVLLQALVRGHAVRRQTEETLQCMQALVKAQARVRARQVRVALENQVARKKIPEQDDHENHVREVEGGWCGSIGSMEEMQAKALKRQEAAAKRERAMAYALTHQRQAGSKQQKSTNLQGPELDDNHWGSNWLDRWMAVRPWENRLLDSNAKESMPNHEDKQDEETKSQITPKGKVPTLNTPPGPSKKKCVNHKKSYSDVSCTSFARPANVLPSTSLGSSKQKAKVTDEVFEEVSSQPTDVASKAMRNPKDKLEQANTPAKKRLSLPNNVGREAGKGPTRRNSMNRSDPKAS >KQK89875 pep chromosome:Setaria_italica_v2.0:IX:36623170:36626258:1 gene:SETIT_036531mg transcript:KQK89875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERYEALKELGAGNFGVARLVRDKQTKELVAVKYIERGKKIDENVQREIINHQSLRHPNIVRFKEVCLTPTHLAIVMEYAAGGELFEKICTAGRFSEDESRYFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPTPRVKICDFGYSKSALLHSKPKSTVGTPAYIAPEVLSRKEYDGKVADVWSCGVTLYVMLVGSYPFEDPEDPKNFRKTISRILGVQYSIPDYVRVSSDCRRLLSQIFVSDPSKRITIPEIKQHPWFLKNLPREISEREKANYKDKEAAEPAQAVDEIMRIVQEAKTPGDMSKVVDPALLAEMAELESEEEEADPEDTY >KQK91359 pep chromosome:Setaria_italica_v2.0:IX:49434226:49437559:-1 gene:SETIT_036627mg transcript:KQK91359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKGGATPSPGGAGAAAGTGRFFTVGLVSAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMSACALLSYAAIAWLRVVPMQLVRSRVQLAKIAALSLVFCGSVVSGNVSLRYLPVSFNQAVGATTPFFTAVFAYIMTVKRESWVTYLTLVPVVTGVIIASGGEPSFHLFGFIMCIGATAARALKTVLQGILLSSEGEKLNSMNLLLYMAPIAVILLLPATLFMEDNVVGVTIQLAKKDFTIVWLLLFNSCLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSIMIFRNPVSITGMLGYTLTVIGVILYSESKKRSNKP >KQK88612 pep chromosome:Setaria_italica_v2.0:IX:15517275:15517788:1 gene:SETIT_038418mg transcript:KQK88612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQVKQRLIIIAIIDRIHGNHVERRSKARHPYKPCHAKTYLIIQLPFLQKGGHFLYAGFVHLLVF >KQK92914 pep chromosome:Setaria_italica_v2.0:IX:57841718:57844414:-1 gene:SETIT_035577mg transcript:KQK92914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGEIGADEEILAALIEVSKTPEGRRGLSDVLADTLFLLPASPSRPLLLRLRLLRNLLAGDELNQYAFIEHSGPAVVAAAVLSFPSPAPDVARAALQALGNAALAGEFHRDAVWEAIFPEALRKFAGVRDAGVLDPLCMVLDTCCGEEGGRWRLNDLCHEDLGLPILVQVVNTASQVEHKEEWLEWLLFKVCVEEQKFEILFNALCSSNDVECTDSGEYNAKHAFLLGTLSRCLNNHPKEVTVSDSFAHHVFNVHKHAAETVDFTHRGTSRLPTGRPAIDVLGYTLQLLRDICAWESPSSETQGPVGSLLQTGLVKRLLKYLGELEPPSTIRKSMARGQGDNHPALENGNVCPYIGYRTDLVAVIANCLHGRKKVQDEIRKLGGILLLLQQCVIDEDNPYLREWGLLAVKNLLEENEENQKEISELEMQEPVITPEIANIGLKVEIDKETGRPKLVNTSDA >KQK90442 pep chromosome:Setaria_italica_v2.0:IX:42723746:42731433:1 gene:SETIT_034244mg transcript:KQK90442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAALQYENQKLLQQLEAQKSEMHALEVKFKELKDEQCSYDKTLISLNKMWNQLIDDLVLLGVRVGGDLGNLQALDHEELSEESFESCPSEEIFLLRLLKSSSFKNNKDNSLLEFVEEALACHHLATVTLMKSLQEAISSHQARSESLTLALNGQKSNEDVLIALQHHNDHLKEVVENASQAVSIINEKHKRYLDEIETFKSNHSKELQEIKRISGELEESMAELEESRRKLVVLQLQKHGSVMDASGVNAVNGGISTDKSSDQSMSWQDLKDAVDAAKTLAGNRLLELHQTQEDNLILSKELGDLEGQLKDENYVLASKPYAILNDQLQHLNAEIERYRGLVEVLQNDKDQLMQKDKEICAKAESFDSIKQTITIYETKIEELENQIKIFMSEKNDLETKVEEALQDSGKKDFKNEIHVMAAALSNELRMMENQLSRSKDAASEALALREKAESLTSLVAKKIEEQKEISDKYNSQLIEIKSLKALVEELEKEKQELQFIADMYTKECSESRTIADIEESENRAHSQVEYLRSSLEEHSLELRVKAASEAEAACQQRLSFAEAELEELRAKVDASERDVVELKEAIRIKEAEGDAYISDIETIGQAYEDMQTQNQHLLEQLADREDFNIKLVSDSVKMKQACSSLFSEKLMLEKQLQQVNTSLESSKLKISRGEEQMKTCVAQAIKTSAENRHLTISLERTALDMSNTEKELKWLRSSVGSSEKEHDQTQQKISELRILLEHERSERRRLEEQYEEVKNEIMELTSETEETTIQKLQDEIKECKAILKCGVCFDRPKEVVITKCFHLFCSPCIQRNLEIRHRKCPGCGTPFGQNDVREVKI >KQK86783 pep chromosome:Setaria_italica_v2.0:IX:3722206:3724663:-1 gene:SETIT_040072mg transcript:KQK86783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEITNVMEYQAIAKQKLPKMAYDYYASGAEDEWTLNENREAFSRILFRPRILIDVSKIDMTTSVLGFKISMPIMIAPTAMQKMAHPDGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRKVVEQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPHLTLKNFEGLDLGKMDQANDSGLASYVAGQVDRTLSWKDVKWLQSITSLPILVKGVITAEDARLAVHSGAAGIIVSNHGARQLDYVPATISALEEVVTAAQGRIPVYLDGGVRRGTDVFKALALGAAGIFVGRPVVFALAAEGEAGVRNVLRMLREEFELTMALSGCTTLADINRSHILTEGDKLRPTPRL >KQK91265 pep chromosome:Setaria_italica_v2.0:IX:48861343:48863215:1 gene:SETIT_034931mg transcript:KQK91265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNTNCSFDDGHEIIEVAGEPSGTMRLMDFIPIDIYIPSVERGALSKSRRKRRFLDFLRAHPSKDWFLSSTFVGRLRRRGHQASPGGTDPEDSDSGGRRRRRFRVPFVRKIKWGKLWSYAVSWCKKPENFAMIIWLAFVAAGLLLLFMLMTGMLDEAIPDDERRKKWTEVINQILNALFTIMCLYQHPKIFHHLVLLLRWRPGPGGDREEARKVYCKDGAARPHDRAHMLVVVLLLHVTCFAQYYCCALFWSYARKERPDWALNIGYGLGTGCPVVAGLYTAYSPLGRKKADEPDTESSSSSEAAREQGDRTENDGVGGQDVEIKVYNRRVVVSSPEWSGGLFDCCDDGTVCALSATCTFCVFGWNMERLGFGNMYVHAFTFILLFVAPFLIFSVTALNIHDDDIRDTVVAVGVLLGLCGFLYGGFWRSQMRKRYKLPGGRSWWWCGSAAVGDCARWLFCWSCALAQEVRTANFYDVEDDRFVAVMGARNGEGRPVLVPLPREASTTTHIRSMSCPPKLDNAVNGGGGVTSPLGVEMAAVAAMERSATYHPMRPPAPPLIQMDREE >KQK88479 pep chromosome:Setaria_italica_v2.0:IX:14542835:14543427:1 gene:SETIT_039475mg transcript:KQK88479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLQAYAPLGRMKVVANNPVVTSIAESLGKTPAQGQSVLPKSANESRLKENIDLFGWSIPEELCAKFSEIEQVKQIRNDSFVHPQGMYKTIEELWDGEI >KQK91293 pep chromosome:Setaria_italica_v2.0:IX:49043611:49045825:1 gene:SETIT_038159mg transcript:KQK91293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVPKTKKTYCKNKECRKHTLHKVTQYKKGKDSLSAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLKLQCQSCKHYSQHPIKRCKHFEIGGDKKGKGTSLF >KQK90518 pep chromosome:Setaria_italica_v2.0:IX:43337006:43337450:1 gene:SETIT_040304mg transcript:KQK90518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGFVKNQIVPSAMRIVLATTKHDAYLLIFLPTPKMLPAVMKR >KQK89568 pep chromosome:Setaria_italica_v2.0:IX:33066366:33067502:-1 gene:SETIT_040120mg transcript:KQK89568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LENEDLLADSFRRLPALPSSLLRASLVCKLWGRVVADPRFLREFHAHHRKAPLLGFFSRDRDSAGRVEFSPILDPPDRIPASRFSLQITRNSRVLHCRHGRLLIYSPEEQRLLVWDPVTGDLRRLALPPALDGKAIIDGGVVCASTEQGHVHGACHSDPFQVVVVAGESARYYGCAYSSETRTWGNLLSVQRGLGGLIPSPTCPSTMFRNSICLLLIGIKPVILQFDWARQNLALIDTPLDAHDFRAIFCGDQQVLITSADNGGLSFILLEVFSVQVWNRTMSHGDGVATWILGKTIELHKSLPLIGPTGLLFILGLDEDGNVLFKLTDGNLIMIVDLESMKCKKLPQEMDYVFCTPFSSFYTPGKHKTYTMQLQQTR >KQK89094 pep chromosome:Setaria_italica_v2.0:IX:20617645:20617881:-1 gene:SETIT_039751mg transcript:KQK89094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYSVPERGGLQRMDRAVTTCRLPYSQPWSTEQTKVTSSLDTTSMLSITTLVSIRIIYLYKESSTRAFATRTNNEPVKE >KQK91709 pep chromosome:Setaria_italica_v2.0:IX:51383216:51384325:-1 gene:SETIT_038998mg transcript:KQK91709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRRFVNIVAENYKSGMCSLHRLDVSKHLFYPSTAEAAAAAVYNGGGKAPQAPPIPTLQRLPPPCMSFPRPHTMGFFALVSPRSSEGRILRCSLAGHSLLYDADSDSIGTMPSSQGFTGITPKIISTGQPDALEEDLYVLHDDGFDVLRFGPEDRLVYRPMGRKAWHWESLQRPPFDNIIGSHSVVDGGRTICVSSSPDGFGTYCFHTVEREWWQSGCWVLPFVGGAEYVPEFKLWLGFFPTNPYHLCATRNLSVMEDLIAMEKPPTLHTLSDLDTPKNWSALRFKLLNLGGGRFCVAGVFEEIVGYTEDESDGFSELEAVRPEFAVLTGVELVTDSSSGDAKLEGFQIVSHKSVRYMFMEDRFKWEL >KQK87731 pep chromosome:Setaria_italica_v2.0:IX:8963801:8965885:-1 gene:SETIT_037325mg transcript:KQK87731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANVGESTSAGSSGDAAGGSFECNICFELPQEPIVTLCGHLFCWPCLYRWLHIHAHSPECPVCKALVEEDKLVPLYGRGKDRVDPRSKNMPESDIPHRPTGQRPATAPQADTNNNNNNFPNANGNPWFMGTGVPLANARWGNYAFSAAFGGLFPMLSFQVHGFPDAAAYAQPPGFHYGYGHGHGFHGGHMGHTHGVHRQAPLGQQQQADIYLKALLLTVGVLVIASLLAF >KQK88399 pep chromosome:Setaria_italica_v2.0:IX:13990524:13991249:-1 gene:SETIT_037826mg transcript:KQK88399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVAVSTEAAAAAAAAEVTDEMASYEPVKLPTVAGVEPPLVSSSQEVSTTAPGLLDGDHGGHPAAAQVMRGKPERAPGSYTSARRSKRLERVLGSGELGQHGGDRRTAVSWLLAMCSIELANCEGFSHSRLTPRLTRGGALNARRLQFTVAAWYDVDGCE >KQK89352 pep chromosome:Setaria_italica_v2.0:IX:24820496:24820986:1 gene:SETIT_038245mg transcript:KQK89352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMETFSNFCHEGSIWFPWKRFLQVASKLDFNTSLVLIWPIGIMEKIMPHLFTNKLCTFICYKLVYRLDCICTFFSLLYSSKFTVLGQK >KQK89129 pep chromosome:Setaria_italica_v2.0:IX:21134970:21139615:1 gene:SETIT_039105mg transcript:KQK89129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAGGGKMQPQISLVRLFLACMVAGGVQYGWALQLSLLTPYVQTLGIPHALTSVMWLCGPIAGLLVQPCVGLYSDKCTSKLGRRRPFIFTGCIIICISVVVIGFSSDIGYALGDTTEDCKVYTGKRLHAAVFFVMGFWLLDFSNNTVQGPARALMADLAGSHGPSAANAIFVSWMAIGNILGYSSGSTNDWHKWFPFLQTRACCEACANLKAAFLVSVVFLGLSTVVTMIFANEVPLDPAVVAQQQGDGEPSGPMAVFKGLKDLPPGMPQVLIVTGLTWLSWFPFILFDTDWMGREMYHGRPDGSPAEVANFQEGVRQGAFGLLLNSVVLGFSSFLIEPMCRKLTAKVVWVMSSFIVCVAMALVTILGSWSLGDIGGNVQDAAAADKGLKSAALALFVALGFPFAVLCSVPFAVTAQLAASNGGGQGLCTGVLNISIVVPQMIIAVGSGPWDELFGKGNIPAFGVASVFAFTSAVAGIFMLPKLSKTSFRSVSMGGGH >KQK89151 pep chromosome:Setaria_italica_v2.0:IX:21363583:21363908:-1 gene:SETIT_0381292mg transcript:KQK89151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CCGRVKLPHFYCCRGERGNPDSSSS >KQK86849 pep chromosome:Setaria_italica_v2.0:IX:4114995:4115414:-1 gene:SETIT_038304mg transcript:KQK86849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFLKSNACLVFRSICIPNLPFSFHCNTGSWPGRHGGPSSSHMPTGSSSVFCLYFSHAYKKCSYFLKRLHKVLLDFIFQV >KQK91536 pep chromosome:Setaria_italica_v2.0:IX:50431550:50433618:1 gene:SETIT_037866mg transcript:KQK91536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRPSNASLLARLREGTAKFELLEDPAPAPAPAWPRLHCFARIAPSLRGGWSAALNKVEHYGVQRVTGDGRCMFRALAKGMAKNKGIPLTPREELQDADDLRMAVKEIICDSETERQKYEEAVIAITVEQSLRRYCQRIRRPDFWGGESELLV >KQK92520 pep chromosome:Setaria_italica_v2.0:IX:55943755:55948095:-1 gene:SETIT_035891mg transcript:KQK92520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQPAQAQAMEAVEDIVIAGAGLAGLATALGLHRKGVRSLVLESSPMLRASGFAFTTWTNAFRALDSLGVGDKIREHHLLYERMITFSAATGEPAAKVSLKMQAKSGPHEIRCVKRDFLLQTLENELPKGTIRYSSKIVAIEEDGNATVLHLADGSIIKTKVLIGCDGVNSVVAKWLGLPKPILSGRSATRGLAEYPAGHCFGPEILQFIGQGFRSGVLPCSDTSVYWNYTWYPSPADGDAEESVAKMRSHVLGKLRAAKIPAAALDAIERSEMSDVVSSPLRFRSPLALLRGSISRGGVCVAGDALHPMTPELGQGGCAALEDGVVLARCLGEAFAAAGSGGGGHDGVIRAALEKYAEARRWRSIQLITAAYVVGFIQQSNNVVVKFLRDTFLSPLLAKTLVAMADYDCGTL >KQK90527 pep chromosome:Setaria_italica_v2.0:IX:43418091:43418438:1 gene:SETIT_040523mg transcript:KQK90527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSVRLLCYMMTARVGGEDIDATNICAIPSPCLPLRWCATLCRGRSAL >KQK89634 pep chromosome:Setaria_italica_v2.0:IX:34257650:34260409:1 gene:SETIT_034812mg transcript:KQK89634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPTYDNKTHSSAAPMIGAYPRAAGRWRRKGLFQKPDYESYTCNGSVDIRGNPASKNDTGKWRACYSILGGEFCGALAYYGVGTNLVSYLTKVQQQSNVVAASNIASWQGTSYLTPLLGAFLADSYWGRHRTIVISLTTFTIGMILLTLSAIVPTSTNTVVMPLQHTLPFLGLFLTALGLGGIWPCVPTFGADQFDDTDRAEKAQKEIYYNWYYFAVNGGFFIASTVLVWIQDNWGWGLGFGIPTLFSVIGIVGFLSSMKLYRYQRPGGSALTRICQVLVAATRKINVDVPNGSSLLYEMPGKESAILGSRKLMHTDGQRFFDRAATITSSDERFLDAPNPWKLCTVTQVEEMKILARMMPVLLAGIIFNTAEAFFPLFIEQGEVMDNQIDNFSIPPASVTTFNCLCILILAPAYNKVLMPMVSKITGTKRGLSDLQRIGVGMFFAMLSMVSAAIVEMVRLDIAMKTGLVHQSAAVPMNILWQAPQYFLVGVAKVFSVVGFIEFAYEQSPDAMRSLCQACSLIMVTLGSYLVSVMLMFINSITEGRGSHGWIPVNLNEGRLDQLFWLMAGLQLLNLLAFAYCAMRYKRKLAT >KQK87830 pep chromosome:Setaria_italica_v2.0:IX:9594780:9594893:-1 gene:SETIT_039237mg transcript:KQK87830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHRQLGFFPSSVTRPCLALAVTALMCAVTAQPQPLP >KQK92046 pep chromosome:Setaria_italica_v2.0:IX:53380657:53381966:1 gene:SETIT_039190mg transcript:KQK92046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRGGGSVAWAEAKTPAGRVHQHLKRLNKLAIRNIEFRPAYHPEGLYDDAKSSIYRLQQCRRKVDAVTQGCADGGRGRPLCCSTSLAAPASPSVWPRPMEPRELQINATAAPSKSSYSAVMG >KQK88969 pep chromosome:Setaria_italica_v2.0:IX:19072944:19074017:1 gene:SETIT_037955mg transcript:KQK88969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATLLSSSFAMPAAARRASSSSSTSLGFATSQLAGLSLSAGAATSTAIAPLPKRQFQPIVVRRVCPFTDKKTNRANKVSFSNHKTKKQQFVNLQYKKLWWEAGKRFVKLRLSTKALKTIEKHGLDAVARKAGIDLNKK >KQK91519 pep chromosome:Setaria_italica_v2.0:IX:50364402:50366009:-1 gene:SETIT_035672mg transcript:KQK91519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSGSSLLRGFLSLFFLLFIHIGHAGCCFSSGSAARAREEDEAEDADADGKVGGAGGGSNKRRKISPLIFSPAASSSAATNDSVRARRRHVSSVAASLRLYLHRIFSSSGARDATVAGREEEEAVTTTVSSPLARSLPPQPSASVVLSTPSSPCASSSPFMSPLSVRSLSATPVPSSPQKLLQASRQSSRSFAARGDVFPCKVCGEVLARPQLLELHQAMRHSLSELTDLDSSTNIIRMIFLAGWKPGVGAGAGEAPIVVRRVLRIHHNPRALARFEEYRDLVRARAARRCAGEGGAAAAAVEERCVADGNERLRFHCSTMLCSLGGGGACGSPYCCVCSTLRHGFAGKQADVDGIATYASAWAAHASLPDDVEREFAFLQVRRAMLVCRVVAGRVGRGADDDKVAYDSMVPVRGVGGGRATGGDDVELLVFNPRAVLPCFVILYSS >KQK92565 pep chromosome:Setaria_italica_v2.0:IX:56150639:56153531:-1 gene:SETIT_038138mg transcript:KQK92565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGAFGGNRGVRPVPPEKGVFPLDHLHECDFEKKDYLACLKSTGFQSEKCRQFSKKYLECRMERNLMAKQDMSELGFRNVYEVDTAPDKNDKLESPPNEPKEKKIAA >KQK90761 pep chromosome:Setaria_italica_v2.0:IX:45611745:45612236:1 gene:SETIT_038647mg transcript:KQK90761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLHLVHVKILAADLLSLTVQQTSLPSFLRRGRTVTRAELVGIVVSRDRRDKFLRFLIDDGTGCVPCVLWLNHQYLNANASSELSDSDPTAEMALKMSEEVLLGTLLRVRGKIVMYRGAIQIAVRDVVLEKDPNVEVLHWLQCVRLAKECYDLPPPSAQGAI >KQK89039 pep chromosome:Setaria_italica_v2.0:IX:19855368:19857382:-1 gene:SETIT_037359mg transcript:KQK89039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPAAAAAGSGADFPDALPSPTSPASASSHPSPGPGRHYYLAVDRIQFKMRTLLELLGVVADRRGGLPIAICVSSRDELDAVCAAVANLPFVSMSPLYSDQAEAERASILEKFRQETIQWNQTTKATDITESSKPESIGTKLSIIVATDACLPLATMAEAPLMARVLMNYELPTKKEAYLRRMSTCLAADGIVINMVVGGEVATLKALEETSGLLIAEMPIHVSEIL >KQK89608 pep chromosome:Setaria_italica_v2.0:IX:33758539:33760539:1 gene:SETIT_038950mg transcript:KQK89608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFLQANDLPPSVIDNRNFDALMETIAYLHLLRFMVYSVEGVFFLGFVDASYALDHANLLAELIEQWIEDTGKDKVVQVVTDNSHNLKAACTILMDKIPTLLWTPFKKYIQQAKRVTTFIYKHARFMLAMCEKMGEKDPVVPATTRYSTSFLTLERIYKHRDVMKSNLSVTQEGKNVRQIVLCTTFWNGVEDCMKASEPLLVLLRMVFAGLDLAKKKIRESFASNRGILEKVMDIVEQRWADQMEQKLYGATLFLNPNKFCDIKENDCAHASSLRKMFNDQLEGSKFEPLVLEGYEFEHEWVGIQAARLYNRDKLAWQLVEEVTGGSYTLEDHYRPRIDSGHGKVSGRPSGNEAPLGDPYASDADFWN >KQK86647 pep chromosome:Setaria_italica_v2.0:IX:3108737:3110314:-1 gene:SETIT_040171mg transcript:KQK86647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVEEANRAAVESCKKLVAFLSLSAGDPFRPMPVAVETDEAVAGFGKVVAVLSDRLGHARARVGKRSLAPPVDASCLLDHPSMAPRHPPNSSHLVSSTPAAAAPPPPTTAAMRSVAPLMRSHEAEVAPAVMVSPCANVALAPAPAAAARKFDRNMFLETPLLELNSYSVLPSTAPMAAVPKSTSTVVTVPSPNPCTTISTHIQFQPQQAKKQKSFQFDQTPSGEQFHIEVPVPLPRGGGGAKEVISFSFDNNSVCTSSAATSFFTSISSQLISMSDAATSSAATAKKACAKRGEDGSVKCHCPKKKKPREKRVVRVPAISDKNADIPADNYSWRKYGQKPIKGSPHPRGYYRCSSKKDCPARKHVERCRSDAGMLIVTYENDHNHAQPLDPSVLTATAAEA >KQK87381 pep chromosome:Setaria_italica_v2.0:IX:7020242:7024019:1 gene:SETIT_034833mg transcript:KQK87381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAECGEEAAALEQGLLAPEEPNQLIYTGDGSVDFKGNPVVKERTGRWKACPFILGNECCERLAYYGISTNLVTYLTKKLHDGNASAASNVTTWQGTCYLTPLIGAILADAYWGRYWTIATFSTIYFIGMGILTLSASVPMLMPPSCEGSICPPASPLQYTVFFLGLYLIALGTGGIKPCVSSFGADQFDDTDPAERIQKGSFFNWFYFSINIGALISSSFLVWVQDNVGWGLGFGIPTVFMGLAIISFFSGTSIYRFQKPGGSPITRVCQVVVASLRKWNVHVPEDSSLLYELPDGVSAIEGSRQLEHTDELRCLDKAATITDVDVKTADLTNPWRICTVTQVEELKILLRMFPIWATTIVFSAVYAQMSTMFVEQGMVLDPSLGSFKVPPASLSTFDTLSVIVCVPIYDYILVPLARRFTGNERGFTELQRMGIGLVISIITMAVAAVLEIKRLAIARDHGLVDQNVPVPLSIFWQIPQYFLVGLSEVFTFIGALEFFYDQSPDAMRSLCSALQLLTTAFGNYLSTFILTMVAYFTTRGGNPGWIPDNLNKGHLDYFFWLLAGLSFLNLVIYVGCAGKYKSKKAA >KQK88028 pep chromosome:Setaria_italica_v2.0:IX:10984999:10987687:1 gene:SETIT_038038mg transcript:KQK88028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDGTEAQVTWEDQQNINRFGRLNNRLHELQDEIKLAKETNENLDDAGNELILSDEDVVRFQIGEVFVHMPRDDVETRLEQMKEDAAKKLERLEEEKESIVAQMAELKKILYGKFKDAINLEED >KQK90885 pep chromosome:Setaria_italica_v2.0:IX:46369400:46369590:1 gene:SETIT_040679mg transcript:KQK90885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECQNNLRFETERVHMNTVMIYQILSTIQDIQFFKIGK >KQK90930 pep chromosome:Setaria_italica_v2.0:IX:46712826:46715469:-1 gene:SETIT_037103mg transcript:KQK90930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQGDYIELHQKRHGRRPDYEERKRKRQAREVHKNSKQAQKLLGAKGKRFAKKRYAEKAQMKKTLKMHDESTKRDKVEDVQEGALPPYLLDRDQTQRAKVLSNTIKQKRKEKAGKWDVPLPKVRPVAEEEMFKVLRTGKRKTKQWKRMVTKATFVGSGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLDIISVKKNPNGPMYTSLGVMTRGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >KQK85213 pep supercontig:Setaria_italica_v2.0:KQ475551:78:3395:-1 gene:SETIT_020922mg transcript:KQK85213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPAIIKYATVLLLLLLPPPCAADDRLVPGKPLSPGATIVSDGGSFALGFFSPTNSTPAKLYLGIWYNDIRRRTVVWVANRETPATNSSAGATFSSPPALSLTNSSNNLVLSDSDGRVLWTTDVTGAAPAAGNAAAVLLNTGNLVIRSPDGTALWQSFDHPADTFLPGMKIRIRYETRAGERLVSWKGPDDPSPGSFSFGVDPDTFLQVFLWNATRPIWRSGPWTGYFVAVKYRAITSVIVYLTIVNTQEEIYMTFSLSAGAAYTRHVLTHSGEFQLQTWNSSSSAWTFLWDWTRGAGECSRYSYCGPNGYCDYIELPATCKCLDGFEPASLEDWKGGRFSQGCRRKEALRCGDGFLALPGMKSPDKFVLVQNRTFQECAAECTRNCSCVAYAYANLSTSRTNGDVTRCLVWAGDLIDTEKIGNGDGTDTLYLRIAGFDAGTMRAKSNTLKTVLPAVLISVLLILAAIYLAWFKFKGKLSHWSRHKKLTLDDMSTSDELGERNHAQDFEFLSVRFEDIVTATRNFSEACKIGQGGFGKVYKAMLGGKEVAIKRLSKDSEQGTKEFSNEVILIAKLQHRNLVRLLGCSVEADEKILIYEYLANGSLDATLFDNSRKMLLDWPTRFNIIKGVARGLLYLHQDSRLTIIHRDLKAANIADFGMARIFNDNQKDANTHRVVGTYGYMAPEYAMEGVFSIKSDVYSFGVLLLEIVTGIKRSSVSSIIGSPNLIVYAWNMWKEGKTRDLADQSITDACLLDEVSLCSHVALLCVQENPDDRPLMSSVVFTLENGSTTLPAPNNPGHYGQRS >KQK85210 pep supercontig:Setaria_italica_v2.0:KQ475555:3290:4501:-1 gene:SETIT_020923mg transcript:KQK85210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGGEACTMLVRTLHWVVPSYSIWGLPFFLFYSTRLSQFLYERPGQGILRSMLCRLMAPLRAGVSKFIESYLAWKLPLDKYGLRPNHPFVEDYASCQMAILPDGFFDMADRDMIRFKRAPGGWCFSRDGVLLDDGTEVKADLVFLATGFEGKDKLRAVLPQPFRGLVVDKSGMMPLYRGTIHPLIPNMAFVGYVESVSNLHTSELRCRWLAGLLDGRFALPSVEDMVRHVDGEAEAMRRTTRFYRRHCISTYSIHDSDAMCADLGTRVLRKGNWLAELFAPYNNQDYKEE >KQK85161 pep supercontig:Setaria_italica_v2.0:KQ475570:292:1821:1 gene:SETIT_020925mg transcript:KQK85161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAGMAAAAEVPVIDLRLAGSASEESARLRAACERQGCFRVTGHGVPRGLLAEMKAAVRALFDLPDDAKRRNTDVIPGSGYVAPSATNPLYEAFGLIDAAAPADVDAFCACLDAPPHLRATVKSYAERMHDVIVDVAGKLASSLGLEEHSFGDWPCQFRINRYNYTKDTVGSSGVQIHTDSSFLTVLQEDECVGGLEVLDPAAGEFVPVDPVAGSFLVNIGDVGTVWSNGRLHNVRHRVRCVAPVPRISIAMFLLGPKDGRVSTPEAFVDAGRPRRYKEFNYDDLRRLRLSTGEHAGEALARLEA >KQK85157 pep supercontig:Setaria_italica_v2.0:KQ475572:2297:2854:1 gene:SETIT_020934mg transcript:KQK85157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPQLLGPPRLSVADTISTAISCRRGAAHGGRRRHRGAKPKPIAFPPKPVRRLLSTSLRRLLPRPRPLTVVVPGGGGWFRLGRRRRKTPAEDLAAVALSLALGGARLAALAEAWNASGLGQALGILAAVLRRGRRTRGGGFRRLVAFLLGVAFCALVCHLRGAALLDGLQKSGGGRRLLRIFLH >KQK85156 pep supercontig:Setaria_italica_v2.0:KQ475573:2489:2938:1 gene:SETIT_020936mg transcript:KQK85156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNSIVTPLVSQKLNNLGWKPMKKIEETLLDSIEYYEKAGLVQDVEGSPCRLPHLFHFASDK >KQK85155 pep supercontig:Setaria_italica_v2.0:KQ475573:627:1906:1 gene:SETIT_020935mg transcript:KQK85155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAETESAGGSPEKVCVTGAGGYIASWLVKLLLSRGYAVHATVRDPCDPKNAHLGRLEGASENLRLLKADVLDHGALAAAVAGCRGVFHVACPVPTDKVVDPESEVLAPAVQGTVNILQACSANNVRKVVVVSSTAAVHFNPKWPRDRPKDEECWSDIDFCKENEDWYMVAKVVAEKTALEYAEQSGLNVVTVCPTMALGPLLRPVVNVSHEFLIYNIKGCIIFAVVFYFLFSYYSFNHRCDVFLIFY >KQK85153 pep supercontig:Setaria_italica_v2.0:KQ475574:1524:2305:1 gene:SETIT_020937mg transcript:KQK85153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKLVALFLAFAVAAAALQPSEAARVQAQQGFKPAVASQDAEKVATQADGGVPSAPTLPGLPAGQLPPGLLPAILGLLFPPLGGIISMIQPLLPPPGSPSQQGGVLGGILPGTSPSPPAPAECMTPLSAMMPCTDYLTNMTVLTPPGQCCDGLKTIIRDAPICLCHGMTGGLNQFLPKPVDQLRMTALPLACGTVLPIQTLFMCNSNQVPPIMPPTPAEPLMTPATP >KQK85733 pep supercontig:Setaria_italica_v2.0:KQ475385:95050:95265:-1 gene:SETIT_020840mg transcript:KQK85733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EELLVMVLVLYALFYVFLVRFIFFDSFKQEFNKLELSAKEERKFVNGEDPLTRDFPDPLYEEFEESSSESSD >KQK85713 pep supercontig:Setaria_italica_v2.0:KQ475385:56466:58674:1 gene:SETIT_020872mg transcript:KQK85713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTYQYAWVIPLLPLPVIMSMGFGLFLIPTATKNLRRIWAFPSILLLSIALVFSVHLSIQQINGSSIYQYLWSWTINNDFSLEFGYLIDPLTSIMLILITTVGILFQLIQIYFFWELSESSACQKAFVTNRVGDFGLLLGILGFFWITGSLEFRDLFKIANNWIPNNGINSLLTTFCKIRTIPSSRMVTRCYGRTHSHFGSIHAATMVAAGIFLVARLFPLFISLPLIMNFISLVGTVTLFLGATLALAQRDIKRSLAYSTMSQLGYMMLALGIGSYEAALFHLITHAYSKALLFLGSGSVIHSMEPLVGYSPDKSQNMVLMGGLRKYVPITRTTFLCGTLSLCGIPPLACFWSKDEILSNSWLYSPFFGIIASFTAGLTAFYMFRIYLLTFDGYLRVHFQNYSSTKEGSLYSISLWGKSISKGVNRDFVLSTMKGGVSFFSQNIPQIPGNTRNKIGSFRIHFDNGIKGNEISELTILSKWLTPSINLFQESSNSSINSYEFLINAILLIYLYGSTYSFFQNLNFLNSLVKGNPKKDFLDQVKERYTAGEEIKYVGGGRISSYLFFFLCYVSLFLFFFP >KQK85753 pep supercontig:Setaria_italica_v2.0:KQ475385:144950:145069:-1 gene:SETIT_020849mg transcript:KQK85753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDRTYPIFTVRWLAVHGLAVPTVFFLGSISAMQFIQR >KQK85702 pep supercontig:Setaria_italica_v2.0:KQ475385:25461:25742:-1 gene:SETIT_020879mg transcript:KQK85702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIKYAVFTEKSLRLLGKNQYTSNVESGFTKTEIKHWVELFFGVKVVAVNSHRLPGKGRRMGPILGHTMHYRRMIITLQPGYSIPLLDRETN >KQK85704 pep supercontig:Setaria_italica_v2.0:KQ475385:28537:29230:1 gene:SETIT_020877mg transcript:KQK85704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWAGIVVITFAIAVRIYPIFIFLLKERIKPLVEALYDKLPWIWEVSLSRYWDRLIDFLDRYLWACAQRIQTGIRKQKGEFVVTFSCRVKKRLYARAIEVGIHLSLLSNLFWILKTTLAGFLGSFRLYLVYFGFYCLLFSGRWLRTSEDRGERQAQISGILLRGMLIQ >KQK85695 pep supercontig:Setaria_italica_v2.0:KQ475385:11908:13432:1 gene:SETIT_020875mg transcript:KQK85695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPWYRVHTVVLNDPGRLLSVHIMHTALVSGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLAGVACFGFGAFHVTGLYGPGIWVSDPYGLTGKVQAVNPAWGAEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRRVSDGLAENLSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAVGWLGHPVFRDKEGRELFVRRMPTFFETFPVVLVDEEGIVRADVPFRRAESKYSVEQVGYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFGHATFALLFFFGHIWHGARTLFRDVFAGIDPDLDAQVEFGTFQKVGDPTTKRQAA >KQK85718 pep supercontig:Setaria_italica_v2.0:KQ475385:64216:64761:1 gene:SETIT_020830mg transcript:KQK85718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAVQKVDLFGNLRIYAYFQLPEAFRRCYALPRLWVPRYPPQAFPLLNLAINVKAMPSKVLLNGRILSTSMNAKS >KQK85734 pep supercontig:Setaria_italica_v2.0:KQ475385:96849:97522:1 gene:SETIT_020894mg transcript:KQK85734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSGCIWRYRLVMPLSSSGSGHLSFKEAAGIRLPLGVGSIIKRG >KQK85690 pep supercontig:Setaria_italica_v2.0:KQ475385:4888:5007:-1 gene:SETIT_020873mg transcript:KQK85690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDRTYPIFTVRWLAVHGLAVPTVFFLGSISAMQFIQR >KQK85714 pep supercontig:Setaria_italica_v2.0:KQ475385:58848:59021:-1 gene:SETIT_020852mg transcript:KQK85714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKQENRGSVEFQVFSFTNKIRRLASHLELHKKDFSSERGLRRLLGKRHVCWLIWQEK >KQK85725 pep supercontig:Setaria_italica_v2.0:KQ475385:75055:75744:-1 gene:SETIT_020884mg transcript:KQK85725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDETLLVFTLVVSSVSVFLFGILLFMVLISATRDFRERTKSKLVKIMIWAGIVVITFAIAVRISDLYLFAQERIKPLVEALYDKLPGSGKIQTGIRKQKGEFVVTFSCRVKKRLYARAIEVGIHLSLLSNLFWILKTTLAGFLGSFRLYLVYFGFYCLLFSGRWLRTSEDRGERQAQISGILLRGMLIQ >KQK85726 pep supercontig:Setaria_italica_v2.0:KQ475385:79776:80187:-1 gene:SETIT_020850mg transcript:KQK85726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFPSPPPWGWSTGQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDAPAALANCPPLPRVISMLCMAVPKGISVEVDSSFFSKNPFPNCTSFFQSIRLSRCI >KQK85737 pep supercontig:Setaria_italica_v2.0:KQ475385:105123:106589:1 gene:SETIT_020888mg transcript:KQK85737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDQYKHKQLQIGLVSPQQIRAWAKKILPNGEVVGEVTRPSTFHYKTDKPEKDGLFCERIFGPIKSGICACGNSRASVAENEDERFCQKCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKGLPSYIANLLDKPLKKLEGLVYGDFSFARPSAKKPTFLRLRGLFEDEISSCNHSISPFFSTPGFATFRNREIATGAVSGDKVVTSDINELYKRVIRRNNNLAYLLKRSELAPADLVMCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEGKEGRVSMRT >KQK85729 pep supercontig:Setaria_italica_v2.0:KQ475385:85341:85547:-1 gene:SETIT_020833mg transcript:KQK85729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYRIVAIDVRSRREGRDLQKVGFYDPIKNQTCLNVPAILYFLETGAQPTRTVYDILRKAEFFKDKERT >KQK85687 pep supercontig:Setaria_italica_v2.0:KQ475385:2927:3613:1 gene:SETIT_020868mg transcript:KQK85687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLSFQSYRPNKKNILVIGPVPGKKYSEIVFPILSPDPATKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGIVKKILRKEKGGYEISIVDASDGRQVIDIIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >KQK85746 pep supercontig:Setaria_italica_v2.0:KQ475385:137228:138673:1 gene:SETIT_020845mg transcript:KQK85746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCREGLMSPQTETKASVGFKAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYHIEPVPGEADQYICYIAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPTAYAKTFQGPPHGIQVERDKLNKYGRPLLGSCYECLRGGLDFTKDDENVNSQPFMRWRDRFVFCAEAIYKAQAETGEIKGHYLNATAGTCEEMIKRAAFARELGVPIVMHDYLTGGFTANTSLSYYCRDNGLLLHIHRAMHAVIDRQKNHGMHFRVLAKALRMSGGDHIHSGTVVGKLEGEREITLGFVDLLRDDFIEKDRSRGIFFTQDWASMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAAANRVALEACVQARNEGRDLAREGNEIIKAACKWSPELAAACEVWKEIKFEFEAMDTL >KQK85688 pep supercontig:Setaria_italica_v2.0:KQ475385:4501:4644:-1 gene:SETIT_020869mg transcript:KQK85688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKVGEMADTTGRIPLWLIGTVTGILVIGLIGVFFYGSYSGLGSSL >KQK85710 pep supercontig:Setaria_italica_v2.0:KQ475385:52231:53518:1 gene:SETIT_020851mg transcript:KQK85710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLIFFLPHKGNKIVRWYTISICLLEFLLMTYAFCYHFQLEDPLIQLKEDSKWIDVLDFHWRLGIDGLSLGSILLTGFITTLATLAAWPVTRNSRLFYFLMLAMYSGQIGLFSSRDLLLFFIMRLYSATKFILYTAGGSIFFLIGVLGMGLYGSNEPGLDLERLINQSYPATLEILLYFGFLIAYAVKLPIIPLHTWLPDTHGEAHYSTCMLLAGILLKMGAYGLIRINMELLPHAHYLFSPCLVIIGAVQIIYAASTSLGIGSITNIGLNGALLQILSHGFIGATLFFLAGTACDRVRLVYLEELGGISIPMPKIFTMFSSFSMASLALPGMSGFVAELVVFFGLITSPKFMLMPKMLITFVMAIGMILTPIYLLSMLRQMFYGYKLFH >KQK85692 pep supercontig:Setaria_italica_v2.0:KQ475385:6851:6964:1 gene:SETIT_020885mg transcript:KQK85692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >KQK85697 pep supercontig:Setaria_italica_v2.0:KQ475385:16809:17163:1 gene:SETIT_020878mg transcript:KQK85697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VILGTIACNVGLAVLEPSMIGEPADPFATPLEILPEWYFFPVFQYSVQYPIIATTVFLLGTAVALWLGIGATLPIDKSLTLGLF >KQK85749 pep supercontig:Setaria_italica_v2.0:KQ475385:141785:142477:1 gene:SETIT_020838mg transcript:KQK85749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKALPSFLYLVFIVLLPWGISFSFNKCLELWIKNWWNTRQSETFLTDIQEKRILEGFIELEELFLLDEMIKEKPKTHVQKPPIGIHKEIIQLAKIDNEDHLHTILHFSTNIICLAILSGSFFLGKEELVILNSWVQEFFYNLNDSIKAFFILLVTDFFVGFHSTRGWELLIRWVYNNFGWAPNELIFTIFVCSFPVILDTCLKFWVFFCLNRLSPSLVVIYHSISEA >KQK85741 pep supercontig:Setaria_italica_v2.0:KQ475385:119604:121726:-1 gene:SETIT_020848mg transcript:KQK85741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHDPLHVRPIAHAIWDPHFGQPAVEAFTRGGAAGPVNIAYSGVYQWWYTIGLRTNEDLYTGALFLLFLSTLSLIGGWLHLQPKWKPSLSLFGVSSLAWTGHLVHVAIPASRGEYVRWNNFLDVLPYPQGLGPLLTGQWNLYAQNPDSSNHLFGTTQGAGTAILTLLGGFHPQTQSLWLTDIAHHHLAIAFIFLIAGHMYRTNFGIGHSLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTTYGRSIWLPGWLNAVNENSNSLFLTIGPGDFLVHHAIALGCLDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALPLCKQDWLD >KQK85723 pep supercontig:Setaria_italica_v2.0:KQ475385:71267:72818:1 gene:SETIT_020857mg transcript:KQK85723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVVCTAWREIFPIFRDPPYNMGSKSQKISDSFLALIKENGFLNLFHAHVTSSLCSYLLSGYTKRDLRSNEATMKYLLMGGASSSILVHGFSGYMVHLGGRSSFKKL >KQK85727 pep supercontig:Setaria_italica_v2.0:KQ475385:80928:82089:-1 gene:SETIT_020824mg transcript:KQK85727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAILERRESTSLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYKFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAALEVPSLNG >KQK85706 pep supercontig:Setaria_italica_v2.0:KQ475385:46160:47338:1 gene:SETIT_020839mg transcript:KQK85706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLTRKDLMIVNMGPQHPSMHGVLRLIVTLDGEDVIDCEPILGYLHRGMEKIAENRTIIQYLPYVTRWDYLATMFTEAITSQLYRVIMLELSRIASHLLWLGPFMADIGAQTPFFYIFRERELIYDLFEAATGMRMMHNYFRIGGVAADLPYGWMDKCLDFFEGVGFISGEEAVNWGLSGPMLRASGIQWDLRKIDPYESYNQFDWKVQWQKEGDSLARYLVRIGEMKESIKIIQQANSEWNDFEYRFLGKKPSPNFELSKQELYVRVEAPKGELGIYLVGDDSLFPWRWKIRPPGFINLQILPQLVKKMKLADIMTILGSIDIIMGEVDR >KQK85731 pep supercontig:Setaria_italica_v2.0:KQ475385:89670:92038:1 gene:SETIT_020853mg transcript:KQK85731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFALIGFMLRQFELARSVQLRPYNAISFSGPIAVFVSVFLIYPLGQSGWFFAPRFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTFRAFNPTQAEETYSMVTANRFWSQIFGVAFSNKRWLHFFMLFVPVTGLWMSAIGVVGLALNLRAYDFVSQEIRAAEDPEFETFYTKNILLNEGIRAWMAAQISLMKILYSLRRFYHVETLFNGTFVLAGRDQETTGFAWWAGNARLINLSGFGGIYHALLGPETLKNLFHSLVIEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGRARAAAAGFEKGIDRDLEPVLYMTPLN >KQK85685 pep supercontig:Setaria_italica_v2.0:KQ475385:793:1714:1 gene:SETIT_020826mg transcript:KQK85685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRSEHIWIELLKGSRKRGNFFWACILFLGSLGFLAVGASSYLGKNMISVLPSQQILFFPQGVVMSFYGIAGLFISSYLWCTILWNVGSGYDRFDRKEGIVCIFRWGFPGIKRRIFLRFLVRDIQSIRIQVKEGLYPRRILYMEIRGQGVIPLTRTDEKFFTPREIEQKAAELAYFLRVPIEVF >KQK85716 pep supercontig:Setaria_italica_v2.0:KQ475385:62257:63172:1 gene:SETIT_020856mg transcript:KQK85716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVWPEETFARLRYLLGGLRPIETVYLRLSLGPRASAPIHGLTTCGDLCTLLLKVVRAFPGSMAWVTYFSAVALRLVLGPRLEAFSLPLLTLKKQGHLVSLNL >KQK85684 pep supercontig:Setaria_italica_v2.0:KQ475385:324:434:1 gene:SETIT_020835mg transcript:KQK85684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFNLPSIFVPLVGLVFPAIAMASLFLYVQKNKIV >KQK85703 pep supercontig:Setaria_italica_v2.0:KQ475385:26650:27660:1 gene:SETIT_020861mg transcript:KQK85703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHTKGFGSITTSSSALDLVALSNEALSISIPHKKSIIETNTIRLALHRQTWGLRAKIRPARDHGTLFYQIGGGLVQNRLLSNNPIDPISIYINRQSCQEAAFSLAKRYFELGTSMKRLTRLLSLLSFSGGPVAQDLWSSPGTDEKFEKGNGMVKPELLEERIFNSITWAPRIWGPWDNLFDCRDRYAEYDWGFSYGYWSGSKQIKEDELSETAIYSNYFWSFHSNTLSESSQYLAKLFLSNGRLLDQMTKTLFVEKE >KQK85755 pep supercontig:Setaria_italica_v2.0:KQ475385:145509:146070:1 gene:SETIT_020895mg transcript:KQK85755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFKAAIEPHNFWSPTYFHCLRNSRIISFLIAAKILGKPKLMINKIKNLERIFSY >KQK85747 pep supercontig:Setaria_italica_v2.0:KQ475385:139550:139660:1 gene:SETIT_020880mg transcript:KQK85747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDFNLPSIFVPLVGLVFPAIAMASLFLYVQKNKIV >KQK85686 pep supercontig:Setaria_italica_v2.0:KQ475385:1722:2413:1 gene:SETIT_020887mg transcript:KQK85686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKKALPSFLYLVFIVLLPWGISFSFNKCLELWIKNWWNTRQSETFLTDIQEKRILEGFIELEELFLLDEMIKEKPKTHVQKPPIGIHKEIIQLAKIDNEDHLHTILHFSTNIICLAILSGSFFLVTDFFVGFHSTRGWELLIRWVYNNFGWAPNELIFTIFVCSFPVILDTCLKFWVFFCLNRLSPSLVVIYHSISEA >KQK85693 pep supercontig:Setaria_italica_v2.0:KQ475385:7463:9356:1 gene:SETIT_020828mg transcript:KQK85693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISKQPFHKFKQPFRKSKQTFHKSKQPFRKFKQPFRKSKQPFRRRSRIGPGDRIDYRNMSLINRFISEQGKILSRRINRLTLKQQRLITLAIKQARILSFLPFRNYENEKQFQAQSISIITGPRHRKNRHIPQLTQKFNSNRNLRNSNQNLRNNNRNLSSDC >KQK85698 pep supercontig:Setaria_italica_v2.0:KQ475385:17392:19418:-1 gene:SETIT_020860mg transcript:KQK85698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDRVKIEVSRYDSSKGRIIYRLPHKDSKHPQGRVVFWSSAGTCGFKSSRKASPYAGQRTAVDAIRTVGLQRAEVMVKGAGSGRDAALRAIAKEVTGSTQTLEWKCVESRVDSKRLYYGRFILSPLRKGQADTVEGTCITRAKFGNVPHEYSTIVGPRYITAQDIILPPSVEIVDTTQPIANLREPIDFYIELQIKRDRGYHTELRKNSQDGSYPIDAVSMPVRNVNYSIFSCGNGNEKHEILFLEIWTNGSLTPKEALYEASRNLIDLFLPFLHAEEEGTRIPLNCIFIDQLELPSRTYNCLKRANIHTLLDLLSKTEEDLMRIESFRMEDGKLIWDTLEKHLPIDLLKN >KQK85751 pep supercontig:Setaria_italica_v2.0:KQ475385:144563:144706:-1 gene:SETIT_020883mg transcript:KQK85751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRKVGEMADTTGRIPLWLIGTVTGILVIGLIGVFFYGSYSGLGSSL >KQK85719 pep supercontig:Setaria_italica_v2.0:KQ475385:65050:65947:1 gene:SETIT_020829mg transcript:KQK85719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQSSSPLLRKSEPPVQVQDTIITAWTIRQPTRNRNDPIARAELYQLSYIPPEPSGVCMKESDASSILFLAQLGHPGLEPETSPVK >KQK85745 pep supercontig:Setaria_italica_v2.0:KQ475385:134534:136434:-1 gene:SETIT_020854mg transcript:KQK85745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTNPTTSRPGVSTIEEKSAGRIDQIIGPVLDITFPPGNKSRDTADKQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGTTLGRIFNVLGEPIDNLGPVDTSATFPIHRSAPAFIDSYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEKNIEESKVALVYGQMNEPPGARMRVDVNKQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGSLQERIASTKKGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAPRPRIVGNEHYETAQRVKETLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGNGFARIVNNEIIILGNDAELGSDIDPEEAQQALEIAEANLSRAEGTKELVEAKLALRRARIRVEAVNWIPPSN >KQK85717 pep supercontig:Setaria_italica_v2.0:KQ475385:63743:64176:1 gene:SETIT_020831mg transcript:KQK85717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQLLRLNAFRGEPASSGFEWHFTPNHNSSADSSTSVGSDLCLVHPSFILVMDRSPRFGSISSDIAL >KQK85707 pep supercontig:Setaria_italica_v2.0:KQ475385:47340:47894:1 gene:SETIT_020867mg transcript:KQK85707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIEVETINSFSKSELLKEVYGLIWILPILTLLLGITIEVLVIVWLEREISASIQQRIGPEYAGPLGLLQAIADGTKLLLKEDILPSRGDIPLFSIGPSIAIISVLLSFLVIPLGYRFVLADLSIGVFLWIAISSIAPIGLLMSGYSSNNKYSFSGGLRAAAQSISYEIPLTFCVLAISLRVIR >KQK85708 pep supercontig:Setaria_italica_v2.0:KQ475385:49566:49928:1 gene:SETIT_020863mg transcript:KQK85708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMLTGFISYGQQTIRAARYIGQDLPLVDWRFEKDIKRKQLLNYSIDFGVCIFVVTVLNTVRQIVYR >KQK85701 pep supercontig:Setaria_italica_v2.0:KQ475385:24065:24478:1 gene:SETIT_020886mg transcript:KQK85701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFPSPPPWGWSTGFITTPLTTGRLPSQHLDPALPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVANLPSFAISFATAPAALANCPPLPRVISMLCMAVPKGISVEVDSSFFSKNPFPNCTSFFQSIRLSRCI >KQK85739 pep supercontig:Setaria_italica_v2.0:KQ475385:112686:112946:1 gene:SETIT_020846mg transcript:KQK85739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLGGIKYMTRLPDIVIVLDQQKEYIALRECAILGIPTISLVDTNCDPDLANISIPANDDTMTSIRLILNKLVFAISEGRSLYIRNR >KQK85709 pep supercontig:Setaria_italica_v2.0:KQ475385:50309:50711:1 gene:SETIT_020859mg transcript:KQK85709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPGPIHEILGSRSSITYQPNLFCLSLGLVLVCISLFYFLLNSYFVAVAQLLIYVGAINVLIIFAVMFVNGSEWSKDKNFWTIGDGFTLLLCITIPFSLMTTIPDTSWYGILWTTRANQIVEQ >KQK85756 pep supercontig:Setaria_italica_v2.0:KQ475385:146914:147027:1 gene:SETIT_020874mg transcript:KQK85756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVFLFGIVLGLIPITLAGLFVTAYLQYRRGDQLDL >KQK85712 pep supercontig:Setaria_italica_v2.0:KQ475385:55451:56022:1 gene:SETIT_020891mg transcript:KQK85712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIKQEFFKAFHLNQFIYQIYPIYKYMIWGISPHIRWGDKKKVKFN >KQK85740 pep supercontig:Setaria_italica_v2.0:KQ475385:116949:118468:1 gene:SETIT_020882mg transcript:KQK85740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLRVDEINKILRERIEQYNRKVGIENIGRVVQVGDGIARIIGLGEIMSGELVEFAEGTRGIALNLESKNVGIVLMGDGLMIQEGSFVKATGRIAQIPVSEAYLGRVINALAKPIDGRGEIVASESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQKGQDVICVYVAIGQRASSVAQVVTTFHEEGAMEYTIVYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKLNSLLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVAMKQVAGKSKLELAQFAELQAFAQFASALDKTSQNQLARGRRLRELLKQSQSNPLPVEEQVATIYTGTRGYLDSLEMNSKTFTEQAETLLKEAIQEQLERFSLQEQT >KQK85754 pep supercontig:Setaria_italica_v2.0:KQ475385:145080:145331:-1 gene:SETIT_020864mg transcript:KQK85754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >KQK85738 pep supercontig:Setaria_italica_v2.0:KQ475385:107364:111814:1 gene:SETIT_020889mg transcript:KQK85738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERANLVFHNKEIDGTAMKRLISRLIDHFGMGYTSHILDQIKTLGFHQATTTSISLGIEDLLTIPSKGWLVQDAEQQSFLLEKHYYYGAVHAVEKLRQSVEIWYATIHQLVGMRGLMADPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTADAGYLTRRLVEVVQHIIVRRRDCGTIRGISVSPQNGMTEKLFVQTLIGRVLADDIYIGSRCIAARNQDIGIGLVNRFITAFRAQPFRAQPIYIRTPFTCRSTSWICQLCYGRSPTHGDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTADLDLVHPTRTRHGQPAFLCYIDLHVTIQSQDILHSVNIPLKSLILVQNDQYVESEQIQKHIYSESDGEMHWSTDVYHAPEYQYGNLRRLPKTSHLWILSVSMCRSSIASFSLHKDQDQMNTYSFSVHGRYIFDLAMANDQVSHRLLDTFGKKDREILDYLTPDRIVSNGHCNFVYPSILQDNSDLLAKKRRNRFVVPLQYHQEQEKELISCLGISIEIPFMGVLRRNTIFAYFDDPRYRKDKRGSGIVKFRYRTLEEEYRTREEEYRTREEDSEDEYESPENEYRTREGEEGEGKYEILEDKYRTLEDDSEEEYGSSEDGSEKEYGTLEEDSEEDSEDEYGSPEEDSILKKESFIEHRGTKEFSLKYQKEVDQSSSLKVLDNSIIGVDTQLTKNTRSRLGGLVRVKRKKSHTELKIFSGDIHFPEEADKILGRNLATLFPRNLLQEEDNLQLRLVNFISHENSKLTQRIYHTNSQFVRTCLVVNWEQEEKEGARASLVEVRTNDLIRDFLRIELVKSTTSYTRRRYDRTSVGLIPNNRLDRNNTNSFYSKAKIQSLSQHKEAIGTLLNRNKEYPSLMILLQGLKYSLIDENKRISTFDSNIMLEPFHLNWHFLHHDSWEETSAIIHLGQFICQIFIVNMDSFVLRAAKPYLATIGATVHGQYGKILYKGDRLVTFIYEKSRSSDITQGLPKVEQIFEARSIDSLSPNLERRIEDWNERIPRILGVPWGFLIGAELTVAQSHPYRHIEIIIRQVTSKVRVSEDGMSNVFLPGELIGLLRAERAGRALDESIYYRAILLGITRASLNTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGIIPVGTGFQKFVHRSPQDKNLYFEIQKKNLFASE >KQK85721 pep supercontig:Setaria_italica_v2.0:KQ475385:67128:67620:-1 gene:SETIT_020890mg transcript:KQK85721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRILLKERGALGNADTGGAWLSSARAVRCWVKSRNERNPRV >KQK85705 pep supercontig:Setaria_italica_v2.0:KQ475385:29886:30674:-1 gene:SETIT_020841mg transcript:KQK85705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEARNPLFDSDSPTPVVAFLSVTSKVAASALATRILDIPFYFSSNEWHLLLEILAILSMILGNLLAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNDGYASMITYMLFYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFGKLYLFWCGWQAGLYFLVSIGLLTSVLSIYYYLKIIKLLMTGRNQEITPYVRNYRRSPLRSNNSIELSMTVCVIASTIPGISMNPILAIAQDTLF >KQK85750 pep supercontig:Setaria_italica_v2.0:KQ475385:142717:143675:1 gene:SETIT_020836mg transcript:KQK85750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENRNTFSWLKEQMIRSISVSIMIYVITRTSISNAYPIFAQQGYENPREATGRIVCANCHLANKPVDIEVPQAVLPDTVFEAVLRIPYDMQLKQVLANGKKGGLNVGAVLILPEGFELAPPDRISPELKEKIGNLSFQSYRPNKKNILVIGPVPGKKYSEIVFPILSPDPATKKDVHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATSTGIVKKILRKEKGGYEISIVDASDGRQVIDIIPPGPELLVSEGESIKLDQPLTSNPNDPLRVQGLLFFFASVILAQVFLVLKKKQFEKVQLYEMNF >KQK85752 pep supercontig:Setaria_italica_v2.0:KQ475385:144811:144927:-1 gene:SETIT_020866mg transcript:KQK85752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSNPNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >KQK85715 pep supercontig:Setaria_italica_v2.0:KQ475385:60144:60236:-1 gene:SETIT_020862mg transcript:KQK85715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSLSMRIINSVVVVGLYYGFLTTFSIGPS >KQK85724 pep supercontig:Setaria_italica_v2.0:KQ475385:73097:73799:1 gene:SETIT_020892mg transcript:KQK85724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVLYIREEGNQKRKKTSSSFFYHLEPCEMKVSCTVLHERKKRGILFSTLTPHSSRCFSFCYFESSCFSFSHANSRYSFLFLIKRMASSSGNPSYS >KQK85748 pep supercontig:Setaria_italica_v2.0:KQ475385:140019:140576:1 gene:SETIT_020847mg transcript:KQK85748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRSEHIWIELLKGSRKRGNFFWACILFLGSLGFLAVGASSYLGKNMISVLPSQQILFFPQGVVMSFYGIAGLFISSYLWCTILWNVGSGYDRFDRKEGIVCIFRWGFPGIKRRIFLRFLVRDIQSIRIQVKEGLYPRRILYMEIRGQGVIPLTRTDEKFFTPREIEQKAAELAYFLRVPIEVF >KQK85732 pep supercontig:Setaria_italica_v2.0:KQ475385:93457:94476:-1 gene:SETIT_020832mg transcript:KQK85732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRFPLPAPFCRKDYSICLSRHGRDLYSTFFVHLFSALQSGVEQFGSSRGS >KQK85700 pep supercontig:Setaria_italica_v2.0:KQ475385:22883:23176:-1 gene:SETIT_020834mg transcript:KQK85700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRVLDEIRWRYYEETVMILNLMPYRASYPILKLVYSAAANATHYRDFDKANLFITKAEVSRGTIMKKFRPRARGRSYSIKKTMCHITIVLNIVKKSK >KQK85735 pep supercontig:Setaria_italica_v2.0:KQ475385:98683:98784:1 gene:SETIT_020844mg transcript:KQK85735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGSQYSRIYCYCIVHSSSYCLFTYYLCKNSQPK >KQK85736 pep supercontig:Setaria_italica_v2.0:KQ475385:101890:104861:1 gene:SETIT_020876mg transcript:KQK85736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIPGFSQIQFEGFCRFINQGLAEELEKTLDKERDAVYESLTYSSELYVSARLIFGFDVQKQTISIGNIPIMNSLGTFIINGIYRIVINQILLSPGIYYRSELDHKGISIYTGTIISDWGGRSELAIDKKERIWARVSRKQKISILVLSSAMGSNLREILDNVSYPEIFLSFPNAKEKKRIESKEKAILEFYQQFACVGGDLVFSESLCEELQKKFFQQKCELGRVGRRNMNRRLNLDIPQNNTFLLPRDVLAATDHLIGMKFGTGILDDDDMNHLKNKRIRSVADLLQDQFGLALGRLQHAVQKTIRRVFIRQSKPTPQTLVTPTSTSILLITTYETFFGTYPLSQVFDQTNPLTQTVHGRKVSCLGPGGLTGRTASFRSRDIHPSHYGRICPIDTSEGINVGLTGSLAIHARIDHWWGSIESPKKERQVVYLSPNRDEYYMIAAGNSLSLNQGIQEEQVVPARYRQEFLTIAWEQIHVRSIFPFQYFSIGGSLIPFIEHNDANRALMSSNMQRQAVPLSRSEKCIVGTGLERQTALDSRVSVIAEREGKIISSDSHKILLSSSGKTISIPLVAHQRSNKNTCMHQKPRVTRGKSIKKGQILAEGAATVGGELALGKNILVAYMPWEGYNFEDAVLISERLVYEDIYTSFHIRKYEIQTDTTSQGSAEKITKEIPHLEEHYSAIWTEMELWKRARIDVKWIQRDPLDIMVRVYILQKREIKVGDKVAGRHGNKGIISKICLGKICPICKMEHLDLLKKHYRIAPFDERYEQEASRKLVFSELYEASKQTKNPWVFEPEYPGKSRIFDGRTGDPFEQPVLIGKSYILKLIHQVDEKIHGRSTGPYSLVTQQPVRGRAKQGGQRVGEMEVWALEGF >KQK85744 pep supercontig:Setaria_italica_v2.0:KQ475385:130154:134351:-1 gene:SETIT_020825mg transcript:KQK85744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRSLGMVLTEYSDKKKEGKDSIETIMGLIEFPLLDQTSSNSVISTTPNDLSNWSRLSSLWPLLYGTSCCFIEFAALIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEPKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLCQSQKKNRSFTTRHKLYVRRSTHTGTYEQELLYQSPSTLDISSETFFKSKSPISSYKLVN >KQK85699 pep supercontig:Setaria_italica_v2.0:KQ475385:20208:20579:-1 gene:SETIT_020858mg transcript:KQK85699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPQTLLNVADNSGARKLMCIRVIGAAGNQRYARIGDVIVAVIKDAVPQMPLERSEVIRAVIVQFKCEDGIIIRYDDNAAVIIDQKGNPKGTRVFGAIAEELRELNFTKIVSLAPEVL >KQK85689 pep supercontig:Setaria_italica_v2.0:KQ475385:4749:4865:-1 gene:SETIT_020865mg transcript:KQK85689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSNPNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >KQK85743 pep supercontig:Setaria_italica_v2.0:KQ475385:127557:128135:-1 gene:SETIT_020881mg transcript:KQK85743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQIRRLGALPGLTRKTPKSGSNQKKKFHSGKKEQYRIRLQEKQKLRFHYGLTERQLLDMSVLLQLLEMRLDNILFRLVNGRIVDIPSFRCKPRDIITTKDNQRSKRLVQNSIASSDPGKLPKHLTVDTLQYKGLVKKILDRKWVGLKVNELLVVEYYSRQT >KQK85694 pep supercontig:Setaria_italica_v2.0:KQ475385:9443:11419:-1 gene:SETIT_020827mg transcript:KQK85694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGVPKVPYRIPGDEEATWVDLYNVMYRERTLFLGQEIRCEITNHITGLMVYLSIEDGISDIFLFINSPGGWLISGMAIFDTMQTRIAFPHARIMLHQPASAYYRARTPEFLLEVEELHKVREMITRVYALRTGKPFWVVSEDMERDVFMSADEAKAYGLSICRG >KQK85711 pep supercontig:Setaria_italica_v2.0:KQ475385:53897:54769:-1 gene:SETIT_020837mg transcript:KQK85711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RELRGLRDSSEKGMITTFFSITGFLVSRWVSSGHFPLSNLYESLIFLSWALEIEYLYAKRSALKNTSFPAFPNYYKYQLTERLDSWSYRVISLGFTLLTMGILCGAVWANEAWGSYWNWDPKETWAFITWTIFAIYLHSRTNPNWKGTNSALVASIGFLIIWICYFGINLLGIGLHSYGSFTLPSK >KQK85742 pep supercontig:Setaria_italica_v2.0:KQ475385:122620:122974:1 gene:SETIT_020893mg transcript:KQK85742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVQAKTVKTQKYTYPVKVGYDCIAVSKDAI >KQK85722 pep supercontig:Setaria_italica_v2.0:KQ475385:69379:69567:1 gene:SETIT_020842mg transcript:KQK85722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFIVIEIHVLPRQNFELAILLPNRQRLTSVERLIHSDRYEDPTPLHCQNPCSIFEVTPVLLS >KQK85728 pep supercontig:Setaria_italica_v2.0:KQ475385:82251:85250:-1 gene:SETIT_020823mg transcript:KQK85728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFEGYSEKQKSRQQYFVYPLLFQEYIYAFAHDYGLNGAEPVEIFGCNNKKFSSLLVKRLIIRMYQQNFGINSVNQPNQDRLLDHSNYFYSEFYSQILSEGFAIVVEIPLSLGQLSCTEEKEILKFQNLQSIHSIFPFLEDKFLHLHYLSHIEIPYPIHLEILVQLLEYRIQDVPSLHLLRFFLNYYSNWNKNKRLFRFLYNSYVSEYEFFLFFLRKQSSCLRLTSSGTFLERIHFSGKMEHFGVMYPGFFRKTIWFFMDPLMHYVRYQGKAILASKGTLLLKKKWKSYLVNFSQYFISFWTQPQRIRLNQLTNSCFDFLGPATPLIGSLSKAQFCTGSGHPISKPVWTDLSDWDILDRFGQICRNLFHYHSGSSKKQTLYRLKYILRLSCARTLARKHKSTVRTFMKRLGSVFLEEFFTEEEQVFSLMFAKTTHFSFNGSHSERIWYLDIIRINDLVNPLTLN >KQK85730 pep supercontig:Setaria_italica_v2.0:KQ475385:87728:87913:1 gene:SETIT_020855mg transcript:KQK85730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNILSLTCICFNSVLCPTSFFFAKLPEAYAIFNPIVDVMPVIPVLFFLLAFVWQAAVSFR >KQK85691 pep supercontig:Setaria_italica_v2.0:KQ475385:5018:5269:-1 gene:SETIT_020871mg transcript:KQK85691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITDRFDSLEQLDEFSRSF >KQK85696 pep supercontig:Setaria_italica_v2.0:KQ475385:13773:13904:-1 gene:SETIT_020870mg transcript:KQK85696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATLVAISISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGD >KQK85720 pep supercontig:Setaria_italica_v2.0:KQ475385:66302:66540:-1 gene:SETIT_020843mg transcript:KQK85720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFSEIPCRSNFLFTRGIRAVRGGATAAPLFSRIHTSLISVWRAISRAQVEVRPQWENGAPNNASSQTKNYEITPFIL >KQK85681 pep supercontig:Setaria_italica_v2.0:KQ475386:29497:30524:1 gene:SETIT_020898mg transcript:KQK85681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNMALAGNGMKKLILAVLLLCLVIGQIQVEAKSCCPSTTARNIYNTCRITGTSRPTCAKLSGCKIISGDKCKPPNDHLTLDPDTEEVNVLNFCKLGCASSVCNNINAALGNEEANDAVESCDQACSSFCNVHVGAATVVA >KQK85674 pep supercontig:Setaria_italica_v2.0:KQ475388:41650:43443:-1 gene:SETIT_020901mg transcript:KQK85674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAREALQGGLELRVTVPEGASVTVEHEAAGGAAARAWAWLLACVAAAWGRVAGFAREVWRIGADDPRKVVHGLKVGLSLALVSIFYYTRPLYDGVGGAATWAIMTVVAVFEYTVGGSVQKSFNRAVATASAGVLALGVHWVADKTGEFEPYILTGSLFLLAAAATFSRFIPTVKAQFDYGVTIFILTYNLVAVSGYRVESVDELAALVQQRISTIAIGILTCLAVAIFVCPVWAGQELHLLTTRNMDKLAAALQGCVEHYFAEGPAAQPQARSDCDRCVLNSMAYEDEQVNLALWEPAHGRFGFCHSYDQYGKVGAAMRACACCVEALSSCASAETQAPEHVKRLLRDACTRAGARCAQVLREASRSVATMTASSRALDVAVADMNTAVHELQGDMRSLPSMLAETSLVMDTMPVFTVGSLMVEIAVRVQGIVDVVNKLAACF >KQK85675 pep supercontig:Setaria_italica_v2.0:KQ475388:77109:78011:-1 gene:SETIT_020902mg transcript:KQK85675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATTSAVLLSSAARRLSQSASSIITREVTGHHNLTIAGFAPSRKFPTDWTASSPAFDAAGHGWRITYHPNGNSWPEYVSLYLEPVDGGGRRFTLLDPAGNPVPRYTRSSQGVNYFDGEEMSKGDLEKSGCLEDNSFTVRCDITVIKNWTKNAADGASNAALATARVVLLPSDLHRDLSNLLWKKQGADVVIDVGGEATYDAHGWLLAARSPVFEAELFAVAKEKVPGGTVRRRMEVKGMEPRVFEALLCFVYTDALPEAEEGGPGRCRRHGAGPAHGGAE >KQK85672 pep supercontig:Setaria_italica_v2.0:KQ475389:51598:55875:1 gene:SETIT_020904mg transcript:KQK85672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKSYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKSDEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPSKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >KQK85671 pep supercontig:Setaria_italica_v2.0:KQ475389:51598:55875:1 gene:SETIT_020904mg transcript:KQK85671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKSYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKSDEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPSKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >KQK85670 pep supercontig:Setaria_italica_v2.0:KQ475390:21947:23189:-1 gene:SETIT_020906mg transcript:KQK85670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSKEQHSSSADQQAVRLDAQVQLWHHTFGYVKSMALKTALDLHIPDAIHQHGGSATLPQIVTEVTLHPSKIPCLRRLMRVLTVTGVFSVQHHSADGGGDELLYGLTPASRLLVGSALNVSPFLTLMLDTLFVSPFLGLREWFQHEMPNPSPFKMANGRDLWDLNDHDASLGKLFDRGMVADSDFIMDIVVRECGNVFQGISSLVDVAGGLGGATQAIAKAFPHVECSVLELSRVVARAPTGTDVKYIAGDMFESIPAANAVFLKWVMHDWGDADCVKILKNCKKAIPSKERGGKVIILDIMVGAGSSSDQKHVETQVLFDLFIMFINGAERDEQEWKNIIFEAGFSDYKIIPVLGVRSIIEAYP >KQK85931 pep supercontig:Setaria_italica_v2.0:KQ475381:91903:93944:-1 gene:SETIT_020678mg transcript:KQK85931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNTSCYLKALAARHHSFGIEVAATQNQDNNASEASSLYVDASTKSVFLCT >KQK85932 pep supercontig:Setaria_italica_v2.0:KQ475381:104688:105169:1 gene:SETIT_020674mg transcript:KQK85932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRYPVYLLSLRNKGSIVANAKLATTDAKRDIGGSVLGKEYVRVYVEGLENVDSRNKGEELIPRPVSNIRTLIDAIGYVIAWPHFT >KQK85940 pep supercontig:Setaria_italica_v2.0:KQ475381:321129:326531:-1 gene:SETIT_020667mg transcript:KQK85940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAVAATASAAVSQALRDRQILDAVGTGAAALSLVGSSFIVLCYLLFRELRKFSFKLVFYLAVSDMFCSLFTILGDPSNAFYCFAHDYSAHFFCVASFLWTTTIAFTLHRTVVKHKTDVEEFGSIFHLYVWGTSLATTVLRSIGSDYGRPGSWCWIQQGSMAKVLHLITFYLPLWGAILYNGFTYYEVNRMLNNATRMAAGISDRSNQSDMRADRKAFNRWGYYPLILIGSWAFATINRLYDFTNPGHKIFWLSFLDVGFAGLMGLFNSISYGLNSSVRRAISERIDMFLPERIKRSLPTLSRLRSQQENELTSLIVEGN >KQK85938 pep supercontig:Setaria_italica_v2.0:KQ475381:277458:281983:-1 gene:SETIT_020668mg transcript:KQK85938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMVRPTFPQWYLEHEKPKELPKANVVAIVSSPWKVGDLVEWWYTDCYWTGKIVELLGDDKVKIALHEEPIGEGGYYDAYCKDLRPALDWSLGKSWSVPLSQGNGKSWYTAQLIIQSTDSGSSSSDEDIEQSCDCEEVQKCLDRPSDLPAEAMGSGTKLSANVSDEVFINNQGHGKEESPECLNGASNMPQEVTDSKGELPPNQNGHCCIKSETNSPIAKQGESPEALSDGKSSPISLKRQKTSSGDISVEAPPDSVDYSIMKLEKVANKIRRLESLLLSVGSPPSKVAKPSWKFLEEDASHK >KQK85930 pep supercontig:Setaria_italica_v2.0:KQ475381:90912:91369:1 gene:SETIT_020676mg transcript:KQK85930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVRSATLTLFGSNFEVDYGSEEQAFIVYKTLAVGKELQPDKVKREMTLSGSKLAVHFAAVDARFLRASFSAFVDLMGLATKLVEEYGVAKEGHS >KQK85928 pep supercontig:Setaria_italica_v2.0:KQ475381:40447:42407:1 gene:SETIT_020672mg transcript:KQK85928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGPLLQPTLNTSAEAILKLINGSSSTYPNFSFGWVNVKDVALAHILAYEVPSANGRYCMVERVVHYSEVVNIIRKMYPTIPLPEKCADDKPFVPTYQVSKEKIRSLGIELKLIPLETSIKETIESLKEKDLFVLTSNL >KQK85934 pep supercontig:Setaria_italica_v2.0:KQ475381:151594:155837:1 gene:SETIT_020677mg transcript:KQK85934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMPEAGQAAERDERGRDDYEQQQARVLVALMQSFCAARYRKADNTPCPIEQGLYLGSVGAALNKEALKSLNITHILIVARSLSPAFPAEFNYKKIEVLDSPDTDLLKHFDECFSFIDEAISSGGNVLVHCFAGRSRSVTIVVAYLMKKHQMSLESALSLVRSKRPQVAPNEGFISQLENFDKSLQ >KQK85942 pep supercontig:Setaria_italica_v2.0:KQ475381:341802:351124:-1 gene:SETIT_020665mg transcript:KQK85942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALHLTPHRFLLPRRPGSARLLLRVHLKPLSSSSPHSPPLDGPSLRRGRALPDYPDPFARAFDLAALRVPAASCAPLERRLRGHLLNWPRVRNVARLPNDQGLLGLGISLLSPPPRHPTAEEPGSPAPPATAVLRREKLAREFNARGFLRFPNLARLSRPSPAARKRRERKGGGGDEEATREPDRDKAYVVEVVREGMEDDEDEWWKGLVGEEGFGRGAWRIGPTRLLMLDESYAERRVDELPEAVKGVLNHETQQDGLSAYELIRCQLTLFYNYWPMNEVLEELLPEGVIIPTGFETVGHIAHLNLRDEHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGNDSLRTMVIESGLRFQVDLGTVYWNSRLATERQRLVNDIFRNSDIVCDMFSGVGPLAISAAKKVKYVYANDINPTAVEYFKRNMVLNKLERKIEVFNMDARRFISAIYSSQHVHPVTQIVMNLPNDAAEFLDVFRGILRSGQSELHCVMPMIHVYGFSKAEDPEHDFHGRINVALGENVDIVEMHRVRLVAPGKWMICASFTLPFSIAIAEPNYISC >KQK85941 pep supercontig:Setaria_italica_v2.0:KQ475381:341338:351103:-1 gene:SETIT_020665mg transcript:KQK85941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALHLTPHRFLLPRRPGSARLLLRVHLKPLSSSSPHSPPLDGPSLRRGRALPDYPDPFARAFDLAALRVPAASCAPLERRLRGHLLNWPRVRNVARLPNDQGLLGLGISLLSPPPRHPTAEEPGSPAPPATAVLRREKLAREFNARGFLRFPNLARLSRPSPAARKRRERKGGGGDEEATREPDRDKAYVVEVVREGMEDDEDEWWKGLVGEEGFGRGAWRIGPTRLLMLDESYAERRVDELPEAVKGVLNHETQQDGLSAYELIRCQLTLFYNYWPMNEVLEELLPEGVIIPTGFETVGHIAHLNLRDEHLPYKKLIAQVVLDKNKPKIQTVVNKIDAIQNDYRTMQLEVLAGNDSLRTMVIESGLRFQVDLGTVYWNSRLATERQRLVNDIFRNSDIVCDMFSGVGPLAISAAKKVKYVYANDINPTAVEYFKRNMVLNKLERKIEVFNMDARRFISAIYSSQHVHPVTQIVMNLPNDAAEFLDVFRGILRSGQSELHCVMPMIHVYGFSKAEDPEHDFHGRINVALGENVDIVEMHRVCKRGRSPASRCPGDSAWHERHGFSTEQIDGAISAPASSSFQKVYQ >KQK85937 pep supercontig:Setaria_italica_v2.0:KQ475381:268015:272152:-1 gene:SETIT_020670mg transcript:KQK85937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAGDVEVVDFDSDDDDLMDDDAPEANPAPAAPRLRSTIAAGGDSAAATRKTKGRGFREEPSSSRPLAGRADFDSLGSDDGPGPLRSIEGWIILVTGVHEEAQEDDLHNAFREFGQVKNLHLNLDRRTGFVKLHLLLSRLPQPRLLC >KQK85936 pep supercontig:Setaria_italica_v2.0:KQ475381:265951:272152:-1 gene:SETIT_020670mg transcript:KQK85936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAGDVEVVDFDSDDDDLMDDDAPEANPAPAAPRLRSTIAAGGDSAAATRKTKGRGFREEPSSSRPLAGRADFDSLGSDDGPGPLRSIEGWIILVTGVHEEAQEDDLHNAFREFGQVKNLHLNLDRRTGFVKGYALIEYESFDEAQAAIKAMDRTELVTQIINVDWAFSSGPVKRKNVRRRSRSPVNIRRRY >KQK85935 pep supercontig:Setaria_italica_v2.0:KQ475381:165570:166088:-1 gene:SETIT_020679mg transcript:KQK85935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDAAHYCGGIAPRCCYKQIDIAKVLEEPLQYTNR >KQK85929 pep supercontig:Setaria_italica_v2.0:KQ475381:87315:88352:-1 gene:SETIT_020675mg transcript:KQK85929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTNGAMACGGKGKVVVVIGATATGKSKLAVDLALRFGGEVVNSDKIQVHDGLAIVTNKVTAEECRGVPHHLIGVVSPDADYTAADFCRDATRAVGSIHARGRIPIIAGGSNRYLEALLDWEPAFRRRYECCFLWVDGDLPVLDRYIRGRVDCMLEQGLVDEVRGIFQPDADYSRGIRRAIGVPEMDKYFRLEAVGELDGDDDELRAQLLSVAVDEIKANTCGLVRRQLRKIRRLLGISRWSLRRLDATAVLMLKTSVARDPETERAAWEADVAGPATRAVATFLGRNGQTTDGKAGLVAAAAKEVAMAGAGTTEWCGQQLEKAAVVPGRARVFLGMKAAAAAV >KQK85939 pep supercontig:Setaria_italica_v2.0:KQ475381:292949:293465:1 gene:SETIT_020673mg transcript:KQK85939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNITRTTCDKANARTHHTTTSNAPYTQMHTHTSASPSKCLEIEAGQYLAIGIIISHNPRNSPTQCEVVLNSNKHLELDAEAK >KQK85933 pep supercontig:Setaria_italica_v2.0:KQ475381:152703:153685:-1 gene:SETIT_020669mg transcript:KQK85933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGGCGALLHDARRNWRGVEVVATPSGQLCSAKISEVRGGDQRSCRGAVLAGGDGRAVAPSFGGRRRHDYNHSRRKKVGFGGGDLLGRTVRWRMLYCGLFKAAATSSRRRLSTDSGTTIGIDIASNSACQWTPIWVFVAVTESLVDDLDCDGSPCLGATTAVRRRRWLAFGGMEATFAGRHDSDRSPMPVAWLLQLRYPVVSGSIQLLPHRMSLLAVWSAWCGNCSARLYVDAGNGDALGAMSLLGGIVMLSLPTGFFQLKNHALTAPVGAVPLLEGYVVENPPS >KQK85896 pep supercontig:Setaria_italica_v2.0:KQ475382:96809:98073:-1 gene:SETIT_020698mg transcript:KQK85896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQLMFRGSRFLLLVLVVASSTLMMDTTTAQLQYDFYNTTCPGVEDLVRAELLALFAADVTLPAGLLRLHFHDCFGAGCDAALLLKSHNGTAQRDADPNSTVRGYEAIEAVKAKVEEACPLVVSCADIMAMAARDAVNYTQGPAYAVETGRRDGNVSRKEDALRFLPPADGNVTVLTQYFAAQNLSYKDMVVLSAAHSIGVAHCPSFSNRLYNYTGAGDQDPSLDADYAKNLTAKCKPGNVATVQPLDPTTPSTFDLGYYNNVYNHRALLASDAALLNDSLSGAYVQLMTNASSVDVFFADFAVSMINMGRIGVRTGTDGEIRATCAIYVD >KQK85921 pep supercontig:Setaria_italica_v2.0:KQ475382:262709:263591:-1 gene:SETIT_020711mg transcript:KQK85921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVASLSPLRHGHPSSSSPASCNTSRPSPWLLKLKQRSLISSSNSLPARPRAWPPRASASASSAATPPPPQQQQKEKDLVFVAGATGRVGSRAVRELIKLGFRVRAAVRNAERASSLVQSVQQLKLDGNAAAAISREMINADNDAISISVIYLIYRADDSDLINRYAASEKLEIVECDLEKQPQDGIVEAIGNASLVVCSIGASEKEILDVTGPYRIDYMATTKLVQAATAAQVEHFVLVTSLGTNRIGFPAFLLK >KQK85891 pep supercontig:Setaria_italica_v2.0:KQ475382:73324:74205:-1 gene:SETIT_020705mg transcript:KQK85891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKSILAVVAVAAALVVQLAAAADHPVGGNGAWDASGTSYNAWSANQKFVQGDTIAFKYAASHDVTEVTKSGYDACSGANAVKSYTGGATTVKLAAPGKRYFICSVPGHCAAGMKIEVTVAAAAVTAPAPAKSKPRHQRSVAPTPAPAMAPEPSSVPSTDGLPTVSTPTAAPAPKSSGAATIGVLGAKASVALAVGMALALVI >KQK85898 pep supercontig:Setaria_italica_v2.0:KQ475382:105565:106681:-1 gene:SETIT_020718mg transcript:KQK85898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATVRTRSLAGGVVPVALLLLVLCTGSSSAAGQLDVGYYNKTCPAAEEIVRNETAAAVTASPDLAAALLRLHYHDCFVQGCDASVLLDSTPNNTAERDAKPNGSLRGFDVVARVKDRLEQACPGTVSCADILALMARDAVSLAKGPSWPVALGRRDGRISSAGSCGELPPLHGDVGLMVQAFAAKGLDLKDLVVLSGAHTLGKAHCSSYADRLYASAGCSTPDPALDARYADRLRMRCTSPYADDNSTTTTELDPGSSDTFDTSYYRHVARRRGLLRSDASLLDHRFTRAYVLQAASGRYDGHFYHDFAVSMAKMAAIGVLTGDQGEIRRKCNVVN >KQK85884 pep supercontig:Setaria_italica_v2.0:KQ475382:20606:27318:1 gene:SETIT_020703mg transcript:KQK85884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDKQGGSPRPLLGNLKMGRVRTILTHTYPYPHEHSRHIMTAVIIACLFFISSDNMHTLIHKLDNNIKWWSINFERFSGTTYECKAAYPSYDESSGIALGNILKFRKKNWQFDTIGGFIYFILVFSMFPQCNLVHIFNEETWSGRVKSFSIVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKDWKLDPRWESDVRGPHQLSHERKHPSKWRSASSPDPVRSVRVVDHFTIERTRTPDMEPSC >KQK85925 pep supercontig:Setaria_italica_v2.0:KQ475382:276839:279161:1 gene:SETIT_020690mg transcript:KQK85925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAVVPRPPPPLPITAFPSSSWPRLSPRYPLRLRSMLRRPLPCAAASSSSVLMPDPDLEPEPAHEDESRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVVTTHEGVPQEFHGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGRDLQAARVTAANKIRLWNKGVDSESFHPRFRNKEMRSRLTNGEPEKPLILYVGRLGVEKSLDFLKRVMDRLPGSRIAFIGDGPFRSAPGPCALAWNQSKCYWEDGRRET >KQK85924 pep supercontig:Setaria_italica_v2.0:KQ475382:276741:280107:1 gene:SETIT_020690mg transcript:KQK85924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGAVVPRPPPPLPITAFPSSSWPRLSPRYPLRLRSMLRRPLPCAAASSSSVLMPDPDLEPEPAHEDESRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVIVVTTHEGVPQEFHGAKLIGSWSFPCPWYQKVPLSLALSPRIIGEVARFKPDIIHASSPGIMVFGALIIAKLLCVPLVMSYHTHVPIYIPRYTFSWLVKPMWLIIKFLHRAADLTLVPSVAIGRDLQAARVTAANKIRLWNKGVDSESFHPRFRNKEMRSRLTNGEPEKPLILYVGRLGVEKSLDFLKRVMDRLPGSRIAFIGDGPFRAELEQMFSGMPAVFTGTLQGEELSQAYASGDVFVMPSESETLGFVVLEAMSSGVPVVGARAGGIPDIIPEDQEGKTSFLYTPGDVDDCVSKIERLLSCEELRETMGRAARKEMEKFDWRAATRKIRNEQYSAAIWFWRKKRAQLLRPVQWVVRKLVRPTTPGAAVAKQS >KQK85918 pep supercontig:Setaria_italica_v2.0:KQ475382:214288:217635:1 gene:SETIT_020692mg transcript:KQK85918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNETEDRRLGLHLPFDDGHERAPLLLPPTKTMDAADEKGERPDLSEDTAHQISVDPWYQVGFVLITGVNSAYVLGYSGSIMVPLGWIGGTCGLLLAAAISMYANALLARLHEVGGKRHIRYRDLAGHIYGRKIYALTWALQYVNLFMINTGFIILAGQALKATYALFSDDGALKLPYCIAISGFTCALFAFGIPYLSALRIWLGFSTLFSLIYIVITFVLSLRDGITAPARDYSIPGSHGTRIFTTIGAVADLVFAYNTGMLPEIQATIRPPVVKNMEKALWFQFTVGSLPLYAVTFMGYWAYGSATSSYLLNNVKGPVWIKATANMSAFLQTVIALHIFASPMYEFLDTKYGSGHGGPFAFHNVVFRVLVRGGYLTVNTLVAAVLPFLGDFMSLTGALSTFPLTFVLANHMYLMVKRHKLSAIQKSWHWLNVIGFTGLAVAAAVAAIRLIMLDSSTYHFFADL >KQK85892 pep supercontig:Setaria_italica_v2.0:KQ475382:75750:76784:-1 gene:SETIT_020706mg transcript:KQK85892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASRAILAVAAVAALAVQLAAAVDHPVGGSGAWDASGTNYNAWAAKQPIKQGDTMSFQYSASHDVTEVTKADYDTCSGANPIKSYTGGATTIKLTTPGKRYFICSVTGHCSAGMKLEVTVAAAAPAPAGKSKPRHQRSVAPTPAPAMAPEPSSTEELPNVSSPTAAPAPKSSDAATIGGKAVVGLALGVVAMALVAM >KQK85909 pep supercontig:Setaria_italica_v2.0:KQ475382:162833:168060:-1 gene:SETIT_020681mg transcript:KQK85909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNDDMESGGGCERKKPASSSCSDDMAELDRALAAVELPTTVQRQDSLYRDASRAGGHGQQGQQEGWARTLRLAFQCVGVLYGDIGTSPLYVYSSTFTGGIRHTDDLLGVLSLIIYSFLLFTIIKYVYIALRANDDGDGGTFALYSLISRHAKVSLVPNHQAEDELTHADDDDAAVLKSSSLRGSLRRRTVQLASPRDQRAQWLKDLLETSKPVRISLFLLTVLATAMVITDACLTPAISVLSAVGGLKEKAPNLTTEQIVWMTVGILLVLFGVQRFGTDKVGYLFAPVVLLWLLLIGGVGVYNLLRHDVGVLRAFNPRYIVDYFRRNGRDAWVSLGGVLLCFTGTEALFADLGYFSVRSIQLSFACGLVPAVLLAYMGQAAFLRRHPAQVAETFYRSTPEVLFWPTLVLALATSVVGSQAMISCAFATISHSQAMGCFPRVRVLHTSRHYHGQVYIPEVNFLLALVACVVTVAARGTTAVIAEAHGICVVLVMLITTLLLTLVMLLVWRVNAAWVALFFAVFAAAESAYLSSVLYRFAHGGYIPVAMSAALMAVMVLWHYVHVRRYEHELERTVSHESVRELLARRDVVRVPGVGLFYTELVQGIPPVFPHLVHKIPSIHAVLLFVSVKHLPVPHVDAAERFLFRQVADHHADSGSDTNSTSRSRVFRCVARYGYRDPLEEARDFAASLVERLQYYVRDVNLYGVDHLQPGAKVSYPTSRCDSMATSMRRQRSVNMMMMRPSASYTESLALARARSTSSGTMMMLAHSASCNNSNIRPTTTTTGVFAEEMLTPAESFSELSRMGSAAGGMMKVSLEEMARIEEEQRFIEREMEKGVVYILGEAEVVARPNSSLLKKIMVNYAYAFLRKNCRQGEKMLAIPKSQLLKVGMSYEI >KQK85890 pep supercontig:Setaria_italica_v2.0:KQ475382:69358:70207:-1 gene:SETIT_020704mg transcript:KQK85890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYLTAPPAAAAATAASCHAFSGSGRSSRPTQHAVTCQASRRSASLHLGLAAATAVLLRQPDAARAADDGEPANNGWWLTEFPLPVPKILNKEINNPETGTRSFLKNGIFMADIGPSFAAHAYRLRSNAFDLLALEDLLGKDASNYVNKYLRLKSTFMYYDFDKLITAADDKGPYVDLANRLFDSFEALQQAVTAKDDPKISDRYAETKVILQELMAKMA >KQK85904 pep supercontig:Setaria_italica_v2.0:KQ475382:142183:144744:1 gene:SETIT_020713mg transcript:KQK85904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELASSFAAAASASSSASAPLLGGWWNDVNESPEWQHAAFFSLSAAYALVSAVALIQLIRIQRRVPELGWTTQKIFHLMNFLVNGVRALVFGFHVHVFLLRTKVYKLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRPAYIAVNSIIYVVQVCIWIYLGINDNAAVELASKLFIVAVSFVALLGFSVYGGRLFFLLRRFPIESKGRQKKLYEVGTVTAICVTCFLIRCVVVALSAFDRDVSLEVLDHPILDLFYYTLTEILPSALVLFVLRKLPPKRVSAQYHPIN >KQK85927 pep supercontig:Setaria_italica_v2.0:KQ475382:280576:285742:1 gene:SETIT_020687mg transcript:KQK85927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPSREEPELEPGSRPCPDADEPETSPSERDDPETFLPESSGASPPPPLRQQLMGACRADERLRPLLALNVSCTAADDRFIAHLAQHFEVSEVGMLARCLCVPLVSLRVGKVQRDGALLCPTPIRGKLNLGLLPSSSMCLTFVGDDGYSEQLALLSTGFEFLDVAIEEISADNSGRSFLVRISESKVFYYWCAEKSKEHGIELLAKMKSLLDGRPTLSDLTGISNSRLDAFATHLHAYLLASSIGDVKSLGSLNDFLGLSRPHDQYLQLQSAVSKTSRFRASATNATKPSSVYQASLSPRSGTFKDGVPRASCSRVVGREKLKRRAEWSSPSIAPLDANDVTTNSINSDSTSEKCDADCSRSTVNSVPLDLPLSFPLLPSIYSLGTCPPPEVSLEKQFKPYYCWCPPCPSSLQYTVTPLHLPATSVDPLPLTPLSSLLANEQPPSSAASAKLDTTDLPSLNLPSILHDPLLHLPLPTSPLIPLHGSQVPTFTPLMSDPIVHVPVIDVCSAGQAYLVSCGPSISSAVPLLPSLKPLLPEADSLVERSARETLMRLIASTPPASNPQLVNILPVVVPESISRANNVNQYVNADTKDKGFGTSCVAVFGSGIGGVDLHSQDEVSSEDDSRELFAEYDSASNDCDVHHCQKI >KQK85913 pep supercontig:Setaria_italica_v2.0:KQ475382:197463:198122:-1 gene:SETIT_020723mg transcript:KQK85913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTSSFSETQGLTWGDELNFQGSTTTNEIPAFSNLFLAVCCNTQDQVIHLSVKLFH >KQK85897 pep supercontig:Setaria_italica_v2.0:KQ475382:99467:101449:-1 gene:SETIT_020695mg transcript:KQK85897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAARSPLLFLMVMLIAAMMPPPAAEAHVQIGAYNKTCPQAEEVVLKEMTAIVAKSPELAGAVLRLFSVDCFVGGCEASILLDSTANNTAEKDAPLNRGVRGYEVVDAIKAKLDAACPGVVSCADTLALAARDSIRLTKGPFIPLPTGRRDGNRSVAADVALNSPPPGATIADIIALFANKFNLTAKDVAVLSGAHTIGKARCSTVSPRLYNFGGQNGSSDPTLDANYTDILRGQCKPGDIATLVDLDPTTPAVFDADYYTLVAGKRGLLSTDAALLLDPTTSAYVAGQANATSSDQFFADFATSFVAMSKLGALTHHKGEIRQVCSKVNPPSSPSSNAAARSYHLTATAGLAIATLAVALVL >KQK85901 pep supercontig:Setaria_italica_v2.0:KQ475382:128134:131323:1 gene:SETIT_020702mg transcript:KQK85901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGGGGVLGAVLCMLLVFGIFPLLLWRRRSDAAAATDNHRLPPQPLQEDQVLHGRGAARRMRRRPGAAGASTSRDVAEDDAEEEDEEVPDAPRSSKKEKKRQERQAQREAEEAARDSRRNKQDRYEEMRRRKDEEREAQERQLEEEARARKAKEEEAAALEFEKWKGAFSVDAEGTTESETQDDGQGLLHNFVEHIKKQKCVPLEDLAAEFRMRTQDCINRIVTLESMDRLSGVMDDRGKFIYISTEEMKAVAEYIRKQGRVSISHLANNSNQFIDLEPKAQYEEESHQDDSAAAETEP >KQK85910 pep supercontig:Setaria_italica_v2.0:KQ475382:175210:176013:-1 gene:SETIT_020720mg transcript:KQK85910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQMAKYYWGMLTAAAGSSSPRSYWPPPAHATAAGEPSWEELAFARDAAGHLGGCVWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLRQCASPDHDDAHKQQPSIDIAPPAADHHHQLLQLQESPLLRAKAAVSISGPKSTCCDHQIYSHDHQEAVITTTTSPSYLSTVVKESKNKLVISIPAATIDDEDEVTVAAERRKRRRVHQQPEALLPLFFLRPLASSKSGAEHDAKVPKVITSPSSPNTLHLAARQEVDLELRLALK >KQK85894 pep supercontig:Setaria_italica_v2.0:KQ475382:88553:88795:1 gene:SETIT_020714mg transcript:KQK85894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRVDRNAGRHHYLGLIDGKWPEVHFINDYAVFMGYLSMAVTGTGILVLTWSTVVLLGGFVTLLAREDFWSLTVITLVQT >KQK85902 pep supercontig:Setaria_italica_v2.0:KQ475382:131799:136509:-1 gene:SETIT_020680mg transcript:KQK85902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLRVVCLCSALAVALPARPPSVTIGALFTFDSVIGSSAATAIQLAVDDINRDATVLRGTNLTMLMQDTMCSGFVGTIRALELMEKQVVAVVGPQSSGIAHVVSHAANQLRVPLVSFAAQDPALASTQYPYFVRAAHDDAFQMAAVAGIVAHFGWREVTAVYVDNDYGRGGVDALGDALQDVRARITCKAAFPPGADRAALADVLLRANMMESRVFVVHASPDSGVDDVFAVAHTLNMMDSGYVWIATEWLAAAIDSSSSSSRAPRGMMGLIQGVLTLRQYTPESDAKRSLETRFAAASRSRSMNAYGLFAYDSVWMVARAIDAFLGDGGNISFSADPTIRDDNGSALRLSSLRVFDQGEQLLRKVMLANFTGVTGEVRFDDGDRRALVGAAYEVLNVGGTGVRRVGYWSNHTRQLSVAAPPNNGSNQKLYSVIWPGDTTATPRGWVFPNNGRPLRIGVPYRTTYKQFVSRDSSGGPDGVSGYCVDVFKAAVELLPYPVPVSFVLFGDGVKNPSYGELVQRVADGFFDAAVGDISIVTNRTRVVDFTQPYVESGLVIVSPVKAKSSNEWAFLKPFTPGMWAITAGFFLFVGAVVWILEHRFNPEFRGSPRKQMVTIFWFSFSTMFFAHRENTVSTLGRFVLLIWLFVVLIINSSYTASLTSILTVQQLSTGIQGLDSLLSSNDPIGYQVGSFARSYMMEELGVPASRLRELAIDQYADSLQRGPGNGGVAAIVDELPYVELFLSTNCQFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILTLSENGDLQRIHDKWLNPGTCDSQSADVGSADRLNLNSFWGLFLICGVACFIALLIYFARILCQFCEYHDGSNNNTNADDSGDIMDPESERSVRRPARLSSIRDLMSFVDMKEAEVKRAIRSRSRERRLDRSMGASSVSEGPSLSRPSSIMSPV >KQK85905 pep supercontig:Setaria_italica_v2.0:KQ475382:144945:145772:1 gene:SETIT_020717mg transcript:KQK85905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLATPFVVASPSEACTSPNAVCAGEHAQAAVESPHWQSANYEPTTWDYDSICSSLSQQPATTTLKERVRRLLVLEEAASASSRLRMIHQLQSLGIAYHFEEEIEAILLSIHHTAADDKEEQEDNDLDLHSAALLFRMPRLLHGGGPGAPARTKPAPPTPGRSSTRRCHLPRIRTWPATCASTLPGSSTASTRTRTQHLADSSHEEHGPGPALQSYPILVPTCNGLLL >KQK85895 pep supercontig:Setaria_italica_v2.0:KQ475382:93091:95227:1 gene:SETIT_020712mg transcript:KQK85895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLNSVFNVFLKRNVSHIGYSFKRLRESALSVALARKDQYRLCSIRGMLRLLVFTILLCPLFGLYLFGLFVSPWISLWRLWRTIVRHGHMLGGDANLKPALLILYSLALLQGVLFYYWAISAFEGKKLVKQVAEAYEIDEEDKARETVSDYLQMIREGCEKDLSFAKGRNLVTYAVDLMESNSPDNYLSAARILDTLIRQFNLTVVNLPQRVVKNQQSLIKNMIGSTLSSDTVKILVQMLDSKDEDGKKISEMRLRAMRIVEHFASEIRLDKIMYGIRNICSLLEHKESESEGSEDKYQVYQVYSTGMKILSKLAKDDENLKHMSNTDGIIEKIVTVIVQCNKLHCDEDHDEWLTIAGPGMQLINQLAPSASSKPNNVHQLSAFLLLQNGIGTLQTMIDCQKCKGDAEVQKSLIKALAQMISTMSMSQQKLHQENKEGTKKLIQSFINSLVLKFLDGSSKSFRNLAGESLAQLSLTSLNSTKSILSAQVGIADTLTVIVTDKKRNKKYRKSAADILEHMCRHYNSDDADAFKNLSSAMIRDIPKVVMEVLKGDSENRRSKSYVELHEALATLCETVYMKLISGTADSVPDSDAAEASLEAEAAKICQSIELHPAVPFSELVSRAQEVVEKHRKRSLEDWAANSTDDDDHACRIM >KQK85889 pep supercontig:Setaria_italica_v2.0:KQ475382:64105:69254:1 gene:SETIT_020683mg transcript:KQK85889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSARGVRPGMLRHKENNPADAHAGKRQRTAAGAGRQPLSAAAQPPPEEEPMVFAGREDVEALLNEKMKGKNKMDYKGKSEQMMEYIKKLRACIKWLLEREDEHLTEIRNITGQLEAQGKQNSETVAELKNTLNEARAVNEELQKQHASLQEILKKVEAEKIDALRALQDEKEARASVESSRNQLLEDLKGIKLEEKRLNDQIKMLQDTNKRLQEYNTSLQQYNSNLQADATKNAETITKLQKEKNTMVETMNGLKDHANSVKMQLDLAKSLQTEAAKQKNDLLKEVEGLRMELQHVREDRDNKSSEVDSLMAEIGTYKEMTGKTAMELDGAMTRTTALEETCSSQRETIKTLEIKLAAASEKLKRSDLTALETMTEYENQKKMLENLQSRLEEAEQQILDGEKLRKKLHNTILELKGNIRVFCRVRPLMSNESGAVSYPKSGENIGRGIELMHNAQAYSFTFDKVFDHSASQEHVFFEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGNPELDEQKGMIPRSLEQIFQASQALNSQGWKYKMQASMLEIYNETIRDLLAVNRMAAQDGASSKYTIKHDASGNTHVSDLTVVDVTSISEVSSLLRRAAQSRSVGRTHMNEESSRSHCVFTLRIFGVNEGTDQQVQGVLNLIDLAGSERLNKSGATGDRLKETQAINKSLSCLSDVIFSIAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNLSPEVSSTGESICSLRFAARVNSCEIGIPRRQTQLRSSQ >KQK85903 pep supercontig:Setaria_italica_v2.0:KQ475382:139474:141669:1 gene:SETIT_020721mg transcript:KQK85903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQESSDKCTAAATNSEEDGAETRPLAVVSAPATPFKFNVHAPEFVPMSPAAASPMASPMSAPAGGYYSPFMQMQAGLGPADWSFFHDHEPVFFMPDFAHAKFGATAAAGGNSAQAKGTTGATADVTQKIVKQVEYQFSDINLVANEFLLKIMNKDTEGYVPLSVVASWKKIKSLGATNQMLVKALRTSTKLIVSDDGKKVRRRQPLTEKHKEELQSRMIIAENLPEDSSRNSLEKIFGVVGSVKNIKICHPQEPSTARASKSDTLVSNKMHALVEYETSQQAEKAVEKLNDERNWRKGLRVRTVLRRSPKSVMRLKRPDFDHFVGSDDDSPHSQMSSETPDHSPEAAAHHQQQDEQQHQKGGWGARGRGKLHVTAPPHSPQSAPAGMAGHFDPPPASSSSPRAKCPAASSPRQQHKQQQCPFSPRQPPQGPRMPDGTRGFTMGRGKPPSSPAAPRPVAAPTTPPAPVLV >KQK85919 pep supercontig:Setaria_italica_v2.0:KQ475382:258877:260631:-1 gene:SETIT_020689mg transcript:KQK85919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAVAALLLLLALSAALVSRRRSGSHRLPPSPMALPLIGHLHLIRPPPHRAFDRILARYGPLVYLRLGPSTHCVVAGTADAARDLLKFEASIPERPLTVVTRHLAYDDAGFAFAPYGQHWRFMKRLCMSELLGPRTVEQLRPVREAELAAVLRAARDAAARGEAVDVSRHLISMSNNAIMRMVASALPGHMTEAARDCAKHVAEVVGAFNLEDYVGICRGWDLQGLTRRTREVRDKFDALMEIMITAKEEARRSPAAAGQQKAATKDLLDILMDAAEDQNAEVKLTRENIKAFILDIFTAGSDTTATSVEWMLSHLINHPACLDRLRAEVDDVVGGARLVGEHDVARLPYLQAVFKETLRLQPPAVFAQRETIEPVHVRGYTIPPKTSVFFNIFSIGRDPGSWEEPLQFRPERFMPGGAGAGVDPKGQHMQLIPFGSGRRACPGMGLAMVSVPAFLAALVQCFDWAVPIPQGHSKAPPLDMEEAEGLVAARKQPLVLIPTPRFDPLPGV >KQK85885 pep supercontig:Setaria_italica_v2.0:KQ475382:27741:29503:1 gene:SETIT_020710mg transcript:KQK85885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQPAPGGETEQLKQLHSRVEKLCDDIDKEVDSDLPGRDNLARISDVLKVIKDKITPTEDGGDVSAATKEQQLLPLSKREELFNLLPSIERALAFQQQQRKQAPGEQGQGDKTRLPSATGCNPFKPRSSQRQSEQQRREEEEDEVVSLKLLLRLTQNVLEPEQYYEWTTSYVDESRIYGWDKEANELAEALVAPDDGESLFRAAGIAGVHGSGKTALAQKVFVHDKAKDNFAIRLWVCVGPPDSEDRFGLLYRMLDNLGLDTAKVEVIVDNSNAVKPHRDDAVARIRSDTAKVASIKEAAEKVRRARQAQEQTDKQKPDDGDVKDDTKEGQAADDSIFNQLLKEAADESPDVQKSKIGVLLYILHTTLSKTSYMIVFDDIRAYGDDGWYSNLALAPPPEGEWGDRLGYGLPKGNHRGAVLLTCRNEDHARSMVRTGRVVRPPRLELDDAWKLFRREYDQAKEAKRSSNKGGDDDKLLKDLEQMQKEIVNKCLGLPVAIAEAAKGFADLEPLPDAPPPKAEANKPAVVDQTGIGSNKDMQPAAGGGESKDAADDGESQD >KQK85887 pep supercontig:Setaria_italica_v2.0:KQ475382:44465:47157:-1 gene:SETIT_020682mg transcript:KQK85887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNPPVPQQDSNWEIRAAVQVSLLLQILLIFVGPVRKRSSHPFPRFTVWSCYLLADWVADLALGLLLNNMGNIGGGGSGSSSSSFGLKRGGGGANAGANAGNADSGSSSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSASVIFFCSLRGNPMIHATVLMFVAGIIKYAERTYSLYSGSVDGFRTKILDPPEPGPNYAKLMTEFDSKYKAGLAVEITIADGEASKAQNEMEEKETERLVQKVNKSVEARAYEFFVIFRRLFVNLILSFKERRLSQAFFLQRENLTSSEAFEVIEVELNFIYDMVYTKAPVAHTAHGWVLRCVCSGCLAAALAIFLLLDKSRHNISRVDTGITYALLLGGLALDAVALLMLLFSNRATVFLEQSQRLRWLVRLTRAAKRSRRTRRWSGKTSQLNLIGYCLGKPEHNSRRGRCRWWLKVADKVGLQDIVDLVDDLIFIKRVPLMKEGSSSSSSLLDFIFKGLKGAAENIKKKEDIMEVCGRRGKGVVGRLKEKIQEALKTHYEEQMKKAVEDKDERLVLEEQIKEALKDDKDKGFEEQIIKALKIDNKDGHLVVLEEQIKEALIKMKNKDDDERLETTKQIKEALSNHSRDKKFKLILDSVVESDFDESLLLWHVATDLCGCHLKDEQRVPTQAEAQWRPIGETLSEYMLYLLIKQPEMLSATAGIGLLRYRDTCAEAQRFFASMDAWVGAHEDARVMLLRVNTSEKPSTVKGDRSKSVLFDAVILAKVLRELDDDDMWEVVTGVWGEMLTYAAGKCRGSTHVRQLSRGGELITLVWFLMAHMGLGDMYQIQEGDAQGQAHRKRPVE >KQK85899 pep supercontig:Setaria_italica_v2.0:KQ475382:108218:109691:-1 gene:SETIT_020699mg transcript:KQK85899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAAMVTMGVAALFLSAAASSAMAAGLQMDFYSSTCPRVEEIVKDEMVEILRAAPTLAGPLLRLHFHDCFVRGCDGSVLLDSTPANTAEKDATPNLTLRGFGSVQRVKDRLEQACPGTVSCADILALMARDAVVLARGPSWPVALGRRDGRVSIANETNQLPPPTANFTRLVQMFAAKGLGVKDLVVLSGGHTLGTAHCNLFSDRLYNFTGANNLADVDPALDATYLARLRSRCQSLADNTTLNEMDPGSFLSFDASYYRLVAKRRGLFHSDAALLSDPATRAYVQRQATGLFADEFFRDFADSMVKMSTVDVLTGAQGEIRKKCYLVN >KQK85908 pep supercontig:Setaria_italica_v2.0:KQ475382:160417:161688:1 gene:SETIT_020709mg transcript:KQK85908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQIEACVEELPSSMLEKATKWDNQHHHCLSRWRSTPAARSSPLMLLLMEAELEKARCHISELEEERRVVTKRLDRFLRKVAEEKARVRDKARHAVAALREDLSSERAHRRQLEQANARLMRELAEARSSAKQQAESYEMERKARELMEEACSELTREMEEDQAEVELLRGECLRMREDMEEERRMLQMAEVWREERVQMKLSDAKLALEAKYAHLSRLQAEMEAFLRRTNRESAAASSSAARSRGRASQHNNDDSVDADSVLEHFLRKEKEEMEMEMEMNRRANSPASSDSNSNSNTPLQSVSPATDLFLAKIDDEDDGGYDMDYDGGRDSCSWFGTSDRSALEARSSGASRRSAGKNTALIRRLWRSAIAESRNKTGGGWSPSSDRRSSATAEAPPAAPPQQSLREKLMEARMDDHKPVHAL >KQK85914 pep supercontig:Setaria_italica_v2.0:KQ475382:198410:200389:-1 gene:SETIT_020686mg transcript:KQK85914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFGCPPATPAYNAIMDALVNADYHDQAHKVYVRMLAAGVPPDVRTHTVRLKSFCLTGRPHVALRLLRTLPDRGCDAKPLAYCTVVRGLYANGRGHDARHLFDEMLGRDVFPDVATFNNVLHPLCQKGDIMESGALLAKVLKRGMSANKFTYNIWIRGLCEGGMLGEAVALVERMDYSILPDVVTYNTLMRGLCKNSKVWEAAKYLRRMMNRGCMPDDFTYNTIIDGYCKRGLLQEATELLKDAVFKGFVPDRVTYCSLINGLCAEGDVERALELFSEARAKDLKPDLVVYNSLVKGLCRQGLILHALQIMNEMVEDGCHPDIWTYNIVINGLCKMGNISDATVVMNDAIVKGYLPDVFTFNTLIDGYCKRLKLDRALQLVERMWTYGIAPDAITYNSVLNGLCKAGKSKEVNETFEEMILKGCQPNAITYNILIENFCKINQLEAASGVILRMSQEGLVPDAVSFNTLIHGFCRNGDLDGAYLLFQKLDEKGYSATADTFNILIGAYSSKLNMEMAENIFDEMISKSYKPDLYTYRVLIDGSCKAANVDRAYAHLTEMVNKGFVPSMVTFGRVINSLAVNHRISEAVSVIHIMLRIGVVPEVVDTILSADKKEIAAPKILVEELMKKGHISYSTYEVLHEGVRDNSLTRKARKEKFI >KQK85883 pep supercontig:Setaria_italica_v2.0:KQ475382:13127:18152:1 gene:SETIT_020684mg transcript:KQK85883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLDMVPWYSGTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKGPTDDAQNADLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIRTVIGGSMHTLPRGNLLLIGGDLAYPNPSSFTYERRFFRPFEYALQPPPWYRAEHIALDKPELPPGVSKMSEYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPKGWWIFGLDLSLHGDVDVYQFKFFADLCQKKVGENDSVIVVTHEPNWLLDWYWKETTGKNVSHLIQEYLNGRCRLRMAGDLHHFMRHSATRSEKPNFVQHLLVNGCGGAFLHPTHVFRNFERFSGTTYECKAAYPSYDESSGIALGNILKFRKKNWQFDTIGGFIYFILVFSMFPQCNLVHIFNEETWSGRVKSFSSTIWSALLYIFEHSYVSSVASLTLLMASYSFVPSKLSRRKRAIIGGLHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYEWYRSMESEHFPDPTGLRSRLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLIMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKDWKLDPRWESDVRGPHQLSHERKHPSKWRSASSPDPVRSVRVVDHFTIERTRTPDMEPSC >KQK85882 pep supercontig:Setaria_italica_v2.0:KQ475382:12413:19094:1 gene:SETIT_020684mg transcript:KQK85882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLDMVPWYSGTSADLFKTAFDLMVSVTLFVGRFDMRMMQAAMKGPTDDAQNADLLYDYFNEREDLWFDFVADTGDGGNSSYTVARLLAQPSIRTVIGGSMHTLPRGNLLLIGGDLAYPNPSSFTYERRFFRPFEYALQPPPWYRAEHIALDKPELPPGVSKMSEYDGPQCFIIPGNHDWFDGLHTFMRYICHKSWLGGWFLPQKKSYFALQLPKGWWIFGLDLSLHGDVDVYQFKFFADLCQKKVGENDSVIVVTHEPNWLLDWYWKETTGKNVSHLIQEYLNGRCRLRMAGDLHHFMRHSATRSEKPNFVQHLLVNGCGGAFLHPTHVFRNFERFSGTTYECKAAYPSYDESSGIALGNILKFRKKNWQFDTIGGFIYFILVFSMFPQCNLVHIFNEETWSGRVKSFSSTIWSALLYIFEHSYVSSVASLTLLMASYSFVPSKLSRRKRAIIGGLHVLAHLTAALLLMLLLELGIEICIRNHLLATSGYHTLYEWYRSMESEHFPDPTGLRSRLEQWTLGLYPACIKYLMSAFDVPEVMAVTRINICKNGMMSLSRSVLIMYYTSVFIYFWIFSTPVVSLIFGSYLYICINWFHIHFDEAFSSLRIANYKSFTRFHIKKDGDLEIFTLAVDKVPKDWKLDPRWESDVRGPHQLSHERKHPSKWRCRRRAPTTGCSRIWSRCRRRSSTSTATRCSRIWSR >KQK85917 pep supercontig:Setaria_italica_v2.0:KQ475382:210252:211193:1 gene:SETIT_020716mg transcript:KQK85917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLISLFLLPLLLAPPPSAASSSSFSLDFFPAAGAAAQLALSGGANATAAAVSMPSPGARVQYRTPIVFSSAPGLTFSTYFAFALPATASSLAFFLTPSAATHEPPALAVVFSEHRIRVDLAGRAARQANYSPTATATATRHAWIDYNATSATLHVRLSAATTIHNHPTPPLLSCPLDLSPVLLRGPVLAGFRTPSGNCTLFSWAFHAAPYRMHSQPLNPASLLATPPPDRADRHYSPWGAAVSLLFAAACGAMVTFFVLYLWYAVTARRPVAPVEYPMHPSDVAYQKIVLVGVKDDSATTDDDGHPPPASAN >KQK85926 pep supercontig:Setaria_italica_v2.0:KQ475382:282717:282901:-1 gene:SETIT_020722mg transcript:KQK85926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLLHEQINILCEYEGSQKRKMAKDTKLLRAVSTKNSH >KQK85915 pep supercontig:Setaria_italica_v2.0:KQ475382:204404:206322:1 gene:SETIT_020688mg transcript:KQK85915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPRPLLLLRLAFALCFLLIRCMLADAAIVEHTFNVGNLTVERLGRSQVITAVNGQFPGPKIEARDGDTVVVHVVNNSPYNMSIHWHGILQRQSSWADGPNMVSQCPIRPGGGRYTYRFNITGQEGTLWWHAHVSFLRATVYGALLLRPAPAEGYPFDKPHREATILLGEWWNASVVDVERQALLAGGAPNNSVALTINGLVDGDHQLLAVERGRTYLLRIVNAALNYQLFFKVAAHSFTVVAADACYTDPYHTDVIVVAPGQTVDALMRADAHPGRYYMAAQVYQSLANATYSATATALITYYHQDDATPPEMPSMPAFNDSATAERFYAGLTGLLRDSTPTVPLHVDTRMLVTFGLGVTPCAPEQTLCNRTLGSVAGSMNNVSFQFPAAMSLLEAHMRGDPDGVYTREFPDRPPVMFDFSGEAGAGAAFAFTSKGTKVKALRYGETVEVVLQNTAILGAENHPLHLHGFNFYVLAQGAGNFNAHRHVRAYNLVNPHQRNTVAVPTGGWAVIRFTADNPGVWIMHCHLDSHLPFGLAMIFEVDDGPTPDAVLPPPPPDYPRC >KQK85888 pep supercontig:Setaria_italica_v2.0:KQ475382:58453:60909:1 gene:SETIT_020719mg transcript:KQK85888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNPPVPQQDSNREIREAVQVSLLLQILLIFVGRVRKRSSHPFPRFTVWSCYLLADWVADLALGLLLNNMGNIGGGGGRGSSSSSFGLKRGGGANAGADSDSSSPIIFAFWTPFLLLHLGGPDTITAYSLEDNELWLRHLIGLLFELFSAFVIFFCSLRGNPMIHATVLMFVAGIIKYAERTYSLYSVSVDGFRTKILDPPEPGPNYAKLMTEFDSKYKAGLAVEITIADGEASKAQKEMEEKEATRLVLHANKSVEARAYELFVIFRRSVNGMLSFNDRRNNQAFLLQRPALTPGEAFEVIEVELNFIYDMVYTKAPVTHTGHGLVLRCVCSGCLAAALAIFLLLDKRRHNISRVDTGITYALLLGGLALDAVALLMLLFSNRVTVFLEQSQRLRWLVRLTRAAKRSRRTRRWSGKTSQLNLIGYCLGKPEHNSRRGRSRWWLKVAYKVGLEDIVDDLIFIRREPLMKEGSSSSSSSLLEFIFNGLKGAAINTKLEKKEDIMEVCGRRGKGVVEDHEKQIMKALKIDNKDEHLEEQIMEALKNKDERLEECVKNALKIKDMRLEEYIKKALNINDDGRLKEQVEEALKNKDGRLDEQTIKEVLKNYNKAKKFKLILDSVTESDFDESLLLWHVATDLCRLKDNQGPAVERTARMQAIGEILSEYMLYLLIKQPEMLSATAGIGRFRYRDTCAEAQRFFASMDAWVGDHEDARVMLLGVNTSQKPSTVKGDRSKSVLFDAVILAKVLRELDDGLMWEVLTGVWGEMLTYAAGKCRGSTHVRQLSRGGELITHVWFLMANLGLSDMYHIQEGDTPRPSS >KQK85920 pep supercontig:Setaria_italica_v2.0:KQ475382:261695:262267:-1 gene:SETIT_020708mg transcript:KQK85920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPTDTFKETHNLVVAMEDTYVGGLVSNLQVAELIGCMANNRRAAYCKVVEVIAETTAPLLPMEQLLAAIPSKREPPAEDETEKVKSIANG >KQK85923 pep supercontig:Setaria_italica_v2.0:KQ475382:268711:269218:1 gene:SETIT_020724mg transcript:KQK85923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLRIFLYLFVFLLLFFAKRMEIGFPKKKEKVLNWIPWPSANRI >KQK85886 pep supercontig:Setaria_italica_v2.0:KQ475382:39718:41979:-1 gene:SETIT_020715mg transcript:KQK85886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFNPPVPQQDSSWEIRVAVLLSLLLQVLLIFLGPARKRSSAPLASFAVWSCYLLADWVADLALGLLLNSMGNIGGSSSSSFGNDDSGCSSSPIIFAFWTPFLLLHLGGPDTITAYSVEDNELWNRHLVGLLFELFSAAVIILCSLHGNPLIPATFLILLAGVVKYGERTYSLYSSSVQSLRGSMLGPPDAGPNYAKFMMEVDSKRKAGLVVDVAIAYSEQEAYRLAMTREWHAGILAVKSVEVQAYDFFLNFRPLFIDIILSSKQRRLSQAFFLQRTDLTPSEAFDVIEVELNFIYDMVYTKAPVAHTAHGWVLRCVCSGCLAAALAIFVLLDKRRHNISRVDTGITYALLLGGLALDAVALLMLLFSNRVTVFLEQSQRLRWLVRLTRAAKRSRRTRRWSGKTSQLNLIGYCLGKPEHNSRRGRCRWWLKVADKVGLEEIVDDLIFIKRVPLMKEGSSSLLDFIFESLKGAAMNLQEKKEKKEGIMEVCGRRGKDVIERLKWEIKEALKTHYEEQMKKAVEDKDERLVLEEQIKDIKGALKNDDEKLNLLRESVEKKDFDESLLLWHIATDLCLFKKSASEPAARMEATVQTLSEYMLYLLIKQPDMLSATAGIGLRPYRDTCAELQRFFGSMDAWIENHHNAREMLLQVNTSEKPSTVKGYRSKSVLFDAVILAQTLMALNNDVGLMWKVVLGVWLEMLTFAAGKCRGSTHVRKLNHGGELITLVWLLMQHMGLSDMYEIQEGDPSVKLNV >KQK85912 pep supercontig:Setaria_italica_v2.0:KQ475382:192278:192903:-1 gene:SETIT_020725mg transcript:KQK85912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHLLIEMMCEDYEVFLEMAHVLKGLEVSILKGVLEHRSDKLWARVVVEASGGFSQTQILCPLMHLLHRRFS >KQK85900 pep supercontig:Setaria_italica_v2.0:KQ475382:115751:116949:-1 gene:SETIT_020696mg transcript:KQK85900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDESNPPLMTTYKHLLDTHPHRRLDAVDDHNSGCVLPVIDLGSLQHAPEQCRAAIVRAASEWGFFQVTNHGVPQPLLDELHDAQVAVFRRPFERKLREPLLDFSPESYRWGTPTATCLEQLSWSEAYHIPMITPAAAAAAGDDDRTRLVIEEVSTAMSKLALQLAGILVADLRGDEEKDMVARCTRNTCFLRLNRYPACGAATGAFGLCPHTDSDFLTILHQDAVGGLQLLKAGRWVAVKPNPGALIVNVGDLLQAWSNDRYRSVEHRVMASAASERFSVAFFLCPAYDTLIRPRSTTSCSGGGASPPRYRSFTFGEYRNQIKEDVRLTGRKIGLQRFRLQQQLQGGGPL >KQK85922 pep supercontig:Setaria_italica_v2.0:KQ475382:266552:268417:-1 gene:SETIT_020701mg transcript:KQK85922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGNGSGVVTVYGNNGAALLEPSKQSKSTFSVKVGLAQMLRGGVIMDVVTPEQARLAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADDAHHINKHNFRVPFVCGCRDLGEALRRVREGAAMIRTKGEAGTGNVVEAVRHVRSVMGDIRALRNMDDDEVFAYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPNILAEVSAGLGEAMVGINLNDPKVERFAARSE >KQK85906 pep supercontig:Setaria_italica_v2.0:KQ475382:145906:149359:-1 gene:SETIT_020700mg transcript:KQK85906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEDYLYACFTYSPELLSLVVKRSKHTGQSEGFGFLRFNDHATATRILKSYNGQKMPNADQDFNLNWAAQSYAPNKLPDQDSKLDWATQQDGHTNDTAAASEHVIFVGDLAYDVTEYMLHHLFKSRYPSVKSAKVIFDKLTGRSKGYGFVRFGDANEHVQAMTEMNGAYCSTRPMRIGPAPNKKYFDHSTQGTDSYHDPNNSRLFVGSLDQSITDDDLLRAFSPYGELVNVRVLPGKACGFVTYSNRASAEEAMRMLNGSQLGGNNLRLTWGRRSGNKQDPRNGGQHGRPKCIDPSSFGWSPQDPYAYAQTGHPGYGYYRHQLPTVQ >KQK85916 pep supercontig:Setaria_italica_v2.0:KQ475382:206455:208727:-1 gene:SETIT_020691mg transcript:KQK85916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPKPATPDAASKPKKKVTPAQVAFLVDRYLADNGFHAALAAFRSDAAHLFSPNRAKPPPKGLLPLADILHDYIALKEGRVAIDSAMHAMHSLVSTYYASSSSSPPPPMMMMMPPLHPATNSAQPSSPPLVPPLFVASSSSSPPQPQGTAAGYTSPVVHHYAHASTALLVHNSSDVSSCQQPTKKRKHSKSAGKTTTASKKSCIASATTSDTKGTLSNDNLSAAHPSSAEHSAMAKLPVQNSSVAKSLFRPLQPQLHSSPCTPQQSYDIQDQDQAAAYPTQMPLPVAASAHTQQDIASSQCSIVSSKTLIVSPLKGGAYYAVERSYHVSSPLKSTTHKSTKREHVKGKLNFDITDSRPVPNEQQVCDKASTSSDEDKQDDFDIDFTNLDIFDGEFSFSELLLDLDLDTEGVHCQNPSASAEVQRLEPVAKSGYVTEDPALPDSVKSMAADFAEDFNSQGATSVTSVRAITKRIKIVSPVKGRIAS >KQK85893 pep supercontig:Setaria_italica_v2.0:KQ475382:81856:82330:-1 gene:SETIT_020707mg transcript:KQK85893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWLTHGVDADYAKNLTAKCKPGNVATVQPLDPTTPSTFDLGYYNNVYNHRALLASDALLNDSLSGAYVQLMTNASSVDVFFADFAVSMINMGRIGVRTGTDGEIRATCAIYVD >KQK85911 pep supercontig:Setaria_italica_v2.0:KQ475382:187777:192030:1 gene:SETIT_020694mg transcript:KQK85911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPPQTPPPPTSWSRSVTEAVRGSHQFTVKGFSLAKGMGPGRFVTSDIFAVGGYHWAVYFYPDGKNPEDNANYVSVFVALASDGADVRALFELTLLDQSGRARHKVHSHFDRALQAGPYTLKYRGSMWGYKRFYRRSLLETSDFLKNDCLVLNCTVGVVKNRIETPKNAQVHFPLSDMGRCFKELLSLGIGCDITFEVGDEKVRAHKWILAARSPVFKAQFFGPIGKPDLHRVVVEDVEPVVFKAMVNFIYADELPSIHELAGSVSMWTSTVVVQHLLAAADRYGLDRLRILCEAKLCDELTPETVATTLALAEQHHCAELKFACLKFVAVRENLGAVMDTEGFNYLEETCPSLLSDLLATVAVVDDDHASVNRKRGVCGNEGATPVESVEASERRIRRRF >KQK85907 pep supercontig:Setaria_italica_v2.0:KQ475382:152746:157429:1 gene:SETIT_020693mg transcript:KQK85907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAGRLLAVAALSVLCLQGLLRDSGTAFAQSHLPLHRIVHAGENDGGLMPELPPSGLMPMPELSPSGSPKPFVPFLAPAPLAPFFNNSTPKLSGKCTLNFTAVDKLMTTTAVDCFTSFAPFLANVICCPQLQATLTILIGQSSKQTGSLALDPTVANYCLSDVQELLLSQGASDNLHSLCSVHLSNVTEGSCPVSTVDAFESVIDSSKLLEACRKIDPVNECCSQTCQSAINEAAQKISSKDGGLTSYTGSLKIDSCRNVVLRWLSSRLDPPSAKQMLRQISNCNVNGVCPLSFPDTSKVAKECGGIIKNGTTCCKAMLSYVAHLQKQSFITNLQALNCASFLGAKLQKMNVSTNVYSSCQITLKDFSLQVGSQESGCLLPSMPSDASFDRISGISFTCDLNDNIAAPWPSSMQAPSSSCNKSVNIPERPAATSAQNGVNHKNLKLSLLVSLVSLVLVLVVQV >KQK85805 pep supercontig:Setaria_italica_v2.0:KQ475383:156681:156854:1 gene:SETIT_020778mg transcript:KQK85805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEAFIFVLILVVGLVYAWRKGALEWS >KQK85772 pep supercontig:Setaria_italica_v2.0:KQ475383:1081:1209:1 gene:SETIT_020758mg transcript:KQK85772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFAKFEKSKERRLATELGYGFPIGDPWITDALSPHGLSPLK >KQK85797 pep supercontig:Setaria_italica_v2.0:KQ475383:121283:121729:1 gene:SETIT_020805mg transcript:KQK85797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKACKPSSHEWILSQRAFIYAKSSLISSESS >KQK85785 pep supercontig:Setaria_italica_v2.0:KQ475383:58330:59117:1 gene:SETIT_020800mg transcript:KQK85785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSLLNCIKFLQRPKIYCDIFAEGFGNRVRIIMKFTEGVGCQFWWAMRPLKDDTGETTFHVISNYKLFCQRGCLVDADFTFSQFDHSEILSSLNKIIIKNPLLTKTLDIEESLVTDQPWPDSMLDIAKFAICFANILMTGAAKPQGLFHFPEGES >KQK85802 pep supercontig:Setaria_italica_v2.0:KQ475383:144067:145637:-1 gene:SETIT_020790mg transcript:KQK85802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNLRSYFSSIRPPTRLTFGFRLGRPGKDKGRWWAFGKVGPIGCLHSSEGTEEERNEVRGRGAGKRVESIDREKQNEIRIWPKKMQRYGYHDRSPSRKKNFSKSLRVSGAFKHPKYAGVVNDIAFLIENDDSFRKTKLFKFFLPKKSRSDGPTSHLLKRTLPAVRPSLNYSVMQYFFNTKNKMHFDPVVVLNHFVAPGVAEPSTMGGAKGGSLDKRIRSRIAFFVESSTSEKKCLARAKKRLIHFIRQANDLRFAGTTKTTISLFPFFGATFFFPRDGVGVYNNPFFEYAREQLLGQLRIKCRNLMGKDKVMELIEKFIDLGRIGKLIKGIEMMIEIILRKRIIPYGYNSYLNEVQKMRSFLSNRTNTNTLIESVKIKSVYQSASLIAQDISFQLRNNPISFRSIFSQIVKDIPLIMPKGVEGIRICCSGRLGGAEIARTECGKYGKTSCNVFNQKIDYAPAEVSTRNGISGVKVRISYSQNKRGRAISETYEI >KQK85781 pep supercontig:Setaria_italica_v2.0:KQ475383:36651:37274:-1 gene:SETIT_020750mg transcript:KQK85781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGREQAKRVVRNGKKDTTTSPLCWTAGANTVVSDQDQEPIRIWILTCWLFLTVGISPGSWWAHHELGRGGWWFRDPVENASFMPRVLATARIHSVILPLLHYWTSLLNILTLPCCVSGTFSIRSGLLAPVHSSATDDTRGRFLWRFFLLITGISMTLFYQMKQEASVRRTYKKEMVVARSTLVHLRHSARAQPRPVMLWNNFSSC >KQK85778 pep supercontig:Setaria_italica_v2.0:KQ475383:28620:28880:-1 gene:SETIT_020786mg transcript:KQK85778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKALWSKGKHVRCHTPCPPKVPRGRARRSRATPQERIPHEQGDRRRPSRGTSRPTGNTGETREGNPIGSQRIHSTRSLPDFIFIIL >KQK85841 pep supercontig:Setaria_italica_v2.0:KQ475383:337966:338993:1 gene:SETIT_020738mg transcript:KQK85841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLDMLEGAKSIGAGAATIALAGAAVVFFRLILPVGQVLAHLTCCSSFLISLPPEIQNPQALAHLEGLNFYLSLYEQDPEWVAFIQQELNHNTPLEDIPGRLRLFLMEERTSSLRLDLIQEFISQYARNEAVLPVEPYLLEGALRSYLDFLRSTDNFSILQAAYQDLRENEGGSVFFSESHNRDFLEAQSAKRTWIEAERRWLCQAMEQEKARLERAEFQHAQLIFQWEDRQRDKNIHINDMPLGSANDQA >KQK85777 pep supercontig:Setaria_italica_v2.0:KQ475383:23387:24117:-1 gene:SETIT_020789mg transcript:KQK85777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLTHPYPLIKATLASDTTGLMKPIMLDNQILLPSYLSTSLRQKIEKRSQRLARKGCYTKHYYLTTKKEKTIVVTRLTIVVRPAALA >KQK85819 pep supercontig:Setaria_italica_v2.0:KQ475383:221898:222682:-1 gene:SETIT_020755mg transcript:KQK85819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGPVAESPLDQFGIHPILDLHMGNYYFSFTNPSCLCCSLSGGGKSVPNAWQSLVELIYDFVPNLVNEQIGGISGNVKQKFFPCISVTFTFSLFRNPQGMIPFSFTVTSHFLITLALSFSIFIGITIVGFQRHGLHFFSFLLPAGVPLPLAPFLVLLELISHCFRALSSGIRLFANMMAGHSSVKILSGFAWTMLFLNNIFYFIGDLGPLFIVLALTGLELGVAISQAHVSTISICIYLNDATNLHQNE >KQK85812 pep supercontig:Setaria_italica_v2.0:KQ475383:205880:207927:-1 gene:SETIT_020788mg transcript:KQK85812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRKPGRALRHFTLSTGKSAGRNSSGRITVFHRGGGSKRLQRKKRSTSSIGIVERIEYDPNRSSRIALVRWIEGVLPGRQRKLKTIEEFAPPRGSQLAASWPRPPAYRYEIFDLNSKVGNSIPLADIRMGTWVHDIECHPGQGAKLARAAGTYAKIIKEPAPQCLVRLPSGVEKLIDSRCRATIGIVSNPNHGARKLRKAGQSRWLGRRPIVRGVAMNPVDHPHGGGEGRTKGGRPSVSPWGKPTKAGFRAVVGVGKRRI >KQK85783 pep supercontig:Setaria_italica_v2.0:KQ475383:55716:56181:1 gene:SETIT_020745mg transcript:KQK85783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDQFSTGIASSILSWAPVIPRTANGSHLSYGKPILDLRNDIDRNQDATNPTNKTI >KQK85774 pep supercontig:Setaria_italica_v2.0:KQ475383:2504:5263:-1 gene:SETIT_020766mg transcript:KQK85774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIMLCTCSGDQSRFEDIPRSPESLATRDFSANGSSSKIASRETTPDDSQVNEVESDLRETLSLNYERGNFDAALLVLQGIDIRSLRPRMTSAIAESIKSRVPPRSSRRKTSQVNGMLMHMSMHSVSLLLEAILLKAKSLEGLGRVTALPSEMGIVVPEALQISYCPIYALMDIFHSALEYLPKLWMRSCCFEEAIIAYRRALAKPWNLDSERSANLQKDLAVTLLYCGVEVKFPEEFGQKGNLLTPGNNIEEAIFLLLVLTRKLSLQEIKWDPDLVNHLMYALSLSGHHEVLASHLEMLLPGTYTRSERWSILALCNSAAGMDDSALNIIRNGFCVLERKGKPHIPSLLLGAKLCSKKEVWKSTTCSEVKSLQKLEMDAWLDLASIYTKLEAWHDSNICLDKARSIDFFYPKYWHVRVRSMLEGQSLHQEALMAFSFALSINPDYVPSMVSMAGILRNLGGNSLSNYCLR >KQK85779 pep supercontig:Setaria_italica_v2.0:KQ475383:30508:31500:-1 gene:SETIT_020746mg transcript:KQK85779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLLKYCKRRGLLIELGGEAILVIRSERGLARKLAPFKSHSLLIRICYARYADDLLLGIVGAVFLLIEIQKRITHFLQSGLNLWVGSAGSTTIAARSTVEFPGTVIREVPPRTTPIQFLRELEKRLRVKHRIHITACHLRSAIHSKLRDLGYSIPIKELTKGMSGRGRLLDAVQLAETLGKDGLKSPQVSVLWGTVKHIRQRSRGISLLHSSGQSKVPSGVQQAVSRSGMSVLKNKLYTPFGRKAAGEGRGHWAGSFSSEFPIQIEAPIKKILRRLRDRGLISRRRPRPIHVASLTNVSDRDIVNWSAGIAISPLSYYRCCDNLYQVRTI >KQK85807 pep supercontig:Setaria_italica_v2.0:KQ475383:167101:167568:1 gene:SETIT_020803mg transcript:KQK85807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIVDRVMEEVRSHIASWSLSFQSETIFLLR >KQK85826 pep supercontig:Setaria_italica_v2.0:KQ475383:243557:244042:-1 gene:SETIT_020742mg transcript:KQK85826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVIGCTCTCRDTKTRYSLSFWSIIRLCRRDGRVVQGVALELLCRLLFTEGSNPSLSVSVNSPTLPTTMYQIK >KQK85776 pep supercontig:Setaria_italica_v2.0:KQ475383:20903:21525:1 gene:SETIT_020801mg transcript:KQK85776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMIGTIEERKRMAAPFLSPRGHRRRGQASISIVFRYRDR >KQK85814 pep supercontig:Setaria_italica_v2.0:KQ475383:216465:216566:-1 gene:SETIT_020759mg transcript:KQK85814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIRTTEGFQGQCPLLFPLKQAKKDFQSFALTS >KQK85831 pep supercontig:Setaria_italica_v2.0:KQ475383:280887:281382:1 gene:SETIT_020744mg transcript:KQK85831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPLPEELISKDELGKLKFEYKVREGIFNAPKSYWLDAEGEKDVLVQLRAMLAKNGLSNNMRINLLQKW >KQK85844 pep supercontig:Setaria_italica_v2.0:KQ475383:354287:355102:-1 gene:SETIT_020794mg transcript:KQK85844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMHFSFELLIEWNFAPETFLGEVRIRSVRILIGLGLTWFTRYWFPEESISPLAKPFLTLPLDSYFVRTQSTEAPPTYVATSSIACSYFVFPLISHQIWCFSIPSCYGEQRQKYNRFLHLSGSRFSLFLFLTPPRVVPNVWHFPYFVGATSTNSLMIKLQPKIYDYIMLTVRILFIPSVCSQVPVIVICLPEPRGLSVETFTSNRRFLMVFPLITAALSTPPDIWCQIVAPFLIYSIIEFAIFVALIVQVREEGWTSRMRESGSIEKKEE >KQK85843 pep supercontig:Setaria_italica_v2.0:KQ475383:339718:340254:1 gene:SETIT_020798mg transcript:KQK85843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERKMPWSGEYPQSKVIQLNALQGAAEELGDLLEHRIGN >KQK85845 pep supercontig:Setaria_italica_v2.0:KQ475383:355670:356163:1 gene:SETIT_020804mg transcript:KQK85845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIIHMGDLPIEKIHRSETKRKGQFSLLIQLNQGFVMEADSNNHFIRHAYF >KQK85816 pep supercontig:Setaria_italica_v2.0:KQ475383:217052:217429:1 gene:SETIT_020787mg transcript:KQK85816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTKNQLIRHGREEKRRTDRTRASDQCPQKQGVCLRVSTRTPKKPNSALRKIAKVRLSNRHDIFAHIPGEGHNSQEHSIVLVRGGRVKDSPGVKSHRIRGVKDLLGIPDRRKGRSKYGAERPKSK >KQK85818 pep supercontig:Setaria_italica_v2.0:KQ475383:219287:221600:-1 gene:SETIT_020754mg transcript:KQK85818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLIVFLPLLGSSVAGFFGRFLGSEGTAIMTTTCVSFSSILSLIAFYEVALGASACYLRIAPWISSEMFDASWGFFGDREGVWPARHTYTHTVPGRRWTHFWVREGKKGPKHGRCRTLEWQRKARPYFFCQACSYISIRFPQKIKLVSRVMGNLPARLTVVMLIVVTFISSLVHLYSISYMSEDPHSPRFMCYLSIFTFFMLMLVTGDNFLQLFLGWEGVGLASYLLIHFWFTRLQADKAAIKAMLVNRVGDFGLALGIFGCFTLFQTVDFSTIFACASAPRNEWIFCNMRLNAITLICILLFIGAVGKSAQIGLHTWLPDAMEGPTPVSALIHAATMVTAGVFMIARCSPLFEYSPTALIVITFAGAMTSFLAATTGILQNDLKRVIAYSTCSQLGYMIFACGISNYSVSVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPLTYAMMLMGSLSLIGFPFLTGFYSKDVILELAYTKYTISGNFAFWLGSVSVLFTSYYSFRLLFLTFLVPTNSFGRDRLRCHDAPIPMAIPLILLALGSLFVGYLAKV >KQK85813 pep supercontig:Setaria_italica_v2.0:KQ475383:214776:215222:1 gene:SETIT_020748mg transcript:KQK85813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFDGEQKELINKLVNFRMIDGKRTRVRAIVYKTFHRLARTERDVIKLMVDAVDNIKPICEVVKVGVAGTIYDVPGIVARDRQQTLAIRWILGAAFKRRISYRISLEKCSFAEILDAYRKRGISRKRRKNLHGLASTNRSFAHFRWW >KQK85773 pep supercontig:Setaria_italica_v2.0:KQ475383:1455:1589:1 gene:SETIT_020753mg transcript:KQK85773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGQDSNLQSSGHEPDESTNSSTPLLPLIFLSLFPPGFPLLAWPG >KQK85775 pep supercontig:Setaria_italica_v2.0:KQ475383:13411:13915:1 gene:SETIT_020796mg transcript:KQK85775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGALTQPTCYLEIPNAPMYQRHCTLNRKHFPTSLPN >KQK85817 pep supercontig:Setaria_italica_v2.0:KQ475383:217869:218253:1 gene:SETIT_020769mg transcript:KQK85817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RWPLTLLTALMTLLTSSRSLASAVAQTVLAFNTFVIDKPRVRKRKVLTFTAGQPLGYYGPWPLFTLSHHKVVWLAADRVYPKKAFWNYVASEYRSILDDLGVTISSQKSIVSKIGAF >KQK85786 pep supercontig:Setaria_italica_v2.0:KQ475383:59576:60443:1 gene:SETIT_020740mg transcript:KQK85786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRMLIRESELLGLEPGGLLREKILSFSSTPGGADTPARITGDGYKNGGEVKSTRRHSGMNVDPSGRDNHSGPGRGGDTSLS >KQK85803 pep supercontig:Setaria_italica_v2.0:KQ475383:147499:147903:-1 gene:SETIT_020802mg transcript:KQK85803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSHNRGMSSDLRLCLGAACPFASTRKLWTTMGLELE >KQK85800 pep supercontig:Setaria_italica_v2.0:KQ475383:133117:133245:1 gene:SETIT_020777mg transcript:KQK85800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQFAKFEKSKERRLATELGYGFPIGDPWITDALSPHGLSPLK >KQK85832 pep supercontig:Setaria_italica_v2.0:KQ475383:286165:287459:-1 gene:SETIT_020765mg transcript:KQK85832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPAEILCLILPLLLGVAFLVLAERKVMAFVQRRKGPDVVGSFGLLQPLADGFKLILKEPISPSSANFSLFRMAPVATFMLSLVAWAVVPFDYGMVLSDPNIGLLYLFAISSLGVYGIIIAGWSSRCLPAGGRIESEFP >KQK85810 pep supercontig:Setaria_italica_v2.0:KQ475383:203193:203950:-1 gene:SETIT_020743mg transcript:KQK85810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPPVGCAPHFLWEYMSSEFIRQHPDSMISYCDTFEGSVDILENRDRYGFVTTTDACCGLGKYGGLFYSLN >KQK85823 pep supercontig:Setaria_italica_v2.0:KQ475383:240021:240593:-1 gene:SETIT_020749mg transcript:KQK85823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNQSIFQYSWEILPKKWVHKMKRSEHGNRSYTNTDYPFPLLCFLKWHTYTRVQVSIDICGVDHPSRKRRFEVVHNLLSTRYNSRIRVQTSADEVTRISPVVSLFPSAGRWEREVWDMSGVSSINHPDLRRISTDYGFEGHPLRKDFPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDG >KQK85833 pep supercontig:Setaria_italica_v2.0:KQ475383:288694:290476:1 gene:SETIT_020774mg transcript:KQK85833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSIPKIGSRKKVRIGLRRNARFSLRKSARRITKGVIHVQASFNNTIITVTDPQGRVVFWSSAGTCGFKSSRKASPYAGQRTAVDAIRTVGLQRAEVMVKGAGSGRDAALRAIAKSGQADTVGIALRRALLGEIEGTCITRAKFGNVPHEYSTIVGIEESIQEILLNLKEIVLRSNLYGVKDASICVKGPRYITAQDIILPPSVEIVDTTQPIANLREPIDFCIELQIKRDRGYHTELRKNSQDGSYPIDAVSMPVRNIT >KQK85834 pep supercontig:Setaria_italica_v2.0:KQ475383:297239:298828:-1 gene:SETIT_020795mg transcript:KQK85834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNLVRWLFSTNHKDIGTLYFIFGAIAGVMGTCFSVLIRMELARPGDQILGGNHQLYNVLITAHAFLMIFFMVMPAMIGGFGNWFVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDLAIFSLHLSGVSSILGSINFITTIFNMRGPGMTMHRLPLFVWSVLVTAFLLLLSLPVLAGAITMLLTDRNFNTTFFDPAGGGDPILYQHLFWFFGHPEVYILILPGFGIISHIVSTFSRKPVFGYLGMVYAMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFYYWVGKIFGRTYPETLGQIHFWITFFGVNLTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGIRRFFVVVAITSSSGKNKRCAESPWAVEQNPTTLEWLVQSPPAFHTFGELPTIKVNTNDKKRK >KQK85829 pep supercontig:Setaria_italica_v2.0:KQ475383:266295:266948:1 gene:SETIT_020761mg transcript:KQK85829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRLERVVNAGDPGLFVPHPGHSGAPTRSITDIGQEMAAFFAEANLDTVAQSPYIGLIRAIDHLEEVSPANGGQGGEQAAGSTGEAGPANPVDAPQVAIHHDAPRPFETPQAMDHFAGSPLDPFAIHPLDIIPLALALGLVILISFFFLREVWKNWIPVYKTICYEGVGDGLQMQK >KQK85838 pep supercontig:Setaria_italica_v2.0:KQ475383:310456:311073:1 gene:SETIT_020776mg transcript:KQK85838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSSTDMKDRNMLFAAIPSICASSPKKISIYNEEMIVARCFIGFLIFSRKSLGKTFKETLDGRIESIQEELQQFFNPNEVIPEESNEQQRLLRISLRICSTVVESLPTARCAPKCEKTVQALLCRNLNVKSATLLNATSSRRIRLQDDIVTGFHFSVSERFVSGSTFKASTVEQIREAFVPIDLIREGLIVLRKVRVGGSIMDE >KQK85820 pep supercontig:Setaria_italica_v2.0:KQ475383:235001:235718:1 gene:SETIT_020792mg transcript:KQK85820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGITSRRLKPPTFCQTLDRDIWIDGWIYLSIQISFAISPKSLIWPGNKALLWAQEAKGMSSAASPPHFFISPCPFRMRFARHWRFALLLFLHWRVRMDFAVLSQRKWKGLYHIEMSIRFPPQMRWGISHLCPFIFMKGIEARPGSRRSNNRRGAPQYTIARSNWESYYT >KQK85830 pep supercontig:Setaria_italica_v2.0:KQ475383:277663:278919:1 gene:SETIT_020782mg transcript:KQK85830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLPVLPPELRPIVYRSGDKVVTSDINELYKRVIRRNNNLAYLLKRSELAPADLVMCQEKLVQEAVDTLLDSGSRGQPTRDGHNKVYKSLSDVIEGKEGRFRETLLGKRVDYSGRSVIVVGPSLSLHQCGLPLEIAIKLFQLFVIRDLITKRATSNVRIAKRKIWEKEPIVWEILQEVMRGHPVLLNRAPTLHRLGIQAFQPTLVEGRTICLHPLVCKGFNADFDGDQMAVHLPLSLEAQAEARLLMFSHMNLLSPAIGDPICVPTQDMLIGLYVLTIGNRRGICANRYNSCGNSPNKKVNYNNNNYYKYTKDKEPHFSSSYDALGAYRQKRIGLNSPLWLRWKLDQRVVGSREVPIEVQYESFGTYHEIYAHYLVVGNRKKEIRSIYIRTTLGHISFYREIEEAIQGFSRAYSYTI >KQK85837 pep supercontig:Setaria_italica_v2.0:KQ475383:309658:310216:-1 gene:SETIT_020807mg transcript:KQK85837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPGKTIFLIISWSESPSGNWTLVSSKSLPSADSILSFIISISNVWAWICSLRPKTCPAL >KQK85824 pep supercontig:Setaria_italica_v2.0:KQ475383:241127:241735:1 gene:SETIT_020760mg transcript:KQK85824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSILFYSILFYSILYGTCCCFIEFASGSRFDFDHYGLVPRRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPESKYVIAMGACSITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAVIDALTKLRKKISREIVEDRTLSQKRNRCFTTSHKLYVRRSTHTGTYEQELLYQSPSTLDISSETFFKSKSPVSSYKLVN >KQK85842 pep supercontig:Setaria_italica_v2.0:KQ475383:339191:339632:1 gene:SETIT_020799mg transcript:KQK85842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRPNPFAAARLANRTQQEQGNSPGSGRHMSPNAIMNVRPTLHYVGTCACR >KQK85790 pep supercontig:Setaria_italica_v2.0:KQ475383:83390:86781:1 gene:SETIT_020751mg transcript:KQK85790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGERCATQNGSRKRVVKNIGGYQISETTIDPTERNNLKKNWTLFLCVGVLYDRHKTRLVRYYGGLVSTMPNFSTIFFFFTLANMSLPGTSSFIGEFLILVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKPDFLYKFSDLNGREVSIFLPFLVGGATFFAKCYGLNAELLTLLVRMGVHPKVFPDCMHTSVSNLVQHGKFH >KQK85801 pep supercontig:Setaria_italica_v2.0:KQ475383:141122:143148:-1 gene:SETIT_020771mg transcript:KQK85801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CRFLTIALCDAAEPWQLGSQDAATPMMQGIIDLHHDIFFFLILILVFVSRMLVRALWHFNEQTNPIPQRIVHGTTIEIIRTIFPSVIPLFIAIPSFALLYSMDGVLVDPAITIKAIGHQWRASTQPYEYSDYNSSDEQSLTFDSYTIPEDDPELGQSRLLEVDNRVVVPAKTHLRMIVTPADVPHSWAVPSSGVKCDAVPGRSNLTSISVQREGVYYGQCSEICGTNHAFTPIVVEAVTLKDYADWVSNQLILQTN >KQK85784 pep supercontig:Setaria_italica_v2.0:KQ475383:56949:57360:-1 gene:SETIT_020797mg transcript:KQK85784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKPALKPLLGSSLNLFAPPSSYPRARSPYLNV >KQK85821 pep supercontig:Setaria_italica_v2.0:KQ475383:237761:237983:-1 gene:SETIT_020784mg transcript:KQK85821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADYPKNPWEKRKDISPTS >KQK85792 pep supercontig:Setaria_italica_v2.0:KQ475383:89480:90277:-1 gene:SETIT_020757mg transcript:KQK85792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLIFLLYTMFVWWRDVLRESTLEGHHTKAVQLGPRYGSILFIVSEVMFLFAFFWASSHSSLAPTVEIGGIWPPKGIGVLDPWEIPLLNTPILPSSGAAVTWAHHAILAGKEKRAVYALVATVSLALVSTGFQGMEYYQAPSTISDSIYGSTFFLATGFHGFHVIIGTLFLIVCGIRQYLGHLTKKHHVGFEAAAWYWHFVDVVRLFPFVSIYWWGGI >KQK85839 pep supercontig:Setaria_italica_v2.0:KQ475383:321734:324253:-1 gene:SETIT_020734mg transcript:KQK85839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSPRAAELTTLLESRMTNFYTNFQVDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDALGVPIDGKGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRGTNESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAFPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKQVCGSSKLELAQYREVAAFAQFGSDLDAATQALLNRGARLTEVPKQPQYEPLPIEKQIVVIYAAVNGFCDRMPLDRISQYEKAILSTINPELLKSFLEKGGLTNERKMEPDASLKENALPYL >KQK85835 pep supercontig:Setaria_italica_v2.0:KQ475383:298961:299342:1 gene:SETIT_020752mg transcript:KQK85835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDDSTYISRSEWDRVFSRLTVVPGLPPKGLCGTSGTQKSWVIIGPMLRAKPIPRV >KQK85804 pep supercontig:Setaria_italica_v2.0:KQ475383:149824:152264:-1 gene:SETIT_020783mg transcript:KQK85804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLQNFFFFITSMVVPRGTAAPVLLKWFVSRDVPTGASFSNGTIIPIPIPLFPFLVYLHSRKFIRSMDRAKSGVLVKASRPILLPDKIGRSSSARNALFRFVPVLHFLIIESMGDLSYLESFCGLLCLQFFRTLFSLPRDRSAKRERALRSKGQTLRPKGNEQQNDKMRCPGHPHIERRVEGFGPVAFPAPPSSSGACLGGVPPEIGLEALALPTSRLLMAVGHDYHKKVKMNLSISHGGVCIFMLGVLLSEPFHLVRDRMGGHKRSGVRADWSDDDYCTYYISRLSRNGLGWNRKNAKQQARDERFVAKAHTFSCWVGLFFGGGAISLLLHKLIPAPFPVRPDRQQLETRSTTEVAIHTNPFTDLYAPIGTGSSRTGGWYTTIMKLPFIFSIRIGFLLASSGGSRSLLRQLQKDKLHWNRESFVHNCIKGVKIVAAARRGSTLISNNLSSLPQWISILL >KQK85822 pep supercontig:Setaria_italica_v2.0:KQ475383:235913:238580:-1 gene:SETIT_020779mg transcript:KQK85822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVVGPTHPICSMIYGSTGATHFDQLAKILTGYEITGARSSGIFMGILFIAVGSLFKITAVPFRAAGSPTPVTAFLSIAPKISISANMSRVSIVASYGGTLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYASMTIDAFAIVPALRQTRVKYIADLGALAKTNPILAMTFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLP >KQK85809 pep supercontig:Setaria_italica_v2.0:KQ475383:192480:193700:1 gene:SETIT_020739mg transcript:KQK85809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWKKLVQFGKGFFEKKEESTSTDMPLGTAIHNIEITRGRGGQLARAAGAVAKLIAKEGKLATLRLPSGEVRLVSQNCLATVGQVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKYSDSFILRRRK >KQK85794 pep supercontig:Setaria_italica_v2.0:KQ475383:101747:103434:-1 gene:SETIT_020736mg transcript:KQK85794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILSTVCTKLLCTNAHLGRRVAAHHLKVYTRGSRNGIAILDSDKTLICLRSALHFIGSLIRKKGHSFFLKTNHLFIYLIMEKMIYSIMEKMGSCINDSQWKIGAFLTNSYANPKKFRSRKKKIHFGLNQQPDCVVIPNPDRKSSVILEANRSQIPIASLLDSTIPWESYKRITYPIPANDTIQFIYLFRHSITKTVILERPRITAIQGAGARAFSTAGSGGRKHYASASGNKYKYKATFIHLFWMKILLLLPRPTPEFHPVEQEAPMDALMDTTSARASSGEPSVNQPTPEFLPVQQEVEQQALLTEIREVLQQEYRRVAELMIKDLELDLSSIEELQQFLQDIGANPQQLNSEFFNYWGRRA >KQK85840 pep supercontig:Setaria_italica_v2.0:KQ475383:330466:330875:1 gene:SETIT_020770mg transcript:KQK85840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWFSASCLCIIILEDDTPPVLPDPAGDLAQPAGAPLVPAVQQVPAISHPPQVSSIPSSQNQGRTTEDRVRDVAEEAGKGAIKGCCSSFFECLCSNGCGCDSFCF >KQK85799 pep supercontig:Setaria_italica_v2.0:KQ475383:128433:128900:-1 gene:SETIT_020763mg transcript:KQK85799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLDKLTYFSQFFCRILKLRNQLLSHRGNKIRSKDPKNLEDISRKGFSTGLSYMYSSLSEVSQWCKTVDYLGKRRKITLISDFGEISGSRGMERQILYLISKSSYNTSSSRITCWKNIMLTHVPHGQGSII >KQK85806 pep supercontig:Setaria_italica_v2.0:KQ475383:166411:166776:-1 gene:SETIT_020768mg transcript:KQK85806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFSTCTLKAKAKKDHINDSPNFRISKRIHIQDRKRRQFKPNGQTLRIQDRKTYSHKKITRERKQLHILLACLSDLLSACQGSTTEDWLLRPSTRSWAIPDRQADGVDSLYMDGRNASTAEP >KQK85791 pep supercontig:Setaria_italica_v2.0:KQ475383:87026:87247:1 gene:SETIT_020762mg transcript:KQK85791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVRVRLSPARELSGYYDSTEFCQFVSSIYDFAFMDVDKILPFSSSTLRWHSLNVNGEVQKRKGLLYSIPPYL >KQK85836 pep supercontig:Setaria_italica_v2.0:KQ475383:304399:306510:1 gene:SETIT_020785mg transcript:KQK85836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLHADAHDFDSHTGDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEIWNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALIFASLMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQIHVSLPINQFLDAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYAEFLSFRGGLDPITGGLWLSDIAHHHLAIAILFLIAGHMYRTNWGIGHGLKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSTTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFLVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPIFAQWIQNIHAGAPGVTAPGATTSTSLTWGGSELVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNSISVVIFHFSWKMQSDVWGTISDQGIVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >KQK85782 pep supercontig:Setaria_italica_v2.0:KQ475383:37377:38384:-1 gene:SETIT_020793mg transcript:KQK85782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSINEFSHYLLFPGLFVAFTYNKKQPPAFGAAPAFWCILLSFLGLSFRHIPNNLSNYNVLTANAPFFYQISGTWSNHEGSILSWCWIPSFYGFLFCYRGRPQSHNVSKRRGYRETFLFSFVSNFVKNSILSLQQKSGAAPQLYTPFVRRTLVDSELRSQSKRPFNGPALFNAPLDPVLKMSFALLGAGRSRGSREGKRTNLLLHLARDEKERASSIDEQQIDGALGIALFFSPFLSASSDPFVRNFFVRTEPLAESNPVPQDPISAIHPPCIYAGDVASAMGFGLCRSKMMNRIVALHSPPMRKDAAEKNGTLLRSAGCVGSHIRSSLFTRSFKHF >KQK85798 pep supercontig:Setaria_italica_v2.0:KQ475383:121977:122255:-1 gene:SETIT_020773mg transcript:KQK85798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAARLAIIPQEILNIENEKLELEQSLDLIREPMFLSFVDPVVWKHNPVVWIENHILDVRNKIRILEMRKRALLSEQQSLIVKAALRGDRR >KQK85815 pep supercontig:Setaria_italica_v2.0:KQ475383:216651:217007:1 gene:SETIT_020747mg transcript:KQK85815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFAPICIYLVISPLVSLIPLGVPFPFASNSSTYPEKLSAYECGSDPSGDARSRFDIRFYPVPILFIIPDPEVTFSFPWAVPPNKIDLFGSWSMMAFLLILTIGSLYEWKRGASDRE >KQK85788 pep supercontig:Setaria_italica_v2.0:KQ475383:68952:69674:1 gene:SETIT_020775mg transcript:KQK85788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSLLQPYFFMSKTKSYAQILIGSRLFLTAMAIHLSLRVAPPDLQQGGNSRISYVHVPAARMSIVIYIATAINSSLFPLTKHPLFLRSSGTGTEIGAFSTLFTLVTGGFRGRPMWGTFRVWDARLTSVFILFLIYLGALRFQKLPVEPAPISIRAGPIDIPIIKSPVNWWNTSHQPGSISRSGTSIHVPMPIPILSNFANFPFSTRILFVLETRLPIPSFPESPLTEEIEAREGIPLKT >KQK85789 pep supercontig:Setaria_italica_v2.0:KQ475383:78127:80136:1 gene:SETIT_020767mg transcript:KQK85789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEHFSECYFDLSGPILCPVLGSITPLFIPNSSIRLIRLIGLCVSLITFLYPPVPRIQFDPSTANSQFVESLRWLPYENIHLYMGIDGLSLFFVILTTFLIPICISVGWSGMRSFGKEYITAFLIREFLMIAVSCMLDPLLFYVLSESVPIPMLCGAEHLLFAGIKLFLCRGLVQKIKAAYQFFLYTLLGSVFMLLAILLILLQTGTTDLQILLTTEFSERRQILLWIAFFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGILLKLGTYGFLRFSIPMFPEATLCFTPFIYTLSAIAIIYTSLTTLRQIDLKKIIAYSSVAHMNLVTIGMFSRAAA >KQK85796 pep supercontig:Setaria_italica_v2.0:KQ475383:115597:116979:1 gene:SETIT_020735mg transcript:KQK85796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRNQRFSLLKQPIYSTLNQHLIDYPTPSNLSYWWGFGSLAGICLVIQIVTGVFLAMHHTPHVDLAFNSVEHIMRDVEGGWLLRYMHANGASMFLIVVHLHIFRGLYYASYSSPREFVWCLGVVIFLLMIVTAFIGYVPPWGQMSFWGATVITSLASAIPVVGDTIVTWLWGGFSVDNATLNRFFSLHHLLPLILAGASLLHLAALHQYGSNNPLGVHSEMDKIASYPYFYVKDLVGRVASAIFFSIWIFFAPNVLGHPDNYIPANPMPTPPHIVPEWYFLPIHAILRSIPDKAGGVAAIAPVFISLLALPFFKEMYVRSSSFRPIHQGIFWLLLADCLLLGWIGCQPVEAPFVTIGQISSFFFFLFFAITPIPGRVGRGIPKYYTE >KQK85808 pep supercontig:Setaria_italica_v2.0:KQ475383:167711:169135:1 gene:SETIT_020737mg transcript:KQK85808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALRFKTCRLLPGNVRNRELSLIQRRILRRLRNKRRSIKRNLSQRENLNSNIKSQTTRKLSLYYGDLPIREMHRGRKRTSYIPFLLNQETRSDVIPVRLRFSDTLPQARQPISHRRVCLNNGLVTITHLKVSHGDLISFKENDARTRGEEIRRSFYIDISVGKIIGKFLPVRIWRRTKTEWFRLLTTQRGCRLLLKSGFLQELRSYMQEEDLERTKKFGSAKVCLGSSFAEHNRMKRNLFHFKYFFLLKRRKEEEENRKRAISPFVYKSSLYRNSTYCSGSPFTRKIRIKRIELPTHYSEVNHRTLKAVVSYGPNIGHIPHDIRLKDPNLPLRSGNGRGQNI >KQK85780 pep supercontig:Setaria_italica_v2.0:KQ475383:35867:36526:-1 gene:SETIT_020772mg transcript:KQK85780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIYWSRSFPRSNSSFLLCSGNASQSSVLRLRLREEMFLVDAGLGTPKICMQDELTGLPIKRATRFENKVGSKNVVAGESLIKKRIFERFFIDLVAGESLIKERAAARFNDFVGSLDVAAGEPLLLPQRFRQNRAWIELKKIWRTKKKVKGFKIKKIKGGYSVAIAGFITFLPFKLKKALKKKRIAKAQFTIDSFIPKRRDIVIIAAANKNLRKKKKR >KQK85793 pep supercontig:Setaria_italica_v2.0:KQ475383:100217:100603:1 gene:SETIT_020756mg transcript:KQK85793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGRSFIHLRSLEARTIQDRLLSEEVEWNGSDYPLASALTLLTSPTLCLLRFLIHVLMSISICMILILQSIYPLVLPIELLSMFFPFREMVTVPNAELAAVPNGQCLWGSPSLQLSPVSPMCCGMKNC >KQK85795 pep supercontig:Setaria_italica_v2.0:KQ475383:106787:107395:1 gene:SETIT_020780mg transcript:KQK85795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSVLSSPALVSGLMVVRAKNPVHSVLFPILVFCDTSGLLILLGLDFSAMIFPVVHIGAIAVSFLFVVMMFNIQIAEIHEEVLRYLPVSGIIGLIFWWEMFFILDNETIPLLPTHRNTTSLRYTVYAGKVRSWTNLETLGNLLYTYYSVWFLVSSLILLVAMIGAIVLTMHRTTKVKRQDVFRRNALDSRRTIMRRTTIQNR >KQK85811 pep supercontig:Setaria_italica_v2.0:KQ475383:204373:204645:-1 gene:SETIT_020791mg transcript:KQK85811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISILGIRGILLNRRNILIMSMPIESMLLAVNLNFLVFSVSLDDMMGQSFASLVPTVAAAESAIGLAIFVITFRVRGTIAVEFINCIQG >KQK85787 pep supercontig:Setaria_italica_v2.0:KQ475383:67982:68467:-1 gene:SETIT_020806mg transcript:KQK85787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANDLEFKLATEETEALTPHSFYLTSPSSPGCRVTGF >KQK85825 pep supercontig:Setaria_italica_v2.0:KQ475383:241834:242316:1 gene:SETIT_020781mg transcript:KQK85825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGWLSNWLVKHEVVHRSLGFDHRGIETLQIKAGDWDSIAVILYVYASVYHLTRIQYGTDRKEEVCSIKVFAQKDNPRIPSVFWIWRSADFQERESYDMVGISYDNHPRLKRIPMPESWIGWPLRKDYITPNFYEIQDAH >KQK85827 pep supercontig:Setaria_italica_v2.0:KQ475383:258273:264326:1 gene:SETIT_020764mg transcript:KQK85827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRNGQIKNFTSNSGPQHPAAHGVSRSVLEMNGEVVERAEPHIGSLHFVVRSVVDPIYVSTMAQEHAHSSAVERLLNCEVPLRAQYIRVLFCEITRISNHSLASTTHAMDVGASTPFLWAFEEREKLLEFYERVPGARMHASFIRPGGVAQDLPLGLCRDIDSSTQQFASRIDELEEMSTGNRIWKQRLVDIGTVTAQQAKDWGFSGVMLRGLKWWAYPSQPGVCWDSRRAAPYDVHDQSDLDVPVGTRGDRYDRYCIRIEEMRQSVRIIVQCPNQMPSGMIKADDRKLCPPSRSRMKLSMESIPRLAHLVGRGEALGTPISFFGAVSFPVPSPRHSASLPVLRKNQLTSPFFIDPGEREPSTSWEARHQGCGLMRITKLTRRSWLLAQQGGALPHRRRTRGSVRGGASGFQCTASKIRTSLPADHCPGQMTTGSSVYSTSIHHFELYTEGFSVPAPSTYTAVEAPKGEFGVFLVSNGSNRPYRCKIRAPGFAHSQGLDSMSKHHMPADVVTIIGTQDIVFGEVDR >KQK85828 pep supercontig:Setaria_italica_v2.0:KQ475383:259284:259713:-1 gene:SETIT_020741mg transcript:KQK85828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHGIDRLSSPSFPVHSLYISDTQGRTRWEESSPSLCPVDHSAGILHSRLRLTAARECSCRYVSLSGSLAPPLISFYDML >KQK85765 pep supercontig:Setaria_italica_v2.0:KQ475384:155454:158834:1 gene:SETIT_020821mg transcript:KQK85765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGIFNPILYGNRLFQQFAVDTYVKIESSRLDYIRNNQDILRADLYQGLVDSWRMGVEDADEVGKRTVLSPTFIGGPRNMRRRYMDAMALVRKFGKPDIFLTMTCNPNWDEIKNELYPGQSPQDRPDLVTRVFRAKLEELKKMLMEKDILGKVHAFVYVVEFQKRGLPHAHFLLIMQRKYKITCPEQYDLLISAELPNKKKYPNLYRMVTKHMMHGPCGTLNPLCPCTRGRTSCKNRYSRPFCDSTSQGKDSYTIYWQRDDGRKEIIRGHILDNQWVVLYNPCLLHTFNCHINVEPCSSIKSVKYLFKYIYKGHDRASVAVREAGKKDDKGNVDEITYKNHPPVQQLQLHLPDMHMVTYHKQDKIEQVVKRPGADESMLTAYFDYNRLHEEGRGILYRDFPEHYTWESNGKFWKPRKNAVYQVGRLVSAHPAEGERYFLWVLLNHVAGATSYRDLRTVDDVLLPSFREAAERRGLIDEDNTLDECLTENSLFHMPSSLRRLFVTILVFCEPNDVFGLWTKHFDAMSEDYRCNSANPILVEQMVFIDIRNMLQSMGKEIRLFPLLGIDNAYDDATSIPHEIFEEASIDQHQEDVGLSDSLNEEQRAAYKEIMSKVDTEQGGLFFVDGPGGTGKTFLYRALLRTLRNQNKLAIATATSGVAASIIPGGRTAHSRFKIPLTLEDGGCCSFTKQSGTAKLLQQASLIICDEVSMAKRQAMEALDNSLRDIMGRQDLLFGGKTIIFGGDFKQVLPVVRKGSRAQIVDASLRRSYLWESMHHLKLVRNMRAQSDPWFAEYLLRIGGGTEEVNGDGDVYLPDDISIPYSGDSEKDLDRLIECIFPNLNANMTNKDYITSRAILSTRNDWVDNINTKMIGMFQGGEMVDHSFDSAIDDPHNYYPSEFLNTLTPNGLPPHLLKLKIGCPVILLRNIDPANGLCNGTRLVVRGFQRNSIDAEIVLGQHAGKRGFLPQIPLCPSDDEMFPFQFKRKATARTNIKILALPPNAEADEEQSKKKEKKKVYKKVNGQGNQNNNEQKGTSVKKKRVPTVDGTYTKNIVYKEVLTP >KQK85760 pep supercontig:Setaria_italica_v2.0:KQ475384:87471:91982:-1 gene:SETIT_020808mg transcript:KQK85760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNDKARKYLTCMRKKQPASFSQKFPKADPLALQLLRRLLAFDPKDRPSAEEALADPYFNGLAKVEREPSCQPIPKVEFEFERHRVRKEDIKELIFQEVLEYHPQLLKEYTSGTERPNFLHLSATDQFREQVTQLEENGNKSEVAPVQRKHASLPRSTVVHSASIPSKDHRHEASSSTKRVVDGSWNEQIHGVHASIAGKHSTTVRPVMSCDSKISQDMNDPRSLAPSNPWQPNIIHFPNHAVNFQNLPSMGSLLDATSPAQNMPVATPSTESRPGQLYLYMH >KQK85759 pep supercontig:Setaria_italica_v2.0:KQ475384:87471:90900:-1 gene:SETIT_020808mg transcript:KQK85759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKQPASFSQKFPKADPLALQLLRRLLAFDPKDRPSAEEALADPYFNGLAKVEREPSCQPIPKVEFEFERHRVRKEDIKELIFQEVLEYHPQLLKEYTSGTERPNFLHLSATDQFREQVTQLEENGNKSEVAPVQRKHASLPRSTVVHSASIPSKDHRHEASSSTKRVVDGSWNEQIHGVHASIAGKHSTTVRPVMSCDSKISQDMNDPRSLAPSNPWQPNIIHFPNHAVNFQNLPSMGSLLDATSPAQNMPVATPSTESRPGQLYLYMH >KQK85767 pep supercontig:Setaria_italica_v2.0:KQ475384:189513:189947:1 gene:SETIT_020822mg transcript:KQK85767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYGDFFLHVSSYISFFTGRGNTIELWTYQMWRQKC >KQK85763 pep supercontig:Setaria_italica_v2.0:KQ475384:144620:144960:1 gene:SETIT_020816mg transcript:KQK85763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTSISFRTELITVPVIDNHYLGAYFHKECYILIIHSHVLFKTIHRNGATLVYLCTV >KQK85761 pep supercontig:Setaria_italica_v2.0:KQ475384:94161:95368:-1 gene:SETIT_020810mg transcript:KQK85761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFSEYGDVNRYEILEVIGKGSYGLVCSANDKHTGEKVAIKKIHNIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSKKDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQMLRALKYIHTANVYHRDLKPKNVLANANCKLKICDFGLARVAFSDAPTTVFWTVRIYLMLFYLKVVSCIVHCLYMHLNVFLVIPIYSSATRQHWNFVLPYSMLLASVHVKLWTSLLLQFLRQRKKKNEIQMKNGKVYLPPEV >KQK85764 pep supercontig:Setaria_italica_v2.0:KQ475384:145767:146973:1 gene:SETIT_020820mg transcript:KQK85764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDEKRMERNKKHRERYMINKVVDKDNSHLAMQLEGGGISMVKDKNDDWLHRGHLDDYDEWLYERDLDGNDDLIHVSAGTKDAQGDCAEEEGGQAAPAA >KQK85771 pep supercontig:Setaria_italica_v2.0:KQ475384:240023:240445:1 gene:SETIT_020813mg transcript:KQK85771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTNGISSSLCLPLGHTSNILPHPNHDLFDCLFVFLLSLFLSKKSLFFITFLNFLMNRAISSLFMLSLLSLSSLVVTLNDELAFFSLFLWLALRATPLLFSNCS >KQK85766 pep supercontig:Setaria_italica_v2.0:KQ475384:168341:169606:-1 gene:SETIT_020817mg transcript:KQK85766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WVEFHEVELEHIFWRWDVSAMVFWKGNIREYGGQEYLRAILVDEQGTKMEAVACGNHHMMFNNVLIEGETYDFLGVYFTPTYVDPIPNMYRLCEYYVVVLLPDTVVKTPQRPIWISECPRAFRKFEDVYRQPVDTFADVIGVVVYASEIQDRGDFRRRPNKHVVIMNQRKNFIIIHVNDPHLQRHIWEWRRAAYQFKTLAALHVKISTMQGGVTTTDYSQIIFSPTCSDAYDLKDLCKQIRAERKQITKPARALTLDIINK >KQK85770 pep supercontig:Setaria_italica_v2.0:KQ475384:194772:196336:-1 gene:SETIT_020819mg transcript:KQK85770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLEAKHSTNKPQSPPPSHSASAPSSLPYHHHHYGTFPPPLQPPLTLGAAYHASPVGFGGQGVVAFPSAVQQQVFVEGVPVREPPLPFCGVGIGWFLFLLGFFLAAIPWYAGAFLLFFVALDHREKPGLIACTIALYAQLKYLT >KQK85762 pep supercontig:Setaria_italica_v2.0:KQ475384:136595:136840:-1 gene:SETIT_020818mg transcript:KQK85762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQQPNPSLHLPHPKIHLLSPLPNHEHRRKKKFNLRSSLPHSRMILLETLEIPRIILGTTSLRHRCVRTRTWIKFSTMQP >KQK85757 pep supercontig:Setaria_italica_v2.0:KQ475384:8616:11491:-1 gene:SETIT_020812mg transcript:KQK85757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQLLFTVLAAEAAVAATLLFKTPLRKLAVLALDRLKRGRGPVMVRTVAATVLVVLASSLHSMAQIRGRAEGELDGAGVVGLTPTDQVLLARHLLEASLMGYSLFLALVIDRLHNYVKEIRRLKKNLEAVSKQNKTMLEEATHGRSEESERDLKDISDAKKDV >KQK85769 pep supercontig:Setaria_italica_v2.0:KQ475384:192480:192925:1 gene:SETIT_020815mg transcript:KQK85769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGRHGCTTRRSMRTCNIGSPALCVVLAEVIGRHDLATAFLMDVLLITEVDNATRSTINS >KQK85758 pep supercontig:Setaria_italica_v2.0:KQ475384:62207:66034:-1 gene:SETIT_020811mg transcript:KQK85758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCYTAATVVFSSRAGAPDLSLSLPAAAAAAVPSARPGPRGAWTYGGGYSHRPATGRAMGSAPSSSSFPSPQTPPGQAQEKANTSLTEEEWKKRLTKEQYYVTRQKGTERAFTGEYWNTKTPGIYHCVCCDTPLFESSTKFDSGTGWPSYYKPIGDNVKSKLDMSIIFMPRTEVLCAACDAHLGHVFDDGPPPTGKRYCINSASLKLKPQ >KQK85768 pep supercontig:Setaria_italica_v2.0:KQ475384:191327:192243:1 gene:SETIT_020814mg transcript:KQK85768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGYKLVDVQELKKVVVPQASLIVVCRHSASVEVDAVVSCLLGEVTILRAEGIESKMMTDI >KQK85631 pep supercontig:Setaria_italica_v2.0:KQ475399:14846:17007:-1 gene:SETIT_040850mg transcript:KQK85631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRIFKIARKSVVIVSDKNDGDAYCTGCVMVKDKNVTVIASAGFVNGRESCLKVVFYDKTELDARVVAVQGSFCLVRTTFHSGCLPLRLLKDEDAVVVPQSTFMFIPQSQKIITRISTYATVETLESYLNIETDLAADSTNYFLVSCDYFGKNHDGTNRLTASPVFTMGGKTAGIVLQDCRLNDNDSGAEVKVTLKARHLHRHLHELMALVDPAARPKRPGKKRKRS >KQK85630 pep supercontig:Setaria_italica_v2.0:KQ475399:13580:14269:-1 gene:SETIT_040851mg transcript:KQK85630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILYFSLLILGDYHRGMLSACYDSILGPQATVQRGSNGGSEP >KQK85621 pep supercontig:Setaria_italica_v2.0:KQ475401:13268:13683:1 gene:SETIT_040859mg transcript:KQK85621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISELYSSACSSQITPLQTGVCKIRFLHSQTSLFVTRKLDATLNKLVSSYVHWRQWRDRFPFPCSSMSLLNLRNAYIYIYMRARTMLKIVYLCYSLENLHHGLLPTLVL >KQK85622 pep supercontig:Setaria_italica_v2.0:KQ475401:18023:21240:1 gene:SETIT_040858mg transcript:KQK85622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWLGCSLPFQPRPNNAAAQNPQAALRVDTAALTCETGQGRDAEASSATPGSSPARAATTGRSVPVVVLVVSRTRPHAPCLRNAQLGLVLLCLHGLHGAGACIYRRPHPAPRVPATDQQLLKPQSNATPTGYGGELSTSGSPSPIRSLGTIGSPAPATPSLQEGPSREMSLSLLNTERNHLLSPKPRSPRDGCFSPVRTSSPRATAKMARGGLERSLSFKNWEAQVAAPPQEPESAAPAGRGGSGINGARPGTLALQPAPQQQSPRQAASPAQAMIEYISPRPRVELDEAATKLQKIYKGHRTRRSLADSAIIAEELWWKTYDSVYLNIKSISFFDGGKQETAVSRWSRAGKRIAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSCEPFFYWLDIGAGRDQHHPKCPRSKLYSQLIMYLGPNERAAYEVIVEGGRLLYKQSGELVNTNEESKWIFVLSTSRSLYVGQKRKGRFQHSSFLSGAATTAAGRLVAKEGVLRAIWPYSGHYHPTEENFREFIAFLEENSVDLANVKRCSVDDDEYPSFKKAPEEAEAPTAEAAAHGETVETDQSVELPEVDIVKEEVAAEMAVGGGEEEDAAEPEMMARRPSFKWSTPTGARIGCLRDYPADLQSMALEQVNLSPRVAPSPGARAGCRCRSRRRAPARGSGCRRGSTTWGSRPRPAPGSQSRARRRGPPGGRPSSSSWGSRRRPWRSRSPSTKASEPAALLIDMAGRPRRVAAVADFAPPFSKALQRSFNWLDEG >KQK85651 pep supercontig:Setaria_italica_v2.0:KQ475392:5252:9789:1 gene:SETIT_020914mg transcript:KQK85651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFDGPPSFSDLVDRVMRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVTVEIVRMPGPNVVMREEVAVVNHNGTQKSEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGVEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGWRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHVVALLWGDWKESYGMVPRVLSAITYYNPGVKWCIDSCGMMLPDNGVLKHILQRVFWCFAQCSEVFQHCRPVILVDSTFLTGKYKGTLMMAVAVDPEQQLVPLAFALAESENNESWSWFMKLVRLHVLGPSRIVCMISDRHHGLLNGAKDHIDAMASRRCSSHVLSCVEWKPYDREQLSHIVFSPTCYRDRELWRCTTPLILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRLKHGQYLLMWQNKQGCDPEGGPYWRPNNEYIRWYCTSMRTKVKPSWTNVPIEDAPSESDADITDAIVTLITHGMKTPAYRTTACKLSNEAA >KQK85652 pep supercontig:Setaria_italica_v2.0:KQ475392:32524:34671:1 gene:SETIT_020911mg transcript:KQK85652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALHFSHMKYSNRFPTTFLSLALVLILFLASPTSACTEQERNSLLQFLGGLSQGGNLTLTWKNGTDCCTWEGITCSPDRTVTDVFLSSRSLQGFISPFLGNLTGLLRLNLSYNLLSGGLPLELVSSNSIIVLDVSFNQLNGNLQELPSSTPARPLKVLNISSNLFSGQIPSTTWEVMKSLVALNVSNNSFTGQVPTTLCVNAPSFTLLELSYNQISGSIPPELGDCSNLKYLSAGHNNLNGTLPDGLLDITSLEHLSFPNNQLQGSLSNISKLKNLVTLNLGGNFFDGNIPDSIGELKRLQEISLDHNQMSGEIPSTLSNCTKIITINLNSNSFSGQLTKVNFSNLPNLKNIELMGNKFSGAIPESIYSCNNLTALRLSFNSFHGQLSEKISNLKFLSFLSLVDISLTNITSAFQILRSCNNLTTLLIGLNFKHEIMPQDDRIDGFENLQVLSMYECSLLGRVPPWLSKLTNLEVLDLHSNKLIGLIPDWMNNLKSLFCLDISNNSLTGEISTALMEMPMLKTDNVAPKIFELPIYAAPALQYRMPSAIPKLLNLAANNFTGVIPAEIGQLKALLSLNLSFNKLSGEIPEAICNITNLQVLDLSSNDITGTIPAALNDLHFLSRFNVSNNDLEGTIPTGGQLSTFTNSSFDGNPMLCGSILNHHCNSAAAGSVSIFYEKLSGSKVIFTISFSVFFGVGVLYDQLVLSWYFGQAK >KQK85653 pep supercontig:Setaria_italica_v2.0:KQ475392:42453:45251:1 gene:SETIT_020913mg transcript:KQK85653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLQFPYKNYSSRLPIPSLGFAALLLISLATLTSSCTEQEKNSLLQFVSGLSQDAGLAKLWQEDTDCCNWEGITCNGNKTVIDVSLASRGLEGHISQSLGNLTGLQQVNLSYNSLSGGLPLGLVSSSSIIVLDVSFNQLNGDLHELPSSIPGRPLQVLNISSNLFTGQFASTTWKGMQNLIALNASNNSFTGHIPSHFCNISPSFSVLELCYNKLSGSIPPGLGNCSKLRVLKAGHNYLSGTLPEELFKATLLEHLSFSSNGLQGILDSTHIVKLGKMAILDLGENKFSGMIPDSIGQLTRLQELHLDYNSMSGELPSTLSNCTNLITLDLKSNNFSGELNKVGFSNFPTLKTLDLMRNNFSGTIPESIYSCRNLIALRLSSNKFHGQLSKGLGNLKSLSFLSLANNSFSNITNALQILRSSKNLTTLLLGMNFMNETMPHNARIDGFENLRVLAIDDCLLSGQIPFWISELANLEILFLQGNQLSGPIPAWINTLEYLFYLDISNNSLTGEIPTTLMAMPMLTSEKTAAHLDPRVFDLPVYEGPSLQRRIPIAYPKALDLSGNKFTGVIPPEIGQLKALISLDISFNNLTGPIPPSICNLTNLQVLDLSNNNLTGEIPAVLENLYFLAVFNVSNNNLEGPIPTGRQFNTFQNSSFNGNPELCGSMLLRRCSSAHAPPVSTKKGKRKAIFAIAFGVFFAGIAILLLLGRLLVLIRVKSLTSKNRREDNGDVETTSFDSSSEHELIMMSQGKGDKNKLKFSDIVKATNSFDKEHIIGCGGYGLVYKAELPDGYKLAIKKLNGEMCLMEREFTAEVEALSMAQHENLVPLWGYCIQGNSRFLIYSFMENGSLDDWLHNMDDDASTMLDWPTRLRIAQGSSSGLSYIHNVCKPHIIHRDIKSSNILLDKEFKAYVADFGLSRLILPNKTHVTTEL >KQK85650 pep supercontig:Setaria_italica_v2.0:KQ475392:727:2754:1 gene:SETIT_020912mg transcript:KQK85650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLQFPYKNYSSRLPIPSLGFAALLLISLATLTSSCTEQEKNSLLQFVSGLSQDAGLAKLWQEDTDCCNWEGITCNGNKTVIDVSLASRGLEGHISQSLGNLTGLQQVNLSYNSLSGGLPLGLVSSSSIIVLDVSFNQLNGDLHELPSSIPGRPLQVLNISSNLFTGQFASTTWKGMQNLIALNASNNSFTGHIPSHFCNISPSFSVLELSYNKLSGSIPPGLGNCSKLRVLKAGHNYLSGTLPEELFKATLLEHLSFSSNGLQGILDSTHIVRLGKMAILDLGENKFSGRIPDSIDLMLNNFSGTIPESIYSCRNLIALRLSSNKFHGQLSKGLGNLKSLSFLSLANNSFSNITNALQILRSSKNLTTLHLGINFMNETMPDNARIDGFENLRVLAITDCLLSGEIPFWISELANLEMLFLQGNRLTGPIPAWINTMEYLFYLDISNNSLTGEIPTTLMAMPMLTSEKTAAHLDPRVFDLPVYQGTSLQYRIPIAFPKGLYLNGNKFTGVIPPEIGQLKALISLDISFNTLTGPIPPSICNITNLEVLDLSNNNLTGEIPAVLENLYFLAVFNVSNNNLEGPIPTGRQFNTFPNSSFAGNPRMCGPGLNHHCTSVE >KQK85645 pep supercontig:Setaria_italica_v2.0:KQ475394:22398:22817:-1 gene:SETIT_020921mg transcript:KQK85645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIETHRSGAEVVSGDAICRKKSVDLLEELGLPKGLLPMEDIQDFGYNRTTGFMWLVQRKKKLSVVEVYVPEASPEKVTFKTGTGLSDSFDATAFALGE >KQK85646 pep supercontig:Setaria_italica_v2.0:KQ475394:26346:26774:-1 gene:SETIT_020920mg transcript:KQK85646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIESHRSSAEVVSGDAICRKKSVELLEELGLPKGLLPMEDIQEFGYNSTTGFMWLVQGKKKVEHTFKKIKQTVSYAAEVTAYAEKGKLRKITGVKTKELMLWLSVVEVYVPEASPDKVTFKTGTGLSDSFDAAAFALGE >KQK85647 pep supercontig:Setaria_italica_v2.0:KQ475394:28447:28875:-1 gene:SETIT_020917mg transcript:KQK85647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIETHRSGAEVVSGDAICRKKSVDLLEELGLPKGLLPMEDIQDFGYNRTTGFMWLVQRKKKVEHTFKKIKQTVSYAAEVTAFAEKGKLRKITGVKTKELMLWLSVVEVCVPEASPEKVTFKTGTGLSDSFDATAFALGQ >KQK85648 pep supercontig:Setaria_italica_v2.0:KQ475394:37178:38587:1 gene:SETIT_020918mg transcript:KQK85648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLFDVVALGPKYLWSLIFRILPKLLGLLVSLMKKFSKDKHFHPPLMELETAIGTLQELPQELLMDIFATLEIPDLIRAGSVCSSWHSAYTCLRNLGTYKKSQTPCLLYTSRSAGENVACLYSLVENRTYKLTLPEPPIRRRLLIGSSNGWLITADERSELHLVNPITGEQVALPSVITIEQVKPITDGSGIIRKYKLSYYCREMDEGRPKIVALDKLREKLYFKAFVFPDHCTRSFFVVLIHHPRFQLSFARLGDDKWTWLPQNTQYRDCMYKAGLLYALTALGEIDAFDLTASTVTMKVIMNKIDRYPYAWKSWYIIWAPWGDLLQVWRSFGVPQYKDADGDVPEDGPAMHWPFFRTTKVTIYEVDLKASALVETKRLSNHILFLGHNNSLCLSADEHPQLKENHAYYTDDRSEPPVALKNVHRDIGVIDLENSSRKKIVSHIWSNWPCPTWITPNLTKMNLAFSK >KQK85649 pep supercontig:Setaria_italica_v2.0:KQ475394:38888:39316:-1 gene:SETIT_020919mg transcript:KQK85649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLIETHRSDAEVVSGDAICRKKFVDLLEELGLPKGLLPMEDIQEFGYNRTTGFMWLVQRKKKVEHTFKKIKQTVSYAAEVTAFAKKGKLRKITRVKTKELMLWLSVVEVYVPEASPEKVTFKTGTGLSDSFNATAFALGE >KQK85643 pep supercontig:Setaria_italica_v2.0:KQ475395:3421:6615:1 gene:SETIT_020924mg transcript:KQK85643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVAGGGGRRSRRGSRGFGRSGPSAPVEGWTSATGSGMPTPAQDRDFDGGEQGRRGGRGSERPTSVEPSSGGAAAGRASAAGRQSQRGGTMVWRPRRPQSPAQSGSAEGDADAAVSATSPAVPAALDNEDILGEILLRLPPGPSSVPRAGAVCKRWRRLVADPGFLHRFREHHRKAPLLGVLSHNRGKIGFTPVLDPPERIPAAGRFSLRLPRGSRVYGCRHGRVLVVTGKPFSFLVWDPVTGDQCPVPLPSASGGNKYMIDGTVICASGDQGHVHGACHSSPFQVIFLGRCGDEIIVWVYSSETGTWGDAISIMWLSPFDPDDFACCNTLVGNSIYWLFNESSMTILEFDLDTQRLATLEVPPEVIDLDTSVRDECQFLLMPTEDGELGFLILEGFNARIWKRKAKSDGDTGWVLRNTIKLHLPLKRWAHGYPSEIIGFAEDCNVVFIATGGGVVFMVHLESAQFKKLPQKLGYRTCYPFTSFCAAGKKLQIVMSGTIFKLSMVFVSIYVAGCL >KQK85636 pep supercontig:Setaria_italica_v2.0:KQ475397:17578:20546:-1 gene:SETIT_020926mg transcript:KQK85636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDRKVKCSLKAVAQAVGFLGDEATRILKDDLKFHNMMGLDLDSLKRRDIVEIIYNRTEVVDGTIVTHISNEQRIVVTVKRIEEILGLPCGIEGEEVILPKSAHKQVLDLFLQYPDTLNKDVPSKPNFKNSLIGAKNLINLMKKVPDSKDKVRCFLMVLLNRVLIPASGFHLNERQAALAWDLECVAKTDWCKVVFDDLSDCIVNKHRDTNFSGCSLVLLELNEENVNNAKQIFVPVNAERVHWILVVMDFDKHKVQILDSFASETYLVPAYKVASQLELYLMTKFNLHTGYPKERDLKLEKQKNINDCGFHVLLYIQRYGRSDMYKIDTEQILCYRKQLAYELKRESNDHPSTSTLNLDDSPLHVYSARPPPGRSTTSPSVSGSLSATESDPLGAKKQSAEEEGTSSNRLVPNEKEEGAAAHTKRKRRRTDKAVGTPVVSQRPDTGAAAQELCNLLLKADPNSESNLISFPSLKMTIKEGVTFLRDGSATIEMLRETIKIIGCFEAKNPNRYKIIVGPMDMVSFLLCSIMILLCFALM >KQK85635 pep supercontig:Setaria_italica_v2.0:KQ475397:16892:20546:-1 gene:SETIT_020926mg transcript:KQK85635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDRKVKCSLKAVAQAVGFLGDEATRILKDDLKFHNMMGLDLDSLKRRDIVEIIYNRTEVVDGTIVTHISNEQRIVVTVKRIEEILGLPCGIEGEEVILPKSAHKQVLDLFLQYPDTLNKDVPSKPNFKNSLIGAKNLINLMKKVPDSKDKVRCFLMVLLNRVLIPASGFHLNERQAALAWDLECVAKTDWCKVVFDDLSDCIVNKHRDTNFSGCSLVLLELNEENVNNAKQIFVPVNAERVHWILVVMDFDKHKVQILDSFASETYLVPAYKVASQLELYLMTKFNLHTGYPKERDLKLEKQKNINDCGFHVLLYIQRYGRSDMYKIDTEQILCYRKQLAYELKRESNDHPSTSTLNLDDSPLHVYSARPPPGRSTTSPSVSGSLSATESDPLGAKKQSAEEEGTSSNRLVPNEKEEGAAAHTKRKRRRTDKAVGTPVVSQRPDTGAAAQELCNLLLKADPNSESNLISFPSLKMTIKEGVTFLRDGSATIEMLRETIKIIGCFEAKNPNRYKIIVGPMDMSTLDDTGSEAFKDAVKTQMVVPSIPQYCKMVFLPVKKGSNWLVYCINGVCKRIDYLICSSNEEPMAATELCKPLLKEVDFHGRKIFGFMDWSSAVVPLEKKISSSDTGLLAMYFMEKPGQKATGNLFSICSSVSM >KQK85641 pep supercontig:Setaria_italica_v2.0:KQ475397:26423:26554:-1 gene:SETIT_020930mg transcript:KQK85641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIERRRSARLRLAAAAVCFLRRICSWTVDLLLPLGG >KQK85637 pep supercontig:Setaria_italica_v2.0:KQ475397:21168:21650:1 gene:SETIT_020928mg transcript:KQK85637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTSILKGTSLLCLMAFACIMWALYFSIIYIQIKRKCFKKLLCIPIVFYNWRTNMVRKLVSSVLNSCTFSVSAIILEG >KQK85639 pep supercontig:Setaria_italica_v2.0:KQ475397:23329:23858:1 gene:SETIT_020932mg transcript:KQK85639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKARGGEGRGQTQAAMAHPARGHGLPCERMERTAREVATGKEKKTTTPVLFCPPVYRQRSTQAHEWSSYGGCSLCNR >KQK85640 pep supercontig:Setaria_italica_v2.0:KQ475397:24322:24588:-1 gene:SETIT_020929mg transcript:KQK85640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMYLRHPDAASKLLSSGFSLEDCTSRAQRLFKDDNHMLYSALTLLRQNIEIRQSFMTLEESYARGYIEYELKDSGML >KQK85642 pep supercontig:Setaria_italica_v2.0:KQ475397:27436:27911:1 gene:SETIT_020933mg transcript:KQK85642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMWFFLIDHPVLTSIPSRPFMHMYMMTIRSKSILSCVVPFQLTLMAIVYIYIIHSHLLQKLKPWSFSVWKNN >KQK85638 pep supercontig:Setaria_italica_v2.0:KQ475397:23037:23689:-1 gene:SETIT_020931mg transcript:KQK85638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKARRGLLGGEAGRSPGLEAAARRRAQRHRAGSQAAAWAASGGEAGAPRRRGRAVAGVG >KQK85595 pep supercontig:Setaria_italica_v2.0:KQ475411:9096:13020:-1 gene:SETIT_040877mg transcript:KQK85595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKVGCVGNYELGRTIGKGTFAKFKLARNIQTGEFVAIKILDKEKVLKHKMVEQIKRGILAMKLIEHPNIVRIYEVMGSKTKIYIVLEYVTHGELLDTIVNSDRMRECESRRYFQQLINAVDYCHSRDVYHLNLKPEKLLLDSRGNLKVSEFGLGALSQQIKTDGVLHTTYGTPNYVAPEVLEGGGYDGGTADVWSCGVILFILLARYLPFEDSNLTRLYKKISNAEFTFPLWTSFPAKMLLTRILDTNPITRITIPEILQDEWFKEGYRRQEFDNKYDITTLNDVNAVFQDSKEHLVTEKKDEPVSLDAFDLISSSKGFNLENLLDSEQGFKREERFTSTCPPREIIHRIEEAATTLGFRVQKKNYKLRLEKIEAGKKGNLNVAAEILQIAPSFHVIEVRKGEGDTLEFHKFCKDLSKTLKDIVWKFDDL >KQK85591 pep supercontig:Setaria_italica_v2.0:KQ475414:12527:13465:1 gene:SETIT_020661mg transcript:KQK85591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFARQEAQRPRSKGGGPIHTKEKCSASTATHPQALILSRVSTNEANTMELNLSQLISCPDRIHTVLAS >KQK85592 pep supercontig:Setaria_italica_v2.0:KQ475414:14432:15997:-1 gene:SETIT_020659mg transcript:KQK85592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALLAGRSGAHHHSWGEGRAPLAPIPPNPSPNHPPHPPRGDGPKARAAAAASPAAGYVTFRPGSLGHREARALRDRLAGELGQVRALLSRIDTWQVRQQGHPPRRELLPAPPAKLWGAMRKRCGQILTKLRKDKRSVWFNAPVEVERLGLHDYHTVIKSPMDLGTVKENLAAGRYASHDAFAGDVRLTFSNALRYNPVGHEVHTFAGALLASFEKMYKAAVDWFEEECKRLEPPKPVPAELPPPPTVEAKVKPRTGNVKMRKPKAREPNKREMSLEEKNLLRLGLESLPEEKMHNVLQIVRKRNSNQEMLGDEIELDIDEMDVETQWELDRFVTNFNKALKKSKRAAMVNGGTADVASAAGAEDDIAPVNGVATLVGNDDAESENPTKTTTLAEQVDEYVDIGDEMPTATYQSMEIEKDAEVASGSGASGSGSSSSSGSDSGSSGDSASGAGNAHSLA >KQK85590 pep supercontig:Setaria_italica_v2.0:KQ475414:6313:12284:1 gene:SETIT_020660mg transcript:KQK85590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVENALVEGKILWLAQTILEGIFSGEHDEWIRHVGLGEGMKQLKSEIERVETVVAAVKGRATGNKPLAGSLARLSELMYDADDLVDELDYFRLQEQVERGTIACANESERTDGHEAEQVEGSRDNPHIQTSKRRTNRCKEWDHFTEIMVNGKTQGAKCKYCGKEVKCGGTTGTNGLNKHIRSKKCLSKRPAAEEPTNTTSSTDGAPNDTTNATRDSFIREKRMRVNEASTHSAASNTRPWNKAGLPNRIRQIVFELQDSRGNVCDFLKDSVACSVQSQSNSSDTRTTTSSCVPREVHGRDVEKDYIIRVITAAESNVITVLPIVGIVGVGKTALAQLVYNDPTVISQFERIWIWVSDMFDKVRLTNEMLDVVALESHNRPPRNKESHEGQMRNYSKLQEILKEHMGHRSKRFFLVLDDVTDCMDSYQWNELLSPLKSSQKKGNVIVITTRNLSVARRLGTVEPIKLVALKNDAFWLLFKACAFGDDNYERHPSLNIIGRQIAAKLKGNPLAAQTAGEVLKKHLTIDHWSTILKSEDQKSMLLNTGIMHALKLSYDKLPYHLQQCFLYCSIFPDNYKFLAEDLVRTWISQGFLRCKNSKKTPEETGRDYLHDLVDLCFFEEVEKEESSPTQGAEESTPPTGTCYVMCGIMYEFARVVSGSHFATICGLERNEILPTIRHVSIHSILNHKEFEEKLQTIVPLVRNLRTLILIGRCESFFFQSFQDIFQKACHLRLLQISATQANFYTSVLNLVNPTHIRYLKFGNNGSAISLSKFYHLQVLDAGHPTIVHGVNDLISMRYLFVTKGARSFIPRVGETSNSQDMQNSTDQNSSRFEITQLRSMNKLVQLGVFHLVNVSRSEADGAKLRDKQQLEKLILSWKDTNDDISISEAEEGSSPTDDSDSSYTNDDISISEVEEGSSQMDDSDEEGRSRMDDSDPNYGPLINTETERERSQTDGSNNSPRSGPFIDMAHDVLEGLEPHQNLKHLQISGYSGSTSPAWLATSVTFLQALHLEDCGEWKILPSLESLKFLTKLKLSNMQKVKKVSIVPLEELVLINMPKLECCSCNSVMDLNSSLRVLKFEQCHVLKVFPLFESWKKLKIERKSWLSCVKELTICECPHLMVPNPLPPSSNVCKLHIARVSTLPTMEGSSSEKLNGWLTDLDDRTWRQIDKNDWLTELDDRTRREINKNDGLTELDDRILSFHNLRALSRLHIAGCQKLSSISLEGFRQLISLKTMDISFCINLFSSDVPPPPEHTHEDMTDINFNALPSLKHLRIEFCGITGMWVSVMLRHAPALEELRLDDCDQISGLLIEVGDSSSSNHTSAPRAPSAGNPDDALTSSTPDGLLRIPSNSVSSLKKMSILWCRELTFQGNKEGFSGFTSLEELKIAGCPKLIPSLVQTYENNDQRNGRWLLPYSLGKLDIEESPETLQPCFLEDHNCLKKLKIRYSPSLKLVQLHSCTALEELTVYDCASLAALEGNFTCLRKLDLFHNPRLKSLQLHSCTTLEELMVQYCASLAALEGNFTCLRKLYVFHNPRLKSLQLHSCTTLEELMVQYCASLAALEGNFTCLRKLDLFHNPRLKSLQLHSCTTLEELMVYDCASLAALEGNFTCLRKLYVFHNLRLKSLQLHSCTTLEELTVEACESLAALEDFRSLRGLRYLKVTECPGLLPYLEHLSSQGYELCAGLERLHTDDYSFLTTSFCKCLTSLRRLELHHPTGKVTGLTEEQERALQHLTSLQELRFEGCSNLAGLPVGLHSLSSLK >KQK85588 pep supercontig:Setaria_italica_v2.0:KQ475414:119:2171:1 gene:SETIT_020657mg transcript:KQK85588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDINFNALPSLKHLRIEFCGITGMWVSVMLRHAPALEELRLYHCDQISGLLIEVGDSSSSNHTSAPRAPSAGNPDDALTSSTPDGLLRIPSNLIPSLKNMTISWCGELTFQGNKDGFSVFTSLEELTIRGCPKLIPSLVQTYENNDQRNGRWLLPYSLGKLEIDGSPETLQPCFLEDHNCLEKLEIKESPSLKLLQLHSCTALEELAVHDCESLPALEGNFTCLKRLELSYNSGLESLQLRSCTTLEELTVESCESLATLEGNFTCLKRLELSYNSWLESLQLRSCTTLEELTVESCESLATLEGNFTCLQKLDLFDNPRLKSLQLRSCTALEELTVRSCESLAALEDFWSLRCLRYLVISKCPGLLPYLEHLSSEGYELCAGLERLDTDYYSFLTTSFCKCLTSLRRLELHDPTGEVTGLTEEQERALPHLTSLQELRFEDFTYLESLPVGLHSLSSLKRLEIIACHWISRLPEKGLPPSLEEIEISGCSEELTDECRMLATKTRKPKVKIGGKYVS >KQK85589 pep supercontig:Setaria_italica_v2.0:KQ475414:4751:5068:1 gene:SETIT_020658mg transcript:KQK85589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLVNMLRLISDAFGDMEKLPAVLILCGGVLAAAALRLAIFYHGEPPFYLYRGTLITLVIIGFMETCAGLWLTGDLTGRQDTGKTILGFSILPLIIVAALGGFV >KQK85604 pep supercontig:Setaria_italica_v2.0:KQ475405:24857:25728:-1 gene:SETIT_040863mg transcript:KQK85604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNLWIKEEEGSPDTPLFSGYVEIDTSFAGFGSVLIGRFQGEVYGVNMIFALLGNSIETVIEVNAEAEQPSDVRISASTSGFDEEISLYDGKFCGSGSMFKHIVAVKKQEELHVVLKMNESTYKWTFKAGIGVVIAPEHPVSGFTQYFVMNVSFRTKGKAASAWQWSCICNDVRVSRMCL >KQK85602 pep supercontig:Setaria_italica_v2.0:KQ475408:12987:13805:1 gene:SETIT_040868mg transcript:KQK85602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAARRLFRPSFPSSVSNTSRLLSSTASPSPHRSPNTNSPVAFDWSDDDADNHTTPLPPSTAKISELPPPYDPFSKKPTLADPSDPTNLQEIFHRMRTEGLTDYAIKMFDGLSKDGLTHEALALFAVIKDKGAMPDVVAHTAVLEAYVNAGPAHWRDAVRTYDRMLASGVKPNAYTLAVLVRGLSASDRCSEAGRYLVEMLDRGMRPNVATYIAAFEAYVRAEKVEEGKVLLETMKGKGFVADEEAVRSGTVKRGHLFRGVMNLLFGN >KQK85599 pep supercontig:Setaria_italica_v2.0:KQ475409:13152:17964:-1 gene:SETIT_040869mg transcript:KQK85599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLVACNPSKPGGYWDEVCKSLFPGSVTALSLNGVTRILDFCYNDLPEDHLKTCAVYLSIFPKGYKISMKRLTRRLIAEGFVSERQGLTEEEVAETYFNQLMRRKLIRPVEHNSNGKVKSFLVHDMVLEYMVSKASEENFITLVGGHWMMPPRSNKVRRLSMQSSGSKHENMTKNLTDLSLVRAVTVFGSLKQLPFHLFNEIIIQVLDLEGWKGLNEKHLKNHICKMLALKYLSLRRTEIAKIPKKIGKLEYLETLDIRETHVEELPKSVEKLKRISSILGGNKNPRKGLRLPQEKIKEPKESTSAHEKSEDDTVISTSTQEKNKEGMKALRVLSGIEIVGESTAVDGLHQMIGLKKLAIYKLHINKDDKIFTQLLSAITYLFSCGLQTLAINDEGSDFINSLDSMSSPPRYLIALELSGMLERPPMWISELHTLSKLTLSLTVLRTDTFKLLQVLPTLFSLTFSLSAAKQNQDKIKDILEKNKSDSDGEIFVPAGFPSLKLLRFFAPLVPKLGFGDNAMPKLEMIQLRFEAFEGLFGIDTLENLREVHLKVNGLAAELKESDEAGTHETVAPEIKERKEAAEITRFLVEHLRNYTTDKRKVIVDYTINA >KQK85598 pep supercontig:Setaria_italica_v2.0:KQ475409:10568:12140:1 gene:SETIT_040870mg transcript:KQK85598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVARQVMPNSLVPCDKKVITKKLREFLYTKRYLIVIDDIWTISSWNSTTCAFPENNLGSRILSNN >KQK85600 pep supercontig:Setaria_italica_v2.0:KQ475409:18648:19083:-1 gene:SETIT_040871mg transcript:KQK85600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEPAGVTADMKKLEEWVDRPDNDQGVLSIVGFGGVGQTTGPVRFSL >KQK85596 pep supercontig:Setaria_italica_v2.0:KQ475410:5307:5960:1 gene:SETIT_040874mg transcript:KQK85596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPPKMRVLAAVVLTAFAAAASTCAAAGANKPLLVENLPASAEAKDFIRAGCNETCIRRPDAARACYELLLPYAASINSSYNRASLAITTVMVSKLTDLAKDLRSFGEAGKLEGCIRMLDETVAGARDQVLPALDRIGAIADDKLKAKDSGFLLVWSWFVGVDNNFVKCWDGGLKRIMDRVPSSIVADHSEYAAAAIIFRPRLKWAPQSPDGENP >KQK85597 pep supercontig:Setaria_italica_v2.0:KQ475410:10556:11638:1 gene:SETIT_040873mg transcript:KQK85597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGIHSVYERIIPVRGFLPTHPALRPPLPLARASSSARPYLSRSPVAAATLPANPCLFGRATPFGSLSSMHVLAAVVLTAFAAAASTCAAAGANKPLLVENLPASAEAKDFIRAGCNETCIRRPDAARACYELLLPYAASINSSYNRASLAITTVMVSKLTDLAKDLRSFGEAGKLEGCIRMLDETVAGARDQVLPALDRISAIADDKLKAKDPGFLLVWSWFVGVDNNFVKCWDGGLKRIMDLVPSSIVADHSEYAAAAIIFRPRLKWAPQSPDGENP >KQK85559 pep supercontig:Setaria_italica_v2.0:KQ475416:7942:8669:-1 gene:SETIT_020662mg transcript:KQK85559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTAILYHRFTVNMHCNLKDHGAATRRCWHMHDRETDNVGKCQINHAVLDSWHRFVIDKGTHSSEISLAAGYTISLSNGFQATHAPWGSGISPTSCSQSHNPWVFVSRRLTHRIKIRLTHGVFMSDIRHHEFRTPASPFPHTCSSQPENMAQNVSTTYRYQHDILKIDTDVQHSKVI >KQK85554 pep supercontig:Setaria_italica_v2.0:KQ475421:14264:14596:-1 gene:SETIT_005652mg transcript:KQK85554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAALFVCLHRAERLTPGSPPGRRRRLHVAVWVLSTLTVCLSAHQISRALPAALAIAVWPYQELDGVDCDAVVGDGKAFKTARPADDLV >KQK85521 pep supercontig:Setaria_italica_v2.0:KQ475433:12727:13719:1 gene:SETIT_020655mg transcript:KQK85521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKLVALGVALALLALLEPAVAGVKFTDEDLKSEESLWRLYERWGARYNVTRHPVDKLRRFAFFKDSARHVHLHATAAGQAPGLNGFADLTNDEFNRYYKCNMLEAGARRTLPVRRRGDGSLPLPISIDWRIKSCGTGPCLSPVKSQGVCGSCWAFTATGAMESHYTIQGAKDNRGLVDLSEQELVDCDTHSIGCKGGEVDYAFDYIIAKQGLASLASYPYTATNGTCQAAGKPRVDLLLRVYETVPANDEFQMLQAVTYGPVGVSIAVGPNNLEFRYYDGGIYSGPCGVANDHAILLVGYESNLYVLKNSYGERWGDDGYMYLKRDHGK >KQK85551 pep supercontig:Setaria_italica_v2.0:KQ475422:1704:3652:-1 gene:SETIT_005654mg transcript:KQK85551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRNQAPRLAGARPPFAVLFFGYLVFNHIYAQLPGFPGPSKERPLAMAVTHRHLALFRVGTMSPWRLQNLFIYSAKSSSLERLPCCTEDLELVLHDGSPSRHPCESGSRLQCVSSMGLLCQGEEEEEFAVAELKLYLDRRKRKIFADIFLFLKSVGKWRSSHVLILHSGNPDDVWHLYIWQTHRVILVDRWLCWIDCMQGILFYDVFAAASATISLFRFSVHKFPATHPNRCSWFHRGVSAFDAASTLKFVDVTRDDGKTGYKALKPGVGFTMTCHTLLLPSSLSSSSMVWNKDWTVTSDELWSTDDCLPRQVPMFPQVNIDRPHLVHLLISDFTYVMRKMWVVTIDMNTRTVESFYQYLNGQEDIGTEQEFLTDQKSVCPSSFLPSEFSKYLSSR >KQK85552 pep supercontig:Setaria_italica_v2.0:KQ475422:13238:14524:-1 gene:SETIT_005653mg transcript:KQK85552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSPDLFTSADFPNWVMLEPFVFRRDDDKSFPDESKAPVRASGTTSWQAQFRFAFDLAEPPRISRLYAQLPGFPGPRKGRPLAMNLFIYSAKSSSLERLPCCTEDLELVLHDGSPSHRPRESGSRLQCVSSMGLLCQGEEEEEFAVAELKLYPDRRKCKIFADIFLFLKSAGKWSSSRVPILHSGNPDDLWHLYIWQAHRVIPVDRWLCWIDCMQASSSTIWFHCGVSAFDAAGTLKFVDVTRDDGITGYKALKPGAGFTMTCHTLLLPSSLSSSSMVWNKDWKVTSDELWSNDNCLPRQVPMFPQDNIDMPHLVHFLISDFTYVMRKMWVVTIDMNTRTVESFYQYLNGQEDIGTEQEFLTDQKSVCPSSFLPSEFSKYLSSR >KQK85546 pep supercontig:Setaria_italica_v2.0:KQ475423:4986:10181:-1 gene:SETIT_005655mg transcript:KQK85546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRGADDLTRFSTDSSIPGFWNELSDEVASKLSRSVVSIALSHGEYVLFASSGIAIECQSNFTKFVTSAALVRALDDERDVHDIEIKVRHEGHVAIGTVEEYDLDHVIAVVKVTPVLDVYCVPLSYAKELMPGSKVVAVGRDISGKLMARSGTLTASDRSEDSGHLMFSTCKLSEVMQGGALFEFDGNFVGMNIFWNMKRPIFMPRDIIFDRLNDLWTSMEKILFPEMVKLARKRRTSVELRSHPEGSMNVDTFEEHFGDKYPTGVWGEFKKEIYSNISDRVVALASFHDKSKFFACTGIFIDSYGCSIILTSASLVRDPDGANEIVSSLRIEVLLPNNERTAGKLEHYSFQYNVALVRVKNYNVDGRGKLPRPEWVRYNQTVVAVGRCFESGLLMAASGKFIPSHKVPDDANYALGYTTCKTTKAVIGGPLVDLDGKFVGINYYDIETGTPYLSFYEIWEILEGMLDDLNTKKATIGGHNVGYDIEPQLMCSGRARPAQPGAWELGQAS >KQK85547 pep supercontig:Setaria_italica_v2.0:KQ475423:7149:7577:1 gene:SETIT_005657mg transcript:KQK85547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCATTNAISTLQGAGMVMVCSMHTQSRSFWMDLLRMRM >KQK85548 pep supercontig:Setaria_italica_v2.0:KQ475423:8131:8535:1 gene:SETIT_005656mg transcript:KQK85548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASIFDTQEYGYSTLQYRATVTCLVQLICLILSYARGNKASSFY >KQK85545 pep supercontig:Setaria_italica_v2.0:KQ475425:13872:14378:1 gene:SETIT_005658mg transcript:KQK85545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKHTNPMTLCMVATSLALLAIMSSNTRSCEAWNGFSGRVGLLSLNGDLPQESPPPPASRAPPRAEPCFLPTNPDYCTDPICVHVCEVHGISNSPAYCRQEAGYDMCCCPNPN >KQK85494 pep supercontig:Setaria_italica_v2.0:KQ475444:6356:7823:1 gene:SETIT_020663mg transcript:KQK85494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITHSLSPLTGNTSLPLAMASYTIDSVQSELKMTLYIKEVYDGPDTNGVTIISRAKMGTTWVFSWLVTDGPGPDANIVGHMQGTSVQVANTPDVYQYSLGLVFGDKRFNGSTLQISGTSQINGEWSIVGGTGELAMAKGTIKRTEIRYIGNTRISELKIHAFYTPMNSTTVSSNNTGCKFQKA >KQK85495 pep supercontig:Setaria_italica_v2.0:KQ475444:9835:10359:1 gene:SETIT_020664mg transcript:KQK85495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHVLCTNGAHWVGAADSAASCVAARHVDSDSQVYHWSEILHQSLRSERFSVELNRSRRNKNFCYEELGELQYLHHVIREAFRRHELERFKDDAAMAAVDLRGADFELVPFGAGRRMCPGMPFGLLAGLLLHFDWEVPGLADPAQLDMAEEFGITARRKNDLLLCPILRVSVPGI >KQK85519 pep supercontig:Setaria_italica_v2.0:KQ475435:1938:2438:-1 gene:SETIT_020656mg transcript:KQK85519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLFVGTLAWAIFMMLTSRLPVFSVTVDGFSGLDDRVPRAFNLTMAVDNLGGTYEVCVGGETVVLYGGVPLATGGVEKLCVPPKGAADLAVVAASGGVGLPEALAELMAAEKRADGAAHVEVRVISEKHNWLLSCTAALERAAARPYPCKKSLMIDESDGVRPARWQ >KQK85487 pep supercontig:Setaria_italica_v2.0:KQ475453:8826:9920:-1 gene:SETIT_020728mg transcript:KQK85487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADPAAPYYTNATCPFIDSRQDCMKYGKPELGSILRWRWQPHGCDLPRFDPAAFLRLVRHKSMAFVGDSVARNHMQSLMCLLSTVEHPQEIEPKDCMHCTRSYHYREHNFTVTVFWTPFLVRWNLTRDGALQFMDPHNVYLDEPDPEWARHAAAYDYVVLNGAKWFTRPVVLHERGRVLGCSDCGGDPSNATRVPPHRAVVVRTVAPPHYENGKWYDGGNCLRTRPVRSGEAASALPETEAAFHAAQVEEFRAAAAEAEPGRFVLLDVSGMMQMRGDGHPGQYGHWPHEKVGFGIDCVHWCLPGPIDAWSELLLHLLTS >KQK85486 pep supercontig:Setaria_italica_v2.0:KQ475453:4000:5483:1 gene:SETIT_020727mg transcript:KQK85486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPHKSNPSSPKRSAAIVTPIVVLLVLALVSVYDMTFSNRYPPIDRVATSSSSSSLPSPINNPSSSASPATTVESCDLTRGQWVPDDEAPYYTNLTCPFIDDHQNCMKFGKPSLEFMRWRWRPDGCDLPRFDAARFLDAMRGKSMAFVGDSLARNHLKSLLCLLSKEAHPVEVGTSPEIDVTGRAVRRDYRFGDHGFTISLFWSPFLVKANQSNATLGQWDLHLDTVDSRWAAHIADFDYIVLSGTNWFLRPSLYREGGRVVARNSAAAVAGNLTELPGPRAVRAAFRTALGAIAAREGFRGKVVLRSVTPAHFENGEWNTGGDCVRMRPFRRGERALGAVEAEYRGAQVDALRETEAAVRRNGGELLLLDITEAMDLRPDGHPSRYGHPPGGSVEGSFMVDCLHWCLPGPIDLWSELLLQLLIAHPS >KQK85427 pep supercontig:Setaria_italica_v2.0:KQ475458:4665:5627:1 gene:SETIT_020730mg transcript:KQK85427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDRGEVDTSRAFRSVKEAVAVFGERILVREAQLRPNGITHGNHRAGREVNLKANVVAVAASDAKLERTDGVRDSVGLQPRESYSKPSVSFNAKQEGSSSNTKTASNELPVPVPRPVSEDVPMYLVPSSPPFFASSPSLANDDDDEQAERKETDLMVMSTIKKLEEEAARTRQEVSQLKWRLAEMELSMATLNAKLHRALSKVAHMEADNAAAARASIERGRSGDVALAVWAERRAPSRPQLGHLLRLGGADREAAVMSGGGGGGRTVAVPSRRKVQKQKPIVPLVVPLINGVLFSKKRRMKDKESVYMKELYSLLRLS >KQK85426 pep supercontig:Setaria_italica_v2.0:KQ475458:3805:4030:-1 gene:SETIT_020731mg transcript:KQK85426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQNRSVNRAFRLDLEKNKWGCGTFIASLNIQFNMVKI >KQK85428 pep supercontig:Setaria_italica_v2.0:KQ475458:6283:6840:1 gene:SETIT_020729mg transcript:KQK85428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPQRRQPDQARPAGSACVWVVAVVLLLAVLAGGGCLVLYVTLPPAEVPHWLPAAGLALVAFPWAFWIATCAYRCCCSGSDAAAPPVASNVERPASSRKAVAPMPSSKSLKGTRSARHAGDGATPASGSPTASSAARRVRFGDTTVLGEEHAAAAAAAGDKDDGSSVHSNESEAPLAYNMQPSS >KQK85488 pep supercontig:Setaria_italica_v2.0:KQ475451:5773:7164:-1 gene:SETIT_020726mg transcript:KQK85488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSTKRFLPCVLLLVAVSLNGCLSAHSLADDGVADNNDDLMLARFQQWKAEYDKSYATAEEELHRFQVYASNVRYIESTNADAEAAGLTYELGETEYTDLTNEEFMALYTVPPQTQLAEEDDDEDMAVITTRAGSVDATGHAAAYTNLSAAPARVDWRTKGAVTPAKNQGSCGSCWAFAAVAAVEGINKIRTGKLLSLSEQELVDCDTLDKGCKGGMHYRALQWITKNGGITTEKDYPYKARQGKCDRSKLKHRAATISGFRRVATRSEASLQNAVAMQPVCVSIEAGGSNFQHYKKGIYNGPCGTKLNHAVTVVGYGQQGRDKYWIVKNSWGGKWGDKGFIKMKKNIARKPEGLCGIAIRPSFPLKKK >KQK85414 pep supercontig:Setaria_italica_v2.0:KQ475459:94:3430:1 gene:SETIT_020732mg transcript:KQK85414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGLLIGKLGAALVKEAASSGASLLCHEASALKGLFGEIHEAKDELESMQAYLKAAERFKDTDETTGLFVDRIRGFAFEIEDVVDEFTYKLEDKHGGFVSKMKKRIKYASTWRRLAHKLNDIKGRLQGAKQQNQDYTMKQTDRNAGGIAFHANQALNFTRDEDLVGITEHKKQLVQWLATIKMDFYAAAWVTVSQSYDVQELLKKIAGEFGITADVANMEKERLAEIIYQYLQGKRCILVLDDVWTADVWSEIRTVFPSNCIGRFVITSRKHEVSLLGTSNSAIHLEPLDKDNSWELFCKSAFWNDGERKCPLHLKVLALKFVEKCEGLPIAIACIGSQLSAKGQTSAEWEKAYDELELQLVKNVMPRVETIIKVSLEDLPCDLKNCFLHCALFPEDYPIMRRAVMRHWICSGFIKKKGNQTLEEVAEEYLTELVNRSLLQVVERNHTGRPSNASHIRSLHVFERYINIDLLRPILTSSYLLSTLDLKGACIKMLPTEVFNLFNLRYLGLRYTAIESLPETIGRLQNLEVLDAFNAQLLYLPNNIVKLQKLRYIYACNVYQGEGDIRPIIGVKVPSGIRHLTSLQALQCVEASPEILREVGDLTELRTFSVCNVRSEHSGNLRDAVNKMSHLVHLEITTLGEEEVLQLEGVCLPPTVSKLVLQGQLEKKSIHKVLSSWSHLSSLTRLQMEFCRIDEESFPSLLVLRGLCHLDLIKAFDGKKLHFTAGCFPRLQRLLIWHAPQLNQVQIEQGAMSNLAQLYFGHCPMLKFLPQDIEHLKNLVELGLKDASDELVERLWRKGGPDECKDDRMDISHIRKVIVIMGTRQWIM >KQK85413 pep supercontig:Setaria_italica_v2.0:KQ475459:94:3430:1 gene:SETIT_020732mg transcript:KQK85413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGLLIGKLGAALVKEAASSGASLLCHEASALKGLFGEIHEAKDELESMQAYLKAAERFKDTDETTGLFVDRIRGFAFEIEDVVDEFTYKLEDKHGGFVSKMKKRIKYASTWRRLAHKLNDIKGRLQGAKQQNQDYTMKQTDRNAGGIAFHANQALNFTRDEDLVGITEHKKQLVQWLATIKMDFYAAAWVTVSQSYDVQELLKKIAGEFGITADVANMEKERLAEIIYQYLQGKRCILVLDDVWTADVWSEIRTVFPSNCIGRFVITSRKHEVSLLGTSNSAIHLEPLDKDNSWELFCKSAFWNDGERKCPLHLKVLALKFVEKCEGLPIAIACIGSQLSAKGQTSAEWEKAYDELELQLVKNVMPRVETIIKVSLEDLPCDLKNCFLHCALFPEDYPIMRRAVMRHWICSGFIKKKGNQTLEEVAEEYLTELVNRSLLQVVERNHTGRPSNASHIRSLHVFERYINIDLLRPILTSSYLLSTLDLKGACIKMLPTEVFNLFNLRYLGLRYTAIESLPETIGRLQNLEVLDAFNAQLLYLPNNIVKLQKLRYIYACNVYQGEGDIRPIIGVKVPSGIRHLTSLQALQCVEASPEILREVGDLTELRTFSVCNVRSEHSGNLRDAVNKMSHLVHLEITTLGEEEVLQLEGVCLPPTVSKLVLQGQLEKKSIHKVLSSWSHLSSLTRLQMEFCRIDEESFPSLLVLRGLCHLDLIKAFDGKKLHFTAGCFPRLQRLLIWHAPQLNQVQIEQGAMSNLAQLYFGHCPMLKFLPQDIEHLKNLVELGLKDASDELVERLWRKGGPDECKDDRMDISHIRKVIVIMGTRQWIM >KQK85390 pep supercontig:Setaria_italica_v2.0:KQ475473:7130:8063:1 gene:SETIT_020896mg transcript:KQK85390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVPESHDCCLCRCSRAATSPCSTSAARAATAVTVYAPVDAERASCLDVTPALLRHGDNELMVAHLAELHDKPRESKELSVLHRGQSATSGSSTSGGPPRAPVRAASGGAHRRFFFDGRPYLSYSWNMGAAGTGAVRFVGVEPQCCCDGHCRTTCARSRFFFTLTTWTMTLSLMDKPMTWMKDGVLHCEELWVLPAYEGLPQVTVESPMVTFDDPDVVCFVVCERDYVKFSDRKVWIVEVDTMSKELRSVVPYTTGGQPENLVPVNLL >KQK85386 pep supercontig:Setaria_italica_v2.0:KQ475476:9100:10393:1 gene:SETIT_020897mg transcript:KQK85386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIAGSASIEPEPVDFHEGTDCKRLEAGAWK >KQK85358 pep supercontig:Setaria_italica_v2.0:KQ475489:159:332:-1 gene:SETIT_020899mg transcript:KQK85358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASMKASAVAIAIQLVYTGMFVVSKAAFDHGINTYVFMFYRQAAGSILLLPLALLRR >KQK85356 pep supercontig:Setaria_italica_v2.0:KQ475491:2333:3793:-1 gene:SETIT_020900mg transcript:KQK85356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNGGGAATNHAANVAGRDHVVIFPFMAKGHTLPLLDFATALSTHHTSLRVTLLTTPANRAFAAGRLPPSVALVELPFPSLPPLPAGIESTDALPCPSLYPAFLRATALLRGPFAEFLASLPEPPLVLVSDFFLGFTHRAAADAGVRRVVFHGMSCFSMAICKALIVNPAAAAASGEPAGAPFHVPGMPEHVAITADEVPDTVVKFGNPEDPVVRFLIDDVGFSDVLSWGVLVNSIAALDDDYVAPLESFYQSGARAWLVGPLFLAAGDTSELEEEEQDPDGCLAWLDERAAQPGSVAYVSFGTQAHISDAQLDEVAHGLVQSGHRFLWVVRSDTWSPPADVGPNGRIVRGWVPQRSVLAHRAVGGFVSHCGWNSVMESLAAGKPILAWPMIAEQHLNARHVADIVGAGVRIHTKAGGMAGVDVVVGRVEVEEKVRTLMDADGEAGKKMRARAAWARRAAKSAVGEGGASRVALGKLVDELQRTY >KQK85278 pep supercontig:Setaria_italica_v2.0:KQ475516:367:1295:1 gene:SETIT_020905mg transcript:KQK85278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDLPKKLVQSGLRPELMPQHVAFVMDGNRRWALARGLTTLEGYEAGTDTLKKIVELSTAWGIRVITVFAFSQDNFRRPQILRLHGSCLQEDVNYTLESIERGIRDTMDVYARKGFRVHVAGDRSRMPTSLQDVAREAEEMTRNNSQYHCIIAVCYSGRWDIVQACRELATKVQDNMLRPEDIDEEMLAGHLSTNALGEFGCPDLVIRTSGELRLSNFLLWQSAYAELYFSNTLWPDFGEDDYLQALKDFQSRERRFGQRKSSQQE >KQK85258 pep supercontig:Setaria_italica_v2.0:KQ475532:1939:2532:-1 gene:SETIT_020909mg transcript:KQK85258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSEARIGGDQLLHPSTFHNTPPQSPSSSGGAVPPHLSSPPPPSPPAQPTECLTPLIGMMPCMNYLTNLTVLAPPAECCDGLKSIIRDAPICLCHGMTGDMNDLMPVPIDPVRMIILPLACGAMLPLQTLFSCNTQQVPPIMPPMAVPAPANPPGSPIR >KQK85256 pep supercontig:Setaria_italica_v2.0:KQ475533:3689:5408:1 gene:SETIT_020910mg transcript:KQK85256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASASLAIALLFASFLAGTVFGDDAIPLPIPLLERFHAWQAEYNRTYATPEEFQYRFKIYCENVKFIETMNRPGNSYELGENQFTDLTQEEFEDTYLMKLDEVASAPEAMGQLGTMNVMGNADEAPNSVDWRTKGAVTPVKNQQHCGSCWAFAAVASIEGVHKINTGRLVSLSEQEIVDCDRGGNDHGCHGGHPSSAMAWVARNGGLTTESDYPYVGRQGQCRRDKLGHHAATIRGGWAVQRNNEAMLERAVARQPVTVLINASRAFKSYKRGVFSGPCNTSLNHAVTVVGYGADTGGRKYWIVKNSWGQTWGDT >KQK85264 pep supercontig:Setaria_italica_v2.0:KQ475525:1518:3587:1 gene:SETIT_020907mg transcript:KQK85264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEEISSPIAAHILDFYDGGLGMTSLLRRLPPPVHSLPLMMIYGDDAPAAGATAYSPLPSFDTTLTALLEEEQHHGLDTELLPQIDGLSEVAYYPTATDEAGIGQFNQMGLPETLAEQVPPMQMSSSASVLMPPTSDYDECYTAALAAGGFMGLDGAMYQQTGAILPGCNAEASQQGFFNSASSNSTVMIGEYQKMMEDSMQGIFNTNAEMQVGGNNQHLINGCNGNPTTLPPTELSGLEDSTFKVVRLSPEERKEKIHWYIKKRNERNFSKKIKYACRKTLADSRPRVRGMFAKNDELCEAAQSGSQSHEHYEQTDHMKEEDMRDTSDILAQLSGLNSYNYKYKCTIESWI >KQK85263 pep supercontig:Setaria_italica_v2.0:KQ475527:2454:4531:-1 gene:SETIT_020908mg transcript:KQK85263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYRFVYKDVEGTSTQWGDSQRRLGNLPPKPEPFKSPAFAPKVEADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSLVPITGSDFVREEEQGEPDPKLKIIERPVEDGDEEKTCGGGGGEEEKNGGRGDTVDEEDSEDDSEDDSEEDSEEDSEDDSEDDSEEDMRGWDEEDGNPYLPVKWPWEYPLHICPEGQKYTLEEAKEIVESTWERNGDLLSEWVTKNCVSGDDCYHVQYWIGDTDETALDHPYFIPCEMMQVFSLGLSSPLARPINIYGHFSVRDAWEPLRNYLFNRSRNDPAMISQLCHEDHTSTASTRLLLYCCLEVLQL >KQK85238 pep supercontig:Setaria_italica_v2.0:KQ475546:3534:4141:-1 gene:SETIT_020915mg transcript:KQK85238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKTKMVAIGIMMAILFVGIASATPAQEVCVDTTKEVPRDDTCICSKNCACAGMCILQGEGDVKTCFVDCVLKNDCQCPPKDQGAEKKTDG >KQK85236 pep supercontig:Setaria_italica_v2.0:KQ475547:1167:2248:-1 gene:SETIT_020916mg transcript:KQK85236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATSRILALGLALLFAAGLAAAQNVASVVTDAFFNSIKSQDSGCEGKNFYTRSAFLNAANAYPGFAHGGSETQGKREIAAFFANVAHETGRLCYISEINKSNSYCDPNNRQWPCVSGKKYYGRGPLQISWNYNYGPAGKSIGFDGLGNPDKVAQDPTISFKTALWFWMNNVHGVMPQGFGATIRAINGALECNGKNPGAVSSRVNYYKQYCRQLGVDPGSNLTC >KQK85149 pep supercontig:Setaria_italica_v2.0:KQ475578:1913:2680:1 gene:SETIT_040849mg transcript:KQK85149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVAVLPMVADRHGANAISDTVIPSARSVEETDQINGDVVATMITVTVDDNDNELLNNVESTKDDDDRGTMECSTPISRSHSSARKKRGAFSLFRAMFQSSFGRSDSMKKTDCATSPKKKAVAAGADDDGEPVGGDSPSWKTLVDGVRPLRLRGQELEYYPPPPPLGHPDVYHDVLLPPPSPARSGFGFEEVGMTSRYASAVDLHHMDIGGEEEEDAPAAEAGDPNSIDMQAEEFIAKFYEQFTSESFDGRASE >KQK85138 pep supercontig:Setaria_italica_v2.0:KQ475585:2529:4040:1 gene:SETIT_040852mg transcript:KQK85138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSSAVIGEFVRRTVSSLLSKCERQAATDQEDLKRLRSLLLRSHTILEEAERRHVASRAMLQQVMALRDKAFRGYYVLDAVGCRSLPGGGERRNDDGGDDDEEEEVSCDALALSRSNTVKRVRFSSNSSSATGAVLSGASPGELHQMVCSLEAMINDMKEFVVFLMGYPILHRQPYSAHFFVERCMFGRHMERDMIMEFLLQTDPPSNSGDLGVLPITGPSLIGKSTIVEHVCIEERVRNHFSLILSYCRNELKDETMPSFRDNCVIKHQNDSASEERLLIIIELLEDVDDETWKRLYSSTRSIPRGSKMIITSRSKKIERFGTTEALRLKCLPTEAYWYYFKMLVFGSEDPRQLPKLTSLAMEIAYNMPGSFIYPHVGSAILLKGNFSTKRWSLILTWLREYLQKNVSLLGEEHPDDLKAKDELHCTWTLSKHKPSKYFMLRDIYQSGSTLDKVPDITFVDLMLSGCDLPQEKYEVLVWKSRIPPYFNYICTCDIMNTAT >KQK85135 pep supercontig:Setaria_italica_v2.0:KQ475586:349:957:1 gene:SETIT_040853mg transcript:KQK85135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPIVLAASAGLGMLAGLATADRCSSSSGGARGLSCVACGGTGKVACLCARWSDGDDVGCRPCAGTGRTPCRSCRGSGRTGRRHEPVRVVVRAQRPLEAVTKRGNDEFLALKS >KQK85136 pep supercontig:Setaria_italica_v2.0:KQ475586:3197:3722:1 gene:SETIT_040854mg transcript:KQK85136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPIVLAASAGLGMLTGLATPDRCSSSSGGARGPSCVACGGTGKVACLCARWSDGDDVGCRPCAGTGRTPSRRCRGPGRTGRRHEPVRVVVRAQRPLEAVTKARK >KQK85130 pep supercontig:Setaria_italica_v2.0:KQ475590:2679:2933:-1 gene:SETIT_040855mg transcript:KQK85130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSKEERRAKRQIFKAKLKNFTRHACKVTACTMTGAKIGALILDPVVCTLLGFSYGVISVLKDDVTGDVGPTVLDVVLPSGQN >KQK85131 pep supercontig:Setaria_italica_v2.0:KQ475590:3475:3961:-1 gene:SETIT_040856mg transcript:KQK85131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLIRFKKLDLAKTGARHGFATKLSMSGHLYPNI >KQK85129 pep supercontig:Setaria_italica_v2.0:KQ475592:3374:4976:1 gene:SETIT_040857mg transcript:KQK85129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRRGLVGLAAARELRREGLAVTVLEQCGDIGGQWLYDPRTDADDPLGAAVPVNVHSSMYASVRLISTREVMGFSDFQFVPRPGAAGRDARRFPGRREMYCYLKDFCAAFGLADAVRLNTRVVRVAMAAPAPREASGGDVKWLVRSVHVQPDGSEHGVAAEEVFDAVVVANGHCSQPRLPKIQGMGEWSRRQLHSHSYRVPDPYRDETVVIVGCGDSGLDIALDLSGVAKEVHLSAKTVGDATTPAVSNILANHSDMHLHPQVHRLCEDGRVVFADGPSVVADTVIYCTGYLYSFPFLDTGGVVTVDDNRVGPLFEHTFPPALAPSLSFVGIPMRVLVPWFQEAQARWIARVLSGTAALPPEQDMLQAVQEGYRAREMAGFPARYSHDIGNFKTSEILEFVNRYTDLPNMEDWKME >KQK85036 pep supercontig:Setaria_italica_v2.0:KQ475599:1734:2776:-1 gene:SETIT_040860mg transcript:KQK85036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSVHYAHKVFAVLPERCWRVLAMALDGSFKLSLPGSSKLSLSYLV >KQK85035 pep supercontig:Setaria_italica_v2.0:KQ475601:1657:2627:1 gene:SETIT_040861mg transcript:KQK85035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQSDSIEHLGFLKRLDIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEDMTAHVADFGIAKLLLGDDCSMITASMLGTLGYMAPEYGSYGKASRKSDVFSYGIMLLEVFTRKRPTDPMFVADLSITRWVRQAFPAQLASVLDNQLLQGVPSSAGNLKDFLMATFELGLICSSDSPDQRMSMRDVTVALKEIKKHYTESIISAITRSATL >KQK85011 pep supercontig:Setaria_italica_v2.0:KQ475615:1765:1938:-1 gene:SETIT_040862mg transcript:KQK85011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASLKPYGVAIIIQLIYTGMFVVSKAAFDHDMSSYVFVFYRHAAGSVLLLPLAILLN >KQK85005 pep supercontig:Setaria_italica_v2.0:KQ475619:330:3306:1 gene:SETIT_040864mg transcript:KQK85005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEWNHTSGKWNGDAEDKGIQTSEDYRFYAISAEYPEFSNKDKTLVLQFSVKHEQKLDCGGGYVKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHTILTKDGKNHLIKKDVPCETDQLTHVYTLIIRPDATYSILIDNEEKQTGSVYEHWDILPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKEIPDPDAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKQKKIKNPNYQGKWKAPMIDNPDFKDDPYIYAFDSLKYIGIELWQVKSGTLFDNIIITDDPALAKTFAEETWGKHKEAEKAAFDEAEKKKEEEEAAKGGDDEDDDLEDEEDDEKADEDKADSDAEDGKDSDDEKHDEL >KQK84981 pep supercontig:Setaria_italica_v2.0:KQ475625:384:1314:1 gene:SETIT_040865mg transcript:KQK84981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSHPIQPNKKWDHPIPKNWNGTVPFYIVSQPNAPLDSGSGSGSGLDADSGCDCDCYCDSNSVSDNDEEDAVKEEQEEDMELKEEKVKEKEMEEEDNEVRDFTMSCCPVLHKGLWYCLGKGGRLGVYGPKRRGSWIFLHKPTSFGSEFPHKNGYLVESSQELLAGFDGKGWHTHPYSLGSGAIFTGMVASLSMAKPPKDMANKVYLPKFYGHPPIVPAKLTSSRGRLFFVPEQKEMQQPSSNKSFNTFMGKHGTCGDKNGAWCYDLELDSRVDKKICGCKNMLQYIWVHLGRASP >KQK84980 pep supercontig:Setaria_italica_v2.0:KQ475626:492:776:1 gene:SETIT_040866mg transcript:KQK84980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKTKMVAIGIMMAILFVGIASATPAQEVCVDTTKEVPRDDTCICSKNCACAGMCILQGEGDVKTCFVDCVLKNDCQCPPKDQGAEKKTDG >KQK84962 pep supercontig:Setaria_italica_v2.0:KQ475642:1121:1684:1 gene:SETIT_040867mg transcript:KQK84962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERSYTRRGRSLSLSRLLQIPFPTLRQHAWSPATVLRAGRGPGLSPSSTAMDEEAKAGPKSDTNTNAAAAAEAAAASPLPPSSSEAAEREAKVEGAEEEEGEVVERLVELVGEIAAISDFRNSYRRQFCNLSRRIRLLVPMLEEAKEAPTPLPVASEAALRRLREALYGAGELLRLGSSGSKIFLV >KQK84886 pep supercontig:Setaria_italica_v2.0:KQ475666:81:2138:-1 gene:SETIT_040872mg transcript:KQK84886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAEEEEVIIVGAGQSGIAVAACLSLRGVRSLVLERDDCVGSLWRKRAYDRLHLHLAKQYSALPHAPHAEEAPTYLPRDEFARYLDGYAARFAVRTRLRREVRSAGFDAGKGRWEVEAVDLATGQRELYAARFLVVASGENDERFVPEVPGLEAFPGTVVHAAEYRSGEGLRGKAVLVVGCGNSGMEIAYDLAAAGAVTSISVRSELHLVTKEIWNVAMSLYGYHVPAWIIDKLVLLMCAVVFGGDTARHGLRRPAWGPSP >KQK84885 pep supercontig:Setaria_italica_v2.0:KQ475667:906:1935:-1 gene:SETIT_040875mg transcript:KQK84885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DARVSDPRIPASLIRLHFHDCFVQGCDASLLLDDDLPAIQTEKTVPANNNSARGFPVVDDIKRALERACPGVVSCADILALAAEISVEISGGPRWRVLLGRRDGTTTNVQSARNLPGPFDSLDKLQQKFRNVNLDDTDLVALQGAHTFGKVQCQFTRENCTAGQPVGALEDLDRVTPNVFDNKYYGNLVRGQAQLPSDQVMLSDQAAPATTAPIVHRFASNQKDFFRNFVESMIKMGNISPLTGRDGEIRKNCRRVNSKGY >KQK84883 pep supercontig:Setaria_italica_v2.0:KQ475669:319:771:-1 gene:SETIT_040876mg transcript:KQK84883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQRSRRTTPSPSPPSPAFGLDPATDLRKGGPALLSPVFNLTVGVASRSRAYGACIGPYTAVEVSYSYLRLPLASGSTPGVCVGPLELIGPRAVVVHGRDVAVLGFLVDSLAEEMRSGEAMVEVKLIEGGGGGWWDVETRWVRVEAAADRL >KQK84833 pep supercontig:Setaria_italica_v2.0:KQ475687:233:1446:-1 gene:SETIT_040878mg transcript:KQK84833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDDEKGDFVGTAVREVEEETGIKLNLEDMVDLTALLDPATGGRMLPSPGGCDEEIGLFLYRGRVDEETIRSLQGKETGLRDHGELIKLRVVPYGQLWRSTADAKALCAIALYEMAKREGLLPPPSPPSANL >KQK84791 pep supercontig:Setaria_italica_v2.0:KQ475698:154:867:-1 gene:SETIT_040879mg transcript:KQK84791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPAKIIKFFLHLYVLHGTLTSFNTMSKQFIFALSSFHNKDPSKFSQTSESMIKSSVVSLNTFERVSPQNHIIYPSSRTKIIEHTHRKFALFFFK >KQL04250 pep chromosome:Setaria_italica_v2.0:V:7658837:7661949:-1 gene:SETIT_001539mg transcript:KQL04250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLFSPPFLAARFTPSQAAAPRRRTRARAFSPSADARAPCFRRPYTSVLIVPTGVGAAVGGFAGDALPVARTLAAVSDCVISHPNVLNAAMLYWPMPNTLYVEGYALDRFAEGSWALQPVHQNKVGLVLDSGIEEDLRLRHLQVADAARASLGLPVVEYIVTDAPLEIKTWFDPKCGKSTGSVGNSDSLLRAVDTLVNHADVNAVAVVTRFPDDDPEDSDCYREGKGVDLLAGVEAIISHLIVKEFKIPAAHAPAVLPPPLSPLVCPRSAAEEIGYTFLPCVLAGLSNAPQYVMRRQGTLDSGCMVAGDVDSVILPKDSCGGDGTLAFARAARKHKPLIITVQENETVLDDTPDKFSIEALNVRNYWEAIGVVAAHKAGVNPNALRRQGIDHLKNPRRLYSPHSSGPRPSAHPPVHEKLHIKELAGQI >KQL05200 pep chromosome:Setaria_italica_v2.0:V:17506061:17507436:1 gene:SETIT_004016mg transcript:KQL05200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSILLVSFVLLLNSPVGFCGCYKHIFAFGDSIIDTGNFAFTSGNNPTPIKELPYGMTYFNRPTGRVSDGRVIVDFYAQALGLPLIPPSIPEEGSGQFPTGANFAVLGSTALSWDYYKIKYNFAMPAPSHLDLQLQSFKKVLARIAPGDAAAKSLLAESLVVMGEIGGNDYNFWFFNRNNPRETPSQYMPDVVSRIGAAVQEVINLGAKAVLVPGNFPIGCVPQYLGMFDSNKPTDYDEHGCLVWFNEFSKKHNQLLQQEVARLRLQNAGVKIIFADYFGAAMQFVQNPTRYGIDDPLVSCCGGEGRYHTGKGCDKTAKIWGNPGKFASWDGIHMTEKAYSIIAQGVLNGPYADTPMLKTC >KQL04125 pep chromosome:Setaria_italica_v2.0:V:6677566:6678296:-1 gene:SETIT_004981mg transcript:KQL04125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRRPDTGCGRNLEDLYFSLLTGI >KQL05795 pep chromosome:Setaria_italica_v2.0:V:27905142:27908604:1 gene:SETIT_000996mg transcript:KQL05795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNKAPADGAAAAEKAVNVGGDDTGLARLRELGYKQELKRDLSVLSNFAFSFSIISVVTGITTLYNTGLTFGGPATMTFGWFVAGAFTMTVGLSMAEICSSFPTSGGLYYWSARLSGKRWAPFASWITGWFNIVGQWAVTTSVDYSLAQLIQVIILLGTGGKNGGGYLASKYVVIAFHAAILISHAVLNSLPITVLSFFGQFAAAWNMLGVFVLMIAVPAVATERASAEFVFTHFNTDNGAGIRSNFYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPIGIISAIGISIVVGWGYILGITFAVKDIPYLLSPDNDAGGYAIAEVFYLAFKSRYGNGVGGIICLGIVAVAIYFCGMSSVTSNSRMAYAFSRDGAMPFSSVWHKVNKQEVPINAVWLSVSVALCMALPSLGSLVAFQAMVSIATIGLYISYALPILFRVTLARKHFSPGPFSLGRYGVLVGWVAVLWVATITVLFSLPVTYPVTKDTLNYTPVAVGGLFFLVLSSWVLRARHWFKGPVTNLDG >KQL04366 pep chromosome:Setaria_italica_v2.0:V:8478365:8480755:1 gene:SETIT_003000mg transcript:KQL04366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKREISSTLRNLKFMQRGAAAQKVEEKAKVEVEVQEEVVVAPSGGFGSSAQVARKCIVIMEGNPHPGAVKGRMSFQNFNPSIDKLNAEARGDCETESASPSSHNQDSANSTRGDEVPASRFRGFDIDSSESISLNELKRKQPELEMETPPSHNNPRKISIDGRSSSQSNGRGSHKSNKREKKLDFNHLRQKK >KQL05700 pep chromosome:Setaria_italica_v2.0:V:27096731:27101595:1 gene:SETIT_002561mg transcript:KQL05700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSCILDPAETQQCRGQIKQREPNTSSPSTRAPRPRPPESAKRDPRPTTARRRLPSSFPPVSGAGDGERRSRIPSSFAPVSGAGDGERRSSFPPARKAGDGETRISSPPARLAGDGERRSRIPSSFPPIVCLQCLYYLALGLSMSLLVGTRVPRLTLLYFFDFATLTPRTPTGWCAIASFLLAAVAGAGFMLYVIERAKKCLDFAATLYIIHLFICIVYGGWPASVTWWVVNIAGLAIMALLGEYLCIRRELKEIPISRLRASV >KQL03919 pep chromosome:Setaria_italica_v2.0:V:5338412:5340582:-1 gene:SETIT_001664mg transcript:KQL03919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGVAPSGYKHSSSTNVGADKLQDHMNELKIRDDKEVEATIINGKGTETGHIIVTTTGGKNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHHNKMNQRMPLIYVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAVSKCHCTLHSGNAHGIGPLFQFLHFPC >KQL03918 pep chromosome:Setaria_italica_v2.0:V:5337660:5341939:-1 gene:SETIT_001664mg transcript:KQL03918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGVAPSGYKHSSSTNVGADKLQDHMNELKIRDDKEVEATIINGKGTETGHIIVTTTGGKNGQPKQTVSYMAERIVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVALKHCFFSTTEKDELYLNLVLEYVPETVHRVVKHHNKMNQRMPLIYVKLYMYQICRALAYIHGSIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELMLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKVFHKRMPPEAVDLVSRLLQYSPNLRCTAVEALVHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGVPADIVAKLIPEHAKKQCPYVGL >KQL05832 pep chromosome:Setaria_italica_v2.0:V:28318006:28321165:1 gene:SETIT_002844mg transcript:KQL05832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFHTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCGIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKSLQYYEVSAKSNYNFEKPFLYLAKKLAGDANLHFVESPALRPPDVTIDMVAQQQHEADLVIAAAQPLPDDDDDLIE >KQL03156 pep chromosome:Setaria_italica_v2.0:V:123910:125449:1 gene:SETIT_002109mg transcript:KQL03156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRFHAGYFRISLALSGQALLWRTLTGDGDGDSSSSSTPMVRSLPSAAFLLLWSLALLALVALAALYAARCLLRFPAVRAEFRHHVAMNYLFAPWISCLLLLQSAPPFLRPAAAPYRLLWWAFSLPILALDVKVYGQWFTRGRKFLSMVANPASLITVIGNLVTARAAAKMGWHEAAVAIFAVGAAHYLVLFVTLYQRFPGSDSLPAVLRPVFFLFFAAPSMASLAWDAIAASFDTCCKMLFFVSLFLFASLVSRPALFRRAMRRFSVAWWAYSFPVTVLALASAEYAQEVRQAAANALMLALAVLSVAVTLALMLFSALRTADLLPHDDPFDCPHLPM >KQL07928 pep chromosome:Setaria_italica_v2.0:V:42572164:42576436:-1 gene:SETIT_001110mg transcript:KQL07928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGDDDTMERDFAARLRLAHSPSPAPPPATAAAVASSSPTAAGGIAFRAPQEQFTAADFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKISYVKMERIVLDQLDHPGVIRLFFTFQDTYSLYMALESCEGGELFDQIIRKGRLSEDEARFYAAEIVDILEYLHSVGLIHRDVKPENLLLTSDGHIKIADFGSVKPTRDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPATFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDIKFPEYFSDEARDLIDKLLDVDPSKRPGAGPDGYSSLKKHPFFRGIDWKNLRKTRPPKLAIDPNASEDEDSQDSNWLSHMGGTTVNQQSNTVSNNGVASSSEVRSHISKLASIDSFDSKWQEFLDPGESVVLISKLKKINKLANKKVQLILTDKPQLICVDPSKMVAKGNIIWSDDPSELNVQVTNSSHFRICTPKKVSTFEDAKQRAWQWKKAIEDLQRCQKY >KQL07927 pep chromosome:Setaria_italica_v2.0:V:42572164:42575600:-1 gene:SETIT_001110mg transcript:KQL07927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLFWHVDMALESCEGGELFDQIIRKGRLSEDEARFYAAEIVDILEYLHSVGLIHRDVKPENLLLTSDGHIKIADFGSVKPTRDTPIKVLPNSTNERACTFVGTAAYVPPEVLNSAPATFGNDLWALGCTLYQMLSGSSPFKDASEWLIFQRIIARDIKFPEYFSDEARDLIDKLLDVDPSKRPGAGPDGYSSLKKHPFFRGIDWKNLRKTRPPKLAIDPNASEDEDSQDSNWLSHMGGTTVNQQSNTVSNNGVASSSEVRSHISKLASIDSFDSKWQEFLDPGESVVLISKLKKINKLANKKVQLILTDKPQLICVDPSKMVAKGNIIWSDDPSELNVQVTNSSHFRICTPKKVSTFEDAKQRAWQWKKAIEDLQRCQKY >KQL03325 pep chromosome:Setaria_italica_v2.0:V:1425048:1427341:1 gene:SETIT_004075mg transcript:KQL03325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGMFVYFECHCQRINQLAIDTDSKPVKEACADLLRTGTRQMRYNLKKLYFNGVPADKVRTTSPLKSMTDDQWRAGGDVDKCAKNALNREKVRFHQMTGSQCYIATTHALKQEKYKDKPPNAIDLFKALHCSSKTGFNESAKEAIAEMESIAAAPVEDGQVSKTTAEVVAQVLPKSKFLQNIGLQLAAPKRSSKAINDARVIELEAEVAAGKQDKEELKDEMETLKKKVEESENERCRLLEETEQLKRAQDETNAFFRCMFSKE >KQL03605 pep chromosome:Setaria_italica_v2.0:V:3371988:3372509:1 gene:SETIT_003718mg transcript:KQL03605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYTYMFFFPKCHTQNITIWEYRMKTTYGVCSAITDSHERHVFFSYYYFY >KQL05677 pep chromosome:Setaria_italica_v2.0:V:26953102:26955408:1 gene:SETIT_003496mg transcript:KQL05677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFFPILKTEGTAYCIWIGNINSLIHCIELSCWCVLILFQKGLLFYKKFFYKRTTLEQLHCWSCTHVLLKNRPRSSSPNLQISRSILFITSCYM >KQL05678 pep chromosome:Setaria_italica_v2.0:V:26953102:26955116:1 gene:SETIT_003496mg transcript:KQL05678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFFPILKTEGTAYCIWIGNINSLIHCIELSCWCVLILFQKGLLFYKKFFYKRTTLEQLHCWSCTHVLLKNRPRSSSPNLQISRSILFITSCYM >KQL04053 pep chromosome:Setaria_italica_v2.0:V:6162706:6165479:-1 gene:SETIT_001519mg transcript:KQL04053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDYIQNAVNFLSHPKVKGSPVFHRRSFLEKKGLTNEEIDEAFRRVPDPNPNGTDAAAAGSQQANNHNQSVELQSYTEVQPQAATGSVTAGPIASHAKAQFSWVNTLLGAGLFLGLGAGAAITLKKWFIPSLKSWTRRVVNEEDGKVKDELTCKLYEEIREAIKVSASAFSDIARTNQEVLASKDEDREVLMKLREAFESQANVFKSLNETILNHIRQNQFSQYNLLEGHVQPVPWNGLVDYQGRASQQTNMYATPPNSSFDPGRHSFMPLPAEPTYGYGSYSGSYTEQRLQRPGYGFQPQMSNDRQNLGLRGNYQGVSLNHHAGNAIDDPAAIAAEFQRRWVPPQPPGVIMPEAAAAIRQPRSVPRQQSQPADGQPSTDVPRPSEPAVASSEQMNSVPGAHGGELASDGGTVTACAGCSVGSEEQQQQEAA >KQL04052 pep chromosome:Setaria_italica_v2.0:V:6162499:6165535:-1 gene:SETIT_001519mg transcript:KQL04052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREDYIQNAVNFLSHPKVKGSPVFHRRSFLEKKGLTNEEIDEAFRRVPDPNPNGTDAAAAGSQQANNHNQSVELQSYTEVQPQAATGSVTAGPIASHAKAQFSWVNTLLGAGLFLGLGAGAAITLKKWFIPSLKSWTRRVVNEEDGKVKDELTCKLYEEIREAIKVSASAFSDIARTNQEVLASKDEDREVLMKLREAFESQANVFKSLNETILNHIRQNQFSQYNLLEGHVQPVPWNGLVDYQGRASQQTNMYATPPNSSFDPGRHSFMPLPAEPTYGYGSYSGSYTERLQRPGYGFQPQMSNDRQNLGLRGNYQGVSLNHHAGNAIDDPAAIAAEFQRRWVPPQPPGVIMPEAAAAIRQPRSVPRQQSQPADGQPSTDVPRPSEPAVASSEQMNSVPGAHGGELASDGGTVTACAGCSVGSEEQQQQEAA >KQL04197 pep chromosome:Setaria_italica_v2.0:V:7130443:7131723:-1 gene:SETIT_001971mg transcript:KQL04197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGKASPTELLAPPPPPESVKRSGKRARDGPLLFGTQLIKHEGKDDELVEAAPQGAEAIVPSESPEKQPPTAVQVDKAKLYCSLCACELTPPIYQCAVGHLACCSCRVKLPGRRCRTCRYRGTAFSAYAHCPGLDLFFGDLRVPCDFDEYGCRAFVPYFLSANHKGTCEHAPCHCPEPGCFLLCSPRALAAHLVADHYWAVYDLAYGTPLPLAVPVPAAAAMASGSPVPAPARDLRLLRGDDASQFLMAVGPLGDGAAVSVVLVRAMANPPAFPRYTCTFYANPPPRAADLQGGYFFATVPVRSSALADGAGAAPEKELYFAVPREMLCGGNRELLLSVRIDRSTGAEPPVEDKKMIIES >KQL07545 pep chromosome:Setaria_italica_v2.0:V:40426229:40427975:1 gene:SETIT_004499mg transcript:KQL07545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTLALLLRLAPLVLLCLSPACAAGRVTVSVYYETLCPFCSAFVVNDLARIFNNGVSSIADLRLVPFGNGRVSADGSITCQHGEDECQLNAIEACVIRLWPNAEQHFPFIHCVEHLALTRKWDSWQSCFQETGLPSQPVIDCYNSGYGRQLEMQYAAETNALQPPHQFVPWVVVNGKPLGEDYMNFEAYICSVYDGKLPEVCKGKHLAIAQHTKASGGDKVCPVSKIIS >KQL07688 pep chromosome:Setaria_italica_v2.0:V:41242258:41243969:-1 gene:SETIT_001479mg transcript:KQL07688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLLVCLLLLLLAAARPWPVAAGAPKTAAKPRVFPLRARQVPAWALPRPPSKLRFHHNVSLTVSLAVGTPPQNVTMVLDTGSELSWLLCAPGRQGNASTAARGASFRPRASGTFAAVPCGSPQCSSRDLPAPPSCDGASRRCSVSLSYADGSSSDGALATDVFAVGDAPPLRSAFGCMSSAYDSSPDGVATAGLLGMNRGTLSFVSQASTRRFSYCISDRDDAGVLLLGHSDLPFLPLNYTPLYQPTLPLPYFDRVAYSVQLLGIRVGGKPLPIPASVLAPDHTGAGQTMVDSGTQFTFLLGDAYSALKAEFLKQTKPILPALDDPNFAFQEAFDTCFRVPAGRPPPSARLPAVSLLFKGAEMSVAGDRLLYKVPGERRGGDGVWCLTFGNADMVPLTAYVIGHHHQMNLWVEYDLERGRVGLAPVKCDVASERLGLML >KQL08371 pep chromosome:Setaria_italica_v2.0:V:45091293:45093218:1 gene:SETIT_003421mg transcript:KQL08371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFIFSIEGTYERYQRFAGTGRNVNAGDRNNNDSQDAAASDLQTRLKEIATWSEQNNANESDANELEKLEKLLTNALRDTTTKKMLTKQNNGGAGGSTSSQNSSGPRRQD >KQL05543 pep chromosome:Setaria_italica_v2.0:V:25588269:25590587:1 gene:SETIT_001378mg transcript:KQL05543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCGGEREQPGGVAGAAADIEVGALVWVVRRNGSWWPGRILGMDELPEDCSIPPRHTVTPIKLLGRPDGSIDWYSLEKSKRVKPFRCGEFDECIENAKVQAHVQNMSQNEGKCACREYAIMHALEIEKSRFPPEGHLNTYGSCESINNQVSKPINQTTECSKAGTFSLQDHRRSKMKIISFIAPISKGSPKSDDNLLPLEHEATMKCHAPDSDVVELEARVEGAVCEPTDLENNIQVYDVEVTVLGNYTGLGLPLASLTSKSMGNPIKGYPVSVEVSEDYCSAASIDDHLPAIGNLECLLKSRVSLPQKKRSTRSKPGDHKKVNEHDLGKSSWPHAKKPAPDASPRKIQRLSSSLSNRNDHRMMQHGPLVGLMSPYYGWKLHQEEEIEEEEEDEEEVVPLHSATVHARENSKPNPVVEKGSLLRTHSPPGANCKRKAPCRLHAHPWVLPAAQGSII >KQL08771 pep chromosome:Setaria_italica_v2.0:V:47021957:47023337:1 gene:SETIT_002071mg transcript:KQL08771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARRAGMAQQLCLAAAVALALALALSCGVASAAVPPAPLPKPPVKGRGKLVTLTTKNFGHKRNYQVTCVDKDNPGGCYVGCPKRCPNQCIVFCANCLSFCECDIFPGTSCGDPRFTGGDGNTFYFHGKKDDNFCIVTDSDLHINAHFIGNHNPDLKRDFTWVQALGVTFGAGHRLYVGARRVVEWDEDEDHIQITLDGEPVDIDAVRNARWESNKQALPGLSVTRMKDVNTVAVELDGVFRISANAVPITEEDDRIHKYGKTGRDSLVHLDLGFQFHNLTRDVDGVLGQTYRPGYVTKLDIRKKMPIMGGAPKYRSSGLFTTDCAVSRFRRGGVAGGGVTTFAS >KQL05878 pep chromosome:Setaria_italica_v2.0:V:28702096:28704646:-1 gene:SETIT_005552mg transcript:KQL05878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAPTVTKSPPSLVPPAGPTPGGSLPLSSIDKTAAVRVSVDFIQVFPSSGAADQAASVATMREGFARALVPYYPVAGRIAEPVQGEPEIECTGEGVWFVEAEASCSLEEARNLERPLCIPKEELLPRPPAGVRVEDTVLLAQVTKFTCGGFSVGICFSHLVFDGQGAAQFLKAVGEMARGIPEPSIKPIWARDAIPNPPKPPLGPPPSFTAFNFEKSVVEISLDSIKRVKDQVASETSQKCSTFDVVTAIIFKCRALAVDFAPDAEVRLGFAASTRHLLSNVLPSVEGYYGNCVYPGGLTKTSQEVKEASLVEIVTAIREAKEALSSRFLDWLSGGAKENHYNVSLDYGTLVVTDWSHVGFNEVDYGFGEPSYVFTLNDDVNIVPSVVYLKPPKPTQGIRLVLQCVEGQHSAVFKKELQKHA >KQL05000 pep chromosome:Setaria_italica_v2.0:V:13935063:13939040:1 gene:SETIT_000685mg transcript:KQL05000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPQRPAPSRAGRVNLFSAPPPPLSNRRYPQHRSLPLPPVPPRRRHPKNHAEQPSEDQEPTDGGPRATTTTNNPAFRATHLRTAYRKPVPPVAAAGEGEALLAADPADAASGRAVVVGPSGLSFRLPGAPFDFQFSYSEAPRAPPLAIREPAFLPFAPPTMPRPWTGKAPLLTKEEKARRRGVRLHTPLGQEPAQTVSPHGIMMEVRGRRQMDLARVSPGDGRTRDEVLGEPLTPAEVRALVKPHISHNRQLNIGRDGLTHNMLEMIHCHWRRQEVCKVRCRGVPTVDMNNLCYHLEEKSGGKVIHRVGGVVFLYRGRHYDPRTRPRYPLMLWKPATPVYPKLIKEAPEGLTKEEADEMRSKGRNLPPICKLAKNGIYITLVKDVRDAFEGNDLVKIDCEGLNPSDYKKIGAKLRVLFIPMNFTVFISLPIVEVNPVYIFFLTP >KQL05001 pep chromosome:Setaria_italica_v2.0:V:13935063:13940058:1 gene:SETIT_000685mg transcript:KQL05001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPQRPAPSRAGRVNLFSAPPPPLSNRRYPQHRSLPLPPVPPRRRHPKNHAEQPSEDQEPTDGGPRATTTTNNPAFRATHLRTAYRKPVPPVAAAGEGEALLAADPADAASGRAVVVGPSGLSFRLPGAPFDFQFSYSEAPRAPPLAIREPAFLPFAPPTMPRPWTGKAPLLTKEEKARRRGVRLHTPLGQEPAQTVSPHGIMMEVRGRRQMDLARVSPGDGRTRDEVLGEPLTPAEVRALVKPHISHNRQLNIGRDGLTHNMLEMIHCHWRRQEVCKVRCRGVPTVDMNNLCYHLEEKSGGKVIHRVGGVVFLYRGRHYDPRTRPRYPLMLWKPATPVYPKLIKEAPEGLTKEEADEMRSKGRNLPPICKLAKNGIYITLVKDVRDAFEGNDLVKIDCEGLNPSDYKKIGAKLRDLVPCVLLSFDNEQILMYRGKEWKSRYSKPLTLIPKVPKNNPTPKVPKNNPTMSSDASGSDVNEATDANTQFTVREVLRPKMFKLWESAVDSSLALLLDDAEANDLTPDSLLTRVEEFSVTSQAVEHSFPALLVANCEVNTESPSAEYINDESETSIAGNQEEQLEQSPDLSSDEHFELDMLERLESSVPLGSLPIDTMIEQLNSE >KQL06886 pep chromosome:Setaria_italica_v2.0:V:36251320:36251769:1 gene:SETIT_005265mg transcript:KQL06886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRASIQSDLERPSEQARAGASKHHKGQLPPSLFASCGLAC >KQL08120 pep chromosome:Setaria_italica_v2.0:V:43656336:43659751:1 gene:SETIT_000879mg transcript:KQL08120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGSWFTALKKAFTPSPKEKPTNVHQLVAQYPPAHGHAREKKRWGSFGRPRPHAEPASPAAGALINIPLYREPSSIEKILGDAEMDQQRQYYAATRAQYQITPARPTTTAVAASAAAPLPQPVAAARERERERGREDKPAAVVLPLPPPSPPPLIRRFDHDREQQQKLQQLQQQSRAETEWRQPQPRRHRAARQRAAPPDRARAAAVAIQAAFRGYMARRNYRSLRGLIRLQGVMRGASVRRQTAQAMRCMQTLVRVQAQVRASRVDAMERRNRQHHGAMLRDGGRWRAGSQDGGIWDDSRLTREEADARTKRKVEAVIKRERALAYAYSHQLLKATPMAAHAILADLQSGRSPWWWTPIERRHEPEGAYYRPVDPVSKPRPALSIAHREMTTPTMPMTAATTPARSVVSAYSKPRSARPSKGAGAAPPPLSHAGSMSIRDDESLTSCPAFGVGGVPNYMTPTLSASAKARARAQLLLQQQQQKAAQEKPRFSFGLGQSIGSWAKSPFWKAPSSSRVGTPAASVAGGGRHRRSISGLSVDSTVSMPAGLGRRPIK >KQL08765 pep chromosome:Setaria_italica_v2.0:V:47002851:47004135:-1 gene:SETIT_002555mg transcript:KQL08765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGAVDDDEGALAAGEQNQQQQAMEIGWPTDVRHVAHVTFDRFHGFRGVPEELQREVEGRPPSASATVFGVSTESMQCSYDGRGNSVPTILLHLQRRLYDQGGLAAEGIFRITADGAQEQRARDQLNNSGVVPDGVDVHCLAGLIKAWFRELPGGVLDSLPADEVARCETEDDCARLCARLLPPPKGALLDWAVHLMADVAREEKANKMGTRNLAMVFAPNMTQAVDPLTALKHAVQVMNFLNMLIERALKQQPSPSSAGSSTKAAP >KQL08182 pep chromosome:Setaria_italica_v2.0:V:44058874:44059068:1 gene:SETIT_005628mg transcript:KQL08182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFINPMVKPFILDSPLSVTWEKKKREFLTLCRCLIFQIL >KQL05570 pep chromosome:Setaria_italica_v2.0:V:25866187:25869206:-1 gene:SETIT_002448mg transcript:KQL05570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPALLGGYLAAYPPPLLPPSTAAAPSSSARLAAAFHARLRHASRLVARRHAAGAGEAVSASAAAEGDDEDEAELQDEGFPRWEGGGGEEEDYDHDPEIGDIMGDYFDDPKKAQNRMEERIRKKRHKIVQAKTGSPNTMKVVFNKFDFSNSYIWFEFNNALLPKDATLISDALRSWHIVGRLGGCNSMNMQLSQLPLDCKRPTYDALEGANVTPTSFYNIGNLEIQDNLARVWVDIGIHEPLLLDILLNALTTISSDHVGIKQVQFGGLEFVNWNEDLKTEEVGYSVRKI >KQL04821 pep chromosome:Setaria_italica_v2.0:V:12090341:12091415:1 gene:SETIT_003229mg transcript:KQL04821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILNHLSHLCSFTDTKEALKLRKKRPLNTVHIKVKMDCEGCVRRVRSAVKSMRGVTSVSVIPKQSKCTVTGYVEPANVLARVRGTGKNAEMWPYTPYTLTSYPYVGGVYDKKAPAGFVRSAPQAMADPAGPEIRYMTMFSDDNANACAVM >KQL04820 pep chromosome:Setaria_italica_v2.0:V:12090341:12091415:1 gene:SETIT_003229mg transcript:KQL04820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCEGCVRRVRSAVKSMRGVTSVSVIPKQSKCTVTGYVEPANVLARVRGTGKNAEMWPYTPYTLTSYPYVGGVYDKKAPAGFVRSAPQAMADPAGPEIRYMTMFSDDNANACAVM >KQL04049 pep chromosome:Setaria_italica_v2.0:V:6147314:6153427:-1 gene:SETIT_001299mg transcript:KQL04049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKASFPGELSAVSFLDSSRGPFGQHKVDFTFQRKGKRAISLRRTCCSMQQAPPPAWPGRAVAEPGRRSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFKPKLVAVRNESLVDELKEAIADCEEKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLSEGALRRVILTASGGAFRDWPVDKLKDVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDDIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRIPILYTLSWPDRIYCSEVTWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVELFIDEKISYLDIFKVVERTCDAHRNELVTRPSLEDIIHYDLWARRYAASLQPSAGLSPVPA >KQL06361 pep chromosome:Setaria_italica_v2.0:V:32853812:32860780:-1 gene:SETIT_001683mg transcript:KQL06361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGEPSITRWTFEDFEVYYEVRLGIRREPAEGDGDDRDDGRPPLRGSDQLSGRPAVSRANGGADLAVFEQFERMERKVEMRNGAIEDGPPQKPLLPSFESAETRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPDARHAMFEELLPSTTGTMEIPYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMTVLERNQEVPEDELPEVGPVTTEDIELALRNTRPSAHLHAHRYEKFNQDYGSHVHG >KQL06359 pep chromosome:Setaria_italica_v2.0:V:32854398:32860591:-1 gene:SETIT_001683mg transcript:KQL06359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGEPSITRWTFEDFEVYYEVRLGIRREPAEGDGDDRDDGRPPLRGSDQLSGRPAVSRANGGADLAVFEQFERMERKVEMRNGAIEDGPPQKPLLPSFESAETRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPDARHAMFEELLPSTTGTMEIPYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMTVLERNQEVPEDELPEVGPVTTEDIELALRNTRPSAHLHAHRYEKFNQDYGSHVHG >KQL06360 pep chromosome:Setaria_italica_v2.0:V:32854061:32860780:-1 gene:SETIT_001683mg transcript:KQL06360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGEPSITRWTFEDFEVYYEVRLGIRREPAEGDGDDRDDGRPPLRGSDQLSGRPAVSRANGGADLAVFEQFERMERKVEMRNGAIEDGPPQKPLLPSFESAETRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPDARHAMFEELLPSTTGTMEIPYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMTVLERNQEVPEDELPEVGPVTTEDIELALRNTRPSAHLHAHRYEKFNQDYGSHVHG >KQL06362 pep chromosome:Setaria_italica_v2.0:V:32855502:32860780:-1 gene:SETIT_001683mg transcript:KQL06362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGEPSITRWTFEDFEVYYEVRLGIRREPAEGDGDDRDDGRPPLRGSDQLSGRPAVSRANGGADLAVFEQFERMERKVEMRNGAIEDGPPQKPLLPSFESAETRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTDELVFVLAATNLPWELDAAMLRRLEKRVSFSK >KQL07732 pep chromosome:Setaria_italica_v2.0:V:41440006:41443138:-1 gene:SETIT_000472mg transcript:KQL07732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLKAFRQWRSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKTDLNIVDHYTYVILGDGCQMEGVSNEAASLAGHWGLGKLIAFYDDNHISIDGNTDIAFTENVSARYEALGWHTIWVKNGNTGYDDIRAAIKEAKRVKDKPTLIKVTTTIGFGSPNKANTYSVHGSALGSKEVEATRSNLQWFHEPFHVPDEVKRHWSHHIDEGASLEAEWNAKFAEYEKKYHQEAAELKSIISGELPSRWDNALPTYTPESSPDATRNLSQQCLNSLAKVIPGFLGGSADLATSNMTLLKMFGDFQRDTPEERNIRFGVREHGMGAISNGIAVHSPGLIPYCATFFVFTDYMRAAIRLSALSESGVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMLRPADGNETSGAYKVAVLNRKRPSVIALSRQKLPQLKGTSVDSVSKGGYIISDNSSDNKPDLIVIGTGSELEIAEKAADELRKEGRTVRVVSLVCWELFEEQPEKYKESVLPSEVTSRISIEAGVTFGWEKYIGQKGKAIGIDSFGISAPAGKIFKELGLTVENVIAAAKAL >KQL07731 pep chromosome:Setaria_italica_v2.0:V:41440006:41443138:-1 gene:SETIT_000472mg transcript:KQL07731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDIAFTENVSARYEALGWHTIWVKNGNTGYDDIRAAIKEAKRVKDKPTLIKVTTTIGFGSPNKANTYSVHGSALGSKEVEATRSNLQWFHEPFHVPDEVKRHWSHHIDEGASLEAEWNAKFAEYEKKYHQEAAELKSIISGELPSRWDNALPTYTPESSPDATRNLSQQCLNSLAKVIPGFLGGSADLATSNMTLLKMFGDFQRDTPEERNIRFGVREHGMGAISNGIAVHSPGLIPYCATFFVFTDYMRAAIRLSALSESGVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMLRPADGNETSGAYKVAVLNRKRPSVIALSRQKLPQLKGTSVDSVSKGGYIISDNSSDNKPDLIVIGTGSELEIAEKAADELRKEGRTVRVVSLVCWELFEEQPEKYKESVLPSEVTSRISIEAGVTFGWEKYIGQKGKAIGIDSFGISAPAGKIFKELGLTVENVIAAAKAL >KQL07733 pep chromosome:Setaria_italica_v2.0:V:41440006:41443818:-1 gene:SETIT_000472mg transcript:KQL07733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTPTTSAAAAPGSGRGVVRLLRSSAGSRLPFAAAVRPGGRPATALRARAPPAAPKLVEQSVNTIRFLAVDAVEKAQSGHPGLPMGCAPLGHVLFDEFLRFNPKNPAWFDRDRFVLSAGHGCMLQYALLHLAGYDSVTMDDLKAFRQWRSRTPGHPENFETPGVEVTTGPLGQGFANAVGLALAEKHLAARFNKTDLNIVDHYTYVILGDGCQMEGVSNEAASLAGHWGLGKLIAFYDDNHISIDGNTDIAFTENVSARYEALGWHTIWVKNGNTGYDDIRAAIKEAKRVKDKPTLIKVTTTIGFGSPNKANTYSVHGSALGSKEVEATRSNLQWFHEPFHVPDEVKRHWSHHIDEGASLEAEWNAKFAEYEKKYHQEAAELKSIISGELPSRWDNALPTYTPESSPDATRNLSQQCLNSLAKVIPGFLGGSADLATSNMTLLKMFGDFQRDTPEERNIRFGVREHGMGAISNGIAVHSPGLIPYCATFFVFTDYMRAAIRLSALSESGVIFVMTHDSIGLGEDGPTHQPVEQLFSLRAMPNILMLRPADGNETSGAYKVAVLNRKRPSVIALSRQKLPQLKGTSVDSVSKGGYIISDNSSDNKPDLIVIGTGSELEIAEKAADELRKEGRTVRVVSLVCWELFEEQPEKYKESVLPSEVTSRISIEAGVTFGWEKYIGQKGKAIGIDSFGISAPAGKIFKELGLTVENVIAAAKAL >KQL06067 pep chromosome:Setaria_italica_v2.0:V:30432639:30434995:-1 gene:SETIT_002818mg transcript:KQL06067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSASLLARRLLLSRRFLSSPLRPFSTTTTPSSSSSISSPSFNGSDTESDPELENDQAPGEQDRQQAQNRPRPPNTTRPLENGLDPGIYKAIMVGKVGQEPMQKRLRNGRTIVLFSLGTGGIRNNRRPLDREEPHQYADRCSVQWHRVCVYPERLGTLALNHVKTGTVLYLEGNLETKVFCDPITGLVRRIREIAVRANGRLLFLGNDANGPKLGEVKGVGYF >KQL07160 pep chromosome:Setaria_italica_v2.0:V:37990332:37993296:-1 gene:SETIT_002593mg transcript:KQL07160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGLAASVGGPLRCCPSASSSPTNVRPILEAPHRRSLRARASASPPPAAPAIEGRDVGLSVTTRRGRVLPVLKGCSLHVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSAGTVYINGPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLPLDERPIQTLSGGQKQRVAIAGALAEASKVLLLDELTTFLDEHDQMGVIKAVRNSLVADGEVAALWVTHRLEELKYADGAIYMEDGQIIIQGDVPTISRFIKKKQARYFGHFEL >KQL07158 pep chromosome:Setaria_italica_v2.0:V:37990332:37993284:-1 gene:SETIT_002593mg transcript:KQL07158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGLAASVGGPLRCCPSASSSPTNVRPILEAPHRRSLRARASASPPPAAPAIEGRDVGLSVTTRRGRVLPVLKGCSLHVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSAGTVYINGPCSYVFQNPDHQVVMPTVESDVAFGLEANPNPEWWAEAESCNCWCFS >KQL07159 pep chromosome:Setaria_italica_v2.0:V:37991304:37993284:-1 gene:SETIT_002593mg transcript:KQL07159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGLAASVGGPLRCCPSASSSPTNVRPILEAPHRRSLRARASASPPPAAPAIEGRDVGLSVTTRRGRVLPVLKGCSLHVPPGQLWMLLGPNGCGKSTLLKVLAGFLNPSAGTVYINGPCSYVFQNPDHQVVMPTVESDVAFGLGKLNLPLDEVRSRVSKSLDAVGMLSYSQVGN >KQL07939 pep chromosome:Setaria_italica_v2.0:V:42683912:42686785:1 gene:SETIT_000759mg transcript:KQL07939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPQPEVAAAPAAAEVAVPEAAPAEAEKKAEVPAAAEGEAEAEAEKKADEAAVTADDAGAGSFKEESNLVEDLPDPEKKALDEFKQLIVAALAAGEFNLPPPPPPPKAKEEPKAEETKTEEPKAEEPAKEEPKTEEPAKAEAAAEVPKTEEPAKAEAAAEEANAEAAAEAPAEEAKTEAPVEETKAEAVAEEAKPAEPEPEWKTVVAAVAESATKTVEAIEETVVPAAAAATAATSEVAAEPDAEAEAAAPEPVLIWGVPLVGDDERTDTVLLKFLRAREFKVKEAMAMLKSTVLWRKRFGITSLLEADLGLPELESVVFYRGADREGHPVCYNVYGEFQDKDLYEKAFGDEEKRERFLKWRIQLLERGILSKLDFAPSGICSMVQVTDLKNSPPMLGKHRAVTRQAVTLLQDNYPEFIAKKVFINVPWWYLAANKMMSPFLTQRTKSKFVFASPAKSAETLFRYIAPEQVPVQFGGLFKEDDPEFTTSDAVTELTIKPSSKETVEIPVTENSTIVWELRVLGWEVSYGAEFTPEAEGGYTVIVQKTRKVPANEEPIMKGSFKVGEPGKLVLTINNPASKKKKLLYRSKVKSTSD >KQL05626 pep chromosome:Setaria_italica_v2.0:V:26451492:26451959:1 gene:SETIT_004141mg transcript:KQL05626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIGLSARFARNNGLLWTWLDHLGHAVASSEWKMGENGGRAGRSPTTNNGGIGSSWRSNTKLRQLRSSRDGSFQWLIEYDILRTSTNTIRCRGSPAASGGRGDRT >KQL05629 pep chromosome:Setaria_italica_v2.0:V:26470012:26472949:-1 gene:SETIT_004964mg transcript:KQL05629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLDSDDDRPVGKMTESDIEMFRCIFPGRCDPIVHEFSDPTLSDQAFAEGHDDELLEAPEASPSMVIEEGRVFKDLPTLKRWLQAFAVIRKRPYKVLHSYAERRYTAVCDKERFPWRVCARKQNITGKWKITKVIGPHNCADHELTVRHPQLTSTLIAKRLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWKMIYGDWESGYEQLPVLFNAIKAVNRGLHYEYIPKPNAWKDGRQIFGRAFWCFPQSVEAFRHCRPVFSIDVERENNDSWGWFLRLVRRDDSDPTGLSEDAGYVDSRLGSDWTLREHFGQCPQDADAETVGHYCRAWILHLFACVLFPDATGDTAWGSAVLCFLYRQLCEACRQTSGSASVGGCVYLLQLWMWARLPVGRPEIMPRRPWFPGEMSRRQPTWAYIWDQVKVSHTTLDRAYLDYINEIDTLMAHSVNWQPYKGEDTLPFTLSFMCGLDDNPYRMKCPLICFYAVEYHLPDRVTRQFGMRQIWPTPVTSTSVKLHSMDRKKKWKVSEWAAFHQAYIDDWEDFDENVDDNDEPHTNSEYRQYQTWYQGATRHRLRAAWTEDGYADIHSSDDEDTVYDQSTRAGRQVEAGPILDRMGRTLQSSVRDIEHFRPRVTDPETRSFLDFLLLQRLSNRLRRAAARCGCRTATTRDVHVPSPREGGVDTDALGHKGKGKTRRQ >KQL08269 pep chromosome:Setaria_italica_v2.0:V:44498946:44506004:1 gene:SETIT_000397mg transcript:KQL08269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAQAPSSSAAPSRKEHLEAGKKRLEQFRKKKAAKKATAIAASAEPAKPPAPDVVENPPPIASTASSGDGLVSDVEPNQESTSSVPSAVYESGSASSSRGAESLSNGPVSVNASVGVSNVTPQQDGVSDVGSKFYGNLSFSDLVNGHHENWRGDAALKRDEHSPDKDVQSTSKLSVFGNTNSLSLPSSTNTLPSWGRNSLSDQVRDTEQSSSYSSSTIFGKSESAYTQDYSTSNDLFGRLRATSKDSSKVEQSVYASSMDYGNTFSSSRITDSVDHDTNVGTWNAADSTPVNFEKQDPFLSSGYPTAYTRSRPSFLDSIGVQRTPPTTQASYGEPAKANQLSGNSNYQSSFLQQSNQQSTGSNVADNSLTSGNQEYRHEKGSYGSPTPPDFSLFKEERSIQHCNQTSQNFTTHWKDDDFAALEQLIEDLTKEKFSLQRTLQKSQELAENLATDNSALTDKFNQQAHVISQLTSDMERLQEEIQAQLLALESVRTEYANAQLECSAADERAKVLAAEVILLEDKALKLRSSELKLEKEVEGLHSEISSYRRKVSSLEKERQHLQSTVEALQEEKKLLYSKLRNIPVNEKATAATEKPSADKRDASTATVDLDTGEISSSETLTSTVDTLEDAGTSILRSNNMSDFPSLEEVSSSIPDDQLRMIDNINSLMSELAVEREELLRALRIESSNCSKLKELNKDLTQKLEMQTQKLELLTSQRMANENVLAKPIDTRSINDATMYADEGDEVVERVLGWIMKLFPGGPKRRTSKLL >KQL05697 pep chromosome:Setaria_italica_v2.0:V:27080522:27080994:-1 gene:SETIT_005623mg transcript:KQL05697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRESRTRTRAGETARNRTRGDSPSVSLPGLLRLQSAAPGSGRGGCGGEEGRLGGPGKMRKK >KQL06733 pep chromosome:Setaria_italica_v2.0:V:35285650:35285949:1 gene:SETIT_004215mg transcript:KQL06733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERARRGRAAAGFLVLLTLLCSSLLLNALFLAHYILSPSHGLSWALRAAREAEAVAAVDCSGHGQVFLDGVAGEDGRPGCECNACFAGPDCPLRTPDYR >KQL08188 pep chromosome:Setaria_italica_v2.0:V:44079098:44080154:1 gene:SETIT_004746mg transcript:KQL08188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTPPARLDRSAHLWNPVNSDKVQLPPLTGVDDDLLMHSHCLLSDEPAAPGCVVLLVEGLGDTIRYCHPRDDQWMKCYEGGDEIDGLKIFHHIKFTVYARSTESCTMILGGRAFLLSRFYFGASCSAGEYGLVPNRVYFVFDRNNTLQVSDVQEGTYQLHKLDEAPEMDKAFWLLPN >KQL08724 pep chromosome:Setaria_italica_v2.0:V:46839458:46841787:-1 gene:SETIT_003467mg transcript:KQL08724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAGGGGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGGVDVSF >KQL08723 pep chromosome:Setaria_italica_v2.0:V:46839957:46841656:-1 gene:SETIT_003467mg transcript:KQL08723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAAGGGGGGKVSFKIILTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAVASAWVVLGGR >KQL04157 pep chromosome:Setaria_italica_v2.0:V:6909117:6911189:1 gene:SETIT_002798mg transcript:KQL04157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGQ >KQL05435 pep chromosome:Setaria_italica_v2.0:V:24441929:24442780:-1 gene:SETIT_003803mg transcript:KQL05435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEDYDAEAALASFHESRAGVRGLVESGVTAVPPIFLTATAPSPQSPASTTTAFAIPAVDLSLPRSDTVALVRAAARSCGFFHAINHGVPAGIVDSALSAARAFHEQPRAARSAFYSLEPVEAVAYSTIPNAPPRQEGAPLLPWRDSLRVRFGPWDGEPDLGRLPAACRDTLREYQRALTGFGKEMAGLLSEALGVGVERLEQAMQVEGWLMACHYYPPCPEPARVVGSLEHTDPSLFTVLAQDWVGGLQVRRDDGTGGGGEWVDVAPVAGALLVNIGDVLK >KQL03188 pep chromosome:Setaria_italica_v2.0:V:277922:279774:1 gene:SETIT_003504mg transcript:KQL03188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAARSLLRSSASLLRAAPARSSTSSAATRPPLRRALAAPPRLLRSPVESSFCVESLMPLHSATAGARMTSMLAIPGRGLGWLTQAETDGV >KQL06584 pep chromosome:Setaria_italica_v2.0:V:34433515:34438412:1 gene:SETIT_004081mg transcript:KQL06584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPDENALITLKKGSKLIKYSRKGKPKIRTFRLASDETTLIWFSHKREKFLRLSSISKVIPGQRTAVFRRFLCPEKDYLSFSLIYKNGQRSLDLVCKDQAEVEVWFSTLEGLISSCCKNSTIDEHKDRVSLSDEISYYQDSHSYDTTLDIASSISRSFQTAGNNRANSFSFRRSDAGSDRANMIRTSGADSTRLSISSAAPSSCSQGSGTDDIESLGDVYVWGEVWTNVAPSDGHISSSCSKVDVLIPKPLESDVVLDVNQIVCGSRHVALTTRQGEVFTWGEEFGGRLGHGTDADISRPKLVESISVTIVDFISCGEFHTCAISASGDLFNWGDGSYHAGLLGYDTGACHWLPKHVSGPLEGLQVLSVACGSWHSALITSSGKIYTFGDGTFGVLGHGNRESVAYPKEVEALNGFRTVKVACGVWHSAAIVEATVQTGINVVSKKLYTWGDGDKNRLGHGNKEARLIPTCVQALLEHNFHQLACGQNITVALATSGHVYTMGSAENGQLGNAKSDGKQPCLVKDKLANELVEEISCGASHVAVLTSRSEVYTWGMGANGRLGHGDVNDKKAPTIVEALKDRHVKSISCGSNFTTCICIHKWVSGADQSVCTGCRQAFGFTRKRHNCYNCGLVHCHACSSRKVLKAALAPTPGKPHRVCDSCFLKLKNADTNINNVSKRNAPTRRSIDGREKPERPEIRPSKLVATPLAEPVKYMEVKAAKSDMKAADSFMKASQASALLQFKDLGFAAQFGALQPMGMSPVLAISPAIPAFSLAPPSPYTKKTKSPPTAAIPQSSKVDFDNLQKSNELLNQELLKLQSQVDDLKHKCEAQHEQLQKSDKRAKSVASMAAEESTKRNAAMEFVKFLDNELKGIVDKLPSDAVDSIKALQVQTHSLLKEQSSHPSELMNTMERDHLHLSSGGSGRYDLVGHKSGVGYLTMSQDGRPASGSAISITSESPSHCFMENSAKAQGDFAPKHGTHGEVQLIEQFEPGVYVTLIQLKDGTKVFKRVRFSKRRFIENQAEEWWRENQERVFKKYNHPTQTHGNTTYSHED >KQL03808 pep chromosome:Setaria_italica_v2.0:V:4705061:4706897:1 gene:SETIT_003927mg transcript:KQL03808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IDERDHVQNGLVNSVDGLLALGPGSAATLVAGYHGRFVVQYDSVTPSTPPRRLRVMGSPSPGSASPPLPQLAASAAQGLVASTSTLNPNAPPFHGFPASARSGGMLRFSDSEASSGSGESEPPSPTGRGKAVLPLRRHRRRRRLRQNPEGFMPAARRAHLAPAAAPAPTRLASLVVHPARMSAAPDAEGFGQVESRRRWRRAAPSRPSKPVPPELVGLCFNCPASDYVRANRSFPARCLTCKQEGHRARDCTQPARRAEAKRGRSPARLLESRRGVAPGRRPARHYRCRPTAFIAQRACGRSSDGASRYSPHAGASSGGGRLVKRTSRASAGSFKFRVLVGLKGIPAHARCVETAQLVLGSSCAKVEPAPNTAAGVDEDYCNPRAEEEIIKSHLPALRYLVRLRIVEFQDWHTPLPSSDDGYDGRGGDSDDSGDSNFNGYWPGFSEGRGRWRLVEISH >KQL05613 pep chromosome:Setaria_italica_v2.0:V:26363347:26364333:1 gene:SETIT_004393mg transcript:KQL05613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPVEVTLTRDTPSARRCWWRRDDDLVDDGDVGVLRIGRGLAAVDTTFSSTDEVTKRWLAEASAAARGRHLRKPFLAGLVALRGLDPADRDNYRGRGRGGFKPTDHANPIRCVALCLGGSRALVYSPDTHGYRVRKPAYAGDLLKFYDNNMTQLRAFLDDNRITVACFGAREVTRKLAKEWGLHVAWPEELTDLFARAYGKVAGVEREERLLPKKPEKYWMGKAALKREKAKAERDEYDTDEEERLNNLGRTLRPRKVVSGLSLERMARVALGPEMRLARCPPKVAHADWGSYYLGEEEWAYATRDAYLCFEIAARCFEKLLEPNAAG >KQL07741 pep chromosome:Setaria_italica_v2.0:V:41480425:41480631:1 gene:SETIT_004132mg transcript:KQL07741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDWAPPIIATALFALLCPGGVLQMPGRQRPVDVMNLKTSLPSMLVHAIIYFLLLMLFLVILEPHLYI >KQL05093 pep chromosome:Setaria_italica_v2.0:V:15765096:15766071:-1 gene:SETIT_004809mg transcript:KQL05093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKREPVPSWLELLLATQFFTTCASHPLATRNECNLFCIQCEVSPAAFCYYCRSCDHSTHRVIQIRRSSYHNVVRVSEIEDILDISDVQTYIINSARVVFLNERPQQRGCGVSLCKAPTLSAHNCETCGRGLLDAFHFCSLGCHLRSTKKDMNRTTMVENSSQCSEKDDVTRSDDVDSSTANDKDSCNDKNDEEPQLKRIARHRRKGIPRRAPFF >KQL05014 pep chromosome:Setaria_italica_v2.0:V:14110004:14111915:-1 gene:SETIT_004396mg transcript:KQL05014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWRGGPCHAGAASRGARRALTWAGRSPRQPAWRGRASTRSTRRLPSSSLPAFFLLQTHHTAAPCSAGSRRPTPKSTQSTQNPTFSVGESSGNRSLLRVEGEMASFGCEYKRRRWYVRYVGESNGAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRRWVPPPPNPPRMTEEEKQEAACRRVRDPPMCKCGVPAKLIRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKSRKQQEIKEKIRKKYDVPIPDDDLLWGKIYQDMVHETGVKPKGLYARETIIKYWR >KQL04689 pep chromosome:Setaria_italica_v2.0:V:10981429:10982454:1 gene:SETIT_004672mg transcript:KQL04689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRSWMYGIRRHSPTFMLEVAKFVEAAKKHARICNTKQMRCPYFDCSNKIIWEDTNVIKRHLIKRDFRQDSTDINTGCDEVGVDEERDVDMKDMLRHIEPEVMVGSAKGLENFETFKKAAKDRMYVGCGKEWTVLYFILHLLILKVKFGWSDNSFNDLLTLLGNLLLKLNFVPKNTYKAKKIINPLKMRVQRIHACRNHCILYRGEYATLEKCPNRNASCYKSNTDFCEDRAGSSIRNKRKKVQKKIAHAQVEDESCIGTDTTTQRRVPALVMWYLPVVDRLKRLFSNPKTDEMMT >KQL03549 pep chromosome:Setaria_italica_v2.0:V:3081729:3083111:-1 gene:SETIT_003846mg transcript:KQL03549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPHGDTPENGDAAGPAKHPRAPITEAEICAEFAHHDGAVARVNNGSFGCCPASVLAARSRWQRLFLSQPDAFYFHSLQPGLARSRAAVAAAVGAGGASEVSLVDNATTAAAIVMQHVAWSFAEGAFARGDAVLMLHYTYSSVKKSIHAYVARAGATVVEVPLPFPVASPGAVVAEFRAALTRAKAGGRSVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFVDAAHAIGQVPIDVRDIGADFYASNLHKWFFCPSAVAFLHIRKDDPIASELHHPVVSSEYGNGLPMESAWIGVRDYSAQLVVPDAVDFMSRFEGGIEGISRRNHDKVIEMGLMLAEAWGTFLGSPPEMCGSMVMIGLPGCLGIDSDDDAMKVRDMLRNDFKVEVPIFHNSRSVEGQEMAKDANGDQVTGYVRISHQVYNVREEYEVLRDAVNRLVLDGFSCSKLRPSGKVLSH >KQL08459 pep chromosome:Setaria_italica_v2.0:V:45545591:45547058:1 gene:SETIT_003042mg transcript:KQL08459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRAMNRLFVESSSSSSGSGGCREAAPAAAVLCAPRPRRAQVHPCSADLILGPPPFLLSSKKSKEGGKTKSAEAEVDGDEDGGWALFGGSPPARADNPLVHDPHFLLNQRHPVDSSPLELGIFDHQSRSNYSHHRPTYISSSSSNSSSSSFAPSFAPTVRIQGFDVAACRSSHSNGGGRVLSARA >KQL07315 pep chromosome:Setaria_italica_v2.0:V:39069511:39072932:1 gene:SETIT_000433mg transcript:KQL07315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLYSSSRALLASTLMLCFCILFLGVHGGSRRLYVVYLGDVKHGHPDDVIASHHDILSNVLGSMEDSLDSMIHNYKHGFSGFAAMLTEDQAKQLAEFPEVISVKPSRRCRATTTRSWDFLDMSYQMPNDLLNKGRYGEDVIIGVIDTGIWPESRSFSDEGYGPVPLRWKGVCQVGQAWDSNNCSRKIIGARFYSAGVPEEELKVDYLSARDLDGHGTHVASTAAGSVVEGASFHGLAAGVARGGAPHARIAVYKILWGRDAGGNDAALLAAIDDAIHDGVDVLSLSVGGFSDNSFGALHAVQKGITVVYAAGNDGPSPQTVENTSPWVITVAASKMDRSFPTVITLGNKQKIVGQSLYYQGKNSSRSIFRSLENGGLCTADDLNGKDLKGKTVLCASPNSPMGDGPLLVFPQALRNVRNGGGSGLIFVQYTTDGLTACEGITCVIVDIDYGKKIKEYINTTSSPIARIEPARSITGKEILAPKVAAFSSRGPSPDYADIIKPDIAAPGANILAAQGNSYAIKSGTSMATPHVTGIIALLKSLHPNWSPAALKSAIVTTASVTDEHDMPILAEGLPRKIADPFDYGGGHINPNRAADPGLIYDIDPNVYNYFFGCTATKTSASCNKTSIPGYLLNLPSISVPYLRYPISVSRTVTNVGEVDAVYHAAIESPAGVKIEVEPSVLVFNAANKIHTFQVKISPMWRLQGDYTFGSITWYNGKKTVRIPVAARITIHDFFADVA >KQL07958 pep chromosome:Setaria_italica_v2.0:V:42808497:42810938:-1 gene:SETIT_000711mg transcript:KQL07958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSRKALLRRTAAAAAAQEQSAGAEAAANGRKRRLYGFSASLVVASWVAVLLLHSLVGHGDGQRDGGGSAVALTVVEPTVNEGSVDPVVQGEHGENLAVPGDTCVSTDENVVLSEETLMQADQLCSNDEVQSENTEALTKDSRIELSGDEGGSLPQTDLGSGVQSGAKAESEDLPRPARLPRVVPPGLDEFKTRAIAERGPGVSSQPGNVIHRREPSGKLYNYAAASKGAKVLEFNKEAKGASNILDKDKDKYLRNPCSAEGKFVIIELSEETLVDTIAIANFEHYSSNPKEFELLSSLTYPTENWETLGRFTVANAKHAQNFTFPQPKWARYLKLNLLSHYGSEFYCTLSMLEVYGMDAVEKMLENLIPVENKKTEPEDKTKDPVEQIPLKEPSGGKESAEEPLDEDEFELEDDKQNGDALKNGANDQVSETRTLQAGRIPGDTVLKVLMEKVQSLDVSFSVLERYLEELNSRYGQIFKDFDADIDNKDVLLEKIKQELKNLESSKDSIANEIEGILSWKQVASSQLNQLVLDNALLRSEFEIFRQKQTDMENRSLAVIFLSFVFACLALAKLSIGLMSKFCRFYDFEKFHNVRSGWLVLLLSSCIIASILIIQ >KQL07481 pep chromosome:Setaria_italica_v2.0:V:39962253:39965496:1 gene:SETIT_001280mg transcript:KQL07481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNSSSSILNANISSISSRPTTPSKRTATLTASKSSVTSSRPVPTRSSTPVKARTSTPTKTRPSTPVKNHPSVSSSMANSTAPKTMSVQSSRSSTPTSRSRILSSSSSSTTSAVSRPSSSSGKIPAITRTSSSSSTVPSVNRSSSRSSTPTRQPTMRSSAPSVGRSPSVGRISGSNNLTSNGRSLASSGRSSAPSSAPSSRPSSPNTRPRAPVRPLDIPDFPSETPPNLRTRLPERPLSAGRVRPGMGSGARSKPNAEPVSSAPVKKMSVPAITRSKFSDVQSKASSPTNGHQSRQSERSVLEGQTTRPSRSVTAADNGFGRTISRKSLDMAIRHMDIRQNLGGIRGASLFPHSIRSTNTKGRPARSSDPGHSVSNGDRCFTDNGSSNGHFSGDSSGALSHNGGSSIGSPDRESTGTKEVLSELDIYANSRYEAMLLKEDTKNTSWLHSVDDKSDQSPVFDHRFEPLPEPFGPL >KQL07808 pep chromosome:Setaria_italica_v2.0:V:41909734:41914605:-1 gene:SETIT_001596mg transcript:KQL07808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRPARAPAPAAARGLYELYRAASRAAAPAVLLWRRLQGLEHPTRWPERLGRPSAARPRPGSPLVWFHAVSLGEGMAALPVVRHCARLHPGLPVLLTTTTLSSFEVIKDLLPEGVIYQFAPLDCPDAIDSFIGYWKPSLVLLMESELWPNLIMSAAANGIAVALLNARMSLKSFNRWSVPLGLPLVTLMLSKLSLVAPLSTIQAVRFQLLHTPPGIIHFAGDLKYAVGDVNAGENEVIKIKDLQQQFSNRPLWMAASIHRGEEEVILRVHDELIKMYPTLLLILVPRHPHDCKDFSLVLKKQKANFVLRSTKEVVCSSTRVYMVDTLGELRMLYRVTPVAVVGGSFLPGLAGHNISEAAAAGCAVMTGPHVGHFYHMLLEMWQINPLAVKQVTSSAALYSLAKQSIISRDSNYCTPFAF >KQL06229 pep chromosome:Setaria_italica_v2.0:V:31895792:31896034:-1 gene:SETIT_005222mg transcript:KQL06229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFLTPWRDTYTGHSTITAKSPRLTISSCVVR >KQL04452 pep chromosome:Setaria_italica_v2.0:V:9116572:9117404:1 gene:SETIT_004110mg transcript:KQL04452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNMTTLSMKLLIDRKAQRLLFAEASKDVVDFLFSLLVLPVGAAVKLLGKEAAAGSVGNLYGSVEGLDYAYVQPGAAKDALLRPAVLSSPDGSSLLRLPAPPPCGQPRSLYRCTSIFNSSCRTYITDAYGKACPTCGNQMAAAAQYLPPAGQQVAAAAGFVQGVVTYTVMDDLTVTPMSAISTFTLLNAFAVTDLAALQEKTVQLGYNEGLEILRASLQSKTVLTDVFLGMKGPGEGA >KQL04162 pep chromosome:Setaria_italica_v2.0:V:6922756:6926202:-1 gene:SETIT_002582mg transcript:KQL04162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAETAPARPADPTRLVYFDDMWTLRSAATVLAVHQEEGGRVAVVLDATVFHPQGGGQPADTGAISAAGARFLVEDVRAKDGVVFHYGRFESAGEGCGLGFKEGESVSLEVDAERRSLNSRLHSAGHLLDICVSNVGLSHLQPGKGYHFPDGPFVEYKGVIPQDQIQDKKNELEREAKKLISEGAKVLASVFPYEEAAKLCGGSLPNYISKDSNPRIIKFGEYPGGPCGGTHVADISIINSLKVTNIRVKKGLTKVSYSISP >KQL04161 pep chromosome:Setaria_italica_v2.0:V:6922492:6926317:-1 gene:SETIT_002582mg transcript:KQL04161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAETAPARPADPTRLVYFDDMWTLRSAATVLAVHQEEGGRVAVVLDATVFHPQGGGQPADTGAISAAGARFLVEDVRAKDGVVFHYGRFESAGEGCGLGFKEGESVSLEVDAERRSLNSRLHSAGHLLDICVSNVGLSHLQPGKGYHFPDGPFVEYKGVIPQDQIQDKKNELEREAKKLISEGAKVLASVFPYEEAAKLCGGSLPNYISKQSSHY >KQL04163 pep chromosome:Setaria_italica_v2.0:V:6923807:6926317:-1 gene:SETIT_002582mg transcript:KQL04163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEAETAPARPADPTRLVYFDDMWTLRSAATVLAVHQEEGGRVAVVLDATVFHPQGGGQPADTGAISAAGARFLVEDVRAKDGVVFHYGRFESAGEGCGLGFKEGESVSLEVDAERRSLNSRLHSAGHLLDICVSNVGLSHLQPGKGYHFPDGPFVEYKGVIPQDQIQDKKNELEREAKKLISEGAKVLASVFPYEEAAKLCGGSLPNYISKDSNPRIIKFGEYPGGPCGGTHVADISIINSLKVIIIF >KQL04657 pep chromosome:Setaria_italica_v2.0:V:10483323:10485301:-1 gene:SETIT_002159mg transcript:KQL04657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWSELLLEAIDRGQGNSVRRLAKRLLESFDHEVWKKYKNSCKISFILDLVNELFEEEKAEKKKDLGMRKNKNLKILIFSQFISMLDRIEIALKAIGKEGDTIRIDGSMERTERDQKIKVIPYLFLQRVLISPLNFLLFTYLLIVQKFSSQVEDAPKIFLLSARVGGEGLNLTAATRVIIVDPSWNISDDNQIADRVFRIGQKEDVTVYRLVTCMTIEEDIYKTQILKGNLARAILEGKVCSPLVELEDRKFIRLPECGFNSSKTQQLLETLMGDILEMRSRHQRFLQKHHLVAGLTNQEVISSHEEISLIDLPLGERLHVFIINLNFHIYEFF >KQL05114 pep chromosome:Setaria_italica_v2.0:V:16046478:16047355:1 gene:SETIT_003360mg transcript:KQL05114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSGYRKSSYRATTRKRGAKCSIRSWLSGLCSLLPTSIQQSQFPDNGGTSQNAIFEISWCRGLTLLNGFLYTKASDHPTFLLLSTHAYVLYEVHGPSTEGAEARKLQGARRVNRELYNLNR >KQL04433 pep chromosome:Setaria_italica_v2.0:V:8958123:8958428:1 gene:SETIT_003542mg transcript:KQL04433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSESGPDQRSFTIMVYGLHSQEKLDEALQYYTTMKSRGLIPEPRTKILVKAIHMKKDGAVTEDQSANMTGKNLKLDPRSSLFHVHK >KQL06020 pep chromosome:Setaria_italica_v2.0:V:30146723:30149113:1 gene:SETIT_002463mg transcript:KQL06020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METATEVAASKVAAGSSGGGVPAPAGEYWSEALKSFLDHIPISSVPGTLQPTASPAVEIKLGGSVLDAIDAMYSGNAAGAVIIDEVQSSFGKYVDRDIGFVEFSSILLWAFENLADGLHTLSKEKMGVAVIDRKTSCLIGSIQCSDLYLLLDDSSLFSKTTRTSMEEFINLKNKTDNKHSTAENSSACEGQNILALRNTGQRMMGLPVSNLKSDTLKQAMEKLTASRSSFSFIVDEQGHVQGVVTTRDIISVFSPPCMDSRLDGGSFFSAALEQVGCRVENGQMVQNS >KQL07650 pep chromosome:Setaria_italica_v2.0:V:41014002:41015381:1 gene:SETIT_004235mg transcript:KQL07650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRDVVSATAAIGALTRRGRHRDALAMFSRVLAGGVAPNEFTFGTVLRSATALRAPRVGAQLHACAAKLGLCSNVFVGSALLDHYAKMGAMKEAQGALEDTSEPNVVSYTALIAGLLKNGMFDEADRLFRRMPERNVISWNAMIGGCSQAGLSEEAVNLFLEMCREGVTPNQSTFPCLLTSVANAGALGVGRSVHASAIKFLGNLDVYVGNSLVSFYARCGSLENSVLAFKKIRQKNVVSWNALICGYAQNGRGEEALDAYKAMRATGLKPDNVTLLGLLFGCNHAGLVDEGYALFKTAEMEQPGILKPEHYACVVDLLSRAKRFDDAKRFLEELPFEPGIGFWKALIGGCQIHWNRELAESVAKRIHELDPKDTSSYILLSNVYSAAGSWQSVSNIRREIKEKGLKRITGCSWIEVQDKVHVFFNGDCRHQQSDEIYSVLEACLYTGKDDEHELSTV >KQL03152 pep chromosome:Setaria_italica_v2.0:V:79504:85948:-1 gene:SETIT_004783mg transcript:KQL03152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHYQAATLIASPSYPNAIVWSSDNLVAVASGHIVTILNPAVLEGPRGLVGLCRSDPFPIGVVNREDLFEPCLVPTCLARDTEPCAQSISWSQQGFAPNYGCLLAVCTVDGRVKLYRSPIWEFRDEWVEVADISQLLFNYYKVINFEEDNGPHLISPKNTNTEETEVLGSTCELQDPLCRRGPGQRKRKPPRVDGYVYDGNEDDLDASKDADFSLKPCSKSKKKSSKKTAKRGHEFVAVNRQGSTGNVKASLLSNGENKSLPLITAKQYARHDAHLSSLVVAWSPLVSSSDRTSCLSRHWCILAAGSKSGNVSFWKLYKPEYYTIDAGVVTSDPILIGVLQAHKSWVSAITWEVSSAGSSKSSLLLATGCSDGSVKIWLANIEGLNQCTNAEEVPFALVAEVTTDLSAPVSSISLAVPIRSQYEVNLAIGRVSRIPMHAMHDQVVTGLSWGMDGYCLYSCSQDNSARCWIYHENHLEEIPVHTNFPEPKESTDLSEVSNRCFGLTLAPGEQMIAVVRGLDLNLLDQMYQARTQKAVVEFIWIGGQFVGIPLDRRIDVSNPQSAILSSSNLWWGSNILWSLKKYENVEKSIVLWDVVTALQGFKKYAPAFLETLMDIWISTLFSDDPQCVSINAPSYSRHDILPSVSLRKLQLLNIICRKVMLSDHAQDGPGAENGNDTVTDFWNTLLIRSERELRERLVGFTFAAVLKRTAYLLKGTSTENSWFPVSVAQMDSWVSMNDEVHNQLSYLRSRIKDLGNGIDSACEYSVEETCLYCSAPVPFESTDVAICRERHTLTRCRASMLLCCVLQPVWHCVCCGGMVDKLLPESFFTMQASPLDANNDEGSLNLSGAAVPLCPFCGILLQRQMPNFLLSTSPV >KQL08423 pep chromosome:Setaria_italica_v2.0:V:45395561:45398669:-1 gene:SETIT_001538mg transcript:KQL08423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTVSKLAAPRPAAALLPPASLRPAALGFAPSARRFRVSIAGRARSPIIAMASAEEGNGAPTKRTSLHDLYELQGLSPWYDNLCRPVTDLLPFIASGVRGVTSNPTIFQKAISSSSAYDDQFKQLISAGKDAESAYWELVIKDIQDACKLFEPIYNETDGADGYVSVEVSPRLANDTQGTVEAAKWLHKVVNRPNVYIKIPATAECVPSIREVISNGISVNVTLIFSIARYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDSLIDKMLEKIGTPEALALRGKAAVAQAKLANQLYQKKFSGPRWEALAKKGAKKQRLLWASTGVKNPAYPDTLYVDSLIGPDTVNTMPDQALQAFIDHGTVSRTVDANVSEAEGVYSALEKLGIDWDEVGKQLELEGVDSFKKSFDSLLVSLQEKGNSLKTASV >KQL06534 pep chromosome:Setaria_italica_v2.0:V:34001144:34004289:1 gene:SETIT_0023601mg transcript:KQL06534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKVFDRRGHVAKLCIVLSPLLLAALVAVSRVDDYWHHWQDVCTGGLLGLTVASICYLQFFPLPSDKNGLWPHAYFRHMLEPGGENQVQPTSMSRRNSLQNGSFHGPDAVEMRSTSQVLDSMEAGQREQ >KQL06533 pep chromosome:Setaria_italica_v2.0:V:34001144:34002955:1 gene:SETIT_0023601mg transcript:KQL06533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASVIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKIKVFDRRGHVAKLCIVLSPLLLAALVAVSRVDDYWHHWQDVCTGGLLGLTVASICYLQFFPLPSDKNGMLLTLSSINCASNILFIFADLRLN >KQL05442 pep chromosome:Setaria_italica_v2.0:V:24534568:24535229:1 gene:SETIT_005488mg transcript:KQL05442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKTSFTLCVYIFKQMTPTICSIYLVEYTAKLWTRVVCTRNKKTAYF >KQL06385 pep chromosome:Setaria_italica_v2.0:V:32966428:32968465:1 gene:SETIT_001271mg transcript:KQL06385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDDDDTATAAPLQKRVLSRSHGSRAISGRLHDLPPVPSRIVRDSGPPSDLDLMKEKFTKLLLGEDMSGTGKGVSSALALSNAITNLAASIFGEQRRLEPMSADRRARWNKEIDWLLSVTDHIVEFAPSQQVSEDGTNMEVMGTQQRGDLLVNIPALRKLDAMLLEYLDSFHEAQEFWYVAKDADGGEDDDSCDKWWIPTARVPDEGLSDASKKFLQHQKELVGQVLKAAIAINADVITEMEIPEEYIESLPKNGRSILGDSMYRIITGDIFDPNELLNSVDLSTEHKIVDLKDQIEASVVIWQRKICNKLSWGSGVSLEKREEFEERAQTTLLILKHKFPGIPQSTLDISKIQHNKDVGFAILESYSRTLESLAFAVLSRIEDVLHADAVARDPKRTKSRRTSLESPLLDATAEAETAHHSTVHWQDQDLEDGERHPQAAEDNGGKLKRVHRVATKKFLHSQKIDVASGLRSFTHR >KQL05420 pep chromosome:Setaria_italica_v2.0:V:24108981:24113926:1 gene:SETIT_000560mg transcript:KQL05420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSEDAPPPARTPPPARGTAAGSRVLLQSPPPAFPLGSNDDQLERARARAAARAASVRRRSLAASIAPSKDPHHDLLNREQVMDLFHNCIKLASENKINQKNTWELGLIDHLSEIIQAGEEEDETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGRGEEADTEEGSNGEPAQDEGISKKDADRRISPASTLESSYEALNVKKFDVAFTVDPLYHQTTAQFDEGGAKGLLLYNLGVYGSCRVLFDSFEAPDKCILSDMQTEKAEVIDLSFAKEQIEEMVAQMPLCNDISPTLRDIVAQFDGENERPSHGLSSGQMPVMEDEMVNGNEADNNDSFIPESGMWDFGGCDDHEDAYDENYNPVGSNSTNYQEEFDEYTVEIPQGTVADEKLDKIADLLLLGMGTSKTNAWAGPEHWKYRKAKDLEAAPTSSVESEIPNKMKKKRAKDEPDVDFTKALDNEPLNIFAPPKNPKSLLLPANRAICSNRLPEDCHYRPESLVKLFLLPDVLCLAKRRRKSHDTPVENNDNFMPSEPWDDDNFCTDHIDEGHACSDVEEPVNLINKPRQVNKIDIQYDKVSKQVDVHALKEVLWNHIHASAETDNRENEEAESPLSLSQVLHDLPSSNPDAAATDISPHLYFICLLHLANEHGLTLHDRPTLDEIDICMPTSPHVK >KQL07089 pep chromosome:Setaria_italica_v2.0:V:37597719:37603209:-1 gene:SETIT_001967mg transcript:KQL07089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVASSRLLPRISALSFCTASPAATAASSSSSPSTAAAAAAATAAASEASSTSGDPSSQPPPAARKLWGALKVAAFAAVSAAVGGTGYASYAYSLEELDQMTREFRKKSKHPIPEDASGFEKFQAMAYAAAMKVPVAAIEGYLDVRSQIEDQIRGFSEPVSDKLLPDRAPQEQHILTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGRFYEIVVYSDQLSMYVDPVVDRLDPKGNIRHRLSRVATKYEHGKHYRDLSKLNRNPAQVIYISAHALESSLQPENSVQIKPWKLENDDTQLLDLIPFLEYVAMARPSDIRAVLASYQGSDIAAEFIERSKEHQRRMQEQKQSGRIWRR >KQL07090 pep chromosome:Setaria_italica_v2.0:V:37600177:37603209:-1 gene:SETIT_001967mg transcript:KQL07090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVASSRLLPRISALSFCTASPAATAASSSSSPSTAAAAAAATAAASEASSTSGDPSSQPPPAARKLWGALKVAAFAAVSAAVGGTGYASYAYSLEELDQMTREFRKKSKHPIPEDASGFEKFQAMAYAAAMKVPVAAIEGYLDVRSQIEDQIRGFSEPVSDKLLPDRAPQEQHILTLVLDLNETLVYSDWKRERGWRTFKRPGVDAFLEHLGRFYEIVVYSDQLSMYVDPVVDRLDPKGNIRHRLSRVATKYEHGKHYRVCGIYLLFW >KQL03525 pep chromosome:Setaria_italica_v2.0:V:2948630:2952008:1 gene:SETIT_001401mg transcript:KQL03525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEENHQNGLGSHGMGPWGTVTALRRLGSTATATPFRFCLTPSHRRRRCREQRSKKMAELDTFLFTSESVNEGHPDKLCDQISDAVLDACLAEDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFVSNDVGLDADHCKVLVNIEQQSPDIAQGVHGNFTKRPEDIGAGDQGHMFGYATDETPEMMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYHNDNGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEQYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKVPDKEILKIVLENFDFRPGMIIIHLDLKRGGNGRYLKTAAYGHFGRDDPDFTWEVVKPLKWETPSA >KQL03891 pep chromosome:Setaria_italica_v2.0:V:5235068:5235585:1 gene:SETIT_005435mg transcript:KQL03891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLKEHVNSSTTAGNCSKLEFMHSSSHKPHQTFPNVTILCSCEGRVHTLHPSCLLAPLHFQRSYLHD >KQL08326 pep chromosome:Setaria_italica_v2.0:V:44806287:44809700:-1 gene:SETIT_001035mg transcript:KQL08326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGWLHRSGDPSGADADADAASSSAASASSAGGSASRATRLRRGVRLRLRRRRQEPPTLPARGAGGAGVQDDLALPLGMSFAAVLAQVVNVKNHSGERLQPALLSKICTSAVKESLRNIYGDKLESFMKNFEKSFSSTLTTLHLVNEMPVFEQSPIPQCSSKHEDSAAASILSTGGPQNPPQEIRQDVLNSVESRLVLYAGSNQQLTRRTRGISSPEADQRILNAFERSLKEQTRSNELKEFEIGLSMRKLQLKQSQLELNSYSHMLEKMKISLGFQKASFRGEKFKTQMQDTRHAQILRTLIDFLVSAVIIMSVCFGYGTYIYSYQRITDITAACSATSRGPKSWWMPNSVSNFNSGLLFLRCHFIAATRMGFGIVMIMAIAWLAFQRSAVSGSNMPVTFNFILLGVMCGIAGRFCANTLGGDGNIWLICWEVLCSIHLLGNCYPSVLYRVLHGPISVSHSKEVVWFPYWIRRWVFYAVLGFIIPALTGFLPFASLSDWFNHFTKELKSIFVGDEIEA >KQL08588 pep chromosome:Setaria_italica_v2.0:V:46175333:46178747:-1 gene:SETIT_000573mg transcript:KQL08588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAVDVEDLLVRVKNGEDADLAAVAREVAALAEEGRLGEDDDEDGLLVPALLARLAAAGTAEARVSVMAALRRLAGCVAGESKERLASIEALSSVVRSLSRDIDERSEAIAVLLDLSDIPQVRQRIGRIKGCIVMLVTLRNAHESGTNDDAEKLLHILSSNPQNVLLMAEAGYFRPMIEYLKEGSDMNKVLMATAISKMFLSEQMKSSLGEDGAVEPLVQMFKYGNLEAKHSALGAIRNLSSSLQNAELLINSGITGPLLQLLFSVTSALMTLREPASAILAAIAQSERILLYKDVAPQMLSLLNLSSPVIQLHLLRALISISGHNNAKRARSKIRQNGGVQLLLPFLTEKNVDIKIAALNLTFHLSKDPSQELAEQFRETHLDILVKIISSPTSRDEKAAAVGILSNLPLTDKKITEILTRANLLPILIILCEANITASRTPQRSWLLEGIAGVFMRFTVTWDKKLQSLAVGCGVVPCLIKLLSEGSVDAKSKAATSLAQLSESTMTLRKSKSPRWLCVPPSAESYCIVHSCQCTVKSTFCLVKAGAVNPLLRMLEGEEREADVAVLEALATLMQDEIWENGSRVIERASGIHALLRVAEAGDLSSQEKAIWMLERIFRLDDHRERYGEIAQALLIDLAQKGDPSLKPMIGKILAHLELLQTQSSYF >KQL07918 pep chromosome:Setaria_italica_v2.0:V:42523001:42525139:1 gene:SETIT_000962mg transcript:KQL07918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLTVSALPVFHQDGCSYSSSSLACSPSPVQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQHDPKESVSRSSFFNWWYFGMCSGTAATTMVSSYIQDNVGWGLGFGIPCLVMVFALLAFLLGTRNYRYYTSTESSPFARLARAFVAMIRGSKSSQCDSLATDDAAHREEVKGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGSTLRVPPAALQTFISLTIMAFIPVYDRAFVPLARPFTRLSSGITMLQRIGTGLVLAMVAMAVAALVEMRRLGVARDAGLVDQPKAALPMTLWWMLPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFLSSFLISAIDGATKKSGASWFSNNLNRAHLDYFYWLLAGLCAVELAAFVIVSRVYVYKKRVSHDNGAVM >KQL07919 pep chromosome:Setaria_italica_v2.0:V:42523001:42525139:1 gene:SETIT_000962mg transcript:KQL07919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANTLLLPCSDGAVAGAVDFRGRPASRSGTGRWSAAMFVLGVEIAERFAYHGVSANLISYLTGPLGESTAGAAAAINAWSGVATMLPLLMACVADAWLGRYRTIVLASLLFVVSMGMLTVSALPVFHQDGCSYSSSSLACSPSPVQVAIFYVSLYLVALAEAGHKPCAQAFGADQFDQHDPKESVSRSSFFNWWYFGMCSGTAATTMVSSYIQDNVGWGLGFGIPCLVMVFALLAFLLGTRNYRYYTSTESSPFARLARAFVAMIRGSKSSQCDSLATDDAAHREEVKGVLRLFPIWATCIIYAVIFSQSSTFFTKQAATLDRRIGSTLRVPPAALQTFISLTIMAFIPVYDRAFVPLARPFTRLSSGITMLQRIGTGLVLAMVAMAVAALVEMRRLGVARDAGLVDQPKAALPMTLWWMLPQYVLFGLSDVFAMIGLQEFFYDQVPDALRSLGLAFFLSIFGVGHFLSSFLISAIDGATKKSGASWFSNNLNRAHLDYFYWLLAGLCAVELAAFVIVSRVYVYKKRVSHDNGAVM >KQL04489 pep chromosome:Setaria_italica_v2.0:V:9370842:9371913:1 gene:SETIT_0027671mg transcript:KQL04489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLGSVAPCVLYGSNVERLAAGQSTFANSCLPYTGLFMLGNSLFGWNCLAPWFSHPTRTAIRRRYNLEGSFEAFTRQCGCCSGLVEDEERREHLEVACDLATHYLCHPCALCQEGRELRRRAPHPGFNNGRSVFVMMPPMEQTMGRGM >KQL05550 pep chromosome:Setaria_italica_v2.0:V:25624807:25625337:-1 gene:SETIT_004344mg transcript:KQL05550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQIKMMTNGMYDKLVEKAFDFLNTDYDMILFPIHQKNDKVPDADGHWFTIAVNLEAKKFQIIDSARAPGNADFHISARVHCRIQAIWNSRLWLVHNQGNTILGWK >KQL04929 pep chromosome:Setaria_italica_v2.0:V:13136448:13138599:-1 gene:SETIT_000719mg transcript:KQL04929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVETSSPEHNGDAGKAARATRSYWRWHKDDFFPEPSFASWGAYCRALGATPSRLRDRFAGRSTDAAELGAMRRRSENEMRRCLTWWDLTWFGFGSVIGAGIFVLTGQEARDHAGPAIVLSYVASGLSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDVAAFIAAANLILESIIGTAAVARSWTSYLASLINKPASALRIHTSSLAEGYNELDPIAAVVIAVTATLAMLSSKGTSRVNWVASAVHVLVIGFVIVAGFIHAKPSNLRPFMPHGVPGVFRAAAIVYFAYGGFDNIATMAEETKNPSRDIPLGLLGSMSVITVIYCVMALVLSMMQPYTAIDRSAAYSVAFTNVGMHWAQYVVALGALKGMTTVLLVGALGQARYTTHIARSHIIPPVFALVHPRTGTPVHATALIAIASSCIALFSSLDVLSSLLSVSTLFIFMMMATALLVRRYYVRGVTTRTHALRFVALLAVVIASSVGIAAYWGTSPERWKGYVVLVPAWVAGTWGIQLLVPAARAPKVWGVPLVPWMPSLSIATNLFLMGSLGKDAFIRFGFCTAIMLVYYVLVGLHATYDVAHGACAGEDDDDVADDDVKKVAPVDVEKADAADGGR >KQL06914 pep chromosome:Setaria_italica_v2.0:V:36389864:36391644:1 gene:SETIT_004233mg transcript:KQL06914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDGISSKGNGEPKERSPARRRDGGSRLPPAKKLMHDAIRGRENEGRRWSGHDEAWGSGHAKDNEGMGDTCSLMVTTADGKKATVAAARHDFKFGDNQVSDALLARLSELGASAPRLVATKRLQSTDARANQNRLQLSGRSPLSRAFTDAERALLRTSTGMSVTAFDHRGCEYKMTCKLWKNDKHYRFMREGWKRFREAHHLTIANEARLTRRVTVELWAFRSRALPPPPAEEDCGHPYPDGVLGLVMLLREDGGEQEEAVDEEPAAAARARGRQVAGRRAVKGSRQGLAGGIRERACTARRACTGSTARLHASVHALLTGRPVQRARASDGPDPLMSDETLTVRRAGGR >KQL08219 pep chromosome:Setaria_italica_v2.0:V:44237907:44238431:1 gene:SETIT_003547mg transcript:KQL08219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIINDISMDYNPTTPTKVHLKLFSPTIPLSRISNQYTSQTIAQTDSDSSEKRLQEKQIQKQKFENLKPYQTGANYISCKNTFAHCML >KQL06517 pep chromosome:Setaria_italica_v2.0:V:33925543:33930239:-1 gene:SETIT_001823mg transcript:KQL06517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWVRAVVEAIYSSRSQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSRASMAQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPGLQVMGVGFTGSLASSRPKHGDHRFYVSTWTHNCLRTSHVTLSKGLRSREEEDEVSSCFLLKAIADTCRVSATIQSGIQEPELPEESTEQFDEDQELQQVIDGQVCMKVYHFAAPVETNFNRKVILPGSFNPLHDGHLKLLEVASSMYDDGLPFFEISAINADKPPLSIPEIKRRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLEILLKCKSTGTTFLVGGRMIEGVFKALEDLVIPEELSDMFISIPEEKFRMDISSTELRKSQGL >KQL06515 pep chromosome:Setaria_italica_v2.0:V:33926220:33930239:-1 gene:SETIT_001823mg transcript:KQL06515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWVRAVVEAIYSSRSQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSRASMAQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPGLQVMGVGFTGSLASSRPKHGDHRFYVSTWTHNCLRTSHVTLSKGLRSREEEDEVSSCFLLKAIADTCRVSATIQSGIQEPELPEESTEQFDEDQELQQVIDGQVCMKVYHFAAPVETNFNRKVILPGSFNPLHDGHLKLLEVASSMYDDGLPFFEISAINADKPPLSIPEIKRRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLEILLKCKSTGTTFLVGGRMIEGVFKALEDLVIPEELSDMFISIPEEKFRMDISSTELRKSQGL >KQL06516 pep chromosome:Setaria_italica_v2.0:V:33926223:33930239:-1 gene:SETIT_001823mg transcript:KQL06516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESWVRAVVEAIYSSRSQAVIYLAGGASQALGWLLSVPGASGTVLEVVVPYSRASMAQLLGKMPLQFTSKQAAEDMALAAYNRALKLSGPGLQVMGVGFTGSLASSRPKHGDHRFYVSTWTHNCLRTSHVTLSKGLRSREEEDEVSSCFLLKAIADTCRVSATIQSGIQEPELPEESTEQFDEDQELQQVIDGQVCMKVYHFAAPVETNFNRKVILPGSFNPLHDGHLKLLEVASSMYDDGLPFFEISAINADKPPLSIPEIKRRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLEILLKCKSTGTTFLVGGRMIEGVFKALEDLVIPEELSDMFISIPEEKFRMDISSTELRKSQGL >KQL07351 pep chromosome:Setaria_italica_v2.0:V:39261546:39262373:1 gene:SETIT_005009mg transcript:KQL07351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRLFLACMVLLSIAMAAAAARPATTTAADDAPPTGNCDQDMQDLISNCQDYVKFPADPKIPPSAACCAVVQRANIPCLCSKVTPTVETFISMDKVVYVASYCKRPMKPGSQCGSYTVPGGSLP >KQL08634 pep chromosome:Setaria_italica_v2.0:V:46392474:46393704:1 gene:SETIT_002831mg transcript:KQL08634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAATSLLAVVLLALAAPSLAGDPDMLQDICVADYKSLQGPLRLNGFPCKRPENVTADDFFSGLLANPGNTGNAVGSAVTAANVEKLPGLNTLGVSMARIDFAPWGINPPHTHPRATEIIFVLQGSLDVGFVTTANKLYARTVCRGEAFVFPRGLVHYQRNNGNTPAAVISAFNSQLPGTQSVAETLFGASPAVSTDVLARTFQIDGGLVEGIKSKFPPK >KQL03417 pep chromosome:Setaria_italica_v2.0:V:2238620:2239153:-1 gene:SETIT_005278mg transcript:KQL03417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIGQRSLALSCTVLLTNLLQLRIVEGESQHNHILVRYGIRVDLFFFKKRFLLSFDA >KQL07944 pep chromosome:Setaria_italica_v2.0:V:42718001:42719385:-1 gene:SETIT_002300mg transcript:KQL07944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFFTSLARGLDDLGRAGGLSSLPALLRAAALLRGLHSQLTLMVGQLHLPPGGRWLDEYMDETARLWDACLAVKLGLASVERYCAAASCAAAALDDWLQDPSPLATRQVMRAISASRREAMAAEEENRALADARIAPLSLQLDERLLLRATDARLTGFNGFRGLLYALHNASSLLLLILASGAVSRAAAGGGPCAAGSASADAAAGGGFMASIAMLQQRMAEEAESDGPGAPRIRMCEFRCARAAVEAAREEVERAAAAGRKCEGGGGVVKDKVEELKAWLDVLRTGTDSLVCQLDDFLDDIVEGRKELSDLCSH >KQL03360 pep chromosome:Setaria_italica_v2.0:V:1973644:1975428:-1 gene:SETIT_001679mg transcript:KQL03360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLSPPPPRAVSLLVLVAVLFTSTGAVTAATATAPHVRRRYDAIFSLGDSYADTGNGPVVFGWHAIANPVMRPPYGSTFFGRPTGRNCDGRLAIDFLAESLGLPLVPPFLARNGSATSFRRGANFAVGGAPAIDTTFFHRWDPPGGSVFPLNTSLGVQLQWFESLKPSLCATPKGCKELFGRSLFFVGPFGANDYLLSLAAKSVDEVRSFVPDVVGTISMAVERLIIHHGATTLVVPGVIPVGCAPPVLVTFADPDPAAYDARTGCLKAINGIAARHNALLQEALRELRDKHRPAGVTIIYADFFGPVIDMVTSPAKFGFEGDVLTLCCGGPGRFNYNKQVFCGDRGAVKCRDPSARLFWDGVHLTEAAYRYIAAGWLNRIKSPGSDGGGTNRTTAATATVESSC >KQL05637 pep chromosome:Setaria_italica_v2.0:V:26584532:26588663:1 gene:SETIT_003285mg transcript:KQL05637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKSLVARAGSSLLGRLLASPSQPLLRAGLPPLARLQPHVPPPPAAAVDAYEAEAVARLTSVPCEISFPCGLPSLRFFIDDVENPAANEPLLLIKRTYQPSTIKRKRTHGFLTRKSTKGGRKVIARRIAKGRHRLSV >KQL04352 pep chromosome:Setaria_italica_v2.0:V:8432817:8435045:-1 gene:SETIT_002553mg transcript:KQL04352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFAAARRAAASTAPLLLRATASASSGAHRGAALLRPLAAAAAARPTQPRAMPFSSAPATRPSSDAELLSVIDSEIKYAEDCDDHDRVEEIPDNFPFKITDAKGTNAVTLKRTYHGEQIEIVAHMPSLVTGDEPDHDRDDEDKGEDENDSNDDEGEKPPQSSVPLTVTITKGDGPVLEFTCTAYPDEVLIDSLSVMQPSGNDETDLIAYEGPDFNDLDENLQRAFHKYLELRGISPLTTNFLHEYMINKDSREYLFWLRKLKGYFKQ >KQL08041 pep chromosome:Setaria_italica_v2.0:V:43283068:43287165:1 gene:SETIT_002551mg transcript:KQL08041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENTTSRQVTFCKRRNGLLKKAYELSILCDAEIALVIFSSRGRLYEYSSNSVRSTIERYKKASASTSGTAPVIDVNSHQYFQQEAAKLHQQIQTLQNSNRHLMGESIGNMTAKELKSIESRLERGIGRIRSKKHELLLAEIEYMQKREADLQGENMFLRAKVAEVERAEQEAAEDQQMAPPPVGATTATTELQALPASFDPRGYYLQAQASMLAAASAASSSSSQHHPQLGQEHHHLQTALHLGYHIKVDSAAASKGFI >KQL06206 pep chromosome:Setaria_italica_v2.0:V:31695634:31700203:-1 gene:SETIT_002222mg transcript:KQL06206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERKVINKYYPHDFDPSKIPRRRRPKNEQIKVRMMLPMSIQCDTCGTYIYKGTKLNSRKEDVVGEMYLGIQIFRFYFKCTKCSAEITFKTDPQNSDYTVESGASRNFEPWREQDEAADKEKRKRDAEEMGDAMKALENRAMDSKQDMDILAALEEMRSMKSRHAGVSVDQMLEILKRSAHEKEEKAIAELDEEDEELTKSITLRNSGFYVKRIEDDDEDNNDDLVPGQSSRTGKIDCSSESVTKPTDVLSKANGSEGVNKEGSKSWMPKFIVKPKSAGADPKRQKTESTAVQDNGKAPVGDQKSEPAMQTNVLQSLCQNYDSDDSE >KQL06719 pep chromosome:Setaria_italica_v2.0:V:35189188:35193302:-1 gene:SETIT_001924mg transcript:KQL06719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIENQSQVARAVAAAEVAPVAGPRIPKEARRLLHELAAAWADVADCRALQVVPLKGAMTNEVYQVRWLTGVPSAGGEAGEPRGEREVRKVLVRIYGDGVDLFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCSTDEAKEFRLDSLESEITALQNEVSGDYHWIGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYNKYPDIDEQKRFVKTYLSISAGEEPDAEEVESLLQSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKPANLQS >KQL06718 pep chromosome:Setaria_italica_v2.0:V:35189887:35193132:-1 gene:SETIT_001924mg transcript:KQL06718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIENQSQVARAVAAAEVAPVAGPRIPKEARRLLHELAAAWADVADCRALQVVPLKGAMTNEVYQVRWLTGVPSAGGEAGEPRGEREVRKVLVRIYGDGVDLFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCSTDEAKEFRLDSLESEITALQNEVSGDYHWIGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYNKYPDIDEQKRFVKTYLSISGEEPDAEEVESLLQSIEKYTLASHLVWGLWGIISVSSCVRNFILSL >KQL06720 pep chromosome:Setaria_italica_v2.0:V:35190375:35193132:-1 gene:SETIT_001924mg transcript:KQL06720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIENQSQVARAVAAAEVAPVAGPRIPKEARRLLHELAAAWADVADCRALQVVPLKGAMTNEVYQVRWLTGVPSAGGEAGEPRGEREVRKVLVRIYGDGVDLFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCSTDEAKEFRLDSLESEITALQNEVSGDYHWIGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYNKYPDIDEQKRFVKTYLSISGKYEFIVLIDCLNLFARFLVEHVIVMQIYTPDALRA >KQL06721 pep chromosome:Setaria_italica_v2.0:V:35189188:35193302:-1 gene:SETIT_001924mg transcript:KQL06721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIENQSQVARAVAAAEVAPVAGPRIPKEARRLLHELAAAWADVADCRALQVVPLKGAMTNEVYQVRWLTGVPSAGGEAGEPRGEREVRKVLVRIYGDGVDLFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCSTDEAKEFRLDSLESEITALQNEVSGDYHWIGFCHNDLQYGNIMIDEETNMLTIIDYEYASFNPVAYDIANHFCEMAADYHSEKPHILDYNKYPDIDEQKRFVKTYLSISGEEPDAEEVESLLQSIEKYTLASHLVWGLWGIISDHVNDIDFDYKEYARQRFEQYWQKKPANLQS >KQL06722 pep chromosome:Setaria_italica_v2.0:V:35190987:35193302:-1 gene:SETIT_001924mg transcript:KQL06722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAIENQSQVARAVAAAEVAPVAGPRIPKEARRLLHELAAAWADVADCRALQVVPLKGAMTNEVYQVRWLTGVPSAGGEAGEPRGEREVRKVLVRIYGDGVDLFFDREDEVRTFECMSRHGQGPRLLGRFPNGRVEEFIHARTLSAADLRDPEISALVASKLREFHNLDMPGPKSVLIWDRLRNWLKTAKNLCSTDEAKEFRLDSLESEITALQNEVSGDYHWIGFCHNDLQYGNIMIDEETNMLTIIVSFMHPHPSNLNYIFSVLAIFVLAFSIIGYVPIM >KQL04860 pep chromosome:Setaria_italica_v2.0:V:12519585:12521343:-1 gene:SETIT_003884mg transcript:KQL04860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVPKIRIPRLRDLLSRPLGCLSGHPLQAFNDLFDQFDQTLSENTCAIQASLCNIARAPYRLAEKCGPVIEELIAAQRSASDPNNIGETSRRNNSGTEEDFVDHHNDQLFEAPGDGGVFRTPSSCYRDDVLRDGNGQNSYTTDPASSKTGGTTPCTKPHQEACRDDPARNTTCSVHIPPSSSLPDSNHDMNRINNLIDAIYCEEQSNHMHTLPSPRTTQFEDQAKTDQNIMVSGTLHVSEQRTGKRMTRKPAKYSSPFKYGIMSRPAPNVDAAMSLFAHVCADDSTLKSMPVIQFGSIPLTCDMIAQSFADGAIPDSTFITGFVKCLSYDDYWIRPECHGYRIFFDADLSAILNVEWHKRDSSEPKI >KQL08004 pep chromosome:Setaria_italica_v2.0:V:43079542:43081448:-1 gene:SETIT_003099mg transcript:KQL08004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGATTASSSATSGGGHDSPPVFIPLPPVCGRALPATRRRRRAPRRREGGGRRTDPAPPPPDFLGIDRWCMLLVLCVTIDGWHRRISSVHVVLLHRRVRMRIDRMGAIYLYRDLIGVMDWMDSFYAQVGLSVFACASSKYICVYCSVQTFDSSAITTICSAGHYLLTHYRVVYL >KQL06058 pep chromosome:Setaria_italica_v2.0:V:30371170:30374367:1 gene:SETIT_002610mg transcript:KQL06058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSRVPSQPAARGLLRRTPPRILPVERAPRRLALGVRAVSGGPGPGGSPVPRRSPAPADAAAVAPTSAPSSAASAIDFLTLCHRLKTTKRKGWINHSIKGPESIADHMYRMALMALIAGDLPAVDRERCIKIAIVHDIAEAIVGDITPSDGIPKAEKSRREQAALDEMCEVLGGGPIADEIKELWEEYENNSSIEANLVKDFDKVEMILQALEYEKEHGKVLDEFFLSTAGKFQTEIGKSWAAEVNARRKEGCGKQK >KQL07675 pep chromosome:Setaria_italica_v2.0:V:41133574:41136777:1 gene:SETIT_000234mg transcript:KQL07675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDILAPVLIQKPRLGLTCASRKTLVRVHHLLPCLSYHSLYPPLASRVSSSLAFPLVPRVPRVARGLAQVAGSLLCLCSVYALRWWWFGGAESISMVMDTGLHELCALLPGSKRDGHLPIYPQIAAAAANGFTAEDLESLLFLPHDGIAAAAGVGGGYLNVAPTTVVPPASTNRASPPRDAAAAPAPAAAGQPDDSEAFSDIVLGYINRMLMAEDIDDKFEHYPEHSALLAAEKPFLEILADQPTSSGGSAVESPDGSSVTNSCNSFGSCSCGAAASDGFGAVPTPALDFPSAAFLQPPQLYQDLSPESSVVDAGGPWPYDPTEFYQLQTNPVPEALLSQSPSFASSNGSGVALSDGFGSLLSSSGVMPDVGLTDFVVQSQQAMQFCRGLEEASRFLPDESKLVIDLEKPASVTGLVANVKEEKRFAEVKTEKADVEAAIHRGKKHFYGDDLDAEEGRCSKHSASAIDTDHLVREMMDKVLLCNGETCSKGVKELREALQHDVARHSHGGHGKGSGHGKGRGKKQPKKEVVDLETLLIHCAQSVATDDRRGATELLKQIRQHASPKGDGDQRLAYCFANGLEARLAGNGSQIYKSVIMTRFPCTDVLKAYQLYLAACPFKKISHFFANQTIMNAVEKAKKVHIVDYGIYYGFQWPCLIQRLSTRPGGPPRLRITGIDTPQPGFRPAERIEETGRYLKDYAQTFNVPFEFKAIASRFEAVQIEDLQIEKDELLIVNCMFKFKTLMDESVVAESPRNMVLNTIRKMNPHLFIHGIINGSYNAPFFVSRFREALFHYSAVFDMLETNIPRDNEQRLLIESALFGREAINVISCEGMERMERPETYKQWQVRNQRAGFKQLPMNQDIMKRAREKVRCYHKDFIIDEDNKWLLQGWKGRILMALSTWKPDHKSSH >KQL03873 pep chromosome:Setaria_italica_v2.0:V:5169387:5170805:-1 gene:SETIT_004880mg transcript:KQL03873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALLSAVASDLVGRLISFLISKFQEPGSTTTDDDVVRLQRALLRARVVVEEAEARQVTNRAMLLQLNQLRGEMCRGAYVLDAFTRRAVERSRRRPHATARRSLGLPPGSDATGELSVLVDTLEAALSDMREFIVLLGACPRLNRQPYSFYLFMETCMFGRQIEKEQIVSFLLQPAKDLDVLPVIGPHEVGKRTLVEHACLEERVREKFAKIHYLNSDNLILPSHEHHQGLIDTAARSLFVIDLAGGDVDEEERWKRFRSSVRRRAHGESKVVIISRTEAHSGLGTVPPLRLRKLRREELWYLFKAMAFGGSDPEERPELLRVAMALFAGIPDLTPFAAVNKIAASLRADLSARSWRRVLKVSTGVTVLQLGAGEWGIYYPRVPVKGAPPNAPCLFYDRRKSTGMARSELPTVTMLEVGRGVEWAGEKRFDVLVWQSRIPPYASYVATCDMERAAKVEAGKKRRLNKRRRGQL >KQL05642 pep chromosome:Setaria_italica_v2.0:V:26636744:26639987:1 gene:SETIT_001504mg transcript:KQL05642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLTSSASAGPDAPPVSGNKRKRSLPGNPDPDAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGTGKEAPKKKVYVCPEASCVHHDPARALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDALTEESAKAIGFNAMAAAAPAHHHHPLLFSPPPQVMQQHQVQDLAALQENHHQELMQPPQPQQHCNYAMKTEMPPWPAMTYDHHAHPLLQPLCHAAAQSSATSAPPPQLPAASAHLSATALLQKAAQMGATIAGAGAGGAGAHYTQMAGPATSAPGSATFGLGLPGLNAQQPARGRGGEDGGGGAGAGRGGDGMTRDFLGLRAFSHREILGLAGFDSSCMGAVAANADMGCYEPQQHAQAPAQQQQSSNEPWHGMGSHS >KQL07532 pep chromosome:Setaria_italica_v2.0:V:40360417:40363124:1 gene:SETIT_000906mg transcript:KQL07532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSSSGSIEAPANSRPGSFSFASTSFTDMLGGSAGAAGGASRYKAMTPPSLPLSPPPVSPSSFFNIPGGLNPADFLDSPALLTSSIFPSPTTNAFASQQFSWLSPQGAEQGAKEEQRQSYPDFSFQTAPTTEEAVRTTTTFQQPPVPPAAPLGEDAYRSQQQQPWGYQQQQGMDAGSNQAAYGGPFQAGSSDAGAIVAHVPASGGGYSQAQSQRRSSDDGYNWRKYGQKQVKGSENPRSYYKCTFPSCPTKKKVERSLDGQITEIVYKGTHNHAKPQNTRRNSSAAAQLLLQGGGDASEHSFGGTPVATPENSSASFGDDEAGAGSPRAGNAGGDEFDEDEPDSKRWRKDGDGEGISMAGNRTVREPRVVVQTMSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTTAGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSAAALYRPAPPPPADNYLAAPAGVRPPAMAYQTGQQYGFGGQSSFGLGAHAPAQSGGFGFSSGFDNAMGSYMSQHQQQQRQNDAMHASRAKEEPREDMFFPQSMMYN >KQL08804 pep chromosome:Setaria_italica_v2.0:V:47149669:47152149:1 gene:SETIT_001887mg transcript:KQL08804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATKSPLLPPPFLSASPRPHLRAAFLALRPRRVPLLATPAPDATPAPDATTTNNPFAALVDAPRTLWRRTLQPLGDYGFGKRSVWEGGVGLFMVSGAALLALALAWLRGFQLRSRFRKYNTVFEFTQACGICVGTPVRIRGVTVGSVVRVDSSLRSIDALVEVEDDKIIIPRNSLVEVNQSGLLMETLIDITPKDPLPAPSVGPLHPDCAKEGLILCDKQRIKGQQGVSLDAMVGIFTRLGRDMEEIGVSKSYKLAEKVASIMEEAQPLLTRIEALAEEIQPMLSEVRGSDLLKDVETIAKGLADASGDLRRLKSSMLTPENTDLIKQSIFTLIFTLKNIESISSDISGFTGDETTRRNIKLLIKSLSRLL >KQL07787 pep chromosome:Setaria_italica_v2.0:V:41761105:41763763:-1 gene:SETIT_001530mg transcript:KQL07787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQHACSRSHQVGALLLVAATFLLTRLFDRLLLDTSSPSSSSFPLNAARPSSSPDLRIYVYSEDEIQGLRTLLRGRDGTVAAATCLKGQWGTQVKIHQLLLKSRFRTFNKDQANLFFVPSYVKCVRMTGALNDKEINQTYVKVLSQMPYFRRSSGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKSDARAVQPIPLTKRKYLANFLGRAQGKAGRLQLVKLAKQYPDKLESPELKLSGPNKLGRLDYFKHLRNAKFCLAPRGESSWTLRFYESFFVVSSPLLLLLKDDFMLNGKS >KQL07788 pep chromosome:Setaria_italica_v2.0:V:41760278:41763768:-1 gene:SETIT_001530mg transcript:KQL07788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQHACSRSHQVGALLLVAATFLLTRLFDRLLLDTSSPSSSSFPLNAARPSSSPDLRIYVYSEDEIQGLRTLLRGRDGTVAAATCLKGQWGTQVKIHQLLLKSRFRTFNKDQANLFFVPSYVKCVRMTGALNDKEINQTYVKVLSQMPYFRRSSGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKSDARAVQPIPLTKRKYLANFLGRAQGKAGRLQLVKLAKQYPDKLESPELKLSGPNKLGRLDYFKHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDEAELPFQNVIDYREISIKWPSSRIGPELLEYLESIPDERVEEMIGHGRDMRCLWVYAADSEPCSAMSGILSELQRKVRRFHQSPETFWLHNRSIVNRDLVEFHSWRTPVPLP >KQL07786 pep chromosome:Setaria_italica_v2.0:V:41760278:41763763:-1 gene:SETIT_001530mg transcript:KQL07786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHQHACSRSHQVGALLLVAATFLLTRLFDRLLLDTSSPSSSSFPLNAARPSSSPDLRIYVYSEDEIQGLRTLLRGRDGTVAAATCLKGQWGTQVKIHQLLLKSRFRTFNKDQANLFFVPSYVKCVRMTGALNDKEINQTYVKVLSQMPYFRRSSGRDHIFVFPSGAGAHLFRSWATFLNRSIILTPEGDRTDKRGTSAFNTWKDIIIPGNVDDSMVKSDARAVQPIPLTKRKYLANFLGRAQGKAGRLQLVKLAKQYPDKLESPELKLSGPNKLGRLDYFKHLRNAKFCLAPRGESSWTLRFYESFFVMKLSFLSRM >KQL04518 pep chromosome:Setaria_italica_v2.0:V:9528001:9532718:1 gene:SETIT_002375mg transcript:KQL04518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQRWTSEEEAALRTGVAKHGVGNWRTILNDPELSSILCYRSNVDLKDKWRNMNVTVTASSSRDKARSAVKKTRAGPKNNDQPAAISTVTSDADDEIVDVKPIASVSSEAWNTSNSKKSQSHSRLDNIIMEAIKNLNEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLAASGKLIKVNRKYRIAPSSPRLEGRSPKMLLLEEVQKEPLKLGIDASKSLTRSQVDAELARIATMTPEAAAAAAARAVAEAEAIMAEAEAAAREAEVAEAEAQAAQAFAEAALLTLKNRNATKLMAQG >KQL04519 pep chromosome:Setaria_italica_v2.0:V:9528001:9532718:1 gene:SETIT_002375mg transcript:KQL04519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQRWTSEEEAALRTGVAKHGVGNWRTILNDPELSSILCYRSNVDLKDKWRNMNVTVTASSSRDKARSAVKKTRAGPKNNDQPAAISTVTSDADDEIVDVKPIASVSSEAWNTSNSKKSQSHSRLDNIIMEAIKNLNEPTGSHRTTIANYIEEQYWPPSDFDHLLSAKLKDLAASGKLIKVNRKYRIAPSSPRLEGRSPKMLLLEEVQKEPLKLGIDASKSLTRSQVDAELARIATMTPEAAAAAAARAVAEAEAIMAEAEAAAREAEVAEAEAQAAQAFAEAALLTLKNRNATKLMAQG >KQL04439 pep chromosome:Setaria_italica_v2.0:V:9048945:9052320:1 gene:SETIT_000141mg transcript:KQL04439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTGTVSSLLLLLLSGSMSVWTISTLAVAAAEADDEAALLAFKAAAIGSSGHGDLLPSWNGSSSTSAGGFCGWEGVTCGARHRRRVVALRLPFHRLAGVLSPAIGNLSFLRVLDLSSNSFAGEIPSAIGRLRRLRSLNLSSNAFAGELPANLTSCAALEVMTLQTNQLRGHIPPELGNKLPRLEVIVLWQNNLTGAIPASLANLSSLRIFAVGFNQLQGTIPPFFEGTLGLQHLDLAYNRLSGELPQSLYNMSSLKSLQVQGNMFRGRIPADIGTKFPNLPILSFGVNQFTGSIPASLSNLTNLQVLDLSRNRLSGYVPRTLGRLQALRTLRLHNNRLEANNREGWEFITSLSNCSNLQVLEINGNTDFTGQLPSSIANLSTTLQILLLGKTGISGSIPSAISNLIGLQVLAVTDTFMSGVIPESIGKLENLGSLGLYNTNVSGLIPTSIGNLSNLIELYANNANLEGAIPTSLGKLKNLITLNLSLNRFNGSIPTEIFKQPQLSRYLDLSYNSLSGPLPSEVGSLQNVNQLFLSGNQLSGEIPHSIGECTVLQELWLDNNSFEGRIPQSLNKIKGLSTLNLSMNRLSGSVPDAIGSIQNLQQLNLAHNNLSGTIPTNLQKLTSLTELDLSFNNLEGEVPKEGIFRNLANLSIIGNNGLCGGIPQLHLAPCHMTSVKNNRKRRLVSLPIALTVMGALLFLVFVVILILFNYKKLKQKQKNQFQPPMLEEQFGRISYDALANGTNGFSEDNLLGKGSFGEVYKCDFQDNRTIVAVKVFNLKQSGSARSFIAECEALRSVRHRCLINIITCCSSIDHQGQEFKALIYEFMPNGSLNDWIHPKSGMPTVSNTLSLAQRLDISVDIMDALDYLHNHCQPPIVHCDLKPTNILLAEDMSARVGDFGLSRILPESASKTLQNSNSMTGIRGSIGYIPPEYGEGSAVSTIGDVYSLGILLLEMFTGRSPTDDMFGDTVHLHKYAEHALRERILNIADSTIWLHVESKDSNIRSRIKDCLVSVFRLAISCSKQHPRDRMMMRDASAEMHAIRDSYTTCFPASLRSQKLQ >KQL07551 pep chromosome:Setaria_italica_v2.0:V:40468453:40468782:-1 gene:SETIT_004616mg transcript:KQL07551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWRKAALCRAILVLLVVASSAAVSTAQDESCWRDDDHHPICVTEDCMMTCKDHGHADGRCNWAWGRLIPHCQCLMANC >KQL07866 pep chromosome:Setaria_italica_v2.0:V:42242522:42244068:-1 gene:SETIT_001665mg transcript:KQL07866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPAEVPSHFLCPISLQLMRDPVTLPTGISYDRAAISRWLAAPAPAGGRSCPVTRVPLPTQLQLTPNNTLRRLIHAWLASLSPGAEVDDEDVAALRAPASGAEVAALLSDAAAAQVEALKRLRELVAECEDSRAVLESQDGVFDALSRVVSSGVACSTAREEAVGVLASLRIPEPEMAGVVARHSNLGEALTAVLRSSNLKSRANAVLLVRSLSEAAWPAWVIGLSQELLAEVVRVVRDRISTRATKAALHALAALCPYGRTRVKIVGAGAVPALVDLLLDDPERRVCELALAALDRLCTCAEGRAELVAHAAGLAVVGKKVLRVSEAATERAVRVLRSVARHAATPAVLQEMAQSGVVAKLCAVLRSEQCGVRTKEKAHEVLKLHSRTWRSSPCLSPKFLGLYPS >KQL06705 pep chromosome:Setaria_italica_v2.0:V:35129201:35139421:1 gene:SETIT_000258mg transcript:KQL06705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGSGCIPAIPGAAPPARGRLLGGAFLQVAAARPRAGRCRVAQNGRVRLGGRVVARASAAETPVAGAGEDAGAAFSEKFPLRRCQTVEGKAWVRVDAEPDGDGKCKVVVGCDVAGKWVLHWGVSYDGEHGSEWDQPPSEMRPPGSVPIKDYAIETPLEILPNSEGRYEVQIKFDKDTPIAAINFVLKEEETGAWFQHKGRDFRIPLSGSFDGGVPLGTNQDIGVWPGDLGHLKKHEGSNAQPQETIPGGTGLSGKHISGFYQEFQIIKSEYTQNFVTVTVKRDNETHKRLVEFDTDIPGEVVIHWGVCKDNSMTWEIPPEPHPPTTKIFRQKALQTLLQQKADGRGNSLSFLLDAEYSGLFFVLKLDEYTWLRNLENGSDFFISLTRAEQRGSTQDVDKVEPQKVDDKSSQADGIISDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDSVEASVDLDDPSIAKPACSGTGSGYEILCQGFNWESHKSGKWYVELGTKAKELASLGFTIVWSPPPTDSVSPEGYMPRDLYNLNSRYGTMDELKELVKIFHEAGIKVLGDAVLNHRCAQFQNSNGIWNIFGGRMNWDDRAVVADDPHFQGRGNKSSGDSFHAAPNIDHSQEFVRNDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPYGMELQGYAYILTHPGTPAVFYDHIFSHLQPEIAKFINIRSRQKIHCRSKIKILKAERSLYAAEIDEKLTMKIGSEHFEPSGPQNWIVAAEGQDYKIWEVSS >KQL06704 pep chromosome:Setaria_italica_v2.0:V:35129201:35138693:1 gene:SETIT_000258mg transcript:KQL06704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGSGCIPAIPGAAPPARGRLLGGAFLQVAAARPRAGRCRVAQNGRVRLGGRVVARASAAETPVAGAGEDAGAAFSEKFPLRRCQTVEGKAWVRVDAEPDGDGKCKVVVGCDVAGKWVLHWGVSYDGEHGSEWDQPPSEMRPPGSVPIKDYAIETPLEILPNSEGRYEVQIKFDKDTPIAAINFVLKEEETGAWFQHKGRDFRIPLSGSFDGGVPLGTNQDIGVWPGDLGHLKKHEGSNAQPQETIPGGTGLSGKHISGFYQEFQIIKSEYTQNFVTVTVKRDNETHKRLVEFDTDIPGEVVIHWGVCKDNSMTWEIPPEPHPPTTKIFRQKALQTLLQQKADGRGNSLSFLLDAEYSGLFFVLKLDEYTWLRNLENGSDFFISLTRAEQRGSTQDVDKVEPQKVDDKSSQADGIISDIRNLVVGLSSRRGQRAKNKVLQEDILQEIERLAAEAYSIFRSPTIDSVEASVDLDDPSIAKPACSGTGSGYEILCQGFNWESHKSGKWYVELGTKAKELASLGFTIVWSPPPTDSVSPEGYMPRDLYNLNSRYGTMDELKELVKIFHEAGIKVLGDAVLNHRCAQFQNSNGIWNIFGGRMNWDDRAVVADDPHFQGRGNKSSGDSFHAAPNIDHSQEFVRNDLKEWLCWMRKEVGYDGWRLDFVRGFWGGYVKDYLEASEPYFAVGEYWDSLSYTYGEMDYNQDAHRQRIVDWINATNGTAGAFDVTTKGILHAALERSEYWRLSDEKGKPPGVLGWWPSRAVTFIENHDTGSTQGHWRFPYGMELQGYAYILTHPGTPAVFYDHIFSHLQPEIAKFINIRSRQKIHCRSKVKCK >KQL07754 pep chromosome:Setaria_italica_v2.0:V:41567479:41571778:-1 gene:SETIT_000111mg transcript:KQL07754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNECIMSIQSLPGEYFCPVCRTLIYPNEALQTQCTHLYCKPCLAYVAATTKACPYDGYLVTETDSKPLTESNKSLAETIGKVTVHCLYNKSGCQWQGTLSACITHSTACAYGNSPVVCNRCGTQIVHRQVQEHAQLCPGSQSQTHQADGSQAQPSAATTQAITQDPSLAPAGSAAAPAMTLPSAATATAVTASAAATGGATGASTATAPPSLAAASAASSQTPTAEQWYQQQLQCSQYYQQQYPGYNPYMQQYQQYGQYQQVHQQYTQPQMQVASQNMTQGSAQPTSYVQPQVQSSQPQLMTQPQSQPRLPPPTGYAQPQQHPVQPQPQPQPQSQPHLPHLQAPSGQSQPQQPIQSAPQVPQLHPQSQVPLQLSGPTLQPAQVQAQPPQQQQTVAQQQHAHMQALPPQQNLQPQMQLNSQVKLQSPQVQQQSYPQPQAYAPQSQQQMPHSASLQHPVSQHPASMRPPLPGQQPAMQTPQGIQHTTQHQQHIGYPTQRPQMHSVIPSQTSLQGLPPHSYVSSQGQPYQQGIPSSQQHLHSQPSQPHGQPYMQQHVPGYGYAGHHVQTSAGRPTSHLAPPQQFLHQPGGPVNSFQDAIKQQPTMLRSDNVVLASQLPAAGQPMGQGSVALGTHASQPGKSETASNAADSTAVSESKNNGPESAVMRPTMLKGLGDENINREHNDFGGVRKDAVQTGVASHITDGSIGRDGIAAWAGNSNGPVQGGKEHKASDNCEKGGSLQKASQKNAGAPGSFVPPGMGSKHPSGPERMLPQHLMHPGPKHGFSENIQPPMQKSYGSFHSGSTSRLFGENQIQMPMSQPGGIRPGDCDGMIRPPMVGPLPDQDKMFPPFVPEHLSWPHPLGNTLIQSGSLVSGRAFPDEGFNTSGEHLKPLPAYPGRHNNIEDDLRQFPGPSHLDGPGLQMGPRPFERALGRPDSFSDSLPGRPPFPNQKSPFPVALHEDFSRKPNAMARHSDFLPHGAEFNHHGADVMPNFRNPGMSGGPRKDQLGSGNLPGNVQHAFDGPEFPPHFLPGHMYPGDPNLFADYSRHGFPKEPVHFGLGGPLRNGDVGWCRICMFNCGSAENLDLHVQTREHQQFAMDIILKMKQDVAMQKKMNYRGPKSFHKKVAGKGHFRGNRR >KQL05423 pep chromosome:Setaria_italica_v2.0:V:24170783:24189819:-1 gene:SETIT_000017mg transcript:KQL05423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMEAGASTSAGALPRRRRDALALTLASRRLPVGAADAGERVPGAVAPEVMPFVRAADEVEPLNPRVAFLCRRYAFKKVQRMDPSSTQRGVRQFKTYMSIKLDQDDTQVLGNDASEIQQFYKKYCASMSQISEKRNFEEMARYYQVASALYEVLRDVTDNKVDPQVIRCAEMIEEKGRHFKNYKYNIIPLNFPGSSEAIVELPEIRGAIDAISVIDGLPMPHMSSVHRQGDKIIHDLLDWLSLAFGFQKSNVENQRENMVLLLANISTRTAAQEGHPLVDTVNELWEKITGNYKSWCRYLHVSNNIMISHDVAEHKKQQLKLLHIGLYLLIWGEASNVRFMPECLCYIFHHMAKQLHDMVDGNYFQPPPGFEEEGSFLENVIEPIFKVLQKEAQKSRGGTAGHSAWRNYDDLNELFWSEKCFTKLKWPWDLTSDFFYQGRGTARKPKTNFVEVRTFLHIFRSFNRMWIFFILAFQAMLIVSWSSSGSLSGITDGTVFRNVLSIFMTAALLNFITVTLDILLTVQAWGSMEWTKIVRYLLKFIVAIAWMVILPVTYSSSIKYPSGAGKILNSWIGNWYNQSVYNVAIVIYMIPNILAALFFLLPQIQNFMERSNSRVFVLLMWWIQPRLYVGRAMHEDILSILKYVFFWAVLLISKLAFSFYVEISPLIDPTKFILGQQVGNYEWHQIFPFLPRNLGVVITIWAPIVLVYFMDIQIWYAIFSTVFGGVSGALSHVGEIRTLGMFRVRFKSMPEAFSKCIAAKQREQALNQGSFFCVWNSFINSLREEDLISDREKHILMAPSSSINLSVTQWPPFLVASKVPAALHMAMNSKEGDEHELIEKVKLDRDRYNAVIECYESLMIILNNLLLDSNDRNIVNEIDRKVTYSMTNKTFLEDFEMIEIGKVSATLAKLLQLLKSEPINGADERKIVNALQDFMEITTRDFMKDGQSILKDENERKQSFTNLNMDMVKDDFWREKFVRLHLLLTMKDSAMDVPTNLDARRRITFFANSLFMRMPRAPHVHDMISFSVLTPYYNEEVLYSSYELNRKNEDGISILFYLQKIYPDEWNNFLQRIGVDPDDEAAVKGRMDDIRLWASYRGQTLARTVRGMMYYRRALELQCYEDMINDEANFGGEEAARSKAIADIKFTYVVSCQLYGVHKASKDSREKGLYENILNLMLTYPALRIAYIDEKEVQLPNGKIGKQYYSVLVKGDDEEIYRIRLPGKPTDVGEGKPNNQNHAIIFTRGEALQAIDMNQDNYLEEAFKMRNLLEEFLITHGKSKPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDVFDRLFHLTRGGISKASKVINLSEDIFAGFNSTLRRGNVTHHEYIQLGKGRDVGMNQISNFEAKVANGNGEQTLCRDIYRLGHRFDFFRMLSLYFTTVGFYFNSMVAVLTVYVFLYGRLYLVLSGLERSILQDPNIQNIKPFENALATQSVFQLGMLLVLPMMMEVGLEKGFGRALAEFVIMQLQLAPVFFTFHLGTKTHYYGRTILHGGAKYRATGRGFVVRHAKYAENYRMYSRSHFVKALELLILLVVYLAYGSSYRSSSLYLYVTVSIWFLVFCWLFAPFVFNPSCFEWHKTVDDWMDWWKWMGNRGGIGLAPEQSWEAWWMSEHDHLKNATIRSLLLEFILSLRFLIYQYGIVYHLHIVHENKSFMIYALSWLVIAVALVSLKVVSMGREKFVTRFQLVFRILKGIVFIVLIGLLVLLFVGFDLAIADVGASILAFIPTGWFILLIAQLCGPLFRRLIIEPLHTLCCPYGTGGACRGPCCAKFRQRTGAGLRKMGPWDSIQEMARMYEYTMGLLIFLPIAVLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQNGSGTKRD >KQL06084 pep chromosome:Setaria_italica_v2.0:V:30528536:30531835:1 gene:SETIT_001591mg transcript:KQL06084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSATSSMASVSRALRPRPRAAACSAPRLGCGLGIACSMPSLGLAVVTAPSATVTPALRRRQILCQAEANISNNLPEKLPAKVSQPAKVSQPAEVSQPAEVSQYEKIVELLTTLFPVWVIIGTVIGIYKPAMVTWLDTDLFTIGLGLLMLSMGLTLTFEDFRRCLRNPWTVGIGFLAQYCVKPLLGLAIATTLKLPAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTVLGGA >KQL06087 pep chromosome:Setaria_italica_v2.0:V:30528227:30533723:1 gene:SETIT_001591mg transcript:KQL06087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSATSSMASVSRALRPRPRAAACSAPRLGCGLGIACSMPSLGLAVVTAPSATVTPALRRRQILCQAEANISNNLPEKLPAKVSQPAKVSQPAEVSQPAEVSQYEKIVELLTTLFPVWVIIGTVIGIYKPAMVTWLDTDLFTIGLGLLMLSMGLTLTFEDFRRCLRNPWTVGIGFLAQYCVKPLLGLAIATTLKLPAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTVLGVLAHEYFPKFTERIITVAPLFGVLLTTLLCASPIGQVAEVLKTQGAQLIIPVALLHVAAFALGYWLSRFSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVAMALGGSALAVFWRSIGLPANDKDDFKE >KQL06085 pep chromosome:Setaria_italica_v2.0:V:30528227:30532267:1 gene:SETIT_001591mg transcript:KQL06085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSATSSMASVSRALRPRPRAAACSAPRLGCGLGIACSMPSLGLAVVTAPSATVTPALRRRQILCQAEANISNNLPEKLPAKVSQPAKVSQPAEVSQPAEVSQYEKIVELLTTLFPVWVIIGTVIGIYKPAMVTWLDTDLFTIGLGLLMLSMGLTLTFEDFRRCLRNPWTVGIGFLAQYCVKPLLGLAIATTLKLPAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTVLGVLAHEYFPKFTERIITVAPLFGVLLTTLLCASPVSITKNLLE >KQL06086 pep chromosome:Setaria_italica_v2.0:V:30528227:30533723:1 gene:SETIT_001591mg transcript:KQL06086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSATSSMASVSRALRPRPRAAACSAPRLGCGLGIACSMPSLGLAVVTAPSATVTPALRRQILCQAEANISNNLPEKLPAKVSQPAKVSQPAEVSQPAEVSQYEKIVELLTTLFPVWVIIGTVIGIYKPAMVTWLDTDLFTIGLGLLMLSMGLTLTFEDFRRCLRNPWTVGIGFLAQYCVKPLLGLAIATTLKLPAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAAGLAISTFQVVLLPTVLGVLAHEYFPKFTERIITVAPLFGVLLTTLLCASPIGQVAEVLKTQGAQLIIPVALLHVAAFALGYWLSRFSSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVAMALGGSALAVFWRSIGLPANDKDDFKE >KQL07075 pep chromosome:Setaria_italica_v2.0:V:37522341:37526655:-1 gene:SETIT_001361mg transcript:KQL07075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASARAAQAQPQQPSADRRLSTLVGHLLPSSPRRTAADTSATTLESFPTMASQGSSVFAALAQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLAEFNKLSAKLIFGADSPAIQENRVTTVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLSGLTVRSYRYYDPATRGLDFKGLLEDLSSAPLGSIVLLHACAHNPTGVDPTIDQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPLHGPSIVATILKDSEMFNEWTLELKAMADRIISMRQQLFDALKSRGTPGDWSHIIKQIGMFTFTGLNSDQVAFMRQEYHIYMTSDG >KQL07076 pep chromosome:Setaria_italica_v2.0:V:37521598:37526744:-1 gene:SETIT_001361mg transcript:KQL07076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASARAAQAQPQQPSADRRLSTLVGHLLPSSPRRTAADTSATTLESFPTMASQGSSVFAALAQAPEDPILGVTVAYNKDPSPVKVNLGVGAYRTEEGKPLVLNVVRRAEQMLINNPSRVKEYLPITGLAEFNKLSAKLIFGADSPAIQENRVTTVQCLSGTGSLRVGGEFLARHYHERTIYIPQPTWGNHPKVFTLSGLTVRSYRYYDPATRGLDFKGLLEDLSSAPLGSIVLLHACAHNPTGVDPTIDQWEQIRQLMRSKSLLPFFDSAYQGFASGSLDKDAQSVRMFVADGGELLMAQSYAKNMGLYGERVGALSIVCGSADVAVRVESQLKLVIRPMYSNPPLHGPSIVATILKDSEMFNEWTLELKAMADRIISMRQQLFDALKSRGTPGDWSHIIKQIGMFTFTGLNSDQVAFMRQEYHIYMTSDGRISMAGLSTRTVPHLADAIHAAVTQLK >KQL07581 pep chromosome:Setaria_italica_v2.0:V:40650094:40652094:1 gene:SETIT_000595mg transcript:KQL07581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTAASAATAAAAALPSPSQRRVPAAKSVWLNPNLPTSHPLHHRHKSAAELQQRQHEDRTIDVSALVSALSVSRTLADLAAALAPHRPVSARLLGALLSRLSDPRRGVALLDLLAPDLPAPALLIPYNLLLRSACRAGDLRLASGLLLEMRDRGVAPDAFSYSTLLAALTRAGHLDHALTFLPLMEDDAVAPDLILFSNLIHLALRGGDAPKALALFSRLRGAGIRPDLKAYNAAVAAYCKSDLLRDAKRLLLHDMPADGVAPDAESYSPILAALARRGRHLAAVSLFSHMRAVARVKPDLSVFNIVLNAYGQLDLAREADRLFWNMRRAGVAPSVVTYNTMLRVYGDAGLFGEAVHLFDLMRSASDGTGGAGSSVKPNVVTYNTMIAIYGKSLEDEKAGSLVQDMQANGVQPNAITYSTILSIWVKAGKLDRAAKLFEKLREAGTEIDPVLYQTMVVAYERAGLVSQAKRLLHDLKEPEGIPKETAIRILASAGRVEEAAWLFRRAANAGEIKDSSVHRAMMDLFAKNRRHRNVVEVFDEMRKLGQLPDSEMIATTMNACGKLKEFDKAAALYQAMREEGCVFSDRVHFQMISLLGAQKDFKALETLVGELSHDPSIDKRELYLVAAGIYERADKFDKASQIISQIRSSNGFDVRKFR >KQL07740 pep chromosome:Setaria_italica_v2.0:V:41473739:41476975:-1 gene:SETIT_000923mg transcript:KQL07740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGEEEEEREARSEAAFTDSVDGSSSSSDAASTDEWLPVPAPARKPPGCCAPDSEVAKQQHKHKRRAPSEMELMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCATIFGQLWRLEPLPPEKKAMWRREMDWLLCVSDHIVELVPTWQSFPDGTRLEIMTSRPRSDLYINLPALRKLDHMLLEILESFRDPEFWYVEQGIAAPDCDGSASFRAAFHRRDEKWWLPVPRVPPGGLHDKTRKQLQHKRDCANQILKAAMAINSNSLAEMEVPESYLDSLPKNGRATLGDIIYRYITSDQFSPECLLDCLDLSTEYQALEIANRVEASVYVWRRKVAAKPVNGLGRSSSARSSWGMVKDMMVDTEKRELLAERAEGLLICLKQRFPGLTQTSLDMSKIQYNKDVGKSILESYSRVLESLASNIVTRIDDLLNIDELNRHAEQFSATGDADCKIACSQAAVTSFPVPASGTPFMTAYATPSFSPAQLASPSKKERTSLTPGRRSQHSRSTGAKKALTDHVSTEVKGMIISSGMMIDVSTTTEL >KQL08671 pep chromosome:Setaria_italica_v2.0:V:46602480:46604235:1 gene:SETIT_004574mg transcript:KQL08671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVNGSYPGPTIYAREGDRVVVAVTNRVAYNVTIHWHGLKQRRNGWADGPAYVTQCPIQPGGTYAYDFNVTGQRGTLWWHAHIAWLRATVNGAIVVLPARGVPYPFPKPDAEAEIILGEWWHADVEAVEKQGRALGMAPNTSDAHTINGKPGPLFPCSEKHTHALQVQWGKTYLLRIINAAVNDELFFSIAGHTMTVVEIDATYTKPLSASAIQLSPGQTTNVLVRADQRPGRYFMAAKPFNDAAVPADNKTATAILQYAGVPASVLPAPPRLMPETNGTGFVAAFHDRLRSLNSARYPAALPLAVDRRLLYAIGLNIDPCASCPKGSRLAASLNNITFVMPRVALLQAHYGGLKGVFTADFPDRPPARFNYTGAPLTAGLGTSLGTRLSRVAYNASVELVLQDTNLLSVETHPFHLHGYNFFVVGRGVGNFDPTKDPSKYNLVDPPERNTVGVPAGGWTAIRFRADNPGVWFLHCHLEVHTSWGLRMAFLVEDGDGPDESVLPPPKDLPEC >KQL06129 pep chromosome:Setaria_italica_v2.0:V:30859729:30860199:-1 gene:SETIT_003894mg transcript:KQL06129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLLRLSVGQVLRSASAIVSRPLSGSHILRIDGCSHLKEAIRHGEGTESCDFNVGDHTWRLLCYPNGSNSKCRRHFAVYLKLVSDTEDEPLGKPVLLRDVGMHKFTHGDSWGFHDFICRKQLEKSEYLKDDRFAILCNVSIIT >KQL05781 pep chromosome:Setaria_italica_v2.0:V:27812788:27815830:1 gene:SETIT_002271mg transcript:KQL05781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPDAARNVVGIIGNVISFGLFLSPVPTFWRICKAKDTEEFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAAYLTIFFLYSDNKKRMRAFLVLAVEIVFMVIVVLSVLLAAHTHEKRSMIVGILCVIFGSLMYASPLTIMGKVIKTKSVEYMPFFLSLVCFLNGLCWTAYALIRFDLYVTVSTYLSTVHELLLNFNMRIRLQAEASANMCSSCAHANNADPQRPRHLLRPGPADPVPLLLQVHPQEGEERGAAHRRLRRQRWRRRRRQRLRHRRAIDEEAAAKPPATVEKELDETYFSARSSFASC >KQL05782 pep chromosome:Setaria_italica_v2.0:V:27812788:27815830:1 gene:SETIT_002271mg transcript:KQL05782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPDAARNVVGIIGNVISFGLFLSPVPTFWRICKAKDTEEFKADPYLATLLNCMLWVFYGLPIVHPNSILVVTINGIGLVIEAAYLTIFFLYSDNKKRMRAFLVLAVEIVFMVIVVLSVLLAAHTHEKRSMIVGILCVIFGSLMYASPLTIMGKVIKTKSVEYMPFFLSLVCFLNGLCWTAYALIRFDLYVTIPNALGTFFGLVQLILYLCYYKSTPKKEKNVELPTVASAGNVGGGGGGNVSVTVER >KQL07775 pep chromosome:Setaria_italica_v2.0:V:41678847:41680958:1 gene:SETIT_004007mg transcript:KQL07775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAQPDPEPPPIHRLLELIKSEPDPATALSHLELLVSTRPAFPTPQPLIFHLLRRLAASSPSHLPRLLGLLPRMRHRPRFSESAALVVLSAFSRALMPDAALAAFRDLPSLLGCNPGIRSHNALLDAFVRSRRFSDADAFFTSLSHGAFGRRLAPNLQTYNIILRSLCARGDVDRAVSLFGSLRRRGVDPDRVTYSTLMSGLAKHNQLDNALDLLDEMPNCGVQADAVCYNALLSGCFKNGKFEKAMRVWEQLVRDPGASPNLATYKVMLDGLCKLGRFKEAGVVWSRMVANNHQPDTVTHGILIHGLCRSGDVDGAARVYSEMVKAGLILDVAVYNSLIKGFCEVGKTGEAWKFWDSVGFSGIRDITTYNIMMKGLLDSGMVNEARELLAQLENDASCSPDKVTFGTLIHGLCENGFAYKAFEILEDARTGGKELDVFSYSSMINRFCKDGRTDDANKVYENMVKDGCKPNSHVYNALINGFCRARKISDAVKIYIEMAGNGCSPTMITYNTLIDGLCKAEKYQEASSLTREMIERGFTPDIKTYGSVIRGLCRDKKIDSALGIWNEILDAGLQVDVMVHNILIHGLCSAGKVDEAFRLYLEMKEKKNCSPNLVTYNTLMDGFYEIGSIDKAASLWTTILDNGLKPDIVTYNTRIKGLCSCNRTPEGVLLLDEVLARGIIPTVITWNILVRAVIKYGPIQI >KQL03308 pep chromosome:Setaria_italica_v2.0:V:839615:844150:1 gene:SETIT_000524mg transcript:KQL03308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILISETMFERVTGLLGSLSILPQKEQPKETIRRQSSMTDVKLRSSESMSNLPKLRRNASTSSDMSSLASQGPSTNTAPLRRASSWTFDEKILVQALYKVLHSVSKKYPIVLYIRDVEKFLHKSPKMYLLFEKLLNKLEGPVLVLGSRIVEMDVDEELDDRLTVLFPYNIEIKPPENENHLVSWNSQLEEDMKMIQFQDNRNHILEVLAENDLECDDLGSICLSDTIGLSKYIEEIVVSAVSYHLMNNREPEYRNGKLVISAKSLSHALEIFQENKMSDKDSLKLEVTADALKAAEKGTAPTAAKSETKPATLLPPVRPPAAASAAPAAAAAAAAPPAVESKPAPEKKDSPPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVSFDDIGALDDIKESLHELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQRILVLAATNRPFDLDEAIIRRFERRIMVGLPSMESRELIMRRLLSKEKVDEGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKLKREKGGAPSDSTKKKEKEEPIILRPLNMTDLKEAKNQVAASFAAEGAIMGELRQWNELYGEGGSRKKQQLTYFL >KQL06353 pep chromosome:Setaria_italica_v2.0:V:32794580:32795082:-1 gene:SETIT_003648mg transcript:KQL06353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSYTILFPKLHLQPFNFKQSTQRGFKSRKYKRRGSEVHPRPHSSNQATAKLLKSHYIVLEPIV >KQL08261 pep chromosome:Setaria_italica_v2.0:V:44474765:44476803:-1 gene:SETIT_000841mg transcript:KQL08261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDVRFVDPSSYHDRRSDLAVAPVVAPPVAMPAANPYAAAYPPVPAASAGGDYPRYGQGGRGRGGGGRGGGGGYGGGGGYGGGGRGGRGRDGLDTLALPKPDFRSLIPFEKNFYVESPSVQAMSDADVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDLLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVTIGSADLKANHSIQQIVEVISDQEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQSERDYVLAEFKSGKSPIMAATDVAARGLGMMIHLNIRIR >KQL08263 pep chromosome:Setaria_italica_v2.0:V:44474765:44476803:-1 gene:SETIT_000841mg transcript:KQL08263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDVRFVDPSSYHDRRSDLAVAPVVAPPVAMPAANPYAAAYPPVPAASAGGDYPRYGQGGRGRGGGGRGGGGGYGGGGGYGGGGRGGRGRDGLDTLALPKPDFRSLIPFEKNFYVESPSVQAMSDADVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDLLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVTIGSADLKANHSIQQIVEVISDQEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQSERDYVLAEFKSGKSPIMAATDVAARGLGMMIHLNIRIR >KQL08262 pep chromosome:Setaria_italica_v2.0:V:44472761:44476962:-1 gene:SETIT_000841mg transcript:KQL08262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDVRFVDPSSYHDRRSDLAVAPVVAPPVAMPAANPYAAAYPPVPAASAGGDYPRYGQGGRGRGGGGRGGGGGYGGGGGYGGGGRGGRGRDGLDTLALPKPDFRSLIPFEKNFYVESPSVQAMSDADVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDLLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVTIGSADLKANHSIQQIVEVISDQEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQSERDYVLAEFKSGKSPIMAATDVAARGLDVKDIKCVINYDFPTTLEDYIHRIGRTGRAGASGTAFTFFTHANAKFSRNLVKILREAGQVVNPALESMSKSASSSGGGNFRSRGRGGFGNRGHISGSNSFPLGGRRPY >KQL08260 pep chromosome:Setaria_italica_v2.0:V:44474765:44476803:-1 gene:SETIT_000841mg transcript:KQL08260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYDVRFVDPSSYHDRRSDLAVAPVVAPPVAMPAANPYAAAYPPVPAASAGGDYPRYGQGGRGRGGGGRGGGGGYGGGGGYGGGGRGGRGRDGLDTLALPKPDFRSLIPFEKNFYVESPSVQAMSDADVAQYRRLRDITVEGRDVPKPVRYFQEANFPDYCMQAIAKSGFVEPTPIQSQGWPMALKGRDLIGIAQTGSGKTLSYLLPGLVHVGAQPRLEQGDGPIVLILAPTRELAVQIQEESTKFGSYSRTRSTCVYGGAPKGPQIRDLRRGVEIVIATPGRLIDLLEAGHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVAQIRPDRQTLYWSATWPREVEALARQFLQNPYKVTIGSADLKANHSIQQIVEVISDQEKYPRLSKLLSDLMDGSRILIFFQTKKDCDKITRQLRMDGWPALSIHGDKAQSERDYVLAEFKSGKSPIMAATDVAARGLGMMIHLNIRIR >KQL05903 pep chromosome:Setaria_italica_v2.0:V:28951122:28952133:-1 gene:SETIT_004894mg transcript:KQL05903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTGSEAAAGQPEQVQVATKFGRTGRVRLRAAGVRVDTTILIEGTRSDHRCSPAQVALAWVLHQGDYLVPVPGTTKIEKQDANIDSFKVKLTHEAFNDFEEITRQIREEDVTGDRQNTLFAHTNWNYADTTRK >KQL07321 pep chromosome:Setaria_italica_v2.0:V:39105257:39105739:1 gene:SETIT_005298mg transcript:KQL07321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLCLYALLVLLLCRGKAWWPGGWWASQKRGTEAAGVSAAPTPVARQRREPGETTPAAAAPVASAAAPGRRASVPQLLAAAAVLL >KQL03460 pep chromosome:Setaria_italica_v2.0:V:2514571:2519040:-1 gene:SETIT_002107mg transcript:KQL03460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKVGLKKGRWTKEEDEILGRYIKEHGEGSWRSLPKNAGLLRCGKSCRLRWINYLRADLKRGNISEEEEEMIIKLHATLGNRWSLIAGHLPGRTDNEIKNYWNSHLSRRAADFRDGVVVNVDLSKLPGGGKRRGGRASRGIMAAAKAGKEKKVKEKEKDKGKNKAAEAEQQLKLEEEDMAVSTPSSHSQPCATDKSGEEQAQASASGVTSDDGPEEDPLALSEEMMSALLGPVSPKLEVGPDGSGMDSDSGPSSLVVDSESGPGGPTGDVAQELGENDKAIMDWDLMALDISTAGDMWDPLVWDYADMDIVVPDGGHQQQQEDVVSDLFFLDNM >KQL06004 pep chromosome:Setaria_italica_v2.0:V:29983576:29987023:1 gene:SETIT_001666mg transcript:KQL06004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVRTPRFQPRPTSISAPASASTSTSLAAANARPRPGAAVRASAASPFTEATSSSRYRRDAWSYAADGSSSPASSSSSPSDAAAAAAAAAAAAGRRDDEIALQLPELRRLLDALRASRGRSAEGEGGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEIIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLYVAGNAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFALLKDLVDEVKLADLVSPTLIIIGKVVALSPFWVEPSEHDALKTENSYASEAR >KQL06003 pep chromosome:Setaria_italica_v2.0:V:29983576:29987023:1 gene:SETIT_001666mg transcript:KQL06003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVRTPRFQPRPTSISAPASASTSTSLAAANARPRPGAAVRASAASPFTEATSSSRYRRDAWSYAADGSSSPASSSSSPSDAAAAAAAAAAAAGRRDDEIALQLPELRRLLDALRASRGRSAEGEGGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEIIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLYVAGNAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFALLKDLVDEVKLADLVSPTLIIIGKVVALSPFWVEPSEHDALKTENSYARSMKSELWTAALYTGSP >KQL06005 pep chromosome:Setaria_italica_v2.0:V:29983592:29986646:1 gene:SETIT_001666mg transcript:KQL06005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVRTPRFQPRPTSISAPASASTSTSLAAANARPRPGAAVRASAASPFTEATSSSRYRRDAWSYAADGSSSPASSSSSPSDAAAAAAAAAAAAGRRDDEIALQLPELRRLLDALRASRGRSAEGEGGGGGPGRVALVGTGPGDPELLTLKAVRAIEAADLVLYDRLVSNDVLDLVGEGARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQQGIRVEIIPGITSASGIAAELGIPLTHRGVATSVRFLTGHSRNGGTDPLYVAGNAADPDTTLVVYMGLSTLPSLAPKLMKHGLPPDTPAVAVERGTTPQQRMVFALLKDLVDEVKLADLVSPTLIIIGKVVALSPFWVEPSEHDALKTENSYASEAR >KQL04948 pep chromosome:Setaria_italica_v2.0:V:13316400:13318097:1 gene:SETIT_004564mg transcript:KQL04948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YGHRRGGWTRIVGVGAAVAAGKQAGDLDPRHHHRVPHGGDGGGGGGGGGGGGGAQQEADEQQQQQHHNHRLLQLHQEVQDQADPPPVPVFQLQHLQAAAAVRQRGLSAEYALLAPMGDAGQSHHHHHHGFQPQLLSFGGVGGQHHVHQFAAQAPAAASHSRSRGGAGAGGEIVAATPASHSRVRGGGGGGGEIVAVQGGHIVRSTGRKDRHSKVCTARGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKNAKDAIDKLEVLPAWQPTATVANAAAPPSSSTHPDSAENSDDQAQAITVAHTSFDFPGAGGASGGAGGTGFLPASLDSDSIADTIKSFFPMAGTAGGEASSSTAAAQSSAMGFQSYTPDLLSRTGSHSQELRLSLQSHPDPMFQQQQDRSHGHGGNGSAQQALFPGAASYSFGGGGAMWAEQAQGQQRMVPWNVPDPGGGSTGGYLFNVSQQAAHMQAALAGQSQFFFQRGPLQSSNQPSDRGWPETVEADNPMQHHQGQGGLNPTVFAPGVGFPGFRIPTRIQGDEEHNGGGGNGDKTPPSVSSASHH >KQL06830 pep chromosome:Setaria_italica_v2.0:V:35926476:35927011:-1 gene:SETIT_003256mg transcript:KQL06830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDDILATMDSLWFYSSVLLQPPSKHKQSDCAEELQPRQQQADTHHKTTSSSSDGQAPKSVEEAAVATERRAAAATERRAAARSFCRDREWDERMVAWQKEQRRRTRVAAAARCSQARMPPPGEGVAMKAHLRSWAHAVACSVR >KQL06320 pep chromosome:Setaria_italica_v2.0:V:32584530:32588062:-1 gene:SETIT_004340mg transcript:KQL06320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFNCCRRPSTREPRPEFRQPPSDLTRGGNSSLPVPVSRLSRRPSSPLPAARCCRPSSAPLPVVNSRISHIPIRAQTPRGSGGSLPSPAPRRRPTECALTGGHTRPVHAAVPSPSWEESKSRSRPSARLPGHLYLIPGALSFIQWKAMSSFSAGHGRRPKKKLYHREPGLDKAMDLRKKPALLLTGSLLVRDLEKEVGFVQKWNFLSLIERHPNIFHVSGGSASREPIAVTLTEKARKISSEEAQARELMEPILVRNLRKLLMMSMDCQIPLDKIELIQSDLGLPKNFRNNLTPRIFEGFQIGCRGIPKDGNILGPFAFKLKYPAGFRPNQKYLEEVVKWQKMAFPSPYLNARRVEPATRQARKRAVAVLHEILSLTMERQLTSDKLDVFHNEYRLPCKLLLCLVKNHGIFYITNKGARSTAFLKEAYDNSNLIDKCPLVKFHDHCASLIGRPCFNSENPRAV >KQL08010 pep chromosome:Setaria_italica_v2.0:V:43099235:43100543:-1 gene:SETIT_002920mg transcript:KQL08010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSATAARAVVSPLPAPSLLGGRGRRGGSARGGGGRAATVSVRSTLWDFVGGDLVKPDMARWLDDVEKHKALAIYPPHEGGYEGRYLNNLRYQGYYFLDLTARGLGDPETTLTKIHPVCPPSLGRQPVARWYFPPEVDYRLSLLHPDAKGLVVWVIEAKVLSKAELQFLAMLPDLRPKVRVIAECGNWRKFIWKPLKQIAGLEPDPDAEK >KQL06852 pep chromosome:Setaria_italica_v2.0:V:36081505:36083215:1 gene:SETIT_002981mg transcript:KQL06852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQIGLGLQGRPKLCSSPSSQQPRRSHAEAILTLNRRPRPPQQLLSTGIVLPWSGDPSVLAISLRERKNYSGDSVRKMNKGKIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIERINAGTRLHGVNYGNFMHGLMKENIQLNRKVLSELSMHEPYSFKALVDVSRTAFPGNRPVKKEGLAAIL >KQL06905 pep chromosome:Setaria_italica_v2.0:V:36335801:36339745:1 gene:SETIT_001407mg transcript:KQL06905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADSPREMIHRADSPREMILWLKLLTAISFLLSSPSIVGLRQQQELNPSNLLLAILKYKHKAPTPRGRRSSKQATRESRQLKRIDKNKKMGGTLQQQLLLFFFLSAVAPQVVRAVKPIPNSNLGVEEGDNSIGIIQKDIIQTVNKHPDAGWTAAHNPYFANYTIAQFKHILGVKPTPRDALTDVPAKTYSRSLKLPKEFDARSKWSHCSTIGNILDQGHCGSCWAFGAVECLQDRFCIHMNVNISLSVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTDECDPYFDQVGCNHPGCEPAYPTPVCEKKCKVRNQVWEEKKHFSVNAYRIKSDPHDIMSEVYINGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGRNECGIEEDVVAGMPSGKNMVGNYGGSFGTAVI >KQL06903 pep chromosome:Setaria_italica_v2.0:V:36335801:36339745:1 gene:SETIT_001407mg transcript:KQL06903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADSPREMIHRADSPREMILWLKLLTAISFLLSSPSIVGLRQQQELNPSNLLLAILKYKHKAPTPRGRRSSKQATRESRQLKRIDKNKKMGGTLQQQLLLFFFLSAVAPQVVRAVKPIPNSNLGVEEGDNSIGIIQKDIIQTVNKHPDAGWTAAHNPYFANYTIAQFKHILGVKPTPRDALTDVPAKTYSRSLKLPKEFDARSKWSHCSTIGNILDQGHCGSCWAFGAVECLQDRFCIHMNVNISLSVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTDECDPYFDQVGCNHPGCEPAYPTPVCEKKCKVRNQVWEEKKHFSVNAYRIKSDPHDIMSEVYINGPVEVAFTVYEYTSTLPVA >KQL06906 pep chromosome:Setaria_italica_v2.0:V:36335801:36339745:1 gene:SETIT_001407mg transcript:KQL06906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADSPREMIHRADSPREMILWLKLLTAISFLLSSPSIVGLRQQQELNPSNLLLAILKYKHKAPTPRGRRSSKQATSCRESRQLKRIDKNKKMGGTLQQQLLLFFFLSAVAPQVVRAVKPIPNSNLGVEEGDNSIGIIQKDIIQTVNKHPDAGWTAAHNPYFANYTIAQFKHILGVKPTPRDALTDVPAKTYSRSLKLPKEFDARSKWSHCSTIGNILDQGHCGSCWAFGAVECLQDRFCIHMNVNISLSVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTDECDPYFDQVGCNHPGCEPAYPTPVCEKKCKVRNQVWEEKKHFSVNAYRIKSDPHDIMSEVYINGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGRNECGIEEDVVAGMPSGKNMVGNYGGSFGTAVI >KQL06904 pep chromosome:Setaria_italica_v2.0:V:36335801:36339745:1 gene:SETIT_001407mg transcript:KQL06904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADSPREMIHRADSPREMILWLKLLTAISFLLSSPSIVGLRQQQELNPSNLLLAILKYKHKAPTPRGRRSSKQATRESRQLKRIDKNKKMGGTLQQQLLLFFFLSAVAPQVVRAVKPIPNSNLGVEEGDNSIGIIQDIIQTVNKHPDAGWTAAHNPYFANYTIAQFKHILGVKPTPRDALTDVPAKTYSRSLKLPKEFDARSKWSHCSTIGNILDQGHCGSCWAFGAVECLQDRFCIHMNVNISLSVNDLLACCGFMCGDGCDGGYPIMAWRYFVQNGVVTDECDPYFDQVGCNHPGCEPAYPTPVCEKKCKVRNQVWEEKKHFSVNAYRIKSDPHDIMSEVYINGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGRNECGIEEDVVAGMPSGKNMVGNYGGSFGTAVI >KQL03288 pep chromosome:Setaria_italica_v2.0:V:729898:734703:-1 gene:SETIT_000676mg transcript:KQL03288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPSTSPPANGTATAPPPAPPTAAPPPSNTPSPPSPPARAPPSTPTPATPSTAPPDAPATPSTTPSPAPPGTPPASFTPTAPGIPTPSGTRATPPPATASSSPPSSSALSTPVVVGIAVGGLAVLLLGSLLCVCLFRNKKKRRHHHHPPPPPPPHHLHYYGHPPPPPPPPPHKGDQYQNWQHNAPPPPPDHVVKIPPPPPLNVHSSGSASNHSGGDNRPPLSPGTAFTFSRSTFTYEQLVVATNGFSDANLLGQGGFGFVHKGVLPNGTEVAVKQLRDGSGQGEREFQAEVEIISRVHHKHLVSLVGYCISGVNRLLVYEFVPNNTLEFHLHGRGRPTLDWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDLRFEAKVADFGLAKFTSDTNTHVSTRVMGTFGYLAPEYAASGKLTEKSDVFSFGVMLLELITGRRPVSSRQAPMDDNLVDWARPLMTKAFEDGNHDALVDPRLGSEYNDNEMARMIACAAACVRHSSRRRPRMGQVVRALEGDVSLDDLNEGVRPGHSRFMGSYSSSASDYDTNQYKEDLKKFRKMVLGGSGVQSGSQETPTSEYGRNPSASSGDGHQTTTQETEVAGSVRKDDGGSAGDSQSQAS >KQL04819 pep chromosome:Setaria_italica_v2.0:V:12083180:12084070:-1 gene:SETIT_004758mg transcript:KQL04819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSNGKPTPQPPAAAGNGTGGPPKMYQRPIYRPQQGGAKRRRGGRSCPFSCCCCFFWTVLVILLLAFIAAVVGGAFYLLYRPHRPAFTLSVAHVTKLSLSSSATAPALTDAIDVTLTAKNPNKKLVYFYDDFTVTAATAANAVPLGEASVPGFAHEAGNITVIKATVSASALAIDPTASSDIKKSGEFPITLDLETKAGVKVGGLKTKKIGIQVHCEGIKVTAPAPPPLAKKKKLAKAAAKAPAPAAAAKAPATAAAADAPEPVAADDTPAPPAPAATVARVCQVRIRVKIWKWTF >KQL07764 pep chromosome:Setaria_italica_v2.0:V:41604405:41607842:-1 gene:SETIT_003853mg transcript:KQL07764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFPRMLLNLANSEKIFPEAEGPTAESSDELWLRSRQPPRVVDVDDYGAEANGCNDTEAFLAAWREACNASDYRSVFLVPEGKTYLLTPVSFRGPCRASSITAMIKGTLEAPSNRSVWLDHDLQDWITFEGIDRLRVLGGGTLNGNGQQWWINSCKFNTSMPCVTGPTALYFRRCTHLIVEDLEVRDSMQMHVAIAYSWKVLISKLFLTAPGWSPNTDGIHVSNSKEVSISDCSISTGDDCISIVTGSMFVRVTDIFCGPGHGISIGSLGANSSWAHVSDVLVEKATLLGTTNGVRIKTWQGGHGYAERITFQDISMNNVTNPIIIDQNYCDSKKRCDEQESAVAVRDIRYRNIHGTSASKVAVSFICSGAVRCDGILVQDIYLVGEGRYATCSYTNATIVQLGYSFPFCSAEM >KQL06138 pep chromosome:Setaria_italica_v2.0:V:30933575:30939849:1 gene:SETIT_000703mg transcript:KQL06138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGHPDVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDVLACRSTEPLEEHVKVKLSQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLELQYAGKVPREPKLAEWTERATKFDKLKTPVNIAMVGKYTGLSDSYLSVLKALLHASVAMERKLVVDWVPSCDLEDSSARETPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPAATSPCVIFMPEGSKTHMGATMRLGSRRTYFQVTGSKSAKLYGNATSVDERHRHRYEVNPDMVPDFERAGLQFVGKDESGTRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLEPLLQRSCNNTTKPPTKVPKRKLYPTVPVKNPLNSLVNGYYPNGTGIHT >KQL06137 pep chromosome:Setaria_italica_v2.0:V:30932625:30939849:1 gene:SETIT_000703mg transcript:KQL06137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGHPDVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDVLACRSTEPLEEHVKVKLSQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLELQYAGKVPREPKLAEWTERATKFDKLKTPVNIAMVGKYTGLSDSYLSVLKALLHASVAMERKLVVDWVPSCDLEDSSARETPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPAATSPCVIFMPEGSKTHMGATMRLGSRRTYFQVTGSKSAKLYGNATSVDERHRHRYEVNPDMVPDFERAGLQFVGKDESGTRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLEPLLQRSCNNTTKPPTKVPKRKLYPTVPVKNPLNSLVNGYYPNGTGIHT >KQL06139 pep chromosome:Setaria_italica_v2.0:V:30933919:30939849:1 gene:SETIT_000703mg transcript:KQL06139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGHPDVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDVLACRSTEPLEEHVKVKLSQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLELQYAGKVPREPKLAEWTERATKFDKLKTPVNIAMVGKYTGLSDSYLSVLKALLHASVAMERKLVVDWVPSCDLEDSSARETPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPAATSPCVIFMPEGSKTHMGATMRLGSRRTYFQVTGSKSAKLYGNATSVDERHRHRYEVNPDMVPDFERAGLQFVGKDESGTRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLEPLLQRSCNNTTKPPTKVPKRKLYPTVPVKNPLNSLVNGYYPNGTGIHT >KQL06135 pep chromosome:Setaria_italica_v2.0:V:30932625:30939849:1 gene:SETIT_000703mg transcript:KQL06135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGHPDVCVIELGGTIGPGNFCLVHVSLVPVLNVVGEQALLHASVAMERKLVVDWVPSCDLEDSSARETPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPAATSPCVIFMPEGSKTHMGATMRLGSRRTYFQVTGSKSAKLYGNATSVDERHRHRYEVNPDMVPDFERAGLQFVGKDESGTRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLEPLLQRSCNNTTKPPTKVPKRKLYPTVPVKNPLNSLVNGYYPNGTGIHT >KQL06136 pep chromosome:Setaria_italica_v2.0:V:30932625:30939849:1 gene:SETIT_000703mg transcript:KQL06136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKSCGLRVTTIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVINKERRGDYLGKTVQVVPHITDEIQDWIERVAMNPVDGKEGHPDVCVIELGGTIGDKIFSPHNDSGILDAGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLAPDVLACRSTEPLEEHVKVKLSQFCHVPISNIVNLHDVTNIWHIPLLLRDQKAHEAILKVLELQYAGKVPREPKLAEWTERATKFDKLKTPVNIAMVGKYTGLSDSYLSVLKALLHASVAMERKLVVDWVPSCDLEDSSARETPEAHKKAWKLLKGADGILVPGGFGDRGVQGKILAAKYARENNVPYLGICLGMQIAVIEFARSVMKLRGANSTEFDPAATSPCVIFMPEGSKTHMGATMRLGSRRTYFQVTGSKSAKLYGNATSVDERHRHRYEVNPDMVPDFERAGLQFVGKDESGTRMEIIELPSHKFFIGAQFHPEFKSRPGKPSPLFLGLIAAASGQLEPLLQRSCNNTTKPPTKVPKRKLYPTVPVKNPLNSLVNGYYPNGTGIHT >KQL04036 pep chromosome:Setaria_italica_v2.0:V:6058549:6060260:-1 gene:SETIT_002335mg transcript:KQL04036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKSKILVVGATGYLGRHVVAASARLGHPTLALVRDTAPSDAAKTALLKSFQDAGVTLLKGDLGDHASLVSAVKAADVVISTVGVRQIADQTRLIDAIKETGNVKRFFPSEFGLDADRSGAVEPIRSLIINPKAAVRRAVEAAGVPYTYAWTGYFFGYGLPGIGQVLSQSPPVEEPAVVLGDGGSKVSYVDEVDIGTYTVMAADDPRAENRTLYIRPPANTLSHNELLAVWERKTGRAFERVHLDEDAVLKQIQEDPIPLNSILLSIGHAVHIKGEHEFEIDPSSGVDASELYPDVKYTPVDEYLNRLL >KQL03993 pep chromosome:Setaria_italica_v2.0:V:5771095:5772918:-1 gene:SETIT_001068mg transcript:KQL03993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHGGRLAALSRHSLVALSLLTLISGTLAFLAYVVFVPVPGGGAGSGGLMYQWRRCQDSAGRSDGDDGGTMAGGVARAPTSLSHIVFGIGGAARTWGHRRGYVELWWRPGRTRGHVWLDEEPAGPWPAATSPPYRVSADASRFGRRAAASRMARIVADSFAAVAAANGTGEEEVRWFVMGDDDTVFFPDNLVAVLRKYDHEQAYYVGAPSESVAQDLTHSYGMAFGGGGFAVSYPAAAELAGAIDGCLDRYRELFGSDERVHACLSELGIPLTREPGFHQLDLRGDAYGLLSAHPVAPLVSLHHLDLIEPISPHGRTALDAVRSLVDAAQLDPARSLQQAFCYHNDSGGSEGSNNWSVSVAWGYAAQLYPWAVPAHQLEVPLQTFEALRGRPDGPFLFNTRPWRPDDACARPLTFFLSHARNETYGATAAAATVTEYSRHAGGKSMEKECDKPSLRSAAAVQTVRVLAPKMNPADWERAPRRQCCKTAWARRGSVLEVRIGRCRRGELAVVSSP >KQL03169 pep chromosome:Setaria_italica_v2.0:V:172041:176220:1 gene:SETIT_000165mg transcript:KQL03169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTVFPVLRSSEYFTRPSIDELVERDVADPGYCSRVPNFIIGRAGYGQVRFLGNTDVRGIDLNDIVRFEKHYVVVYEDETCKPPVGHGLNKAAEVSLLLNLKDLPEPSILVEVLRCHARKQGARFLSFNPVNGKWKFEVDHFSRFGLVDEEEEDVVMDEAAVRQPIAELREREPPSNGYEIELSHSLPAHLGLDPAKMQEMRMAMFYNEEDDEYMEDGIGFPSDERYLSRERMNVDSPTSSGGSRLRSVSPLHMSSQKVGRRPGMPARKEPQALLEYSMNSSELGTTTQGMLMSGQNKGFPVKVTKVEGFKLPAEQETPVAGKMYTNCVVDAALFMGRSFRVGWGPNGMLIYSGSLVNSPGTGLSSVIHIGKVASDKVVRDDKNKIKEDLAELVFSDPMDLHKSLDHEFLETESDSFRLKLQKVVANRLVLPDICRSYIDIIERQLEVSDLSMSSRVLLMHQVTVWELIRVLFSERSNENQLEPIGNDDQEGMVLDKKENIDLDALPLVRRADFSNWLQDSVCHRVQGEVGSLSDARYLEHILLLLTGQQLDSATEVASSRGDVRLAILLSQAGGSMSNRSDLSQQLDLWKRNGLDFDYIEEDRLKVYELLAGNIEAALLDSAIDWKRYLGLIMWYQLPPDTSLDSIIHSYHHLLSEGKVPYPVPVYIDEGPLDEALQWSPGDRFDISFYLMLLHANQDEKFGLLKTMFSAFSSSYDTLDYHMIWHQRSILEAIGAFSSNDLHLLDLSFVYQLLCLGKCHWAIYVILHMPYLDDAPYIHEKLIREVLSQYCESWSKDDAQRQYIVELGIPEEWMHEALALYHEYYGDQQGALENFIQSGNWKKAHTIFMTCIAHTMFLSSHHQEIWEITSALENHKSEIADWDLGAGIYIDFYMIKNSFQEESAMEDSDPLERKNESCKSFFGRLNDSLLVWGSKLPVESRACYSKMAEELCELFMNSPGVAMNLHMGCFQTMLNAPAPDGCKSSYLQEAVSVFTEILRSDSAVWGLS >KQL04246 pep chromosome:Setaria_italica_v2.0:V:7579164:7580840:1 gene:SETIT_003018mg transcript:KQL04246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSHKRAREAAADLSAGEGGALPEADAKRLRPEDLLDMLDDDTDAATAGDLASVMRSLEEEIASFDEAGATAAAAPPAHHQQQPELGFLLEASDDELGLPPAGASSSSEDAGGAAGEEPDVAAGLDGQIWGFEDEIDGGFGGYSPEAAAAAAAAAAWDDDGFDAGLFAFGDDACGPSDLAALRHETMPAV >KQL04993 pep chromosome:Setaria_italica_v2.0:V:13899803:13900317:-1 gene:SETIT_004183mg transcript:KQL04993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFCLPATIYIRTDAKKKTLLLPRKDNKQAKTYKRREMGRRRQGAREEAVQGREQQHRGRNGVLSVDGPIPCSASSLPPIQTTFPLSLPVFIYNLHTKHGNPPMLMATLSSHHLHGTLEIYIYNKATLTCRLGAMQYSTATLCNCRLQ >KQL07193 pep chromosome:Setaria_italica_v2.0:V:38221667:38225517:1 gene:SETIT_001166mg transcript:KQL07193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAQLGGSISRALAQMSNATVIDEKVLNDCLNEISRALLQSDVQFKMVRDMQTNIKRIVNLEALAAGTNKRRIMQQAVFTELCNMLDPGKPSFTPKKGKPSVVMFVGLQGSGKTTTCTKYAYYHQRKGFKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVSVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHIDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPTDQQPELLQKLSEGSFTLRLMYEQFQNILKMGPIGQVFSMLPGFSSELMPKGHEKESQAKIKRYMTMMDSMTDAELDSTNPKLMTESRIIRIARGSGRPVRDVMDMLEEYKRLAKIWGKMKGLKIPKKGEMSALSRNMNVQHMSKVLPPQMLKQIGGMGGLQSLMKQMGSKEMGGMFGGMGGDR >KQL08323 pep chromosome:Setaria_italica_v2.0:V:44796014:44796519:-1 gene:SETIT_005418mg transcript:KQL08323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLLYSVALLDYYHKLLPGTSLLSEISENSCIPQETLAMLTCPQDGNCTVSLPFMSVVSTAAEFIIYIPQV >KQL04599 pep chromosome:Setaria_italica_v2.0:V:10167266:10170227:-1 gene:SETIT_002139mg transcript:KQL04599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTTEPEYWLNWKFLLCALWVYSCMALACFLIWKYEGPRSRDSNGDDGGDREEAPPRAGPGVVYLEDCWKPCLEEIHPGWLLAFRVVAFFILASLLLIDIVTDGWSIFLYYTQWTFLLVTLYFGLGSLLSIYGCYQYAYKISGDGSDLIGSGADHGTYIIAPTGESAYGHAIKSSCYSRIHGGQEIAGFWGYLFQIMFQTNAGAVMITDLVFWLILYPFLAHNQYDMNFILIGTHSINVVFLVGDAALNKLHFPWFRIAYFLLWTGLFVNIQWIIHANVSIWWPYPFLDLAFPGAPV >KQL04600 pep chromosome:Setaria_italica_v2.0:V:10166846:10171277:-1 gene:SETIT_002139mg transcript:KQL04600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTTEPEYWLNWKFLLCALWVYSCMALACFLIWKYEGPRSRDSNGDDGGDREEAPPRAGPGVVYLEDCWKPCLEEIHPGWLLAFRVVAFFILASLLLIDIVTDGWSIFLYYTQWTFLLVTLYFGLGSLLSIYGCYQYAYKISGDGSDLIGSGADHGTYIIAPTGESAYGHAIKSSCYSRIHGGQEIAGFWGYLFQIMFQTNAGAVMITDLVFWLILYPFLAHNQYDMNFILIGTHSINVVFLVGDAALNKLHFPWFRIAYFLLWTGLFVNIQWIIHANVSIWWPYPFLDLAFPGAPVWYLVVALLHFPCYALFTLVLRLKHSVLESWFPQTYVK >KQL04597 pep chromosome:Setaria_italica_v2.0:V:10168967:10170227:-1 gene:SETIT_002139mg transcript:KQL04597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTTEPEYWLNWKFLLCALWVYSCMALACFLIWKYEGPRSRDSNGDDGGDREEAPPRAGPGVVYLEDCWKPCLEEIHPGWLLAFRVVAFFILASLLLIDIVTDGWSIFLYYTQWTFLLVTLYFGLGSLLSIYGCYQYAYKISGDGSDLIGSGADHGTYIIAPTGESAYGHAIKSSCYSRIHGGQEIAGFWGYLFQIMFQTNAGAVMITDLVFWLILYPFLAHNQYDMNFVSIPLLIF >KQL04598 pep chromosome:Setaria_italica_v2.0:V:10166846:10171277:-1 gene:SETIT_002139mg transcript:KQL04598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTTEPEYWLNWKFLLCALWVYSCMALACFLIWKYEGPRSRDSNGDDGGDREEAPPRAGPGVVYLEDCWKPCLEEIHPGWLLAFRVVAFFILASLLLIDIVTDGWSIFLYYTQWTFLLVTLYFGLGSLLSIYGCYQYAYKISGDGSDLIGSGADHGTYIIAPTGESAYGHAIKSSCYSRIHGGQEIAGFWGYLFQIMFQTNAGAVMITDLVFWLILYPFLAHNQYDMNFILIGTHSINVVFLVGDAALNKLHFPWFRIAYFLLWTGLFVNIQWIIHANVSIWWPYPFLDLAFPGAPVWYLVVALLHFPCYALFTLVLRLKHSVLESWFPQTYVK >KQL04601 pep chromosome:Setaria_italica_v2.0:V:10166846:10171109:-1 gene:SETIT_002139mg transcript:KQL04601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDTTEPEYWLNWKFLLCALWVYSCMALACFLIWKYEGPRSRDSNGDDGGDREEAPPRAGPGVVYLEDCWKPCLEEIHPGWLLAFRVVAFFILASLLLIDIVTDGWSIFLYYTQWTFLLVTLYFGLGSLLSIYGCYQYAYKISGDGSDLIGSGADHGTYIIAPTGESAYGHAIKSSCYSRIHGGQEIAGFWGYLFQIMFQTNAGAVMITDLVFWLILYPFLAHNQYDMNFVSIPLLIF >KQL05346 pep chromosome:Setaria_italica_v2.0:V:23139958:23141316:1 gene:SETIT_005044mg transcript:KQL05346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPAPWAEGLAIDLLLLIIAGLCCQADRASFASVCQRWREAAKLAKPVRSQIPWLLLPSPSSTPSIFSFFSGLRRRIRSLPADFRRERLCGSHPGGWVAVALGPFGGHLLANIFSGARVGLPHRLMQLPSPILRVTPVLIRAVMLSAAPTAAHCVAGALVCGASNVAFCCPGDSHWFAYPEIDGLQDMVYYAGEEEEKRGFYVLEGLGDVQVLSFDIIQVKSAPTVMKYVVRAKSIKYVMPEQAPDTMLSSLPASTCRTGYLVVSRAKLLKVLRYYSRDDQTGARRTLLFRVFEMQISSDHRASWMELDNLDGRVLFVGRGCSRAFEASQLHGFNGGSIYYLDDAEFDVMPCLQNEAEYPSSDMGMYSMRGTTVRPSLDAPSANMRPATYGAKTYLTRFVNGDNGNQQSSVVEMTEDEARRSTGGEIIGTRWSILSEPQSKFSPPIWFCP >KQL05910 pep chromosome:Setaria_italica_v2.0:V:29007202:29011025:1 gene:SETIT_001936mg transcript:KQL05910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDGGAKEQEDPSAAPAAPAVVVAAARARALRPPRPRSRKGLGVRHPLKHCRFFAAVQMMANARVREAGEATLVAALAAAQKESERESEEVPDVHGGWKSEDGSLNCGYSSIRGRRASMEDFYDIRSSRIDDKQINLFGVFDGHGGTRAAEYLKKHLFENLMKHPAFVADTKSAISEVYKKTDADFLDAEGNIQVGSTASTAVLVGNHLYVANVGDSRAVISNAGKAIALSDDHKPNRSDEQKRIEDAGGIVTWSGTWRVGGILAMSRAFGNHLLKRFVVADPEIQDQEIDGELEFLILASDGLWDVVSNEVSVTPAKFGTRICCVSFYE >KQL05911 pep chromosome:Setaria_italica_v2.0:V:29007202:29011695:1 gene:SETIT_001936mg transcript:KQL05911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACDGGAKEQEDPSAAPAAPAVVVAAARARALRPPRPRSRKGLGVRHPLKHCRFFAAVQMMANARVREAGEATLVAALAAAQKESERESEEVPDVHGGWKSEDGSLNCGYSSIRGRRASMEDFYDIRSSRIDDKQINLFGVFDGHGGTRAAEYLKKHLFENLMKHPAFVADTKSAISEVYKKTDADFLDAEGNIQVGSTASTAVLVGNHLYVANVGDSRAVISNAGKAIALSDDHKPNRSDEQKRIEDAGGIVTWSGTWRVGGILAMSRAFGNHLLKRFVVADPEIQDQEIDGELEFLILASDGLWDVVSNEHAVAFVKDEDGPEAAARKLTEIAFRRGSTDNITCIVVEFRHDNMTDGSPPSADQS >KQL07281 pep chromosome:Setaria_italica_v2.0:V:38904207:38908753:1 gene:SETIT_003301mg transcript:KQL07281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLARREADRGAAPEFVALDIRGEAESPGSEPDLMMEPSFAAKGFERERSGDANSSSTGVAGVHEKQAVPVHVDGSPRDQYLPSTPKRRRASRRVPGWRDPRKILFAFAALSSVGTLILLYFTLSMGKMTGGQADDQ >KQL06535 pep chromosome:Setaria_italica_v2.0:V:34015568:34016023:-1 gene:SETIT_003487mg transcript:KQL06535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSSVANLRSFCCMPRHGNPESILPFDGNLSPALPAVQTARQSPALLSRSLATEVKHTPTPGHAPHPTAAFQEVSHCVEARRATRGKVPAPPDPS >KQL07513 pep chromosome:Setaria_italica_v2.0:V:40185243:40186268:1 gene:SETIT_003146mg transcript:KQL07513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKALPLTPSKSSSFGAQQTIPSFRPSAASPRSVRAYAKGDEEGNKQSLFGSITEALDFSQVRSEKDAELLYEAREKTKGGGRMTREQYGALRRKIGGTYQDFFKSYVEVDGEYVEDGWVDKTCKICKKDTRGEPRQVDKLGRYVHVACLENPKPANFFTKLFAR >KQL03725 pep chromosome:Setaria_italica_v2.0:V:4306917:4310819:1 gene:SETIT_001772mg transcript:KQL03725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIRDLLNGIADRFGGRRVMEGNNIVGLKQGAQNISLEPGGQIELSGAPLETLHQTYSEVNSHLCQVKAVGEEMGIRFLGLGFQPKWALSDIPIMPKAKFEIARNYMPKVGCFGLDMMFRTCTVQVNLDYGSEQDMIIKLRAAMALQPIATAIFANSPFKEGKLSGFLSLRSYTYTDTDDDRTGMLPFVFNSSFGFERYVDYVLDVPMYFVYRNNRPVDCTGMSFRDFMAGKLPQLPGERPTLKDWEIHLGSIYPEVRLKRFIEMRGADGGPLCTLCALPAFWVGLLYDEESLRCITDMIADWTNEERDMLRIKVPITGLKTQFRGGYVRDLAENIVKLAKDGLQRRGHMEVGFLNEVDEIVGTGVTQAEKLANLYMAKWQHNVDPVFREFIY >KQL07825 pep chromosome:Setaria_italica_v2.0:V:42026228:42027798:1 gene:SETIT_001731mg transcript:KQL07825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEMSKNVKVLDEQEVTSHQRDQGLRARISGVAAEDQADPLARQASIMSLTLEELQNSLCEPGRNFGSMNMDEFMANIWNAEEFQAATGGCKEDAEQEAAAAAAAVPTAAVEKGGGSGGLVRQGSYALPPPLSRKTVEEVWAEINQAPGDAQAHPAPQAIVQPQMGSGGLAASGRQVTLGEMTLEDFLVKAGVVRGAFAGGHGQAVGMVPAGPMGHMQQQGQLAATPMMYQVAPANAAAVYPVMGDGMGYHNGYAGGMAVVPPPPPSQCVAPAVSPGSSDGMSAMTQAEMMSCIGNGGMVRNGGSNARKRDSPEDGCTEKTVERRQRRMIKNRESAARSRARKQAYTVELEAELNHLKEENARLKAEELVEKMMEQARENINAKKGGRGLRRCGSAMW >KQL05470 pep chromosome:Setaria_italica_v2.0:V:24874053:24874525:1 gene:SETIT_005261mg transcript:KQL05470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLTIFLFCVSDIYVCAAKMLTNLYEYGLTMPHLTTSL >KQL07151 pep chromosome:Setaria_italica_v2.0:V:37959858:37963149:-1 gene:SETIT_001086mg transcript:KQL07151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAGTLRKRQLSVHTTGSNGTAANGVGIRRAGHAGEQEQGELVSPSARLVEDFYIVVVIGIATPVNLPVARAGIEAQLARYPRFRSIQVKDKSGQPRWEPTTVDVNDHIIYPKLDAAAVARDPDRAVEDYVATLSTLPMDETRPLWEFHVLDFPTSEAAATTAIRVHHSLGDGMSLLTLLMACTRSATDPSRLPAMPPLPTRTGAIWERPRPPASAGALALAAWAWSFVALAWHTVVDVASFFATILFFRDPHTLFKRVNHAPHQRKRLVHRALSLDDVKFVKNAMSCTVNDVLVGVTYAALSRYYFRKTGDADTSKEIRVRSILLVNLRPTTSLQACVNMIESGKESDVKWGNELGFIILPFHIGLHDDPLQYVRKAKKIVDRKKSSLEVVFTHLAAEVILKIFGLKAAGAIFHRMISHTTISFSNMIGPVEQALTVNFQSYVNTMMVNLAVDEAQFPDSHELLDDFVESLRLIRGAASSVGKNHKNG >KQL07152 pep chromosome:Setaria_italica_v2.0:V:37960387:37963056:-1 gene:SETIT_001086mg transcript:KQL07152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAGTLRKRQLSVHTTGSNGTAANGVGIRRAGHAGEQEQGELVSPSARLVEDFYIVVVIGIATPVNLPVARAGIEAQLARYPRFRSIQVKDKSGQPRWEPTTVDVNDHIIYPKLDAAAVARDPDRAVEDYVATLSTLPMDETRPLWEFHVLDFPTSEAAATTAIRVHHSLGDGMSLLTLLMACTRSATDPSRLPAMPPLPTRTGAIWERPRPPASAGALALAAWAWSFVALAWHTVVDVASFFATILFFRDPHTLFKRVNHAPHQRKRLVHRALSLDDVKFVKNAMSCTVNDVLVGVTYAALSRYYFRKTGDADTSKEIRVRSILLVNLRPTTSLQACVNMIESGKESDVKWGNELGFIILPFHIGLHDDPLQYVRKAKKIVDRKKSSLEVVFTHLAAEVILKIFGLKAAGAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEALTVNFQSYVNTMMVNLAVDEAQFPDSHELLDDFVESLRLIRGAASSVGKNHKNG >KQL07150 pep chromosome:Setaria_italica_v2.0:V:37960885:37963056:-1 gene:SETIT_001086mg transcript:KQL07150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAGTLRKRQLSVHTTGSNGTAANGVGIRRAGHAGEQEQGELVSPSARLVEDFYIVVVIGIATPVNLPVARAGIEAQLARYPRFRSIQVKDKSGQPRWEPTTVDVNDHIIYPKLDAAAVARDPDRAVEDYVATLSTLPMDETRPLWEFHVLDFPTSEAAATTAIRVHHSLGDGMSLLTLLMACTRSATDPSRLPAMPPLPTRTGAIWERPRPPASAGALALAAWAWSFVALAWHTVVDVASFFATILFFRDPHTLFKRVNHAPHQRKRLVHRALSLDDVKFVKNAMSCTVNDVLVGVTYAALSRYYFRKTGDADTSKEIRVRSILLVNLRPTTSLQACVNMIESGKESDVKWGNELGFIILPFHIGLHDDPLQYVRKAKKIVDRKKSSLEVVFTHLAAEVILKIFGLKAAGAIFHRMISHTTISFSNMIGPVEQVEFCGHPVVFIAPSGYGPPEVSTRELIMK >KQL07177 pep chromosome:Setaria_italica_v2.0:V:38136968:38139080:1 gene:SETIT_002161mg transcript:KQL07177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNPAKPAAVTESAELFLPLLPPRDLAAVASACRALRAAASAVTTRRAADAARGLEPLPIPFHNRVDSKPYAYFLYTPFSLARLAPGAQPWGGAWARPPVPTWPRPSLDGFPSAVCGCACEAGECGGPGCACADAEADAAGSGSEGGMGSLGECGEGCACGPSCGNRRTQRGVAVRLRVVRHLQKGWGLHAAEALGRRQFVCEYAGEFLTTEEARRRHRLYDELASAGKLSPALIVIREHLPSGKACLRVNIDATKVGNVARFINHSCDGGNLHPVLVRSSGSLLPRLCFFAARDIVEGEELTFSYGDARVRPKGLPCFCGSSGCCGVLPSEET >KQL08604 pep chromosome:Setaria_italica_v2.0:V:46281439:46281777:1 gene:SETIT_004350mg transcript:KQL08604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLKSLWPALWTEGEAQAASMAVARENLAILEEQLRGKKKKRFFGGDSIGLVDIAGVRVLSDEEHPALRRWANEYLADEAVKECLPDRDQLVAHFSANRDKCISIAKSMLPPN >KQL03297 pep chromosome:Setaria_italica_v2.0:V:768644:769662:-1 gene:SETIT_003310mg transcript:KQL03297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRGSSSCALCEGSNLPSCCTACVNARLVEYHARLRMMRSLRDSLQARIAARLEAKSEVDEQRIWRVSKTQDIMELRDRLTELKGKTVIEKTKVQQSSSDLKAQTASLNLAFVTVYMGSRAISETMNQSHKILI >KQL04613 pep chromosome:Setaria_italica_v2.0:V:10213973:10216290:-1 gene:SETIT_000337mg transcript:KQL04613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILLHGTLHATIFEAQELSNPHRASGGAPKFIRKLVEGIEDTVGVGKGTTKIYATIDLEKTRVGRTRMISNEPANPRWYESFHIYCAHLAADVIFTVKIDNPIGATLIGRAHLPVQDLLDGKEIDKWLEICDEGGEPIGDSKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGNYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDTNRPEPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGSDVNCVLCPRNPDDSGSFVQDLQIAAMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFGGSSVNKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWTKQGGKNLLVRLRDLSDIIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDQIIDKSIQDAYIHAIRRAKNFIYIENQYFLGSSYCWKPEGIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYTDITQALRAKEIEANPKDYLTFFCLGNREVKQEGEYEPGEQPEPDTDYSRAQEARRFMIYVHTKMMIGTESILLYPCITLDVSI >KQL04611 pep chromosome:Setaria_italica_v2.0:V:10212786:10216290:-1 gene:SETIT_000337mg transcript:KQL04611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILLHGTLHATIFEAQELSNPHRASGGAPKFIRKLVEGIEDTVGVGKGTTKIYATIDLEKTRVGRTRMISNEPANPRWYESFHIYCAHLAADVIFTVKIDNPIGATLIGRAHLPVQDLLDGKEIDKWLEICDEGGEPIGDSKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGNYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDTNRPEPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGSDVNCVLCPRNPDDSGSFVQDLQIAAMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFGGSSVNKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWTKQGGKNLLVRLRDLSDIIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDQIIDKSIQDAYIHAIRRAKNFIYIENQYFLGSSYCWKPEGIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYTDITQALRAKEIEANPKDYLTFFCLGNREVKQEGEYEPGEQPEPDTDYSRAQEARRFMIYVHTKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGAYQPYHLATRQPARGQIHGFRMALWYEHLGMLDDVFQHPESMECVQKVNKIAEKYWDLYSSDDLEQDLPGHLLSYPMGVDSEGNVTELPGMEFFPDTRARILGTKSDYLPPILTT >KQL04612 pep chromosome:Setaria_italica_v2.0:V:10213966:10216991:-1 gene:SETIT_000337mg transcript:KQL04612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQILLHGTLHATIFEAQELSNPHRASGGAPKFIRKLVEGIEDTVGVGKGTTKIYATIDLEKTRVGRTRMISNEPANPRWYESFHIYCAHLAADVIFTVKIDNPIGATLIGRAHLPVQDLLDGKEIDKWLEICDEGGEPIGDSKIHVKLQYFDVSKDRNWARGVRSTKYPGVPYTFFSQRQGCKVTLYQDAHVPDNFIPKIPLADGNYEPHRCWEDIFDAISNAQHLIYITGWSVYTEITLVRDTNRPEPGGDVTLGELLKRKASEGVRVLMLVWDDRTSVGLLKKDGLMATHDEETANYFHGSDVNCVLCPRNPDDSGSFVQDLQIAAMFTHHQKIVVVDHEMPNQGSQQRRIVSFVGGIDLCDGRYDTQYHSLFRTLDTVHHDDFHQPNFGGSSVNKGGPREPWHDIHSRLEGPIAWDVLYNFEQRWTKQGGKNLLVRLRDLSDIIIPPSPVMFPEDRETWNVQLFRSIDGGAAFGFPETPEEAARAGLVSGKDQIIDKSIQDAYIHAIRRAKNFIYIENQYFLGSSYCWKPEGIKPEEIGALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGVPESASVQAILDWQRRTMEMMYTDITQALRAKEIEANPKDYLTFFCLGNREVKQEGEYEPGEQPEPDTDYSRAQEARRFMIYVHTKMMIGTESILLYPCITLDVSI >KQL06525 pep chromosome:Setaria_italica_v2.0:V:33963121:33967918:-1 gene:SETIT_001881mg transcript:KQL06525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDRSPAAERRRGIRRLLLHPRGEGSSSSPPPPLPLSPSPPAAEEGRRKGFASAALRGLGCTSAAASQAYAPGGAAAAAAVRSSADWHGRRRRRGKERRKERGGGGGGGGGGGLVTGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGGRGADGERSHRERPCLSRRATVQEQISSSFMDSPPPPHLDAPFFGADLIPSGRLRRMRGYRHSPGGLEEEIMMFQTRVLLGGMNMYDRYQDWRLDVDNMTYEELLDLGDKIGYVSTGLREDEITRSIRKVTQPSFGSFRFATEMERKCSICQEEFEANEEMGRLDCGHSYHVYCIKKWLSQKNTCPVCKTAVTKT >KQL06526 pep chromosome:Setaria_italica_v2.0:V:33963779:33967603:-1 gene:SETIT_001881mg transcript:KQL06526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDRSPAAERRRGIRRLLLHPRGEGSSSSPPPPLPLSPSPPAAEEGRRKGFASAALRGLGCTSAAASQAYAPGGAAAAAAVRSSADWHGRRRRRGKERRKERGGGGGGGGGGGLVTGGIGADVWCAPGIPFAAEASSVDCVVARHQMVGRGGRGADGERSHRERPCLSRRATVQEQISSSFMDSPPPPHLDAPFFGADLIPSGRLRRMRGYRHSPGGLEEEIMMFQTRVLLGGMNMYDRYQDWRLDVDNMTYEVCIPFCCILHPAYYHCHQTVISHFALQELLDLGDKIGYVSTGLREDEITRSIRKVTQPSFGSFRFATEMERKCSICQEEFEANEEMGRLDCGHSYHVYCIKKWLSQKNTCPVCKTAVTKT >KQL08582 pep chromosome:Setaria_italica_v2.0:V:46164735:46165790:-1 gene:SETIT_004506mg transcript:KQL08582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQTVDVVVAADGSGNYTTVAASIAAAPANSDKRHVIRIKRGVYKEFVVVGQEKRNVVLVGDGMDATVISGSRCCADGYDTPRTAVLSVQGNGFIARDLCIENTAGPRKENGQAVALLSQSDQSVLYKCALRGYQDTLWCARPSSKQLYRECTISGTVDFIFGDAAAVFQSCTLLARLPILGQENTITAQGRVRAGDAGGFCLQSCTVAADEDLAARGAVVQTYLGRPWKPFSRVVFMQGTISDVVDPRGWLPWERQVPPDTLYYGEYGNEGPGAAVGGRVNWRGVHSNLDASEACSYTVERFIKGNDWLPSTGVEYKPGL >KQL04883 pep chromosome:Setaria_italica_v2.0:V:12718624:12719091:1 gene:SETIT_003624mg transcript:KQL04883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCELVQSNGTVSSCSYILVEPQQPIEQFGIQTDSAYWAIGYWWLLIRSAAKQDCRTNSEMLHYQHELSEH >KQL05390 pep chromosome:Setaria_italica_v2.0:V:23737124:23740117:1 gene:SETIT_001404mg transcript:KQL05390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFSAVKKVFSPESKEKKEEKLRKKLAASNPIPLDLTPSASLEVNVSVPPPPALPSLHQIEEVEVPEAVQEQSNHVVEEAPAAPVEMTVMPPGVSREELAAIKIQTAFRGYLARRALRALRGLVRLKALVEGNSIKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELENFRMGEQWDDSTQSKEQIEASLINKQEAAVRRERALAYAFSHQWKSTSRSANPMFVDPNNLQWGWSWLERWMAAKPWEGRSGTDKESNIDRGSVKSMTLNLGEGEITKAFNRRDSKADKSSPATPKLIRPASRQSPSTPSPRVTPIFARKKSATPKNGPSLVDDDARSVFSVQSERPRRHSIATSAARDSDSVAGSPSIPSYMVPTESARAKSRLQGSALTNGAETPEKGGSAGPVKKKLSFQAGMSSPSPMRRHSGPPKVECGEYC >KQL05389 pep chromosome:Setaria_italica_v2.0:V:23737124:23740117:1 gene:SETIT_001404mg transcript:KQL05389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFSAVKKVFSPESKEKKEEKLRKKLAASNPIPLDLTPSASLEVNVSVPPPPALPSLHQIEEVEVPEAVQEQSNHVVEEAPAAPVEMTVMPPGVSREELAAIKIQTAFRGYLARRALRALRGLVRLKALVEGNSIKRQAASTLRCMQTLARVQSQIRSRRLKMSEENQALQRQLLLKQELENFRMGEQWDDSTQSKEQIEASLINKQEAAVRRERALAYAFSHQWKSTSRSANPMFVDPNNLQWGWSWLERWMAAKPWEGRSGTDKESNIDRGSVKSMTLNLGEGEITKAFNRRDSKADKSSPATPKLIRPASRQSPSTPSPRVTPIFARKKSATPKNGPSLVDDDARSVFSVQSERPRRHSIATSAARDSDSVAGSPSIPSYMVPTESARAKSRLQGSALTNGAETPEKGGSAGPVKKKLSFQAGMSSPSPMRRHSGPPKVECGEYC >KQL08420 pep chromosome:Setaria_italica_v2.0:V:45377189:45380120:-1 gene:SETIT_002998mg transcript:KQL08420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVSRVQKELTECNRDREVSGVSITLHDGANISHLTGTIAGPADSPYEGGTFTIDIRLPGGYPFEPPKMQFVTKVWHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSSPAPDDPQDAVVAQQYLRDYPTFAATARYWTEAFAKSASTGMEEKVQKLVEMGFPEDLVRSTLKSVDGDENLALEKLCSG >KQL07596 pep chromosome:Setaria_italica_v2.0:V:40706251:40706977:-1 gene:SETIT_003187mg transcript:KQL07596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSGAGATGKVKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKVAEKAASGGAKEAKSPKKGAKSPKKA >KQL07703 pep chromosome:Setaria_italica_v2.0:V:41336385:41341079:1 gene:SETIT_001113mg transcript:KQL07703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRGSGGGGGGGEEEMEEDGGGGAGCAQGAGAGAENKERVVLMWGYLPGVSPQRSPLLGPVPVRLPPAAAAPGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEVFPLPSGVAMVRADAGWAHCVAITDEGDVYTWGWKECVPTGRVVGDQSSVGTMEKDERQIAMATDQGVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSAKHGPESSTSSDESLSAPPCVVTFNTGVKIVAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPVPCIESALYSKDRPSAMKGNKTAEGHISKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTEDVLSPTCVSSILGIKMQDVAAGLWHTVCTSVDGDVYSFGGNQFGQLGTGSDQAETVPKLVDATSLENKNARSVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDTYHPLNVSCGWWHTLVLAESPT >KQL07704 pep chromosome:Setaria_italica_v2.0:V:41336385:41341079:1 gene:SETIT_001113mg transcript:KQL07704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRGSGGGGGGGEEEMEEDGGGGAGCAQGAGAGAENKERVVLMWGYLPGVSPQRSPLLGPVPVRLPPAAAAPGGDGWRDVCGGGCGFAMAISESGKLLTWGSADDMGQSYVTAGKHEETPEVFPLPSGVAMVRADAGWAHCVAITDEGDVYTWGWKECVPTGRVVGDQSSVGTMEKDERQIAMATDQVSPRSQVSRTSSGAASGPSESRGTDDSTKRRRLSSAKHGPESSTSSDESLSAPPCVVTFNTGVKIVAVAAGGRHTLALSDLGQVWGWGYGGEGQLGLGSRIRTVSSPHPVPCIESALYSKDRPSAMKGNKTAEGHISKVMGNCVKAIACGGRHSAVVTDSGALLTFGWGLYGQCGQGNTEDVLSPTCVSSILGIKMQDVAAGLWHTVCTSVDGDVYSFGGNQFGQLGTGSDQAETVPKLVDATSLENKNARSVSCGARHSAIITDEGEVFCWGWNKYGQLGLGDSMDRNVPCSVPVDTYHPLNVSCGWWHTLVLAESPT >KQL08579 pep chromosome:Setaria_italica_v2.0:V:46142266:46146154:1 gene:SETIT_002494mg transcript:KQL08579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGAEFNMLDKGTAFTDDPILAMPPRQSNEKFLEAYEVVFILDNREKFGFNLVIQVRKLPVGDGIWIARHRKDRTEYVLDFIVERKEISDFDGSIEDNRYRDQKLRLKRCGLRKMIYLVEGDPNSPNATKRIKTACFTTEILDGFDVQRTSGYADTQRRYGHLTRSIMEYYDANFSIHAKTSPVCPTYDEFERKCCDLKKTTVSQIFALQLMQVPQVTEEAALAVIEHYPTPFLLAQAYYILDGDTPTQEAMLKNKSEVVDAGASRSIFELFCGGGRNIQK >KQL07642 pep chromosome:Setaria_italica_v2.0:V:40971110:40973925:1 gene:SETIT_002885mg transcript:KQL07642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRVKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLSETDSKPEDKVVNIRQDQGAEASSSQKSACCGS >KQL08306 pep chromosome:Setaria_italica_v2.0:V:44702582:44706061:1 gene:SETIT_001022mg transcript:KQL08306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAVDVPAPAATNGGACCHAGAKGPGYATPLEAMEKGPREKLIYVTCVYNGTGINKPDYLATVDLDPASPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRVPSLHKVVQAEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSTWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVAIDVKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWVGGLLQKGSDVVYLTDDGQEEQYNVPQVKEHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGDDLVKKGSHMLQIDVDTEKGGLAINPNFFVDFSKEPDGPALAHEMRYPGGDCTSDIWI >KQL08304 pep chromosome:Setaria_italica_v2.0:V:44702582:44706061:1 gene:SETIT_001022mg transcript:KQL08304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAVDVPAPAATNGGACCHAGAKGPGYATPLEAMEKGPREKLIYVTCVYNGTGINKPDYLATVDLDPASPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRVPSLHKVVQAEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSTWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAIDVKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWVGGLLQKGSDVVYLTDDGQEEQYNVPQVKEHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGDDLVKKGSHMLQIDVDTEKGGLAINPNFFVDFSKEPDGPALAHEMRYPGGDCTSDIWI >KQL08308 pep chromosome:Setaria_italica_v2.0:V:44703266:44706061:1 gene:SETIT_001022mg transcript:KQL08308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTSDICFHSLRLCLLVFDINHVPCSMAETDNTNSETVSVSGRSSHGFCLGNFRRPQKSCTGINKPDYLATVDLDPASPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRVPSLHKVVQAEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSTWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAIDVKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWVGGLLQKGSDVVYLTDDGQEEQYNVPQVKEHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGDDLVKKGSHMLQIDVDTEKGGLAINPNFFVDFSKEPDGPALAHEMRYPGGDCTSDIWI >KQL08305 pep chromosome:Setaria_italica_v2.0:V:44702582:44706061:1 gene:SETIT_001022mg transcript:KQL08305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAVDVPAPAATNGGACCHAGAKGPGYATPLEAMEKGPREKLIYVTCVYNDNTNSETVSVSGRSSHGFCLGNFRRPQKSCTGINKPDYLATVDLDPASPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRVPSLHKVVQAEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSTWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAIDVKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWVGGLLQKGSDVVYLTDDGQEEQYNVPQVKEHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGDDLVKKGSHMLQIDVDTEKGGLAINPNFFVDFSKEPDGPALAHEMRYPGGDCTSDIWI >KQL08307 pep chromosome:Setaria_italica_v2.0:V:44702582:44706061:1 gene:SETIT_001022mg transcript:KQL08307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAVDVPAPAATNGGACCHAGAKGPGYATPLEAMEKGPREKLIYVTCVYNGTGINKPDYLATVDLDPASPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRVPSLHKVVQAEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSTWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEVAIDVKPLKVRNWILPEMPGLITDFVISLDDRYLYLVNWLHGDIRQYNIEDPAKPVLAGQVWVGGLLQKGSDVVYLTDDGQEEQYNVPQVKEHRLRGGPQMIQLSLDGKRIYVTNSLFSRWDEQFYGDDLVKKGSHMLQIDVDTEKGGLAINPNFFVDFSKEPDGPALAHEMRYPGGDCTSDIWI >KQL08303 pep chromosome:Setaria_italica_v2.0:V:44702783:44705085:1 gene:SETIT_001022mg transcript:KQL08303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAVDVPAPAATNGGACCHAGAKGPGYATPLEAMEKGPREKLIYVTCVYNGTGINKPDYLATVDLDPASPTYSQVIHRLPVTHIGDELHHSGWNSCSSCHGDPSAKRRFLILPSLLSGRVYVVDTATDPRVPSLHKVVQAEDIAEKTGLGFPHTSHCLASGDIMISCLGDKEGNAAGNGFLLLDSEFNVKGRWEKPGHSPLFGYDFWYQPRHKTMISSTWGAPAAFRTGFDLQHVQDGLYGRHLHVYDWPGGELKQTLDLGDTGLLPLEVRFLHDPSKDTGYVGCALTSNMVRFFKTADGSWSHEIVFVGCYRCKTTESPQLDSARNARIDN >KQL08375 pep chromosome:Setaria_italica_v2.0:V:45101102:45105630:1 gene:SETIT_000483mg transcript:KQL08375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCELFMIKFRQFQDPRIQTSAFRWEATAASEAVRFRHKQRTRRNPATNETVPCVRALPPPASRRLATARTPRAGKRKFPRISIGRKKFSSRPRPPLPSPAPPPPLPPLPPPILWELPIRGRRHRTPPPPPACAARGSAPSPIGGPPRFPPGGRRAGWEMDGGAGAAGHGRRSVSSSSASRRRLPPAENGHGHDGAAAASRRSSASISRGNSTSLTGERTVKRLRLSKALTIPDHTTVHEACRRMASRRVDAVLLTDSNALLCGILTDKDITTRVIARELKMEETPVSKVMTRNPVFVLADTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGRAIAAAVEGVEKHWGTAASGPNNFIETLRERMFRPALSTIISENSKVVTVAPTDMVLTASKKMLELKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQSPECATVDTPILDALHTMHEGKFLHLPVLDRDGNVVTVVDVLHITHAAIATVGNTGAAGAAGSEAASAMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASEATDIARSAFYPASGLSNMFGFKIQDKQGRMHRFNCDTSSLTDLITSILQRLGDDIDRKNLPQILYEDEDHDKVILSSDSDLIAAVDHARQIGWKSLRLHLDYVGVGRRKRGGGSSDFEYAGKDAWASAYSAVAAGAALVAGLGVMAYLKRSG >KQL08374 pep chromosome:Setaria_italica_v2.0:V:45101102:45104699:1 gene:SETIT_000483mg transcript:KQL08374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCELFMIKFRQFQDPRIQTSAFRWEATAASEAVRFRHKQRTRRNPATNETVPCVRALPPPASRRLATARTPRAGKRKFPRISIGRKKFSSRPRPPLPSPAPPPPLPPLPPPILWELPIRGRRHRTPPPPPACAARGSAPSPIGGPPRFPPGGRRAGWEMDGGAGAAGHGRRSVSSSSASRRRLPPAENGHGHDGAAAASRRSSASISRGNSTSLTGERTVKRLRLSKALTIPDHTTVHEACRRMASRRVDAVLLTDSNALLCGILTDKDITTRVIARELKMEETPVSKVMTRNPVFVLADTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGRAIAAAVEGVEKHWGTAASGPNNFIETLRERMFRPALSTIISENSKVVTVAPTDMVLTASKKMLELKVSSAVVAIENKPGGILTSRDILMRVIAQNLPPESTTVEKVMTQSPECATVDTPILDALHTMHEGKFLHLPVLDRDGNVVTVVDVLHITHAAIATVGNTGAAGAAGSEAASAMMQRFWDSAMSIGPLDDDDDSRSEGSTKVASEATDIARSAFYPASGLSNMFGFKIQDKQGRMHRFNCGTN >KQL05180 pep chromosome:Setaria_italica_v2.0:V:16968863:16974029:-1 gene:SETIT_004551mg transcript:KQL05180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KMDSYNIESSNADCLDSRPLKKPKCEQLNDCDLSPSPRSSTSLASSCNNIESSHVYDLDARPLKEVKCEQMNDLDISLSPPSATTLPSSSPEKDPYIIDSIVAEKVSCSDWIDFESDNDRRILLDDEKHQNHHEQFKVDQTYDYLPQDYEMTDLDYCALITIESSLESDILVKIDDIFVTQSQLLCLLDPKKFLNDDVISAYICCIKYQANLESRNDVKFYFENPFISVMLKRDGKLGVGQDGNHITKIVRNYLKHEMVLIPINIKETHWYLAIINTQKCEIQVLDSLCWESNRVDLADTLQGLQFHLDIIGRQQNLISHNWKDLQIISWIITEQVQEPMQKDGSSCGLFMLKFMEYWTGDSLSHPITQEDINCFRYKLAGILLCWKTNTTQTTPQNMSLLGSSDDQKETKATDSLLEETKYQSLMSILSKISENELVGGLCDYIKSINCPETLEKVWVRNSKPYSISLTVRKLQEILKEDLPMDRDCLNLVIRKFMFDEIQMMKKIKGTISKHYLDTRFWILIPIVQFNKTFILFILNQDTRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLQKAMSKVCPGSRWSEDVFLWRQIILSDVPIENRELSGYLVSLFMCIWKDEELRLPILKDGYELRKQFMAQLLTYKENECEDNMPAGVRDFLSCINATKS >KQL07072 pep chromosome:Setaria_italica_v2.0:V:37510796:37514371:1 gene:SETIT_001007mg transcript:KQL07072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKPEEISHPPMEQLQGFEYCIDSNPPWGEAIILAFQHYILALGTAVMIPAVLVPMMGGDDGDRVRVVQTLLFVTGINTLLQSLFGTRLPTVIGGSYAFVIPIVAIIQDSSLAAIPDGHERFLETMRAIQGALIVSSSIQIILGYSQLWGIFSRFFSPVGMAPVVALLGFGLFERGFPVVGRCVEVGLPMLILFVVLSQYLKNIQIKDIPILERFSLFICIALVWAYAQILTSGGAYKNSTEVTQINCRTDRANLISSAPWIKIPYPLQWGAPTFNAGQSFGMVSAVLVSLVESTASYKAAARLASATPPPAHILSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSMLGKFGALFASIPFTIFAAVYCVLFGLVAAVGLSFLQFTNMNSMRNLFIVGVSIFLGLSVPEYFFRYTMAAQRGPAHTKAGWFNDYINTIFSSPPTVGLIVAVFLDNTLETKDAGNDRGLPWWVRFRSFKGDSRNEEFYSLPFNLNRFFPPA >KQL05877 pep chromosome:Setaria_italica_v2.0:V:28698410:28700288:-1 gene:SETIT_005177mg transcript:KQL05877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QHFTEPVPRNGFRGLSLECQRVGSFFMSWLPKNYSPKNNQNNCNTIFHRHNKIWPEFLLSLNHPTKSATNHTIQTIYNPSIRQLLEDLNCLFQNLMAFNFKKKFDGFDSDGWIKKAEKYFELIGVPNEERVKVAVMYIKGRAGFWWSIIYNVMTITLDNASYNDSMSTSLRMRLQAHGRLLCEGAFFQVRCAHILNLIVQAGLKLIAGSVDKTRQGVQYIHGSGIRKHKFYTDGQNLFHLDTKRKLCVDMHVRWNSTYRMLENAIFNKGALKQYASRDGTFMSHFDLSELEWKRVDIMTTLFKSFHEVTCSFSGTKYPTANLYFKCVFRIHSQAKFDKYWSDYSLILSCAAILDPRYKINLLRFCYKKLCANESAAEEQVNKAVTKLYELFEAYRAQNSNPSITSPRNLVGHNSEISIGFVFRTSNRQQPTRDPKVDLDILEFWKASSVTYPELASMARDLLTIPVSSVASESAFSIGKKVINPCRSSLSPETVDAFVCKIGCA >KQL08416 pep chromosome:Setaria_italica_v2.0:V:45323782:45324387:1 gene:SETIT_004953mg transcript:KQL08416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKEVMGKLGGDWYWHCLKAPPFVLEPGYNNTSIQGYTVVGGFNIWISTRGIGTYSFDTDNGSWSKAGDWELPFHGRADFFPEHGIWLGFSSQGNLLYSSDLGVSMQCKPELDMIWKDLSPLEEWIPLKSHLVHLGSDKFCVARMFERVDMTIRGSIPHVERFAVFTGLVLQPSRDGKEPEMVNHISRIYRFHDHLLGFLS >KQL06104 pep chromosome:Setaria_italica_v2.0:V:30704446:30707928:1 gene:SETIT_002589mg transcript:KQL06104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLSSTFGLLPLAMVACFAPNDKTPQGGVLGDLALSSARFSPANAGLKSETVSSIPPVPGGIGAAMEEQFILRVPPSVAERIERLMNESAASSSNPDDASLDLSFSEDGRNGTFMIDNERFPASLLDLPAVVESYKTYDDSVLIKTADIGQMIMVREENDPAPEGVEYKHGLTPPMRDARRRRFRREPDLNAELVNQVEKHLINIMHGVSVNQNASVIGGEEGGERKKPPVARAPKQPDVQEPAANGEEAEPERSDSEESDN >KQL04342 pep chromosome:Setaria_italica_v2.0:V:8326839:8330385:1 gene:SETIT_001496mg transcript:KQL04342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGKEIKEDLEEAPPLLLDEAARPRRVALFVEPSPFAYISGYKNRFQNFIKHLREMGDEVIVVTNHEGVPEEFHGAKVIGSWSFPCPMYGKVPLSLALSPRIISEVAKFKPDIIHASSPGIMVFGALAIAKLLSVPLVMSYHTHVPVYIPRYTFSWLVEPMWQVIRFLHRAADLTLVPSAAISKDFETAHVISANRIRLWNKGVDSASFHPRFRSHEMRVRLSDGEPEKPLIIHVGRFGREKNLDFLKIVMDRLPGVRIAFIGDGPYRTELEKMFEGMPAVFTGMMQGEELSQAYASGDVFVMPSESETLGQVVLESMSSGVPVVAARAGGIPDIIPEDQEGKTSFLFTPGDLDDCVGKVQLLLTNREFRDNMGRTARAEMEKCDWRAASKTIRNEFYNAAIWYWRKKRAELVQPLQWLAQMFLPAPEVRSITKC >KQL04984 pep chromosome:Setaria_italica_v2.0:V:13844336:13849044:1 gene:SETIT_000702mg transcript:KQL04984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDLRRLLDGAALVAREATRGTSPRDVLRSALLATTDLAGLTKGTPRRPQPPPGAGLHPATESSRPSSSSSSVVYFTHDNAAASPQDPPSERPPPPPQPAQEPAHPARTQEIAGTGSTAPVDAEPASVTAARPDPVAVPPPSPLPPQAPPLPSPAPLEKRRQLRERKVPSTPFSRALGFAGLGAGLAWGTLQESARRVVYGTPADTDGKRSALSPFLSDQNAERIALALCRMRGAALKVGQMLSIQDESLVPPPVLAALDIVRQGADVMPRKQLNSVLDAELGPNWSSKLRSFDYDPLAAASIGQVHRAVLKDGSDVVMKIQYPGVADSIESDIENVRLLLTYTNLIPKGLFLDRAMKVAKQELARECDYVLEASNQKRYKELLSDSDGYYVPKVIDQLSSKKVLTSEFVPGVPIDKVAQLSQETRNYVGCKLLELTVKELFVFRFMQTDPNWSNFLYDDATRKFNLIDFGAACDFPKRFVDDYLRMVVACANKDRAGVLEMSRRLGFLTGEEPEVMLDAHVQAAFIVGVPFSKPGGHDFRANNITHSVSNLGATMLKHRLTPPPDEVYSLHRKLSGAFLACIKIGAVVPCREMLFQVYEQYNFSNDYTDVAASTA >KQL08287 pep chromosome:Setaria_italica_v2.0:V:44583095:44584870:-1 gene:SETIT_000780mg transcript:KQL08287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLLAVALPTLLSHLRACRSASHALQCHALLLTSGHLAAAPTRLSNLLLLALASVSAPAAHAHADAVFAHLPEVATRDPFPWNTIVRLHAPARPRAALLYFARMRRCAVQPDAYTFPAVLKACGCAPGCRIGLLVHAEAVRRGLGADLFTVNALISFYCRVRDCRSGRKVFDEASGVSRDLVSWNSMVAGYVGCGEMELAQELFDEMPERDAFSWATMIDGCGKQAGGVDRARELFDQMPNRDLVCWNSMIDGYARHGRIDEARSLFEEMPERNVISWSVLIDGYVRCGEAKEALEYFQSMLRCGVRPDRVAAVGAVTACAQLGALEQGRWLHSYLEKKKVLFDVVVQTALIDMYMKCGRLDLAKLIFESMAERSVVTWNVMIVGLGTHGYGLDAVTLFHRMEAERAPMDDLSVLAVLTACTHAGLVSEGLGIFHRMKKDFRIDPKVEHYGALVDLLGRAGRLDQARHAIETMPMEPTPELWGSLLAACRSHRCVELAELSVERLANLGADDSGVYVLLSNIYADEGMWADVLRIRKLMSDEGMKKDIGRSVIDVDGEIHEFVNGGSSHLCRDEIYLMLRDLSNMAASI >KQL05058 pep chromosome:Setaria_italica_v2.0:V:14919257:14925361:1 gene:SETIT_001099mg transcript:KQL05058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQPARGGAINIEACARPIAVDNRISLPYYFRIAGSLLRQANIYRNEKNILDLYVILLRYSSLLCETIPKHRDFLAFKLREKAFYDKLNDVIIELESLKPVVQRQVAEHNRGGTVESNTNSLNGTYATSRRIEQHTPSLYTPQPFVGSANGALQKSFHVGRQVPSLPSVQPDKQIQKQFMNLPYPSEETLARHSILGPNGLHGRWNGPVTGIKVQYPSNFELTQSDMTSLVPSISNQDGSNGPGTAPPDSSTNDNEDMKSVLSLDDGRWSVPAEKRTPLPSASLEEELFQLNIKQPSPPPVLAEVQRPISPSSVADPTPGLPTSGTARFQNLHVPIKLMECFLRVAESNTKRSLETCGVLAGTLKKRTFYVTTLIIPKQKSTSNTCEATNEEELFEVQDTGSLFTLGWIHTHPTQSCFLSSIDLHNHYSYQVMLPEAIAIVMAPTDTTRKHGIFHLTDPGGMGVIHDCQERGFHPHKAPLDGSPIYEQCSHVYMDTDIKFDMIDLRER >KQL07756 pep chromosome:Setaria_italica_v2.0:V:41580091:41584512:-1 gene:SETIT_000831mg transcript:KQL07756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSSSSSPASSSSSSSSSSSPVPSGAHRRRLADVERDAAADCCGVPSDDSDGGTERRGHVKALLFARRSGGSGKRVPAVDRAWVRNAVACLLGATVVLVLVVSSRRGDVGAGRLVRRVDAGDGEVLGWREENLTAIARRPPDPPMTQIWTKLDNKGYTKCIERPKNRYRINSATAGYIIVDANGGLNQMRMGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKETLENDIMIVDSLPPAYKRLKPYIRAPKSWAKASYYRAFTRTLKKTKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRYKKEIEELGNTLVDRLRNGSSNYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLKVQHWKEKEINSEERRLQGGCPMTPREAALFLKAMGYPSSTKIYIVAGELYGVHSMDALRAEYPNFYTHYSLATENELESLKLYQNRLAAVDYNVALQSDVFVYTYDGNMAKAVQGHRRYEGFRKTINPNRQKLVEMIDKLDEGTINWNEFESEVKMHHENRLGGPYQRLSGRSARHEEYFYANPLPGCLCKRNTQDQVA >KQL07757 pep chromosome:Setaria_italica_v2.0:V:41580699:41584512:-1 gene:SETIT_000831mg transcript:KQL07757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSSSSSPASSSSSSSSSSSPVPSGAHRRRLADVERDAAADCCGVPSDDSDGGTERRGHVKALLFARRSGGSGKRVPAVDRAWVRNAVACLLGATVVLVLVVSSRRGDVGAGRLVRRVDAGDGEVLGWREENLTAIARRPPDPPMTQIWTKLDNKGYTKCIERPKNRYRINSATAGYIIVDANGGLNQMRMGISDMVAVAKLMNATLVIPTLDHKSFWTDPSDFKDIFDVEHFKETLENDIMIVDSLPPAYKRLKPYIRAPKSWAKASYYRAFTRTLKKTKVVKFTHTDSRIVNNGLPPSIQRLRCRANYEALRYKKEIEELGNTLVDRLRNGSSNYIALHLRYEKDMLSFTGCSHNLTHQEAEELREMRLKVQHWKEKEINSEERRLQGGCPMTPREAALFLKAMGYPSSTKIYIVAGELYGVHSMDALRAEYPNFYTHYSLATENELESLKLYQNRLAAVDYNVALQSDVFVYTYDGNMAKAVQGHRRYEGFRKTINPNRQKLVEMIDKLDEGTINWNEFESEVKMHHENRLGGPYQRLSGRSARHEEYFYANPLPGCLCKRNTQDQVA >KQL06099 pep chromosome:Setaria_italica_v2.0:V:30676696:30680226:1 gene:SETIT_000588mg transcript:KQL06099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGKGWAERVRRGVRTVWFMVVMVASLLVASAPALVAAGDVAVALWLEVRLGCLRGHGLRDQLQRYRFRSSLADIPLVSILRSLIITCVYLMSDTSGLSHGPYLGTATFCSLASLLILLIKASVYSPGQDIGPELSPSLPDHKLNLKKLWGMPVLFLSSLVFALGHVIVAYRTSCRARRKLLIHRIDPESILAYKNAFSGCYKVPRSPTPYGAKLFSRSESETKRKTIVHDDRDLPISFLADSDSMFIACQGITVHYKISDPSTCLSSPPDSFSERDTHHDVISSSISPRRQRHESPPSASSNTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDEIPLMSLDDGSADVYLNPVGFDLEAGEHGKFAVVLVHGFGGGVFSWRHVSNLLARQVGCNVLAFDRPGWGLTSRPRRKDWEDKKLPNPYELESQVDLLISFCSEMGLRSVVLVGHDDGGLLALKAAEKLRTYGDHIKVEVKGVVLVGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWYDATKLTTEVLNLYKAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLLRSVEDLPVLVVAGSEDALVSVKSAQAMASKLVNSVSNPLPFVDLPVSRAHDFAMDLIYTYLVFLTIYFVLLGQRIVTVSGCGTFAT >KQL06100 pep chromosome:Setaria_italica_v2.0:V:30676696:30680233:1 gene:SETIT_000588mg transcript:KQL06100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGKGWAERVRRGVRTVWFMVVMVASLLVASAPALVAAGDVAVALWLEVRLGCLRGHGLRDQLQRYRFRSSLADIPLVSILRSLIITCVYLMSDTSGLSHGPYLGTATFCSLASLLILLIKASVYSPGQDIGPELSPSLPDHKLNLKKLWGMPVLFLSSLVFALGHVIVAYRTSCRARRKLLIHRIDPESILAYKNAFSGCYKVPRSPTPYGAKLFSRSESETKRKTIVHDDRDLPISFLADSDSMFIACQGITVHYKISDPSTCLSSPPDSFSERDTHHDVISSSISPRRQRHESPPSASSNTRRLLNRSFSHQYHQTSLYAPLLVEPVTSPTLSDEIPLMSLDDGSADVYLNPVGFDLEAGEHGKFAVVLVHGFGGGVFSWRHVSNLLARQVGCNVLAFDRPGWGLTSRPRRKDWEDKKLPNPYELESQVDLLISFCSEMGLRSVVLVGHDDGGLLALKAAEKLRTYGDHIKVEVKGVVLVGVSLSREVIPAFARILLHTPLRKKHMVRPLLRTEITQVINRRAWYDATKLTTEVLNLYKAPLFVEGWDEALHEVGRLSFSTVLSSKRAADLLRSVEDLPVLVVAGSEDALVSVKSAQAMASKLVNSRIVTVSGCGTFAT >KQL03264 pep chromosome:Setaria_italica_v2.0:V:628754:632395:-1 gene:SETIT_000478mg transcript:KQL03264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRNYKQRVVQLVNTSTDEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQTLVDTEDSANQDANKDKEKGLIDIEDPTSQDSKNEPNQSTSDQSPFEQLALPAPPVSNGTATSAPKSDPGIDLLSWDDTPTTAENPLALVPVTDPLADSTPSNQNALAIVDAFSQNNTANSNAQPADPFGLNSSSTIPGSQPYGTPAQHALQPQQPQQAAALYPNGGTVNPGTSYDQASQFNNMSSGWNGQVASPLAPPPQQAQNYDDQSGSLPPPPWEAQSAASNELPNGHLGGGMQPLPTLPAGGMQQPLQPQINHMGVPQTQPMYNQPGVVLPQAMQPGHAAVAQMQPGFGNQQFGSLLPAPMPGMQFPGMQTPQMYGGSQAAMMYPQQMPGAQYGAMPQQQPMYGGRLAGYMQHPAVAAAHYYNQGTPATYGYPGANDLSQRMYGLSVQDNSYMGMSSSYQTAPSPAPSTGQPMRPTKPEDKLFGDLLSIAKTKKAS >KQL03265 pep chromosome:Setaria_italica_v2.0:V:628754:634663:-1 gene:SETIT_000478mg transcript:KQL03265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSMVDRATSDMLIGPDWAKNMEICDICNRDPGQSKDVVKALKKRIGHKNPKVQLLALTLLETVIKNCGDILHMHVAERDILHEMVKIVKKKSDPRVKEKVLVLIDTWQETLGGPRARYPQYYAAYHELVRAGAEFPKRSEKPAPLFNGQSQVARNMRSPDQQDEAESSAANDFPALSMSEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVELVDQCRNYKQRVVQLVNTSTDEELMSQGLALNDDLQRVLAKHDAIAAGIAVRVEKKPKSLQTLVDTEDSANQDANKDKEKGLIDIEDPTSQDSKNEPNQSTSDQSPFEQLALPAPPVSNGTATSAPKSDPGIDLLSWDDTPTTAENPLALVPVTDPLADSTPSNQNALAIVDAFSQNNTANSNAQPADPFGLNSSSTIPGSQPYGTPAQHALQPQQPQQAAALYPNGGTVNPGTSYDQASQFNNMSSGWNGQVASPLAPPPQQAQNYDDQSGSLPPPPWEAQSAASNELPNGHLGGGMQPLPTLPAGGMQQPLQPQINHMGVPQTQPMYNQPGVVLPQAMQPGHAAVAQMQPGFGNQQFGSLLPAPMPGMQFPGMQTPQMYGGSQAAMMYPQQMPGAQYGAMPQQQPMYGGRLAGYMQHPAVAAAHYYNQGTPATYGYPGANDLSQRMYGLSVQDNSYMGMSSSYQTAPSPAPSTGQPMRPTKPEDKLFGDLLSIAKTKKAS >KQL03588 pep chromosome:Setaria_italica_v2.0:V:3328182:3329104:1 gene:SETIT_002861mg transcript:KQL03588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIHLYVAAACAVVLVLAAPTIAGDPDHLQDVCVADRASPIKINGFTCKANVTADDFFFPGLRYPGNTKNPAGSMVTAANVETFPGVNTLGVSLARIDFAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKTVNKGDIFVFPRGLVHFQQNRGNCAAAVVSAFNSQLQGTQAIAMTLFGATPPVSTDVLAKAFRIGNGKVAAIKGRFGPK >KQL05007 pep chromosome:Setaria_italica_v2.0:V:14018315:14018632:-1 gene:SETIT_003601mg transcript:KQL05007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTRIIPRVQGAYTARIQTELSLLLNDLILKGRNFVNTDQDYWHVLGASRVLFGCNVRIYFYDRDKQPLSVVVHT >KQL05970 pep chromosome:Setaria_italica_v2.0:V:29592170:29593309:-1 gene:SETIT_003943mg transcript:KQL05970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPFMDKSPHRRDSAGGRLKQRLAQILTRSSCTTNTTSVATAAGGANSGAAFVSLARASDDYSSRQPEAPPSPYFCTPCTYERPKVDGAAVHPRRRRRRTRSASLVHISVDCTGGAAAASGRRSVHSDAPLLQHLSVPARDVRRPGKGRGKPSAARSPSASRRHLSSSSSSWGRARRPSRGTAAPYSWSSFSSTATDDEVAPFSSSSDGEGRGGEEAETRTLFSSLSFSSDSSEFYRNATRKSHGNTTARRAPRRALPRTGEPAPAADAFQPLVSVETRKHRGYDERRKEEKRGNDVRKPMGAAAEESGAAMAVVKRSSNPSMVEMVVERRIGSVGQMEELLGSYLSLNSLRHHPAILAAFEDVWEAVFGEE >KQL08708 pep chromosome:Setaria_italica_v2.0:V:46786951:46797455:-1 gene:SETIT_000206mg transcript:KQL08708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGEKTHHKHWHQGHGPSGSSKGEKHGKQQPKFIPDNYSSVDEVITALREAGLESSNLILGIDFTKSNEWSGRHSFGRKSLHAINGNPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYQDNHPCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISVVENSNWQYHVLVIIADGQVTAANTNDGRLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPERAFDNFQFVNFTGIMSTSKDMSKKEAAFALAALMEIPSQYKATQGLRPSEGRLDSERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAIEMKNIVESTENLFIREAMATEVLIGKNDSVEGVHTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVDFSGLEPQHGDEEVGWFSFDPEFHVEREQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQIFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTIPGLENCLMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGVNAARHSDGKSLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKQEKERLKSTKVPGGEFAAEVSAVSNQPVKDSSTLEAILKKPHVQYKLLDKHGFGNENLSRIEKECIEIDIKYEGFIARQQSQLHQIVNQEHRKLPEDLDYHSMKNLSIEAREKLSRVRPQTIGQASRIGGVSPADMTVLLIWMESNRRMANHRRQQDQLRAAAVKVDDSSEEVARASTA >KQL08707 pep chromosome:Setaria_italica_v2.0:V:46786568:46797455:-1 gene:SETIT_000206mg transcript:KQL08707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGEKTHHKHWHQGHGPSGSSKGEKHGKQQPKFIPDNYSSVDEVITALREAGLESSNLILGIDFTKSNEWSGRHSFGRKSLHAINGNPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYQDNHPCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISVVENSNWQYHVLVIIADGQVTAANTNDGRLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPERAFDNFQFVNFTGIMSTSKDMSKKEAAFALAALMEIPSQYKATQGLRPSEGRLDSERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAIEMKNIVESTENLFIREAMATEVLIGKNDSVEGVHTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVDFSGLEPQHGDEEVGWFSFDPEFHVEREQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQIFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTIPGLENCLMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGVNAARHSDGKSLIILERESSYIGTLIDDLVTKDLREPYRMLTRR >KQL08709 pep chromosome:Setaria_italica_v2.0:V:46786568:46797455:-1 gene:SETIT_000206mg transcript:KQL08709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGEKTHHKHWHQGHGPSGSSKGEKHGKQQPKFIPDNYSSVDEVITALREAGLESSNLILGIDFTKSNEWSGRHSFGRKSLHAINGNPNPYEQAISIIGRTLSPFDDDNLIPCFGFGDASTHDHSVFSFYQDNHPCRGFEEVLVRYRQIVPHLNLSGPTSFAPLIYAAISVVENSNWQYHVLVIIADGQVTAANTNDGRLSPQEQATIQAIVDASYYPLSIVMVGVGDGPWDAMQHFDDCIPERAFDNFQFVNFTGIMSTSKDMSKKEAAFALAALMEIPSQYKATQGLRPSEGRLDSERYDVIVVGGGHAGCEAALASARLGARTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKIADRCYLQKRVLNSSKGPAVRALRAQTDKREYAIEMKNIVESTENLFIREAMATEVLIGKNDSVEGVHTFFGMDFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASHGLTENLQQLGFETDRLKTGTPPRIDRRTVDFSGLEPQHGDEEVGWFSFDPEFHVEREQMCCYLTRTTKETHQIVRDNLDETPTYGGWVEAKGPRYCPAIEDKIVRFKDKESHQIFLEPEGRNVPELYLQGFSTGLPERLQLSLVRTIPGLENCLMLRPAYAVEYDYLPAYQCSRSLMTKKFEGLFFSGQINGTTGYEEAAAQGIISGVNAARHSDGKSLIILERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRADNADSRLTPLGRDIGLIDDRRWELYQSKQARIKQEKERLKSTKVPGGEFAAEVSAVSNQPVKDSSTLEAILKKPHVQYKLLDKHGFGNENLSRIEKECIEIDIKYEGFIARQQSQLHQIVNQEHRKLPEDLDYHSMKNLSIEAREKLSRVRLAELAG >KQL06168 pep chromosome:Setaria_italica_v2.0:V:31282256:31285320:1 gene:SETIT_004387mg transcript:KQL06168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRPWSKPSPESLDLGPASREEGSRRITPMLRVRPPPSLSPLFRFGSSKQSRRETPSPNSAGARPSTTPPTPARPPTLEAKNPNQSPSTTPRPPDFFFLRACRAPGQPRAFRAAPPTGAPPARCPPAPAPTPPTETPRSTASPARSSWRTSAPPSAGPTTTRPRGCSTRATAGSPRFRPRSPRPRPCSRRSSRASRTRARSSRPCGKTTTRSRRRTAGRSGSPPVGEFRPDAAGVSCKAKGPAPEGREGRRAGVSCKRNAPVGSPDDDDDRIPLSQLMKKRRGPQPVPNGEPKKGHGDVQANSVGPSLGDHPPERPPVNRGAPKASAGQRGGASQQLKVAAVEQGKGRICQSREAGGLSRAMPTLPPTDSAVGNNSQKKSSKADGAEDGARIGGKQGSAAGPPFQVRESARAGAETPKENTGSALDATVSLVLNTIREQGKTNGGMHKMKAPLETNGFGEKACMVARDIREQQKTQGQVLKTDVLQGTNGIGQQNVKLGTVQNREVSDGARLRTSDKQVSSSLKPNNQLTLHSEQMPSERPTSLQPNNQLTLHSEKMPVKSSLPSEVTRNWESASEVYTSCLVNNEICMQAACALLRQKKLSIQEGKDGYTVVNKSDVHRAASLAEFLLDGNLQGPLKRTAEELAKRDSGGVDLLARVAMGFSEQLFSIYRNKEDPYFR >KQL08635 pep chromosome:Setaria_italica_v2.0:V:46397567:46398697:1 gene:SETIT_002596mg transcript:KQL08635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHKLPSTLIAAFAVLLALAAPLVVVAGDPDMLQDICVADYHSLKGQGGERDGARLLLRPAGEARQHHRQRGGVGGDGGERGDGPGAQHPGRVHGAHRLRAVGRQPAAHPPARHRDHLRAPRLPPRRLHHHRQRPLRTHPPQGRGLRLPPWPRPLPEEQRTHPRRRRLGLQQPAAGHAGPRRDALRRLAAGAGRCAGKGVPDRRRPRRGHQGQVPTHVDTRMQPCMHADGDFEFRSIHCVLAVIKCDGSNNWLGRLVWLCMV >KQL05972 pep chromosome:Setaria_italica_v2.0:V:29604978:29613157:1 gene:SETIT_000300mg transcript:KQL05972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTVPSAAKSASPSQPSGKSEVADLKQQLRQLAGSRAPDADDQRRDVFKRVISCMTAGIDVSAAFGEMVLCSATSDVVTKKMCYLYVGAHARAHPDLALLTINFLQRDCRDQDPTIRGLALRSLCSLRVPNLVEYLVTPLTTGLKDPSAYVRMIAAVGAAKLYHISATACIDADLPASLKALMLSDPDAQVVANCLHALQEIWTLEAANSEAAAREIETLYSKPVVFYLLNKIKEFSEWAQCLVLELASKFLPSDNNEIFDIMNLLEDRLQHANGAVVLSTIKVFLHLTMSMTDVHQQVYERIKAPLLTLVGAGSPEQSYSVLCHLHLLVMRAPMLFSSDYKSFYCQYSDPSYVKKLKLEMLTAIANESNTYEIVTELCEYAGNVDVPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMDKDYVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNIQEPKGKAALIWMLGEYSQDMHDAPYVLESLVENWDEEHSPEVRLHLLTAVMKCFFKRPPETQKALGATLAAGLSDTHQDVHDRALFYYRLLQYDPAVAERVVNPPKQAVSVFADTQSSEMKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEYSEDLTNLAVGAEAQENVISAQRYQENDNDLLLSTSDKEDNGTRASNGSSTSTYNAPSDLIGLSSQTPAETSLISTGGPAYSSQSNFSLDDLLGLGVTEAPAPAPPPALTLNSKPVLDPGTFQRKWGQLALALTQECSLSPQGAASLMNPQSLIRHMQSNHIQCIASGGQPPNYKFFFYAQKDGATAFFLVECIVNTASAKAQLKIKADDGTAAEAFSTLFQSALSKFGLS >KQL04736 pep chromosome:Setaria_italica_v2.0:V:11246821:11247242:-1 gene:SETIT_005532mg transcript:KQL04736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLDRLATVPKCVPLPFFIGLTGHCYVDFVPFKLRCN >KQL05451 pep chromosome:Setaria_italica_v2.0:V:24631954:24632961:-1 gene:SETIT_004473mg transcript:KQL05451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDCRRRHGAGDGGVDRISGLPDDLLRRILLCLRSTRAAARTSVLSRRWRRVWPHMPDIRLGTCDDPHPGATRLDSVDAALAAYDAPAARRLDVAMHCHGLRVRARQVAPWLRFASERRVAKLNIELPSQTRFLFVRRRRADATELEEELELPVWDEAKRITLTLEQRWRLRRRPAGVFTALTDLYISLATMEARELGDLVSLQCPLLRNLYLFVTLVAASNVSIRSDSLRSLLFRVENAQRLEVVAPNLEELTVSDATEAHVSAPKLSEVTWYGDIAYDPRRHCIADAGRHLRLLDLGSKCVVASLLQRFDKVDVLKLTLNLCDQTVRYWRYR >KQL06150 pep chromosome:Setaria_italica_v2.0:V:30990734:30996546:1 gene:SETIT_000637mg transcript:KQL06150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAAAAAVAACPSPVGLARPLCRAYAHPRRPRGFRLEASSSAPAPAAADEGAGAGPCPVVRFDMDNFAIADRVSVGLHGRSDEMIFEATVRDPSSELYGSTVVLRQLKSSQAKRRGRRAIEVLKKLARRQVMYHSYALQVHGYIAPGNAVEQEDVPLVLVHGYHGSYSLRHWLQLSDWLPTLEATLALDEEQVRRVGDDSVGGPAVTRQLRLIRILMRDLLIGVNYLHSHGLAHTELRLENVHVSPIDKHVKVGILGNAADFHDNDPSSSTVASNGERRKMMIAFDMRCVGFIMAKMVLRELMDSSTFFKFKSFLTKGNDPYCLREFLLPILCQNSPSGNIGLQILDRQWGAGWNLLALLLATKPDKRISCVDALRHPFLCGPKWRINPSTSIIRWGLGSTAVRMAEDYIYGHHQRRRLVYFIELMEVLNPNLRTENWLQFLPGRWRLLYCTGRHIGLTLRQPSPRILISDVYLTVSSESIDPVFSLTSDIGFRIMPESDWPHDKSGTEGVLSVTTSARIAAGRIYIHEQDNKETRVTSSRSSRRYLGGKWRKVAKMKELPASLPSVNIATDEVDVSMSCNSALNVNSAQKVLQEIRTQTPPEMFDLSKIVCGTYIDARLMVLRGVNGSALLFTRSNPTTDS >KQL05035 pep chromosome:Setaria_italica_v2.0:V:14481509:14485560:1 gene:SETIT_000681mg transcript:KQL05035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGQTPMGLAAAAAAAVRPCSRRLLSSASTATSKTAAGTATPLFPRLPHPHHPPCGRRLPFLVSAASQSQASQTGPDAPPTHIPSDPRAAVSGNLPFFDRVLFPDSFPLETPAAKEDEDAAAAHADEAVAPAPPVREETETEREAWRLLRRAVVSYCGEPVGTVAAEDPECTETLNYDQVFIRDFVPSALAFLMRGETEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKIRTVPLDENNEGFEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYCKITGDNDLLERVDVQTGIQLILSLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMLVVNDGSKNLIRAVNNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSHDATNKFNIYPEQIPSWLVDWIPEKGGYLIGNLQPAHMDFRFFSLGNLWAIASSLTTPKQAEGILSLIEEKWDDLVANMPLKICYPAMEDDEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELARKAIAVAEDRLSDDKWPEYYDTRSGRFIGKQSRSYQTWTIAGFLTSKMLLENPELASILTCDEDLELLEGCACCLSKKRARCSRRVAKSHAG >KQL05355 pep chromosome:Setaria_italica_v2.0:V:23361532:23361960:-1 gene:SETIT_005621mg transcript:KQL05355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWMYLIQFTIVTAIRYNGQDGYVIVLQNRLSIFFTEYY >KQL04435 pep chromosome:Setaria_italica_v2.0:V:8980354:8980460:-1 gene:SETIT_003836mg transcript:KQL04435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAGVQLGSLKPQIITQVEMAESRVLLACHD >KQL04786 pep chromosome:Setaria_italica_v2.0:V:11741704:11742777:1 gene:SETIT_004936mg transcript:KQL04786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRGERVRDDRRRRLRRLGSLETNAGGKVFTSVRSSRRAWIVGVGGDHGDTVIFDTETKVSIQGPRLKSSAKWCPVLTIVGDRVYAMSKFPPWFEVLDLSKSRVVTVGGGCSYLEDCCWSEVPIPACLPWKLHPIEYTILPFVKLWSYAVVGRYIVVSFNQPWGTHALDTDSHEWHKVDDERLPFIGCAVRHGCIFLAMSKENGPLNAYSICVAPSGRDDALNLWIDTHSFDFNRDYNEWFPRKLLVKLKTYETEDTSILETSKEALLAVKQTIAVSSRREDFKISSSSHGFSPFGFTLLSI >KQL03334 pep chromosome:Setaria_italica_v2.0:V:1788893:1789579:1 gene:SETIT_003233mg transcript:KQL03334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLVDKAKGFVADKVAKVEKPEAELADLSVGHVGRDGATLAGRIDVRNPYSHAIPVCEISYSLRSAGREVASGKMPDPGSLIARDTTRLDVPVKVPYDFLVSLVRDAGRDWDIDYEMRVGLTVDLPVVGNLTLPLTKSGELKLPTLSTIF >KQL03669 pep chromosome:Setaria_italica_v2.0:V:3822467:3823205:-1 gene:SETIT_005512mg transcript:KQL03669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSLRRGRDAAGEGGGPICRGRRSTTSAPWAKRAPACHGGARPAASLFLEQKCRAARVWCFELLHVPKSCVRGEVVH >KQL05308 pep chromosome:Setaria_italica_v2.0:V:22015769:22019232:1 gene:SETIT_003049mg transcript:KQL05308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGGRGGAREKLREAACNAVAGGSAGVISATVLCPLDVIKTRLQVYGLPSNFSSGAAPPGRVIISGFQQILKNEGLPGLYRGLSPTIVALFPTWAVTFSVYNHVKGLLHSEDGNNSELSVQANVLAASCAGIATATATNPLWVVKTRLQVRYIVKFVFVYVSMSGIYLRRKGMSLLEVLWFYI >KQL05744 pep chromosome:Setaria_italica_v2.0:V:27456949:27459054:1 gene:SETIT_003960mg transcript:KQL05744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSWMKKARHETAYEEGVEKFLAFAYRDLPQDSEILCPCKKCKNRINQSHDEVRTHQRCDGILQGYTTWVHHGENYDRPSIAFVDVPNITTLPTSGIVQGRRDGESDSMEELLHAAFGRVAGMSQGEADDFQSGFVDMEHNAPEDIVTPAQEGKEFVLGKKVSTAKKDNKKGKNKEVVAESFMKRKCKTKGQNKESDGSGAKEEKNPKDWLKKSKDVMRIVVELSNFFKMLCSKVIDVDELAHRYVLRHCDELEDLR >KQL04690 pep chromosome:Setaria_italica_v2.0:V:10983841:10987911:1 gene:SETIT_004033mg transcript:KQL04690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRVEMKQGLHKKLIESTKEDSDFDGSFGSYSTPLEYGPSPPRTRRHLDEDDPRYDPTADHQILKKGTLVIEMLGSKGEPILPEGIAARFWNICGAIVRDKLQTWITTSNWKNVPTTTKDVLWATLKEKFTFLEGQEDSTRKFAEGLLGRCFRNWRSILNTDYVKKGKNARNNFGRIPLEMWEEFVQQKNTLEAKALSEENTRKDMKATENPHHLGAGGYAAKIAKWRREKEEWMIAAHRNWVLARISVFTSEGKVTFKHPTTMEIYESLEQLAELQKKGLFKLGRERDQVTAAIGTAEHSGRVRGMSSILPWGKAFQNDQGSYKKWECYKKNLEEKMRAIANQHRIANTRYVAPSSARSIANVRYPIDEIQVDTPCRLVIPHGRKQNKFREVATGIAITAHVFPKEPPPEYSWVQVVTVLDESCELNIPTDEGIEVLGDAMNQYILWHRRDIVLNINASPEISRMSQDEPMRPSSPPPQRPAVPRMVRTYENKDPSTQVDKFLNVLKTKASSSIEKSVACGPSRRKEIDEGLNFFTLDEFLDKYEHGKLFLDHWICIYIYPKLGSAMILDSARFTKDSYKEFLGIIQNAYRLYVLKSGECPENRKKAMKIITHRWCHKQPPGSVLCGYYVCEFLRNNGRINTRDATLEDRGIVNICRDMARFIQREICHEDGEFFDPNGVLAADECTRLCHIPPFVLAQSY >KQL03930 pep chromosome:Setaria_italica_v2.0:V:5416456:5419657:-1 gene:SETIT_001003mg transcript:KQL03930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIHPMTIIAGFRMAAECARNALLQRTIDNKENTDKFRADLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVADIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKEVDELARKTPGKKSHAIDAFSRALQAIPTTIADNAGLDSAEMISQLRAEHHKENCTAGIDVITGTVGDMQKLGIQESFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >KQL03931 pep chromosome:Setaria_italica_v2.0:V:5416456:5421169:-1 gene:SETIT_001003mg transcript:KQL03931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVLKDDAVEEKGERARMASFIGAMAIADLVKTTLGPKGMDKILQSTGRGRSVTVTNDGATILKSLHIDNPAAKVLVDISKVQDDEVGDGTTSVVVLAGELLRESEKLVNMKIHPMTIIAGFRMAAECARNALLQRTIDNKENTDKFRADLMNIAMTTLSSKILSQDKEYFAELAVDAVLRLKGSTNLEAIQILKKPGGSLKDSFLDEGFILDKKIGIGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSKVADIEAAEKQKMREKVQKIIGHGINCFVNRQLIYNFPEELFADAGILAIEHADFEGIERLALVTGGEIASTFDNPESVKLGHCKVIEEIMIGEDRLIHFSGVEMGQACTIVLRGASEHVLDEAERSLHDALCVLSQTVNDTRVLFGGGWPEMVMAKEVDELARKTPGKKSHAIDAFSRALQAIPTTIADNAGLDSAEMISQLRAEHHKENCTAGIDVITGTVGDMQKLGIQESFKVKQAILLSATEAAEMILRVDEIITCAPRRREDRM >KQL05436 pep chromosome:Setaria_italica_v2.0:V:24476486:24478812:-1 gene:SETIT_001902mg transcript:KQL05436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSHPEKLERDHPVLHCPPTKRTLADEIVASVTAAESGTAHWVPHPVAPAALSPQITSLASPMAADRRFKIFAAADGFGQPLKDAVVAHLRAHPAVAEVVDLGVDKYYAAAAAVARQVSSPSSDSAPDAPEVRGVVVCGTGAGVCIFANKYPRVYATHCASPADAVNTRSINACNVLALSGMATPPDAAAAIADTWLATPFRAPCPASGDAPWPEDIQRFLDTAPDEMAAIPEAEVPPNSACAICCLRNGMEFEPVGIMPGGEMRIVRESPTSAYVRFKAGSVEPAHHHTFGHDLVVVKGKKKVWNLTKKESYDLVDGDFLFTPAGDVHRVKYFEDTEFFIRWDGHWDIFLDEDLDTARSAIDAELGAASK >KQL07819 pep chromosome:Setaria_italica_v2.0:V:41977308:41981475:-1 gene:SETIT_001152mg transcript:KQL07819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPPPSQPEAAAAAPPSSTSASAPSPAPPPTPPTSAASAAPSSDSTITTPTPDPGTAANPAQTLEAPGPSPAAARPRMRPPYTHLASPITMSSASSAATAAASSSASVPSSSSSAPPVPRGGVVIGVPAPRPAQTPAGYTGFVPPPSLAHQFGSMHRGPDQPPPPSSQFRQPSPGIQNIGMVGSLSTSQMRPGIISGPQQPRPGLPSSTTPIPSGSQMPGSQRTPSHALMRPMSVSSPSPSPALQQTPQNSSTFRPQQRPQVPQPRPQQSSPVTPHQQNIISAQQQQLPHNQLLQQQQQKQQQQSSSQQTQQNTTPKNQQQHSQQQAARTPVTMAQKPDSPAIAKATVLQSADTAATDADGSETGTRLLNKRSIHELVAQIDPNEKLDPEVEDVLMDIAEDFVESVATFACSLAKHRKSNTLEAKDVLLHAA >KQL07818 pep chromosome:Setaria_italica_v2.0:V:41976689:41981482:-1 gene:SETIT_001152mg transcript:KQL07818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPPPSQPEAAAAAPPSSTSASAPSPAPPPTPPTSAASAAPSSDSTITTPTPDPGTAANPAQTLEAPGPSPAAARPRMRPPYTHLASPITMSSASSAATAAASSSASVPSSSSSAPPVPRGGVVIGVPAPRPAQTPAGYTGFVPPPSLAHQFGSMHRGPDQPPPPSSQFRQPSPGIQNIGMVGSLSTSQMRPGIISGPQQPRPGLPSSTTPIPSGSQMPGSQRTPSHALMRPMSVSSPSPSPALQQTPQNSSTFRPQQRPQVPQPRPQQSSPVTPHQQNIISAQQQQLPHNQLLQQQQQKQQQQSSSQQTQQNTTPKNQQQHSQQQAARTPVTMAQKPDSPAIAKATVLQSADTAATDADGSETGTRLLNKRSIHELVAQIDPNEKLDPEVEDVLMDIAEDFVESVATFACSLAKHRKSNTLEAKDVLLHAA >KQL07817 pep chromosome:Setaria_italica_v2.0:V:41976128:41981482:-1 gene:SETIT_001152mg transcript:KQL07817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPPPSQPEAAAAAPPSSTSASAPSPAPPPTPPTSAASAAPSSDSTITTPTPDPGTAANPAQTLEAPGPSPAAARPRMRPPYTHLASPITMSSASSAATAAASSSASVPSSSSSAPPVPRGGVVIGVPAPRPAQTPAGYTGFVPPPSLAHQFGSMHRGPDQPPPPSSQFRQPSPGIQNIGMVGSLSTSQMRPGIISGPQQPRPGLPSSTTPIPSGSQMPGSQRTPSHALMRPMSVSSPSPSPALQQTPQNSSTFRPQQRPQVPQPRPQQSSPVTPHQQNIISAQQQQLPHNQLLQQQQQKQQQQSSSQQTQQNTTPKNQQQHSQQQAARTPVTMAQKPDSPAIAKATVLQSADTAATDADGSETGTRLLNKRSIHELVAQIDPNEKLDPEVEDVLMDIAEDFVESVATFACSLAKHRKSNTLEAKDVLLHAERSWNITLPGFSGDEIKLYKKQHINDIHRERLALIKKSMATDTKNSAAQAATNQKNQTPKPPAPASP >KQL08396 pep chromosome:Setaria_italica_v2.0:V:45199631:45200175:1 gene:SETIT_005370mg transcript:KQL08396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPSGSGNGCLIFESKLTAQVAIIFYHMLIPSGSGNGCLIFESKLTASLMPSRFPRSWLTHRSPTNITRFICLSSKSPFSRASAISRTAPLP >KQL08785 pep chromosome:Setaria_italica_v2.0:V:47074922:47075975:-1 gene:SETIT_004481mg transcript:KQL08785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYESLSRRDKSLQLINRDIRPLSFIDKLERGCDDIENLEEMIDVERDLERYQRDTLRKIRPQQIYQMGWFENKNGLYRISREVELSVLKKPVQLRIIINKAALGFLEGDMTKTMLITYIASDLIMLKKEFIDKMAIGFQTKGYEDFKGTNLLVSIEFVGRLTNRSGTKYQVNVNNVIENRAGEEWNISALIEPKILKQLKDYVSYENSKGITSIRFVNYKERSLDDLEVSSSDSNIEETRRHNVCEFMEKLDIDNEIKHYEKKLSKVQDEYNTSMICEWSAIREKELYFT >KQL05943 pep chromosome:Setaria_italica_v2.0:V:29371773:29374862:-1 gene:SETIT_000644mg transcript:KQL05943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGSKDVQMAGVNAELASREDALPALLIQVPAQSIAGFDCVAEDATVSLHELDDKVEELQDTGAHKDIVISIPAAPATAVSAAARSYDDAHVPYSISLSMPASPSGFHLSQFRAASANRVEARVAPAAMPDGLVVHPVEEQPAEAHLHSPRLLKQTRFHSQPILNMHPSKNADEGARRCDSTRDKRFDSFKTFSGRLERQLSNLRGRPQEPIDGISPESGISEETDQVPAADRYFDALEGPELDTLRATEVPVLPKDEKWPFLLRFPISAFGMCLGVSSQSILWKTLASAPPTAFLHVSPVVNHVLWYVALALMVLISAIYLLKVVFYFEAVRREFYHPIRANFFFAPWVACLFLVLGAPRLVAEMHHGVWYGLMAPIFCLELKIYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGAKMGLREGPTFFFAVGLAHYMVLFVTLYQRLPTNVTLPKELHPVFFLFVAPPSVASMAWAKINGQFDAGARIGFFIALFLYMSLAVRINFFRGFRFSLAWWAYTFPMTGASVATITYATEVTNVLTRTLSIGLSGIATVTVAGLLVTTVFHAFVLRDLFPNDVSIAITRKKPKFSKILAHLRSSSSDMKELVFSLSKPAQSDAGDSETDRSVTTKSQAEP >KQL05742 pep chromosome:Setaria_italica_v2.0:V:27428683:27430747:-1 gene:SETIT_001255mg transcript:KQL05742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNASLLSPGWVKIEGPATAHVKAKILTLENAASKCIGTALVRNDCWSFLKGGFALNSSSQTSVLYFQTASPNASTISIRSASLQPFSPDQWNQHREDRIQLIRKRFVNVHVSNANGSRVVGANVSVHQIARDFPFGSAISKSILGNKPYQDWFNKRFNAAVFENELKWYATEPSPGKEDYTVADQLLQFVQSNDVMARGHNIFWEDPKYTPAWVKNLTGSELRAAVAGRIESLLSRYKGDFVHWDVSNEMLHFDFYENRLGGNATAEFFSTAKRADPLATLFLNDFNVVEVCDDLSSSADSYVSRLRQLADGGVTFEGIGLEGHFGKPNIPYVRAVLDKLGTLRLPIWLTEIDISSSFDQKTQAAYLEEVLREGFAHPSVDGIMLWTAMGRNASCYQMCLTDANFTNLPAGDVVDRLLEEWQTREVLGATNDRGSFNFSAFLGEYKLSVTYQNLTSEGTFSLARSDDTKHINVRLQGPAA >KQL06738 pep chromosome:Setaria_italica_v2.0:V:35359343:35361996:1 gene:SETIT_003861mg transcript:KQL06738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPAADEGESWTLPAANNGSRGISGELLPAFGEPFPCSVGNTIINPYDGRYRWWQAFLIVLVLYSAWASPFELALEKAATAPLLVVDLVVDVFFAADIAVSFFVTYFDRSANLFVDDRRKIATRYLTRPWFAMDVASTVPFQIIYRLVSGSSTGFRYLNLLRLWRLRRVSKLFARWEKDIRFNYFYTRLVKLIGVTLFALHSSACIFLWMAFHHRDKEHTWLGSQVRDFTDRSVWVGYTYAVYWSITTLATVGYGDLHAVNPGEMAFATGYMLFNLGLTSYIIGNMTNLVVHAATNTFRMRDMVRRVSTFGAANQLPRELREQMMASAQLRFNAGEVVQQQLLSDLPRALRSGVAQHLFGDTVQRCYLFQGVSSGLVVQLVSEMVAGYFPPKADIVLQNETSTDCYIVVSGAVDVLATADDGTEKLVMKVGPHGMAGEMGVIFGTPQPFTVRSRRLTQVVRISRSHLLQILRPNTADADTVHANFVQYLRSLREHVAVDAPFFREILSDTGLDRLQNYAIFQKQLHEGARIVRDQDARLGSQQHEETAPCNMLLRRQHKPRVVIHDHFPGDGTEKTRNRAGGKLVCLPDSLQELMKVAEAKFGKAVRTVLTVDGAEVEDVAVLRDGDHLVLCW >KQL05119 pep chromosome:Setaria_italica_v2.0:V:16128152:16129727:-1 gene:SETIT_004076mg transcript:KQL05119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLLGVAVLLYLASISAQAGPGCQIHCGDKKASKSMSRGKTRVLYNTSTYCYDPNTRRMERDLWWLDFSTWPYRFSNLDNNFIVLGCNTLAYIYNKYNRAVYTTACASVCERRRTLTNGSCLGVGCCQNTNAIRKGLRRYDVYFYQVYNDSDSWQFNPCSYAAQGETESFSFSSNYITTKRFNETYQGRQPMVLNWAIGNVTCELARNMSSYACRHRNTECVDSTNGPGYLCNCTKGYEGNPSLLYVDECKQNPKACPNGAACDNIEGGYHCSCPPGRKLNKNTNSCNPDTNLKYLRGSKIVLNTHGRRGGPKK >KQL08481 pep chromosome:Setaria_italica_v2.0:V:45656161:45660780:1 gene:SETIT_000352mg transcript:KQL08481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPQPLPPAAEEGAAPAAPLPAAPPGPRPYEVAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYSLHRLILSRSAYFRNMLHGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALFTLLAKVTMFELQVSGNETSSSNADHSMRKGKTPMDEPGEEQLIESELQNLKLHDNLGNEIAHNIIAISDTNGEASKRMGNDCSTGGPSGESTSYQFNENIWLSSEQTKNYFSRTSSSGVVPTEWGRPNAPLWGGRVVGRRQVRCIRGGSCLSSDEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFGFPCKAVNDGLWLQMLLCHRVQAIVADTCRNCCLTSSSCACKQAYVSSHTHYRQENDRSSASGTIGNIYLADAQGDGNGGLGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQFANDESEPRIDRNGDISADGLTALVNLSQESNVVHQQAESIFETSVQTRYRSVASVSTPGGSSVQMQESKEHELGSDWETAEDATISLDMKTPLSHFPPFRFGVEFEDVHRLADGQVKHSTEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAEFLDPLRKVSDLLNSLVYGQIN >KQL08482 pep chromosome:Setaria_italica_v2.0:V:45656081:45661329:1 gene:SETIT_000352mg transcript:KQL08482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPQPLPPAAEEGAAPAAPLPAAPPGPRPYEVAVAAAELRPVDCNLAALCDHVQAEGFGSGAFSDVVVEAMGATYSLHRLILSRSAYFRNMLHGPWREAGAPTVVLHIDDANVDSEAIAIALAYLYGQPPKLNDNNAFRVLAAASFLDLQDLCTICTDFIISELWTSNFLQYQLFAESQDYGSHGERVRNACWGYLCQSATLELREVLPKLSSQTLHALLTSDELWVPNEEKRFELALFTLLAKVTMFELQVSGNETSSSNADHSMRKGKTPMDEPGEEQLIESELQNLKLHDNLGNEIAHNIIAISDTNGEASKRMGNDCSTGGPSGESTSYQFNENIWLSSEQTKNYFSRTSSSGVVPTEWGRPNAPLWGGRVVGRRQVRCIRGGSCLSSDEYNAFMNIFERGSLLYCNMSFDALLSVRKQLEEFGFPCKAVNDGLWLQMLLCHRVQAIVADTCRNCCLTSSSCACKQAYVSSHTHYRQENDRSSASGTIGNIYLADAQGDGNGGLGPVRVNVRGAVDGLAGIGRGNSNVPGAAWAPTRYVFSRVPYGLGSRNGQQFANDESEPRIDRNGDISADGLTALVNLSQESNVVHQQAESIFETSVQTRYRSVASVSTPGGSSVQMQESKEHELGSDWETAEDATISLDMKTPLSHFPPFRFGVEFEDVHRLADGQVKHSTEVFYAGSLWKVSVQAFNDEDPHGRRTLGLFLHRRKAEFLDPLRKVHMYVDPREKVTARYQLICPSKREVMIFGSLKQAGTLLPKAPKGWGWRTAILFDELADLLQAGALRIAAVVQLV >KQL03634 pep chromosome:Setaria_italica_v2.0:V:3561580:3565306:-1 gene:SETIT_000716mg transcript:KQL03634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALRRAGNPLRVRAQQVVSARGCASLEVLLNADAKDVEEHHEGNCQKACCCCKPKLPAFRLSFSSSRIALGRSFSSQAGASSGNKDDLLEDGFSDLEVPPEADKKDASLTSEDSSDEDAADEIGLPDVDADAKPEKEHMNRVSDSILLKAMLETPRHEVTKALEKWANDGNVLDRGELFFVLLNLRKRRWFGKALQLLEWVEESKLLEFVERDYASRVDLTAKVYGLHKAEQYIEKIPAAHRGEIVYRTLLANCVQEANVNKAEKVFNRMKDLGFQPTIFSFNQLLLLYKRVDKKKITDVLAMMEKENVKPSLFSYKLLVDAKGTSRDIEGMEKVIEQMETEGVEPDLTFKATAARHYIFDGQREKAEALLESMEGDDINTNRAACKILLPLYAFLGKNDDVERIWKVCKDNTRLDECHSAIQAFGTLGDVEKAEEVFENMFLRWKTLSSKYYNAMLKVYANQNLLDKGKELAKRMDENHIKFGNTTLDALVKLYVDAGEVEKADSLLHKLSQKHRIRPQYSSYLMLLDCYSKKGDVHNSERVFHKLRQIGYTGRIRQYQLLLHAYLHAKAPAYGFKERMKADNIFPNNAVATLITATDPFVKKKSISDLLD >KQL05366 pep chromosome:Setaria_italica_v2.0:V:23471989:23475972:1 gene:SETIT_002973mg transcript:KQL05366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALERSAFEALGNDFLKYNQKLRQLMFNIKNSSKLRSRLMDKELDPPVLLTMSPDELKVGLTSAEKTSEPEESRQLQMTDTRCERCNEKKVGIYNIIHAGHGDRYQLECTSCGHTWFSSRDAITTLTEDAPSTAGNVGTAPWATAKFDVLEKLASPRGQPDKPAAGALQKSTAAYMPTLEKQKSFAKPKTEEPSPVPNQE >KQL04658 pep chromosome:Setaria_italica_v2.0:V:10499624:10508317:1 gene:SETIT_000268mg transcript:KQL04658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQNVWEADKMLDSYIYDYLLKRNMQNTAKAFQAESNVPSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDSAAAYLESIKAREQQQQSQQQVEVQQLLLQRHVQQQQQHSQEQQQQQHPQLQQRQQKQQQRNENTDFSTSAQNGTVAADPPARQNAAAASGLSAKLYEDRTKITAQRDVSDEASMKQRLAERTGPLLEANPTSMLKSPARSALASGQIFHRSTGGLSGSLQQAQARSQPLLGSTQDMKAETNVALNLRAGADGSLFGVPGSNQAGNNLTLKGWPLTGLEQLRSGFLQHKSYMHSPQPLQHQLQFLTPQQQQVLLQAQQNMTASPVEMENRRLRMLFSSRNLVPGRDGQSNAFTEIIPSVGQSLQNLCLPMQRTETDMLMKKIAALQQQQQSSSQQQLLQHPLLNQQQQSSNYHAGELEKMGAGIVTVAFHGHDQVSKNQKGRKRKQPISSSGPANSSGTMNTAGASPSSTPSTPSAHSPGDTISTPPLLHNASLSKALIVYGSEPLVPTASPANSLVDMDHYVEDDSMEDNNVEPILSHDDMDLRSAGSHCINSAKGYILREMSSAQASTSSVLCCHFSSDGKLLATGGQDKKVFLWNAETLKQKSILEEHSLLITDVRFSPSTPHLATSSFDKTVRVWDADNQDYSIRTFTGHSASVMSLDFHPNKDDLICSCDGDNEIRFWNIKHGNNVRIFKGGSTQLRFQPRYGGYLATASDNMVSILDVETQACVRRFESHTNHVDSLCWDPTGGYIVSVSEDMVKMWSLNEESCVNELNCSGRKFSSCAFHPTYPSLLVIGCYQSLELWDMAENRSMTIAAHDSLISAVASSSSGLVASTGHDKYVKLWRLGHLRHAAP >KQL06751 pep chromosome:Setaria_italica_v2.0:V:35421181:35423725:1 gene:SETIT_002691mg transcript:KQL06751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSALHPISTSHKYSKNSEFWDQVRDVNVAETTRPCTEPQIAVCQQRSVQPPIVPTPRASAQIFVQKDTSTSKIQSHPQTTLISSSDDGEATAPPETSSSVVLSEVTVQDDMKGAERTCFFDGGSLFVGDMEARSSTQYEPGSTSTPTKLPVMLFSGLHPMGPGFPSVSMILPGFVGQQRDGDSEMGPMTWLPILTGATGVQEGTSCPPNFGSNCLKLASSLASPSHEPVHHRNRSRRYSEMSFAL >KQL05317 pep chromosome:Setaria_italica_v2.0:V:22419454:22424141:1 gene:SETIT_002949mg transcript:KQL05317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPQQGQGQKVMVAVDESECSRHALEWALRNLAPTLAPPLLLLTVQPLAPLGYVSAASFGAPLGTVPPVAPELIKSMQEQQRELTQALLDKAKAICAEHGVNVETVVEVGDPKEMICEAAEKKNVDLLVLGSHSRGPIQRLFLGSVSNYCVHHCKCPVLVVKNQGQTQMANKATCSCTLSVVD >KQL05318 pep chromosome:Setaria_italica_v2.0:V:22419454:22424141:1 gene:SETIT_002949mg transcript:KQL05318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPQQGQGQKVMVAVDESECSRHALEWALRNLAPTLAPPLLLLTVQPLAPLGYVSAASFGAPPPELIKSMQEQQRELTQALLDKAKAICAEHGVNVETVVEVGDPKEMICEAAEKKNVDLLVLGSHSRGPIQRLFLGSVSNYCVHHCKCPVLVVKNQG >KQL05319 pep chromosome:Setaria_italica_v2.0:V:22419454:22424141:1 gene:SETIT_002949mg transcript:KQL05319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPQQGQGQKVMVAVDESECSRHALEWALRNLAPTLAPPLLLLTVQPLAPLGYVSAASFGAPLGTVPPVAPELIKSMQEQQRELTQALLDKAKAICAEHGVNVETVVEVGDPKEMICEAAEKKNVDLLVLGSHSRGPIQRLFLGSVSNYCVHHCKCPVLVVKNQGCRDHPESCSTSVKLYEVKVEKERDTVGRESRCIHMYPF >KQL05316 pep chromosome:Setaria_italica_v2.0:V:22419602:22422482:1 gene:SETIT_002949mg transcript:KQL05316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPQQGQGQKVMVAVDESECSRHALEWALRNLAPTLAPPLLLLTVQPLAPLGYVSAASFGAPLGTVPPVAPELIKSMQEQQRELTQALLDKAKAICAEHGVNVETVVEVGDPKEMICEAAEKKNVDLLVLGSHSRGPIQRLFLGSVSNYCVHHCKCPVLVVKNQG >KQL07378 pep chromosome:Setaria_italica_v2.0:V:39413315:39415401:-1 gene:SETIT_003139mg transcript:KQL07378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALASPMASLSFRSGRISAAAIGGVARTGRAAPVGASASPFLRSSFVSSSSTSSASASPASLSAAVSASLAFTSSSSFAGSSLGIEFSYNRMTTRRSRGLQIRAGKAALCMTKRSRSRKSLARTHGFRRRMRTTSGRKVLKRRRAKGRKVLCTKTNSNSGKKRMF >KQL04892 pep chromosome:Setaria_italica_v2.0:V:12859000:12860225:-1 gene:SETIT_003964mg transcript:KQL04892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSAFASALLSLPFPHLLTHPRARLLLAASELARVAAAGFVPLLGSLLRRHPFPGDARLLELFTEHASFLADEEPQLLASAVLAFLRLLARNRLAPVLSSGECKDCEECKSAKTLEECRGRLVSFCVSMLRDHFQVCALIGRDLVRSLHELALVPEFQPLWRDHIPHCVGDVCRISTPGWCMAMAITPEMETQLLFMMNNVKWGDQKRYQLWFAMKHLMVPGGEERIPDIVRFICCRYHPTNEVIQSGVIVRWAVIGWLLTGCSKGYVVANAKLALFYDWGSVMNIEPAMLLMVNLVSQYTDITNMLLEFLFLLIENYDVRRKEAIAHCVRSAFGVLVKKRVIPSLEPLTCCEKLSPLLRQKLVAFLSSSNPGAAEDSCGKSTDVVSKETELKKSVCSS >KQL08166 pep chromosome:Setaria_italica_v2.0:V:43912120:43916719:1 gene:SETIT_001123mg transcript:KQL08166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSERAPALAAPRVLKAGRLPPNQAAVTSRSRRLNRRQPITAASSGGDSMGSTDPCAARRFRQQPGSPTGNRESNLAGRAGRPVSVVNAHAHVGSRARAHGGRLTWRPGLGPPVPASFLTRWVPPPPAAQIQRAPPTDRSLPARARSTQQPAGDMNRLHHHGVMPPPGTGQLARYGSAPSSLLAAIADSVTRGGDAHPPPPPPVSRFYSGESSGLTSCESSCRTDGGGGRPLERAYGGSGEIRVPPPPLQQQQSLAPPGPPHGLLRHSSSPAGLLSRLMADPHGMAPTRGMGSYSQAGTDASMAHGHRQLSSQWSFSRQDLPQISEMGMIPDIGESIVAGGCNSSSDGGGGAQSSSYLSRNFSVSSWDDTNSIIFSSSSKKAKLDPADEMVTSFSNIDSQFGLSKSSLEMPGMDDYLQLQQDSIACRVRAKRGCATHPRSIAERERRTRISKRLKKLQDLVPNMDKQTNTSDMLDLAVDYIKELKDEVEKLKHDQANCFCSGNQNC >KQL08163 pep chromosome:Setaria_italica_v2.0:V:43912120:43915125:1 gene:SETIT_001123mg transcript:KQL08163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSERAPALAAPRVLKAGRLPPNQAAVTSRSRRLNRRQPITAASSGGDSMGSTDPCAARRFRQQPGSPTGNRESNLAGRAGRPVSVVNAHAHVGSRARAHGGRLTWRPGLGPPVPASFLTRWVPPPPAAQIQRAPPTDRSLPARARSTQQPAGDMNRLHHHGVMPPPGTGQLARYGSAPSSLLAAIADSVTRGGDAHPPPPPPVSRFYSGESSGLTSCESSCRTDGGGGRPLERAYGGSGEIRVPPPPLQQQQSLAPPGPPHGLLRHSSSPAGLLSRLMADPHGMAPTRGMGSYSQAGTDASMAHGHRQLSSQWSFSRQDLPQISEMGMIPDIGESIVAGGCNSSSDGGGGAQSSSYLSRNFSVSSWDDTNSIIFSSSSKKAKLDPADEMVTSFSNIDSQVTSNPLALLAAPWHG >KQL08165 pep chromosome:Setaria_italica_v2.0:V:43912120:43916719:1 gene:SETIT_001123mg transcript:KQL08165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSERAPALAAPRVLKAGRLPPNQAAVTSRSRRLNRRQPITAASSGGDSMGSTDPCAARRFRQQPGSPTGNRESNLAGRAGRPVSVVNAHAHVGSRARAHGGRLTWRPGLGPPVPASFLTRWVPPPPAAQIQRAPPTDRSLPARARSTQQPAGDMNRLHHHGVMPPPGTGQLARYGSAPSSLLAAIADSVTRGGDAHPPPPPPVSRFYSGESSGLTSCESSCRTDGGGGRPLERAYGGSGEIRVPPPPLQQQQSLAPPGPPHGLLRHSSSPAGLLSRLMADPHGMAPTRGMGSYSQAGTDASMAHGHRQLSSQWSFSRQDLPQISEMGMIPDIGESIVAGGCNSSSDGGGGAQSSSYLSRNFSVSSWDDTNSIIFSSSSKKAKLDPADEMVTSFSNIDSQFGLSKSSLEMPGMDDYLQLQQDSIACRVRAKRGCATHPRSIAERVSSVPTHLIC >KQL08164 pep chromosome:Setaria_italica_v2.0:V:43912120:43916719:1 gene:SETIT_001123mg transcript:KQL08164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSERAPALAAPRVLKAGRLPPNQAAVTSRSRRLNRRQPITAASSGGDSMGSTDPCAARRFRQQPGSPTGNRESNLAGRAGRPVSVVNAHAHVGSRARAHGGRLTWRPGLGPPVPASFLTRWVPPPPAAQIQRAPPTDRSLPARARSTQQPAGDMNRLHHHGVMPPPGTGQLARYGSAPSSLLAAIADSVTRGGDAHPPPPPPVSRFYSGESSGLTSCESSCRTDGGGGRPLERAYGGSGEIRVPPPPLQQQQSLAPPGPPHGLLRHSSSPAGLLSRLMADPHGMAPTRGMGSYSQAGTDASMAHGHRQLSSQWSFSRQDLPQISEMGMIPDIGESIVAGGCNSSSDGGGGAQSSSYLSRNFSVSSWDDTNSIIFSSSSKKAKLDPADEMVTSFSNIDSLGCQSRRWRCPAWMITCSCSRIPSLAEFVQREAARPTREASLRGKEEQGSARG >KQL07316 pep chromosome:Setaria_italica_v2.0:V:39083052:39086669:1 gene:SETIT_000423mg transcript:KQL07316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYSSWRASASSALLLLFFVLLLGVHGGSRRLYIVYLGDVKHGHPEDVIASHHDLLSDVLGSKEDSLASVVHNYKHGFSGFAAMLTEDQAKQLAEFPEVISVEPSRSYTATTTRSWDFLGLSYQMPSELLQKSRYGEDIIIGVVDSGIWPESRSFNDEGYGPVPPRWRGMCQIGEAWGSSNCSRKIIGARFYSAGIDANLLKSDYLSPRGVNGHGTHAASTAAGSIVEAASFHGLAAGAAHGGAHRARIAVYKSLWGPRGRGSSATVLAAIDDAIHDGVDVLSLSLGALRDTSSFGALHAVQKGITIVYSAGNDGPRPQTIGNTAPWVITVAASTIDRSFPTVITLGNKQQIVGQSLYYQEKNSSRSSFRSLAIGGVGGLCTAEALNGTDLERRIVLCFPSNRERALTPTSEFTNALQNVQDAGGSGLVFAQYTTNGLDGVTANCRGIACVLVDLDTGYKILEYYRTTASSAVAKIEPARTFTSKELLAPKVAAFSSRGPSIHNADVIKPDVAAPGTSILAAVGDNYEIMSGTSMAAPHVAGIIALLKALHPQWSPAALKSAIVTTASVTDEHGMPILAEGLPRKIADPFDYGGGHINPNRAADPGLIYDIDPNDYNYFFSCIIKTSVSCNGTSVPGYLLNLPSISVPDLRNPVTVPRTVTNVGEVDAVYHVAIESPAGVRIEVEPSVLVFNAANKVHTFQVKLSPMWRLQGDYTFGSITWYNDHRTVRIPVAARITIHDLFADVA >KQL06141 pep chromosome:Setaria_italica_v2.0:V:30946260:30949302:1 gene:SETIT_002260mg transcript:KQL06141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSPSRFLLQILQDRVLSGEKGMDIDCHTVEFDDVRYHIQFSMRNPKVMVLSVALPLPPPEAILYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAILTQIASVREVVLGAPLKVLLKHLASKTVAPNVDKLVALVHRPNESFFLAPQADKVTIVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNVPSCMWSPAPPLELKGVPADALNANAGFVTFGNLHFY >KQL06143 pep chromosome:Setaria_italica_v2.0:V:30946260:30951466:1 gene:SETIT_002260mg transcript:KQL06143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSPSRFLLQILQDRVLSGEKGMDIDCHTVEFDDVRYHIQFSMRNPKVMVLSVALPLPPPEAILYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAILTQIASVREVVLGAPLKVLLKHLASKTVAPNVDKLVALVHRPNESFFLAPQADKVTIVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNVPSCMWSPAPPLELKGVPADALNANAGFVTFVVYPRHVEGKKLDRTVWNLLTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKSDAEKLKKLVHGASFNRLSLKNEGN >KQL06142 pep chromosome:Setaria_italica_v2.0:V:30946260:30951466:1 gene:SETIT_002260mg transcript:KQL06142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILLQSPSRFLLQILQDRVLSGEKGMDIDCHTVEFDDVRYHIQFSMRNPKVMVLSVALPLPPPEAILYDGLPLGAIEAIKAAYGPVVQILDPPKDGFDLTMKINLTKLPPDEEQRNAILTQIASVREVVLGAPLKVLLKHLASKTVAPNVDKLVALVHRPNESFFLAPQADKVTIVYPMRFQDSIDIVLATSFLQEFVEARRTAALNNVPSCMWSPAPPLELKGVPADALNANAGFVTFVVYPRHVEGKKLDRTVWNLLTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKSDAEKLKKLVHGASFNRLNEGN >KQL04417 pep chromosome:Setaria_italica_v2.0:V:8815224:8817915:1 gene:SETIT_002366mg transcript:KQL04417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSENDDGIAPLDEREDGWLCFYEILANHFVRVPESGRRILELIVQLWSQSFASNIFSLLLHKWLFEAPLDGKEIPLRYSSALVQGATNVFWIDIQTNTRHFLSLYHYLLEDVALVPDRLNKISLQAGRDLFLLLSRFMFFYDQDHLLSRFLEHFPTFPNSFLVGGPADYFVIDLTDQLQKLKIEPVLLHYLSRMSILQGLELRLSTSTRLKACLYSFTSPGGPTYPTRAVRHAAWNTLDLLFPIGRYPRHVISLFFRLLYPWYWPSSCWNFVMTCAMTVYYYILNLLVSTWESLRRPSHRRTHEE >KQL06909 pep chromosome:Setaria_italica_v2.0:V:36354993:36359831:-1 gene:SETIT_000445mg transcript:KQL06909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLDAASLLAPSPPRGKPHHRGRFLYLLSSTLAVLGASLALLLFLALRRAPAHNPSPNYGALFLSLGSNDTAAAHLRSLTLHPHVAGTRANSRTARYVRDALPFPAHIAPYSVLLSYPVHRSLSLSAPGRGAGASTSFALKQETYRGDPYAAASAEAIPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVALARYGRIHCEEVVHNARAAGAAAAVVYTDPLHYGGAPGEAAFPESRWLPPSGVQVGSLFLGAGDPTTPMWPSSDGCERLSVEEAMGTDDMPLIPALPVSARDAMEIHSGMGGAVAPAGWQGREDGPVYRLGPGPAVLNLTYLGNDTMATIENVFAIIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRFSMLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNIDVSVVGPGFLPSTTPQLDELLQEITKVVQDPDNSSQTVYDSWIKSNGSPRVLRLGDGGSDYLAFVQHAGIPSMNIVFGEGPGYPVYHSLYDDYVWMAKFGDPGFRRHVAAASIWGMMALRLADDEIIPFNYMSYAIELQAYTKVLENKVKGTDVTCSPLYNSIRALKTAATTVNNEQKELQKQLLSKQLNKDALKIRQLNDRLMQAERAFTSREGIFKQEWCKHLVYGPSDQNDWDTAVYPGIANAIGSARSSNTSESWKSVQHEIYRVARAVTQASAVLSGRLT >KQL06910 pep chromosome:Setaria_italica_v2.0:V:36354735:36359876:-1 gene:SETIT_000445mg transcript:KQL06910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLDAASLLAPSPPRGKPHHRGRFLYLLSSTLAVLGASLALLLFLALRRAPAHNPSPNYGALFLSLGSNDTAAAHLRSLTLHPHVAGTRANSRTARYVRDALPFPAHIAPYSVLLSYPVHRSLSLSAPGRGAGASTSFALKQETYRGDPYAAASAEAIPTFYAYAASGSVSAEAVYANYGREEDFAYLASRGVDVAGKVALARYGRIHCEEVVHNARAAGAAAAVVYTDPLHYGGAPGEAAFPESRWLPPSGVQVGSLFLGAGDPTTPMWPSSDGCERLSVEEAMGTDDMPLIPALPVSARDAMEIHSGMGGAVAPAGWQGREDGPVYRLGPGPAVLNLTYLGNDTMATIENVFAIIEGAEEPDRYVILGNHRDAWTFGASDPNSGTAAMIELAQRFSMLQKQGWRPRRTIIFCSWDAEEYGLTGSTEWVEENREMLSSRAVAYLNIDVSVVGPGFLPSTTPQLDELLQEITKVVQDPDNSSQTVYDSWIKSNGSPRVLRLGDGGSDYLAFVQHAGIPSMNIVFGEGPGYPVYHSLYDDYVWMAKFGDPGFRRHVAAASIWGMMALRLADDEIIPFNYMSYAIELQAYTKVLENKVKGTDVTCSPLYNSIRALKTAATTVNNEQKAERAFTSREGIFKQEWCKHLVYGPSDQNDWDTAVYPGIANAIGSARSSNTSESWKSVQHEIYRVARAVTQASAVLSGRLT >KQL08013 pep chromosome:Setaria_italica_v2.0:V:43126358:43128894:-1 gene:SETIT_002929mg transcript:KQL08013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENSTNRQVTFSKRRNGILKKAREISVLCDAEVGVVIFSSAGKLYDFCSPKTSLSKILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDTMQIELRHLKGEDLNSLQPKELIMIEEALDNGLTNLNEKLMEHWELHMRHNKMLEDENKLLSFKLHQQDIALSGSMRDLELGYHPDRDFAAQMPITFRVQPSHPNLQENN >KQL08012 pep chromosome:Setaria_italica_v2.0:V:43127536:43128790:-1 gene:SETIT_002929mg transcript:KQL08012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIENSTNRQVTFSKRRNGILKKAREISVLCDAEVGVVIFSSAGKLYDFCSPKTSLSKILEKYQTNSGKILWDEKHKSLSAEIDRIKKENDTMQIELRHLKGEDLNSLQPKELIMIEEALDNGLTNLNEKLVINLLNQLISMWLML >KQL07597 pep chromosome:Setaria_italica_v2.0:V:40710464:40717557:-1 gene:SETIT_000256mg transcript:KQL07597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPVELPGRLAILPFRNKVLLPGAIVRIRCTNPSSVKLVEQELWQKEEKGLIGVLPVRDSEAGAVGSLLSAGVGSDSGEGGSKAGGSAGESSKQDTKNGKEPIHWHSKGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFSVQELSARGSYHVARVSRLDMTKTELEQAEQDPDLIALSRQFKATAMELISVLEQKQKTVGRTKVLLDTVPVYRLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSILVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVVALERKMQNAGMPPNIWKHAQRELRRLRKMQPQQPGYSSSRAYLELLADLPWQKVSEERELDLRAAKESLDRDHYGLTKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAKALNRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLIDGLKRVSVSNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAMKHLIPRVLEQHGLSSTYLQIPEAMVKLIVERYTREAGVRNLERNLAALARAAAVKVAEQVNTLRLGKEIQPITTTLLDSRLADGGEVEMEVIPMGHDISNTYENPSPMIVDEAMLEKVLGPPRFDDGEAADRVASPGVSVGLVWTSFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQLALTWVRARAADLNLSPTSDINLLESRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSNRKVRADTAMTGEMTLRGLVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLAEVPSPILSGMEILLVKRIEEVLGHAFEGGCPLRSRSKL >KQL08066 pep chromosome:Setaria_italica_v2.0:V:43396598:43397995:-1 gene:SETIT_004885mg transcript:KQL08066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSRRRPLRDLDGDGDDDDLEEGEYVPGGRDESSDTDGDDDGYRLQRRLEGQLGRGVSWMEVAMASGRPVGVPRPLVARSSCESDGTISDDGSNPSADSSAVVSSAEAPARRFACHVCGRGFNSLKAVDGHMRVHGNGRMAEAAVVGGGWAATGKRGWTGGKPSVAAVCLNSESTDDHSTAVVVAQPIPMAIAVTSSPSSTPVLSSTRTNLSGEESSSSATAQPMDYEAPAVATVVTGPNNPSTGAVVHHQQAAPIPAAEQARPVHQPAVPPPQARPVHQPAVPLPPASLAQPRRVYSCKLCGKSYSSHQGLGGHAAGHRNRQKEAEAAAAAAEMMMGLGQDGAAFRRGRRAEEPHECQKCHKVFATGVALGGHMRMHYTGPPIVHKRNKRRCPAPPPAPAVSEADLRLALSTVTEERRPSPSPAVAAGRVQAPPSKEEEQGSSSSEGEKQ >KQL03425 pep chromosome:Setaria_italica_v2.0:V:2276553:2277329:1 gene:SETIT_005107mg transcript:KQL03425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSSYPTKDKSSDNSIFPLHQALRGNVEAPPPQPYPVFTHVPLTRTVASSGIIQSPARNEQEIMHSFLTVPLSEFLSVDERVQVASLEPPSITSLLEGDPTAILQAHLDIAGVLDPGPIFHDPTSFIPKENEMRKPLGSSSSQCDPYGNMTYAKPNYFDQSPMSSSHFLREEQVPSVVNPSDKSNDYIRAAMRYKFEFNRVQQYTCQLCNATFTTPQTYQGHMSLHKERE >KQL04801 pep chromosome:Setaria_italica_v2.0:V:11928338:11930132:-1 gene:SETIT_001369mg transcript:KQL04801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKLLLDASHGAAAAPLSKVATSGLHGEDSPYFAGWKAYDENPYDAVSNPGGVIQMGLAENQVSFDLLEGYLRDHPEAAGCGGGAAGSGMASFRDNALFQDYHGLKAFRKAMASFMEKIRGGKARFDPDRIVLTAGATAANELLTFILANPGDALLIPTPYYPGFDRDLRWRTGVNIVPVHCDSANGFQVTAAALQAAYEEAEAAGMRVRAVLLTNPSNPLGTTVKRSALEGVLDFVIRKNIHLISDEIYSGSVFAAPDLVSVAELVESRGAGVAGRVHIVYSLSKDLGLPGFRVGVVYSYNDAVVTAARRMSSFTLVSSQTQKALAAMLADDAFAAAYIRTNRERLRARHDYIVAGLARAGVPCLRGNAGLFVWMDMRRLLGEATVAGELRLWDRMLREVKLNISPGSSCHCSEPGWFRVCFANMSLDTLDVALARMSRFMDRWNKERAMSTQQELH >KQL03966 pep chromosome:Setaria_italica_v2.0:V:5620614:5624510:1 gene:SETIT_001713mg transcript:KQL03966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWSSPSAAAAAAAAASSGARFGVLPGPAQRQPLPSPSLPRGGPNSRLVLTRGPGFLTRIGSASVSSSARCRAVAAEVEGLNIANDVTQLIGKTPMVYLNNIVKGSVANVAAKLEIMEPCCSVKDRIGYSMINDAEEKGLITPGKSVLVEATSGNTGIGLAFIAASKGYKLILTMPSSMSMERRVLLRAFGAELVLTDAAKGMKGALDKATEILDKTPNSYMLQQFDNPANPKVHYETTGPEIWEDSKGKVDIFIGGIGTGGTISGAGRFLKEKNPEIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDSDVLDEVIEISSDEAVETAKQLAVQEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVIFPSFGERYLSSVLYQSIREECENLQPEP >KQL08107 pep chromosome:Setaria_italica_v2.0:V:43579789:43582528:1 gene:SETIT_003190mg transcript:KQL08107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLYAAAAVALVCFSGFCHGERLGARQCEDLGFTGLALCSDCNALSEFVKDQELVEDCRKCCTEDSDDSISKLTFSGAIIEVCMRKLVFYPEVVGFLEEDKDDFPYVEARYSYGSPPKLIMLDDKGEHKETIRIDNWKREHIRQFLKEKVKLVKSDS >KQL05900 pep chromosome:Setaria_italica_v2.0:V:28943091:28944535:-1 gene:SETIT_003041mg transcript:KQL05900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPSKELLDLPSEPRPPASFIESLLASRDHQQQDKEGKRKPGPPTDPLPKSQVLGKVKDFLGEIAKANQKLQLDTQNKPLEEYDIETLTGNEEEYIEMDLLLGVADLHSEQAVEAAEATMNGFRPSGMPVACSSSDPEDDSDDSDEDGGDRPIVSDIAKCKGPDEAETDPAEGKKPNKRQKIVVLN >KQL04363 pep chromosome:Setaria_italica_v2.0:V:8469549:8473818:-1 gene:SETIT_000484mg transcript:KQL04363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVCNLMIEVGHPILMTECECNPSFSRARMAELLFETYGVPSIAFGIDNAFSYKYNQKLGNCNEDGLAISCEHGTCHVVPFLKGQPVLGACSRTNVGGFHITDFLRQLLSLKYPYHTANFTWEKAEELKKEHCYVAFDYMSELQIFKNNKEEAEEKTRYWQLPWVPPPKEEPPSEEELARKAALKEKAGQRLREYAANKRYQKIVELEKTLSDLEELMEQLDEAEESDATAILSKSKYLSQQDVKSAILKTTQALRKAKGESNGNEEKADAPAADKYPLVSVPDEELTPEQLKEKKKQILLKTTTEGKLRAKQRRAEEEALREKQEEQRRAENPELYLEELRTRYSELSEKFEQRKRQKVNGGQTNGNHGSSGGVGRGERLNAAQKERMRLLASAAFDRGKGEDTFGMRDEDWLVYNKMSKDNDDDGNDDDESELVRIASKLQEIDPTFVSKSEAVQLTPEPPKVRPLTAEDYRIAIGIERFRCPEVLFQPGMIGIDQAGIDEMVSISLRRLMEDESVKQRLCQSILVTGGSSLFPGMIPRLESGIRQYRPYLSPLKIVRAADPILDAWRGAAAFAASSKFGKQTFSLADYREHGENLFHRYNIVYSL >KQL04364 pep chromosome:Setaria_italica_v2.0:V:8469549:8475645:-1 gene:SETIT_000484mg transcript:KQL04364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVTRPRREADFARFPSSTPIVIDNGASTFRIGWAGETEPRATFRNVVQRPRHRSTGETVSIVGDTDPALMKFFDCTRSAVRSPFDDDVVYQFEYMEYILDYGFDRLGANSEVGHPILMTECECNPSFSRARMAELLFETYGVPSIAFGIDNAFSYKYNQKLGNCNEDGLAISCEHGTCHVVPFLKGQPVLGACSRTNVGGFHITDFLRQLLSLKYPYHTANFTWEKAEELKKEHCYVAFDYMSELQIFKNNKEEAEEKTRYWQLPWVPPPKEEPPSEEELARKAALKEKAGQRLREYAANKRYQKIVELEKTLSDLEELMEQLDEAEESDATAILSKSKYLSQQDVKSAILKTTQALRKAKGESNGNEEKADAPAADKYPLVSVPDEELTPEQLKEKKKQILLKTTTEGKLRAKQRRAEEEALREKQEEQRRAENPELYLEELRTRYSELSEKFEQRKRQKVNGGQTNGNHGSSGGVGRGERLNAAQKERMRLLASAAFDRGKGEDTFGMRDEDWLVYNKMSKDNDDDGNDDDESELVRIASKLQEIDPTFVSKSEAVQLTPEPPKVRPLTAEDYRIAIGIERFRCPEVLFQPGMIGIDQAGIDEMVSISLRRLMEDESVKQRLCQSILVTGGSSLFPGMIPRLESGIRQYRPYLSPLKIVRAADPILDAWRGAAAFAASSKFGKQTFSLADYREHGENLFHRYNIVYSL >KQL06699 pep chromosome:Setaria_italica_v2.0:V:35092508:35093504:-1 gene:SETIT_004464mg transcript:KQL06699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASCNSLADAVFLDMAYRNPCDGGLASTFYGGAGSPAAAFLRPAFGAAPADLAPQQRQPDALECLSDDGVSSVVVPGTFGTPPPPPPRMPVVPDASGYAHARGTAAVAGEGSTPRTTDRIAFRMRSEEEVLDDGYKWRKYGKKSVKNSPNPRNYYRCSTEGCNVKKRVERDKDDPSYVVTMYEGVHNHVSPGTIYYATQDAASGRFFVAGMHQFGP >KQL07846 pep chromosome:Setaria_italica_v2.0:V:42119832:42120809:-1 gene:SETIT_003438mg transcript:KQL07846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRQLLTAGHFMRQAFDSETIQRHSQLILQLTALFTVDSSAKLDAVVNCHGLKLFTGTLPTTTYSHHQENHNMWRWSSEKLKTSESYLFPARSIQISLFIRCGQP >KQL08675 pep chromosome:Setaria_italica_v2.0:V:46633220:46639584:1 gene:SETIT_000598mg transcript:KQL08675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTLEKALALLTVSPQRRGGGRWCSSSASASSPLTHPPVRHPPLCPLAESETTYTTRPSFPGQDEAESRGSTEDFSASARKRSGPDRGAMSLDELADKEQSSPEEIQLDSKFRSFNPGPIPIPAAPTIRSLADSVSDERVDVMASHQSENGSGSISTASSTVSSIESEKAAYEYLAQTPIKSTDAHLVEFSEAMRTVAKALRRVAEGKAAAQAEAAEWKRKYELEMAHKQQSKIKGCGSCISNDLDKLASQLTLETSASDQLGCCGKHGICSHEVLQDEVPGPIPRSNHKMVGRKASFKLSWGCNGDKNGQHKHDFVSFEKGDITTAERSNKQILLKWESTPQTVLFITKPNSNSVHVLCAEMVRWLKEHKNINVFVEPRVSKELLTEDSNYNFIQTWDNDEEMKLLHTKVDLIVTLGGDGTVLWAASLFKGPVPPVVAFSLGSLGFMTPFPSEQYRDCLDNVLNGPFSITLRNRLQCHVIRDAAKDELVTEDPILVLNEVTIDRGISSYLTYLECYCDSSFVTCVQGDGLIISTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTLRVQVPYNSRGHAWASFDGKDRRQLSPGDALICSISPWPVPTACVMDSTTDFLRSIHEGLHWNLRKSQSSDGPRD >KQL05683 pep chromosome:Setaria_italica_v2.0:V:26986878:26990318:1 gene:SETIT_000898mg transcript:KQL05683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYKAASSRASSSSLQDDDSSPSETTARRQSHTTAQADRTRSSRAQRQLASSMAGNGKAAAAGVVVPEIKYTKLFINGEFVDAVSGKTFETRDPRTGDVLAHVAEAGKADVDLAVKAARDAFEHGKWPRMSGYERGRIMNKLADLVDQHTEELAALDGADAGKLLLLGKIIDVPGATQMLRYYAGAADKIHGDVLRVSGKYQGYTLKEPIGVVGVIIPWNFPTMMFFLKVSPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGHTAGAAITSHMDVDSVAFTGSTEIGRLIMESAARSNLKTVSLELGGKSPLIVFDDADVDMAVNLSRLAIFFNKGEVCVAGSRVYVQEGIYDEFVKKAVEAAQSWKVGDPFDVTTNMGPQVRS >KQL05684 pep chromosome:Setaria_italica_v2.0:V:26986878:26991380:1 gene:SETIT_000898mg transcript:KQL05684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIYKAASSRASSSSLQDDDSSPSETTARRQSHTTAQADRTRSSRAQRQLASSMAGNGKAAAAGVVVPEIKYTKLFINGEFVDAVSGKTFETRDPRTGDVLAHVAEAGKADVDLAVKAARDAFEHGKWPRMSGYERGRIMNKLADLVDQHTEELAALDGADAGKLLLLGKIIDVPGATQMLRYYAGAADKIHGDVLRVSGKYQGYTLKEPIGVVGVIIPWNFPTMMFFLKVSPALAAGCTVVVKPAEQTPLSALYYAHLAKLAGVPDGVINVVPGFGHTAGAAITSHMDVDSVAFTGSTEIGRLIMESAARSNLKTVSLELGGKSPLIVFDDADVDMAVNLSRLAIFFNKGEVCVAGSRVYVQEGIYDEFVKKAVEAAQSWKVGDPFDVTTNMGPQVDKEQFEKVLKYIEHGKSEGATLLTGGKPAAEKGYYIEPTIFVDVTDDMKIAQEEIFGPVMSLMKFKTVDEVIEKANCTKYGLAAGIVTKSLDIANRVSRSVRAGTVWVNCYFAFDPDAPFGGYKMSGFGRDQGLAAMDKYLQVKSVITALPDSPWY >KQL06845 pep chromosome:Setaria_italica_v2.0:V:36004644:36005392:1 gene:SETIT_002190mg transcript:KQL06845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLVSSSSSDDEYDEDSRNLFSSRSFSSDSSDFYNCPRKNTMARASVSGPCRAPPARARRGASQSCRYSFELPRGSTASAATDGGFAVVKRSADPYEDFRKSMQEMIAEWPAGGDVGDAGEGEHSAERLLETYLVLNSPRHYPAILAAFADVRETFCP >KQL07004 pep chromosome:Setaria_italica_v2.0:V:37001549:37011836:1 gene:SETIT_000288mg transcript:KQL07004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTISIVDVKFRNQEFSSLLEIRRPKTSTPRGTEPKAGMNGRENTYPPTPAKRTGTGESALSDAMSREARESPETSPATTNTRSSPSRTAASTTLPYESARTRGLAGGARRRPAAASHRSSIGRVRTRKKAAPDARREWRDWAAPRRPGGGLTAGFVKKKPSLDPPFPAASAAAANEMAAALLLRGLRSSASRAHTHSLSHASSPSALGSSLLRRLYSSAAASTAASPALTPSGVMNPSRIRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTSVSWKENELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLSKALKYGLRPILLLNKVDRPSVSEETCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASLTFTKSPPDNAKNMSSLLDSIIQHIPSPKADLEAPFQMLVSMMERDFYLGRILTGRVRSGVVRVGDKVHGLRSTDDGVQKIEDGKVIKLMKKKGTSMVTVETAGAGDIISMAGLAAPAIGHTVSNSEVMTALPTIELDPPTISMTFGVNDSPLAGRDGTHLTGAKIGNRLMAEAETNLAINVLPGPLSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKTERGERLEPIEEVTVEVDEEHVGFVLETLTHRKGEILDMGPVPGTTGRTRIFMTCPSRGLVGVKGIFSSFTRGTGFMHRAFQAYAKYRGALGSVRKGVLISVGKGLITSHALMSLEARGILFVSPGMEAYEGMIVGEHSRDSDLEVNPVRTKELTNIRAPGKDENVRLTPPRLMTLEEAIGYVAADELIEVTPKVIRLRKKYLDSTKRKMMKNKPMD >KQL06421 pep chromosome:Setaria_italica_v2.0:V:33270201:33272656:1 gene:SETIT_002942mg transcript:KQL06421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPFEAAVEEQDSPPESPAPQEEEAGAAGPADDPEDYDGGPRAPPPRQASAPAALAAAAAKAKGRVQREQQEDEDDEEDQMEVDLEKLPTSTGDPDKLAKMNAILSQFTEQQMNRYESFRRSGFQKSNMKRLLTSITGSQKISIPTSIVVSGIAKMFVGELIETARIVMTERKDSGPIRPCHIREAYRRLKLEGKIPRRSVPRLFR >KQL05591 pep chromosome:Setaria_italica_v2.0:V:26129176:26132446:-1 gene:SETIT_001218mg transcript:KQL05591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNPKIYGLAQCAPDLTPDQCRGCLGYIQSEIMARHMDGRPQSNVGAVVWCLLRYSVLSPIYEGRAMLQLAAPPEPPPVATPSPATPESGAGRKGITAGVSVGIAGFVVLMLILSVFFFLRFRRRIKATKKGHPLKKIGNPQCTVFDLMTLQEATEHLSEKNKLGEGGFGTVYKGILSDGEEIAVKTLLGRTGHALHQLHNEIQVLAKLQHKNLVRLLGYCLHQNDTLLVYEYIKNGSLDSILFDKTTGNALHWEQQYNIILGIAKGILYLHEDSSTRIIHRDLKANNILLDDDMEPKIADFGLARLLGEGHTLTQTARVVGTFGYMAPEYAMHGLVSPKVDIFSFGVLVLEIVTRRSNCGSDDYSAVNLLSDVWAHWTKGSISQMLDQSLVGYGRIQALRCIHVGLLCVQVDPDDRPDISAVVFMLTRDGMELQPPEQPAFFFARGSPSASRSDGQSSYVYDRSSSILEQDISVNGLTVTEPYPR >KQL05590 pep chromosome:Setaria_italica_v2.0:V:26129227:26131011:-1 gene:SETIT_001218mg transcript:KQL05590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALKKIGNPQCTVFDLMTLQEATEHLSEKNKLGEGGFGTVYKGILSDGEEIAVKTLLGRTGHALHQLHNEIQVLAKLQHKNLVRLLGYCLHQNDTLLVYEYIKNGSLDSILFDKTTGNALHWEQQYNIILGIAKGILYLHEDSSTRIIHRDLKANNILLDDDMEPKIADFGLARLLGEGHTLTQTARVVGTFGYMAPEYAMHGLVSPKVDIFSFGVLVLEIVTRRSNCGSDDYSAVNLLSDVWAHWTKGSISQMLDQSLVGYGRIQALRCIHVGLLCVQVDPDDRPDISAVVFMLTRDGMELQPPEQPAFFFARGSPSASRSDGQSSYVYDRSSSILEQDISVNGLTVTEPYPR >KQL06247 pep chromosome:Setaria_italica_v2.0:V:32027557:32032149:-1 gene:SETIT_001263mg transcript:KQL06247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVAVVAPLAAPPAPAFSPAAAGLTLIAAAAADPIAAAAVAGAMEGVSVPPVRTASAVEDDALAPEAEEVGEASVAGSPCSVASDCSSVASADFEGVGLGFFAAAEGGGPMVFEDSAASAATVEAEARVAAGGRSVFAVDCVPLWGYTSICGRRPEMEDAVATVPRFFDVPLWMLTGNAAIDGLDPMTFRLPAHFFGVYDGHGGAQVANYCREHLHVALVEQLSRIEGTVCAANLGDVEFKEQWENAFVDCFARVDDEVGGKASRGGGGTGTSDASAAVVPEPVAPETVGSTAVVAVICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGDRYLKPWIIPVPEVTIVPRAKDDECLVLASDGLWDVMSNEEVCDIARKRILLWHKKNGTSSSSAPRVGDSADPAAQAAAECLSKLALQKGSKDNITVVVVDLKAQRKFKSKT >KQL06248 pep chromosome:Setaria_italica_v2.0:V:32029804:32032149:-1 gene:SETIT_001263mg transcript:KQL06248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVVAVVAPLAAPPAPAFSPAAAGLTLIAAAAADPIAAAAVAGAMEGVSVPPVRTASAVEDDALAPEAEEVGEASVAGSPCSVASDCSSVASADFEGVGLGFFAAAEGGGPMVFEDSAASAATVEAEARVAAGGRSVFAVDCVPLWGYTSICGRRPEMEDAVATVPRFFDVPLWMLTGNAAIDGLDPMTFRLPAHFFGVYDGHGGAQVANYCREHLHVALVEQLSRIEGTVCAANLGDVEFKEQWENAFVDCFARVDDEVGGKASRGGGGTGTSDASAAVVPEPVAPETVGSTAVVAVICSSHIIVSNCGDSRAVLCRGKQPVPLSVDHKPNREDEYARIEAEGGKVIQWNGYRVFGVLAMSRSIGMPVQHTALLI >KQL07483 pep chromosome:Setaria_italica_v2.0:V:39967543:39972800:1 gene:SETIT_000653mg transcript:KQL07483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHLTVIAFVAASLLFASIPHAKSADLNSDKQALLAFAASLPHGKKLNWSSTTPVCTTWVGVTCTPDKSRVHTLRLPAVGLFGPIPSDTLGKLDALEVLSLRSNRLTVDLPPDVGSIPALHSLYLQHNNLSGIIPTSLSSSLTFLDLSYNTFDGEIPLKVQNLTQLTALLLQNNSLSGPIPDLQLPKLRHLNLSNNNLSGPIPPSLQKFPASSFLGNAFLCGFPLDPCPGTAPSPSPVSPLAPSKTKKSLWKKIKTIVIIVIAAVGGVLLLILILMLLICIFKRKKHTEPTTASSKGKAVAGGRAENPKEDYSSGVQEAERNKLVFFEGSSYNFDLEDLLRASAEVLGKGSYGTTYKAVLEDGTTVVVKRLKEVVVSKKDFEQQMEIIGRVGQHQNVVPLRAYYYSKDEKLLVFDYVPSGSLAAVLHGNKSAGRAPLDWETRVKISLDVARGIAHLHAEGGGKFIHGNIKASNVLLSQNQDGCVSEFGLAQLMTTPQAAPRLVGYRAPEVLETKKSTQKSDVYSFGVLLLEMLTGKAPLRSPGREDSIEHLPRWVQSVVREEWTAEVFDVDLLRHPNVEDEMVQMLQIAMACVAAVPDQRPKMEEVIRRITEIRNSYSSGTRTPLEDKPETAQAP >KQL06450 pep chromosome:Setaria_italica_v2.0:V:33557912:33559733:-1 gene:SETIT_003472mg transcript:KQL06450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGGASVPLQAAAQVCRKCVEFEPSQAAWCRVTPMARARLADSSVACKASSPPPSGSAGTSGAILETSLGSSVVRLSMSSSSAHSATVKVTASPGR >KQL03731 pep chromosome:Setaria_italica_v2.0:V:4352593:4356721:-1 gene:SETIT_000237mg transcript:KQL03731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPALSKTAKKHHTRRLNNPFPRAVPAAAISGGDAAPRLSFAPTSKLVHAHDFPVGTRFRLRWDPSLGGQVSLSRVPSSDDARRAMWGSVPGVAFLSAASAATVADECRGSFALRDVRARLVPHRQHVDKIRAFYRCDADAGAELLRGAAFQASGATRFPVLVITGLVSAKAASSSAPCGCCGLRAGRRARSAVGKPPALSARYWILLEERTDTQVAFSVKIGDYQWTCAAHADPAKSPLATATAAPRIHRPSLRLRLPARVQRPTGKKTRLAPSREEEASTALLTAPERAEERLPEEFNRVFLTYASSRDERFYGFGEQFSRMEFKGKRVPVLVQEQGIGRGDQPITFAANLVSYRSGGNWSTTYAPSPFYMTSKMRSLYLEGYDYSIFDLTKPDRVQIQVYGNSVQGRILDGDSPTQLLTSYTESTGRPPVLPRWITSGAVVGMQGGTDTVRRVWKELQDYDVPVSAFWLQDWVGQRKTSIGSQLWWNWEVDDAHYNGWKDLVGDLRRSGIRMMTYCNPCLVPMDQKPNTKRHLFEEAKKLGILVRDESGEPYMMPNTAFDVAMLDFTNPEAHAWFKEILRGMADDGVSGWMADFGEGLPLDVRLHSGEDPVAAHNRYPELWARVNREFADEWRANNAAATAAEEKDGGGDDSEDDGLVFFVRSGFRESSRWAMLFWEGDQMVSWQANDGIKSSVVGLLSGGLSGFPLNHSDAGGYCTVDLPFLRYRRGEELLLRWMEVNAFTVVLRTHEGNKPASNCQFYSNSRTLAHFARCARMYKAWEFYRAELVAEAAAAGLPVARHLFLHYPEDERVQAMTYQQFLVGTELLVVPVLDKGRSAVTAYFPAGAGAWRHVWTGDEYGAGVQGGFEAEVEARVGYPGVFVRAGSPVGERFVSNLRDLKVL >KQL08111 pep chromosome:Setaria_italica_v2.0:V:43608555:43608791:-1 gene:SETIT_005598mg transcript:KQL08111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRIAGSASIEPEPVDFHEETDCNRLEAGAWK >KQL06958 pep chromosome:Setaria_italica_v2.0:V:36706585:36713846:1 gene:SETIT_000410mg transcript:KQL06958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVNTPTQELALTNCAFVSAADLRRFPNSIALVGDALVLTLRYPCSANYVVRLCVVCRMTAVTSSYLSNSCWMRKCAFSHDTVASGRIALNGIQRRQAKVSSGDSVAVSSFVPPEDFKLALLTLELSYVKAKANQEQLDAVLLAGQLRKRFLDQVMTTGQKVTFEFCGTNYIFIVNQALLEGQESSTPLDRGFLSSDTYIIFEAAPNSGIKVINQKEAASSKLFKHKEFNLEKLGIGGLSAEFTDIFRRAFASRVFPPHVVSRLGINHVKGILLYGPPGTGKTLMARQIGKLLNGKDPKIVNGPEVLSKFVGETEKNVRDLFADAENEQRTRGDESDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVEALNNVLLIGMTNRKDLLDEALLRPGRLEVHIEINLPDENGRFQILQIHTNRMKESSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQISMDDLTKPLDEESIKVTMDDFVNGLQEITPAFGASTDNLERCRLRGIVDCGNPHKHIYQRAMLLVEQVKVSRGSPLVTCLLEGPAGSGKSATAATVGIDSDFAYVKVISAETMIGCSESSKCAQICKVFEDAYKSSLSIIILDDIERLLEYVAIGPRFSNLISQTLLVLLKRVPPKGKNLLVIGTTSEVGFLESIGMCDVFSVTYHVPKLKKEDAKKVLQHLNVFNEGDIDAAAEALDDMPLKKLYTLVEMAAQGRTGGSAEAIYAGKEKIDIDHFFSILGDIIRY >KQL07998 pep chromosome:Setaria_italica_v2.0:V:43036971:43040653:-1 gene:SETIT_000832mg transcript:KQL07998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVRRADPSTAYTDNLYIHKFAAPSSNFAAQRFSSDTQLFRYGPEPYNGEYGHMGFTGSSSAVFQNSFCSQQASLTPYCVTADERSPSVADTQSNSCSDAAKESPVVSNVSQHNSQSVSDTQSSEIEVQFDEDEIRLKLQELEHALLDDGDDILFEISQAGSINDEWADPMKNVLLPNSPKESESSISCAVSNSRTARTPKQLLFDCATALSEYNIDEAQAIISDLRQMVSIQGDPSQRIAAYLVEGLAARIVASGNGIYKALTCKDPPSLYQLSAMQILFEICPCFRLGFMAANYAILEACKGEERLHIIDFDINQGSQYITLIQFLKNNSNKPRHLRITGVDDPETVQRPIGGLKVIGQRLEQLAEDCGVSFEFRAVGANLGDVTPAMLDCRPGEALAVNFAFQLHHLPDESVSIMNERDQLLRMVKGLQPKLVTLVEQDANTNTAPFLTRFREVYDYYYALFDSLDATLPRESPDRMNVERQCLAREIVNILACEGPDRVERYEVAGKWRARMTMAGFTPCPFNSNVISGIKSILKSYCDRYKFEEDHGGLHFGWGEKTLIVSSAWQ >KQL05312 pep chromosome:Setaria_italica_v2.0:V:22203426:22205225:-1 gene:SETIT_003436mg transcript:KQL05312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPVHPVSPSVSLARGRQAAAFDALASTDALWVRSSAPARRRAASFDAGQDPLRDEVRPHRRARRYGAWARCAHGLLATRARPRTLAVPAVVLGSPESIRSSPSDS >KQL05343 pep chromosome:Setaria_italica_v2.0:V:22999798:23000841:-1 gene:SETIT_002054mg transcript:KQL05343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTTAVDCPWDALPSHLQERILSLIPVTELLPVAAASRALRRLLRSPAFHALLSPHRLDAFFLITPRLAVHPLSRRVLRTPQLAALCPPSYPLVSSASPSRLITYATLHSLPPIPDGSYLLSVVVQPAPSSSCILVAVTTGAAVRSYTLDADDPSRQWASRGDLPVSISLLGNAAVAGDRSQLFVLGRGPDALLVFNLATGTWEVLLVVMPQGLTTAHLFVYDGRLFMVGGVERLGEVERVVVWRLEDGKEVMLWREVGVMPVEVFDELVAGRHGSFWHFQAADRLGIVCLYNVVDGRLVMFDAADGLWTVLPRVSGMDAEESGRWFGHVLEPGVELLLGQHHR >KQL05342 pep chromosome:Setaria_italica_v2.0:V:22998156:23000841:-1 gene:SETIT_002054mg transcript:KQL05342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTTAVDCPWDALPSHLQERILSLIPVTELLPVAAASRALRRLLRSPAFHALLSPHRLDAFFLITPRLAVHPLSRRVLRTPQLAALCPPSYPLVSSASPSRLITYATLHSLPPIPDGSYLLSVVVQPAPSSSCILVAVTTGAAVRSYTLDADDPSRQWASRGDLPVSISLLGNAAVAGDRSQLFVLGRGPDALLVFNLATGTWEVLLVVMPQGLTTAHLFVYDGRLFMVGGVERLGEVERVVVWRLEDGKEVMLWREVGVMPVEVFDELVAGRHGSFWHFQAADRLGIVCLYNVVDGRLVMFDAADGLWTVLPRVSGMDAEESGRWFGHVLEPGVELLLGQHHR >KQL07868 pep chromosome:Setaria_italica_v2.0:V:42268592:42269251:1 gene:SETIT_003970mg transcript:KQL07868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEQLHARRLLSHAAAAAAPTHRPAVQEQVQVAEARTGAASPFSSLNATVITVLSMLLCGLVVVLAVHVIVRCAFRVTRRVCYGQEEPPGGGGAGASGASPSSSCQADPRRKGGRPRRALPPPVVYAPEVELAGCGAAECAICLTEFANGDRVRALPHCNHGFHVRCIDRWLAARQTCPTCRRAPFAAKPSLPERAEAPEAVQVQVQVDAGAGQRETQ >KQL07397 pep chromosome:Setaria_italica_v2.0:V:39531997:39535657:1 gene:SETIT_000990mg transcript:KQL07397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPSRALLLLLPLLAVAASHPAHEFCAAGGDAGCGDGGDGTRILIKGGTVVNAHRAEEADVYIEDGVVVAVRPNIPVGDDNVRVIDATGKYVMPGGIDPHTHLAMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAANAAMDYGFHMAITKWNDEVAREMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLRKCKSLGALAMVHAENGDAVVEGQQRMIDLGITGPEGHALSRPPILEGEATARAIRLANFINTPLYVVHVMSVDAMEEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFIVASKYVMSPPIREAGHGKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGLEERMHIIWDSMVETGKISVTDYVRVTSTECARIFNIYPQKGAVLEGSDADIIILNPERSFVMGAHTHHSRSNTNVYEGRKGKGMVELTISRGRVVWEDGVLNITPGSGRYIRMAPFGYVFDGIEKSDAAYRASLRAPVQRGKAAA >KQL07399 pep chromosome:Setaria_italica_v2.0:V:39531997:39535657:1 gene:SETIT_000990mg transcript:KQL07399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPSRALLLLLPLLAVAASHPAHEFCAAGGDAGCGDGGDGTRILIKGGTVVNAHRAEEADVYIEDGVVVAVRPNIPVGDDNVRVIDATGKYVMPGGIDPHTHLAMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAANAAMDYGFHMAITKWNDEVAREMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLRKCKSLGALAMVHAENGDAVVEGQQRMIDLGITGPEGHALSRPPILEGEATARAIRLANFINTPLYVVHVMSVDAMEEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFIVASKYVMSPPIREAGHGKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGLEERMHIIWDSMVTGKISVTDYVRVTSTEWYYTLTLL >KQL07396 pep chromosome:Setaria_italica_v2.0:V:39531997:39535657:1 gene:SETIT_000990mg transcript:KQL07396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPSRALLLLLPLLAVAASHPAHEFCAAGGDAGCGDGGDGTRILIKGGTVVNAHRAEEADVYIEDGVVVAVRPNIPVGDDNVRVIDATGKYVMPGGIDPHTHLAMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAANAAMDYGFHMAITKWNDEVAREMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLRKCKSLGALAMVHAENGDAVVEGQQRMIDLGITGPEGHALSRPPILEGEATARAIRLANFINTPLYVVHVMSVDAMEEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFIVASKYVMSPPIREAGHGKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGLEERMHIIWDSMVFLCSDAYATQTGDWQDFCHRLCQSDKHRMCQDLQHIPSERSSPRRI >KQL07400 pep chromosome:Setaria_italica_v2.0:V:39532205:39535657:1 gene:SETIT_000990mg transcript:KQL07400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCSGRSPDVAGFGCAGGQFCAAGGDAGCGDGGDGTRILIKGGTVVNAHRAEEADVYIEDGVVVAVRPNIPVGDDNVRVIDATGKYVMPGGIDPHTHLAMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAANAAMDYGFHMAITKWNDEVAREMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLRKCKSLGALAMVHAENGDAVVEGQQRMIDLGITGPEGHALSRPPILEGEATARAIRLANFINTPLYVVHVMSVDAMEEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFIVASKYVMSPPIREAGHGKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGLEERMHIIWDSMVETGKISVTDYVRVTSTECARIFNIYPQKGAVLEGSDADIIILNPERSFVMGAHTHHSRSNTNVYEGRKGKGMVELTISRGRVVWEDGVLNITPGSGRYIRMAPFGYVFDGIEKSDAAYRASLRAPVQRGKAAA >KQL07398 pep chromosome:Setaria_italica_v2.0:V:39532093:39534718:1 gene:SETIT_000990mg transcript:KQL07398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPSRALLLLLPLLAVAASHPAHEFCAAGGDAGCGDGGDGTRILIKGGTVVNAHRAEEADVYIEDGVVVAVRPNIPVGDDNVRVIDATGKYVMPGGIDPHTHLAMEFMGTVTIDDFFSGHAAALAGGTTMHIDFVIPVNGNLTAGLESYKQKAANAAMDYGFHMAITKWNDEVAREMEVMVKEHGINSFKFFMAYKGSLMVTDDLLLQGLRKCKSLGALAMVHAENGDAVVEGQQRMIDLGITGPEGHALSRPPILEGEATARAIRLANFINTPLYVVHVMSVDAMEEIAKAKREGQRVIGEPVVSGLVLDDSWLWDPDFIVASKYVMSPPIREAGHGKALQAALSSGILQLVGTDHCTFNSTQKAFGSDDFRKIPNGVNGLEERMHIIWDSMVVSSVFRTV >KQL06631 pep chromosome:Setaria_italica_v2.0:V:34701288:34702313:1 gene:SETIT_003505mg transcript:KQL06631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGKKTAEASANGGGGCQPTAPSRGSARFERLLSGLGAGPLVDVEPDKVKDEIRRWAKKVAALVRQLSFSAWPEKSGGSSEDQGASDDASES >KQL08682 pep chromosome:Setaria_italica_v2.0:V:46667222:46668487:-1 gene:SETIT_005010mg transcript:KQL08682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRAAAGKRACRSDASSSATPERRDWSLLDDRVIVHIGDRFLADNDLDYYNDFRAVCGRWRRATPDPKDGADPRFQPKAWAVRKHFGSPRLNSVVSMVNLKTCRFVTMEIPMLSNYRYINATDGGLLVLWEGGLPPWLTYRALVLNPFTGFKAYFVVPIFAAGIRAVAVDTSPLMLFASNLFNYVGWADLNSRHFELHRIQHPDFVADMRLFAGDIYVVNRHGSIISTANAIADGGRTQRSARTITINPTTTIRAAASVDYHLVESAGELLLVTRPTIAGQPVLVHKLDTINRVLEPVVSIGSRALFISEVRSFSIDASMFPTVEGGCIYFVEPLATVVKHGIIASSLRLVDQRKEDIVQFGFQGRNYNFGPPTVVQVLADHCRYTSEHEMELYHGRDWAADDSEDYKELDGAVESEGSN >KQL04521 pep chromosome:Setaria_italica_v2.0:V:9544470:9545655:1 gene:SETIT_0046861mg transcript:KQL04521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSKRVLNGDIPPSSCSARRLEILNLSYNNFGGMIPSCLIQDNSRILNNNQITGSFPSWLGVLPKLKVLVLRSNQFFGMITDLQENGQIINNFSSLHILDLASNSIIGHLPQGWLIELKSMMANNNDDGQIVGHQTNMSQGFYRDTVTITFKGFDIIFTKILTTFKVIDLSNNSFDGLIPESVGRLSSLHGLNMSHNNFTEQIPSQLGNLTRLESLDLSWNHLSGNPDLCGSQVPKQCDNPGSTTTPRASDHPESNSLWQDRTEAILLFTFVGLGFGVGFALAIMFLHFCRIDGWSRKCFCIHM >KQL05593 pep chromosome:Setaria_italica_v2.0:V:26177607:26179838:-1 gene:SETIT_000908mg transcript:KQL05593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARFLVVLLGAALASLLLSSCADASEVGVSYGRVANDLPDPAKVTQLLKDNGITMVRIYDAKQEVLRSLANTGIKVMVMVPNENIAEAARNPSYALQWVRDNVKAYYPATQIHGVAVGNEVFDSRPDLTRQLVPAMTNVQAALAQLGLADAVKVSTPIAFTAVENTFPPSRGRFKDEIAEPVMKPMLQFLKRTGSYLTMNMYPFWAYYNQPHDINLDYALGNSNPGVPDDDTSLKYYNLLDAERDAAHSAMDDLVPGVSLSLTETNWPPHGKPHHGGGHRGGRRLEDDGDGVFTIANAQAYVNNLINRVLTGNTGTPLRPNAPLDVYIFALFNENQKGDGPDDIEQNFGLFYPNMQKVYAFSFQGSGAPPGGQTASWCVANAAVGDSRLQAALDWACSHGADCGAIQPGASCFEPDTKLAHASYAFNSYYQRNNKASGSCDFAGAASVVYQEPSMCSAKASWCVANAAVGDGRLQAALNWACSNGADCSDTQPGAACFEPNTKVAHASHAFNSYYQRKHRASGTCDFAGAASVVYEEPRIGNCALPSRA >KQL06944 pep chromosome:Setaria_italica_v2.0:V:36592958:36593442:-1 gene:SETIT_005506mg transcript:KQL06944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKNSNKRAHISFYARGDGNSGTYGRRVPLDSHKYLNLDIFSAKEVTN >KQL06290 pep chromosome:Setaria_italica_v2.0:V:32377831:32379962:1 gene:SETIT_000804mg transcript:KQL06290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDVGFVPYNPDGWGPPEAAAAPASLGGGSVSVPFAPFSRSDKLGRIADWTRNPPGPGAFAAARDTVFDFAGLEDSVGLASADDASFRLVDGKPPPRHPRFGPRWRFQQRPQLPQRRDEEVEARRREAEKERARRDRHWQQNRRTHHQFNRGGQSSSAKSSVDIQPEWSVKEQIPFSSFSKLSFAVADQPEDLLVCGAVEFYDRAYDRVTPRAERRLERFKSRNFFKVTTTDDPVIRRLAENDTATVFATDTILAALMCAPRSIQSWDIVIQRVGNKLFFDKRDGSQLDLLTVNETAQEPLPEAKEDINSAHSLAVEATYVNQNFSQQVLLRNGEKVTFDEPNPFATEGEEAASVAYRYRRWKLDDETSLVARCEVHAVNVDPRGERQFLTLNALNEFDPKVTGVDWRKKLETQRGAVLATELKNNANKLARWTAQALLAGADMMKLGYVSRLHPRDHYNHSILSVIGYKPRDFAAQINLNTANMWGIVKSIVDVCMKLGEGKYVLVKDPVKPQVRLYEVPNDAFENDYVEEPLPEEEQVRPFSEDVDATAQEMDAAAEAEATGTTAGADGDAGKSGEAAAA >KQL03878 pep chromosome:Setaria_italica_v2.0:V:5184631:5191680:1 gene:SETIT_002485mg transcript:KQL03878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGNVRGAPLGGGRRNGRGGPAAAGGAGASQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRIHKTRQHITQLVKDTSDKLKQASEADHRVEVSATKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFISQAGLPQSYNSSEVNNGADKLAEQRTQLLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGAMIDDIDSHIENAVVSTSQAKGQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >KQL03879 pep chromosome:Setaria_italica_v2.0:V:5188722:5191680:1 gene:SETIT_002485mg transcript:KQL03879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISSLLGENLFSSCAASLSKYKLCLWHHIKLQATKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFISQAGLPQSYNSSEVNNGADKLAEQRTQLLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGAMIDDIDSHIENAVVSTSQAKGQLSKAAKTQKSNSSLICLLLVIFGVVLLIVIIVLAA >KQL03877 pep chromosome:Setaria_italica_v2.0:V:5184631:5191624:1 gene:SETIT_002485mg transcript:KQL03877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFQDLEAGNVRGAPLGGGRRNGRGGPAAAGGAGASQAVASGVFQINTAVATFQRLVNTLGTPKDTPDLRDRIHKTRQHITQLVKDTSDKLKQASEADHRVEVSATKKIADAKLAKDFQAVLKEFQKAQRLAVEREAAYAPFISQAGLPQSYNSSEVNNGADKLAEQRTQLLESRRQELVFLDNEIVFNEAIIEERDQGIQEIQHQITEVNEIFKDLAVLVHDQGAMIDDIDSHIENAVVSTSQAKGQLSKAAKTQKSNSSLPKKAWKALEAPKSGQA >KQL03882 pep chromosome:Setaria_italica_v2.0:V:5210566:5211029:1 gene:SETIT_005541mg transcript:KQL03882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKYNSLHVSNFSVRQYKYCYSVCTQLHSSLVKLLFWNQNI >KQL05320 pep chromosome:Setaria_italica_v2.0:V:22428947:22436453:1 gene:SETIT_000097mg transcript:KQL05320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAGGGAADERWASLCNCVVNFLLEERYHLTAFELLQELQEDGRHAHALRLRAFFSDPALFPPDLVARASSAPPGADPQSLLEEKIAAQEKLALAEYDLRLAKEDLSQLKLELQKQKESSPEDSNGLLLGDSTREGSISQQDKREVKISALGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWPNSSACVPDALRRYYYQYLSSTAEAAEEKISILRENETLLKDNERLNAEKDSLTKSREAANSQVAALRKSLEAAHKEIKEKEKMVQDLRQSLDVQRKELNDCRAEITALKMYIEGAQSNKQLFVGNSDDLESHSIANSMGEAATLNNKDGDSKGSESITNKLGSAVNLTEDTQKDHQVIENSAEGPSVSEAPVSCSSHENGGYGTSEEDKSMSNISSENVTLNSSLHGASMIGKIQESSDGISVYLSTEKLESPSKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDAATVVREAATHNLALLLPLFPNLDKYYKVEELMFQLVCDPSGAVVEVALKELVPAVVRWGGKLDQISRVLLAHILASAQRCPPISGVEGTIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAIETCPFAPVDPTGSTPENFFSASCLKLYSTGDSEWSAFEWMHTDCLPDLIKLACLLPVKEDNLRTIITKYLLEVSGCYGKDYLEHIMLPVFLVAAGDIDSGDFTYFPLSIQPKVRGLRPKTSTAEKLAIMCVFPLLLSGILGSPSSRQQLEEYLRKVLIQNTKDGSFSMHHTTEIINAVRFLCLFVEHHGVIFNILWEMVVSSDTSLKINAAALLKALVPYIDVKVASTHILPALITLGSDQNLTVKYASIDAFGAVAQHFKNDMVVDKIRIQMDAFLEDGSHEATISVIRALAVAVPHSTDRLREYILLFEFHIFKLTSITPSGDDIERRRERANVYCEALRALDATDLPATSVRDLLLPSIQNLLKDPDALDPAHKEALEIIGREQSGGTLESLSKVMGAHLGIASSVSSFFGESSLLGKKEGGEQHDPATTAAPDPNPQAQPESTRFGRIMRGGFGDILRGQSKGQ >KQL05321 pep chromosome:Setaria_italica_v2.0:V:22428947:22436736:1 gene:SETIT_000097mg transcript:KQL05321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAGGGAADERWASLCNCVVNFLLEERYHLTAFELLQELQEDGRHAHALRLRAFFSDPALFPPDLVARASSAPPGADPQSLLEEKIAAQEKLALAEYDLRLAKEDLSQLKLELQKQKESSPEDSNGLLLGDSTREGSISQQDKREVKISALGPLKDNERKDLNCAVKEYLLLAGYRLAAMTFIEEVPDQDLDVWPNSSACVPDALRRYYYQYLSSTAEAAEEKISILRENETLLKDNERLNAEKDSLTKSREAANSQVAALRKSLEAAHKEIKEKEKMVQDLRQSLDVQRKELNDCRAEITALKMYIEGAQSNKQLFVGNSDDLESHSIANSMGEAATLNNKDGDSKGSESITNKLGSAVNLTEDTQKDHQVIENSAEGPSVSEAPVSCSSHENGGYGTSEEDKSMSNISSENVTLNSSLHGASMIGKIQESSDGISVYLSTEKLESPSKQKSSDKMALETIKIVSDALPKIVPYVLINHREELLPLIICAIEKHPDSDVRDSLTHTLFNLIKRPDGQQRRIIMDACVELAKSVGEMRTETELLPQCWEQINHQYEERRLLVAQSCGELAVYVRPEIRDSLILSIVQQLVEDAATVVREAATHNLALLLPLFPNLDKYYKVEELMFQLVCDPSGAVVEVALKELVPAVVRWGGKLDQISRVLLAHILASAQRCPPISGVEGTIDSHLRVLGEQERWNIDVLLRMLTELLPFIHQKAIETCPFAPVDPTGSTPENFFSASCLKLYSTGDSEWSAFEWMHTDCLPDLIKLACLLPVKEDNLRTIITKYLLEVSGCYGKDYLEHIMLPVFLVAAGDIDSGDFTYFPLSIQPKVRGLRPKTSTAEKLAIMCVFPLLLSGILGSPSSRQQLEEYLRKVLIQNTKDGSFSMHHTTEIINAVRFLCLFVEHHGVIFNILWEMVVSSDTSLKINAAALLKALVPYIDVKVASTHILPALITLGSDQNLTVKYASIDAFGAVAQHFKNDMVKNDSYFLPLNFTYFVYFLLSLTL >KQL06032 pep chromosome:Setaria_italica_v2.0:V:30214412:30216385:-1 gene:SETIT_004568mg transcript:KQL06032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTENYDPYYPDQPVVDQYLPVWARQPAFGPKPAFVWADDDDRRAGTPSYAALTYSELNAAVQRMARGLLETVRRGDTVLLLASPGLRLVKFIFACQRAGLVAVPIVPPDPSKIGTATQGAAHRHLLRAVSQTRPAAAVADAGYIGAVMNSPVAALKRLRWVSVGDLECHRGSGDDAAAGDEPGRTTGYGGCAPGETYLIQYTSGATGAPKPVVVTAGAAAHNVRAARKAYDLHPGSVIASWLPQYHDCGLMFLLLTVVAGATCVLASPAAFVRRPRLWLELISEFRATCTPVPSFALPLVLKRGRPEHGTRPLDLGSLRNLILVNEPIYKSPVDEFVEVFGRAGLDAASISPSFGLAENCTFVSTAWRGTEARLWTGQLSLPSYKKLLPSARLPSLASSGEEPEIDIVLVDGHTGDPVADGVEGEIWVSSPSNASGYLGNPSASHQVFCARLPGGRAGGAIFVRTGDCGVVRGTERYLYVLGRSSDAIATDGQRRRVHAHYVETAAFDSSADSLRGGCIAAFATSPSSVAVVAELQKGRGNSAHPGSICDGIRRAVWEEEGVKVGHVVLAESGGVPKTTSGKLRRGLAREMLAGRLIPKVFEVLYDQNATCVRGGGDDMEVCGTSWVVGEAGGEVAGMVVMASGSASHRLRLQSSL >KQL08002 pep chromosome:Setaria_italica_v2.0:V:43070152:43074312:-1 gene:SETIT_004962mg transcript:KQL08002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAISRIYSAARSRLEAFITALPGGRDGCGGSRRGSHRQKRRRRWRSPFSTPLGSPMSMSSIIGAGDDQVTPASSSAAYATPQGGAMTPSKALSSPLPPPQMVVVALDATRDHRDDEIKTALRGLVDRGDILRAGDSLLVLGVLHSITHPSKDHLWDAHPLLLRLDSDQSMGYQAKPCTESFSGTSDRYLGDQVAKVAEIYKSKLHQVAEAFRKVGITVTLKVTPGAPAKIVIINEVNSSKAAWVVLDRHFRRDFRHLEKHIVCKVAAFQDHLQVQTLKPIWSNPSSKSTAEVKDLQRFAVTSDLSSETQSATQKVSNMSSPVSYFASLTNHDIHETSSVAACGMPYLSGISLTIDDTQSLSNGKYEEQMTSQYDSSERPILCIGCGLKSVLYIKESMKFPFSEIQAATLDFSNENLLGEGGFGHVYKGQLKDGQVIAAKLRKEASTQGYTEFFSEVQVLSFARHRNIVMLLGYCCKESYNILVYEYICNNSLEWHLFDKSASLLEWHKRHAIAIGIAKGLRFLHEECRAGPIIHRDLRPSNVLLTHDFVPMLGDFGLAKWKAGNDSIETRILGQSGYLAPEYAQYGMVSVRTDVYAFGIVLFQLISGKKVLDEYEGQCTHILQWAEPLVESLALHELIDERIKDTYDTYGLYHLAKAAYLCVRANPEQRPSMGEVVRLIETENEHIRDLSRQFIPHFMK >KQL07767 pep chromosome:Setaria_italica_v2.0:V:41631146:41637563:-1 gene:SETIT_005196mg transcript:KQL07767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPGQLLPLTRPPPPPPAPPVLSSRRSQCPPPAHAHARGSPPPLWRAHRLNDRFLHPPRQFRAPARPPRAPTPPGVSASGGGEAQAAAVAEFVTSERVKVAAMLGLALALCNADRVVMSVAIVPLSQAYGWTPSFAGVVQSSFLWGYLMSPIIGGALVDYYGGKRVMAYGVALWSLATFLSPWAAGRSIWLFLFTRVLLGIAEGVALPSMNNMVLRWFPNTERSSAVGIAMAGFQLGNTIGLLLSPIIMSRTGIFGPFVIFGLFGFLWVLVWIPAISGTPGEHAQISAYELEYITKGQKLVKPPIGSAKTKKVPPFSKLLSKWPTWALISANAMHSWGYFVILSWMPVYFKTIYHVNLREAAWFSALPWVMMAVLGYVAGLVSDMLIRNGTNITLTRKIMQSIGFLGPGIALLGLNAAKSPIIASAWLTIAVGLKSFGHSGFLVNLQEIAPQYAGVLHGMSNTAGTFAAILGTVGAGFFVDRMGSFRGFLILTSLLYFSSALFWDIFATGEREICIATSNFSEQNRIGLGNFGTVYKAKLRDGSIIAVKRATKTHGGHISVEFRSEIQMLSKVEHLNLVKFLGYVEYEDERLILVEYVNNGTLRQHLDGSQGEPLEFAQRLNIAIDIVHAIAYLHGYTDHPIIHRDIKSSNILLTEHLRAKVADFGFARLAPENPEATHVSTLVKGTAGYVDPEYLHTNQLTDRSDVYSFGVLLVELITGRRPIERGRGRRRHQRLTTEWVKQAHIN >KQL06908 pep chromosome:Setaria_italica_v2.0:V:36351692:36351743:-1 gene:SETIT_004993mg transcript:KQL06908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGKQKTVVLYPSLGV >KQL05397 pep chromosome:Setaria_italica_v2.0:V:23841174:23841817:-1 gene:SETIT_004232mg transcript:KQL05397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFTLEYLQVSLSVLLRTKPLSASGARTGEVLAQAERELARVEAEGDARAAADVNLLLLALLAARDGDFDEALGRYAQAVRADPSDLRPYMLAAKLCRLTGWRRRWRTLLHDAAPDEAELRKLLDELAVAVALEHGAITAVAAPGSTQRGAVMLAAWREVDAGTATLLQGKELPLKQRLLIRAIWAFFRAKLKPWLAMAEQERF >KQL08437 pep chromosome:Setaria_italica_v2.0:V:45465266:45469121:1 gene:SETIT_000340mg transcript:KQL08437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAIMAPPPTPQAPSNSGDPLYPELWRACAGPLVTVPRPGDLVFYFPQGHIEQVEASMNQVAGNQMRLYDLPSKLLCRVLNVELKAETDTDEVYAQIMLMPEPEQNEVAAEKASSGSAATPRPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNIPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESLKNNYSIGMRFRMRFEGEEAPEQRFTGTIVGCENLDPLWPDSSWRYLKVRWDEPSTIPRPERVSPWKIEPASSPPVNPLPVSSRVKRPRQNAPQPSPESSVLTKEGKFEIISVSSVRVMKNTTLLMLFKLSVQFAGASKVDIGSAQTQHQNSVLQGQEQMTLRNNMNESTDSDATVQKPMMWSPSPNGKTHTSFQQRPSMDNWMPLGRRETDFKDNRSAFKDARTSSQSFGDTQGFFMQTFDDNQHRLSFNNQFQDQGSAHRFADPYFFMPQQPSLTVESSTRTQTANNELRFWSDQNTVYGNTTDQQGFRYGQNPSNWLNQPFPLVEQPRVVRPHASVAPFDLEKTREGSGFKIFGFKVDTASASPIQLSSPMSAMREHVVQTQPSASVNELQPVQTECLPEGSVSTAGTSTENEKSIQQATQSSKDIQSKSQGASTRSCTKVPLFYLFMDITCLYLCYPVCANE >KQL08438 pep chromosome:Setaria_italica_v2.0:V:45465266:45469121:1 gene:SETIT_000340mg transcript:KQL08438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAIMAPPPTPQAPSNSGDPLYPELWRACAGPLVTVPRPGDLVFYFPQGHIEQVEASMNQVAGNQMRLYDLPSKLLCRVLNVELKAETDTDEVYAQIMLMPEPENEVAAEKASSGSAATPRPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNIPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESLKNNYSIGMRFRMRFEGEEAPEQRFTGTIVGCENLDPLWPDSSWRYLKVRWDEPSTIPRPERVSPWKIEPASSPPVNPLPVSSRVKRPRQNAPQPSPESSVLTKEGASKVDIGSAQTQHQNSVLQGQEQMTLRNNMNESTDSDATVQKPMMWSPSPNGKTHTSFQQRPSMDNWMPLGRRETDFKDNRSAFKDARTSSQSFGDTQGFFMQTFDDNQHRLSFNNQFQDQGSAHRFADPYFFMPQQPSLTVESSTRTQTANNELRFWSDQNTVYGNTTDQQGFRYGQNPSNWLNQPFPLVEQPRVVRPHASVAPFDLEKTREGSGFKIFGFKVDTASASPIQLSSPMSAMREHVVQTQPSASVNELQPVQTECLPEGSVSTAGTSTENEKSIQQATQSSKDIQSKSQGASTRSCTKVPLFYLFMDITCLYLCYPVCANE >KQL08439 pep chromosome:Setaria_italica_v2.0:V:45465266:45470842:1 gene:SETIT_000340mg transcript:KQL08439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAIMAPPPTPQAPSNSGDPLYPELWRACAGPLVTVPRPGDLVFYFPQGHIEQVEASMNQVAGNQMRLYDLPSKLLCRVLNVELKAETDTDEVYAQIMLMPEPEQNEVAAEKASSGSAATPRPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNIPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESLKNNYSIGMRFRMRFEGEEAPEQRFTGTIVGCENLDPLWPDSSWRYLKVRWDEPSTIPRPERVSPWKIEPASSPPVNPLPVSSRVKRPRQNAPQPSPESSVLTKEGASKVDIGSAQTQHQNSVLQGQEQMTLRNNMNESTDSDATVQKPMMWSPSPNGKTHTSFQQRPSMDNWMPLGRRETDFKDNRSAFKDARTSSQSFGDTQGFFMQTFDDNQHRLSFNNQFQDQGSAHRFADPYFFMPQQPSLTVESSTRTQTANNELRFWSDQNTVYGNTTDQQGFRYGQNPSNWLNQPFPLVEQPRVVRPHASVAPFDLEKTREGSGFKIFGFKVDTASASPIQLSSPMSAMREHVVQTQPSASVNELQPVQTECLPEGSVSTAGTSTENEKSIQQATQSSKDIQSKSQGASTRSCTKVHKQGVALGRSVDLSKFSDYDELKAELDKMFEFEGELVSANKNWQIVYTDNEGDMMLVGDDPWEEFCSIVRKIYIYTKEEVQKMNSKSSAPRKEEPPAAGEVCAATNE >KQL08436 pep chromosome:Setaria_italica_v2.0:V:45465266:45469121:1 gene:SETIT_000340mg transcript:KQL08436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAIMAPPPTPQAPSNSGDPLYPELWRACAGPLVTVPRPGDLVFYFPQGHIEQVEASMNQVAGNQMRLYDLPSKLLCRVLNVELKAETDTDEVYAQIMLMPEPEQNEVAAEKASSGSAATPRPAVRSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMTQSPPTQELVAKDLHGMEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQLSNIPSSVISSQSMHLGVLATAWHAINTKSMFTVYYKPRTSPSEFIIPYDQYMESLKNNYSIGMRFRMRFEGEEAPEQRFTGTIVGCENLDPLWPDSSWRYLKVRWDEPSTIPRPERVSPWKIEPASSPPVNPLPVSSRVKRPRQNAPQPSPESSVLTKEGASKVDIGSAQTQHQNSVLQGQEQMTLRNNMNESTDSDATVQKPMMWSPSPNGKTHTSFQQRPSMDNWMPLGRRETDFKDNRSAFKDARTSSQSFGDTQGFFMQTFDDNQHRLSFNNQFQDQGSAHRFADPYFFMPQQPSLTVESSTRTQTANNELRFWSDQNTVYGNTTDQQGFRYGQNPSNWLNQPFPLVEQPRVVRPHASVAPFDLEKTREGSGFKIFGFKVDTASASPIQLSSPMSAMREHVVQTQPSASVNELQPVQTECLPEGSVSTAGTSTENEKSIQQATQSSKDIQSKSQGASTRSCTKVPLFYLFMDITCLYLCYPVCANE >KQL03270 pep chromosome:Setaria_italica_v2.0:V:656108:656460:-1 gene:SETIT_005417mg transcript:KQL03270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKLAHQIKQKELTKCCYATTVSRNTWMRTITNRTKLD >KQL04075 pep chromosome:Setaria_italica_v2.0:V:6322435:6328136:1 gene:SETIT_000222mg transcript:KQL04075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNKDEAARAKALAERKMLEKDFVAAKRFISKAQKLCKEVDDIDISKMLSVCDVHCAAAAKVNAEIDWYGILQVPVDADDALIKKQYRKLALLLHPDKNKFGGAEAAFKLVGEANITLTDKSKRMVYDMKRSTFRGGPARPPHIRTAAARPSSTPVNLYNMHQQQQQQASNPAGTQTTFWTLCPSCGMRYQYYRSILKKALRCQNCLKPFVAHDLKEQAIPSGANQRSAGVWKNAGAPQNFPGPQTNVTGQKAWSTTSGVHANVSPHHAGVNIRRETDGNTGGLKNKMKSDRATRNHSKAKSSAGLKRGRRAVIESSESSMSETSSDSEEEILENGPAANSAGPGQQTRRSSRQKQEVKYNEDSDNEDVEDDDNKDVDDFVNSPVLKRLRKSVFHGDHSNTAAKPNTDIADHNGPTNGVNDCRNTEDKGKGGESCGDKTSNGIEQMKRGTMHAGKNNDGKEKAFDSVSNNGPVLNDRKEKAFDSVSDNGPVLNDDDAPGDNQYYTFMDPEFFDFDQLRGVNQFRANQIWAVYDDQDCMPRFYARITKVKTTPKFMLHFVWLELDPTNKAERAWSYGGLPVACGRFMHGQSETAKETAMFSRTISFEKSKTRNSYEIYPKKGEVWALFKGWDIGWSSDADNHKKFNYRYEVVQVLSDLTTSTSIIVMPLVKIKGYVSLFMQSREAAPYVISQGETLRFSHCVPHHLMRGTEKEGVPEGSLELDPAALPLNLEEAFPSVVPECSSKVDLKNDKVQVRWLDVCPRGEEEKRLLQEERTVGCGTFRLSSIHELMTYTGTDAFSHPVEARSAGRKGEYEIIPHLGEIWAVYKNWRAGWTAHDFENCEYELVEIFGQTDSSIQVQLLRKVDGYRTVFMPYRAEGSVKTIRKDEYPKFSHQIPCFHLTHEKGGKLRGYLELDPLSVPEEFLFT >KQL07820 pep chromosome:Setaria_italica_v2.0:V:41981648:41984952:1 gene:SETIT_001754mg transcript:KQL07820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSGKKPRESHRQGRGRRASHFDDDLPSSAYDALPPHHNDSTDDDDDTNEATAEDEREENGEAGEQDQWPAGSMPSKFHLYQLSVQSPKGDISYLQKFFLMYVGGRVPLHLQEDFCGTALLSTEWIRTDARRTAIGLDLDLESLEWCLENNLSKIGADGYSRMLLFHGNVLQPKEARLVKQKFSDLVQGLDVSSENGSSGSNSCEQPGLANSKCLANSTMSEAALPGRDIICAFNYSCCCLHSRKDLVLYFRHAFSSLSKRGGIFVMDVYGGTSSERKLRLQRKFPSFTYFWDQEEFDIISRQTRISLHFQAGKKQMLRHAFTYHWRLWSIPEIKDCLEEAGFKSIHVWIREMPNTQSNGNAKEYNANRDVKYEESQRFNQGDAWNAYVVGVANI >KQL03215 pep chromosome:Setaria_italica_v2.0:V:385319:388414:1 gene:SETIT_002315mg transcript:KQL03215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDCVSLPDAPAPAAADVDDAGHGLGTLLAAARAYPKGGANAGGVHELLECPVCTNSMFPPIHQCQNGHTLCSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCRYYSLGCQEIFPYYSKIKHEAQCSLRPYNCPYAGSECAAAGDIPYLVSHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDENDAKNYSYSLEVGANGRKMVWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRITGRIWKEQQTPDGACIPNLCS >KQL04361 pep chromosome:Setaria_italica_v2.0:V:8460718:8462158:-1 gene:SETIT_004418mg transcript:KQL04361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGISTKRVDHLVQNGQHPSDEWEEQQRYWSSPRAPPASPTESPRTPGGSQKKAVLGKVKSKAKKWMHMLHHKKKPAQEEMMWTPRAGPSAEDIKGKDERRDPVYRGTPKKAHHQPSSSGGSERASEVFMEASPRQNSPVPSPTAHKEQPYFKVSSRFESEMKEANEMLMESKQLRVNTTKPKTVTFAPTIARELGNEKSGWNDRELSEATTKAFRDAFATVYQVVLKMIAKIQGTMVAYNIDRRHMLEKLISVNRYLMLKLEPGQDDKLLSEVITDSILNLFDTWSESVEQPLAQRAKEISSWFLQQGREETPPVPLSTHPCAFEGKIMFQSNPISKTLVLD >KQL08625 pep chromosome:Setaria_italica_v2.0:V:46346296:46349145:1 gene:SETIT_005151mg transcript:KQL08625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAERLDHLLNQPANKCCADCGAQDPKWVSMTFGVFICIKCSGAHRSLGVHISKVVSVKLDEWTDEQVDLLADSGGNAAVNMIYEAFIPENYTKPRQDCSAEERSDFIRRKYEVQQFLSNPQLSCAPRRNDKQNHHHHHSGSSRHGLGHSFKNSWRRKEHEPKAVKKTMEMGMVEFVGLIKVDVIRGTNLAIRDVMSSDPYVIINLGHQSMKTKVIKSSLNPVWNERLMLSIPDPVPLLKLQVYDKDTFTTDDRMGEAEINIEPLVAAARAYEASTITDTAQLNKWMAKDGIWIPRDSTISIINGKVKQVVTVRLQNVERGHLEMELECVPLTQ >KQL08690 pep chromosome:Setaria_italica_v2.0:V:46701432:46704047:-1 gene:SETIT_001985mg transcript:KQL08690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSHVLPFLSPASTASSVRVSPGRRSGLLRCAAAAGQAGFFTRLGRLIKEKAKSDVEKLFSGFSKTRENLSVVDELLTYWNLADTDRVLDELEEALLVSDFGPKISFRIVDTLREEIRDGKLKSGPEIKASLKRCILELLTSKGGNPELNLGFRKPAVIMIVGVNGGGKTTSLGKLAHRFKNEGVKVLMAAGDTFRAAARDQLEVWAERTGSEIVIDNDKKAQPPAVLSQAVKRGKREGFDVVLCDTSGRLHTNYGLMEELVSCKKVIAKALPGAPNEILLVLDGTTGLNMLQQAREFNDVVGVTGFILTKLDGTARGGCVVSVVDELGIPVKFIGVGEGMEDLQPFDAEAFVEAIFP >KQL06787 pep chromosome:Setaria_italica_v2.0:V:35586907:35591980:1 gene:SETIT_000774mg transcript:KQL06787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTLKGIRGCDAPSVLDMDDAATVAGGVGDTYGEDCATEDQLVTPWTVSVASGYNLMRDPRYNKGLAFTERERETHYLRGLLPPAIVSQELQERKIMNNIRQYQVPLQRYVAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRSQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITLDVGTNNEQLLNDEFYIGLRQRRATGQEYADFLQEFMTAVKQNYGEKVLIQFEDFANHNAFELLARYGTTHLVFNDDIQGTASVVLAGLIAAQKLLGGTLADHTFLFLGAGEAGTGIAELIALEISRQTKAPLEECRKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHETVGNLLDAVNAIKPTVLIGTSGKGQTFTQDVIEAISSFNERPIILSLSNPTSQSECTAEQAYTWSKGRAVFATGSPFDPVEYDGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVSEENFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPVYRNYR >KQL06786 pep chromosome:Setaria_italica_v2.0:V:35584482:35591980:1 gene:SETIT_000774mg transcript:KQL06786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEVPVGGPPCKPDVDAAAAVGGGGIEDAYGEDRATEEQLVTPWTLTVASGYNLMRDPRYNKGLAFTERERETHYLRGLLPPAIVSQELQERKIMNNIRQYQVPLQRYVAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFSRSQGLYISLKEKGKILEVLKNWPERSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITLDVGTNNEQLLNDEFYIGLRQRRATGQEYADFLQEFMTAVKQNYGEKVLIQFEDFANHNAFELLARYGTTHLVFNDDIQGTASVVLAGLIAAQKLLGGTLADHTFLFLGAGEAGTGIAELIALEISRQTKAPLEECRKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHETVGNLLDAVNAIKPTVLIGTSGKGQTFTQDVIEAISSFNERPIILSLSNPTSQSECTAEQAYTWSKGRAVFATGSPFDPVEYDGKIHVPGQANNAYIFPGFGLGVVMSGAIRVHDDMLLAASEALAQQVSEENFEKGLIYPPFSNIRKISAHIAANVAAKAYELGLASRRPRPKDLVKYAESCMYSPVYRNYR >KQL08077 pep chromosome:Setaria_italica_v2.0:V:43462258:43464661:1 gene:SETIT_001541mg transcript:KQL08077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGPHNLQSPNQQTEFNHQPGARRRGTAGVLSHPIARRAATPRTKSWRIVGTLESRHKNEANQTPTCCCSGTGPRRRCGMEKEAAVARNRKVVLRGYIDRAPREDDMELVDGGAVALRVPGGAGGPAVLVKNLYLSCDPYMRGRMRDFQGSYIPPFKPGSPLEGFGVGKVIDSTHPGFSAGDFVSGMTGWEDYSLITKPEQLRKIQQSDIPLSYHLGLLGMPGFTAYVGFYEICSPKKGEFVFVSAASGAVGQIVGQLAKLHGCYVVGSAGTNQKVELLKEKLGFDAAFNYKEEPDLTAALKRYFPEGIDIYFENVGGPMLDAVLLNMRIHGRIAVCGMVSQHGVTAPSGIHNLFSLISKRIEMKGFIQSDYLHLFPRFVDDITKHYRDGKIVYVEDMSVGLESGPAAFVGLFSGKNVGKQVVRVSQD >KQL07290 pep chromosome:Setaria_italica_v2.0:V:38948606:38950624:-1 gene:SETIT_004159mg transcript:KQL07290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLRLRRRLPLPLTARPLSSSSSEPHEIPTIYSFLQPSVFAPRPRPQPQPPPPPPAHEAVPRKTIAVADAAALESDLLAAVAEDRSDDAWLAFKSLAASSRPPSPSAAAALVSHLAGAAAAHHRLGLKRAFAAAVFLLEKSPHAAPVPEPALGALFSALAAAGSNAPALALARAMLRCGRRLPAFSVWGHPLIELTRDDAGAFAAFLKVFDEACKLVVGEKAPAEAAAMRPDLGACNAVLAGCCRRIGSVADAERVLETMSAIGVSPDVESFGSLAFLYAWRGVPSRVDELDTLLDALGFSKKGFFKNLVSGYLKSKSFELVSSLILRAVKELRVADGNGFDPETYSEVAQCFVDHARIRELGQLIIQAQEIELTQQPMSVEDSVGFGIVNACVELGLLNKAHSILDEMTAQGASVGLGVYSSILKAYCKEQKTAEAAQLVAEISAAGLQLDASSYDALIDASMTAHDFQSAFALFKDMREARLPELRSSYLTIMTGLTENNRPGLMASFLDSVVDDPRIEIATHDWNSIIHAFCKVGRLEDAKRTYRRMVFLRFEPNNQTYLSLINGYVSAEKYFNVLILWTEVRRKGAEFNHELIDAFLYALVKGGFFDMAMQVIDKAQEFKIFIDKWRYKQAFMETHKKLKVAKLRKRNFRKMEALVAFKNWAGLNT >KQL08266 pep chromosome:Setaria_italica_v2.0:V:44485046:44488925:-1 gene:SETIT_000534mg transcript:KQL08266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTAAMLLLSAAPGSPSLSLALAPRRAPLRAPRQRRLVLRPARIRAAAAIGGEFGGLGRRRVVVGEFIERLRNVLPGGSWWRLEDGDEAGDGGGRAEGSGTTALSALSRMWGLVAADRWVIYAGFVSLVGAALAEIAIPHLLAASIFSAQNGGAVFFRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDIFFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGAGALIYLLVLSWPLGLCTMLICATLSTIMLVHGRFQKRAAKFAQEFTASANNVAQEVITLVRTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTVAYGGWSLSLNYLYHSTQVIGVVIGGLAIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSKQLSSKGLKLEKLEGRIQYADVSFSYPSRPTVPILGRLNLTLNPNEVVAIVGLSGSGKSTIVNLLLRLYEPTNGQILVDGVPLTELDIRWFRERIGFVGQEPRLFRMDISSNIKYGCPREVSHEEVVWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILLLDEATSALDAESEHYVKSVITKVSRDSKAKRTVVIIAHRYLTHKCLLI >KQL08265 pep chromosome:Setaria_italica_v2.0:V:44484220:44488945:-1 gene:SETIT_000534mg transcript:KQL08265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTAAMLLLSAAPGSPSLSLALAPRRAPLRAPRQRRLVLRPARIRAAAAIGGEFGGLGRRRVVVGEFIERLRNVLPGGSWWRLEDGDEAGDGGGRAEGSGTTALSALSRMWGLVAADRWVIYAGFVSLVGAALAEIAIPHLLAASIFSAQNGGAVFFRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDIFFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGAGALIYLLVLSWPLGLCTMLICATLSTIMLVHGRFQKRAAKFAQEFTASANNVAQEVITLVRTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTVAYGGWSLSLNYLYHSTQVIGVVIGGLAIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSKQLSSKGLKLEKLEGRIQYADVSFSYPSRPTVPILGRLNLTLNPNEVVAIVGLSGSGKSTIVNLLLRLYEPTNGQILVDGVPLTELDIRWFRERIGFVGQEPRLFRMDISSNIKYGCPREVSHEEVVWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILLLDEATSALDAESEHYVKSVITKVSRDSKAKRTVVIIAHRLSTIQTADRIIVMENGNIVEDGKHSELVEKNGLYSRLARRQNDDFE >KQL08267 pep chromosome:Setaria_italica_v2.0:V:44484831:44488925:-1 gene:SETIT_000534mg transcript:KQL08267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTAAMLLLSAAPGSPSLSLALAPRRAPLRAPRQRRLVLRPARIRAAAAIGGEFGGLGRRRVVVGEFIERLRNVLPGGSWWRLEDGDEAGDGGGRAEGSGTTALSALSRMWGLVAADRWVIYAGFVSLVGAALAEIAIPHLLAASIFSAQNGGAVFFRNAKLLVVLCLISGVFSGVRSCCFGVANMILVKRMREMLFDSILSQDIFFFDEETVGDLTSRLGSDCQQVSRVIGNDLNLISRNLLQGAGALIYLLVLSWPLGLCTMLICATLSTIMLVHGRFQKRAAKFAQEFTASANNVAQEVITLVRTVRVYGTEKQEFKRYAKWLDKLYDVSFRQTVAYGGWSLSLNYLYHSTQVIGVVIGGLAIMSGKLTAEQLTKFTLYAEWLILSTWWIGDNWSSLMQSVGASEKVFRLMDLLPSKQLSSKGLKLEKLEGRIQYADVSFSYPSRPTVPILGRLNLTLNPNEVVAIVGLSGSGKSTIVNLLLRLYEPTNGQILVDGVPLTELDIRWFRERIGFVGQEPRLFRMDISSNIKYGCPREVSHEEVVWAAKQAYAHDFIMSLPDGYNTIVDDALLSGGQKQRVAIARALLRDPSILLLDEATSALDAESEHYVKSVITKVSRDSKAKRTVVIIAHRLSTIQTADRIIVMENGNIVEVCFQLLLRHNFKVQIHRAVVIRGINVPMIIGSNL >KQL03155 pep chromosome:Setaria_italica_v2.0:V:112470:117191:-1 gene:SETIT_004685mg transcript:KQL03155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKADIFVAMGAVANPTHISQVCLLSIGYTVVIITTKFESFSGIPTSLRLKKDNGSYSLTDVCRVCRWGEGPDSLDRGPLGAGSGAGLGAGGTSLLGAPSGLLAPGGGVAPLAPPGGRADGVVMHDEIPALDPAQAEPAMVEHLHPSSGALWGTRLLQGPPPIPTSGLRWRARGSTCQPCRWHVRHHPQHCRPPEHPLLGQVQHMHPAACLLCRRHPQHCTRGSGTCVLWSSYRSLGGPCTGTGALPAPRMALPPFARQVLLMSNTRRLLICGWNVRGLGDADKCTDVKSNLANHGFSIVCLQEMKLTTLTMNHHGLGSAMLTRSVPLHFSLSCFFLTATDAHPFAVTNVYAPCNVGSHPINKILVFHDGVAPAYTHAAKECILHSFFSGLLGTSVPVVLPPATAALLEPVSDLATLEDPFTTSEMKEVLHKHELPQTYLGLPLSDAKLPACVLDELTIPVEQCIPGWHVHLLNRDGRLTLTNAVMLMKLVYAMAVIHLPKSTVERMDKPRRAMFWKGARACSWGDCQVASTMVCCLKDEDGLNIVDIKLQNTCLLLNTIDKLRSPLTASWMLFQDLLSMYRAVTGVTLGHGESISFWFDNWSMARPLATALPTLFLHCTAPEITTAEAFRSGVLRLPQGSPLGCRLDFMDFALRGWGGGGARRLPPPLGLGTRLTLKGSLQDDEADWLLRPLRRHQLGQLHRRKGTRGPIASFTAMALWGRSSAPSAWLYRRTSHTSSSTARTSLPSGWLSALSRPGKPRARYPAARRRTTEHRRPLAALDRLEEPQPHGVRRMQPRPGVDGGAVVSHIQLWRVRTL >KQL03790 pep chromosome:Setaria_italica_v2.0:V:4618428:4622135:1 gene:SETIT_003069mg transcript:KQL03790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLTFTKLFSRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATTGEGLYEGLDWLSNNIANKA >KQL04699 pep chromosome:Setaria_italica_v2.0:V:11065741:11068001:-1 gene:SETIT_001415mg transcript:KQL04699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAHKHRCNAPETGANTKSTCNKLPSLKAGAHINKSSGNIAAKRRKGAGFSPESYTESKIALTTGGLMESPSSDSKKFSARPPNHSGKIKLQLFPIDENIQKIMQQEKHNPYLELILAPRKKISSVVQHLNTKWGNSQCAKGELMLFPNGTRVDIIASSAKWTLKDSCTAADVHVAVGSPSTFRLRYGWFGPNLKQQSSGPSLASVQSADKTIGVKAPDLVFTEEKHMTGLSEFPSNFVTPSIVDNTNAVQQVDNQSKVAPLSWLDSISNISFGALLSEATPSQDSKQLPSQNNPSIQIPATCDSFDAAIASLIACQQASSQPRVSNPSLWEAEDTCHAFAFQNQASRRASSSIPGNGGAAMTSSVLGAIPETGTDDDQQCSTNGKEREASTEPSVLGSDLNAKPETSVHESTGDPEHGSSFSGFLIGTDSLGLSGLLANSLDAFQNFSVS >KQL04189 pep chromosome:Setaria_italica_v2.0:V:7086188:7087204:1 gene:SETIT_004260mg transcript:KQL04189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLQQPNGKKARLESPGVEVKQEVQEEGQSSGGGAATVAVEVAAPRVEVVVNVDKALLHCSLCAVTFKPPISQFQSKCYSCFQDGAYSRNKPLEDVVGSLRVPCTYDVCGCRAYVAYHNASEHKRECPWAPFACAEPGCAFAGSPPMLRDHLRDAHAWPVEKVRYSHPYDLRLPESQPRRLLYAEEDDGRVFLVSVGAHGGARHGAGVACVSAAAAAGPEYFCKMWAIGNPSPATGRVEFAMVEADVPSISSVPGDAATYAAPLSVPRSMLHGESMEMHLRLRIEKATK >KQL05740 pep chromosome:Setaria_italica_v2.0:V:27381427:27381879:-1 gene:SETIT_0016081mg transcript:KQL05740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQKTCQVETPLPVSTLSESLLSGKGADLATADDLEGQLPSYRPTGATFSRTCLNLTNAVSGIGVLSMPYAVAQGGWLSLALFALVGALCYYTGTLIERCMRADPD >KQL06585 pep chromosome:Setaria_italica_v2.0:V:34451200:34453167:1 gene:SETIT_000975mg transcript:KQL06585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVLGAMVEEYTFTFLAMAVGFLVVVYLYEPYWKVRHVPGPVPLPLIGHLHLLAKHGPDVFPVLAKKHGPVFRFHVGRQPLTIVADAELCKEVGIKKFKSIPNRSLPSPLANSPIHLKGLFATKDSRWSAMRNIIVSIYQPSHLAGLIPAMESCIQRAATNLDDGEEVVFSDLAVRLATDVIGQAAFGADFGLSGKPPVPGDEDSKGADDGGAAAKASSEFINMHIHSTTSLKMDLSGSLSTIVGMFLPFLQKPLRQVLLRVPGSADREITRVNGELRRMMDGIVAARERAPAASRQRKDFLSVVLAARESDASTRELLSPDYLSALIYEHLLAGSATTAFTLSSVVYLVAKHPEVEEKLLREIDAFGPRDSVPTADDLQTKFPYLDQVVKESMRFFMVSPLVARETSERVEIGGYVLPKGTWVWMAPGVLAKDPINFPDPELFRPERFDPAGDEQKKRHPYAFIPFGIGPRVCIGQKFAIQEIKLAVIHLHQRYVFRHSPSMESPLEFQFGIVVNFKHGVKLQAIKRYKNY >KQL03383 pep chromosome:Setaria_italica_v2.0:V:2092696:2093067:-1 gene:SETIT_004374mg transcript:KQL03383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALPTTAPASPGGETTASLREGLLERPSSAATSSRREKIERLRERADALRREVDAAKDGAEAAEAGARHAEGREREAAAGLQATARTSEMQGEKLRELEDELRYKDGRIKVLEAIVKTMTTKK >KQL06322 pep chromosome:Setaria_italica_v2.0:V:32590590:32593392:-1 gene:SETIT_001320mg transcript:KQL06322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYYDANINHQHQPVHNPPPSLGVDSGFVFTSTMYNPSLASTSMNRYASHAQSFVSGNQPLPLNPVPGSMDENSRNDSTGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHAPQNPMLRPWDPSFESNVSSNVAPFNPSEYHSRSNWPSLEGSSITGTNGFNSMAVHPEPAQRASYTFPTTHIGHSWMSQAANGIADGVPQWEYINATTNVQAGRFAHSGATEMANGGFHEYQNGPSAVCRGPVPYFPQHAMHGMRAHNLLDHTQMQVPYQQCHNNGVLHGGVNYSGNHLHIGPRIPVLFSSSERTFGLPHHPFLANPVNHQNIRILPPEHATMMDFSRLYEVPNSVDEHRDMRLDIDSMTYEELLALEEQIGDVNTGLTKNHIVDKLRTSLYVPGTSSTSDQPSKSSLENDACIICQEEYQVKDCIGTLDCGHSYHAECVKQWLMVKNLCPICKTTALSAHRRHGQ >KQL06323 pep chromosome:Setaria_italica_v2.0:V:32591880:32593392:-1 gene:SETIT_001320mg transcript:KQL06323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYYDANINHQHQPVHNPPPSLGVDSGFVFTSTMYNPSLASTSMNRYASHAQSFVSGNQPLPLNPVPGSMDENSRNDSTGESARGHIKRKNAAVAGSYHFVNGFASSSSSSHAPQNPMLRPWDPSFESNVSSNVAPFNPSEYHSRSNWPSLEGSSITGTNGFNSMAVHPEPAQRASYTFPTTHIGHSWMSQAANGIADGVPQWEYINATTNVQGRFAHSGATEMANGGFHEYQNGPSAVCRGPVPYFPQHAMHGMRAHNLLDHTQMQVPYQQCHNNGVLHGGVNYSGNHLHIGPRIPVLFSSSERTFGLPHHPFLANPVNHQNIRILPPEVTNTSLYSGSELFGYLPL >KQL07554 pep chromosome:Setaria_italica_v2.0:V:40476688:40479163:-1 gene:SETIT_005078mg transcript:KQL07554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIGDPREGPSTERAFETKPIPPWPEQVTARAVAASLALGVALSGIMMNLVFTSGIIPSLNISAALLGFFLLKAWTGLLGQLGVPHRPFTRQENAVVQTCVVACASMTYSGGFGSYLLAMDRKTAEKTSTATIPDANISEPTLGRTMAFFFLISFVGLLAIVPMRKTMIIRHRLTFPSGSATAHLINSFHCPHGVMQAKKQVSLVVRSCLGSLLWSIFQWFYTGGPNCGFTAFPMFGLPAYNRGFYINMNGTYVGVGMISPYLINISMLVGSIISWGIMWPYLQSKKGIWYDANLQETSLKGLNGYKVFGAIAMILGDGILQLVVISLRTIHTMRRHQAAAAETMRSFSDVDSMPRPVLSFDDRRRTQVFLREHIPSTFAIGGYVVLAALSSLAVPLIFRQVRFYHVAAAYAFAPLLAFCNAYGTGVAETNFSAQYNKLVILLFASWIGARGGGVVGSLVICGVVSTVVSTASDFMSDFKTGYLTLTSPRATLVSQVIGTALGCVVNPVVFNVFHHFYESNPDKGYQAPLAKVYRAIAVLGTGDHELPQNCLGIGVAFFVLALTVSAARELAVHNKWPAQHYIPSVTGMAVSFLLVPAVSIDMCVGSLILYAWNSMDKEAAQVFAPVLASGLICGDGLFSIPYALLSRYDVTPPICIKFIGRVQNQMLDEYMQKAAAR >KQL04494 pep chromosome:Setaria_italica_v2.0:V:9387582:9388694:-1 gene:SETIT_002694mg transcript:KQL04494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASPSRHEASSDSSRAGNGRAVAVATSSAASGTGSNSNQAQSKRAPAPHMFHEIVAQEKTATTAAELEDQVHGAGIYLAGKTKKYWVHEKTRCNCFMLFPRGLSVTWSEDPNYWSWHPLKETSDSEIEAVSLQNVCWLEIHGKLELSHLTPGVTYDVVFEAMLTEPTYGWTAPVNLRLKLPDGAVQERKEKLQEKPRNQWLELKAGELTAQPGQKGEVEISLFEYDGGQWKRGLLVKGIKIVPKE >KQL05245 pep chromosome:Setaria_italica_v2.0:V:19113621:19114078:1 gene:SETIT_005358mg transcript:KQL05245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEFGGTLEGFWMRVDSLYLPPLGLASHGP >KQL03740 pep chromosome:Setaria_italica_v2.0:V:4371825:4371881:-1 gene:SETIT_00045411mg transcript:KQL03740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPTDIHPQTKKSCVETVLT >KQL07700 pep chromosome:Setaria_italica_v2.0:V:41313962:41317359:1 gene:SETIT_004754mg transcript:KQL07700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSVRSFELLKACIEDILSTIKPGEDDRMKRLRAIQELEDSIYSVGALRGAVVKPFGSFISNLYAKSGDLDVSVDLWSSSRPISKKKKQNALRELMRALQIRGVARCMEFIPNARVPIFQYLSNQFGISCDISINNYPGRIKSRIFYWINTIDERFGDMVLLTCEPAILPPLKEIYDGNVAEETVFYDEKHVDEVCMANIERFLCQNMRQRNQNSLTRLLASFFHKVSEYLVEDPFERPDNAARALINMTINVTSKPEVLREADQDHSYSDYTRSHAAGSRTVGRYQNQQRREYSPCQHSGTTRYEPAGGRQFHDAPSRNYGHQASSSNTAWQR >KQL03474 pep chromosome:Setaria_italica_v2.0:V:2583223:2584689:-1 gene:SETIT_002712mg transcript:KQL03474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNFEGGKKDNQIASIYSYYDMSVMGYPRNYEGCPLLTMEMIHHFLRSSESWLSLSQDNFLLIHSEHGGWPVLAFALAALLVYLKRCSDERKALGMDCRHAPDGLAELFSPIDPVPSQLRYLNYVSKRHTSPELWPPVDKMLNLNCIIIRKEECEIIEVNVHCPVQGDIVIECISLDEDFEHEVMVFRAMFSTAFIEDNLLVLDRDQIDILWDTKHRFPVDFRVEAIFSDMDMSTTIGKSKL >KQL03870 pep chromosome:Setaria_italica_v2.0:V:5152818:5154314:-1 gene:SETIT_004821mg transcript:KQL03870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALASAVVSDLIGRCLSFLIARYQKHAAASKLGRLHRLLLRARAVIEEAETRHITNRGMLLQLGRLREGMYRGHYVLDASRRLAAVSTRRRVLAARRSSSSSPYFDAAAVVDGNNGEGAVEVSGDSMNELQAVVDSLEAVLGDMKEFVVFLGGYPRVGRQPYSTYLHMGRCMFGRHGEMERVIEFLLRPCSLPGVLPITGPPEVGKKTLVEHVCADDRVRKQFPLITHLCGDELGDGEYDLIPEHQEHVPSGGGSLIIVEFAGAPDASAWRRFYSSVSNSDKSSKIIVISQTEQVSTLGTGQALRLATLHKEEYWHFFKVLAFGSADPGDHPELASIAMDLVDELKGSFMAANILSRLMHSSMDARFWRRVLEVVRRGVRANYTAFGEHPSRLPGRSRLAYLCGAAEDAPLCFYYDWRKQTGTWRPGPAQSAELPKVTLQDVLDGRAVPRGEDKMGVLAWRSPIPPYYNYVTNCAVEAAAESLAVTRSSYLKRKRLR >KQL07112 pep chromosome:Setaria_italica_v2.0:V:37724287:37729011:-1 gene:SETIT_002169mg transcript:KQL07112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGISICGSVASPHRTNCRRACVARNGLKLPCEINAVSHGAYSCHWHMNKLHIKTSGRRMNAAIRTNARWLFGGEGRSSDARLERSESANEDILIFYFQMDLQTRIQYALNIEQFDVAKQLREKLTEIETEIIRQREAKRGSSKTEAQDKALNLLRVRADLQKAIDSENYALAASLRDEIAKLEAESLAVSAKALAYQNVKYAFRLGQKVRHKVHGYRGVICGMDPVCCESKSWMETANVEKLSKGPNQPFYQVLVDVYVDPELLVAYVAEENLSAAEESEKGRFDHPYVEFLFYGEDTAGDFIPIKQLREKYDQPRYEASGDESDDDGDTNS >KQL04171 pep chromosome:Setaria_italica_v2.0:V:6941705:6943003:1 gene:SETIT_003952mg transcript:KQL04171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERVVDWAGLPIDLLVCVCRLLSAVPGLVCFRATCRSWRAAHDDLMDQRTAARMPSPCGDDIEDQRAAPRMPPPWVVIPHGSGCTNAFTLLSVPTMQAFRWTPPGGARLRCVGASGGWIAGAYIDGDYAIRFSLLNPLTGARVDVPAALGWARVPACYSSQDEEISLCNTVHKVAFSPSPTEHDFAVAVVTRTHRPAGGKAVVFTRAGCGGWCALAGLGCLEPGGHYKRRVLDVAYYGGKFYYMTMRGDVWVIDMAAPSPSPAPLATFAAPTMPPGLVYGRKHLAFAGDGALHVVCSLIERCYSTPPAVHMLVQRYDPSCAEQGSSSSPWAQATCLRGYSFLIGDLNQTLSVRVDGDDGAWLRPDSVYFTNIPLCSLFAESTRCSEGGAWVFNLATGDIRRPTTGEGRCRNYEAERDWCWESNKCVWIMPSMR >KQL07480 pep chromosome:Setaria_italica_v2.0:V:39942823:39950751:-1 gene:SETIT_000209mg transcript:KQL07480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLGGILHAVILLLLCAGCVDVARGQTTDPTEVNALKAIRNSLIDPSNKLKNWGRGDPCTSLWTGIFCDKIPSDSYLHIVEIQLFKMNLSGTLAPEVGLLSQLKTLDFMWNNLTGNIPKEVGNITMLKLITLNGNQLSGSLPDEIGYLVNLNRLQIDENKISGPIPKSFANLTSIKHFHMNNNSLSGQIPSELSKLPSLLHLLVDNNNLSGPLPPKLAEAQSLEILQADNNNFSGSSIPAEYSNIRTLLKLSLRNCSLQGAVPDMSGIPKFGYLDVSWNQLKGSIPTNRLASTITTIDLSHNFLDGTIPPNFSGLPNLQLLSVNGNLLNGSVPPTIWSNITFTGNRTLILDFQNNSLDTIPAAFEPPEAVTLLLYGNPVCTTSNAARAANLCQPKSVIGEPSGEGRQVNTLCPPCPTDKNYEYNPASPIPCLCAVPLGVGFRLKSPGIFDFLPYKETFEINLTSLLELRVYQLYIERFMRETGPRLNTHLKLFPNNTPLFNTAEVVRLRQVLAGWQITLSDVFGPYELLNFTLGSYADEFPTVVSSGLKRSALAGILVGTIVAAVAVSVVSTVFIMKKRRKHRTVSRRSLLSRFSIKVDGVKCFTFEEMAGATSDFNISAQVGQGGYGKVYKGTLVDGTAVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKTERPLTFGRRVHIALGAAKGILYLHTEANPPIYHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVEGTLPAHISTVVKGTPGYLDPEYFLTHKLTERSDVYSLGVVLLELLTGMRPIQHGKNIVREVNMAYQSGDISRIIDSRMPSYPPECVTRFLSLAIKCCRDETEARPYMADIVRELETIRGMLPEGEDALSETTGSGLLTKTMSSSSTPTGALYVSSHMSGSGQVDSGIPSGTVAPR >KQL07479 pep chromosome:Setaria_italica_v2.0:V:39942617:39951744:-1 gene:SETIT_000209mg transcript:KQL07479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLGGILHAVILLLLCAGCVDVARGQTTDPTEVNALKAIRNSLIDPSNKLKNWGRGDPCTSLWTGIFCDKIPSDSYLHIVEIQLFKMNLSGTLAPEVGLLSQLKTLDFMWNNLTGNIPKEVGNITMLKLITLNGNQLSGSLPDEIGYLVNLNRLQIDENKISGPIPKSFANLTSIKHFHMNNNSLSGQIPSELSKLPSLLHLLVDNNNLSGPLPPKLAEAQSLEILQADNNNFSGSSIPAEYSNIRTLLKLSLRNCSLQGAVPDMSGIPKFGYLDVSWNQLKGSIPTNRLASTITTIDLSHNFLDGTIPPNFSGLPNLQLLSVNGNLLNGSVPPTIWSNITFTGNRTLILDFQNNSLDTIPAAFEPPEAVTLLLYGNPVCTTSNAARAANLCQPKSVIGEPSGEGRQVNTLCPPCPTDKNYEYNPASPIPCLCAVPLGVGFRLKSPGIFDFLPYKETFEINLTSLLELRVYQLYIERFMRETGPRLNTHLKLFPNNTPLFNTAEVVRLRQVLAGWQITLSDVFGPYELLNFTLGSYADEFPTVVSSGLKRSALAGILVGTIVAAVAVSVVSTVFIMKKRRKHRTVSRRSLLSRFSIKVDGVKCFTFEEMAGATSDFNISAQVGQGGYGKVYKGTLVDGTAVAIKRAHEDSLQGSKEFCTEIELLSRLHHRNLVSLVGYCDEEDEQMLVYEFMPNGTLRDHLSAKTERPLTFGRRVHIALGAAKGILYLHTEANPPIYHRDVKASNILLDSKFVAKVADFGLSRLAPVPDVEGTLPAHISTVVKGTPGYLDPEYFLTHKLTERSDVYSLGVVLLELLTGMRPIQHGKNIVREVNMAYQSGDISRIIDSRMPSYPPECVTRFLSLAIKCCRDETEARPYMADIVRELETIRGMLPEGEDALSETTGSGLLTKTMSSSSTPTGALYVSSHMSGSGQVDSGIPSGTVAPR >KQL08084 pep chromosome:Setaria_italica_v2.0:V:43498583:43499565:-1 gene:SETIT_003167mg transcript:KQL08084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEHNSQLVVRKHRPAGHTHTVAVAVAPLFVLLAAAFSGSPVAVAADSSPGLVELTLVAGAQEKGAVCLDGSPPAYHLQRGSGSGSQSWIVFLQGGAWCSSNTTETCSGRKMTAYGSSKFMEPITFDGVFNDQQPQNPGTCSAGASFVDPLFGLQKRASFK >KQL08083 pep chromosome:Setaria_italica_v2.0:V:43499032:43499444:-1 gene:SETIT_003167mg transcript:KQL08083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEHNSQLVVRKHRPAGHTHTVAVAVAPLFVLLAAAFSGSPVAVAADSSPGLVELTLVAGAQEKGAVCLDGSPPAYHLQRGSGSGSQSWIVFLQVTNRLHERKMR >KQL04042 pep chromosome:Setaria_italica_v2.0:V:6083295:6086362:1 gene:SETIT_000212mg transcript:KQL04042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKTLTPDADAEADAAAQTLAAGELVWAKPSKPRRHCWWPARMLAACPATGTAARDAQVCYFGGPAPAPAGSGVPSAPPAQVRRFADPDADVMAQGSVARVFLAAVEEAHARAVAALRTQLTCGCIPPPPPGEEGGGVGVVAEVANLSPAEFLAALREAALGVRASPVGLVDRARLKSWARAFGEGWGPDGARHYPRRPLEDLVDKIDLDVPAGEDRDADDWLAEDERMPLKRPLETPVQKKRSAPSVMKDLDMVEDEDQSDSPGPVTSRKRERKKSKYLSPPYTNLGVDVLPRKPVGTPKASVPKAAEDGSKVLQLPDSIVVEDVLLLVRGLGKDPHHMVIFPEAAEGFLRLFRSSAFVEGDDYASYNTHECPGVCTLRNASMNIAPGRVSDSHPVLEQEKRVPKRGRKKDGDGSGGSSIKRKKRENTSPALGSGIPITPAVPIRQVKAEDIRTLMKAGNSARGIVQDEKNKLSLFKCPVSATVPGAAKPGHEQVKENDKSILEKPQALGNMLPEETTKDIDEAKLGTTKSETNVQNVAVGVPVRNVQTETMESEANIHIDVNAQSVSADVPVGCVSKEATELEASLHSNKNVQGNVTGVPKRIVSKEATESGVDTSIDENVQSAFAGVPDRSVSKEATKSEANIHIVENLLGAVADAPISSGPSPMHDDMAQPQPIDENKEPGSVEVCTVQQSYASLQALVPEMLKKENTNGTDVIAMNHALKEECPKDEAPVQKVKLPAGAASNHSSGEAVNGTCPDPANPTPNKRKKKTAQHFENPAAILVEFTPGVIVPSREELLSAFGKYGYLIESQTEIVKADRSARVVFGKNTEAEVAYRNRELLGHFGAPFATLSLQYLPPIKLSVPSPSPSPSPSPSLASKPPLTDIRKNLENMIAARLSALNKATPSDGLNSVTDKLLGDMQGLLAKVDKILSEPSANTAP >KQL04700 pep chromosome:Setaria_italica_v2.0:V:11068633:11071444:1 gene:SETIT_004818mg transcript:KQL04700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQIRQSKPKPNCPDPQTLLSTGNSEPRNHTNQSQHLEGGRRGIPEIETLDLAAPSTKRRNPSSARRGPAGASGSAEKDAEATGPERRRRRAPRKAPYRRRQGAEGSSKAAPEASPRERGRRRNFQGKFLNTGGLACRLHSTTSLSAPTSSCCIRTRRNVSLCTRRGVLGRDSLRSRYAGPLIKSAVYWAQRECTPSPFLSFGLVGWGRALDGGERGEEEEAGGRGGGGDAPGVPGRRERAVPGVHACRGAPEGVLPRGRAPGHGAFPSVLSWRIPPLIGGLHRAETAILARVLRAGWDQITRRENVYRWLSSQHEEASEVPVAAVLTYLQNEIEHRTEETLASPQHPGPQPACNFPAANDHCNPFSFGNIAAALDSRMDETDQTRNAGISNALPCPLQQNFHSNHLSQSSGYCPMNSLPNGNGPQNNHLSENQDFVHYNSIDAAVDMHYDGQWR >KQL04992 pep chromosome:Setaria_italica_v2.0:V:13893784:13898689:1 gene:SETIT_002880mg transcript:KQL04992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQETTPSSKGAEAPATIRLVNFISEDQLDEVKRTRGERVEDGTAQRDKPLFQILQENKEKKDAEFNERFKHRPPKALDEDETEFLENLASSRREYEQQVANEEAEQLRSFHEAVAARSNIVHELEAPTVSRPEESRPKPPTKRSQPALLKNVIISVKPQVKKAKVDVEAKPAPEEQRPSNGHDADQNPPSDANKAALGSLVAYDDDDESGDDQD >KQL04965 pep chromosome:Setaria_italica_v2.0:V:13476609:13480801:-1 gene:SETIT_001861mg transcript:KQL04965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPGALWAGALVVVLVLAACTAAAAVTITRRQHRHGAPAASSAARSCDAFAAGRWVVDESYPLYDSASCPFIRDEFACARFGRPDKMYLKYRWQLDPPCAQPRFDGLALLGMWRGKTVMFVGDSLALNQYESLLCMLHAAAPGARTTVSPASGKIDPSYTVRFEDYNVTVVYYLTHYLVDLVAEKSGRVLKLDAIDQATNWLGADVLVFDSWHWWPRSGPTQPWDYIQEGNTVVKDMDRTRAFTKALHTWATWVDANLLHTDTKVFFQGISPSHYRGQDWGAPAKKTCMGETRPLNGTAPYPGGPIPQQAILRSVLAGMAKPVYLLDFTYLSQLRKDAHPTKYDGGVFAGDCTHWCVAGLPDTWNVLFYAALTGQF >KQL04995 pep chromosome:Setaria_italica_v2.0:V:13902336:13906962:-1 gene:SETIT_001049mg transcript:KQL04995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQIAQAVASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTTLSHQIKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHSKGVTSVTFSRDGTQILSTSFDTTARVHGLKSGKMLKEFRGHNSYVNYAIFTTDGSRVITASSDCTVKVWDTKTTDCLHTFKPPPPLRGGDASVNSVHLFPKNTDHIVVCSKTSAIYIMTFQGQVL >KQL04994 pep chromosome:Setaria_italica_v2.0:V:13901343:13906962:-1 gene:SETIT_001049mg transcript:KQL04994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASTLEIEARDVVKIVLQFCKENSLQQTFQTLQNECQVSLNTVDSIDTFIADINAGRWDAVLPQVAQLKLPRKKLEDLYEQIVLEMAELRELDTARAILRQTQVMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQIAQAVASEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEETYPTTLSHQIKFGKKTHPECARFSPDGQYLVSCSVDGIIEVWDYISGKLKKDLQYQADESFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHSKGVTSVTFSRDGTQILSTSFDTTARVHGLKSGKMLKEFRGHNSYVNYAIFTTDGSRVITASSDCTVKVWDTKTTDCLHTFKPPPPLRGGDASVNSVHLFPKNTDHIVVCSKTSAIYIMTFQGQVVKTFSSGKREGGDFVAASVSPKGDWLYCVGEDMNMYCFSYQSGKLDHLMKVHDKDVIGITHHPHRNLVATYAEDCMMKIWKP >KQL06050 pep chromosome:Setaria_italica_v2.0:V:30335119:30335529:-1 gene:SETIT_005363mg transcript:KQL06050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRTPCPWMIRKRNLVPIWISSHLGGQGLERGQGA >KQL07473 pep chromosome:Setaria_italica_v2.0:V:39919705:39924257:1 gene:SETIT_001507mg transcript:KQL07473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERSLLQALATAAQGGTSGTSVLSMLKYAVLPIAKVFTVCFMGFLMACKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTIIHIGIGNIGNIPLVLIAALCRDPSNPFGDSDKCNQDGNAYISFGQWVGAIIVYTYVFRMLAPPPGRTFDGSDDDELPVKASGENAVPELSKYPIPTSTHTSTVPEDEPLLAAEKVQKECATSVGSKIMGHVKCVIKFLKDKQLLQPPIIASAFAIVIGIVPFLKNFVLTDEAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVAIIFARLVLVPLAGVGIFMVVDKLGFIPKDDKMFKFVVLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIIVYLSLLF >KQL07474 pep chromosome:Setaria_italica_v2.0:V:39919705:39924257:1 gene:SETIT_001507mg transcript:KQL07474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERSLLQALATAAQGGTSGTSVLSMLKYAVLPIAKVFTVCFMGFLMACKYVNILQPNGRKLLNGLVFSLLLPCLIFSQLGRAITIEKMLQWWYIPVNIVVGAVSGSLIGFVVASIIRPPYPYFKFTIIHIGIGNIGNIPLVLIAALCRDPSNPFGDSDKCNQDGNAYISFGQWVGAIIVYTYVFRMLAPPPGRTFDGSDDDELPVKASGENAVPELSKYPIPTSTHTSTVPEDEPLLAAEKVQKECATSVGSKIMGHVKCVIKFLKDKQLLQPPIIASAFAIVIGIVPFLKNFVLTDEAPLFFFTDSCLILGEAMIPCILLAVGGNLVDGPGEGSKRLGVRTTVAIIFARLVLVPLAGVGIFMVVDKLGFIPKDDKMFKFVVLLQHSMPTSVLSGAVANLRGCGKESAAILFWVHIFAVFSMAGWIIVYLSLLF >KQL05931 pep chromosome:Setaria_italica_v2.0:V:29165440:29168366:1 gene:SETIT_002870mg transcript:KQL05931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFRPLRRIQVEPEPAEPPAPAAGAGGDAEGDESPAPAAGLLMGAKVRRRAAVYRDCKGDYIGVPNDPCLTKILSKQGDNKVLFADKVLKFTQSGKMKRRILVITDFALYLVDPDADILKRRIALAAVDKLCISNLSDNFFAIIVPTEYDCLMASTRKKEIVDVIVKAIKSTSEYEPEVASANRFEYHAAAEVIKVVEFEDADGGIKTRITNKEKS >KQL06818 pep chromosome:Setaria_italica_v2.0:V:35833727:35834806:-1 gene:SETIT_003864mg transcript:KQL06818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKEFDELALDGHNYPTWASDIEINFASRGIVEAIQEPVDGAPPITDKKKFFALFLLKLYIHKDLKQEYLMERWPLALWKALKEQKYHELLLKNAHQRPQGSAPLLEVHYNVNNNADNKKEFKGNNFSRNSAGKRKFNNRCKFHKRGKGKGKAPPPRGNSRKLCNRCGSNNHVAKECHCPPHLVLLYQKSLKKPKSDKPRYEAHFNLSEATPKVGSSRQAPTEPHKNLTLPQENPVANVGMLTLPEEDPMDGMLIEYFLKDTLGDLE >KQL03453 pep chromosome:Setaria_italica_v2.0:V:2472927:2473346:-1 gene:SETIT_005474mg transcript:KQL03453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHVFFFCRIRHEMSTCLVLCVHLFAASTGHKGVEGS >KQL05772 pep chromosome:Setaria_italica_v2.0:V:27776679:27777157:1 gene:SETIT_003673mg transcript:KQL05772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQKHQEFQYNRHKCTPIFPHKPCNRFNIKFIPCFHIRIDLAEQQFKQQSVISFIAPKQ >KQL05733 pep chromosome:Setaria_italica_v2.0:V:27334515:27336438:-1 gene:SETIT_001181mg transcript:KQL05733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHAALLLLLLAASNAAALAKTVEYHSFVATPLSPHPYTAPAVTGADDEDVFGGSLAAAEDAAAVRFRVVHRDAFAVNATAAELLKHRLRRDKRRAARISKEAAGGAAANGTSRGGGVAAPVVSGLAEGSGEYFTKIGVGTPATPALMVLDTGSDVVWLQCAPCRRCYDQSGPVFDPRRSSSYGAVDCAAPLCRRLDSGGCDLRRRACMYQVAYGDGSVTAGDFATETLTFAGGARVARVALGCGHDNEGLFVAAAGLLGLGRGSLSFPTQISRRYGRSFSYCLVDRTSSASSASSGNQAGSRSSTVTFGPGAVGPSASASFTPMVRNPRMETFYYVQLVGISVGGARVPGVAESDLRLDPSTGRGGVIVDSGTSVTRLARPAYSALRDAFRGAAAGLRLSPSGFSLFDTCYDLGGRKVVKVPTVSMHFAGGAEAALPPENYLIPVDSKGTFCFAFAGTDGGVSIIGNIQQQGFRVVFDGDGQRVGFAPKGC >KQL08347 pep chromosome:Setaria_italica_v2.0:V:44927863:44929271:1 gene:SETIT_002012mg transcript:KQL08347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSTVYHLASFLLGAALPTAFLFFLASDRLGQGLSSISLSWRTSSGTRQPADGPLAQEARDQEVVGFAGLAELLPRVAMEDRTVILTLANEAWTQPGSLLDIYRESFRNGEDTERFLNHVLVIAVDAGGFDRCKAVHPHCYHLEVVRSTNLSSASKFMTKEFVDLVWLKLSFQQRILELGYNFLFTDADIIWFRNPFRHFSVYADMSLSTDYFRDTFDPLNNELNTGLYYMKSTNRSIEMIRYWRAARVRFPDGHDQDVFNKIKHELVSKLQGRIEGLETAYFSGFCELHDDLNRVCTMHANCCIGLANKVLDLKDKAADWRNYTALAPEERKKAGGFNKWTPPARCWKTIGWRV >KQL03936 pep chromosome:Setaria_italica_v2.0:V:5457161:5457772:-1 gene:SETIT_004109mg transcript:KQL03936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIVIRADLIGSSCKMAILSTVAKLEGIKSMDIDAENCTLTVVGTVDPVAIVLELKKACLAAVIIGVEDDKPKEPETKPEEEDDDDPCHCVEACDCKKGCVPGCNCSACVLPNCCYYGTFRHAPYGYGWYW >KQL04647 pep chromosome:Setaria_italica_v2.0:V:10411321:10413554:1 gene:SETIT_001162mg transcript:KQL04647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVGQALTDSRNASERAHFVLIPLMAQGHTIPMTDMARLLAEHGAQVSFITTPVNASRMAGFINHAVATGLTIQFVKLHFPAVEFGLPDGCENADMIQSIDLFKNLMEACAALREPLTAYLRQQSPSPSCIISDVAHWWTAGIAREFGIPRLTFNGFCGFASLVRYIIVRDNLLEHVEDENELINFPGFPTPLQLTKERCPGSLYVCGLEQIRKNIYEEEIKSTGVVMNSFQELEALYIESFEQITGKKVWTVGPMCLCNQDINTMAERGNKASMDEAQCLQWLDSMKPGSVTFISFGSLACTAPQQLTELGLGLEASKKPFVWVIKAGDKFPQVEEWLAEGFEERVKDRGLIIRGWAPQVLILWHKAIGCFMTHCGWNSTIESICAGVPMITWPHFADQFVNERLVVDVLKTGVEVGVKAVTQWGHEQKEVTVTRDAVEIAVSKLMDEGEAAEEMRMRAKEFGVKARKALEEGGSSYNNINLLIQEMGNKANASG >KQL08399 pep chromosome:Setaria_italica_v2.0:V:45203750:45207665:-1 gene:SETIT_004121mg transcript:KQL08399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFVGPSLPTLPLGFRGPFLGLFDNPLEHPSSTSPSTVGVEFDTYWNRVWDPQDIAGHDHVGIDVNSIRSTSYTRGLAKGDLSGTMSANITYDGGSKLMVVTLRLANGSTSQIQALVDFRDAGVPQDAAIGFSAATWADVNQLLSWSFSSTVLSAASALLAGLVVAMTCFIMMRRKRPPPPMEIELPVARKFSYGELSTAIKNFSKDRKLGEGSFGEVYRGELRDPRMPPVAVKRLTKLMEYTWRDYVTEIMTLGQLSHRNLVNLVGWCDGGGSDKLLLVYELVSNKSLDEHLHGSESLLTWPERYKIVLGIGSAIEYLHTGYQNPILHRDIKPSNVMLDDAFEAKLGDFGLVRQVKPGQGSLNDTVMVGSWDYMDPTCAFGVLLLEIATGKRPRVQRDDEELGLPNVLLNAVRESYGKGAVLEMADARLGGDYDENRMERVMLVGLLCVHRDRRKKLSICSRISSTRYLNLKLSLPEHSHPSRACWRLSII >KQL03457 pep chromosome:Setaria_italica_v2.0:V:2503505:2504518:1 gene:SETIT_004424mg transcript:KQL03457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLHHRPSRGDPFRPSTAPYHSTARPKSPFPREIYTTASLPPHQLAMAPQEPSTPPLPAAGMRRRGGICGGGGVRGVRVGCFGDPEMKRRRRVAGYKVYAVEGKVKASIRRGLRWFKRNTSAYHALSGGFGLDGASLFDQELCNWVYFDRSIVCVSKKFWTQRSLLRHLWELIEPPRRSPGGRHGTGALPRRRRPPPPPPPPDLSPRRRRRRPSEVHPTSEDGGGGAQAIRGFHAGPGRRQSSGRAARRGRQQPNPAAPWADPASPPPDPSSAGGEGWRRSGSEVWQRFGANGRCPGGGGSAR >KQL06795 pep chromosome:Setaria_italica_v2.0:V:35646417:35646668:-1 gene:SETIT_003671mg transcript:KQL06795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWQQKGKLESDEVKDVWLRTHPSRICDAPVTAFCTCFLTVLTMQRQKQHSFRALSCKGF >KQL07748 pep chromosome:Setaria_italica_v2.0:V:41548680:41551149:1 gene:SETIT_001277mg transcript:KQL07748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQCPIRPGTRYTYRFNVSGQEGTLWWHAHASFLRATVHGALIIRPRAGAGAYPFPTPDGEAVVLLGEWWNDENAISSNVIADAYTINGKPGDLYAGETTANRSAKFEVTRNSTYLLRIINAALNTAFFFKVAGHTFTVVAADASYTTSYETDVIVIAPGQTVDALMAADASPGRYYMAISSYQSAFPPPPGGFNGNVTTSLVEYAGAAAPGGGQQAPALPDTPEPTDTDTANRFYTGLTALVRPGMPRVPLTVDTRMFVTVGLGLRYPPCEPTQTTPCQPIPVATMNNQSFVLPRAMSMLDARYRNTPDGVYTRDFPDRPPVEFDYTNRTEMVVGGAAAALLFPGTPATKVRKLEYNATVEMVLQNTALVGRESHPMHLHGFDFFVLAQGFGNYDDATGSQQFNLRNPQERNTIAVPTGGWAVIRFVADNPGMWFMHCHIDSHLSIGLGMVFEVEDGPTPDTKLPPPPADLPQC >KQL05834 pep chromosome:Setaria_italica_v2.0:V:28329397:28330794:-1 gene:SETIT_001698mg transcript:KQL05834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRKGNQVEVWTQDAASPVGAWRVGEVTWGNGHSYTLRWHDGGEVSGRISRKSVRPRPPPAPVPRDLDAGDMVEVFDDDDCLWKCAEVQGAAADGDRRFKLKIVGAAKVLTVPPQRLRMRQVLRDDDVWVKLHKDNQIAVPSAMPFHTNGVSVDMGTGKGKGGYEPMRPGFTPLLQKRSFGMLGSTSNTIINGNRFEDTTKRFCAKEEPRYEAEVIVPNVFLNKQDEMSNEYCDVVGAGSNSDVDQQQHIENEVDIEGSDSESASSSDGSSSSSSNSDSRTRSMESGEDCAAARASRPCNDQKVDQLQTREKEHCGSKAESREIERKTLNDQKATVQEHIHRLELEAYQNLMKAFHACGKVLSWQKMELLSDLRVHLHITNDEHLQVLNVILNRKGRFAGSQF >KQL05172 pep chromosome:Setaria_italica_v2.0:V:16886599:16887426:-1 gene:SETIT_002737mg transcript:KQL05172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAPRPKRRLQHRCATRRRSVRRSVDPLRRLPSAQEDGASPFVRAKSPHKAASHPPAEVRPCPLPTGGATSHAGGIPPPPTTHTGASLPRIRIEPAALLAEESDAATLPIAAAALLIPAAAGGRLLHQLLCTFSSHGKTVRATSSSSSKQGFRYWVPQEEEEEKKGSTEGFAGEGEEEENRGAQEGNPVNHDWPWNFSCNDLSINRF >KQL05174 pep chromosome:Setaria_italica_v2.0:V:16886169:16887426:-1 gene:SETIT_002737mg transcript:KQL05174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAPRPKRRLQHRCATRRRSVRRSVDPLRRLPSAQEDGASPFVRAKSPHKAASHPPAEVRPCPLPTGGATSHAGGIPPPPTTHTGASLPRIRIEPAALLAEESDAATLPIAAAALLIPAAAGGRLLHQLLCTFSSHGKTVRATSSSSSKQGFRYWVPQEEEEEKKGSTEGFAGEGEEEENRGAQEA >KQL05173 pep chromosome:Setaria_italica_v2.0:V:16886599:16887426:-1 gene:SETIT_002737mg transcript:KQL05173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAPRPKRRLQHRCATRRRSVRRSVDPLRRLPSAQEDGASPFVRAKSPHKAASHPPAEVRPCPLPTGGATSHAGGIPPPPTTHTGASLPRIRIEPAALLAEESDAATLPIAAAALLIPAAAGGRLLHQLLCTFSSHGKTVRATSSSSSKQGFRYWVPQEEEEEKKGSTEGFAGEGEEEENRGAQEGEKFAHAKELFFLSAVWYPFLKDNIGSCLVKGNPVNHDWPWNFSCNDLSINRF >KQL04666 pep chromosome:Setaria_italica_v2.0:V:10608814:10611086:1 gene:SETIT_002431mg transcript:KQL04666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGGGGGGIWIRAAAAVAAGGAIAARAVRRRSVDFSAVYVGVPAMVAHTIAGYRFAGLLLVFFFTSSRVTRVGEARKRALDPEFKEGGQRNWKQVLSNSGIASILVVLIALVTGGTDRCLDSKESTLVTALIGGVIGHYACCNGDTWSSELGILSKAEPRIITTFKRVQKGTNGGVTIDGLLAAAAAGFSIGLAFVLIGFLTTHCASDVFWRQLLVIPLATAAGLCGSLIDSILGATVQYSGFCSVRKKVVGVDGPTVTRISGINILDNNGVNVVSVFLTTVLTGVACTYIF >KQL05193 pep chromosome:Setaria_italica_v2.0:V:17329416:17332395:1 gene:SETIT_000890mg transcript:KQL05193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAASLCDDLEPATVRTRIRDVLAAGAARAGERVVVGGWVRTGREQGKGSFAFLELSDGSCAATLQVIVDATVHPLARLTATGTSVLVEGDIKEPPEGTKQNVELKVSRVLEVGEVDAAAYPLPKGKVKLTLEKLRDVVHLRSRTNTIGAVARIRHQLACATHRFFDENGFLYVHTPIITTSDCEGAGEMFQVTTLFSQAEKTEKELKENPAPSDSEIEAAKVLVKEKGDAVAQLKAAKASKQDISAAVDVLHRAKENVSKLEERSKLKPGIPRRDDGSIAFENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPELAFANLQDDMNCAEKYVQYLCKWLLEHCREDMEFMVKNYDKSAIERLELVSSTPFVRISYTKAVELLKNVTDKKFDNKVEWGIDLASEHERYLTEDIFKKPVIVYNYPKGIKAFYMRLNDDDKTVAAMDVLVPKVGELIGGSQREERLDALKQR >KQL05194 pep chromosome:Setaria_italica_v2.0:V:17329384:17333281:1 gene:SETIT_000890mg transcript:KQL05194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADAAASLCDDLEPATVRTRIRDVLAAGAARAGERVVVGGWVRTGREQGKGSFAFLELSDGSCAATLQVIVDATVHPLARLTATGTSVLVEGDIKEPPEGTKQNVELKVSRVLEVGEVDAAAYPLPKGKVKLTLEKLRDVVHLRSRTNTIGAVARIRHQLACATHRFFDENGFLYVHTPIITTSDCEGAGEMFQVTTLFSQAEKTEKELKENPAPSDSEIEAAKVLVKEKGDAVAQLKAAKASKQDISAAVDVLHRAKENVSKLEERSKLKPGIPRRDDGSIAFENDFFKRQAFLTVSGQLQVETYACALSSVYTFGPTFRAENSHTSRHLAEFWMVEPELAFANLQDDMNCAEKYVQYLCKWLLEHCREDMEFMVKNYDKSAIERLELVSSTPFVRISYTKAVELLKNVTDKKFDNKVEWGIDLASEHERYLTEDIFKKPVIVYNYPKGIKAFYMRLNDDDKTVAAMDVLVPKVGELIGGSQREERLDALKQRILDAGLPLEPYEWYLDLRRFGSVKHSGFGLGFERMILFATGMENIRDVIPFPRYPGRADL >KQL06196 pep chromosome:Setaria_italica_v2.0:V:31595505:31597922:-1 gene:SETIT_001820mg transcript:KQL06196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPIRLPPPPPAADPVAPSSPPSQQPSRDGSLSPENPAPAPPSPPAVPTTTPPPHSPGDSSPSSPPHPPTPPPPPPLPPSADASPPLPGAGQTSPPRSPPHPSPAPAPPPPPAPASSEAKSEQEAAESASESGSMTLALALTQTEDSMPPTPPKASSTQASPIGSPQKESAVTIAKLLSGEDPAADKVAPPSDPGSLAAAAAVTGVGGGGGSVGSKRWLLAAGVPEKVRRAELRRAELGFRVSAAVFCLVALSVMAADTTTGWSGDSFRRYNEYRYVLAASVVAFTYSGFQLVAEVHYLVTRRHIIQAPWRSYFNLAMDQMLAYLLLSASSAALSRNGVWMSRFGGDQFTKLIDASASMAFLAFIALGLSSIISAYCVFSLVS >KQL07282 pep chromosome:Setaria_italica_v2.0:V:38912761:38915542:1 gene:SETIT_002608mg transcript:KQL07282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIKHIVLVHGACLGGWSWFKVATALRAAGYRVDTPDLAASGVDPRLLREAAPTFRDYTAPLLDLLAALPAGDRVVLVGHSLGGINVALAAELFPEKVAAAVFLCAFMPDFAARPSHVLEKFVEGKWLDWMDTEMKPQDAEGKLPTSMMFGPGILREKFVQLCSPEDVTLVSSLMRVSSMFVEDLAVQQPFTKGGYGSVRRVYVVCTEDHAIAEGFQRLMVENDPVDEVKEIAADHMVMLSRPDELVRCLTDIVEKYT >KQL07283 pep chromosome:Setaria_italica_v2.0:V:38912761:38915542:1 gene:SETIT_002608mg transcript:KQL07283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIKHIVLVHGACLGGWSWFKVATALRAAGYRVDTPDLAASGVDPRLLREAAPTFRDYTAPLLDLLAALPAGDRVVLVGHSLGGINVALAAELFPEKVAAAVFLCAFMPDFAARPSHVLEKDVTLVSSLMRVSSMFVEDLAVQQPFTKGGYGSVRRVYVVCTEDHAIAEGFQRLMVENDPVDEVKEIAADHMVMLSRPDELVRCLTDIVEKYT >KQL07896 pep chromosome:Setaria_italica_v2.0:V:42390419:42395828:-1 gene:SETIT_004639mg transcript:KQL07896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQPPRFLPLPRRRLAGRRRRARPTLALNSKWKLPDVDTDAVRGRVRSWMSLARGAIADAAHAARERAKHKEDPEDGKKKQRKEVAVEEQALVAVPEVTVELRVAQGWLSLDAVVSIEQFARLNGLTGRQVQRIFEALAPEHLHNDARSLVEYSCFRYLSRDNSDFHPNLKELAFQKLVFVTMLAWEDPYNEVDGVADASTAHYLFRALVGAEKGLSFDLWTTYLAELLKVHHGRQTHKMGDIFLSDEQVLCIGSSKKRPVLKWEENTAWPGNLTLTDKALYFEAIGLSGTKKPMRLDLTDRNSRVEKAKVGPFGSKLFDSAVSVSSGSTSDEWTLEFVDFSGEMRREVWLAFISEMISVYRFIREYGPGDNDPAIHHVYGAHKGKKRAVSSAANSIARLQSLQFIRRLHEDPAKLVQFSYLSNAPFGDVVLQTLAVKFWGGPLITKVKSANHRSPQWHRSSEDPSSGHAHVYDIDGSVYLRKWMTSPSWASSHSANFWRNSSVKHGVVLSKSLVVADKNLVEKAMINCKEKRKVVERTQATIVAATIEGIPSNIDLFKELMLPFVIMAEKFKKLQRWENPRSTICFLLLVHTVVFRNMLSYVFPFTLMMMALSMLAVKGLKEQGRLGRSFGKVTIRDQPPSNTIQKILALKEAMASVENYLQNLNVSLLKIRTIFLAGQPEVTTQVALVLLASSAVLLVFPFKYVLAFFTFDLFTRELEFRREMVRAFMNFLKERWESIHAAPVVVLPYEGAESSPKPLPSKASGQSEPQNVQRVGSYVTSKNGISNS >KQL08214 pep chromosome:Setaria_italica_v2.0:V:44216455:44219315:1 gene:SETIT_002700mg transcript:KQL08214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTIERRASLKEFYAIIYPFLQQLEGNLMDKDCKEKGWCKESGGGRKLVADDDREDECGICLDTCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVKHFLSFIDSLPLIVPDNMLLVYYDYLV >KQL08213 pep chromosome:Setaria_italica_v2.0:V:44216088:44219315:1 gene:SETIT_002700mg transcript:KQL08213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHGGRPLSLRGSLKALEADIHHANTLAHAVHRAYGGACVQMRLSYSSMAPIILNLIQWMDCSCSLSYTLPSYLGLLEVLVYKVYVDEDASISTIERRASLKEFYAIIYPFLQQLEGNLMDKDCKEKGWCKESGGGRKLVADDDREDECGICLDTCTKMVLPNCNHAMCINCYRDWYTRSQSCPFCRGSLKRVRSRDLWVLTGDDDVIDTVTLEKENVKHFLSFIDSLPLIVPDNMLLVYYDYLV >KQL04695 pep chromosome:Setaria_italica_v2.0:V:11039409:11040130:-1 gene:SETIT_003912mg transcript:KQL04695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWPPRRRRRRRRRLRTPSRKDPPAPSTLPAPPATRDWAALPDDALLAIFSRLRHVDILLGVELTCASWRRIAVGKPALWRHIDINDDGGAKTRRADVENLPRGVWMAMARAAVGRGGGQCESYRGPAGPDFLAHLAASSPLLRSLHLTSFFHLPGKEYTDRMMVIPSFPMLERVVLSHDARRLYMYEPTTMASELRVRLAKMVDDLKLPQKSMIRS >KQL08295 pep chromosome:Setaria_italica_v2.0:V:44641403:44644268:-1 gene:SETIT_001242mg transcript:KQL08295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCGPPDQLPPASAPSCFLNLNWNQSMAAAAAAGDHLDPALSSMVSSPTSNSMAAAATDGLALHGISPQPQYGGTRLSSPPKLNLSMMGQFHHYPPPQVGGAGPSGLPILENLMPMGHLDQFLADPGFAERAARLSGFDGRTGGSGYGAVPGQFGLPEAGPVGALKELELGNGRDESSVSDPASASAEMALKAPSDGNAKKRKSSGKGKGKDGPGSAAAKDLAKEESSGKRCKSADEGNGAEDNSAKGKAAQSNSENGGKKQGKDTSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLPKDQSCGPLQNSHFPLETSGAPLPYLNQTHQGSPLGCSLTNGMDNQSNMHPLDPAFCQQMNSQHRFLNGVSDAASQVGTFWQDDLQSVVHMDIGQNQEIAATSSNSYNGSLQTVHMKMEL >KQL08294 pep chromosome:Setaria_italica_v2.0:V:44641816:44643950:-1 gene:SETIT_001242mg transcript:KQL08294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCGPPDQLPPASAPSCFLNLNWNQSMAAAAAAGDHLDPALSSMVSSPTSNSMAAAATDGLALHGISPQPQYGGTRLSSPPKLNLSMMGQFHHYPPPQVGGAGPSGLPILENLMPMGHLDQFLADPGFAERAARLSGFDGRTGGSGYGAVPGQFGLPEAGPVGALKELELGNGRDESSVSDPASASAEMALKAPSDGNAKKRKSSGKGKGKDGPGSAAAKDLAKEESSGKRCKSADEGNGAEDNSAKGKAAQSNSENGGKKQGKDTSKPPEPPKDYIHVRARRGEATDSHSLAERVRREKISQRMKLLQDLVPGCNKVVGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPQLDFNNLPNLLPKDIQQSCGPLQNSHFPLETSGAPLPYLNQTHQGSPLGCSLTNGMDNQSNMHPLDPAFCQQMNSQHRFLNGVSDAASQVGTFWQDDLQSVVHMDIGQNQEIAATSSNSYNGSLQTVHMKMEL >KQL03233 pep chromosome:Setaria_italica_v2.0:V:441157:441598:-1 gene:SETIT_003452mg transcript:KQL03233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQTNTLQLPVHLKMPTTCCKQRLVGQGLELFPCYIYHHMNEAGKMECATKSFSLEEKHSRKPMFVLHHSKALLYFRYIDLIVIWPSQFYSHLITPC >KQL03234 pep chromosome:Setaria_italica_v2.0:V:441157:441683:-1 gene:SETIT_003452mg transcript:KQL03234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSGSMQQTNTLQLPVHLKMPTTCCKQRLVGQGLELFPCYIYHHMNEAGKMECATKSFSLEEKHSRKPMFVLHHSKALLYFRYIDLIVIWPSQFYSHLITPC >KQL05735 pep chromosome:Setaria_italica_v2.0:V:27349006:27351240:-1 gene:SETIT_004490mg transcript:KQL05735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYGQIEQYFNSMEGPTAQQRIDCIVAFLISFLPPPLVPAPEVAGDDSDDESFSLTSSDSETSDAGADLASFHPAALSDGEDHISRLPDALLSNIISRLATQEAARTVVLSTRWHGVWAATPLLIDDAHLVGADGLNDIPIMRAVSRCVAAHPGPVSGVRVTRVSFYSHEYSLRRLVADLADKDIQDLILFNRPWPLNMPLPKDILRCASLERLYLGVWQFPKITSAHPPLFDKLRELGLFHCILEILSIVMSYGSPSRLCIVSRSLQVAVDWKSTLHEVVLQDAPCLERLILQTMETRMPIKIVGAPRLEVLGFLDLNLHELEIGGIPIKARMNMKDRATVPSLKILAVTVHFVRNQEAKMLPTLLKCFPRLEALHVLAIPSESVSSDHDLEFWESLGSCERLESHLKTVMVHGCLVHNNEIGFLQYIIREGKALKAVGVDPSPKNMVAIDLVLASFGEGASSDDIFHARVDGRFIFQNAIDMTLDNPFCVDDPV >KQL06743 pep chromosome:Setaria_italica_v2.0:V:35381864:35384332:-1 gene:SETIT_002384mg transcript:KQL06743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALDLHLESAAAQHGQAKLNVEECAKGSLLSGGNYKEEKIDGSDADDYEKFEKGIMHYGCPHYRRRCRIRAPCCNEIFDCRHCHNEVKNSIKVDTMKRHELPRHEVQQVICSLCGTEQEVRQVCINCGVCMGKYFCGSCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELATLSDSCDDKMVLFFFFLLLKLL >KQL06746 pep chromosome:Setaria_italica_v2.0:V:35381408:35384332:-1 gene:SETIT_002384mg transcript:KQL06746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALDLHLESAAAQHGQAKLNVEECAKGSLLSGGNYKEEKIDGSDADDYEKFEKGIMHYGCPHYRRRCRIRAPCCNEIFDCRHCHNEVKNSIKVDTMKRHELPRHEVQQVICSLCGTEQEVRQVCINCGVCMGKYFCGSCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELATLSDSCDDKMVRILCNDCGAISEVQFHLIAHKCQNCKSYNTRQI >KQL06745 pep chromosome:Setaria_italica_v2.0:V:35381408:35384332:-1 gene:SETIT_002384mg transcript:KQL06745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALDLHLESAAAQHGQAKLNVEECAKGSLLSGGNYKEEKIDGSDADDYEKFEKGIMHYGCPHYRRRCRIRAPCCNEIFDCRHCHNEVKNSIKVDTMKRHELPRHEVQQVICSLCGTEQEVRQVCINCGVCMGKYFCGSCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELATLSDSCDDKMVRILCNDCGAISEVQFHLIAHKCQNCKSYNTRQI >KQL06742 pep chromosome:Setaria_italica_v2.0:V:35382203:35384332:-1 gene:SETIT_002384mg transcript:KQL06742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALDLHLESAAAQHGQAKLNVEECAKGSLLSGGNYKEEKIDGSDADDYEKFEKGIMHYGCPHYRRRCRIRAPCCNEIFDCRHCHNEVKNSIKVDTMKRHELPRHEVQQVICSLCGTEQEVRQVCINCGVCMGKYFCGSCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEVGALLSFLMFMLRIMFSVNCVRSVLVLVLSCD >KQL06744 pep chromosome:Setaria_italica_v2.0:V:35380745:35386186:-1 gene:SETIT_002384mg transcript:KQL06744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALDLHLESAAAQHGQAKLNVEECAKGSLLSGGNYKEEKIDGSDADDYEKFEKGIMHYGCPHYRRRCRIRAPCCNEIFDCRHCHNEVKNSIKVDTMKRHELPRHEVQQVICSLCGTEQEVRQVCINCGVCMGKYFCGSCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELATLSDSCDDKMVRILCNDCGAISEVQFHLIAHKCQNCKSYNTRQI >KQL06741 pep chromosome:Setaria_italica_v2.0:V:35380745:35383696:-1 gene:SETIT_002384mg transcript:KQL06741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHELPRHEVQQVICSLCGTEQEVRQVCINCGVCMGKYFCGSCKLFDDDVSKQQYHCNGCGICRIGGRENFFHCSKCGCCYSIVLKNSHACVEGAMHHDCPICFEYLFESTNDVSVLPCGHTIHVKCLKEMEEHCQFACPLCSKSVCDMSKAWERLDMELATLSDSCDDKMVRILCNDCGAISEVQFHLIAHKCQNCKSYNTRQI >KQL04348 pep chromosome:Setaria_italica_v2.0:V:8415572:8418134:-1 gene:SETIT_002217mg transcript:KQL04348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVDGGGGRAAVGRRQEQRHIGTAAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHADAAALKKYSIWHEASRIVREEGFRAFWKGNLVTIVHRLPYSAISFYSYDRYKNLVQMVPGLDRDSNNVGVVRLLGGGLAGITAASVTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVGPSIAISFSVYESLRSHWQMERPQDSTAIVSLFSGSLSGIASSTGKSICSKFP >KQL04349 pep chromosome:Setaria_italica_v2.0:V:8414714:8418285:-1 gene:SETIT_002217mg transcript:KQL04349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTEARVGVVVDGGGGRAAVGRRQEQRHIGTAAHLAAGGFAGAVSKTCTAPLARLTILFQVAGMHADAAALKKYSIWHEASRIVREEGFRAFWKGNLVTIVHRLPYSAISFYSYDRYKNLVQMVPGLDRDSNNVGVVRLLGGGLAGITAASVTYPLDVVRTRLATQKTTRYYKGIFHAVSTICRDEGVKGLYKGLGATLLGVGPSIAISFSVYESLRSHWQMERPQDSTAIVSLFSGSLSGIASSTATFPLDLVKRRMQLQGACGTAAHKSTITGTVSDILQKEGPRGFYRGIAPEYLKVVPSVGIAFMTYETLKGLLSSMDTDDES >KQL05416 pep chromosome:Setaria_italica_v2.0:V:24066393:24066524:-1 gene:SETIT_003851mg transcript:KQL05416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQHIYYNIFAVIGSYGGYLFVNRDRKLLTTGLLNKSFFNLQG >KQL03820 pep chromosome:Setaria_italica_v2.0:V:4798711:4802484:-1 gene:SETIT_002302mg transcript:KQL03820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGITPKPAAPQPRHMFVFGAGFVGRYVSERLLAQGWQVSGTCTSPAKKRELEMLGMNASVFDAIESNFSLENVHSLQQATHLLISIPPIPGIGDPLLNLNEDLRRILSHGNLEWLCYLSTTSVYGDCGGALVDEDHMVNPKSESAKLRYKAEKGWLNLVDDLNLSAFIFRLGGIYGPGRSALDTLAKSKSLSQRQKLRESKQYTARIHVADIYQAVLASMSMRCARKIFNVVDDDPAPRAEVFAFARSLIEMRYPDLIAESPDVGSTESGFQELPAEKRVSNARLKKELGVKLLHPTYRSGLQSILDSWQAES >KQL03819 pep chromosome:Setaria_italica_v2.0:V:4798711:4802484:-1 gene:SETIT_002302mg transcript:KQL03819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGITPKPAAPQPRHMFVFGAGFVGRYVSERLLAQGWQVSGTCTSPAKKRELEMLGMNASVFDAIESNLENVHSLQQATHLLISIPPIPGIGDPLLNLNEDLRRILSHGNLEWLCYLSTTSVYGDCGGALVDEDHMVNPKSESAKLRYKAEKGWLNLVDDLNLSAFIFRLGGIYGPGRSALDTLAKSKSLSQRQKLRESKQYTARIHVADIYQAVLASMSMRCARKIFNVVDDDPAPRAEVFAFARSLIEMRYPDLIAESPDVGSTESGFQELPAEKRVSNARLKKELGVKLLHPTYRSGLQSILDSWQAES >KQL03818 pep chromosome:Setaria_italica_v2.0:V:4799043:4802200:-1 gene:SETIT_002302mg transcript:KQL03818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGITPKPAAPQPRHMFVFGAGFVGRYVSERLLAQGWQVSGTCTSPAKKRELEMLGMNASVFDAIESNLENVHSLQQATHLLISIPPIPGIGDPLLNLNEDLRRILSHGNLEWLCYLSTTSVYGDCGGALVDEDHMVNPKSESAKLRYKAEKGWLNLVDDLNLSAFIFRLGGIYGPGRSALDTLAKSKSLSQRQKLRESKQYTARIHVADIYQAVLASMSMRCASFSVPGKYSTWWMMTLPLELKYLHLPGA >KQL07452 pep chromosome:Setaria_italica_v2.0:V:39803182:39804327:-1 gene:SETIT_003518mg transcript:KQL07452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYTSKKKALLVLFMFSCLLVPMASSAAPLSRSLSLTNNRVPEPAVVEVPLQQGEERNLGEVAARMDIEVNDYPGSGANNRHEPRSPGRA >KQL07453 pep chromosome:Setaria_italica_v2.0:V:39802784:39804471:-1 gene:SETIT_003518mg transcript:KQL07453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYTSKKKALLVLFMFSCLLVPMASSAAPLSRSLSLTNNRVPEPAVVEVPLQGEERNLGEVAARMDIEVNDYPGSGANNRHEPRSPGRA >KQL07082 pep chromosome:Setaria_italica_v2.0:V:37556172:37557914:-1 gene:SETIT_004604mg transcript:KQL07082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHHRSPEYSALLLAGPRLGPLKQAHARLIVAGHALSLPLTTKLATLAVAAGAASYAHLLAASHPSPDSFLFCTLTRAAAHRGLPAAALTFYRSLLTAALPFSSFAFTAVAKACADLSALRVGMVIHAHAVLLGFGSDRFVQTALVALYSKCGQLAVARKLFDAIRDKSVVAWNAMISGYEQNGLAERAIEVYKEMQMAGEAPDSATFVATLSACAQAGALDLGREVERRIVSERMDMSVFLGAALVNMYVRCGLVNKARKWFDMLQERNVVTWTSMIAGYGMHGHGHEAIELFQLMRREGPPPNDVTFVAVLSACAHAGLVSEARDAFATMKSAYGLVPRAEHYCSMVDMYGRAGLLDDAMQFIQDSIPGEPGPEVWTAMLGACKMHKNFNLGVEVAERLIALEPENPSHCVLLSNIYALSGKMNHVEKVRNTMIKRRLKKQIGYSLIEIGGVAHLFRMGEKSHPQTRDIYQYLEELIHRITDAGYVPETDSVLHELEEEEREFALRYHGEKLAVAFGLMMSSGSASPIRIIKNLRICGDCHLAIKYMSAVENREIIVRDKHRFHHFKDGKCSCQEYW >KQL07762 pep chromosome:Setaria_italica_v2.0:V:41595446:41597956:-1 gene:SETIT_002914mg transcript:KQL07762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCPGRSCRLFGAQRSLHDLLGGGTVADVVLWRRTEVAGGLLAAVVASWALFYCVPGYTLLSFVSQVLMILLTVLFVWAKAAQLLNRALPPVPLMEISDKSTSEAAEIVGNFVNKVLQDFGNIALGKDSSLFYKVAFVLLLTSIVGRLTDLITLVYTSAVITLTIPALLEKSEEHIARYLEIASAYVQACGGACEEYKCKMTNRLLQKKLS >KQL07506 pep chromosome:Setaria_italica_v2.0:V:40108559:40109632:-1 gene:SETIT_003822mg transcript:KQL07506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAPRSSLPGPPPIKSPSHCRLRRGGELSTSRPALPETDPARSRGETDRKAGSLTSIKLFQTDADRYGASAKAKRERSAAAGGSRRRGSCSGNVPVLFLPIAIEQRHHLHRRRVIAPRAVVVEAAQAGVEEEGRGWWWTTGDRAAASMGVHVRSMSWYLGPPGSPAPAGSAAAEAQHALSSNPGGSDTSFDTNMVIILAALLFALLFALGLNSLARCLIRWARRAASVGEGGAGAGAGGGRGGGGLKRRALRSLPVEVYGACSADGSAAGAADVCAICLGEFADGEKVRVLPRCAHGFHVRCVDTWLLSHDSCPTCRGTVLDAAAAGNAKAAASAPAAAAGGSRRQGSEAAAIAVVIG >KQL03495 pep chromosome:Setaria_italica_v2.0:V:2723427:2724071:-1 gene:SETIT_004019mg transcript:KQL03495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAIPSGHGYLSVSTFRFGSVEIAATVTRDAAVADAWVRGLRASHPRGAPLVVGLDCKWNKQPARGGGPAWMAPRAAVLQLHAGGSAGCLVLQLLYLARVPEVLRAFLRDPRVRLVGVGVVDAAAKLADDHGLVCAAPVELEGPCDDYLGLVGGDRLGLKEYAREVLDLNMEKPDSVAMSDWEKRDLDRPHIGYACVDAYVSYRLGERVLLGR >KQL06785 pep chromosome:Setaria_italica_v2.0:V:35591160:35591415:-1 gene:SETIT_005590mg transcript:KQL06785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVNQLIRHIFQRRPRVCVDPQNSYCLPIISHASDLGNSS >KQL03315 pep chromosome:Setaria_italica_v2.0:V:1259396:1259949:-1 gene:SETIT_003656mg transcript:KQL03315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGCTCVWALGLAPSIEWSFLPRVVRREWVCTKCVFFRLVEGGELSRKLGGVSPFVKEDQMY >KQL04614 pep chromosome:Setaria_italica_v2.0:V:10218926:10220142:1 gene:SETIT_002965mg transcript:KQL04614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARKQSVTGRPASSCARMAPASRRCRFGPAADPDGGPCGGGGARAPRPTLTVAPASVEEHGTVVNPAWQSMRGRKTAQRKGRRLGTRGRRKKIQCRRAVKHLQEGCKTSAVQPYSRRPTRVQVRDPTACDDARGQQLIGPLARLGSRRDEDARGSSRGVDTDAPPGSYLRRGRRSVPSPSSAAVSRSGRSVMASAKTPVLRTH >KQL07101 pep chromosome:Setaria_italica_v2.0:V:37660877:37662217:-1 gene:SETIT_004934mg transcript:KQL07101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLCPNLDRDDSLDTVLEVPIPDDMLINAPGADKRRGAGGANMRAWLKNQAFDRATVDGHASATAELQLFLNVVGSPLIPCPVPHDRAFSRSIRDSSIQASTAKYIIQQYIAAMGGQAALQGVRSMYAVGKVRMCASEFHLGDQNVTAAQGRAEVGGFVLWQKTPEVWFFELIMAGHKMSAGSDGKVAWRQSAAEQSHVSRGPPRPLRRSLQGLDPRSIANLFSDAVCIGEKILNGEECFILKLEAGAMTLRARSAPAFDIIHHTVWGYFSQRTGLLIQLEDSHLLRMKSGKGARRSENIFWETSMESVISDYRYIDGINIAHGGHTAVTLFRYGEGSVNHKRKLEETWTVEEADFNVHGLTTDYFLPPADLNKDVDDQNK >KQL07765 pep chromosome:Setaria_italica_v2.0:V:41616068:41618425:-1 gene:SETIT_004286mg transcript:KQL07765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNKTSSSCDACRGDIGLRQASFTSECAHTFHLRCVSGTAACPVCAAKWSDTPATAPARSPASPFSFASPAQPRASTSGQPPPPMPRPSSMFANLFGTKAPPATQNPFWLGNAPPTPPQSSSCCVCHGAIGRGQATVTSECNHTFHLRCISGSVCPVCSAHWRDEVTVTPSQPRPVLFPLPPNLVSPPPPSFNFPPPSSSFPKPPMPSSTPSPISAFSDDEPVEASPLDGWEVVQEHEAANNGVCGELVLKTHCEHPAVARDAVQENFAVLLHAKAPAAAAEASERAPLDLVTVLDISGSMSGSKLGLLKQAMGFVIDHLGSGDRLSIVTFSCRARRIIRLTRMTDGGQAVAKDAVESLIANGTTNIGDGLRVAAEVLDGRRQRNAVASVILLSDGQDNHHSLGQGGYGAFSRARSYIDLVPQSLRRGDGNRSLTVHTFGFGIDHDAAAMHAIAEATGGTFSFIQDHAVVQDSFAQCIGGLLSVAVQEARVAVECLQPGVRVRTVKSGRYESRVDTDGRTASVDVGELYADEERRFLLLLDVPVAAGDGSTTRLVKVSCTYRDAATRQTVDVSCEDAVVKRPVVVVAGMAPSVEVARERFRVEAAEDIAAARAAAERGAHGEAARILDRRREASAAAGLAGDARCAALVAELRELSARVADRREYEQTGRACMLAGISSHAQQRASTVQLFGSAVPVGCGRYAGVAPPGAAAPPATFGTGASSSSPFMSGPPVYRRGMPAAPTCGAAPTFGAAYAGPAPTFGAAYATPAMQGMVESSKKRREQRRP >KQL07646 pep chromosome:Setaria_italica_v2.0:V:40993861:40997588:1 gene:SETIT_001910mg transcript:KQL07646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMFFGSFLSESASSQNLFGHPDVERCPFLRNINGATTFSFTSALPVAARGGKGPIFEDGPGFESAFKLFHGRDGIVPLSERSYVPDESHNENINVKTEPALPFNPLAARAATISLSAFGPFGFGFFNGKGKRQNKKPNNLDQSHQKPKTPDQSSMKQKGVNPPSHEAFSEEWLENGQCPLARSYRAMSGVLPLVAKALQPPAGMKLKCPPAVVAIRAALARTALVKSLRPQPLPAKMVAIALLGMAANIPLGVWREHTKKFSPQWFAAVHAAVPLIAMLRKSVVMPRTAMAFTIAASIIGQTIGSRAERIRLRNLAAMGAVNATTTAAMYPNKNGNCSDTEGKAWDPLETKMAGSAARGAPAPTTSMCF >KQL05836 pep chromosome:Setaria_italica_v2.0:V:28345421:28345703:-1 gene:SETIT_004082mg transcript:KQL05836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDQLPTYDPRSNTAKNEAASRADLARTLIHLVPIVMLLCSLLLWSLSNTNSVKCPLKTAKFLEPNPGEARS >KQL03862 pep chromosome:Setaria_italica_v2.0:V:5121733:5129523:1 gene:SETIT_000163mg transcript:KQL03862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGVVVVEEGEQQPEAPPPRPPLAVEALRDKIVEKVKANRVTLIVGDTGCGKSSLVPQFLLEENMEPILCTQPRRFAVVAIARAIAESRNWQLGEEVGYHIGHSNVSDLNSKRSKIVFKTAGVVLEQMCDRGIAALRYKVIILDEVHERSVESDLVLASIKQFLMRKSDLRLVLMSATADITRYKEYFRDLGRGERVEVIAIPSSPRTNIFQRKVLYLEQIADILKINSQSLSTKYCSGLDASADAEINYDVYELIHKLLLHIHQSEPDISKSILVFLPTYYALEQQWIRLLPYSSTFKVHILHRSIDTDEALQTMKISKSCRKVILATNIAESSVTIPGVAYVIDSCRSLQVYWDPIRKTDAAGLVWISKSQAEQRKGRTGRTCDGQIYRLVTGTFYSSLNDHEYPAILRLSLREQVLMVCCAEPRSMNDPSALLQKVLNPPDSDAIEDALESLVQIHALEKTGPGRYQPTFYGCLLNSLPLSFDSSVLTLKFCELGAVHEGILISILLDIQPLPILQPFGYQALCQKYRDNYFKENGSVQIGKKEATTVGNLCAFQFWERVLKDKHRLDYLKDVANTEESEESHTFLAKPEEEWCAIHNLVPAAFKNISEIYDDVMQQLHRFRPSFLVKINPPKHLQPSKFNHTCLHHETLELEEDMNSLSLEAENSHCDLPKRCAATPYVSQTDFGTTIIVEMLKTLVKEIKVQHVEEKKVSYKGPLGPYMKPTLGTEACVFFVNGSCNQGAACRFSHSSFAPKPACKFFLTLQGCRHGNSCPYSHDTGFLISTPITSGICSQEGRATSLCCTRLFPADGDGHILILNDKNLQFTSKLSLYYDACKIVAGTPGLQPVESHSVPKGLKILQNVADPSSLITGRDHKLPVPWTKLKRVFWFADFDNEESASEQALLQKLFENMAIKILSERLSDLKVILIMKNTRYIQLQVERLARECFFSLSESFMFDEATLGWFSDISRHPRGMQVSAPVTYIFNMHPPSVTQFGDYPAELRKALSKN >KQL05571 pep chromosome:Setaria_italica_v2.0:V:25873432:25881253:1 gene:SETIT_000154mg transcript:KQL05571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLPPPTDAPAAGGPGAGGSGRGLRRGVGFRSLKLVSVAMDEPLPVDPVGATYGRLPNGLTYYVRSNPKPRMRAALSLAVKVGSVVEEEDERGVAHIVEHLAFSATSRYTNHDIVKFLESIGAEFGACQNALTSSDETIYELLVPVDKPGLLSQAISVLAEFSSEVRVSAEDLEKERGAVLEEYRGGRNATGRMQDSHWALLFEGSKYAERLPIGTEKVIRTVPHETVKRFYQKWYHLSNMAVFAVGDFPDTQAVVELIKEHFGQKAPAPLPPPAIPEFRVPSHEEPRFSCFVESEAAGSAVVISCKMPAGEIKTVKDYKDSLAESMFHCALNQRLFKISRGKDPPYFSCSSAADALVRPVKAYIMTSSCRERGTVEALESMLLEVARVRLHGFSDREISIVRALMMSEMESAYLERDQMQSTSLRDEFLQHFLREEPVVGIEYEAQLQKTLLPHISSAEVAKFAENFSTASSCVIKIVEPRAHASLEDLKAVVLKVNSLEEEKSIPPWDEEQIPEEIVAEAPEPGSIIDKVEHPGIVATEMILSNGMRICYKYTDFLDDQVVFTGFAYGGLSELSEAEYTSCSMGSTIAGEIGTFGYRPSVLMDMLAGKRAEVGTKVGAYMRTFSGDCSPSDLETALQLVYQLFITNVEPREEEVKIVMQMAEEAIYAQERDPYTAFANRVREINYGNSYFFKPIRISDLKKVDPIRACEYFNNCFKDPSAFTVVIVGKIDPAISLPLILQYLGGIPRVQDAAQPLSRDDLRGLPFKFPATIIREVVRSPMVEAQCFVQLAFPVVLKNTMMTEDIHYVGFLSKLLETKIMQVLRFKYGQVYSVNVAVFLGGNKPSRTGDVRGDISVNFSCDPDISSKLVDFVLEEISYLQAEGPSEEDVLTILEIEQRAHENGLQENYFWLDRILRSYQSRLFSGDIGSTFAFQEEGRMKVREALTPQTMQSALQRVLPFPCRNQYTVVILMPKSSCWASVKSMLSWSSNGVSRDAKILAGIAGALVLAVSLWRYSRSTLKS >KQL03468 pep chromosome:Setaria_italica_v2.0:V:2554746:2564164:1 gene:SETIT_004154mg transcript:KQL03468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGIGGADEEAPAPKHPQQRYRSVESQDRTVVPMASMETGSSAAAPRPTKPNLSIDPRTKMTSSNGHAVPNASQSDSKLELFGFDSLVNILGLKSMAGEQAQAIASPRDGEDVGITIGCSKETEPKLGTMMGVFVPCLQNILGIIYYIRFTWIVGMGGIWQSLVLVAFCGACTFLTGLSLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAIPSAGFFQESVTVVNNTLANGTTVAGGTTISTPNLHDLQIYGVVITILLCFIVFGGVKIINKVAPAFLIPVLFSILCIFIGVFSAPGSDASKGITGLSMTTLTDNWSSDYQPTNNAGVPDPNGSILWDFNALLGLFFPAVTGIMAGSNRSASLKDTQRSIPVGTLNATLSTTVMYLISVFLFGALATREELLTDRLISATIAWPGPAVIYIGIILSTLGAALQSMTGAPRLLAAIANDDILPVLNCFKAYEGSEPHVATLFTSFICIACVVIGNLDLITPTITMFFLLCYAGVNLSCFLLDLLDAPSWRPRWKLHHWSLSLIGASQCIVIMFMISWTFTVVSLALASLIYYYVSLKGKAGDWGDGFKSAYFQLALRSLRSMGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFFSIIDGDYHESAKDAKTACRQLSAYIDYKRCEGVAEIIVARSMSDGFRSIVQIMGLGNLKPNIVVMRYPEIWRRENLTQIPSTFISIINDCIIANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKESFESCKIQVFCIAEEDTEAEELKADVKKFLYDLRMQAEVIVVTMKSMEARTELSSGAKKDPEEEHASAQHRIRAYLSEMKETAQREGRPLMEGGRQVVVNEEKVEKFLYTMLKLNTTILKYSRMAAVVLVSLPPPPLNHPAYCYMEYMDLLVLNVPRMLIVRGYRRDVVTLFT >KQL03662 pep chromosome:Setaria_italica_v2.0:V:3781604:3783873:-1 gene:SETIT_001919mg transcript:KQL03662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQAAAGSYWCHMCTVAVRPAQGEAEMKCPHCHSGFLEEMETARGAAAADGGGGGALTEVYPGADRPSSIWAHAILSSGDSSARRRRSRRQPEPGGGDVHDWDEHELTRRRRRVSAFLRLLHEVRERQLQRLEAAAGVAIEGDQLTPFGRSLFIGAAGGAATAEHGVALGDYFLGNGLDALVQQLAEGDAGRQGTPPAKKEAVEAMPTVEIAGSNGDDAASCPVCLEDYAPGERAREMPCRHKFHANCIVPWLEMHSSCPVCRFQLPADDNKSSCGGGGDGDSGGYVSVDAYNEGNDNGGGDGGAGSAGNAEPERISVAEAEERGRRLTAGVAEADESGRRLPASLQWLNSLFSPSGGSSSSSQHWED >KQL03663 pep chromosome:Setaria_italica_v2.0:V:3781901:3783010:-1 gene:SETIT_001919mg transcript:KQL03663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQAAAGSYWCHMCTVAVRPAQGEAEMKCPHCHSGFLEEMETARGAAAADGGGGGALTEVYPGADRPSSIWAHAILSSGDSSARRRRSRRQPEPGGGDVHDWDEHELTRRRRRVSAFLRLLHEVRERQLQRLEAAAGVAIEGDQLTPFGRSLFIGAAGGAATAEHGVALGDYFLGNGLDALVQQLAEGDAGRQGTPPAKKEAVEAMPTVEIAGSNGDDAASCPVCLEDYAPGERAREMPCRHKFHANCIVPWLEMHSSCPVCRFQLPADDNKSSCGGGGDGDSGGYVSVDAYNEGNDNGGGDGGAGSAGNAEPERISVAEAEERGRRLTAGVAEADESGRRLPASLQWLNSLFSPSGGSSSSSQHWED >KQL03786 pep chromosome:Setaria_italica_v2.0:V:4601889:4602718:1 gene:SETIT_003920mg transcript:KQL03786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLEEPHCAAFSPSYCHSEFIQILILNPDVLL >KQL05296 pep chromosome:Setaria_italica_v2.0:V:21029648:21029900:1 gene:SETIT_003716mg transcript:KQL05296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLYPLLKISLSLDLYLVLISSKAQLLSINLEFSFSLLSSSTGRALVSAA >KQL08366 pep chromosome:Setaria_italica_v2.0:V:45024397:45027798:-1 gene:SETIT_000113mg transcript:KQL08366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSSLATAAAGWLVSPFLDCLSDRIRSCADDLFRYLPSGSASADLERLQDYLLCLRAFASAVERARRRTSHPTLLAWLNRLKDAADDADDIIDEIRYRSLADALPGPSADFCGILDTPGSVCSHLASVCSDHPFKRLPSVLDKLATACADYAPIASLVGLDGADSPQRGNRLARNSSSIMPADDAFFGRQRELAVLVETLVGCSGSSRLGNHSVPVVAIVGDGGIGKTKLAQMVFNHAVIQEHFYPRMWVCASSHVDDVRLTREILQAATDWKVDYDGILNFDRLQNLLASSVAGRRFLLILDDVWDDKEMGTWENGERWKKLLAPLQHGKQESRIIVTTRMKMVADMLGVRIPMMLGGLGLEDHWLLLKKCALGSKDSHEHPHLQEIGRKIALNLKGSPLAARIIGGMLSNTRNARYWNNILETEIHDDIVSTLLSSYYHLPQHLQYCFAYCSIFPKNWKFERKKLVRMWISQGFVQMKNGNMEDLGIQYFKQLLARSFFHTLRQGNRTHYVMHDLIHDLAQMVSHSDCARIESDTYKSIPSTVRHVSVLSNFLPHLKKQCDLRRLRTLIVYKDSPMTSSTIPDDFLAEVKNVRTLDLTGCLMSELPKAIGCLIHLRYIALPDTIKTLSESVSILLHLQTLDIPKKCQLDRFPGGMHRLVSLRHLGVDLKYIAMIRGIGSLVKLQGSVEFHVKTEIGHTLEELKDMKDLHGLLHIKNLENVTCKEEACNAQLCDKRFLKILKLEWSSAGSTFGPRTSMDAKVLQCLGPNENLEELHIKRYNGESSPSWLDVNISEVKILPQLKSLCLTNCRRWKLLPPLGQLPFLRVLHLKEMCSVTEIGIEFYGGGNVTFPSLKELEFDDMPSLVSWIGGISDSFFPSLKKLKILNCPKLIKVPLLPPTTKSVTVERTRKISNLKMTPYGSSKSGKFVLEISPASILCEGFLHQKHLEATEVLNIRGCWGLELAEGFQLLASLRKLRLSQCNMDGEQLSLCLEYLNGLASLDIVDCQNITSLLLPVGSRHFKTLQALCFQDCQMLSSLANLESFVDLKSLIIERCIRVTTASLPAELKGMESLNKLSISHCPGLQSLPNNMPLSLEFLHLIGCHPVLTQWLHEKQGPEWERLPLSQITIY >KQL06282 pep chromosome:Setaria_italica_v2.0:V:32344060:32352009:-1 gene:SETIT_000305mg transcript:KQL06282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGGGGGARGVAGPVPASARKLVQGLKEIVNRPDAEIYAALRECGMDPDEAVSRLLTQDTFQEVKSKRDKKKEVKETPEPRSRGASNSRATRGGADRARRSSLVQSGSSDYMASRSSILGPAVPASNSTQKQTVPSLPANKDVVPNGSVGAQQSSSGFQHAWCGVPGHMSMADIVKMGRPQVKSSGKPMATTDISYAGQTPSLSSSVNQNSKHSASTALATAFDQGFPALPDPIPQNVNSSHASAENNQMHENDWFPQDEPPLGTQSKGIETSGDTSLSVTPFDSSVLVADAAYSQESSHTEENSSTIVKSAISSERHLEILEENNQFNDGLLQNSSTYQAQVHSYVDNEVEVSNLDAESAAANFQHLSLQDEDLAATKSTEDNPAVILPDHLQAANADCAHLSFGSFESGAFSGLLSSKNPKSSLEEEVPIPDESPSVNQIDVRNQDYYDNGALNPPANEDVETRIGTNVGTIDGPSVSEPDVLRQGALDVPGLQYNLPSVSSHAYSNTTQPSTMDDTQGNTQAQPLSHFSSLLQPNNLLGSNLPLRDFDFSQLLQTQSATKYNPPVAPSNLPGISMQETMKQGGFPNTQSTQHVPSTSIPSGLPLPQQLPVHPYSQPTLPLGPFASLVGYPYMPQNYFLPSAAFQQAYSSNGPFHQSAAPAVPGAGMKYSMPQYKSSTPASSLPQPSSLSGYGGFGNANNIPGNFSLNQGAPSAPTTLGFDEALGTQFKDPNHYAALQQSDNSAMWLHGAAGSRAAVPPGNFYGFQGQSQQGGFRQAQVQQPSQYGGLGYPSFYQSQAGLPQEHPQNPTEGSLNNPQAAPSQPSHQLWQHSY >KQL06280 pep chromosome:Setaria_italica_v2.0:V:32344060:32350001:-1 gene:SETIT_000305mg transcript:KQL06280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSILGPAVPASNSTQKQTVPSLPANKDVVPNGSVGAQQSSSGFQHAWCGVPGHMSMADIVKMGRPQVKSSGKPMATTDISYAGQTPSLSSSVNQNSKHSASTALATAFDQGFPALPDPIPQNVNSSHASAENNQMHENDWFPQDEPPLGTQSKGIETSGDTSLSVTPFDSSVLVADAAYSQESSHTEENSSTIVKSAISSERHLEILEENNQFNDGLLQNSSTYQAQVHSYVDNEVEVSNLDAESAAANFQHLSLQDEDLAATKSTEDNPAVILPDHLQAANADCAHLSFGSFESGAFSGLLSSKNPKSSLEEEVPIPDESPSVNQIDVRNQDYYDNGALNPPANEDVETRIGTNVGTIDGPSVSEPDVLRQGALDVPGLQYNLPSVSSHAYSNTTQPSTMDDTQGNTQAQPLSHFSSLLQPNNLLGSNLPLRDFDFSQLLQTQSATKYNPPVAPSNLPGISMQETMKQGGFPNTQSTQHVPSTSIPSGLPLPQQLPVHPYSQPTLPLGPFASLVGYPYMPQNYFLPSAAFQQAYSSNGPFHQSAAPAVPGAGMKYSMPQYKSSTPASSLPQPSSLSGYGGFGNANNIPGNFSLNQGAPSAPTTLGFDEALGTQFKDPNHYAALQQSDNSAMWLHGAAGSRAAVPPGNFYGFQGQSQQGGFRQAQVQQPSQYGGLGYPSFYQSQAGLPQEHPQNPTEGSLNNPQAAPSQPSHQLWQHSY >KQL06281 pep chromosome:Setaria_italica_v2.0:V:32344523:32349612:-1 gene:SETIT_000305mg transcript:KQL06281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFISTCLTFLVKETPEPRSRGASNSRATRGGADRARRSSLVQSGSSDYMASRSSILGPAVPASNSTQKQTVPSLPANKDVVPNGSVGAQQSSSGFQHAWCGVPGHMSMADIVKMGRPQVKSSGKPMATTDISYAGQTPSLSSSVNQNSKHSASTALATAFDQGFPALPDPIPQNVNSSHASAENNQMHENDWFPQDEPPLGTQSKGIETSGDTSLSVTPFDSSVLVADAAYSQESSHTEENSSTIVKSAISSERHLEILEENNQFNDGLLQNSSTYQAQVHSYVDNEVEVSNLDAESAAANFQHLSLQDEDLAATKSTEDNPAVILPDHLQAANADCAHLSFGSFESGAFSGLLSSKNPKSSLEEEVPIPDESPSVNQIDVRNQDYYDNGALNPPANEDVETRIGTNVGTIDGPSVSEPDVLRQGALDVPGLQYNLPSVSSHAYSNTTQPSTMDDTQGNTQAQPLSHFSSLLQPNNLLGSNLPLRDFDFSQLLQTQSATKYNPPVAPSNLPGISMQETMKQGGFPNTQSTQHVPSTSIPSGLPLPQQLPVHPYSQPTLPLGPFASLVGYPYMPQNYFLPSAAFQQAYSSNGPFHQSAAPAVPGAGMKYSMPQYKSSTPASSLPQPSSLSGYGGFGNANNIPGNFSLNQGAPSAPTTLGFDEALGTQFKDPNHYAALQQSDNSAMWLHGAAGSRAAVPPGNFYGFQGQSQQGGFRQAQVQQPSQYGGLGYPSFYQSQAGLPQEHPQNPTEGSLNNPQAAPSQPSHQLWQHSY >KQL06283 pep chromosome:Setaria_italica_v2.0:V:32345715:32351996:-1 gene:SETIT_000305mg transcript:KQL06283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGGGGGARGVAGPVPASARKLVQGLKEIVNRPDAEIYAALRECGMDPDEAVSRLLTQDTFQEVKSKRDKKKEVKETPEPRSRGASNSRATRGGADRARRSSLVQSGSSDYMASRSSILGPAVPASNSTQKQTVPSLPANKDVVPNGSVGAQQSSSGFQHAWCGVPGHMSMADIVKMGRPQVKSSGKPMATTDISYAGQTPSLSSSVNQNSKHSASTALATAFDQGFPALPDPIPQNVNSSHASAENNQMHENDWFPQDEPPLGTQSKGIETSGDTSLSVTPFDSSVLVADAAYSQESSHTEENSSTIVKSAISSERHLEILEENNQFNDGLLQNSSTYQAQVHSYVDNEVEVSNLDAESAAANFQHLSLQDEDLAATKSTEDNPAVILPDHLQAANADCAHLSFGSFESGAFSGLLSSKNPKSSLEEEVPIPDESPSVNQIDVRNQDYYDNGALNPPANEDVETRIGTNVGTIDGPSVSEPDVLRQGALDVPGLQYNLPSVSSHAYSNTTQPSTMDDTQGNTQAQPLSHFSSLLQPNNLLGSNLPLRDFDFSQLLQTQSATKYNPPVAPSNLPGISMQEVI >KQL05835 pep chromosome:Setaria_italica_v2.0:V:28339899:28340450:-1 gene:SETIT_003549mg transcript:KQL05835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFAIVTLIALSISAATAITIPQFYSPFGVATTIPQFFPSVTAAILVQPTCCKPCSLLAIQPTSCEEPAAFWQQPIIGGAFF >KQL08650 pep chromosome:Setaria_italica_v2.0:V:46487513:46488361:1 gene:SETIT_003868mg transcript:KQL08650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEGASSRAELIEPAPAPALPAEPVTGRKLRGRPPGSKNKPKPPVVVTLESEGAMRPVVLELAPGCDVVSAVAAFARRRRVGVSVLCGRGAVAAVRLRLATSPSTASTVTLHGRFEVLSLSGTVLPSTEGAVAAPSPPPQPFSVSLAGAGGQVIGGTLAGEMMAADGVVLVAATFGSAEVHRLPAAAGAEDEDGDGRGVGREEGRHLHHSSQQQQVQAAAAARAGDVVGLGAYGGGAVGLGGGAGSGGGHVGQHAPLLPEMALWAQTTSTRGPPAHPLPQF >KQL05739 pep chromosome:Setaria_italica_v2.0:V:27380177:27381295:-1 gene:SETIT_0016082mg transcript:KQL05739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIAFFMYVELYLVAISFLVLEGDNLDKLFPGAATDLLGYRLQGKQLFIALAAAVVLPTTWLKNLGVLAYVSAVGLVASAVLTASLVWAGVAETGFHRNNTSVLNLCGLPTSLGLFFVCFTGHAVFPTIYSSMKNNKHFSKVLLISSVLCSVNYGLTAVLGYMIYGDDVQSQVTLNLPSGKLYTKVAILMTLINPLAKYALLTAPITAAIEEKLSLPAGGGTARVAISTVVVVTTAVVASTVPFFGYLMSFIGSFLSVMATVIFPCLCFLKIYKAEGIRRIEIAAIIGILMIGVFVAVTGTYTSLQQIIGTF >KQL04275 pep chromosome:Setaria_italica_v2.0:V:7812527:7812899:-1 gene:SETIT_0045722mg transcript:KQL04275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAGVAPYSCRARPPGAARVAPRPKPTKSLVQLARHLSPAAPGRLARQAPPARNLLKVWCRGRSTSVLPRQDAWRGKAPPEPLWRFVSFATGRPPPTELSAASPLPCHQRISALPGGVAQQARG >KQL06634 pep chromosome:Setaria_italica_v2.0:V:34716123:34720543:-1 gene:SETIT_001375mg transcript:KQL06634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPRPRPWPPRAASRAALLALVAVAAAVAWLGASAASAAGGAGSSSSDVLRRAQRPEFAAWMADVRRAIHERPELAFQEHETSALVRRELHAMGVAYRYPVAGTGVVAAVGTGGPPFVALRADMDALPLQEEVEWEHKSKEARKMHACGHDAHTAMLLGAAKILHERRHELQGTVVLLFQPGEEVGIGAKKMVEAGAVDNVEAIFGFHVTVMLPTGVVGSRAGPLLAGCGFFEAVITGVGGHAAIPHSSVDPVVAASSVVLSLQSLVSREADPLDSQVVTVTRFRGGGAFNVIPDSVTIGGTFRCFSAEGFTRLKRRIEEVVVAQAAVHRCAASVDFGVGGSPLLPPTVNTPSLHAHFEAVAAETVGAGNVRGAMEPCMGSEDFAAFSEAVPASHFYFVGIGNEEVGSVHAAHSPHFLIDDDALPYGAAMHANLATGYLRRRAASSGPEDSHDEL >KQL03202 pep chromosome:Setaria_italica_v2.0:V:306768:312589:-1 gene:SETIT_000216mg transcript:KQL03202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDPPTSGPGDACSVPADAWPFDSLTTSLLFSSVSASPPLPPLPANSSSWLTPPSPLWLFEDRHLLPLEAPQAPEAAVAAAVVEEVQRARSGNSDTTSKRVEQINPKWQFHLSLDGDGTDNSSLFKERLTQALRYFKESTDQHLLVQVWAPVKNGDRYVLTTSGQPFVLDHQSIGLIQYRAVSMMYMFSVDGGNVGELGLPGRVYKLKVPEWTPNVQYYSSTEYPRLNHAISYNVHGTVALPVFDPSAQSCIAVVELIMTSKKINYACEVDKVCKALEAVNLKSTEILDHPNVQICNEGRQTALVEILEILTVVCEEHKLPLAQTWVPCKYRSVLAHGGGLKKSCLSFDGSCMGEVCMSTSDVAFHVIDAHMWGFRDACVEHHLQRGQGVSGNAFITRKPCFSKDIRKFCKIKYPLVHYARMFGLAGCLAICLQSSYTGHDDYILEFFLPPDCIDEDEQNALLESIFTLMKQCLRSLKVVGDADSSWVSLQLSNVLKIENEELKTDAQFDNSDGSLNGSPEGDTHGGDHKFDNGNKKVSDMPEGHLLADDYSQDNGTSASRPNGSGASDSSVLHKTNKPTERRRGKAEKTISLEVLQQYFSGSLKNAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKQVIESVQGSDAAFNLTSITGPLPIPVGPSSDSHNVEKVTQSKVAEPSNLAVDGDRDSSLQRSLENDGHFGIHMAQQGFIDNNNDAQLEADKASHSRSSSGEGSINSRTSEGSCQGSPANRTFVCKPIASTFAEPQLNPEEFHKEPFQEPQLPLSRMLIEDSGSSKDLKNLFTPAADQPFLAPPSNLVSMKHSGTVTIKASFKEDIVRFRFPCSGSVTVLKDEVAKRLRMDVGTFDIKYLDDDHEWVKLACNADLEECMEISRNSGSHVIRLLVSDITGHFGSSCGSSG >KQL05515 pep chromosome:Setaria_italica_v2.0:V:25307112:25310058:1 gene:SETIT_002045mg transcript:KQL05515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYDREFWQFSDQLRLQAAAFSGLSLGDSIWSPAGAAADRRNSQADAGLFATPSPADGTLLAAKNNNISSHLNDGGPGLIGSGKLAFGATTTSKADRYNNLPNLPAEKAAAYNSSSSSINGGYGAKNNTFNKMGSYGGYNSNSSSNSGEVVKSYFNKSAGRPASNNSNSHGKKQGSEYGKKKHAKNEGGNAASAADKRFKTLPASEALPRGEAVGGYIFVCNNDTMDENLRRELFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVRVATRKIYDPLEEDAFRPILHHYDGPKFRLELSVTEALALLDIFADKDDA >KQL05514 pep chromosome:Setaria_italica_v2.0:V:25307112:25310058:1 gene:SETIT_002045mg transcript:KQL05514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYDREFWQFSDQLRLQAAAFSGLSLGDSIWSPAGAAADRRNSQADAGLFATPSPADGTLLAAKNNNISSHLNDGGPGLIGSGKLAFGATTTSKADRYNNLPNLPAEKAAAYNSSSSSINGGYGAKNNTFNKMGSYGGYNSNSSSNSGEVVKSYFNKSAGRPASNNSNSHGKKQGSEYGKKKHAKNEGGNAASAADKRFKTLPASEALPRGEAVGGYIFVCNNDTMDENLRRELFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEAASFGGTNIDPTAWEDKKCPGESRFPAQVLNFNRVFPNTPLSSSHSSHGG >KQL05513 pep chromosome:Setaria_italica_v2.0:V:25307683:25308628:1 gene:SETIT_002045mg transcript:KQL05513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYDREFWQFSDQLRLQAAAFSGLSLGDSIWSPAGAAADRRNSQADAGLFATPSPADGTLLAAKNNNISSHLNDGGPGLIGSGKLAFGATTTSKADRYNNLPNLPAEKAAAYNSSSSSINGGYGAKNNTFNKMGSYGGYNSNSSSNSGEVVKSYFNKSAGRPASNNSNSHGKKQGSEYGKKKHAKNEGGNAASAADKRFKTLPASEALPRGEAVGGYIFVCNNDTMDENLRRELFGLPSRYRDSVRAIRPGLPLFLYNYSTHQLHGIFEVTKPSNSICK >KQL03571 pep chromosome:Setaria_italica_v2.0:V:3207912:3208893:-1 gene:SETIT_003690mg transcript:KQL03571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGTANCVDILIAIILPPLGVFLKFGCKVEFWLCLLLTFLGYLPGIIYAIYAITKE >KQL03569 pep chromosome:Setaria_italica_v2.0:V:3204935:3208729:-1 gene:SETIT_003690mg transcript:KQL03569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGTANCVDILIAIILPPLGVFLKFGCKFEFWICLLLTFLGYLPGIIYAIYAITK >KQL03567 pep chromosome:Setaria_italica_v2.0:V:3204935:3205228:-1 gene:SETIT_003690mg transcript:KQL03567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTANCIDILIAIILPPLGVFLKFGCKFEFWICLLLTFLGYLPGIIYAIYAITK >KQL03565 pep chromosome:Setaria_italica_v2.0:V:3204297:3205434:-1 gene:SETIT_003690mg transcript:KQL03565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTANCIDILIAIILPPLGVFLKFGCKFEFWICLLLTFLGYLPGIIYAIYAITK >KQL03568 pep chromosome:Setaria_italica_v2.0:V:3204297:3208893:-1 gene:SETIT_003690mg transcript:KQL03568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGTANCVDILIAIILPPLGVFLKFGCKFEFWICLLLTFLGYLPGIIYAIYAITK >KQL03570 pep chromosome:Setaria_italica_v2.0:V:3208441:3208729:-1 gene:SETIT_003690mg transcript:KQL03570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEGTANCVDILIAIILPPLGVFLKFGCKVEFWLCLLLTFLGYLPGIIYAIYAITKE >KQL03566 pep chromosome:Setaria_italica_v2.0:V:3204297:3205434:-1 gene:SETIT_003690mg transcript:KQL03566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGTANCIDILIAIILPPLGVFLKFGCKFEFWICLLLTFLGYLPGIIYAIYAITK >KQL04835 pep chromosome:Setaria_italica_v2.0:V:12167584:12170329:1 gene:SETIT_002662mg transcript:KQL04835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAATMTMATHHPRARPRLRVCAAWDMNPGAATVAMPKPSKAKAKPPATPTTPPRPPAPNHADLFARSSEGQGEKKSTYMGFEKWWLPPPPEVKKPRSLYSAASLAYLGDCIYELYARRHFFFPPLSINEYNKRVMDVVKCESQDLLLNKLLGEDFLTQEERDILRWGRNIVSSKTRTRKRAGIAVYNRASSLETLIGYLYLTNFKRLEQLMFQLGFTSGASSQHIAEELRSSFQKTTPITTQAQQPATQ >KQL04128 pep chromosome:Setaria_italica_v2.0:V:6711272:6714827:1 gene:SETIT_004458mg transcript:KQL04128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHKFLLAALLLSLLNYASYAAKSWEEEYFLKTCSSHRCSKHHGPEIRFPFRLSTDPPSCGAPGMQLSCSGHDTILDHQVLGPCKVTAIYYRHLVMNVIPLVDSSSQCPLHKFISTNKWTDMYKPVQLDRFLDFVLVGCSVDSVATNQDDIVGPSSCLSLSNNASQFWYLVRPGTDMSTLPMGCEVVAKSIPIPYTYDKNGPKFLTFFGKILFKDRAKEAINLGETAFNWSLNSITSICQRCEQEGRHCGFSPNRGKAFCQQHGSHVKLIAATTSVATFIVLVATALYRSLKKRYNEAIHLKVEMFLKTYGTSKPTRYTFSEVKKMARRFKEKVGQGGFGSVYKAQLPNGVPVAVKMLENSTGDGEDFINEVSTIGQIHHANIVRLLGFCSEGTRRALIYEFMTNKSLEKYIFLHDSNTSQDLLVPHKMLEIATGIARGMEYLHQGCNQRILHFDIKPHNILLDYNFNPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGISYKSDVYSFGMLVLEVVSGRRNSDPGIENQTEVYLPQWIYERVIAGQDLVLNREVTEEEKEKVKQLAIVALWCIQWNPKNRPSMTKVVNMLTGRLQNLQIPPKPYV >KQL05027 pep chromosome:Setaria_italica_v2.0:V:14200580:14205103:-1 gene:SETIT_001510mg transcript:KQL05027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSGAPGGCRPEPDRAGNFQTPNDSSTQTKLAPLLLPPSSAAAPVPCLVFPVRIFARPHRRPTSLGERTRNAHGSEEGQPPHLLYLHSSRGAPSPVCRRVVVIVLMAAAAAADTSEASAAGLALAEANINWERLDKTRFHVIGAILFTAQQGALHPTAVVKTRMQVAEGGLAHMSGFAVFRRILRSDGIPGVFRGFGTSAVGALPGRVLALTSLEVSKQMTFKYSERFDMSEASRIAVANGVAGLVSSICSSAYFVPLDVICQRLMVQGLPGMATYRGPFDVINKVVRMEGIRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGNDSQTKPSESELVAVQATAGTIAGACSSIITTPVDTIKTRLQVMDNYSGRPSVMKTTRLLLDEDGWRGFYRGFGPRFLNMSLWGTSMIVTYELIKRLSVKSE >KQL05028 pep chromosome:Setaria_italica_v2.0:V:14201203:14205103:-1 gene:SETIT_001510mg transcript:KQL05028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSGAPGGCRPEPDRAGNFQTPNDSSTQTKLAPLLLPPSSAAAPVPCLVFPVRIFARPHRRPTSLGERTRNAHGSEEGQPPHLLYLHSSRGAPSPVCRRVVVIVLMAAAAAADTSEASAAGLALAEANINWERLDKTRFHVIGAILFTAQQGALHPTAVVKTRMQVAEGGLAHMSGFAVFRRILRSDGIPGVFRGFGTSAVGALPGRVLALTSLEVSKQMTFKYSERFDMSEASRIAVANGVAGLVSSICSSAYFVPLDVICQRLMVQGLPGMATYRGPFDVINKVVRMEGIRGLYRGFGITMLTQSPASALWWSAYGGAQHAIWRSLGYGNDSQTKPSESELVAVQATAGTIAGACSSIITTPVDTIKTRLQLHVISGYGQL >KQL04139 pep chromosome:Setaria_italica_v2.0:V:6794575:6801194:1 gene:SETIT_001555mg transcript:KQL04139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVNGHHKPHGEYNGSGCNGSVGSSNNFGDVDPLTEWFRKPRTVTVLLLGTGFLIWESGVLDPERSLSADRVSSVKRGVFAMIAVFLAYSFLQAPSTVLIRPHPAIWRLVHGMAVVYLVALTFLLFQTRDDARQFMKYLHPDLGVELPERSYGTDCRIYVPDHPKSRFNNVNEIIFDEFVIAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMKTVRYFDGKTYVWVGLSRQPNIISKFKRTLGQFTPAQWDKDEWYPLLGPWRFIQVLSLCIIFMAVELNTFFLKFCLWIPPRNPLIVYRLVLWWLIAIPTIREYNTYLQDRKPFKKVGSFCWLSLAICIVELLICIKFGYGLFPNSMPSWLITLWTGIALLLVLFLLVWTCKIYRTMIRKRL >KQL08280 pep chromosome:Setaria_italica_v2.0:V:44546766:44550404:1 gene:SETIT_000727mg transcript:KQL08280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGTEMAAEQEGGALPRQNGRASEPAAATRKKKPQGWKCMPFIIANETFEKAASFGVAANLTTYLVKRFNIGQLQATNVTNIFFATLNFTPLLGAFISDSYLGRFKTLACGCFATLLGILGVTLTASLPALKPELCNQTSQLGGHCNSPSTLQLGVLYLSLGLLTIGGGAIRPCSLPFGVDQFDQTDEKSRKGLNSYYNWYYSTSTAALVFSMTVLIYIQTNISWAIGFGIPTFFMFSAIIIFFAGARLYVHVPPEGSIFSGIAQVFVASFKKRRLNLSCSHDINKPELMLYNPPTRGNRIFRLPLTSQFRFLNKGAIMRDDDINDDGSARNSWELCSIQQIEEVKCLIRIAPICFSGILCFVAMAQQFTFIILQAFTMDSHLGPHFEIPAGSVVSISLIALTVFIPIYDQLMVPLARKLTGLEGGITLLQRQGVGLVISPISMVVAGLVEHKRRDSALSNGGMSPMTVLWLAPQLVLMGIAEAFIAVGQIEFYNKQFPEHMQTLAGSLLFCAIAAANYLSTALVNITRKVTARHGHTSWLTDNINNGKLDYYYYFIAILGVLNLFYFLTCSHYYQYKAMSLHVDESIKKHAKEEAATEIEIVTSAASK >KQL07883 pep chromosome:Setaria_italica_v2.0:V:42326206:42327134:1 gene:SETIT_005426mg transcript:KQL07883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPEVKSRALELAIAIGNEDGVAAAVDAFHRHLPSELPLAPTPVEEEHVDLFQWFSRALEKCCFPFNF >KQL07884 pep chromosome:Setaria_italica_v2.0:V:42324780:42327134:1 gene:SETIT_005426mg transcript:KQL07884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPEVKSRALELAIAIGNEDGVAAAVDAFHRHLPSELPLAPTPVEEEHVDLFQWFSRALEKCCFPFNF >KQL08270 pep chromosome:Setaria_italica_v2.0:V:44506168:44511069:-1 gene:SETIT_001360mg transcript:KQL08270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAFSGHVPALRRGALCARWVTAALFSSGILAGNNPILVRDFVRSALYDPNHGYFSKRAGPVGVLDASIRFNQLEGGLLSPLMCVWHLGLGRSAYMQHLDKLYKKHDIAWFTPVELFKPWYAYAIAASILRTANLSVPLKIYEIGGGSGTCAKCILDYMMLNAPPKVYNDMKYISVEISSSLAAKQLETVGEVQSHLSKFTVEHRDATNRLGWGRKDPRPCWVLMLEVLDNLPHDLVYSPDQVSPWMEVWIEKINGSSQASEVYKPLQDPLISRCVEIIGMNEEKASVSEKLAFAAKGVLSKVFPKPRRAWLPTGCLKLMDTLHQALPSMSLVASDFSYLPDVSIPGDRAPLVSSKKDGKTSDHRNYLDAQGDADIFFPTDFRLLEQIDHHCSGFSKEQKNPGAFKPVKKRRTIILDTAAFMEEFGLPLKTRTKDGYNPLLDDFKNTKFYLSVPTHNSK >KQL06878 pep chromosome:Setaria_italica_v2.0:V:36222201:36222437:-1 gene:SETIT_003652mg transcript:KQL06878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPELSSNVDFNDGAGDRRRGGCGSAGTEATRKTPNVRRWFLQRKENTAIHSWIDIFESEIEI >KQL05656 pep chromosome:Setaria_italica_v2.0:V:26761737:26765714:1 gene:SETIT_001756mg transcript:KQL05656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKESYSKYADTPPEEFIQLLYRTREFTLEFLERITSNFSMENIVGRGGFGVIYKGVLDNGEEVAVKKLHPMAWIGDRQLFKNELNNLMKVQHKNIVRLVGYCNHTTQILVEYNGKLVAASVEQRALCLEYLQGLSLDKHLSDEACRLDWDTCYKIIKGICEGLHYLHNGSDHPIYHLDLKPENILLDKDMMPKIGDFGLSRLFDSVETYITQSENVTGTRGYMPPEYIHRQTISPKFDVFSLGVIIIRMMAGKKGYFDCVDTPPEEFIKLVHGNWRKRLQATTMSSYYASQEVRTCIEIALRCVDHDRAKRPTIAQIVNELSNIGTAKSSAAGQERVIMKRTSMIAVFIVNSNLRRGGPRYCSLTSNIGCPS >KQL05655 pep chromosome:Setaria_italica_v2.0:V:26761737:26766297:1 gene:SETIT_001756mg transcript:KQL05655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKESYSKYADTPPEEFIQLLYRTREFTLEFLERITSNFSMENIVGRGGFGVIYKGVLDNGEEVAVKKLHPMAWIGDRQLFKNELNNLMKVQHKNIVRLVGYCNHTTQILVEYNGKLVAASVEQRALCLEYLQGLSLDKHLSDEACRLDWDTCYKIIKGICEGLHYLHNGSDHPIYHLDLKPENILLDKDMMPKIGDFGLSRLFDSVETYITQSENVTGTRGYMPPEYIHRQTISPKFDVFSLGVIIIRMMAGKKGYFDCVDTPPEEFIKLVHGNWRKRLQATTMSSYYASQEVRTCIEIALRCVDHDRAKRPTIAQIVNELSNIGTAKSSAAGQTAWRASLLFTHFKYWLPLLVALVAIFLAWPRLCPESWCRET >KQL05657 pep chromosome:Setaria_italica_v2.0:V:26761737:26766297:1 gene:SETIT_001756mg transcript:KQL05657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKESYSKYADTPPEEFIQLLYRTREFTLEFLERITSNFSMENIVGRGGFGVIYKGVLDNGEEVAVKKLHPMAWIGDRQLFKNELNNLMKVQHKNIVRLVGYCNHTTQILVEYNGKLVAASVEQRALCLEYLQGLSLDKHLSDEACRLDWDTCYKIIKGICEGLHYLHNGSDHPIYHLDLKPENILLDKDMMPKIGDFGLSRLFDSVETYITQSENVTGTRGYMPPEYIHRQTISPKFDVFSLGVIIIRMMAGKKGYFDCVDTPPEEFIKLVHGNWRKRLQATTMSSYYASQEVRTCIEIALRCVDHDRAKRPTIAQIVNELSNIGTAKSSAAGQSNHEEDIHDSCVHSEFKFTAWRASLLFTHFKYWLPLLVALVAIFLAWPRLCPESWCRET >KQL06960 pep chromosome:Setaria_italica_v2.0:V:36731105:36735102:1 gene:SETIT_002224mg transcript:KQL06960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTASLELQYSTESTVAHKKQRRACVSWAATTKETKSQHKQHAMVMEEAMDSEMSLSNMVLGFFEDFERERWPENDDDDEGSSGGDTAESKAFWRTQHSQLHEALSKTSPAESRIRADTEAAIKSMRAAAAAGTACSCTGRPAAGGDCRRCMLRRVAERLRDAGYNSALCTSKWTRSPDIPSGEHSYVDVVVQTRSGKAVRVVVELSFRAEFEVARAGAEYRALVAALPEVFVGRADRLRAVVKAMCAAAKQCMKENNMHMGPWRKHKYMQAKWLGTPERTAAVAAAAAAPPVAPPVVVGSPEKQTKFRASMLTFDFGRTAVEVV >KQL07974 pep chromosome:Setaria_italica_v2.0:V:42902419:42905762:1 gene:SETIT_001388mg transcript:KQL07974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLGRGPLLSCITWSLVGFGLFVCFLSFKNQADGGQRQTRTYFSDLPATRELEDIEEDHFHLPPPHKVNPRAVKRRGPRKKLKVIDDYLEESSAVHALFFPDQRTAVDPTKGGNDTMYFYPGRVWLDTDGNAIQAHGGGVMYDDKTAKFYWYGENKDGPTYQAHPKGAQRVDIIGVSCYSSKDLWSWTHEGIVLPGEPTNVTHDLHKSKVLERPKVIYNDHTGKYIMWMHIDDANYTKASVGVAVSNSPTGPFTYLYSFRPHGFESRDMTVFKDDDGTAYLFYSSRGNTELHISPLTEDYLHITAAMKRILIRRFREAPAVFKHQETYYMITSGCSGWAPNRALAHAADSIMGPWETLGNPCVGGNRFYRLTTFLSQSTFVLPLPGLPGTFIFMADRWSPSNLRDSRYVWLPLFIGGLADEPLDYSFGFPLWSRVSIYWHKKWRLPEAWRVGYT >KQL08149 pep chromosome:Setaria_italica_v2.0:V:43808128:43814313:1 gene:SETIT_001724mg transcript:KQL08149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEVECSVCHAKVPVPPAVSKAYDSHRSTVSSRQRALNVLLVSGDCVLAGLQPILVYMSKVDGKFKFSPISVNFLTEITKIIFAIIMLFIQARRLKMGDKPLLTVSTFMQAARNNVLLAVPAFLYAINNYLKFIMQLYFNPASVKMLSNLKVLVIAVLLKIIMKRRFSTIQWEALALLLIGISVNQLKSLPEGSSALGLPVAAGAYLYTFFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLGISIVIISMHQYLSNQIKDEVPSSKIEMAEAHDHSRVKESVYVNVTDSVASEAKHRHGSDERQPLLPV >KQL08148 pep chromosome:Setaria_italica_v2.0:V:43808128:43814313:1 gene:SETIT_001724mg transcript:KQL08148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEVECSVCHAKVPVPPAVSKAYDSHRSTVSSRQRALNVLLVSGDCVLAGLQPILVYMSKVDGKFKFSPISVNFLTEITKIIFAIIMLFIQARRLKMGDKPLLTVSTFMQAARNNVLLAVPAFLYAINNYLKFIMQLYFNPASVKMLSNLKVLVIAVLLKIIMKRRFSTIQWEALALLLIGISVNQLKSLPEGSSALGLPVAAGAYLYTFFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLGISIVIISMHQYLSNQIKDEVPSSKIEMAEAHDHRVKESVYVNVTDSVASEAKHRHGSDERQPLLPV >KQL08150 pep chromosome:Setaria_italica_v2.0:V:43808128:43814313:1 gene:SETIT_001724mg transcript:KQL08150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEVECSVCHAKVPVPPAVSKAYDSHRSTVSSRQRALNVLLVSGDCVLAGLQPILVYMSKVDGKFKFSPISVNFLTEITKIIFAIIMLFIQARRLKMGDKPLLTVSTFMQAARNNVLLAVPAFLYAINNYLKFIMQLYFNPASVKMLSNLKVLVIAVLLKIIMKRRFSTIQWEALALLLIGISVNQLKSLPEGSSALGLPVAAGAYLYTFFFVTVPALASVYNEKALKSQFDTSIYLQNLFLYGYGAIFNFLGLVITAIIQGPSSFNILEGHSKATMFLICNNAAQGILSSFFFKYADTILKKYSSTIATIFTGVASAVLFGHTLTINFVLGISIVIISMHQYLSNQIKDEVPSSKIEMAEAHDHSRVKESVYVNVTDSVASEAKHRHGSDERQPLLPV >KQL07206 pep chromosome:Setaria_italica_v2.0:V:38350591:38354727:1 gene:SETIT_000255mg transcript:KQL07206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRVPILRRVSSALSTALLEWILMLLLFIDAVYSFLVTRFARFCRLPAPCPFCSRLDHVLGNEKPCFYRELICKTHKSEISSLAFCHLHQKLASAQSLCEGCCEKTSDDEIADEPVMDVNELDSNQRNDVVRNSNPTRICSCCGQHFKQRSVPLAYRKIAELEHTEAVGSPKIYTDYSVAGQVHESLEPRDIYHQSDYTSHERDSLLQMTSDSEVEVPCAHDVKSSHSCEASAVEEDLQEDAACEQPVLPSPEAIKESERKVEAELNVTDTHDTSSTCPGTDGHPDSRTDGDRMEEKESLLTKWASQHVPILVKEDSCLKDADISQLPAAASDELPQTRGETEPSQSTNEGNTDPFRSQFTILEEHYAVSGERNINDNLELVHGPENTGRSSDDRGDICVSQAGADFETTGEVEGCIKKIEPIGDMGTHKLVVQDPSDKDCADEPHIPAAAVKSGGEVSQDHGTIEGYPKTSEAIVERRPSLSTQISMNEAYRLAIGSKSSLPSPTLTDVILGKDSTSSINEELRLLLSQLSASRGLEAPWVDPGPSPRAYGRGDELVVQNITKRISLERDASGLESLDGSIVSEMEGESAIDRLRRQVDLDRKSIHLLCRELEEERNASAIAASQALAMITKLQDEKAAMQMEASHYQRMMEEQAEYDSDALAKANELLAEREQQIEELEVELENYRRQYGGEPIEEQAKTPFKQENAVIAFLEEGGLEVPMINTPRGTNSLVSFEEERAYIASSLKKLEQKLQSYSNSSTSDDLSTSDAIEDDLSNEASVAEDSWLNRQDSSRETEHTSSGKGDSSSVLNGEVDLTTVQKEIASLNRRLKTLEGDRNFLEHSINSLRNGTEGLVFIQEIACNLRELRAIATDKKDSLLQHVQ >KQL05269 pep chromosome:Setaria_italica_v2.0:V:19725665:19732955:-1 gene:SETIT_001824mg transcript:KQL05269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTIHILQIRDSGNLVEVRRIGAFCREDDELFLHSHVQTGFGGSFLPGIKQRLLSYIFRKTWNEVPDETLHLKKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIISLYQNSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDIHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVRSPNVVRFKIKPGSDSGASDSRAKRISSFLFHPFFPFALSIQQTYMQPTVVNIHFRR >KQL05268 pep chromosome:Setaria_italica_v2.0:V:19726272:19732652:-1 gene:SETIT_001824mg transcript:KQL05268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGHQFGLFATSTAQSNDSTATEGAIHGVPSIEKITFYLVRLEDGVILDEKAFCNDFINLAHSIGAYLYEDLLCIVSLRYQTIHILQIRDSGNLVEVRRIGAFCREDDELFLHSHVQTGFGGSFLPGIKQRLLSYIFRKTWNEVPDETLRVQHLKKKFYFHFQDYVDLIIWKVQFLDRHHLFIKFGSVDGGVSRSTDQNLAFFAVYNMETTDIISLYQNSSEELYSLFEQFYDHFHANPQDSSHGKFISSHSNDIHALDQLRTIKNKASSSSQFVKKMMASLPYTCQSQSPSPYFDLSLFRYDEKLISAIDRHRHCTEHPIKFISVRSPNVVRFKIKPGSDSGASDSRAKRISSFLFHPFFPFALSIQQTYMQPTVVNIHFRR >KQL07336 pep chromosome:Setaria_italica_v2.0:V:39188294:39190083:-1 gene:SETIT_003933mg transcript:KQL07336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRVPSRAQILSRQVKRRPSDLVDPPHPSSIKPSWGLKKARTASSGTTDWANLGDGPAGLIAELVLAKDVADYVRFRAVCRPWRRCSLDPRSQGCLDGRYHPRQWIMLDKAHAGPRLRRFLNISSGECIRMDLPALEEHTLLSLTPEGLLLLLHEATLAVRLLNPLTQQVTDLPPLTALLTTELQLARRFGWRLGGSISVCGAGVVADASAVAVSFCSPMELVVAKPGDERWTSVDNGFFRSTMTIAGRFYCATRSGVMVLDGSSDQQQPPSLLTAVDWSGSLCFYRMKDSLHLVDNGGDLMLVHRMLRRRRLDDERYARKYEAYKVDVDAGVLIPAKSFGGRAVFMGMYRTLSLSRKTFPHVAADTLYLGSDCLDRTVSYNLADGSSWERWDRDTDATTNQVEAMRAPNIVDCLSYCIGGTGNELA >KQL03492 pep chromosome:Setaria_italica_v2.0:V:2708497:2714711:1 gene:SETIT_000737mg transcript:KQL03492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTVEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDAKQLISFARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNEALREAGAIVPTSYEALESAIKETFEKLVEDGKISPVAEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVMNVDGAIGSLFLDLLSGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KQL03491 pep chromosome:Setaria_italica_v2.0:V:2710163:2714711:1 gene:SETIT_000737mg transcript:KQL03491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQLFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSDGFQKLFFGQEEIAIPVHPTVEAACNAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDAKQLISFARANNKVIIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNEMYNTIARVTDGIYEGIAIGGDVFPGSTLSDHILRFNNIPQVKMMVVLGELGGKDEYSLVEALKQGKVQKPVVAWVSGTCARLFKSEVQFGHAGAKSGGELESAQAKNEALREAGAIVPTSYEALESAIKETFEKLVEDGKISPVAEITPPPIPEDLKTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSTIIEQGYGVGDVISLLWFKRSLPRYCTQFIEICIMLCADHGPCVSGAHNSIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDACDRGLTPYEFVEGMKKKGIRVPGIGHRIKSRDNRDKRVQLLQKYAHTHFPSVKYMEYAVQVETYTLSKANNLVMNVDGAIGSLFLDLLSGSGMFTKQEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >KQL07450 pep chromosome:Setaria_italica_v2.0:V:39787082:39791954:1 gene:SETIT_000852mg transcript:KQL07450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQQPNITAAEHRRASRGSRRCVVDGEEEAAAVDGASAATQRRFCGVVVGLQSCCHVEHPVWRDYSAKRPSSQQNQIRLQQPPRPYRRCSYIPFLPVSSSRPHRCSDSSGGAPSALVHSGPATRPRPSSIRGPAPSTLTRRPTTAWGGSGKQHGSSAPCRPAHRPSRRAPTTPQVDISSAQGMTMILLARSLRSSLRPPLAAGFSSAPTAASSSAAAAEAERSIRDGPRNDWSRPEIQAVYDSPLLDLLFHGAQVHRNVHKFREVQQCTLLSIKTGGCSEDCSYCPQSSRYNTGLKAQKLMNKDDVLEAAKKAKEAGSTRFCMGAAWRETIGRKTNFNQILGYVKEIRGMGMEVCCTLGMIEKQQAEELKKAGLTAYNHNLDTSREYYPNIITTRSYDDRLQTLQHVREAGISICSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLEDQKPVEIWEMIRMVATARIVMPKAMVRLSAGRVRFSMPEQALCFLAGANSIFAGEKLLTTANNDFDADKAMFKILGLIPKAPSFSDEEAAAPDSDTERPEQAASM >KQL05151 pep chromosome:Setaria_italica_v2.0:V:16545901:16552369:1 gene:SETIT_000869mg transcript:KQL05151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGRAGASPPAAPRRKRGKEKASPQPGAAAAAAEAGSPSAGGDGRPRRRLGGRKAAGPRQGCVPAAAAAEQLAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYMQQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRETFKDFPASALPLVETLLAIDPAERQTATAALHSDFFSTEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEAKRTRPRDRSHRAGPAPEANAEIQANLDQRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRHMEPMFEHQDASFSTVVPIEKGTSQTWSGPLFDPSALGQSRRKKQTTLDAKAAAYSKQLQKEKGGIRAR >KQL05148 pep chromosome:Setaria_italica_v2.0:V:16545901:16549119:1 gene:SETIT_000869mg transcript:KQL05148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGRAGASPPAAPRRKRGKEKASPQPGAAAAAAEAGSPSAGGDGRPRRRLGGRKAAGPRQGCVPAAAAAEQLAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYMQQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRETFKDFPASALPLVETLLAIDPAERQTATAALHSDVFFFNRALCL >KQL05149 pep chromosome:Setaria_italica_v2.0:V:16545901:16552369:1 gene:SETIT_000869mg transcript:KQL05149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGRAGASPPAAPRRKRGKEKASPQPGAAAAAAEAGSPSAGGDGRPRRRLGGRKAAGPRQGCVPAAAAAEQLAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYMQQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRETFKDFPASALPLVETLLAIDPAERQTATAALHSDFANISTKQGDGCQVER >KQL05150 pep chromosome:Setaria_italica_v2.0:V:16545901:16552369:1 gene:SETIT_000869mg transcript:KQL05150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVFGRAGASPPAAPRRKRGKEKASPQPGAAAAAAEAGSPSAGGDGRPRRRLGGRKAAGPRQGCVPAAAAAEQLAAGWPPWLVAVAGEALRGWAPRRADTFEKLNKIGSGTYSNVYRARDTVSGRIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPDVKFTLPQIKCYMQQLLSGLEHCHDNNVLHRDIKGSNLLLDNNGILKIADFGLATFFDPRHKRPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLYGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCIRETFKDFPASALPLVETLLAIDPAERQTATAALHSDFFSTEPYACDPSSLPTYPPSKEMDAKLRDEEARRLRAAAKAKGEAKRTRPRDRSHRAGPAPEANAEIQANLDRRRMITHANAKSKSEKFPPPHQDGAMGNPLGSCRHMEPMFEHQDASFSTVVPIEKGTSQTWSGPLFDPSALGQSRRKKQTTLDAKAAAYSKQLQKEKGGIRAR >KQL05659 pep chromosome:Setaria_italica_v2.0:V:26784238:26803655:-1 gene:SETIT_000088mg transcript:KQL05659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSRIFEYFVVCGLGPEIRALDGAKGFHGVEDMYMPAFLEQFPPSNHALYPPPPPQLPTCVLPAGVRIYSSGLDTDDISTYPRSYPIVLTEGDGSKIYVSCIAFRDPICEDIIEAYQIPANSFADKCICLVSHSPSFQVLRDALEEIFVLCFSPAGCSKPLWDIISHMVSHVTLPTPGKNRVLFSIENCLLSAEAPPKDWLPHADISFQPLVQCLDVDKLILLFTAVLLERRILLRSNKYTLLTLVSEAICHLIYPIRWQHVYIPIIFSSGVDYIDAPTPYMMGLHSGVDTSAVTMDGVVVVDLEYNRITTTEEIPPIPETEHSFLRGEILKLLQPNVMGIDYMKINLGSMSDHSLRSGTKPWGQEHDFQLRLIFLRFFAQILSGYRNFIDTASTTGFNSQAFLKKRSRATNQPVESMSMIMQFLETQGFLDYLERCNSAEENANNLLDKLQDATGRGQNPLSIFPSEAADPEIVTIADPETEGSEPGNRHCYKRFPANARTEEQEEKRKQILALASGASKQVPSSPSIRVNGASKAESLSPRERAAERERMVLDIKVKLQGLWLRLLRLGATEDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWQCRLTDEQFIAVKELLKTAITRANSRNDLATIRDALEVSAEMYRKDPNNVQDYVQRHLLSLSVWEELRFWDGYFEYLMENCSNKSANYVTLVTAQLIVMATHMAGLGLPDIDSWNMIEKIAERNNLGYKQLIKLRALLTHLQQLRIGYWGVATGKSQPLQSYGMASPHAIDVSDESQQPAEASGLGRSWVHSMFSRDRSLRTSSFNRANDASTVSTTGKTDMSAPQKKTQTNMRTLRGHTGAITALHCVTRKEVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGSELRATLKGHTRTIRTISSDRGKIVSGADDQSVIVWDKQTFNLLEELKGHEAPVSSVRMLSGERVLTASHDGTVKMWDVRTDTCVATVGRCQSAVLCMEYDDSTGILAAAGRDVMAHVWDIRSSKQMFKLQGHTKWIRSMRMTGETIITGSDDWTARVWSLNRGTCDAVLACHAGPILCVEYSPSDKGIITGSSDGLIRFWENEGGIKCVKNLTLHTASVLSISAGDHWLGIGAADNSMSLFHRPQERFGGFSNAGSKVAGWQLYRTPQKTAAVVRCIASDLDRKRICSGGRNGLLRLWDATTSI >KQL04834 pep chromosome:Setaria_italica_v2.0:V:12162286:12167324:-1 gene:SETIT_001187mg transcript:KQL04834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFDGRAADPGSYRDRRSEGAFGGGSRAFAAPSKADASAAELDGLPRFEKNFYVESPAVAGMTEDEVEAYRRRREITVEGRDVPKPVREFRDVGFPEYVLQEITKAGFVEPTPIQSQGWPMALRGRDLIGIAETGSGKTLAYLLPAIVHVNAQPILAPGDGPIVLVLAPTRELAVQIQQEATKFGASSKIKSTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMIESHHTNLRRVTYLVLDEADRMLDMGFEPQIKKIVSQIRPDRQTLYWSATWPKEVEQLARNFLFDPYKVTIGSEELKANHAIVQHVEILSESQKYNKLVNLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKSGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAANARFAKELINILEEAGQKVSSELAAMGRGAPPPSSGYRDRYRGYGGGRSWS >KQL07489 pep chromosome:Setaria_italica_v2.0:V:40000956:40002275:-1 gene:SETIT_003827mg transcript:KQL07489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVRRPSAHPAASPYTDGWLSRRYRIAFASDVGGGAPPTNLPDDILFGIFSRVSGAADVSRCAATCRHWGRVRVVAARAAAISRALPPPARFLPRLALGFFHQQQNGVSHSVRVGAQLRFIPMASTSLLLGPSGGGARLIHEGGALFEHARPIASRNGRLVLELRREARADGLALCVWNPMTGDAAVLPTLSGKDSPGDYACAILTGDDLDGVPPRSTDFFRLLLVYNRRAAPQHCGPTRRTPAAGGQKATIPARPSWSAAWPTFGVRLDDGTMAAAATMDVCSVPYALKNCKLDKHVLGVSPDGRLRFVATGFVARRLLVISSLISQLQGSVHYDSTAGDISIAVPQIEVTRTNWNTIKLRWFGEKTGTLIFTVGETVSTCAIFALNLASRSLEKLPHGVSYHACRNLCGYEMDRAALLAWLAPQ >KQL03723 pep chromosome:Setaria_italica_v2.0:V:4282570:4288201:-1 gene:SETIT_002436mg transcript:KQL03723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGVSGFQNAPVTRAVVVASGLLSVVFSVQRRARALGISYQDIITNFRLWKIFPAVFAFQSTPELMFGLYLLYYFRVFERQIGSNKYSVFCLFTITVSSLLEILSLVILKDTNYISTLASGPYGLIFASFVPFFLDIPVTSRFRIFGVNFSDKSFIYLAGLQLLLSSWKRSLIPGIFGLVAGSLYRLNVLGIRKMKIPQVISSFFARYFAPSPGSTSRPSRSLVGNTPSQTGRSVQNQSSTGFAPIVEPPESSVAMLVSMGFDGNDARQALMRARNDINVATNILLEAQSR >KQL03955 pep chromosome:Setaria_italica_v2.0:V:5575573:5578637:1 gene:SETIT_001473mg transcript:KQL03955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGIEGGDYGAFMEKFELLPPQSQQQEELPLHGLTFAIKDIFDISGRVTGFGNPDGARTHAPAAATSPVVLATLAAGATSVGKTVMDEMAYSINGENAHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFALGTDTGGSVRVPAAYCGIFGLRPSHGVVSTENVTPMSQMFDTVGWFARDLSTLSRVSDVLLPLPADNNIKQPTQFTIPKDCFEILGSLKDQTYQILNASVAKRFGDAVDNRNLGEFVSNNVPTIGKFISDFSKNEAPSVPALSVISYVMRCLQRSEFKANHAEWINTVKPNLGPGIRERVHEAITSEDGPMEDFHALRTEFKSALAALVKDDGILAIPTVPGSPPKLRMEAAALENFRARAFSLLSIAGLSGFCQLSIPLGVRDGVPVSVSLVARHGADRFLLSVAQELYETLKEETKKAWSSMGSSL >KQL03954 pep chromosome:Setaria_italica_v2.0:V:5575573:5578637:1 gene:SETIT_001473mg transcript:KQL03954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGIEGGDYGAFMEKFELLPPQSQQQEELPLHGLTFAIKDIFDISGRVTGFGNPDGARTHAPAAATSPVVLATLAAGATSVGKTVMDEMAYSINGENAHYGTPTNPCAPDRVPGGSSSGSAVAVAAKLVDFALGTDTGGSVRVPAAYCGIFGLRPSHGVVSTENVTPMSQMFDTVGWFARDLSTLSRVSDVLLPLPADNNIKQPTQFTIPKDCFEILGSLKDQTYQILNASVAKRFGSDAVDNRNLGEFVSNNVPTIGKFISDFSKNEAPSVPALSVISYVMRCLQRSEFKANHAEWINTVKPNLGPGIRERVHEAITSEDGPMEDFHALRTEFKSALAALVKDDGILAIPTVPGSPPKLRMEAAALENFRARAFSLLSIAGLSGFCQLSIPLGVRDGVPVSVSLVARHGADRFLLSVAQELYETLKEETKKAWSSMGSSL >KQL03957 pep chromosome:Setaria_italica_v2.0:V:5584550:5587519:1 gene:SETIT_003832mg transcript:KQL03957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMLLGSAQGAVGSLLGRLTSALIDEAQQLSGVRSDVQFIKDEMESMHGFLLHFAEATGDGGDEDHRVRAWMKQVAEVAYASQNCVDLYVQSLGAGASAGSYLRRLPRLLWTLPARHRIARQIRELKVRAREVGERRIRYGVKAPKEQKPAKASSSLAAGDDEDKELEDARRRASAEFKPAAPLLEQLSRDVWKKTTLQTEEDAEAAAPRPKVIAILGDPDSRTNFAKKAKTWEDWDCMVWIEVGPFCTPSRLLRSILKKLSAPVPDQLEAWGHEKLVEKIRLHLKDKRFLVVLDDFWDRDGTHLWDCVKSCFPPGDCTPGSKIIITTGYLPQAKSLVPSEVYDVSSLQSDHRKELIYSYLEEAMGLVNSNNQNRYDLCDVLRDIISILVDAIPTCNFFLHVLYGNPNRTIDEFQRLLRDKLGSSPNKAKQVLKFAYDGLSSNCKSCLLHLSIFPLKTTFGQTGYAAHSRTRLAIFKRARLVRRWVAEGRVKKRGRLMSAMDEADHCFDVLAAHRFVIPKDTDATGKVKSCVMNDFIHDLIAEIAREETRDNIKLPPDLAHRLSISHEFQLAQAVQQVHATRSNTATMFLELLPSSTNLRGLEVLDLEDCKELTDHHLKNICNHVVKLKYLSIRNTDITKLPKKIGKLQFLETLDIRQTEVRAFAKRYTVLPKLKHLLAEKPFFTVQMPHCIGAMTELQVLTHIAVSKNASELTGICNLIHLRKLEVVLQDPEGRAFMHLYHAIGNLTRSLVSLSIRIIANNVNADMGMEEILLIPPKYLQKLEISGLINGLPPWVEKLKELTKITLHKTPLSPVDIKILGMLTSLRYLRLQEKSSSERTLAFSKDGFQSLVFLKIVWSSSIDQLSLSGIEHLRNIREVNLKGNFDLGRVERALDANKNKPILKANRT >KQL04230 pep chromosome:Setaria_italica_v2.0:V:7392362:7396810:-1 gene:SETIT_000790mg transcript:KQL04230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDDVAGASSSSSMAAAGSSDPSHGWQTVSYPKRNRKQAQAPRAAAPDLALQANGGKAGVFDAVEKRSQERHRALQQQLASRAADLDGARIAAATGFAADSDDEDDSDEAAAPRQEGEPKKPKKPKVKKPKVTVAEAAALIDAESLAAHLIEISGSYENQQDIQLMRFADYFGRAFVTVSAAQFPWAKMFKESPVSKMVDIPLCHIPEPVIKTASDWISQRSSDALGDFVLWCIDSIMSELSGPAAGTKGSKKAVQQSPRAQVAIFVVLAMTLRRKPEVLTNIMPKIMGNNKYLGQEKLPIIVWVIAQASQGDLVTGMFCWAHSLFPTLCAKSSGNPQARDLVLQLLERILSVPKARSILLNGAVRKGERLVPPVSFDLFMRATFPVSNARIKATERFEAAYPTVKELALAGPPGSKTVKQASQQLLPLCTKAMQDKNAELTREAVDVFVWCLTQNTESYKQWERIYAENIEASVAVLSKIVIDWKDVSPKLNGEALKATVKNLKAKNDAALETATDAGKQASIKEADKHCKVVLGRLTRGATCLKSSLVVIALAVAAGFMLSPDMDLQSELEKLQAMVSSHLSF >KQL04231 pep chromosome:Setaria_italica_v2.0:V:7391939:7396924:-1 gene:SETIT_000790mg transcript:KQL04231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDDVAGASSSSSMAAAGSSDPSHGWQTVSYPKRNRKQAQAPRAAAPDLALQANGGKAGVFDAVEKRSQERHRALQQQLASRAADLDGARIAAATGFAADSDDEDDSDEAAAPRQEGEPKKPKKPKVKKPKVTVAEAAALIDAESLAAHLIEISGSYENQQDIQLMRFADYFGRAFVTVSAAQFPWAKMFKESPVSKMVDIPLCHIPEPVIKTASDWISQRSSDALGDFVLWCIDSIMSELSGPAAGTKGSKKAVQQSPRAQVAIFVVLAMTLRRKPEASQGDLVTGMFCWAHSLFPTLCAKSSGNPQARDLVLQLLERILSVPKARSILLNGAVRKGERLVPPVSFDLFMRATFPVSNARIKATERFEAAYPTVKELALAGPPGSKTVKQASQQLLPLCTKAMQDKNAELTREAVDVFVWCLTQNTESYKQWERIYAENIEASVAVLSKIVIDWKDVSPKLNGEALKATVKNLKAKNDAALETATDAGKQASIKEADKHCKVVLGRLTRGATCLKSSLVVIALAVAAGFMLSPDMDLQSELEKLQAMVSSHLSF >KQL05692 pep chromosome:Setaria_italica_v2.0:V:27034651:27035388:1 gene:SETIT_004439mg transcript:KQL05692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDERKRTKLRKSLQLYLSRTLKKIPPMHIPSSAIPANIAGARLLSTCRFPRTASVDMDGGVITAATTAADNESGKEQAATLSDVDRFLFDNFRSLYIHDNNNKDPCFPSSSPGTSTSLADETQPTAETLSSSESVAEDINKEACRAGEESGDNTAIVVFSMDPYTDFRRSMGNMIKMHHGRISQPLDWDFLEELLFYYLQLNDQAVHKHILKAFADLTAGTHQKGSSAPGKAQWADKSVRSRKR >KQL03507 pep chromosome:Setaria_italica_v2.0:V:2782025:2784746:-1 gene:SETIT_001778mg transcript:KQL03507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDCVPPVAMVLVQLGFAGMNVVSKLALDAGMSPYVLIAYRNLIAAAFIAPIAYLLESRSGAKITKKVLLQIFISSIFGATLNQVLYFVGLKSTTPTVACALSNTLPALTFVMAAALKMETVRPGTPAGQAKLVGTAVCVGGSMIIPFYKGPVLRLWESPIHWRFAEHTSAASAPAAAAAGGHSGAILGDVLIIASCAAWAVWFVMQTRMAEDFSAPYTSTAIMCLMAGAQCAGVSAAMDRSLDVWKLGFDIRLYSVLYIGVVGSGIGFAIMSWCIQVRGPLYVSMFSPLLMVVVAIVGWAILGEKIRVGSAIGSVLIVAGLYMVLWGKGREMDKPGGLDNDKGDEEAGMGLGLKGKPAVASNRVDAVTPLPPVFSATSPNKDTGPRNGSN >KQL04027 pep chromosome:Setaria_italica_v2.0:V:5977333:5979457:-1 gene:SETIT_003330mg transcript:KQL04027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVLRAAVDRAIRRQAVTLTDAAASRIRQLLSLRQRPYLRLGVKARGCNGLSYTLNYADEKGKFDELVEEKGVKVLIDPKALMHVIGTKMDYVDDPLKSEFVFINPNSKGECGCGESFMTTSSKGSTS >KQL03175 pep chromosome:Setaria_italica_v2.0:V:194550:196417:-1 gene:SETIT_003121mg transcript:KQL03175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVAKLGTLALRTLSKPIASRLKNQAAVHPKFRNFIVAIAQINHRITTKIQRRIYGHATDVEIRPLDEQKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARKQELEELKQREDSLAKELEDLKLKLNEIERLAKGRGLTGILNLKGVHGAEGGKAATPA >KQL03176 pep chromosome:Setaria_italica_v2.0:V:195185:196296:-1 gene:SETIT_003121mg transcript:KQL03176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVAKLGTLALRTLSKPIASRLKNQAAVHPKFRNFIVAIAQINHRITTKIQRRIYGHATDVEIRPLDEQKAVQAATDLIGEAFIFSVAVAALIFEVQRSARSEARKEEARKQELEVMSFT >KQL03442 pep chromosome:Setaria_italica_v2.0:V:2407349:2408565:-1 gene:SETIT_004055mg transcript:KQL03442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAESETPRITELHVRMDCHGCEHKIRKTLRAIDGISEVYIDQANHKITVVGMADPERIVKAIRKTKRVPTIFSHTDPSAEAQPPPAEGEASPPADPPADAPPAEAAPSEPTPETKEVAKEAPPAEPPAMDAIVMNKMHDYPYGDGHHLYREHWVNHPMDMHGVRYDAAPYHVTHSYSYHSTSPYIAEYGYGGSPDQEGRSYSYNYYPGRGKGDGSQITSMFSDENPNACSIV >KQL06211 pep chromosome:Setaria_italica_v2.0:V:31735984:31736496:-1 gene:SETIT_004777mg transcript:KQL06211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARWHPSLLVELPAEVAIEIASHLTATLEWPMEDLRNLRANCSAMRHVCGDRTGRRVALERFAIEMQWNDGDGYDSLLTHLTQASNPVACFLTEMEVIFEENRSPRPCLDELARTAAGRNNVAAYVAALFLYRANSGVGDDDTAMWYIRQVEGEEESGAAADQQRRHRC >KQL06340 pep chromosome:Setaria_italica_v2.0:V:32705180:32706603:1 gene:SETIT_005610mg transcript:KQL06340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTYDIALMDQDFLSQIPWHYAIIDEAQRLKNPSSVSGHFIYVVLLNSGFDWFLTVTAAFATKHLLVLLLSYTGCTSPRICALCDVFSFDSVVARKLRLSVLFGKRNIFTFERCIGRA >KQL06341 pep chromosome:Setaria_italica_v2.0:V:32705180:32708877:1 gene:SETIT_005610mg transcript:KQL06341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTYDIALMDQDFLSQIPWHYAIIDEAQRLKNPSSVLYNVLEQRFIMPRRLLLTGTPIQNNLSELWALMHFCLPSIFGKLDEFLSTFKEAGDSLTASFKRHSKLRSILTAGVRLLPWSGWFRRP >KQL04590 pep chromosome:Setaria_italica_v2.0:V:10117072:10121409:-1 gene:SETIT_001549mg transcript:KQL04590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRKVPIIASLVPFLLLLLLVGLASARKDGGGGRARARVPFRAGDERDAYRRIMARMARMEKDCNKTIQSPDGDVIHCVPLHRQPAFDHPKLRGQKPEDEPAVRPMTKGGGGAAGEEEEESVFFRQAWSDGGERCPEGTVPIRRTTAQDVLRSGSARRFGMKPRASNVRRDSTSSGHEHAVGYVTGDQFYGAKASLNVWSAKVASAAEFSLSQIWVISGSFGNDLNTIEAGWQVSPELYGDSNPRFFTYWTTDAYQATGCYNLHCSGFVQTNSRIAIGAAISPTSVYNGRQFDISLLIWKDPHRGNWWLQLGSGPLVGYWPSFLFTHLGGHANMVQFGGEVVNSRPSGSHTPTQMGSGHFPREGFNRAAYFRNVQVVDGDNSLVPAATLRLVADHPGCYDIRGGYNRAWGNYFYYGGPGRNVHCP >KQL04928 pep chromosome:Setaria_italica_v2.0:V:13114653:13116905:-1 gene:SETIT_002030mg transcript:KQL04928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLDKGELEQIALPAAQPPVADVRSVDLSAAAGPAREAAARALVAACEEHGFFRVTGHGVPVELVRSAEAAAAGFFALPQGVKEEEAPTLGYGSKQIGGNGDLGWIEYLLLGVTPAGAVPVATSASSSTLPCAAAAAAAAAASWSTSTPAGPLRDLLDEYTVAVRRMACAVLELMEEGLGLGGGGALARLVTNEDSDCVLRVNHYPPRPAAPELAAGAPPNLTGFGEHTDPQIISVLRSNGTSGLEIALRGGAWASVPPDGDAFFVNVGDTLQVLTNGRFRSVRHRVVVNSERSRVSMIFFGGPPPGERLAPLPQLLGDGGRSRYLEFTWREFKTSGCRGRLAEDRLSRFENN >KQL08327 pep chromosome:Setaria_italica_v2.0:V:44815597:44817171:1 gene:SETIT_004441mg transcript:KQL08327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEHQSAAHATPEAEQQPQVELATAVAPAAEEDGDGPAEGGDGSPAAAETALLGRPRRTGLHLLVMNIRSVFKLDELGAEVLGIAVPASLALTADPLASLIDTAFIGRLGSVEIAAVGVAIAVFNQVMKVCTYPLVSVTTSFVAEQDAILSKGAAAKVVDGEEEGENPGQHAAVAATDPEKQQSPEEAAKNGDSNAEPSEAPPAELAGAEECAPAVIGRKGCKNRKFVSSVTSALIVGAFLGLFQTVLVAAGKPPLRLIGVKPGSSMMIPALRYLTLRALGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDLANIMLDPILIFGCRIMGVIGAAIAHVLRSSGGKYHRHLTGDRTRHCASLPCTDFPKRTRDGQQLCYFHP >KQL03286 pep chromosome:Setaria_italica_v2.0:V:726631:729778:-1 gene:SETIT_002943mg transcript:KQL03286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRRMLLKVIILGDSGVGKTSLMNQYVNKRFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNNWREEFLLQASPSDPENFPFVVLGNKIDVDGGNSRTVSEKKAKAWCASKGNIPYFETSAKEGFNVEAAFECIARNAIKNEPEEDMYLPDTIDVGGAGRQQRSSGCEC >KQL03287 pep chromosome:Setaria_italica_v2.0:V:727346:729560:-1 gene:SETIT_002943mg transcript:KQL03287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRRMLLKVIILGDSGVGKTSLMNQYVNKRFSNQYKATIGADFLTKEVQIDDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVTKSFEKLNNWREEFLLQASPSDPENFPFVVLGNKIDVDGGNSRTVSILITSCYSLLLPLNLFCTVINFI >KQL08592 pep chromosome:Setaria_italica_v2.0:V:46232778:46234013:1 gene:SETIT_003027mg transcript:KQL08592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSVQSLARKLSLPSPKRSWSGGKKDGSGKRSLSRSEAPSFASASSSSSDETLARSSTPRSVLMPLSPAEIPRRELEAVLRRLGHGEPSDDELDAVAAMAAQAPAPGGEDELMEAFRVFDADGDGRITAEELRAVMEAILGGGAEGCSLDDCRRMIGGVDADGDGFVGFQDFARMMMATATAAVDARAFL >KQL07690 pep chromosome:Setaria_italica_v2.0:V:41257612:41261808:1 gene:SETIT_004960mg transcript:KQL07690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSASAVAMRAEMGGGGGEGELEDELDALLSSGAGGQRRRPVDAGERERERELSMFRSGSAPPTIEGSLNAISGLLRGDAEAAVTAAPIPVAEALNGHGGLLSEEELRADPAYLSYYYSHGNLNPRLPPPVLSKEDWRSTQRLKAGVVGGIGDRRKVGQEDAVQGTGTAVGRSLFPQHPGSEQEEEARVDGGGAAEWVDGGGDGLIGLSLGRQRSFADILQDNIGRRTPTSEHPSRAASRNSFLDNQEPVDSAENQYSVHTDILEAHHPVGNVQNVGGRHSLNASTSQTFASILGSSVSRNATPDPHYVARVPSPGLPPVGVRITSNEKKLNCSSSPFNTVSSKAVGADDILSALSSMNLSKGGTLNGNNNISRSNFQRGTSDQQKFSLDSQAGAAQVNNKQHPVMLGTDDEYLGMPSMSQPSNTSFADVNNSMAGLAELRNSTNTRSDGHLEMQRSSTLSARSYQKSPSSSNESPGGSPAQHQNFDGINSAFLNYGLSGYPLSPGLPSMMPPLFESAAAASAIASLGADSRNLGNNILASPTLSLTDVHNLGRGGNQAPTGLQSPLSDPFYVQYLKATQYATQGAGSYGDPSLERGYMGNSYGNLTAVQKAYIEALLQQQKQYEMPLLGKSNASNHGYYGNLPFGMGMAYPGSPLGSPVASPSGPGSPLRLGERNLRFPSNLRNLGGWTSDPSGYMNENFPSSLLDEFKSNKARSFELAEIAGHVVEFSADQYGSRFIQQKLETATVEEKNMVFEEIMPHALSLMTDVFGNYVVQKFFEHGSAEQRRELADKLFGHVLALSLQMYGCRVIQKAIEVVDLDQKTKMVTELDGHIMKCVRDQNGNHVIQKCIERVPEDSIQFVISTFYGHVVPLSTHPYGCRVIQRVLEHCADPKTQQIVMDEILQSVCMLAQDQYGNYVVQ >KQL08092 pep chromosome:Setaria_italica_v2.0:V:43516615:43519058:-1 gene:SETIT_001587mg transcript:KQL08092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATALRSSPLVPQHRPRFPVSAVAPWLVMLLALAALSCSSPVAVAAGSPEVVELTLLANAQEKGAVCLDGSPPAYHLQRGFGSGKHSWIVNLEGGAWCNTTEDCSDRRMTDLGSSKFMKAIEFEGILSNKRSQNPYFYNWNKVDVRYCDGGSFAGDAEGKDRNGTKLFFRGLRIWEAVVDELMGKGLATAKQALLSGCSAGGLAALLHCDDFRARFPQEVPVKCLSDSGFFLDVKDLSGERFMRSIFSGVVHLQNVREVLPKDCIAEKEPIECFFPAELIKSISTPTFIRNSGYDSYQVGNVVAPGGSDPGQSWSRCKADIRNCTSTQIEAMNEFRKEFVEALKVAQCKSNWGLFIDSCFNHCQTPFRITWHSQISLRLGNKTIAEAVADWYVGGGHGVKEIDCEYPCINPTCSSQLDL >KQL08093 pep chromosome:Setaria_italica_v2.0:V:43516519:43519058:-1 gene:SETIT_001587mg transcript:KQL08093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATALRSSPLVPQHRPRFPVSAVAPWLVMLLALAALSCSSPVAVAAGSPEVVELTLLANAQEKGAVCLDGSPPAYHLQRGFGSGKHSWIVNLEGGAWCNTTEDCSDRRMTDLGSSKFMKAIEFEGILSNKRSQNPYFYNWNKVDVRYCDGGSFAGDAEGKDRNGTKLFFRGLRIWEAVVDELMGKGLATAKQALLSGCSAGGLAALLHCDDFRARFPQEVPVKCLSDSGFFLDVKDLSGERFMRSIFSGVVHLQNVREVLPKDCIAEKEPIECFFPAELIKSISTPTFIRNSGYDSYQVGNVVAPGGSDPGQSWSRCKADIRNCTSTQIENSGRNLSRL >KQL07014 pep chromosome:Setaria_italica_v2.0:V:37046274:37051411:-1 gene:SETIT_000545mg transcript:KQL07014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLNMVDVGGEDRRPPLGVCRELWHACAGPVVSLPRRGSLVVYLPQGHLAAAGGGDVTADLPPHVVCRVADVELCADAATDEVYARLALVAEGEAFGRNVRGAGVEGDDDMEDLDAERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQLRPSQELVAKDLHGAKWKFRHIYRGQPRRHLLTTGWSSFVHKKKLVSGDAVLFLRGDDGELRLGVRRAIQLKNEALFEDFSSDSAKRHTLSDVYDSLEHRSVFHISYNPRATASEYIIPYRKFIKSLNRPVCIGARINFQCHNEDVSERRSGMVVGISEVDSVKWPGSKWRSLLVRWEDGSECNGQDRVSPWEIEIVGGSVSVAHSLSASSSKRTKLCPQGNLDVPTMWNGCTDSVETGKLPRVLQGQELMGFRTHRVTCAPQTAEVAKFQSSDASRFLTNARSCMLSGPTSRLAVQNTSFTYQSVGFNESIGFSEVLQGQEISQAVPMFQGMMSEACSVKGGYGLRGYMRTPTTVDGLSATAQECSLTLSTPPAAQVHSPHPNHMFNQTVASQLGLASKTAGEVGNSSQPRPFEMLWEAQTRSQHGRPGQISLDQFETRRASAPGDAAKTGSGGREVRKTSCRLFGFSLTEKILPADDDSVKEVSYEAECQNPRMLDLFGYNRSTPNAALPALCAAPFGM >KQL07011 pep chromosome:Setaria_italica_v2.0:V:37046189:37051411:-1 gene:SETIT_000545mg transcript:KQL07011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLNMVDVGGEDRRPPLGVCRELWHACAGPVVSLPRRGSLVVYLPQGHLAAAGGGDVTADLPPHVVCRVADVELCADAATDEVYARLALVAEGEAFGRNVRGAGVEGDDDMEDLDAERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQLRPSQELVAKDLHGAKWKFRHIYRGQPRRHLLTTGWSSFVHKKKLVSGDAVLFLRGDDGELRLGVRRAIQLKNEALFEDFSSDSAKRHTLSDVYDSLEHRSVFHISYNPRATASEYIIPYRKFIKSLNRPVCIGARINFQCHNEDVSERRSGMVVGISEVDSVKWPGSKWRSLLVRWEDGSECNGQDRVSPWEIEIVGGSVSVAHSLSASSSKRTKLCPQGNLDVPTMYVAGNGCTDSVETGKLPRVLQGQELMGFRTHRVTCAPQTAEVAKFQSSDASRFLTNARSCMLSGPTSRLAVQNTSFTYQSVGFNESIGFSEVLQGQEISQAVPMFQGMMSEACSVKGGYGLRGYMRTPTTVDGLSATAQECSLTLSTPPAAQVHSPHPNHMFNQTVASQLGLASKTAGEVGNSSQPRPFEMLWEAQTRSQHGRPGQISLDQFETRRASAPGDAAKTGSGGREVRKTSCRLFGFSLTEKILPADDDSVKEVSYEAECQNPRMLDLFGYNRSTPNAALPALCAAPFGM >KQL07013 pep chromosome:Setaria_italica_v2.0:V:37046846:37051411:-1 gene:SETIT_000545mg transcript:KQL07013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLNMVDVGGEDRRPPLGVCRELWHACAGPVVSLPRRGSLVVYLPQGHLAAAGGGDVTADLPPHVVCRVADVELCADAATDEVYARLALVAEGEAFGRNVRGAGVEGDDDMEDLDAERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQLRPSQELVAKDLHGAKWKFRHIYRGQPRRHLLTTGWSSFVHKKKLVSGDAVLFLRGDDGELRLGVRRAIQLKNEALFEDFSSDSAKRHTLSDVYDSLEHRSVFHISYNPRATASEYIIPYRKFIKSLNRPVCIGARINFQCHNEDVSERRSGMVVGISEVDSVKWPGSKWRSLLVRWEDGSECNGQDRVSPWEIEIVGGSVSVAHSLSASSSKRTKLCPQGNLDVPTMYVAGNGCTDSVETGKLPRVLQGQELMGFRTHRVTCAPQTAEVAKFQSSDASRFLTNARSCMLSGPTSRLAVQNTSFTYQSVGFNESIGFSEVLQGQEISQAVPMFQGMMSEACSVKGGYGLRGYMRTPTTVDGLSATAQECSLTLSTPPAAQVHSPHPNHMFNQTVASQLGLASKTAGEVGNSSQPRPFEMLWEAQTRSQHGRPGQISLDQFETRRASAPGDAAKTGSGGREVRKTSCRLFGFSLTEKILPADDDSVKEVSYEAECQNPRMLDLFGYNRSTPNAALPALCAAPFGM >KQL07012 pep chromosome:Setaria_italica_v2.0:V:37046274:37051926:-1 gene:SETIT_000545mg transcript:KQL07012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDLNMVDVGGEDRRPPLGVCRELWHACAGPVVSLPRRGSLVVYLPQGHLAAAGGGDVTADLPPHVVCRVADVELCADAATDEVYARLALVAEGEAFGRNVRGAGVEGDDDMEDLDAERKSRMLHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYNQLRPSQELVAKDLHGAKWKFRHIYRGQPRRHLLTTGWSSFVHKKKLVSGDAVLFLRGDDGELRLGVRRAIQLKNEALFEDFSSDSAKRHTLSDVYDSLEHRSVFHISYNPRATASEYIIPYRKFIKSLNRPVCIGARINFQCHNEDVSERRSGMVVGISEVDSVKWPGSKWRSLLVRWEDGSECNGQDRVSPWEIEIVGGSVSVAHSLSASSSKRTKLCPQGNLDVPTMWNGCTDSVETGKLPRVLQGQELMGFRTHRVTCAPQTAEVAKFQSSDASRFLTNARSCMLSGPTSRLAVQNTSFTYQSVGFNESIGFSEVLQGQEISQAVPMFQGMMSEACSVKGGYGLRGYMRTPTTVDGLSATAQECSLTLSTPPAAQVHSPHPNHMFNQTVASQLGLASKTAGEVGNSSQPRPFEMLWEAQTRSQHGRPGQISLDQFETRRASAPGDAAKTGSGGREVRKTSCRLFGFSLTEKILPADDDSVKEVSYEAECQNPRMLDLFGYNRSTPNAALPALCAAPFGM >KQL07669 pep chromosome:Setaria_italica_v2.0:V:41094147:41099512:-1 gene:SETIT_000853mg transcript:KQL07669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNHAYSRLGSSSGGGAAVPSPPSSPRRAWGRRGSGAKGGWSARAGAGVARRAARAALAALLRRQAVFLFAPLLYVAAMLLYMGSISLDSVPRIISRPAPGSMYRSPQLYARLRADMDADNATDALATVWRHAYRGGIWRPCISNNTDGLPESNGYIYVEANGGLNQQRTSICNAVAVAGFLNATLVIPNFHYHSIWMDPSKFGDIYDEDHFIQHLKNDVRVVNKVPEFIMERFGHNLSNAFNFKIKAWSPIQFYEDAVLPKLIEERLIRISPFANRLSFDAPPAVQRLRCLANFEALKFSKSITALSNTLVSRMREKSAENNGKYVAVHLRFEEDMVAFSCCVFDGGDNEKKELDAAREKGWRGKFTRPGRVIRPGAIRMNGKCPLTPLEVGLMLRGMGFSNNTAIYLASGRIYKAEKNMAPLLEMFPLLQTKETLASDEELSPFKNFSSRMAAIDYSVCVHSEVFVTTQGGNFPHFLIGHRRYLYGGHAKTIKPDKRRLAILFDSPRIGWKSLKRQLFNMRAHSDAKGIEIKRANESVYTFPCPDCMCRSNKSEHSKSIQSR >KQL05063 pep chromosome:Setaria_italica_v2.0:V:15088424:15091841:1 gene:SETIT_001948mg transcript:KQL05063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISQISRGTLALLLAAVLAAAPAALADGDEVVALTESTFEKEVGQDRGALVEFYAPWCGHCKKLAPEYERLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRTAEALAEFVNTEGGTNVKLATIPSSVVVLTPETFDSVVLDETKDVLVEFYAPWCGHCKSLAPTYEKVASAFKLDEGVVIANLDADKHRDLAEKYGVTGFPTLKFFPKGNKAGEDYDGGRDLGDFVKFINEKSGTSRDTKGQLTSEAGRIASLDALAKEFLGAASDKQKEILSSMEEEVAKLSGSAAKHGKVYVTIAKKVIEKGNDYTKKETERLQRMLEKSISPSKADEFIIKKNVLSTFSS >KQL06997 pep chromosome:Setaria_italica_v2.0:V:36954708:36963204:1 gene:SETIT_001984mg transcript:KQL06997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYGPFPAAAGDGGGCLPLPIVAAEGALAVVDGAIAIAALVQLARIHRHNQLQGWTRQKIFHFLIGLSNIVFLVYFVSTIIATCQRWICWVHGCGFVLMASPQILLLASFLLLLSFWVDLCHQTNDEDEEDVRSHREALLDRTKTKPGIHPVNIRQRCCPGIHLGSRQKFVILVLVLSFVVMFAFAILIWVGRGENHIDSSLLKRVYLDVFSVVVLVLGGALACYGALLFSKMSKVRSETVSTEKWKVASLAAVSLICFSSSAILALVTNVPVLLYWYSTDADIIYNAVILFVYYFIGSSLPSGFVLWIMRDLPHRQVVERPTESRVVTLFRERPSTTQDPRWRAAVTSSNKALKSSPI >KQL08363 pep chromosome:Setaria_italica_v2.0:V:45016098:45018785:-1 gene:SETIT_004054mg transcript:KQL08363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLAGHWSYLLVLTTLKETSSPSSLYHSLVNKNVTSKIVKWNKEKRPASNINISSAALLMMKNRIYPGDMVAATEHRTMQLLQAVLEPEASGEEEKVHLVLSMEDGHKLQQALQLVPPHRKIVLVHIHRPAMMIPVPTMGGTVHASILKDNIVKGYRDEQRDQALLALKGYKEICTRAEIQAETLMIENDNVSAGLLGLIAEHKITTLIIIGIGKSWVNRSKRNLAAALQRGADSSCNILFMHKGRLISDGSVFDFETKGTPSYISSRLLSSCSSNSSPSPYIWDSRSTPSSILWDSRSTPDSLDPSQLDDPSLEIASSIFGDSKLIVILGHESINTFRELTGHLNLVEYSHELHQAFQSKYSEITSRCQFIGGIDSVLGADSENCGEEYWKTIKAWPAAFEHIVRVLNTVLELFKQDSLKCNGLTPGEILISAKELINRFLDVALAVTEVRKSPEKLFCTLYMCRAIVDSTPSLKKLFPADFVSRVDSVHTVLNDSARGILREFKVLIQNYSSQKVAQDGGILLITGYVMKYIRLLINHAGSLDTILDYGQSSDLFFSKGNNDLLLFKGISLTGHLVCGLIGDLNNVIEQKSRLYASEGLRCLFLMNNANFIIQEVEHSDIQLIVGSEWLRQRRDDFDMYMRDYMSSTWERVTSYLTIASPPHKRIRPSLLGIIHTNTRHFQRFVSAVKETCNSQMNWRVPCPILRSKLRDNISEHINRAYKAYLEVLKQSSMAGFARDLKSEVSVSELFEG >KQL05699 pep chromosome:Setaria_italica_v2.0:V:27083805:27086264:-1 gene:SETIT_002565mg transcript:KQL05699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRPLQTLTLPLLRRHLTAAAAAEAIVSPADHHGADPLSPPYDYLPGHPRPNPKHDEVILAVPRASSGRHVSAKERKAGRVPSIVFEQENGQEGGNKRLISVQSKQIRKLVDHLGRSFFLSRLFRLQVWSQHAGQGELVESVRVLPRKVHLHAGTDEPLTVTFMRAPSSALLKIDVPLMFIGEDASPGLRKGAYFNTIKRTVKYLCPADIVPPYIEVDLSELDVGQKLLMRDLKVHPALKLLQSPDQPICSIIGSRAPEQKKGK >KQL03306 pep chromosome:Setaria_italica_v2.0:V:825860:829547:1 gene:SETIT_001563mg transcript:KQL03306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRPPNAFGAPPRPLQPPPWQWQQPPQPSPAVSFWQRDNVRDHVKKLQETIEVSSALISELEEIAATRNPGDATAQESDSSSTKLPSGSADSSGDKPLRFVELARSMGVSQDTHESMATDAANYLCHQLQHLLAPISSAINQSGPWEERSAMVRLAQKLQKAKRNKRWRKRKRKHVAELFQKECADYDRIDQEADEWRAKQIAKDIAKRKVESMKQIARKKANEERKRLESELELALMVEKLQELRSIRVQKMKKQGHFLPEEDDKYLERVKAAVEEEERQAATAARTDAVKDAILTAEESRKAPQNENHHEDGSEQFRSGPTEDKNQGDVGISEKNDQASQKTEHVGHKVEGKGHVHHDPVSNLPFEFYHYYHGSSYDMGTLIEVRRMWDSFIRPGGSRIPGHWVQPPPPSDEVWASYLVHPK >KQL03932 pep chromosome:Setaria_italica_v2.0:V:5423158:5424378:1 gene:SETIT_005179mg transcript:KQL03932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDHHPLAAAASSSAGDDDEGTDTDASNSDLANHRDPPPLTDATSAPPTPPAAAASAPEPTGAVPPPPPPQPQSAGAAEDSRRLFQRLWTDEEELLILRGFLDFTARRGTTFASHQYDTGPFYEEIRRRLSFDFTKSQLIEKLRRLKKKYRVCAARVAAQGAAFAFRSAHEGAIYDVARHIWRPAFKRGEGAAAGDASDEDDINPAAAAAAAALPNATEDGGGGSASAPTPRGRGGRRVRRRTAQELEAPALPATSALVLNDVVQEPLAVPVENLTPAFAPPNPVQAPIVSPMAATPSPMTATAGGAAEEVVRTILSPLLREFISSVAVAGQAGLGLGLGMGFGGIGGFDILGLGFGAAGPNPAMPGDEKWRQQQILELEVYLKRIELVREQVTAALQELRSSEG >KQL03596 pep chromosome:Setaria_italica_v2.0:V:3346536:3346700:-1 gene:SETIT_005494mg transcript:KQL03596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLFITNFPVCADWREGQSIFGRHDYVTAQLEGPFFHNMLLLFNIIFMKLVS >KQL03597 pep chromosome:Setaria_italica_v2.0:V:3345953:3346747:-1 gene:SETIT_005494mg transcript:KQL03597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLFITNFPVCADWREGQSIFGRHDYVTAQLEGSIQRAAC >KQL04529 pep chromosome:Setaria_italica_v2.0:V:9557948:9561514:1 gene:SETIT_004917mg transcript:KQL04529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQIASQIPSWKDETNIGIGSRDEDNDGPEIGAGAWVEGMWQDHLDPHPLPPRESYSTVDFDPDYNPNPSERKGELVPNRDKDVLNLALGTKEHGGCVRGVSSKLTIKDGFERDRASYKSHSHYKDDLREGAEKALESRFKDFLLATLADVGSTIAQPYPIDSICISTPCSLHVPIGRAGKTKEVAKDLAIPIGGLFEGKPILHHYAYVTVLEINSNYGDHEIDIPTAEGIHCLGQSITPPAAASEEQHVATPPASPAAASEPVDLPEDHPPPAQASPQQKQVDLPEEPQQQQMAAKMADKTQDIQQPGYSKPRTDTKYNLVVGVDDIPDLPDCPKKFEYGKPLLPDWAITGIPGEMQRMHNWYTRACRLGLRTIWARYSPDDAKNDMHVVKEKDRYLDPYAICEVRHNFPSQCGDNHDKLAKYKTKKDKRVKRVQQHKKAMRRVSTYIAYMMLKWQDRPYIWVPYNFQGHWIAFMIQLKNGVVTVFDSLDYDQSTYKEFIFILQKLDLPALHYNGGIRNPERPKETVVRTNFPCHKRPSSSVHCRYYMCEPIRLIPTASTTWFVHEQQLLNIGIDLCRFILREVVNPMGTYYHPKHKLAQEDKYVSL >KQL05417 pep chromosome:Setaria_italica_v2.0:V:24072944:24073681:-1 gene:SETIT_004474mg transcript:KQL05417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATRVLVPDRTWTTRLDRREPPPCAGSSSVRVRLFLSREYSSRRLAGGGHARTDHRDKCAGNGDQIFYVYDPALFLSYEATRRAVHGMLASMPLLRGVDISTDNWASCSALDAAATSMRDRARKDDDAGLGGGRYHFSLELAMEVTLVYIEPKAVVRACAETVMQVAEPASADHQCTICMDGFDNIGAGPTAPVNLPCSHPFHTHCITVWLFKGHSCPVCRHDLRGLVSAPWASQARKLGLKY >KQL07185 pep chromosome:Setaria_italica_v2.0:V:38171028:38173956:1 gene:SETIT_002648mg transcript:KQL07185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCARSVLRRRGLASSLLRRCGGEVESTAGTGEALANARCASTLSALGGGGRVLGRGGRWADPRAGAMGAGRWSRTQTRCFLGCGDGEEGNVLSKVYEERRVMGYSPEQMFAVVAAVDLYEDFVPWCQRSRIIRRNDDGSFDAELEIGFKFLVESYVSHVEMEKPKFIKTTASESGLFDHLINVWEFKPGPVPGTCDLYFLVDFKFQSPLYRQVASMFFKEVVSRLVGSFSDRCFRIYGPPVPVLENSYGQGR >KQL07931 pep chromosome:Setaria_italica_v2.0:V:42609142:42610998:1 gene:SETIT_001998mg transcript:KQL07931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIASGAAGGDLFAANLTGSLLAVASSAFIGVSFIVKKKGLRRAGAAGIRAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLHRVGVLGCGLCIVGSTMIILHAPQERTPSSVEQIWHLATQPSFLCYAAIAVGVSLFLMLYCAPRYGQTNIIVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWVFAVVSATCIVIQLVYLNKVCRCLISYIKSELVTLQFFTKWCTHNISKLLS >KQL07932 pep chromosome:Setaria_italica_v2.0:V:42609142:42612106:1 gene:SETIT_001998mg transcript:KQL07932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIASGAAGGDLFAANLTGSLLAVASSAFIGVSFIVKKKGLRRAGAAGIRAGVGGYGYLLEPLWWVGMVTMLVGEIANFIAYMFAPAVLVTPLGALSIIVSAVLAHFTLNEKLHRVGVLGCGLCIVGSTMIILHAPQERTPSSVEQIWHLATQPSFLCYAAIAVGVSLFLMLYCAPRYGQTNIIVYVGICSVIGSLTVMSIKAVGIAIKLTIEGINQAGYFQTWVFAVVSATCIVIQLVYLNKALDTFNTAVVSPIYYAMFTTLTILASAIMFKDWSGQRASNIASEICGFLTVLAGTVVLHSTREPDQTSSADLYAPLPPKIYWHIQGNGDIGKQREDDSLTCEFITVVRQDYFV >KQL05291 pep chromosome:Setaria_italica_v2.0:V:20867452:20867921:-1 gene:SETIT_003660mg transcript:KQL05291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLFYYITVHNLLGGGRNGALNLSLTHLEPADIARQHGATCRTKDEIKPCKRVRGLKQPFH >KQL07446 pep chromosome:Setaria_italica_v2.0:V:39761160:39764496:-1 gene:SETIT_001828mg transcript:KQL07446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAPPPACSLLRVAASAPISAASTSVSIPRAPSFLPSSRSASARRHLTATWPPKAATSASVEIQDEYADEIDAVNIAQDVTQLIGKTPMVYLNSVVDGCVANIAAKLEYMGPCRSVKDRIGLSMINDAEEKGLISPNKTILVEPTTGNTGIAIASVAAARGYKLIATMPSSIDVERRILLRAFGAEIVLTDATKGLKGAFDKAEEIVLRTPNAYMFQQFNNEANSEIHFQTTGPEIWEDTLGTVDILVASIGTGGTITGTGRYLKRMNKDIKVIGVEPAETSVISGDNPGYIPSILDIQLIDEVVKVSTAQAVDSARELALKEGLLVGISSGAAAVAAINVAKRPENAGKLIAAIFPSFGERYLSSILFRPIYDSVRRMRKK >KQL03839 pep chromosome:Setaria_italica_v2.0:V:4896741:4898258:-1 gene:SETIT_004681mg transcript:KQL03839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPLPPAFHRVLSLLPRVASPRQLLQAHAFLLPRGGHRHPRLLSALLLASLRLASRHRSHPAALLRHVHPSVSLRAAARLPPPLLRGSLLGPQLHSLLLRAGLAASDAHVSASLVQVYCACGRISAARRVFDEMRGRDVVAWNVMIAGYVKSGDLVRARELFDVTPKRNVVSWTIMIGAYAQMKQPEEAVEVFRRMQVEEGIEPDGVALLSVLSACGDLGAVDLGEWVHMFVVRRGLFQKIPLMNAIIDMYMKCGCIEKAVDVFEGMQEKSVVTWTTLIAGFALHGLGLQAVEMFHRMVRENVAPNDVTFLAVLSACSHVGLTDLGRWYFNVMVSQYGMKPCVEHYGCMVDILGRAGCLKEAQDLVQEMPFKANAAIWGALLAAARTHGDAGLGEQALLHLIDLEPHNSGNYILLSNIYAEQERWDDVRKLRKAMKERGLRNVPGASSIEIDGMVHEFTARDGSHPCLHRICKVLCEINANMKSVGFVAVLPELPHAIEEG >KQL05676 pep chromosome:Setaria_italica_v2.0:V:26941381:26944548:-1 gene:SETIT_004167mg transcript:KQL05676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRKLPPFPHLTIRSASSAAAASASSPPPSRLPPPVPLRDLLAHRLPHPRSPSPPTPHPHADILHLLRRRGDATSPERLHVELVKRGLNHDLFLVNHLVNSYAKGVRLAAARRVFDEMPERNAVSWTCLVSGYVLQGLADEAFRLFRAMLREVEPGCRPTSFTFGTVLRACQDGGPDRLGLSTQVHGLVSKTEYTSNTTVCNALISMYGSCAVGPPILAQRVFDGTPVRDLITWNALMSVYAKKGDVISTFTLFMDMQRDDSRIQLRPTEHTFGSLITATSLSSCTSGVLDQVFVRVLKSGCSSDLYVGSALVSAFARHGLLDDAKDIFLSLKERNAVTLNGLMVGLVKQHCGEESVAIFVGTRDSVAVNADTYVVLLSAIAEYSVSEEGLRKGREVHGHMLRTGITDMKIAVSNGLVNMYAKCGAIDDASKVFQLMEARDRISWNTIISALDQNDTCEEAMMHYCLMRRGCISPSNFAAISGLSSCAGLRLLAAGQQVHCDAVKWGLDLDTSVSNALVKMYGECGAMSECWKVFNSMTEHDEVSWNSMMGVMASSQAPLSETVEVFSNMMRGGLTPNKVTFVNLLAALSPLSVLELGKQVHAVVLKHGVTEDNAVDNALISCYAKSGEMDSCEHLFSKMSGRRDAVSWNSMISGYIYNGHLQEAMDCVWLMVHTGQMMDCCTFSIILNACASVAALERGMEMHAFGFKSHLESDVVVESALVDMYSKCGRVDYASKVFNSMTKRNEFSWNSMISGYARHGLGRKALEIFEEMQCSREIPDHVTFVSVLSACSHAGLVERGLEYFEMMREHGILPQIEHYSCVIDLLGRAGKLDKIKEYIQRMPMKPNALIWRTVLVACRQSKDGAKIDLGREASRKLLEIEPQNPVNYVLTSNFHAATGMWEDTAKARAAMRQATVKKEAGRSWNIRNAGYVPLTEYALYDLEEENKEELLSYHSEKLAVAFVLTRSSSGGPIRIMKNLRVCGDCHTAFRYISQIVSRQIILRDSIRFHHFEDGKCSCGDYW >KQL07165 pep chromosome:Setaria_italica_v2.0:V:38017535:38020441:-1 gene:SETIT_004326mg transcript:KQL07165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPLLGSRAADGHQQERRRSSWLGRVVDAEEACAQLRFSAPMILMNMFYYGIPLVSVMFSGHLGDVQLAGATLGNSWVTVTGLAFVIGLSGGLETLCGQAYGAGLYGKLGLYLQSSLIMSTVASSLVSVLWVFTEPLLLLLRQEPRVSRAAAAFVRPQIPGLFAFAFLQCLLRYLQMQSVVLPLVAFSAASFAFHVALTHLLVNVLGLGLAGASAAVSVTLWVACLMLLAYVLRSEEFSETWKGFSAEAFRYVLPTAKLAAPSAVMVCFEYWAFELLVLVAGLLPNSTVSTSLVAICTSTESIAYMITYGFGAAASTRVSNEIGAGNVDKAKNAVSVTMKLSVLLGISFVVLLAFGHGLWASLFSGSAVIVSEFATIAPLVIISIVLDSAQGVLSGVARGCGWQHLAALTNLVAFYFIGMPLAIFFAFKLKLNTMGLWAGLICGLVCQACSLVVITVRTKWSKIAEAIQEEKASYGIA >KQL07961 pep chromosome:Setaria_italica_v2.0:V:42825961:42830106:1 gene:SETIT_002424mg transcript:KQL07961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGTRSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYTPDYSYPTNFYNIYGGAQYPFYGGAAAGMVTGTSPFYPYFQFGQSGNTTTNYTSGQGYNLQYPQMFHFSTVSSTAAAVTGFAQQFGGPLSLAASPQAQAVCVPIKQA >KQL07960 pep chromosome:Setaria_italica_v2.0:V:42825723:42831454:1 gene:SETIT_002424mg transcript:KQL07960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPSVMGQFGDTTYTKVFVGGLAWETQKETMRKYFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPDAAMRACVDPAPVIDGRRANCNLASLGVQRSRPPTPQHGGTRSFRVMKSFSQQAGIQGGLGAAFPSHATFPHYAIPQGLPYHVYGYSPYTPDYSYPTNFYNIYGGAQYPFYGGAAAGMVTGTSPFYPYFQFGQSGNTTTNYTSGQGYNLQYPQMFHFSTVSSTAAAVTGFAQQFGGPLSLAASPQAQAGMTMALTAPTLPTPTQAAHPYRLIPSHFAVSAAPEQPLA >KQL05893 pep chromosome:Setaria_italica_v2.0:V:28868440:28875003:-1 gene:SETIT_000739mg transcript:KQL05893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRITSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDLKLTRDNNITTGKIYQSVIDKERKGEYLGKTVQVVPHITNAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYRVGPGNFCLVHVSLVPVMNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKELEDNVKEKLSQFCHVPAANIVTLYDVSNIWRIPLLLRDQKAHEAILKVLNLDRVESISREPKLDEWVARATIFDALQDTVRIAMVGKYTGLSDSYLSVLKALLHASVDCRRKLVVDWVASTDLEDSTAIEAPDAYKSAWDLLKGADGILVPGGFGDRGVQGKILAAKYAREKNVPYLGICLGMQIAVVEFARHVMNLTDANSTEFDPNTKTPCVIFMPEGSKTHMGATMRLGSRRTFFKVADCKSAKLYRNVTYVDERHRHRYEVNPDMVPEFENAGLQFVGKDDTGKRMEIIEIPNHRYFVGAQFHPEFKSRPSKPSPLFVGLVAAASGQLDRVLQDCCNGHPVPAKHLLSNGSYTSAVHQNGHPKKLANGLSNGTYYANGNGVHA >KQL04011 pep chromosome:Setaria_italica_v2.0:V:5854232:5855925:1 gene:SETIT_001211mg transcript:KQL04011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISTISIRPPKDFPCRSRSFTLGWAGVRGTSPLARQVGGFRARHENSRAGCLHRSRRRPPAETRSPAPGAGRWQVAHGAGRRAPPPPHEQVMTLARGRISGGRNGPSHRVPAAGAGGGREEGEGEENPPAASQFHLDPHARSKSPSPRRVGLTGWSGPIGPTRYVGCRCGSWTVDPHPHPHRSTALVGASRRSGRVGVGWRVRAAKGPAKQLPPRHRNPDLGFESEREKDGEKAMAEPEALEMRGLGLEGLMAPSPDFRSARIITIPKIKDDSAVEAAANKAAAGSGMVKAASWSDFVTKPAGNKAASASSIEPAAKVEGKAKQAAASSIEPVAKAEGKAKQAAASSIEPVAKAEGKAKQAAASSIEPVAKAEGKPKAKLLLRRAWELDAKPEPAVISVGTERLGEDEIEYVRTHRMRRLTPPDDLIREFFPRIAACFPKAAAIVNKTVDLQEDILRQYETKGYALVRVERLDNGLKRWFRLPEAETA >KQL06103 pep chromosome:Setaria_italica_v2.0:V:30700749:30703272:1 gene:SETIT_002644mg transcript:KQL06103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSMVAIFKCFLHSLTCCIPLLYLSIKCCQTCAFVLIYLSAFPPLLLMSHCFMFPAGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFSTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVEAVALKPPEVQIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >KQL06102 pep chromosome:Setaria_italica_v2.0:V:30699914:30703272:1 gene:SETIT_002644mg transcript:KQL06102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNQQVVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFSTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTSRLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDQNLHFVEAVALKPPEVQIDMAMQQQHEAELAAAAAQPLPDDDDDLIE >KQL06368 pep chromosome:Setaria_italica_v2.0:V:32870746:32874515:-1 gene:SETIT_001980mg transcript:KQL06368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLAVASSTRAAAVRPPRASAASGEAAASEAAGRRPVKVILPKKKPQKWSTGMEPGEYGGGPATIKPRKYWWGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGAAAEPTITRRSPVDEEESGFLSINRAMSLDSVEVDLSKELQAPTRPILQTQVEAARRGRAIGAEAVNGATSARWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPKELAARAREDYLKLKQRLQLLTLGIGGVGVVSAYVSYSPEIAVSFGAGLIGSLVYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVVLVMMYNRWNGSRLWLHAPGVDTNACWIFYLQDCYIRSSNSRFDTCS >KQL06367 pep chromosome:Setaria_italica_v2.0:V:32871697:32874515:-1 gene:SETIT_001980mg transcript:KQL06367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLAVASSTRAAAVRPPRASAASGEAAASEAAGRRPVKVILPKKKPQKWSTGMEPGEYGGGPATIKPRKYWWGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGAAAEPTITRRSPVDEEESGFLSINRAMSLDSVEVDLSKELQAPTRPILQTQVEAARRGRAIGAEAVNGATSARWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPKELAARAREDYLKLKQRLQLLTLGIGGVGVVSAYVSYSPEIAVSFGAGLIGSLVYLRMLGTSVDSLAGGTGETVKSAAAQPRLLIPVVLVMMYNRWNGILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQDSIPAVEKREV >KQL06369 pep chromosome:Setaria_italica_v2.0:V:32870746:32874515:-1 gene:SETIT_001980mg transcript:KQL06369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLAVASSTRAAAVRPPRASAASGEAAASEAAGRRPVKVILPKKKPQKWSTGMEPGEYGGGPATIKPRKYWWGKEDRDPVGNTDDFIWNKDFLPHMERVIANGGAAAEPTITRRSPVDEEESGFLSINRAMSLDSVEVDLSKELQAPTRPILQTQVEAARRGRAIGAEAVNGATSARWRLVPTRREQAKWDRAAKAATGGSDVILRESKSRVQQGDPKELAARAREDYLKLKQRLQLLTLGIGGVGVVSAYVSYSPEIAVSFGAGLIGSLVYLRMLGTSVDSLAGGTGETVKWNGILVPDYGFMHLELIPMLVGFFTYKIATFAQAIQDSIPAVEKREV >KQL04481 pep chromosome:Setaria_italica_v2.0:V:9337749:9338483:-1 gene:SETIT_004413mg transcript:KQL04481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRGRRLAVNFSTAHPTLQILPLLLHAHGTEGEGGRRESSAAMEGDWRDLAGTVPGTLMLVADGATGLLETVRTAHRKLAACVRVLRVLEMGGAINADDVQEAPSARASLDDARRELVRLRELHGTASHVFDLYHALLQGLEDEPRWRRWERRSGETSRHACHALRGLRSATSHLMASRNALLMARSFPHLSTDWTAWISAALNLLRRSMWASAMAAFATNQMRDAVAEELQDAWMVFLLLHRYH >KQL04911 pep chromosome:Setaria_italica_v2.0:V:12957137:12960685:-1 gene:SETIT_001273mg transcript:KQL04911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVASLYRRVLPSPPAVDFTSPDGKRLFSEALEGGTMEGFFSLASCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKIKAEGITFGKVACLAHCSGADVRPFRANQVTVDDLRRHLIRCASSRDCHLIASYHRRHFKQTGTGHFSPIGGYHAGEDMALILDVACFKYPPHWVPLQLLWEAMNTADESTGLLRGFMLISRHNAAPSALYTVSCRDESWKCMAKYCAEDLPDILKAESLDNVPALLSSFIYSLPANAGSLIKWVVEVRRKEEDRPCLSKDEKERLLVKENVLQQVHDTKLFMIVHDLQCARIQCCNRLSSSSEDSVTRIAASVCCQGAAMLSGNLASSDGFCFKETCFKGCCTSSCNSNLGNEIIKYPSSADVITVLLLALHPSTWLGIINERLKAEFQTLVSTDNLPDVLKREILHLRRQLYYLKTCKDEECEDLCHHTLSSDASDPLT >KQL04910 pep chromosome:Setaria_italica_v2.0:V:12958446:12960664:-1 gene:SETIT_001273mg transcript:KQL04910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVASLYRRVLPSPPAVDFTSPDGKRLFSEALEGGTMEGFFSLASCFQTQSEPAFCGLASLAVVLNALAIDPGRRWKGPWRWFDESMLDCCEPLDKIKAEGITFGKVACLAHCSGADVRPFRANQVTVDDLRRHLIRCASSRDCHLIASYHRRHFKQTGTGHFSPIGGYHAGEDMALILDVACFKYPPHWVPLQLLWEAMNTADESTGLLRGFMLISRHNAAPSALYTVSCRDESWKCMAKYCAEDLPDILKAESLDNVPALLSSFIYSLPANAGSLIKWVVEVRRKEEDRPCLSKDEKERLLVKENVLQQVHDTKLFMIVHDLQCARIQCCNRLSSSSEDSVTRIAASVCCQGAAMLSGNLASSDGFCFKETCFKGVQANGDGPKTVISGSVVSEGDEQGVDMLLPMSPCRLLHEFVQFKLGQ >KQL06943 pep chromosome:Setaria_italica_v2.0:V:36586497:36592714:1 gene:SETIT_000242mg transcript:KQL06943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIQEGMWGGNASDQRSNVKKFLSVVAEMGLPGFSVKDLEEGSVSSVVECLLALKDNVTTGMGQNISNNVKTPLRRRLELRESDGPIISVVTPGKRSPRDLKSQQRSVLHSGQKVHDAFQIKRGSYTDLPAAKISEMMHSSSLDNAPTQSLLRVVNGILDESVERKRGEIPHRVVYLLRNVVQEIEHRIAIQADHIRNQNSIIKTREDKYRSKIKALETLVNGTNEENEMTVNRLELIEVEKSKIDEKRKLGEQDMVRLMREKENAENAIASLQQEIQILSRMHEQYRERMETEARQMEEHLTTRIKEAEFLLMQSKRKVEEIESASQLKSQLWSRKANIFQTFMDNQKISIKDIRLSSQSIKQEMFALQMKWRDEICNIGNDLKGLVDAADNYHKVLAENQKLFNEVQELKGNIRVYCRVRPFLPGQDGKTTTVDYIGENGEIFITNPFKQGKDGCRMFKFNKVFNTRASQAEVFSDIQPLIRSVLDGFNVCIFAYGQTGSGKTYTMSGPGTSKEDWGVNYRALNDLFEISLSRRNAFSYEVGVQMVEIYNEQVRDLLSNDIAQKRLGIWNTSQPNGLVVPDASLHPVKSTSDVLDLMEIGQTNRAVGSTALNERSSRSHSILTVHVRGLDLKNGSTSRGCLHLIDLAGSERVERSEAIGDRLKEAQYINKSLSALGDVIFALAQKNAHVPYRNSKLTQVLQSSLGGQAKTLMFVQINPDTESYSETMSTLKFAERVSGVELGAARSNKEGKDIKELLEQVSYLKDTISRKDMEIEQLLKDKSKSPSSSTDRNDSSQQIRRLSGAAGSGEAECEDNVSDDGCSVAGTEYSVGGASEAAAEQMQKAPSRIARLFLTKNGQPGNSKPKPRESALKPPGRTKSTGSQVTGGGSSVKPPKRR >KQL04769 pep chromosome:Setaria_italica_v2.0:V:11548569:11552707:1 gene:SETIT_004706mg transcript:KQL04769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPISSTLTARLGTGPAPAAARWSPYARPSVPEPQGGRGGAKASRTAMRLDPATARLLASAQKAALSGSSRRVKSAAPDAAPAAPARRSRGDEAARPEPDPKPEPEPEAGDNTPTLEKRRASGGGGFVFLRALAGHTEAISGFSVPRGSDKLYSGSVDGSVRVWDRNSGKCVDVIKMGGKVGCMITHGPWVFIGIPKSVEAWNTQTGMKLSLQGPSGLVCSMTVTGEMLFAGTGDGRIIAWKFPSKESNIEPVAILSGHQRAVISLSISATRLYSGSLDKTIRVWDLMTMQCLQTLSEHKAAVTSVLCWEDKLLSCSLDRTVKVWTLSESGNLQVRYTYAEEHGLRTLFGMHRVGKTPVLLCSLHNRNRIRLLDLPSFQEVGTLFSNKEVRTIELADGGPLFTGDCSGELKVWRWAPQDQEAAPAAQA >KQL05779 pep chromosome:Setaria_italica_v2.0:V:27805514:27809887:1 gene:SETIT_000490mg transcript:KQL05779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGGGGDIGADSERRLKKAMDKLYHFPKPKANAPGGSKPSSSSAPAPSSGRPVGKAAAEAARRFGVVRGSRLPPQVAAMAAISPPPPCRPWDRADLMRRLGTFKAMTWFAKPKVISPVNCARRGWTNIEPDVITCEACGARLMFSTPSSWSTQQVEKAAAVFSLKLDSGHKLLCPWIDNTCDESLALFPPTTPPVLVENYYECFSSLLRLLALPRISCSSLETMKKRSPQLDQFLSEPLSSSVVLKGRFMLTEDSTIKDLDDAFQDADTYYQALKIISLCGWEPRLLPYAIDCGTESHSDANSISKMVQPQQISKTMEDRVILYSPNDANGARASADANREDQHYDPLSAVLDCQFCGACVALWPFSLVERPLQLFKLISDSNRQDDQDNGHDSVVSGVGHSKDANIGFNFTIAGGPPPTRQSFRPKVSFPVVSRHLKADLNSRGNLLSSGSHSHMVPVASNASGSMKRKRSTDQPHLLEGDIDDVDTSTIGAKHDQPGDNSEKSIPNSEVSTEQKQGGSHSDTDKDTNMDGASNEEEPATGSPSRKSITSTDEALDQHGLEPRFPSVQGMNEEPSNGVNLAETDANNSMPNELSTRTKSLVNKEKGAYRSSEKQGLYDRMNEFDPIKQHRTFCPWISPDYGESLPGWRLTLTALLAQDRRSDEDSQGEVQTGLLDEDDDPLTSVRKLFMSPPPKRRRIHQSEKS >KQL07980 pep chromosome:Setaria_italica_v2.0:V:42961836:42967678:1 gene:SETIT_000669mg transcript:KQL07980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLEARYRPAGAAEDTAKRRTQKSKSFKEVEKFDVFVLEKSSGCKFRSLQLLLFAIMSAAFLTLLYTPSVYEHQLQSSSRLVNGWIWDKRSSDPRYVSSASIQWEDVYKSIQNLNGGEQKLKVGLLNFNRTEFGAWTNMLPDSDFSVIRLEHANESITWQTLYPEWIDEEEETEIPSCPSLPDPNFPRATHFDVVAVKLPCTRVAGWSRDVARLHLQLSAAKIAATTARGNGGVHVLFVTDCFPIPNLFSCKNLVKREGNAWLYKPDVKALKEKLRLPVGSCELAVPLNAKARLYTVDRRREAYATILHSASEYVCGAITAAQSIRQAGSTRDLVILVDDTISDHHRKGLESAGWKVRIIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKVIFIDADLLILRNIDFLFAMPEITATGNNATLFNSGVMVIEPSNCTFSLLMEHINEITSYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEVKAKKTRLFGANPPILYVLHYLGRKPWLCFRDYDCNWNVEILREFASDVAHARWWKVHNKMPKKLQSYCLLRSRLKAGLEWERRQAEKANFTDGHWKRNITDPRLKTCFEKFCFWESMLWHWGENKTNSTKNNAVPVPPTASL >KQL05456 pep chromosome:Setaria_italica_v2.0:V:24684447:24685331:1 gene:SETIT_002654mg transcript:KQL05456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGKWLKRQIEQSLPEWRDQFLRYKELKRCVNAVSGGCPPSPVEEAEFIATLDAEMEKINAFFLEQEEEFIIHHRELQEDISRALDRKAAGLVTPGQHEAAVAAIRREIVNFHGVMVLLLNYSSINYIGLAKILKKHDKRTGAVLRLPVIEAVLEQPFFETETVSQLVRECEAMMEAVFPEAPEGQAAARQDQEALAVAEQSIFRNTVAALLTMEDVRAWSSTRGRHSLPPLNLPDSDWLRSFQQAAPIPTQ >KQL05727 pep chromosome:Setaria_italica_v2.0:V:27260802:27262453:-1 gene:SETIT_004830mg transcript:KQL05727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVRQCVLRCLPGAAVTRAGTLSASDDHDGEDRISALPDELLRDVVSRLPIKDAARTAVLSPRWRRVWRSTPLVLYDAHLFPDSSEDARVATIHRILAGHPSPLRTVHLVYCFFGIHERELDEWSRFLAAGGVQDLVFICQPPPVDTPLPADILRCTQLRRLYLGFFQFPDTRDLPDGAGVFPYLREFVILNTCIEDRDLGHMLASSPELETLALVVSYGQPKLVRLRGKKLKCVLFWISMADELAVVDAPSLERLIMWHTCPPSRFDESDDEPRMRVRIACAPELEVLGYLELGVHELQIEHTVIKADTKVSPSSMVPSVKILALRVDFSVSTEVQMLASVLRCFPNIETLHVESALADEPTGKHYSEFFEKLSPIECVQNNIKKMVLHKFQGVINEMAFVKFITQRANKLQKLTLVLPDEALVQVGQNLLRALAVPQCASKACTIFLVGPIVERGWNFHRASDLSIDDPFRLEHEHELFHLVSKGE >KQL07857 pep chromosome:Setaria_italica_v2.0:V:42195666:42196355:-1 gene:SETIT_003779mg transcript:KQL07857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHPHEGGVGYNEVPNMHTGGVVPNPPPPLPPPPPPRRRLIPFRIVVRSLVAVSASIGVLALLILFIYWPRAVRVAVATATLAVFEVDRFDITPTTITPVLSYNLTAILAVSNPNRRVSVYYDRLQAVGFYEFQRFGRAALPVAFQGARRTDAVRAVLAGSLPMNFTFDAYPGHRRNGVFPVDLWVDGVVRYRFGKLTNTMVASTLKVRCSLELKLTVASGWVDCATWS >KQL06095 pep chromosome:Setaria_italica_v2.0:V:30627861:30630747:1 gene:SETIT_000356mg transcript:KQL06095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPILTLPAPEGDGGDADQQQQAPPPSPPPGAKAAPPATVATHTRTIGIIHPPPDIRVIIEKTATFVAKNGPEFERRIISHNQGNAKFNFLQPSDPYHAYYQHRVAEIAAAPPGADAPAGTEPDADPADAPAAAPVDGAAAPADGASADAKADHSAPFRVAPPPKVLVPPKAELYTVRLPEGITGEELDIIKLTAQFVARNGKNFLTSLAQRESTNMQFHFIRPTHSMFPFFTALTDAYSRVLRPEEGVPGLLKELREGSKDLTTVLERCLNRLEWDRSQEQAKQQAEDEIEQERMQMSMIDWHDFVVVETIEFADDEYEGLPVPPTLEELKRRKRMQNLGEEEAMELAEPAKEVEMDMDEEEMQLVEEGMRAARLEENDGGAQVKVAGDDEAPMRIVKNYKRPEERIPAERDPTKFVVSPITGELIPISEMEEHMRISLIDPKYKEQKERMLAKIKETTLAPDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTATHALSQPQGGEEQFDASNVDGRPVPGPAPLVRPGMPLPRPPQPLPLANVPRFIAPPAPYPPPPGSHIPGVPQMMPHMHPPPQQIPGQPPMMRMPGQMVHMPTSIPPPPGQVQFMPGPPRSFPMHPPPHMPPMVNPIGVPQPPAPPLPPQPPAEEQPPPPDEPEPKRQRTDDASLIPAEQFLAQHPGPASISVSVPNLDEGNLRGQVLQIPVQSLSDTVGSLKEQIAGELQLPANKQKLSVRTSFLKDNLTLAYYNVGPGVVINLTLRERGGRKK >KQL06374 pep chromosome:Setaria_italica_v2.0:V:32906146:32906377:1 gene:SETIT_005400mg transcript:KQL06374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIKELPPQTDASDASVQYPPPVFDRQLDLLLAARCSQE >KQL04114 pep chromosome:Setaria_italica_v2.0:V:6604635:6605426:-1 gene:SETIT_005597mg transcript:KQL04114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSLGNLASRTTGCFHYYRWILLLDCWRPRVTMLAHFNGLVDIIASCFTGSNCCR >KQL05486 pep chromosome:Setaria_italica_v2.0:V:25012122:25012221:1 gene:SETIT_004546mg transcript:KQL05486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSACRVYTAMRRRGLYRDGGASHQGLIDGLNH >KQL07286 pep chromosome:Setaria_italica_v2.0:V:38929681:38930432:-1 gene:SETIT_005522mg transcript:KQL07286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSCSSLVICCGVPRAAAVEGARHRLLPQVLSVCRSRRVDHLPLRSEYAPSIWQFTL >KQL03705 pep chromosome:Setaria_italica_v2.0:V:4159637:4162976:-1 gene:SETIT_002590mg transcript:KQL03705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKFYEKYTALKKRKLLDEGLERKREEQLKELYDAMKDWVGGLEKDKEELSEKLADKEDELEKAREEFLEDLRAKDSEILRLKKLLDEQIEKNNSTATRSVDQTPEAIQANPTQMSPKRKTPQSNCNTKRVQLSENASIPHSSLEDESQELECSRRYTCISGNETNECPSAHMFHLLLQSLVRMKVTVDDGTERFSVSVCHEASGYSFNLTWLEKPGEWSYKLSSLGTLERIAVNWMKQDIRFSMNMCRVFFERISNIITRG >KQL03703 pep chromosome:Setaria_italica_v2.0:V:4159250:4162875:-1 gene:SETIT_002590mg transcript:KQL03703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDWVGGLEKDKEELSEKLADKEDELEKAREEFLEDLRAKDSEILRLKKLLDEQIEKNNSTATRSVDQTPEAIQANPTQMSPKRKTPQSNCNTKRVQLSENASIPHSSLEDESQELECSRRYTWNETNECPSAHMFHLLLQSLVRMKVTVDDGTERFSVSVCHEASGYSFNLTWLEKPGEWSYKLSSLGTLERIAVNWMKQDIRFSMNMCRVFFERISNIITRG >KQL03704 pep chromosome:Setaria_italica_v2.0:V:4159250:4163094:-1 gene:SETIT_002590mg transcript:KQL03704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKFYEKYTALKKRKLLDEGLERKREEQLKELYDAMKDWVGGLEKDKEELSEKLADKEDELEKAREEFLEDLRAKDSEILRLKKLLDEQIEKNNSTATRSVDQTPEAIQANPTQMSPKRKTPQSNCNTKRVQLSENASIPHSSLEDESQELECSRRYTWNETNECPSAHMFHLLLQSLVRMKVTVDDGTERFSVSVCHEASGYSFNLTWLEKPGEWSYKLSSLGTLERIAVNWMKQDIRFSMNMCRVFFERISNIITRG >KQL07722 pep chromosome:Setaria_italica_v2.0:V:41411149:41411936:-1 gene:SETIT_003719mg transcript:KQL07722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRKYHSYTGKYTTSNKYTLQAPKWSKQIQHNEQQASQNYIVPVPSPLF >KQL04111 pep chromosome:Setaria_italica_v2.0:V:6571650:6571829:1 gene:SETIT_004982mg transcript:KQL04111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGTTRDHLVTYSHRTVDDGVPLHAICLDSNKRRHPLYLNPLASLPYYMYNRLLRSGV >KQL07310 pep chromosome:Setaria_italica_v2.0:V:39053315:39056876:1 gene:SETIT_001322mg transcript:KQL07310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEGSGALAIYICGAARGRVASRSRGLRRHSARQRQAPASLRPLGLISPRVSRPAAVGRDRESVGGRAREVDMAGVLLEVEEAPEGGGGIRSVLTMGSLVSPSGNEVHFPELDGKIIGLYFAANWYPKCEAFTPVLAAAYQHLKERGAGFEVVLVSCDEDRPSFERFHRTMPWPAVPFGDLQCKKRLSERFQVEGIPRLVVLAPDGEVVHPDAADLVHRYGERAFPFTAARVAELEADDQHKYASQTLEKLFSIDGKEFVNGGNEQVPISSLVGKTVGLYFSAHQCAPCMKFTAKLAAIYRSLKGRAKDFEIVYIPMDKEEDGYLRSCSDMPWLALPYDGAPSRALARYFDVREIPTLVVVGPDGKTVTRDGRNLVNLYFDMAFPFTDAQIRQLQEAEDEAAKGYPQSLRHRGHRHELSIVSEKSGGGPYICCECEEQGLGWAYQCIACGYEIHLRCGRNAEGGSAGTG >KQL03356 pep chromosome:Setaria_italica_v2.0:V:1955545:1957096:1 gene:SETIT_004708mg transcript:KQL03356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLCAAVVLVLSPWEPMLASAASSVGSRRYDAIFSLGDSFTDTGNNPAVFAYYSIADPVTRPPYGNTFFGRPTGRNCDGRLIIDFIAEGLGLPYVPPYLGPPFGSPSPSAASFRQGASLAVGGATALDVEFYRSRGILSASSKFPLNASLSVQLEWFGSHLKPSLCRTTQECDKLFGRSLFFVGEFGVNDYQFLFGKMRLADISSNVVPTVIDTIRQAIERLIKLGAKTLVVPGVIPSGCTPLILDIFPEPNPSGYSTKTGCMLQYNELGRHHNTLLQESLQQIRAKNPGVKIIYADFFSPIMEMVQSPRKFGFRDDILSVCCGGGSGKYNYNMSVPCGSPNATTCSHPSASLNWDGIHFTEAANRHIASRWLSSIT >KQL08750 pep chromosome:Setaria_italica_v2.0:V:46934366:46936416:1 gene:SETIT_005467mg transcript:KQL08750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVGTEGSRTVLGTMRGVVAEEGLVGLYRGIGPRVLHSACFAAIGYCAFETARLAILQLYLEGCQRKAAAQHSTALP >KQL08749 pep chromosome:Setaria_italica_v2.0:V:46934366:46937591:1 gene:SETIT_005467mg transcript:KQL08749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVGTEGSRTVLGTMRGVVAEEGLVGLYRGIGPRVLHSACFAAIGYCAFETARLAILQLYLEGCQRKAAAQHSTALP >KQL08751 pep chromosome:Setaria_italica_v2.0:V:46934486:46935091:1 gene:SETIT_005467mg transcript:KQL08751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVVAEEGLVGLYRGIGPRVLHSACFAAIGYCAFETARLAILQLYLEGCQRKAAAQHSTALP >KQL03724 pep chromosome:Setaria_italica_v2.0:V:4290079:4293694:-1 gene:SETIT_001236mg transcript:KQL03724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGRRQDEEADCTAALLLSGDTGKQEREERCDPWRRVWEESKKLWEIVGPAIFTRTVTYSLNVIMQAFAGHLGDLELASVSFACTVLAGFNYGLMLGMASALETLCGQAYGAKKYHMMGVYMQRSWIVLLVCAVLLTPMYFFAEDVLLLTGQPPELSAMAGQVSVWFIPLHFSLAFLFPLQRFLQCQRKNLVNAVAAAAALCIHLFISWLFVSKLQFGLVGVALTLGFSWWAITVMLFVYVTCGGCPETWHGFTVEAFAGLGEFVKLSAASGVMLCLENWYYRILILLTGNLKNAAVAVDALSICMNINGWEMMIPLAFFAGTGVRVANELGAGNGKGAKFAATVSSTTSLVIGLFFWVLIMGLHDKIALIFTTSAVVLDAVNKLSLLLAFTILLNSIQPVLSGVAVGSGWQSTVAYINIGCYYIIGIPMGILLGWLFHLGVLGIWAGMIGGTAVQTLILAVITVRCDWEKEAMIAMDKLSQVQ >KQL05166 pep chromosome:Setaria_italica_v2.0:V:16855348:16860190:1 gene:SETIT_004004mg transcript:KQL05166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNRPIIDLDEGWAQMEIGIAKLKRILADEPRVSFVSDEYMHLYTTIYNMCTQKSPHDYSQQLYDKYRGAFDDYVTFTKHGEFLLRELVLRWKNHKVMVRWLCRFFHYLDRYFITRRSLPALKSVGWESFKTLAFDELKATVTTILITMVDKDRDGQIIDRTLVKNVLDIYIELGHDPARNQSAPDPPYEKDFEDAFRQGTIDYYSKKAQTWIVEDTCPEYMLKAEMCLQKEKERVAHYLHSSTEPKLMEAAQGELLARHIDQILKKENSGCKVLLCNEKVEDLSRMFRLFSRIKDGLPPVSKTFQEHVNEVGMSLLKQAVDAAASKKNEKRDVVSALELDYVRKILDLHDKYMAYVINSFQNHTLFHKALKEAFEVVCNKDVAGCTSAELFASYCDSILRKGGIEKLSDEAIEGNLEKARKKLGRRLLFDKNGNDELERSLLAKLKQYFGGQFTSKMEGMLTDIILAKDNQTKYEKYISRNPELHPSVDLSVQVLTTGYWPTYKSSEINLPSEMVKCVEVFKGFYQSVTKYRKMNWIYSLGNCNIIGRFELKPIELIVTTYQGALLLLFNESERLSFSEIVTQLNLSEDDTVRVLHSLSCGKYKILNKEPNSRTISPNDVFEFNHKFTDKMRRIKVQLPPSDEKKKVIDDVNKDRRFAIDAALVRIMKSRKIMTHQNLVAECVEQLSRMFKPDIKMIKRRIEDLITREYLERDKDSANSYRYLA >KQL08186 pep chromosome:Setaria_italica_v2.0:V:44069315:44069647:1 gene:SETIT_005371mg transcript:KQL08186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKHDQALSNLRENSNKFKHNSICLPEIITHQRHTIGKRSP >KQL03961 pep chromosome:Setaria_italica_v2.0:V:5598258:5600090:1 gene:SETIT_000624mg transcript:KQL03961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAIPLGLTLPRSSTGICSFSVLLKSTPKPNLSYSGRVPGKPALFPPRAVSEDRADATPQWQLDFLGARSGALDPPEEEDDDDELLPAEANDWCVRARRSALRSIEARGLAPSLQRMVSTPKKKKKKTAKKKDLKKAAAELKRRKKQLDAAREDEDEDEDEEEEDDDVVDDLRDMDDLELRVAQFADGMFDEKRQRNREAFVQTLSRFSAAPSNKSREVSLNRSIVQAQTADEVLSLAAEVIADVAKGLSPSPLTPLNIATALHRIAKNMEAVSMMQTHRLAFARQRDMSMLVGMAMVALPECSPQGISNIAWALSKIGGDLLYQSEMDRIADVAITKVQEFNAQNVANVAGAFASMRQSAPGLFSALAQRAAQILQTFKEQELAQFLWGCASLNECPHPLLDALDAAFQNDARFQCHVSDVTSSMHQEMDRPLNFGRDQIGNIAWSYAVIGKMDRPFFLHIWRTLSQFEEQRVSDQYREDMMFASQVYLANQSLKLEYPNLGLCLRSDLEEKITRAGKSKRFNQKTTSSFQKEVGRLLYSTGHEWVREYAIDGYTVDAVLVDEKLAFEIDGPTHFSRNLGMLLLLLCAILELQADIFPVILLKYHRIN >KQL03959 pep chromosome:Setaria_italica_v2.0:V:5598191:5600390:1 gene:SETIT_000624mg transcript:KQL03959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAIPLGLTLPRSSTGICSFSVLLKSTPKPNLSYSGRVPGKPALFPPRAVSEDRADATPQWQLDFLGARSGALDPPEEEDDDDELLPAEANDWCVRARRSALRSIEARGLAPSLQRMVSTPKKKKKKTAKKKDLKKAAAELKRRKKQLDAAREDEDEDEDEEEEDDDVVDDLRDMDDLELRVAQFADGMFDEKRQRNREAFVQTLSRFSAAPSNKSREVSLNRSIVQAQTADEVLSLAAEVIADVAKGLSPSPLTPLNIATALHRIAKNMEAVSMMQTHRLAFARQRDMSMLVGMAMVALPECSPQGISNIAWALSKIGGDLLYQSEMDRIADVAITKVQEFNAQNVANVAGAFASMRQSAPGLFSALAQRAAQILQTFKEQELAQFLWGCASLNECPHPLLDALDAAFQNDARFQCHVSDVTSSMHQEMDRPLNFGRDQIGNIAWSYAVIGKMDRPFFLHIWRTLSQFEEQRVSDQYREDMMFASQVYLANQSLKLEYPNLGLCLRSDLEEKITRAGKSKRFNQKTTSSFQKEVGRLLYSTGHEWVREYAIDGYTVDAVLVDEKLAFEIDGPTHFSRNLGTPLGHTAFKRRYITTSGWKLVSLSLQEVSYMFIAICGINWQLSKFTLSSVYDSQIFFGVLYCSL >KQL03960 pep chromosome:Setaria_italica_v2.0:V:5598191:5601238:1 gene:SETIT_000624mg transcript:KQL03960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAIPLGLTLPRSSTGICSFSVLLKSTPKPNLSYSGRVPGKPALFPPRAVSEDRADATPQWQLDFLGARSGALDPPEEEDDDDELLPAEANDWCVRARRSALRSIEARGLAPSLQRMVSTPKKKKKKTAKKKDLKKAAAELKRRKKQLDAAREDEDEDEDEEEEDDDVVDDLRDMDDLELRVAQFADGMFDEKRQRNREAFVQTLSRFSAAPSNKSREVSLNRSIVQAQTADEVLSLAAEVIADVAKGLSPSPLTPLNIATALHRIAKNMEAVSMMQTHRLAFARQRDMSMLVGMAMVALPECSPQGISNIAWALSKIGGDLLYQSEMDRIADVAITKVQEFNAQNVANVAGAFASMRQSAPGLFSALAQRAAQILQTFKEQELAQFLWGCASLNECPHPLLDALDAAFQNDARFQCHVSDVTSSMHQEMDRPLNFGRDQIGNIAWSYAVIGKMDRPFFLHIWRTLSQFEEQRVSDQYREDMMFASQVYLANQSLKLEYPNLGLCLRSDLEEKITRAGKSKRFNQKTTSSFQKEVGRLLYSTGHEWVREYAIDGYTVDAVLVDEKLAFEIDGPTHFSRNLGTPLGHTAFKRRYITTSGWKLVSLSLQEWDELQGEFEQLEYLRRILDIEAE >KQL06612 pep chromosome:Setaria_italica_v2.0:V:34620305:34620964:1 gene:SETIT_004450mg transcript:KQL06612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAVRSLVLLLTIAAAIAGALSDPTPLQDFCVADLQAATPVDGFVCKPPASVEDDDFFSRAIAAAGSTSNPFGVNSTRATVSTFPGLNTLGVSITRVDLAPGGLNPPHSHPRASELVMVLKGEVLVGFTTAVNRLFSKVVRENELFVVPRGLQHFQLNAGAGDAVFVAMFDSQSPGLVTPTFAMFATKPAMPMEVLTKTFLMGEDEVSAMKSKFAAF >KQL05353 pep chromosome:Setaria_italica_v2.0:V:23311199:23312746:-1 gene:SETIT_001386mg transcript:KQL05353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWADLPPDLLARIADRLGLKCYTSARGACAAWRRVLAPPAPALLLVAGDGWGQSRPTFAASLPARRSFDLVPFISYNSRCVGSSGSWIALSVVPYLERRAVIVLLNPVAPAEVVLPPLIYDAIWVVSKVVFAPSPAKDDFVAAAICDSDRIAYVTAGARRWAVLGPVCLADGDHFTDLVYHGEGKVYCLTRCGNVHVLHLPERRRRQPATVNGSEFSLLQTPEHIRRWISAPGAPDPMKGMAIDLLQDVSDLFMRRSPECQGEDLNEGATIEPLLSAGNAGSNPVIAFATPYDIVSAVTGAKNLVMCEGNLYQVWRNTSCTVSLQLPGGGRRRTLENEIFVLRYYPQRQPCWDVVKDLRGYSFFIGRNNAMSMCAEGVPGIRANCVYWIGGNGEDQGMVFDMETGRSTSCITPVITVPGHQQRTVCWYLLNDMVTTTTSSSNRGRRNTPTAATE >KQL05654 pep chromosome:Setaria_italica_v2.0:V:26749115:26750332:-1 gene:SETIT_003852mg transcript:KQL05654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFGCEYKRRRWYVRYVGESNGAGPVPPALPVPLCRCGVQAEVKQSRHPKTAGRAFYVCKWTFDPMPAAPCDFFQWIDGPDKYDPRICLFPYHSTELKPYHQFRRWVPPPPNPPRMTEEEKQETTCRRVRDPPMCKCGFTPFFRCSLKTHEQVREFESGKAPWPCVSSPSDRCKCGILATQGVVPSELGYGSFYGNAHGDYWEGRTCDWEDFSGRYDLLLKLGNTSEPWKSRKQQEIKEKIRKEYDTGVEPEGLYARETIIKYWRQNRSKYP >KQL04525 pep chromosome:Setaria_italica_v2.0:V:9551980:9555359:1 gene:SETIT_005454mg transcript:KQL04525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVGEEDTTEVVVVTVVLMTVVAEVAVMGDEIRKTKGAVKVAMDKLLHKGLLPMVGLLVTTWRLRAPMEATMPMVQILQCHLLIAIVVAQAHTHQAMVPLLQTNMAVVPQEGKVVYLLHMMVAMVVGPCLGVEVLGVHRHLIMVVAAAEAVVILVVLLLSQLQRLSSAMQTVMRRVTTQGFTSQTCLQMSLLKNYRSYLEESARLEGSSKNVAIKISGPGT >KQL04524 pep chromosome:Setaria_italica_v2.0:V:9551980:9555359:1 gene:SETIT_005454mg transcript:KQL04524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVGEEDTTEVVVVTVVLMTVVAEVAVMGDEIRKTKGAVKVAMDKLLHKGLLPMVGLLVTTWRLRAPMEATMPMVQILQCHLLIAIVVAQAHTHQAMVPLLQTNMAVVPQEGKVVYLLHMMVAMVVGPCLGVEVLGVHRHLIMVVAAAEAVVILVVLLLSQLQRLSSAMQTVMRRVTTQGFTSQTCLQMSLLKNYRSYLEESARLEGSSKNVAIKISGPGT >KQL04523 pep chromosome:Setaria_italica_v2.0:V:9551980:9555359:1 gene:SETIT_005454mg transcript:KQL04523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVGEEDTTEVVVVTVVLMTVVAEVAVMGDEIRKTKGAVKVAMDKLLHKGLLPMVGLLVTTWRLRAPMEATMPMVQILQCHLLIAIVVAQAHTHQAMVPLLQTNMAVVPQEGKVVYLLHMMVAMVVGPCLGVEVLGVHRHLIMVVAAAEAVVILVVLLLSQLQRLSSAMQTVMRRVTTQGFTSQTCLQMSLLKNYRSYLEESARLEGSSKNVAIKISGPGT >KQL04527 pep chromosome:Setaria_italica_v2.0:V:9551980:9555442:1 gene:SETIT_005454mg transcript:KQL04527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVGEEDTTEVVVVTVVLMTVVAEVAVMGDEIRKTKGAVKVAMDKLLHKGLLPMVGLLVTTWRLRAPMEATMPMVQILQCHLLIAIVVAQAHTHQAMVPLLQTNMAVVPQEGKVVYLLHMMVAMVVGPCLGVEVLGVHRHLIMVVAAAEAVVILVVLLLSQLQRLSSAMQTVMRRVTTQGFTSQTCLQMSLLKNYRSYLEESARLEGSSKNVAIKISGPGT >KQL04528 pep chromosome:Setaria_italica_v2.0:V:9551980:9554067:1 gene:SETIT_005454mg transcript:KQL04528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVGEEDTTEVVVVTVVLMTVVAEVAVMGDEIRKTKGAVKVAMDKLLHKGLLPMVGLLVTTWRLRAPMEATMPMVQILQCHLLIAIVVAQAHTHQAMVPLLQTNMAVVPQEGKVVYLLHMMVAMVVGPCLGVEVLGVHRHLIMVVAAAEAVVILVVLLLSQLQRLSSAMQTVMRRVTTQGFTSQTCLQMSLLKNYRSYLEESARLEGSSKNVAIKISGPGT >KQL04526 pep chromosome:Setaria_italica_v2.0:V:9551980:9555359:1 gene:SETIT_005454mg transcript:KQL04526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVVGEEDTTEVVVVTVVLMTVVAEVAVMGDEIRKTKGAVKVAMDKLLHKGLLPMVGLLVTTWRLRAPMEATMPMVQILQCHLLIAIVVAQAHTHQAMVPLLQTNMAVVPQEGKVVYLLHMMVAMVVGPCLGVEVLGVHRHLIMVVAAAEAVVILVVLLLSQLQRLSSAMQTVMRRVTTQGFTSQTCLQMSLLKNYRSYLEESARLEGSSKNVAIKISGPGT >KQL05622 pep chromosome:Setaria_italica_v2.0:V:26418430:26422949:-1 gene:SETIT_001321mg transcript:KQL05622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVANKFRLGRKLGSGSFGEIYLGTHVQTNEEVAIKLESVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEYVHIKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDSATHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLKGSLPWQGLKAGTKKQKYEKISERKIATSVEALCRGYPTEFVSYFHYCRSLRFEDTPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMAVPPRAMAAAAGQSSGMAPMANNRLSATEEGRRSGWSADPLRRQVPPAGINVVSLSKQKSPIRQEQSSSKDAVFDFFGSVKRILEASCCF >KQL05623 pep chromosome:Setaria_italica_v2.0:V:26418858:26422698:-1 gene:SETIT_001321mg transcript:KQL05623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVANKFRLGRKLGSGSFGEIYLGTHVQTNEEVAIKLESVKTKHPQLLYESKLYRILQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFSFCNRKLSLKTVLMLADQMINRVEYVHIKSFLHRDIKPDNFLMGLGKRANQVYMIDFGLAKKYRDSATHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLKGSLPWQGLKAGTKKQKYEKISERKIATSVEALCRGYPTEFVSYFHYCRSLRFEDTPDYQYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQMAVPPRAMAAAAGQSSGMAPMANNRLSATEEGRRSGWSADPLRRQVPPAGINVVSLSKQKSPIRQEQSSSKDAVFPSSTFLGRSSGSLRRPAVSSSRVPTGEAETHSRTPDTSPGTFQRNAPPRRTSQTLEYSDPRLSSSGRLMPNSKNYESTLRGIQGLNFDANDRIHY >KQL04942 pep chromosome:Setaria_italica_v2.0:V:13236378:13240793:1 gene:SETIT_000723mg transcript:KQL04942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYGCIEALNGGEGAQPTSHTPPGPPAHTTTTAAAAMAALRRQHRRAFPLVPLLISLLGCAAYGRLISDGGAAPSAPLLASVIRLASPAVAAAVEGKCEQSYGFLPCTTTVLGNLFLILVYGFLMFKAATYLSSGSELLLEIMGPGLVGGLLLPILGALPDALLVLVSGLSGSKETAQSQVLIGMGLLAGSTVFLLTLLWGTCVVVGKCDAGPNGEAVDLTNTKGFSLTGTCITTDVQTSYAARIMGLSVIPFVIAQFPKMLKTHHGQRLAVLLALIVSFLLVLSYCVYQVFQPWIQRRKLAYAKHKHVISGILRHAQMQSLGRLLNEDGTPNEDVIRKLFRKIDMDESCTLSRSELHALIVGINFEELDFDKMDAVNKVMDDFDTSRNDVVEEEEFVQGMKKWLNEAKRSVPAGGAFSHKFINDFHERTRQEHDQLIDRSDEAVESVENPGWCITKAVALLLLGAAIAAAFADPLVDTVHNFSNATHIPSFFISFIALPLATNSSEAVSAIIFASRKKQRTCSLTFSEVYGGVTMNNTLCLGVFLALIYFRNLTWDFSSEVLIILVVCVVMALFTSFRTTFPLWTCLVAYVLYPLSLVIVYILDYVFGWS >KQL04109 pep chromosome:Setaria_italica_v2.0:V:6565675:6566438:1 gene:SETIT_003379mg transcript:KQL04109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSLTTQSCRYWLTYTTIMKNKFSSCPSSLPALLVVAIMLVAIAISGSVVHCSEVVQAAHDATVAHPEGGRWGMAPPAPQGGPVHAYDVPPPPEPRLRRLLHHRTGRSAGAGSDV >KQL05747 pep chromosome:Setaria_italica_v2.0:V:27500330:27503693:1 gene:SETIT_001014mg transcript:KQL05747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPLVVDFPSMGAALCFNSLESLLRDSASGFLAAVSAAPAPGAADLTNFHRVFSRVLSGYPDPPLEAIWFFSALSFHDSPGDLRSLLQLLSAFTASSPGAAKPLALLAPVISELFHSDKTRRETEALVEAVLSYISICSSRPAASADGASADAGRLLPAFGELVKVWSVRHSMDRCPFQVLFPLAGEEARRELMKEGCSVEYLAGVVVAEAFLLRLCLKVQNGTGVPRAELQKELKMWAVSSIPVFQNQHFFGVLLNMLLNSPLPVYSLLSADDEILVRDAIYDALILVDYSFINNVSGVDQADLLPIYLSRLIITLDAVNDARRKGDQGRAMSFVNAFSISNVPNYLIKWATCKAVFGAVSKPIANTPQALLKWLVDLEDKGLKVFGDNSSWIKGRLIYDEVKNGFGNRMIHSGADLFFIDKQSGEEVMDTTKGSEDEDAVEMETTGNAFMAAAQSMKVETNGIRKRKGCGNEDAAAVKFVKYKVEDSSVKDYFLSANNGMSSGSEVENPQSDDEMEESD >KQL07163 pep chromosome:Setaria_italica_v2.0:V:38008235:38008751:1 gene:SETIT_004939mg transcript:KQL07163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGGGHHHMGAMAPAPFPPGGAHGGGMGMRRKRYIHMTFYWGQELGDPLHAVARRGRRHFLGSRRLDSLLPTAAAGANKRVAAGAARAAVHALRMGGAYLLMLALMSFNGGVLLVAVAGHAAGFLAFRAGLCGHGRAQVEGGCNKEEHAPAACC >KQL05314 pep chromosome:Setaria_italica_v2.0:V:22311779:22315125:-1 gene:SETIT_003003mg transcript:KQL05314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSALKPGTHIFAVYGDNFFKPASYMIEAMCAKSYEDTTERLKEIESKILAKRNDLRQFETEYRKALARFQEVTNRYTQEREAVDDMLRERDNIHCSFTTERTLVNPVGAGSSSSRYTTEHSIPESPENGSVDGRDKSGKKKWFNLNLNRSDKKA >KQL06604 pep chromosome:Setaria_italica_v2.0:V:34568275:34569012:-1 gene:SETIT_005099mg transcript:KQL06604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRFRDLAALILVAASAASLDSVGARVVHPIVNPPTAAAPAPASDGRRLFATAGRDELIALCQQMHYKTLCTTMAMLPGVSTPEQLLDTSLRITAVKAAMAAMKLDQAIKSGGGAEGEGMASSLQSCRESYASLVDSLNSTRDTLRSGGSSADLMSELSAAGTFSTDCEDIFDERPELKSPIPGAQRHISRLVSNCLDLASTIKEQP >KQL03404 pep chromosome:Setaria_italica_v2.0:V:2183037:2183568:1 gene:SETIT_003678mg transcript:KQL03404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKMYLMIRPSRARVKRKRIKIVTSYPRKRSNEICAGHNVETRLWPNAGHSSYPANVSW >KQL03755 pep chromosome:Setaria_italica_v2.0:V:4440181:4442301:-1 gene:SETIT_002342mg transcript:KQL03755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPRRRGTPIHLTVAQPLVFSILRRAFAPPLQVELCGHATLASAHFLFTSVLAEHETLIEFATKSGILTAEKVPAPASAAASGEGKLFIELDFPMIDLVDCHPAELPSIPQTLNGASVVSVQKSATAGDLIVELSSGKEVADIIPNIHEIKNCSGRGVVFTGPAPEGSGYDFFTRFFCPKLNIDEDPVCGSAHCVLAPFWGAKLGKQKLTAFQLIRMSSGISTERNITPGVGTCRQESANSGRSCRCDDWYPPSLAALRACFHS >KQL03754 pep chromosome:Setaria_italica_v2.0:V:4440016:4442649:-1 gene:SETIT_002342mg transcript:KQL03754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSGIQYAVVDAFTAEPFKGNPAAVCLLEDAAKAADERWMQSVAAEFNLAETAFLLRDSSAAAAPRFQLRWFTPAAEVELCGHATLASAHFLFTSVLAEHETLIEFATKSGILTAEKVPAPASAAASGEGKLFIELDFPMIDLVDCHPAELPSIPQTLNGASVVSVQKSATAGDLIVELSSGKEVADIIPNIHEIKNCSGRGVVFTGPAPEGSGYDFFTRFFCPKLNIDEDPVCGSAHCVLAPFWGAKLGKQKLTAFQLIRMSSGISTERNITPGVGTCRQESANSGRSCRCDDWYPPSLAALRACFHS >KQL03753 pep chromosome:Setaria_italica_v2.0:V:4439895:4442649:-1 gene:SETIT_002342mg transcript:KQL03753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSGIQYAVVDAFTAEPFKGNPAAVCLLEDAAKAADERWMQSVAAEFNLAETAFLLRDSSAAAAPRFQLRWFTPAAEVELCGHATLASAHFLFTSVLAEHETLIEFATKSGILTAEKVPAPASAAASGEGKLFIELDFPMIDLVDCHPAELPSIPQTLNGASVVSVQKSATAGDLIVELSSGKEVADIIPNIHEIKNCSGRGVVFTGPAPEGSGYDFFTRFFCPKLNIDEDPVCGSAHCVLAPFWGAKLGKQKLTAFQASRRSGILHLELEPAGRRVRIQGEAVAVMTGTLLA >KQL03811 pep chromosome:Setaria_italica_v2.0:V:4724383:4726340:-1 gene:SETIT_004530mg transcript:KQL03811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSIRRRGPHVPGASGKRTGPVRRPTRRRPPSHLLKRENSNRRAEPVQDKRALPLPIALPRRRPPALGDSAARPRSGNRRLHPNDGSAMSPVASCPTHTIHLLIAVLLALAAIYVHVPATAIIVVPNSNCYTFDNESRLIDFTHLVGKEYEYNEQGLQRSDLVVEFCKDVQKRSQEMSRIFMCGNFHPQGGIWQSLPMSPCSLEPPLSILGRGFGKVGPPANATSSLHTTDVGRLIALLREICHIQSAVHCVIKMRKR >KQL05351 pep chromosome:Setaria_italica_v2.0:V:23290096:23301654:1 gene:SETIT_000767mg transcript:KQL05351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDGKESKRRSRSRKRSRDASPSSDSDSSDSPSSASSPSSSPERSRSRSSKRKRSSSSSHRHRHSRKSSGRSRSSRDEDRRRRRQRRRDEERRRRGGDDVGSSGSGSEEEEDRAAAAEEAREIVRDILGEFPAVAGELRQLLQMIDSGEGIDISGISDKPLVKRLKKLFRSLRLKESASGAYLLPPKHVPTLDIVGPLLLASSKLADNENGKSVSPIREELPPSNFDVQTKDKDDTTSEGPKIVGVEEPPKRRIIGPAMPSRELLAAAAEMTEALRCRDAELEADDDLLIGPPPPAVVAEAASANEAERFEEVTRILAADTNSPYDILGVNWKMSTDNMKKRYWKLSLLVHPDKCPHPSAQEAFVRLNNAFKDLQDPDKRGAIDEKIKKKEEMEQFEIELKAMREAAEWRRLQGISLAGDEELLAGPKEAQAPKRDEWMTTLPPERKAGVPMHSTKSFSMNGKEGRGDTSVWTDTPLDRAQKAQQSYLEAYNKTKAIAEGDDVKNKNPDASIVDKYNTSKRSVSLVQKHRESKKEKKKQKQHEKEEWEGNHPWKPWDRENDLSAGRQKVALDPENMSQGLSSRFASGAVQRNFL >KQL03200 pep chromosome:Setaria_italica_v2.0:V:299631:301711:1 gene:SETIT_002410mg transcript:KQL03200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSLPTRPSPTAFSPPLPVPGRAPPPRLLRSRATGRLRAFSIKATHSGLSDVSVQSLAENELPAVTGAAYSFTGATTSLTSKTLTSSKKITLVRHGLSSWNAESRVQGSSNLSVLTETGAKQAEKCRDALSNMKFDVCFSSPISRAKSTAEIIWQGKEEPLVFLDSLKEAHLFFLEGMTNADAKKQYPELYTKWREDPANFHVNGIYPIRELWGTARQAWEQILLTPGENFLVVTHKSILRALVCTALGLPPERFRATDVNNGGMCVFTVNKHGEAMLQALNMTAHMYSDHTYQY >KQL03326 pep chromosome:Setaria_italica_v2.0:V:1593670:1596318:-1 gene:SETIT_002105mg transcript:KQL03326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNANMEIIHGKMSKHFVTIRIKVVDKNKDKNKDKAEDEDEAEAEDEDQKKKKKEEVKVEYEMYDGTVLSSQQDCLYIIASSKFRDKIDSKPIEVMLPNGKLIPIERGCFDMASEDSGIIGIRCTNPTPGVECGFGMLEPIEICEDPVQEQQEVYTYSHHFKERLLTPGSTMLVEENSFDHGCGRFIHTHIGTAVVNTDGRFVGFNFSHKGYLTAYNMREVAARIEKNQGRHFKRISDTFQHLRQQCRVLGFRLCNLDVFVKIQLIGQPEDSASWKNLAEFQQQNSAFQFDEETKQEIEQAVADVRDARFGRFYVRNRNRKRAVVDAKFGRCYVRRRDRK >KQL05115 pep chromosome:Setaria_italica_v2.0:V:16047945:16052289:-1 gene:SETIT_001395mg transcript:KQL05115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAGVATALRPHITFLSGGSVPIPSTTLQILPSVSPLPFRRRGRIVLVSSASSSPSSPPSPEDAVAEAVPVPTAESCVNLGLELFSKGRVREALEQFENALELNPTPIEAQAALYNKACCHAYREESKKAAECLRTALRDYNLKFGTILNDPDLAPFRASPEFKELQEEALRGGEDIGSGFRRDLKLISEVQAPFRGVRRFFYVALTAAAGISTFFTIPRLILAVQGGDGAPDLLETAGNAAINIGGIVVLVALYFWENKKEEKQITQISRNETLSRLPVRLSTNRIIELVQLRDITRPVILAGSKESVTRAMQRAERYRTELLKRGVLLIPVIFGASQKDQTRPRGFGTRRAAASIPSVGGDFEKRTESIAAKSRLKAEVRFKADIVSPEQWESWIRDQQESEGVTPGEDVYIILRLDGRVRRSGIGMPNWNDILQELPRLEDLMSKLER >KQL05975 pep chromosome:Setaria_italica_v2.0:V:29642134:29642877:-1 gene:SETIT_004142mg transcript:KQL05975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDLAAFAGNPSFAYGYEADGCMANGPLDGQCNYRAPVSPALGVPSGMTSPHIRSQLELGVFEFQPSKVCPRNFIIFDHTDEKGRIMYHPALVNKLNPTNIDAFPCRGEVVCRSLHQDDGNLEQHASSFKEDTEEIDALLSSDEDSDEDDVMSTGRSPDPLEIGPCESSSPPRFKKMKHFPGNCSVFNGSMENITHEKIRNMVAVLRGIIPGGDQLDAPDVLEEAVRYLKFLKVEAEKLGVAGFNA >KQL06676 pep chromosome:Setaria_italica_v2.0:V:34952624:34953244:-1 gene:SETIT_004330mg transcript:KQL06676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIDEDDFFGGGTDDEDAGRRPTDIDPWEKRKAIALMVLGSACLLSMLAFFMVPVHVYEAREASEFSMELTGFEGLNATIGNTVSPVFSLKVRISNPRVLQSWCYNGGEVVISYSGVAMAWGHVPRFCIHKGAPTEFTVLPLGRAVGLSDDLRRRLALDTHMGTGQILVEMKLFCDDKGLPSKRFHGPLLYKFQLMLRGGEDILN >KQL05562 pep chromosome:Setaria_italica_v2.0:V:25767779:25769574:-1 gene:SETIT_002635mg transcript:KQL05562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVNLIKEYRGKIEAELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGTERKESAESTMVAYKAAQDIALAEMAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGADEGKEASKGDAGEGQ >KQL05561 pep chromosome:Setaria_italica_v2.0:V:25766473:25770811:-1 gene:SETIT_002635mg transcript:KQL05561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVNLIKEYRGKIEAELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGTERKESAESTMVAYKAAQDIALAEMAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGADEGKEASKGDAGEGQ >KQL05563 pep chromosome:Setaria_italica_v2.0:V:25766473:25770811:-1 gene:SETIT_002635mg transcript:KQL05563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVNLIKEYRGKIEAELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGTERKESAESTMVAYKAAQDIALAEMAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGADEGKEASKGDAGEGQ >KQL05955 pep chromosome:Setaria_italica_v2.0:V:29501731:29502161:-1 gene:SETIT_0006872mg transcript:KQL05955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILLPVLHQHHWSVYCVNFGQSRIDVLDSFLYNPESDNNWDNYHLEFGKKIMHRLRTI >KQL05954 pep chromosome:Setaria_italica_v2.0:V:29501731:29502161:-1 gene:SETIT_0006872mg transcript:KQL05954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILLPVLHQHHWSVYCVNFGQSRIDVLDSFLYNPESDNNWDNYHLEFGKKIMHRLRTI >KQL04718 pep chromosome:Setaria_italica_v2.0:V:11196744:11199428:1 gene:SETIT_002062mg transcript:KQL04718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWATLQTDIVGLIVKKLAVPDYIRFRAVCTSWNHVCKDVSYCPRVDPWLMLPPNPTPLGAQFFCIPERKNQNIRLPSTASFFESVWAPVGSLNGWLIYFSQTYGTMQLVNPISGRQIQLPPIGRRIFSKAKLLEMNERNFIVAVLYGDQKGYKITRKGSNNWSSVESTHILDDIIKHRGRLYTCDMYGTVEVWAEPPRAWPDEEMTHQWRFRCLVETPAGDLIRVKRQSQNKFAVWILDKGSFSWVETDNIGDFALFVSHYNSFCFLAKDHPNLKANCIYFIDIHNNLCAFNLEHGTKELVETLAIGLDRNDYYRRPQRDQLLWFIPSLK >KQL04719 pep chromosome:Setaria_italica_v2.0:V:11196050:11199428:1 gene:SETIT_002062mg transcript:KQL04719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKVPRRLEITSSGMADWATLQTDIVGLIVKKLAVPDYIRFRAVCTSWNHVCKDVSYCPRVDPWLMLPPNPTPLGAQFFCIPERKNQNIRLPSTASFFESVWAPVGSLNGWLIYFSQTYGTMQLVNPISGRQIQLPPIGRRIFSKAKLLEMNERNFIVAVLYGDQKGYKITRKGSNNWSSVESTHILDDIIKHRGRLYTCDMYGTVEVWAEPPRAWPDEEMTHQWRFRCLVETPAGDLIRVKRQSQNKFAVWILDKGSFSWVETDNIGDFALFVSHYNSFCFLAKDHPNLKANCIYFIDIHNNLCAFNLEHGTKELVETLAIGLDRNDYYRRPQRDQLLWFIPSLK >KQL04720 pep chromosome:Setaria_italica_v2.0:V:11196050:11199428:1 gene:SETIT_002062mg transcript:KQL04720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKVPRRLEITSSGMADWATLQTDIVGLIVKKLAVPDYIRFRAVCTSWNHVCKDVSYCPRVDPWLMLPPNPTPLGAQFFCIPERKNQNIRLPSTASFFESVWAPVGSLNGWLIYFSQTYGTMQLVNPISGRQIQLPPIGRRIFSKAKLLEMNERNFIVAVLYGDQKGYKITRKGSNNWSSVESTHILDDIIKHRGRLYTCDMYGTVEVWAEPPRAWPDEEMTHQWRFRCLVETPAGDLIRVKRQSQNKFAVWILDKGSFSWVETDNIGDFALFVSHYNSFCFLAKDHPNLKANCIYFIDIHNNLCAFNLEHGTKELVETLAIGLDRNDYYRRPQRDQLLWFIPSLK >KQL06070 pep chromosome:Setaria_italica_v2.0:V:30468187:30473047:-1 gene:SETIT_004793mg transcript:KQL06070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSDQAPFFTDYGEASRYEVAEVVGKGSYGVVAAAVDTRTGERVAIKKINDVFEHISDATRILREIKLLRLLRHPDIVQIKHIMLPPTRREFRDIYVVFELMESDLHQVIKANDDLTAEHHQLFLYQLLRGMKYIHAANVFHRDLKPKNILANGDCKLKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLAGKPLFPGKNVVHQLDLMTDLLGTPSSESISRIRNEKARRYLSNMRKKHPIPFTQKFPGIDPMALHLLERLLAFDPKDRPTAAEALTDPYFTGLANSEREPITQPISKLEFEFERRKLARDDVRELIYREILEYHPQILQQFLCGGDKSNFVYPSGVDRFKRQFAHLEESAAKGEKTSPQLRQHASLPRERVNDIGDGLEKPSADYCIRLHVCEQPASASVTDGLSKPLSSSRNFLKSESISASQCVVIKQKRDKDFISSLLE >KQL06118 pep chromosome:Setaria_italica_v2.0:V:30795195:30797600:1 gene:SETIT_001769mg transcript:KQL06118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRGLGNVARRWRELNGVNYWKGLLDPLDIDLRRNIINYGELSQAAYTGLNRERRSRYAGSCLFNRRDFLSRVDVSNPDLYEITKFVYAMCTVSLPDGFMVKSLSKAAWSRQSNWMGFVAVATDEGKEVLGRRDVVVAWRGTIRMVEWMDDLDISLVPASEIVLPGSAINPCVHGGWLSVYTTADPGSQYNQESARHQVLNEVKRIQDLYKNEETSITITGHSLGAALATINAIDIVSNGYNKSCPVSAFVFGSPRVGNPDFQKAFDSAADLRLLRVRNSPDVVPKWPKLGYNDVGTELMIDTGESPYLKAPGNPLTWHDMECYMHGVAGTQGSSGGFKLLVDRDIALVNKHEDALKNEYSIPTSWWVVQNKGMVKGKDGRWHLADHEDDDY >KQL05192 pep chromosome:Setaria_italica_v2.0:V:17242251:17244434:-1 gene:SETIT_004467mg transcript:KQL05192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLVNLLLMEVAAIVSIVLLAILVVLSSYRRRSGHPALRLFVWAASTLFLPLVSYAVSAAAKWDAARVPLLLSWTVFLQMLRNTIDTARSSTSTISSGGSNGSKFRPSVEQLARMGWVAFLIISSGGAAGSPQLTGVLLWLWVLSLLKLIHRLVAAELAKNSFAVGLNAYLVADYMKQLHGQGLGGGGGHVEVNVPPYFVMGEEKLHIEARPQGYRIDRTSPPSLSIDGGGHLVTMDRICRLSSSGDPLLASCPHIKDLCLSFALFKLQLRRFIGCPLAEAGCHRAMAFVQDGLLSGSPERVFHVIEAELSFLADFLYSKLTVFYASGWWFPALNSILVLTTWISCLAAGGAIVHDMTNRGTALAVDYLNLRNYLQDHDTVFHVIVGLDVLVSFSFIVAIVFTEGWEIANYVCSDWLKVSTICEYARRPSWRKSPWARRRLGRVLRFRAMQQWDNRFCQMSILQLRFCYCGCVSRQVDRIAKETVVVPAAVKAAIVETLRTNQGRLGNGKLSLQRNGVADNLTWACRISAGDDNAELGSLSEQILVWHVATRLAELKLSQGAHGKVNSDNNDGEGESDQVVVATRLSRYCAYLVALKPGLLPDHRVWTEELYEGVVEEVTRVLARCAGPVVRYDRAATCLGGSMNSTLRKASKLGRQLGEELGDEELTWKVLADFWSELILYLAPSENVTSHAKSLCRGGEFITVLWALLGHAGIVERPESTDVF >KQL03624 pep chromosome:Setaria_italica_v2.0:V:3489628:3490492:1 gene:SETIT_003931mg transcript:KQL03624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGNSSMAGTDSTGLGPWRELFRGRDIYDVIGKAVLVAATDSPQEFRRRRDGIVEQIYTAPAAIVPTLQGRSAGEVSGGTALQVSDKGSKVASCTVVAPPEEPEDKNHEEGMADQNGNGHGDNANASSELEMDWLQTLADEVDEETQEIDEVLRIKEILLNHHEQHTCFSVMLQSASILFDSLRRLQLMQLTADKIKSTEIGDAVAALSKHKLHKIRTLVREIIK >KQL06305 pep chromosome:Setaria_italica_v2.0:V:32483545:32484161:1 gene:SETIT_005143mg transcript:KQL06305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRYTACLLGRLEMEIRGARSCTLLFMLEEEGFTMKKRQRLSGGMTAAATSCITSSAAAAGSNVAGGGGGKRKDAAASTEPSADDMAMVRDGGGAPQAEAVHHQRPDARLPEVVDDRDRLHRLIGDGHGPGGRVAPGTGEPATVEGGEKQARLQLDGDGVGSFGRDAVNFDEFYSSGDVAVDAAPGGGGGC >KQL06930 pep chromosome:Setaria_italica_v2.0:V:36494051:36495919:-1 gene:SETIT_004656mg transcript:KQL06930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGSELGSPATAPPPPPPLKRRKIEPPRRTRPSQVTLDKDKSAASSNSSVSGVLPARVDLNKVREAKRFVVLQAQHEGCLGSYKSFDSLFGNYLVPVIPSNDVSDQIGTK >KQL04624 pep chromosome:Setaria_italica_v2.0:V:10250427:10257347:-1 gene:SETIT_000133mg transcript:KQL04624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRGMVAGSRDGVVTIRHDGDGGATAKQLKNANEQICQICGDTVGLSATGDVFVACNECAFPVCRPCYEYERKEGNQCCPQCKTRYKRHKGSPRVPGDDEEDEVDDLDNEFNYTQGNGKGPQWQLQGQGEDVDISSSSRHEPHHRIPCLTSGQQISGDIPDASPDRHSIRSPTPSYVDPSIPVPVRIVDPSKDLNSYGVGSVDWKERVESWRVKQEKNMIQVTHKYAAEGKGDIEGTGSNGEDLQMADDARLPLSRIVPISPNELNLYRTVIVLRLIILCFFFQYRITHPVWDAYGLWLVSVICEVWFALSWLLDQFPKWYPINRETYLDRLALRYDREGEPSQLAPIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKIPEEGWTMADGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNSSKALREAMCFMMDPALGRKTCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEADLEPNIIIKSCCGGRKRKDKSYIDSKNRAMKRTESSAPIFNMEDIEEGFEGYEDERSLLMSQKSLEKRFGQSPIFIASTFMTQGGIPPSTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPLRPCFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPITSIPLIAYCVLPAICLLTNKFIIPEISNYAGMFFILLFASIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKATDEEGDFSELYVFKWTSLLIPPTTVLVINLVGIVAGVSYAINSGYQSWGPLFGKLFFSIWVILHLYPFLKGLMGKQNRTPTIVIVWSILLASIFSLLWVKIDPFISPTQKALSRGQCGVNC >KQL05995 pep chromosome:Setaria_italica_v2.0:V:29846312:29852427:-1 gene:SETIT_000725mg transcript:KQL05995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDQRKKSSAEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPIPFSQKFPNADPLALDLLQRLLAFDPKDRPTAEQALAHPYFKSLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENSGNGPVIPMERKHTSLPRSTIVHSAPIPVKEQPRIGPSREKPSSDESYKNSRETEKYSGNVSRTAPQRVPTARPGRVVGPVMPYENGNTKDHYDARRLAMNSGYPPQQQIPQTYGYYQTPGKPACSEPLQAERLTADTSLYTRSLNGITATAAGVAASAHRKVGVVPFGMSRMY >KQL05996 pep chromosome:Setaria_italica_v2.0:V:29846765:29852096:-1 gene:SETIT_000725mg transcript:KQL05996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDQRKKSSAEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPIPFSQKFPNADPLALDLLQRLLAFDPKDRPTAEQALAHPYFKSLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENSGNGPVIPMERKHTSLPRSTIVHSAPIPVKEQPRIGPSREKPSSDESYKNSRETEKYSGNVSRTAPQRVPTARPGRVVGPVMPYENGNTKDHYDARRLAMNSGYPPQQQIPQTYGYYQTPGKPACSEPLQAERYTLHQQAYACANSTTVSDVALDMRAPPFHHLSAGPKSDSSDRLTADTSLYTRSLNGITATAAGVAASAHRKVGVVPFGMSRMY >KQL05994 pep chromosome:Setaria_italica_v2.0:V:29846904:29852096:-1 gene:SETIT_000725mg transcript:KQL05994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDQRKKSSAEADFFTEYGDASRYKIQEVIGKGSYGVVCSAIDVHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTKEHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANSNCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNEKARRYLSSMRKKEPIPFSQKFPNADPLALDLLQRLLAFDPKDRPTAEQALAHPYFKSLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYINGTERTTFLYPSAVDQFRKQFAHLEENSGNGPVIPMERKHTSLPRSTIVHSAPIPVKEQPRIGPSREKPSSDESYKNSRETEKYSGNVSRTAPQRVPTARPGRVVGPVMPYENGNTKDHYDARRLAMNSGYPPQQQIPQTYGYYQTPGKPACSEPLQAERAEK >KQL06289 pep chromosome:Setaria_italica_v2.0:V:32367426:32370160:-1 gene:SETIT_001192mg transcript:KQL06289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPNNSISAVLSRTTPVFQLKVWELIAIGVAIFMAVLFVIVLCLSLRKKKKAVKGFDNTSLAEIPIVSKEINVDRVDAQSLHDSEVTFKPVHDKYTQMKGAGHLGESRSVDVDTFSQCSSVYNIEKAGSSYSEDYSYSSSGPARKGSSPYAYSASPLVGLPELSHLGWGHWFTLRDLELATNRFAKSNVLGEGGYGVVYKGRLVNGTEIAVKKILNNVGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGIHRMLVYEYVNNGNLEQWLHGVNQRGVLSWENRMKILLGTAKALAYLHEAIDPKVVHRDIKSSNILIDDEFNSKVSDFGLAKLLNSDKSHINTRVMGTYGYVAPEYANSGMLNEKSDIYSFGVVLLECVTARDPVDYSKPADEVNLIEWLKMMVTNKRAEEVVDPNLEVKPPKRALKRAILVGFKCVDPDADKRPKMSHVVQMLEAVQNAYYQDQRKLSQVGSMDIESQQSVEETSNNADA >KQL04454 pep chromosome:Setaria_italica_v2.0:V:9121738:9122424:1 gene:SETIT_003749mg transcript:KQL04454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRSLTTLRMKLLVDTRGQRVLFAEASKEVVDFLFSLLALPVGAVAMLLGQEDAAATGGSVGGLYRSVVNLERSFAQPGADMDALLLPAVPPPAAGCVGSLLRLPDASARPRCPSCGGRPPLAESGVQPSRSSVPNGSPADAGGFVRGNMTYMVRDDLAVAPMSTISSISVFNALAVRGLGAVHERTVQIGHTEALKILKASFESKTVLTDVFLRGRAPAPGTLAR >KQL03395 pep chromosome:Setaria_italica_v2.0:V:2147636:2148236:1 gene:SETIT_004800mg transcript:KQL03395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALTGPYRAIGGLDFMYFEYNLKIKGDGAVDEDFSKGLRERCVYSTDDPNTPTTLSLESYLSTVDMLLLNGKSTFAGKISASTSGNTTKMVLYDSQVAGTKTEFGSGGSVSLSRHIVAVPLGEDLLLYFCVRDSYNKSRRLKFVIGNGVDERTCNLGTYKLQLNIIWKGVFRQNRARLEYCGEDILLG >KQL08167 pep chromosome:Setaria_italica_v2.0:V:43916735:43918783:-1 gene:SETIT_003132mg transcript:KQL08167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRELRPLRSIRITGDGRCLFRSVAYGACLRRGKLSPSDSAQKELADELRAKVADEFVKRREDTEWFLEGDFESYVKKMRKPHAWGGEPELLMCSHVLRMPITVYMYTSSSDSPRIIAEYGQEYGKDNPVRVLYDGYGHYDALQPSLERCVDNRRLRYLSFFYRTAA >KQL08168 pep chromosome:Setaria_italica_v2.0:V:43916963:43918640:-1 gene:SETIT_003132mg transcript:KQL08168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRELRPLRSIRITGDGRCLFRSVAYGACLRRGKLSPSDSAQKELADELRAKVADEFVKRREDTEWFLEGDFESYVKKMRKPHAWGGEPELLMCSHVLRMPITVYMYTSSSDSPRIIAEYGQEYGKDNPVRVLYDGYGHYDALQPSLVRTQSRLRGV >KQL04429 pep chromosome:Setaria_italica_v2.0:V:8923527:8923618:-1 gene:SETIT_005000mg transcript:KQL04429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIQFDSTKPQIITQVEMAEALVPLAYHD >KQL05142 pep chromosome:Setaria_italica_v2.0:V:16516550:16520957:-1 gene:SETIT_002142mg transcript:KQL05142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAAAASFHLAPATNRPHRRASATTSCSLKPAPTARPSRPLTLVRRAPVARASLGISHDKGSEVSGADVVGQNDLLIVGPGVLGRIVAEKWQKEHPGCKVYGQTASTNHHSELMDLGIIPSLKGSTISQKVPHVIFCAPPSGSDDYPGDVRVAASNWSGEGSFLFTSSTALYDCSDNMMCNEDCPSVPIGRSPRTDVLLKVENVVLEAGGCVLRLAGLYKIDRGAHVFWLRKGTLDTRPDHIINQIHYEDAASLAIAIMKKQLRSRIFLGCDNKPLSRSLQTFSTSVSSFCKASFAVQCLFG >KQL05141 pep chromosome:Setaria_italica_v2.0:V:16516027:16521015:-1 gene:SETIT_002142mg transcript:KQL05141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAAAASFHLAPATNRPHRRASATTSCSLKPAPTARPSRPLTLVRRAPVARASLGISHDKGSEVSGADVVGQNDLLIVGPGVLGRIVAEKWQKEHPGCKVYGQTASTNHHSELMDLGIIPSLKGSTISQKVPHVIFCAPPSGSDDYPGDVRVAASNWSGEGSFLFTSSTALYDCSDNMMCNEDCPSVPIGRSPRTDVLLKVENVVLEAGGCVLRLAGLYKIDRGAHVFWLRKGTLDTRPDHIINQIHYEALTVHWGRGWRTQKLGLRSGGSLRIQASLNSLVSAVSILA >KQL05144 pep chromosome:Setaria_italica_v2.0:V:16516053:16521015:-1 gene:SETIT_002142mg transcript:KQL05144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAAAASFHLAPATNRPHRRASATTSCSLKPAPTARPSRPLTLVRRAPVARASLGISHDKGSEVSGADVVGQNDLLIVGPGVLGRIVAEKWQKEHPGCKVYGQTASTNHHSELMDLGIIPSLKGSTISQKVPHVIFCAPPSGSDDYPGDVRVAASNWSGEGSFLFTSSTALYDCSDNMMCNEDCPSVPIGRSPRTDVLLKVENVVLEAGGCVLRLAGLYKIDRGAHVFWLRKGTLDTRPDHIINQIHYEDAASLAIAIMKKQLRSRIFLGCDNKPLSRQEIMDAVNRSGKFDTKFEGFTEDGELKNSG >KQL05143 pep chromosome:Setaria_italica_v2.0:V:16516277:16520957:-1 gene:SETIT_002142mg transcript:KQL05143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAAAASFHLAPATNRPHRRASATTSCSLKPAPTARPSRPLTLVRRAPVARASLGISHDKGSEVSGADVVGQNDLLIVGPGVLGRIVAEKWQKEHPGCKVYGQTASTNHHSELMDLGIIPSLKGSTISQKVPHVIFCAPPSGSDDYPGDVRVAASNWSGEGSFLFTSSTALYDCSDNMMCNEDCPSVPIGRSPRTDVLLKVENVVLEAGGCVLRLAGLYKIDRGAHVFWLRKGTLDTRPDHIINQIHYEDAASLAIAIMKKQLRSRIFLGCDNKPLSRQEIMDAVNRSGKFDTKFEGFTGTDGPLGKRMENSKTRAEIGWEPTYPSFTEFLGLSS >KQL04947 pep chromosome:Setaria_italica_v2.0:V:13299771:13300790:-1 gene:SETIT_002803mg transcript:KQL04947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPTVGGGAPYDGGSTSSFMQRRQDQQQSYSFSGRVLLTAVVILAILTVVFVLVRLLLYQFVARGRGSLRRSFVSFGRSSGRHGLDASALAALPVTEYRRKVEADGDDGSTSTTGGAAAATDCAVCLSELADGEKVRALPSCGHVFHVECVDAWLRSRTTCPVCRAEVRPDKGAGGAGARSPALATPPPALFGAGGTLVVTVEGGVAETRDARTPVLGAAVNRPGP >KQL05705 pep chromosome:Setaria_italica_v2.0:V:27131794:27134353:1 gene:SETIT_004070mg transcript:KQL05705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCVLSRQNLRKLALFTLQNISQRQNISPFPPVLRSTALFPSKCFSPLYLFGHSWGVRWATYGSVNLVLSDDGRPKFQIEEVEPSKKRRYLTKKRLKVQRKRVKKKRNEANKNDPRRIRPKGKKIKQKFSTAEARLRYKIEKARLKEAMLIEKLKKYEVARAQGPMSKLDDLSSEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYANEIARLSGGIPVNIIGDDTIVFYRGKNYVQPEVMSPVDTLSKKKALEKSKYEQSLETVQRFIAVSEKELELYYRHVALYGIPQSQKADLVCGDDGEASLLKMGGLDQGKDLLPHLATNHFSDLHTSDISESDEEDTSGSEYDVNDNETEDAVNISEDAISDLGGLANRE >KQL07404 pep chromosome:Setaria_italica_v2.0:V:39586934:39587448:1 gene:SETIT_005275mg transcript:KQL07404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGSFGPTNIRGFWSAIGRSCYLLPVGVGISGVCPAVSFWLIS >KQL04676 pep chromosome:Setaria_italica_v2.0:V:10755257:10758169:1 gene:SETIT_002204mg transcript:KQL04676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGRRGGAADEVKLNTGNVFAALESLKKKKKGDKGKAAGSSSSSRKKHGDGAAQQQEPPQKEVFWAPAPLTTKSWADVEDDDDDDYFATTAPPRPVWGTAGHRDEAGKDEEDVEDAVRAALQEDIESDDEDLDDEVDDGAEDEPEHEAEEAAVEPPMKTVAPPTAPPKDTERQLSKKELKKKELAELDAVLAELGLSGNSSNAAQDAEKKGANQTGDGEKKEDAPAPSESKTSKKKKKKDKSSKEAKETQEAADGSEETASAEPDEDTAVDVKERLKKMASMKKKKSSKEMDTAAKIAASEAAARSARLAAAKKKEKSHYNQQPVR >KQL05964 pep chromosome:Setaria_italica_v2.0:V:29509652:29516541:1 gene:SETIT_001973mg transcript:KQL05964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKAYYRKGAACMKLEEYQTAKAALELGSSYASGDSRFTRMLKECEERIAEEANQAPVKKVEAPVAATVEDREDVANMENTQPVVEPPSKPKYRHDFYNSSTEVVLTIFAKGVPADCVVVDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKCKYLVLSTKVEIRLAKAEQVTWTTLDYSGRPKALPQKISTPAETAPRPSYPSSKSKKDWDKLEAEVKKEEKDEKLDGDAALNKFFRDIYKDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >KQL05961 pep chromosome:Setaria_italica_v2.0:V:29509169:29516541:1 gene:SETIT_001973mg transcript:KQL05961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDLESKAKEAFFDDDFELAAELYTQAIDAGPATADLYADRAQAHIKLGNYTEAVADANKAIELDPTMHKAYYRKGAACMKLEEYQTAKAALELGSSYASGDSRFTRMLKECEERIAEEANQAPVKKVEAPVAATVEDREDVANMENTQPVVEPPSKPKYRHDFYNSSTEVVLTIFAKGVPADCVVVDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKCKYLVLSTKVEIRLAKAEQVTWTTLDYSGRPKALPQKISTPAETAPRPSYPSSKSKKDWDKLEAEVKKEEKDEKLDGDAALNKFFRDIYKDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >KQL05959 pep chromosome:Setaria_italica_v2.0:V:29509169:29516541:1 gene:SETIT_001973mg transcript:KQL05959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDLESKAKEAFFDDDFELAAELYTQAIDAGPATADLYADRAQAHIKLGNYTEAVADANKAIELDPTMHKAYYRKGAACMKLEEYQTAKAALELGSSYASGDSRFTRMLKECEERIAEEANQAPVKKVEAPVAATVEDREDVANMENTQPVVEPPSKPKYRHDFYNSSTEVVLTIFAKGVPADCVVVDFGEQMIIPEKCKYLVLSTKVEIRLAKAEQVTWTTLDYSGRPKALPQKISTPAETAPRPSYPSSKSKKDWDKLEAEVKKEEKDEKLDGDAALNKFFRDIYKDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >KQL05960 pep chromosome:Setaria_italica_v2.0:V:29509169:29516541:1 gene:SETIT_001973mg transcript:KQL05960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDLESKAKEAFFDDDFELAAELYTQAIDAGPATADLYADRAQAHIKLGNYTEAVADANKAIELDPTMHKAYYRKGAACMKLEEYQTAKAALELGSSYASGDSRFTRMLKECEERIAEEANQAPVKKVEAPVAATVEDREDVANMENTQPVVEPPSKPKYRHDFYNSSTEVVLTIFAKGVPADCVVVDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKCKYLVLSTKVEIRLAKAEQVTWTTLDYSGRPKALPQKISTPAETAPRPSYPSSKSKKDWDKLEAEVKKEKDEKLDGDAALNKFFRDIYKDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >KQL05963 pep chromosome:Setaria_italica_v2.0:V:29509169:29516541:1 gene:SETIT_001973mg transcript:KQL05963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKAYYRKGAACMKLEEYQTAKAALELGSSYASGDSRFTRMLKECEERIAEEANQAPVKKVEAPVAATVEDREDVANMENTQPVVEPPSKPKYRHDFYNSSTEVVLTIFAKGVPADCVVVDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKCKYLVLSTKVEIRLAKAEQVTWTTLDYSGRPKALPQKISTPAETAPRPSYPSSKSKKDWDKLEAEVKKEEKDEKLDGDAALNKFFRDIYKDADEDMRRAMMKSFVESNGTVLSTNWKDVGSKKVEGSPPDGMELKKWEY >KQL05962 pep chromosome:Setaria_italica_v2.0:V:29509287:29515677:1 gene:SETIT_001973mg transcript:KQL05962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASDLESKAKEAFFDDDFELAAELYTQAIDAGPATADLYADRAQAHIKLGNYTEAVADANKAIELDPTMHKAYYRKGAACMKLEEYQTAKAALELGSSYASGDSRFTRMLKECEERIAEEANQAPVKKVEAPVAATVEDREDVANMENTQPVVEPPSKPKYRHDFYNSSTEVVLTIFAKGVPADCVVVDFGEQMLSVSIEVPGEEPYHFQPRLFSKIIPEKCKYLVLSTKVEIRLAKAEQVTWTTLDYSGRPKALPQKISTPVVYMLCS >KQL04212 pep chromosome:Setaria_italica_v2.0:V:7270672:7273921:1 gene:SETIT_000667mg transcript:KQL04212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSEDDYEISDSEIDEREAEVYEQLKSGNIKVKDREAYSCPFCRDKRKKDYSKSNLLQHATGVGSAANRQAKDKATHRALAKYLKDESARSSEPQTQLTVLIEPQNLGNRDDQFVWPWMGVLVNVPTEWKNGRQVGESGNRLKEQLSHFCPQKVIPLWNYRGHTGNAIVEFAKDWTGFKNALAFENHFEAEGYGKRDWKLKKYRGSEMFGWVARVDDHRCQGPIGDHLRKNGDLKTVGDLENEGIRKTDKLVANLASQIEVKHRHVQELESKCNETTASLDRMMEQREQLLQNYNEEIRKIQQIARRHSQRIIDENQKLRSELESKMQELDSRSKELDELASQSDYDRRNLQQEKEKNQMKTKHLKMATMEQQRSDENVLKLVEEHKREKQVALEKILKLQQQLDAKQKLELDIQQLQGKLEVMKHMPGEEDSESKKKIKELSEDLQDKYDEMEAMESLNQTLVIKERKSNDELQNARKELIAGFKELSVGRINIGIKRMGELDPKAFGNACRKRLSKDDAEVTSAILCSKWEDEIRNPNWHPFKVVLIDGKETEVLSEEDENLSKLKEEHGEEIYVLVTKALVEINEYNPSGRYPVPELWNFKEGRKATLKEAVQHVMKQWRTHKRKR >KQL06711 pep chromosome:Setaria_italica_v2.0:V:35159857:35164013:-1 gene:SETIT_000883mg transcript:KQL06711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSPGKWIKSVLLGKKSTKSGSTKANESKAANNNGYSTGEERALSENSPVISEPVLVNPHKNGAVSANVKAENVNLPGDRAGQQNQSIVESETSGPGQLGEDQAAVKAQAAFRGYLARRSFRALKGIIRLQALIRGHLVRRQAVSTLRTTWLIVKFQALVRGRNVRLSGSDMQFNLKFGQHSLGGARSSDAWKDKLSSNAYVRKLLSSPIVLEPLHFQYDKRDPNSTYNWLERWTLGCIWKSVSQPKRVPDGKLLVRKASYAMETESAKLKRNIRKGSGATVESLPSESEKLKRNPKKSSNFPADSVPDSQLSELEKVKRNLRKVTNSMAEASKISSSRVDSSKVSDSTPDVPKVSNPVAEISKTSSLLNGISDHQDSQCEKALNEAQEYSGNGHLLEYSNIDNFDLVPGLKSDLETQFDSVSIGENVDEPTVVAPAVDIMPLQNIENEDNVLRKKEEARSQEEHLSNGSHRTSKRKSSFPNKSEYVENGTHTTPVRPRQPSYMAATESLKAKLRAQVSPKLDSDSSAEKNGFTRRHSLPSSTNSRAVKAEWKR >KQL07130 pep chromosome:Setaria_italica_v2.0:V:37827946:37832538:1 gene:SETIT_001330mg transcript:KQL07130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPCRTRTDPSVVASHPSSGLRRPSRSPFSATPLHLPPTSPVLRRPPGSSPHARHDHDLAAMSWLARSIAATLSSAHSDDDESESASGDQSPPRASDPTSADAGESDEQPDTPSRGVKGDISELTESLTRQFWGVASFLAPPPVKSEADERDEQEEAAAAEGPQSPRIDGIRSDLAEIGGRVRTGISMLSNAGAVAEISRIASSLLPFGSGEEEGQEEGEAVGVTEEVVVFVSHISKHPKTWLDFPLFADDRHADDFELSEAQYGHAVAIEHLVPSLSYLRTELCSTIMSEACFWKIYFVLLHSKLNKQDAEILSTPQILEAREELLQSSPTKNNPSSENMSAPSTQPEDSTLSPSSIQNESSMSEAPSVQEPTSDPVPNVEAEKHPISTTDTEVIDKSVIQEELVVKTEVKSLPTEKSNPNLVEDDDEKEVDDWLQDMDPVPSKTGNTAPAGEEEDVSFSDLEDD >KQL07136 pep chromosome:Setaria_italica_v2.0:V:37848202:37854011:-1 gene:SETIT_000171mg transcript:KQL07136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSAGAGGGVAGDEAIWRKLREAGFDEDAVRRRDKAALIGYISRLESEIYDYQHNLGLILLEQKEVTSKYEQLKAASEATEIMLKRERAAQQSALAETRKREENLKKNLCIQKECVSNLEKALHDMRGETAEIKVSYEAKLVEALQMIDAAQKKFDEAEEKLLAAKSLEAESIRTHNASLRSLQDIEDREDQLRRDRTSFELESASKEKEISLQRKLLDDTKKILHEKEQALVKEQALLNQRDDNILERLGYITHSEKRLEEEKLNLEDERKALMEEKNKLDLKMQAIISREEAIIKKESVLDKRESELLVLQETIASKERAEIERLRQEQEIDLGRRRNEFDTEMEIKLTSFKEEIEARKTLLDQRESALSEQEDAVAQREQNINLRLAELSNKEESLVKRSDELKEEERKLSSHRDTVHSELQKEREEIQNMKLDLEKEKSFFEEEKREAIQAQEKLLITQSEREDLLILQMKLKEEIDSLRAQKVELMVDAERLLAEKERFEIEWELIDEKKDELQKEAARIAEERRVIDEHLKNELDVIKQEKENLRIQFKSSAESLACEHKEFMNKMQQEHASWLSRIQQEREDLKRDIDIQRTELMNSAKARQMEIESYLREKEEEFEQKKSKELEYINSEKETISSKLEHVRIELQKLEDERKEALLERARREQELSEIKSTIDALNEQREKLQEQRKLLHSDREAITQQIQQLNELEELKIESENNQLSLRQCGRSKHGDVETQKENGVHLSPDEDQNASPKKCSSPKVILGKKLEVSPSVSTPISWVRKCAQVIFKRSPEKSADHDNDRSAHATLGNVNDFSLVENGGLFACQLENGAGEVPHAVDGLKVGKKRLNYALSHDQSENLEPKRKHQRSSILTQKVVGGEIDSNGSPSVLEEKCSKNEHDAVLVGLSRKGLPYPRTGEVASSDDALFVNGKPDTSDIPDDEPSEEISVSAAEALNGDVAEDKDELDEDSDDEGEEEEEEKASSAKKLWRFLIT >KQL07135 pep chromosome:Setaria_italica_v2.0:V:37848202:37854011:-1 gene:SETIT_000171mg transcript:KQL07135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSAGAGGGVAGDEAIWRKLREAGFDEDAVRRRDKAALIGYISRLESEIYDYQHNLGLILLEQKEVTSKYEQLKAASEATEIMLKRERAAQQSALAETRKREENLKKNLCIQKECVSNLEKALHDMRGETAEIKVSYEAKLVEALQMIDAAQKKFDEAEEKLLAAKSLEAESIRTHNASLRSLQDIEDREDQLRRDRTSFELESASKEKEISLQRKLLDDTKKILHEKEQALVKEQALLNQRDDNILERLGYITHSEKRLEEEKLNLEDERKALMEEKNKLDLKMQAIISREEAIIKKESVLDKRESELLVLQETIASKERAEIERLRQEQEIDLGRRRNEFDTEMEIKLTSFKEEIEARKTLLDQRESALSEQEDAVAQREQNINLRLAELSNKEESLVKRSDELKEEERKLSSHRDTVHSELQKEREEIQNMKLDLEKEKSFFEEEKREAIQAQEKLLITQSEREDLLILQMKLKEEIDSLRAQKVELMVDAERLLAEKERFEIEWELIDEKKDELQKEAARIAEERRVIDEHLKNELDVIKQEKENLRIQFKSSAESLACEHKEFMNKMQQEHASWLSRIQQEREDLKRDIDIQRTELMNSAKARQMEIESYLREKEEEFEQKKSKELEYINSEKETISSKLEHVRIELQKLEDERKEALLERARREQELSEIKSTIDALNEQREKLQEQRKLLHSDREAITQQIQQLNELEELKIESENNQLSLRQCGRSKHGDVETQKENGVHLSPDEDQNASPKKCSSPKVILGKKLEVSPSVSTPISWVRKCAQVIFKRSPEKSADHDNDRSAHATLGNVNDFSLVENGGLFACQLENGAGEVPHAVDGLKVGKKRLNYALSHDQSENLEPKRKHQRSSILTQKVVGGEIDSNGPSVLEEKCSKNEHDAVLVGLSRKGLPYPRTGEVASSDDALFVNGKPDTSDIPDDEPSEEISVSAAEALNGDVAEDKDELDEDSDDEGEEEEEEKASSAKKLWRFLIT >KQL07134 pep chromosome:Setaria_italica_v2.0:V:37847741:37854204:-1 gene:SETIT_000171mg transcript:KQL07134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSAGAGGGVAGDEAIWRKLREAGFDEDAVRRRDKAALIGYISRLESEIYDYQHNLGLILLEQKEVTSKYEQLKAASEATEIMLKRERAAQQSALAETRKREENLKKNLCIQKECVSNLEKALHDMRGETAEIKVSYEAKLVEALQMIDAAQKKFDEAEEKLLAAKSLEAESIRTHNASLRSLQDIEDREDQLRRDRTSFELESASKEKEISLQRKLLDDTKKILHEKEQALVKEQALLNQRDDNILERLGYITHSEKRLEEEKLNLEDERKALMEEKNKLDLKMQAIISREEAIIKKESVLDKRESELLVLQETIASKERAEIERLRQEQEIDLGRRRNEFDTEMEIKLTSFKEEIEARKTLLDQRESALSEQEDAVAQREQNINLRLAELSNKEESLVKRSDELKEEERKLSSHRDTVHSELQKEREEIQNMKLDLEKEKSFFEEEKREAIQAQEKLLITQSEREDLLILQMKLKEEIDSLRAQKVELMVDAERLLAEKERFEIEWELIDEKKDELQKEAARIAEERRVIDEHLKNELDVIKQEKENLRIQFKSSAESLACEHKEFMNKMQQEHASWLSRIQQEREDLKRDIDIQRTELMNSAKARQMEIESYLREKEEEFEQKKSKELEYINSEKETISSKLEHVRIELQKLEDERKEALLERARREQELSEIKSTIDALNEQREKLQEQRKLLHSDREAITQQIQQLNELEELKIESENNQLSLRQCGRSKHGDVETQKENGVHLSPDEDQNASPKKCSSPKVILGKKLEVSPSVSTPISWVRKCAQVIFKRSPEKSADHDNDRSAHATLGNVNDFSLVENGGLFACQLENGAGEVPHAVDGLKVGKKRLNYALSHDQSENLEPKRKHQRSSILTQKVVGGEIDSNGPSVLEEKCSKNEHDAVLVGLSRKGLPYPRTGEVASSDDALFVNGKPDTSDIPDDEPSEEISSAAEALNGDVAEDKDELDEDSDDEGEEEEEEKASSAKKLWRFLIT >KQL06965 pep chromosome:Setaria_italica_v2.0:V:36770230:36774537:-1 gene:SETIT_000318mg transcript:KQL06965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASALSSPAVSRTPNPKSAAAPPPSPSTRRAVADAASAAAAAAAADSKARFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLVLQMVHDPTALEPRCRFQEEDSEEYGSPMVVATAIADLIKQRTESHLRKIQAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKRGEPESTPDEILSMVKSLATPPHRLVLFLQQSSVEWCSSIWLDTLKEIDPTFRRTMVVISKFDNRLKEFTERWEVDTFLSASGYLGDNIHPFFVALPKDRGTISNEEFRRQICQVDIDVLRHLRDNVKGGFNEEKYGSHIGFSCLRKYLESELQKRYKEAAPATLALLEQRCSEVSMELTRIDSKLQATSDVSQLRRSAMLHAASICTHLRALLDGAADPAPEIWGKTTDEEQMHSGIVSWPGINVPVKPANSTLKLYGGAAFERVMHEFRCATYSMECPQVSREKVANILLAHAGRGGSSGLTEAAAEIARAAARSWLAPLIDTACDRLAFVLQSLFDLAMERNRNKDSQYQSVEDMDGYVGFLAALRCSYYKFVKELSKQCKQIVRHHLDSVTSPYSHICYESDSLSGIGSVANSMNRFNHFPGVTSFDLSDSGSALDEAQENMPPKDQQHMTPPTKGNESKDILRESQLTVPETPSPDLPSDIHGGKKKDTGIPNDGGPRKRHARMAAYTNRNHHNNSIIGADDIGSKSGSSYSTICAISARYFAKMREVLIERNVPSALNSGFLTPCRERLFLALGFELFAVNDDKFMDMFVAPGAVDTIQNERQSLLKRQKILLCCLNEFKNISRAL >KQL08456 pep chromosome:Setaria_italica_v2.0:V:45538365:45540382:-1 gene:SETIT_002236mg transcript:KQL08456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKEAARERREQRRREVTLLRALPYEPHQRWWDHLEPRAVAVVTGANRGMGFEAARQLALHGLHVVLTSRDAAKGQDAAGRIMAEAPGEASVSVEWRQLDVAEAASVEAFAAWALETHGGIHVLVNNAGVNFNKGPDNSVEFAEQVIKTNYYGMKQMTDAMIPLMKPSPYGARIVNVSSRLGRVNGRRNRIGDAILRDQLLNDDYLSEQLIDEMITKFLEQVRQGTWSSNQWPQMYTDYSVSKLAVNAYTRLMSRRLSDRPEGQKIYINCFCPGWVKTAMTGFEGNISAEEGADTGVWLALLPCEQGDS >KQL08457 pep chromosome:Setaria_italica_v2.0:V:45538761:45540382:-1 gene:SETIT_002236mg transcript:KQL08457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKEAARERREQRRREVTLLRALPYEPHQRWWDHLEPRAVAVVTGANRGMGFEAARQLALHGLHVVLTSRDAAKGQDAAGRIMAEAPGEASVSVEWRQLDVAEAASVEAFAAWALETHGGIHVLVNNAGVNFNKGPDNSVEFAEQVIKTNYYGMKQMTDAMIPLMKPSPYGARIVNVSSRLGRVNGRRNRIGDAILRDQLLNDDYLSEQLIDEMITKFLEQVRQGTWSSNQWPQMYTDYSVSKLAVNAYTRLMSRRLSDRPEGQKIYINCFCPGWVKTAMTGFEGNISAEEGADTGVWLALLPCEQGTNGKFFAERREISF >KQL03983 pep chromosome:Setaria_italica_v2.0:V:5697546:5699815:-1 gene:SETIT_005069mg transcript:KQL03983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPACAPGLISGPTLPPQRVAFRCYWAPKPSSSSSHTRRPRRRRVLVVAAASARASPAAARGLDADDFRHPLDKQNTLLLRAIPGLNDMGKALLGPVSEQVMVLQNIGSSVLVSPNQLPELHELLVEAAKLLNTEAPDLYIRQNPVPNAYTLAINGKKPFIVVHTSLVELLTRKELQAVLAHELGHLKCDHGFGMVAGFMEEQLYRWLRAAELTCDRAALLVVQDPKVVISVLMKLAGGSPSLADQLNVDAFLEQARSYDKAASNPVGWYIRNAQTRELSHPLPVMRAREIDEWSRSQEYKTVMQKLIQLGLNKV >KQL06942 pep chromosome:Setaria_italica_v2.0:V:36580332:36582430:1 gene:SETIT_003230mg transcript:KQL06942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYSSLRRQAASMKKSLFDQGYLDEQFCQVEDLQDETSPNFAEEVVTLFFKDSARLISNIEQALEKYPKDFNKWDAYMQQLKGSCSSIGASRMKSECMSFRDYCAQGNAEGCMKSFQKVKREHGVLRQKLEAYFQLLRQVGPSGAATRPAM >KQL04335 pep chromosome:Setaria_italica_v2.0:V:8279672:8283850:-1 gene:SETIT_000920mg transcript:KQL04335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPSRAAARAYGCCHRLLLASTTVPATSGCAGRARSLTLALRCLPIAGHRLRSRRVACQAMTETEPEGNGDDEEKEVFGDDASSPSADSAAEVNEPAKSDSNIDNKKDETTNAELLSSSDAAQNIDGDATTTNDMQENVEVVEVASGSPLPGMKGGVLFKGNLRGKPAKSYEKITNRLENKFGDQYKLFLLVNPEDEKPVAVVIPRQTLQPETTAVPEWFAAASFGLVTIFTLLLRNVPVLQDNLLSTFDNLELLKDGLSGALVTGLIIGVHEIGHILAAKESGIKLGVPYFVPSWQIGSFGAITRIINIVRNREDLLKLAAAGPLAGFSLGFVLLLLGFILPPSDGLGLIIDPTVFHESFLVGGLAKLLLGDALKEGTQLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRLSSLTIGLLGIAALFNDVAFYWVVLIFFLQRGPIAPLSEEITDPENNYIGIGIAVLLFGLLICLPYPFPFDPSQLTDIDFDF >KQL04338 pep chromosome:Setaria_italica_v2.0:V:8279946:8283835:-1 gene:SETIT_000920mg transcript:KQL04338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPSRAAARAYGCCHRLLLASTTVPATSGCAGRARSLTLALRCLPIAGHRLRSRRVACQAMTETEPEGNGDDEEKEVFGDDASSPSADSAAEVNEPAKSDSNIDNKKDETTNAELLSSSDAAQNIDGDATTTNDMQENVEVVEVASGSPLPGMKQQLDDAERIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRLENKFGDQYKLFLLVNPEDEKPVAVVIPRQTLQPETTAVPEWFAAASFGLVTIFTLLLRNVPVLQDNLLSTFDNLELLKDGLSGALVTGLIIGVHEIGHILAAKESGIKLGVPYFVPSWQIGSFGAITRIINIVRNREDLLKLAAAGPLAGFSLGFVLLLLGFILPPSDGLGLIIDPTVFHESFLVGGLAKLLLGDALKEGTQLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRLSSLTIGLLGIAALFNDVAFYWVVLIFFLQRGPIAPLSEEITDPENNYIGIGIAVLLFGLLICLPYPFPFDPSQLTDIDFDF >KQL04336 pep chromosome:Setaria_italica_v2.0:V:8279672:8283850:-1 gene:SETIT_000920mg transcript:KQL04336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPSRAAARAYGCCHRLLLASTTVPATSGCAGRARSLTLALRCLPIAGHRLRSRRVACQAMTETEPEGNGDDEEKEVFGDDASSPSADSAAEVNEPAKSDSNIDNKDETTNAELLSSSDAAQNIDGDATTTNDMQENVEVVEVASGSPLPGMKLDDAERIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRLENKFGDQYKLFLLVNPEDEKPVAVVIPRQTLQPETTAVPEWFAAASFGLVTIFTLLLRNVPVLQDNLLSTFDNLELLKDGLSGALVTGLIIGVHEIGHILAAKESGIKLGVPYFVPSWQIGSFGAITRIINIVRNREDLLKLAAAGPLAGFSLGFVLLLLGFILPPSDGLGLIIDPTVFHESFLVGGLAKLLLGDALKEGTQLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRLSSLTIGLLGIAALFNDVAFYWVVLIFFLQRGPIAPLSEEITDPENNYIGIGIAVLLFGLLICLPYPFPFDPSQLTDIDFDF >KQL04337 pep chromosome:Setaria_italica_v2.0:V:8279946:8283835:-1 gene:SETIT_000920mg transcript:KQL04337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPSRAAARAYGCCHRLLLASTTVPATSGCAGRARSLTLALRCLPIAGHRLRSRRVACQAMTETEPEGNGDDEEKEVFGDDASSPSADSAAEVNEPAKSDSNIDNKKDETTNAELLSSSDAAQNIDGDATTTNDMQENVEVVEVASGSPLPGMKLDDAERIPKATIDILKDQVFGFDTFFVTSQEPYEGGVLFKGNLRGKPAKSYEKITNRLENKFGDQYKLFLLVNPEDEKPVAVVIPRQTLQPETTAVPEWFAAASFGLVTIFTLLLRNVPVLQDNLLSTFDNLELLKDGLSGALVTGLIIGVHEIGHILAAKESGIKLGVPYFVPSWQIGSFGAITRIINIVRNREDLLKLAAAGPLAGFSLGFVLLLLGFILPPSDGLGLIIDPTVFHESFLVGGLAKLLLGDALKEGTQLSINPLVLWAWAGLLINAINSIPAGELDGGRIAFAMWGRKISSRLSSLTIGLLGIAALFNDVAFYWVVLIFFLQRGPIAPLSEEITDPENNYIGIGIAVLLFGLLICLPYPFPFDPSQLTDIDFDF >KQL04396 pep chromosome:Setaria_italica_v2.0:V:8733291:8746304:1 gene:SETIT_000008mg transcript:KQL04396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDAGEGAAAAPPEMSPEQRIEQKLILYGVPEEQLQEHQEGLLMYIEKHKEQIPDIVRLILSVGTDILEARKPSKKESSSSSSGDAYSESLSWLQWLMFNHEPEAMLQDLDRSSAGDRAVCGSVWGQSDLAYRCRTCENDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKREGFCSKHKGAEQIKPLPEELAHSVGPVLDVLLQFWKEWICLVEPPPAKGDGSSSCKRVAEELTMSITNMMLQFCTCSESLLSFLSLRIRECQDLLDALIRSERLLDKKVAKKLHELLLKLISDPAFKYEFAKVFIRYYPVTFGEVIKGCNDSLLEEYPLMPTFSVQIFTVPTLTTRLVHEVNLLGVLLGCLTDLFLSCIGEDGRLQTNKWGNLFDASVRLLDDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENEHLSAPFVLGHYFGIVQNLLIKGAFSPPDQHESTDVTVCSSAINGMESAENQRHAKVGRVSQESSVSNLSIRDSSLSCGLPSPAAWLILQCLKAIESWLEPDIALRSKLSSLDPSSSDSRNFMASPEDRTSDKGTSSNTNIGVMGVKINEGSQPDGTADYRDVSSSPVHGNCDRMQIDQEEIPSASNRTGKGKMHDSSNTTDIELHPENAITYTLTDGSLLHAHPDSRIEELGILNTRGWPHVIFDVSSQETSFHIPLHRMLSLLLRKAMKKCFGEDARPDEHSVVQSCEFFSQVLRGCEPYGFASIVMEHPLRVRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSSYTSLDLAEQNEYESVLMQEMLTFLIQLVKERRFCGLSTADNLKRELIYKLAIGDATHSQIVKSLPRDLSSSDQLQNVLDSLAVYSNPCGMKQGKYVLRKSCWNELDLYHPRWNSRELQIAEERYYRFCKTSALNAQLPRWTHVFSPLRSISNVATSKAVLQIVRAVLFYAVYSEASSASRAPDNVLVTGLHLLWLALDICESERQVHADQYGMDVVQHDDESWVVLSSYTEEAFPILTYSTEVVSPESDNVKKESMLTLLVLLMHKYKEENDATFSGSKYCNIPSLIESLLKKFAKLSKKCMFTLRQMAPHVVPSTPDSSSIKESLGTSSDPMEKKAKARQHQAAIMAKMRAEQSKFAESMKSSENEGHDVPMLETDVSSSTGAVSEESLPVCSLCRDSDSKSPLCYLILLQKSRLATFVEMGHPSWDNPTQANKMSGSVKKEDSTDSLVSGSSTSEELVDDTTVEPSFDLDSMEVDAFLDFSNEQHPLIRYISSFPSGHCNGNADDTVSLEAIEADIYNSILNDVFGSSNAHIQNAEQTSPSSASSITVDSKKTRSPKRSVLGTYVSCLSAKHRHSSLYDVASKSSASVSTRNKFGPLHCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASTDFSSKTLKGKPFVETLTREVVITTTSHLNTSHLQFPRALSLLESAGKTVGQSKFLKALSGKLNDTTESALEPSLRRLAMLYYHRGPSGFSASERKRLNPSLFLWDTLRYSVVSTEIASRGRMLNQSAESKSCLESLRGELNSSSGFILSLLFRVAHSARNLNRLEVLLRFEGIQLLAGSICSCISGYKDVLNATKRKGSLPPMVDPGEEGPLFPDVQFWKQCADPVLAQDPFSSLMSTLFCLPVQFVSSAEFFIPFVHLFYIVCAIQALITCYGSETFDRSSFSDCLLNDVCKTMSGYDIAREYFVSKYIDPYCHPKDMVRRLTYPYLRRCALLWELLRSSATSPLYDNSNIWEGSHLYLNNSTQDGSSSLAMELNGISELEKLFQIQSLDLILRDESVHVLALKWSQHFCEDYSSRKYRGSLFSTPAVPFRLMQLPPVYQVLLERYIKMQCPDCGSVPDEPALCLLCGKLCSPSWKPCCRTGKCLNHASQCGAGVGIFLLVRKTTILLQRSARLAFWPSPYLDTFGEEDHEMQRGKPLYLSQERYTALTYLVASHSLDRTSEVLRQTTISFYGSGSD >KQL04394 pep chromosome:Setaria_italica_v2.0:V:8733291:8746659:1 gene:SETIT_000008mg transcript:KQL04394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDAGEGAAAAPPEMSPEQRIEQKLILYGVPEEQLQEHQEGLLMYIEKHKEQIPDIVRLILSVGTDILEARKPSKKESSSSSSGDAYSESLSWLQWLMFNHEPEAMLQDLDRSSAGDRAVCGSVWGQSDLAYRCRTCENDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKREGFCSKHKGAEQIKPLPEELAHSVGPVLDVLLQFWKEWICLVEPPPAKGDGSSSCKRVAEELTMSITNMMLQFCTCSESLLSFLSLRIRECQDLLDALIRSERLLDKKVAKKLHELLLKLISDPAFKYEFAKVFIRYYPVTFGEVIKGCNDSLLEEYPLMPTFSVQIFTVPTLTTRLVHEVNLLGVLLGCLTDLFLSCIGEDGRLQTNKWGNLFDASVRLLDDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENEHLSAPFVLGHYFGIVQNLLIKGAFSPPDQHESTDVTVCSSAINGMESAENQRHAKVGRVSQESSVSNLSIRDSSLSCGLPSPAAWLILQCLKAIESWLEPDIALRSKLSSLDPSSSDSRNFMASPEDRTSDKGTSSNTNIGVMGVKINEGSQPDGTADYRDVSSSPVHGNCDRMQIDQEEIPSASNRTGKGKMHDSSNTTDIELHPENAITYTLTDGSLLHAHPDSRIEELGILNTRGWPHVIFDVSSQETSFHIPLHRMLSLLLRKAMKKCFGEDARPDEHSVVQSCEFFSQVLRGCEPYGFASIVMEHPLRVRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSSYTSLDLAEQNEYESVLMQEMLTFLIQLVKERRFCGLSTADNLKRELIYKLAIGDATHSQIVKSLPRDLSSSDQLQNVLDSLAVYSNPCGMKQGKYVLRKSCWNELDLYHPRWNSRELQIAEERYYRFCKTSALNAQLPRWTHVFSPLRSISNVATSKAVLQIVRAVLFYAVYSEASSASRAPDNVLVTGLHLLWLALDICESERQVHADQYGMDVVQHDDESWVVLSSYTEEAFPILTYSTEVVSPESDNVKKESMLTLLVLLMHKYKEENDATFSGSKYCNIPSLIESLLKKFAKLSKKCMFTLRQMAPHVVPSTPDSSSIKESLGTSSDPMEKKAKARQHQAAIMAKMRAEQSKFAESMKSSENEGHDVPMLETDVSSSTGAVSEESLPVCSLCRDSDSKSPLCYLILLQKSRLATFVEMGHPSWDNPTQANKMSGSVKKEDSTDSLVSGSSTSEELVDDTTVEPSFDLDSMEVDAFLDFSNEQHPLIRYISSFPSGHCNGNADDTVSLEAIEADIYNSILNDVFGSSNAHIQNAEQTSPSSASSITVDSKKTRSPKRSVLGTYVSCLSAKHRHSSLYDVASKSSASVSTRNKFGPLHCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASTDFSSKTLKGKPFVETLTREVVITTTSHLNTSHLQFPRALSLLESAGKTVGQSKFLKALSGKLNDTTESALEPSLRRLAMLYYHRGPSGFSASERKRLNPSLFLWDTLRYSVVSTEIASRGRMLNQSAESKSCLESLRGELNSSSGFILSLLFRVAHSARNLNRLEVLLRFEGIQLLAGSICSCISGYKDVLNATKRKGSLPPMVDPGEEGPLFPDVQFWKQCADPVLAQDPFSSLMSTLFCLPVQFVSSAEFFIPFVHLFYIVCAIQALITCYGSETFDRSSFSDCLLNDVCKTMSGYDIAREYFVSKYIDPYCHPKDMVRRLTYPYLRRCALLWELLRSSATSPLYDNSNIWEGSHLYLNNSTQDGSSSLAMELNGISELEKLFQIQSLDLILRDESVHVLALKWSQHFCEDYSSRKYRGSLFSTPAVPFRLMQLPPVYQVLLERYIKMQCPDCGSVPDEPALCLLCGKLCSPSWKPCCRTGKCLNHASQCGAGVGIFLLVRKTTILLQRSARLAFWPSPYLDTFGEEDHEMQRGKPLYLSQERYTALTYLVASHSLDRTSEVLRQTTISFYGSGSD >KQL04395 pep chromosome:Setaria_italica_v2.0:V:8733291:8746304:1 gene:SETIT_000008mg transcript:KQL04395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDAGEGAAAAPPEMSPEQRIEQKLILYGVPEEQLQEHQEGLLMYIEKHKEQIPDIVRLILSVGTDILEARKPSKKESSSSSSGDAYSESLSWLQWLMFNHEPEAMLQDLDRSSAGDRAVCGSVWGQSDLAYRCRTCENDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKREGFCSKHKGAEQIKPLPEELAHSVGPVLDVLLQFWKEWICLVEPPPAKGDGSSSCKRVAEELTMSITNMMLQFCTCSESLLSFLSLRIRECQDLLDALIRSERLLDKKVAKKLHELLLKLISDPAFKYEFAKVFIRYYPVTFGEVIKGCNDSLLEEYPLMPTFSVQIFTVPTLTTRLVHEVNLLGVLLGCLTDLFLSCIGEDGRLQTNKWGNLFDASVRLLDDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENEHLSAPFVLGHYFGIVQNLLIKGAFSPPDQHESTDVTVCSSAINGMESAENQRHAKVGRVSQESSVSNLSIRDSSLSCGLPSPAAWLILQCLKAIESWLEPDIALRSKLSSLDPSSSDSRNFMASPEDRTSDKGTSSNTNIGVMGVKINEGSQPDGTADYRDVSSSPVHGNCDRMQIDQEEIPSASNRTGKGKMHDSSNTTDIELHPENAITYTLTDGSLLHAHPDSRIEELGILNTRGWPHVIFDVSSQETSFHIPLHRMLSLLLRKAMKKCFGEDARPDEHSVVQSCEFFSQVLRGCEPYGFASIVMEHPLRVRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSSYTSLDLAEQNEYESVLMQEMLTFLIQLVKERRFCGLSTADNLKRELIYKLAIGDATHSQIVKSLPRDLSSSDQLQNVLDSLAVYSNPCGMKQGKYVLRKSCWNELDLYHPRWNSRELQIAEERYYRFCKTSALNAQLPRWTHVFSPLRSISNVATSKAVLQIVRAVLFYAVYSEASSASRAPDNVLVTGLHLLWLALDICESERQVHADQYGMDVVQHDDESWVVLSSYTEEAFPILTYSTEVVSPESDNVKKESMLTLLVLLMHKYKEENDATFSGSKYCNIPSLIESLLKKFAKLSKKCMFTLRQMAPHVVPSTPDSSSIKESLGTSSDPMEKKAKARQHQAAIMAKMRAEQSKFAESMKSSENEGHDVPMLETDVSSSTGAVSEESLPVCSLCRDSDSKSPLCYLILLQKSRLATFVEMGHPSWDNPTQANKMSGSVKKEDSTDSLVSGSSTSEELVDDTTVEPSFDLDSMEVDAFLDFSNEQHPLIRYISSFPSGHCNGNADDTVSLEAIEADIYNSILNDVFGSSNAHIQNAEQTSPSSASSITVDSKKTRSPKRSVLGTYVSCLSAKHRHSSLYDVASKSSASVSTRNKFGPLHCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASTDFSSKTLKGKPFVETLTREVVITTTSHLNTSHLQFPRALSLLESAGKTVGQSKFLKALSGKLNDTTESALEPSLRRLAMLYYHRGPSGFSASERKRLNPSLFLWDTLRYSVVSTEIASRGRMLNQSAESKSCLESLRGELNSSSGFILSLLFRVAHSARNLNRLEVLLRFEGIQLLAGSICSCISGYKDVLNATKRKGSLPPMVDPGEEGPLFPDVQFWKQCADPVLAQDPFSSLMSTLFCLPVQFVSSAEFFIPFVHLFYIVCAIQALITCYGSETFDRSSFSDCLLNDVCKTMSGYDIAREYFVSKYIDPYCHPKDMVRRLTYPYLRRCALLWELLRSSATSPLYDNSNIWEGSHLYLNNSTQDGSSSLAMELNGISELEKLFQIQSLDLILRDESVHVLALKWSQHFCEDYSSRKYRGSLFSTPAVPFRLMQLPPVYQVLLERYIKMQCPDCGSVPDEPALCLLCGKLCSPSWKPCCRTGKCLNHASQCGAGVGIFLLVRKTTILLQRSARLAFWPSPYLDTFGEEDHEMQRGKPLYLSQERYTALTYLVASHSLDRTSEVLRQTTISFYGSGSD >KQL04393 pep chromosome:Setaria_italica_v2.0:V:8733291:8745192:1 gene:SETIT_000008mg transcript:KQL04393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDAGEGAAAAPPEMSPEQRIEQKLILYGVPEEQLQEHQEGLLMYIEKHKEQIPDIVRLILSVGTDILEARKPSKKESSSSSSGDAYSESLSWLQWLMFNHEPEAMLQDLDRSSAGDRAVCGSVWGQSDLAYRCRTCENDPTCAICVPCFQNGNHKDHDYSIMYTGGGCCDCGDATAWKREGFCSKHKGAEQIKPLPEELAHSVGPVLDVLLQFWKEWICLVEPPPAKGDGSSSCKRVAEELTMSITNMMLQFCTCSESLLSFLSLRIRECQDLLDALIRSERLLDKKVAKKLHELLLKLISDPAFKYEFAKVFIRYYPVTFGEVIKGCNDSLLEEYPLMPTFSVQIFTVPTLTTRLVHEVNLLGVLLGCLTDLFLSCIGEDGRLQTNKWGNLFDASVRLLDDTRYVLSHEEVSKYVAYERPDLTRSWIKLLSLVQGMDPQKRVTSIHAEDENEHLSAPFVLGHYFGIVQNLLIKGAFSPPDQHESTDVTVCSSAINGMESAENQRHAKVGRVSQESSVSNLSIRDSSLSCGLPSPAAWLILQCLKAIESWLEPDIALRSKLSSLDPSSSDSRNFMASPEDRTSDKGTSSNTNIGVMGVKINEGSQPDGTADYRDVSSSPVHGNCDRMQIDQEEIPSASNRTGKGKMHDSSNTTDIELHPENAITYTLTDGSLLHAHPDSRIEELGILNTRGWPHVIFDVSSQETSFHIPLHRMLSLLLRKAMKKCFGEDARPDEHSVVQSCEFFSQVLRGCEPYGFASIVMEHPLRVRVFCAQVRAGMWRKNGDAAILSAEWYRSVQWLEQGLESDLFLLQCCAALSSPEFFVRTIQERFGLSSYTSLDLAEQNEYESVLMQEMLTFLIQLVKERRFCGLSTADNLKRELIYKLAIGDATHSQIVKSLPRDLSSSDQLQNVLDSLAVYSNPCGMKQGKYVLRKSCWNELDLYHPRWNSRELQIAEERYYRFCKTSALNAQLPRWTHVFSPLRSISNVATSKAVLQIVRAVLFYAVYSEASSASRAPDNVLVTGLHLLWLALDICESERQVHADQYGMDVVQHDDESWVVLSSYTEEAFPILTYSTEVVSPESDNVKKESMLTLLVLLMHKYKEENDATFSGSKYCNIPSLIESLLKKFAKLSKKCMFTLRQMAPHVVPSTPDSSSIKESLGTSSDPMEKKAKARQHQAAIMAKMRAEQSKFAESMKSSENEGHDVPMLETDVSSSTGAVSEESLPVCSLCRDSDSKSPLCYLILLQKSRLATFVEMGHPSWDNPTQANKMSGSVKKEDSTDSLVSGSSTSEELVDDTTVEPSFDLDSMEVDAFLDFSNEQHPLIRYISSFPSGHCNGNADDTVSLEAIEADIYNSILNDVFGSSNAHIQNAEQTSPSSASSITVDSKKTRSPKRSVLGTYVSCLSAKHRHSSLYDVASKSSASVSTRNKFGPLHCDGIHISSCGHAVHQECHDRYLFSLKQRYVRRLGFEGGHIVDPDLGELLCPVCRRFANSILPASTDFSSKTLKGKPFVETLTREVVITTTSHLNTSHLQFPRALSLLESAGKTVGQSKFLKALSGKLNDTTESALEPSLRRLAMLYYHRGPSGFSASERKRLNPSLFLWDTLRYSVVSTEIASRGRMLNQSAESKSCLESLRGELNSSSGFILSLLFRVAHSARNLNRLEVLLRFEGIQLLAGSICSCISGYKDVLNATKRKGSLPPMVDPGEEGPLFPDVQFWKQCADPVLAQDPFSSLMSTLFCLPVQFVSSAEFFIPFVHLFYIVCAIQALITCYGSETFDRSSFSDCLLNDVCKTMSGYDIAREYFVSKYIDPYCHPKDMVRRLTYPYLRRCALLWELLRSSATSPLYDNSNIWEGSHLYLNNSTQDGSSSLAMELNGISELEKLFQIQSLDLILRDESVHVLALKWSQHFCEDYSSRKYRGSLFSTPAVPFRLMQLPPVYQVLLERTGKCLNHASQCGAGVGIFLLVRKTTILLQRSARLAFWPSPYLDTFGEEDHEMQRGKPLYLSQERYTALTYLVASHSLDRTSEVLRQTTISFYGSGSD >KQL06051 pep chromosome:Setaria_italica_v2.0:V:30341562:30344631:1 gene:SETIT_002140mg transcript:KQL06051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQPRRHARPRLPGAPISRRSRRRLGPLGARWVSGVRVYLSICPVQEHVPVWTGSPFHASIRPAARPSTARGGEQAGKEGEPLLPVPPPPIKGPPGRHGRGSSSTANQAFLLQSSQILENQRSTHLLLHRTKAMADAVERLKTGFEQFKADVYDKKPELFEPLKAHQSPKYMVFACSDSRVCPSVTLGLQPGEAFAVRNIASMVPPYDKTKYAGVGSAIEYAVCALKVEVIVVIGHSCCGGIKALLSLQDGEADKFHFVEDWVRIGAPAKAKVQADHASAAFEDQCSILEKEAVNVSLENLKTYPFVKEGLEKGTLKLVGGHYDFVSGKFETWEP >KQL06052 pep chromosome:Setaria_italica_v2.0:V:30341562:30344631:1 gene:SETIT_002140mg transcript:KQL06052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQPRRHARPRLPGAPISRRSRRRLGPLGARWVSGVRVYLSICPVQEHVPVWTGSPFHASIRPAARPSTARGGEQAGKEGEPLLPVPPPPIKGPPGRHGRGSSSTANQAFLLQSSQILENQRSTHLLLHRTKAMADAVERLKTGFEQFKADVYDKKPELFEPLKAHQSPKYMVFACSDSRVCPSVTLGLQPGEAFAVRNIASMVPPYDKTKYAGVGSAIEYAVCALKVEVIVVIGHSCCGGIKALLSLQDGEADNHFVEDWVRIGAPAKAKVQADHASAAFEDQCSILEKEAVNVSLENLKTYPFVKEGLEKGTLKLVGGHYDFVSGKFETWEP >KQL07761 pep chromosome:Setaria_italica_v2.0:V:41594903:41595336:1 gene:SETIT_003626mg transcript:KQL07761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPLEENPFQVITCSAGGRHHAIVDRSKAPEVATAHRHREVCIIQHLGTLAPPTLLRRRWLSHVLRIHGG >KQL04768 pep chromosome:Setaria_italica_v2.0:V:11537375:11547226:1 gene:SETIT_000048mg transcript:KQL04768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRPLLNLRLLLLLGAVLGNAGPGRGGGAEASDRAEPDPYSILMWHDYSPPSPPPPPPAPVAPVATCAKDLHGKGDFRTRCEVSEEVKLGGDVYITGNGSLVLLSGASLTCEKAGCVISANLSGEVRLSRGVRVRAGRVSLVATNITVADTVVVNTTALAGDPPDRTSGVPTGTHGDGGGHGGRGASCFVKDGQTQEDSWGGDAYAWSDLEHPCSFGSKGGSTSVEKDYGGAGGGIVWLFAENLIMNGTVLADGGDSSEKGGGGSGGSIYIKAATMHGGGKISASGGNGLAGGGGGRVSINVFSRHDDTQIFVHGGRSSGCPDNAGAAGTLYEAVPKSLIVSNNNLSTQTDTLLLEFPNQPLWTNVFVRNHAKVAVPLLWSRVQVQGQLSLLSGGILTFGLTRYPYSEFELMAEELLMSDSTIKVFGALRMSVKMLLMWNSRMLIDGGGDSIVATSLLDASNLIVLKESSVIHSNANLGVRGQGLLNLSGDGDTIEAQRLILSLFYSIQVGPGSILRGPLVNRSSGDVAPKLNCEDDSCPVEIIHPPEDCNLNSSLSFTLQVCRVEDIDVWGLVQGTVVHFNRARRVTVHTSGTISASGLGCRTGVGQGKMLSSGVSGGGGHGGKGGDGFYNGSHAEGGPTYGNADLPCELGSGSGNDTTEFSTAGGGIIVMGSCEYSLPSLALYGSVESNGGSYVNMVTNGSTGGPGGGSGGTILLFVHTLSLAESSVLSSVGGFGSAGSGGGGGGRIHFHWSNIPTGDEYVPVAAVKGSILTSGGVSKGQGFSGGNGTVTGKACPKGLYGTFCKECPLGTYKNVTGSSKSLCLPCPPAELPHRAIYVNVRGGVAETPCPYRCVSDRYRMPHCYTALEELIYTFGGPWLFGLLLSGLLILLALVLSVARMKFVGTDELPGPAPTQQGSQIDHSFPFLESLNEVLETNRAEESHGHVHRMYFMGPNTFSEPWHLPHSPPEQITEIVYEDAFNRFVDDINTLAAYQWWEGSIYSILCILAYPLAWSWQQWRRRKKLQRLREFVRSEYDHSCLRSCRSRALYEGLKVTATPDLMLGYLDFFLGGDEKRPDLPPRLRQRFPMSLIFGGDGSYMAPFSLHSDSVLTSLMSQAVPSWIWHRLVAGLNAQLRLVRCGNLKVTFLPVIDWLETHANPSLAVNGIRVDLAWFQATALGYCQLGLVVYAVDGEPVVAEHDGSPRIKLEQRSLTQNMLTDIQLGQARVKDALMRKRITGGVLDSNSLRTLRDRRDLFYPFSLILHNTKPVGHQDLVGLVISILLLADFSLVLLTFLQLYSYSMVDVLLVLFILPLGILSPFPAGINALFSHGPRRSAGLARVYALWNITSLVNVVVAFMCGFVHYKSSTKRHPSVQPWNLGTDESGWWLFPTGLMLLKCIQARLVDWHVANLEIQDRAVYSNDPNIFWQS >KQL08117 pep chromosome:Setaria_italica_v2.0:V:43637860:43642016:1 gene:SETIT_002214mg transcript:KQL08117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHVISFFSPNPTPTPPPPRVQKQKKKGNRNREPSRKKKARRSPLSHRPRAQIRMTGSMDLPAKGGFSYELCRRNDFLEKKGLKIPGFLKTGTTIVGLVFQDGVVLGADTRATEGPIVADKNCEKIHFMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYATGRESRVVTALTLLKSHLFKYQGHVSAALVLGGVDCTGPHLHTVYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLTREEGIQLVTDAIRGGIFNDLGSGSNVDVCVITKGKTEYLRNHELPNPRTYVSSKGYSFTKGQTEVLSTKITQLKQKVEVAEGGDAMEE >KQL03646 pep chromosome:Setaria_italica_v2.0:V:3630041:3630863:1 gene:SETIT_004736mg transcript:KQL03646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGSRSGRRSGRFTMTCACVLQRQRQARAGNVARLFQEPPPLPVAPAVENDGRTMQLFPVRAGAAMARQRLEMTKAPMTIFYGGQVIRVENVPADKGKQLMEMAQSVNNPPPPEKVVVVDVPDEEVVAEPSAAITANQARKLSVQRFLGKRKQRTDDPDDEEEASPSKKMDTGGDEPFEDVPYASWLSL >KQL05672 pep chromosome:Setaria_italica_v2.0:V:26932530:26934938:-1 gene:SETIT_002967mg transcript:KQL05672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKRKTDAAPRLDEADRTLYSTFCGAANSLSQLYTQAMAQQKLSFQAGERHAFEKLYQWILRKHEEESRLTVADIMSHIQHEMDYGGTDAHVSPRVHQHPQIANPFTNSVAPPATGLYGQTAAGFAPRPSLSDQSKNTIFSNALSSPVRRSLQNYHLAQGAGNGGRNTEPNLAGANRETNSASSNDTSMDMVSDSAGNEFYQ >KQL03309 pep chromosome:Setaria_italica_v2.0:V:845538:849471:-1 gene:SETIT_005110mg transcript:KQL03309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRGADDLTRFSADNKRTCSGEEATDFLGLQIVVRHEEDVAIGFLEEYDLDYEIAVVKVKAILDVYCVPLDHQVQFDPHGRKVVAVGRDISNRLLTTSGTCTDSHGSQYSRYLMFSTCKLSEVMQGGALYDFYGNFFGINLFWDMERPIFLPRSIILERVVHFRTSLKKSVFLNLVKPVRDKKRQIRRIGVKLLPRPEGDIKIFGDVYPNGVWSELEHGVVSHILRNLVALASFKGESKLRTCTGFFIDYADKCPTILTSASLVRKNDAKIIEGLRIVVLLPNRERCEGKLEHYSLHYNVALVSIKNYTVDCPVDLNREHIDWSTKLLAVGRCFESGLAMAASGKCTRWSGNLDCKDLQYTACTITKAGIGGPLVAVNGKFVGMNYYDRNMGTPFLWFDLLRGILNYFKTGQTNYMKILHDSSVLICEVGCIVKDGEQQPPNR >KQL08744 pep chromosome:Setaria_italica_v2.0:V:46915296:46916945:-1 gene:SETIT_004277mg transcript:KQL08744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDIKEKLAYVALDYEQELETAKNSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKDEYDESGPAIVHRKCF >KQL08547 pep chromosome:Setaria_italica_v2.0:V:45924908:45929540:-1 gene:SETIT_001012mg transcript:KQL08547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKDAGEAQQQPPDGAGGGGAGGGGGRGGSGRCRCCCGGGTRAVRLQCVAALVLGVAVLLSAVFWLPPFTGRGRGAEGPDPGDDFGAAIVASFRLQKTVPELSGNKSKLEFDIYEEIGIPNSTVVVNTLHPLDGSNWTNVIFSIVPFPEDLTISSTWLSILRSYFMSFVVRQSTLHLTESIFGNSSSFEVVKFPGGITIIPPQNASLLQPHATFNFTLNYPIYKIQDRTNELKDQMKAGLLLNPYENLYVKLTNSQGSTILPPTIVETSIVLEVGNHKTSEPSVPRMKQLARTITNSSSSSGNLGLNHTVFGKVKQISLSSYLRHSLHSGGGSDAPSPAPMHHHAHHGHHHHHHSPDNSRHLAPAPAPTHFPVPQPRYGAPPPSGCPYSKNKPKKRGPVTPAAEPAANNHHSASSAPPPHPWSPPPASRSPHDPTMHGGSPVPSPPILPEPPLPTVSFAHAHPPSEHGTRTSPAPSEQGTRAGPGGMSQVAPAPHSSHGTRMQGDSCLWVLFVFTLCILMSLL >KQL03974 pep chromosome:Setaria_italica_v2.0:V:5663704:5667362:-1 gene:SETIT_000431mg transcript:KQL03974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEETANDTQVPQDNEVAPNDEAVQGDELVQGDDELAQGDDELAQGEELAQGDELTQGDDLVQGNELAVAEETTPGTRTRRRRSKKSLVWEHFTIEEVAGGVTRACCNLCKQTFAYSSGSKIAGTSHLKRHITLGSCPKIKRQEQRLLLPSAGGTDNDGEGTAERPTKRRYRYTGYANAAFDQDRSYSYLAKMIIQHDYPLHIVQQPAFATFIESLQPRFKIVDVDTMEGEVYAVYQKEKENLLQAFNTMPGRISLTIGLWTTSQTLGYVSLAGQFIDSEWKVHRRMLNFMMVSSPHSENALSEAISMSLSDWNMKDRLFTITLDNECSSHDIYSANLRDHLSNKNNLMLKGQLFVVRCYAHVLNAVALDVIASIHGVIYSIRESIKFIKASSAREEKFAEIALQLEIPSTKTLCLDVTTQWNTTYLMLLAALDYKQAFTTLETCDDNYNEAPSAEDWKKVEAACNYLKLLYDSAHSIMAAGNPTSNLFFHEAWKLQLELSNGTGHEDPIFSGIAKDMHERFDKYWKDCSLVLAIAVVMDPRFKMKLVEFSYSKIYGAEAAKYVKVVDDAVHDLYKEYVAQPLPLTPAYVEQGEANNGPANANNSQGAPASTGDGLLDFDMYLSEIQSSQPSKSELEQYLDESLTPRIQEFDILNWWKLNTVKFPTLSKMARDILAIPMSMVSSGSSIFSAGTGSHMLDDYRSSLRPEIVEALVCTKDWLQYSPATTEAPTSALVKAEGS >KQL07175 pep chromosome:Setaria_italica_v2.0:V:38129785:38130396:-1 gene:SETIT_004218mg transcript:KQL07175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLLEDDDDQWDFIEKHPWAKHMVITIAILFFVASVSYLLWVDQPDFAPREYWVKVPGVEGLERSDDAVAAPTFNITLRVNTEGISRAVCGRGDRVDVAYEGVPLAHGDLPDFCVPPATVGSIPAVASSEGLGLPDELYERMERQRRRNERVKLAVHVRMHEITGSRGSPLLLSCTAILHGRPKGPFLCEVFGLPRDDFTML >KQL06849 pep chromosome:Setaria_italica_v2.0:V:36056318:36058503:1 gene:SETIT_001367mg transcript:KQL06849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLAGLLGFLLIASVGSHAARAPDQYWKTALPNTPAGGTTVNVGGGGVHVDAGHGKPGGTTVDVGKGGVGVNVNPGSGKPGGTTVGVGKGGVGVNVNPGYGKPGGTTVGVGKGGVGVNVNPGKPSGTTVGVGKGGVGVNVNPGYGKPGGTTVGVGKGGVGVNVSPGKPGGTTVGVGKGGVGVGVNPGYGKPGGTTVGVGKGGVGVNVKPRGKPVHVNVSPFRYNYAATETQLHDDPSVALFFQEKDLHAGKKVTVQFTGTAGAGTKFLPRSEAEAIPFSSEKVPEILSRFSVNPDSVEAAEMAQTLRDCEAPAARGEKKACATSHESMVDFATSSLGTSHVRAVSTVVAKPGSPKQEYTVAGVKRAAGTGDDGRLVACHAEPYAYAVFMCHLTRQTRAYSVSMVGRDGTAVDAVAVCHADTSGWNPKHVAFQVLDVKPGTVPVCHFLPQDHVVWTRSG >KQL08702 pep chromosome:Setaria_italica_v2.0:V:46767427:46768054:1 gene:SETIT_003147mg transcript:KQL08702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAATPRPLFPGASPAALMATAARRTTPPARRRCAGAAPVRAASAAAAGEEKPAAAPKAAAAAGDGAAPKKLLKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFYKGLDYIYEDRGEVYN >KQL08703 pep chromosome:Setaria_italica_v2.0:V:46767373:46768887:1 gene:SETIT_003147mg transcript:KQL08703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLAATPRPLFPGASPAALMATAARRTTPPARRRCAGAAPVRAASAAAAGEEKPAAAPKAAAAAGDGAAPKKLLKKKPVYSMKKGQIVRVDKEKYLNSINYLSVGHPPFYKGLDYIYEDRGEVLDIKIFETGEYALIAWVGIPTPPAWLPTYMLIKSDKLDYERI >KQL04483 pep chromosome:Setaria_italica_v2.0:V:9355908:9356402:1 gene:SETIT_004523mg transcript:KQL04483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDYQWTEQIYRLLENAGDRSDSADSLLAAALRHLEPPSALRTGDAKGGLNLITLANGELEDASSDLTGTVACLKAAMHLDLRTSVYGAASSLATSIGEVVEVLDRSEDALRAIDRCRGHLSAARLLLDHPGVPGVDGCVEAERVAAVRALEDALGAMRGGGG >KQL08129 pep chromosome:Setaria_italica_v2.0:V:43697517:43701375:-1 gene:SETIT_002513mg transcript:KQL08129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKTARKAAWVLVVWALAAAGLGSVSARAPLGREAQREFDYFKLSLQWPGTICASTRYCCASNGCCRSEPLQTFTIHGLWPDYDDGTWPACCRRTQFEMDKILPLKETLDKYWPSLFCSSSSTCFSGKGPFWAHEWEKHGTCSAPVVQDELQYFTTALDLYFKYNVTEMLSSGGIQISNGKEYALSDVIDTIKHAFGGSPQIVCKRGSVEELRLCFDKELKPRDCLTTLTNGIVSRRKHCPQYITLPTYDPLVLANSTREIMTQFNDFEVPAALYTS >KQL04149 pep chromosome:Setaria_italica_v2.0:V:6849715:6852505:-1 gene:SETIT_000871mg transcript:KQL04149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMVQHGKSRAAVAAAAARERKPRPGTRAAAAAKSPAKPRQLAGRLLLAACLLAPLLCICAARLLSPLSSRVSSGDGVYLAEGNYVISSEDAEGAGALNSFQQGIVDGHGPPGPIAPVPARFGADPVRSLDTGVENSNAENRTDFDNKSGIERKTASSAKSVTDIEAPKPKSKIYCDDKGKDEGFPYARPIICQMSGDVRVSPGSSSVALTMPMQQGVEGRRVRPYARRDDSLLPLVKEVDIRAAASENDAPRCSINHDVPAVVFSVGGYTGNFFHDVSDVLIPLYLTSFRFKGRVKFFITNYKQWWIQKYKPMLRRLSHYDIIDFDSNKDVHCFEQVIVGLVRDRDLILRPHPTRNPQGYSMLDFTRFLRHSYGLKRARPLVLGGEPGKKPRMLIISRRGTRKLLNLRQLAAISRALGFDVTVSEARGNLKKFATMVNSCDVLLAVHGAGLTNQIFLPAKAVVIQIVPWGKMGWMATNFYGEPARGMNLRYLEYHISEQESSLAQRYPRDHMVFKDPMAIHGQGWNALANIFMAQDVKLNLRRFRPTLLQALDLLQL >KQL04045 pep chromosome:Setaria_italica_v2.0:V:6101818:6117345:1 gene:SETIT_004442mg transcript:KQL04045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNKRAIFSLCAAFSSLPINSSDTLQLFGDCKIYSKSLEDESWVLKDELLYSVEALSEITLDSSAKVISDKCHRVHLPGTIQQPMLLELMDFIKGFVASNEQFEKLDLPNLVFVCSLLCNLVHRALLSRAMGDKSSLMQEVLNYITNILKHIVSFVMKRNNELSHGLTNLSLPLDTTGSALSSFKSFLSSPLFNLSRVDNRVSSVVIKGVTELLDELLVAISQLFSQLSSLVNSFDGENAGKVLPISCVNSQDLNPIVDCKSSVADMDLDVMDSGEVDSVTTSGSGNMGSFLRPLEWKLELVSIISTFFSVSSLHTWEILYNLVEKESDVKVCQVILLNLCRNISASSKTVSSMVHLINDTRDRCTSSLLNSTGCLAYVHALLRTLVALRNSGQNTDGKQQGCKGIFSEVYSYYLQNEDVLLDLVNKATEISSADWFSRIKLIDCISSFICLFPDVAQDLIGCLLDMLHDTDYRVRLYLAREIVVLFQTWEGHNELFYDVRSTIGAKMVAFSINSPVTAREVLAVGPQSVLVIETALITLAHLSVHSEDVEVECVFMISAAAAIEPSQRELAYALFDSISKSLGYASRSKYLDQVMGPILFRWVACEMSLVSLVEVQEMFGYGSAEPKNFVEHCSLWLLPFLILRGDAADLNWISKILSQPLPVIIKRYFVPIFGLSIAARCGTGPEKDLAETALCESLLQLGEISELERDDLIKKHMVSIVALLLSVSSSSHGSQIPYFSKEVVALSVKTVVDGFVDTMDDDLAHTVVIDKINIFRADRVFKFLLAIHQQVADACHPRHMGNRLCAIEVLIDVLGHRVVRESTCFYIICIVGNYIQQKPLQGQCCNILSKLLAYFDGNSSAETVEVLGRQLQVLVPKLITACLTNEKEERSGIADSSRVLSLLRQLTVDADPMLYDYIRELEPLPCLDCLKDIREFHTSLSASYASRDQFLKFVNRAPHLPPELFLLSLRMYHNKLLLGEIISRSDLSAADTDIVNCWCSDPDVVSAVWTLVDICSSSPVANEASPVVADFISRAGLSDAHQVIFHLPTLIRKHPVELHSGSASKDDKLCSDYGISDDILVELLKLLKTYLSDESVEIIDAASQTLRGVLSTTKGLNALQSLDSLDRSLLMVHSRGVNTQIVEQIILGMEKYSGVSLEDSDMWQTDGRTYEQWLCTLVSSLICHCDDIILRLCRSLAFLKVKAAELLFASTLLNIAGNVDSNAAICRLISTKVEKIIFSDSNHSLKSIHLFLDALNVIRSFYVAEKARSCPSNTFQDGRSVRSKSRSPATTASSSWKKANILLIFY >KQL06365 pep chromosome:Setaria_italica_v2.0:V:32862718:32864778:-1 gene:SETIT_003217mg transcript:KQL06365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSFQYPGSLECTKSR >KQL06363 pep chromosome:Setaria_italica_v2.0:V:32861966:32864948:-1 gene:SETIT_003217mg transcript:KQL06363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSDNIAKHWKANEAEAVETAKEWTRLYASGA >KQL06364 pep chromosome:Setaria_italica_v2.0:V:32862868:32864778:-1 gene:SETIT_003217mg transcript:KQL06364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPAQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLRSLYLSLCVIVQLHTFLPQKT >KQL03330 pep chromosome:Setaria_italica_v2.0:V:1747389:1752122:-1 gene:SETIT_005026mg transcript:KQL03330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHESNVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEILHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLKELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWSDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNGVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFQSPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRKKKRFTGEMDVSEGRLSADYDTGIAHAAVENQKALNLDRAVIGWVIRTIGMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTYGFGSECPWPWHRHDSLPTFYHVWKHVRPVRGNLDRRYRAYTNEFDVLTYDIVFSPTCYKDRELWRCTTPMILYFVVEFHMPHRVVRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSEDDADIADAYDTVTRHGTQPERAPLHDYM >KQL05997 pep chromosome:Setaria_italica_v2.0:V:29956742:29957233:1 gene:SETIT_004000mg transcript:KQL05997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIRTHLTDELMTSLTPTAFIELHYSEVQGAKKRIADRQTNHQANAQLENVKSKAKELKQEIDGVDTSSSSDAQTLKTLETERDQLLLELDRVNKAIAETQTRLNDFPIAIQEKKKELAASINQVCRQHRQVNDILGSDAEDLQLIADVDQIRLRAVEAIEKVL >KQL04855 pep chromosome:Setaria_italica_v2.0:V:12462260:12468829:-1 gene:SETIT_002541mg transcript:KQL04855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATATEASAPAASGAAARQNHPFPWLDSAISEPYYFLHLLAFFSYFAARSAALSADDGGELHDRLLRREIQAVLVFLVLFVVKIVKEETWETFLADSLLYAKGLLLAVTLVINYWLTLGYFLGFVVIYAVAQQPPYDGLGHSNHLTPLQLESLLTEEPTSRFWLVEFRTSFSATCIQASSVLPELSNIYSNKNISFGIIDLGHFPNAAAKFGISMWDHLPTYILFDKVTEVARFPEVTSESKVFVPKVTKKLLCQHFDLDRRLIEYLST >KQL06056 pep chromosome:Setaria_italica_v2.0:V:30361205:30364570:-1 gene:SETIT_001649mg transcript:KQL06056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQALPFLRWSRSASSLRAHCSATAAPRRLFSALRRPAAAAARCEAGSKVMLKGMDYSELENWVQAQGFRPGQAMMLWKCLYGNNVWAHCHDELTGLNKDFRKMITERADLKALTVKDILTASDGTRKILFSLEDGPVIETVIIPCARGRTTVCVSSQVGCAMNCQFCFTGRMGLRKHLSTAEIVEQAVFARRLFSDEFGAITNVVFMGMGEPFHNIDNVLKASAIMVDDQGLHFSPRKVTVSTSGLVPQLKRFLHESNCSLAVSLNATTDEVRNWIMPINRKYNLNLLLGTLREEVCLRKNYRVLFEYVMLSGVNDSMDDAKRLIELVQGIPCKINLISFNPHSGSQFKPTPDEKILEFRNVLIQGGLIVFVRLSRGDDQMAACGQLGEPGDYQLPLLRVPEKFQVAV >KQL04234 pep chromosome:Setaria_italica_v2.0:V:7439342:7440637:1 gene:SETIT_004359mg transcript:KQL04234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQEGNPLDLNNLPEEYGKQAVESSTTTATSSVDAVRIKKKSGGGKDDAAKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFGNESLAAVGVSFRDVNMGGTAPAAMLGGGFRGGATGGGGGIGEPCLPFRQVHPRLSPPPPYHYLYTAPSTLHPMSYPATYPGPPRQPAVGDYVIGHAVTAGDTLLQPPPHRGSSFSCFGAPLTAPPAATAAPPAAANVQADKVNCNCSFGCSGHSRNNNVNASS >KQL06366 pep chromosome:Setaria_italica_v2.0:V:32872355:32872617:1 gene:SETIT_005485mg transcript:KQL06366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKDLLLVCSFNCPRLSNTLVLSEEVEHE >KQL04437 pep chromosome:Setaria_italica_v2.0:V:9032313:9035058:1 gene:SETIT_003128mg transcript:KQL04437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLATAEACDANAGLILNGDLRALQPIFRIYGRRQIFAGPVVTLKIFEDNVLLREFLEEKGHERVLVVDAGGSMRCAVLGGNLAQLAQNNGWAGIVINGCIRDVDEINGCDVGVRALNSHPMKSNKKGVGEKHVPVTFAGTRICDGEWLYADSDGILLSTSELTV >KQL06628 pep chromosome:Setaria_italica_v2.0:V:34698743:34700697:1 gene:SETIT_003261mg transcript:KQL06628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPDSLVWEIVRKNNSFLVKQFGNSNAKVQFSKEPNNLYNVHSYKYSGLANKKTVTVQPASGKEMAVVLSTTKTKKQNKPASLYHKSVMRKEFRKMAKAVKNQVADNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQVN >KQL06627 pep chromosome:Setaria_italica_v2.0:V:34698743:34700697:1 gene:SETIT_003261mg transcript:KQL06627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPDSLVWEIVRKNNSFLVKQFGNSNAKVQFSKEPNNLYNVHSYKYSGLANKKTVTVQPASGKEMAVVLSTTKTKKQNKPASLYHKSVMRKEFRKMAKAVKNQVADNYYRPDLTKPALARLSAVYRSLQVAKSGVKKKNRQVN >KQL03865 pep chromosome:Setaria_italica_v2.0:V:5136304:5137287:-1 gene:SETIT_004542mg transcript:KQL03865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHISDIKLIRTDTTLDLSQKAEKDMGMVFAAFQFSLFRILLGIATSDS >KQL06215 pep chromosome:Setaria_italica_v2.0:V:31751125:31756045:1 gene:SETIT_001580mg transcript:KQL06215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASFSKHLVKFPSNKNSPTTSAPRPRPHLPDLICDDLPASPSRSRHRPRRAPPPTKLSSPGSEPEQAAAKMYGWEMLSFNIHDGFLEAIVRGNRSGLLTAADYNNLCQCETLDDIKMHLTATEYGPYLQNEPSPLHTTTIVEKCTLKLVDEYKHMLCQATEPLSTFLQYITYGHMIDNVVLIVTGTLHERDVNELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYKFCQKLGGATAEIMCDILSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAVCEDVDQVRGAMEKYPPYQSIFSRISYGESQMLDKAFYEEEVRRLCLSFEQQFHYGVFFAYIRLREQEIRNLMWISECVAQNQKARVHDSVVFIF >KQL04554 pep chromosome:Setaria_italica_v2.0:V:9841301:9843240:-1 gene:SETIT_002015mg transcript:KQL04554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFVQMTKLAIIPCTVILETLFFRKKFSRNIKLSLSVLLLGVGVATVTDLQLNTVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFLVGPFLDGFLTNQNVFAFDYTTQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVIGMVLYSYFCTRETQQKPAEVSPQVIQGKESESNPLISDSLNAAENGGSTPDDEPLKVPMWSSKYSRA >KQL04555 pep chromosome:Setaria_italica_v2.0:V:9841301:9844679:-1 gene:SETIT_002015mg transcript:KQL04555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGEKFQLGTVGALSLSVVSSVSIVICNKALMSSLGFNFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARTVMGFGVLNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVILETLFFRKKFSRNIKLSLSVLLLGVGVATVTDLQLNTVGSVLSLLAIITTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFLVGPFLDGFLTNQNVFAFDYTTQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLTFGYVLLHDPFSWRNILGILIAVIGMVLYSYFCTRETQQKPAEVSPQVIQGKESESNPLISDSLNAAENGGSTPDDEPLKVPMWSSKYSRA >KQL05847 pep chromosome:Setaria_italica_v2.0:V:28407090:28413482:1 gene:SETIT_000307mg transcript:KQL05847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPETAEPPETSDTHSSPHPPSSPRLHPTQENPSLTTAAPPEMANPDQFTPVPPPPAEQSTVEHSEAEPLPPTPIDEAEPPPSHPPPPEAEPAASSAFEAIPTENVASPSPLPKDVAVEVSHEVAASAPSPSPSPSPQIVGASPEDAPQPPSPPRTPPATTAHISTDPSTTGATAMASQDQEEAARPSLAPETMDADSRTAPAPLTLPMESGPEGLLSQQKPRPPSPTLSVDEAVEGTLEKAAGSLPVPEVTNRDMDITTGMLPAWEIGSEEMLSQQQLRPPCLETAPLRGENPKSVWPPQPPPPAESTYGWSNAATNEASAVASEEATVSLEFGAERSLQEPVQTSKTSILEAEPCSPEMAPPGFEDFKSQWLPLSSPTLAESTHNVVQVAAINPVGMTPDAATESLPASEAMDVEINISPGLLPPLKSRAEVRSLQPPLGSCSPMMEAAPCSPDMPPPGFENCKSSWLPQPTIPPLCETTYALPDVASTKAGTSVEKACSVLALEATDVETGTERWRLPQLQSGTGGSLQEPLPRSPSPTMQAAPCSLDTAPPGFENMKAMHLLPPAVVLPPDETPDALADAGTKTVTMEQMRHPVSVTGATEEANGSILPAALENGCDDPLPNLEPQTSPCLAETIDPSAHSSATMPAIVKSNKTNLPLSPLQATDADMESATRQQSPLKSEERSLPQPEQHPSSPSVKNTNCSPEVAPPGYENLDSSEQLPLPPPLSMKFEMGQMVCGCCRQLLAYPKGAVHVQCFGCWTINLVLEEHQVGKVYCGECDTLLMYPFGAPAVKCSNCLFVTEIGERNVRPRISTEQSVSPHPQEVAHQS >KQL08333 pep chromosome:Setaria_italica_v2.0:V:44855160:44859697:1 gene:SETIT_001170mg transcript:KQL08333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGAIHLTSTSGIPAYFAIIPLAFAKFVGVLKYPSITLTVPRIPNSSSSSSSSFPIPASVSPSRKFRLRRRSGLLTPARKWSSSSAAASRRIHDPSAMGPISNDTSHKRFFSIAPRAALIFFVLIFVAGAIFTLDHKENLSILQLQRKEVFATEEIRPPATSELHAEPTEEPNICENQCRPSGSEALPRGIVQDMSNFEMESLGGNPDRRKDRRLSKSLLAIPVGIKQKSVVDKLVSKFPAANFIVMLFHYDGMVDGWRDLKWSDRAIHVAVRDQTKWWFAKRFLHPDLVAEYEYIFLWDEDIEVDSFDPLKYLSIVRREGLEISQPALDRRSLIHHRLTARARKGDVHRRFYKTNGHGRCYGNSTGPPCTGWVEMMVPVFSRAAWRCAWQMIQNDLIYAWGMDYKLGYCAQGDRWRNVGVVDSQYVLHRGIPTLGDGGKATVTASTSTSSATDRLAVRQRSYTELQVFNRRWKEAVAEDGCWTDPYPNPATKG >KQL08332 pep chromosome:Setaria_italica_v2.0:V:44855160:44859697:1 gene:SETIT_001170mg transcript:KQL08332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPGAIHLTSTSGIPAYFAIIPLAFAKFVGVLKYPSITLTVPRIPNSSSSSSSSFPIPASVSPSRKFRLRRRSGLLTPARKWSSSSAAASRRIHDPSAMGPISNDTSHKRFFSIAPRAALIFFVLIFVAGAIFTLDHKELQRKEVFATEEIRPPATSELHAEPTEEPNICENQCRPSGSEALPRGIVQDMSNFEMESLGGNPDRRKDRRLSKSLLAIPVGIKQKSVVDKLVSKFPAANFIVMLFHYDGMVDGWRDLKWSDRAIHVAVRDQTKWWFAKRFLHPDLVAEYEYIFLWDEDIEVDSFDPLKYLSIVRREGLEISQPALDRRSLIHHRLTARARKGDVHRRFYKTNGHGRCYGNSTGPPCTGWVEMMVPVFSRAAWRCAWQMIQNDLIYAWGMDYKLGYCAQGDRWRNVGVVDSQYVLHRGIPTLGDGGKATVTASTSTSSATDRLAVRQRSYTELQVFNRRWKEAVAEDGCWTDPYPNPATKG >KQL04097 pep chromosome:Setaria_italica_v2.0:V:6458315:6460399:1 gene:SETIT_003077mg transcript:KQL04097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQRVVVVVEDAAAARAALQWAVGNFIRGGDSITLLHVCPPARSRRKRRRLRLGGFQLALAFKDLCNGIAEAKVEIVVTEGELGETVVATVNNLGATTLVVGLHDKSFLYSAPSPYTRVRSLGCRVLAVRQHATARDGFLNAELTQIETISLHIPPPKIPFPMFTFPLGVIWRRSKRRK >KQL07847 pep chromosome:Setaria_italica_v2.0:V:42128286:42130007:1 gene:SETIT_002586mg transcript:KQL07847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGHPPGYRFYPTEEELICFYLRNKLDSLRDDIERVIPVVDVYSADPGQLPGIHAMLRGGAGEGEPWFFFCPRQEREARGGRPSRTTPSGYWKAAGTPGVVYSSAGRRAIGMKKTMVFYRGRAPSGTKTNWKMNEYRALQYYDDAAGGPTDGSSSSTHTHAAAPPNVPPPQLRSEFSLCRLYTQSGTLRQFDRRPLGGAGAGAPSEEPGPSTAAAASPPDDDDGSGSSTQQQQLQLAERGDHDPYGDDVAILDALLYWPGD >KQL03968 pep chromosome:Setaria_italica_v2.0:V:5631696:5635535:1 gene:SETIT_002453mg transcript:KQL03968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNQHREEDALRGCAVKNQKAIWDKTLEMRFLLQKAFSTSNKLPQEPIKTRFCNHDKEIEQAYENLVTSSKQTLASMTELQEALLESNQAVKDANEIPSAPNGENDEWSEVQRLQTRITTFRNTEIDKWQRKIQVTTGAAALKGKLHAFNQNISDQVAGYMRDPSRMINRMYLTKSAVGVFGEDVGEHGAADEGRIVEGDSELIDDSEFYQQLLKEFLESCDRGASESAFYALRKQQVKKRKLVDRRASKSRKIRYNVHEKITNFMAPVPMALPPMAPKLFENLFGTSN >KQL07744 pep chromosome:Setaria_italica_v2.0:V:41525709:41530642:1 gene:SETIT_002249mg transcript:KQL07744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIAREATKLWRKVSAETTAELQLLLEKWQLLLAGLVFQYIHGLAARGVHYLHRPGPLLQDLGFLALPELGREKGYLSESVFTFIFISFLLWSFHPFIYHSKRFYTVLLWRRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNNALEVLLINFPHGVLFGCGDLIFSSHMIFTLVFVRTYHKYGSNRLIKLLAWLMAIVQSLLIIASRKHYTVDVVVAWYTVNLVVFFVDKNLPEMPDRTNGLSLLPVSSKDKDGRMKEELHKLDSRMRDEVHKLLNGNSVDATDRRQRVQMNGKHGEDMNHTVSDAPPTGT >KQL04065 pep chromosome:Setaria_italica_v2.0:V:6212948:6223901:1 gene:SETIT_000413mg transcript:KQL04065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLADGLAAASISDPADQGAAAAGAPSADYLLSVMRAVEGADATIRSQLEENNRLKEELLRKTQQLQRMREDATSQSSSSGVGQERNSVANKMDGPKSFDNGFSINPQTTSIYPQNGSFGSGEHLTQESMKQKYLDSPQVNGGFKRSLGEQTAVDNGGPSQFSTPSSRSLSPNRHRKDGDYDSRLLPVSDMNSNISWKQDLTVKVKEGEEEIARLKKHLADYSVKEAQILSEKYTLEKRIAYMRMAFDQQQQDLVDAASKALLYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSEYDNLQPSVLDAQSIVGNLKVLFRHMQEQLIVIEEKLRESRYQITPWHTELSNNTSHPVPTDPPAGKVLVTTSKSSFDIVPQTPYPHVQSPMSSPVQARSDWGAFGNKNHHVTPSEVPTRNAEQDDMGGNSLSSRSQFRTDVSAQVSQGDSHAVRFHETPSQNPPFKGLSRNDVLDGSESAESQNTQEPSTRWGPGDSPNLASGLEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLRITGEPFPGRELLASGYPINGTTTCNFEWVRHLEDGSVNFIEGARQPSYVVTADDVDTLLAIEVQPLDDRKRKGEFIKVYANEQGKISCDPETKELIKKTLEIGHVTYEVQVQQPQVRFLDMWEPAVLAIKREGYSIKCIGQRGVVITEKFQQATAIQIPHGRQTEFLIISADGVDYNLKPAENTLLRDTIVLVLRLFKNMAVERRRGRKKGLFFK >KQL04062 pep chromosome:Setaria_italica_v2.0:V:6212948:6222375:1 gene:SETIT_000413mg transcript:KQL04062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLADGLAAASISDPADQGAAAAGAPSADYLLSVMRAVEGADATIRSQLEENNRLKEELLRKTQQLQRMREDATSQSSSSGVGQERNSVANKMDGPKSFDNGFSINPQTTSIYPQNGSFGSGEHLTQESMKQKYLDSPQVNGGFKRSLGEQTAVDNGGPSQFSTPSSRSLSPNRHRKDGDYDSRLLPVSDMNSNISWKQDLTVKVKEGEEEIARLKKHLADYSVKEAQILSEKYTLEKRIAYMRMAFDQQQQDLVDAASKALLYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSEYDNLQPSVLDAQSIVGNLKVLFRHMQEQLIVIEEKLRESRYQITPWHTELSNNTSHPVPTDPPAGKVLVTTSKSSFDIVPQTPYPHVQSPMSSPVQARSDWGAFGNKNHHVTPSEVPTRNAEQDDMGGNSLSSRSQFRTDVSAQVSQGDSHAVRFHETPSQNPPFKGLSRNDVLDGSESAESQNTQEPSTRWGPGDSPNLASGLEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLRITGEPFPGRELLASGYPINGTTTCNFEWVRHLEDGSVNFIEGARQPSYVVTADDVDTLLAIEVQPLDDRKRKGEFIKVYANEQGKISCDPETKELIKKTLEIGHVTYEVQVQQPQVRFLDMWEPAVLAIKREGYSIKCIGQRGVVITEKFQQATAIQIPHGRQTEFLIISADGVDYNLKPAENTL >KQL04063 pep chromosome:Setaria_italica_v2.0:V:6212948:6223901:1 gene:SETIT_000413mg transcript:KQL04063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLADGLAAASISDPADQGAAAAGAPSADYLLSVMRAVEGADATIRSQLEENNRLKEELLRKTQQLQRMREDATSQSSSSGVGQERNSVANKMDGPKSFDNGFSINPQTTSIYPQNGSFGSGEHLTQESMKQKYLDSPQVNGGFKRSLGEQTAVDNGGPSQFSTPSSRSLSPNRHRKDGDYDSRLLPVSDMNSNISWKQDLTVKVKEGEEEIARLKKHLADYSVKEAQILSEKYTLEKRIAYMRMAFDQQQQDLVDAASKALLYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSEYDNLQPSVLDAQSIVGNLKVLFRHMQEQLIVIEEKLRESRYQITPWHTELSNNTSHPVPTDPPAGKSKSSFDIVPQTPYPHVQSPMSSPVQARSDWGAFGNKNHHVTPSEVPTRNAEQDDMGGNSLSSRSQFRTDVSAQVSQGDSHAVRFHETPSQNPPFKGLSRNDVLDGSESAESQNTQEPSTRWGPGDSPNLASGLEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLRITGEPFPGRELLASGYPINGTTTCNFEWVRHLEDGSVNFIEGARQPSYVVTADDVDTLLAIEVQPLDDRKRKGEFIKVYANEQGKISCDPETKELIKKTLEIGHVTYEVQVQQPQVRFLDMWEPAVLAIKREGYSIKCIGQRGVVITEKFQQATAIQIPHGRQTEFLIISADGVDYNLKPAENTLLRDTIVLVLRLFKNMAVERRRGRKKGLFFK >KQL04064 pep chromosome:Setaria_italica_v2.0:V:6212948:6223901:1 gene:SETIT_000413mg transcript:KQL04064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLADGLAAASISDPADQGAAAAGAPSADYLLSVMRAVEGADATIRSQLEENNRLKEELLRKTQQLQRMREDATSQSSSSGVGQERNSVANKMDGPKSFDNGFSINPQTTSIYPQNGSFGSGEHLTQESMKQKYLDSPQVNGGFKRSLGEQTAVDNGGPSQFSTPSSRSLSPNRHRKDGDYDSRLLPVSDMNSNISWKQDLTVKVKEGEEEIARLKKHLADYSVKEAQILSEKYTLEKRIAYMRMAFDQQQQDLVDAASKALLYRQDIIEENIRLTYALQAAQQERSTFISSLLPLLSEYDNLQPSVLDAQSIVGNLKVLFRHMQEQLIVIEEKLRESRYQITPWHTELSNNTSHPVPTDPPAGKVLSKSSFDIVPQTPYPHVQSPMSSPVQARSDWGAFGNKNHHVTPSEVPTRNAEQDDMGGNSLSSRSQFRTDVSAQVSQGDSHAVRFHETPSQNPPFKGLSRNDVLDGSESAESQNTQEPSTRWGPGDSPNLASGLEDANPSYPYLPTVLEEPGSSFSEAADDDPLPGIEGLRITGEPFPGRELLASGYPINGTTTCNFEWVRHLEDGSVNFIEGARQPSYVVTADDVDTLLAIEVQPLDDRKRKGEFIKVYANEQGKISCDPETKELIKKTLEIGHVTYEVQVQQPQVRFLDMWEPAVLAIKREGYSIKCIGQRGVVITEKFQQATAIQIPHGRQTEFLIISADGVDYNLKPAENTLLRDTIVLVLRLFKNMAVERRRGRKKGLFFK >KQL03388 pep chromosome:Setaria_italica_v2.0:V:2118502:2118810:-1 gene:SETIT_004780mg transcript:KQL03388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQVRLATPPNRGRHRFPHQIHLSPSILFSLICRPQSPPCHGCCRLQEAPSGSTHLCELRPPRIALTQRASKAAPER >KQL07118 pep chromosome:Setaria_italica_v2.0:V:37756031:37759911:-1 gene:SETIT_001397mg transcript:KQL07118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLTPRLVVPIDVKKQPWEQKIPLHNRWHPNIPPVADVTEGELFRVEMVDWTGGRVRDDNSADDIKFLDLTTTHYLSGPLRIVDSEGVPASPGDLLVVEICNLGPLPGDEWGYTAIFERENGGGFLTDHFPSARKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSVELLNIWNEREKRLAETSPETLKLCEVLHQRPLANLPTPENCLLGKIQKGTAEWHKMANEAARTIPGRENGGNCDIKNLSRGSKVYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRGGMKEYMTPVGPTSLHVNPIFEIGPVEPRFSEWLVFEGISVDESGKQHFLDASVAYKRAVLNAIEYLSRFGYSKEQVYLLLSCCPCEGRISGIVDSPNAVATLAIPTAIFDQDIRPKHLKGRPGPKLIRLPDLLSCSNDGHLPVTQDQSGRSVP >KQL07319 pep chromosome:Setaria_italica_v2.0:V:39093060:39094539:1 gene:SETIT_002903mg transcript:KQL07319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPHVAGVIALLRALYPDWSPAALKSAIMTTASINDGRGMPILAQGLPRKIADPFDYGGGHINPNRAADPGLIYDIDPNDYTKFFGCIIKTSTVCNATVLPGYLLNLPSISVPDLRYPVTISRTVTNVGEVDVVYHASIESPAGVKMDVERHVLMFNAANKVITFQVKLSPLWRLQGDCTFGSLTWHNGEKTVRVPIAIRMTIHDLYADVA >KQL07318 pep chromosome:Setaria_italica_v2.0:V:39093060:39094375:1 gene:SETIT_002903mg transcript:KQL07318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPHVAGVIALLRALYPDWSPAALKSAIMTTASINDGRGMPILAQGLPRKIADPFDYGGGHINPNRAADPGLIYDIDPNDYTKFFGCIIKTSTVCNATVLPGYLLNLPSISVPDLRYPVTISRTVTNVGEVDVVYHASIESPAGVKMDVERHVLMFNAANKVITFQVKLSPLWRLQGDCTFGSLTWHNGEKTVRVPIAIRMTIHDLYADVA >KQL03331 pep chromosome:Setaria_italica_v2.0:V:1761411:1763156:1 gene:SETIT_001633mg transcript:KQL03331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFSFLKYLRGGVVAGTQRAPVAATTIAASACEDGGGGGAGAGGGDVEDDASFFDLEFAVPGDESAASDAEEERVEFNFAVAGDDVASGGGEVVAVDAVAAPGAAETGDNGKEGAEADTAEATPAPPPASLLRPATKFRVLLLKLRKPKVAVPAEGNGGAPAPAPKPASRFLIKFRVDEAPLVSLFTRDNSSRTSDAGACADRPAAAAAVPVARQPQDASTITAEERRFAKEVVLRYLSKIKPLYVKVSRRYGERLRFAGGASEGEETDAEPDLPAPSPSPSPSPSPAPSSQPPAAAAPAAPQPVVVACGVRAPRASVPAGLKQVCKRLGKSRSASSAVAAAPSPPAAGTPQQAPQRRDDSLLQVQDGIQSAIAHCKLSFNASKGSESPLLRSMTAGDGGRAADTIDGGDGA >KQL07724 pep chromosome:Setaria_italica_v2.0:V:41404785:41411936:1 gene:SETIT_000348mg transcript:KQL07724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSKAREAVTQPPALYLLRSARSTIFWGNDLPDSTSGATREFLFGVGLQHQRRWHRQAPPHPSHSRPSRHGEERMGRGGIGGAVAMETADSTRAFVKDVKRIIIKVGTAVVTGQNGRLAMGRLGSLCEQVKQLNFQGYEVILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMDFDGKACAAVGQSGLMAIYDTMFSQLDVTSSQLLVTDRDFKDPSFGDQLRETVFALLDLKVIPLFNENDAISTRRQPYEDPSGIFWDNDSLAALLAAELNADLLIMLSDVEGLYSGPPSDPQSKIIHTYVNEKHGKLISFGEKSRVGRGGMQAKVFAAANAASKGVPVVIASGFATDSIIKVLKGEKIGTLFHNEANLWECSKEATAREMAVAARDCSRRLQKLSSEERKKILLDIADALEANEDAIRSENEADVEAAQGAGYEKSLIARMTLKPGKITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKAYCPLGVLLIIFESRPDALVQIATLAIRSGNGLLLKGGKEAMRSNAILHKVITGVIPDAVGKKLIGLVTSKDEIADLLALDDVIDLVIPRGSKNLVSQIKATTKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKETLLIHKDLSKSEGLDDLLVELEKEGVVIYGGPIAHDKLKLPKVDSFRHEYSSMACTLEFVDDVHSAIDHINRYGSAHTDCIITTDEKAAESFLQQVDSAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHRDLPLQ >KQL07727 pep chromosome:Setaria_italica_v2.0:V:41406820:41411936:1 gene:SETIT_000348mg transcript:KQL07727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDVEGLYSGPPSDPQSKIIHTYVNEKHGKLISFGEKSRVGRGGMQAKVFAAANAASKGVPVVIASGFATDSIIKVLKGEKIGTLFHNEANLWECSKEATAREMAVAARDCSRRLQKLSSEERKKILLDIADALEANEDAIRSENEADVEAAQGAGYEKSLIARMTLKPGKITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKAYCPLGVLLIIFESRPDALVQIATLAIRSGNGLLLKGGKEAMRSNAILHKVITGVIPDAVGKKLIGLVTSKDEIADLLALDDVIDLVIPRGSKNLVSQIKATTKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLIHKDLSKSEGLDDLLVELEKEGVVIYGGPIAHDKLKLPKVDSFRHEYSSMACTLEFVDDVHSAIDHINRYGSAHTDCIITTDEKAAESFLQQVDSAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHRDLPLQ >KQL07725 pep chromosome:Setaria_italica_v2.0:V:41404785:41411936:1 gene:SETIT_000348mg transcript:KQL07725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSKAREAVTQPPALYLLRSARSTIFWGNDLPDSTSGATREFLFGVGLQHQRRWHRQAPPHPSHSRPSRHGEERMGRGGIGGAVAMETADSTRAFVKDVKRIIIKVGTAVVTGQNGRLAMGRLGSLCEQVKQLNFQGYEVILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMDFDGKACAAVGQSGLMAIYDTMFSQLDVTSSQLLVTDRDFKDPSFGDQLRETVFALLDLKVIPLFNENDAISTRRQPYEDPSGIFWDNDSLAALLAAELNADLLIMLSDVEGLYSGPPSDPQSKIIHTYVNEKHGKLISFGEKSRVGRGGMQAKVFAAANAASKGVPVVIASGFATDSIIKVLKGEKIGTLFHNEANLWECSKEATAREMAVAARDCSRRLQKLSSEERKKILLDIADALEANEDAIRSENEADVEAAQGAGYEKSLIARMTLKPGKITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKAYCPLGVLLIIFESRPDALVQIATLAIRSGNGLLLKGGKEAMRSNAILHKVITGVIPDAVGKKLIGLVTSKDEIADLLALDDVIDLVIPRGSKNLVSQIKATTKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLIHKDLSKSEGLDDLLVELEKEGVVIYGGPIAHDKLKLPKVDSFRHEYSSMACTLEFVDDVHSAIDHINRYGSAHTDCIITTDEKAAESFLQQVDSAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHRDLPLQ >KQL07726 pep chromosome:Setaria_italica_v2.0:V:41405638:41411936:1 gene:SETIT_000348mg transcript:KQL07726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCLQVGTAVVTGQNGRLAMGRLGSLCEQVKQLNFQGYEVILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMDFDGKACAAVGQSGLMAIYDTMFSQLDVTSSQLLVTDRDFKDPSFGDQLRETVFALLDLKVIPLFNENDAISTRRQPYEDPSGIFWDNDSLAALLAAELNADLLIMLSDVEGLYSGPPSDPQSKIIHTYVNEKHGKLISFGEKSRVGRGGMQAKVFAAANAASKGVPVVIASGFATDSIIKVLKGEKIGTLFHNEANLWECSKEATAREMAVAARDCSRRLQKLSSEERKKILLDIADALEANEDAIRSENEADVEAAQGAGYEKSLIARMTLKPGKITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKAYCPLGVLLIIFESRPDALVQIATLAIRSGNGLLLKGGKEAMRSNAILHKVITGVIPDAVGKKLIGLVTSKDEIADLLALDDVIDLVIPRGSKNLVSQIKATTKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLIHKDLSKSEGLDDLLVELEKEGVVIYGGPIAHDKLKLPKVDSFRHEYSSMACTLEFVDDVHSAIDHINRYGSAHTDCIITTDEKAAESFLQQVDSAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHRDLPLQ >KQL07723 pep chromosome:Setaria_italica_v2.0:V:41404785:41411936:1 gene:SETIT_000348mg transcript:KQL07723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGSKAREAVTQPPALYLLRSARSTIFWGNDLPDSTSGATREFLFGVGLQHQRRWHRQAPPHPSHSRPSRHGEERMGRGGIGGAVAMETADSTRAFVKDVKRIIIKVGTAVVTGQNGRLAMGRLGSLCEQVKQLNFQGYEVILVTSGAVGVGRQRLQYRKLIHSSFADLQNPQMDFDGKACAAVGQSGLMAIYDTMFSQLDVTSSQLLVTDRDFKDPSFGDQLRETVFALLDLKVIPLFNENDAISTRRQPYEDPSGIFWDNDSLAALLAAELNADLLIMLSDVEGLYSGPPSDPQSKIIHTYVNEKHGKLISFGEKSRVGRGGMQAKVFAAANAASKGVPVVIASGFATDSIIKVLKGEKIGTLFHNEANLWECSKEATAREMAVAARDCSRRLQKLSSEERKKILLDIADALEANEDAIRSENEADVEAAQGAGYEKSLIARMTLKPGKITNLARSIRAIADMEDPISHTLKRTEVAKDLVFEKAYCPLGVLLIIFESRPDALVQIATLAIRSGNGLLLKGGKEAMRSNAILHKVITGVIPDAVGKKLIGLVTSKDEIADLLALDDVIDLVIPRGSKNLVSQIKATTKIPVLGHADGICHVYIDKSADMDMAKRIVLDAKVDYPAACNAMETLLIHKDLSKSEGLDDLLVELEKEGVVIYGGPIAHDKLKLPKVDSFRHEYSSMACTLEFVDDVHSAIDHINRYGSAAVFHNASTRFCDGTRFGLGAEVGISTGRIHARGPVGVDGLLTTRCILRGSGQVVNGDKGVVYTHRDLPLQ >KQL05178 pep chromosome:Setaria_italica_v2.0:V:16942940:16946482:-1 gene:SETIT_002832mg transcript:KQL05178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMKLYGWVVSPWMARVLVCLEEAGAEYEVVPMSRSGGDHRRPEHLARNPFGEIPVLEDGDLTLYQSRAIARYILRKYKPELLGDGSLKQSATVDVWVEVESHQLEPVLKTIVVHCIIGPYVGRERDQAAVDESLGKLRALLPVYEARLSACRYLAGDEVTAADLCHFGFMRYFMATEYAGVVDEYPHVKGWWDALLARPSVKKIIAGMPPDFGFGSGKIP >KQL07437 pep chromosome:Setaria_italica_v2.0:V:39741304:39745286:-1 gene:SETIT_001201mg transcript:KQL07437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSHFLAQSSNNTTTEPIRIFVATWNVGGKTPTTALNLEDFLPPDDNSDIYVLGFQEIVPLNAGNVLVIEDNEPAARWLVLINQALNRPADTDANVFQHEPSPSVDSASSRASSSLDTSFSDLSKTASGATIFQKSLLKAISKSFMPVRRKQLKACNCPVEMTKTSYRDACFRCPKAYADETDSSEEDEEEEVKDKEKPRDSYGSVIDGITSAPATRDQLKYNLIACKQMVGIFVTVWVKKELVQHIGHLRKSCIGRGILGCLGNKGCISVSMTLHQTSFCFVCSHLASGQKEGDEFRRNSDVLEILRLTMFSRICRRTGRRIPEKILEHDKVIWLGDLNYRIALSYADTKKLLMENNWDALFEKDQLKIERDAGRVFKGWNEGKIYFAPTYKYSFNSDAYAGETATSKKKRRTPAWCDRILWRGDGIMQLSYYRGESRFSDHRPVCGTFVVEVEVLNKKTKRRSSNADMRIGAEELLPRGKGKALHEM >KQL05045 pep chromosome:Setaria_italica_v2.0:V:14702498:14703293:-1 gene:SETIT_005029mg transcript:KQL05045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKFNAFKRQYKDWRKLKDKSGTGWNNSTRTTDCDDEWWTTQIKENEANKHFRGKAFSFYDELTTLFGTMDTEGGPMLCVGGIGDRTPSSGSEGNPDTAADENVAWLEDNVGRSSVGRVSQRLGKEHIVDSPPPKRTKSMEYYDERISESMIQRTMNERNLINHEEEEVMEMLHLVEQDGVPNGSELYFIATELFRSPARRASYRSLPLQRTELHGSDGLRIMSRGSSIGP >KQL05299 pep chromosome:Setaria_italica_v2.0:V:21166534:21174133:-1 gene:SETIT_000365mg transcript:KQL05299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGQSKIDQEEAVCRCRDRKRLMADAVVARNAFAAAHTGYTVRLKSTGGALSDFAHGEAPDPSLVASDSHHAVAAAAAAAASVSAPPGPSTASVITAPPPPFPDFSHSSLQRSSSTPNIPMPDQMAGAKNRPPADAAIREEDEDEDEDEDEDDGHIRTDSDDDDEDDSDDDDDDHHEHDDVSVDGMVHGQPAKRVVMDSVGSSPVTPPPPPRLNQTPPTSATTTPPPPVPESTWDYFFGPTPTPPPTLEAADETWMERHEKEPVAEVKPPVAKLAVSEPATAEERPPQMAAEKEKAIEEMVANLPPSKPIVRKPPKAPGRPLAVHYQHASSMGAVETRKGKIMVASGTASLLQIVSQLDDHFLRASESAHDVSKKLEATRMHYHSNHADSRGHIDHSTKIMHVITWNRSFKNLPDHDDLNDNFEIDDRFETHAAVLDRMLAWEKKLYDEVKAGELMKIDYQKKVTLLQKQKKRGVKLETLEKTKAAVSHLHTRYIVDMQSMDSTVSEINRLRDTQLFPKLKDLVEGMAKMWSAMHRHHRSQFMIISGIRGFEIPPVTSERTDLHYNQTCELRDIVREWHMQFEKLMNNQKAYIRALNAWLKLNLIPIESNIKEKVSSPPRLVDPPIKNLLHAWHDELERLPIELAKTAIKTFAEVISNIVHLQEEEVNLRRRCDETRRDLTRKRAQFEDWHQKYTERRAALGENGNPEAAEVQNIDPVEDRKRVIEELEIRLREEEGHHLRQARQVREKSLANLRTHLPELFRNMADFSYFCHDMYNNLRKAAAPAKDEV >KQL08524 pep chromosome:Setaria_italica_v2.0:V:45837685:45838249:-1 gene:SETIT_003726mg transcript:KQL08524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLCCSQEDDEPAFNLLGLLVTIVLALLLLMMCTPPRRRRCIAVYPCC >KQL07792 pep chromosome:Setaria_italica_v2.0:V:41803735:41806568:1 gene:SETIT_001075mg transcript:KQL07792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQVAAVVTPPPCSSSPSSSSPASPSSSAITTSLRHAAPGVRLARSQSSLAGWSAGLAPRRAGQHAIRRTLSASIDSVGSDGGDDEEFLRRIQELAAGQHPGAGGCGWPASVERSASSVGLPLSLRMLKRRKQQQQQLEQGRRDERLVDRAGESARAAVGRAFASMVLIIRELQSFTLQMREALFYEDLQGVLARVHAEMHASFVWLFQHIFSGTPALMVSLMLLLANFTVYSMGDSVATAATIPPPQAAVAAVEMVDTQQPEQSHSSQQRFDPAALKTFSIGRTASVGGNGDGGGKVRPVAGSTGDGQSDESSYRQSGTVLPQDASQQATPLGAGSEASVSDSMAVEDVQDELVIWKRISDEATRMQASARAEELMDPEILEQLVAPVEAPKLDVEYSAEHAATAQRYEQAVSEEPSNSLLLANFAQFLYQVQGDHDRAEHFFKRAVRAEPADAEAMGRYAAFLWQARNDLAAAEETYQEAIAADPGNAHHAAAYAHFLWNTGGEDTCYPLD >KQL06313 pep chromosome:Setaria_italica_v2.0:V:32529267:32531344:-1 gene:SETIT_003029mg transcript:KQL06313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEMPDADGKPRGADSSSASYGYPPSAPPQPQHQHQYGTFGTPSGGSGEFPQPAVGFPQPALPPGMQQYPQPPPASYAVYPPPPQQPYSAAAPYYAQGYQAVQGYIPVVEGRPVRMRRLPCCGLGMGWFLFIIGFFLAAIPWYVGAFVLIFVRVHDYREKPGYVACTIAASLAAIAVLLGATKGADVW >KQL06314 pep chromosome:Setaria_italica_v2.0:V:32528976:32531448:-1 gene:SETIT_003029mg transcript:KQL06314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEMPDADGKPRGADSSSASYGYPPSAPPQPQHQHQYGTFGTPSGGSGEFPQPAVGFPQPALPPGMQQYPQPPPASYAVYPPPPQQPYSAAAPYYAQGYQAVQGYIPVVEGRPVRMRRLPCCGLGMGL >KQL06315 pep chromosome:Setaria_italica_v2.0:V:32529806:32531448:-1 gene:SETIT_003029mg transcript:KQL06315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEMPDADGKPRGADSSSASYGYPPSAPPQPQHQHQYGTFGTPSGGSGEFPQPAVGFPQPALPPGMQQYPQPPPASYAVYPPPPQQPYSAAAPYYAQGYQAVQGYIPVVEGRPVRMRRLPCCGLGMGWFLFIIGFFLAAIPWYVGAFVLIFVRVHDYREKPGYVACTIAVSLF >KQL06636 pep chromosome:Setaria_italica_v2.0:V:34750618:34755166:1 gene:SETIT_002836mg transcript:KQL06636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKKQKLSEIQSCVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNVKSELKRISAPNARQATREELLEAGMADTLAVSTDQRGRLMMTTERLNQSTDRIKESRRTMLETEELGVSILQDLHQQRQSLLHAHTTLHGVDDNIGKSKKILAAMSKRMDRNKWIIGGIITALVLAILLILYFKLAH >KQL06637 pep chromosome:Setaria_italica_v2.0:V:34750618:34755166:1 gene:SETIT_002836mg transcript:KQL06637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCEASASLSRKCTAASALDGEKKKQKLSEIQSCVEEAESLIRKMDLEARSLQPSVKAGLLAKLREYKSDLNNVKSELKRISAPNARQATREELLEAGMADTLAVSTDQRGRLMMTTERLNQSTDRIKESRRTMLETEELGVSILQDLHQQRQSLLHAHTTLHGVDDNIGKSKKILAAMSKRMDRNKWIIGGIITALVLAILLILYFKLAH >KQL04914 pep chromosome:Setaria_italica_v2.0:V:12972111:12974225:-1 gene:SETIT_000741mg transcript:KQL04914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAAISSILLLLALLHPAAATARHRHRLGASLLAASLNASEPPTTFFEVDRPIRPPRGSAGPCSTLLLSGTFGATYGRPPATAAYAPPACLAAARAASAGGGGLALAVLEWTAVCRGRQFDRIFGVWLSGAELLRSCTAEPRPNGILWSVSRDVTRYAALLAEPGEVAVYLGNLVDKTYTGVYHANLTLHLYFHAAPPPQPQQQQMADLIVPISRNLPLNDGQWFAIQNATDVQSKKLAIPSNTYRAVLEVFVSFHSNDEFWYTNPPNDYIQANNLSNVPGNGAFREVVARVDGEVVGAVWPFTVIYTGGVNPLLWRPITGIGSFNLPTYDIDITPFLGKLLDGKEHDFGFGVTNALDVWYIDANLHLWLDHNSEKTTGSLLSYDAAGLDLNVNSEFNGLDGQFVTSASRHVSATGWVKSSYGEVTTTFYQRFRYENSNVFRKNGTVQIVNQTIDAKSGIFAKDATTVLLSEELHKIFPLYLYTGTTDKVGDEYSLDSLVKLGINEKKTSGGKMGFLYSSLQNAQSARGIMRVKKNLVVSGLGKTHQVYKYVGTDGCYFRDVSSRNYTVLFDRSGDSCSKGAYSRASTKLNNQSARRKLLVNKL >KQL03562 pep chromosome:Setaria_italica_v2.0:V:3183393:3184145:-1 gene:SETIT_004925mg transcript:KQL03562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKVNLQLITNTLSRRATYKRRCQGLMKKASELAALCGAKACVVVYGESKAQPEVWPSYQEARRLLIKYRDMPEHQRFKKVENQKDFLGSRVTKLRGMVNKSESENNKRESFDILHERMNGGRPGLFGTSTEELTRLQKIVSERKSQAKERLLELGAGKGQGAPLEPRVQLLPGSSSQPQDPNTQQPQPQHPPNGAVLGTLPSSAFPGSSGGCASPSSTGGDMMQPYSPGCYSEFPWTWEWSTFPPME >KQL04697 pep chromosome:Setaria_italica_v2.0:V:11047641:11055698:-1 gene:SETIT_000429mg transcript:KQL04697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCLRRGLSLVRQHTPRFLPSPPLRPARLFLHHLPAADGMGESSAAGKDAKGKGKAKAKAPAAASALVVTRDDSYLEAVTQTRIRKFEEIQARQALERLNIGGELIKVTLPDGAIKEGKKLITTPMDIAKEISSGLAASCLIAKVDETLWDMGRPLEGDCKLQLFKFDSNEGRDTFWHSSAHILGESLERVYGCKLCIGPCTTRGEGFYYDAYYNDLTLNEEHFGIIESQAQKAVAEKQPFERIEVSRAEALEMFAENKFKVEIINELPEDKTITVYRCGPLVDLCRGPHIPNTSFVKAFACLKASSSYWRGKADRESLQRVYGISFPDSKRLKEYKHFLEEAKKRDHRTLGLAQELFFFHPLSPGSCFFLPHGARVYNKLMDFMRQQYRDRGYQEVLSPNIYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLMFNNRVRSYRELPLRMADFGVLHRNELSGALTGLTRVRRFQQDDAHIFCREDQIKDEVKGVLEFINYVYEIFGFKYELELSTRPEKYLGDIETWNKPEQQLTEALNEFGMPWQINEGDGAFYGPKIDIGVFDALKRKFQCATLQLDFQLPLRFKLSYSAEDEAKIERPVMIHRAILGSVERMFAILLEHYNGKWPLWLSPRQAIVCSVSSGSVEYAKQVLARLHEAGFHVDIDMSDRTIQKKVREAQVAQFNYTLVVGAQEAETGNVSVRVRGSDGLATMSLDGLITRFREEIAAFK >KQL03510 pep chromosome:Setaria_italica_v2.0:V:2827911:2832185:-1 gene:SETIT_001662mg transcript:KQL03510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVGLLNPSSGYEASTSGATDRLPDEMNGMSIRDEKEVEAVVVNGNGMEVGHTIVTSVGGRNSQPRQTISYMAERIVGQGSFGVVFQARCLETSERVAIKKVLQDVRYKNRELQTMQVLDHPNVVCLKHYFCSTTDKEELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYMHNCVGVCHRDIKPQNILVNPHTHQLKLCDFGSAKVLVRGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKVLGTPTRDEIKRMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDPNARLPNGRSLPHLFNFKPNELRGVPMEFLMKLIPQHAKKQCAFLGI >KQL03511 pep chromosome:Setaria_italica_v2.0:V:2828467:2830750:-1 gene:SETIT_001662mg transcript:KQL03511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVGLLNPSSGYEASTSGATDRLPDEMNGMSIRDEKEVEAVVVNGNGMEVGHTIVTSVGGRNSQPRQTISYMAERIVGQGSFGVVFQARCLETSERVAIKKVLQDVRYKNRELQTMQVLDHPNVVCLKHYFCSTTDKEELYLNLVLEYVPETVHRVIKHYNKMNQRMPLIYVKLYMYQICRALAYMHNCVGVCHRDIKPQNILVNPHTHQLKLCDFGSAKVLVRGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPVFPGDSGVDQLVEIIKVLGTPTRDEIKRMNPNYTEFKFPQIKAHPWHKIFHKRMPSEAVDLVSRLLQYSPNLRCTALEALIHPFFDELRDPNARLPNGRSLPHLFNFKPNELRGVPMEFLMKLIPQHAKKQCAFLGI >KQL06758 pep chromosome:Setaria_italica_v2.0:V:35456346:35458853:-1 gene:SETIT_002974mg transcript:KQL06758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPRTARLALLSTPRAYSAAAAAGASPASPAPYGGAPPPAMSKTAEFVVSKVDDLMNWARKGSIWPMTFGLACCAVEMMHAGASRYDFDRFGVIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGVLQLQKKINRRKDFHHWWTK >KQL03540 pep chromosome:Setaria_italica_v2.0:V:3020816:3023058:1 gene:SETIT_005060mg transcript:KQL03540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRADGITLPAGQNQMTNEVWLLNYNFNRLTRAQCGKLPVVITEGNIRAVVPLVAAKFATKCNIAVRIHVPVLTHRKEYKKQPAQFNLFMGRLCAKFNIDTSDEIEACQKNKDNQGNVKFHQTTGSCSYPVLVENLGDKYKDQEPDAFDLFKECHYSKKKKVYTPIIQLAITQMENKLSAPTEGEQPNFATQVVADPRCSVKNVKADLETEKRANAELQSIVNSQRAQVDDLSKKVQETENARIRDQEEIKMKQAEMEAKLEVLLGQS >KQL07223 pep chromosome:Setaria_italica_v2.0:V:38426521:38427151:-1 gene:SETIT_002987mg transcript:KQL07223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTTTTSSPAALPAATTAPAASSVSPHAGSKRPLLAGDDAPWRATAAGGQGIRPVPRIHHAPVLRVAAQDDSAAYALAVMKHPDPIGEGLAMEAFAEAAGPECIVPGQQAPLRLMGLKVHLAPSMLEIPP >KQL07222 pep chromosome:Setaria_italica_v2.0:V:38425599:38427151:-1 gene:SETIT_002987mg transcript:KQL07222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTTTTSSPAALPAATTAPAASSVSPHAGSKRPLLAGDDAPWRATAAGGQGIRPVPRIHHAPVLRVAAQDDSAAYALAVMKHPDPIGEGLAMEAFAEAAGPECIVPGQQAPLRLMGLKVWPLDIDLKFLEPFGRELHSMKKVRHSSRLFHTFVSFYKCTICLIIKYPSTKSEFVLIYVRSLYNLLSLLNRITF >KQL07221 pep chromosome:Setaria_italica_v2.0:V:38424471:38427151:-1 gene:SETIT_002987mg transcript:KQL07221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTTTTTSSPAALPAATTAPAASSVSPHAGSKRPLLAGDDAPWRATAAGGQGIRPVPRIHHAPVLRVAAQDDSAAYALAVMKHPDPIGEGLAMEAFAEAAGPECIVPGQQAPLRLMGLKVWPLDIDLKFLEPFGRELHSMKKFMDKSCSVMDSSMANN >KQL03514 pep chromosome:Setaria_italica_v2.0:V:2861120:2862711:1 gene:SETIT_002052mg transcript:KQL03514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAAVLFALVAVQAAVLLAAVPSAQAGELQVGYYSKKCRGLENVVKWHVIRALKANRRTGAALVRLLFHDCFVRGCDGSVLLDASYDNPHPEKEAPVNIGLAAFDLLEEIKAAVEDRCPDVVSCSDILIYAARDAASILSNGHVHFDVPAGRLDGFVSKAEEAQAELPDSADDVQKLIDNFARKNFTIEELVILSGAHSIGQGHCSSFTGRLSEPNDQITPAYRNLLKYKCAKGNPPVDNNVRDEDYDVVARFMPGFTSRVRKIPDFLDNSYYHNNLAKIVTFHSDWTLLTHKEAFGHVKEYAENGTLWDEDFAESLVKLSELPMPAGSKGEIRKKCSVINHRLY >KQL04222 pep chromosome:Setaria_italica_v2.0:V:7348564:7351058:-1 gene:SETIT_000628mg transcript:KQL04222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGKLAYSYGGGSGGIRHDTKLLKSFSGVEPRKFGLGLFAGLLIVACAYFSTAKFDAVHINMSKQPVKPQAYLGMQEQGALSKETSKAEFEKDDGGGISNSGVAVASAAPPDPAMEGGGISNSDDDPSAVAVLPPLSSDSADTTQESGVLEDQELQVQNAIAEAANAPENSNSVVSGSTNGSSPSVIPFPSDAADIPAPAPAPAPAPAIQAPADQIPEIEAPPPEIKASPVQPIPPTPEVKQADWEAPEREWKPLCDVTSNRRIDWCELDGDVRVLGANASVTLVAPPGADERTFREESWRIKPYPRKADPNAMRNVREVTVRSVAAAGGGAPACTDRHDVPALVFSDRGYTGNYFHAYTDVILPLFLTARRYSGEVLLLVTNFQMWWLGKFLPVFKSLSNYELVDLDRDPRVHCFRHVQVGLTSHDDFSIDPRRAPNNYSMLDFTQFMRAAYVLPRAAVAPLPGQGRQRRPRLLVIARGGNRRFVNVEEIVRGARKVGFEVVVSEGGHEVAPFAEVANGCDAMVGVHGAGLTNMVFLPRGAVVIQVVPLGPMVFVASYFRGPSMDMGLRYLEYQIAPEESTLLDKYPRDHPVIADPGSIKAKDWVSFMGVYLFEQDVRLDMKRFRPVLKKALSRLRAKPKSN >KQL08411 pep chromosome:Setaria_italica_v2.0:V:45300732:45302507:-1 gene:SETIT_004105mg transcript:KQL08411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QEELTECNRDREVSGVFITLHDGANISHLTGTIAGPADSPYEGGTFTIDIRLPGEPPLLFRSLPPPRAFLPSDPPSTRRPRPRAPGIADYYLAILHPNISSQNGAICLDILKDQWSPALTLKTALLSLQALLSSPAPDDPQDAVVAQQAFAKSAPTGMEEKVQKLVEMGFPEDLVRSTLKSVDGDENLALEKLCSG >KQL05302 pep chromosome:Setaria_italica_v2.0:V:21483094:21484111:-1 gene:SETIT_005341mg transcript:KQL05302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEHDDGRAPSHDEESAGSHDHQLQGSEDTDAEAGSHVSQAEAEPQSQAAPVSSQVLGADPEEEEVGLELTLGFEPAAARQAKARSARFDLSAASSLIGLRLEQPA >KQL06098 pep chromosome:Setaria_italica_v2.0:V:30664285:30664946:-1 gene:SETIT_003614mg transcript:KQL06098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAREEGCRRQTTTTMTTMTALLLPDKETPSDETGVCYAIWCAWWLTITDITPELECRRCCLLIIRSVLGS >KQL06160 pep chromosome:Setaria_italica_v2.0:V:31120833:31121508:-1 gene:SETIT_003377mg transcript:KQL06160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQEAGGADLQQVRAKEEEELVFATWDCGSPLYDSFELASLHHVLESHLMVLPFPGAVASRSRRLEHRGGTAAPPDATVRRRRKVGRRRRGWMGSKAAATIVRAVTCWRKGDSSA >KQL04538 pep chromosome:Setaria_italica_v2.0:V:9608942:9612854:1 gene:SETIT_001898mg transcript:KQL04538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKGTKSKNQVGDVPDQQENKLPDHLELQRTRVVCNADAPIHTQGFQYSGTYAATGVDNSVSVEKFCKNFKIDIKHLTEDDMEFDMIGVDASIANAFRRILISEVPTMAIEKIFMADNTSVIADEVLSHRLGLIPLDADPRLFDYISENDVPNERNTIVYKLHVSCPKKGSQRITVKSGELEWLPEGSQLSMASPGQSGDKQKTFTSFSQSQKDILQKPLGVKFKDITIARLGAGQAIELEAHAVKGVGKVHAKWSPVATAWYRMLPEVEILKQIEGVDAEELVKKCPVNVFDIEDLGDGRKRAIVAKPRACTLCRQCVMGPSGDKIQLRRVRDHFIFTIESTGALPPEVLFTEAVKILEGKCEKVISELS >KQL08559 pep chromosome:Setaria_italica_v2.0:V:46049157:46054897:-1 gene:SETIT_001995mg transcript:KQL08559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLHEEMPFDLDFHPSSPLVVTSLITGELCLFRYGSESQPERLFSVKPHKESCRAVRFLDSGKAILSGSADCSVLASDVETGKAIARLEDAHENGINRLVCLTETTIATGDDEGCIKVWDTRERSCCNTFDVHEDYISDMSYVADSNQILATSGDGTLSVNNLRRNKVKSQSEFSEDELLSLVVMKNGKKVVCGTPSGALLLYSWGYFKDCSDRFLGHTQSVDTMLKLDEETLISGASDGVIRLVGILPNRIIQPLAEHSEYPIEALAFSNDKRYLGSLSHDKMLKLWDLQELLNGPQAVNGEEPAESGSDDDNDNDNDNDGMDVDMAPTSSKGSRSKKAGKGQSSSRPASDFFADL >KQL04115 pep chromosome:Setaria_italica_v2.0:V:6607279:6608949:-1 gene:SETIT_001427mg transcript:KQL04115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRDAALASGVSWGFLRSWGCYPYLVFDIKTLGINEDARNMDEITSDFISRVDHIVTKHTGIGVKTFKLQTYPCDNVHPSYVDRWLNVAITPGIEEFELQMPWRNKIEYNFPCSLLSTERGRLMRSLFLDHCAFHPGVEVGCLSSLTSVHLSSVHITGEELCSFLSKSLALKQLDIYKCSDIVCLKIPYVLVQLTFLQVQGCVMLEMIESNAPNLSQFKYIGHPIHMSLGNALQLSHMQMMSTSESNMLYCTGTKLPSIAPNLQTLCLTSRDEKVNTPMLVGKFFYLKHLEVALIEPSLSPDYDFCSLVSFLSGSPALDTFILRVELPTIRHDSVLEYSDYNSYSRHLLQHSHENLKNVMITGFCSAKSMVEFTNLIIELAPILECLTLDTSRGHERKIHKSTMCLHMFEEDLVEAQRARLAIERHVVGNVPSTVSLKLIEPCSKCLS >KQL04816 pep chromosome:Setaria_italica_v2.0:V:12053220:12055509:1 gene:SETIT_001334mg transcript:KQL04816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLKDLAAIQQQQLAADENMSNLTSASGDQTSVSSHPLPPPAKKKRSLPGNPDPDAEVIALSPRTLMATNRYVCEVCGKGFQRDQNLQLHRRGHNLPWKLKQRNPKEVVRKKVYVCPEAGCVHHDPARALGDLTGIKKHFSRKHGEKKWKCDRCAKRYAVHSDWKAHSKVCGTREYRCDCGTLFSRRDSFITHRAFCDALAEESARAVTAAAAAAAVAAGQHHQTHPGMLGDGVHLPAVLDHPSQPPLGGMSLQEMCLKREQFAPSWLTPQQQQHLEMGNPPSVFGSQEYMTGSSTPDSNAQPAAGLGFGFSPPPTASAAHMSATALLQKAAQMGATLSRPSNQGQMASVHSTSTTSANAAAAAAGSLPTTGAHTGALGFGASHHFGGDRERSSRTDRDAGSGGNAAGAAAGGGNDGLTRDFLGLRAFSQGDILSMAGFDPCMSPASPAAYEQQGHQMSSKQWHV >KQL07584 pep chromosome:Setaria_italica_v2.0:V:40668055:40670387:1 gene:SETIT_002688mg transcript:KQL07584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQALGLGRGCTLLHHACRCRRTAASPSPPAPRPHNAPRLKTRITAVRASAAQPAQMERKHGEEPVRVVKLRAVEATPESFAPFGQVIAASPDGDEFGPHDAQLDLSRGIPRFYIMRLQDRPLEFSNITHHASVTQCLGSIGGHDWYLGVAKPSILDGPAEQSGQEGWKPLQSSAGHYYLPPDPAEVCVFRVSGPKFLKLNKGTWHAGPLFKADAVDFYNLELGNTNVVDHTTHRFKEHDGITFVVED >KQL07182 pep chromosome:Setaria_italica_v2.0:V:38156657:38157355:-1 gene:SETIT_004313mg transcript:KQL07182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLGVDLEAAARPADYYDIFADPRDDEAPPVWGYRAPGCLSRLNDEDRICLPWLLATCLVMASLLAGFYTYTYISFSPPSFAVDLAGYGGLDPARPGRVVSPAFGVTLRMNRTCADRADVVVAYAGVALGWGRAAPWDCEGKRRTKDVEVVAKGEGVGLPARIRDRMAAEWGRSGTLELDVDVVVFDSSGSHLAAGDFPQKVMTGKVRLGGERSEPLPLAWYALDDLSEFSR >KQL05721 pep chromosome:Setaria_italica_v2.0:V:27209305:27209878:1 gene:SETIT_005255mg transcript:KQL05721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFIRRAISQTFAVPTLMYLLRYFLSTNQEAHNKIDYNSYR >KQL04938 pep chromosome:Setaria_italica_v2.0:V:13192202:13192694:1 gene:SETIT_005569mg transcript:KQL04938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQYSIIGTGKNWTIIKLIDSIATFFKRNPR >KQL07914 pep chromosome:Setaria_italica_v2.0:V:42486177:42486586:-1 gene:SETIT_005502mg transcript:KQL07914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAAFTYRSKIVSVHMFPLLLRNTCHITKLIIYRVFSILKKWCVDMAR >KQL03405 pep chromosome:Setaria_italica_v2.0:V:2184607:2185209:-1 gene:SETIT_003446mg transcript:KQL03405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSITDSESYVSTQNEERQISSTSITRQLKMCLAHTQLFRNNIRAKFSPTNLGTTDCQQTTVHIRKDKIPVTSCLLYGHLPQQRRMRYVLITTAASEAKLQHMHR >KQL03166 pep chromosome:Setaria_italica_v2.0:V:155579:158073:1 gene:SETIT_003255mg transcript:KQL03166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKDSTAAALDGNPEPMDQTEDNSMPSAQQQEEAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQVSLVVAPSNNTLLHVTLHCCSILFWFVSANTPTTAATFKALHLHFVRKRGYALCWRCL >KQL03167 pep chromosome:Setaria_italica_v2.0:V:155579:158073:1 gene:SETIT_003255mg transcript:KQL03167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKDSTAAALDGNPEPMDQTEDNSMPSAQQQEEAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTRQQQQQRSRRSIYTSSENEVAV >KQL03163 pep chromosome:Setaria_italica_v2.0:V:155579:158073:1 gene:SETIT_003255mg transcript:KQL03163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKDSTAAALDGNPEPMDQTEDNSMPSAQQQEEAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTRQQQQQRSRRSIYTSSENEDGDGAGAEDMNIN >KQL03165 pep chromosome:Setaria_italica_v2.0:V:155579:158073:1 gene:SETIT_003255mg transcript:KQL03165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKDSTAAALDGNPEPMDQTEDNSMPSAQQQEEAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQVSLVVAPSNNTLLHVTLHCCSILFWFVSANTPTTAATFKALHLHFVRKRGWRRCWC >KQL03162 pep chromosome:Setaria_italica_v2.0:V:155579:158073:1 gene:SETIT_003255mg transcript:KQL03162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMKDSTAAALDGNPEPMDQTEDNSMPSAQQQEEAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQVSLPTRQQQQQRSRRSIYTSSENEDGDGAGAEDMNIN >KQL03164 pep chromosome:Setaria_italica_v2.0:V:157098:157872:1 gene:SETIT_003255mg transcript:KQL03164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSCGQEEAIKKKFGGLMPKKPPLISKDHERAYFDSADWALGKQGVAKPKGPLEALRPKLQPTRQQQQQRSRRSIYTSSENEDGDGAGAEDMNIN >KQL05204 pep chromosome:Setaria_italica_v2.0:V:17739509:17739853:1 gene:SETIT_005116mg transcript:KQL05204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTDEDLPANVPSHGLAETSQAPITPIQGPITQSRARKLQQEVNYLLTKFDYNTNENFILPKRSTFVLLRFTHIGAAAGPKETSYTEKETSYRLLRSEPCSKRHTHKLVKIHHA >KQL06977 pep chromosome:Setaria_italica_v2.0:V:36841650:36844051:1 gene:SETIT_004857mg transcript:KQL06977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGNRYEVIEGKVDWRGRPALRGRHGGALNSLFILANFGLENMASLSLAVNLILYFMEVMHIPLSDASNLLTNYMGTSYMVAVLISVFADIFIGRYMTVIISSLIELVGLLLLMLQAHNDRLLPPKCESLLDPNCQRVHGSNEVHLYLALYLIAIGSAGIKAALPAHCADQFDEKHPKEKLQMSSCFNWLLLSLCIGGAISVTVFVYIQNRWGWYRGFAAATGVMGLAIIVFIAGLPKYRIATVQGSSALTEIFQVYVAAFRNRNLPLPENPDELYEISRNKASPDTEFVAHRDRPFRFLDRAAIVQTAKDAAPNPWRQCRVTQVEHAKTVLAMVPIFCSAIIMGTCLAQLQTFSIGQGNTMDTRLSKRFSMPVASMPIIPLVFLMFAVPIYEILFVPIARHLTGIPTGITHLQRVGVGLVLSIVSMSIAAVVEVKRKKVAARHGMMDMIPGRDYLPMSCFWLGLQFSVFGIADMFTYVGLMEFFYSQAPRALKSMSSSFLWCSLSFGYFMSTIIVQAVNAATKGSTASGGWLASNNINRDHLDLFFWLLAVLSTLNFFNYIFWASWYKYRNALPADAPEQQQQQV >KQL08195 pep chromosome:Setaria_italica_v2.0:V:44108991:44110865:-1 gene:SETIT_0001422mg transcript:KQL08195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGSYVLPKRDDRKGNLEDILLVHKLGERMRTMKEDFIMLCLEQFCKHCHQPIVSGRSWVCTSCKNFHLCDRCHAEEQNTAQKDRHPATTKQKHAFQRIEVEPLPETDDGDPTMESKYFDSRIDFLKHCQDNQFQFDTIRRAKHSTMMILYYLHNSTCSACHCAVDQCLVWRCLECLGCTFCDPCYKQNGESLHIHELRQIDASKTMQMNAIQDYVEGLVHASRCFDPCNCTLQVCLTLKKLFFHGVRCDIRARNWGGCNKCVFMWKLLLWHSKHCNDANCMVPRCRDIKAYMTEKIKLGGPVL >KQL04413 pep chromosome:Setaria_italica_v2.0:V:8805502:8810037:1 gene:SETIT_000659mg transcript:KQL04413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGGLKGPLLGATGTVSQDLPHGRRSSRTWTALAIAAALLALAGVLMLFLSSSGRDAGAGAAAARRPKAVAAGARLRSRHEVESGVGAAAADDARCSEVGAAALRAGGHAVDAAVAAALCLGVVHPMSSGVGGGAFIVVRDAASGEAVAFDARETAPAAATPDMYAADPTTKYKGALAMGVPGELAGLHAAWSRYGRLPWRDLVAPAIRLARDGYEVVAYVARALKLSEADVLADPGLRAVFAPAGRVLAAGETCRNPALAEALERVAEEGAAAFYGGAVGEAFVRDVRAAGGIVTAGDLSGYRVEVSDAMRADAMGYTFLGMPPPSSGTVGMAMILNVLGGYKSLEFLKGFLGVHRLIEAIKHMLATRMDLGDPDFVNVTGDVAEMLSLPFADRIRQRIADNTTFPPGYYLPKWRQLDDHGTSHLCVVDSDRNAVAMTTTVNYYFGGKVLSPSTGIVLNNEMDDFSVPAVKLAPDHLPPAPANFIAPGKRPLSSMTPLIILKNGQLAGVVGGSGGTNIIATVTQVFLNHFIVGMDPLAAVQQPRVYHKLIPNVVTYEDETAVDGEVIALSDGAKAFLEQRGHRLRSTDSGAVCQFIVHQLAEPPASGGGVFRGRLTAVSDPRKDGSPAGL >KQL04414 pep chromosome:Setaria_italica_v2.0:V:8805502:8810037:1 gene:SETIT_000659mg transcript:KQL04414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGGLKGPLLGATGTVSQDLPHGRRSSRTWTALAIAAALLALAGVLMLFLSSSGRDAGAGAAAARRPKAVAAGARLRSRHEVESGVGAAAADDARCSEVGAAALRAGGHAVDAAVAAALCLGVVHPMSSGVGGGAFIVVRDAASGEAVAFDARETAPAAATPDMYAADPTTKYKGALAMGVPGELAGLHAAWSRYGRLPWRDLVAPAIRLARDGYEVVAYVARALKLSEADVLADPGLRAVFAPAGRVLAAGETCRNPALAEALERVAEEGAAAFYGGAVGEAFVRDVRAAGGIVTAGDLSGYRVEVSDAMRADAMGYTFLGMPPPSSGTVGMAMILNVLGGYKSLEFLKGFLGVHRLIEAIKHMLATRMDLGDPDFVNVTGDVAEMLSLPFADRIRQRIADNTTFPPGYYLPKWRQLDDHGTSHLCVVDSDRNAVAMTTTVNYYFGGKVLSPSTGIVLNNEMDDFSVPAVKLAPDHLPPAPANFIAPGKRPLSSMTPLIILKNGQLAGVVGGSGGTNIIATVTQVFLNHFIVGMDPLAAVQQPRVYHKLIPNVVTYEDETAVDGEVIALSDGAKAFLEQRGHRLRSTDSGAVCQFIVHQLAEPPASGGGVFRGRLTAVSDPRKDGSPAGL >KQL04412 pep chromosome:Setaria_italica_v2.0:V:8805611:8808923:1 gene:SETIT_000659mg transcript:KQL04412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGGLKGPLLGATGTVSQDLPHGRRSSRTWTALAIAAALLALAGVLMLFLSSSGRDAGAGAAAARRPKAVAAGARLRSRHEVESGVGAAAADDARCSEVGAAALRAGGHAVDAAVAAALCLGVVHPMSSGVGGGAFIVVRDAASGEAVAFDARETAPAAATPDMYAADPTTKYKGALAMGVPGELAGLHAAWSRYGRLPWRDLVAPAIRLARDGYEVVAYVARALKLSEADVLADPGLRAVFAPAGRVLAAGETCRNPALAEALERVAEEGAAAFYGGAVGEAFVRDVRAAGGIVTAGDLSGYRVEVSDAMRADAMGYTFLGMPPPSSGTVGMAMILNVLGGYKSLEFLKGFLGVHRLIEAIKHMLATRMDLGDPDFVNVTGDVAEMLSLPFADRIRQRIADNTTFPPGYYLPKWRQLDDHGTSHLCVVDSDRNAVAMTTTVNYYFGGKVLSPSTGIVLNNEMDDFSVPAVKLAPDHLPPAPANFIAPGKRPLSSMTPLIILKVSRAI >KQL04411 pep chromosome:Setaria_italica_v2.0:V:8805502:8810037:1 gene:SETIT_000659mg transcript:KQL04411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGGLKGPLLGATGTVSQDLPHGRRSSRTWTALAIAAALLALAGVLMLFLSSSGRDAGAGAAAARRPKAVAAGARLRSRHEVESGVGAAAADDARCSEVGAAALRAGGHAVDAAVAAALCLGVVHPMSSGVGGGAFIVVRDAASGEAVAFDARETAPAAATPDMYAADPTTKYKGALAMGVPGELAGLHAAWSRYGRLPWRDLVAPAIRLARDGYEVVAYVARALKLSEADVLADPGLRAVFAPAGRVLAAGETCRNPALAEALERVAEEGAAAFYGGAVGEAFVRDVRAAGGIVTAGDLSGYRVEVSDAMRADAMGYTFLGMPPPSSGTVGMAMILNVLGGYKSLEFLKGFLGVHRLIEAIKHMLATRMDLGDPDFVNVTGDVAEMLSLPFADRIRQRIADNTTFPPGYYLPKWRQLDDHGTSHLCVVDSDRNAVAMTTTVNYYFGGKVLSPSTGIVLNNEMDDFSVPAVKLAPDHLPPAPANFIAPGKRPLSSMTPLIILKNGQLAGVVGGSGGTNIIATVTQVFLNHFIVGMDPLAAVQQPRVYHKLIPNVVTYEDETAVDGEVIALSDGAKAFLEQRGHRLRSTDSGAVCQFIVHQLAEPPASGGGVFRGRLTAVSDPRKDGSPAGL >KQL06978 pep chromosome:Setaria_italica_v2.0:V:36844569:36845409:-1 gene:SETIT_004668mg transcript:KQL06978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSDDKPLPTPASATAGGGTAPPGQPTAMSSKVLDMGAAATQSLQPVKQVRQHVCTFALYAHDPKRQVETHHYASRLNQDFLQCAVYDSDAADARLIGVEYMVSRKVFDTLPAEEQRLWHSHAHEIKAGLWASPRVPGMLEKPELDTLAATFGKFWCTWQVDRGDRLPLGAPALMVSPQADPAATVRPALVRKRDDRYGFSTEELRAARADVEAPAEEHPGQADYWLRHRKGFAIDVVPHDMKRHAPFP >KQL06337 pep chromosome:Setaria_italica_v2.0:V:32676455:32678828:1 gene:SETIT_003767mg transcript:KQL06337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFLSLIILPLLTFLPCSNASSQSMLSTGSSLSVDEYKQTFLTSPDGGFSCDFYQTTVWSANPKSPVNGQGSKVLLNHDGNLVLTDGNGTVTWDIKTSSGKGTAVILLDSGNLVIRNGNGEILWGSFSSPTDTLLPFQPLTRGTIVSGYKSLYFDNNNVLRLMYDGPDISSIYWPKPSYNVLKSGWVNYNSSRIAVLDAEGCFLSSDGLKENSSDWGTLIKRRLTLDYDGNLRIYSLNASSGSWTVTLEAITKVCNVHGLCGQNGICMYSPSLHCSYPPGYEMADQQNWKKGCWPKFSESWNISYKAEDFEFIQLPHTDFYGYDVTYNESVSLEGCKKACLEICSCSGFTYKTGPGLCCTKDILFNGYCYPNFPGDNYIKLPKNLGISTSLVSRKSHLTCNRDIPEIVEGSASMHGMNIVDKNWRTYYVFAAILGALVLIFTGTSWWFLSSKQDIPKSMEAGYRMVPKQFRMFTHRELKEATGKFKEEIGRGGSGIVYRGVLEDKRVVAVKKLTNFSHSEEELWAEMSIIGRINHMNLVRMWGFCSEGQHKLLVYEYVENESLDRGTMGHMAPEWALNFPINAKVDVYSYGVVLLEIVTGTRISSGITVDGREIGLTQIVQVMKQFLESGDVKDTVDHRLQGHFNPEKATAMLKVALACLEERNSRPTMNDIVVSLLACAEQDDHLAYSG >KQL05403 pep chromosome:Setaria_italica_v2.0:V:23910382:23911866:1 gene:SETIT_001177mg transcript:KQL05403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVSSAKAKVLKASYKLVVNNFLAVVAAVSVAAALWTAWPISISGLAGRVRAVRPVHALAAAILAAALARLRRLRRPRDVYFVEYGCFRPKSWFRAPFATCLEHVRLMPYLVDEEDFAWGRRLLLRSGIGEETCVPYAYHYVPPDRSVEASRDEAELVIFSAVDNLFARTAVEPGEIDVVVVNCSIFTPTPVFADMVVSRYKLRDDVRTVNLSGMGCSAGLVSVGLARNLLEVAPPGTHVLIVSTEILSSQYYVGREREMLLPNVLFRMGAAAMIMSNSPERARFRLARAVRTVAAAGDAGYRCVFQEEDDEGNMGIRLSKDLVATAGQTLKSNIVALGPLVLPASEKLLVALSLLKRKLLSRLGSKVRLYRPDFRTAFEHFCIHAGGRGVIDEVQRGLGLSDEDAEASRMTLHRFGNTSSSSVLYELAYIEAKGMMKKGDRVWMISFGAGFECNSVAWECVMPAADADGPWADCIHRYPVQLPKVVEGKGII >KQL04961 pep chromosome:Setaria_italica_v2.0:V:13424172:13426417:1 gene:SETIT_001451mg transcript:KQL04961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFLTSTAFMAGSGTRTHTLPSIASMDSHFERWSLTQIRQICCCVFFFWKGREPETLKSLSRTPSSIGTLPTMRLVALLFQVLLLPWSFSSSIRTNYTSIFSFGDSFADTGNFVIIGGPTTPDLLIAKPPYGMTFFGHPTGRISDGRLAIDFIAEALGLPLLPPSMAANQSFRQGANFAVAGATALDREFFVRDGDTSVTRYNISLGDQLGWFDAMKPSLCSSPQACQRHFARALFVVGEFGWNDYAFMLMAGKSVDEARSHVPQVVRTICTAVEKLIGAGGKTVVVPGLTPLGCASGNLVLFATTNAADYEPDTGCLRGLNRLSREHNRQLRQALARLRGRRPGARIVYADFYAPIADFAAAPVRYGFDGTDGALRACCGGGGGRYNVNLSMACGMAGVSACADPSAYVNWDGIHLTEAANHRIADGWLRGPYAHPPILDVNT >KQL05459 pep chromosome:Setaria_italica_v2.0:V:24719182:24719574:-1 gene:SETIT_004408mg transcript:KQL05459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTSGAKDVDGKGNDNEPMTHLQYNALRDVLRKEMTSGLNEPRDKTEELSKEIKKTVKDFEEQANEYMVKLRRDIVADVRNLIQADEEEASVHGSPRGETDEERAARLQLEEQERREQDATNSIHPHGCG >KQL07390 pep chromosome:Setaria_italica_v2.0:V:39465423:39466342:1 gene:SETIT_004111mg transcript:KQL07390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRASWDEGTTKTLLDLCIAQKNQFNWSNRCLTKLGWKNVYSGFRAQTGLHLGSKQLQNKLNNMRRAFHSWMSLQKQSGGRRGTSYARPLSYSASYLYFYDTTGGDAQPRSQPSSVKPPPFLDELFELFGHEPQDRGTLLTAGGIREATPSVGTEGNAVELDQDPPCYPTKKRSDNLEQYIRELSDSVAKRSQQRADRTHEQMVHCMQLLKEDGIQEGSPLYCQALYLCTKSVEYRTVLTEMTTKEGRMNWIQFN >KQL05809 pep chromosome:Setaria_italica_v2.0:V:28068374:28069440:1 gene:SETIT_004678mg transcript:KQL05809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAAADVSLRSSSGADVSSSRRSMVRPAFPIAPHEFFHARIPAAPREATKEVPAAEGDDELRLSDLEQVCQLGEGACGVVTKVRHRRTGAVFALKTAHYADPSGAPDEEAEALRRSAGSPHVVHCHAVLAGAADGAPAYVLELMDAGTLGGVLGRRGGRGIPEGALAEVAAWCLLGLAHVHSRGVAHLDLRPDNLLASSRGDVKIGDFSVSRILYGHAGEHRRVSVAVGSPMYLSPERFEPDAHAGPRGAVAADVWGFGVTVLELFLGRCPFLAPGGRMPAFEKLRQAICDGEPPSLRGFVAACLQKDPMRRATVAQLLAHPFVARRHVDESRRALRELIVETL >KQL08623 pep chromosome:Setaria_italica_v2.0:V:46336922:46338803:-1 gene:SETIT_002690mg transcript:KQL08623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAEGAAASALRAALARAGRAAERSGRAAEAVRVVAVGKTKPVSMLRQLYDAGHRCFGENYVQELVTKAPQLPEDIRWHFIGHLQSNKVKPLLAAVPNLDMVEGVDNEKIANHLDRAVNSLGREPLKVLVQVNTSGEESKSGIDPSRCVELAKHVKLACPHLIFSGLMTIGMKDYSSTPENFKALVDCKLEVCKALEIPTANFELSMGMSGDFEQAFTQIEMGSTNVRIGSTIFGPREYPNKKQ >KQL08622 pep chromosome:Setaria_italica_v2.0:V:46336727:46338803:-1 gene:SETIT_002690mg transcript:KQL08622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAEGAAASALRAALARAGRAAERSGRAAEAVRVVAVGKTKPVSMLRQLYDAGHRCFGENYVQELVTKAPQLPEDIRWHFIGHLQSNKVKPLLAAVPNLDMVEGVDNEKIANHLDRAVNSLGREPLKVLVQVNTSGEESKSGIDPSRCVELAKHVKLACPHLIFSGLMTIGMKDYSSTPENFKALVDCKLEVCKALEIPTANFELSMGMSGDFEQAIEMGSTNVRIGSTIFGPREYPNKKQ >KQL04894 pep chromosome:Setaria_italica_v2.0:V:12891222:12894688:1 gene:SETIT_002469mg transcript:KQL04894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQPKPLETYNYNRDEINQIAVSSKGFLAAADDSGDVKIVNTIQKCLYKRLREAHTSICSSVQFIPWRPWTAITGGLDSKLAAWDFSKGRTLFSIDYGSPELQNGSSSGSAGQCFNPAFVHSLAVSEEGILGGLYKVCAVARGDGAVDVVNLEYELAPAKSKGPPWAATSTMSSKRTEVGDGSSNQSQVKRIHLDYTMGGHTAAVSCVTFSAFGEKGKFLVSGGNDASVKIWDWSKGFSSETNSNAELVLDIDVKKKVNWLCTTPTDSDNLIVCDTSKVVKVYNFR >KQL05794 pep chromosome:Setaria_italica_v2.0:V:27900879:27902458:1 gene:SETIT_005156mg transcript:KQL05794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADHRDKYLAVCHAQSQFPAQEAASGAERMEEAQHGYSPLAGDDGAAAIRGAAGEGDDVKLRLLGYKPQLRRGLSVVSNFAVTFSIVSVVTGVTTLFGTGLQFGGPATMVYGWPIAGAFTLAVGLAMAEICSAYPTSGGLYFWSAKLCARRRWGPFAAWLTGW >KQL08632 pep chromosome:Setaria_italica_v2.0:V:46381043:46382344:-1 gene:SETIT_003863mg transcript:KQL08632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKRKRNDPAAAYLSDDLVIEILARLQERPLRRFKCVSRTWRDLISGPIHRRRLARTDAASGFFYHACASGSWATNLSFAALCPPEGGGCFDQAFPFLPYGTQVKLLDSCNGLLLLRCCHGAYDDVAAPRYIVCNPATHGWAVELPVPSPEPTRDPDPIELRLEEISRRRRERPLEQQWRQRPPRPAALAFDPAVSSHFHVFELVEDDGQHSSQYGGCTIKAVRIYSSETGEWVRRDSAWSYRIAYAGENAYLSSEWSYRLAYAGKHAYLNGFLHLTTTDAEKGGVVVAAVDTMGKTWRVTRVCPDPPATLGAPGVVGQSQHRLLYVDASGSGNPRELSVYALEYCSGGGERWSLKHRTRSLDPSGQMWFGKRYHNVVAIHPGCNVIFLFDSGRQSLIAYDMDRETTRVVHTFTDAPSNCHFFPYVPLYLQ >KQL04341 pep chromosome:Setaria_italica_v2.0:V:8314445:8315191:1 gene:SETIT_005033mg transcript:KQL04341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELACPASSGVPPFPVSFSAVSHDQHPPALEFMSCDEILEQWLLGKQDEEEPRDGRRAIQPPPPAPFVERSRPGAWKPGPRTCAPAMSHVEAERQRRDRLNRRFCDLRAAVPRVSRMDRASLLSDAVAYIAELRGRVEQLEKEASRRASLGHCSLPGAPEQEDMALEAWMIGPEAAALRLRTAAERHAPALLMAALRALDLPVHHVCVCRVGGVTVQDVVVDVPSAGLRREGQLRAALHGLLLQESG >KQL04713 pep chromosome:Setaria_italica_v2.0:V:11177363:11183446:1 gene:SETIT_004727mg transcript:KQL04713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPALQFLGLVCLAAASALSTVIHGQPGSADFFSIDCGIPADQTYPDRSIPGIVYVSDAGFTDAGLTAGVKPTYVGPNLHDRYRTLRYFPGGAAARSCYTLRPVTPGGKYLVKASFFYGNYDGLDEPPVFDLHLGANRWWTTLQLLATNTYSVEALTVPPGDSLQVCLVNTGLGTPFISGLDLMPLGATMYPEATVNQSLVLLRIARPSAAFSFNRYHFWPADQLFRYPTDRYDRHWQRYGDVSALTNITTTETVDVSGISNFDKPTKILQSTATPVNGTRIDITWSPDPASSSDNSTYLLLLYFAEVQRLPRNTLRQFDILVDNATWNGSQGFTPRYLSAEIVKRMVRAARQHTFSLVATPEATHPPILNAFEIYTVKPMTEPTTNDMDAKAMITIRTTYAVKKNWMGDPCAPKTYAWDGLNCSYSSSDSAWITALHLSSCGLTGDVDPSFGYLKSLQHLDLSNNSLSGRVPDFLAQMPSLKFLDFSSNKFVGSVPAILLEKHQNGSLVLRFASQIVVPIAVATLLFVAAFLIFRIMRKRKDAWMANNSRLHSPRGRANTFQSRQFTYKELKVMTSNFREEIGRGGFGAVFLGYLENGSPVAIKMCSKTSSQGDKEFLAEAQHLTRVHHRNLVSLVGYCKDKKHLALVYEYMEGGSLEGRLRGEASAATPLTWHQRLKIALDSAQGLEYLHKSCQPPLIHRDVKTQNILLSANLKAKIADFGLMKEFSDEYRTHVTTHPAGTLGYLDPEYYNTSQLSEKNDVYSFGVVLLELITGQPPAVPIGDTESIHIAQWVREKLSEGDDIESIADPRMERQYDVNSVWKVSELALQCKEEPSRTRPAMTDVVVGLKECLELEVSRAMSYYSSVASSARNVSVTSVDLHIEAQASDYLRQQAALELEQ >KQL05815 pep chromosome:Setaria_italica_v2.0:V:28155944:28163267:-1 gene:SETIT_000043mg transcript:KQL05815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGDIQKVASMRRGDSGSMWRRGDDVFSRSSREEDDEEALRWAALEKLPTYDRVRRAIVPLGLGGDGAEAAGGGKGVVDVDVLSLGPQQRRALLERLVRVADEDNERFLLKLKDRVDRVGIDMPTIEVRFQNLEAEAEVRVGSSGLPTVLNSIVNTVEEAANALHLLPSRKRTMPILHDVSGIIKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVTGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRFDMLTELSRREKAANIKPDADIDAFMKASAMGGQEANVVTDYILKILGLEICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREEVLEFFESLGFRCPERKGVADFLQEVTSKKDQKQYWARRDEPYRFVPVKEFATAFKSFHAGRAIANELAVPFDKSKSHPAALTTTRYGVSGKELLKANIDREILLMKRNSFVYMFRTFQLMLMSIIAMTLFFRTKMKHDTVTDGGLYMGALFFGVLMIMFNGFSELALTVFKLPVFFKQRDLLFFPAWSYTIPSWILKIPITFIEVGGYVFLTYYVIGFDPNVGRFFKQYLLLLAVNQMAAALFRFIGGAARNMIVANVFASFMLLVVMVLGGFILVREKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKILNATASNETLGVQVLKSRGVFTEAKWYWIGFGAMVGFTILFNALFTLALTYLKPYGNSRPSVSEEELNEKHANMKGEVLDGNHLVSARSHRSTRANTETDSAIGEDDSSPAKRGMILPFVPLSLTFDNIRYSVDMPQEMKAQGVQEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARISGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNTRKIFIEEVMELVELKPLRDSLVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYAGPLGHHSSELIKYFEGIQGVSKIKDGYNPATWMLEVTTVSQEQILGVDFSEIYKNSELYQRNKALIKELSQPAPGSSDLHFPSKYAQSSITQCMACLWKQNLSYWRNPPYNTVRFFFTTIIALLLGTIFWDLGGKVKTSQDLLNAMGSMYSAVLFIGVMNCTSVQPVVAVERTVFYRERAAGMYSAFPYAFGQVVIELPYALAQDILYGVIVYSMIGFEWTAAKFFWYLFFGYFTLLYFTFYGMMAVGLTPNYHIASIVSSAFYAIWNLFSGFIIPRPRVPIWWRWYCWICPVAWTLYGLVVSQFGDMMTEMDNGKTVKVFIEDYFDFKHSWLGWVAAVVVAFAVLFAALFGFAIMKLNFQKR >KQL05089 pep chromosome:Setaria_italica_v2.0:V:15668560:15676201:-1 gene:SETIT_000200mg transcript:KQL05089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVLFDDLGPSKAPPASVYDDMFDSYFNRAAEPPEPSPKASSSPSTPPPVFDKPVFDDDPDTVDPFEAIPLFGDGGGGAGGGEDFLGSVGRAAEPERREPDALGLDDDLIPGLVGSATKSTEEPVRKVEQDSQAVGLDDDLVPGFGASMNPALQMEPETLGFDDGVIPGFGGGTSHQDSAREEPIMRQGSEPISSSKMSVSMPEDPFVILGGTHKSGYLSFGLFSDHLGNNSMPVKVGNTKVEAPDDTGGMFQSSDIFAEFPKAIPSFSFTSENKSDTVSHSDQMPQEKPGQRASTKAHNDILPEVNIPGASAIHEIPGTTVFQTLNPFAGEEELLEVNQSSQMPNEVWITVSDISLVTQPTSAPPPSRPPPPLAAKKPPTESVNSETYILDHHNQGYHHSIGSANASKTYQIDDLEDFFMAKPANFANGRPQVLKHEGKEQHSSAATASFMDWTEMGHSKGGNQGTFDSMFTSNQYGQPIDEKTESRAYEMEEKNEKERLEHERIQREHEEEQRKEEKEREKELEREREREREEELEREKEKVRQKEQEERKRREKEREARQAVEKAVWEARERAAAEARMQAEKEARKKAERAAVQKATAEARERAAVEARERAAKAAAEAKEREAAEARERATKAAADARERAARAAAEAREKAAAESQEKAAAEARAKAERAAVEKAAAEARRRAERAAFERVAAEARQRAANEARERAAAEARARENQQSTTEPDLESFFGMPSRSSSVPRSHTATTNPFDVQPQGNAGSGAVRRTSSGSVSPFTQPPPSNLVDDLSSVFGAPSSSAVFQEVRGESEERRKARLERHQRTMERAAKALAEKNERDLQAQREQEERHRIGESLDFEIKRWAAGKEGNLRALLSTLQYILWPECGWRPISLTDLITAASVKKEYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >KQL05088 pep chromosome:Setaria_italica_v2.0:V:15668194:15676201:-1 gene:SETIT_000200mg transcript:KQL05088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSVLFDDLGPSKAPPASVYDDMFDSYFNRAAEPPEPSPKASSSPSTPPPVFDKPVFDDDPDTVDPFEAIPLFGDGGGGAGGGEDFLGSVGRAAEPERREPDALGLDDDLIPGLVGSATKSTEEPVRKVEQDSQAVGLDDDLVPGFGASMNPALQMEPETLGFDDGVIPGFGGGTSHQDSAREEPIMRQGSEPISSSKMSVSMPEDPFVILGGTHKSGYLSFGLFSDHLGNNSMPVKVGNTKVEAPDDTGGMFQSSDIFAEFPKAIPSFSFTSENKSDTVSHSDQMPQEKPGQRASTKAHNDILPEVNIPGASAIHEIPGTTVFQTLNPFAGEEELLEVNQSSQMPNEVWITVSDISLVTQPTSAPPPSRPPPPLAAKKPPTESVNSETYILDHHNQGYHHSIGSANASKTYQIDDLEDFFMAKPANFANGRPQVLKHEGKEQHSSAATASFMDWTEMGHSKGGNQGTFDSMFTSNQYGQPIDEKTESRAYEMEEKNEKERLEHERIQREHEEEQRKEEKEREKELEREREREREEELEREKEKVRQKEQEERKRREKEREARQAVEKAVWEARERAAAEARMQAEKEARKKAERAAVQKATAEARERAAVEARERAAKAAAEAKEREAAEARERATKAAADARERAARAAAEAREKAAAESQEKAAAEARAKAERAAVEKAAAEARRRAERAAFERVAAEARQRAANEARERAAAEARARENQQSTTEPDLESFFGMPSRSSSVPRSHTATTNPFDVQPQGNAGSGAVRRTSSGSVSPFTQPPPSNLVDDLSSVFGAPSSSAVFQEVRGESEERRKARLERHQRTMERAAKALAEKNERDLQAQREQEERHRIGESLDFEIKRWAAGKEGNLRALLSTLQYLHLSRKSTGKRPCASILIRCSKRVQIFNKSTSQKRCLTF >KQL06184 pep chromosome:Setaria_italica_v2.0:V:31484854:31485368:-1 gene:SETIT_003860mg transcript:KQL06184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASCAAARHHADKPDPPCGSGADVALSVPGMRHEKGHPHHHPSNCSSPAVPERGGTPAAMTVSGFQFQQDEDGGLPAACDGRYHSDRDMLAALSTGWYAGGRRCHRRIRFASARTRRAVEAEVVDECDSGRGCGCNVVVTSPAVWKALGLDTNVGEVPVTWSDDV >KQL08537 pep chromosome:Setaria_italica_v2.0:V:45881951:45883764:-1 gene:SETIT_003005mg transcript:KQL08537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKISWITYIHDSAIADELRGQNLLSLAFVNKAKKELPNLQNERDNRYRKKYLAQRNKLIGMLEEMHLTSSDVQFDEKKQVSADEYKSEKRKVKHIIRKVKSDAARKELVLRIELIKQAIYNEWHLEDIDDLIENAKNFTYEMIGDVEIVHYDGQNLVDILSRLRKDARKSATDHDEKNKLTAGLRLGNLYKK >KQL08612 pep chromosome:Setaria_italica_v2.0:V:46310418:46311116:1 gene:SETIT_004001mg transcript:KQL08612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAVKLIGSGSSPFVHRAAVALRLKGVPYEFIREDMSNKSDLLLQHNPVHKKVPVLLHGGRAVCESLIIVEYVDEAFPGPPLLPADPYDRAAARFWAHFLDDKCLKSLWPALWTEGEAQAASMAVARENLAILEEQLRGKKKKRFFGGDSIGLVDIAGAGLFAHWLGVLEEVAGVRVLSDEEHPALRRWANEYLADEAVKECLPDRDQLVAHFSANRDKCISIAKSMLPPN >KQL05450 pep chromosome:Setaria_italica_v2.0:V:24609369:24610936:1 gene:SETIT_003289mg transcript:KQL05450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPASVVSAATMSPPTGSEAPGCDPQLAAVTAERKRKRKESNRLSAQRSRARKQRQLDELTDQVAALRARNGAMAAAAYDIERRCAAVQAENTLLQAMNLELGERLQSLTELIQWMEGAMYHQPQPQPQLLDANMYNYY >KQL05347 pep chromosome:Setaria_italica_v2.0:V:23181447:23183912:1 gene:SETIT_003859mg transcript:KQL05347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPTPWADGLSIDLLLLIVAELCCLADRASFASVCHRWREAAKLANAKRVPNQIPWLLLPSPAPTPSIFSFLSGARRRIRSFPADFRRERLCGSHPGGWVTVTLGPFGRHLLANIYSRARFNLPHRLKRLPISTVTPVLIRAVILSAAPTAANCIAGAMVCGASNIAFCRPGVDSHWYAHPEIRGGSRIWTMVVEADAEADASRKTFIQNRSAYLMPERTPDTMLPTLPKSTSRTGYLVVSRKKLLLVTRYYSRDSQSGVRRTLMFRVFEMQVEVSADRLQNHKASWEELEDLDDRVLFVARGCSRAFEASELHGFDGGSIYYLDDAEFDVMPLLQNEAEYRCSDMGMYSMPGTTVRPSLKDARTANKRPSIYGAETYLAWFCRADNANEEAAKQSVVEMTEDEGQRRSGGQIVGSRWSILSEPQTKFSPPIWFYP >KQL03578 pep chromosome:Setaria_italica_v2.0:V:3240450:3243553:1 gene:SETIT_000698mg transcript:KQL03578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGNEFAELLWENGQAVVHGRRKQTQTSFPPFTCAAASSSRAQEKQPGSDPVALFKTGGVFGAGGLVTSVHDFSSGLDATRDNGDLDDTVPWIHYPIIEEDSAAAPALAESYSPDFFSELHAAAAAAAATNPSSLPPPIQHTTNNRSTPIATTSREPEPSKESHRMPVPGPATRPEPPQAEFAATKQTRLGGGAEGLMNFSLFSRPAAMARASLQSAQRPPQTGTDKASNVTTSTRVESTVLQSSIGPRTTPVFTDQRTAWSQPKEVRFSCTAAPTAGNLQQEMPRDRLGSMTLQKQVKTRKEPEAAVATSSVCSGNGAGIGNDESWRQHKRKSQAECSASQDDDLEDESGGARRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGSGLCIPPMLLPPTMQHLQIPQIAHFPHLGMGLGYGMGVFDMNSSPAVPFPSMPGAHFPCSMIPGTTAQGLGMPGRNTVPMFGLPGQAIHPSASSVQPFPSLAGLPVRPNLAPQVSAAMANMVQEQHQDVATQQQQNMNSEARQGTNTGDPELQTILQVENQHFSVPSSAQTESGPFLDSGGNRTDTAGRNGAER >KQL03577 pep chromosome:Setaria_italica_v2.0:V:3240450:3243553:1 gene:SETIT_000698mg transcript:KQL03577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGNEFAELLWENGQAVVHGRRKQTQTSFPPFTCAAASSSRAQEKQPGSDPVALFKTGGVFGAGGLVTSVHDFSSGLDATRDNGDLDDTVPWIHYPIIEEDSAAAPALAESYSPDFFSELHAAAAAAAATNPSSLPPPIQHTTNNRSTPIATTSREPEPSKESHRMPVPGPATRPEPPQAEFAATKQTRLGGGAEGLMNFSLFSRPAAMARASLQSAQRPPQTGTDKASNVTTSTRVESTVLQSSIGPRTTPVFTDQRTAWSQPKEVRFSCTAAPTAGNLQQEMPRDRLGSMTLQKQVKTRKEPEAAVATSSVCSGNGAGIGNDESWRQHKRKSQAECSASQDDDLEDESGGARRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGSGLCIPPMLLPPTMQHLQIPQIAHFPHLGMGLGYGMGVFDMNSSPAVPFPSMPGAHFPCSMIPGTTAQGLGMPGRNTVPMFGLPGQAIHPSASSVQPFPSLAGLPVRPNLAPQVSAAMANMVQEQHQDVATQQQQNMNSEARQGTNTGDPELQTILQVENQHFSVPSSAQTESGPFLDSGGNRTDTAGRNGAER >KQL03580 pep chromosome:Setaria_italica_v2.0:V:3240450:3243553:1 gene:SETIT_000698mg transcript:KQL03580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGNEFAELLWENGQAVVHGRRKQTQTSFPPFTCAAASSSRAQEKQPGSDPVALFKTGGVFGAGGLVTSVHDFSSGLDATRDNGDLDDTVPWIHYPIIEEDSAAAPALAESYSPDFFSELHAAAAAAAATNPSSLPPPIQHTTNNRSTPIATTSREPEPSKESHRMPVPGPATRPEPPQAEFAATKQTRLGGGAEGLMNFSLFSRPAAMARASLQSAQRPPQTGTDKASNVTTSTRVESTVLQSSIGPRTTPVFTDQRTAWSQPKEVRFSCTAAPTAGNLQQEMPRDRLGSMTLQKQVKTRKEPEAAVATSSVCSGNGAGIGNDESWRQHKRKSQAECSASQDDDLEDESGGARRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGSGLCIPPMLLPPTMQHLQIPQIAHFPHLGMGLGYGMGVFDMNSSPAVPFPSMPGAHFPCSMIPGTTAQGLGMPGRNTVPMFGLPGQAIHPSASSVQPFPSLAGLPVRPNLAPQVSAAMANMVQEQHQDVATQQQQNMNSEARQGTNTGDPELQTILQVENQHFSVPSSAQTESGPFLDSGGNRTDTAGRNGAER >KQL03575 pep chromosome:Setaria_italica_v2.0:V:3240450:3243553:1 gene:SETIT_000698mg transcript:KQL03575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGNEFAELLWENGQAVVHGRRKQTQTSFPPFTCAAASSSRAQEKQPGSDPVALFKTGGVFGAGGLVTSVHDFSSGLDATRDNGDLDDTVPWIHYPIIEEDSAAAPALAESYSPDFFSELHAAAAAAAATNPSSLPPPIQHTTNNRSTPIATTSREPEPSKESHRMPVPGPATRPEPPQAEFAATKQTRLGGGAEGLMNFSLFSRPAAMARASLQSAQRPPQTGTDKASNVTTSTRVESTVLQSSIGPRTTPVFTDQRTAWSQPKEVRFSCTAAPTAGNLQQEMPRDRLGSMTLQKQVKTRKEPEAAVATSSVCSGNGAGIGNDESWRQHKRKSQAECSASQDDDLEDESGGARRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGSGLCIPPMLLPPTMQHLQIPQIAHFPHLGMGLGYGMGVFDMNSSPAVPFPSMPGAHFPCSMIPGTTAQGLGMPGRNTVPMFGLPGQAIHPSASSVQPFPSLAGLPVRPNLAPQVSAAMANMVQEQHQDVATQQQQNMNSEARQGTNTGDPELQTILQVENQHFSVPSSAQTESGPFLDSGGNRTDTAGRNGAER >KQL03576 pep chromosome:Setaria_italica_v2.0:V:3240450:3243553:1 gene:SETIT_000698mg transcript:KQL03576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGNEFAELLWENGQAVVHGRRKQTQTSFPPFTCAAASSSRAQEKQPGSDPVALFKTGGVFGAGGLVTSVHDFSSGLDATRDNGDLDDTVPWIHYPIIEEDSAAAPALAESYSPDFFSELHAAAAAAAATNPSSLPPPIQHTTNNRSTPIATTSREPEPSKESHRMPVPGPATRPEPPQAEFAATKQTRLGGGAEGLMNFSLFSRPAAMARASLQSAQRPPQTGTDKASNVTTSTRVESTVLQSSIGPRTTPVFTDQRTAWSQPKEVRFSCTAAPTAGNLQQEMPRDRLGSMTLQKQVKTRKEPEAAVATSSVCSGNGAGIGNDESWRQHKRKSQAECSASQDDDLEDESGGARRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGSGLCIPPMLLPPTMQHLQIPQIAHFPHLGMGLGYGMGVFDMNSSPAVPFPSMPGAHFPCSMIPGTTAQGLGMPGRNTVPMFGLPGQAIHPSASSVQPFPSLAGLPVRPNLAPQVSAAMANMVQEQHQDVATQQQQNMNSEARQGTNTGDPELQTILQVENQHFSVPSSAQTESGPFLDSGGNRTDTAGRNGAER >KQL03579 pep chromosome:Setaria_italica_v2.0:V:3240450:3243553:1 gene:SETIT_000698mg transcript:KQL03579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGNEFAELLWENGQAVVHGRRKQTQTSFPPFTCAAASSSRAQEKQPGSDPVALFKTGGVFGAGGLVTSVHDFSSGLDATRDNGDLDDTVPWIHYPIIEEDSAAAPALAESYSPDFFSELHAAAAAAAATNPSSLPPPIQHTTNNRSTPIATTSREPEPSKESHRMPVPGPATRPEPPQAEFAATKQTRLGGGAEGLMNFSLFSRPAAMARASLQSAQRPPQTGTDKASNVTTSTRVESTVLQSSIGPRTTPVFTDQRTAWSQPKEVRFSCTAAPTAGNLQQEMPRDRLGSMTLQKQVKTRKEPEAAVATSSVCSGNGAGIGNDESWRQHKRKSQAECSASQDDDLEDESGGARRSGSRGTKRSRTAEVHNLSERRRRDRINEKMRALQELIPNCNKIDKASMLDEAIEYLKTLQLQVQMMSMGSGLCIPPMLLPPTMQHLQIPQIAHFPHLGMGLGYGMGVFDMNSSPAVPFPSMPGAHFPCSMIPGTTAQGLGMPGRNTVPMFGLPGQAIHPSASSVQPFPSLAGLPVRPNLAPQVSAAMANMVQEQHQDVATQQQQNMNSEARQGTNTGDPELQTILQVENQHFSVPSSAQTESGPFLDSGGNRTDTAGRNGAER >KQL04553 pep chromosome:Setaria_italica_v2.0:V:9834936:9838985:-1 gene:SETIT_000763mg transcript:KQL04553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPQYDLVGNPLGAVRATFERTAAAAAAESGGSDPVAAFRGKDWGACDLFRSFLLEEDGLDKVPVLNASNLGLIKPNTLVRYRGMVQDMLGNEYYIGAFKDGSTWRTNKFTDFSPFSMPHPCDSHLWERHLFHCVPAPGQNSWTMESSPGPDLRRMSSCLATELREKRKRDGDKDDMDVSENGHEESSALCKKPKEDDVHVSSGSNEVAEGVPEMNRGDHIPGSSFSCLVKVYDMPDSQVKLNDVIEFIGVYTFDPELAAPSDNPDDIMLDLIEDVIVQLPPSKVPRLHCLVWRKLSPHDFISRPPVVEPSPSILKGIRQSLLSHLTLVLGNDELAAQCLLLHLLSRLRNRVDLVTVGRLSLNFTGFNRESASIFGNQLYSLIQKLVPYSQAIPMSIEYLNTATLQPRKDNKSGRLVTGVLQLPQGSHLTFDETLLQTGSLTSKGVENTMLLKNLMESQKVDYDFEYYKLDMATDVQLLTLSEGKSNILPSDLVVPFRPSSVPTIDAGSEELENWRWYLATVRSLPQSTEPETYQMIQDEMVSAMRDDRSLGCSELSRWLTMAQIMASSFGEKSLSLEHWQMVKELERLRKQRLQ >KQL05380 pep chromosome:Setaria_italica_v2.0:V:23661926:23662367:-1 gene:SETIT_005368mg transcript:KQL05380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEFQKVLKNQTPRKPHLAGLWERLLPKPCQRVRTQRNSSSSSGGAPRIPLSGTLSRRRRQKKGSRGSSSSVSHPCPRGRVGRQRRW >KQL03441 pep chromosome:Setaria_italica_v2.0:V:2401676:2403377:1 gene:SETIT_000970mg transcript:KQL03441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHAKESLKKMYPVAKNYLGGLLPAHSFSVSDLVYPSRSSNRASRHAIPFKARSFTKCSLERCSADQEIVIAMGSNVGDRVSTFDRALQLMKNSGVSITRHANLYETAPAYVTDQPRFLNSAIRGTTRLSAHELLKRLKEIEKDIGRTSGIRYGPRPIDLDILLYGNYEINSETLIVPHERIHERPFVLAPLVDLLGASGDDGIETSWHSLSKCSGGFFELWNKLGGESIIGTDCIKRVWPVGNRLWDWYERTLVMGILNLTPDSFSDGGKFQQVKAAISQAKLLISEGADIIDIGAQSTRPFAKRLSPNEELERLVPVLDEIMKIPEMEGKLLSVDTFYAEVASEAVKRGVHMINDVSGGQLDPKILKVAAELRVPYVAMHMRGDPSTMQSEQNLHYDDVCKEVASELYAQVREAELSGIPLWRIVLDPGIGFSKKSKHNLEVIMGLESIRKEMGSMSIGASHVPILLGPSRKRFLGEICNRANPVERDVATVAAVTAGILNGANIVRVHNAGYGADAAKVCDALHKGRRWEE >KQL04502 pep chromosome:Setaria_italica_v2.0:V:9410062:9414742:-1 gene:SETIT_000223mg transcript:KQL04502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSYAAAAAGSSSRKTNRVPTAAAAGSSSRKPNLTSTAAAATARPRPPAPSPSPAPAPPAANPTAVSDSDPSSYSSSSGDEADLTASDSATASVVSAYLSVAGEGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSSSCFAILHLPCIQSWAHQSASGAAVPCPTWGCPKCRFAYPKSQTPTSYLCFCSKTADPAPDPWILPHSCGDVCGRRLNANLDSGCEHTCLLLCHPGPCPPCPAVVPNAPCFCGAHWEPRRCAHQRYSCAGKCNKRLSCGLHRCPVNCHDGPCPPCAVRGKHKCECGETMEEKLCSERVFQCKRECGGMLDCRKHKCERGCHGGKCGECPLRGRRTCPCGKKDYPKLECDAEAATCGSTCEKVLGCGRHRCPERCHRGPCDETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRNCGRHACKRRCCAGDCPPCSETCDRKLRCGNHKCLSPCHRGACSPCPLMKTISCACGKTCFEVPCGTEKNQKPQKCSKKCNIPRLCRHKLECRPHKCHYGACPPCKLTCGEELSCGHICKERCHGPISPPNPEFTLKPTKKKMEKHIECTPGTLCPPCKEVVVVPCFGQHLGQERAMPCSKWRPFPCQNLCGNPLLCGNHYCTKSCHVLEVPLNQPEGDPLPSVSKESTLAEPCEQCNLPCQRVREPSCSHRCPLRCHPSDCPPCKVLVKKPCHCGAMVHAFECVYFNNLKAKEQVKVRSCGGPCHRKLPNCSHLCSEVCHPGTCPSVDQCMKKVNVRCACNTLKQEWICQDVLKEYRNSGRDPKEVPKSQFGAGLLACGEDCKKKVKVQDSELHLRKTQENKIPAMEVANVPKRRKRRDRGQEVEVSKFQVCTIQYKCAAFIEEKSAPYDKFICCRKSRPTC >KQL04501 pep chromosome:Setaria_italica_v2.0:V:9409667:9414742:-1 gene:SETIT_000223mg transcript:KQL04501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSYAAAAAGSSSRKTNRVPTAAAAGSSSRKPNLTSTAAAATARPRPPAPSPSPAPAPPAANPTAVSDSDPSSYSSSSGDEADLTASDSATASVVSAYLSVAGEGADLSKVGIFLSSAARRRSPPCLICFDPIRPSDPVWSCSSSCFAILHLPCIQSWAHQSASGAAVPCPTWGCPKCRFAYPKSQTPTSYLCFCSKTADPAPDPWILPHSCGDVCGRRLNANLDSGCEHTCLLLCHPGPCPPCPAVVPNAPCFCGAHWEPRRCAHQRYSCAGKCNKRLSCGLHRCPVNCHDGPCPPCAVRGKHKCECGETMEEKLCSERVFQCKRECGGMLDCRKHKCERGCHGGKCGECPLRGRRTCPCGKKDYPKLECDAEAATCGSTCEKVLGCGRHRCPERCHRGPCDETCRLVITKSCRCGGLKKEVPCYQELTCERKCQRLRNCGRHACKRRCCAGDCPPCSETCDRKLRCGNHKCLSPCHRGACSPCPLMKTISCACGKTCFEVPCGTEKNQKPQKCSKKCNIPRLCRHKLECRPHKCHYGACPPCKLTCGEELSCGHICKERCHGPISPPNPEFTLKPTKKKMEKHIECTPGTLCPPCKEVVVVPCFGQHLGQERAMPCSKWRPFPCQNLCGNPLLCGNHYCTKSCHVLEVPLNQPEGDPLPSVSKESTLAEPCEQCNLPCQRVREPSCSHRCPLRCHPSDCPPCKVLVKKPCHCGAMVHAFECVYFNNLKAKEQVKVRSCGGPCHRKLPNCSHLCSEVCHPGTCPSVDQCMKKVNVRCACNTLKQEWICQDVLKEYRNSGRDPKEVPKSQFGAGLLACGEDCKKKVKVQDSELHLRKTQENKIPAMEVANVPKRRKRRDRGQEVEVSKFQEVKTYVLRVLLIIFLSMIIAAGLYLLWKGIFRLSDWMNEMEEQRTSRRHPRGAML >KQL07154 pep chromosome:Setaria_italica_v2.0:V:37964995:37965677:1 gene:SETIT_004782mg transcript:KQL07154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRAWMYGIRRHPLTFILEVAKFVEAAKKHARICKTKQIRCPYFDCSNKILWEDTNVIKRHLIKRGFVDGYTIWSHHGEAGGTSNNTDIDTRCDEVGGDHVMMDDDYDRGDQNGDHIDVRVEPQVDEERGVDMEDMLRYIEPEVLLGSAKGLENFETLKKAAKDRIYVGCGKEWIVLPKFSLSDNSFNDLLTLLGNLLAKPNFVPKNTYEAKKIIKF >KQL05503 pep chromosome:Setaria_italica_v2.0:V:25209982:25210407:-1 gene:SETIT_003553mg transcript:KQL05503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVEKVDRDQKNNILFESIETASSISQQYGWTDTCLMLPLCPSCLTGLFKQHEKLLADPFETWVPESGTRLLDKADQTNESSGSK >KQL07615 pep chromosome:Setaria_italica_v2.0:V:40837073:40842276:1 gene:SETIT_000290mg transcript:KQL07615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGDRRSPPLDGIAVDGGGRAQSPGAGTGRLPPPPGGFARGLMKQPSRLASGVRQFASRVSMKVPEGVAGMRPGRMTRMQSSAQMGLRGLRFLDKTSGGKEGWKAVERRFDEMTKGSGRLQKESFGKCIGMGDSKEFAGELFVSLARRRNVEPEDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAPEKLKRTTSSLARTMIPSRYRSPLKRHLSKTADFIHENWKRIWLVTLWLVVNLALFVFKFEQYKRRTSFQVMGYCVCVAKGAAETLKLNMALILLPVCRNTLTTLRSTALNHVIPFDDNINFHKIMALSIAIATAIHTLAHVTCDFPRLTSYPMDKFMATLGSNFHYKQPTYSDLLQSIPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYILLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSILKASIYPGNVLSIHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNHFGKACEAQVTSKKATLTRLETTVVADAQIEDTRFPRVYIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDMLNNLKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHSNVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSRIRTHFARPNWRKVFSDLANAHRNSRIGVFYCGSPTLTKQLKELSKEFSQTTTTRFHFHKENF >KQL07614 pep chromosome:Setaria_italica_v2.0:V:40837181:40840892:1 gene:SETIT_000290mg transcript:KQL07614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYGDRRSPPLDGIAVDGGGRAQSPGAGTGRLPPPPGGFARGLMKQPSRLASGVRQFASRVSMKVPEGVAGMRPGRMTRMQSSAQMGLRGLRFLDKTSGGKEGWKAVERRFDEMTKGSGRLQKESFGKCIGMGDSKEFAGELFVSLARRRNVEPEDGITKEQLKEFWEEMTDQNFDSRLRIFFDMCDKNGDGMLTEDEVKEVIILSASANKLAKLKGHAATYASLIMEELDPDDRGYIEIWQLETLLRGMVSAQAPEKLKRTTSSLARTMIPSRYRSPLKRHLSKTADFIHENWKRIWLVTLWLVVNLALFVFKFEQYKRRTSFQVMGYCVCVAKGAAETLKLNMALILLPVCRNTLTTLRSTALNHVIPFDDNINFHKIMALSIAIATAIHTLAHVTCDFPRLTSYPMDKFMATLGSNFHYKQPTYSDLLQSIPGVTGILMIIIMSFSFTLATHSFRRSVVKLPSPLHHLAGFNAFWYAHHLLVLAYILLVVHSYFIFLTREWYKKTTWMYLIVPVLFYACERTIRKVRENNYRVSILKASIYPGNVLSIHMKKPPGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTSELRNHFGKACEAQVTSKKATLTRLETTVVADAQIEDTRFPRVYIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDMLNNLKSNEEVESIHGSEIGSFKNNGPGRAYFYWVTREQGSFEWFKGVMNDVAESDHSVPSHSYFPELHLPVPSFHPQKQKLIENLATAECYRDAQLPNQRL >KQL04085 pep chromosome:Setaria_italica_v2.0:V:6373321:6378798:1 gene:SETIT_001588mg transcript:KQL04085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAAAAASDSQGGLKARDVCIVGVARTPIGALLGSLSSLPATKLGSIAIQGALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTVNKVCSSGMKAVMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDSYAILSNERGIAARDSGAFSWETVPVEISAGRGRPPVVVDKDESLAKFDPVKLKKLGPTFKANGSVTAGNSSSISDGAAAIVLVSGEKAKNLGLQVIARIRGYADAAQAPELFTTAPALSIPKAISSAGLQTSQIDYYEINEAFAVVALANQRLLGIPSEKLNLSGGAVSLGHPIGCSGARIIVTLLGILRQKPGKFGVAGVCNGGGGASALVLELMGD >KQL04084 pep chromosome:Setaria_italica_v2.0:V:6373669:6378213:1 gene:SETIT_001588mg transcript:KQL04084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAAAAASDSQGGLKARDVCIVGVARTPIGALLGSLSSLPATKLGSIAIQGALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTVNKVCSSGMKAVMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDSYAILSNERGIAARDSGAFSWETVPVEISAGRGRPPVVVDKDESLAKFDPVKLKKLGPTFKANGSVTAGNSSSISDGAAAIVLVSGEKAKNLGLQVIARIRGYADAAQAPELFTTAPALSIPKAISSAGLQTSQIDYYEINEAFAVVALANQRLLGIPSEKLNLSGGAVSLGHPIGCSGARIIVTLLGILRQKPGKFGVAGVCNGGGGASALVLELM >KQL04086 pep chromosome:Setaria_italica_v2.0:V:6373321:6378798:1 gene:SETIT_001588mg transcript:KQL04086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAAAAASDSQGGLKARDVCIVGVARTPIGALLGSLSSLPATKLGSIAIQGALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTVNKVCSSGMKAVMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDSYAILSNERGIAARDSGAFSWETVPVEISAGRGRPPVVVDKDESLAKFDPVKLKKLGPTFKANGSVTAGNSSSISDGAAAIVLVSGEKAKNLGLQVIARIRGYADAAQAPELFTTAPALSIPKAISSAGLQTSQIDYYEINEAFAVVALANQRLLGIPSEKLNLSGGAVSLGHPIGCSGARIIVTLLGILRQKPGKFGVAGVCNGGGGASALVLELMQPSSHIHSSL >KQL04087 pep chromosome:Setaria_italica_v2.0:V:6373321:6378798:1 gene:SETIT_001588mg transcript:KQL04087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAAAAASDSQGGLKARDVCIVGVARTPIGALLGSLSSLPATKLGSIAIQGALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTVNKVCSSGMKAVMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDSYAILSNERGIAARDSGAFSWETVPVEISAGRGRPPVVVDKDESLAKFDPVKLKKLGPTFKANGSVTAGNSSSISDGAAAIVLVSGEKAKNLGLQVIARIRGYADAAQAPELFTTAPALSIPKAISSAGLQTSQIDYYEINEAFAVVALANQRLLGKAKLKWWCCFAGPSYWLQWCTDYSHFAWDS >KQL04083 pep chromosome:Setaria_italica_v2.0:V:6373321:6375299:1 gene:SETIT_001588mg transcript:KQL04083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAAAAASDSQGGLKARDVCIVGVARTPIGALLGSLSSLPATKLGSIAIQGALRRANVDPALVQEVFMGNVLSANLGQAPARQAALGAGLPNTVPCTTVNKVCSSGMKAVMFAAQSIQLGINDVVVAGGMESMSNAPKYVAEARRGSRFGHDVLVDGMLKDGLWDVYNDFPMGMCAELCADQHSISREEQDSYAILSNERGIAARDSGAFSWETVPVEISAGRGRPPVVVDKDESLAKFDPVKLKKLGPTFKANGSVTAGNSSSIRFASLLL >KQL08665 pep chromosome:Setaria_italica_v2.0:V:46563267:46563781:1 gene:SETIT_004554mg transcript:KQL08665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVVLKMELHCRRCVGRIRKLIRSLHGVQDVWVSLETGLVVVAGPFLDASLLRWRIQYMTGKPVEVVSDGAPEEPSPDNGQMVHLGPPQTGYGGYPYGGYYYGGGGWVPEYHARRQYVPNEAPVCFNDDNPNGCCVMQ >KQL08421 pep chromosome:Setaria_italica_v2.0:V:45382525:45383345:1 gene:SETIT_003373mg transcript:KQL08421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHGHPSADHPLMRNPNSSSPQVFVVGSSLPPPPPPPPPLQTKMASFSGDFLTSGATASTTTAAKTKPLTMFYNGGVAVFHLPQDKVHGLCISVDLWFFCTTESDHSSSACIINLA >KQL03612 pep chromosome:Setaria_italica_v2.0:V:3408414:3409417:-1 gene:SETIT_005379mg transcript:KQL03612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLLQKFLPKIMTRSLSGKIVRKYYESVIYICLDPFEMTSCRKRNFPEAKIHSWIVELLVGSLPARRP >KQL03546 pep chromosome:Setaria_italica_v2.0:V:3069958:3072575:-1 gene:SETIT_003368mg transcript:KQL03546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALAWQATVIKKYSCVRGRRSHGACFFGPGFSSPMVSLCLLLPVLPSSLELASTIAAAKTWSYSETHKVQSSFRGFPNSCTMDSIDRVEKSEQGAILGGCDDGTDDAFTQAEDFGK >KQL03545 pep chromosome:Setaria_italica_v2.0:V:3071434:3071829:-1 gene:SETIT_003368mg transcript:KQL03545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALAWQATVIKKYSCVRGRRSHGACFFGPGFSSPMVSLCLLLPVLPSSLELASTIAAAKTWSYSETHKISCELSKFARLTGPSKNKSA >KQL03547 pep chromosome:Setaria_italica_v2.0:V:3070968:3071829:-1 gene:SETIT_003368mg transcript:KQL03547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALAWQATVIKKYSCVRGRRSHGACFFGPGFSSPMVSLCLLLPVLPSSLELASTIAAAKTWSYSETHKSFRGFPNSCTMDSIDRVEKSEQGAILGGCDDGTDDAFTQAEDFGK >KQL03548 pep chromosome:Setaria_italica_v2.0:V:3069958:3072575:-1 gene:SETIT_003368mg transcript:KQL03548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGALAWQATVIKKYSCVRGRRSHGACFFGPGFSSPMVSLCLLLPVLPSSLELASTIAAAKTWSYSETHKSFRGFPNSCTMDSIDRVEKSEQGAILGGCDDGTDDAFTQAEDFGK >KQL07490 pep chromosome:Setaria_italica_v2.0:V:40007915:40009593:1 gene:SETIT_004521mg transcript:KQL07490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGDEEVDFRLPCPGGWTFCSICREAVCLRCCPDHGVADHGVGPRRTMDLAAVKSGKNRDIVHQLVNCDYTRRHFPDRFCTGCELAFSSWFCEEHHHHHDAADDFQVFDIVRKDGWLLIHDHQLPGDLTDGIKTVEMEDGNLGVPLRPRLFPNPSGGSKLCDRHEPHNCMEAVEQEVCSIYCKIIVAE >KQL06198 pep chromosome:Setaria_italica_v2.0:V:31607198:31609711:1 gene:SETIT_003071mg transcript:KQL06198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTLSSAFTLLSRPSSSPSPSASLPRSSVAVPRRGRRAVAVASTATESPKVLELGDAIAGLTLEEARSLVDHLQERLGVTAAAFAPAAVVAAPGAGGAGAAEEAAPVEQTEFDVVIDEVPSSARIATIKVVRALTSLALKEAKDLIEGLPKKLKEAVSKDEAEDAKKQLEEVGAKVSIV >KQL06375 pep chromosome:Setaria_italica_v2.0:V:32908220:32914672:-1 gene:SETIT_000364mg transcript:KQL06375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETPTSSGQSEASSSEPSWWPPDFLEKIESASISRKQDVLGNLRTSSWKASQLLWSQGSYSGLIPNGFYSIIPDKKLKETFPNIPSLDDLQALEADGLKADIIIVDTERDKKVFMLKQLSAALVKGLNSSPALVIKKIAGLVFDCFKRQNVDSSPARGSTEDTHFFGNKGPQLLGQIRHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIESSSNLSGRSLRNMMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRKGVAEESRGASSSPEHPLMRARGRSILGGEKQPFQEYTESGSASRSDGLSGTSTSNARRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVEGSCSYVTEDQNNANDCPNDDDASRRVGATDNGSRNRTGSTQKAMSLPSSPHEYRGQVTEKNDDFMSKEKMALAWNKVFQSSPFLNKPLLPFEEWNIDFSEITIGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNGNNPFGLCELQFQEQFSYALN >KQL06376 pep chromosome:Setaria_italica_v2.0:V:32906877:32914672:-1 gene:SETIT_000364mg transcript:KQL06376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETPTSSGQSEASSSEPSWWPPDFLEKIESASISRKQDVLGNLRTSSWKASQLLWSQGSYSGLIPNGFYSIIPDKKLKETFPNIPSLDDLQALEADGLKADIIIVDTERDKKVFMLKQLSAALVKGLNSSPALVIKKIAGLVFDCFKRQNVDSSPARGSTEDTHFFGNKGPQLLGQIRHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIESSSNLSGRSLRNMMLRSRTFSEGKLSTSCSEPNIANAFWRRSQRKGVAEESRGASSSPEHPLMRARGRSILGGEKQPFQEYTESGSASRSDGLSGTSTSNARRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVEGSCSYVTEDQNNANDCPNDDDASRRVGATDNGSRNRTGSTQKAMSLPSSPHEYRGQVTEKNDDFMSKEKMALAWNKVFQSSPFLNKPLLPFEEWNIDFSEITIGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACITPPHLSMVTEYMEMGSLYYLIHMSGQKKKLSWRRRLKIIRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMIDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGISPVQVVYAVANEGSRLEIPEGPLGRLIADCWAEPDNRPSCQEILTRLLDCEYAVS >KQL06377 pep chromosome:Setaria_italica_v2.0:V:32906537:32914724:-1 gene:SETIT_000364mg transcript:KQL06377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETPTSSGQSEASSSEPSWWPPDFLEKIESASISRKQDVLGNLRTSSWKASQLLWSQGSYSGLIPNGFYSIIPDKKLKETFPNIPSLDDLQALEADGLKADIIIVDTERDKKVFMLKQLSAALVKGLNSSPALVIKKIAGLVFDCFKRQNVDSSPARGSTEDTHFFGNKGPQLLGQIRHGSCRPRAILFKVLADAVGLESKLVVGLPDDGAVGFVDSYKHMSVVVSLNSMELLVDLMRFPGQLIPFSAKAIFISHISAAGESDSAENDSCDSPLEPNSPLYGLSDKVEAEGIESSSNLSGRSLRNMMLRSRTFSEGKLSEPNIANAFWRRSQRKGVAEESRGASSSPEHPLMRARGRSILGGEKQPFQEYTESGSASRSDGLSGTSTSNARRIRRRSISITPEIGDDIVRAVRAMNETLKQNRLQRDHVEGSCSYVTEDQNNANDCPNDDDASRRVGATDNGSRNRTGSTQKAMSLPSSPHEYRGQVTEKNDDFMSKEKMALAWNKVFQSSPFLNKPLLPFEEWNIDFSEITIGTRVGIGFFGEVFRGIWNGTDVAIKVFLEQDLTTENMEDFCNEIYILSRLRHPNVILFLGACITPPHLSMVTEYMEMGSLYYLIHMSGQKKKLSWRRRLKIIRDICRGLMCIHRMKIVHRDLKSANCLVNKHWTVKICDFGLSRVMIDSPMTDNSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLSRPWEGISPVQVVYAVANEGSRLEIPEGPLGRLIADCWAEPDNRPSCQEILTRLLDCEYAVS >KQL05926 pep chromosome:Setaria_italica_v2.0:V:29124216:29129503:-1 gene:SETIT_000363mg transcript:KQL05926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDLKEMKYRRRIGVEERPQCSDPRGGADWAALQQDPVELLRKLDELRDQITRSCHVVGQPREHRRVSRRAVSMLPEHLEPPPQPGYHRSRYGGRYGHGLPPPSPYAPQRPEHGERYVRQSSGHYRQYPGRQWENGGMAPGSYHHYGCACPHCLHGQRAAPQEENIPMARYFAGQHESYRFERSPSVSSDYDRRSVASSLYSHRTVLKRRAEFFRKKAEHICRPVDGAAPFAVCSSCYKLLQMPVEKCIGRKKNRFQCGSCCQIISLKHDEGKGIPLTPSSSLYVPEMEQSSNDQMMKDSTHQRQEDFNSVFYNANEHSSMQFNMDFADDNSLSSTTSHGRTDKEYGSNRSIQSKAEGLSFSPSRSLDAGSPKDILCERDAGCEAEPSVDGSVTPRSPVLEDKLVDPLCTQEKGNNEDAMAYRSDITCKGEYDVNDDYDESVSTRSKQKGNDDDKDATEDESSRSSYEQKDKEDNCCNLEGGNKMHKQNSVKDDSSSLEDGSEKYEYTNIKDDNSSPGGSEDSSKYEPKAKGDEKCVLGSENISNNCDEDNKDNAIEAGSTSERHDELKTEEDYGKLQRPFTEDANSPAESGSSVNGRTNSGFSRGSSEAGLDEDQSSTGKSGDSSFFAGFLKKGFKDLSLLNKSMDSAKVSINGHPISERALKKAEKKAGPVDPGSYWYDYRAGFWGVMGRECIGIIPPFIREFNYPMARNCAGGDTGVFVNGRELHQRDLDLLVGRGLPRISGKSYSIEVSGDITDEATGKKLRSLGKLAPTIEKLKRGFGMHVPEEFR >KQL03809 pep chromosome:Setaria_italica_v2.0:V:4713439:4715421:1 gene:SETIT_002053mg transcript:KQL03809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKIVLGAIFIASFYLSASLAFPPGHHEGAYPVGNGPVSGLSPDYYKFTCPQADEIVVSILKKAIAKEPRIGASLLRLLFHDCFVQGCDASVLLDDTEEVVSEKNAIPNKNSIRGFEVIDEIKAALEEACPHTVSCADTIALAARGSTVLSGGPYWELPLGRRDSKTANMKLANKYLPPPNATLHRLIKFFQRQGLDKVDLVALSGSHTIGKARCVSFKQRLYNQHRDNRPDITLEKSFYHTLALACPHTGGDNNIRSLDFVSPSKFDNSYYKLIMEGRGLLNSDEVLWTGKDPEIAGLVKSYAENEPLFFEHYVNSITKMGNINPLMGHNGEIRKNCRRVNQEI >KQL07079 pep chromosome:Setaria_italica_v2.0:V:37545307:37546595:1 gene:SETIT_003248mg transcript:KQL07079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEEPLRPSPTAAVSQTFSGAGRIRSRSVVANVAQDSSESSGSVVRYVKSSLSTAEDIFALAGIGFATVAALWASVHLIEIIDKLPVLPLFFELIGILVAWLFIYNNLLFKAKRQEFLENIISTASRISGQ >KQL07080 pep chromosome:Setaria_italica_v2.0:V:37545138:37547265:1 gene:SETIT_003248mg transcript:KQL07080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMACALAVVQPAAVLAPCGRRSLSGHLPRLPSPRLSGRIRSRSVVANVAQDSSESSGSVVRYVKSSLSTAEDIFALAGIGFATVAALWASVHLIEIIDKLPVLPLFFELIGILVAWLFIYNNLLFKAKRQEFLENIISTASRISGQ >KQL04461 pep chromosome:Setaria_italica_v2.0:V:9183183:9183692:1 gene:SETIT_004829mg transcript:KQL04461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPWTVRVRKRAVEAERRSRHARGMLRDAVPLLASPMHVADVPGARAGAQSVLAALDDAHSGLAFTAATTAAAELLALRGAAADPTAPLPSVDYIPDAHPNERAALGLLREARVNAEAAYDNVGWCCERLMTACNLLEHPGLPGVDGLVDAERAAAHGYLVVAEDLAR >KQL05499 pep chromosome:Setaria_italica_v2.0:V:25187150:25188581:-1 gene:SETIT_003293mg transcript:KQL05499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKMNMVASRKPGDWSCRSCQYVNFCKREACQRCGEAKLGGERTDYAALGGDWDVKPGDWYCCRCGVHNYASRGSCFKCSAAKNEAAAAVAQGWGFTVAGQAGMKPGDWICPRLGCNVQNYANRTECFRCNMPRSYYG >KQL03150 pep chromosome:Setaria_italica_v2.0:V:69442:70185:-1 gene:SETIT_003075mg transcript:KQL03150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDFAQKLLCIISENLNPPPSYIKEAVGEVYQNITISYYSPCPQPDLALGLQSHSDMGAITLLIQDDVGGIEVLKDGMWIPVPALRDGILVILADQTEIITNGRYKSSVHRAVVDAERARLSVATFYDPSKSRKIFTAAKLVSKDEPQKYRDVIYGDYVSSWYSKGPEGKRNIDALLIQQ >KQL03213 pep chromosome:Setaria_italica_v2.0:V:373359:375552:-1 gene:SETIT_002928mg transcript:KQL03213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLPLLLVALLLPAAGGAETPQYTKVHAESDFEVRLYHDTVWMSAPTPDIPSFHVATKLGFHRLFQYLMGANLNSSRIRMTAPVLTSVVPGAGPLHSSAYFVRLYLPAKFQASPPVPLPELNLHPDRWPSHCIAVRSFSGYARDKNVVEEAEKLAMSLSRSPWVNSTNYPSKNAYSIAQYSSPFRFIGRVNEVWFDVDCKSTGVETY >KQL04105 pep chromosome:Setaria_italica_v2.0:V:6514349:6516066:-1 gene:SETIT_005333mg transcript:KQL04105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGKFPLLLPVAVWHHQTLCGCVCIPGNCGLLSSEKR >KQL07322 pep chromosome:Setaria_italica_v2.0:V:39107295:39107513:1 gene:SETIT_004975mg transcript:KQL07322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTMRRVLPLCALLLVVLLICAASLADVAEAKRGRGGVRFGGGSSDAPRGLSGGTWMACVGSSLLAAAVMLL >KQL05660 pep chromosome:Setaria_italica_v2.0:V:26811219:26812845:-1 gene:SETIT_001256mg transcript:KQL05660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METITSAATSDLISRALSFLIDKFTNRECMEEKVQRLQLLLLRVRTVIEEADGRCITNSGMLMQLKLLSERMYRGYYVLENFKYRPIVQSAGQEVSSSPALASSFGASIKRFRMMSNSTTRSSTVDDLDGALRSLETMVDTMKEFVMLIGGCERVCCNPYDTYLYLDNFMFSRYVEKQHVLNTLLQKNFNNQGAPAILPIIGGHKVGKRTLVSQICSNEKIRSHFSSILHISEQVISRIEHEKFPCVRTLVVIEFMLDVNEDDWMKFYSCVSGMGEGTKVIIISRLEKLARFATVKPIHMKLLSQEEYSYLFKVLAFGSANPEDYPQLSSIGNELSTLMGRSCIIGNVLADVLRKNLNTQFWFHVLKRYKGTLENNMLLFGDHPRSIACKDRPIDITKLIYYPAPFQILPPHVGCDVSATQLPRVTFGDLIVGSAAPPKEMFELMTWESRLPPYSKIVRICVQNKTQNAAPLRKKRRGVVA >KQL05634 pep chromosome:Setaria_italica_v2.0:V:26569162:26569470:-1 gene:SETIT_004486mg transcript:KQL05634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEIFRVIANYLRVENLLRDTRGVRVEEQLEMFMFMLSHNASTDRLKKEFQHSGETIHRKIIEFFEIIPALTHRFLKLPNTNHTHVKIASDGCKTWGLHEL >KQL03732 pep chromosome:Setaria_italica_v2.0:V:4362139:4362925:-1 gene:SETIT_0004542mg transcript:KQL03732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSSLMGTRVEYRKQLIQNAASMINIDQLDI >KQL05776 pep chromosome:Setaria_italica_v2.0:V:27787856:27790290:1 gene:SETIT_000762mg transcript:KQL05776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAARLNLRMQKEIKLLQDDPPHGVSLNENDLSSLSSIEARIEGPEGTVYAKGIFILKIQIPERYPFQPPNVTFVTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNIATVLTSVGLLLSEPNPDDGLMAEISREYKYNRQVFDINAQLWTEKYASPSAVGASGWGSVDAGVLAQNTQVEDTESQGSLPKSSTKDCEGNKRKRLLLGQKLSLKSGRSDEDMKTIKQDPVASHLPSMAGSTYPTACFSDVSGGQNDTSEKMSVGTASGVVSKIEYRGNNKNSQLPSQGLSVTSEAPSKTSDGNDVFVLPNHSPTSASHANDHGMQSSDDILENSFPRRIGGSSDSSYKPPEGNQRNIRTLGLKLSLKSVKPEKKSDDQKENMAPNHLPPQPGFNKSQKRPLDAVSRKQFSGGPALAQQNPITEHQQSNTQVVSTEECNQGRKKLCALSRRLSLKSKQYGLDSACDKEYKPPNCSLSDKMPNELPLSAPPAPIPKGEAVARNELPLLAPPAPMTKGEAVAPNELLLSAPTVLKSQAKALGFAGRQKDANSGNFSVKQTAVSMENVVVSDSEDSEDECERPPRSRLSLMRRRLAGKLRT >KQL06013 pep chromosome:Setaria_italica_v2.0:V:30085563:30089516:1 gene:SETIT_000938mg transcript:KQL06013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRTCGAIYILLSVLSASTTVLASSNSDGLIRIPLKKRSIMESIYGDLLPRTSTVESQAAAGRDVYDPVRSAIVQAREREHQMLVEAAAMERRRKYYWSYRGSGENSSGEPVPLKNFLNAQYFGQIGVGCPPQNFTVVFDTGSANLWVPSAKCFFSLACLFHPKYESSLSSTYKPNGTPASIHYGTGAIAGFYSEDQVTVGNLVVQNQEFIEATHEPGFTFLLAKFDGILGLGFQEISVEGSVPVWYNMVNQRLVQEPVFSFWLNRNPIEGEGGEIVFGGADQQHYKGSHTYTRVTRKGYWQFEMGDFLIGGKSTGICVDGCAAIADSGTSLIAGPLVAIAQIHEQIGAAGVVNHECKQVVAGYGQQMLELLKVQTQPAQVCSKIGLCTFDGKHGIGAGIESEAGSVDGMSDAICKACEMIVFWMRSELNPNKTKEGTLEYVDRLCENMPDPVGSHVDCRHVDSLQTVAFSIGGRAFELQPEQYVLKVGEGFMAHCISGFTALDIPPPIGPLWILGDVFMGAYHTIFDYGKMRVGFADSA >KQL03301 pep chromosome:Setaria_italica_v2.0:V:794775:798640:1 gene:SETIT_0012271mg transcript:KQL03301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HEEDVAIGFLEEYDLDYEIAVVKVPAVLDVYCVPLDHQVQFDPHGRKVVAVGRDISDRLLTTSGTCTDSRGSQYSRYLMFSTCKLSEVMQGGALYDFYGNFFGINLFWDMERTIFLPRSIILERVVHFRTSLKKSVFLNLVKPVRDKKRQIRRIGVKLLPRPEGSIKIFGDVYPKGVWSELEHGVVSHILRNLVALASFKGESKLRTCTGFFIDYADKCPTILTSASLVRKNDAKIIEGLRIVVLLPNRERCEGKLEHYSLHYNVALVSVKNYTVDCPVDLNSEHLDWSTKLLAVGRCFESGLAMAASGKCTRWSGNLDCKDLQYTACTITKAGIGGPLVAVNGKFVGMNYYDRNMGTPFLWFDLLRGILNYFKTGQTNYMKILHDSSGLICRVGCIVKDGEQQPPNRRSEDKILEDQDEDASKDERAAIAHPNRYWYRNGNLSVYK >KQL03302 pep chromosome:Setaria_italica_v2.0:V:794775:798097:1 gene:SETIT_0012271mg transcript:KQL03302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HEEDVAIGFLEEYDLDYEIAVVKVPAVLDVYCVPLDHQVQFDPHGRKVVAVGRDISDRLLTTSGTCTDSRGSQYSRYLMFSTCKLSEVMQGGALYDFYGNFFGINLFWDMERTIFLPRSIILERVVHFRTSLKKSVFLNLVKPVRDKKRQIRRIGVKLLPRPEGSIKIFGDVYPKGVWSELEHGVVSHILRNLVALASFKGESKLRTCTGFFIDYADKCPTILTSASLVRKNDAKIIEGLRIVVLLPNRERCEGKLEHYSLHYNVALVSVKNYTVDCPVDLNSEHLDWSTKLLAVGRCFESGLAMAASGKCTRWSGNLDCKDLQYTACTITKAGIGGPLVAVNGKFVGMNYYDRNMGTPFLWFDLLRGILNYFKTGQTNYMKILHDSSGLICRVGCIVKDGEQQPPNR >KQL04456 pep chromosome:Setaria_italica_v2.0:V:9155131:9158516:1 gene:SETIT_004714mg transcript:KQL04456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVLSRPHEHRLSSALDGHYDEKRKSNVEYSEDEKKAMIASLKKKAMSASQNMRHSMKRGRKSSKVMSVSILDERDPEEVQAVDAFRQLLILEEMLPSQHDDYHMMLRFLKARKFDIEKAKQMWSDTLKWRKEFGADTILKEFKFEEADKVAQCYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFVKNHVKEFEKNFAVKFPACSIAAKRHIDQSTTILDVQGVGMKQFSKAARDLIGMLQKIDGDNYPESLCRMFIINAGQGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDPEIMKMVQSGVGRCGSRRSASSEVEDKVICEDDIIYPKKQTSSDGEAQLVGDGQPTLSRKISRVQIEYPQLSPVHEELIPTLYPTPGSPYSCDVPMVEKAIDAICKSQGTLPDEKLAITKAVVTASNGSNPPLFGGIIALVMSIATMLRLTRNMPVKVLGAAIGDAKPTTPTKSKSKIQARQRSKLSPEAVKAAEDVVSTKRLAELEEKILALLTKPATMPADKEEMLQAAVSRISALEEELAATKKALQKTLECQGEIIVYIEKKKKKKSK >KQL08191 pep chromosome:Setaria_italica_v2.0:V:44086097:44090239:-1 gene:SETIT_000600mg transcript:KQL08191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPPAAATFLHHHLPLPSLRPRTLLRPRPRRLAASVNPSPPDETPAADPPVIPSISIKNTEPEEVARRRSWVEHGWAPWEEVMTPEVAFARHSLNEGEEVPLQSPESLEAFRMLTPAYRKKVEAEPGYIERLFATRDTPEPLETTWAGRLPLRLVPPRDWPPPGWEVDPDELEFIREAHRAASERLDMEAAAAAGVTNVEKLEDAPEDLALDRYKMFLKQYKEWVEANRDRLEQESYQYDQDYYPGRRKRGKDYREDMHELPFYYPGQICYGQVTTVHLHQGAFVDIGCVHEGWVPIKGNDWYWIRHHIKPGMKVYVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFDFPPIFHRKEDTNPEQLWREGGRPPIPRKKPLKDMEKEPLVSDHPFVETLWEWHNAEQMILDYEEQNPDKFKDTTYESTVDASSIDEVNRVEYTEGYFKETLLKKTVVNVSIKELDLDAARAERQLIKKLKKEAAERGEEYKVGKLRRNKEMDEYDLMQWRRSFEEREALIRDICCRKVLGLPIEEPGRYDVDETEVYGKDYYDPEKPMYRYDYWGEPKNTEKTRLERDVERHNQQIVGDAKKWCEMSYDDYIHKKLQLEAAEARERQRKASEPQEEEEYDDGMDLDLKKMTDPRAPHNRFYITK >KQL06666 pep chromosome:Setaria_italica_v2.0:V:34896567:34898024:-1 gene:SETIT_001370mg transcript:KQL06666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASQGVLLSSALVGAAKGSASWPELLGSKHWDGLLDPLDLTLRRLILLCGDLCQVTYDSFNSDSHSKYCGSCRYSRSTLFARTLFPAAADVTPAAYLYGTSQASFPGGVMVFSLSREAWSKESNWIGYVSVSTDAAAAATGQRVIYVALRGTIRTLEWVDVLKPDLVFPDAILPEGHPGAHARVMKGWYLIYTSSDERSPFNKHSARDQLVAAVRGLAARYKGESLSVVCTGHSLGASLATLAAFDIAANGVCGADVPVTAIVFGSPQIGNPEFKERFDELPNLRALHVRNKPDLIPLYPSGLLGYANVGDVLPVDSKKSPYLKENTTNVGDYHNLQAILHTVAGWNGKDGEFKLQVHRSVALVNKSCAFLKDDNLVPESWWVERNKGMVIGETGLWQLEPPAEENLPVPPVLNGKVIDDDDVVAATTTASKETKMPVEGDKKKAPGANLFTACFRGG >KQL06994 pep chromosome:Setaria_italica_v2.0:V:36941819:36944574:-1 gene:SETIT_002952mg transcript:KQL06994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPDNFPFVLLGNKVDIDGGNSRVVSEKKAKAWCASKGNIPYFETSAKEGTNVEDAFQCIVKNALKNEPEEELYVPDTVDVVGGNRAQRSSGCC >KQL04245 pep chromosome:Setaria_italica_v2.0:V:7569641:7570614:-1 gene:SETIT_003546mg transcript:KQL04245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPGGCRRATHETPPSPTPALFPWYNARPDLVHATSPTLPAGSMVEGALPGDDKLGPGEERPVRARFEVSCFPSLSLPSPTMQIWR >KQL04244 pep chromosome:Setaria_italica_v2.0:V:7569641:7570440:-1 gene:SETIT_003546mg transcript:KQL04244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPGGCRRATHETPPSPTPALFPWYNARPDLVHATSPTLPAGSMVEGALPGDDKLGPGEERPVRARFEVSCFPSLSLPSPTMQIWR >KQL07417 pep chromosome:Setaria_italica_v2.0:V:39655672:39657842:1 gene:SETIT_002368mg transcript:KQL07417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHGNGHGRVHPAASSSDFSGEMNQSVSAPSSDPSSSPLYSFHFEKPVPQQPPAAKPGEYVVQVPKDKVFRVPPPENARLFEHYTRRAKRRRRCSCVRVCTCLLAAILALAVVLAAAAGVMYLVFRPRRPAYTVQALAVSGLAGVGNASAPAAFSPGFDATVRADNPNGKIGVHYEGGKSRVSVSYDGVLLADGAWPAFYQGPRNVTVLVAKAKGSGIRFSQSVRGQMAAAERLRSVPFDVDVEVPVRLQLGSVKTWAVPARARCTVAVDRFAADAKVVSTSCHVKVSLLSWSI >KQL07418 pep chromosome:Setaria_italica_v2.0:V:39656435:39657842:1 gene:SETIT_002368mg transcript:KQL07418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDSDERLEEQPMMHGNGHGRVHPAASSSDFSGEMNQSVSAPSSDPSSSPLYSFHFEKPVPQQPPAAKPGEYVVQVPKDKVFRVPPPENARLFEHYTRRAKRRRRCSCVRVCTCLLAAILALAVVLAAAAGVMYLVFRPRRPAYTVQALAVSGLAGVGNASAPAAFSPGFDATVRADNPNGKIGVHYEGGKSRVSVSYDGVLLADGAWPAFYQGPRNVTVLVAKAKGSGIRFSQSVRGQMAAAERLRSVPFDVDVEVPVRLQLGSVKTWAVPARARCTVAVDRFAADAKVVSTSCHVKAVDVS >KQL05191 pep chromosome:Setaria_italica_v2.0:V:17234045:17235098:-1 gene:SETIT_003910mg transcript:KQL05191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGRLPFPMLTRMNYAAWALQMKYLLRTNSVWSVVDRDEKATDAMNVSQNQLALSIIFQSVDDETLLRVLEKETARDVWAALRSMHVGVERVQEASVQSLRADLDNLKMSDAKSVDDYAEKFMMLVGHIRADVPCDVDVAVRSGVARRKWCCT >KQL06578 pep chromosome:Setaria_italica_v2.0:V:34416650:34417942:1 gene:SETIT_003201mg transcript:KQL06578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAGLKPVAGLLLVLNFCMYVIVAAVGGWAINHAINYGFFIGSGLQLPAHFSPIYFPIGNAATGFFVIFAVIAGVVGAAAALAGFHHVRAWSSESLPAAASSGFIAWTLTLLAMGLAVKEIELHGRNARLICMESFTIILSATQLFYLLAIHGGR >KQL05849 pep chromosome:Setaria_italica_v2.0:V:28422710:28422736:1 gene:SETIT_0020942mg transcript:KQL05849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPLLRPAA >KQL07172 pep chromosome:Setaria_italica_v2.0:V:38075592:38077255:-1 gene:SETIT_003224mg transcript:KQL07172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLCPASSCRSASFPRRASSPAPPSFPYRQHHGGAPSVPLAPPVASPPPPRALAAASYGYGGGDLLRPIDTQTIIIAAAVVSAVSLSLVLGLKGDPVPCDRCAGNGGTKCVFCNDGKMKVENGVVECRVCRGAGLILCKKCAGSGYSKRL >KQL06238 pep chromosome:Setaria_italica_v2.0:V:31952297:31963439:1 gene:SETIT_000030mg transcript:KQL06238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARKRESCFSHKGTPVNIIVGSHVWVEDPTLAWIDGEVVSIKNNEVHVQTSNGKKVTTDRSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDIQRYKLSDPKSFHYLNQSSCIEVDGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAVLHLGNIDFAKGTEIDSSVIKDDKSRFHLNTAAELLKCDSKNLEKTLITRVIVTPEEIITRTLDPASAIASRDALAKTVYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKVNSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREAINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTVVHYAGDVTYQADQFLDKNKDYVVAEHQDLLNASSCPFVAGLFPPLPQETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQICTYIAKKQFFELKKSATQLQSFVRGTLARKLYECMRREAAAVKIQKNMRRHRARESYLQLQAAAITLQTGLRAMSARKEFRFRKETKAAIHIQARWRCHRDYSHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQLQVEEAKAMVVKEREAARKAIEEAPPVIKETPVIVEDTEKINSLTAEVEQLKALLQNERQATEAAKREQAESERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGNALNGEMKSSPDVTPISLNPKELEAEEKPQKSLNEKQQENQDLLIKCVSQDLGFSTGKPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGSAIESQDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRAFLGSRLIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNILKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSDVISSMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMTEIEVTDVDMPPLIRENSGFTFLHQRKD >KQL06237 pep chromosome:Setaria_italica_v2.0:V:31952297:31963439:1 gene:SETIT_000030mg transcript:KQL06237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARKRESCFSHKGTPVNIIVGSHVWVEDPTLAWIDGEVVSIKNNEVHVQTSNGKKVTTDRSKVFPKDMEAPPGGVDDMTRLSYLHEPGVLQNLATRYELNEIYTYTGSILIAVNPFQRLPHLYDTHMMEQYKGADFGELSPHVFAIADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKTGRISGAAIRTYLLERSRVCQINTPERNYHCFYFLCAAPPEDIQRYKLSDPKSFHYLNQSSCIEVDGINDAEEYLATRRAMDIVGINEEEQEAIFRVVAAVLHLGNIDFAKGTEIDSSVIKDDKSRFHLNTAAELLKCDSKNLEKTLITRVIVTPEEIITRTLDPASAIASRDALAKTVYSRLFDWIVEKINVSIGQDPNSKQLIGVLDIYGFESFKVNSFEQLCINYTNEKLQQHFNQHVFKMEQEEYTREAINWSYIEFVDNQDVLDLIEKKGGLIALLDEACMFPRSTHETFAQKLYTTFKNNKRFAKPKLSRTDFTVVHYAGDVTYQADQFLDKNKDYVVAEHQDLLNASSCPFVAGLFPPLPQETAKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNLLKPAIFENTNVIQQLRCGGVLEAIRISCAGYPTRKTFYEFVNRFGVLAPEVLEGSNDDKIACQKILEKMGLENYQIGKTKVFLRAGQMADLDARRAEVLGRAARIIQRQICTYIAKKQFFELKKSATQLQSFVRGTLARKLYECMRREAAAVKIQKNMRRHRARESYLQLQAAAITLQTGLRAMSARKEFRFRKETKAAIHIQARWRCHRDYSHYKNLQGAALTYQCAWRQRLARRELRKLKMAARETGALKEAKDKLEKRVEELTWRLGLEKRLRTDLEEAKAQEIAKLQETLHDMQLQVEEAKAMVVKEREAARKAIEEAPPVIKETPVIVEDTEKINSLTAEVEQLKALLQNERQATEAAKREQAESERRNEELIKKFEGAEKKIEQLQDTVQRLEEKATNMESENKVLRQQAVAISPTAKSLAAYPKSPFQLKTPENGNALNGEMKSSPDVTPISLNPKELEAEEKPQKSLNEKQQENQDLLIKCVSQDLGFSTGKPIAACLIYRCLLHWRSFEVERTGVFDRIIQTIGSAIEDNNDKLAYWLSNSSTLLLLLQRTLKTTGAAGLTPQRRRSSAASFGRVFSGMRASPQSAGRAFLGSRLIGGLGDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLIKGSRSQANALAQQTLIAHWQSIVKILTNYLNILKANYVPSFLISKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCIYATEEYAGSSWEELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHTVSSDVISSMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKSMTEIEVTDVDMPPLIRENSGFTFLHQRKD >KQL07510 pep chromosome:Setaria_italica_v2.0:V:40149328:40151241:1 gene:SETIT_004247mg transcript:KQL07510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATSRAPRRPRIRPRGPPPAPPTPIRTARGARSAAADERVLAEFLEASLRVPDLALPPRAPRKRFFSFPPAPPPPREVPSQALASGDADAALRAASAAAESGAFAVAGAIDASEVREAVEASRAVFAAPDEVKREQLARWFRRKDDEPTAGGEEFCWFRPVSPDEDRALGAALPGSTYRVFRDRMDTVASRMENVAKNAIKVLSDNVKNPKDSALFTEAPSILRLTLHNSCKSKTSWDEFGSTDPPNSHALNIHLSERDRQICLRNQGGSTIFTSPAGSMLVTIGKQVQEWSNGQFRTAVGEVLYEMTDEPGPFISLELVYSPDDLHLSEVGRQARCIDRPKAISFRDQILVVLILLFLFYVFWR >KQL03911 pep chromosome:Setaria_italica_v2.0:V:5316941:5317186:1 gene:SETIT_003808mg transcript:KQL03911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLFLRVIVSGNDERLTGEQEEEATLEQRQEKGTFPPPRPATARGRGDAGGAEEEERWVVACMEWPRVDRKSAWMQIV >KQL04626 pep chromosome:Setaria_italica_v2.0:V:10278135:10279920:-1 gene:SETIT_002989mg transcript:KQL04626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEQEQEKQQAKTSTTSSLPSSSERSSSSAPNNLREGGVESDEEIRRVPEMGGASASASSGAGADERPKEDGKQGQLVVAAGAQGQPLAAGKKRGRTAGDKEQNRLKRLLRNRVSAQQARERKKAYLTELEAKAKDLELRNAELEQRVSTLQNENNTLRQILKNTTAHASKRSSGGGAGGKGGDGGKKHHFTKS >KQL06017 pep chromosome:Setaria_italica_v2.0:V:30128715:30133553:1 gene:SETIT_001040mg transcript:KQL06017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFTLALDGNTSPHLMRRSGEGGGSEMLMERLNIGVINQEKALRNRCFSGGGARTTQCVLNSGACSETLHFQTHSSRKSYADANRVSAVILGGGIGAQLFPLTSTRATPAVPVGGCHRLIDIPMSNCFNSGINKIFVMTQFNSASLNRHIHRTYLGGGITFTDGSVQVLAATQMPEEPAGWFQGTADAIRKFVWVLEDYYNHKDIEHIVILSGDQLYRMNYMELVQKHVDDNAEITISCAPVNESRASNYGLVKFDYTGRVLQFFEKPVGADLESMRVDTNFLSYAIGDTQKYPYIASMGVYVFKRDALLDLLKSKYNQLHDFGSEILPRAVLEHNVQAYIFTGYWEDVGTIKSFFDANLALTEQPSKFEFYDPQTPFFTAPRYLPPTQLDKCKIKDAFISDGCLLSECTIKHSVIGVCSRLRSGCELMDTMMMGADIYETEEETSKLHLAGKVPIGIGENTKIRNCIIDMNARIGKNVVIANSKGIQEADHPEEGYYIRSGIVVILKNAIIKDGSVI >KQL06111 pep chromosome:Setaria_italica_v2.0:V:30733947:30737280:1 gene:SETIT_002110mg transcript:KQL06111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSMMRSAAQLARRRSYSAASGQPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINTPALVKGFMGEDKLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKNLSAAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVPVTDVNVPVVGGHAGITILPLFSQATPATNALSHEDIEALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDVVECSFVQSTVTELPFFASKVRLGKNGVEEVLGLGELSDFEKEGLENLKGELKSSIEKGIKFAHAN >KQL06110 pep chromosome:Setaria_italica_v2.0:V:30734061:30735767:1 gene:SETIT_002110mg transcript:KQL06110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSMMRSAAQLARRRSYSAASGQPERKVAILGAAGGIGQPLALLMKLNPLVSSLSLYDIAGTPGVAADVSHINTPALVKGFMGEDKLGEALEGSDVVIIPAGVPRKPGMTRDDLFNINAGIVKNLSAAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKANVPVTDVNVPVVGGHAGITILPLFSQATPATNALSHEDIEALTKRTQDGGTEVVEAKAGKGSATLSMA >KQL03400 pep chromosome:Setaria_italica_v2.0:V:2173597:2178096:-1 gene:SETIT_002530mg transcript:KQL03400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGQILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSARRGGVSRRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGIVDYTNYDDMKYAIRKLDDSLFRNAFSRAYIRVREYDARSRSRSRSRSYSRSPSYSRSRSPKSVSWSPSPVDERFAFVFPRSGHARNLRTALVEYWMY >KQL03402 pep chromosome:Setaria_italica_v2.0:V:2173597:2178096:-1 gene:SETIT_002530mg transcript:KQL03402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGQILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSARRGGVSRRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGIVDYTNYDDMKYAIRKLDDSLFRNAFSRAYIRVREYDARSRSRSRSRSYSRSPSYSRSRSPKSVSWSPSPVDERFAFVFPRSGHARNLRTALVEYWMY >KQL03401 pep chromosome:Setaria_italica_v2.0:V:2172262:2178115:-1 gene:SETIT_002530mg transcript:KQL03401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGQILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSARRGGVSRRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGIVDYTNYDDMKYAIRKLDDSLFRNAFSRAYIRVREYDARSRSRSRSRSYSRSPSYSRSRSPKSVSWSPSPVDERSLSRSRSPVSSPSRGRSASRSPRSRSASRSRSPVRSDSTREPLKR >KQL03399 pep chromosome:Setaria_italica_v2.0:V:2173597:2178096:-1 gene:SETIT_002530mg transcript:KQL03399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTMSRRNSRTIYVGNLPGDIREREVEDLFYKYGQILDIDLKIPPRPPGYAFVEFEDPRDADDAIYGRDGYNFDGYRLRVELAHGGRGQSYSYDRSSSYSSARRGGVSRRSDYRVMVTGLPSSASWQDLKDHMRRAGDVCFSDVYREAGETIGIVDYTNYDDMKYAIRKLDDSLFRNAFSRAYIRVREYDARSRSRSRSRSYSRSPSYSRSRSPKSVSWSPSPVDERFAFVFPRSGHARNLRTALVEYWMY >KQL04066 pep chromosome:Setaria_italica_v2.0:V:6230698:6232581:1 gene:SETIT_004331mg transcript:KQL04066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNANASGFHSDDPLHIPCSPNFPLAAKIAIGAIGGLFIIAIVIFIILLRKEKQKMKEFFRKNGGPIIEKVNRIKLFKKEELEPILKTSNLIGQGGFAVKKPKVDVKLADQFANEVIIQPRCCLEVDVPILVYEYVSNGSLDEVLHDSNRVPLDLGIRLKITAQSASGLAYMHSQITTPILHGDVKPANILLDEDFVPKIFDFGTSRMITIEEDYTSTIIGLYTSKSDVYSFGVVLLELITRKKVLDPDINDLLGNSYDPYAKKKGVIELVDPEISAEGTIEVFHSLAEIIVQCLNLDADLRTEMADVEEHLQFLSK >KQL05396 pep chromosome:Setaria_italica_v2.0:V:23828913:23829824:1 gene:SETIT_004634mg transcript:KQL05396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRETLPSWLSLLLTTQFFLPCKNHPLSSRSECNLFCIECEAPPDAFCYHCHLDHHSNHHVIQIRRSSYHDVIKVSELENIFDISDVQTYVINSAKVVFLNERPQMRTCGPLSSSSYNCETCGRALLNEFRFCSLGCNLTGIEKDMKTPSDVANGSEYKRIEDVASGNSSDSNTRSEKEIYNENNEEEPPAKRVARHRRKGIPQRAPFF >KQL06197 pep chromosome:Setaria_italica_v2.0:V:31599277:31601199:-1 gene:SETIT_002421mg transcript:KQL06197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTTARSSASAPSSPLPSSTSPPPPQRVAFLSLHRRDLLLLPAALSLQLAPSAAAPAPAAARGLFRMPPAALANRYFLVRAGESVYEGQGLLRTNPVAKTSVDNGLSPVGLRQTARAALELQRLGACEDDCWIWPSITQRAYQAAEIIAAANGINRSRIVPEYSFLDARGLGAFEGKSLDTLPEVYASDNISPDMKPPPISDGTPNESVADVFVRVTQLMSILETQYSGETVVIVSPDSDNLSILQAGLIGLDLLRHSSLFFKPGEVRPVDPSSIPEYKQPASAVFKCTNPPSCK >KQL03714 pep chromosome:Setaria_italica_v2.0:V:4221929:4222692:1 gene:SETIT_005385mg transcript:KQL03714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSCLEIQLLYMAMMTLIPRSKTIFLEENIVVEWRYGRICNDDGVPWSSECKRALQGERVALMSSCARFQECRWLA >KQL05492 pep chromosome:Setaria_italica_v2.0:V:25149288:25155208:-1 gene:SETIT_000197mg transcript:KQL05492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEQQLELQQRLMKESEKGKVSYKVCRIIAKELNLSVQQVLSASSKNRHIHGQPSISSTQNQQKFSSRSASQKRKRSAHEICMKFTKQKVEASGSDEQRSAQSILDEEVTERISPTSTDRLRCLLVSGTGSTGSSMHTNKDKESSPLISQSTLLRKKNTGKKNSFWTSESDRKLLMIYTRYRTIRGAKISHVDWNSISDLPAPPAACCKRMSTLRAIPNIRIAVSRICNILAIRYNRYREKEIRSKAIGTPNSGYENSAASDSEQFNWDNFDDPEIRSALEEVLEFIRVGKMSQTKQNSPNNKRINADNDVAEDISTEQERPVGQYTTSKSTVFQETGFHEHAKLCRNSSSIHASKNMAIPCRSLENVMELNKAEITRGVRKSLAIANALELLKLFFLSASLGSEVQAALTTTFQLYSESEIFTALSFLREKNFMVTGNGIRTLSGKFFFDASHSPFPFGSGKKASEFSKWLLGQQKDIVIDSTIYLYPDLQCGETVHLFSLLLSGELHISPSMPTEGVGEVHEPNSFSPCIEDTSELDDRTHKRKHVELKGSKTKKHKPLPKMDHYCYRQEKGFPGIQVALNQERIQTSNRMQTVHHKECLMFTLDREMGSKDVNSQVNSKTINSDMLSVLNNLSSCRCLLSASHLESSCSGWPWDAMKIYAEQVSSLSCYKNETSVLSSDLFRSAFCIIHETGEQGVNLTEISEVLHPLGMQSINLIVDTLERFQLAFKVNAYDGVQIVDSLHKPKYCITTMAEYSDCNCLRAPASEIVLTGDARNMLKEKHAMPNNFYGTIKNLGDGHTVTVLSVQRKSSSHLHSQSPGDDERPTWQRGSCSCQVCKTHIYHPILPWINVDGSKNSTVYEGLSRRIIGYVMHYPGMLEEDIIHRMSVLNPQTCKTLLGQLTSDKHLYVRVFDEPVPTAPIILQSLLKHDRYKEPSKCGRQYFANPMSTFML >KQL08717 pep chromosome:Setaria_italica_v2.0:V:46824625:46826406:1 gene:SETIT_002184mg transcript:KQL08717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAWGCCFFLAACARAIRRLIQLPALLCCEAMVWAVTFLAFPLRMLTAVDRERKLGRLIGEMQAQMDDLVWVNKELEEKLQAALREQEAMDALLDEMEDQNEDAFARIHDLEAQLKALRQENMRLNEHKGKSMWDKAPPPPDRRGHGKDGNTKASESETKQARSPPVASGRERAEEEAVAGTQEEAGAAAAAAALKVKSADPASVLSLTTTAPAADDALARQAKAVARRRSLFSLAMSLAVGGVAWSADAPCLPLLAGLFAVVGVSMRSVSRCCATLRRGGPAADAVALLSLNWFLLGVLTSPMLPGVAHAVVPRAGRVVLTWVAAAAPA >KQL08792 pep chromosome:Setaria_italica_v2.0:V:47090779:47092075:-1 gene:SETIT_002653mg transcript:KQL08792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAVDDYQLELLGDEERVMEWETGLPGADELTPLSQPLVPPGLAAAFRIPPEPGRTLLDVHRASAATVSRLRSSLGSGGGTFQPFLHPNQAAAGGGGGGSAAAAAGRGDEADSSAAATTANNSKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYVKRMQGLSNEGPSPSDHIFASTPVPHSLVHEPQAQVPAPTPYFPMGVSVGYHHHHHQYHHAGYPQAYHHADK >KQL06641 pep chromosome:Setaria_italica_v2.0:V:34786099:34787894:1 gene:SETIT_001512mg transcript:KQL06641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPNSNDAANAGASGTAPAGEEDTSIEALARRVQEHMTLANNPAARRHKFWETQPVGQFRDAADTSLPDGAIEPPTPLSEVRADPYPLPAAFEWLTCDLDDDALLADLYSLLAHNYVEDDENMFRFNYSPAFLRWALKPPSFFRAWHIGVRAKESKKLVAFISGVPARIRARDDVVRMAEINFLCVHKKLRSKRLAPVLIREVTRRVHQENIWQAAYTAGVVLPTPITTCRYWHRSLNPKKLIDVGFSRLGPRMTMSRTVRLYKLPDAPLTPGFRRMELRDVAAVTRLLRAYLAKFVVAPDFDEVDVEHWLLPQEDVVDSYLVESPETHEVTDFCSFYTLPSSVLNNATYATLKAAYSYYNVSTKTPLQQLMNDALIVAKQKNYDVFNALDVMENESFLKELKFGPGDGQLHYYLYNYRIRNGIKPSELGLVLL >KQL07751 pep chromosome:Setaria_italica_v2.0:V:41560823:41562889:1 gene:SETIT_004353mg transcript:KQL07751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAVALLVVLIPAIFTATASAAVVEHTFNVGGMEISQLCMNSVIYTVNQQLPGPTIEVSEGDTLVVHVVNGAPYPMSMHWHGIFQLQSGWADGAHMITQCPIPPSGKFTYVFNVTGQEGTLWWHAHSSMLRATIYGALIIKPRGGYPFPAPYAEIPILLGEWWNRNVDDVETDGLLTGLGPAMSDAFTINGSPGDQTPCGGAGIFQVEVEPGKTYLLRIINAAVNAELFFRVAGHTFTVVAVDASYTNPHPTDVVVIAPGQTVDALMSASAAPGRYYMAARAFESKTVANPPPFDNATATAVLRYRGVPDAAPAAMPALPPYTDVVTAARFYWSMTGLVRPGDPVVPTRVDHSMVVAFGLEQAPCAPDQTKCQGFAVVASMNRYSFRFPEEVSLLEALFRGVPGVYSEDFPRSPPAVAAARRATSVRKVNFNDVVEVVLQNEAYSSVLGAENHPIHLHGFNFFVLAQGLGRFDRGMKSTYNLVNPQVRNTVAVPAGGWAVIRFTANNPGMWFMHCHLDAHLPLGLAMVFEVLNGPAPNLLPPPPADFPKCH >KQL08140 pep chromosome:Setaria_italica_v2.0:V:43743529:43747318:-1 gene:SETIT_000217mg transcript:KQL08140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRQFLQLLLPILLATCCVDGANGPDALATARRQLHQPFFPDQPAQPAAPPPFFPTLPVPPPPQMPAGQDQPTYPALVLPNTGSSGAAPPAAGSHESKKASKLVPAILLPLLTVAVLGLSIAFFFSHRRSNAARGGGGGCVGGGEPKFLHPERTSLFARDEFGGSGGGGGAAPAAATSAEFLYVGTLASRADEKSSDTTSSGDEESRSSGGSPELRPLPPLARQCAPAPSRSPGGASPSSGDEEFYSPRGSSTKTSSSRRTLATAVQAALEARDRSRTPSPGSAVSTPSYPSSPGATLSPAPASPPAFSSPGESGRRSVKSRSESARVVVLPPVPPTPPPPPPFAPTLPPPPPPRRKPPSPSPPCSPLNDKSALRSSTDAISRNPFVHPAHPPTSTYPPAPPAAAGPPPPPPPPPPPVGYWESRVRKPDTSKETRSPALSPPPQSATFRIVPAPTDAFPSRLPESSDQGDKSEDTTPRPKLKPLHWDKVRASSDRVMVWDQLKSSSFQVNEEMIETLFICNPANAAAKEATRRPVLPTPKAENKVLDPKKAQNIAILLRALNVTKEEVCDALCEGNTDSFGAELLETLLKMAPTKEEEIKLREFKEENSPIKLNHAEKFLKAVLDVPFAFKRVDAMLYIANFDSEVNYLKNSFETLETACDELRSSRLFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGTDGHTTLLHFVVQEIIRTEGARLSASTQTTPRTQANPLREEHECKKLGLQVVAGLANELSNVKKAAAMDSDVLSSYVTKLAGGIEKITEVLRLNEEVKSRDDAWQFHDRMQKFLKKADDEIIRVQAQESVALSLVKEITEYFHGDSAKEEAHPFRIFMVVRDFLSVLDQVCKEVGRINDRTIASSVRHFPVPVNPMMPQLFPRIHALRAGFSDDESSAASVSSP >KQL08187 pep chromosome:Setaria_italica_v2.0:V:44074462:44078276:1 gene:SETIT_000766mg transcript:KQL08187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVEDEALHKKNPFASWKTMVDEKEIFVAGDFSSTRAHINQKVYKCSKCLEEFCTEINYERHLEAHVPTSKAALNRSWNKDVLAKYWDQISDEDACSILFDGDQTVEKSHGSPIKPIFENWILGPEFLVTPPEVRDALKQLKQLKRFMEGDRQKPSSDVLLAVLEVGSEGTIFSTNLPEGGFSFVEAGKSQNTTRTMLTYFSLRVEEVLAKKWYTEKTVQANLVMEELLAQYTDPSQELASASIENVEDLEGKDIASIVSETSTSSHVSGDVVHTEEKDEERKLLPADIAHLPELLGEEYFPNFVSRKTLVRLFTLFNKDVVTALTRTGRKIAKRLLNYILKAHKAGHCWNGEWNILDIRVRGDGATLIIDKMPVNATKDGIVADLQKFIVLLCPYYKIEGIKGPAYFDEFHSDVMSLPELKSEKFEIFQKFLADHMAFLPPASTSNLLERLFKLCDDVRRQNGHFTYHPLQRLDCLGWTKIGDIMPYHKVFHYDKTIVEPYKDNYWDLLRFVRNFSNHGLQFTQIDGVQSIEDPVILDIMVAYDLGKFITKLVLHVLYVFEKPKRLVSTWNAYKTSDDATEEIDEDGTEENEGI >KQL08601 pep chromosome:Setaria_italica_v2.0:V:46276349:46276731:-1 gene:SETIT_004163mg transcript:KQL08601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNIRAMLDKIVEDQTKFRFLTLPTPTSQDSKKKWRETFIGDRDEIEVIGREREKKDILTKVLQKNGEKESFIIPVVGLGGMGRG >KQL05969 pep chromosome:Setaria_italica_v2.0:V:29589169:29589642:-1 gene:SETIT_003630mg transcript:KQL05969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDRIYKGYSSRRIYHEPAVKLDSNFHHGFVDGTDDDVHIHHRFQTSITPSYSRTDGENVSPLFASNRQ >KQL03543 pep chromosome:Setaria_italica_v2.0:V:3057648:3063508:1 gene:SETIT_000070mg transcript:KQL03543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESWRPAEASASPSDAGAAGASAQSQGTGNGGKGHAAAATATRVPFHRLFAFADSTDVELMLLGALGAVANGAAMPFMTVLFGNLIDAFGGAMSIHDVVNRVSNVSLQFIYLAIASAVASFVQVTCWMITGERQAARIRNLYLKTILRQEIAFFDKYTSTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVVTFFGGFIVAFAQGWLLTLVMMATIPPLVLAGAVMSNVVAKMASLGQAAYAESSVVVEQTIGSIRTVASFTGEKRAVEKYNKSLKSAYKSGVREGLAAGLGMGTVMVLLFCGYSLGIWYGAKLILEKGYTGAKVMNVIFAVLTGSLALGQASPSMKAFAGGQAAAYKMFETINRTPEIDAYSTTGRKLEDIRGDIEFRDVYFSYPTRPDEQIFKGFSLTIPSGMTIALVGQSGSGKSTVISLIERFYDPQLGDVLIDGVNLKEFQLRWIRSKIGLVSQEPVLFAASIKENIAYGKDNATDQEIRAAAELANAAKFIDKMPQGFDTSVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMTNRTTVIVAHRLSTVRNADTIAVIHQGTLVEKGPHNELLRDPEGAYSQLIRLQEANRQDNRKGDSNARSGKQMSINKSASRRSSRDNSSHHSFSVPFGMPLGIDIQDGSSNKLCDEMPQEVPLSRLASLNKPEIPVLILGSIASVISGVIFPIFSILLSNVIKAFYEPPHLLRKDSQFWSSMFLVFGAVYFLSLPVSSYLFSVAGCRLIRRIRLMTFEKVVNMEIEWFDHPENSSGAIGARLSADAAKVRGLVGDALQLVVQNSSTLVAGLVIAFVSNWELSLIILALIPLIGLNGWIQMKFIQGFSADAKMMYEEASQVANDAVSSIRTVASFSAEEKVMDLYKKKCEGPLRTGIRTGIISGIGFGVSFFLLFGVYAASFYAGARLVEDRKTTFPKVFRVFLALAMAAIGVSQSSTLTSDSSKAKSAASSIFAIVDRKSRIDPSEDAGVTVETLRGNIEFQHVSFKYPTRPDVQIFRDLCLTIHAGKTVALVGESGSGKSTAISLLQRFYDPDVGHILLDGVDIQKFQLRWLRQQMGLVSQEPALFNDTIRANIAYGKDGQATESEIIAAAELANAHKFISSALQGYDTMVGERGAQLSGGQKQRVAIARAIVKDPRILLLDEATSALDAESERVVQDALDRVMVNRTTVIVAHRLSTIQNADLIAVVRNGVIIEKGKHDALINIKDGAYASLVALHSAASS >KQL05246 pep chromosome:Setaria_italica_v2.0:V:19111326:19115702:1 gene:SETIT_004190mg transcript:KQL05246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTRLGGAPCGCFGFTRLPLPAARLRLPPARAADTSASQSPARLRGVLEQVDEALSKGNDEAALFLVHGSQGEDGGLRGFGAARQVPQRLYKLDELKLNGIDTSAFLSPVDLTLGSIERNLQIAAVLGGLSISAALEISQLQILFLVLGLLSLWSVDFVYFGGGVRNLILDTIGHYLSQKYHNRVIQHEAGHFLIAYLLGVLPKGYTITSLDTLIKQGSLNVQAGTAFVDYEFLEEINTGKLSATMLNKFSCIALAGIATEYLLYGVAEGGLADINKLDGLLKSLGFTQKKADSQVRWAVLNTVLMLRRHEKARSQLAEAMSSGKSVGTCIEVIEGNINTDDI >KQL03821 pep chromosome:Setaria_italica_v2.0:V:4802751:4803794:-1 gene:SETIT_002946mg transcript:KQL03821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGACLRALPAPWFSWGRVQVQAAVGGGSVQQVAGWRRRSHGRQQADGGTGEDWVMRTAGGGIGSSALGHANGVHGWRGGQQREERGGVSHAGGGTPLTPPRRRPAGLRPPRTRTRHPRAAAAGAMPVPGQGTLAPAAGAPRRRAEALGGPAVITVAGRVQEARNAVGERAHGAALAARYEGVELHDVGGGQAVTRDGAAVGAGA >KQL06590 pep chromosome:Setaria_italica_v2.0:V:34484577:34487793:-1 gene:SETIT_002151mg transcript:KQL06590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIINGSVLPRYAAPAAGALTSDARISGHLQLLRRVRLRGRACGLQADCDNMPRRFFGPPSPRRHGRSDWGDWPVRCSYGSSSDGDGAAAANFDASGEEFVDSTVIEAVELRSVSDGFEIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVLLEMTKRMGYEVRLVRITEMVHDAYYSRLYLSKAGDEEDTISFDLKPSDAINIAFRCKVPIQVNRHIAYNNGLKVVQPKVAESYVGSDDIQITRLDRPDDQPCGEAQEFDLVRNMLIAAVEERYKDAAQYRDQLFMLRSKKKNAI >KQL06591 pep chromosome:Setaria_italica_v2.0:V:34485635:34487793:-1 gene:SETIT_002151mg transcript:KQL06591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIINGSVLPRYAAPAAGALTSDARISGHLQLLRRVRLRGRACGLQADCDNMPRRFFGPPSPRRHGRSDWGDWPVRCSYGSSSDGDGAAAANFDASGEEFVDSTVIEAVELRSVSDGFEIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVLLEMTKRMGYEVRLVRITEMVHDAYYSRLYLSKAGDEEDTISFDLKPSDAINIAFRCKVCICFLSFLSIC >KQL06589 pep chromosome:Setaria_italica_v2.0:V:34485052:34487441:-1 gene:SETIT_002151mg transcript:KQL06589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIINGSVLPRYAAPAAGALTSDARISGHLQLLRRVRLRGRACGLQADCDNMPRRFFGPPSPRRHGRSDWGDWPVRCSYGSSSDGDGAAAANFDASGEEFVDSTVIEAVELRSVSDGFEIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVMETPSIMLLAALRNIRIPRPTIYNVLLEMTKRMGYEVRLVRITEMVHDAYYSRLYLSKAGDEEDTISFDLKPSDAINIAFRCKVPIQVNRHIAYNNGLKVVQPKVAESYVGSDDIQITRLDRPDDQPCGEAQEFDLVRNMLIAAVEERYKDAAQYRDQLFMLRSKKKNAI >KQL06592 pep chromosome:Setaria_italica_v2.0:V:34486240:34487793:-1 gene:SETIT_002151mg transcript:KQL06592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIINGSVLPRYAAPAAGALTSDARISGHLQLLRRVRLRGRACGLQADCDNMPRRFFGPPSPRRHGRSDWGDWPVRCSYGSSSDGDGAAAANFDASGEEFVDSTVIEAVELRSVSDGFEIKMRDGKNLRCVQNNPRVLRLRDSAPHHAIVLKMEDGSDLLLPIIVSMYQFLLGCISHRCRYFSDFLVCPCYFLQWKHQALCCWLHFGTFEFQGQLFTMCYWR >KQL05424 pep chromosome:Setaria_italica_v2.0:V:24259514:24269361:1 gene:SETIT_000071mg transcript:KQL05424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRRDRAEGTDGRERDGAAGDGGGGGAAGAGRESSKPPPPAEGRVPLHRLFAFADRMDTLLMAVGALAAVANGMAQPLMTFIMGDVIDAFGSAESSHDVLHRVEKVIMNFVYLGIAAGLASTLQVSCWTISGERQAARIRALYLKAILRQDIAFFDMEMTTGQVVERMAGDTFLIQDAIGEKVGKSIQLLSTFIGGFIIAFVRGWLLALVMLSSIPPVAIAFATVSKLRTRLSSRMQAKYADAGTVVEQTLGAVRMVVSFNGEKQSITTYNKFIRKAYESALQEGAVQGLGLGSIMTVLFCSYGLAVWYGSRLIVERGYNGGMIISVIMAVMMGAMSLGQATPSVTAFAEGIILEDIKGDVELKDVYFSYPTRSEHLVFDGFSLQVPSGTTMALVGESGSGKSTVISLVERFYDPKAGEVLIDGVDIRRMKLGWIRGKISLVSQEPVLFSTTIRENIAYGMENLTPDEIMRAIKLANAAKFVDKLPDGLDTMVGEHGTQLSGGQKQRIAIARAIVKNPRILLLDEATSALDMESERVVQEALNRVMLERTTIIVAHRLSTVKNADVISVLQHGKMVEQGSHVELMKIPEGAYSQLIHLQETRQEAESSSVNPDLLVTNGFGSRYINNKPRSQSISRRSTSKGSSFRNSGSNSFRAPLGLPDPMEFSEAPDVQETTDKITSAPRKAPIGRLFYLNKPEAFVLGLGSIIAAMHGVIFPIYGILISTAIKVFYEPPAELLKESRFLASMFVVLGVCVFVLIPIEYFLFGLAGGKLVERVRSLTFQSVMRQEINWFDKPEHSSGSIGARLSTDALNVKQLVGDNLALNVQTLSTVISGFTIAMVANWKLALIITVVVPFVGFQAYAQMKFLGGLNRNAKLKYEEASQVATDAVGGIRTVASFSAEKKVMDAYEKKCESPTKKGIREGVIGGLGFGFSFLTFYFTYALCFYVGAKFVQQGTATFPEVFRVFFVLVLGASAISRASAFGVDSTKANDAAASVFEILDRKSKIDYSSEEGVIITSVRGDIDFQNVFFKYPLRPNVQIFKDLSMRIPSGKSVALVGESGSGKSTVIALLERFYDPDSGKILFDDVELQAFKVGWLRQQVGLVAQEPVLFNDTIRANIAYGKQGEASEDEIVAAAEAANAHQFISALPDGYNTIAGERGIQLSGGQKQRIAIARAIIKDPKVLLLDEATSALDSESERVVQEALDQVMVGRTTVVVAHRLATIRGADIIAVLKNGAVAEKGRHEELMRIKDGTYASLVELSSSSA >KQL03564 pep chromosome:Setaria_italica_v2.0:V:3191460:3197839:-1 gene:SETIT_002564mg transcript:KQL03564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQDQPSTEDRCGRFWNGMELLKDVTEKKHLLPTGLEGIDTLLGGGLRRGHLTEVTGPSSSGKTQVCLHSASLVAAKHLGVVMYLDTSNSFSPSRVATIIDGTPDLFGHKAKGCEIEDVMRSIICESVFDIFALFEVLNQLEISLSNDKVNNGGSKICLLIIDSISSLLAPIIGGKYPQGRSMMISVAMILKKLADEHNLSVLVTNHMVSAGNGAVKPALGESWKAVPHVRLVISRECRSNICTATVLKHTLLASGSTVKFTVPS >KQL06887 pep chromosome:Setaria_italica_v2.0:V:36263354:36267203:1 gene:SETIT_000562mg transcript:KQL06887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYGHVDPFLPPQQQAAVAAYAAPQGDYGQPQAQPAPRPPGCPYSSSASAPPVSSYHSLPPATTPPPESSPPPASPPPEPLPSSPPPPAPLPPSPPPPALSPPPPDAPPPSLPPSPSPSPPPSPPQAPPPPLATDQPRVQPRVYPSPPPPSLPPPPPPTVSPPSPAPSDSPSPSPAPAARAPAPTHVAAYSPPPPRVASPPPRHYHVKPHAVPRSPPKHNSTHAASGSGKNIEISREAATTIVALAGLAMLSFIGATIWFVKKKRRRIEPPAALPTQQPAPPPPPNYIPSSAGSSLASDGFYLRSPGYPFMRYSTGSHGYPYSPADSGIGYSHMLFTPENLAAITDDFAEENLLGEGGFGCVFKGILPDGRPVAVKKLKIGNGQGEREFKAEVDTISRVHHRHLVSLVGYCIADGQRMLVYDFVPNNTLYYHLHVSEAALDWRTRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEAQVSDFGLARLAGDSNTHVTTRVMGTFGYLAPEYALSGKLTAKSDLYSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLLKAIEHREFGDLPDPRMENRFDENEMFHMIGAAAACIRHSAAMRPRMGQVVRALDSLADSNLNNGLQPGRSEVFLEPQSEEIRLFQLREFGSRDCSDELSQASWRSRRDL >KQL04808 pep chromosome:Setaria_italica_v2.0:V:11983792:11984361:-1 gene:SETIT_005272mg transcript:KQL04808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWKPPGRWKPENSHHGPLDRRCVVHRGATSHVMHFAF >KQL03243 pep chromosome:Setaria_italica_v2.0:V:493433:499227:-1 gene:SETIT_000227mg transcript:KQL03243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVAAPLRLLLPLSQTLAPAPLLHLSRRLFTSSSPSFGRAASLRALAYRRHHHPRRGSSTLRKPPREEMAGGGDKEVAFNRKRAEGNDGGKRGSMELKTRKLNPVNTTCYVQILGTGMDTQDTSPSILLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLTRVCSETAGGLPGLVLTLAGIGDEGMSVNIWGPSDLDFLAGAMRSFIPNRAMLHTHSFGAERSASSSQPKDSVIILDDEVVRISAMFVKPKYHNGAGSLNDIDLKPGDTAIVYACELPDIKGKFDPAKAAALGLKPGPKYRELQLGNSVQSDKFDEMVHPSDVLGPSIPGPTVLLVDCPTQYHMPELFSLQSLSCFYEDSSDPTKSGKTVNCIIHLGPSSVTKAVDYQNWMKKFGATQHIMAGHEIKNMEIPILKGSARISSRLNFVCPQLFPSSGFWSVEPANDVMENDKRTSSEICGSVFAQNLLKFHLRPYAQLGLDSASIPSLFTYKDIVEELVSEIPEVREVPEQVHKFWQNNVNYKNTLMVEEPWINQKSDKLDDGTIFQKHPRDNQEIPCCVEDATREDMEITFLGTGSSQPSKYRNVSSIYINLFARGGILLDCGEGTLGQLKRRFGVNGADEAVKNLRCIWISHIHADHHTGLARVLALRSKLLKGMPHKPLLVIGPRPLERFLNAYSTLEDLDMQFLDCRHTLKPSVEAFLSENVTGSATPQLENTMFAPGSRMENYNRKPASPRDTTALANFKEVLLESGLEILYSVPVVHCPQAFGVVLRAMEKVNSAGKVIPGWKVVYSGDTRPCPALIDASRDATVLIHEATFEDSMKDEAIARNHSTTKEAIEVGTSAGAYRIILTHFSQRYPKIPVIDEVDMEKTCIAFDLMSVNLVDLPVLPKVLPHLKVLFKDELVVEEADEIQEAAAY >KQL04593 pep chromosome:Setaria_italica_v2.0:V:10137576:10140390:1 gene:SETIT_000827mg transcript:KQL04593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHAANCGGRVVARRGLGRALASALLCTTATTPQRISHYLTHQPRATWEALSATFPAAGGGAVPHGQVDAVLLSLARHPHASPEPVAKNALTFFHWSAAAAVASLPSSSHSLRSYCLLVHLLSRAALFRDASVLLEAAIAKHSSSSSVPASSFLDAFFAAYEDSGTGATTRGLHLLVHAYARLRLPGEALEACRYLAQRGIIPSLSAFNAALQSAQRAGAFGVAWEVFELMTQKRVYANQSTVELVIGVLSREGKLARTAALVERIHGKKCAPSIVAHVALTLRMIEEEKVEQVILLLKRMLQRNILLDDIAYSLIVDAYCRIGDLKAAFEQRDDMVRRGCHLNAFVYTSLIRAHCCRRSVDKAVQLLQEMLSMGLKPYDATYSHLIAGCFRQGMVEEGLAYFETMLHEGFVPDISNCNDMLGGLSNAGEVRKVNDLLTALMDKGLVPDRDTYQRLIDGYGKAGDAEGIVKIYHEMEHRGLHPGVDVFTTLIRGLCQCGNLKEAEKFLVVMKKKAVAPTSDFSYSEKGNTKRALWFYDMMIAENEKLVPSADSFMMLVRRVIKVKNYYPPNN >KQL05405 pep chromosome:Setaria_italica_v2.0:V:23925004:23925654:1 gene:SETIT_004586mg transcript:KQL05405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHIEPEVLIGSAKGLENFKTLKKVAKDRIYEGCGKEWTVLHFILYLLILKAKFGWLDKSFNDLLTLLGKLIPKPNFVPKNIYEANNIINPLKMHVQRVRTCRNHYILYHVKADGNL >KQL05236 pep chromosome:Setaria_italica_v2.0:V:18664192:18668610:1 gene:SETIT_001621mg transcript:KQL05236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYTAIDTFYLTDEQLRDSPSRKDGIDEATETALRVYGCDLIQESGILLRLPQAVMATAQVLFHRFYCKKSFVRFSAKRVAASCVWLAGKLEESPRKSKHIIFVFHRMECRRENLPIEYLDVFSKKYSELRHDLIRTERHLLKEMGFICHVEHPHKFISNYLVTLEAPELTQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRRGISLPEDPPWWTVFDADEAGIQEVCRVLAHLYSLPKSQYIPVYKDNDSFTIRTPDPQASKESPASAVASDKGTPVPSSSSQEKDSVTKAAPNKVKEKSDDEAKPLLAELNGKQDPAASSKSEKSELGVDRSRERERERSRGRDRDGRGRDSDRDSRGRDSDRERDRRRRSRERSSGHSDKEKSRRQSSRDRGDYYSSHSSREKDRHRHH >KQL05833 pep chromosome:Setaria_italica_v2.0:V:28325117:28325545:-1 gene:SETIT_005526mg transcript:KQL05833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLENRIVGVTFFYKETTPLLPVEIATRCLKSFRKHKYAIFFVTFVSSACIL >KQL04856 pep chromosome:Setaria_italica_v2.0:V:12470972:12471347:-1 gene:SETIT_005362mg transcript:KQL04856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNQWEEILTLYQYLLRSSFPKFQNCALKNHKFITTHVPTSTNM >KQL06190 pep chromosome:Setaria_italica_v2.0:V:31551002:31551935:-1 gene:SETIT_002776mg transcript:KQL06190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDETDVIEVTFGDDVIATTVTSSGEAVEAWLAEVRAAPSSSLVVGLDVEWRPSRRSDQNPVATLQLCVGRRCLIFQLLHADRVPRALAEFLGDRGVRFVGVGVEADAERLSDDHELVVANAVDLRGLAAEGMGRPELRQAGLRAIVAAVMGVNLVKPQRVTMSRWDASCLSYEQIRYACIDAFVSFEVGRKLLAGEAVAAADPAVPAGEAAAAADPAVPAVAGAVAVARVP >KQL03504 pep chromosome:Setaria_italica_v2.0:V:2764699:2767929:1 gene:SETIT_004594mg transcript:KQL03504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKPFSKSVFTFYHFVRRRLGNPPGQHHHRRNTSGIPTYPEIIEGCPLASQDDDQLQNQVLILDIEGGLLRSQCLLPYFIVVAIDAGSFLRGLVLLCLYPLLSFLTQEVQSRVMVMLCFLGLREEKVRRVVRATLPKHFLEDIGREGFEVVRGFKRVVGLSRMIPRVMVEDFLKEYIGLEMVVGREVKIVRGRYVGLLEMEDERRLSLDKLEGTEMEVYLVTPEQKKQWSTLPRDQYPRPLIFHDGRLAFRPTPQATLTMFMWLPLAVPLTVLRTLIFVILPYSISLPIGSASGITTRVINSPISATGNTNHGAYAQPNPQGHLYVCNHRTLLDPVYISVMLNKKVSAVTYSLSRVTELLSPIKTIRLTRNRDEDQRRMEYLLQKGDLVICPEGTTCREPYLLRFSPLFVELVDEVYPVALVNWSNMFYGTSTGRSKYMDHFYYFMNPHPAYVVEFMDRMPTHGVINGRRCESYEVANMVQSEIGRVLRFEPTKLTRKDKYMILAGHEGVADTKQ >KQL05682 pep chromosome:Setaria_italica_v2.0:V:26968589:26974024:1 gene:SETIT_000743mg transcript:KQL05682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDLCLGGRRGLARRAPSPPAAFPLRVLRVVAACVVVVTLMCRGVAGSGSAVLAPARPSNLRFPVSLGERASSVPPRSLLSSLAATPTPLALNVCGAMAGATNGSGGGGGGKGFEVPRVEVRFTKLFINGNFVDAVSGKTFETRDPRTGEVIANIAEGDKADVDLAVKAAREAFDNGPWPRMTGYERGRILHKFADLIDQHVEELAMLDTVDAGKLFLVGKVRDIPGAAHLLRYYAGAADKIHGETLKMAQRMHGYTLKEPVGVVGHIVPWNYPTTMFFFKVSPALAAGCAVVVKPAEQTPLSALFYAHLAKEAGVPDGVLNVVTGFGPTAGAAVASHMDVDKLSFTGSTEVGRLVMKAAAESNLKSVSLELGGKSPIVVFDDADLDMAVNLVNFATYTNKGEICVAGTRIYVQEGIYDAFVKKAAELAKKSVVGDPFNPRVNQGPQVDKDQYEKVLKYIDIGKREGATLVTGGKPCGDKGYYIEPTIFTDVKDGMAIAQDEIFGPVMALMKFKTVEEVIQKANNTRYGLAAGIVTKNIDIANTVSRSIRAGAIWINCYFAFDPDAPFGGYKMSGFGKDMGMDGLEKYLQTKTVVTPLYNTPWL >KQL05616 pep chromosome:Setaria_italica_v2.0:V:26383649:26384430:1 gene:SETIT_003204mg transcript:KQL05616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPGNGHLPASASLPDHSSASDSDAEPEANYSPIAGAASDSDSDTDPDAAMPHHRLDETGNGISALDLASDADEEADGEEGEREEEDVTAVEAAARAFSEDERRRRAPLPEGAAARIVDAMRGVEFPGTPPAWAGSVPEDQWVDRLRSLRAGRPN >KQL04313 pep chromosome:Setaria_italica_v2.0:V:8078873:8081484:1 gene:SETIT_005152mg transcript:KQL04313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGCGRRFDAGDAPARRCNLERFLEATTPVVTPSSSSKEGVKGWSQSEADDSLPFFTLGDLWDAFRECSAYGTAVPLVLNGCSDGVVQYYVPYLSAVQLYGGYRRQTGPSRTGAEESDSDLEHETSSSTNASSAQETSESSSGSEASSDDGESGSCHEQLLFEFFESESPYQREPLADKICSLAKRFPELQTLRSCDLSPASWISVAWYPIYRIPTGPTLRDLDACFLTYHSLSTQFAGDSSHGLKPTTATATACARTPVTAKWLPTFAMASYKLKGAAWTPGWRDRQLAASLAQAADAWLRLLRADHPDHRFFAARRVPSRRW >KQL03277 pep chromosome:Setaria_italica_v2.0:V:684362:684708:-1 gene:SETIT_003715mg transcript:KQL03277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLYECLHPVAPPITQVTNNHSSKSPNLNEPVKSRKYCNVFKQIIQFSRTS >KQL07826 pep chromosome:Setaria_italica_v2.0:V:42029053:42031544:1 gene:SETIT_002625mg transcript:KQL07826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGISRLIGLKAAVLLSVAYFFQGLGATLISFPLIYVSMIAMLVSIASHPAIDLPLLLGKASDGSFPLWSWIIFSPFLLFIHLFVLLRRFVKNEPLYTEIADGVFVGGWPSSVERLPPGDPAVIDCTCELPKSSTLSNNAYLCIATWDTRAPQPSQIESAVRWAIRKRSQNKPVYVHCAYGHGRSVCVMCALLVALGLAEDWKAAEQMIRKKRPSISMNTLHRKSLEEWSKHLLPSSKRSAESDVSSVIHSDYKQK >KQL06998 pep chromosome:Setaria_italica_v2.0:V:36965141:36965615:1 gene:SETIT_003319mg transcript:KQL06998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVSTTDLSAPTRSVNLSGCMATASSSTSSSSTTTWPRADKHRGAAAVVRRAQLVLSRDAGWCGLRAWRRLIRRLAQETKCICSSPTAATGRPITFGYDAASYAKNFDDGRSPAPRCAAPVIVVASAVDDSRGS >KQL04917 pep chromosome:Setaria_italica_v2.0:V:13041226:13043030:-1 gene:SETIT_000909mg transcript:KQL04917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPCIHLVFLLCLVPATVASPHRNLRKSPVDIAALDAAAPSPADASRPTTFFEVDRPLRPPPGSSGPCSTLLLSSSFAFTFTKPPATAAYSPPPCLTAAGGRATAISLAVLEWHATCRGVQFDRIFGVWLGGAELLRGSTAEPLQNGVVWSVSKDITRYASLLAAGNSTLAVFVENLVNSQYTGVYYANVTLHLYFRRTPTRPPPAVAPADLIVPMSRGLPLNDGLWYKIQNATDAVSTSVTLPSNTYRAVVEVFVSFHGDDEFWWTNQPGADANGPFREVTVRVDGVLAGAAWPFPVIFTGGINPLLWQPITGIGSFNLPTYDVEVTPLLGKMLDGKAHVFAFAVTNAVDVWYVDANLHLWLDPGSTATAAGLVSYVAPELAAATTSSRTTASRKVSATGWVKSSYGNITTNATQTFAFDNTNAGETVNQTTVAHAGVAATGLAGVLYYSVQTRQSFPLFLDSGADQVTVTHGLEETTVAAGRWSSGPRYQSLRNTQRSSVRGASWGIQQTYRYEATDGCYLRNVTSSVYSIVSDQSSEACVKGPLR >KQL06205 pep chromosome:Setaria_italica_v2.0:V:31688804:31693522:-1 gene:SETIT_003065mg transcript:KQL06205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFSKESCPSVKNILLLDSEGKRVAVKYFSDDWPTNASKLAYEKSVFTKTLKTNARAEAEITLFDGYIVVYKFVHDLHFFVTAGDDENELILASVLNGFSDSVGLLLRGDVEKRTALENLDLILLCIDEIIDGGIILETDANTIAGKVATNAVDGSVPFSEQTITQALATAREHFARSLLK >KQL03341 pep chromosome:Setaria_italica_v2.0:V:1839796:1844500:1 gene:SETIT_000777mg transcript:KQL03341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGVELRACVCRDKDTCVYASTRSIRRRRPSRTEPRLLPPSATMSSEPPPTAASASPADELATDLSAATLSKKQLKKDARKAEKAEKAAQRQQQQQQPADAEDPFAANYGDVPVEEIQSKAISGRSWTKIGDLDAAAAGRSVLIRGATQAIRPVSKKMAFVVLRQSMSTVQCVLVASADAGVSTQMVRFATALSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPINLEDAARSEAEFEKAEQAGEKLVRVGQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREFLLSKDFVGIHTPKLISGSSEGGAAVFKLLYNGQPACLAQSPQLYKQMAICGGYERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLQYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYGTDFFILYRYPLAVRPFYTMPCYDNPAYTNSFDVFIRGEEIISGAQRIHTPELLAKRATECGIDVSTISAYIESFSYGAPPHGGFGVGLERVVMLFCALNNIRKTSMFPRDPQRLVP >KQL03342 pep chromosome:Setaria_italica_v2.0:V:1839796:1842998:1 gene:SETIT_000777mg transcript:KQL03342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGVELRACVCRDKDTCVYASTRSIRRRRPSRTEPRLLPPSATMSSEPPPTAASASPADELATDLSAATLSKKQLKKDARKAEKAEKAAQRQQQQQQPADAEDPFAANYGDVPVEEIQSKAISGRSWTKIGDLDAAAAGRSVLIRGATQAIRPVSKKMAFVVLRQSMSTVQCVLVASADAGVSTQMVRFATALSKESIVDVEGVVSLPKEPLKATTQQVEIQVRKIYCINRAIPTLPINLEDAARSEAEFEKAEQAGEKLVRVGQDTRLNYRAIDLRTPANQAIFRIQCQVENKFREFLLSKDFVGIHTPKLISGSSEGGAAVFKLLYNGQPACLAQSPQLYKQMAICGGYERVFEVGPVFRAENSNTHRHLCEFVGLDAEMEIKEHYFEVCDIIDGLFVSIFKHLTENCKKELETINRQYPFEPLQYLEKTLKLTYEEGIQMLKEAGTEIEPMGDLNTEAEKKLGRLVKEKYVDSTALLHFIILLI >KQL03218 pep chromosome:Setaria_italica_v2.0:V:397170:397634:-1 gene:SETIT_004507mg transcript:KQL03218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTAHQVLGEDDRYPQKDVFVEKCMDAIEIGTDYVNPSQSYIHTVEKYDMECICRVINPTDETKISIMKTLRLAYVCHQPIPMGRKCGTWTISATNIDTSLKALSMANGPVIYEDDEK >KQL07116 pep chromosome:Setaria_italica_v2.0:V:37752877:37753367:-1 gene:SETIT_005262mg transcript:KQL07116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQFQRTIRMGPNSQLHQIGSTSVGKLNVIRFHHMLL >KQL04631 pep chromosome:Setaria_italica_v2.0:V:10296050:10296974:-1 gene:SETIT_005180mg transcript:KQL04631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDEGKAAAAAAGAGARASRWEVSPDGVIVLPAGSAAPRPPPPHARQQRERETEREREREREREQQNNPGAGVFGEQPHPELPEKVFYKTKLCEKFEAGKCAYEDGCTFAHGEAELRPPMPVPPALVLHRRKTPPPPPPPPAAEAPFGGYYGGKVCFEFRDRGTCHYGDRCTYAHATAAEVAEMRYPGGPRSVEHALRNAPPFARASFSPGGTARSSSTTSSYAPAAAARAFPSALPPPAPVGEDGRKLSRLEILSRKKTRGIYGDWPEQD >KQL08737 pep chromosome:Setaria_italica_v2.0:V:46895604:46897918:1 gene:SETIT_002473mg transcript:KQL08737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFAICMGAERRLDDDRKLEITGTDKGEGSKDYRVLDLLPDDVEWILRRAHGASAERTPRIGGSGRLNFSSPQSPSKNFGERGFGAERDPTGGACRCRLGARGKADKARRPPMAAFNTATAAAVLASPTPCRPSALAARLPAARWAPLRCSPPTLGLRRGTTGPSRRGSAALRVEAKKQTFSSFDELLEKSEKPLLVDFYATWCGPCQYMVPILQEVSEKLSDKIQVVKIDTEKYTSIANRYRIEALPTFIIFKNGKPCHRFEGALPANQLIEQIENVLAVTE >KQL08739 pep chromosome:Setaria_italica_v2.0:V:46895604:46900553:1 gene:SETIT_002473mg transcript:KQL08739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFAICMGAERRLDDDRKLEITGTDKGEGSKDYRVLDLLPDDVEWILRRAHGASAERTPRIGGSGRLNFSSPQSPSKNFGERGFGAERDPTGGACRCRLGARGKADKARRPPMAAFNTATAAAVLASPTPCRPSALAARLPAARWAPLRCSPPTLGLRRGTTGPSRRGSAALRVEAKKQTFSSFDELLEKSEKPLLVDFYATWCGPCQYMVPILQEVSEKLSDKIQVVKIDTEKYTSIANRYRIEALPTFIIFKNGKPCHRFEGALPANQLIEQIENVLAVTE >KQL08738 pep chromosome:Setaria_italica_v2.0:V:46895604:46900373:1 gene:SETIT_002473mg transcript:KQL08738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIRFAICMGAERRLDDDRKLEITGTDKGEGSKDYRVLDLLPDDVEWILRRAHGASAERTPRIGGSGRLNFSSPQSPSKNFGERGFGAERDPTGGACRCRLGARGKADKARRPPMAAFNTATAAAVLASPTPCRPSALAARLPAARWAPLRCSPPTLGLRRGTTGPSRRGSAALRVEAKKQTFSSFDELLEKSEKPLLVDFYATWCGPCQYMVPILQEVSEKLSDKIQVVKIDTEKYTSIANRYRIEALPTFIIFKNGKPCHRFEGALPANQLIEQIENVLAVTE >KQL03807 pep chromosome:Setaria_italica_v2.0:V:4684454:4686478:-1 gene:SETIT_002124mg transcript:KQL03807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMDGRLVLLTCLLVAPLLLAGGVHGHPWGGLFPQFYDHSCPQAKEIVKSIVAQAVARETRMAASLVRLHFHDCFVQGCDASILLDNSTGIVSEKGSNPNRNSARGFEVIDEIKVALEHACPGTVSCADILALAARDSTLLVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFRRQGLDVVDVVALSGAHTIGLSRCTSFRQRLYNQTGNGMADFTLDASYAAHLRQGCPRSGGDDNLFPLDLATPTKFDNYYFKNLLVGKGLLSSDEVLLTKSAETAALVKAYAADVNFFFQHFAQSMVKMGNVSPLTGAQGEVRKNCRRLNGNHY >KQL07501 pep chromosome:Setaria_italica_v2.0:V:40070008:40075857:-1 gene:SETIT_001673mg transcript:KQL07501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAADLTVAKRAMAYALCKHLNVDPSTVSNTSIEESDIASLFSHIVNSSQDEVMKWVKFSSDFAGSDGKQHALLDNLNKDLSQKSVLLGDGLKPSVADIVVFAAVQAFVSRVSDSKLQKFPHVLRWMDYIQNIVDFGTTLQKINVAKSVFVPPCHPKKADKGDTESSSKKAVSGQKIADKSNGSADSKKPVGETKASDNKETKPSAAKDNKTSGEKKKDKSAGKTAEKASEKAPEKTADKDSECNISILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKYCSPDDLTNRHVVLITNVKPGKLRDVMSAGLVLCASTEDHTAVEPLIPPEGAKIGERISFAGFDGKPEDVLNPKKKQLDKITPHLRTDENGIATFKGIPFTTSAGPCRSSIRNGNVK >KQL07500 pep chromosome:Setaria_italica_v2.0:V:40070295:40074985:-1 gene:SETIT_001673mg transcript:KQL07500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSNTSIEESDIASLFSHIVNSSQDEVMKWVKFSSDFAGSDGKQHALLDNLNKDLSQKSVLLGDGLKPSVADIVVFAAVQAFVSRVSDSKLQKFPHVLRWMDYIQNIVDFGTTLQKINVAKSVFVPPCHPKKADKGDTESSSKKAVSGQKIADKSNGSADSKKPVGETKASDNKETKPSAAKDNKTSGEKKKDKSAGKTAEKASEKAPEKTADKDSECNISILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKYCSPDDLTNRHVVLITNVKPGKLRDVMSAGLVLCASTEDHTAVEPLIPPEGAKIGERISFAGFDGKPEDVLNPKKKQLDKITPHLRTDENGIATFKGIPFTTSAGPCRSSIRNGNVK >KQL07502 pep chromosome:Setaria_italica_v2.0:V:40070295:40075002:-1 gene:SETIT_001673mg transcript:KQL07502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVSNTSIEESDIASLFSHIVNSSQDEVMKWVKFSSDFAGSDGKQHALLDNLNKDLSQKSVLLGDGLKPSVADIVVFAAVQAFVSRVSDSKLQKFPHVLRWMDYIQNIVDFGTTLQKINVAKSVFVPPCHPKKADKGDTESSSKKAVSGQKIADKSNGSADSKKPVGETKASDNKETKPSAAKDNKTSGEKKKDKSAGKTAEKASEKAPEKTADKDSECNISILNIQVGLIRKAWKHPSADSLLVEEIDLGDGNVRQVVSGLAKYCSPDDLTNRHVVLITNVKPGKLRDVMSAGLVLCASTEDHTAVEPLIPPEGAKIGERISFAGFDGKPEDVLNPKKKQLDKITPHLRTDENGIATFKGIPFTTSAGPCRSSIRNGNVK >KQL03447 pep chromosome:Setaria_italica_v2.0:V:2442052:2442527:1 gene:SETIT_005424mg transcript:KQL03447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTGGPFSAALAGTGAPAACSTPTSVGPCCEAGATAACCCIILGVFSSVIVTAPTWWSSGGGGKQCWCCTGGNKRSCSCW >KQL04515 pep chromosome:Setaria_italica_v2.0:V:9482807:9484093:1 gene:SETIT_003398mg transcript:KQL04515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVVTVGSPSATARKAASLPSSHREPLLLYLLLLSLGAAQSRSNLPPCEPEQALALLRLKATFPVTNHSASPACALGSWPAGADCCRWDGVRCGYVVPRYTTGTVARWSSST >KQL05034 pep chromosome:Setaria_italica_v2.0:V:14472006:14476135:1 gene:SETIT_003052mg transcript:KQL05034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQPQGITENYANPKTCFFHVLFKAAALAFYILSALFVNNFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEINDEGESVWKFECLDAESLARMNKKDSWLFWWTLYLAAAAWIILGIFSLIRLQADYLLVIGVCLSLSIANIVGFTKCNKDAKKNIQDWTKNALLSGSVRSHLQSAFGV >KQL08239 pep chromosome:Setaria_italica_v2.0:V:44355338:44359989:1 gene:SETIT_000449mg transcript:KQL08239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRDSARSTRKSRLEPNSLTRSTRHATPRAAFAPKEAPTDASPLAASEHAAALRAEAAMKLTCLSTSGGGGSYHSPASHVLELEGLRFLLDCPIDLSALAVFSPVPLTGDAGGLIRAVPRYWSPAAAAAAREGGVDAVLVSSATGMLGLPFLTRLPGFVNTKIYVTEVAARIGKLMMRELVEMHRAFVRYYGPDTDGLPKWMEGDKLNGLASMLQKLVTEDEGKDLTSLMPLYSPGNIEECMQKIQPVKYGEEVCFNGIFMLKASSSGLELGNSVWTIKGPRASITYLPSSVFVSAHALDFDYSSLKENDVILFSDLSSLNDMDEDNEKLDEHAMNETDSSLCHHSVLRDDGADADETITFLCNNDDITEEIERISFICSCIIDAIKSGGSVLIPIGRLGAILLLLELISETLHSSSIKVPIFMISETAEEIIAFTNALPEWLCKSRQEKLFSGEALFGHMELLKEGKLFLFPHLHSKGLLAAWKEPCIVLCPHWSLRLGPAVHLLRRWHADKRCLLVLEQGNDAELSLKPFMPLAIQVLECSFLSGVRVAKIDSLLGVLNPKFVMLPEGLKSRCSVKKRPWSFLYYTKGKTIELPNLREDFEVHLAHNVALGLQPRQLNETTAVARLRTDLLVSSGQYQLAAAEKQSDRSKRHLLHWGAVDPDRLLPALQEKGMLCSFAADDNCAGERSVLITSPVDALVKITSDRTVIYCDDEGTSKHIYDALCSVCNGI >KQL04866 pep chromosome:Setaria_italica_v2.0:V:12534764:12536542:-1 gene:SETIT_005165mg transcript:KQL04866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRAVPGFLRRAHAIRSYPPVLRLSSQACCLNSSDNEEPGRNSAYIDYRSQCLLPSITLAVRTANWDAARNISFRECVRLYGLSQSIGLFALLVQLFLPWRIREIRCLIQSIVDYCENAGQELFELAPILVSRLGGSMTLLQVYAAVIRIFVELSMFEDALLTYIEARKVGAELRLCNFLLKCLVKGNQIVYARSLFDDMKSSGPSPNVYSYSVLMSMYTHGERLCLEEAFELLREMEMNGVRPNAATYGTYLYGLCRSRQLTSAWDFLQNLCQRGCPCNTYCFNAVIHGFCSEGQVHKAIEVFNGMKKCGFLPDVHSYSILVDGLCKQGELLKGYDMLDEMARNGISPNHVSYSSLLHGLCKTGNVEFAFEIFKRLKDQGFKHDQIMYSILFHGCCQHLHLDIVNGLWDDMIHHDFVLDVYDYTNRIYALCRHRCLIEALEVFELMLENGITPNIVTCTILVDSFSKEGLIGEAFLFLDKVHQSLAIAPNLYTYKAIINGLCKINKSNDVWELFADMIKRGYVPDAILYSIIIDGFVKALELQEAFRLYHKMLDEGIKPTIFTYTSLLNGLCHDDGLPRFRKLMRDMIGEDL >KQL05455 pep chromosome:Setaria_italica_v2.0:V:24660648:24660983:-1 gene:SETIT_003930mg transcript:KQL05455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HRLLNVDHRAWMYGIRRHLHTFMSEVSMFVEAAEKHARICKTKQIRCPCFDCSNNIVWEDTDVIKRYLIKRSFVDGYIIWSYHGEAGGTFNRIDIDTGFDEVGGDDANENDH >KQL06980 pep chromosome:Setaria_italica_v2.0:V:36847829:36849730:1 gene:SETIT_0013501mg transcript:KQL06980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLKLLGPKSLTNLVLPKGTKHDSVVKRITYKSDEDDYDTYHSEANSSYLLQHAEATRFNLFTGFQTLAEREDSLKVNETVNVHCGFYSDNGGFKISDEDRRYMRACKVVVSTCAFGGGDDLYQPIGMANSSIGRVCYVAFWDEVTLSTQEAEGKVIGDDSMIGRWRIIVVKSLPFVDQRLNGKIPKMLSHRLFPEARYSIWVDSKYQFRRDPIAVLEALLWRTNSTFAISEHGARSNIYDEGKAIVQKHKATPEEVEVQLTQYRQDGMPDKQRLHGLKALAEASVIVRELTPAPNHFMCTWFNEVVRFTSRDQLSFPYVLWRLNMPGMSMFPVCTRRDLVNSLGHTRKVKPLTQTNPESSAS >KQL04229 pep chromosome:Setaria_italica_v2.0:V:7389648:7391071:-1 gene:SETIT_002759mg transcript:KQL04229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSWASPSALALTSGTRVSLPLALALRPRPESRGPRARLHSSTAAACSSWSRPLLPELAAVSPRAGTRRGAGRAPPLFRPRALMTTSQIASAAFTWGTVAVLPFYTLMVVAPNANITKRTVESSAPYVALGLLYAYLLYLSWTPDTLRAMFASKYWLPELPSIVRMFASEMTVASAWIHLLAVDLFAARQVYHDGLKNNIETRHSVSLCLLFCPVGILVHVATKVLAGAVGRSH >KQL04181 pep chromosome:Setaria_italica_v2.0:V:7052951:7058987:-1 gene:SETIT_000580mg transcript:KQL04181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRGLGQLLAALAAALFVRAIAGPGPALLPPAEDTEGDETDAEAGEGGGGVPPVTIRWARITCALKNKRGEVARFLLSNVSGEAKPGRLLALMGPSGSGKTTLLNVLAGQLTASPSLHLSGFLYVNGRPISKTGYKIAFVRQEDLFFSQLTVRETLSLAAELQLTDTWAPERKERYVNDLLFRLGLVNCADSIVGDAKVRGISGGEKKRLSLACELIASPSVIFADEPTTGLDAFQAEKVMETLRQLAEDGHTVICSIHQPRGSVYGKFDDIVLLSEGEIVYMGPAKEEPLTYFASLGYQCPDHMNPAEFLADLISVDYGSAESVQSSQKRIENLIEAFSNKALATEGNGSIAKPEESEFSAKAVQKSSMKQRRGWWRQFRLLFKRAWMQAFRDGPTNKVRARMSAASAVIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTLGVFPKERTIVDRERAKGSYALGPYLSSKLLAEIPIGAAFPLIFGSILYPMAKLHPTFSRFAKFCGIVTVESFAASAMGLTVGAMAPTTEAAMALGPSLMTVFIVFGGYYVNPDNTPVIFRWIPRISLIRWAFQGLCINEFKGLQFEQQHSYDIQTGEQALERFSLGGIRIADTLVAQGRILMFWYWSTYLLLKKNRPKYQPLLPPLEDDQNKQQVE >KQL06234 pep chromosome:Setaria_italica_v2.0:V:31906322:31907231:-1 gene:SETIT_002862mg transcript:KQL06234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSYQALLSLSPADWIGGSEYAGDGDDAASAVVSGYLSFDIGVDDVGEEEYYHHHPPEPAAFHAEPQQAPETLLLDTLQAQADYCASGVAGSSSEGELGKQSHTDASALTSEQRDNGFTASTGGGARGLRRPLPAAAARKRDGGGRIAFKTRSEVDVLDDGYRWRKYGKKLVKNSPNPRNYYRCSSAGCGVKKRVERARDDESFVITTYDGVHNHPS >KQL08597 pep chromosome:Setaria_italica_v2.0:V:46270414:46271203:-1 gene:SETIT_005339mg transcript:KQL08597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARAGPGRIPRRRWPPRGATPGGGRVRTHRRRRTPPGTAGRCRWWCWPTWRSW >KQL07226 pep chromosome:Setaria_italica_v2.0:V:38479917:38486263:1 gene:SETIT_000350mg transcript:KQL07226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQGLLPQLKSIMAPIKVEELRGQTVAVDTYSWLHKGALSCGDRLCKGIPTTRHIEYCMHRVNMLRHYGVKPILVFDGGLLPIKSDQETKRARSRKENLERAREHEAAGNSRAAFECYQKAVDITPRIASELIEVLKKEKVDYIVAPYEADAQMTFLSVNKLVDAVITEDSDLIPFGCSRIIFKMDKFGQGVEFQITRLQRNRELDFNGFTRQMLLEMCILSGCDYLPSLPGMGVKRAHALIQKLKCHEKVIKHLRYSVVSVPPQYEENFKKAIWAFKFQRVYDPATEDIIHLSSTKPECSSPAVDRVCPTREHIATSNGKKRLDLPVQKNILTNYFCLASLEAKRKFRAPKVTAKQQTSNGSLPSSQTQDSDTPDSIEDTMLPTVRIQASQCSSELLSSEPSQDEPINAASQLSSERFSSESSLNDSANMFPQCSPHDVGSDPPYEDTGIEDRKVEADYCNENTLPTIPCLAGKSPRISEPSLLLNNMGPSILVQPYTEGNVASKNKVIVRSPYFKTVNKRVCTNQEDQLDDEEDYDIGTSNLSGDQLMNSGMLKRRKLSGVQNFKDESLQPISSDDSPPVIDEGRDTDDLDDANIRTEGRFGCNVSHVNMYSGIAEKSMDKFAALVSSFRYPGSRASGLRAPLKDVKNTLSVRSILRAPEKGKFRCASKKSDLGPPSRSRYTSDNKETAASPPDISAFAYRPVKTVHADQDGTTSKTKDAADAPPDLGTFAYTPMAPTLCHPDRSKFTGTAVKIADSPPDLSTFAYKSMKGAVRYSDGSRFGGTALSAAGGTSRRQFK >KQL07875 pep chromosome:Setaria_italica_v2.0:V:42290664:42291235:1 gene:SETIT_004670mg transcript:KQL07875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRRHWLIKLPTIRGVQVPTRGASWRGVIRDLVEVLTEVGDSQRWSESAAGGEDSGRSNFMWRGSSSSESMASTDGAAQSFMGGCASATERRQGPTVGLQLGAEIVMVMAVCSNRKRGARRSWLGRHKTGGEGDDADGDGVEERKMTGSQHKR >KQL07249 pep chromosome:Setaria_italica_v2.0:V:38669185:38671374:-1 gene:SETIT_003996mg transcript:KQL07249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSNGSQEHHVSGEEEVHHVSRPILNNGPKHRPLTPMRQCRGVACVAVILSTAFLLIVYLAPITTFVVRLFSVHYSRKATSFLFGMWLSLWPFLFEKINKTKVVFSGENVIPKRRVLIFANHRTEVDWMYLWDLALRKGHLGYIKYILKSSLMKLPIFSWAFHIFEFIPVERKWEIDEAIIQNKLSKFKNPRDPIWLAVFPEGTDYTEKKCIKSQEYASEHGLPKLEHVLLPKTKGFICCLQQLRSSLDAVYDVTIAYKHRLPDFLDNVYGVDPSEVHIHIRTIQLSDIPTSEDEITEWMIERFRQKDQLLSDFFAKGHFPDEGTEGDLSTPKCLANVFVIVGLTGICLYLTLFSSVWFKIYVVASCAYLSFVTYYSVLPPQLVGSPEGGVKAKKAV >KQL03252 pep chromosome:Setaria_italica_v2.0:V:545701:549624:-1 gene:SETIT_001531mg transcript:KQL03252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIVGGKFKLGRKIGCGSFGEIYLATHIDTYEIVAVKIESSKTKHPQLFYEAKLYNTLQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKTQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTREGYEFDYVFDWTVLKYKQGQKQKQSPGAPARPIQADVQKQAGNRAYALFDAQLHMGTSVIIISWCGWCLGDYEDQ >KQL03251 pep chromosome:Setaria_italica_v2.0:V:543926:549624:-1 gene:SETIT_001531mg transcript:KQL03251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIVGGKFKLGRKIGCGSFGEIYLATHIDTYEIVAVKIESSKTKHPQLFYEAKLYNTLQGGSGIANVKWCGVDGEENVLVIDLLGPSLEDLFVYCGRKFTLKTVLMLADQMITRIEFMHSKGYLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDSTTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKTQKYDKICEKKISTPIEVLCKSCPVEFASYFHYCHSLTFDQRPDYAFVKRLFRDLFTREGYEFDYVFDWTVLKYKQGQKQKQSPGAPARPIQADVQKQAGVNGVFHHNEAREHVETSHPADQAVQSQGKQATNKDWNSSMQRTLNLRQDVAAGKAQLTSVPLLSSPWKNDGDSRQNGKFDAVHHNQGFVKITGSSNSWIPTFQHNAPAN >KQL05638 pep chromosome:Setaria_italica_v2.0:V:26607909:26610455:1 gene:SETIT_004605mg transcript:KQL05638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSSCPLAPRPSGGSQRTTTRASGGGLGLGGEAMARCAAAVKREPEPEPWAGEAEAAGSVAVLPRPVEEPPMPAPFVAKTYEMVADEATDAVVSWAPGGAGNSFVVWDPQALAVGLLPRFFKHTNFASFIRQLNIYGFRKVNPDRWEFAHESFLAGQKHLLRKIKRRRAPKPQMEAHPRNGASICFKQPKDSGEVESLKRDRAALRAEVLTLKQQYSSCKSQLVALEERILNNERNQQKAIAFFAKVLSNPTFVQQVLLNYAANKELYSTAKRKRLMENEEQRVGTLKNGLEPTLATEASASAASSDGSAVAKHEPMPEWNYQEMDNIWEDVWDELDAIPGAEMDQEDKAAAGFEVEEFTGRPCGWVDDCPYLVEQMQFVEH >KQL05064 pep chromosome:Setaria_italica_v2.0:V:15093671:15094708:1 gene:SETIT_003942mg transcript:KQL05064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGPDGLARWDKALDGVATAEEARQLLDAVDAAIRATDERRRKLGMPVDDDGEDGGAGIVLEGIAPLNFAAADEYLLHAPGGGGGDANDGQAMWGSNGIQFQQGGAANMQQAGYGFQPCITTSSSGAGMESYHLLMAPDMYGNVDNDNSRLADAYQPCDAGAMQHGYGFQCARAGYFGVPSGYQMQQVQPNLPMMWSADESRHAMVPLEYPSADAGLNNCADTAVAYGGQGVGGRSFAMGAGGNFVKSPPALSLAMGTGGGNFINAPPAAPSYTMGGSGDNFTNATPAQPLAISYGGDLTSAGRYAAPWHAAAPMPQRAGGDQKPGIEQLHYLSDLEDTQLHLWGN >KQL06972 pep chromosome:Setaria_italica_v2.0:V:36793703:36794270:-1 gene:SETIT_003237mg transcript:KQL06972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMDEFQEADILWPDTTEDEQVVPFATTDMVMEEPYGATACSVSGVAPAFGRRRFEGLFLPGAAGPSSSAGARPDADDDEEEWQEADVMWPDTVGIVPRGGGRELLSPFSGRHVSPAAARRDRWRPAASSPIDIPTNVAARRRFNSGRR >KQL03470 pep chromosome:Setaria_italica_v2.0:V:2570042:2574423:-1 gene:SETIT_001011mg transcript:KQL03470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRAILPCTTDISSRAPFFPPPPRFPPHHPLRLRPRPFLAVATPASPSAAAMDPVATWGLTPLAGADPEIYDLLEREKRRQRRGIELIASENFTSFAVMEALGSPLTNKYSEGMPGARYYGGNDVIDEIENLCRSRALAAFRLDPAAWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTAGGKKISATSIYFESLPYKVSAATGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGALYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCHITLNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGEFLHRAVTICLSIQKEYGKLLKDFNKGLVNNKDIENLKAEVEKFADSFDMPGFTLESMKYKE >KQL03784 pep chromosome:Setaria_italica_v2.0:V:4598625:4600085:1 gene:SETIT_001335mg transcript:KQL03784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRKLETKPAAAAKASPATAAKSTTARAAGLSEVIAPVAAVKEEPQMAGGEEEEEEEEVEVEEEVEVEEEVEVEEEEEEEDEEEAGEGESDPASIQALLDSFPKDQLVELLRDAAVAHRDVRSAVRRVADADPAQRKIFVHGLGWDATADTLTEAFTPYGEIEDLRVVTDRNTGKCKGYGFILFRHRSGARAALREPQKKIGNRNTACQLASVGPVPAGGAVSNPVPAPAQLQLPPVSEYTQRKIFVSNVGADIDPQKLFLFFARYGEIEEGPLGLDKVTGKPKGFALFVYKTIESAKKALEEPHKHFEGVVLHCQKAIDGPKSNKIGGHGGFYGAGASSGSKGVAGYGATSHSLPGSVGIGQPMSPLAPSLASMPGGIAAAPGVDPALGQALTALLASQGAGLNLNSILGVGANGGVPPQGASGALGGSGLPGMQGGYLGGYGGSGGYGSAPGGPGRNYMGH >KQL04923 pep chromosome:Setaria_italica_v2.0:V:13081257:13086198:1 gene:SETIT_000820mg transcript:KQL04923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSARQRRATAASPLTDDGYAKEAKDRRRRPGDGDGDGADEGIKWFLPFLALGLLRHMSASSNLIHDCDEVFNYWEPLHFLLYRSGFQTWEYSSDFALRSYLYLFIHALVAGPASLIFGEHKVRVFYSVRIFLGLISTITETVLVIALSRRYGKRLACYVLAMLCLSSGCFFASTSFLPSSFSMYAVTLSSALFLLEKYAAAVAVAAAGVILGWPFSILVFLPVTVYSLIRGPFGRVFLSGFLTSLSLLVLSFIADYHCYGRLTFSVFNLLKYNVLGGGESHLYGTEGPSFYFRNGFNNFNFAFILALLFLGVVPFARKKYAPDLLIVVSPVYIWLAFMSLQAHKEERFLYPIYTLICVAAAAVIDSFPDFFHDKYSSDQSIFEKIAKGLRPLILGFILCASHSRTFSMLNGYGAPLQIYQHLEYHEDSGPGSVLCVGSEWHRYPSSFFIPSYISEVRWIDDGFRGLLPFPFNETLGGTSAAPSYFNNKNKASEEQYLKDIGACTLLVELDLRRPYPSRGNDLKTWEALAALPFLDRELSPALYRSFFVPYKWQQNNVFGLYKLLRRLPTDQP >KQL04017 pep chromosome:Setaria_italica_v2.0:V:5882255:5884628:1 gene:SETIT_0016582mg transcript:KQL04017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLCPFAGATTGGGVCPVKSDKSGAGVCPAKSDKSISGVCPVTGKDNSSEHKESTDNADEKGTDPRMVPAKCPFGYDSNTFKLGPLSCIICQALLHESSKCKPCAHKFCKACISRFKDCPLCGADIEGIEPDAELQALVDRFIDGHARIKRSHAAGDVEAVGGNNKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLTMCADDIREELKSSEDNLDLCSQLGAVLGMLGDCCRTLGDAPSAITYYEESSEFLSKLPTKDLELVHTLSVSLNKIGDLRYYDGNLQSARNYYARSLDVRRNAVKEHSAVASQ >KQL04018 pep chromosome:Setaria_italica_v2.0:V:5881678:5884628:1 gene:SETIT_0016582mg transcript:KQL04018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLCPFAGATTGGGVCPVKSDKSGAGVCPAKSDKSISGVCPVTGKDNSSEHKESTDNADEKGTDPRMVPAKCPFGYDSNTFKLGPLSCIICQALLHESSKCKPCAHKFCKACISRFKDCPLCGADIEGIEPDAELQALVDRFIDGHARIKRSHAAGDVEAVGGNNKVIYEDVSMERGAFLVQQAMRAFRAQNIESAKSRLTMCADDIREELKSSEDNLDLCSQLGAVLGMLGDCCRTLGDAPSAITYYEESSEFLSKLPTKDLELVHTLSVSLNKIGDLRYYDGNLQSARNYYARSLDVRRNAVKEHSAVASQ >KQL05048 pep chromosome:Setaria_italica_v2.0:V:14805134:14807537:-1 gene:SETIT_000666mg transcript:KQL05048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTLLLWIVLILTIKCNADVAAAAVTVAAASPAGGDVSFDGQRIRPPVEHLAMYAWLGYLIWLCVPLAGWLRPFNRAVFFVFSALGLVKLALKLAAFWRASGSFALGKNARLVAGYMAQLVTDGDGDGDGDGQVPRYIVMGETRKHVEESPQGYRIKREVLDNKFSSLVTLDRVWRMAEHGDGILAERQELRDLCLSYSLFKILRRRLSGYPLADAGSGGALNFVLGGMGSVGSGVNVDRVFRVLVDELSFACDFYYSPIPLCIFGGWSATLNYLCSVLLLIGAIAVGWIYQVKDVVHSTPYKVITFSLLLAVVLVEAWDVVAGVCSNWTKMALLGHYIRHESAWRCSGCVHAALAAALRLRPATRWRHKIGQNSVLEPRRFLKRSGFLSEKLYGKAGLMRSIRSLLSSYGRMSKDGGAPARRVGATVDWTLYGSSRKSWGWSEDGSSSNTELILTWHVATRLFEMKSMSASSDMIAASHLSNYFAYLVAAAPELLPDCAEWSNKRYKEVSEDARAALGADSGGESAEGRYGRLVAALSEASRDTVLRWGAELGRHLVAQYTEDEASACRILADFWSEMALYVAPSENFKGHVQAMARGGEFITLVWALLLHAGVTSRPEPDTPGGGGAIP >KQL08125 pep chromosome:Setaria_italica_v2.0:V:43684651:43687754:1 gene:SETIT_002374mg transcript:KQL08125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKIRDLDQEYEGNVEATGEDFSVEPADERRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKNLDAEIHRKYIYGGHVADYMRTLAEEEPEKYQAHFSEYIKKGIEADDMEALYKKVHAAIRGEPTMAKITKQPPKEHKRYNPKKLTYEQRKASLVERLNALNSSGGADDDDDEDDE >KQL08126 pep chromosome:Setaria_italica_v2.0:V:43684651:43687754:1 gene:SETIT_002374mg transcript:KQL08126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFVKTQKTNAYFKRFQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDITAQIVSASIAGDMVLAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKIRDLDQEYEGNVEATGEDFSVEPADERRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFKKDEKNLDAEIHRKYIYGGHVADYMRTLAEEEPEKYQAHFSEYIKKGIEADDMEALYKKVHAAIRGEPTMAKITKQPPKEHKRYNPKKLTYEQRKASLVERLNALNSSGGADDDDDEDDE >KQL05235 pep chromosome:Setaria_italica_v2.0:V:18482564:18483022:-1 gene:SETIT_005518mg transcript:KQL05235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPAPKCKKPLPCKEHSLYGEWSAILHRSYMVHNFGI >KQL08608 pep chromosome:Setaria_italica_v2.0:V:46297082:46297519:-1 gene:SETIT_005120mg transcript:KQL08608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAEMIAGAVVQRVAGMLGQAAWERVELLQRFSDDFEEMKGTLITVKAVTADAEKRSQRSESVRLWLKKLKSAAYNIEDMIDELEANTKIWRSSTCPS >KQL07102 pep chromosome:Setaria_italica_v2.0:V:37663787:37665012:-1 gene:SETIT_003038mg transcript:KQL07102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVFLLLLLAMAFRGSDGAWCVCRSDATDTALQKTLDYACGHGADCAAVLPTGPCYSPTSVQAHCSYAANSYFQRNSQASGATCDFGGTANLTDTDPSSGTCKYPATPSEAGTSGNSTGAGAGTGTSPPGSTSNPATTPSMGGTFTTPVGASGPTPSFVSAATAAAFAGRHVLLLAVVSVLAFLVR >KQL05329 pep chromosome:Setaria_italica_v2.0:V:22557733:22558293:-1 gene:SETIT_003565mg transcript:KQL05329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKRNTYSLLASELVWEQSSLWVLIRTKSVHSYYNCSYRKLKVDSQIPTRKQSRRIYSAESVHRITGNKILQQTVRRIIDGEN >KQL08252 pep chromosome:Setaria_italica_v2.0:V:44435531:44436045:-1 gene:SETIT_005122mg transcript:KQL08252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSACGYGGYGQPQPDMNMNYHRSHSEHVTKFAGNPRHAGCGGAVQHHTAVHKESFEEVDGDCYDPRRGHSNHALQQQQQAHRHHNGGGSHRYETYQETYEESCEEDTYEESCEEETYSAGRRHGNGHAGVRELQGDLLVCYEDEEEVVGGGGYAQLKRGQRCA >KQL08522 pep chromosome:Setaria_italica_v2.0:V:45825327:45827808:1 gene:SETIT_001260mg transcript:KQL08522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVQRAKVEGNLPVYGFHDPASFVSSIQKPRVVIMLVKAGAPVDQTIATLAAHLEQGDCIIDGGNEWYENTERREKAMEERGLLYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNSELQQVFSEWNKGELLSFLIEITADIFGIKDEQGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIEASLDSRFLSGLKDERVEASKIFQGDYSTGLPVDKAQLIEDVRQALYASKICSYAQGMNIIKAKSSEKGWALNLGELARIWKGGCIIRAIFLDRIKKAYDRNPDLANLLVDPEFAQEIMDRQAAWRRVVCLAINNGVSTPGMSASLAYFDSYRRDRLPANLVQAQRDYFGAHTYERVDMPGSFHTEWFKTARNSKI >KQL07271 pep chromosome:Setaria_italica_v2.0:V:38853121:38854548:1 gene:SETIT_004766mg transcript:KQL07271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAASRARRLSDIFSSTTPRAQPPKPKPAPAPALAPKVAAAASRALRLSDIFSSNTRRAQPPAPAPAPAPNVAAGEAEAKPNAGRSHAMPIGRIVKAIFQERDPDKLVSKFIAASAASQRFREKHRVYEVAVSRLKSFGRCDAITAILDSQKPFLEASGEDFARLLVRLYGLASLPSHAAATFHDLPQKHKSVMTFNAVLAAYLKARDFDALATAFQQIPASHPTIVPSVYSYNILISALCQKPDLSAALDVIPLMEKCGLTPNEVSFGILLNGFYRNGRFDDAEKVREMMERNVKPNVKCYNAKLRDLVAQGRIQDAVAVIEAMQKDGPKPDSVSYNELIRGYCKEGKLEEAKKVYDDLVKNECAPNRGTFHTLVSHFLEAGELDLALNCYHEIFSWKCRVKCSLLQGVVTALVAASRVEEATSIVKLGWKNNYSPMDLNLPALIEKDKVVEAEMDCHNSVPNDGGERVGA >KQL04009 pep chromosome:Setaria_italica_v2.0:V:5848646:5851189:-1 gene:SETIT_002887mg transcript:KQL04009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPYTPAELQLPGYVPLQLSQGQIVAPYLGTSLFVTLVVWLISGRCRALSKTDRLLMCWWAFTGLTHIIIEGTFVFAPNFFKKENPNYFDEVWKEYSKGDSRYVARDTATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQITVCLGQLYGCLVYFITAYLDGFNFWVSPFYFWAYFIFANSIWVWIPTLIVMRSWKKICAAFRAEKVKTK >KQL07488 pep chromosome:Setaria_italica_v2.0:V:39992659:39995181:-1 gene:SETIT_001126mg transcript:KQL07488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRFAEKLLIGLFASVVVAIAVSKLRGRKLRLPPGPVPVPIFGNWLQVGDDLNHRNLAALARRFGDIFLLRMGQRNLVVVSSPPLAREVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGDHWRKMRRIMTVPFFTNKVVQQYRAGWEAEAAAVVDDVRADPSAATEGVVLRRRLQLMMYNNMYRIMFDRRFESMDDPLFLRLRALNGERSRLAQSFEYNYGDFIPILRPFLRGYLRICKEVKETRLKLFKDFFLEERKKLASTKAMDNNGLKCAIDHILEAQQKGEINEDNVLYIVENINVAAIETTLWSIEWAIAELVNHPEIQRKLRQELDAALGPGHQITEPDTHRLPYLQAVIKETLRLRMAIPLLVPHMNLHDAKLGGYDVPAESKILVNAWYLANNPDSWRRPEEFRPERFLEEERHVEANGNDFRYLPFGVGRRSCPGIILALPILGITIGRLVQNFELLPPPGQDKIDTTEKGGQFSLHILKHSTIVCKPRAF >KQL03674 pep chromosome:Setaria_italica_v2.0:V:3849073:3852059:1 gene:SETIT_003267mg transcript:KQL03674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYFSHPREGAAAAAQTLAGFAAVTPPRGATMVATKKSKKSTDNINNKLQLVMKSGKYTLGYKTVLRTLRNSKSKLVIIANNCPPLRKSEIEYYAMLAKVTVHHFHGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKTTPGDQ >KQL04131 pep chromosome:Setaria_italica_v2.0:V:6742811:6749644:1 gene:SETIT_000344mg transcript:KQL04131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGSLFDGGAGGGGCGGMQFPFSGGFSSSPALSLGLQDNAGGGGGMGGGRALPGGAGDGSARDADAENDSRSGSDHLDAMSGGGEDEDDAEPGNPRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRGELSRRLGLDPRQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRTPMCGSCGSPAMLGEVSLEEQHLCIENARLKDELNRVYALATKFLGKPMAMLSGPMLQPHLSSLPMPSSSLELAVGGFRGLGSIPSATMPGSMSEFAGGVSSPLGTVITPARATGSAPPSMVGIDRSMLLELAISAMDELVKLAQIDEPLWLPSLNGSPNKEMLNFEEYAQSFLPCIGVKPVGYVSEASRESGLVIIDDSVALVETLMDERRWSDMFSCMIAKATILEEVTSGIAGSRNGALLLMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDQNSATISNAGNVRCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLRSGLAFGARRWLAMLQRQCECLAILMSPDTVSANDSSVITQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVDEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMQEMANIAKGQEHGNSVSLLRASAMSANQSSMLILQETCTDASGSMVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPSTGAEHKTGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTIKKIKTALQWDSA >KQL04132 pep chromosome:Setaria_italica_v2.0:V:6742811:6749644:1 gene:SETIT_000344mg transcript:KQL04132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGSLFDGGAGGGGCGGMQFPFSGGFSSSPALSLGLDNAGGGGGMGGGRALPGGAGDGSARDADAENDSRSGSDHLDAMSGGGEDEDDAEPGNPRKRKKRYHRHTPQQIQELEALFKECPHPDEKQRGELSRRLGLDPRQVKFWFQNRRTQMKTQLERHENALLKQENDKLRAENMTIREAMRTPMCGSCGSPAMLGEVSLEEQHLCIENARLKDELNRVYALATKFLGKPMAMLSGPMLQPHLSSLPMPSSSLELAVGGFRGLGSIPSATMPGSMSEFAGGVSSPLGTVITPARATGSAPPSMVGIDRSMLLELAISAMDELVKLAQIDEPLWLPSLNGSPNKEMLNFEEYAQSFLPCIGVKPVGYVSEASRESGLVIIDDSVALVETLMDERRWSDMFSCMIAKATILEEVTSGIAGSRNGALLLMKAELQVLSPLVPIREVTFLRFCKQLAEGAWAVVDVSIDGLVRDQNSATISNAGNVRCRRLPSGCVMQDTPNGYCKVTWVEHTEYDEASVHQLYRPLLRSGLAFGARRWLAMLQRQCECLAILMSPDTVSANDSSVITQEGKRSMLKLARRMTENFCAGVSASSAREWSKLDGATGSIGEDVRVMARKSVDEPGEPPGVVLSAATSVWVPVAPEKLFNFLRDEQLRAEWDILSNGGPMQEMANIAKGQEHGNSVSLLRASAMSANQSSMLILQETCTDASGSMVVYAPVDIPAMQLVMNGGDSTYVALLPSGFAILPDGPSTGAEHKTGGSLLTVAFQILVNSQPTAKLTVESVETVNNLISCTIKKIKTALQWDSA >KQL03434 pep chromosome:Setaria_italica_v2.0:V:2349276:2349824:-1 gene:SETIT_003060mg transcript:KQL03434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQSSSPYGETDTGTDTAPTTAGSSIRWAPHGRAMTACLVAVNVALVSLVYLYFWRLFSRKRAASSSSAAADEEDGASSSASVPSSPAMARDEEQQQRRHDRLLASLPPSFVARPGAGAGAECAVCIAELLDGDEARALPRCGHRFHAACVDAWLRRRHTTCPLCRASVVVAAAEDVDAPV >KQL03435 pep chromosome:Setaria_italica_v2.0:V:2348239:2350218:-1 gene:SETIT_003060mg transcript:KQL03435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGQSSSPYGETDTGTDTAPTTAGSSIRWAPHGRAMTACLVAVNVALVSLVYLYFWRLFSRKRAASSSSAAADEEDGASSSASVPSSPAMARDEEQQQRRHDRLLASLPPSFVARPGAGAGAECAVCIAELLDGDEARALPRCGHRFHAACVDAWLRRRHTTCPLCRASVVVAAAEDVDAPV >KQL03587 pep chromosome:Setaria_italica_v2.0:V:3315760:3319934:-1 gene:SETIT_002726mg transcript:KQL03587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMPAVSAPSALAPAPTGTRLGYSTWTRRGALALRPARALPALRLGLGGHRSSRSAVVVRAAAAEGAVELQAKVTNKCFFDVEVGGEPLGRIVIGLFGEVVPMTVENFRALCTGEKGYGYKGCSFHRIIKDFMIQGGDFQENNGTGGRSIYGESFDDENFTLKHVGPGVLSMANAGPDTNGSQFFICTVKTPWLDNRHVVFGHVLEGMDVVKQLESQETSRSDSPKQPCRIVNCGELHLDG >KQL08070 pep chromosome:Setaria_italica_v2.0:V:43421133:43423867:-1 gene:SETIT_0003321mg transcript:KQL08070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRGRSAPACVLLPLLLGFVLLLQGAPSQAGDTVAAGRPLSGGDSLVSKRGKFRLGFFQPDNSSDHWYLGIWYNQISLHTTVWVANREAAITDPASSQLSIASDGNMVILDHRRSTVWSTNVTGVVASNSTTVGVILDTGNLVLADASNTSAVRWQSFDHFGDTWLPGGKLGRNKRTGEVTQLVAWKGYNDPSPSPFSLELDPGGSSQYLLKWNGGEQYWSSGNWTGHAFTAVPEMTPTDASPLSKYTFGYVDGADESYFVYDVTDESVVTRFLFDVTGQIKFLTWVEAAKEWMLFWSEPKKQCDVYAVCGPFGVCTENALPSCSCPRGFSQRRPGEWLQNDHTAGCARNAGLACSDRDGQHQKNSKNDDDRFYTMDDARLPSSARSAAAASARDCELACLGNCSCTAYSYTGGGGCSLWYGDLINLQDTTASGGGSSISIRLAASEFSGTGNARKLVIGLAAAGSVAAVAAIVLATILILRSRRIKSLRRVEGSLMAFTYRDLQFVTKNFTEKLGGGAFGSVFKGSLPDATPVAVKKLEGVRQGDEA >KQL06173 pep chromosome:Setaria_italica_v2.0:V:31367832:31368049:1 gene:SETIT_003708mg transcript:KQL06173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINEQLSLIHDQNLVIIFLIKTAILIVLHHIAKCQIRKLIFRYTVCFDYYAT >KQL06195 pep chromosome:Setaria_italica_v2.0:V:31591005:31594790:-1 gene:SETIT_002741mg transcript:KQL06195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKRPPPSPLVDTYVVPGDVVLDLSEMTNQTIKLGTGLRQEGDTIQATSAGILRLSKPNKYWVESSQKRYVPSVEDTVLGVVVDTKPDNFVVDIKGPHLAFLPVLAFEGGTRRNIPKFEIGTLIYARVVKANIIMNPELSCMDATGKAAEFGQLKDGYMFDTSTGMSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNAPAPNTVILVSNAIMRSESLSGIQQRAMVENLLERLS >KQL04406 pep chromosome:Setaria_italica_v2.0:V:8783790:8787394:1 gene:SETIT_002923mg transcript:KQL04406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESRSAAQRLYLSVYNWVSFFGWAQVLYYATLALLGGGHETVYAAVKLPLLFSQTAMLTEILHSILGFVRSPVIATSTQVCARTLVTWAIVWTYPETHSHILITSLVLSWSITELNAGHQIFILWFEGGIWNYTFLAPMAQVHHFHGALPYWAYQRGWLNLHCHASHEGKSTINMGSFNLSLHSRIPLHVLLHALPAEEGLVEGENRIT >KQL04813 pep chromosome:Setaria_italica_v2.0:V:12029391:12029627:-1 gene:SETIT_004071mg transcript:KQL04813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSLCFVHQIGGGEGEQEQLSRLGSRPPCCESKCGGCAPCEPVQVRAGAVTEGGLRPQCANYEPVGWKCRCGAAVFDP >KQL06616 pep chromosome:Setaria_italica_v2.0:V:34635561:34636430:1 gene:SETIT_003612mg transcript:KQL06616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKHTFALSVILLILLFNASAGQTIAVENDNAATAKLIKGRSRKILTEVQDYDYGGANSRHDPRRRSGIGGRNG >KQL06617 pep chromosome:Setaria_italica_v2.0:V:34632703:34637874:-1 gene:SETIT_003015mg transcript:KQL06617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAMASFPVASHHRGGHLAAGFPSSPRDRGRVGRSGVTISMRAQKKKSSGDSASSSGGGGGGGGGDGRASSGRRVWRRRKLTKEDDMLRYKLDRIPFLEEKVRKVRENGKIVCLDINQLMLSQENRFAFTMEVAEEANAYLEKNRHEYGLKKPILHVLSDRMNEAGFSRPEGYLYPYPIKPGPYFIKEEGH >KQL03852 pep chromosome:Setaria_italica_v2.0:V:5017368:5021184:-1 gene:SETIT_001408mg transcript:KQL03852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLACLCRCPQNDEDDEEKEGEQFRINHQVTSESCPLNTGGILHMEGSQPIGRHDEAIIFPLHQLADATKNFSQDCLLGRGGFGCVYKATLSDGQVVAVKQLDLNGLQGNREFLVEVLMLNLLHHPNLVNLFGYCVDGDQRLLVYEYMPLGSLEDHLHDLAPDQEPLDWKTRMKIAASAAAGLEYLHDEAHPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYFLTGQLTIKSDIYSFGVVFLELITGRRPNDSNRPPEEQDLVAWARPLFKDQRKFSRMADPLLHGRFPRRGLYQALAIAAMCLQEKAKHRPPIREVATALSYLASQTYDRNNTTARRNRAGPSTSRVLDDQMNQDTTLASQQEVQMSIHCQTNQVVPEVKETSWSGSHRPGRGRVAPNGIDRERALADANVWAEAWRRQEKASKMW >KQL03851 pep chromosome:Setaria_italica_v2.0:V:5017368:5020128:-1 gene:SETIT_001408mg transcript:KQL03851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLLHHPNLVNLFGYCVDGDQRLLVYEYMPLGSLEDHLHDLAPDQEPLDWKTRMKIAASAAAGLEYLHDEAHPPVIYRDIKPSNILLGEGYHAKLSDFGLAKLGPVGDKTHVTTRVMGTHGYCAPEYFLTGQLTIKSDIYSFGVVFLELITGRRPNDSNRPPEEQDLVAWARPLFKDQRKFSRMADPLLHGRFPRRGLYQALAIAAMCLQEKAKHRPPIREVATALSYLASQTYDRNNTTARRNRAGPSTSRVLDDQMNQDTTLASQQEVQMSIHCQTNQVVPEVKETSWSGSHRPGRGRVAPNGIDRERALADANVWAEAWRRQEKASKMW >KQL04302 pep chromosome:Setaria_italica_v2.0:V:7991265:7993213:1 gene:SETIT_004349mg transcript:KQL04302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRWEGDGEATERTGRRRGAVMARRREGEPHGRRRSRRSGSRTGKRWPNLRGGEGLPREKARKRVAQPLGVGFQMFRSLTQLRNPNHSAIATPYASASPAVARFLPPPAGDGSRCWPKACGGLNITYPFWLEERDQPPCGPPAFQLKCNSSGAFMVKSLYQAYRVVSIFAENQSLHVVDINLPLDTGCPAPTFNVSLVPRPLAFSKANKELLFLGKCTAGSQPEDSNGFHSLPCDRSSFVRLGDGQLWYYLQNFIQLQILEIIYGNGSLLLADMHKLVDLTNLAT >KQL06418 pep chromosome:Setaria_italica_v2.0:V:33234961:33240867:1 gene:SETIT_000726mg transcript:KQL06418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKGELGQKPIEEAMDSLKIDASTKASNVNLPAKKDASSSDAVSCISSGDAASTVKESEMNQEASIGDQGMYYYGYYYPGSYGGWDENGYFVGYNGLEMHPAVVQGDNGSYLCYLPGYESGYASYSPVVPGGITSADGQYVSKEPYYSTAIPMQDPSTPGIFAQPIAYGPELVPAYSWDPSFVLLDGVQGHPVGVHQTNYAARPKYSSNKHGVPSSKAARSAKSAPETIKGSSSALETLPNAANSIPLSKGANKASGASTTKGYLPSSKFVTHSNNQGKSSVYQNKGINVKENGRSWINNEKLKTRSKLNGHGDSDISNENSHTDNSKHSLSPRGDVVGLSSAGDANETILSPVTISKNAYNLPDFVTKYEQALFFVIKSYSEDDIHKSIKYSVWASTPNGNKRLDNAYRLAQERMAEKGTKCPVFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFSVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGTEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLTTSFDHPLPKAEKPAEIKKQTQLISGAQLDTTKKSEEQSNNVAEVLDVAQSNKELPSKVATEGR >KQL06419 pep chromosome:Setaria_italica_v2.0:V:33235916:33240216:1 gene:SETIT_000726mg transcript:KQL06419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLKIDASTKASNVNLPAKKDASSSDAVSCISSGDAASTVKESEMNQEASIGDQGMYYYGYYYPGSYGGWDENGYFVGYNGLEMHPAVVQGDNGSYLCYLPGYESGYASYSPVVPGGITSADGQYVSKEPYYSTAIPMQDPSTPGIFAQPIAYGPELVPAYSWDPSFVLLDGVQGHPVGVHQTNYAARPKYSSNKHGVPSSKAARSAKSAPETIKGSSSALETLPNAANSIPLSKGANKASGASTTKGYLPSSKFVTHSNNQGKSSVYQNKGINVKENGRSWINNEKLKTRSKLNGHGDSDISNENSHTDNSKHSLSPRGDVVGLSSAGDANETILSPVTISKNAYNLPDFVTKYEQALFFVIKSYSEDDIHKSIKYSVWASTPNGNKRLDNAYRLAQERMAEKGTKCPVFLFFSVNASGQFCGVAEMVGPVDFNRNMNFWQQDKWNGFFSVKWHIIKDVPNPQFRHIILENNENKPVTNSRDTQEVKFPQGTEMLNIFKNFSCKTSILDDFDFYENRQKVMQDRRGKPLTTSFDHPLPKAEKPAEIKKQTQLISGAQLDTTKKSEEQSNNVAEVLDVAQSNKELPSKVATEGR >KQL04362 pep chromosome:Setaria_italica_v2.0:V:8465553:8466491:-1 gene:SETIT_003885mg transcript:KQL04362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRTSWDEPTTKILLDLCIEQKNQLNWSDRCLTKLGWRNVHSRFRAETGLQLGTKQLQNKLSNLRRQFFGWRALETSSGLGRDIQTGGVSADATYWEQDQQDTQARSQPHSVKPPPFLNELFKLYGHEPQDRGTLLTAGGIREDTPSMGTEGNFMDLEQDPAPASSARVSARAMSKRPVREFSVDSPTKKRSDNLEQYIRELSESVAKRSLLRAPSIHDQISRCIEILKEDGIEQGSDLHNQAMFSFGQSAECRSTFMGLDTKDARLSWINFYWNMMHKK >KQL04734 pep chromosome:Setaria_italica_v2.0:V:11245378:11248021:-1 gene:SETIT_002671mg transcript:KQL04734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYHFVYKDVEGTSTQWDDIQCRLGNLPPKPEPFKPPAFAPKVAADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSIVPITGSDFVREVSQAPSDIWVVVFLFKDGIPECGLLQNCLEELATRYPATKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKRCTPESVALALCQSDPVLNDGHGGGDSSRDNVIEGVRRKFIEKVVAQHEEREEEDSD >KQL04735 pep chromosome:Setaria_italica_v2.0:V:11246198:11248021:-1 gene:SETIT_002671mg transcript:KQL04735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYHFVYKDVEGTSTQWDDIQCRLGNLPPKPEPFKPPAFAPKVAADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSIVPITGSDFVREVSQAPSDIWVVVFLFKDGIPECGLLQNCLEELATRYPATKFVKIISTDCIPNYPDRNVPTILVYNNSAVKGTYVGLQKFGGKRCTPECKLLHSKICIQYLISYFPLVH >KQL07047 pep chromosome:Setaria_italica_v2.0:V:37303760:37305816:1 gene:SETIT_001893mg transcript:KQL07047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLLQQPHYTHAHTTSTPISPVLGVPALFRAVLRPPRVPYRPETAMVVLANPPVVDQIPLLRSPGPRDSFAAVPVVDLSGPGAARAIVDACERFGFFKVVNHGVAAATMDRAETEAIRFFAQAQADKDRAGPAYPFGYGSKRIGLNGDMGWLEYLLLAVDSASLSDACSVPSNAAFRAALNEYIAAVRKVAVRVLEAMAEGLGIAPLDALSAMVTEQGSDQVFRVNHYPPCPALQGLGCSATGFGEHTDPQLVSVLRSNGTSGLQIALRDGAQWVSVPSDRDAFFVNVGDSLQVLTNGRFKSVKHRVVTNSLKSRVSFIYFGGPPLAQRIEPLPELLGEGEESLYKEFTWGEYKKAAYKTRLGDNRLAQFEKK >KQL07550 pep chromosome:Setaria_italica_v2.0:V:40464110:40464889:1 gene:SETIT_002611mg transcript:KQL07550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMPWVKTRPSSPSPSSATSTSSSSTSLVAAASPRLSFSSPSLKDLQALLLSDAATPSPPPAAPCSPSSNTVRVFHRVRVAASALRALRTLQAPPSAGAAAADLRVVLYFTSLHVVRGTYEDCRAVRAILRGLRVAVDERDLAMDPRYLQELAALLPRHAPPRRVALPQVFVGGRHLGGADEVRRLHEAGELRRVVAGAVTASLAACGRCGGERYVLCSSCNGSHKRYSVKGGGGFRTCAGCNENGLVRCPDCSPPDV >KQL07049 pep chromosome:Setaria_italica_v2.0:V:37318931:37321914:-1 gene:SETIT_002884mg transcript:KQL07049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEELRLELDELRQLEGLAKRPRVQSLLANEIRIVEAKLAKATAPAPEPQAALSAPARPGLNYVTLGSFSWDQDNEKIRIYVFLEGVEQEKVETVFKPMSVDIKFHDVKGKNYRCAIPKLNKEIVPEKCKVVVKPTKVVVTLCKASKGNWLDLYFKEDKFKPSMDKEKDPMSGIMDLMKNMYEEGDEDMKRTIAKAWTDARSGKTTDSLSGLH >KQL03496 pep chromosome:Setaria_italica_v2.0:V:2726903:2727365:1 gene:SETIT_004483mg transcript:KQL03496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLVSQCIGRGVGTRCLVVVQDCNRMRLEEHSGVTKLMINAPGHVVACASDVMRELRPIGLLVSEKKKSRKSMPAGGKVFSVVNDKEDSSEQGKEALCAGKRAQNHYEIQPW >KQL06167 pep chromosome:Setaria_italica_v2.0:V:31268881:31271766:-1 gene:SETIT_005170mg transcript:KQL06167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQLKDTMDKCNLLSSEVEKAQQAEKEVHALLEENQKLKNDNLMLLVEHDNLKALHQNLDVECFQLKAAIAEITAENESLITENHSAERKLQQLGLEIDGLKVEAAELMNNLDKERNNAAEEKERLVSENSIYLNEIEKAQSSIKDLEKELESTRNVMNSNMAELQEEKDSAMSEVEQLEASLMNLKTELAQQLERISDMQKTTEELELANSNMHNEIVEVKGQKNEAAASVINLESNLEQQVEQISVLQLSNEDLQNSNSNLKMQLEEAKVSHHAEILALQDERNKIISDLQQSEASIKNLRIELEQGKEQISKMNLANEDLKNNIAILDKQLEEVRSSLHAEIAQLQAEKGIVLSELQVSQASVRNLESVLKKQSEKISTLDQANDQLQKNICTLTEQSEQTKAELQKEVEATQEEKDTTLTQLKQSQTSVQNLENEVTRLKEDLSVQLESNSTLDKQLEEVRSSTHAEIAELRAEKDATLLELQTSQASVRNLEIALQTQNENISTLQQANDESQKIICTLTEQSEQAKAELQQEVKATQEEKDAVLTKLKQSEDSVQNLANEVTQLKDELSVQLENNSTLEKQLEEAILKVSNLHENLEKAQAEAACQIDDMSTKTKDLEKTIALLSYQKTKLEEDLKIMIEACTVNMSFMTEFEDRVTQKNSDHEAGLVVLRQSLKGVASSCQRLQYAYDEVSSRVSQLEILKRLQIEQIGQLEEKHTETLEKHRLLEEENLSANKENTKLQKDVQDLEVQLQLAKQKLKVTEAESKCKEDSYAMAVETSQAEIHHLEQLVKQFSGRVNLLEETLMQVKGHAESGVSELADKLDELEPLLCQSFALFVDRSSACGEELNVLRKKLHDHLDEQKELVKENDEMAVRLREKEKLVSEMVKNTADAEAKMVQLEKTVAEKEEELAARVQEKREAIKQLSDAIVYHKNYSDDLVRYIRSHNRPRLPFCL >KQL03168 pep chromosome:Setaria_italica_v2.0:V:161161:163914:1 gene:SETIT_001168mg transcript:KQL03168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVQQHRQVWWPGRMGAAFGPSFLCLVCLIYFIQGFRSFVWTAVSYQMKDVMKLSPSTSQFLVSLAYFPWSIKPVYGILSDCIPIKQRKRIPYLIISSCLSLLPWLILGLSQALRSSPNMLTALLVVQNLGSAMADVVIDAMVAESVRSAGPQFAGDLQSLSWSSMAVGGIFGSLLGGYALSNLPIHAIYVVFSALPFFQLVSCMFVEDSPKGLQSAIDEHKYVDNQGAEKCSSEALGYEGTRRRKKIRKSNKSRPLSKRAEANEKHNGPINSSPCLSLRSAFFSLCTAFRQPTILRPMVWFFFSNVIIPNISTVMFYYQTEELHLEASFLGTARVIGWFSLILGTYTYNRYFKHKQLRNILVFAHVGLAIISLLDIALVSRLHVPYGIGDKYMVLWGSALADAINQFKMMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFLGAILASALNISTAQFDNLALGLGVQLIGTLLPIGFLFLIPKEVTGLTS >KQL08073 pep chromosome:Setaria_italica_v2.0:V:43441851:43442547:1 gene:SETIT_005302mg transcript:KQL08073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKFIEAASRTISILGEWALRSRATELVRLALEHVGVDGVRDNNLTAAVEALS >KQL05909 pep chromosome:Setaria_italica_v2.0:V:28996012:29000315:1 gene:SETIT_003889mg transcript:KQL05909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQKDTGGRELSIQVPAARGVLPGRRRKSATAKQERRLNGFVLFVASGEWAGNAFGTLAFLWGTVVLLGGYCKDLKSEFWYATAVIFIEAFRMFSRNYRLDDQSLFRTTRAIRAISSPFVRMLVRPQEWNELEAILGLSIYLLVNLSQRLQLRFAYLSIVMAVLIILMSKLQFPGALQLMSRLRRYRRLLLWAVLAALLILAALLIWVRVKPAAAQPQGRLGKVPLLWIALDQDVIFWASQLAAIVAQVVAVLLLNFRPRIIANLTDRPCGRKLLSWAKQRHQYHIGPVVLLVGNLQIPAAVAPIVISSVRLGCLAHHAHQQHTEKDLVTSVVFFYVLALCQGTLYIVACISHLFSFFLRRSLARQSGLKGKRGARTVDLYYNCVYLKCMETGILAAGKEISLARFAIDSLSSNSRKEQLAGVLILDSLLQQKEDLVSRIACSSKAVSALIGMLGWTDGHDGDIRLLAARVTAKLAGSLRVAATPGMLKLVSSLLDAKDQLATGLISPKDVGGNNTPRSAGIKPWRNDSYVSRRWRRMKERWTVPEEPPLTHQDSFPVLGMLILERLASDHDNCAEICRATDLISKISGFVSYISDNSAQQKAVVCSSLSLVRRLAITGGKVGVLLRQELWEDPFLLDNLVGILEDSRSSIKVWEPAMDIIAKLALAEEARKEIGSNKVIIGKLMHAFLGRYGPTNMHYCQPLRLAAGEALANLAIDNPENCLVILEEPGYKLIKDLNDMLWHNEYRYVAATLLQNLCEHSRDKMCSLGANEHLSYALPVVMENIVAVEGKQMEILIGLASQICNIIPECVVHSLESHLSVSAFVQKMVSALHANKKPRPEHPRMRRVIVELTVSIIESHPHYSTIFIEGGMMEALSRIESISSKVERYRIFFGNAGVVLESGLPLPTTVVRAKGLFDSATSGHKKKARLN >KQL03502 pep chromosome:Setaria_italica_v2.0:V:2755959:2757351:1 gene:SETIT_004825mg transcript:KQL03502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAKAGASLQVPNVQELAQTWNGSGERVPDRYVRKEETAAEEVVAGRAIPVVDLSRTHCLQLVNHGVPDEVLQDVKRDITEFFNLPLEAKKAHAQVPGGLEGYGQAFVFSETQKLDWADMIYLMISPREERDPRFWPARPPSFTGSVDRYAAETARVAASLLRSMAADLGVAPERLSEAFRGLPQSMRTTYYPPCRQASDVLGLSPHTDATGLALLLHVNDVQGLQIRKDGRWLAVDPLPGAFVVTVGDILEILSNGRYRSIEHRAVVHPDKDRISAAVFHQPCPDTTIGPLPELVKKDSGGARYKSMEYMDFMKSFFAAKLDGRRSHMDALRI >KQL03449 pep chromosome:Setaria_italica_v2.0:V:2450262:2455254:1 gene:SETIT_001835mg transcript:KQL03449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDTADAPPSGPDASAAVRPLLPDAAAAAPAPAPAPAAAGGESAEELDARYAPYARRDAYGTMGRRPLAPAEAARLALAAAVLLPLRFVAGMLVLLLYYLVCRVCTLCVESDRGRPRLAGWRRKAVLRSGCALARAMLFVFGFYWIRETDKRLPSSVEDVNQELPEELGRPGAIVSNHVSYVDILYHMSASFPSFVAKESVSRLPLVGLISKCLGCIFVQRESKSSDSKGVSGAVTERVQEVCQDKNTPMMLLFPEGTTTNGDYLLPFKTGAFLASAPVQPVILRYPYRRFSPAWDSMDGARHVFLLLCQFVNYIEVVRLPVYYPSEQEKEDPKLYANNVRKLIAMEGNLILSNLGLADKRVYHAALNGRNQLGAQHQKDD >KQL04026 pep chromosome:Setaria_italica_v2.0:V:5972704:5977222:1 gene:SETIT_001592mg transcript:KQL04026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMASSSSSSNLSDHLVQDDLPWPSSSSLPFAPTPHSAVIGGSHQWSQQPPMLNCGDHHHSDELEVLLSAQGHNHSHHAASPLAPHQLSSLLMMQDLGFQWSNCSFADAVSVPTNGQQDGHSKIKEEQPFNPRSSSCAGAAMAYHDIVLDGGGGGGGLPAMAAAGLDGAVLPSVNISRPPQQLMKAWPAAPPPLPGDAFEILASSRLCKTLLLSQASSVLLHNGMPLLRSEHVPHGPPPAHPQGPSGDNYRQMVGAALVNEAAGGNRHWSAEHDAACQKAARPVPSSQAPSSLKKPRVESRASTIVPSFKVRKEKLGDRIAALQQLVSPFGKTDTASVLMEAIGYIKFLQDQVETLSGPYLKSSRNKKPRTTQRGPSDANGGEEETRLDLRSRGLCLVPLSCTSYVTNENGAWAPPNFRGN >KQL04791 pep chromosome:Setaria_italica_v2.0:V:11824445:11826988:1 gene:SETIT_000952mg transcript:KQL04791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVGVAPAPLAAPVKKKLLDLKDPFHGGSAAAASNGGKPSPAGKWAMKKKLAGGDAGYVLEDVPHLTDYLPELPTFPNPLQDNPAYSVVKQYFVNPDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVVRELVCGLYDMYGVTSVVGIEGGYKGFYSKNTIPLTPKSVNDIHKRGGTVLGTSRGGHDTAKIVDCLQDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIERRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKVRFVVQIFISLSIWGQTCSLPH >KQL04792 pep chromosome:Setaria_italica_v2.0:V:11824239:11827826:1 gene:SETIT_000952mg transcript:KQL04792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVGVAPAPLAAPVKKKLLDLKDPFHGGSAAAASNGGKPSPAGKWAMKKKLAGGDAGYVLEDVPHLTDYLPELPTFPNPLQDNPAYSVVKQYFVNPDDTVTQKIVVHKTSARGTHFRRAGPRQRVYFQSDEVNAAIVTCGGLCPGLNTVVRELVCGLYDMYGVTSVVGIEGGYKGFYSKNTIPLTPKSVNDIHKRGGTVLGTSRGGHDTAKIVDCLQDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIERRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKPNFPITLKYIDPTYMIRAVKSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDHEHHLHNTQLLEGESSPVKNSPKCNGSAPPAV >KQL04793 pep chromosome:Setaria_italica_v2.0:V:11824239:11827826:1 gene:SETIT_000952mg transcript:KQL04793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVTSVVGIEGGYKGFYSKNTIPLTPKSVNDIHKRGGTVLGTSRGGHDTAKIVDCLQDRGINQVYIIGGDGTQKGASVIYEEVRRRGLKCSVVGVPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRNSGFIAMYATLASRDVDCCLIPESPFYLEGKGGLLEFIERRLKDNGHMVIVVAEGAGQDLIAKSMNFVDTQDASGNKLLLDVGLWLSQKIKDHFKKKPNFPITLKYIDPTYMIRAVKSNASDNVYCTLLAHSALHGAMAGYTGFTVAPVNGRHAYIPFYRITEKQNKVVITDRMWARVLCSTNQPCFLSHEDVENMKHDDDHEHHLHNTQLLEGESSPVKNSPKCNGSAPPAV >KQL03384 pep chromosome:Setaria_italica_v2.0:V:2094464:2095938:1 gene:SETIT_002620mg transcript:KQL03384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNTVRVAVGILGNAASMLLYAAPILTFRRVVKKGNVEEFSCVPYILALFNCLLYTWYGLPVVSSGWENFPVSTINGVGILLEITFISIYIWFAPSKKKRFALQLVIPVVTLFGLTAFFSSFMVHTHRMRKVFVGSVGLVASISMYSSPMVAAKQVITTKSVEFMPLYLSLFSFLSSALWMIYGLLGKDLFIASPNFVGVPMGILQLVLYCIYRRSDGAAGKLHATAIDQEKGLKAVVAMHPQELGVTKPEAEGQK >KQL08394 pep chromosome:Setaria_italica_v2.0:V:45189148:45196349:-1 gene:SETIT_000032mg transcript:KQL08394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKLDRPAALGKDGLSLGIEEDRAAAAAMGFVDDSKDQLHLDNSIPLSPQWLYAKPADGKISLPHGSSFEPAEREVRMLEGTVDRKERRRNIFDADSSLRWLEEERETSLLGRRERKKEVERDVDNRKIDRRSDNVSARDNTDSRAPPASDRWNDGSTRTLGNEGRRDGKWSTRWGPDDKEKDSRSEKKADAEKDETHAEKQTFTGRLLSESDSRDKWRPRHRQESHSAGTATYRAAPGFGSEKGRVKDSNVGFAPGRGRGNPNSVTSFSRPSSAGPIGAPAVHGKSAKAAGSFRYPRGKLLDIYRQKNMMSSFDDAKLEEIPSITLSTSAKPLAFVAPDTVEEALLEDIRKGKVISSEGSNATGNKKERAKELEELASGIDDDKEKAAVAFGGLGQEGSSGLISEKDAFYDNRTLSSGVGTSPPKRPMEENAGSNEYGITGIQEGLKTDEAKSSADPDLSTKLPDDSNTLFDVAPFEHRSETPMPYQNSDMDMKSGGHASYPEELTLYYLDPQGGMQGPFLGADIISWYEDGYFGLELPVRLSQAPDDVPFRPLVEVMPHLGQKPQSHPPALCDENAESLDSAQSKFEAAIPTPASSGKGDQASKWDSESNAVDPKRSDHEASLPSRTGWLSSPETGKDIANISNRQQHIPESVPQDAEEVLYTGRPNSSIGQSVRDLENDRADFQLASRDPHSGVGEANLPQHDVPRESDLSPLGLLWSELEGMHPKQPLSSNVLGLNERRSPKPTAPKDIPPVNIRHGQLSRMNEASSIRDEWPANFGRLDGMNDANIPGRIPQVEAEHHLNFEEQLLLQQIRREQLQQEQMMARNNLEFPGPFPGQVFDSLHQHRQPMNQPLSDVEHLLRVQFELDQQQQRRQQLQQEQHQRQLQQQRQAQLLQQQQQQQQQQQQMILEQLLQQQLQGSNFGPANMVDQVLLREHVLNELHQQPHHLQRQHDAAIEQLIQAKFGHGLHREHHNDMLDVLSRPNQRQMLPLEQQILLGLQHEQLQSQQLANALRQHSGREEERHLSGVWPMDDAAQFIRPGTSPNQGHASRHGRFDLLENLQRSSSFEQHEPLDRSLSLHERLHRGGQGIHSLERSGSLPGGGPVPNPDVINALARHHGLGQLETHGDLYSLGQMPMLPSGVHPQQHRLQEQHSGSHLGRLERHWSDANGQLQNSLMESSRINQLQIEAEKQRRNVEMNLAADNPHAWAALMNKERNAEQDLSDMIHKKLVLQSQQSLGFPDVPVPASFGRKDHFAQPVVENPLRSPVDRLSFEESLAERSLFSKTGQSAQEGSVNLDSLTNSIENSGKYNLRSGSGSMLEQKHFLGIDDVQRDFSDVTGGRASANHLVGSVNELTRGKKQGSSVNLAGDDTNFSEEAVNNWSDSGISKGSSHSLLKRSTNQHPATSQAVSTDLSTIRMKKAGLASSDENKMEPGVVSSVAQAMETSVPSNKETGAYSMPSATNNPDASGPSFSEALKSKKPPLQYDTSESADGGPGGKGAKKKAKKGKQIDPSLLGFKVHSNRIMMGEIVRDD >KQL08393 pep chromosome:Setaria_italica_v2.0:V:45189148:45196062:-1 gene:SETIT_000032mg transcript:KQL08393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERKLDRPAALGKDGLSLGIEEDRAAAAAMGFVDDSKDQLHLDNSIPLSPQWLYAKPADGKISLPHGSSFEPAEREVRMLEGTVDRKERRRNIFDADSSLRWLEEERETSLLGRRERKKEVERDVDNRKIDRRSDNVSARDNTDSRAPPASDRWNDGSTRTLGNEGRRDGKWSTRWGPDDKEKDSRSEKKADAEKDETHAEKQTFTGRLLSESDSRDKWRPRHRQESHSAGTATYRAAPGFGSEKGRVKDSNVGFAPGRGRGNPNSVTSFSRPSSAGPIGAPAVHGKSAKAAGSFRYPRGKLLDIYRQKNMMSSFDDAKLEEIPSITLSTSAKPLAFVAPDTVEEALLEDIRKGKVISSEGSNATGNKKERAKELEELASGIDDDKEKAAVAFGGLGQEGSSGLISEKDAFYDNRTLSSGVGTSPPKRPMEENAGSNEYGITGIQEGLKTDEAKSSADPDLSTKLPDDSNTLFDVAPFEHRSETPMPYQNSDMDMKSGGHASYPEELTLYYLDPQGGMQGPFLGADIISWYEDGYFGLELPVRLSQAPDDVPFRPLVEVMPHLGQKPQSHPPALCDENAESLDSAQSKFEAAIPTPASSGKGDQASKWDSESNAVDPKRSDHEASLPSRTGWLSSPETGKDIANISNRQQHIPESVPQDAEEVLYTGRPNSSIGQSVRDLENDRADFQLASRDPHSGVGEANLPQHDVPRESDLSPLGLLWSELEGMHPKQPLSSNVLGLNERRSPKPTAPKDIPPVNIRHGQLSRMNEASSIRDEWPANFGRLDGMNDANIPGRIPQVEAEHHLNFEEQLLLQQIRREQLQQEQMMARNNLEFPGPFPGQVFDSLHQHRQPMNQPLSDVEHLLRVQFELDQQQQRRQQLQQEQHQRQLQQQRQAQLLQQQQQQQQQQQQMILEQLLQQQLQGSNFGPANMVDQVLLREHVLNELHQQPHHLQRQHDAAIEQLIQAKFGHGLHREHHNDMLDVLSRPNQRQMLPLEQQILLGLQHEQLQSQQLANALRQHSGREEERHLSGVWPMDDAAQFIRPGTSPNQGHASRHGRFDLLENLQRSSSFEQHEPLDRSLSLHERLHRGGQGIHSLERSGSLPGGGPVPNPDVINALARHHGLGQLETHGDLYSLGQMPMLPSGVHPQQHRLQEQHSGSHLGRLERHWSDANGQLQNSLMESSRINQLQIEAEKQRRNVEMNLAADNPHAWAALMNKERNAEQDLSDMIHKKLVLQSQQSLGFPDVPVPASFGRKDHFAQPVVENPLRSPVDRLSFEESLAERSLFSKTGQSAQEGSVNLDSLTNSIENSGKYNLRSGSGSMLEQKHFLGIDDVQRDFSDVTGGRASANHLVGSVNELTRGKKQGSSVNLAGDDTNFSEEAVNN >KQL07608 pep chromosome:Setaria_italica_v2.0:V:40791421:40795956:1 gene:SETIT_001455mg transcript:KQL07608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMTSPTLVSYYFTRFLHPRSPIIIMQPTNHAAGRQPQTHETLLSSPPRRRAAASSSSRCPPPDPTLPSRQRESEPRDRMPPKKAAPSKAELAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLHQAVQPKPDPTKTAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSIVCEFFKVGQCQKGFKCKFSHDLNVQRKGEKIDIYTDKRDAETMEDWDQETLEKVVESKRTEYQQNKPTDIVCKYFLDAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLEEESDKIAIEDEIEDQRKKTKTTTPMTTELFMEWKRKKAEEREAGQAALKAERAKNDRMSGRELFMADASVFVDDAEAYEVYEREEESEANEESSKKSQDAGPSSSTSNGKEVEELDDEDIDIDDDLDIDELNELEASLSRTSIQIREPGEGTSS >KQL04712 pep chromosome:Setaria_italica_v2.0:V:11174365:11175885:1 gene:SETIT_005145mg transcript:KQL04712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASWFLGFLGRYPELMVSLACFLLLFHRLNRRDGLPTNWPVIGALPAITINAGRVHEWVTEFLCAAGLSYVIEGPWGSPVDVVVTADPANVAHVFTTNFANYPKGKEFTALFDVLGGGIFNADGEWWAFQRRKAHALLSDARFRAAVAASTARKLREGLVPLLDGLAASGATVDMQDVFVRLTFDLTAMFVFGVDPGCLAPGFPFVPFAAAMDTIEEVLFYRHVTPVPWLRLQKYLKIGHNKRMGKARRVLDASIAELISLRRERAGADDADLLTSYLACQDEVGKSGAEFDRFLRDTTFNLMVAGRDTTSSALTWFFWLLTKHPDVEAKILDELRAHPPSSGADHHTAAELKRLVYLHAALSESLRLYPPVPFEHKAAARPDTLPSGTPVGPSRRVIVSFYAMGRMEAVWGKDCLEFRPERWLTAAGRLRHEPSYKFVAFNVGPRTCLGRDLAYAQMKAVVAAVLPRFRVEVDAGAVVRPKLSIILHMKDGLKVRVHKREEIA >KQL05240 pep chromosome:Setaria_italica_v2.0:V:18696637:18697117:-1 gene:SETIT_005290mg transcript:KQL05240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTVVKCKLLMRHIILEQSLSNLKHCKNWSYPLAN >KQL06192 pep chromosome:Setaria_italica_v2.0:V:31587223:31587685:1 gene:SETIT_005546mg transcript:KQL06192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDITCCNQVAVYCSYQLAYLFRLGEVGNLHVHLF >KQL04258 pep chromosome:Setaria_italica_v2.0:V:7712726:7716890:1 gene:SETIT_000390mg transcript:KQL04258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVTGAMGCLAPKLLQLLQDEYNLQKGVRKKVEFLSRELESIHAALRKVAEVPWDQLDEQVKVWARQVREASYDMEDVLDTFHVRVEGDAPADPSRLKRAMKKMRSLFSKGKARHDIASAIEDIKKQLQEVADRRARYKVDEIMAKPVAKTSTIDPRLATMYSEVTKLIGIDKSRGQLISMLSPLWNDNEFNKKMKVVSVVGVGGLGKTTLAKAVYDELKPQFDCWAFVPVGRNPDLKKVFRDILIDLDKETYMASKFTLLDERQLINELHHYLGTKRKQGTELFEVGEGYFNDLINRSMIQAVKSEVDGIVYGCRIHDMVLDLLRSLSHEENFVTILYNNVGIVSGSRVRRLALQGPKHTHQVINRMDMTQVRSVITYRSEWVSIESIPSFKHLRVLFLEGTRIDLQHIGNLVQLRYLGLRHVYVRELPKEIGSLKFLETLDLEETGIIELPSSVGLLTQLICLRAWKTRIPNGILKKLKSLEELQIYPAEDEESKGQLVKDLGYLGELRVLRTLVSRMGERVQYNLMQSLRNLNKIQCLTLVGWCRARDEGNNATWEAAVLPRHLRHLILENIHFSWLPSSINPSRLPNLSHLDLFVAAMEEQALESLGRLPRLYYLKLSTWSTVTLTDIASNGLFQKLRSLSLVQSMVQFVLIKDSRVSFTMWNGVDAMTFDSKMKEEFRVERAIMPNLKELEFLVPLLSLMHENGNCDNLGLEQLTSLNKVTVHLRCESNLPAHEKEIEAEVALRHAADVHPNRPTLSVLYSPPLSIPTAPP >KQL04259 pep chromosome:Setaria_italica_v2.0:V:7712726:7716890:1 gene:SETIT_000390mg transcript:KQL04259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVTGAMGCLAPKLLQLLQDEYNLQKGVRKKVEFLSRELESIHAALRKVAEVPWDQLDEQVKVWARQVREASYDMEDVLDTFHVRVEGDAPADPSRLKRAMKKMRSLFSKGKARHDIASAIEDIKKQLQEVADRRARYKVDEIMAKPVAKTSTIDPRLATMYSEVTKLIGIDKSRGQLISMLSPLWNDNEFNKKMKVVSVVGVGGLGKTTLAKAVYDELKPQFDCWAFVPVGRNPDLKKVFRDILIDLDKETYMASKFTLLDERQLINELHHYLGTKRKQGTELFEVGEGYFNDLINRSMIQAVKSEVDGIVYGCRIHDMVLDLLRSLSHEENFVTILYNNVGIVSGSRVRRLALQGPKHTHQVINRMDMTQVRSVITYRSEWVSIESIPSFKHLRVLFLEGTRIDLQHIGNLVQLRYLGLRHVYVRELPKEIGSLKFLETLDLEETGIIELPSSVGLLTQLICLRAWKTRIPNGILKKLKSLEELQIYPAEDEESKGQLVKDLGYLGELRVLRTLVSRMGERVQYNLMQSLRNLNKIQCLTLVGWCRARDEGNNATWEAAVLPRHLRHLILENIHFSWLPSSINPSRLPNLSHLDLFVAAMEEQALESLGRLPRLYYLKLSTWSTVTLTDIASNGLFQKLRSLSLVQSMVQFVLIKDSRVSFTMWNGVDAMTFDSKMKEEFRVERAIMPNLKELEFLVPLLSLMHENGNCDNLGLEQLTSLNKVTVHLRCESNLPAHEKEIEAEVALRHAADVHPNRPTLSVLYSPPLSIPTAPP >KQL04260 pep chromosome:Setaria_italica_v2.0:V:7712726:7716890:1 gene:SETIT_000390mg transcript:KQL04260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVTGAMGCLAPKLLQLLQDEYNLQKGVRKKVEFLSRELESIHAALRKVAEVPWDQLDEQVKVWARQVREASYDMEDVLDTFHVRVEGDAPADPSRLKRAMKKMRSLFSKGKARHDIASAIEDIKKQLQEVADRRARYKVDEIMAKPVAKTSTIDPRLATMYSEVTKLIGIDKSRGQLISMLSPLWNDNEFNKKMKVVSVVGVGGLGKTTLAKAVYDELKPQFDCWAFVPVGRNPDLKKVFRDILIDLDKETYMASKFTLLDERQLINELHHYLGTKRKQGTELFEVGEGYFNDLINRSMIQAVKSEVDGIVYGCRIHDMVLDLLRSLSHEENFVTILYNNVGIVSGSRVRRLALQGPKHTHQVINRMDMTQVRSVITYRSEWVSIESIPSFKHLRVLFLEGTRIDLQHIGNLVQLRYLGLRHVYVRELPKEIGSLKFLETLDLEETGIIELPSSVGLLTQLICLRAWKTRIPNGILKKLKSLEELQIYPAEDEESKGQLVKDLGYLGELRVLRTLVSRMGERVQYNLMQSLRNLNKIQCLTLVGWCRARDEGNNATWEAAVLPRHLRHLILENIHFSWLPSSINPSRLPNLSHLDLFVAAMEEQALESLGRLPRLYYLKLSTWSTVTLTDIASNGLFQKLRSLSLVQSMVQFVLIKDSRVSFTMWNGVDAMTFDSKMKEEFRVERAIMPNLKELEFLVPLLSLMHENGNCDNLGLEQLTSLNKVTVHLRCESNLPAHEKEIEAEVALRHAADVHPNRPTLSVLYSPPLSIPTAPP >KQL07505 pep chromosome:Setaria_italica_v2.0:V:40107486:40107977:-1 gene:SETIT_005219mg transcript:KQL07505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLLCDGDILRSCLCGINHSGSRPTSCTVRTRQAPVRQR >KQL07527 pep chromosome:Setaria_italica_v2.0:V:40323411:40328283:-1 gene:SETIT_000684mg transcript:KQL07527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSGAVVGAAPAAAPSAASRVEKATSHLLMGPDWAVNLEICDILNADVWQTKDVVKAVKKRLQNKDPKVQFFALTLLETMMKNCGEYVRFEVAEQHVLQEMVKIIQKKNDMQVRDKILLLLDSWQEAFGGPGSKYPQYHWAYLEVKTTGVVFPKRPIDAPPIFTPPATYNSSSPRYAAGSLSDRMSSDVETLSLGDLNSIRNVTDLLNDMVHALNPSDRTAVNDEIITDLVTQCRSNQQKLLQLVSSTGNEQLLKQGLEINDLLQSVLSKYDAVVSGAPLAVEAPVRETIEAPREAPAVKPSAPPEHNDTADEEEDEFAQLAQRKNKSVVSSDDALSSTGDLALVPIDPVGSESPSSIASNALVPLDPVPSSSSESKELDMINLLSLTLCSPSPETSTDSPTQSQNAPQQPTITHNQNGPQQPTVTDGQQYPSGVPQYPLNYQPHTINQGYARQNSNYVAPWAQTGAYPPQPPAYASGYGYPAPPWAAPAPPAVDSNPFLLANYQDPRPATAPVAQAATYAPPPASYPPSSMPYAPFATPQSIQHNSSVGSPPSNGLTTTQAHMNVNYQQPKDSSAASSRPYYIPDNLFSDLIDVKNLSGGNKIGGPTAMGSSNGGQPMIGGKK >KQL06146 pep chromosome:Setaria_italica_v2.0:V:30957590:30961746:-1 gene:SETIT_001642mg transcript:KQL06146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEKIKVANPIVEMDGDEMTRVFWQSIKDKLIFPFVDLDIKYYDLGILHRDATDDKVTVEAAEATLKYNVAIKCATITPDETRVKEFNLKHMWKSPNGTIRNIINGTVFREPIICKNVPRLVPGWTKPICIGRHAFGDQYRATDAVLKGPGKLKLVFEGKEEQIDLEVFNFTGAGGVALSMYNTDESIRAFAAASMTTAYEKKWPLYLSTKNTILKKYDGRFKDIFQEVYEADWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHFRVHQKGGETSTNSIASIFAWTRGLAHRAKLDDNARLLDFALKLEAACVGTVESGKMTKDLALLVHGSANVTRSHYLNTEEFIEAVAAELRSRLAAN >KQL04333 pep chromosome:Setaria_italica_v2.0:V:8265300:8265887:-1 gene:SETIT_003500mg transcript:KQL04333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRMEALVCNSATVVHSFLRSHIYFASHICGCSILGLLSHSHDIGLFVSICACYAEIGTKSPTTRTPDTKTPKVRSRKLKTSQVWKHFTERS >KQL06379 pep chromosome:Setaria_italica_v2.0:V:32928511:32930389:-1 gene:SETIT_003243mg transcript:KQL06379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADWLSARRAWEKWTTKHVGPSGKQVQAALLLNYDPSGPSRLLPVIAEQEGTQLTAIDMRPFLDFVKRGNLQTEFFSIRPNQYLVTSIHDNWYCARCVNSTKSVGEGAIVMQIGAYLLVCMYDGSLASASQAMVAADQFAMQFNRRTH >KQL03272 pep chromosome:Setaria_italica_v2.0:V:662914:663765:-1 gene:SETIT_005462mg transcript:KQL03272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMLEGLIPELSPKRVADAARPHMTLDKYTMEGIDFVEDGKMIPEERLACDLDAIMSLLKD >KQL03271 pep chromosome:Setaria_italica_v2.0:V:662910:663493:-1 gene:SETIT_005462mg transcript:KQL03271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDKYTMEGIDFVEDGKMIPEERLACDLDAIMSLLKD >KQL08048 pep chromosome:Setaria_italica_v2.0:V:43313008:43318464:1 gene:SETIT_000532mg transcript:KQL08048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDRTCVRRNCTRGSLLTALLTSYLTPRQPQALNSLACLAGSAKRPHAPKNITIQWSMDHGPDKSTTPSCSWLLPCLLSQPPVLLLLPPLVHLPLAHYPSSPLCSDNTLHDRWAIETPLSLHLGIARVVRLCNPLCFLSLSLTTASHLCARRDHSRINSRSAHLTKPRPRRRLRPPDAGAMAPAVGIKRSTTQAVTLPPPDARLAVRDVLRSTIPSQPAEAPPAAERPAAPAAAVEGFLCLEEVDGRRWSYVVDRGAVKGRGRAGAASPAGASVRAVPLQSPLPPAEEIMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKSTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELTTAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPVAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPTKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARRLKQKQKQKQANPDRSDYGNLYSRNMDFLAHIAESCKIVSSSYGTFKKKAREQGWIMSESLLNPGKARLCGAKPL >KQL08047 pep chromosome:Setaria_italica_v2.0:V:43313008:43317738:1 gene:SETIT_000532mg transcript:KQL08047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQDRTCVRRNCTRGSLLTALLTSYLTPRQPQALNSLACLAGSAKRPHAPKNITIQWSMDHGPDKSTTPSCSWLLPCLLSQPPVLLLLPPLVHLPLAHYPSSPLCSDNTLHDRWAIETPLSLHLGIARVVRLCNPLCFLSLSLTTASHLCARRDHSRINSRSAHLTKPRPRRRLRPPDAGAMAPAVGIKRSTTQAVTLPPPDARLAVRDVLRSTIPSQPAEAPPAAERPAAPAAAVEGFLCLEEVDGRRWSYVVDRGAVKGRGRAGAASPAGASVRAVPLQSPLPPAEEIMAFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTRTLLSSVGVSQSKSTPGAIAINWILKDGAGRVGKMLFARQGKKFDYDLKQLRFSSDLLLEIGAGIELTTAAFPQFFLPMACVANVVKNVAAVTSTSTRTPIYKAYARGENIGDVTAKGESVGNIADLLGTGLSIFISKRNPSLVTSFAFLSCGYLLSSYHEVRSVVLNTLNRARFTVAVDSFIKTGHIPSLKEGNSQETIFNPPWRHEPVAIGSRFGEAFQEPASFVAIRPLFEDERYMVTYNPTKDKVYALLKDQAKSDDIIKAAFHAHVLLHFINASHARRLKQKQKQKQANPDRSDYGNLYSRNMDFLAHIAESCKIVSSSYGTFKKKAREQVKTPCLYDKCTSFHYT >KQL03978 pep chromosome:Setaria_italica_v2.0:V:5687090:5690882:-1 gene:SETIT_000302mg transcript:KQL03978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALAAAGSGDDDQDPDPAPPSPDEPASPGSDDDAPAPPGPVDPSKCSAAGPGIAGGSAGTPATFTVVAKDRHSRRLTAGGARVRVRVSPAAGVGGDDLDGAVKDNGDGSYTVTYAVPKRGNYMVHVELDGSPVMGSPFPVFFSGSTATPTSAFPSTLPAVSSAYPNMVNQTMPNMPNYTGAPSSAFPSLLGAMPSSSTGSSGGVVLPGVGASLGEICRDYVNGRCTKSDTECKFTHPPQQLLMTLLAATSSVSAFGNTPMAPSAAAMAAAQAIMAAQALQAHAAQAKASGDASGSMDKAAEADALKKIVQISNLSPILSVDYIKQLFGVCGKVVDCTITDSKHFAYVEYSKPEEATAALQLNNTNVGGRPLNVEMAKSLPPKANNNLPMMMQQAVQLQQMQFQQALLMQQSIATQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGDNVAEKDAKGKSRSPSPTTRRSKSRSRSPIKYRRSRRSRSYSPPIRRSRERRSRSPSRSRHSKYGSDRSHRDDRDRHTRSGRRESDRSRDHHSSSSRRNRSRSKSPRHKKPSRDDSRSPKQQREESISPSKSRSTRAGSRSPRHHKGSKSSPTRDRHSRRSRHSRSRSPERKHRHSDKKDSRRSEIQDDKRRSHRGNRGDKHERSVKDEVERSHRGNRGDKDERSVKDEVEKSHRGNRGDKDGSSVKDEVERSHRGNRGDKDERSVKGEAERSRRVDKDERSVQDPVEDRKVDTSIVAHKRSSTESDLNNSSNHKKSRHDGGLEEDEKNDVCAAVADINGKHEAGVDGSLGATEESAI >KQL03977 pep chromosome:Setaria_italica_v2.0:V:5685226:5690882:-1 gene:SETIT_000302mg transcript:KQL03977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKPIWVRQAEEAKLKSEAETAAAAKAAFDATFKALAAAGSGDDDQDPDPAPPSPDEPASPGSDDDAPAPPGPVDPSKCSAAGPGIAGGSAGTPATFTVVAKDRHSRRLTAGGARVRVRVSPAAGVGGDDLDGAVKDNGDGSYTVTYAVPKRGNYMVHVELDGSPVMGSPFPVFFSGSTATPTSAFPSTLPAVSSAYPNMVNQTMPNMPNYTGAPSSAFPSLLGAMPSSSTGSSGGVVLPGVGASLGEICRDYVNGRCTKSDTECKFTHPPQQLLMTLLAATSSVSAFGNTPMAPSAAAMAAAQAIMAAQALQAHAAQAKASGDASGSMDKAAEADALKKIVQISNLSPILSVDYIKQLFGVCGKVVDCTITDSKHFAYVEYSKPEEATAALQLNNTNVGGRPLNVEMAKSLPPKANNNLPMMMQQAVQLQQMQFQQALLMQQSIATQQAAARAATMKSATEAAAARAAEISRKLKAEGFGGDNVAEKDAKGKSRSPSPTTRRSKSRSRSPIKYRRSRRSRSYSPPIRRSRERRSRSPSRSRHSKYGSDRSHRDDRDRHTRSGRRESDRSRDHHSSSSRRNRSRSKSPRHKKPSRDDSRSPKQQREESISPSKSRSTRAGSRSPRHHKGSKSSPTRDRHSRRSRHSRSRSPERKHRHSDKKDSRRSEIQDDKRRSHRGNRGDKHERSVKDEVERSHRGNRGDKDERSVKDEVEKSHRGNRGDKDGSSVKDEVERSHRGNRGDKDERSVKGEAERSRRVDKDERSVQDPVEDRKVDTSIVAHKRSSTESDLNNSSNHKKSRHDGGLEEDEKNDVCAAVADINGKHEAGVDGSLGATEESAI >KQL05601 pep chromosome:Setaria_italica_v2.0:V:26276867:26277358:1 gene:SETIT_003637mg transcript:KQL05601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTGKYQVLKLEVRFRTFQKLLYSGTLHSESKNLDYWQTHPANELVQFTDYESKYSSNHSLFNWKLC >KQL06792 pep chromosome:Setaria_italica_v2.0:V:35632908:35642567:1 gene:SETIT_000040mg transcript:KQL06792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLSRSLHGMASPDATPYFSGASSRRRSGADEVDDEEALQWAAMERLPSFERLRTGLVRAADDDSRRRFPHEEVDVRAMGLAQRQAFVDRVFRVADEDNERFLRKLRARIDRAGIQIPTVEVRFRGLSVEAECHVGTRALPTLANAALDAADTLLGLAGVNLGKRRPLSILKDVSGVVRPSRMTLLLGPPASGKTTLLLALAGKLDPGLKVSGEMTYNGYGLDEFVPQKTAAYISQNDVHDGEMTVKEVLDFSARCQGVGQRYELLKELAKKERQQGIYPDPEVDLFMKATSVHGATLQTDYILRILGLDMCADVIVGNELMRGISGGQKKRLTTGEMLVGPTKVLFMDEISTGLDSSTTFQIVKCIQQIVHLGEATVLVSLLQPAPEVFELFDDVMLLSEGQIVYQGPREYVLEFFERCGFRCPERKGVADFLQEVTSKKDQEQYWIQNEKPYHYVSVPDFVAKFKKFHMGKSLKKQLSVPFHKRKIHKSALVFSEQSVPTLELLKTSWSKEWLLMKRNSFVYVFKIVQGILIALVASTVFLRTQMHTRNEEDGQLYIGALVYVMIVNMFNGFAESSLILARLAVLYKHRDFLFHRPWTFTLPNVLLRVPASLFESIVWAAITYYTIGFAPEASRFFKHLIAVFFIQQMSAALFRFVSGMCRTVVITNTVGSLAVLFMFVLGGFILPKDVISKWLIWGYYCSPLTYGYIALAANEMHSPRWMDKFTPDGRRLGVAVLENAGIFTNKEWYWIATGALLGFTVLFNVLFTLSLMYLNPVGKPQAILPEETDTTLSLESTQEGKKMSDITQRTKVPTPEPLSPNSMITLDKVLERLRGQSSNTSDRSHINASVRTAPGRGMILPFEPLSMSFSDINYYVDMPAEMKSQGVAADKLQLLSGISGAFRPGVLTALMGVSGSGKTTLMDVLSGRKTGGYIEGEIYISGYPKNQATFARISGYCEQNDIHSPQITVRESLLFSAFMRLPKEVTNQEKKIFVDEVMELVELNGLKDAIVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQIIFSGPLGRNSHKVVEYFEAIHGVPKIKEGCNPATWMLDVSSTATEVQLKIDFAEHYKSSVVYQRTKALVKELSKPPPGSSDLYFPTQYSRNAFDQFKFCLWKQWLTYWRSPDYNLVRMVFALFTALLLGIIFWRVGRKMKSSTDLLIIIGSMYFAVAFVGFENCITAQSVIAVERTVFYRERAAGMYSAIPFALSQVVVEIPYVFVETVIYTLIVYSMMSFQWTPAKFFWFFYISFLTFLYFTYYGMMSVAITPNPQVASIFAAAFYSLFNLFSGFIIPRSKIPKWWIWYYWICPVAWTVYGLIVSQYGDVEDFIKVPGQPDKQVKAFIKDFFGYDPDFIGVVAAVLAGFTLLFAFTYVYCIKRFNFQQR >KQL08025 pep chromosome:Setaria_italica_v2.0:V:43208630:43221382:-1 gene:SETIT_004571mg transcript:KQL08025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WHKCLNCRGSSDYQCLCCPVYSVCNACIGKVEFVQLKKQNKGFCSMCLNQAILIEKNADADPDVIGKILFKDYWEVIKDRERLSLVDLEEASALLNIRLNCKGGVNSEKSPDDYHKSDGNILPDNDTNDQTIPSDSKRKQNKVNTSLKNKSNKKTYVGWASEELIEFLSSFGKDTAKPLDEPEIVGVVKGYIKQKNLYQDDKKLCFFCDDKLQPLFTRRKVRCKMIRRFLAVHLTSNAVSDDEISDGSEDDDTPVMKKKPRNSLEPKIAKRVSERSKRCFASLVQNNINLIYLRRTLVVSLLSQPDTFEQKVVGCFVRFKIPFSMDCYKNSPNAFMLGRVAGIKKSSKEYKINDTYTNVLLCVTGLWNDVNISELSDEDFVEDECNDLISLVKKGLLERATIAEFEEKVATVHRDIVNHWIERELVILERYIDRAHMKGWHELLQRKKLLSTPAERQRRLEEIPEIVPDTEYEEKETKLEVATSNSSQENRGLLMCLSPGATEQIPDSLNLLNKESSEAPEACFSGAILDLHCILKCTILKVCNSSPWLM >KQL08408 pep chromosome:Setaria_italica_v2.0:V:45273375:45277003:-1 gene:SETIT_001638mg transcript:KQL08408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGVSASAGAGAGAGGEGPLVYEAWKGSNVFFLQGRFIFGPDARSLFVTMFLIVAPVSIFCAFVARELMDNFSYGMGLSVMIAAVLFTAYVNLSLLLLTSGRDPGIIPRNAHPPEPEGFDGNAEVGANQTPPLRLPRVKDVVVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFGFCWVFIVKIRNAEQITIWKAMAKTPASIALIIYTFIAVWFVGGLSVFHLYLMSTNQTTYENFRYRYDQRANPYNRGVVENIKEIFFTPIPPSKNNFRGRVLQEHGLRPRATNGFMSPNMGRAVGDIEMGRKPVAWDEPRMAAEIGDLGAGLSNLLEDKDGRFRSASPDLSRDALAVGGGLEEQGSSAMNPGRTSWGVEAGR >KQL04002 pep chromosome:Setaria_italica_v2.0:V:5810682:5815391:1 gene:SETIT_000085mg transcript:KQL04002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEDDDQRLLHSLGVTSANIEDIEKKILSQAQADLKNDTEQGTTANDNEESDAGVPEADTQAKLHQKLRSVQLEIDAVASTIKRAKHAAGKQVDSSDSGDAKDKKKQKQKHADHTAQDDPHGGALQQALATERLKSLKKAKAQIQKEISQSDPCLSGSDKRKDKMLAMLVEEEPKRKKKTLLPSRGPKKMSAPRLKTMSYNDDDDFDAVLDGASAGFMETEREELIRKGLLTPFHKLKGFEKRVELPGPSHMQNDPTDQVEETMEASRIAKFAQSMQQIAQSRPTTKLLDPESLPKLDAPTTPFQRLGRPLKRPVSPSSEEQEKKRRRNKTKRPLPDKKWRKANSNKESLLETDDEDDGDIAASVSEDEDQAAEGFDGLPPVILEGGLRIPGTVYDQLFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTVQVLTFLGSLHNSGMYKPSIVICPVTLLQQWKREASRWYPKFKVKILHDSANGSNKKSKAYSDSDSEASWDGDQEEIRRAKPAKKWDDLISSVINSGSGLLLTTYEQLRILGEKLLDIEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFETEFSVPITVGGYANATPLQVSTAYRCAVVLRDIIMPYLLRRMKADVNAQLPKKTEHVLFCSLTPEQRATYRAFLASSEVEQIFDGNRNSLYGIDVLRKICNHPDLLEREHAAQNPDYGNPERSGKMKVVEQVLRVWKDQGHRVLLFTQTQQMLDILENFLTACDYQYRRMDGLTPAKQRMALIDEFNNTDEIFVFILTTKVGGLGTNLTGANRIIIYDPDWNPSTDMQARERAWRIGQTRDVTVYRLITRGTIEEKVYHRQIYKHFLTNKVLKNPQQRRFFKARDMKDLFTLQDDEGNGSTETSNIFSQLSEDVNIGVPSEGQQDQVHIALTMPSTSEAEPPSGVKGKVDENSDQADEESNILKSLFDAQGIHSAINHDAIMNANDDQKVRLEAEASQVAQRAAEALRQSRMLRSRDSFAVPTWTGRSGAAGAPSSVRRKFGSTVNSQLISSSQPPETSSSRSQSLPVGALNGKAMSSAELLAKIRGTREGAASDALEHQLNGGSGSNHVLGPSGNSGRSSNSSNPSMIVQPEVLIRQLCTFIQQNGGSASSTSITEHFKSRIQSKDMLLFKNLLKEIATLQRGANGAMWVLKPDYE >KQL04072 pep chromosome:Setaria_italica_v2.0:V:6306071:6308107:1 gene:SETIT_000834mg transcript:KQL04072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLSSGVLLKLLDGMKTGAAKPVGEHRTAVLQVTDIVPAELDEKDLFPKHGKFYVKVSDASHSIYATLPLAQADLVLSNKLHLGQFVHVDRLDPASPVPVIVGAKPLPGRHPLVVGTPDPAARAKSAAPRRGSWGPEQNASIKPTTLNFDAEKTPVKERPAMSTPVRERVGAATPVRERGVAATPVRERGVAASPALSSASVRKSSSVLPRLLTRSKSFVADRDQHPRIPKSPFPTEKSSVSCTASRATRRVAKEEEPSSPPSDDELGSSATSSKKRPSTVARVPVPGKLSLLGKDAIEQREHAQKAALEALRNASATDNVVRIYKIFSELSKTARPDTPGSCFDSFLSFHQEAVQAVTDIEAIQAATSMAAAVASDEQPEDAPPVLQEIAQNRSVVRRRGIGGGGSGVSKSVSFAPGTLDPRQDDGGGKTVRSSSASRKCLAMDKIGEDGGDEKRSSSSAPPSAIATATAHSALGSSLKLAKQILAEAGSWFMEFLEAALETGLKKSKASATGDGRKQSSCSCPQALMLRVINWVEMEQSGGDSSGRKPAHPRAAAIARKLRIKAKNP >KQL05560 pep chromosome:Setaria_italica_v2.0:V:25754659:25757965:1 gene:SETIT_004624mg transcript:KQL05560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEKGRVFKDLLALKRWLQAFAVIRKRPYKVLHSYAEHRYTVVCDKESCPWTVCARKQKLRLKHRQLTSTLIAKRLLGILQLEPNMKVRTIIRTVEELYGGYVISSNKAWRAKQRAWKMIYGDWEAGYEQLLVLFNAIKAVNPGMHYEYIPKPNEWKDGRQIFFRTFWCFPQCVEAFRHCRPIFSIDGTFLIGKYRGTLLIAISYDANNNLVPLAFALVERENNDNWGWFLRLVRLHVVGPGREVGIISDRHQGILNAVREQIEGYAPLHHRWCTRHLAENLLRKDGVKDNFELFQDAARQLEDRAHDAGGWRYEFQCSNMAKSFNKLLLGIHAMLVNAIIQFTFYKPVAWFNDRHAYALKLQSVGEKWPPKPKEHLEKAKERTGTHEVTCFDLATGTYQVEHRDGTTSDGEVRDSRMHVYHFLCSHLVATARHRNFDIESMIPHEFSVDTLVHIWSPCFVPFWDSREWPPYDGPKWRPETHTFHLPFREMIVTLEDCQKMLGLTIRGDAVTGPCSVLISWPQQQFAHCPKDANEQTVGNYCRAWILHLFACILFPDATGDTTSWMLEWAYRDYTNELDTLTVSSVSWESYVGEGALPFPLSSMCGSDEGLYRMRCPLILFLCRRVPPA >KQL03708 pep chromosome:Setaria_italica_v2.0:V:4174230:4177659:-1 gene:SETIT_002769mg transcript:KQL03708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASASPPVEPAPPPPPAPPPASPAPAGAKAEGEVAGGSTSKPSAVGTVNWGTATLVGVFAGLLYGGSKEASASVSKDAEVMLKMGSTPDKREQYRLMRDAMEKRFIRVAKGSLVGGARLGMFTATFFGIQNLLIDTRGVHDVFNIAGAGSATAAAFGLICSVMWRARNVLVGSALGAGICFPLGWIQLKLAEKANLEIANSKPSSDLAEGKGNQSRVGAAIERLEGSLKK >KQL03709 pep chromosome:Setaria_italica_v2.0:V:4174511:4177515:-1 gene:SETIT_002769mg transcript:KQL03709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASASPPVEPAPPPPPAPPPASPAPAGAKAEGEVAGGSTSKPSAVGTVNWGTATLVGVFAGLLYGGSKEASASVSKDAEVMLKMGSTPDKREQYRLMRDAMEKRFIRVAKGSLVGGARLGMFTATFFGIQNLLIDTRGVHDVFNIAGAGSATAAAFGLILPGSVMWRARNVLVGSALGAGICFPLGWIQLKLAEKANLEIANSKPSSDLAEGKGNQSRVGAAIERLEGSLKK >KQL06180 pep chromosome:Setaria_italica_v2.0:V:31403883:31407854:1 gene:SETIT_003188mg transcript:KQL06180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLNASRIKVLQAQDDLVNKMKEDAMKELLRVSDNHHEYKNLLKDLIVQGLLRLKEPAVLLRCRKEDHHHVESVLHSAKHEYASKADVHQPEIHVDHDVYLPPAPSHHDAHGQFCYGGVVLASRDGKIVYESTLDARLEVVFRKKLPEIRKLLFGQNAA >KQL04949 pep chromosome:Setaria_italica_v2.0:V:13318335:13319354:1 gene:SETIT_003968mg transcript:KQL04949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDCNMERGAKLGSKHSVWLVVQDLSAWRSCWPCCGCH >KQL06796 pep chromosome:Setaria_italica_v2.0:V:35646742:35649251:1 gene:SETIT_002909mg transcript:KQL06796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGNGRSVSAVGIQMPPEGSKTVLEPVSLPVTTSPVPRWPRLGVIMVATRVAALVMALLSVSLMVSSKQRGILTIFGIEIPLHANWSFSYSLQFLVGMSAASAAYSLAHLLLIAHKAVKKVPMVPSRRQTWLLFAGDQVFSLAMMSAGSAAAAVSNLNRTGIRHTALPNFCKPLPRFCDLSAASIACAFLSCVFLATSAVIDVIWLSSP >KQL07909 pep chromosome:Setaria_italica_v2.0:V:42444593:42449189:-1 gene:SETIT_000195mg transcript:KQL07909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDGGEAASPSPGPRAGGGASPEPRPPRPQLTKSRTISGSAAASILAAERVGGGGGGGGRGGGVKDSILVRRSSTAPLPPPPASAAGPSPAPRRLTVAVDDPSYAAPNGGVLDRDWCYPSFLGPHASRPRPTRQQQQTPTSADRPSANPTVPPRVAVSQREEERSLASVVKRPALLEERRPLPPPLPPPRAPRFDLSSYLPLLLAITFTSCVLAIWQWVKVMGLQEKIRSCSDGNAGDREGTEKVSWIGRDHGSAFINSGNWNLAPSSSIFALAVPLFLFKYIDQVRRRQTNSMRVRGSEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEINHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKVASKAGTILMNPDDEYVLREGDEVLVIAEDDDTYAPAPLPEVNKGFLPNIQTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREIKLTDGGLDICGLTNIKLVHKEGNAVIRRHLENLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPFKELKSPHCYTGYTGFCHSAWIREMQNASDKSIIISEILDSRTRNLVSVSKISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIRPAEFYLYEQEELSFFDIMVRAREREEIVIGYRLANTEQAIINPERKSEIRKWSVDDVFVVISKGD >KQL07908 pep chromosome:Setaria_italica_v2.0:V:42444402:42449189:-1 gene:SETIT_000195mg transcript:KQL07908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESDGGEAASPSPGPRAGGGASPEPRPPRPQLTKSRTISGSAAASILAAERVGGGGGGGGRGGGVKDSILVRRSSTAPLPPPPASAAGPSPAPRRLTVAVDDPSYAAPNGGVLDRDWCYPSFLGPHASRPRPTRQQQQTPTSADRPSANPTVPPRVAVSQREEERSLASVVKRPALLEERRPLPPPLPPPRAPRFDLSSYLPLLLAITFTSCVLAIWQWVKVMGLQEKIRSCSDGNAGDREGTEKVSWIGRDHGSAFINSGNWNLAPSSSIFALAVPLFLFKYIDQVRRRQTNSMRVRGSEEEVPLKKRIAYKVDVFFSGHPYAKLLALLLATIILIASGGIALYVVSGSGFLEALWLSWTFVADSGNHADQVGLGPRIVSVSISSGGMLVFATMLGLVSDAISEKVDSWRKGKSEVIEINHILILGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPELDGMRFGDVLISFPDAVPCGVKVASKAGTILMNPDDEYVLREGDEVLVIAEDDDTYAPAPLPEVNKGFLPNIQTPPKYPEKILFCGWRRDIHDMIMVLEAFLAPGSELWMFNEVPEKEREIKLTDGGLDICGLTNIKLVHKEGNAVIRRHLENLPLETFDSMSQWRTPSYILIHVLWLHFF >KQL04355 pep chromosome:Setaria_italica_v2.0:V:8441839:8442279:1 gene:SETIT_005560mg transcript:KQL04355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCSRDLALSLKSIYHFDLQDVSNLASSCVALAPDLN >KQL06481 pep chromosome:Setaria_italica_v2.0:V:33729816:33732854:1 gene:SETIT_002930mg transcript:KQL06481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTEEMKTFAAYGKLRLERMNKKHLGARQKKAAEAEKEEKK >KQL06482 pep chromosome:Setaria_italica_v2.0:V:33729816:33732854:1 gene:SETIT_002930mg transcript:KQL06482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTEEMKTFAAYGKLRLERMNKKHLGARQKKAAEAEKEEKK >KQL06480 pep chromosome:Setaria_italica_v2.0:V:33729816:33732854:1 gene:SETIT_002930mg transcript:KQL06480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTEEMKTFAAYGKLRLERMNKKHLGARQKKAAEAEKEEKK >KQL08246 pep chromosome:Setaria_italica_v2.0:V:44387794:44388246:1 gene:SETIT_003584mg transcript:KQL08246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRDRAEPWGGAPGQREGGRWRAWSRPRGRPVRAAAQVSRQLASGSGGQETPVPDRAAAEEGKERGKERQRGERVSFYS >KQL04453 pep chromosome:Setaria_italica_v2.0:V:9119160:9119936:1 gene:SETIT_005108mg transcript:KQL04453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAALRMKLLIDKKAQRVLFAEASKDVVDFLFSLLAMPIATAVKLLGKESMVGCVGNLYASVDKLESIYVQNGASKDALLCPTVLSSSNSLLCLPEKSLYTNTGHANCGLYITARRGSACLTCYSGMTAAAQVLPAAAHGFVLGIVTFMVKDDLSVTSMSAVSTLLNTFAVRDVGDLQEKTVQLGYNEGLAILKASLQSKTVLTDVFLGDKKAPVLAA >KQL05667 pep chromosome:Setaria_italica_v2.0:V:26909490:26910676:1 gene:SETIT_002499mg transcript:KQL05667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPDELRLDRGLFPWGPDWMAGLFSKQAAVYAAARPAYPNDLFTKLAALTAHHCLAWDVGTGNGQAAIGVAEHYDSVLATDVSEDQLLHAAPHPKVWYLHTPDATPGEDLVATLGGEGSVDLITVAEAAHWFDLPAFYDVAHRVLRRPGGVIAVWGYNYRISPVEDMMTRFFNTTLPYWDPRARCCTDGYRDLPFPFVDIGLGREGEPASLDMEQEMSFEGLIGMLSSWSAVTTAKQQGVDLLGERVVKQLEEEWGGASLVRKVTFKAFLLAGTPRADD >KQL04937 pep chromosome:Setaria_italica_v2.0:V:13189045:13191794:-1 gene:SETIT_001098mg transcript:KQL04937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAESLSSFLDIRAAAPFLVAAVAFYFLVEQLSYHRKKGPLPGPPLVVPFLGSVAHMIRDPTGYWDGQAARAKKSGAGLAADFLVGRFIVFIRDSELSHRVFANVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKDLRRRIAPNFTPRALSTYAALQQRVILAHLRRWLDRSAAEGGRAFPIRVPCRDMNLETSQTVFAGPYLSEEARRRFASDYNLFNVGLMAMPVDLPGFAFRRARQGVARLVRTLGECARESKARMRAGVEPECLVDFWMQDTLREMEEAASAGRPPPAHTDDEEIGGFMFDFLFAAQDASTSSLCWAVSALDSHPDVLARVRTEVAAAWSPESGEPITAEKIQEMRYTQAVAREVVRHRPPATLVPHIAGEPFQLTEWYTVPKGAIVFPSVYESSFQGFPEPDAFDPERFFSESRREDVAFKRNFLAFGAGPHQCVGQRYALNHLVLFMALFVSVVDFRRERTPGCDDPVYMPTIVPKDGCAVYLKQRCAKFPSF >KQL06873 pep chromosome:Setaria_italica_v2.0:V:36205236:36207399:1 gene:SETIT_002622mg transcript:KQL06873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHKEEPVLESVMDKISEKFHGGDSSSSSDSDDEKKKGSSSASAAEAMKAKIYRLFGRERDVHSVLGGGKTADLVLWRNKKISGGVLASATAIWLLFEVMEYHLLTLVCHCLILSLAILFLWSNASTFINKSPPNIPEVKISEDVAVNVALSLRYEINRGFATLREIGHGRDLKKFLIVIAGFWLLSVLGSCCNFLTLFYIVFVVLYTVPVLYEKYEDKVDAFGEKAMIELKKYYAIFDEKCLSKIPKGPLKDKKH >KQL06872 pep chromosome:Setaria_italica_v2.0:V:36205236:36207147:1 gene:SETIT_002622mg transcript:KQL06872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHKEEPVLESVMDKISEKFHGGDSSSSSDSDDEKKKGSSSASAAEAMKAKIYRLFGRERDVHSVLGGGKTADLVLWRNKKISGGVLASATAIWLLFEVMEYHLLTLVCHCLILSLAILFLWSNASTFINKSPPNIPEVKISEDVAVNVALSLRYEINRGFATLREIGHGRDLKKFLIVIAGFWLLSVLGSCCNFLTLFYIVFVVLYTVPVLYEKYEDKVDAFGEKAMIELKKYYAIFDEKCLSKIPKGPLKDKKH >KQL05813 pep chromosome:Setaria_italica_v2.0:V:28088476:28090849:1 gene:SETIT_003517mg transcript:KQL05813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATTAAVPFWRAAGMTYIGYSNICAALVRSCLKEPFKSEAASREKVHFSVAKWADGKQEKPTVRTDSDE >KQL05812 pep chromosome:Setaria_italica_v2.0:V:28088476:28089063:1 gene:SETIT_003517mg transcript:KQL05812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATTAAVPFWRAAGMTYIGYSNICAALVRSCLKEPFKSEAASREKVHFSVAKWADGKQEKPSECPTPPPPDPFISRVSDAICLGIMWYGGS >KQL03791 pep chromosome:Setaria_italica_v2.0:V:4622513:4623847:-1 gene:SETIT_004482mg transcript:KQL03791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAACHSPARIAISCSATSSSSAPARPLRVAVVGGGPAGASAAEALASSGARTFLLERSPAGAKPCGGAIPLCMLDEFAIPRDLVDRRVTRMRVLSPSNLAADFSRALPPGAHIPMLRREVLDSFLRRRAADAGAELVPGLVTSLSLPEGPSDPYRVHYISSSGGGGQGRGVLEVDAVVGADGANSRVAREVGAGDYRTAIAFQERIRLPDAGMAYYDDLAEMYVGGDVSPDFYGWVFPKCDHVAVGTGTVAAKPEIKRLQSGIRARAGGKIAGGRVMKVEAHPIPEHPRPRRVVGRVALVGDAAGYVTRCSGEGIYFAAKSGRLCGRAMAEEWARTGAVTEAGLKRGYLRPWDDEYLLMFRFLDLLQQVFYGGNAGREALVEMCADEYVQRRTFESYLYKRMVPGEPWGDLRLLWRTVASMVRCGVIGREVERLRRLELQA >KQL05789 pep chromosome:Setaria_italica_v2.0:V:27887594:27889178:1 gene:SETIT_003039mg transcript:KQL05789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSSQARSSSYYAVLGVHPGASATEIRSAYHRLAMRWHPDKIASGRAADPARAEEAKAMFQQIHEAYQVLSDEKRRALYDAGMYHPLDDDQEDVEGFHDFLQEMISLMATVGREEPVYSLGELQSMLDGMIQDFAAPHQPEPTAFFTGGASQLFAEPSGAEQSSPSARMHPQGIGDSACFSRTAFSR >KQL06035 pep chromosome:Setaria_italica_v2.0:V:30239852:30242242:-1 gene:SETIT_002150mg transcript:KQL06035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLVEAQKPLLHFLVRRAGLRQHTVDVDGAGTVITFWVPKDKVPKEKPTVRDVTPGPASADEATNKLPPPPPPVAKNDRPAVVLVHGFAAEGIVTWQFQVGALAKHYDVYVPDLLYFGGSTSPSSDRSPGFQAECLAAALRKLGVGSCAVVGFSYGGMVSFKMAEAHPDLVRSLVVSGSVVAMTDSISETTLERIGVKSSAELLLPESVKGLKALLSIATHRKLWFPDRLHRDYLEVMFTNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFNIELAKAMKEQLGEKTMLQSIRKAGHLVHLERPCVYNRCLKEFLASVTATETTKQ >KQL07392 pep chromosome:Setaria_italica_v2.0:V:39472528:39473000:-1 gene:SETIT_003537mg transcript:KQL07392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAGLPDAITAALPLNPYEQLEVARKVTAVAAAARASRLELEAAHLHQRLADRDPVATELDGRAGVGPLCNEEHARDGDGEVAGA >KQL07719 pep chromosome:Setaria_italica_v2.0:V:41384051:41386229:1 gene:SETIT_002369mg transcript:KQL07719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYFTLNTGARIPSVGLGTYKAGPGVVGDAIAAAVKAGYRHIDCASLYNNEKEIGVALKKVFADGVVKREDLFVTSKIWCSDLAPEDVSLAIDSTLNDLQLDYLDLYLIHWPFQIKKGSEISRENFIQFDMPKTWQAMEKLYDFGKARAVGVSNFTTKKLADLLALARVPPAVNQVECHPGWQQAKLRSFCHSTGVHFSAYAPLGRMKVVANNPVVTSIAESLGKTPAQVALRWGIQQGQSVLPKSANESRLKENIDLFGWSIPEELCAKFSEIEQVKQIRNDSFVHPQGMYKTIEELWDGEI >KQL08201 pep chromosome:Setaria_italica_v2.0:V:44156241:44158361:1 gene:SETIT_003284mg transcript:KQL08201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMGAVIRRMHAAAAAAHPPRLTKLALHPPKSVEVEFADGSSFHLSAEFLRVYSPAADSKIRSVGGEKVIFGRRHVGIMSAESVGNYGVRILFDDLHKTGIFTWDYLHHLGSNKFSLMRSYIRTLRKHGLSRDPRRRK >KQL08364 pep chromosome:Setaria_italica_v2.0:V:45019439:45021824:-1 gene:SETIT_005067mg transcript:KQL08364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRDDLVHKYWKNERNRIKNSLKKCLQNCKVQAKIRIIDKHEVAPALLELINKYKITTLVMGAKNRYTNTAIALEKQADTSCNILYLHEGSLISSRQIQDQLCLSFFAKAKDFVMLPYKHRISFWPIVLMRQSYNTATSKSSSFFNSRSTANTFDAEQLDDPSLDINPTHIFSDNRFNAIIGLESLGSFKELISRQISAENSRELYKEFHSKYCDIFTRCEFVGGFDSVLGVDCQNLWKTHWKYMRSWPAVLEYIVSIINTLHMQLKQKRPACDGFIHGDLLEAARKPLTCLFTVASSACALEIRKSPEKLFCILNMYTSLVDATPTLRNVFHTESTSRDAEGLLAKLKDSAREIVEEAKILIQTYSSRIAVQDGGGITSLTGYLMRYIRLLVKHRSSLDTILGHGHWDDLLTVKGTNSTGRLVFGLIDDLDTVLEKQSMLLSSKELQCLFLMNNTHFMLQEIKQSDVQLIVGSRWIGKRQYRIKEYMKGYLSAAWGPVTLNLETTKSTSPRKRLRANVLSFLYASPTPLQNFAWSFNETCNTQMCWKVPCPVLREELRVKILEFVTPVYHAHLESLKQSGRGTAADFKLGLKSKINELFEG >KQL03898 pep chromosome:Setaria_italica_v2.0:V:5256448:5257209:-1 gene:SETIT_005057mg transcript:KQL03898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ECCAAGDEFDFTARGAAATAGGLAGGGAAMSSAEELFVAGRIRVGCLSPIRHEEAAEGHGGDCWEEDEEGGGGDEAERNGRSPRARRASRPPRRGARGRPGARSRPIPSLLSSSSSSSSSSSSGKNIRRRISLRDLLSRTAGDCAGAADQAPAGATSADISRPGFWPPSIWPSRSSKKALLPCPAPAPAPPQPGRRSTSSDRAAAPAAKRAPPGGSARRTTSLPYRQGLVLGCLGFGARSYGLAKSMHPLSSR >KQL05898 pep chromosome:Setaria_italica_v2.0:V:28931834:28937955:1 gene:SETIT_000412mg transcript:KQL05898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADPLRAISSPARLLPRTLGPAATAASPSKAREVLLEAISRARLLKGSKELVEQARMVLKGHGDIRMLYHDDGVKVAPHANGTKDQQGRRPGPDRKRSRFTQKAHERKLVPAVDHSNVLKIKDPNEYYKKLDDIEEAEKEIRRLNGEVVDEMVMNFDPVVEPKRRSTLLGRKSVRTFKFADADTQDPTEVPASQTETMTGSQLSQDDAHASFAEKNEQSVPPRSGQCAISDVSEKEDSLAEKDGDDLTYVLASLKNLDGSEEEDFLRKILKMRKIEKENVCLHNSVPEDRPRRSNTARKKSMRVPPENPLPQSCQSRVSELEKHLFPGVAGNDKCADLQEDDESEGSPDIVMGEQSLVHDSSDVLVTDETFAASEIDKETPNLGVKAADHVIDPEPNMPDRAGERQAGGSPLGLYTASEYDRETPNLGVKAAEHVLDPEPIMPDHADERQAEGSPLGLYSNTEVAKEKAACSRSDISMEDNVPIDNPTDMSNNETEVSSPHHLEGSLAEVLARTPVRNVASDGVDQTSHAAEDNIQHLEAVEEDGVIQDKSSHPSEVPLEDIDPVNQPQMHDGNNKKLAADLSNAVSPIKEKKRQAARKGKKKQQSKRSQKVAGTTSVHCTSFLAMHVHFKPFCL >KQL05899 pep chromosome:Setaria_italica_v2.0:V:28931834:28942951:1 gene:SETIT_000412mg transcript:KQL05899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADPLRAISSPARLLPRTLGPAATAASPSKAREVLLEAISRARLLKGSKELVEQARMVLKGHGDIRMLYHDDGVKVAPHANGTKDQQGRRPGPDRKRSRFTQKAHERKLVPAVDHSNVLKIKDPNEYYKKLDDIEEAEKEIRRLNGEVVDEMVMNFDPVVEPKRRSTLLGRKSVRTFKFADADTQDPTEVPASQTETMTGSQLSQDDAHASFAEKNEQSVPPRSGQCAISDVSEKEDSLAEKDGDDLTYVLASLKNLDGSEEEDFLRKILKMRKIEKENVCLHNSVPEDRPRRSNTARKKSMRVPPENPLPQSCQSRVSELEKHLFPGVAGNDKCADLQEDDESEGSPDIVMGEQSLVHDSSDVLVTDETFAASEIDKETPNLGVKAADHVIDPEPNMPDRAGERQAGGSPLGLYTASEYDRETPNLGVKAAEHVLDPEPIMPDHADERQAEGSPLGLYSNTEVAKEKAACSRSDISMEDNVPIDNPTDMSNNETEVSSPHHLEGSLAEVLARTPVRNVASDGVDQTSHAAEDNIQHLEAVEEDGVIQDKSSHPSEVPLEDIDPVNQPQMHDGNNKKLAADLSNAVSPIKEKKRQAARKGKKKQQSKRSQKVADESNHPLEISQANFDSENQSRMDENIEQQRVVTSSALSPNKAKGQKGAQMRNRTKHLNQRKSLGDAGLAWQSGVRRSTRIRSRPLEHWRGERFVYGRIHDTMATVIGIKAYSPGQDGKKTLKVKSFVPEQYSDLVAESAKY >KQL04241 pep chromosome:Setaria_italica_v2.0:V:7519655:7519939:-1 gene:SETIT_004327mg transcript:KQL04241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGKATATTMLVLCVLLVAAAQPLDAAACNPSALSPCGGARFGGAVKQGCCVQLKKQQPCLCRYVRDPAYSNYVDGPAAQSLTKACGLPRIKR >KQL04522 pep chromosome:Setaria_italica_v2.0:V:9549539:9550134:-1 gene:SETIT_004283mg transcript:KQL04522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFLPIAVLISLLAAGATADGYGSSPSPSTPPPHTPPPAPYTPPPAHGDGDKRSWWCCAKRGSPSLDGAAALPGARVTVTCRDRKNRVMAWRSPAADYNGYFLAEFGVERAGDFFGKDPREACFVRLLSSPDAKCNVVTNINGGMGGASLRDEGKRWTDGRGIENVVYAAGPLAFRPEMCAPTRHY >KQL04952 pep chromosome:Setaria_italica_v2.0:V:13355002:13359771:1 gene:SETIT_001167mg transcript:KQL04952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPPSPSSKPYSRKSHASSKAPSVPSFDSHSNGPLLPTVTFSLPSTLATRRELRRRLSAELAQVRAASKRLSSLPAPAPSSALSATDPSTPLPPHPPASKHKSKKGGGAPHPHLSAEARRKLYAPVFKTCAAVLARLMKHKHSWVFNKPVDASALGLHDYHTIITKPMDLGTVKSKLAAGQYKSPREFAGDVRLTFQNAMTYNPKGQDVHFMAEQLLNMFEEKWPEIEAEVAQLSPQPPTPSSAAPRKPKEIDNSRVLERSDSTVHAAGMEATPKPHTGRPPVLKKPKAREPNKRDMTFWEKQRLSNNLQDLPPEKLDNVVQIIKKRNLSLSQHDDEIEVDIDSFDVETLWELDRFVTNYKKSITKNKRKAELSAVRPDEAEAEAEPDQELEKIEHVRQDEADQDQIPAVQEPIPEPEAVDVEPQPPKENAADDNERYVGESSPGHLEDQKGETAGRSSSSGSSSSDSGSSSSDTDTDSSSADGSDAPQSPRT >KQL07718 pep chromosome:Setaria_italica_v2.0:V:41382331:41382771:-1 gene:SETIT_003664mg transcript:KQL07718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPHLITNQIMKQKYVLWQHFQIRNSAQGCVCKEYQGQLSHGVDDLWVHAKLSAGICGCIP >KQL08282 pep chromosome:Setaria_italica_v2.0:V:44558590:44559978:-1 gene:SETIT_004289mg transcript:KQL08282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRKIHMGLIGKAGSLTRTFNGRKEGLKKKARELAILCDVDVALVCAGPRGGAPADVWEFGAGVIDRYRRLPADKRAKHTHLGYLNIELGKEKARLAKERQEGPKELASPSAAVLKGVNLEELLGSIDAALLATAKRRKALGMPDDGIAGGGQLRQAAVVPLEQGVRLAGDADLDDMEAWVDELTWHGVEPHPLNASMTMQPASGGVQYTNGVEPRPLNASMAQPASGAQYINSGSMCMAGNQYLQQVGGNGENAHGQLLPWDAYQLHNTASCPDHGFFQYTGSNCSYSDMSGCPQMPVPSNANAYNGWFDQAMWGADESSCDAAVPVEYHHQPSLHITGNPAYMPPEHSSMGTGGGDCFTGVSPIGLDGSFMDASGHGYGTQCLADNFQCPDATQQFGVEPLHYLSDVAEGISYYDDLELFDHSSSGTVQFGSELSQSGVLDQDSGVQKFWLMKEAAGFY >KQL08220 pep chromosome:Setaria_italica_v2.0:V:44246892:44250943:-1 gene:SETIT_000724mg transcript:KQL08220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPETHPPPPRPVMLADLNFEPAESDGEDRPPTPRPNPAAAAVAVPVASAPAVAADSSTRSGNEEGGLAKNVTATKDSDTVECEDADQHCQGVSAPREEKVSNLKAALVHVARKMPKNAHAHFMLGLMYQRLGQAQKAIASYEKSTEILLQDEEEVRRPDLLSSVRIHHAQCILQASMGDSFDEELETGEVDEILVKLKSSVESDHRQAAVWNILGLVLLRGGQIQSAISVLSSLAAVAPDYLDSLANLGVAYVQSGDLELSAKCFQELLLKDQNHPAALVNYAALLLCKYGSLSAGGNVSAGPYLHQKEALAVAKDCLLAAVKADPKAASVWVNLANAYYMAGEHRNSKKCLEQAAKLEPNHMPARYAIAVHRIRDAVRSQCTDDQLLWAANEMATVLKEGDPSAVDAPIAWAGLAMAHRAQHEIAAAYDTEHNNLNDAEERALYTLKQAIQEDPDDAVQWHQLGLYNICMTRFSRSVNFLKAALARSPDCSYAWSNLGIALQLSDDPSSETVYKRALVLSSTQQLHAIFSNLGILYRQHRNYEFARKMLSRSLELCPGYAPANNNLGLVFVAEGRWEDARSCFEKALQSDPLLDAAKSNLLKVLALSKKQ >KQL08144 pep chromosome:Setaria_italica_v2.0:V:43789230:43793415:1 gene:SETIT_000287mg transcript:KQL08144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDFLNGQVDSTHMFNLEGLIPKLCEIGQELREDDKGLRLRSAALQALASMVQYMGDHSHISMELDEVVSVIISCYEVNQTLSIKEVVRLQDDDDLVINGNLAVVPVSGQNSAKVASDTIRSVSENPAHWARVCLRNMANIAKEATTVRRVLDPLFRLFDNHDYWSPENGIALSVLQEMQKLMDKSGQHGHLLLSFTIKHIDHKVIAKKPAKQINIVKVASNLARHAKLKASVTIATAISDLIKHLRKCMHFAIEASNAHADDDKWNSALHVALEDCLVQLTEKVGDVSPILDMVGVMLENLSHTATIARSTISSVYRTSQIAASVYKSSYHQKAFPEALFHQLLLAMLHPDNKTRIGSHRVLSTIVAPSLLCPWSAMSFPIPAKGDDLQNLRLLALSAFSSEAIINEMRTKNKIQESLQKNDKSEAIVGPENGYALTEPNTRQYLGSPCLNEHHLTAFNDENLKFMKLNNHQIDLLLSSIWSQASLEDNSPANFEAMGHTYNIALFCSKSKSSSHVALVRCFQLAFSIRKKSLSQENDLQPSRRRCLHTMASAMLIFSAKIADLHQIIPLVKAAAPEKMVDPHLCVMDDCQLINTSAESANSEMVYGSEEDESNAHAFLSAINKDDVELIEIVMSHFKKKFENLPEKFNGIEEQLLQEFSLDDSFPLGAPLFMETPHSCSMYAEKDDHCFDEVLSDPSQIS >KQL08142 pep chromosome:Setaria_italica_v2.0:V:43787294:43794576:1 gene:SETIT_000287mg transcript:KQL08142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDFLNGQVDSTHMFNLEGLIPKLCEIGQELREDDKGLRLRSAALQALASMVQYMGDHSHISMELDEVVSVIISCYEVNQTLSIKEVVRLQDDDDLVINGNLAVVPVSGQNSAKVASDTMSVSENPAHWARVCLRNMANIAKEATTVRRVLDPLFRLFDNHDYWSPENGIALSVLQEMQKLMDKSGQHGHLLLSFTIKHIDHKVIAKKPAKQINIVKVASNLARHAKLKASVTIATAISDLIKHLRKCMHFAIEASNAHADDDKWNSALHVALEDCLVQLTEKVGDVSPILDMVGVMLENLSHTATIARSTISSVYRTSQIAASVYKSSYHQKAFPEALFHQLLLAMLHPDNKTRIGSHRVLSTIVAPSLLCPWSAMSFPIPAKGDDLQNLRLLALSAFSSEAIINEMRTKNKIQESLQKNDKSEAIVGPENGYALTEPNTRQYLGSPCLNEHHLTAFNDENLKFMKLNNHQIDLLLSSIWSQASLEDNSPANFEAMGHTYNIALFCSKSKSSSHVALVRCFQLAFSIRKKSLSQENDLQPSRRRCLHTMASAMLIFSAKIADLHQIIPLVKAAAPEKMVDPHLCVMDDCQLINTSAESANSEMVYGSEEDESNAHAFLSAINKDDVELIEIVMSHFKKKFENLPEKFNGIEEQLLQEFSLDDSFPLGAPLFMETPHSCSMYAEKDDHCFDEDGVPSELDDADDIIFEHSGSQSDRKTSGSMASSDVLTVNQLIESVHETARQVANVPVSANPVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTDSHGSTGVNGLETNESSLRSEHELQSTRKGRMRRSDSASSESDCSFRLPPASPYDKFLKAAGR >KQL08145 pep chromosome:Setaria_italica_v2.0:V:43789823:43794576:1 gene:SETIT_000287mg transcript:KQL08145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHSHISMELDEVVSVIISCYEVNQTLSIKEVVRLQDDDDLVINGNLAVVPVSGQNSAKVASDTIRSVSENPAHWARVCLRNMANIAKEATTVRRVLDPLFRLFDNHDYWSPENGIALSVLQEMQKLMDKSGQHGHLLLSFTIKHIDHKVIAKKPAKQINIVKVASNLARHAKLKASVTIATAISDLIKHLRKCMHFAIEASNAHADDDKWNSALHVALEDCLVQLTEKVGDVSPILDMVGVMLENLSHTATIARSTISSVYRTSQIAASVYKSSYHQKAFPEALFHQLLLAMLHPDNKTRIGSHRVLSTIVAPSLLCPWSAMSFPIPAKGDDLQNLRLLALSAFSSEAIINEMRTKNKIQESLQKNDKSEAIVGPENGYALTEPNTRQYLGSPCLNEHHLTAFNDENLKFMKLNNHQIDLLLSSIWSQASLEDNSPANFEAMGHTYNIALFCSKSKSSSHVALVRCFQLAFSIRKKSLSQENDLQPSRRRCLHTMASAMLIFSAKIADLHQIIPLVKAAAPEKMVDPHLCVMDDCQLINTSAESANSEMVYGSEEDESNAHAFLSAINKDDVELIEIVMSHFKKKFENLPEKFNGIEEQLLQEFSLDDSFPLGAPLFMETPHSCSMYAEKDDHCFDEDGVPSELDDADDIIFEHSGSQSDRKTSGSMASSDVLTVNQLIESVHETARQVANVPVSANPVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTDSHGSTGVNGLETNESSLRSEHELQSTRKGRMRRSDSASSESDCSFRLPPASPYDKFLKAAGR >KQL08143 pep chromosome:Setaria_italica_v2.0:V:43787294:43794576:1 gene:SETIT_000287mg transcript:KQL08143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDFLNGQVDSTHMFNLEGLIPKLCEIGQELREDDKGLRLRSAALQALASMVQYMGDHSHISMELDEVVSVIISCYEVNQTLSIKEVVRLQDDDDLVINGNLAVVPVSGQNSAKVASDTIRSVSENPAHWARVCLRNMANIAKEATTVRRVLDPLFRLFDNHDYWSPENGIALSVLQEMQKLMDKSGQHGHLLLSFTIKHIDHKVIAKKPAKQINIVKVASNLARHAKLKASVTIATAISDLIKHLRKCMHFAIEASNAHADDDKWNSALHVALEDCLVQLTEKVGDVSPILDMVGVMLENLSHTATIARSTISSVYRTSQIAASVYKSSYHQKAFPEALFHQLLLAMLHPDNKTRIGSHRVLSTIVAPSLLCPWSAMSFPIPAKGDDLQNLRLLALSAFSSEAIINEMRTKNKIQESLQKNDKSEAIVGPENGYALTEPNTRQYLGSPCLNEHHLTAFNDENLKFMKLNNHQIDLLLSSIWSQASLEDNSPANFEAMGHTYNIALFCSKSKSSSHVALVRCFQLAFSIRKKSLSQENDLQPSRRRCLHTMASAMLIFSAKIADLHQIIPLVKAAAPEKMVDPHLCVMDDCQLINTSAESANSEMVYGSEEDESNAHAFLSAINKDDVELIEIVMSHFKKKFENLPEKFNGIEEQLLQEFSLDDSFPLGAPLFMETPHSCSMYAEKDDHCFDEDGVPSELDDADDIIFEHSGSQSDRKTSGSMASSDVLTVNQLIESVHETARQVANVPVSANPVPYDQMKSQCEALVMEKQQKMSVLLSFKHSRTDSHGSTGVNGLETNESSLRSEHELQSTRKGRMRRSDSASSESDCSFRLPPASPYDKFLKAAGR >KQL05808 pep chromosome:Setaria_italica_v2.0:V:28062540:28062788:1 gene:SETIT_004013mg transcript:KQL05808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STAPALAFAGLNRACRSSRVPPGRPGPRITFRLKGGKLVREAEDGEREEVPADGAVGESSSSAAAASGSEDDLCSAFGSARF >KQL05531 pep chromosome:Setaria_italica_v2.0:V:25420915:25422895:-1 gene:SETIT_003854mg transcript:KQL05531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSVVSVAFLAATLLCLALPAPAVAAARSTTLSVLSFGAAADGVTDDAEALVAAWQAACRVPRATVLLPSGHRFLVSPVTLQGPCSARLTLQPRRPLQWLNFKWLNGFTIQGAGTVDGQSITSLQNSSPANAPQRSTGHWHSSGAKPTLVRFYSSFNVTVRNIRISNSPQCHLKFDSSGSIKVKNVTISSPGDSLNTDGIHLQNTRDVEIRSSSIGCGDDCVSIQTGCSNVHMKNVVCNPGHGISVGGLGKDNSLACVSDVVAENINVQNALYAVRIKTWQGGVGSVRNITFSNVRVANVATPIAIDQFYCDRGGARCANRTGAVAIAGVAYRRVVGTYSFQPARLACSDARPCTGVTMVDVRLSPAAGAPGTVAPLCWNSYGEARGTMEPLGVGCLQRSNGYAMPLTQPFNYTC >KQL08686 pep chromosome:Setaria_italica_v2.0:V:46696784:46697471:-1 gene:SETIT_003347mg transcript:KQL08686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPPRRERPPVALTISTVERHLREVDAVAGDVSPQPAASAVFPAQTTNTLYPPRLSTSSPPHRPVRHLLERVHAHLLHLRRLARRAAVSRVRHEQQPLAGDHQAGPEVPALERGGFREAGGG >KQL05170 pep chromosome:Setaria_italica_v2.0:V:16881540:16883184:-1 gene:SETIT_004280mg transcript:KQL05170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMKVYGWVAMDGARAGVRGPMDHRRPEHLARNPFGEIPVLEDGELTLYHKRYAIARYVLRKHRPELLKEGDLEGSAMVDVWMEVEAHHMEPTLDQAVVDESLGKLRALLPVYEARLLACKYLAGDDVTAADLCHFGFMRYFMATEYAGVVDAYPHIKAWWDALLARPSVKKVIAGMPPDFGYASGNIP >KQL03467 pep chromosome:Setaria_italica_v2.0:V:2551873:2552709:-1 gene:SETIT_003178mg transcript:KQL03467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLVSQCVVASGAGARPVVVAPDGSRTRVEEHTGVAELMIDAPGHVVARASDVTRERRVRPMAADELLHAGEVYLLVPAGRAGARLGDREVQAIGLLVSGKKKSRKNRPAGGKRVFPMVVNGEEDAVEGKEAACAGKRAQDHHGLGPRQWRPVLDTIYEA >KQL05134 pep chromosome:Setaria_italica_v2.0:V:16279279:16279954:1 gene:SETIT_004036mg transcript:KQL05134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLRCSPPQLLLNNVSCLWNEQTVLRDMNLNIHDGTTLILTSANGSRKTTLLRMLAGFSRASTGEILWNDHDITSLGEKLTVLENVQWFELLEGKDDSRVGPAIELMELRRLKNEKSRMLSMGLLECIIAEHRKKGGIVFVATHLPIETDDSKSVWLLQRFPRRKTLVDIVHSLVEN >KQL07877 pep chromosome:Setaria_italica_v2.0:V:42299039:42302485:1 gene:SETIT_001261mg transcript:KQL07877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRSFEVTLASIYGLTGHHKGKTQSSSHELDDSPSIIRESRWASLPPELIRDIIRRLEADESTWPARKHVVCFAAVCRTWREMCKEIVLSPEFCGKLTFPVSLKQPGPREGNTMIQCFIKRNKSKSTYQLYLCLSNVVTSESGKFLLSARRHRKTTCTEYTISMDSGNTSRSSRTYIGKIRSNFLGTKFLIYDTQPPYNGAVVPPVGRTSRRFNSTKVSPKLPSVSYNIAQVSYELNVLGTRGPRRMRCSMHSIPASSVEPGGIVPGQPEQIVPRALEDSFRSTASFSQSFRSTTSLSKSIMDSSMDFNSARFSDIAGSGSSARFSGIGSSARISGIGSSARISGIASGRLDHDEDSEVKERPLVLRNKPPRWHEQLQCWCLNFRGRVTIASVKNFQLIAATTPPPAGAPTPSQPAPSDPDKVILQFGKVARDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KQL03648 pep chromosome:Setaria_italica_v2.0:V:3659056:3660836:1 gene:SETIT_001672mg transcript:KQL03648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPEPDRRRLYQVWKGSNKFLCGGRLIFGPDAGSLFLSTVMIASPLVGLCFQCVTKLNSNSSEKQALGMPVLVATILLGLADLAFLFLTSSRDPGIVPRNARPPERGGDDPPADADDVATPSTEWVMSAANPHLRLPRTRDVAVAGGHVVRVKYCDTCLLYRPPRASHCSICNNCVQKFDHHCPWVGQCIGLRNYRFFFLFISTSTFLCLYVFVLSWLNIAAQRGSHGGSLLKSMTGEPLSLVLIVYTFVSAWFVGGLTVFHVYLMSKNQTTYENFRYRYDKKENPYDRGVAANISEVFCAGMPPSMNKFRAWVELPEPPPPEAFDGGPLSSRSKIDLVGPNENKIDLEMGQHKGAGGVPAILHGLQYAEMERDGVSVHVKDRQSAEAPDPFTIPEAAPRHDGEAE >KQL05783 pep chromosome:Setaria_italica_v2.0:V:27862419:27863212:1 gene:SETIT_004675mg transcript:KQL05783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFYQCKDIIKIQKFRRIASYAGFYCFSTLITYAYTSNTTRAGISRADQYYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMTKHFDRQGKPPYAYHAQYMAHLLSHGQLDGSG >KQL04885 pep chromosome:Setaria_italica_v2.0:V:12749688:12750378:-1 gene:SETIT_003440mg transcript:KQL04885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAGGGGGGRSAIAGLSCKADDADAGPAAGVVELLLLIVVAVAASTCCCCPCPSSLGVVLAACSCSRDGDARTRRTTKKAAAAVRCDDGAMAARDGSSLASLLLG >KQL03836 pep chromosome:Setaria_italica_v2.0:V:4867644:4871554:-1 gene:SETIT_002339mg transcript:KQL03836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGGGGGGGVVGGGVMVGGGVGPGGGGVGGGGDVELVSKTLQFEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPVDGVAWFLDLFDYYIRTDERDAFSKELRLQTKVFYFDIGENKRGRFLKVSEASVNRNRSTIIVPAGSSGEEGWEAFRNVLLEINNEASRLYVLPNHPNQQHLEPPERLPGLSDDVGAGFIAGHGSQSASGPEVDVERLVDLPPQEEISGMGMSKVIRADQKRFFFDLGSNNRGHYLRISEVAGADRSSIILPLSGLKQFHEMVGHFVDIMKDRLEGMSSANVRTVEPSQR >KQL07032 pep chromosome:Setaria_italica_v2.0:V:37156155:37156815:-1 gene:SETIT_005498mg transcript:KQL07032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIGTGHLLVIALLLSAVALSDSARVLREHTAAALLPAGGGHGEVAEMAVPGQGQSGVVGAAAHESKRLSPGGPDPQHH >KQL07863 pep chromosome:Setaria_italica_v2.0:V:42225802:42226516:-1 gene:SETIT_003788mg transcript:KQL07863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QNPFFQRHLVILRSRLILGPSRAVVLINPVVFEVQLKARGITESEDKMLIFISVFYAPNGNRSELEVTFALLSRSVEATYFRVEVVYGSWPDHLRGLVVTRTTSLDRDIVLVDSRDGGMPIGGFGVFGLSRGVVSVELYGALEVDIVVLQADGHGNSSVFAKGQVAFVPNKDSVSYDTCDLGFCKIKITVGWSLLAPVDLERLDRRFVEV >KQL07636 pep chromosome:Setaria_italica_v2.0:V:40941883:40946142:-1 gene:SETIT_001645mg transcript:KQL07636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAAAADGVEGEELLLLSAVEAGNGGGGAHAAAEESWRLNFEGFRPPEAHQQERPPTGALHHCLGVLAQGPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNFANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLVSDGDEFSLTSQQEKWVVDIMLSVTLVKLALVIYCRSFTNEIVKAYAQDHFFDVITNVIGLVAALLANYIDGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSDMPLREAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEN >KQL07634 pep chromosome:Setaria_italica_v2.0:V:40941396:40945292:-1 gene:SETIT_001645mg transcript:KQL07634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHISSSLVLNKSEFFLIKTFLVLSTAQGPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNFANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLVSDGDEFSLTSQQEKWVVDIMLSVTLVKLALVIYCRSFTNEIVKAYAQDHFFDVITNVIGLVAALLANYIDGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSDMPLREAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEN >KQL07635 pep chromosome:Setaria_italica_v2.0:V:40941883:40945292:-1 gene:SETIT_001645mg transcript:KQL07635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHISSSLVLNKSEFFLIKTFLVLSTAQGPEDVVAEYYQQQVEMLEGFNEMDTLTDRGFLPGMSKEEREKVARSETLAIRLSNFANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYRYPIGKKRMQPLGILVFASVMATLGLQIILESVRSLVSDGDEFSLTSQQEKWVVDIMLSVTLVKLALVIYCRSFTNEIVKAYAQDHFFDVITNVIGLVAALLANYIDGWIDPVGAIILAIYTIRTWSMTVLENVHSLVGQSASPEYLQKLTYLCWNHHKAVRHIDTVRAYTFGSHYFVEVDIVLPSDMPLREAHDIGEALQEKLERLPEIERAFVHLDYEFTHRPEHALSHEN >KQL08451 pep chromosome:Setaria_italica_v2.0:V:45523386:45528231:1 gene:SETIT_001207mg transcript:KQL08451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKAGKEKDVVRLERESVIPIMKPKLIMKLAYLIEHQSDREEFLKLCKRVEYTIRAWYHLQFDDMMELFALFDPVHGAKKLQQQNFSSEEIDTLEQNFLSYFFQVMEKSNFNIVNDDEVELAHSGQYLLNLPIKVDESKLDNKLLSKYFKEHHHENLPDFSDKYVIFRRGIGLDRTSNFFFMEKVDMIIARAWRKFLEKTRLQKLFSRKKNGKQKMDSKKNDDLASEVEDKELYVERIRLETMELSLRNLIGKVAIQEPTFEEVIVLYRRKSPKGQDDRAIHVKHFKNIPMADMELVLPEKKNPSLTPMDWVQFIVSVVIGLVTLISSLEMPKADFWVVIAILSALAGYCAKIYFSFQQNMATYQNLITQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIIAYYILMENGKATSDDLDLQCEELIQEEFGLQCNFEVMDAVQKLERLGITTRDSIGRICCVPLKRANEIIGATTEELVMKARQSLRG >KQL03235 pep chromosome:Setaria_italica_v2.0:V:442020:442436:-1 gene:SETIT_005495mg transcript:KQL03235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSCSLVVKNFLCQIIVRKLRLPLLCYCNYVVN >KQL04673 pep chromosome:Setaria_italica_v2.0:V:10732978:10734274:-1 gene:SETIT_004884mg transcript:KQL04673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKSIERLAARRVVPAEPTPAGPLRLSWLDRYPTQMALIESLHVFKPAPDRAVADASASPARTIERALARALVHYYPLAGRLVLSEDGAQQAVDCSNAGVWFTEAAAACSLEDVDYLEAPLMIPKDEVLPPTPAAGEEDERALVLLVQVTSFACGGFVVGFRFSHAVADGPGAAQFMNAVGELARGGEVVSVEPQWGRDAIPDPAGAMVVASRPPTAPSGSSQYSAGGGWCSAFEVLIAKAWQSRTRAAGFEPDSPVHLCFAMNARPMLHASLPHGGAGFYGNCYYIMRVSAPAGKVAGSSVTEVVKIIKDGKRRMPAEFTRWAAGEMGAGGVDPYQITSDYRTLLVSDWTRLGFAEVDYGWGPPAHVVPLTNLDYIATCILVKPWVHKPGARLITQCVTPDRVAAFHEGMLDMN >KQL07941 pep chromosome:Setaria_italica_v2.0:V:42697885:42700021:-1 gene:SETIT_002000mg transcript:KQL07941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSVTLPLPAVGAVAASPVTTAAGAATPLRCRPLRLFATPAATASSSPSTSASAVSSALPSARRIRKHLAGRDGAPSKPTKPRVFFLDVNPLCFRGSQRSLNAFARWLALFFAHVSLRDPVVAVLDGEGGNEYRRRLLPSYKAHRARGAGTGADSRVVDVLRECNVPVVRVDGYEADDVVATLTEQVLQKGYRVVIASPDKDFKQLISDDVQLVMPIPEIGRWSFYTLRHYVAQYKCDPTADLSLRCFMGDEADGVPGIQHLVPGFGRKTAVKLLQKHGSLENLLKTAAVRTVAKDYAQDALTKHADYLRKNYEVLSLKRDVNVQLDDSWLSARNTCNDTSVLSDFILKFSNEVRS >KQL05905 pep chromosome:Setaria_italica_v2.0:V:28964420:28966489:-1 gene:SETIT_002084mg transcript:KQL05905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAPPQVPRVKLGAQGLEVSKLGFGCMGLTGSYNAPLGDEAVAAVVEHAFRRGVTFFDTSDAYGPHTNETLLGRALQRLPRGQVQVATKFGVGQGGAGGGLTICGTPEYARACCEASLRRLGVGYIDLYYQHRIDTTVPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLCRELGIGIVPYSPIGRGFFGGRGVTQQVSSESSLQRHPRFTADNLEKNKQIYLKMEDLAKKHQCSPAQLALAWVLHQGDDVVPIPGTTKIKNLDANIDSLKVKLTEEDMKEITSQIRADDVAGGRQYNSYAHTAWKYADTPKK >KQL05906 pep chromosome:Setaria_italica_v2.0:V:28965114:28966489:-1 gene:SETIT_002084mg transcript:KQL05906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAPPQVPRVKLGAQGLEVSKLGFGCMGLTGSYNAPLGDEAVAAVVEHAFRRGVTFFDTSDAYGPHTNETLLGRALQRLPRGQVQVATKFGVGQGGAGGGLTICGTPEYARACCEASLRRLGVGYIDLYYQHRIDTTVPIEDTIGELKKLVEEGKVKYIGLSEASPDTIRRAHAVHPITAVQMEWSLWSRDIEPEIVPLCRELGIGIVPYSPIGRGFFGGRGVTQQVSSESSLQRHPRFTADNLEKNKQIYLKMEDLAKKHQCSPAQLALAWVLHQGDDVVPIPGLTI >KQL06163 pep chromosome:Setaria_italica_v2.0:V:31156934:31158060:-1 gene:SETIT_004980mg transcript:KQL06163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEVDRATWNSAYKKGLVKILLDYKGNPKYKGQNGWVSEGWRVITDKFNEKFPVAHFLKKQNESLCMILMEPQVWKKLIVNHPRVAKFRKKPFPLFYQLEALYEGSVATRNLNFTSTMQVDPSALAPPVVSPPVPPIAPFVPPLAPTVERSNSEQSSSHLGANPFASSFDGQETSSAHNECNEAQDSRQEGESGKKRKQSHIGSALEGYLLKKGKGVRKNFLLKSVCDQVEAMIKLTDEEKSFALDVFEYEIHRKIFITTKNPNVRLTWLKRKI >KQL03214 pep chromosome:Setaria_italica_v2.0:V:377320:380843:1 gene:SETIT_001689mg transcript:KQL03214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPLPSQIVELNCKVMEEKLKSLGSEVNRHEDNLRFLKSEINAIEEACVDLAIKLGNYHSSVAAVMNNDTSAQEAEERTVRSILDQDRTAAAIICQLKLRSELASKMPLMKDILGFVATLGKVNNDNLSRLLAEYLGMDNMLALVCKTYNGVKGLEKYDKDGIIDKSSGIHGLGRSVGKLLNGRFTVFCLENLRPFSGDVNIDDPQRKLILHRPRFPGGESPAGFLDFAVNMIHLDRAHLSCLTASGHGLRETLFYSLFSHLQVYKTRSDIQRALPLINDGAVSLDGGILKPNGSFCLGDSKNLEVKFPVSLEVSSSPENILEMEGQVKLKNWEKERLLEDMKREEDLLKEVKELYSKQKQELMDYLTRPELTQAPHDSSTIPSPATPGSNPFGAKPSHKRRY >KQL03224 pep chromosome:Setaria_italica_v2.0:V:416499:420517:1 gene:SETIT_001119mg transcript:KQL03224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFAASRHASSATAKRPATADTAGGPKAAGAAAAQAKKRVALGNITNVAAPGGRAVGGTGKVAPPPGSAKLNSAISAAPLKKPSLASARSVSSVRGSAVKSASIKPAPPLSRYDSTAQKHNVPPPKVPTVLDVPSRIPSLVPCSTFVSPGRSGDSVSVDETMSTCDSMKSPDIEYIDNGDTSMLASLQRRANEHLRISDDRDVEENKWKKNAPAPMEIDRICDVDNDFEDPQLCATLASDIYMHLREAETKKRPSTDFMETIQKDVNPSMRAILIDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNEINRQRLQLLGVACMLIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMEASVLNYLKFEMTAPTAKCFLRRFARAAQACDEDPALHLEFLASYIAELSLLEYNLLSYPPSLIAASAIFLAKFVLQPTKYPWNSTLAHYTQYKPSQLCDCVKALHHLFSVGPASNLPAIREKYSQHKYKFVAKKQCPTSIPTEFFRDVTC >KQL05871 pep chromosome:Setaria_italica_v2.0:V:28638421:28640131:1 gene:SETIT_005061mg transcript:KQL05871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVFNLSCSTRPTVTSTSTLAAAAGVGYDDGKDRISALPEDLLYDVVSRLPIRDAVHTTTLSNRWRDIWSSAPLVLFDQDIDIDPGPKRVSVVDSVLVSHRGPFRSVDLFSCDSKDHEPELARWTRILAERGVQDLVFIRPRVTVDMALPAAILRCDKLCRLHLGFWEFPDTTNLSNGVPNFPKLRELKVFGILMADGDLDRMLSSSPALEKLALDMSHRPPKHVRLLGQNLKCVISYGTIAVEVAVVEAPIVQGAPALKVLGYSDTRVHQLRFGDNDKVNKVQAGTNASPMCRVSSVKILAIKVNFFAEVQMLPSLLRCFPRIEVLHIESAVSDESDEDHIPEFYEELSPVECVQLYMKARWHSIKVLLGHLLDQEWASEACTVLLLRPAAKLVCSFDRAFDLSIEDPFLLNDGEELFSDIRRRQQDAN >KQL07953 pep chromosome:Setaria_italica_v2.0:V:42778020:42789772:-1 gene:SETIT_0000652mg transcript:KQL07953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKLSSISITEVSDVTSPKLGLPNGSSQCETCGSQSERDCDGHFGVTKLAATVHNPYFIDEVVHFLNQICPGCLNLRENIDLKTLERETVRATCKYCSKDGSKLYPSVIFKTLSSPRVLLSKSKLHRSPSVMERISIVAEAIDRGSNKSKGKGSLEGLPQDYWDFVPSENQQLQSTMTKIILSPYQVFHMLKKSDPELIKQFVSRRELLFLSCLPVTPNCHRVVEIGYGLSDGRLTFLYSKKTDVETSTDTYGMKWLKDAVLSKRSDNAFRSIMVGDPKIRLWEIGIPENLASNLVVSEHVSSYNLENINLKCNLHLLAKEELLIRRNGKLMFIRKANQLEVGDIAYRPLQDGDLILINRPPSVHQHSLIALSTKILPIQSVVSINPLCCMPFLGDFDGDCMNFSCDSKLHIIDSEVLTCSSGSSWLQNSTTGLFSIMFKQYGRKALDFLSSAQEVLCEFLTMRGLSVSLSDLYMFSDHYSRRKLTQGVKLALDEAEEAFRIKQILLDPINIPVLKCHDETEDVTYRQSDYIQSNLSVVRSSIMSFKDVFNDLLKMVQHHVSNDNSMMVMINAGSKGSMLKYVQQTACVGLQLPASKFPFRIPSQLSCVSWNRQKSLNCEAESTSEHVEGQNLYAVIRNSFIEGLNPLECLLHAISGRANFFSEHADVPGTLTRKLMYHLRDLHVAYDGTVRSSYGQHIMQFSYDTADDMCCNHDLVGELGAPVGSWAACSISEAAYGALDHPVNGLEDSPLMNLQEVFKCHKATNSGDHVGLLFLSKHLKKYRYSLEYASLEVKNHLERVNFSDLVETIMIIYDGCDKTRKGGPWTTHFHISKEMMKKKRLGLGFVVEELTKEYDATRNQLNNAIPSVHISKRKCSVGDQCVQNSACCITLVAQAESNSMSQLDTIKKRVIPSILDTLLKGFLEFKDVEIQCRHDGELLVKVGMSEHCKAGRFWATLQNACIPVMELIDWEQSQPKNVYDIFCSYGIDSAWKCFVESLKSVTSDIGRNVRREHLLIVADSLSVTGQFQALSSQGLNQQRSRLSISSPFSEACFSRPARSFINAAKHCSVDNLCGSLDAIAWGKEPFNGTSGPFEIMHAGKPHEPEQNESIYDFLRSPEVRNFEKDHMDTCRQSTENALRCRLACKSKDSATVQGGAMTIDQGFLHAKVGIWDNIIDMRTSLQNMLREYPLNGFVKETDKSQLVEALKFHPNGAQKIGVGVREIKIGLNPSHPGTRCFILLRKDGTTEDFSYNKCVQGAANSISPQLGSYFEKKLHRRA >KQL03871 pep chromosome:Setaria_italica_v2.0:V:5164192:5167734:1 gene:SETIT_002215mg transcript:KQL03871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAATEQLVCVTGAGGFIGSWLVKELLHRGYAVRGTARDPDDCKNAHLHALDGAEERLSLYRADVLDYKSLRPAFSLCDGVFHVASPVSNDPELVQVAIEGTKNVINAAADMGVQRVVFTSSYGAVHMNPKRNPNQILDESCWSDLEYCKETQNWYCYAKAVAEKTAVEEASKRGVQLIIVVPAVTIGRMLQSTLNASLYGVATYMNGTKKAYPNAVAAYVDVQDVALAHVLVYQDQNAHGRYLCIGDVLHRSKFVQMLRGLFPQYPVTAKCENDSTPMVKPYKFSVRRLRALGMKFTPFKESAYNTVISLQENGHIPILPHKSAL >KQL06063 pep chromosome:Setaria_italica_v2.0:V:30396695:30403638:1 gene:SETIT_001674mg transcript:KQL06063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASVEEAGALLARSDSFGGRRRHRSASPVQSASPRPGSLRRQSSSFREDVGHAASETYLVSRLTFTLLQYLGIGYRWMSQLLALTIYAILLMPGFIQVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPEDNSRPCPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVNDASQGISFVCNNIANYGGDPNQIYLMGQSAGAHIAACALMEQAVKESGGHPVSWSVTQIKAYFGLSGGYNIHSLVDHFHERGLYRSIFLSIMEGEESLSRYSPEIIAKMSSAETIALLPLIVLMHGTGDYSIPSSASQTFVDVLHQVGAQARLLLYEGKTHTDIFLQDPLRGGRDPLVEDVLSVIHADDAIARQKVALAPTPRRLVFEWQLKLARQISPF >KQL06064 pep chromosome:Setaria_italica_v2.0:V:30396695:30403638:1 gene:SETIT_001674mg transcript:KQL06064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASVEEAGALLARSDSFGGRRRHRSASPVQSASPRPGSLRRQSSSFREDVGHAASETYLVSRLTFTLLQYLGIGYRWMSQLLALTIYAILLMPGFIQVGYYYFFSSQVRRSIVYGEQPRNRLDLYIPEDNSRPCPVVAFVTGGAWIIGYKAWGALLGRRLAERGIIVACIDYRNFPQGTIGDMVNDASQGISFVCNNIANYGGDPNQIYLMGQSAGAHIAACALMEQAVKESGGHPVSWSVTQIKAYFGLSGGYNIHSLVDHFHERGLYRSIFLSIMEGEESLSRYSPEIIAKMSSAETIALLPLIVLMHGTGDYSIPSSASQTFVDVLHQVGAQARLLLYEGKTHTDIFLQDPLRGGRDPLVEDVLSVIHADDAIARQKVALAPTPRRLVFEWQLKLARQISPF >KQL07698 pep chromosome:Setaria_italica_v2.0:V:41308745:41312090:1 gene:SETIT_002725mg transcript:KQL07698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTASLLPAPPFVSISDARRLQLLPCGRCRPRLRCGGTEWGSVRTQMLSSFVGSRRSSRRSVICASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGIDEVPPSSNYRPTTTNINQQPAADPNAKPETAPYTSEELMKVTEEQIAASAAAAWNTQPASSQQQEAAPTTQSTDTATSGGNDGPAAPAPAPVAATESDASQAKQSEKADTER >KQL08817 pep chromosome:Setaria_italica_v2.0:V:47212977:47213481:-1 gene:SETIT_003560mg transcript:KQL08817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWLYSLHHLFVALQACFTTSHNLLWLSDRYTSQIAGCFGISKWKCLLLTIAGCFGISKWKCLLLTQVLLQVVLVFLNGSVYC >KQL05925 pep chromosome:Setaria_italica_v2.0:V:29115441:29120872:-1 gene:SETIT_001355mg transcript:KQL05925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAFDAEAGVTNGNGAKPAPAAGADAGAAFVLESKGTWWHAGFHLTTAIVGPTVLTLPYALRGTGWALGLTLLSAMAAVTFYEYSLMSRVLEHCEARGRRHIRFRELAADVLGSGWMFYFVVTVQTAINTGVSIGSILLAADCLEIMYRSLAPHGPLKLYHFIIIVAVVLAFLSQLPSFHSLRHINFVSLILSLGYTILVAAACIRAGLSKNVPPKDYSLSSSKSEQTFDAFLSISILASVFGNGILPEIQATLAPPAAGKMMKALVLCYSVIVFTFFLSSITGYWAFGSHVQSNVLKSLMPDSGPALAPTWLLGVAVLFVLLQLLAIGLVYSQVAYEIMEKSSADAAQDRFSLRNLAPRLLLRTLYLAFCAFMAAMLPFFGDIVGVVGAVGFIPLDFVLPVLMYNMALAPPRRSPVFLANTAVMVLFAGVGVIGAFASIRKLVLDAGQFKLFSNNVVD >KQL07228 pep chromosome:Setaria_italica_v2.0:V:38489822:38501127:-1 gene:SETIT_004895mg transcript:KQL07228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDHNIMIYYPSSAGGGMKELFRKVGNRSSEFYPDVRKVRRDGSYIYEEFMPTGGTDVKVYTVGPGYAHAEARKSPVVDGVVMRNSDGKEVRYPVLLTPSEKQIARSVCQAFRQAVCGFDLLRCDLGEARSYVCDVNGWSFVKTSYKYYDDAACILRKMFLDEKAPHISSTIPTSLPWKISEPAQPSDSVRCHERGTVGISRPSEELRCVIAVIRHGDRTPKQKVKLKVTEEKLLKLMLKYNGGKAHTEAKLKSALQLQDLLDATRILVPRARSGRESDSDVEVEHAEKLRQVRAVLEEGGHFSGIYRKVQLKPSNWVRIPKDNSQGEEEYPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPSEGPGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGELTPILVSLVSKDSSMLDGLQDGTTYINEAKAQLHDIITSSKVVNCNEPVEFPWMVDGAGVPENAAQLLTNLLALSYQAELTKQITVQVKMLSDDEDEKAASDSDSPNYPYDQAKALGKAEIDMDRISTGLPCGSESFLLMFARWKKLERDLYNERKKRFDTTQIPDIYDSGKYDLLHNSHLNLTGLSDLFKVSQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLHNTRREVTAAAAESNTHNDTKAVSSVKRKERCYYEEVRNECFERSRSNKKSIDLDESHKETKYCLDPKYANVFEPERRVRTRLYFTSESHIHSLMNVLRYCNLDESLNGEESLVCRSSLDRLLKTRELDYMSYIVLRMFENTEVPLEHPKRFRIEMTFSRGADISSLESGGKASLLPGDHTMPIMEPERLQEVGSYITLDKFDKMTRPFAMPAEDFPPAAPSQPLPVRFCKDVQLQGGKL >KQL04120 pep chromosome:Setaria_italica_v2.0:V:6626082:6628746:1 gene:SETIT_000809mg transcript:KQL04120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSDENFFQNCPASYCSEGGPEIRFPFRLETSPPSCGAPGMKLRCSKEAETILFHPNLGLCKVIDIEYRYASIYVIPLRASKCPLQKIISTNLSTDVYRPYGHGVTLVSCSTEFRPSNEDLVAGPISCLSNTSHFSYLVYSLQSMDVLPLDCIVISNDIWLPPHKKNWIRLRLNEIAEGTIAFGETMFKWSVPNISNVCQQCEIGGRHCGFHSETRQAFCKKHTTSSVATILVLSVIVAAALYLTLKSKYDEEIHSKVEMFLNTYSASKPTRYTFSEVKKITRRFNNKLGQGGFGSVYKGDLSNGVPVAVKVLENSKGEGEEFINEVATIGTIHHANVVRLLGFCSEGSRRALIYEFMPNASLEKYIFSRASDTCCQENLTPNRMLDIATGIAKGIEYLHQGCNQRILHFDIKPSNILLDYSFKPKISDFGLAKLCARDQSIVTLTAARGTMGYIAPEIYSRNFGTVSYKSDVYSFGMVVLEMMSGESSSDPQTKNDNDVYIPEWIYEKIVTGQELELIREITQGEKDIMRKLAIVALWCIQWNPVNRPSMTKVVNMLTDSLQSLKMPPKPFVSSFG >KQL03348 pep chromosome:Setaria_italica_v2.0:V:1885876:1888506:-1 gene:SETIT_002581mg transcript:KQL03348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVFSKVTRQEGIFRLWRGTGASLALAVPTVGIYLPSYDLLRNWIEEYSDHNCPKLRPYAPLISGSIARSLACITCSPIELARTRMQAFKESNVGGKPPGMWKTLLGVLSSRQSISSPENFRGYHLLWTGLGAQLARDVPFSAICWTVLEPTRRHLTGLVGDESNAAVILGANFSAGFIAGVISAGATCPLDVAKTRRQIEKDPERVLNMNTRRILVEVWRKEGINGLFRGAGPRMGRAGPSVGIVVSSYEVVKHILHRKHAEL >KQL06756 pep chromosome:Setaria_italica_v2.0:V:35450261:35451651:-1 gene:SETIT_004531mg transcript:KQL06756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPNLLLRRLLFLVSFSAHATQPPRRTLHVPIVHRDAVFPPAPGATPGSLLLLRLHVADAAHYTVQQLAPSSLHSTTDDHGGGHRLRSHVLSGLPFDSGEYFATVGVGDPPARALVVIDTGSDLIWLQCAPCRRCYRQLTPLYDPRGSSTHRPIPCASQRCRGVLRYPGCDARTGGCVYMVVYGDGSASSGHLATDRLVFPGDDARAHNVTLGCGHDNGGLLESAAGLLGAAATYGRVFSYCLGDRMSRARENGSAYLVFGRTREPPSTAFTLLRTNPRRPSLYYVDMVGFSVGGERVAGFSGASLALDAATGHGGVVVDSGTAISRFAGDAYTAVRDAFDAGAAAGGMRKVADNFSVFDACYDLRGGRGHATVRVPSVVLHFAGGANMALPPANYLVPVEGGDRRTYFCLGLQAADDGLNVLGNVQQQGFRVVFDVERGRIGFGPNGCSV >KQL03239 pep chromosome:Setaria_italica_v2.0:V:475446:479488:-1 gene:SETIT_000407mg transcript:KQL03239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPCNFALIAVESSRSAPGMGSFSCFHHGDMERRSTLDEGALKKWFENSRARIDEFYDEAARRLPLKEMPELDGCIYAGGLCFGLADPVTNIILNAVGLLLHDQQGEHPPPHGRVRVYEGWRDVVWRSIDGIAAFMNAYFRYLSTDQAKRYLYLASQDLTLAIKLVHHDRFAQGSSNQRRPLLPDGGKLKAALRFAALKAQHPAPDVLAGLMTAEYPRDTLAPVLFKLGNQQGGKEQLTTDDVLEIRDLLAHQWPPTPQPANIDFWCRPNGRTCTRRGDDGVLVISNSLGEDLVAQTSIVTTRDYFQTQQQNYISDLVFCCEDMETKLSRCLEASALAASAMATEVNYDVPPCEHIISVKMCLLDTIHALYIKALATLPSKPSLLRALLVAGHCYGLMDPVSNIILNSFWYDVAFPLARGVEVDLPQGILDTRPMARLESRSLDGLVAMVQGTFGSKHRALEFLSSLDCDLTRSSYLGRPIIKIARNVSFAAVAEIAKHPQHTAFGSFLMSLSPEKIGHLHSLISAAHWDQLIRVLSNEMYSVWPVSVLKEEDSSLVSPFVSARISGKRLAFIRNLDFVHTELNKVLRKYCNQHPWEPSYQLDIICGVTASSSPFHPNFYHANFLATINDAIGSSHERTLFFAEFWVSPSREDVQSKPSSCCPIYDYSACIGRCSFCEDEGNKILHPPSGHSRDFNGSINLYRSAVRAYKDVLKGLLVSDFIYFDPGRDVELAKIIHDYEDNNIPEMLDTKPSLVCERPRFI >KQL06462 pep chromosome:Setaria_italica_v2.0:V:33679563:33685898:1 gene:SETIT_001723mg transcript:KQL06462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLRIATAQLAKKVEAASGPSPLPKSQRPPRPAPALVAISRTDTRSAMAGIRLTPEEPEMPVGTPPRPQLPPSVALAGAGGGSGGLEMASDDERSVAADSWSVRSEYGSTLDDDQRYADAAEVLAAAAASANFPSAASDYCSDKDDQDPGDVEGSVLGLQSYWDASYSEDLANFQEHGHAGEIWFGADVMDTVAVWTKSLCNIIQGGIPSGHDSIKSEVDEKLFSNYPVLDVGTGNGLLLQALAKQGFTDLTGTDYSEGAIELARNLSTRDGFTSIKFLVDDILETKLDRKFKIITDKGTLDAIGLHPDGRAKRIKYWESVSNLVEPGGIVVITSCNHTKDELLQEVEEFSRRKFGKENMDEGAAVSQIFRYIDHVGTYPTIMFGGVEGSQVCTVAFQRM >KQL07534 pep chromosome:Setaria_italica_v2.0:V:40376582:40381424:-1 gene:SETIT_000451mg transcript:KQL07534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHAPSRAPGAFRFKPHLPAKPPLLASTSTPASASASTRGSVCTAAAATTRRNLLVLVPSLVAASTALQSLPLAASAAAGDDKPAPPPAAPAPAAPAPPPPPADEPALSRVYDATVIGEPQAVGKDARRRVWEKLMAARVVYLGEAELVPDRDDRALELEIVRKLAAGCAEAGRSISLALEAFPCDLQEQLNRFMDGRINGDNLRLYTSHWAPERWQEYEPLLNYCRDNGIKLVACGTPLEVVRTVQAEGIRGLSKAERKMYAPPAGSGFISGFTSISGRSLIDKISSTRGSPFGPSSYLSAQARVVDDYTMSQTILKEIASGDPSGMLVVVTGASHVMYGPRGIGVPARISKKMQKKKQVVVLLDPERQSIRREGEIPVADFLWYSAAKPCSRNCFDRAEIARVMNAAGRRREALPQDLQKGIDLGVVSPEILQNFFDLEKYPVMAELIHRFQGFRERLLADPKFLHRLAIEEGISITTTLLAQYEKRKGRFFEEIDYVLTDTIRGSVVDFFTVWLPAPTISLLSFADDGSGDSVELLKGLLGTLPDNAFQKGIVGQNWSIKQRFASVLMGGLKLAGVGFISSIGAGVASDVLYGARQILKPSASMEVGRKRSPIWKSATVYSCFLGTSANLRYQVIAGLIEHRLGEHLMAYYNQPLLASLLSFVSRIINSYWGTQQWIDLARATGVQSTKKELASPEVSNATEMPLLECGTTDVQNVDDSNKQPNDLT >KQL03379 pep chromosome:Setaria_italica_v2.0:V:2072957:2073666:1 gene:SETIT_005353mg transcript:KQL03379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPRCMTYEILELLQLEFLSPLTNTIGRF >KQL08028 pep chromosome:Setaria_italica_v2.0:V:43242197:43244217:-1 gene:SETIT_003115mg transcript:KQL08028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNWRAARVPRTRGLHFVCPTAAAVSACRLLAQTSTRTAAASNCDRNSNPRPGSPSCSAAMASFSEAPPGNPAAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTPGYSYSSANKNMAVIWEENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKNATA >KQL04024 pep chromosome:Setaria_italica_v2.0:V:5950361:5953409:-1 gene:SETIT_001257mg transcript:KQL04024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERESAPATAELEIPGECQYSGEPAVVRPCQPTPRHTLYLSNLDDQRFLRFSIKYLYVFAAPAAVPTDALRAALARVLVDYYPLAGRLRPSDDDEGKLVVDCNAEGALFAEGCLPGLTAAEFLRRRARPHKSWRKLLYRVEAQSFVAVPPLVVQVTRLGCGGMVLCTAINHCLCDGIGTAQFLHAWAHAARAGHGALDAVDIGDGSAMLAPPFHDRRALRPRCPPRVAFTHPEYNSCGGIGAVANGNGNGNGSEAPSLLARLLGQSLAPVTLTFTAAHLLRLKRQCAPPLKCTSFEALAAHVWRAWVRALDPPGALRVKLLFSVNVRRRVKPELPRGYYGNGFVLGCAESTAAQLVSPSPSAAARYGVRLVQEAKECVDDDYVRSMVDLLEERRGARPDLAASLVISAWTRLGLEDLDFGAGAAAHMGPLTSEIYCVFVPVIGDPHGATVLVSVPQAAADRFQHYCLGFLKDADVDAKLMS >KQL06257 pep chromosome:Setaria_italica_v2.0:V:32125187:32126721:-1 gene:SETIT_002323mg transcript:KQL06257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGPMEIPVIDLGGLSGGEEQRSWTMARLHEACKDWGFFWVENHGVDAALMDEVKRFVYGHYEEHLEAKFYASNVARNLPAEDGGGEPSDEVDWESTYFIQHRPRNNAADFPEIAPAAREALDAYIAQMASLAERLAECVSLNLGLPGGHVAGAFAPPFVGTKFAMYPPCPRPERVWGLRAHTDAGGIILLLQDDAVGGLEFLRGGAEWVPVGPTRSGRLFVNIGDQIEVISGGAYRSVVHRVAAGNEGRRLSVATFYNPGPDAVVEPAARGDAAALSYPGPYRFGDYLEYYQGTKFGDKDARFQAVKKLLG >KQL06498 pep chromosome:Setaria_italica_v2.0:V:33844686:33848175:1 gene:SETIT_001922mg transcript:KQL06498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSTIAARFAFFPPTPPSYTVVVADAATGRLAIPEISHAPGRRRRRDGAGAGGGDPSSAASAEEEDGTEVVRLRTRRGNEIVGVYVRHARASATMLYSHGNAADLGQMYGLFVELSKRLRVNLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRAVVLHSPILSGLRVLYPVKRTFWFDIYKNIDKIGLVNCPVLVIHGTSDDVVDCSHGKQLWEHCKVKYSPLWLSGGGHCNLELYPDYIRHLKKFVSSLNKKSPKPDPKEITAKDDNDTTSKATEAACSEKPKEAAKCSQISRKSLDSRVGKSKTVDVPEKPRMSSDDIDKFRRRRCLVW >KQL06497 pep chromosome:Setaria_italica_v2.0:V:33844686:33848175:1 gene:SETIT_001922mg transcript:KQL06497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVTSTIAARFAFFPPTPPSYTVVVADAATGRLAIPEISHAPGRRRRRDGAGAGGGDPSSAASAEEEDGTEVVRLRTRRGNEIVGVYVRHARASATMLYSHGNAADLGQMYGLFVELSKRLRVNLFGYDYSGYGRSTGKPTECNTYADIEAAYNCLKEKYGVADEDIILYGQSVGSGPTIDLASRLPNLRAVVLHSPILSGLRVLYPVKRTFWFDIYKGTSDDVVDCSHGKQLWEHCKVKYSPLWLSGGGHCNLELYPDYIRHLKKFVSSLNKKSPKPDPKEITAKDDNDTTSKATEAACSEKPKEAAKCSQISRKSLDSRVGKSKTVDVPEKPRMSSDDIDKFRRRRCLVW >KQL06919 pep chromosome:Setaria_italica_v2.0:V:36402893:36404664:-1 gene:SETIT_001065mg transcript:KQL06919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHSGLSKSHPFPLLAAASSPRRILLAGLLRAGYLSKHPASSPVPRPASTARASSRAATSPGDPSRFGLGGRVSFSTAPDGSASAGGGRALPWLAAEAGNSGAPAARTSAGRSSSWESSAEKFFSRDDQYTQREVSGDRRSNKVAIREDGDENEPIDNPKWGRIKDRYRQAVGRDGGSRGERFRGERFDKPHVRQWNNQENWGRKTGKEAGESTVPKMVGQGVYGVGPVLAALMAGRREFYALYMQEGMDLSGSNKKKKDKKAVEKVLRMAEKISLKVIETSKHDLNMVVDNRPHQGLVLDASPLEMVNMKELDPVRLEGGKAPVWIALDEVMDPQNLGAIIRSAYYFGAEGVVLCAKNSAPLSGVVSKASAGSLELIELLSCRNMMHFLSSSAENGWRVLGGTIANKAVPLSEVQTGVPTILVLGSEGTGLRPLVERSCTHLVRIPGNAGAFVEGADADTDEGEEGDSSSSNQDLRSFLAVESLNVSVAAGVLLYHLAGKHACPVSDTSSVAQM >KQL06378 pep chromosome:Setaria_italica_v2.0:V:32923215:32923702:1 gene:SETIT_003536mg transcript:KQL06378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVIADAGRIGPAPSCTTTMMVDQQRCTRLDRFSLVVSSAAQYGPASSTPALRSSCLWSFCVEASTSLLLATTDFTRVCRASGALMV >KQL06764 pep chromosome:Setaria_italica_v2.0:V:35491953:35494316:1 gene:SETIT_002394mg transcript:KQL06764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHSRTTATATQPRQCRRHASTRHATVPPPPFPSPPSTAAHVTRACTSLPTPRVRLPFHRHMACRALLLTARTSRPRTSRPLRASRLRAPPGRAPPDRRALSRPCSSWPPRAPPGCRISLSAGAPSFAHAGCPAFIRARPPPTENPLAAGVHLEDDLVGDSREEDVRSKLPLIDSSMVASCRCRRLGRIRAATWHLASSRPGVVLEGRWPAPPPPSSGAVRASGDSSSGSEVGTRGGGGGLTTARGAARVARGEGDAGPSRMYKYNFLSTEASLQYLANFGSCLSICSIQSNMEGLPTY >KQL08464 pep chromosome:Setaria_italica_v2.0:V:45569096:45569722:-1 gene:SETIT_003254mg transcript:KQL08464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAATGRLTDGAAALPEDVLFEIFSRVENVSDLLRCAMTCKPWRQLFTDRAFLRRLWPDQQGQGLRSHLLGFFFQQKGFVRRMKMMKARARQHSLRLRARLPAGTGVTARPQGARPHRLRRLPAGVLRVTTWSSIETGNKFTHAS >KQL07164 pep chromosome:Setaria_italica_v2.0:V:38011690:38015299:-1 gene:SETIT_001176mg transcript:KQL07164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPLLGSREPGDDGGGGGQERRPSWRGGAAGGLCASWLGRVVDTEEAWAQLQFAVPMILTSMAYYGIPLVSVMFSGQLGDVQLAGATLGNSWATVTGYALATGLSGALETLCGQAYGARLHRMLGLYLQSSLLLSAAVSALISVLWMFTEPLLLLLRQDPEVAREAAAFIRPQVPGLFAFAFLQCLLRYLQTQSVVVPLVVCSVAPFALHVVLSHLMVNVLGFGLAGAPAAISVTFWVACLMLLAYILRSEAFRETWPGFSAEAFMYVLPTARLAVPSAVMVCLEIWAFELLVLVAGLLPNSTVSTSLIAMCTSTEAIGYMTTYGFSAAVSTRVSNEVGAGNVDKAKNAVLVTMKLAVLLCIAFVLLLAFGHDVWASLFSRSAVIVSAFGGITPLMIVSIVFETMQSVLSGVSRGCGWQHLAALTNLVAYYFVAMPLALLFAFKLKFYAKGLWVGLICGLACQTFSLLVITARTKWSKIAEAMQEKKASYVA >KQL06060 pep chromosome:Setaria_italica_v2.0:V:30380893:30386153:-1 gene:SETIT_002112mg transcript:KQL06060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEASSSSWPSRGAAATATAAAYDYERDPRWAEYRASSAVPTHLFTDPYVRAHLQHKFYRRFVNPDFPVEGMSSTTSTQPSPSSGGASTSAGENARPPDLGTNSAGPARSRLSLQLDQRSLHFSVNAWVLIVALIGIFPLAPRSLQYKGYRLSLLGTTCTTGYALFPLYRLPRAGNLQAVQAWCHHVTSSKDFIPFMYCLMFVTSKLHLKLVLVPVICWALEHVARFLRRHFTSSSLYRTYLEQPCTWVEANTTAVHFLRSNAEILLGFLLIISLFSRQRNAMQTFMYWQLLKLMYHSPFTAAYHRAIWLKIGRTINPYIHRYTPFLHDPINAGMRWWFR >KQL06059 pep chromosome:Setaria_italica_v2.0:V:30380893:30385525:-1 gene:SETIT_002112mg transcript:KQL06059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTSTQPSPSSGGASTSAGENARPPDLGTNSAGPARSRLSLQLDQRSLHFSVNAWVLIVALIGIFPLAPRSLQYKGYRLSLLGTTCTTGYALFPLYRLPRAGNLQAVQAWCHHVTSSKDFIPFMYCLMFVTSKLHLKLVLVPVICWALEHVARFLRRHFTSSSLYRTYLEQPCTWVEANTTAVHFLRSNAEILLGFLLIISLFSRQRNAMQTFMYWQLLKLMYHSPFTAAYHRAIWLKIGRTINPYIHRYTPFLHDPINAGMRWWFR >KQL04148 pep chromosome:Setaria_italica_v2.0:V:6843959:6846015:-1 gene:SETIT_004816mg transcript:KQL04148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGCGAVKQWGSWLQLRLLDWHRSRPVMSVVVGFFFFFFFLFVLLSSRHGATVDPNIRGDDIPLQQGASHGHKQQSNGMAVTQRPGDITTRADSVGHQRWQPLCDFSDRRTDVCDLTGDIRMDANASAFIVVDQVGGANAPAYKVRPYPRKGDATSMGRVTEITVRTAADAGAAPRCTATHAEPAVVFSIGGYTGNLFHDFTDVIVPLYNTARRYGDVRLVVTDAAPRWLAKHGALLRGLSRHALIDLARAATAGEVHCFRHAVVGLRAHRELMIERERSPDGVGMPDFTRFLRRALSLPRDAPTRPGGATGSKPRLLIISRRGTRLLLNTEAVVRAAEEVGFEVAVNELDVAIDIVQVGRLINSFDALVGVHGAGLTNMVFLPPGATTVQIVPWGGLQWLARMDFGDPAEAMGLRYIQYEIAVHESSLKDKYPRDHEIFTNPTALHKKGFEFMRHTFLNGQDITVDINRFRAVLLQALQNLAQ >KQL04840 pep chromosome:Setaria_italica_v2.0:V:12284979:12285132:-1 gene:SETIT_005304mg transcript:KQL04840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCTDKFLLYHVVRLRDYIIYIYVHKLCE >KQL07328 pep chromosome:Setaria_italica_v2.0:V:39141282:39142032:1 gene:SETIT_003490mg transcript:KQL07328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSGAWYTVGRTGGRWRVGAPRLGGCPTAPRSKQHARGARLMPTFPWRPPAGAVPFTPHADPRLAVSAAVRPSSVHAPHVILPRRSRARPVAFLG >KQL04428 pep chromosome:Setaria_italica_v2.0:V:8921418:8922137:1 gene:SETIT_004029mg transcript:KQL04428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLCCQTKGACTSFRTLQGSPHVLRKSIPNIKAPAACAYATCGVDGCAGCGCELLTAAGTRSSSDSDDEGEYEYIGVQRRPWGRWAAEIRDPHYAVRKWLGTFDTAKDATRTYNITDSLHETCGANASSSMDVALAVAASAEQHGTRPVPKEQDIWDRLNEFMMMDDGSFWSPML >KQL07190 pep chromosome:Setaria_italica_v2.0:V:38207336:38216585:1 gene:SETIT_000269mg transcript:KQL07190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSLDQSICSVVGSEKFQGISSAPHQFQESQMVNESETVSFEYAVLSPLRHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTEINISLTAVGLLWTATDFVVKGLISNSVEQANHMNEEAQLGATIIEANIKQVPPKQVVDYSKLFFSVFSVLQKLGSDDRPEVRNSAVRTLFQTLSTHGQKLSKSMWEDCLWSYVFPMLEHVSHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFPFLQQLSKFSSGWVLLLDFIKNSILNGSKEVALAAINCLQTFVGANCPKGNLESSYVKSVLDIYELVLQTSPNFKNDSTEKVKQEVLRGLGDLYVQAQSLFNDDMYLRLMAIMHLMIKATMTPTDYDSELGSIPAVQRGILEIIPMLRPTATLSSMWAPLLLELLCYLNGQEGPLQKNSKKIHDQNSDAPVDGTKRALVERSKLNGSSTKLDSAVGCGWGLLFVEKLVPIIVNLFLEAPPNERFSASPEVIQGLGRCMNTRRDNPRGTLWRISAECFNRVVTDEVRQDNADCRSDVNSYKLSRARFWKEVADVYETFLVGSCGRVLSSDVPSADSITADETLEMSVLSVFGDDILKLQKDAPVEVLQRLVNCLDRCASRTGSLPVQTVGLLPLHCSRFSLSCLQMMFSLCSCIAKASTCAAASETSKVSISILMKRCEVILGQFLADENDQGEHPLPSVRVEETICVLQELARLIIDIDAANALNIPTYLKEALGENKSHGRAHLLSLLPTFSELVVSREARVRELVQVLLRLISSELGLQRLT >KQL07191 pep chromosome:Setaria_italica_v2.0:V:38207336:38216585:1 gene:SETIT_000269mg transcript:KQL07191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSLDQSICSVVGSEKFQGISSAPHQFQESQMVNESETVSFEYAVLSPLVILYSSNKNVDVQMGALKILLHVLERHGEKLSYSWPSILHMLRAVTDASEKDLISLGFQSIRVIMNEGLATIPVQCLDECILVTGAYGTQKTEINISLTAVGLLWTATDFVVKGLISNSVEQANHMNEEAQLGATIIEANIKQVPPKQVVDYSKLFFSVFSVLQKLGSDDRPEVRNSAVRTLFQTLSTHGQKLSKSMWEDCLWSYVFPMLEHVSHLASTSSRDEWQGKELGTRAGKAVHMLIHHSRNTAQKQWDETIVLVLGGIARLLRSFFPFLQQLSKFSSGWVLLLDFIKNSILNGSKEVALAAINCLQTFVGANCPKGNLESSYVKSVLDIYELVLQTSPNFKNDSTEKVKQEVLRGLGDLYVQAQSLFNDDMYLRLMAIMHLMIKATMTPTDYDSELGSIPAVQRGILEIIPMLRPTATLSSMWAPLLLELLCYLNGQEGPLQKNSKKIHDQNSDAPVDGTKRALVERSKLNGSSTKLDSAVGCGWGLLFVEKLVPIIVNLFLEAPPNERFSASPEVIQGLGRCMNTRRDNPRGTLWRISAECFNRVVTDEVRQDNADCRSDVNSYKLSRARFWKEVADVYETFLVGSCGRVLSSDVPSADSITADETLEMSVLSVFGDDILKLQKDAPVEVLQRLVNCLDRCASRTGSLPVQTVGLLPLHCSRFSLSCLQMMFSLCSCIAKASTCAAASETSKVSISILMKRCEVILGQFLADENDQGEHPLPSVRVEETICVLQELARLIIDIDAANALNIPTYLKEALGENKSHGRAHLLSLLPTFSELVVSREARVRELVQVLLRLISSELGLQRLT >KQL07410 pep chromosome:Setaria_italica_v2.0:V:39609795:39612403:1 gene:SETIT_003061mg transcript:KQL07410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQLSDDQIAEFKEAFSLFDKDGDGCITTKELGAVMRSLGQNPTEAELQDMITEVDSDGNGTVDFPEFLGLMARKMRDSDSEEELREAFRVFDKDQNGFISAAELRHVMANLGERLADAEVDEMVREADGDGDGSINYDEFVKVMMAKRRSKRTEEKPVRGGKKKPGTSDAGKRPQKCVIL >KQL05831 pep chromosome:Setaria_italica_v2.0:V:28313166:28317477:1 gene:SETIT_001055mg transcript:KQL05831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQLVLAIEAHASLWVKNRDGTSRSMRPRPRRPRRTDPPISRVRVLGPVTACRSCWLISLLSASELGSLVSSYSFSSDKQGAHELRRTYTWRHVPNPKTGQAVVVDGILADVPDRRGSCPAKQGRGSPCEQKRSTPAAGGRRRGMMRRVALLLLVCAAARAAAVVTDGLLPNGNFEEGPPKSALVNGTVVRGANAIPLWETSGFVEYIESGHKQGDMLLVVPQGAHAVRLGNEASIRQRLAVTRGAYYAITFSAARTCAQAERLNVSVSPEWGVLPMQTIYGSNGWDSYAWAFKAKFDTVTLVIHNPGVEEDPACGPLIDGVAIRALYPPTLVRGNMLKNGGFEEGPYFLPNASWGVLVPPNIEDDHSPLPAWMIVSSKAVKYVDAAHFKVPQGARAVELVGGRESALVQEVRTVPGWSYRLSFAVGDAGDGCTGSMVAEAFAARATVKVPYESKGTGGYKRAVLDFTAIANRTRVVFQSTFYHMKADGTLCGPLVDDASLVGLRKKPAAGRRLLL >KQL08337 pep chromosome:Setaria_italica_v2.0:V:44879466:44883288:-1 gene:SETIT_001661mg transcript:KQL08337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVFFHHVVGDLTCGKPEVSELHDTDTLEDAARAIASSPEGAVPVWRPRAAPEDPPSGVRFIGMISALDIAAFVATAGVGDRAMRAVVGEVVQPNPGLLREIDPGTRLIDALELMRHGVKRFLVRKSGSWKGITKRFSVLYNGKWLKNMESTSPSAASSSRQLSSSTGSASADKFCCLSREDVLRFLIGCLGALAPIPLTQISSLGAINPHYSYVEASAPAMEAIQKIPQDPCAVAVVETTPDGTRKILGDISTYKLWKCDYVSAAWALANLSAGQFVIGADENGSTPISVLPEPPISPSSPVEEISPGRSPRAKKFSSRSIGFQANQMSAWRTRSSFHRGRSTPLTCKSTSTLAAVMAQMLSHRATHVWVTDAESEEDGVLVGVVGYTEIFYAATRSASPSPTPSS >KQL06839 pep chromosome:Setaria_italica_v2.0:V:35986172:35987252:-1 gene:SETIT_002604mg transcript:KQL06839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEWPAGSLEEVVQNAIKTWEMELSHKARLSDFKSVSPGRFTLSVNGGRARSGEETLAVGSYNALLDSPLLASAGAYDAAAETFASSHDLFRAAFPRGFAWEVLGVYSGPPVIAFKFRHWGHMEGPYKGHAATGEKVEFRGVAVLKVDEQLRAEDVEVYYDPGELLGGLLKGPKVASSEEERGEVVLAERLSEAATVSASGADPPPRACPFLSSGKPQ >KQL06840 pep chromosome:Setaria_italica_v2.0:V:35985843:35987545:-1 gene:SETIT_002604mg transcript:KQL06840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGGDRYRSHLAGEGEKNTVWRHGAPPTYDAVNALFEAERTQEWPAGSLEEVVQNAIKTWEMELSHKARLSDFKSVSPGRFTLSVNGGRARSGEETLAVGSYNALLDSPLLASAGAYDAAAETFASSHDLFRAAFPRGFAWEVLGVYSGPPVIAFKFRHWGHMEGPYKGHAATGEKVEFRGVAVLKVDEQLRAEDVEVYYDPGELLGGLLKGPKVASSEEERGEVVLAERLSEAATVSASGADPPPRACPFLSSGKPQ >KQL05257 pep chromosome:Setaria_italica_v2.0:V:19338638:19338712:1 gene:SETIT_004157mg transcript:KQL05257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KAAAAVAAVDDAATRDLRRILRLE >KQL05786 pep chromosome:Setaria_italica_v2.0:V:27873137:27874000:-1 gene:SETIT_004715mg transcript:KQL05786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGIGLQTPRGSGTSGHVQASKFLAKPRPSSSAAAAAGGYGTPNPPHTGSVLERTRKPNKDILEHDRKRQVELRLLVLRDALEEHGYTEAQIEERVGEARKAAEIEAAAEEGGPRSQGKGYGHGNSSQVHRHSEPPSCGTEGEPASDYEGCSWARCRGCTEGAL >KQL08434 pep chromosome:Setaria_italica_v2.0:V:45453218:45454007:1 gene:SETIT_004611mg transcript:KQL08434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVRGTGEFRERERERERERERSSRGGGECLAGPVAVPVHGGCEAKPPEAEEEGGLSGGSTRLGISESLRVDSEREQRGELGFLSRRSRLRSVLSVLRRVPLISLDFLVVGGDRCVSRLLGCLWEVKGGGGFFAVVSVLVLFVRYFSFHVPRRSRLGQLPFRLVSCQISVLKITRTMHKLKVCQFLACVILCNSSSPLISMEENCRGV >KQL07207 pep chromosome:Setaria_italica_v2.0:V:38355193:38365943:-1 gene:SETIT_000084mg transcript:KQL07207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVIIDDSAASSTGLGSKDLGASGDSLSEWRSCEQVDNGIPSTSPPFWDTDGDDDDPGPRPLDLFGQYTWRIENFSKEKKREMKSEPFEAGGYKWYILVYPQGCDVSNHLSLFLCVAKHDKLLPGWSHFAQFTIAVGNLDPKKVKYSDTLHRFWKKEHDWGWKKFMELSKIQDGFLVDDVLEIIAQVQVIREKADRPFRCLDRPYRRELLRVYMTNIEQIYRRFVEERRRKLIRLIDDDMKWSSFRAFWLAIDPKTKRRMSREKTDIILKMVVKHFFLEKEVTSTLVMDALYTGLKALEGHSNGKKGIVTTMDFEELPAPMVHVDTDMFVLAGDFIALLKRAALEPLSCQSLSPKDDKCSQSRAKDGGSGEVNKVSIEREERRLTGFGQKILETFVLSHIFSGIEVAYKEDVALKRQEELIREEELLENEKGKRGSATEKDKRAKKKQAKQKKNSRKVKDKERDESSDSNFLERGVDGITNHDRENSKHEGLLAAKLDNSGDGASDVSDNIDGSIEACEKDTVDIESLVTSVSATVSSIRGKINNLLDSTSHITRGRSRRSRVISSIITSHYEDDLPSSSCSDRNIYGCGPSPRRERDQETALLTLKDRLRELGQRLHEKEIEGRELLKAHLEKKAAAEAAAGSSSASSSDSLEKTPQVRKGPEKSLVTINDGNIKAPPPKSLPVATSGDSNGAVPATATSTTNTKSVPIVTPTPIKPEPILCEEHVSSSSQQIERATLVPSRSPPVDKAIPTPESPLLQVDTVGKATSAPPKSPHPQVDTVAKATSATAKSSASQLDNVAKAISAAQKSPAPQVDKVSQHNSASRQILSTSISKAREDTVSERVVVTSVPRTETPMPTSRPTSAPLFQAPRSTPPTPSVQVSPLLAHSSTVSEQPSDESSTSAPIISQAYRHAFLGKGNLNTTLSSLEQSTSIGQYTAVSQPLSAYATATSVMASCTERNDQLPGKQSYMFGPSKSEALDNWHSRKVNCDVNKYMWKDDVPNQQITSRNVHVHPLKDISHQQVSSSRTEQGRLGGLQYTQFQSEIPASFVSHQQQGPVGEEFPHMDIINDLLDEDQSGAYMAASPLHEYHTFGLPFSSGGNMADSEMASVSSSRRFNLTDHYYDEGYQRAYDTQNALHRLRDGQLSTLDVYSNGRLDSTTPKPWPYSHPNPAMNLGINSNALSHRMGDYANLASGSVNGEYPEYLYRRANGQW >KQL07231 pep chromosome:Setaria_italica_v2.0:V:38516781:38517125:1 gene:SETIT_004436mg transcript:KQL07231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YYNNGPPVMAPPQYQYAAPPPRREPSFLEGCLAALCCCCLIDECCCDPSVIFVS >KQL08432 pep chromosome:Setaria_italica_v2.0:V:45441837:45445893:-1 gene:SETIT_003290mg transcript:KQL08432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMELEHGGDEEETRSESSKRSLGASSDATVSSTASKLQALRFAEDLSLPSVQVVVMSANMGCSHCRQRVANVVSKMNGLLDYMVDFGKKEVTVRGKVAHTKKRRKHKNPFAAGWETKSSASPGHGRTTLSWFLGCYAS >KQL06346 pep chromosome:Setaria_italica_v2.0:V:32756849:32760145:-1 gene:SETIT_002301mg transcript:KQL06346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWCNSFNDVRAVENNLVTAAAVAAAKKQQQASSHVNLIRTCPSCGHRAQYEQLQAAATIQDLPGLPAGVKFDPTDQELLEHLEGKARPDARKLHPLIDDFIPTIEGENGICYTHPERLPGVGKDGLIRHFFHRPSKAYTTGTRKRRKVHTDEQGGETRWHKTGKTRPVFTHGKLKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGSDEEEKDGELVVSKVFYQTQPRQCGSGSATAKDVVPLAASAATDHHHHHHDGGNGGSNSMLKEAGIVDFYSPAALIGYNQAAPNNRAAASAHLMPNFEVHTAGAAGFGP >KQL07567 pep chromosome:Setaria_italica_v2.0:V:40547788:40548462:-1 gene:SETIT_004520mg transcript:KQL07567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYHYQAVYDPRGFPMIHPQPYLHHPAAAGALGDSRVRGGGGGGGGGVRRRPGAKLSTDPQSVAARERRHRISDRFRVLRSLVPGGSKMDTVSMLEQAIHYVKFLKAQVSLHQAALMQHEEGCPVELAAAYSAAVAGGAGEVTLASRCRAGACEAEVVQLQVPLQEAVSYDLAAPQLHGIDPGQISGVHELPPLPSCVFAEPAAASYSVCDLDEGETDLPGSY >KQL08351 pep chromosome:Setaria_italica_v2.0:V:44941167:44949443:1 gene:SETIT_000266mg transcript:KQL08351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGSSSTRRAAAAARSRGRPSDASEPEPDARRAAAAAAAARRRGRGDHGPLRLMYVSTRTLALLGIVSFALASVAFVTYTGGWWEEVETEGAATLRTVMRSVTPLSAPRMMDLPQFQGDHKESLYWGTYRPNVYLGIRSRTPLSLIAGLMWIGLKNGQYFLRHVCQDSDELSTYGWTAHNGRDYGHQMLVDHGLLLTTSFLKEKGEGSGYGGDWAVRLGANSERSSLNDAQGSTTHLFFYIADESGKSITMASHVPSSRGPVLLASGSHDEIGDWELYLRSEDGLEIHRAGFKSMSMHNLSDLVQQAVATNAMQTGNLNLPDMTEDSSNIMTYQVSIKTPAQIDIVFLSGSASKNPKIEERISKLTGPMLSARLESKQKEFEERYDQIFNVNNKIDSKELSVGRAALSNLLGGVGYFYGQSKIALPKGFTQKNADKYIPYWPAALYTAVPSRSFFPRGFLWDEGFHQLVIWRWDVHISMDIIGHWLDLLNSDGWIPREQILGAEALSKVPEEFVLQYPSNGNPPTLFLAIRDLASGIHAKQFSGDEAEKISSFLERAYIRLNSWFQWFNSTQSGKYEGTFYWHGRDNMTTRELNPKTLTSGLDDYPRASHPNDEERHVDLRCWMLLATNCIRSIAEFLKMDSALEKDYYKMSNQLSDFRTLNKLHLDDKIGAYFDYGNHTEKVRLRWYDVQDKDTMRRELLRETLQPPQLQLVPHVGYVSLFPFMMGAIPHESWVLDKQLDLISNTSILWTDYGLRSLSRTSSIYMKRNTEHDPPYWRGAIWINMNYMILSALHHYANEDGPYKGRAGELYDKLRSNLIRNIVQNYHETGFFWENYDQNNKGKGKGARSFTGWTSLLVLIMAESYPTLHR >KQL04809 pep chromosome:Setaria_italica_v2.0:V:11990388:11992862:-1 gene:SETIT_001575mg transcript:KQL04809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHAVSPLTGGLLRRGVPLHHSRRLLAVAAVAPEAPAPTPAPSQPPPSPAPPRKGYFPKRGETVELNCEALAFKGKGVCKVAGSTFVLLCDGALPGERLIARVRRLRRGTFAEAAKLKTLEPHHDAVEAPCPLAADCGGCKTQALAYAAQIRHKHLQVRDLLVNVGKFDPKRLESSEPDAILKPIVPCDEIFRYRNKMEFSFGTKRWMQRGWKEKEEEVVEEEANEVDGYALGLHAPGFFDKVLHVEKCFLQSESADKVLAVVQETWMDPSLGLTPNDVHKHVGFLKHLMIRTGRNVRTGTPEVMVNFVTACYKPDLLMPLVDNITKIPEVVSVINNVNTSVGNTSVGEQEYTLYGKPNITEMLRGLTFQISANSFFQTNTKQADVLYELIEDSAGLKGDGSEIVLDLFCGTGTIGLTLARR >KQL07693 pep chromosome:Setaria_italica_v2.0:V:41281810:41283911:-1 gene:SETIT_001235mg transcript:KQL07693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQKPWLPVDLRLPAGPQASLGILAFEAAAAMSKLLSLHRSLSDQEVSRLRSDAMRSPGVAYLNSTDQAFLLRLACAELVVSLDAAAAAVARLGLRCGLDFGGVYSCLKAGAHDARLDPLVAKGLRVKAKKMERLVAATARLCSEMEALDEMESAERKMNVRGWRLSGPIPQNPQAAAAAAAAAAAQQQQAGDSPEAESLRQELKMQRLKVKRLKEESLWNQSYEKAVGLMARAACAVFVRICSIFGPFVPGLPPPLPSATTDSVQTRLSKLLHPRSARAKASSGPITRRDGPSRVHPPMSNSCPIIGLRPSGQKSPTDWRKLLDAPPSTVGGAGLDQQYANVIVSAEELLRMEAEGRQEEAAAERAEMYEMLPAKLRAAVRSKLREWWRDPGPLDEGLARGWKDAVDRIMAWLGPMARDTARWQAERNMDRTRRFDGAPRVYALQTLRWADKEKAEAAIVEVLVALSCICWYEERRRGSVRLG >KQL08670 pep chromosome:Setaria_italica_v2.0:V:46599967:46601332:-1 gene:SETIT_003955mg transcript:KQL08670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLALVNTFEDTFGDIYGGGVWSELSETASSNISQNVVSLLLHSMIWEKRISACTGSFIEWNGCATILTSASLVSHFVGDKKIAENLRIEVLLPNKERRGGALQHYNLHYNVALVNVKDFSAPHPVNIQPQRSNYSSELVAIGCCFKSGMLMAARGQHTEMLGSFDCKLLKCSTCKITKAGIGGPLVDSDGKFIGMNFCGPDLTVAEVGLDAYASGVLDWAIDGDNSSVRPNRYSELVIYQ >KQL04602 pep chromosome:Setaria_italica_v2.0:V:10173751:10176953:1 gene:SETIT_004342mg transcript:KQL04602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLRSATAGASPAATPSPAAASTPRGVKRRLTPGRAGESPATSRHTSPHRYPHADTAQMRAVKEALHVATVPSRVFEFCKACVEQQKAGSLYVCGCPGTGKTLSINKIKDSLVCWADEVGMETPDSLAINCTNLANTSEIFSKILGQFQNRKKGSGKLSPLQQLQSMFSNKESAPRRMMLVIVDEMDYLITRDRAVLHDLFMLTTCPYSRCILIGKHLAVTFHAYSKDQISDIIKHRLKVLEYDVFEPLALEFCARKVAAATGDMRKALGVCRSAVEVLEARLQDSSDQELGIVKFDHMDIALSKVFKSAVVDSILCLPQQQQMVLCALANTFQHCKKKATTLGELNRSYIEICGSTQVPAGFMNLGQSKEDKLRRVTLQIDISDIGFAFKENRFFQKCMEQPRC >KQL06312 pep chromosome:Setaria_italica_v2.0:V:32526682:32528475:-1 gene:SETIT_003085mg transcript:KQL06312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRAPCIQIIKTATVHFKLCKRDNTKQFHNSKIKFPLVSRKIRPPTRKLKTTFKASRPNLFM >KQL04389 pep chromosome:Setaria_italica_v2.0:V:8719097:8722825:-1 gene:SETIT_000946mg transcript:KQL04389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMYFLMDREEQREKRLELEFEVSELETVLEKEQRLGRVLQCSLQGRVVCHCCLSTLVPTNVRGLLGELAMVEDEIFYLEKKVEDLRLRLRREQRWTDQCIQQQQQQSWPQSRQPRHSVSRRELQLQGAQQLPKLPCPSSDEAVECESKASVGSASAKGDEMEHVTARRSSHCKPSETTPTPPERKVCLSSPNKLSEELIRLMVTIFQKLNKAGDAGELELGGASKLNISCIGPRSLVPRVAVTGAAAAMSPLKNRRASATAKAGHGADKETAAAGAGCHRRFVEFTRASVDVSRISLCLVDIKNLRGLMQKLCTADPSLLTNKQKLAFWINIYNFCVMHAFLQHGLPPSPEKLVALLNQASVNVGGTVLNVLSIEHLILRHSPEGKQGIMDEGQRDLLHLYGLGYPEPNVVFALCRGSRSSPALRVYTAEDVSNELERAKVEYLEAAVRVAGGRRQRAVVVPKLLHWHMRDFADDDASLLEWVHSQLPRASGPLRRAIREVLGASGGGRGTVGTPAPAAKMVEVEPYDAEFCYLLPVW >KQL06565 pep chromosome:Setaria_italica_v2.0:V:34247998:34256781:1 gene:SETIT_004565mg transcript:KQL06565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSGDALSSPSLSPGEAFWDYALRNFHSVVLAHVSASHAAVVALDHLAGAHLSVLQCARCTGLLPLPSIPGFFPPLPASLSPTPYTPQKTPIHRASFAAAAASPTKPPPQPSRPPPHAPLPPLHRRCFRCLTLDHHRATCRDPIRCRRCWISGHSELHCRAPPHRLAMPCLNFNGASEQSSPGFPPLSARRSTTSSSSSRLTPQPDPPDGHGAGPGGGGDSTAPAPVAADADFDSSDDALLDTENLLLPQHPDSVDVFMSSSHMACFTHLTYSIIDPPHQAPNDAIRDSLVAHGSNPRVMLTPSSYGAMLIMFESNAVHEHSMNAQPFLGREHSITLECHDETANLFHFEHGALISLAIKDFPMEHWNCECIIYSMRPYANPHLIDPIYLHGIDFSAVLLMEFFKNHTGLGTFTRVEIIHVLDLEDSNSDSCGPPSRSPDHPSLSSPTSILEDGDNLDGLGVARLKSGLADGPPGGGPSTAPPPPTPGAPSTCIIAHTYLEGPMYARCGDSPMMLRDILLVPLGCRRGDIIFLEVHARLLLVKPSFVEVFLHRGSIFEVVVTAPDGDRGVYKVSIVGERRNVFFSTNVVMCSLRLHTKEHPQQETVATAVVRIKKRKYDTDGHPASSSSSVHHISSDSNSDDGSTPLVTPQAPPCSLLPLPLPHRLDHYPPPVIFWFNNHWVRLEVFTQLIQAEIVQCCHKHGAAAIVLKLNFRKAFDSINWEAMESFLQAKGFPDLWCQWINLLNVSSQLTRLKELLDTFSATIGLYINYDKSTFLPIGVVASDASLMASILGCQFASFPQTYLGLTLSAYKLRLSNMMLMLLASTFRALFSFCRRSNISVHHAWAEGQWDLQLHPRLSTAATAELTVLLSALQHAQPDVPDRRGIGVQLQPFSTSGFYSCHRELLPPDPFAPCIWNNAAIPRCKHFLWLVHRQRLPSAALLHHRHIIDSPLCAFCGEYEDQEHLLLRCPRVRRLWRQDGWSMVPYLGTFHGLWDIPELSESADAVRSTVITALLWNIWKMRNSVVFNTVYQPMRLTIFAVASDLELWKHRVRRSTLVDALLQWSLIFSNIVT >KQL05073 pep chromosome:Setaria_italica_v2.0:V:15356330:15357276:1 gene:SETIT_004897mg transcript:KQL05073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNHANWDEGTMKTLLDLCIAQKNQFNWSNRCLTKLGWKNVYRSFREQIGLHLGSKQQNKLNNLRRTFLSWTALQKQSGLGHDTQTGGVDADPTYWEEDEEDPLPATSARDLSKWLAQELSVDSPRKKRSGSLEQYIKELSDSVAKRSQQRADRAQGEMVCAMQLIEEDGLQEGSPLYCQALYLCTKNPKYRMAFTQMKTKEGRLNWIQFNWDMLNK >KQL04444 pep chromosome:Setaria_italica_v2.0:V:9073893:9078792:1 gene:SETIT_003902mg transcript:KQL04444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALSLPSRGLTGVLSPAIGNLSFLRTLDLSSNGLSGGIPASIGNLRRLQTLNLSDNALSGELPANLSSCTGLTVMAIRLNRLQGRVPSELGDKLASLRVLDLRNNNLTGTIPVSIANLSSLSYLSLAFNQIQGNIPDLDGILGLKGLDLAYNSLSGALPSSIYNLSSLQMFQIQGNMFHGGIPADFGSRFPGMRILDFSRNQFTGPIPASFSNLTNLQFILLSSNRLTGYVPPTLGRLQALQGLYLYNNRLQADDEAGWEFIASLSNCSQLQQLTLDSNAGLTGQVPSEIGNLPTTLQVLLLDDTGISGRIPSSIGNLAGLQVLNLDNTSITGVIPESIGKLGNLGVLHLYNTGLSGCIPSSIGNLSNLFQLSAYNTSLEGAIPASLGKLTDLQSLDLSMNRLNGSIPIEIFRLSIISMYLNLSHNLLSGFLPAEVGKLSNLNILDLSGNKLSGGIPDNIGECIVLQGLWLDNNSFEGSIPQSLNNIKGLTTLNLSMNKLSGKIPDTIGSIRNLQQLYLAHNNLSGLIPAVLQNLTSLSELDLSFNNLQGEVPKEGIFRNLSTLSITGNNGLCGGIPQLNLDPCHTSSIKKNTKGWLKSLTIALATISAFLFLAFMIALIRLKGNKLRRRKKSPFLPPITDEQYERVSYHALANGTNGFDEVNLLGKGSFGAVYKCTFQDEGTIVAVKVFNLEQVGSTRSFVAECEALRRVRHRCLMKIITCCSSINHQGQEFKALVFEYMPNGSLDGWLHPKSETPTQTNTLSLEQRLHIAVDIMDAMDYLHNHCNPPVLHCDLKPSNILLAEDMSARIGDFGISKILPECASKTLQNSNSTIGIRGSIGYVAPEYGEGSSVSTLGDVYSLGILLLEMFTGRSPTDDIFRGSLDLHKFSEDALPERILKIADTTMWLHTDAYEALQEVNTTRSRIENCLVSIIALGISCSKKQPRERTPIKDAVTKMHAIRYSYKKLLNSNMEQQ >KQL05696 pep chromosome:Setaria_italica_v2.0:V:27048764:27070367:1 gene:SETIT_000002mg transcript:KQL05696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEREAALLARVAANHLFLGQFEALRAALLSLRRRADPGLAADFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLAALELAALPSTPNPEALRLKAEFLVLLQPIADDPAVGAEARETLTRLLDLGVVRLRREVEGGGGEAVAIVEEAPVTEKDLRRLWGVFLDNALVFDALCVGISRQIGLDGGFGADVLLWLRQNVQLAHLDSMKTLLAEDDLDGAAGHLRFLCLDHGVEEDDYKVIISELFRKGWTKASNYGGRWSQLRDRITKMYGAALQSTSPQLVQLVQLILDDIVSEEIEDHNVSDANGMPLPFTKFVETLSLERGTDSDDRTLLDAAITSCKKDLYHYCRLSGKHMLEVVLETALSSIKREQLQEAVDVVSLFPLLQPLVAVLGWDILKGKTALRRKLMQLFWTSKSQALRLQEYSNYRSQTDETSCEEYLCDLLCFHLDLACFVSSVNSGHPWNLRNSLLFPQQDQGSDVNNAEILDPFVENLILERLAVQTPMRVLFDVVPGIKFQDAIELVGMQPLPSATAAWKRMHDIELMHMRYSLQSVVLALGEMEKCAADGNECYYHKALSYLREMQNFMEAIKSTPRKIFMVSIILSLLHMDDCVKLSQAVPSECYVTHECHESNIESEGKNMVIYFVGLLLDILSHNLQVKGPDMDHLSSTSLSPAGRQALEWRLKHAKHSIEDLDWRLSVLKRLQPPSERQWSWKEALVLLHAAPSKLLNVCMQRENYDIGEEAVQRFSLPAEDKASLELAEWVAGAYKRALVEDAVNRATNNNAGQELDILSLRAQLGSLTTILLCVDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKEGAAYWDQIQELATISVIKRILQRLHDIVDLEPLPYLQVFFTEMSISSSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLSGKLHNVARAIVDEDFDSVYAKEGVNLEKKDVLSSEKGVVLGHGLRILKQASRSDLASSNVPESSSEHKGSTNRYMGPLSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAAKVADTMGADFVHEIISACVPPVLPPRTGHGWACIPIIPILCNISSENRPCAIPKSLPPAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDNESSPTYVKDTTQAPEIERSFFEFALEQSERYPTLNRWIQMQSNLHRVSESSVTDKSENEVSLHQSKGKFSMKRAREHDSDGESELDDIIISGNATSGPLESPKHEDTRLEPTAFISFDWENEGPYEKAVERLITEGKLTDALAVSDRCLRNGASDKLLQLLIEQKEDRSQGTGQIRAYGSHNLGSDSWQYCLRLRDKKLAVQLALKYLRSWDLDPASNVLTMCMCHLTQNDPMRSEVLHMKQSLQRYGHIMSADDHYTRWQEVEADCEADPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGSLSDAEIARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLIVEVLLMMKQFQSASLILKEFPTLRDDRLIISYAKKAISINVSSTPKERRPSISASRAKQKKATTPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDSLRKRKSSGSGGDRSSWDALPGVQEERTPVYPSEGQDKLPFVSAPDEWVLTGEPDKDDATRSSHRYETSPDITLFKALISLCTDESVAAKGALELCMTQMKIVLSSQQLPLDASMDNIARAYHATETYVQALSYAKNLLKKLIGSSDLSSGSERSRDVDDISVDTGSSSTGSQYQDELSDLLAQADMWLGRAELLQSLLGSGIIASLDDIADKESSTSLRNRLVSDERYSMAVYTCRKCKIEAFPVWIAWGHALVRMEHYAQARVKFKQALQQYKGDAATVVTEIINTIEGGPPVDVSSVRSMYEHLAKSAATIFDDSLSADAYLNVLYMPSTFPRSESSRQSRDPIDNQFTSATSYLEDGPRSNLDSVRYAECIHYLQDYARPQILAFMFRHGHFSEACSLFFPFSQQTTEGETSLSSVPWSDPLTTDYGTIDDLCDLCLGYGAMAVLENTIRAITQSPAYHETPVIQYMNTVLTRICNYCETHRHFNYLYNFLVLKDDHVASGLCCIQLFMNSMSQEEALRHLGHAKTHFEEALSVRDRTIEATKLVLRSARNKSTPGKMTRETIMKYSTRVSYQMDVVKALNSIDGPQWKTSLFGNPTDPETLRRRCMVVETLAEKHFDLAFRMLHEFDLPAVDIYAGVAASLAERKKGGQLTEFLKNIRGTIDDDEWDQVLGAAINVYANKHKERPDRLIDMLISNHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDLCKQWLAQYM >KQL05695 pep chromosome:Setaria_italica_v2.0:V:27048764:27064534:1 gene:SETIT_000002mg transcript:KQL05695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASEREAALLARVAANHLFLGQFEALRAALLSLRRRADPGLAADFLRAVVAAGGRVPGVLWSAPPACPSPSHLAWLAALELAALPSTPNPEALRLKAEFLVLLQPIADDPAVGAEARETLTRLLDLGVVRLRREVEGGGGEAVAIVEEAPVTEKDLRRLWGVFLDNALVFDALCVGISRQIGLDGGFGADVLLWLRQNVQLAHLDSMKTLLAEDDLDGAAGHLRFLCLDHGVEEDDYKVIISELFRKGWTKASNYGGRWSQLRDRITKMYGAALQSTSPQLVQLVQLILDDIVSEEIEDHNVSDANGMPLPFTKFVETLSLERGTDSDDRTLLDAAITSCKKDLYHYCRLSGKHMLEVVLETALSSIKREQLQEAVDVVSLFPLLQPLVAVLGWDILKGKTALRRKLMQLFWTSKSQALRLQEYSNYRSQTDETSCEEYLCDLLCFHLDLACFVSSVNSGHPWNLRNSLLFPQQDQGSDVNNAEILDPFVENLILERLAVQTPMRVLFDVVPGIKFQDAIELVGMQPLPSATAAWKRMHDIELMHMRYSLQSVVLALGEMEKCAADGNECYYHKALSYLREMQNFMEAIKSTPRKIFMVSIILSLLHMDDCVKLSQAVPSECYVTHECHESNIESEGKNMVIYFVGLLLDILSHNLQVKGPDMDHLSSTSLSPAGRQALEWRLKHAKHSIEDLDWRLSVLKRLQPPSERQWSWKEALVLLHAAPSKLLNVCMQRENYDIGEEAVQRFSLPAEDKASLELAEWVAGAYKRALVEDAVNRATNNNAGQELDILSLRAQLGSLTTILLCVDVAATSARSGDMCRFLLDEATSLLSEIFPGSSPKEGAAYWDQIQELATISVIKRILQRLHDIVDLEPLPYLQVFFTEMSISSSTESSRVGQKQRPLGLLHQMIDDAFKGKRQFLSGKLHNVARAIVDEDFDSVYAKEGVNLEKKDVLSSEKGVVLGHGLRILKQASRSDLASSNVPESSSEHKGSTNRYMGPLSTKPSTYLSNFIIYIATIGDIVDGTDTTHDFNYFSLVYEWPKDLLTRLVFERGSTDAAAKVADTMGADFVHEIISACVPPVLPPRTGHGWACIPIIPILCNISSENRPCAIPKSLPPAQGWSAHDSSLSSRQEPLYPLQLNLVKHLAQLSSVRAVLACVFGSSILSGDNESSPTYVKDTTQAPEIERSFFEFALEQSERYPTLNRWIQMQSNLHRVSESSVTDKSENEVSLHQSKGKFSMKRAREHDSDGESELDDIIISGNATSGPLESPKHEDTRLEPTAFISFDWENEGPYEKAVERLITEGKLTDALAVSDRCLRNGASDKLLQLLIEQKEDRSQGTGQIRAYGSHNLGSDSWQYCLRLRDKKLAVQLALKYLRSWDLDPASNVLTMCMCHLTQNDPMRSEVLHMKQSLQRYGHIMSADDHYTRWQEVEADCEADPEGLALRLAAKGAVSAALEVAESASLSIDLRRELQGRQLVKLLTTDPLNGGGPAAASRFLSTLRDSNDALPVAIGAMKLLPDLRSKQLLVHFFLKRTVGSLSDAEIARLNSWALGLRVLSLLPLPSQQRCSSLHEHPQLIVEVLLMMKQFQSASLILKEFPTLRDDRLIISYAKKAISINVSSTPKERRPSISASRAKQKKATTPAKTNFVQSFGNFQREARKAFSWVPRDSGTKTPPKDSLRKRKSSGSGGDRSSWDALPGVQEERTPVYPSEGQDKLPFVSAPDEWVLTGEPDKDDATRSSHRYETSPDITLFKALISLCTDESVAAKGALELCMTQMKIVLSSQQLPLDASMDNIARAYHATETYVQALSYAKNLLKKLIGSSDLSSGSERSRDVDDISVDTGSSSTGSQYQDELSDLLAQADMWLGRAELLQSLLGSGIIASLDDIADKESSTSLRNRLVSDERYSMAVYTCRKCKIEAFPVWIAWGHALVRMEHYAQARVKFKQALQQYKGDAATVVTEIINTIEGGPPVDVSSVRSM >KQL05845 pep chromosome:Setaria_italica_v2.0:V:28397653:28399064:-1 gene:SETIT_002871mg transcript:KQL05845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQDEEPRPSCNCKKTTCLKRYCQCFQGEFFCSSACNCKGCWNREDRRTFVEEHAELRLNTKPGVLSKDIAVTGEKRSHVKGCTCNKSGCKKNYCECFKKKVACTTRCKCQGCENSHGTGGKGLQENGDPGGPSGNPNEAPDGGDGSPGVSNESAVVTDEDLPGPTEAGVAENVAAIANPKFSGTNIHEVGWHSLPPEWSLQGVLLHDNANSTLQGP >KQL03606 pep chromosome:Setaria_italica_v2.0:V:3375988:3376742:-1 gene:SETIT_003685mg transcript:KQL03606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINCAPKINLTNLKHVICSSVLSSSFVVALVPVTSLVAHSHRSLLNRFSQTGKTMMTN >KQL06371 pep chromosome:Setaria_italica_v2.0:V:32899782:32900234:-1 gene:SETIT_005295mg transcript:KQL06371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGYLMVSDCFLKYYFSFLVDRSRAKLLTALVISHL >KQL06682 pep chromosome:Setaria_italica_v2.0:V:34978178:34978774:-1 gene:SETIT_003857mg transcript:KQL06682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAAVVDDDAPYPICFVRDDQFIVKARLVLYCTLTIPAIMLPTCFLPSIDYVPPYTVGLAAVEGLINNAAPGRRTTVSPAFNLTLHVENRRIFQAWCHNHGEVKVSYSGITLAWGRVPGLCAQRRSAANLAVVTWGKGVYLSDELNGRLSSEWHAGTAKVFVEMKLHYYPNYVFPIMSRPGTFSISQELKLGDTNELQ >KQL03437 pep chromosome:Setaria_italica_v2.0:V:2359978:2363784:1 gene:SETIT_004820mg transcript:KQL03437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYQGNNLRDFLENNGHVVLQRVNNYNLRSFTEKEIEHITNGYSNLLGKGAFVKKYKDGMRKEEFAKEVIVHCQINHKNVVRLLGCCTEENTLMIVMEFVCNGNLSNILHCSNANGHVPFPLDKRLDIAIELAEVLSCMHSMYSPVLHGDIKPANILLDENLVPKLSDFGIARLLSTNETQQTRNIVGSIGYLDPLFIQTGLLTPKSDVYSFGVVLVEMITRKKVADGNVNLIQNFTKSLKRGKAVRKMFDEEIVYGKKKIKVLEDIAKLAVDCLRLEDILRPEMVEVADKLRKCRKDLELRRMGEKTGPSILLRSPVKIALSAAQGIEFLHHKTESCIIHSDIKSSNILLFDNDVAKIGDLRVSKNQPGYLDDILLDCVLPSNNVLSGDEVQVQQYVDPRLRGKYPPKAAAKRKADSRPSMSIVVTDLRSLLLPESTPSKLWHW >KQL05932 pep chromosome:Setaria_italica_v2.0:V:29176415:29181940:1 gene:SETIT_000616mg transcript:KQL05932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTTRDLEFGSDINSLKSYCYWSTAEDTALHKDSTPDSTAYSSFSISNSKASKRKQGAMAGVKGTGNPLLTLGLGCSPSSSDNSKLSSGTACVMSSSLLKDIDDESSVDLGLNLGFYVSNDIAPCQQKSHGVKNAPLTNSSKLDLQLSLSTGSPESVVIDANMMSPNCLEMPMTNSSPAIVGEGSVPHNWIFDHSVVSSSYASEATCSFPFPKKTDEGNPSAPSPVISSAMFTSVKSPGASTSETTNPQLRSSNTKSCQFPGCMKGARGASGRCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGHRCSHEGCSRAARGKSGLCIKHGGGKRCQKENCTKSAEGHSGLCIAHGGGRRCQFPDCTKGAQGSTKFCKAHGGGKRCTFLGCTRGAEGSTAFCKGHGGGKRCAFQGGGVCPKSVHGGTQYCVAHGGGKRCASSGCTKSARGRTDYCVRHGGGKRCKSEGCTKSAQGSTDFCKAHGGGKRCSWGQADATFGIGVQQCDRFVRSKTGLCSAHSALVQDHCVHGGGTLGPAIHQFAMNAKPTEMKVAAVKGDPHEKTILGGPLRNGAVHPSAPAQPMTNDPLPEGRVHGGGLLALLSRGGSNTSAGGSENCALGKIAWM >KQL05933 pep chromosome:Setaria_italica_v2.0:V:29176415:29181940:1 gene:SETIT_000616mg transcript:KQL05933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTTRDLEFGSDINSLKSYCYWSTAEDTALHKDSTPDSTAYSSFSISNSKASKRKQGAMAGVKGTGNPLLTLGLGCSPSSSDNSKLSSGTACVMSSSLLKDIDDESSVDLGLNLGFYVSNDIAPCQQKSHGVKNAPLTNSSKLDLQLSLSTGSPESVVIDANMMSPNCLEMPMTNSSPAIVGEGSVPHNWIFDHSVVSSSYASEATCSFPFPKKTDEGNPSAPSPVISSAMFTSVKSPGASTSETTNPQLRSSNTKSCQFPGCMKGARGASGRCIAHGGGRRCQKPGCQKGAEGRTIYCKAHGGGRRCQFLGCTKSAEGRTDHCIAHGGGHRCSHEGCSRAARGKSGLCIKHGGGKRCQKENCTKSAEGHSGLCIAHGGGRRCQFPDCTKGAQGSTKFCKAHGGGKRCTFLGCTRGAEGSTAFCKGHGGGKRCAFQGGGVCPKSVHGGTQYCVAHGGGKRCASSGCTKSARGRTDYCVRHGGGKRCKSEGCTKSAQGSTDFCKAHGGGKRCSWGQADATFGIGVQQCDRFVRSKTGLCSAHSALVQDHCVHGGGTLGPAIHQFAMNAKPTEMKVAAVKGDPHEKTILGGPLRNGAVHPSAPAQPMTNDPLPEGRVHGGGLLALLSRGGSNTSAGGSENCALGKIAWM >KQL05051 pep chromosome:Setaria_italica_v2.0:V:14865614:14873539:1 gene:SETIT_000849mg transcript:KQL05051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSTAMSLSAASAAAVASSAASSRPNAIRPAAGLRFCGLRREALGLRSLRSSPQVVAAMRSVAAAATAGNGAAGSGGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGKMRELQDEHHMKSLGLQVSSPGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGIGTIVGKQKVRYGKVGFPDNEITARNIIIATGSVPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRILINPRKIDYHTGVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMQVMDADGSVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDNILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEVSVVKTSFKANTKALAENEGDGLAKMIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKFAVHAHPTLSEVLDELFKAAKVNSAGVSHSVNEPVAA >KQL08770 pep chromosome:Setaria_italica_v2.0:V:47018718:47019802:1 gene:SETIT_002228mg transcript:KQL08770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLVVVLAAALLAGVASAAGIPPKGGRKPPPNSRFVMLAPKTFGHKRNYQVSCSDEGGPACYVGCPKECPNKCLVFCAYCLSFCMCDIFPGTSCGDPRFTGGDGNTFYFHGKKDDNFCIVSDSDLHINAHFIGNHNPDLKRDFTWVQALGVTFGAGHRLYVGARRDAEWDEDEDHIQITFDAEPVDIDAVKNARWVSKALPGLSVTRMDTVNTVMVELAGVFSISANAVPITDRDNRIHKYGKTEGDSLVHLDLGFQFHNLTKDVDGVLGQTYRPGYVTKVDIKAKMPIMGGAPKYLSSTLFSTDCAVSRFHRSAGAAGTTFAS >KQL03670 pep chromosome:Setaria_italica_v2.0:V:3827987:3828707:-1 gene:SETIT_002995mg transcript:KQL03670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMQKSHQERAQSAAQKAAAELHAARRDQVRDEAPASPRAGGGGGILSSVQDSARSVMGAVQDTFSSGDGGRGSGTNTGTTRDSAAGDTMATAGDYAEEGKAKARGVADAAMGKAAETKDAAADRARGAMDAAADRAEGAKEYAADKTKLRGEESEEDVMMRVKAADQMTGQAFNDVGMMGEEGTGMPRRRRSG >KQL05753 pep chromosome:Setaria_italica_v2.0:V:27562778:27565648:-1 gene:SETIT_005169mg transcript:KQL05753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLRVSCIQIAIALLVFTHTKSSTQASTETNGTIKKCIAHERSALLTFRAGLSDPANRLSSWEGDSCCQWKGVQCSNRSSHIVKLDLQGPDCDNSDASMQVLGGNISSSLIVLQQLQYLDLSCNRFDKVQIPEFLGSFHELRYLDLSQSSLVGRIPPQLGNLSNLRYLNLDSIFGDTHSTDITWLSRLSSLEHLDMSWVNLSTITNWVSVMNMLPSLVSLDLSFCDLSTSPDSLWHSNLTSLEYLSISANNFHKLIAPNWFWDLTSLKYLDASACQFDGPFPDEIGNMTSTVHLDLSENNLVGMIPPNLKNLCNLQELFLFQNNINGSITEFINRLPSCSWNKFKTLFLPESNLTGSLPAKLEPFRNLAWLELSDNKLTGPVPLWVGKLTNLAELDLSTNNLDGTLHEGHLSGLVNLERLSLSDNSIAIRLNSTWVPPFSLTEVELRSCILGPKFPMWLKWQTNIYNLDISNTSIYDMVPDWFWTMASSVNTLNIRSNQISGFLSPKMELMRAFAMDLSSNQFTGPIPKLPINLTKLDLSRNNLSGPLPLDFGAPRLETLFLYNNFISGSIPSSLCKLRLLRLLDLSGNKLTGTLPDCQDYESTTNTTSFSIHNLSLRNNNLSGEFPLFLWNCQQLIFLDLSHNQFFGTLHSWIGGRLPSLAFLRLRHNMFCGHIPVELTNLVKLQYLDLAYNNLSGIIPKSIVNFKGMALTADKYDDEYEGTLDSGMSEGLNEMIEYDDNFTVVTKGQEQLYTGEIIYMVNLDLSCNNFIGNIPEEIGSLVALKSLNVSWNSFTGKIPEKIGALVQVESLDLSHNELSGEIPTSLSALTSLSHLNMSYNNLTGKIPSGNQLQVLDDQASIYIGNPGLCGPPLSNKCPETGMISVVPEDRKDAGGDAFFLSISTISGFAIGLWTVFCILLFGTKQRIACFAASDSLCDWLYAQVALCWSSLNDYR >KQL05341 pep chromosome:Setaria_italica_v2.0:V:22944145:22946150:-1 gene:SETIT_004397mg transcript:KQL05341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FASPPFLCCHSLRLLWIDSCSDNEVANELVEKQESRWISLKGLWVLDIRRTDWAWILTPEMMELMVELGELNLKAVSAGRRSWCTTTSKFKWSHNLRKLRVVESPTFLELELLDLSGNSTMESLPNLSSASSRLKVLILDGCDGLETVEPGVLPASLESLSFNGFGPALRWKHLLQVPGTEDRPSARETRQKLPRVSKISLQGLTQLKDVFLRGLPDLEELNLSGTAVEALNLEAMQVQERIFLMGREKLRRVRWRNIKKPPLKLLCVDTSRKETRSLDDGCHGSQQDTTSLVPLVHIVVTDVRFLRGFMFGNPSDIVFNCFHLHLSSTVWHSQVKGVNKEKDISSGDEWSVPQAVRSSFPYLGVHNNMVGQGDAEDDGSLMQEIMALQTLQRYIEISEGGCSSEATNKNPDKRSMDYLLYEVQSLHVHDHSSITFCSIKRILEQFVNMRWCMIDWCPKLQTVFRKNFDHIHVKSFMSLETFKASHLREVQCIWSKGIRFIDDDADPFLAFGKLRNIHLHSCPSLKFVLPWSFATLPSLEIIHITYCATTIKFPRLKRIHLHDLPMLQQICETDMLALALETIKVRGCWSLRRLPAIHSGRGNDKAPALVDYEEDWWDKLQWDGLEESRNLFAPCYSRY >KQL08484 pep chromosome:Setaria_italica_v2.0:V:45664162:45664467:-1 gene:SETIT_004509mg transcript:KQL08484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRSRVALAAVVAAVLLLVAASPPRGAAAHGMACSTGTVGECAMGRRRELGGSGYIGYGAMSAGNVPCSYRGASYYNCRPSGAANPYSRGCSAITQCRG >KQL05842 pep chromosome:Setaria_italica_v2.0:V:28361974:28365375:-1 gene:SETIT_001993mg transcript:KQL05842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPAPWRVLEFYSGIGGMRYSLMASGARAEVVEAFDINDVANDVYEHNFGHRPCQGNIQTLTASDLDKYKAHAWLLSPPCQPYTRQGLQKHSADARAFSFIKILNLMQNMSHPPQMLFVENVVGFEVSDTHDQLLEVLSSLNFNLQEFILSPLQFGVPYSRPRYFCLAKREPMGFQNASFNKKLLWTPMCVSQTMNSTSQDSDLRTEEELDPVCKPVKDFLVKEDDGGIRNETILQDYIVPLNLIERWGNAMDIVYPESKRCCCFTKSYYRYVKGTGSLLATSENFKCVPEEKLAVSSLKELGLRFFTPREVANFHSFPSSFCFPDHISLRQQYAMLGNSLSVAVVGPLLRYLFAEA >KQL07061 pep chromosome:Setaria_italica_v2.0:V:37418209:37421286:-1 gene:SETIT_004992mg transcript:KQL07061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVSIYYGGTVERDEYGCVKFVGMQCEVVIFDEKPSFSELVARAREELHCHENDEITVEEPVMDVDVAPTIPDAESAPNEVVGHGCRIVDDVADSPNEFLFTQNDPNAYEMGVDLDSDDDRPVGEMTESDIEMFRRIFPGRRDPIVNEFSDLSLSDQAFAEGRDDELLEAPEAGPSMVIEEGRVFKDLPALKRWLQAFAVIRKRPYKVVHSYAERRYTVVCDKERCPWRVCARKQNITGKWKIIKVVGPHNCADHELTVRHPQLTSTLIAKRLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWRMIYGDWESGYEQLPVLFNAIKAVNPGMHYEYIPKPNAWKEDGRQIFGRAFWWFPQSVEAFRHYRPVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHVVGPGREVGVISDRHQGILHAVQEQIEGYAPLHHRWCTRHLAENLLRKDGVKDNFDLFQVAARQLEDYYFQRKLEQVRTATNAEGRQWLAGLMRDLDKWTRSHDAGGWRYEFQCSNMAESFNKLLLGIRGMPVNAIVEFTFYRLVAWFNERHAKAEALQVAGERWAEKPKRHLIIANERASTHEVQCFDLGSGTYQVEHRGGTTSDGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAARHRNFDIESMIPHEFSVATLVRTWSPRFVPFRDPREWPPYDGSKYVADPAYRWNKRGTRKRTRHNMTMDQVSGRTRRGRATPFLADPEQNECGKCGRLGHNSRTCRWQISEDGALPPSRPGVRPDPPRSSHSGRAGPSAPSF >KQL05984 pep chromosome:Setaria_italica_v2.0:V:29772256:29774559:1 gene:SETIT_000992mg transcript:KQL05984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGAPLAAAASVPWSILLGGLLALVLLWKVGGLLHQMWWRPRRLERALRAQGIRGTSYRFLTGDLKEYGRLAKEAWSKPLPLRCHDIAHRVTPFVHNIVQEHGKMSMSWFGPNPKVTIVDPELSKDVLSNKFGHFEKLKFPALSKMLGDGVASHEGEKWVKHRRILNPAFHLEKLKRMLPAFSACCEELVSRWSESLGSDALLELDVWPELQNLTGDVISRTAFSSSYHEGRRIFQLQAEQAELVMTNIRKIMIPGYMSLPTRNNRKMRKNNKEVESILRDIIGKRIQAMKQGKSTEDDLLGLLLESNMRETEENGQSSKGMTIEEVIEECKVFYFAGMETTSVLLTWTMVVLSMHPEWQDRAREEVLGLFGKNKPDYEGLSRLKTVTMILYEVLRLYPPAISFVRKTYKEMEIGGITYPAGVILELPVLFIHHDPDIWGRDVHEFRPDRFSEGISKASKDPGAFLPFGWGPRICIGQNFALLEAKLALCMILQRFEFELAPSYTHAPHTVITLHPMHGAQMKLRAI >KQL06595 pep chromosome:Setaria_italica_v2.0:V:34504361:34509020:1 gene:SETIT_000953mg transcript:KQL06595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDSAYYDVLEVSTDASVAQIKKAYYLKAKLVHPDKNPDNPDAAWRFQELGEAYQVLSDPVKKEAYDKYGKEGLPQDNMIDPTAVFGMLFGSDYFEDYVGQLALASVASVEIEEESTTPEARTRVQEKIKNLQTEREQTLIQSLKDRLQPYVDGRQEEFGDWANAEARRLSQAAFGEAMLHTIGYIYVRQAARELGKNKLYIGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEEGDNKEEQLIKNIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLKETNIPRDVLKLRARGLKKLGTIFQQGGQMAEYHGEYGHPYPRVDQYGNPVPPVDQYGNPVPREPASAGLGGTAPLYGAAGDTTGAGYVAAPPGDYGTAGGAAYPSGGVAPGETALAYEGMVGGGGGIGAATAGMGGQLQPTREEEHTFGSSQLEPASREEHTTLGEKLTRSGSSSSSSSSFSEDDGQGGRRKKKSIKEKIKEKLPGTHKHEERKAGHAAPATTTTGTTHAAGAHEKKGFMDKIKERLPGHH >KQL06596 pep chromosome:Setaria_italica_v2.0:V:34504329:34509020:1 gene:SETIT_000953mg transcript:KQL06596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDSAYYDVLEVSTDASVAQIKKAYYLKAKLVHPDKNPDNPDAAWRFQELGEAYQVLSDPVKKEAYDKYGKEGLPQDNMIDPTAVFGMLFGSDYFEDYVGQLALASVASVEIEEESTTPEARTRVQEKIKNLQTEREQTLIQSLKDRLQPYVDGRQEEFGDWANAEARRLSQAAFGEAMLHTIGYIYVRQAARELGKNKLYIGVPFIAEWVRDKGHHVKSQVNAAAGAISLIQLQEGIKKIEEGDNKEEQLIKNIEEKKDAMLNSLWKINVVDIESTLSRVCQAVLKETNIPRDVLKLRARGLKKLGTIFQQGGQMAEYHGEYGHPYPRVDQYGNPVPPVDQYGNPVPREPASAGLGGTAPLYGAAGDTTGAGYVAAPPGDYGTAGGAAYPSGGVAPGETALAYEGMVGGGGGIGAATAGMGGQLQPTREEEHTFGSSQLEPASREEHTTLGEKLTRSGSSSSSSSSFSEDDGQGGRRKKKSIKEKIKEKLPGTHKHEERKAGHAAPATTTTGTTHAAGAHEKKGFMDKIKERLPGHH >KQL08056 pep chromosome:Setaria_italica_v2.0:V:43353439:43355409:1 gene:SETIT_001651mg transcript:KQL08056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRHENGHGAAATAAAAAAAVGGGGRAGEGGGGDAHEDDLVMPGFRFHPTEEELIEFYLRRKVEGKRFNLELITFLDLYRYDPWELPAMASIGEKEWFFYVPRDRKYRNGDRPNRVTASGYWKATGADRMIRAENNRPIGLKKTLVFYSGKAPKGVRSSWIMNEYRLPPDHTDRYQKTEISLCRVYKRTGIDDGHGHPSARSTPSRRATAQQDIKQASSSSTPTPPTSPPKMMQLLHGECTSAPTIRDHAAAHNDNKPPPPAAAQRQLPTKPCNGGYLLSTASSAAGASDHLQVGAAATPPSSYDQSRNANAFASTYSLLSLVNAASMGGGSAAAAIDELSSLVGHGPPAYFNHQAGGSFLPLPTPSSSQPMALGTLPMSLAAISDKIWDWNTIPDAAARDYSSAGFK >KQL03471 pep chromosome:Setaria_italica_v2.0:V:2575103:2576154:-1 gene:SETIT_003130mg transcript:KQL03471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAPPATAAAGAGRRIVVAVDEGEESVHALTWCLANVVSPAGGDTLVLVHARRSRPVYAAMDSAGYIMTSDVLASVERHATAVSAAAVDKAKRICAEHPHLAVETLVESGDPRDVICDATDKVGADLLVMGSHGYGFIQRAFLGSVSNHCAQNCKCPVLIVKRPKE >KQL06552 pep chromosome:Setaria_italica_v2.0:V:34136486:34143219:1 gene:SETIT_000612mg transcript:KQL06552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSSALSPGEARSSSAYNFGSAFCFIIGILALMIGNNWSVYREPAAEISLNQTSKPNRRGSKTSAGRGPTPHHRASAGAAAGAAAMSALQSWRKAYGALKDSTTVSLANLSSDFKDLDVAIVRATNHVETPPKERHLRKIVAATSLARPRADVAYCIHALARRLAKTRNWIVALKTLVVIHRLLREGDPTFREEFLAFTQRVRILQLSNFKDDSSPIAWDYSSWVRTYGLFLEEKLECFRVLKYDIEAERSSKQGQGPEKGHSRTRELNSQDLLEQLPALQQLLYRLIGCRPEGAANNNYLVQYALALVLKESFKIYCAINDGIINLVDKFFEMPKHEALKALDIYRRAGQQAGSLSDFYESCRGLELARNFQFPTLREPPQTFLSTMEEYVKEAPRMLPVTEPLELPERLLLTYKPEEAEAAPEPVPIVEEKPQIVEEPAQVPSTSEIASPPPKPEIADTGDLLGLSDPNPNVSVIEESNALALAIMPTGVDNSTTSTATQQDKGFDPTGWELALVATPSTNTNPLAMESSLGGGFDKLTLDSLYDDGTYRQMQQQQLYGSAPPNPFMASDPFAMSNQVASPPSVQMAAMAQQPHPLMIEANPFGPPLQPQHAGMAPAANPFLDAGFGAFPAANGMHPQANPFGAAQLL >KQL03682 pep chromosome:Setaria_italica_v2.0:V:4019720:4019941:1 gene:SETIT_003903mg transcript:KQL03682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVLPTIEGSSSHPSSSKKPIHTSKLPEAYHVNEILTGHESLCKRNFRMEVHVFHALVHKLQEKQLLLIQELS >KQL05987 pep chromosome:Setaria_italica_v2.0:V:29824136:29826809:1 gene:SETIT_000997mg transcript:KQL05987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILGASPAAAPPWSLLCALGALAALWCAWRALGRFWLRPHRLGRALRAQGLPVTDYSFPSGDMKEFVRLAAAARSQPMPPASHAIAPRALSFEHSITRQHGNVAVTWFGPEPRVIVNDPKLMREILANKHGQFGKQRSFLWIERLLANGLTVHQGEKWVTHRRIINHAFHLEKLKRMLPAFAACSSELIRRWEDSVGSDGMQEIDVWPEFQNLTGDVISRAAFGSSFSEGRRIFQLQSEQAQNASQMASKMHIPAYRFLPTRLNKRMQANAREVEELLKGIIKKRERAMKEGLNNDDLLGLLLESNTKESHEIGSAKPIMTTEDIIGELKLFYFAGQETTAVLLTWTMVVLSIHPEWQDRAREEVLRIFGKNQLDSEGINQLKIVTMILHEVLRLYPPLLILARETYLETELGGVKYPPGVTFALPIACIHHNPEVWGEDVDEFRPERFKEGVSKASKDAPAFFPFGWGPRICVGQNFALLEAKMGLTMILQHFSFELSPSYTHAPCAISSLQPQYGAQIKLKKL >KQL03185 pep chromosome:Setaria_italica_v2.0:V:265733:270128:1 gene:SETIT_001336mg transcript:KQL03185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVSSLRSPSKAADQRGFVGDHVGFPTSAGTDDFMVDDNLLDYIDFSSCCDMPFFDADGDILPDLEVDPTESLLAEFSSPETSPAAADGGGGAEAKPPDEKQEQVAAKTAAAEETKADDDEKAAAGGVVVTRKRNGDEVTTTEEDSAGAGAGSDTKSSAAAGGHSKKKASAGKDSNGKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIEGLTRHNIASHLQKYRSHRKHLMAREAEAATWAQKRQLYAAAPVARKVEAAAAAGPPWVVPTIGFPPPPPPAMAPPPPPFCRPLHVWGHPPTAAVEAPATMLPVWPRHLAPPRPWVDPGYWHQQYNAGRTKWAPPQAAAAVTQGTPCVPPPPAMVQLPRFPHPMYSRPPVMVPPPPPPPTTTTTKLAELQLQLDAHPSKESIDAAIGDVLVKPWLPLPLGLKPPSLDSVMSELHKQGIPKIPPASSTG >KQL03186 pep chromosome:Setaria_italica_v2.0:V:266163:269223:1 gene:SETIT_001336mg transcript:KQL03186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEVSSLRSPSKAADQRGFVGDHVGFPTSAGTDDFMVDDNLLDYIDFSSCCDMPFFDADGDILPDLEVDPTESLLAEFSSPETSPAAADGGGGAEAKPPDEKQEQVAAKTAAAEETKADDDEKAAAGGVVVTRKRNGDEVTTTEEDSAGAGAGSDTKSSAAAGGHSKKKASAGKDSNGKRKVKVDWTPELHRRFVQAVEQLGIDKAVPSRILEIMGIEGLTRHNIASHLQKYRSHRKHLMAREAEAATWAQKRQLYAAAPVARKVEAAAAAGPPWVVPTIGFPPPPPPAMAPPPPPFCRPLHVWGHPPTAAVEAPATMLPVWPRHLAPPRPWVDPGYWHQQYNVRARPALHAVAIVVHACDSLAPAPFGLQFGSLRS >KQL07462 pep chromosome:Setaria_italica_v2.0:V:39862613:39863131:1 gene:SETIT_004778mg transcript:KQL07462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLLLLLFLLVGLQPSFSQTNSQDG >KQL03599 pep chromosome:Setaria_italica_v2.0:V:3353077:3354145:1 gene:SETIT_002865mg transcript:KQL03599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIHLYVAAACAVVLALASPTLAGDPDMLQDVCVADLASPIKLNGFPCKANISADDFFFDGLRNPGNTNNPAGSMVTAANVASFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFAKTVTKGDVFVFPRGLVHFQQNRGYGPAAVIAAFNSQLQGTQAIAMTLFGATPPVSSDILAKAFRIGNGEVDAIKAKFAPK >KQL05361 pep chromosome:Setaria_italica_v2.0:V:23403567:23407628:-1 gene:SETIT_002267mg transcript:KQL05361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLRPAAPAPPPWRCSGVRSSPCSSLLPTASKQMFPVPLPVDRVARFSLSAKRSASRLVQSLTDSTDSRSDGIHAANEHGHDLKRSISDLQEVVCSYFGKACLVSSCIIYILPPACLAEPCEQEYSLPNMPLLFAIAMVGATVGGLLARQRRGELARLNDQLRQINAALRRQAKIESYAPALSYAPVGSKIPESEVIVDPQKERLIAYLRTGKNYLRNQAPDKAFPEFKAALDLAQSLGDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKMTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYISRLEND >KQL05359 pep chromosome:Setaria_italica_v2.0:V:23403379:23407646:-1 gene:SETIT_002267mg transcript:KQL05359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLFAIAMVGATVGGLLARQRRGELARLNDQLRQINAALRRQAKIESYAPALSYAPVGSKIPESEVIVDPQKERLIAYLRTGKNYLRNQAPDKAFPEFKAALDLAQSLGDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKMTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYISRLEND >KQL05360 pep chromosome:Setaria_italica_v2.0:V:23403567:23406053:-1 gene:SETIT_002267mg transcript:KQL05360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVVCSYFGKACLVSSCIIYILPPACLAEPCEQEYSLPNMPLLFAIAMVGATVGGLLARQRRGELARLNDQLRQINAALRRQAKIESYAPALSYAPVGSKIPESEVIVDPQKERLIAYLRTGKNYLRNQAPDKAFPEFKAALDLAQSLGDHVEEKKAARGLGASLQRQGKYKEAIKYHSMVLNISKMTGEDAGVTEAYGAIADCYTELGELEKAGKFYDKYISRLEND >KQL04822 pep chromosome:Setaria_italica_v2.0:V:12115688:12118777:1 gene:SETIT_003975mg transcript:KQL04822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAATRLLACSARPFRPSHHHHRAPRPLFLLPNSRSKITTSSSNLPRPSPGREPGPSLFHEISDIVAPAVSGAALRPELNDEACRDDHGAAGVRCAEGARGIAPERATAVSSSVFCNISNTGVLGGLPDTAESAVNPDIDNISEAVHRITEALRSQAPRSSMEQRLESLGVTYTPELVGKVLKRCFKVRQLGFWFFHWVKRFPGFRHTTETYNTMLYITGEARRFDIMEELVGEMDIEMCPKDIKTWTIILSSYGKAGDIGKMLSTFEVMRKSGCIRIDSKVYRTVLHALCNAEKPELALEFYKDIPRNMEVGTDILRLLMCCLATTDNAVEAVCSIRDDLIKGMKHPEEYCYMEALRSFCISGKLDEAWKVFQHMKSKSMASSSAFENLLRGLCKAGKMAEALQIVEYMKGTLGINSTTFAFLINGYLRKGEHTKALDLLQVMREHGCVPLVSSYTQLMQHLFSIDQYEEACGLFEEMLKNGVEPDIVTLTALIGGHVRSGHISEAWDAFRNINKSGQKPTLKAYTVFIQELCKASRPLEAVELLKEMLESDFRPSDGTFCRIISALRDKFYLEEGRNVERMWASFNLQSPSDGLQFKPLDGMDTVDKFGGVRKSNPKEKELGLEFMGYSSDQNGKLSSFSDDTHKKEQEQDYSDGDVEEICQILSSSDDWGSMQQALEMRSVHFSPNLVDAILKRCKRNSCAALQFFSWVGKRSYYMPTTKTYTTAMKLAGSAKDFKHMRYLYREIDVVKALDVLKEMKENGCEPTVVTHSALIRGYMAMGMVSEAWDVFQQMKLKGPAPDFGTYSMFISCLCKAGRSEGGLQLIHD >KQL04251 pep chromosome:Setaria_italica_v2.0:V:7662048:7664910:1 gene:SETIT_001183mg transcript:KQL04251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGQTRRSFADAMPSPSPLCAASCSTALRAPPPLLRFRRSASPVTASLARAAPAVSDDLVLRIAEQLEDSVTSSSPLLDPLRSASALSLLSTPWPTRRSNEAFRFTDISYLRSFPISLPSKAPDLAPPASPFPSHVLFSDGLLVSASGVHVSALADLPPGRARDRAAAALAASAEFAHKDLFYDFNAVGARDVVVVHVPEGVKVADDPVHIMFTYTNCGAESMLMSNPRVLVVAEKEAEVAIVEEHFGAGEEGGCYWANPVAEIIIDAGARVVHSYVQRQSFAAAHTKWTVVQQDTSSKYEFVEVSTGAKLNRHNLHIQQLGPETETELSTLHLTSQNKQIHDLHSKLILDHPRGFSRQLHKCIACATGNSIFDGNIKVNRYAQQTDAGQETKCLILSPKALVNVKPNLQIIADDVKCTHGAAISGELDPNELFYFQARGINAETATDALLYFFGAHVIKRIPFKPINENALAQFKELLASSRQTTYDALLS >KQL08725 pep chromosome:Setaria_italica_v2.0:V:46842390:46845461:-1 gene:SETIT_000886mg transcript:KQL08725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDREEPPPAENASADDSSSSAAAAGKKGSPCEECGEQAWKYRCPGCARLTCSLPCVQAHKRRTACTGKRPRTDPVPLAQFDDNQLISDYNFLEETKQVRESAHRLIGGFGRNFGGQGGAQLPSWLFFLRKAAQRRGIRLYFLPRGMARREQNRSRHNHRKDCIYWTLEWKFNSTDVVLTDHEIDEHTTLLSLLEKHLCPGPWKDQLTQYRNTELRDLKLFIQKSAKESESPYRLLNIEEPLRPQLRGILVVEYPSIKVFLPSDSYDFEVEKMANKLAKDGKTTGSTTDEPPVEGNKFHEEEIEEGEFSPETEIIDLKDCGPSNASKLAAAEVTGESRRDNNVDSLVLSYSSSQAVHGQQKEPNQYSKMSSNGSSGRTETKSRMEACPLDMEKARESELCSSEHIADLKEHGTSYPGSLAEAGVAALSKIDRKTDSLVPSSINILAPDGATGPQQEQSQQSRQIPSSTPEALKKKSFMKVYPLDFEDNNGGLLLEVPDLAFEQEMMDTYPELFGDMDVDDFLSCDFETMTADESVEAMSGLLWDDLEEGEIPTM >KQL07155 pep chromosome:Setaria_italica_v2.0:V:37968707:37968993:1 gene:SETIT_004463mg transcript:KQL07155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPPGTSLCGYYVCEFLRNNGRYRTNPEDMPRIEPREATLEDKGIINICRDMARFINAGFVTRKENSLIQMAC >KQL06777 pep chromosome:Setaria_italica_v2.0:V:35550420:35551438:1 gene:SETIT_003295mg transcript:KQL06777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQENQQCRPGPGGLTYGHLTLPFMAAKANQETSSDMRREGRQHGWVFAVDHSLVDPEGKSRTRAVQVEGAAAANGGFVRAPRKPTNHSKAAVGRAYKGLVGKGEAAGSGRGRSKFKHDEVKMYYLEAEGADDVCDVDA >KQL05076 pep chromosome:Setaria_italica_v2.0:V:15450018:15455151:-1 gene:SETIT_004798mg transcript:KQL05076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFEGPPSFTDLVDRTMRKYGCRVDEMSLRGRFDCGKARAHYVLMNLASDSNWKHMDEVAVVNRNGTQESENLQHVLGETKSSFDLAIANDDFLNNTFERDEANIDDDDVSRGFEDSEFEEDGIDAELPSIPNDKDISMVHKAICESSMVNFEGIPFSESPVIKKGMKFKSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNCTAKYLGRCILGIICKDSETLVPSLMESIFAFSGYHVKYSTASRAKQHAVALLWWDWKESYGMVPRVLTAMAYYNPGVKWFIDSCGMMHSDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFMTGKYKATQLMAVGVESENNESWSWFMKLVRRHVLGPLQQVWCTRHFAANMSHRQKTNRVIGKLKTLCKIHTKIEFSEKLEDLVKDLNDDAKEWLKGEMEDRDKWAQAFVEGGMRWGIMTTNYSESLNVVFKGIQSRPVSGIIEYSFKKCNAYFVDRWQKARAMLDEGHRIGKVADEFLSQAELRSVHQLAEPYGPEMMVYSIRSCGTTNIGGESHGGRHYIVDLNNVSCTCNIPQLLHLPLPLYISPLYSREHTVRIWESSFQPYLDSSQWLAYEGVGYVPNPNLMRNKVGRRMPALAYPLLEATYDLQHRAYHLADLHEDLKPLRARVHSPFRWDECYAQYLQRVGFLDIAVQVVAGVPPMDRPLSTTMVDRWRPETHTFHLPFGEMTIMMQDIAMILGLPLEGHPVMGIIQNENWCDMVAMHSGIRPLELEDGDNSKKTSGPIRGNPDRRYKAYTNELDVVTQHQVEWKPYNRQQLRQIVFSLMCYRDKELWRCTTPMMLYYVVEFHMPHRVMWQFGRMQPCPPLELSTSEELHRIGRRKRYKENDWRVKHAQYLIQWENRKRCDLEGGSYWRVGPNNEYIRWYCASMRTKVKPSWSNVPIEDAPSDSSDDIADVSDKVTHYGTQPERAPLHDY >KQL05068 pep chromosome:Setaria_italica_v2.0:V:15165879:15170782:1 gene:SETIT_003014mg transcript:KQL05068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFPHMNVRELVPGLSNDICECVKKAARFIENSQQIDGSWYGTWGICFTYGTFFAVKGLLAAGRTYENSYSIRKACDFLLLKQLNSGGWGESYLSCVRQVYVQGDCAHAVNTAWAMLALLYAGQIDRDPAPLHHAAKELINIQMQTGEFPQQGHVGNFNSSMYFNYPNYRNLFPIWALGEYHRRFFHRREVK >KQL05538 pep chromosome:Setaria_italica_v2.0:V:25552700:25553239:1 gene:SETIT_005601mg transcript:KQL05538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQVFYFSPYQLFLPFERHTCLFNLFRSSFCALLEYHSKDILSTRKVLKFFFMKKAIQRLTRPT >KQL04340 pep chromosome:Setaria_italica_v2.0:V:8287056:8289860:1 gene:SETIT_000458mg transcript:KQL04340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEMEEEVLQSVSDLPVQNPPGEEFSAADLTWVKYASSEHHRDDVALIPYDRMEAFISGESNNPECPTRFHIERGRKREMGSLREYRSDEYLLYRMYWCSFGPENYGEGGTILPSRRYRLNTRNRAARPQSMRGCTCHFAIKRLYARPSLLLIIYHERRHVNKSGFICHGPLDRDAIGPGARKVPYIGSEIQQQTMSLIYLGVPEENILQTHIEGIQRYCSSDAKVDSLASQYVQKLGMIIKRSTHELDLDDQASIRMWVDRNKKSVFFHQDSTETDAFILGIQTEWQLQQMMRFGHQSLLASHSSFGVSKLKYPLHTLLVFDSRQQALPVAWIITRSVAKKDTLKWMRALTDRIHSIDSTWRIGGFIIDDPASELDPIRDVFACPVLFSLWRIRRTWLKNVIKKCSNVEVQREIFIQLGKIIYNIWSEKNPMDALGQLFQDFVDQTAFIKYFKSFWVPKLEMWIDSIRNLPLASQESCGAIEAYHLKLKLKAYDDVQLDALQRVDWLVHKLTTELHSSYWINLFSDESGSFPEVKADYIASTSWQRALQIPDDAVIFDDKEPLLAKVVSQKDPSQMRTVWNPGSEFSLCNCSWSMQGNLCKHVLKVNMMCGTRKDFQPSLSFQSFQHVLLGLWQKPSDDSFSLDLSVAWVMQMQERIQHIAELATSDGIAQVAGKLPIQWTKRSGRRRAAKRTSPLVLPHSNGSLQKDLTPKKSTKRKRLSCFPG >KQL05691 pep chromosome:Setaria_italica_v2.0:V:27023605:27024050:-1 gene:SETIT_003814mg transcript:KQL05691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNWSLPAASAIVAAAIVFFLSAMALPVAVAVVEHTFVVSQVNMTHLCKETLVTVVNGQLPGPPIEVTEGDSVAVHIVNESPYNITIHW >KQL06038 pep chromosome:Setaria_italica_v2.0:V:30256709:30259671:-1 gene:SETIT_002545mg transcript:KQL06038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAARARRGLSSSTAAAPPAEDDDFATAADLRFEPPLQVVKYPDPILRARNKRINTFDTNLRALADEMFDVMYKTDGIGLSAPQVGVNVQLMVFNPAGVKGEGEEIVLVNPVVYKSAKRLLVFEEGCLSFPGIYANVVRPESVKIEAQDVTGAKIKVKLSGLPARVFQHEFDHLLGILFFDRMTMDVLETVRDELKNLEKKYEETTGLTVPETVDNYKGAKDVFSFSR >KQL08685 pep chromosome:Setaria_italica_v2.0:V:46687906:46696647:1 gene:SETIT_000100mg transcript:KQL08685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKQRASAAAATADPDSDAEEPSQTQLPLDSFSGDACAALTARYGRSAAPQHRHLLASAAAIRSILLDDGLPLTPASYLPAAVSALRAAGPADPAAAAALASLLAILLPHIPSSPSSLPPDAASESASALAAFLSPPDASRLPTGTVRSVVKSLGHLTLHLDAAADWDAVAAPLEALLAASVDQRAKVRKCAQESVEKLFAYLEQCGCAKKASDAAIGMFEKHISLARSLINSNSDISEGKETDAAHMLGAMVTLVPYLSKKARKKVFSDAYQLLSPCFTPLTRHILRLLATLVDHLNAESVESEVESLVPLVVAYLPYDEKKPDDTIVSALHLMKSCLDKLVGCSKLWVEVLPTAFEAVSGYLVLGRKCSDDIAKVVQDCIDSHIDHNVFVTNGSQLSKCDVEGLSDQAAMKSICLTINSRLHTCACPPDSILTVILVLFLKLGECSFVFMKDILLTLARFAMKMDKESQLKNVEECIGAAVIAMGPDKVLSLIPIAFDEDRLTCSNTWLLPILDKYTYGAPLQLFLERIVPLAKSVQNASDRVKKGRKRKDLQSWTNQLWKLLPSFCRYPVDVHHSFGSLSKLLVEILKCDECLYKSAVEALQQLVDGTRKLSSNDQDVEIYMELSALFSSKPITFRCARLERCSKKEARKNLKVLASHSADLLCTFADYFLDSSPEKRAHLKVAFRCLAQLSGSTNVCELFVSLVKRFDLEDTQLESDSQECKTDEPDRKDEESTDTAEELNNRRSLLLELISTFAEVADEDLLDKLFGFIKSCLLNTSMSCHSKALLALSMIVKEHNEYSLVHLDEIMLLLHGMKPALDNTVLESQLLCYQHLLVHMIKVNEENTSKKAFLILNELIVALKSKKESRKLAYDVLLAVSANLRSSGSNSADSDLQRLFTMIMGYLSTPSPHIVSGAIAALSLLIYSDANFCMEVPNLIQSVLVLLQHKAIEVTKAALGFVKVLVTSLQPEKLLNLRADIMKGILEWSSVTKHHFKAKVVLILEILIRKCGFDAINLVTPDKYKKFVNSVEEGRNGNHSLADGAESESKEHKQNDAKRRKRIDSNDETGQEGTPSRRPPSKSWSAGKQAKDFHFKKGAGRGNPHAAKSHKSKASGDKGNRTNFKSKSKWQPGNSQGSRGDKLPGSNKKTRVGAFSKTQNSGSRAPGHSPSFKKRKRTA >KQL07268 pep chromosome:Setaria_italica_v2.0:V:38827485:38829674:1 gene:SETIT_000713mg transcript:KQL07268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAPTTKTTPPARGGFAPGAHREALEFIEHVTANAGQVQRRVLAEILAQNAPAEYLRRHGVSGAADDDAVEAFRRLVPLVTYEGLQPDILRIANGDTSPILSSKPISEFLTSSGTSGGERKLMPTIADELDRRSLLYSLQMPVMSQSVPGLDKGKAMYLLFVKAESRTPGGLVARPVLTSYYRSRQFLERPHDPYTDYTSPNEAILCVDSYQSMYAQLLCGLVHRADVLRVGAVFASGFLRAIRFLEKHWPRLCRDIRTGALDPEITDRAVRDAVGRVLRADPALAGEIEAECGRASWEGIIRRLWPRTKYIDVIVTGAMSQYIPTLEFYGGGLPLACTMYASSECYFGLNLKPMCKPSDVAYTLIPTMCYFEFLPLRCSNAKAEPSHRDLVDLVDVKLGHEYELVVTTYSGLCRYRVGDVLRVAGFKNEAPMFSFVRRQNVALSIDSDKTDETELHAAVSGAVQHLAPFGASLVEYTSYADAAAIPGHYVLFWELRAGTTAVPASVFEDCCLSVEEALNSVYRQCRACDRSIGPLEIRVVSEGTFDKLMDYAISRGASINQYKAPRCVRPGPVVELLDARVQGRYFSPKCPKWSPGNKQWNNARAEVISNGDEA >KQL08414 pep chromosome:Setaria_italica_v2.0:V:45315383:45316641:-1 gene:SETIT_002060mg transcript:KQL08414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRWRRYLYLLVDDLNGTYPLRRINASNLFFARNQVNRVNEALTIEETPLPRPHLSFTPSQDRGRLEFFGFFGHGRKKSYLAAVDFDGVSYMYDVERRTMHEIASPNEYKCCDPVSLAVGDALYVMDREPVPSNQRSFEALIVDLPNDVLFKPNSTWHCLQPLPFVLETGYKGRFIIGAYTVAGGSNILISTPGIGTYSFDTSSCSWRKAGDWELPFRDRADFFPEHGVWLGFSSQDNLLCSSSDITAPAQGAPTLDMVWEDLNPPCCWDPLKSHLVYLGSNKFCVAKFFERVVNVENNQVCIPVIERFVVFTGLVLKPTTDHKGLVMLKQRSHIYRFEGVTTCWVF >KQL07041 pep chromosome:Setaria_italica_v2.0:V:37238689:37239749:-1 gene:SETIT_003105mg transcript:KQL07041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNSMAPQQREDDVMEVPEIDGDLLVELLDASLAAEDNAGQALGFAADVDGDCWIDSQEPSCGIHAHQDCEDCGLDGILSDFEEYGSARARPFPAPYAFFGDEDTLEWAETTDAVMGPFAGGCMGDWYMDGMVMAMEWEEEEEGGEGSAFSFEPCYGGGEAGAEQVYGSPLWE >KQL08721 pep chromosome:Setaria_italica_v2.0:V:46832176:46832929:1 gene:SETIT_002687mg transcript:KQL08721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLPLLSCAVPSSFFSVAAVVYPGAGRLRQKALEDHEKETKKFLKKPTYLAYIDLLLADMDAAAKRQEAMESVNGFIRTVLASCFGRICTARHGHLRGQGARLPGARRLRRVLAAGDIGQTRHGQSTLLRIIHDNYSSYRPFEYILELAYGCTAAMFHDVFARTVGSRRNQTSSALLAKTISRRLEDKTFLLMLDDVRDDGIDLSAIGLPMPLDRKVVFTARDQAVCAKMGCAEGNTIQMQRLGVV >KQL07003 pep chromosome:Setaria_italica_v2.0:V:36995641:36997396:-1 gene:SETIT_005242mg transcript:KQL07003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVESADQVFQVLYEPIKEQGTLFVEELGLSSTVLSPSMIAALTVLYTDKHQNTVYQN >KQL08027 pep chromosome:Setaria_italica_v2.0:V:43238196:43241621:-1 gene:SETIT_002191mg transcript:KQL08027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPHLSPLLSPSPVLSSHFSPPAALGASPWRRRLLHRGRAFQPPLSSLREPNKATLRKASPNVPFRLGGGGSGNPKDRRPAADEEEEEEAESAGGSGAVTGTLLAGALLVGVVGGFGAAGYVYKDQINTFLTQFSGFIDGYGPAGYALFVLVYAGLEVLAIPAIPLTMSAGLLFGSVTGTIIVSVSGTLAAAVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFLPYVLGSWLGMLPGSWAYVSAGAFGRAIIQDESEIGLGGNGQLWTLGVGLLFTAIAAVYVTRLAKDAVKEIDE >KQL05921 pep chromosome:Setaria_italica_v2.0:V:29090986:29092710:-1 gene:SETIT_001131mg transcript:KQL05921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVHEQADAPHVIVLASPGAGHVVPVAQLAARLAARHGFTATVVTFTNLSSREHSTALATLPAGVSVAKLPEVSLDDLPLDAHIVTRIITVVQRTLPHLRDMLRSLLGSPAGVTAFLTDMLCPAALAVAEELGVPRYVFCTSSLMCLATLLYTPELARTTTGECRDLPEPVLLPGCMPLRGVDLIEPIQDRTNPVYALMVDLGLDYLRADGFIVNTFDGMEHETLLAFKEHSDKGVYPPAYAVGPFVRSCSDKAAEHVCMTWLDEQPEGSVLYVCFGSGGTLSTEQTAELAAGLEASGQRFLWVVRLPSDKDSSAGYFGTVDHGDDPLSYLPEGFVERTRGMGLLLSQSAPQVEILNHRAVGGFLSHCGWNSTLEAVAAGVPMLAWPLFAEQRMNAVKLSSEGMGMALRVTAREEDGVVPREEVAAVATELMVGEEGAAARKKAHELQAEAQKAVVPGGPAHQELAAVVGKWDRKRAVPVETNGVSSSKNETDGVLECEEPLP >KQL05763 pep chromosome:Setaria_italica_v2.0:V:27677400:27681746:-1 gene:SETIT_001907mg transcript:KQL05763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKNQFDLLVDVDNDDPSHLIAAAEKKAAASPKPAPAAPAKLPTKPPPPAQAVKESRNHGAPARDGAGRGGPGRGRGGFRGGRTGPRREYGEGDANGVEGGYGGGSFGDGFPRREDGEGRAMERGRGPRQPYRGGGRRGGYTDGEGGDESGRPPRRAYERHSGTGRGYEMKREGAGRGNWGTVTDEAQETLEAVNTEGTPAVAEDENKLEEVPHSEVEKGKEGEPTEEEEPEDKEMTLEEYEKVLEEKRKALLGLKTEVRKVEVDKELQSMQQLSVKKGSDEIFIKLGSDKEKKKENAERDERAKKSVSINEFLKPAEGERYYSPGGRGRGRGRGRGDRGGFRSGYSPREPGALAPAIQDQAQFPSLGGK >KQL03407 pep chromosome:Setaria_italica_v2.0:V:2189109:2190348:1 gene:SETIT_004400mg transcript:KQL03407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVHGSALPDHCHGNPSEAMPPPRLYSPYHLTSTFISVAALYRCPRAAPLTSCTSLSTPRAPPGRRTPPSDRVLTRQLSSWPRASPGRTPPSGGTPPGISRLAAGYRLRQLLASRRLRDICARRIARHIMHHRHVDLRRRRGGPPRRCCNTVDLRRRRGEEKGSSEVVCPGADPHGATPPVDEQAWSSPSLATAPHPAAAHAGPVLAHQAQPRARAHGGGRLPVVLELVRPHYVYSTPSLEHPGMIKINYHGGPPCDPDGHGLVSGGVDAVERVARWIDEFMPGRVETASGPVERVPCMYSMTPDEDFVIDFLGDFGQDMVIGAGFSGHEFKMGPAVGSILAEMAMDGEARTVAEAGVELGHLRINRFDGNPMGNAKDL >KQL04988 pep chromosome:Setaria_italica_v2.0:V:13872086:13875373:1 gene:SETIT_005040mg transcript:KQL04988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRRPSLPALVAVVVLVGVSCCCCAETSASPAAAADELMSGGEAGGPATRRRAASVMVPITILKSAVSEGAVCMDGTPPAYNLDPGSGAGSRSWIVNLEGGAWCNSARTCRLSKGTGRGSSDHMDKAIPFTGIMSSSRAVNPDFYNWNRVKIRYCDGGSFAGDAYNKESGIYFRGQRIWNAVIRHLLSMGMSSADQVLLTGCSSGGLAVVLHCDQLRAFFPPGTTTTVKCLSDGGLYLDAVDISGGRSLRSYFGEIVAMQGIAQSLPPACTARLDATSCFFPQNIIDSVKTPMFILNAAYDVIQISLSLAPNRADPSGSWRACKSNRSACNASQMNVLQGFRDQMVSSVQGFSRSRSNGLFISSCFAHCQSEQLGTWNIVPGGSPTIQNKGIAKSVGDWYYNRAEVRAIDCRYPCDKTCHHIM >KQL03396 pep chromosome:Setaria_italica_v2.0:V:2151698:2152219:-1 gene:SETIT_004158mg transcript:KQL03396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNMAACLVQQRAAALPAVNPKQRLVSLKLLMKAIHKMKKRPLGGSSGKAKIDSKSPSSSTTAAAAVAGADGSIGGGGVEERSKAVSNNPKGAVLRSRIHGRGGGGGLKKGAVRVKVVLTKEEAARLLSLTVGGQKTAAQIVAEIKRMEARRAANAAASAWRPALASIPEESS >KQL05262 pep chromosome:Setaria_italica_v2.0:V:19551274:19553582:-1 gene:SETIT_004433mg transcript:KQL05262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YECTVNDHAFIEAAKKISAEPGREELVLIDDVLVNRNHMECLFCRNAYLYDEVITPSTNLWEQKSFFILRNHSWPGGTCYLENTSMTVLMKGDGEEKWNMEDMEDHYPSCGHSQVPRLAERVLSYMQYDMLFLPINIKDTHWYLAVVNARRRKIHLKGLRMQMEYTLQCTGLKDHAWPDVNVDIWDVVEVMVDRIQFDGVSCGLFMVAFIKYWTGDHLCATVDQESMVKFRTKMAATLLSTIFNERLGKPLLRNEDENIGSSSDFAEIIEPNEFQQIKQKRKSTNSHENALKPKKIDTEIDSDKQDVLLYYKDWPLKRDELAEIFCDYILTIKDPAELDMVWVRSDLPYRSVYKLGDLKVLLKRGSPMPEPFFNLGKMLELTRHEKYRKHHSGKELGDVIGGWEIVKYDILGCRYFLLPWKHVNTYLLYVLDIKWKKLIVIDTKPIPKYATDVPYKHYAIQIVGFRLKFMNAFRQLKPDSWEDVHI >KQL05908 pep chromosome:Setaria_italica_v2.0:V:28988592:28993637:1 gene:SETIT_000188mg transcript:KQL05908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVADPNHHSIAVTAPDQPQGSTAVEPPWLAAQRCLNRFVRTVALLERAGNGLGTLAFTWATVVILGGFSTNLGPDFWYATAIVFLEAFRVFSRESRSDDELLFKTTGSIRLKRVKLIGNVPYYLNVGIVMVSLYGTIEFLLVHYKYLPQPPHRMPYHFVLLAVLLALGSMVQLPTIVKYMKKGHPLLQASPLVAVLAFGGVLLWTNAPARFAALVVAPLFVGCLHSLIAACLKKTEILPHIPKLLQKFGSLIFPVWIAISVPIAFRSMGILILLGTLLVGNIQIPMALARIGLSLMRLSSKESHKVVPGGENNNEHLAPALRIFYSMVLGQGTLYILACLCESVLFHFLRGYLARALGDVKEGFESVDMYYEHAYDKCMEDGVLAQEDLHLVRFAVDSLNSNSRNRKLAAVQILHSLLLPREASNKLPDSDRTTCTKAVVTLISMLRWTGPDDQIIRFFAAKIAAELAGDLLIVGIPGTIQMVSSLLDSDAKNSLVKQSNSAQIVDNNEQSNDNGQLIISHDSATTVDMKGVEVPYQTVKIIKDYSAHAEANSKWWCKTWKFLLSVKGMLSVPKEGKEPWTDEDSFPVQGMIILEKLTHNPDNCAEINRAAGLIPKIIGFMSYTNTNATNISKARQKLCITSALKLIAKLVSTEGEIGLALRQKISEQAFLLSNLAEILEDSHTGMDQQLTMVIISKLALDDETRHEIGSFQLFITKLMHVFLGRDGSTNTYYDCSLRMVAAEALSNLSMENPANCSSILEETRYDLIDNLKKMILNDEFACFAESLLENLCSHSRNKLQQQESREHLSSALQGVLVKMMVAEGKQLEFLIGLASQIYTVIPESFAHKLESHANATGLVQKLVVTLNSNKKPSHEYPRMRRVVVEMIISVVVSCPHCAIIFREQGMMEALTKVERTPSKVEKYRVFFGDTGVVLERGLPLRDLVARAKGLIDPSTPTPGAQLL >KQL08480 pep chromosome:Setaria_italica_v2.0:V:45650369:45654804:-1 gene:SETIT_004956mg transcript:KQL08480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVRLADDDLILEVGAEKSRPAGEVEHDETAGDESASGAAGRQTFSFSQAYKMRHREPMVFTKLQTMLLAYQSLGIVYGDLGTSPLYVFSSLVLPGAGEPDFLGVLSLILWTLTVMSLVKYVLIVLRADDHGEGGTFALYSLLRQHVNFKGDMPVPVTRHASDAGLRFHGERSGSPSRLHRWLEGSSLLQATVTCFVLFGTCMMIGDGALTPAISVLSAVQGIQSRSPNIKQEHVVILSVVILLLLFLVQRFGTNKVSASFSPIMLVWFGSIAVIGVYNIAKYYPPVLKAVSPHYIYYYFARNKKVGWEQLGAIILCITGAEAMFADLGHFNKSSIQVAFSTVVYPSLILAYSGQAAYLIKHPSELSTTFYSSIPGPLFWPMFVVATLAAIVASQALISASFSIIRQSMALGCFPRVTIRHTSEEYEGQVYCPEINFFLMVVCIVITVGFGGGPEIGRAFGVAVIFVMLITTSLMAIVMDVIWRVNAVWIATFAVAFISIEGVYGSALMNKFREGGWVPFAIAALLLVPTLSWTYGRKLKAGYEARHALDAAELGALATRARARRAPGACVFLTDLVNGFPPIVRRYAEHTACLRELTLFVTVRELPVRSVLPEERFLVAKELGPAGVYRCVVRYGYMDKYDLVGDGFVASAVAALKGAAESAEEAEAMDSALGDGYVVVFGRTILHMGGEHNCFKRFVINHLYRFLQKNFRSSVSMLKIDHAKTLQIGMLYEI >KQL08487 pep chromosome:Setaria_italica_v2.0:V:45684975:45685799:1 gene:SETIT_003412mg transcript:KQL08487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFRNGVVRLVENPTSGAAAAASGKRKALLHTPSGEVVASYASLERKLAALGWERYYGGGDGGSMLQYHKRTSVDLISLPKDFAHFGSVHMYDIVIKNRDAFRVIDA >KQL06246 pep chromosome:Setaria_italica_v2.0:V:32022314:32026565:1 gene:SETIT_002863mg transcript:KQL06246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLAEGLDDGRDQKDADFYKQQAKLIFKNLSKGHHEASRMSIETGTYYFHYIIEGRVCYLIMCDRSYPKKLAFQYLEDLKNEFERVNGNQIETAARPYAFIKFDTFIQKTKKLYLDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSRRLTSDTIVYANKAKDLNRQALIRKYAPVAIVIGVVFILFWLKNKIW >KQL05061 pep chromosome:Setaria_italica_v2.0:V:15056044:15056763:1 gene:SETIT_003986mg transcript:KQL05061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPKDIKSTNNEPIVSPLHEALVGTTAMPIMDPSSQSQPTIQPIFHAQEPSNMPMNGNSMLPNCTEEPFPLSSLLPYTVPTTVTQVSSIPIQARFTANSSNQQQTFSSEYNFLTTPVSDYLSFSDHMAIMSMDAPPITSLLQGDPVAVLHAHLNTIQDADLGPIFENPSQVPVRVVASDNIVQSMSSSTKKNETGGDVFECKICPAKFFSAQAFGGHMSYHSKAMKKERNSTASTGTK >KQL04974 pep chromosome:Setaria_italica_v2.0:V:13568228:13571135:-1 gene:SETIT_000857mg transcript:KQL04974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQEQEQEQRRRLLDVASRFPLPSGCRFSYGTAGFRADGATMAPAVCRAGILAALRSLKLAGAAVGIVITASHNPVGDNGVKIADPDGGMMAQHWEPFADALANAPDPDALLQLVLQFVKDEGIPLGGHHRAQVLLGRDTRPTGGYLLGAALQGINAVVGSHTIDMGILTTPQLHWMVRCKNKGIKASESDYFTQLINSFRRMLELVPKDKGGDELAKKLIVDGANGIGGVKLEQIKAELSGLDIIVRNSGKEGEGILNHMCGADFVQKERVTPHGFSSEDLGVRCASLDGDADRLVYFRMSSASDNKVDLVDGDKILSLFALFIREQLDIINKNGSQVNKSLPARLGIVQTAYANGASTQFLKNLGLEVVFTPTGVKYLHKKALEYDIGIYFEANGHGTVVFSEDFISQLESLSNELSQAANGSAQYHAAMRLMAASQLINQAVGDALSGLLLVEAILQYKGWSFQNWCELYSDLPSRQLKVKVIDRSSIVTTDAETKVSQPSSLQELIDKETANYTHGRCFVRPSGTEDVVRVYAEASTQVEADSLAKSVARHVEHLLG >KQL03289 pep chromosome:Setaria_italica_v2.0:V:736973:737387:1 gene:SETIT_005558mg transcript:KQL03289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGRKKRGGRAVKEERHATCCSSSYYKSKIIISLVREVKCYLVALKES >KQL04858 pep chromosome:Setaria_italica_v2.0:V:12482008:12482847:-1 gene:SETIT_002749mg transcript:KQL04858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLSSDTSSTSSYSPSPSTSSSSRGGGGGGKKRPREPAGDVPAYRGVRMRAWGKWVSEIREPRKKSRIWLGTFPCPEMAARAHDVAALSIKGARAVLNFPDLAPALPRPTSLAPRDVQAAAARAALMHHKHQKSGGGGSSSSSSSSSAAPAAGSAAAAADDAQRRTPGPAAHRHDDPEPEPSREERQPAQVEVAELVFDELAPLWVEDVVEFGPSDHPWTPYDGLDAVGFQPLLWEY >KQL08558 pep chromosome:Setaria_italica_v2.0:V:46044234:46046241:-1 gene:SETIT_001834mg transcript:KQL08558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWWQWHSLAAAGALLATLAAALALTVAGLRPTATERGRPPLRFGSGGSFKVALFADLHYGEDAWTDWGPAQDAGSDRVMAAVLHAENPDFVVYLGDLVTANNLPIPNASLYWDRAVSAARGRGIPWAAVFGNHDDMPFEWPPEWFSPDGVPPLRCPLTPSMASSGCSCRGTPRIDLMTTEIGNNRLSYSSNGPKDLWPGVSNYVLQVLSHTHGDPALLMYFLDSGGGSYTEVISSAQVKWFQSQSQFLNPNGRIPELIFWHIPSTAYVKVAPEAKTEIRKPCVGSINKEDVAPQAAEWGMMDALAKRPSVKAIFVGHNHGLDWCCPYEKMWLCFARHTGYGGYGNWPKGARIIQITENPFSTVSWIRMENGTKHSDVTLSS >KQL05756 pep chromosome:Setaria_italica_v2.0:V:27600632:27604155:-1 gene:SETIT_000235mg transcript:KQL05756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAYLLPLQAQRASAIFLILFVTLIVSSSSVHARVTISSGGCFARERQALISFKQSFLDFTGRLSSWRGEDCCGWKGVRCSNRTGHVVKLDLHNNGSNAIILRGEKMSSSIAALHHLRYLDLSFNDFNYTKIPLFLCKLNNLSVPSQLGNLSHLQYLDLSYGGEVSDLSWLSRLSSLKSLNMGRVNLSSAGNWVHKVNMLPNLRILYLYGCGLNSTVSSLSHVNLTRLEILDLSHNPFNSSLQHNWFLGLTTIKKLVLSHCGWSGPIPNALGNMSNLEVIDLQLNYLLGNIPATLENLCNLKELSLFYNNINEDLTNFMERLPKCSWSKFCKLNLHRANLTGQLPIWIGNLTGLSYLDLTQNMLAGHVPNGIGALSNLTYLSLALNNFSGVLSKEHFTSLVNLTLGWTMNLKFLNLTENSLKLDFDEDWVPPFKLTEGQFGSCDMGPQFPAWLRYQTGISNLNISNTRINDVLPQWFWVVFSNASILNLSKNQLSGALPATLELPLIREMDLSGNSLLGQLPVNLTAPGLRKLRLYNNHFTGAIPPYMCNNSFVEINLSNNQLGGDFPRCQESISSLSMLALKNNNLSGEFPHFLQNAAQLSFLDLSYNKFYGSVPSWIGRKMPGLQVLILRSNMFQGQLPKQSTRLVRLHFLDIAHNNISGRIPSSLAGLKAMTHPYGRGDNNYSSDTTSMFTKDRELNYTHKFMKRIMLIDLSCNGFTGHIPKEISLLKGLQSLNLSNNQISGRIPDDIGVLSELESLDLSYNHFTGEIPSSLSDLTFLSCLNLSYNDLSGRIPSGQQLQTLNNQYMYIGNPGLCGPPLLNNCSTNQTDLDVHQERESTIYDTLFFYLSISSGYLIGLWTVFCTLLFKKTWRIAYFRHFDQLYNKIYVQAALSKAAIIRKFQNQES >KQL06812 pep chromosome:Setaria_italica_v2.0:V:35783640:35784289:-1 gene:SETIT_003501mg transcript:KQL06812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSASRCAVAERRPFTEPVEIPAASGARADEREAFWGEEDQAGEVVPPHVLLARRRAAAASSSVCSGQGRTLKGRDLRRVRDSVLRMTGFIES >KQL05212 pep chromosome:Setaria_italica_v2.0:V:17958971:17971523:1 gene:SETIT_000995mg transcript:KQL05212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGVDYVDVELKVADKFMNFISGNKPEKCKLIVSSHNYEYTPSCEELANLVASIQAVGADIVKIATTAKDIVDVSRMFQVMVHCQVPMIGLVMSEKGLMSRVLAPKFGGYLTFGILNAAKTSASGQPTVEELLEIYNIRRIGPDTKVLGLIANPVKQSKSPILHNKCLQSVGYNAVYLPLLGDNLASFLETYSSPDFSGFSCSLPFKVDAVQCCDEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAISLLAGRLIVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLANAIGGQALRLADLETFRPEEGMILANATSLGMYPNIDGTPIPKKSLSFYNVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFIRQAMGQFEHFTGGIEAPESLMREIAVKYT >KQL05210 pep chromosome:Setaria_italica_v2.0:V:17956766:17970211:1 gene:SETIT_000995mg transcript:KQL05210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLICVPLVARTVEEMVADAVAAAAAGGDLVEIRLDFIEGFRPREHLPLLLRGCPLPALVTYRPNWEGGQYEGDDTTRFEALHIAMELGVDYVDVELKVADKFMNFISGNKPEKCKLIVSSHNYEYTPSCEELANLVASIQAVGADIVKIATTAKDIVDVSRMFQVMVHCQVPMIGLVMSEKGLMSRVLAPKFGGYLTFGILNAAKTSASGQPTVEELLEIYNIRRIGPDTKVLGLIANPVKQSKSPILHNKCLQSVGYNAVYLPLLGDNLASFLETYSSPDFSGFSCSLPFKVDAVQCCDEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAISLLAGRLIVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLANAIGGQALRLADLETFRPEEGMILANATSLGMYPNIDGTPIPKKSLSFYNVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFIRQAMGQFEHFTGGIEGVMFSSALVLNSLHAKCFNISDYLYFLQLLKA >KQL05211 pep chromosome:Setaria_italica_v2.0:V:17956766:17971523:1 gene:SETIT_000995mg transcript:KQL05211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLICVPLVARTVEEMVADAVAAAAAGGDLVEIRLDFIEGFRPREHLPLLLRGCPLPALVTYRPNWEGGQYEGDDTTRFEALHIAMELGVDYVDVELKVADKFMNFISGNKPEKCKLIVSSHNYEYTPSCEELANLVASIQAVGADIVKIATTAKDIVDVSRMFQVMVHCQVPMIGLVMSEKGLMSRVLAPKFGGYLTFGILNAAKTSASGQPTVEELLEIYNIRRIGPDTKVLGLIANPVKQSKSPILHNKCLQSVGYNAVYLPLLGDNLASFLETYSSPDFSGFSCSLPFKVDAVQCCDEHDPVAKSIGAINTIIRRPDGKLVGYNTDYIGAISAIEDGIGGPGSKDAAISLLAGRLIVVVGAGGAGKAIAYGAKEKGARVVVANRTYEKAVSLANAIGGQALRLADLETFRPEEGMILANATSLGMYPNIDGTPIPKKSLSFYNVVFDAVYAPKVTRLLREAEECGVKVVSGVEMFIRQAMGQFEHFTGGIEAPESLMREIAVKYT >KQL04680 pep chromosome:Setaria_italica_v2.0:V:10799518:10800013:1 gene:SETIT_005364mg transcript:KQL04680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRVSAGSTDSVGLPSVRSDLISDGRPAHVAGRPPAKAT >KQL08231 pep chromosome:Setaria_italica_v2.0:V:44325220:44328868:-1 gene:SETIT_001471mg transcript:KQL08231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHATGTGGGVGRRKAGAAAAAASREWMVVPASGPARVEEAGKHAVMARTGLPARDLRVLDPLLSYPSTIMGRERAIVVNLERVKAVITAAEVLLPNSKDPAFTRFVRDLQTRVLASSSDQAADLTDMEGESSAVASPFPVPNSSKGHELEMTKSTSVVPEMTSSSSMPNLAAAAKDGNTKVLPFEFRALEVCLESACRSLEEETSTLEQEAYPALDELTSKISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDEMDMAEMYLTEKLTQQEISEASSRVEVDDPSQTEEDRDEDYRSEPDGSNGSFIGYKPHIEELEMLLEAYFVQIDGTLNKLSHLREYVDDTEDYINIMLDDKQNQLLQMGVMLSTATVVITAGVAVVGLFGMNIGISLYTTPTTAEETRAANVKFWETTSGTVAGCVILYIIAMGWGKRSGLLQ >KQL08569 pep chromosome:Setaria_italica_v2.0:V:46103525:46106322:-1 gene:SETIT_001036mg transcript:KQL08569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVEAGLPAADHPAADADRARLQQLGYKQELKRGLSVVSNFAFSFAIISVLTGVTTTYNTGLRYGGPASMTLGWLVVATFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWITGWFNIVGQWACTTSVDFSLAQLIQVMILLSTGGANGGGYLASKYVVLAIYTAILIVHGLINSLPIQWLSWFGQLGAFWNVAGVFLLVILIPSVAKERASAEFIFTHFNTQNTMGIHSKPYILAVGLLMSQYSSIGYDTSVHMTEETKNADWNGPMGIVSSVALSSIFGWIYLLALTSVMTDIPYFLDTSNDAGGYAIAQALYTTFHRRYGSGVGGIVCLGIIAVAVFLCGTACVTSNSRMGYAFSRDGAMPFSHVWHRVNKQEVPLNVVWLSVSVAFVMALTSLGSQVAFQAMVSITTLGLYISYALPIIFRVTTARKSFVPGPFHLGRYGVAVGSAAVLWVALVTVLFCLPVAYPVAKDNFNYTPAAVGGVLLLTVGAWVLHARFWFRGPVTNVGEA >KQL08782 pep chromosome:Setaria_italica_v2.0:V:47064174:47065956:1 gene:SETIT_002927mg transcript:KQL08782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEACYNYGFLPADRGRHQPPPPPPHPAEDGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPICANNLGRDMAAHFRVQHSHLLKRRKPSKPCAWPEAATNSASGKGTATYEVNPYFEEPQHYRMSIGRPYQEPAPDPLLSQFICSVEQTHDAENGAVKTPDDQRCRRKAASDDASSKLGLQERLQRIDFLTEILMSTIL >KQL08783 pep chromosome:Setaria_italica_v2.0:V:47064174:47065956:1 gene:SETIT_002927mg transcript:KQL08783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEACYNYGFLPADRGRHQPPPPPPHPAEDGELWEYFPCPFCYIEVEVPFICNHLQEEHCFDTRNAVCPICANNLGRDMAAHFRVQHSHLLKRRKPSKPCAWPEAATNSASGKGTATYEVNPYFEEPQHYRMSIGRPYQEPAPDPLLSQFICSVEQTHDAENGAVKTPDDQSRCRRKAASDDASSKLGLQERLQRIDFLTEILMSTIL >KQL06156 pep chromosome:Setaria_italica_v2.0:V:31066019:31067743:-1 gene:SETIT_004196mg transcript:KQL06156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKAPELSGHRANPNYMNPYGYFPLHEAAEKFSVDMINLLFNYGASANVRTAGDKIIENLLPLHVAVENTCLHKYLEDSLLPFQDYPDRRDYVYKLIQLLCLPEMKIFLDTTRLLAKKTDNLLDELWNYVKDGKLVQTAVLLLAGQEQIRQVGSSKKRKGFSEPYGFDTIMTCLMKCSVALKEKRRDQNELEASLTLECIALLVNIISKAGEDLDAYIQTHSEVPYVEVLERVSSILKDRGFFPTGEGIDVGNL >KQL07217 pep chromosome:Setaria_italica_v2.0:V:38405249:38409223:-1 gene:SETIT_001240mg transcript:KQL07217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADSASASASASASCGIATSSPERPAAPSMPTDPDFLSCVLQPPTPSSSRPDADYAALRRLLLRRKPPSALQHRMDWRCNGKGYVAYRNFLLRRIDGGSAHSTPSSSGRWAPSPGPALSEADSWGSIRDLRTNSGLSRTVSISSKQSDTERHVRFAEPAYSFVGMHCIFDSCKTSVTVLKFGRASSDLLAYGAADGSLTVCQVSEPPSVLQKLIGHSKNITDFDFSSNNQYIASCSLDKTVRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANKEINAINFSTGRVISKLNFDDAVTALDIDHTGQLIFAGDAQGYIYTVSVNSHTGSLSRTHKNKSSRSKSPISTIQYRTFSLVARCPVLLSCAQDGNLSFFRLFVLPSVRFFLLRKESS >KQL07216 pep chromosome:Setaria_italica_v2.0:V:38405612:38409223:-1 gene:SETIT_001240mg transcript:KQL07216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADSASASASASASCGIATSSPERPAAPSMPTDPDFLSCVLQPPTPSSSRPDADYAALRRLLLRRKPPSALQHRMDWRCNGKGYVAYRNFLLRRIDGGSAHSTPSSSGRWAPSPGPALSEADSWGSIRDLRTNSGLSRTVSISSKQSDTERHVRFAEPAYSFVGMHCIFDSCKTSVTVLKFGRASSDLLAYGAADGSLTVCQVSEPPSVLQKLIGHSKNITDFDFSSNNQYIASCSLDKTVRVWEISKGTCIRVVYGVSSQLCICFHPVNNNLLLVGNANKEINAINFSTGRVISKLNFDDAVTALDIDHTGQLIFAGDAQGYIYTVSVNSHTGSLSRTHKNKSSRSKSPISTIQYRTFSLVARCPVLLSCAQDGNLSFFSIATDAKGYLTLICSLKLASRVQTIRASFCPLLSLEKGEFIVTGSEDANVYFYDLARPKNSCVNKLQGHGSPVIGVAWSHGENLLASSDSDGTVIVWKRAKNN >KQL07864 pep chromosome:Setaria_italica_v2.0:V:42235815:42237387:-1 gene:SETIT_001301mg transcript:KQL07864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDADGCSMHVVMLPWLAFGHILPFTELAKRIARQGHRVTLLSTPRNTRRLIRIPPALASLVRVVDVHLPRVERLPEGAEASIDLPSDALRPYLRVAYDTAFSHKLSDILREPGPERPDWVLIDYAAYWAPAAAARHGVPCAFLSLFGAAALSFFGPPEALMGRGRHAKTNLEDLTVVPDYVPFPTTVAYRAFEAREIFEPGLVPDDSGVSEGYRFAMAIQGSQLVGIRSSVGFEPEWLRVLGELYQKPVIPVGLFPPPPTQDVAGHEATLQWLNRQAPRSVVYAAFGSEAKLASAQLQTIALGLEASGLPFLWAFRPPADAGIGEGTAGLPEGFEERVDGRGLVCRGWVPQARLLAHESVGGFLTHAGWNSITEGLARGVRLVLLPLMFDQGLNSRLLVEKKIGVEVERDEDDGSFTPKDIAAALRRVMVEDEGKEFGTKVKELSEVFGNDEVNDQCVRDFFRRLLEYSKQ >KQL04079 pep chromosome:Setaria_italica_v2.0:V:6343574:6346778:-1 gene:SETIT_003307mg transcript:KQL04079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRANEMFRADSRMMVAFGALTSKPQQLTFEDSLRFVKKVKARDYMLYLSLFDILGRMELSQLDAYQELQLLFRNHPDLCEELEKFRPPVRIKHATNNIWPWVIVCAVPLVAVSLIPALGNPVLWFVQQTIGEKMAA >KQL06543 pep chromosome:Setaria_italica_v2.0:V:34078062:34081348:1 gene:SETIT_003097mg transcript:KQL06543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFFIRVVLLFLLSTTCASALTPTSNTTDLAALLAFKAQLKDPFGILASNWTATASFCSWAGVSCDRSQRVTGLEFSDVPLQGSIAPQLGLLILGRVEVGYVPSVSCNCNIGVQGWSSEPSLAKSYTPHAMASSSSIPTNHWNLVLGSPSLGNWMNLLHEGGQSGSSANYSRPWL >KQL06224 pep chromosome:Setaria_italica_v2.0:V:31838903:31847803:-1 gene:SETIT_000367mg transcript:KQL06224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWRMTGLSAASPVDTILDKENFTLEELLDEDEIIQECKALNSRLINFLRDKAQVEQLLRYVVEEVPEDNEKKRSFKFPFIACEIFTCEIDVVLRTLVEDEELMDLLFSFVKPDHPHSTLLSGYFSKVVICLMLRKTAPLMNYVQGHPEIVVQLVDLIGITSIMEVLIRLIGADENIYSNYGDTLQWLENTDVLEMIADKFSSSDSPEVHANAAEILCAVTRCAPPSLAAKICSPSFVGRLFHHAFEESRPKSVLVHSLSVCISLLDPKRLASASYQAFRSNLSHGALVTASPETVDGMLESLGNLLMLLDTSAAENVLPTTYGCLRPPLGKHRLKIVEFISVLLTIGSETAEKELIRQSAIKRSIDLFFEYPYNNFLHHHVENIIVSCLEGKRTELVEHVLNECDIVGKILVAERLSSLSTESNGPTIPSEEKAPPRIGNVGHMTRIANKLIQLGNSNSTIQAHLQENTEWVEWQTNVLVKRNEVENVYHWACGRPTSLHDRGRDSDDDDFRDRDYDVAALANNLSQAFRYGIYSNDDIEEAQGSLERDDEDVYFDDESAEVVISSLRLGDDQDGSSLFTNSNWFTFDGERGINDRISDSVPSSSPNSEEISLETEEADDGKVIGTEDEMETVCLGNGSTEEAKDVAECVEQLNCSTANEPLQNTDSLERHSDVSNGSTEVGTAAAAESSAPSVEIAAESSAPSVEIAAEKTADEPLMVERTVGEPAVSSDLDNSISEATPGANGSEPADPEVSSEQVGNDTDVQQPVKEVAGEDVDETKTDAVKASD >KQL08128 pep chromosome:Setaria_italica_v2.0:V:43693988:43697154:1 gene:SETIT_001081mg transcript:KQL08128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLFLHVPAEGGSVNTAAVEARRSLLSNVESIIKSIIKSAGRYEARLWLCSTVSLVHSLSRHDQRNLFLDLLEMKNSRRDVAARLLRMIFDKKPKKAGSILAKNGHILEEFFRGNPKRIMQWFGHFAVTGESTHKKGAKAISQFAFINRDMCWEELEWKGKHGQSPAVVATKPHYFSDLDVLKTVENFLEYVPDFWSSDELSDSIKDGEILQIDAEYFVDQFLYLMYEENCKDAWHLVEEFLMDEQFSSLSQHLLIHLDEQRLLDFLKSLGKLINTTLQCKELKFPCCWLEVLLSAHCDHISLDDLVLLNCVIAKCRQLWRLMNDEEQDEERGQMEELLKGVNHLTDADHFALMKEFMETEFPDGLKWIGIQSWVIFCDLSKECKSGNSCESLFSDNKIQFHKDDEYSLVQNNAFSISHMPDTDDEDLVGSSHKRRKKNRRRKRRRYEFDEDKLDELLELESSNVKNSVESQRGSWHLSTDGFSASWDIADIPDHLSTYYFTTWVKWACFR >KQL05907 pep chromosome:Setaria_italica_v2.0:V:28969910:28974383:-1 gene:SETIT_004311mg transcript:KQL05907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPVPRVKLGAQGLEVSKLGFGCMGLTGACNAPLDDAAGIAVITHAFRRGVTRLPREQVQVATKFGVQWHESGASTVCGKPEYVRACCEASLGRLGIDCIDLYYQHRIDTTIPIEETIGELKKLVKEGNVKYIELSEASPDTIRRAHAVHPVTAVQMEGVTEQVPAESNLHGLPRFAAENLEKNKQIYLKVEELANKHHCSPAQLALAWVLHQGDDVIPIPGVF >KQL06214 pep chromosome:Setaria_italica_v2.0:V:31747765:31748013:1 gene:SETIT_004172mg transcript:KQL06214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAKKREFETFKEDRKKERHDQIMELEKRKIELKEKDLELRQQIQDSAVMSMDISGMSERQQKYLLSLQDEIFARRFGAGSG >KQL04297 pep chromosome:Setaria_italica_v2.0:V:7949403:7950149:-1 gene:SETIT_003755mg transcript:KQL04297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACPVPAAALLCPVVLLLALLPSPATAAAALNTSNPCAPALCGGINITFPFWLAGKHPPECGYKAYQVTCDKGNLTLKNSYWTYQLLDIFYQNSSFRADLSGGLCDSQNFVNASSDLGLSPFKISPKNQELFFLYDCELRGRPRSWTLVNCPSPDDSSVYALLGKNYTPGGTGMPPMNCNVSTIPVLGYEGATGADYQRLLKGGSLLEYTDDEPCKDCTDTGGRCRVNVSDDAFACYCNDGDDGWFIC >KQL05569 pep chromosome:Setaria_italica_v2.0:V:25865624:25866186:1 gene:SETIT_003707mg transcript:KQL05569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSTKKTLFYKFSPTQLVLERGVTNHPHIGHLRTYDTTCFQKDYPCVQLSS >KQL07860 pep chromosome:Setaria_italica_v2.0:V:42200025:42204461:-1 gene:SETIT_000218mg transcript:KQL07860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFCDFNISILLAGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPTCYSSSMKTLIKSMLRKSPEHRPTASEILKSPYLQPYVNQYRPFADILHPGRSPEKPVTSSRSSQRSMSGSQCSSISGSDMDSISIQSSERNTSGPASSSNNTIDTEGAENTDLQSHNDTAHPELERQDSSKSVHNNQHPRHEHKQPKIIKKILTTLREESKFRESSSPVRASRVKLNSPSNRERSSDDSKHSDVSSSSRSSEVVTHEPVRLSYEEPVKRGQASPLLKHLSPIVEHSPTVKNKLDERLHPDPAKQFGEDADATAGKAKNKTPPSFIRCTSIPARRQGAASPSRQHTGIKRAHNKVVAEHAKSPSRPPHSPNNAFVELPAFPMIPPGHLDGIQRKPASSRAKSAPPRAVTTKEESSACSSSTVGYAENSNQSEPSEPDSPTYLPPSCKCSIPDTTIQAHGVTIKPSSEVKTTSFQKKMTSNGDISPISYMQPSSDITEEEFVCKDDMPSSKVGLDSDTVTVQGDEDKFTVQELLSSIPDAAFFAPTTSIAPDRGSFPLQSSEKSTATRLIPPVEDVIHVISHSSSHVNGEQAEKETEAQSTDVGKLLNVVREERDVRSMQPSLIPSGPIDSATQKLSVVDANTTIHKPAGTDVLKLPTIPEGNFSTPETNNGYKEEAAPAKEILDVTSFRQRAEALEGLLELSADLLESSRLEELAIVLKPFGKAKVSPRETAIWLAKSFKGMMNDEASRSST >KQL07861 pep chromosome:Setaria_italica_v2.0:V:42200025:42205442:-1 gene:SETIT_000218mg transcript:KQL07861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRMDQYEVMEQIGRGAFGAAILVNHKTEKKKYVLKKIRLARQTERCRKSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGTYFPEEKLLKWFAQLALAVDYLHSNFVLHRDLKCSNIFLTKEQDIRLGDFGLAKTLKADDLTSSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSSIGPLPTCYSSSMKTLIKSMLRKSPEHRPTASEILKSPYLQPYVNQYRPFADILHPGRSPEKPVTSSRSSQRSMSGSQCSSISGSDMDSISIQSSERNTSGPASSSNNTIDTEGAENTDLQSHNDTAHPELERQDSSKSVHNNQHPRHEHKQPKIIKKILTTLREESKFRESSSPVRASRVKLNSPSNRERSSDDSKHSDVSSSSRSSEVVTHEPVRLSYEEPVKRGQASPLLKHLSPIVEHSPTVKNKLDERLHPDPAKQFGEDADATAGKAKNKTPPSFIRCTSIPARRQGAASPSRQHTGIKRAHNKVVAEHAKSPSRPPHSPNNAFVELPAFPMIPPGHLDGIQRKPASSRAKSAPPRAVTTKEESSACSSSTVGYAENSNQSEPSEPDSPTYLPPSCKCSIPDTTIQAHGVTIKPSSEVKTTSFQKKMTSNGDISPISYMQPSSDITEEEFVCKDDMPSSKVGLDSDTVTVQGDEDKFTVQELLSSIPDAAFFAPTTSIAPDRGSFPLQSSEKSTATRLIPPVEDVIHVISHSSSHVNGEQAEKETEAQSTDVGKLLNVVREERDVRSMQPSLIPSGPIDSATQKLSVVDANTTIHKPAGTDVLKLPTIPEGNFSTPETNNGYKEEAAPAKEILDVTSFRQRAEALEGLLELSADLLESSRLEELAIVLKPFGKAKVSPRETAIWLAKSFKGMMNDEASRSST >KQL08037 pep chromosome:Setaria_italica_v2.0:V:43268807:43269947:-1 gene:SETIT_004916mg transcript:KQL08037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METESTDAAGGRSPLGTLPVLVCDHGLNPNNRQTAFAIGDQSLHTSVVPELAGNHYHVTPHGWALLVAPGPSPRTRLWDPRSGQSVSLPAMEREPPEDWECCLSDAPTTSPSCVVLVLHMKEPKFLYCRVGGSHWSAHEYDVGDVKLPPSYAPPRKIVIQDAVGGRFYFNTGKLGVIDFSPAAMPELSFIDYPPPEFPMGSNCRREYMLESRGELFSVYICLKEFTPEIRSGPVEVDDLGDRVFLLSYSNAQLLCSASKYGVKGNCVYFFHNVMGDMDGELLYIFDMDDKSLKTVRPCPEMAELLRSPFCMLPIDDQDSTREY >KQL03652 pep chromosome:Setaria_italica_v2.0:V:3673251:3677973:-1 gene:SETIT_001188mg transcript:KQL03652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGEAVAAPLLGTNSKRRSREGCPGCRLEEANKMSTGIPYRNFFYIWIVCLTSTLPILSSFPYLYFMIRDLKIAKQEQDIGFYAGFIGATYFLARTFTSVPWGIFADKYGRKPCIVISILSVIVFNTLFGLSTSYWMAIVTRGLLGLLCGILGPIKAYATEVCRKEHQALGISLVTSSRAIALVMGPAIGGFLAQPAEKYPNLFSKESIFGRFPYFLPSFFISMLAAGSCIACIWLPETLHFHDDEKVEAIDALEAQVADSNLEGGKAKEASDTSTKNLLKNWQLMSAIIIYCIFCLHDTAYLETFSLWAVSSRKFRGLNLTSQDVGTVLAVSGVGVLVYQLALYPFIVKYFGSIRPLRPVAVLSILLLATYPFMANLQETELKILISIASLLKNVFSATITTACNILQNNAVTQEQRGVANGISVTIMSLFKGVAPAAAGILFSWAQKHMTGLFLPGDQILFLMINMVSIIGLLLTFKPFFNMSSALRRS >KQL05940 pep chromosome:Setaria_italica_v2.0:V:29354088:29358477:1 gene:SETIT_001025mg transcript:KQL05940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRGSRHHRHAADQQQPAPPKPQAHAPPPLPQQQHHRPRPKPAAAAPSADAGVAGRVLGRPMEDVRATYTFGRELGRGQFGVTYLVTHRETGQRFACKSIATRKLVHRDDIEDVRREVQIMHHLTGHRNIVELRGAYEDRHSVNLVMELCEGGELFDRIIARGHYTERAAAALCREIVAVVHSCHSMGVFHRDLKPENFLFLNDKEDSPLKATDFGLSVFFKPGETFKDLVGSAYYVAPEVLKRHYGAEADIWSAGVILYILLSGVPPFWAENEDGIFDAVLRGHIDFSSDPWPSISNGAKDLVKKMLRQDPKERLTAAEILNHPWIREDGEAPDKPLDITVISRMKQFRAMNKLKKVALKIVAENLSDEEIMGLKEMFRSLDADNSGTITLEELRSGLPKLGTKISESEIRQLMEAADVDGNGTIDYAEFISATMHLNRLEKEDHILKAFEYFDKDHSGYITVDELEEALKKYDMGDDKTIKEIIAEVDTDHDGRINYQEFVAMMRNNSPEIVPNRRRMF >KQL06782 pep chromosome:Setaria_italica_v2.0:V:35577232:35578499:1 gene:SETIT_001853mg transcript:KQL06782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCGGAMSARPRGVREETLLRVPGASVHLMAGSDGPVELARGDLSVVRIAKDGVAVATAVRVGHDLGWPLARDEPVVRLDRLHYLFTLPDRDGTFLNYGVSFDAAANADAAALASLDGFLRSNACFSAPSSSAAVVPSKSSRARPTQQQQQQSAASSDAYWNDFAPRMEGYNGVLAKAIAAGTGQLVKGIFMCSEAYASQVQRGADLFRPQAAGGASSRFGGAGRSSSQASTKRGAVNKSLKRVRKLSEMTEQMSQSLLDTVISVTGSMAAPLLRSKQGRAFLATVPGEVVLASLDAINKVMDAVEAAERRSLAATSNVVAGAVSRR >KQL06783 pep chromosome:Setaria_italica_v2.0:V:35577186:35578947:1 gene:SETIT_001853mg transcript:KQL06783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCGGAMSARPRGVREETLLRVPGASVHLMAGSDGPVELARGDLSVVRIAKDGVAVATAVRVGHDLGWPLARDEPVVRLDRLHYLFTLPDRDGTFLNYGVSFDAAANADAAALASLDGFLRSNACFSAPSSSAAVVPSKSSRARPTQQQQQQSAASSDAYWNDFAPRMEGYNGVLAKAIAAGTGQLVKGIFMCSEAYASQVQRGADLFRPQAAGGASSRFGGAGRSSSQASTKRGAVNKSLKRVRKLSEMTEQMSQSLLDTVISVTGSMAAPLLRSKQGRAFLATVPGEVVLASLDAINKVMDAVEAAERRSLAATSNVVAGAVSRRYGESAGEATEDAFATAGHAVGTAWNLFKIRKAVTPSSSLPGNMVKSAVRNRK >KQL05467 pep chromosome:Setaria_italica_v2.0:V:24843379:24843781:1 gene:SETIT_005572mg transcript:KQL05467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDEWMAEENPIPGYILCSVLAAQHKVLKSVFSS >KQL04761 pep chromosome:Setaria_italica_v2.0:V:11444963:11446286:-1 gene:SETIT_005236mg transcript:KQL04761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSPSCRLPSAPSFRCPCFWEAQIHWQGLELLLSIFPILFHLYLFLSNFLFLPVILIDVCSNEFCVTNLLSLLHLLLLKDKVLLEKRDCSAPSLTWGQYYIFLPPRHSTIFQCEHGEE >KQL04760 pep chromosome:Setaria_italica_v2.0:V:11444191:11446624:-1 gene:SETIT_005236mg transcript:KQL04760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSPSCRLPSAPSFRCPCFWEAQIHWQGLELLLSIFPILFHLYLFLSNFLFLPVILIDVCSNEFCVTNLLSLLHLLLLKDKVLLEKRDCSAPSLTWEYHISV >KQL08080 pep chromosome:Setaria_italica_v2.0:V:43487276:43488545:1 gene:SETIT_002755mg transcript:KQL08080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMVVWLLLLSSLSSCSGIASDIQCLQELKESVSDPTGALSSWKFSENGTEGNICQFAGVSCWNPSDSRVLSLCLRNMGLQGSFPRGIQNCSSMTSLDLSFSGEIPPGIGNLTYLSSLSLQHNRFTGRIPEKIGKLAQLTTLNVADNSLSGPIPGSLQRFAPEYFAGNGGLCGAPLDRKCKRRFHVRIHIRLRRINNASSIGAAAGFIVGFVVAFYFPHWFVFCGGLRPYIVPVCG >KQL08775 pep chromosome:Setaria_italica_v2.0:V:47039264:47041777:1 gene:SETIT_001384mg transcript:KQL08775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPADSASTPPAPNAGEGSPPSPPQRGRGKVVIVMGATGAGKSRLAVDLAAHFAGVEVVSADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIQEILNRGGLPVIVGGTNFYIQALVSPFLFDDMAQDMQDCTLSDHPDDIGLNNNDEGSGYERLKEIDPVAAQRIHPNDHRKIKRYLELYATTGALPSNLFQGEAAKKWGRASNSRFDCCFLWVDADIQVLDNYVNQRVDCMMDAGLLDEVCNIYDPDAVYTQGLRQAIGVREFDEFFRLYLTRKESDKDKAASSTTMLTVHDDQLKSLLDDAVSQLKTNTRRLVRRQRRRLHRLSKDFGWNLHRVDATKAFCCTTGDSWNHEVVEPCVDVVKRFLADGAACLPSTNASDGIGERELWTQYVCEACDKRVLRGAHEWEQHRQGRGHRRRVQRLKQRKSLIRPSES >KQL08774 pep chromosome:Setaria_italica_v2.0:V:47039264:47041777:1 gene:SETIT_001384mg transcript:KQL08774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPADSASTPPAPNAGEGSPPSPPQRGRGKVVIVMGATGAGKSRLAVDLAAHFAGVEVVSADSMQVYRGLDVLTNKVPLHEQKGVPHHLLSVIDPSVEFTCRDFRDHAVPIIQEILNRGGLPVIVGGTNFYIQALVSPFLFDDMAQDMQDCTLSDHPDDIGLNNNDEGSGYERLKEIDPVAAQRIHPNDHRKIKRYLELYATTGALPSNLFQGEAAKKWGRASNSRFDCCFLWVDADIQVLDNYVNQRVDCMMDAGLLDEVCNIYDPDAVYTQGLRQAIGVREFDEFFRLYLTRKESDKDKAASSTTMLTVHDDQLKSLLDDAVSQLKTNTRRLVRRQRRRLHRLSKDFGWNLHRVDATKAFCCDSWNHEVVEPCVDVVKRFLADGAACLPSTNASDGIGERELWTQYVCEACDKRVLRGAHEWEQHRQGRGHRRRVQRLKQRKSLIRPSES >KQL07468 pep chromosome:Setaria_italica_v2.0:V:39888437:39892851:-1 gene:SETIT_002585mg transcript:KQL07468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANFGPQERILWPASVLAGIVMCGAVYEMTRKVSSRCFKGYSRLSHMQKVEWNNRGFSTFHALVAAAISFYLVMVSDLFNEDVNNGIIIDRKSWLSDAMFGVSIGYFLTDLTMILWYFPSLGGKEYLLHHGLSMYAIGLALLSGKAHMYILMVLFTEVTTPFVNLRWYLDVAGQKTCNLYLYNGVTLFVGWLVARIILFVYLFTHMYLHYDQARSIFTLGFYSLVAVPSTVSVMNVFWFWKILKGMVKTMSRRRKHSENGKTD >KQL08786 pep chromosome:Setaria_italica_v2.0:V:47076934:47078166:1 gene:SETIT_001987mg transcript:KQL08786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALLVLCCIGSMLIVSSSAHHPSNDDMSIVGYSPEDLSSHGRLTELFERWLSRHGKAYASLEEKLRRFEVFKDNLQHIDETNRRAESSSYWLGLNAFADLTHDEFKAAYLGLGLEDRMQSRSGAGAFRYEGVDAASLPKSVDWRSKGAVTGVKNQGQCGSCWAFSTVAAVEGINQIVTGNLTALSEQELIDCDTRGGNHGCNGGLMDSAFSYIARSGGLHTEEEYPYLMEEGTCQHQRSKTPAATISGHEDVPRNNEQALLKALAHQPVSVAIDASGRNLQFYSGGVFDGPCGTALNHGVAAVGYGNGGSKHDDYIIVKNSWGTTWGEKGYIRMRRGTGKRHGLCGINKMASYPTKN >KQL03392 pep chromosome:Setaria_italica_v2.0:V:2130669:2132657:1 gene:SETIT_004622mg transcript:KQL03392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLAKRPPWSSQISRLCVLHAVSWNLWWRAVRYSGGGDNATPGASVRLSELFWPARVHASSVIGQALERGRWSDSVELELERLHVDLDPFVVNLVLRGVSDSETAVRFYWWAESRPGFDHTQSAIAYILSLLFIDGDFSLLSEFLERVRSQGVALHRSLYRILLSNYVRAGKFDSVIQTFDDMVTSGCREFGVDYNRFIGVLVKNCCFDLVEKYYDMALDKGFCLTPFTYSRWISALCQSNRIELVEELLADMDRFGCFPDIWACNIYVDYLCRQNRLPDALKMLEKMGIKGTGPDVVTYTAVVGCLCDNKQFAEAVELWEEMVRRGLKPDTIACGVLIFGLCKNEKVDEAFELALRMLSLDLELNVCIYNALISGFWRSGSISKAFKIISFMRKNGCEPDVVTYNIVLNHYCDAGMVKDAEDLMKKMEMSGVNPDRYSYNQMLKGLCKAHQLDKAFAFVADHMEVGGFCDIVSCNILIDAFCKARKVNSALKLFKEMGYKGIQPDAVTYGTLINGLYGVGYHNLAEETFEQMLKAQIVPNVNLYNIMLHNLCKAGHFKQAQKIFSQMIQMEVSPDIITFNTLIYWLGKSSRAIEALDLFRDMRARGIEPDSLTFRYLISGLLEEGKATLAYEVWEYMMDNGIILDRDVSDRLINVLKLKNK >KQL03300 pep chromosome:Setaria_italica_v2.0:V:794327:794443:1 gene:SETIT_0012272mg transcript:KQL03300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQLARLTQVLIMSPSTWYIGPTTKLCQDSTTRMTKTP >KQL03299 pep chromosome:Setaria_italica_v2.0:V:794327:794443:1 gene:SETIT_0012272mg transcript:KQL03299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQLARLTQVLIMSPSTWYIGPTTKLCQDSTTRMTKTP >KQL04759 pep chromosome:Setaria_italica_v2.0:V:11416929:11417432:1 gene:SETIT_004104mg transcript:KQL04759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEGMMKWMQLSAAEKKGTKIAMARPARSGPALPQVVGKVLGRIWCPIKGVTWKDLGENHFLFTFLQALGKWCAIDEDPWMFGKNLVIMVDYDEEKTLEEIEFTYIPIWVRAFKIPFRMMNKVTGEAIGHKLGEFLMMDKEEDNTTVGQFL >KQL07888 pep chromosome:Setaria_italica_v2.0:V:42334496:42337814:-1 gene:SETIT_002613mg transcript:KQL07888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAITFFITWWFIQFVDGFFSPLYAKLGINIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASMGPMPRKSQSIRLNRMA >KQL07886 pep chromosome:Setaria_italica_v2.0:V:42333988:42337980:-1 gene:SETIT_002613mg transcript:KQL07886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAITFFITWWFIQFVDGFFSPLYAKLGINIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASMGPMPRKSQSIRLNRMA >KQL07887 pep chromosome:Setaria_italica_v2.0:V:42334496:42337814:-1 gene:SETIT_002613mg transcript:KQL07887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKESTSIPLSQAAEAVDPEDPAKSPPRPSSPTTSTRKACCAVLQSWVSRKFMTGCVVLFPVAITFFITWWFIQFVDGFFSPLYAKLGINIFGLGFLTSLVFIFLVGIFVSSWVGSTIFWVGEWFIKKMPFVRHIYSASKQVSTAISPDQNTTAFKEVAIIRHPRIGEYAFGFITSTVVLQTDKGDEELCSVYVPTNHLYIGDIFLVNSEEIIRPNLSIREGIEIIVSGGMTMPQVIASMGPMPRKSQSIRLNRMA >KQL04818 pep chromosome:Setaria_italica_v2.0:V:12060409:12066586:-1 gene:SETIT_000132mg transcript:KQL04818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLALVALLLASALGSRGTTLAPSPAVTDSPPDQGQASSPPEPAFALGPVTIPTAPSTPSASPSPEKAAVSPAAPTEPQNAPSPITPPEVYNAPPPIEVAPTDPTDEVPAPVAPPQAAAENPTPILPGAPALLPSVQAPAPSVALKPIPPVVPPPSVNNQPNRPVGSVPPHPPPALPPPANDVPPYPPSGSFPAIPPSASVPHVNPPIASPVVQAPRQQAEAPRSEHKNGNTAPPANISPPANLKKHHVPHASPPKESTGQTVPDHKPPVTGSAPATSPSPQNTNMPSIPKNASSVSHAQPSPPSLAPKSAPTGRSHARGWKSNTPKNGGNPSIAPSFPPSRAQGPEVSRAPRQTGTKRQNHHAPPPIPQGHPSFPVHPPSPSPASSRGPTKGKKRHHLSPTLPPIPPLPEPKAPSAHPIWTLPPPPPNSDCNSLSCPEPLTDPPAGAPCACVLPIRVGIRLSVDLYSFFPLVSDFAEEVSSGVNMAERQVRVMGANVAGDQPDKTMVLVDLVPMQVKFDNATAFSAFESLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPEGVGDGAFGNNRNARAMKPLGVDVRRPKRKVNGSLIAIAVLSTVIALIICCLAAWLLILRFRGPSDTAQGFPHNVLPKFSRSSGHTHLAGVGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIDKATNGFDDSKVLGEGGFGCVYQGTLEDGTTVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLIYELIPNGSVESHLHGVDRETAPLDWNSRMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQENLVAWARPLLTNVLSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSEGDDCLASGRFSQELPIHTTAVYDVTGMEAERVLISEIFGSTPVFTPADDSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGVSPDYETRSQYSGR >KQL04817 pep chromosome:Setaria_italica_v2.0:V:12060817:12066288:-1 gene:SETIT_000132mg transcript:KQL04817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLALVALLLASALGSRGTTLAPSPAVTDSPPDQGQASSPPEPAFALGPVTIPTAPSTPSASPSPEKAAVSPAAPTEPQNAPSPITPPEVYNAPPPIEVAPTDPTDEVPAPVAPPQAAAENPTPILPGAPALLPSVQAPAPSVALKPIPPVVPPPSVNNQPNRPVGSVPPHPPPALPPPANDVPPYPPSGSFPAIPPSASVPHVNPPIASPVVQAPRQQAEAPRSEHKNGNTAPPANISPPANLKKHHVPHASPPKESTGQTVPDHKPPVTGSAPATSPSPQNTNMPSIPKNASSVSHAQPSPPSLAPKSAPTGRSHARGWKSNTPKNGGNPSIAPSFPPSRAQGPEVSRAPRQTGTKRQNHHAPPPIPQGHPSFPVHPPSPSPASSRGPTKGKKRHHLSPTLPPIPPLPEPKAPSAHPIWTLPPPPPNSDCNSLSCPEPLTDPPAGAPCACVLPIRVGIRLSVDLYSFFPLVSDFAEEVSSGVNMAERQVRVMGANVAGDQPDKTMVLVDLVPMQVKFDNATAFSAFESLWSKKISLKPSVFGDYEILYVVYPGLPPSPPSAPEGVGDGAFGNNRNARAMKPLGVDVRRPKRKVNGSLIAIAVLSTVIALIICCLAAWLLILRFRGPSDTAQGFPHNVLPKFSRSSGTGHTHLAGVGRYSSPSGPSGSLGSSIATYAGQAKTFKFAEIDKATNGFDDSKVLGEGGFGCVYQGTLEDGTTVAVKVLKRYDGQGEREFLAEVEMLGRLHHRNLVKLLGICVEENARCLIYELIPNGSVESHLHGVDRETAPLDWNSRMKIALGAARALAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTARGEGNQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPAGQENLVAWARPLLTNVLSLRQAVDPLLGPNVPLDNVAKAAAIASMCVQPEVAHRPSMGEVVQALKLVCSEGDDCLASGRFSQELPIHTTAVYDVTGMEAERVLISEIFGSTPVFTPADDSGSFRKQSSSGPLMTGKNRKFWQRLRSLSRGSMSEHGVSPDYETRSQYSGR >KQL04966 pep chromosome:Setaria_italica_v2.0:V:13513433:13516868:-1 gene:SETIT_004559mg transcript:KQL04966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSIDSDYLDFSHMGGFDMEEIVRKFIELPVKYLDSAHDKAVEFIEDVQEIFFAPFTDDEVLNEEDQSSSNVITESSPTSVESELVGPNTEASTPASLITAENSSTGCVGNDAHGTESFSSSESTGLSLMNHVYPENTSEGGCIEANDLRLLPEAEDTSPNSSEEVILWNPETSVKPQPRGTSISHESSSDFPNCADDPNMTTDTMVKSADIVKSVDIKDLRDGQEHMENDEIEVAPVPQRNNASFQKMFMRNLSSKLRWSKKQVSTHQAMPAGSQDSENLGCRLVSSSDDLEHDWEIV >KQL07841 pep chromosome:Setaria_italica_v2.0:V:42106247:42107845:-1 gene:SETIT_003746mg transcript:KQL07841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPASGGAGAELEWRTRAPGGTEYSWCRAVPGGTGTTLLAFRLSRGGAAESAAAAQAALRSLQNAHPVLRGRLRTTPSGPTLAFPSAALPPPPLLPLEPLPAPESAADFHALLEHELNRNPWADSDDAPVLFATLYELPPAAGGAALFVRIHTVACDRSAANALARELLVLLGGGGKEGGRAPEDAAAEAALEEKIPQRDTWKPFWARGLDMVGYSINGLRTSTLPFVETGTERSTQMLRLTLGRDETTRLLDIHSSLSSRSARRTGVRLCSAMAAATMLAARQSKPLESGQQETYSVVTLINCRKFLEPALDDHNVGFFYSAITNTHTVHGEEGLWELAKRCHDSYTSAKSNKKHLTDISDLNFLMCRAIENPQLTTAGALRTALVSVFEEPVIADMAELQGNAGVEDCVCCATVHGIGPSIGVFDSIRDGRLDCACMYPSPLHSRKQIQEIFDRVKQILLHASDEGFEDCA >KQL04838 pep chromosome:Setaria_italica_v2.0:V:12188558:12190423:-1 gene:SETIT_003244mg transcript:KQL04838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEQNLDIETTRNEDHYGAAIHHLSLLRNKRCLMAYMYNRAEVIQSFRWKVGPVLPHDIQEKLHFSEKEYFKNHSAAIKSYISEMDIDLTVDMVPPKDPYIQVRVLEDIGEVSLGDHSVSLTKNSLHFLRRTDAEQFISQGLMEEFLE >KQL06335 pep chromosome:Setaria_italica_v2.0:V:32665531:32665974:1 gene:SETIT_005264mg transcript:KQL06335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMLKIAVACLEERNSRPTMNDIVVSLLACAEQDDHPAYSW >KQL03686 pep chromosome:Setaria_italica_v2.0:V:4075704:4077960:1 gene:SETIT_004083mg transcript:KQL03686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVVEEDVIIVGVAYEALSWSATTAWVAVAEPRKRTYDRLHIYLAKQYIYMLGGSAQGADVPCDVDVAVRRGVARRSIGARGNYLRISQIAIPTRTRLRREVRSRGFDGEKGRWEVEAVDLATGRRELYVARFLVVASGENDERFVPEVPGLEAFPGRVVHAAEYRSGEGMRGKAVLVVGCGNSGMEIAYDLAAAGAVTSIAVRSELHLVTKEIWNVAMSLYGYHVPAWIIDKLVLLMCAVVFGDTARHGLRRPAMGPFTMKFTTPAYPVFDRPRQRRQVLGDGRRHPFDAIVLATGYRSTVRRWLRHWKGEKGLYCAGLMPRGIYGSYEDAELIAGDISEVLRHQQAQGNGTVRNNGSK >KQL08289 pep chromosome:Setaria_italica_v2.0:V:44599047:44599804:1 gene:SETIT_003456mg transcript:KQL08289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGSAASITLVVARYAVGGGYPYAQAVVAFERDMFLRALATELLLCPFTILLLLLRLDRRPTEPPDKLVLIGAGTPPCSYMVQSTLEHVASVSALAMASYRC >KQL05633 pep chromosome:Setaria_italica_v2.0:V:26564904:26565741:1 gene:SETIT_003778mg transcript:KQL05633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPQQVLTISSLAQAEQVTENLPVDEVGLLVDVEMPLKNTDGIKVNTHAGIRGIRVMNPELMDCKAFDAMLRGWMEECSREIDRVDLLISTVKEKLSTPDASLPHCGPPENERNQGIYQYLQTSRFGEHPTHDEPAVRFQAPYGSAEERARAIQRDRDSQRAWWKLNLQFLEAKKVLEEKARELERR >KQL08199 pep chromosome:Setaria_italica_v2.0:V:44134454:44136564:1 gene:SETIT_000959mg transcript:KQL08199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMPEQPCRSTPNSFTTSFGSSQQMHHLPQHDAALCTEPGLGFPYYYGTDQQDAAFDGDEVDLGFRASKVTKVDYYSSPYQPSWPLARADVAAAAAESSRVRKQRFRDVLESCKQKVEAMEAMESPVAFQEGEDGGVAGDGGGAAAGGGGGGGGGGGGADGMRLVQLLVACAEAVACRDRAQAAALLRELQVGAPVHGTAFQRVASCFVQGLADRLALAHPPALGPASMAFCIPPSCAGRDGARGEALALAYELCPYLRFAHFVANASILEAFEGESNVHVVDLGMTLGLDRGHQWRGLLDGLAARAGAKPKRVRVTGVGAPLDTMRAVGRELEAYAEGLGMRLEFRAVDRSLESLHADDLGVAADEAVAISSVLELHCVVKESRGALNSVLQTVRKLSPRAFVLVEQDAGHNGPFFLGRFMEALHYYAAVFDALDAALPRYDARRARVEQFHFGAEIRNVVGCEGVARVERHERADQWRRRMSRAGFQSVPIRMAARAREWLEENAGGGGYTVAEEKGCLVLGWKGKPLIAASCWKC >KQL04609 pep chromosome:Setaria_italica_v2.0:V:10194691:10198414:-1 gene:SETIT_003034mg transcript:KQL04609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRGGGSAARVGDGDVDLGEGWDWRSVPRLLSSACLFLCSGGCFGCCDKAVKQLGELSRNLITHDQIPIPEPFWSTTTIEVEPSDLRANSSISISNWGFDQHGTGSSHNLPELGNYGRILWEQTRQEWTEIRSLRPKVKQVREPVLSWNAAYESLLGSNKPFSQPIPLHEMVDFLVDIWEQEGLYD >KQL07199 pep chromosome:Setaria_italica_v2.0:V:38253100:38256141:-1 gene:SETIT_003714mg transcript:KQL07199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYERKGDVQVSTTDHDGLMADTSRLSRKSTTRQHIAACEPCSFCSAGSSL >KQL03751 pep chromosome:Setaria_italica_v2.0:V:4431484:4432381:1 gene:SETIT_003367mg transcript:KQL03751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALQPRFSLDWAPRRGRCRAAEEKWMGVPHAQRIGFDHVLACRGRLVYLLAWKSKRKQKNRKNSHAEAYILKGLRSEACGFDVKYRNFSVHRSRRDLQRRRTRLLTHHSPCRAGRLTR >KQL06761 pep chromosome:Setaria_italica_v2.0:V:35471534:35473360:1 gene:SETIT_003896mg transcript:KQL06761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSMFSTVKVSNVSLEAVQCDIKKFFSFSGDIVHVEMQSGDELSQVAYITFKDNEGAEMAMLVTGATIVDMAVIVTPATDYELPAYVLADLEPKDTKPSALQKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLSEKISVGTSAVNDKVKEMDQKYQVSKKTKSALAAAEQSVSIAGSAIMKNRYVLTGAAWVTGAFSKVTSAANDVGAKAKEKIAAEQEHKNVEGGSAAQPDIPEGPTTHREVDGEFAKIHVSETPEDIPSPLKASPPADAPKKPEPAHGLIL >KQL07059 pep chromosome:Setaria_italica_v2.0:V:37403627:37403827:-1 gene:SETIT_004858mg transcript:KQL07059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVKCCIACILPCGALDVVRIVHSNGRVEEISGGPVLAGEIMKAYPKHVLRKPPSTCPADGGGGIV >KQL03761 pep chromosome:Setaria_italica_v2.0:V:4452776:4455805:1 gene:SETIT_001426mg transcript:KQL03761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSGTPPSCPSSRHCAEWARTYLKYCLCSQKDSAALALGLISVISWGVAEVPQIITNYRQKSTEGLSVAFLMTWIVGDMFNLIGCFLEPATLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKSRATSKPQKHQRGDTSLREKLLGAKDGGASGNNHQSDATIPIPSSPIPVNTEFTEQYHAPSSPTSDYYYVSARSLSRSPVPTAGTWLGNSRLSSRTPPQTNGQREPLIGEVTTAQSAPPSRTKNAFSVVPWMGLLLGTCLLHFLVGNTHREVPSGTVIPVGRRLLLFTDVQGHSSLSHGIGSEIGSFLGWAMAIIYMGGRLPQIFLNMQRGHVEASLLHLHQYSPICCYCKRYLHFFLCCL >KQL03760 pep chromosome:Setaria_italica_v2.0:V:4452776:4455805:1 gene:SETIT_001426mg transcript:KQL03760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSGTPPSCPSSRHCAEWARTYLKYCLCSQKDSAALALGLISVISWGVAEVPQIITNYRQKSTEGLSVAFLMTWIVGDMFNLIGCFLEPATLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKSRATSKPQKHQRGDTSLREKLLGAKDGGASGNNHQSDATIPIPSSPIPVNTEFTEQYHAPSSPTSDYYYVSARSLSRSPVPTAGTWLGNSRLSSRTPPQTNGQREPLIGEVTTAQSAPPSRTKNAFSVVPWMGLLLGTCLLHFLVGNTHREVPSGTVIPVGRRLLLFTDVQGHSSLSHGIGSEIGSFLGWAMAIIYMGGRLPQIFLNMQRGHVEASLLHLHQYSPICCYCKRYLHFFLCCL >KQL03764 pep chromosome:Setaria_italica_v2.0:V:4452340:4458086:1 gene:SETIT_001426mg transcript:KQL03764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVLDQINEASVHNPTFFSCNLSFRSGAEMGVFSGTPPSCPSSRHCAEWARTYLKYCLCSQKDSAALALGLISVISWGVAEVPQIITNYRQKSTEGLSVAFLMTWIVGDMFNLIGCFLEPATLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKSRATSKPQKHQRGDTSLREKLLGAKDGGASGNNHQSDATIPIPSSPIPVNTEFTEQYHAPSSPTSDYYYVSARSLSRSPVPTAGTWLGNSRLSSRTPPQTNGQREPLIGEVTTAQSAPPSRTKNAFSVVPWMGLLLGTCLLHFLVGNTHREVPSGTVIPVGRRLLLFTDVQGHSSLSHGIGSEIGSFLGWAMAIIYMGGRLPQIFLNMQRGHVEGLNPLMFTFAIVGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGCVILDSFIILQFLYFHYRKQSEPSDEHDNADKA >KQL03759 pep chromosome:Setaria_italica_v2.0:V:4451184:4458086:1 gene:SETIT_001426mg transcript:KQL03759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWIVGDMFNLIGCFLEPATLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKSRATSKPQKHQRGDTSLREKLLGAKDGGASGNNHQSDATIPIPSSPIPVNTEFTEQYHAPSSPTSDYYYVSARSLSRSPVPTAGTWLGNSRLSSRTPPQTNGQREPLIGEVTTAQSAPPSRTKNAFSVVPWMGLLLGTCLLHFLVGNTHREVPSGTVIPVGRRLLLFTDVQGHSSLSHGIGSEIGSFLGWAMAIIYMGGRLPQIFLNMQRGHVEGLNPLMFTFAIVGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGCVILDSFIILQFLYFHYRKQSEPSDEHDNADKA >KQL03758 pep chromosome:Setaria_italica_v2.0:V:4451184:4458086:1 gene:SETIT_001426mg transcript:KQL03758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSGTPPSCPSSRHCAEWARTYLKYCLCSQKDSAALALGLISVISWGVAEVPQIITNYRQKSTEGLSVAFLMTWIVGDMFNLIGCFLEPATLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKSRATSKPQKHQRGDTSLREKLLGAKDGGASGNNHQSDATIPIPSSPIPVNTEFTEQYHAPSSPTSDYYYVSARSLSRSPVPTAGTWLGNSRLSSRTPPQTNGQREPLIGEVTTAQSAPPSRTKNAFSVVPWMGLLLGTCLLHFLVGNTHREVPSGTVIPVGRRLLLFTDVQGHSSLSHGIGSEIGSFLGWAMAIIYMGGRLPQIFLNMQRGHVEGLNPLMFTFAIVGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGCVILDSFIILQFLYFHYRKQSEPSDEHDNADKA >KQL03763 pep chromosome:Setaria_italica_v2.0:V:4451184:4458086:1 gene:SETIT_001426mg transcript:KQL03763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSGTPPSCPSSRHCAEWARTYLKYCLCSQKDSAALALGLISVISWGVAEVPQIITNYRQKSTEGLSVAFLMTWIVGDMFNLIGCFLEPATLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKSRATSKPQKHQRGDTSLREKLLGAKDGGASGNNHQSDATIPIPSSPIPVNTEFTEQYHAPSSPTSDYYYVSARSLSRSPVPTAGTWLGNSRLSSRTPPQTNGQREPLIGEVTTAQSAPPSRTKNAFSVVPWMGLLLGTCLLHFLVGNTHREVPSGTVIPVGRRLLLFTDVQGHSSLSHGIGSEIGSFLGWAMAIIYMGGRLPQIFLNMQRGHVEGLNPLMFTFAIVGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGCVILDSFVSFSPVLL >KQL03762 pep chromosome:Setaria_italica_v2.0:V:4451184:4458086:1 gene:SETIT_001426mg transcript:KQL03762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFSGTPPSCPSSRHCAEWARTYLKYCLCSQKDSAALALGLISVISWGVAEVPQIITNYRQKSTEGLSVAFLMTWIVGDMFNLIGCFLEPATLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKSRATSKPQKHQRGDTSLREKLLGAKDGGASGNNHQSDATIPIPSSPIPVNTEFTEQYHAPSSPTSDYYYVSARSLSRSPVPTAGTWLGNSRLSSRTPPQTNGQREPLIGEVTTAQSAPPSRTKNAFSVVPWMGLLLGTCLLHFLVGNTHREVPSGTVIPVGRRLLLFTDVQGHSSLSHGIGSEIGSFLGWAMAIIYMGGRLPQIFLNMQRGHVEGLNPLMFTFAIVGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGCVILDSFIILQFLYFHYRKQSEPSDEHDNADKA >KQL03765 pep chromosome:Setaria_italica_v2.0:V:4453472:4458086:1 gene:SETIT_001426mg transcript:KQL03765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLPTQFYMALLYTITTVILTGQTVYYSHIYHRLKAKKSRATSKPQKHQRGDTSLREKLLGAKDGGASGNNHQSDATIPIPSSPIPVNTEFTEQYHAPSSPTSDYYYVSARSLSRSPVPTAGTWLGNSRLSSRTPPQTNGQREPLIGEVTTAQSAPPSRTKNAFSVVPWMGLLLGTCLLHFLVGNTHREVPSGTVIPVGRRLLLFTDVQGHSSLSHGIGSEIGSFLGWAMAIIYMGGRLPQIFLNMQRGHVEGLNPLMFTFAIVGNSTYVGSILVNSLEWSKLRPNLPWLVDAGGCVILDSFIILQFLYFHYRKQSEPSDEHDNADKA >KQL06586 pep chromosome:Setaria_italica_v2.0:V:34458373:34460408:-1 gene:SETIT_004997mg transcript:KQL06586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVLGAMEEYTFTFVAMVVGFLLIVYMYEPYWKVRHVPGPVPLPLIGHLHLLAKHGPDVFSVLAKKHGPIFRFHMGRQPMIMVANAEICKDVGIKKFKSIPNRSMPSPIANSTIHQKGLFFTRDSRWSSMRNIIVSIYQPSHLAGLIPTMESYIERAATNLQHGEEVDFSKLALSLFTDVIGQAAFGADFGLSRKPTPPGEDGKSHARDDAGSTQANASSEFIKMHLQATTSLKMDLSGSLSIIVGQLMPFLHQPFRQVLTRIPGSADREIDRVNNELSRQMDGMVADRIAAREHSPASEQHKDFLSVVLAAKERGASAQELLTPDYLSGLTYEHLLAGSATTSFTLSCTVYLIAKHPEVEEKLLREIDAFGAHDRVPTADDLQTKFPYLDQVLKESMRFYMVSPLVARETSERVEIGAYVLPKGTWVWLALGVLAKDPNNFPDPELFRPERFEPTCDEERRRHPYAFIPFGIGPRACIGQKFSIQEIKLSLIHLYRHFVFRHSPSMESPLEFQYGIVVNFKYGVKLQVIRRQRD >KQL07813 pep chromosome:Setaria_italica_v2.0:V:41944780:41947829:1 gene:SETIT_001417mg transcript:KQL07813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWLEEVRGRERGELRARRFEAAARARRAASLALSNRKEFATPHHGAVNSLQVDLTEGRYLLSGASDGSAAVFDVLNATDCEAGFIAKHKHILLVDKQHQNGHKFAISAAVWYPVDTGLFVTASFDQYVKVWDTNSTQVVMDFKMPGKVFTAAMSPIATTQMLIATGSADVQVRLCDIASGAFTHTLSGHRDGIMSLEWSASSEWILMSGGCDGAIRFWDIRRAGCFRVLDQSQSQLGRRPPLLKSTPENDHIDSLGHSTSTRSSAQKRTRNSKNSPKLRKSQNLTQGHMQQRLHPGLSSSQNRATSHYGAVTGLRTTKDGMYLLSSGSDSRLRLWDIDSGCNTLVNFEAMRLQTGKPLQLAVTEDPSLVFVPCMASIKAYNLWSGMTFRAFRGHYELVNCCYYSEQDQELYTGSNDRQILVWSPSTPAFTEMEDDEKRQGLSAADEDNWSD >KQL04431 pep chromosome:Setaria_italica_v2.0:V:8936544:8939797:1 gene:SETIT_004924mg transcript:KQL04431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAMRVMSLLPATIAVMIAVASWGAHGGASDEASALLAFKAQLAGSGSGMLASWNGTAGVCGWEGVACTGGQVVALSLPSYGLAGALSPAIGNLTFLRTLNLSSNWFQGEVPASIGRLASLQTLDLSYNTFSGTLPSNLSACVSLLLLRLSSNRFHGRIPVELGDKLTSLQMLSLRNNSLTGAIPGSLGNLSSLIYLHLTENHLEGPIPHELGSMGGLQVLFLYENRLSGVLPHSLYNLSSLKSLWVGYNMLSGTIPADIGDRFPGMEALDFSSNRFSGAIPPSLANLSALTKLVLQGNDFIGYVPSALGKLSLTALYLGDNRLEANDSQGWEFIASLANSSQLQLLVLGNNSFSGQLPNSVTNLSSTLQGLYLGPNMISGNIPINIGNLVGLTVLEMANTFVSGQIPESIGQLRNLVQLALYNTSLSGLIPSSLGNLTQLNSLLAYYGNLEGPIPSNLGNLKNVFSFDLSTNRLNGSIPIEVLKLPRLSWYLDLSYNSLSGPLPTEVGTMVNLNELILSGNKLSGTIPASVGNCISLVRLLLDNNLLEGSIPQSLKNLKGLELLNLTMNKLSGSIPDALASIGNLQQLYLAHNNLSGSIPKVLQNLTLLAKLDLSFNDLQGEVPKGGPFANATRLSLDGNDELCGGNPQLHLAPCSTAAARKNRRRMSRSLMVTVASICAFLFLGLIVCLIHLIHKRLRQGKENQLIPTAIDEQHQRVSYQALANGTDHFSEVNLLGQGSYGAVYKCTLHDKGITAAVKVFNVRQSRSTRSFVAECEALRRVRHRCLIKIITCCLSIDHQGQEFKALVFEFMPNGNLNDWLHPASKIQSLSNTLSLAQRLNIAVDIMDALDYLHNQCQPPIIHCDLKPSNILLAEDMSARVGDFGISKILPEDTSKTLLNSVSFTGLRGSIGYVAPEYGEGRAVSTLGDVYSLGILLLEMFTGMSPTDDMFKGSLDLHKFAEAALPDRALEVADPAIWFHEEAKGENPATVRSRSERCLASVIGLGVSCSKQLPRERTAMRDAAAEMRAIRDAFL >KQL05388 pep chromosome:Setaria_italica_v2.0:V:23727062:23730583:-1 gene:SETIT_001457mg transcript:KQL05388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISLRDRIRKRREEEDDDMMMFLFPALYLMGSAREGGVKKKRHTSEEMGKVKVRRLLEGHIKNCQVTFRMEPHIFKELATYLRRKRLVVDTRITVEEKLGFFLYMLSHNASYEDLAVTFGHSNDTFHRHINHFFKKVIPTLSRHFLQSPDPNQVHPKIQDNPRFYPFFKNWWNKMVKEFHLRNKSISYTKAQIQDKECQLKRDYKMLKAARMQSGSKWNEQRNMVEGSAAMWENLMVTFPKIKKFQNNKASFPLFDALGELYDGHLAEGTYNFTSIESQRVQEPLQQIDVVEEEALQEIEIHDEEDEEKDARDEEEARSGEQRMVASRKKPEKEGQRPRKSANIEAMMERFLEMRTKQAKNEAKQLARENETREQEARDKEAAKGDEYSIKRCISIINIMEVTKQEKAKAYAIFAKSKENRETFICASEEDEESALIWLRNEMT >KQL08447 pep chromosome:Setaria_italica_v2.0:V:45507086:45509166:-1 gene:SETIT_003932mg transcript:KQL08447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKPCYHPGQYCVGIAAQLEASAAAARQQDHRKPSRSTRLAMADDEPAAAAGTSSQGGAAAGDDEDWLQLSLAGVAVASSSSASSSGDTNSMDPAPHPMELDLLTYDKRNARMRPPLFPLPLRSYQSYGRGRYRPAAASGSLSAPSLTFTPPFRTSGDAMRVISPPRRRETAAGLWLKLQAAPNQVREPILPQIPKSYLRIKDSNMKVEVVMKYLAEKLGISRSHQTYLGGFVRVKETISQSFNSGQLLIRARLTVELSINVCTLARAVEVQMCL >KQL04256 pep chromosome:Setaria_italica_v2.0:V:7704261:7708895:-1 gene:SETIT_002633mg transcript:KQL04256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLAAATPTTSAHFSAPLLPSSHTHRRAVAAPLRRTRRPRLATVVASVPDPAAGPVEYTPWLIAGLGNPGNKYYGTRHNVGFEMVDRIAREEGITMNTIQSKSLLGVGSIGEVPVLLVKPQSYMNYSGEAIGPLAAYYQVPLRHILLVYDDMSLPNGVLRLQRKGGHGRHNGLQNVIEHLDGRREFPRLSIGIGSPPGKMDTRAFLLQKFSSEERVQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHRV >KQL04257 pep chromosome:Setaria_italica_v2.0:V:7702989:7708895:-1 gene:SETIT_002633mg transcript:KQL04257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLAAATPTTSAHFSAPLLPSSHTHRRAVAAPLRRTRRPRLATVVASVPDPAAGPVEYTPWLIAGLGNPGNKYYGTRHNVGFEMVDRIAREEGITMNTIQSKSLLGVGSIGEVPVLLVKPQSYMNYSGEAIGPLAAYYQVPLRHILLVYDDMSLPNGVLRLQRKGGHGRHNGLQNVIEHLDGRREFPRLSIGIGSPPGKMDTRAFLLQKFSSEERVQIDTALEQGVDAVRTLVLKGFSGSIERFNLVQKYKFHRV >KQL03867 pep chromosome:Setaria_italica_v2.0:V:5139244:5139642:1 gene:SETIT_003758mg transcript:KQL03867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HHAQQRAPSRAGERAERQQPSDPSPQKQEADPMALALSGSSALRAALSTLAPRASATRGYAASAAYGAMRRAAAAAEGAAAGEAKEAGRGAAAEISWVPDPVTGHYRPANWAAAADPADLRAAHLARTYARA >KQL03317 pep chromosome:Setaria_italica_v2.0:V:1389421:1390103:-1 gene:SETIT_005325mg transcript:KQL03317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGWWLDEVKTIIEDQDEDASKNERAAIAKPNRYWYRNGNLSVYK >KQL03625 pep chromosome:Setaria_italica_v2.0:V:3509535:3511173:1 gene:SETIT_002787mg transcript:KQL03625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSGGRHRGLVLPCLAVVAALAAAPRGADAYKNYTVGDDKGWYDGLTLPGVDYQAWADGIKNFSLGDFLIFNTDKNHSVVQTRNATLFKSCDYNDSGPDDTVEWSAAAPEFSKDAVTVAVPLLREGRTYFFSGNYDGEQCENGQGFAIDVAHGQGLPPDLRPPAADAPAPSAKPADGAAVLDFSHPKNVTTPSASDGDLSGDDTTSGSSRTLARICLAVMPLVTALFAV >KQL06245 pep chromosome:Setaria_italica_v2.0:V:32025252:32026068:-1 gene:SETIT_003571mg transcript:KQL06245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKADEKLNRHHVTSKLNPILNHSTPPTVLVNKISKIRLVQYFYANNIIRLVKLCKVLFKKNPISIIRIRWKMLNSAQQASV >KQL04903 pep chromosome:Setaria_italica_v2.0:V:12927458:12929323:1 gene:SETIT_000916mg transcript:KQL04903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAQDFQPRTFSIKLWPPSESTRLMLVERMTKNLSTESIFSRKYGLLGKEEAHENAKRIEQLCFASADEHFKKEPDGDGSSAVQLYAKETSKMMLEVLKKGPRTTAEPEAPVADTLEPGDTVLDISGSKRAFIEADEAKELLSPLTKPGNSYNRICFSNRSFGLDAANVAGPILESVKNQLTEVDISDFVAGRPEDEALDVMRIFSKALEGSVLRYLNISDNALGEKGVRAFSELLKSQENLEEFYVMNDGISEDAAKALSELIPSTENLKVLHFHNNMTGDEGAVYVAEMVKRSPNLESFRCSATRIGSDGSVALSEALGTCTHLKKLDLRDNLFGVDAGIALSKTLSKLPDLVELYLSDLNLENKGTVAIANALKQSAPQLEVLELAGNEINAKAAPALAECLTAMQSLKKLILAENELKDDGAVIIAKSLEVGHADLKELDVSTNMFQRVGARCFARAVANKPGFMQLNINGNFISDEGIDEVKDILKAGKNSLDVLGSLDENDPEGEPDDGDEEDDEDAKDDDDEDGLNSKLQNVQVEQED >KQL06548 pep chromosome:Setaria_italica_v2.0:V:34094242:34097563:1 gene:SETIT_005183mg transcript:KQL06548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISCIRMALFILLTPCAAALATPSNTTDLAALLAFKAQLKDPLGIVAGNWTATTSFCSWIGVSCSHGRQRVTGLQFNHVPLEGSIAPQLGNLSFLSNLVLRNTSLVGPLPTELGTLHRLRSLVLPNNSLSGTIPCTLANLTRLELLDLASNNFIGAIPYEFQNLHSLQMFQLVDNDLSGEIPQDMFNNTPSLSIIHLGSNRLTGRIPRSIITLSNLEKLVLQKNLLSGPMPPPIFNMSQLQALAVGRNNLSGPIPGNESFHLPMLQVLALPENQFNGPIPLGLSACQNLETLNLAVNNFTGTVPSLLATLPNLTAIYLSTNDLTGKIPTELSNQTTLLGLDVSENNLEGEIPPELGNLRNLGNMLNLSEIFINYNQLSGKLHFLAALSKCRRLNTIHISGNKFTGRLPPYMGNLSTMLEIFAAGNDMITGSIPSTVANLSNLLILSLRGNNLSGKIPTTIGAMDNLQGLYLSYNSLSGNIPEEISGLTKLVELYLGANKLTDPIPSSSGNLSQLQFMALSQNSLSSTIPTSLWHLQKLMVLDLSQNSLTGFLPADVTKLTAITAMDLSGNKLSGSIPDSLSKLLNIEKLDLSSNALSGVIPKALTNLTYLANLNLSFNRLYGQIPEGGIAPCQNKTNHSRSKQQLLKVTLAAVMAILISASCLCMLVIITTRRKGNIPLPLGTNLLSYQLISYHELVRATRNFSDDNLLGSGSFGKVFKGQLDDESFIAVKVLNMQDESASKSFDTECRALQMARHRNLVKIISTCSNLDFKALILEYMPNGSLNDWLYSNDGRQLSFVQRVGIMLDVAMAIEYLHHQNIEAVLHCDLKPRNILLDKDMIAHISDFGISKLLVGHDNSIMLTSTPGTVGYMAPEFGSTGKASRASDIYSYGIVLLEIFTRKRPTDPMFVGELSLRRWVSQAFPHELSNVVDSSILQDGIEDASRPPENFSILNINLISIIELALLCSIVVPEERMTMNDVVVKLNKI >KQL03347 pep chromosome:Setaria_italica_v2.0:V:1883288:1885290:1 gene:SETIT_002898mg transcript:KQL03347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGRPKPTPANAPAEVIFDPSAPGSRKPRRAGAPSASNEWHSFMGSSLSDMYREPVLEKSDDTSDDEPDIDIGKLLKDVELFGASTFKERKQIENRKVVELGGKAVKKHRTPLSVAKPALKNQKKRELKKIEEEKLLGIFRKRDKNSKPQTTRPENRVLRATQGHFKNGILDVKHLLSAPKPSGKDALEPKMRKGKHKGKGKQKGGRRKGR >KQL07106 pep chromosome:Setaria_italica_v2.0:V:37683859:37686367:1 gene:SETIT_001826mg transcript:KQL07106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLRAFCRLRRLLAPPPPQAPPLGHSLARGPHATAAHASPFSRLFSSASAVAAVAPHEARDSGFGGSAYWAWIRAATESAPAPALPPEEEDEGPERYIPVKAYFLSTSIDLKSMQAEHGSDIVPPSTRLLNYIALRYSEFPPEIMDIGVKDSRFCYRYVVVFQYGSAVLFNIADHEAEYYLDIIRKHASGWLPEMRKDDYAVVEKPSLTTWMKGGLDYIVLKSLDTDGIRIISSVLGQSIALDHYIRQVDDMVEEFTEINRVMEKTGNFTMQRKKLFQLVGKANSNLADVIIRLGLFDRSEIAWKNANYAQILEYLREEYELNQRFGSLDFKLKFVEHNIHFLQEVLQNRRSDLLEWGVIILLTIEIAISLYEIVKDSNMIS >KQL08179 pep chromosome:Setaria_italica_v2.0:V:44051249:44052291:-1 gene:SETIT_005486mg transcript:KQL08179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLRKAYQMRRHPWSGRGRGGGSGGAERRDDDREAERLDGSSCFRLRCSVHRPAQRKGEQGGELLEAWIWLQALLPSADPSAFSSCVFRTQSCISMLSRLACISFAVLLSFSQICSVGLCIVLISDK >KQL08180 pep chromosome:Setaria_italica_v2.0:V:44051383:44052290:-1 gene:SETIT_005486mg transcript:KQL08180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQLRKAYQMRRHPWSGRGRGGGSGGAERRDDDREAERLDGSSCFRLRCSVHRPAQRKGEQGGELLEAWIWLQALLPSADPSAFRSATPVHLTKYMASVLASLNASAALLICLLQHH >KQL03211 pep chromosome:Setaria_italica_v2.0:V:371687:373069:-1 gene:SETIT_003273mg transcript:KQL03211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLSPTVSFLSSPSAPRCSRGLSAAANASCPAPRLRCKSLPFLQSPLNVTATCASLAEKRLVLVHAAAEGGEAGAEQPEEPKPVTKIEEMPLESKQKMIMEQRARMKLAKKLRQRRKRLVRKRKLRKKGRWPPSKMKKLKNV >KQL03191 pep chromosome:Setaria_italica_v2.0:V:284297:286217:-1 gene:SETIT_002147mg transcript:KQL03191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGDSDGVVVPVYESGAEVLQKLQDKCSSNTNRSTYPAMYSSVVGGIILDPAMMVLPIDDHMVHRGHGVFDTAMLLDGCLYELDAHLDRFLRSAAKARIDTAPFPRDALRSILLQMTAASGCRKGSIRYWLSAGPGDFLLSSAGCPAPAFYAVVIAADYDQCRDGVRAVTTSVPMKPPLFATVKNVNYLPNVLSIMDAEDRGAFAAVWVDDQGYVAEGPMVNVAFITPDRELVLPAFDKILSGCTAKRLLALAPKLVDAGLLTAVATRNITAEDARRSVEMAFVGSGLPVLPVVEWDGNPIGDGEVGQLMMALSDLLWEDMKSGPDRIAVPYSG >KQL03193 pep chromosome:Setaria_italica_v2.0:V:284478:285824:-1 gene:SETIT_002147mg transcript:KQL03193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGDSDGVVVPVYESGAEVLQKLQDKCSSNTNRSTYPAMYSSVVGGIILDPAMMVLPIDDHMVHRGHGVFDTAMLLDGCLYELDAHLDRFLRSAAKARIDTAPFPRDALRSILLQMTAASGCRKGSIRYWLSAGPGDFLLSSAGCPAPAFYAVVIAADYDQCRDGVRAVTTSVPMKPPLFATVKNVNYLPNVLSIMDAEDRGAFAAVWVDDQGYVAEGPMVNVAFITPDRELVLPAFDKILSGCTAKRLLALAPKLVDAGLLTAVATRNITAEDARRSVEMAFVGSGLPVLPVVEWDGNPIGDGEVGQLMMALSDLLWEDMKSGPDRIAVPYSG >KQL03195 pep chromosome:Setaria_italica_v2.0:V:284297:286031:-1 gene:SETIT_002147mg transcript:KQL03195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGDSDGVVVPVYESGAEVLQKLQDKCSSNTNRSTYPAMYSSVVGGIILDPAMMVLPIDDHMVHRGHGVFDTAMLLDGCLYELDAHLDRFLRSAAKARIDTAPFPRDALRSILLQMTAASGCRKGSIRYWLSAGPGDFLLSSAGCPAPAFYAVVIAADYDQCRDGVRAVTTSVPMKPPLFATVKNVNYLPNVLSIMDAEDRGAFAAVWVDDQGYVAEGPMVNVAFITPDRELVLPAFDKILSGCTAKRLLALAPKLVDAGLLTAVATRNITAEDARRSVEMAFVGSGLPVLPVVEWDGNPIGDGEVGQLMMALSDLLWEDMKSGPDRIAVPYSG >KQL03194 pep chromosome:Setaria_italica_v2.0:V:284478:285482:-1 gene:SETIT_002147mg transcript:KQL03194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALFLRSRCTNKVSQMQVLQKLQDKCSSNTNRSTYPAMYSSVVGGIILDPAMMVLPIDDHMVHRGHGVFDTAMLLDGCLYELDAHLDRFLRSAAKARIDTAPFPRDALRSILLQMTAASGCRKGSIRYWLSAGPGDFLLSSAGCPAPAFYAVVIAADYDQCRDGVRAVTTSVPMKPPLFATVKNVNYLPNVLSIMDAEDRGAFAAVWVDDQGYVAEGPMVNVAFITPDRELVLPAFDKILSGCTAKRLLALAPKLVDAGLLTAVATRNITAEDARRSVEMAFVGSGLPVLPVVEWDGNPIGDGEVGQLMMALSDLLWEDMKSGPDRIAVPYSG >KQL03192 pep chromosome:Setaria_italica_v2.0:V:284478:285824:-1 gene:SETIT_002147mg transcript:KQL03192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGDSDGVVVPVYESGAEVLQKLQDKCSSNTNRSTYPAMYSSVVGGIILDPAMMVLPIDDHMVHRGHGVFDTAMLLDGCLYELDAHLDRFLRSAAKARIDTAPFPRDALRSILLQMTAASGCRKGSIRYWLSAGPGDFLLSSAGCPAPAFYAVVIAADYDQCRDGVRAVTTSVPMKPPLFATVKNVNYLPNVLSIMDAEDRGAFAAVWVDDQGYVAEGPMVNVAFITPDRELVLPAFDKILSGCTAKRLLALAPKLVDAGLLTAVATRNITAEDARRSVEMAFVGSGLPVLPVVEWDGNPIGDGEVGQLMMALSDLLWEDMKSGPDRIAVPYSG >KQL06731 pep chromosome:Setaria_italica_v2.0:V:35255462:35257738:1 gene:SETIT_001197mg transcript:KQL06731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYIATGIEKMFQSVELERQIRRLHRAVGNAVVDDKHVVFAAGSIQLINALVHALSPDANAASPPARVVATAPYYPIYRTQTKMFDGREYRWGGTTALWVNASRNSTDGFIEFVTSPNNPDAQLYEPVLGGSAPVIVDHAYYWPHFTHIPAPADEDVMMFTMSKPSGHAGSRFGWALIRDENVAKRANDYVQNSIMGASRDTQLRMLGIVKIMLANLHGKEDIFAFGHDVMRTRWRRLNAVVSRSRRISLQRMAPEYCTYFKRIREPSPGYAWVKCEMEEDDDCYEALLKAKIITRSGARFEASSRYTRLSLLKTDDDFEVLMERVTDLVNAENYDEPASSSTTLVM >KQL06732 pep chromosome:Setaria_italica_v2.0:V:35255462:35257738:1 gene:SETIT_001197mg transcript:KQL06732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAGGGQQARRRPALLALLSTSLLLNAVFLAHHLFRPSRVLSAVGDGGGSSCGLSWTLQAAREAEAVAAVDCSGHGQVFLDGVAGEDGRPGCECNACFAGRDCSLHTPNCTADADSGNPLFLEPYWRRHAAASAVVISGWHRMSYIATGIEKMFQSVELERQIRRLHRAVGNAVVDDKHVVFAAGSIQLINALVHALSPDANAASPPARVVATAPYYPIYRTQTKMFDGREYRWGGTTALWVNASRNSTDGFIEFVTSPNNPDAQLYEPVLGGSAPVIVDHAYYWPHFTHIPAPADEDVMMFTMSKPSGHAGSRFGWALIRDENVAKRANDYVQNSIMGASRDTQLRMLGIVKIMLANLHGKEDIFAFGHDVMRTRWRRLNAVVSRSRRISLQRMAPEYCTYFKRIREPSPGYAWVKCEMEEDDDCYEALLKAKIITRSGARFEASSRYTRLSLLKTDDDFEVLMERVTDLVNAENYDEPASSSTTLVM >KQL04117 pep chromosome:Setaria_italica_v2.0:V:6613503:6613685:1 gene:SETIT_004839mg transcript:KQL04117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFFSGSTQLDFVLIFMRVQHAKGRKQRIEVDILFPVKWLGCFRIPKESNGGFLRSKRSE >KQL04442 pep chromosome:Setaria_italica_v2.0:V:9066928:9070150:1 gene:SETIT_000150mg transcript:KQL04442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMQSATTLLLLSASIFISIPAAAEADDQATLLAFKAAATRGGHGNALASWNSSSAGGFCSWEGVTCGSRHRRVVALSLRSHGLTGVLSPVIGNLSFLRTLNLSKNGFNGNVPASLGRLRHLQALNLSYNAFSGELPANLSSCTSLTIMALQSNHLQGYIPPELGDNLARLTRLQLRENNLIGTIPASLGNLSSLRMLDLASNQFDGAIPPSLGSILGLQYLNLAFNNLSGEFPNSLYNLSSLQVLETLSNVLEGSIPADIGSRFPKMWLLTFAHNRFTGTIPSSFSNLTSLQGLDLSVNMLSGYLPPTLGRLPALQGLYLYGNMLETDKMQLREFITSLSNCSQLRLLMLNDNAGLAGQLPSSVVNLSTSLQVLRFDFTSISGTIPSAISNLVNLRIFIAGATSISGLIPKSIGELTNLGWLGLHQTNLSGRIPSSIGNLSNLVSLLAHDSNLEGPIPASIVNMTNLLKLDLAMNRLNGSLPKEIFKLPVISIYLNLSYNSLSGSLPSEVGSFGNLNSLVLSGNQFSGEIPNTIGGCIVLQQLRLDNNLFEGSIPQSLNNIKGLSELNLSLNRLSGSIPNAIGSIYNVQQLYLAYNNLSGPIPSVLQNLTSLSRLDLSFNNLEGEVPKDGIFRNLTNLSISGNNELCGGIPQLHLAPCKMDSVKKNREGRSKSLTIALTTIGAIFFLTLVTVSIQIISKKLRRKQQSPFQPPIVDEQYERVSYQAIANGTNGFSEANLLGKGSFGMVYKCTFQDEGTIAAVKVFNLEQSGSTRSFVAECEALRRVRHRSLIKIITCCSSINHQGQEFKALVFEFMPNGSLSDWLHKKSGMPTVTNTLNLAQRLDISVDIMDALDYLHNHCQPSIIHCDLKPSNILLAEDMSARVGDFGISRILPKRASQTLQNSNSTIGIRGSIGYVAPEYGEGSSVSGLGDVYSLGILLLEMFTGRSPTDDIFRGSLDLHKFSEDALPDRIWEIVDTKMWLHTDACDETTRNRIENCLVAIVALGISCSKKQPRERISIQDAVTEMHAIRDSYLMFGRPLR >KQL07431 pep chromosome:Setaria_italica_v2.0:V:39719815:39720530:-1 gene:SETIT_005230mg transcript:KQL07431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGNQTPCSCMQWAWLWLWGTRLAGASQARLLQEPNRPIVFSF >KQL06300 pep chromosome:Setaria_italica_v2.0:V:32429597:32430070:-1 gene:SETIT_003460mg transcript:KQL06300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSTHVSLETLIPMHSLNTNNRVFINLTNPYNVHSLSCKYINLDLQSTPLKTCIHRCQSYKPYMIHMYIYKMVMKGVQCISYRCRYLLSDSVTAQYYQKE >KQL06319 pep chromosome:Setaria_italica_v2.0:V:32561870:32563308:-1 gene:SETIT_004249mg transcript:KQL06319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFNNRHWPSMFRSKHAAEQWQPQPDISSSPPSSLLSGGGNTTNTGGSCLNKHPSSGYAGGEERTPDPKPRWNPRPEQIRILEAIFNSGMINPPRDEIPRIRMRLQEYGQVGDANVFYWFQNRKSRSKNKLRAAGAARPCPARAPARAAAVTPPPPPPPAPPQLLTTTQQVQLLASPVPQAAPTSSSSSSSDRSSGSSRPAAKRAAQAMSPTAAMDLLGPLAAACPQMYYQGQPVAPPASAPAHKVHDLVASDEPIFQPWPQGCCLSAAELAAILGGQYMHVPVPVQQQPPAALPAGAFLGLCNEVTEPAITGQRTCTTWGAGLGQYCPGGGAEHHQLGKNTDAAPAREVAHEDATKLGLLQYCFGDSTAVDATAGAATMRDAAVTVATVAATATTARLTGLPASSAAPNGVVANYDLMQLQGM >KQL08079 pep chromosome:Setaria_italica_v2.0:V:43485130:43486244:1 gene:SETIT_004875mg transcript:KQL08079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVVIYLLRVVAFALPGRWIICGSSSCFGSDPDVQCLKTVQESVIDPNGMLKSSWIFENNTAGFICRFTGVECWHPDENRVLSLRLSNLGLQGQFPQGLENCTSMTGLDLSSNNFSGPIPSNIAWQLSSLTFLDLSYNKFSGELPIGISNITYLNTLNLQHNQLSGRIPSQFALLPRLQEFNVADNQLSGAIPPALQRFPSSNFVGNQGLCGPPLHDCGGRSKRKLRLHRINDESSIGAAAGFVVGFVMAFYFPHLFVFSQNLHPYVFRI >KQL06715 pep chromosome:Setaria_italica_v2.0:V:35184341:35184779:-1 gene:SETIT_003723mg transcript:KQL06715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPFFIMYLALPCAHLCSSSITCATIRQLSFTLIRINTNLSCKKRETI >KQL08752 pep chromosome:Setaria_italica_v2.0:V:46937955:46943272:-1 gene:SETIT_000474mg transcript:KQL08752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSAKQETQPKPRLIVRLGVFLASHHILFSVICCFAGIIALLLLPSLAKNTYLSENALIPGSANPLFSTEDAIEANRFMKGIEAAAGDSMGGINMAKFIAQQIEDLGAEVCYHKFLPHSKHFHPLKFFTSTSNHMAIQPNGTDINFGINTIGIIRAPRGDGKEAIVLVTPYNSQRVHSNELLSLALGFSVFSLLSRAAWLSKDIVWLSADSQFGEYTAVSAWLNQYHNPVFLSHSVILDTKIYGADHIYDGNTEKAEFESFKRAGTMAAALIFKVGETRRYGDRDSVMMYAEASNGQMPNLDLLNVVHYLAVHRQGFRVNIAAFSSLLSSAWLRVIAEFFHTIGTVLRKINPDWKLDITVPDYVEGTANLANSIYNQALGVPTGSHGAFRDYQVDAVSLEFSPTFNVRNENAKSLFLLRGGRLVEGVVRSVNNLLEKFHQSFFLYFLTAPSKFISVGVYMIPFALLLAPLPIVAAALADGSKTMEKLAEKSIDDSKANGSAHFLQSNKGGSWKWLQAAKVLLVIQLWAVVVSLLPYYITQIPDATPMQSAVIWAMLSIVILVALYVMFGSPYSAGVEWKLLKATMITSISIGLGLMSIINFATAQLGALIVIPMCLFSRPLKARSGINFLPRAALLASNITLAVLGFPPAALLIMKGLSKGSWTVDIGEFWVWMEFLWEWSSATYLYLFLVHLPCWLLCIHVLLHPCRQSESKMKQE >KQL05171 pep chromosome:Setaria_italica_v2.0:V:16885247:16885669:1 gene:SETIT_004561mg transcript:KQL05171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLADPTAKAKCRAGAGRGALKALELDAFAARGLETNGRGEAATRAARASNSSSSRLDVEKMWMEMYRVGHWGFSRLSISMTPPPPARPGCAVAARSADGGDGGRKDADLPGESSLARNHPRRLTLRCSGSRSSDSER >KQL07093 pep chromosome:Setaria_italica_v2.0:V:37610066:37615143:1 gene:SETIT_002199mg transcript:KQL07093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKLLQFQSLLAQHALRGVPKPRPQLQSHHHRLLHSPSAPSSPSPAPSAQLLWNRLSGGTAASLLPRSVAAVAESARTAASRWLAAARGAGSLDLFSLQRRRRARGSGWQFASSSFLHSAPWAYWMNTPDGMVWTLIGVNVAVFMLWRVADPGFMRRHFMISLDNFKSGRLHTLLTNAFSHADSNHLFSNMIGFYFFGTSIASTFGPAFLLKLYVAGALGGSALFLLEKALLAPRKQAYAGWDTSRAPALGASAAVNATILLQIFLNPKGLVYFYFVIPIPAALMGAALIGADLYRVKKGQGDVSGSAHLGGALVAALVWARIAKGWI >KQL07202 pep chromosome:Setaria_italica_v2.0:V:38299933:38301617:1 gene:SETIT_002320mg transcript:KQL07202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPFFYFGQDDADFGEDYLMSLGLILPPPAAALPMPGSAFEAYQRQRAPALLESPMGRGHQHSNSGAGSGANVNVHRRMFDYLRRIVHHDAAAAGTAVHPAPGNEEAATVPSSTPQAPRSSRFRHIMRERLRRERVSQGFADLHALLPPGASSKGSKNDIVGAAAGYIWELEGRKGWLRARNQELMLERAASSRWRGGGARNAGGGGGNDMVVKVRAESGDHATAVDVFEAVLRRLKAMEELRVTAIRSCFCAGGMWMDVGVESHQVSTREVDKAITNALMELSGNELGKQDPSSSKPRFSCQVESGVPMG >KQL07314 pep chromosome:Setaria_italica_v2.0:V:39057126:39059443:-1 gene:SETIT_003388mg transcript:KQL07314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQLPSAFDPFAEANAEDSSAGAGSKEYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLTQAGIAKKETIKIHGF >KQL07313 pep chromosome:Setaria_italica_v2.0:V:39057126:39059571:-1 gene:SETIT_003388mg transcript:KQL07313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQLPSAFDPFAEANAEDSSAGAGSKEYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLTQAGIAKKETIKIHGF >KQL07311 pep chromosome:Setaria_italica_v2.0:V:39057406:39058246:-1 gene:SETIT_003388mg transcript:KQL07311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQLPSAFDPFAEANAEDSSAGAGSKEYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLTQAGIAKKETIKIHGF >KQL07312 pep chromosome:Setaria_italica_v2.0:V:39057126:39058839:-1 gene:SETIT_003388mg transcript:KQL07312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLDVQLPSAFDPFAEANAEDSSAGAGSKEYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVATFLTQAGIAKKETIKIHGF >KQL07879 pep chromosome:Setaria_italica_v2.0:V:42306068:42310355:1 gene:SETIT_001989mg transcript:KQL07879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTMLAPRSLRKASVPPALLSDPTPGSLQPTRLAVHVNGAGSSCSAYLASGCRVYKIEISMDGAMVSKGKESLLIPDNAQVISSSVVDRCPHRSEIQSVALAEGEGDSCLILGTVDSYGHLIVSRLNIAADDIDRTSYAVPPRDCGVGEGSWAGICFSPMQQSTVAVARQLCKSIDIYDQDIHVRSLRTLWYPSSFSFVHCSPQVNESSSLLAIAEGSQLSIWDLRMNNNGGCVQRISGSIGDTIYSVCSSPSGPIAIGGTDRAVTIYDPRRWSALSRWVGCSKYEITGLSFSSVDQSFIYVQGVDYEITCGRWKESERAFSFRGDSNWLGFSKCANTGVVAGWCESGSIFIVDAMQL >KQL04967 pep chromosome:Setaria_italica_v2.0:V:13517950:13520600:-1 gene:SETIT_002362mg transcript:KQL04967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHKIETGHQDVVHDIAMDYYGKRLATASSDNTIKIIGVSGASHQQLATLSGHQGPVWQVAWAHPKFGSMLASCSYDGRVIIWKEGSKPDEWAQAHTFVEHKSSVNSIAWAPHELGLSLACGSSDGNISVFTARSDGGWDTTRIDQAHPVGVTSVSWAPSMAPGALISAGPSGQYEYVQKLASGGCDNTVKVWKLTNGSWRMDCFPALQMHKDWVRDVAWAPNLGLPKSTMASASQDGTVVIWTAAKEGEQWEGRVLYDFRTPVWRLSWSLTGNILAVSDGNNNVTLWKEAVDGEWQQVTTVEP >KQL07296 pep chromosome:Setaria_italica_v2.0:V:38991904:38994301:-1 gene:SETIT_002137mg transcript:KQL07296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKTLNPLIRRSTTPISNPRPLLSLHTFLASSSPTTISPAAASPAAAPFAGAAHTHVPIRSGGPLFLSSPPWMLSQSATPLTAAAAALRAKLRRAQALAGGRAQAVADAVRWDHRRISGGGAEDAPSAGIVGGGGERFLNAPNLVSIGRMVSGPVIGWMIVNEWYLPAFATLAVSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAVAMVQKELLHPGLVGLVVMRDLLLVGGAFYKRASSLGWKVLLEMQVYCGWFTIKLSVLYHSCASGTVGQNMLTWMRFIVKRSNLCSSAR >KQL07295 pep chromosome:Setaria_italica_v2.0:V:38990748:38994340:-1 gene:SETIT_002137mg transcript:KQL07295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLKTLNPLIRRSTTPISNPRPLLSLHTFLASSSPTTISPAAASPAAAPFAGAAHTHVPIRSGGPLFLSSPPWMLSQSATPLTAAAAALRAKLRRAQALAGGRAQAVADAVRWDHRRISGGGAEDAPSAGIVGGGGERFLNAPNLVSIGRMVSGPVIGWMIVNEWYLPAFATLAVSGASDWLDGFLARKMGINSVFGSYLDPLADKVLIGCVAVAMVQKELLHPGLVGLVVMRDLLLVGGAFYKRASSLGWKWNSWSEYVNLDAIHREKVEPLFISKVNTVFQLMLVAAALLQPEFGTEETQNYITLLSWLVATTTITSTIGYGVKYYRIKPRSP >KQL07317 pep chromosome:Setaria_italica_v2.0:V:39087761:39091231:1 gene:SETIT_000427mg transcript:KQL07317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSYHRRLAPLLLLLCFCTLQVEVHASRKKLYVAYLGERKHGHPDHVVASHHETLSSVLESKQDILDSIIYSYKHGFSGFAAMLTKEQAQQLADLPEVISVQPSRRYQTTTTRSWDFLGLGLNDKVPSDLLHRSRYGEDTIIGIIDTGIWPESRSFSDDGYEPVPSRWKGVCQVGVGWDRSNCSRKIIGARFYHAGVAEEELKSDYLSARDANGHGTHTASTAAGSVVEAASFHGLASGTARGGAPRARIAVYKSVWGSGRGAGSGNTATVLAAIDDAIHDGVDVLSLSLVVEENSFGALHAVQKGITVVYAAGNSGPMPQVVRNTAPWVITVAASKIDRSFPTVITLGNKKQIVGQSLYYEGRNSSGRTFRLLANGGLCTDDALNGTDVRGQIVLCVAFQVPPLALFPLALKNVLDAGASGLIFAQYSMDALDAEADCKGIPCVLVDFNMANQIGNYMGAASSPVAKIEPARSVTGEEVVAPKIAAFSSRGPSIDYPEVIKPDIAAPGASILAAKGDSYALLSGTSMATPHVAGAVALLKALHPNWSPAAVKSAIMTTASITDARSMPILAQGLPRKIADPFDYGGGNINPNKAAEPGLIYDIDPSDYDKFFGCTFKKFIQCNATMLPGYHLNLPSIAIPDLKHPITVSRTVTNVGEVDAVYHAVTESPAGIKMDVEPSVLVFNATNKVITFQVKLSPLWRLQGDYTFGSLTWHNDQKTVRIPIAVQMTIYDFYADVA >KQL04876 pep chromosome:Setaria_italica_v2.0:V:12607635:12609822:-1 gene:SETIT_000858mg transcript:KQL04876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEKHGNSKGPGVIELCRKSVKRVKFSEANGALGSKKQCSKRPQLESICQLLSDAMNSSSSSSIEMSSEEEHIIAESSSSRMPEKAFAMAKDANDNTNRDYQSERSSTVMSTGLFDLNKKLPDPADLNSPYDPNSEESCLQHTQVGTQCMDQQVIDNGKRSHKESSFTPYAQEEQQHAADLDNRMKSPCILRNQTVQDSVQLQNWCSMTMHHGVSQLSTGGEPSSFQFRGHNLSHSEKQIFHSEMNTQQGSRPSAGQTLRLMGRDLTVSNTRVDNWSEAAQKQPNPTKDHLTNRLVLELPRQGQPFLSLQTQSIPNISASSASTVAHISASSGSTTQAHFRHRTPHNVSHPLPAANVFPGDPRCEDRWRDFTDLQSHRNVLFGCPPLSNHGSAAFIQNSPPPWCYYSDHSTKTDSPSAPFSPINMEHVTPSSDYHANLPMSYGLYSANSSVHRNNSANFTWNHPDQIVQGVPDSRASAALPSRNAGTRMARADPDNATSSSSRFVLRSGPVKLSPGAKHILIPSENTEDGNSAPMYSCVSFGSSNGNVSAPHQNKGAGSRRF >KQL06244 pep chromosome:Setaria_italica_v2.0:V:32008530:32012026:-1 gene:SETIT_002352mg transcript:KQL06244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFQCEDCGENLKKPKLAGHFRSCSAWKLSCIDCGEFFSQDTVQGHTQCISEAEKYGPKGQSKPSNGAQGKPDKPKPNADVDINVGLSTRPPWFCSLCNTTTTSKQTLLLHADGKKHRAKAKAFHASQKPANGAEQTADVKETGAVPTKDSAQVNGGESGDHERDEEKDAGKRKRMDDMAIEEPDNTKRQHLTSSSIGEVIKSKDGKSENKTKSTADELAGGADCKSVQKQKINWKKIITKTLKTNPDGVMKLKKLQKLVVKELQECGVAEDKDGLCATLMDKIASSSRFSVDGKRIKLVSKNEEES >KQL08161 pep chromosome:Setaria_italica_v2.0:V:43884740:43886092:1 gene:SETIT_005016mg transcript:KQL08161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTATIQPRSALHKARSPGRPKPCRARVVAAAATVSTAATTTAMAAAERTRAPAASVARMKSTGRRAASVASMWRQVQGSHDWDGLLRPLHPVLRDEVARYGELVAACYKVLDVDRSSARYMCCKYGKERVLEEAGVAGAGYEVTRYVYATPDVAGPPSTSSWVGYVAVSTDEMTRRLGRRDVLVSLRGTVTQAEWAANLMSALEPARLDARGAHPDVKVESGFLNLYTSSADSGGGGGMGSCRDQLLREVSRLVTSFSKDHPDEDMSVTLAGHSMGSALAMLLGYDLAELGLNRDASGRRVPVTVFSYGGPRVGNAAFKERCDELGVKVLRVANVRDPVTMLPGALLNEGTRGFLAGLGGDRYTHVGVELALDFLRLRDPASVHDLGAYVSSIKAETCGKVPNAAVDGSRGGVLAKAMEFVEGQRAVAFAWKKAALQMGGLVQTLGMI >KQL06594 pep chromosome:Setaria_italica_v2.0:V:34497454:34504058:1 gene:SETIT_0002481mg transcript:KQL06594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMAPHYQAATLIASPSYPNAIAWSSDNLVAVASGHIVTILNPAALEGPRGLVGLRRSDPFPIGVVNREDLFEPCLVPTCLARDTEPCARSISWSQQGFAPNYGCLLAVCTVDGRVKLYRSPIWEFCDEWVEVADISQLLFNHYKVINFEEDNGPHLTSPKNTNTEETEVLGSTCELQDPLSRRGPGQRKRKPPRVDGYVYDGNEDLDASKDADFSLKPCSKSKKKSSKKTAKPGHEFVAVNRQGSTVNVKASLPSNGENKSLPLITAKQYARRDAHLSSLVVAWSPLVSSSDGTSCLSRHWCILAVGSKSGNVSFWKLHKPEYYTIDAGVVTSDPILIGVLQAHKSWVSAITWEVSSAGSSKSSLLLATGCSDGSVKIWLANIEGLNQCTNAEEVPFALVAEVTTDLSAPVSSISLAVPIRSQYEVNLAIGRVSGSLETWIWNTHSCKIENTNACHAHDQVVTGLSWGMDGYCLYSCSQDNSARCWIYHENHLEEIPVHTNFPEPKESTDLSEVSNRCFGLTLAPGEQMIAVVRGLDLNLLDQMYQARTQKAVVEFIWIGGQFVGIPLDRRIDVCNPQSAILSSSNLWWGSNILWSLKKYENVEKSIVLWDVVTALQGFKKYAPAFLETLMDIWISALFSDDRQCVSINSPSYSRHDILPSVSLRKLHLLNIICRKVMLSDHAQHGPGAENGNDSATDFWNTLLIRSERELRERLVGFTFAAVLKRTAFLLKGTSTENSWFPVGVAQMDSWVSMNDEVHNQLSYLRSRIKDLENRIDSACEYSVEETCLYCSAPVPFESTDVAICRERHTLTRCKASMLLCSVLQPAWHCVCCGGMVDKLLPESFFTMQASPLDANNDEGSLNLSGAAVPLCPFCGILLQRQMPVFLLSTSPV >KQL07734 pep chromosome:Setaria_italica_v2.0:V:41459733:41460260:-1 gene:SETIT_005479mg transcript:KQL07734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTCTVHVRAHCTHRGPARRSSLSRYPCCPQDSAAEIDRARSVQVRRGHSRRWPVVAAPGRPAGRGSL >KQL05411 pep chromosome:Setaria_italica_v2.0:V:24030458:24033825:1 gene:SETIT_001800mg transcript:KQL05411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKNSGASGASTPARASNQAVSIRDETSGRTRVDEASLLRVKHLQRLASWAGAEAGVGPVAALLGRRLASSAEAAGVPLGAATFLCQRCETVLKPGFNCSVRIRNKRNKAKRRKKSNCCQNSISYACHFCGDQNLILGSGKGVVKSLLPSRDHATMALTGRILKGNNSNTRIRDRKNVLEHSQAATLQVDSPSGLRQSTSERGEHGERLKCNLSIDCKMEEGAILSMVKPGHLAASTSEEVSIQVVEITNDEQIRETEPISCEKVKICEANATSEAEVPVGLPFVTPQKKKLTEVNSAEPFKTGSKASEKGENSGSITGNTVSSSIKSAPNDSRKNSKCAASDSAQVSGSSRKRAKKGWTTLKQIAEKDELERREKMSNFVIPFFMQ >KQL08443 pep chromosome:Setaria_italica_v2.0:V:45488264:45494315:-1 gene:SETIT_000868mg transcript:KQL08443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAASAAGAAGISNVRRTQNVAARAAAARLAQVMASQNAAAATGDDDEDDDYAADHPPPAPMRFGGGRTAHGSNGVSLLGRTARSPSPALGRNIVEPPPTVRSSSAGRPSVASRPTTTVVPPIKTNTTLRTPSPIPPVAVEPPADRTRQKRFDAGLHSSRESGLKREASTLQDELDMLQEENESVLEKLRLAEEKCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGREEVTTLRQELESAKEEVASAFDQLKEAESETKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQYGVYPEIAVSKHEHWSSLAPLPLEVVLSAGQKAKEEPRKQGDDAQGRNKLAREMSDVMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKYLEAFDLSPEEAEDVSFKQAWLIYFWRRAKTHGVEEDIADDRLQFWIGRNAQAPNSHDAIDVERGLTELRKLGIEQQLWEGSRADIDQASLAMEN >KQL08442 pep chromosome:Setaria_italica_v2.0:V:45487691:45494407:-1 gene:SETIT_000868mg transcript:KQL08442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLRAGSPVYGRQRSGSSTGSSSPGGVSPSHHRSSSTSSAASAAGAAGISNVRRTQNVAARAAAARLAQVMASQNAAAATGDDDEDDDYAADHPPPAPMRFGGGRTAHGSNGVSLLGRTARSPSPALGRNIVEPPPTVRSSSAGRPSVASRPTTTVVPPIKTNTTLRTPSPIPPVAVEPPADRTRQKRFDAGLHSSRESGLKREASTLQDELDMLQEENESVLEKLRLAEEKCEEAEARAKELEKQVAALGEGVSLEARLLSRKEAALKQREAALKAARESKDGREEVTTLRQELESAKEEVASAFDQLKEAESETKALRSMTQRMVLTQEEMEEVVLKRCWLARYWGLAVQYVYPEIAVSKHEHWSSLAPLPLEVVLSAGQKAKEEPRKQGDDAQGRNKLAREMSDVMGEGNIESMLSVEMGLRELSSLKVEDAVVVALGQHRRPSIVRQFTSDFKSPGEPKYLEAFDLSPEEAEDVSFKQAWLIYFWRRAKTHGVEEDIADDRLQFWIGRNAQAPNSHDAIDVERGLTELRKLGIEQQLWEGSRADIDQASLAMEN >KQL05206 pep chromosome:Setaria_italica_v2.0:V:17843226:17843983:1 gene:SETIT_004201mg transcript:KQL05206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGVVLPVKSTNSFIVLLIGTQESRVTGGNATSRLLGSDTVSSSRNPHGTPTFSFPFVRKNGILWENRSSSLDVPTSHRQFIISPFILLIVCCIMLQPSELNSEVGSIKTSYSSIISWHGIKVVIKYPYLINCKQIDIPALIKVKKFWVR >KQL05218 pep chromosome:Setaria_italica_v2.0:V:18142870:18146009:1 gene:SETIT_003480mg transcript:KQL05218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVRTAAAAAAVVVRSAARASPLTGRVLGAPLPSLASTSAARSARILRRSAAAASAGLETLMPLHSAVAAARLRSCIAVDSSCWSSLSQGLNKRI >KQL05217 pep chromosome:Setaria_italica_v2.0:V:18142870:18145648:1 gene:SETIT_003480mg transcript:KQL05217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVRTAAAAAAVVVRSAARASPLTGRVLGAPLPSLASTSAARSARILRRSAAAASAGLETLMPLHSAVAAARLRSCIAVDSSCWSSLSQGLNKRI >KQL05219 pep chromosome:Setaria_italica_v2.0:V:18142870:18146009:1 gene:SETIT_003480mg transcript:KQL05219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVRTAAAAAAVVVRSAARASPLTGRVLGAPLPSLASTSAARSARILRRSAAAASAGLETLMPLHSAVAAARLRSCIAVDSSCWSSLSQGYALPL >KQL07530 pep chromosome:Setaria_italica_v2.0:V:40352195:40354073:1 gene:SETIT_001039mg transcript:KQL07530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNTSEDDIENVPYSDPNSPILTGYGISVPILDDGLVQGTARHEQRILDFLKATPSVQWIKKIKLCSPLIKFRLPSSSIRGNLHVHFIRTINWGSVFTICKKWLKHPMHMALLIWLLCVGVAGAMLILLLLGLLNDAFPSKSLRNQWIEIDNQILNALFTLLSIYEHPKLIHHTVLLYRWQPEDAAELRKYYCKNVARRPNERAHISFVVFLLHITCISQYADCSLYWAYPSKSRSEFADNFFFVLGIAAPVLAGVYAVYSPLGRDYEAVSDEETKVLDAVLVESSETRTVVSNPAWAGGLFDCSEDPTACYLSFLFTFCVFGWNMERLGFGNMYVHTFTFLLLCVTPFWLFNITAMNIHSYILGDFIGAAGIILCFFGLLYGGFWRIQMRKTFGLPRSRWFCGSASLTDYVQWLFCWPCALAQEVRTGNLYDAKDGKFYKKLMDGVDVESGPEFIFVTESPVSMGVEEGNGINVKLTADGEMIPPTQPVIECGEREGTDSEVVANGSIQLKSEPTAKG >KQL03678 pep chromosome:Setaria_italica_v2.0:V:3939867:3941211:-1 gene:SETIT_002282mg transcript:KQL03678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALPFSPRRPFSSPCFLLCFLLGFVAGLLPFAHHHLHHLDLRHLPLPNDPHPSSPPALVRVPPAAAETDREPPTLIVVTPTRARPLQAYHLHRLAHTLRLVPQPLLWLVVERGAATRETAALLRGCGVMYRHLASPRCDDDAPDAARRWRPGRPAAEPRGGLRQRNAALDHIEQHRIHGLVYFADEDNVYSLDLFQQLRSIRSFGTWPVAMLGVGKSKTQLEGPVCDDSRVVGWHTNERNSRSRRFHVNSSGFAFNSSMLWDADKRAHQAWNYIRLLDTVREGFQVTCFACVPVENFSLLQRRPPGCTHTVFSHF >KQL04654 pep chromosome:Setaria_italica_v2.0:V:10470086:10470659:1 gene:SETIT_004434mg transcript:KQL04654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKLLSAIIPIKQPPKGLAIKEVRNMFFPDWKTVLACRFLVKMAPTCQPSRKDLRSAEASKKGAKKSMGNPGSMGWPQLASNYESRRMLCAVASMSRKVLFKRT >KQL06913 pep chromosome:Setaria_italica_v2.0:V:36377197:36382731:-1 gene:SETIT_000564mg transcript:KQL06913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRLLPLLLLVLPLAAVSGAAAAGANATSSAPLGCSELSRVCTAFLAFPAAGAGAANATLLESMFDAAPGDLTADAAASPGYAFVRKNCSCLPSRTYLANTTYTIPSSAAASGAPNATAADVAAAAYAGLAVPPPGGAAQRPPRPGAVVALHLLCGCSSGTWNYLLSYVGVEGDTVESLSSRFGASMDAIEAANAMAGPDPITAGKVYYIPLNSVPGQAYVALPPPPAPAPAPTDNTLPETPDHHSTKFPFGWVIGSMGVALALIAVALLALVLWKSFRHNLQDPSNQGKSPDQHKFQLLKSGSFCYGSGRYLCCQFGNTKPTRADGGDHNTSVPKGVAADVFDREKPVVFTYEEILTSTDSFADANLLGHGTYGSVYYGVLRDQEVAIKRMMATKTKEFLVEMKVLCKVHHASLVELIGYAASKDELFLVYEYSQNGSLKNHLHDPESKGYSSLSWIFRVQIALDAARGLEYIHEHTKDHYVHRDIKSSNILLDGSFRAKISDFGLAKLVVKSSDAEASVTKVVGTFGYLAPEYLRDGLATTKSDVYAFGVVLFELISGKEAITRAEGMGASSNSERRSLASVMLNALRKCPSSMYMGNLKDCIDRNLRDLYPHDCVFKMAMLAKQCVDEDPVLRPDMKQVVITLSQILLSSIEWESTQAGNSQVFSGLVAGR >KQL07277 pep chromosome:Setaria_italica_v2.0:V:38885515:38888336:-1 gene:SETIT_001373mg transcript:KQL07277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTTELAPLLAAAIAVSFLSAAAASNTTTSSHPGRLQGLLAEVSRWRERHLAEPSSPSGGVRPNTVAAWVLSFFAASVSSAGGVGGGSLFLPILNLVAGLSLKRATAYSSFMVTGGAASNVLYNLACAGGGGRRLIDYDVALLFQPCLLLGVSIGVVCNVMFPEWLITVLFSLFLAFCTVKTCRAGLKIWRSETRAGDARCAAHLSITEPLLLASDGQDGGRGNVAGFPWKDVALLVVVWLCFFALHVLIGDKHGKGVIRIKPCGVTYWLITSSQLPAAVAFTGYIIYAKRKKRVVCSQEDGKAELVGATATMETLPSLTLPLAALVTGALSGLFGIGGGLLLNPVLLQIGINPQTAAATSSFMVLFCASMSMVQFILLGMEGIGQASVYAGICFVASVVGLVVIERAIRKSGRVSLIVFLVTAIMALSTVIVTCFGAQDVLMQYTSGAYMGFKLPC >KQL07288 pep chromosome:Setaria_italica_v2.0:V:38938966:38940527:1 gene:SETIT_002939mg transcript:KQL07288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHGRAMRCVLLACLVAASASSTASAFVFKAGGTGEWRVPAQPAGANASNAYNAWAQRNRFRVGDAIAFTYQPGNDSVLLVDRKAYDACDTGAPMDTFTDGNTVFTFTHSGPFYFISGNKDNCNRDEKLIVVVMGERAAVANATQPGAGLAPSPNSGPYSAYSPPPPFGIEISPAAYPPPSAAAPKVAGIAGTAALAIGALFYALV >KQL06487 pep chromosome:Setaria_italica_v2.0:V:33764471:33767322:-1 gene:SETIT_002931mg transcript:KQL06487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDEMKTFAAYGKLRLERMNKKHLGARQKKAAEAEKEEKK >KQL06488 pep chromosome:Setaria_italica_v2.0:V:33764896:33766502:-1 gene:SETIT_002931mg transcript:KQL06488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKHNNVIPNGHFKKHWQNYVKTWFNQPARKQRRRIARQKKAVKIFPRPTAGPLRPIVQCQTLKYNMKSRAGRGFTLEELKAAGIPKKLAPTIGISVDHRRKNKSLEGLQANVQRLKTYKAKLVIFPRRARKVKAGDSTPEELATATQVQGDYMPITRGEKRSVEVVKVTDEMKTFAAYGKLRLERMNKKHLGARQKKAAEAEKEEKK >KQL03635 pep chromosome:Setaria_italica_v2.0:V:3572375:3572777:1 gene:SETIT_005436mg transcript:KQL03635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLADDMFFLTNQLNCFSYSMSFNIFMSFLSLLFLMGMLVLEL >KQL08059 pep chromosome:Setaria_italica_v2.0:V:43372894:43373379:1 gene:SETIT_003905mg transcript:KQL08059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQRGFCSWSQTSGRELLIWTSFAIVGSAEPELWFLIPSSSNEEYSRRPFAMERRAARRSGAYRKGAAMHPEHKQQMQQRRPQGTAASRGQGPVTPPGYFTAELVLAFLFVAVSLAFLPLVLPPLSPPPLLLLVVPVGLLAVLVALAFVPLDAQSHLVGSSR >KQL06826 pep chromosome:Setaria_italica_v2.0:V:35904327:35905113:1 gene:SETIT_003752mg transcript:KQL06826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVWQIWSHGPFRAAEAKGALIYHYSGVGFAARLAPEHAARLS >KQL07027 pep chromosome:Setaria_italica_v2.0:V:37087524:37093896:-1 gene:SETIT_000021mg transcript:KQL07027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRNRRSAAAAAAAAVGGAGYPEPAAYNIIPIQDVVMHGEHPSLRFPEVRAAVEALAHAADLPPPPLARDWDAFRADLFDWLGATFGFQLHNVRNQREHLMLLLANAQLRAGGTLPTDHPADVLHHSVARGIRKKLLKNYKSWCSYLGKRPHVYVPSGGRRVQGVGPDTRRDLLYTALYLLIWGEAANLRFMPECLCYIFHYMALDLNHVIDQSVDVETGRPSIPAVHGEDAFLEKVVTPIYNVLKAEVEFSRNGTKPHSAWRNYDDVNEYFWSRRVFRRLQWPLSPTRNFFIPPGNSGRIGKTGFVEQRSFWNVYRSFDRVWVMLILFFQAAMIVAWDGRKPWDSLRYRDIQVRVLSVFITWAALRIVQAVLDAGTQYSLVRRETTLLAVRMVLKVLVAVGWTITFTVLYVRMWDQRWRDRRWSFAAETRVLNYLEAAAVFIIPQVLALVLFIVPWIRNLLEKTNWRILYVLTWWFQTRTFVGRGVREGLVDNIKYSTFWVCLLTAKFVFSYFLQIKPMVAPTKTILSLHDIRRNWFEFMPHTERIAVILLWIPVVLIYLMDIQIWYAVFSSLTGALIGLFSHLGEIRSVEQLRLRFQFFASAMQFNLMPEEHLDAVRGGLRSKLYDAINRLKLRYGFGRPYRKIEGNEVEAKRFALIWNEIIQTFREEDIVSDKEVELLELPPVVWRIRVVRWPCFLLNNELLLALSQAKELVADDRAHWTRICNNEYRRCAVIEAYDSIRHLLLEIIEERTVEHVIVSQLFLAFDDAMKDGNFSEQYKLELLPEIHSYLITLVELLLQERKDQTKIVNTLQTLYVFAIHDFPKKKKGMEQLRQERLAPSSPQESSLLFEDVIKCPSNDDISFYKQVRRLHTILTSRDSMNNVPKNPEAQRRITFFSNSLFMNMPRAPTVEKMMAFSVLTPYYNEDVMYNRDQLRRENEDGVSILFYLQKIYEDDWGNFLERMRREGMTDDDEIWTVKYQELRLWASYRGQTLARTVRGMMYYHRALKMLAFLDTASEVDITEGTKHLASFGSVRHENDMYPMNGGLQRRPQRRLDRGTSTVSQLFKGQEDGAALMKYTYVLACQIYGNQKIARDQRAEDILNLMKKNEALRVAYVDEVHHQGYTQYYSVLVKFDQGLQREVEIYRIRLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEQYDYYHGSRKPTLLGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRLWFLTRGGLSKASRVINISEDIFAGFNCTLRGGNVSHHEYIQVGKGRDVGLNQISMFEAKVSSGNGEQTLSRDVYRLGHRLDFFRMLSVFYTTVGFYFNTMLVVLTVYTFVWGRLYLALSGLEAGIQGSANSTNNKALGTVLNQQFIIQIGLFTALPMIIENSLEQGFLPAIWDFFTMQMNFSSLFYTFSMGTKSHYYGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFIKAIELGIILTVYAAHSVIARNTLVYIVMNISSWFLVVSWIMAPFAFNPLGFDWLKTVYDFDDFMNWIWYPGSLFSKPDQSWEVWWFEEQDHLRTTGLWGKILEILLDLRYFFFQYGVVYQLKIANDSRSIAVYLLSWICVAVIFGVFVLMSYTRDKYAAKQHLYYRVVQTAVIILAVLVLILFLKFTKFEIVDIFTSLLAFIPTGWGLISIAQVIRPFIESTVVWNSVISVARLYEILLGVFVMAPVALLSWLPGFQEMQTRVLFNEGFSRGLQISRILTGKKANTA >KQL04596 pep chromosome:Setaria_italica_v2.0:V:10154865:10159916:-1 gene:SETIT_000658mg transcript:KQL04596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVPVAPMWMRWWVVVAGLLAVILPPSTATLSPAGINYEVVALMAIKTELEDPHNVLDNWDINSVDPCSWRMVTCSSDGYVSALGLPSQSLSGKLSPGIGNLTRLQSVLLQNNAISGSIPGTIGRLGMLKTLDMSDNQLTGSIPSSLGNLRNLNYLKLNNNSLSGVLPDSLATIDGLALVDLSFNNLSGPLPKISARTFIIAGNPMICGAKSGDNCSSVSLDPLSYPPDDLKTQPQQGIVKGHRIATICGATVGSVAFATIVVGMLLWWRHRRNQQIFFDVNDQYDPEVCLGHLKRYAFKELRAATNNFNSKNILGEGGYGIVYKGYLRDGSVVAVKRLKDYNAVGGEVQFQTEVEVISLAVHRNLLRLIGFCTTECERLLVYPYMPNGSVASQLREHVNGKPALDWSRRKRIALGTARGLLYLHEQCDPKIIHRDVKASNVLLDEYFEAIVGDFGLAKLLDHQESHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGVLLVELITGQKALDFGRVANQKGGVLDWVKKLHQEKQLSMMVDKDLGSNYDRVELEEMVQVALLCTQYYPSHRPRMSEVIRMLEGDGLAEKWEASQNVDTPKSVSSELLPPKYMDFAADESSLGLEAMELSGPR >KQL03222 pep chromosome:Setaria_italica_v2.0:V:409133:413424:1 gene:SETIT_001904mg transcript:KQL03222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKIKKLLQLFCVSKKDSKKKGKSIDPLWTASTPHSSLSVTANKSHLDPCSSGTGTVLSMQKPKPECTSMISSYARTEHGIGSDDYMLFNQFDVVQDFSDHHYAKTSPGKATKDWVKAIQSEWNLLQKNLPESVYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPAEYPKCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGNGCEKWGKSNSTMLQVLVSIQGLVLNDKPYFNEPGNKNSAKTTAGEKNSMAYNQTAFVLSCKTMLYSLRKPPKHFETLVARHFHERERAILDACSAYMSGAVVGSSAGSGARYACDKCFADFKKSLTLYTEHLRTEFAANRSCLLELERQSSVVDEIVPAS >KQL03220 pep chromosome:Setaria_italica_v2.0:V:409851:413424:1 gene:SETIT_001904mg transcript:KQL03220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKIKKLLQLFCVSKKDSKKKGKSIDPLWTASTPHSSLSVTANKSHLDPCSSGTGTVLSMQKPKPECTSMISSYARTEHGIGSDDYMLFNQFDVVQDFSDHHYAKTSPGKATKDWVKAIQSEWNLLQKNLPESVYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPAEYPKCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGNGCEKWGKSNSTMLQVLVSIQGLVLNDKPYFNEPGNKNSAKTTAGEKNSMAYNQTAFVLSCKTMLYSLRKPPKHFETLVARHFHERERAILDACSAYMSGAVVGSSAGSGARYACDKCFADFKKSLTLYTEHLRTEFAANRSCLLELERQSSVVDEIVPAS >KQL03223 pep chromosome:Setaria_italica_v2.0:V:411210:413424:1 gene:SETIT_001904mg transcript:KQL03223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLDNHLCTSWISASTPHSSLSVTANKSHLDPCSSGTGTVLSMQKPKPECTSMISSYARTEHGIGSDDYMLFNQFDVVQDFSDHHYAKTSPGKATKDWVKAIQSEWNLLQKNLPESVYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPAEYPKCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGNGCEKWGKSNSTMLQVLVSIQGLVLNDKPYFNEPGNKNSAKTTAGEKNSMAYNQTAFVLSCKTMLYSLRKPPKHFETLVARHFHERERAILDACSAYMSGAVVGSSAGSGARYACDKCFADFKKSLTLYTEHLRTEFAANRSCLLELERQSSVVDEIVPAS >KQL03221 pep chromosome:Setaria_italica_v2.0:V:409133:413424:1 gene:SETIT_001904mg transcript:KQL03221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKIKKLLQLFCVSKKDSKKKGKSIDPLWTASTPHSSLSVTANKSHLDPCSSGTGTVLSMQKPKPECTNFSDHHYAKTSPGKATKDWVKAIQSEWNLLQKNLPESVYVRVYEDRIDLLRAAIVGPAGTPYHDGLFFFDVRFPAEYPKCPPKVHYHSGGLRLNPNLYESGKVCLSLLNTWWGNGCEKWGKSNSTMLQVLVSIQGLVLNDKPYFNEPGNKNSAKTTAGEKNSMAYNQTAFVLSCKTMLYSLRKPPKHFETLVARHFHERERAILDACSAYMSGAVVGSSAGSGARYACDKCFADFKKSLTLYTEHLRTEFAANRSCLLELERQSSVVDEIVPAS >KQL07145 pep chromosome:Setaria_italica_v2.0:V:37915328:37915884:1 gene:SETIT_004053mg transcript:KQL07145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPPEPASSRDAPPTAPSSSSSAAVVAGVGGPNPCCAKLWKKYQKLETSRTALREAVKLLQAENEKLQKENSELGKGTYSATPRPKMFPDFFSEKDHLKYAEVMHMFGCTHYIPAILNRHFV >KQL08797 pep chromosome:Setaria_italica_v2.0:V:47117275:47119503:1 gene:SETIT_002503mg transcript:KQL08797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYDGRYNKDQSLKCDCLLFDLDDTLYPFASGIAADIAKNIKDYMVHKLGVDESVSLELCILLYKQYGTTMAGLRAVGYQFDYDDFHSFVHGRLAYDKIKPDPVLRNILLSLPLRKIVFTNGDRIHASRALKRLGIEDCFERVVCFETLNPTSPALSDKLEIFDIMKHLAHPQPGVELPKSPILCKPSREAMLQALEVASINPHTTILFDDSFRNIEAAKQIGMRTVLVGTSERKKGADYALASLHNMKEALPELWEEAEKDEDVRNSSKVGIETSVIA >KQL03805 pep chromosome:Setaria_italica_v2.0:V:4675163:4675619:-1 gene:SETIT_005568mg transcript:KQL03805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRRMHARVGYDRQARVLVYWCLHTPIEEHPLCLHD >KQL05176 pep chromosome:Setaria_italica_v2.0:V:16919945:16921168:-1 gene:SETIT_004588mg transcript:KQL05176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPSATPDFACTVEPAKIDFCTPSTEWPRMPRPPRSPFLGRCSGGEGAEKTVPATSAATTVATQATDVATYACAHRSAGPIATRTPPTASAPCTMTAAPDPHPPPLHPRRRRARRLSLDAVLIATERGREGARERRRRSAEDKGWINFQRSPPTIPPTHARPFAWACSAWVDGGRADAERRAHLLFSVECRRRLVPPIPKEYLGNCLWRWRWRAGSGLHDRALDDGVLASTGGWFHKILSLVLERLMSVGGLLQYGVYEIDFGLGRPIKVELVSIDKTPGTVSLAEGRDKLAGIEIGVVLPEADMACFSSCFADRLEQLL >KQL03237 pep chromosome:Setaria_italica_v2.0:V:451906:454698:-1 gene:SETIT_000267mg transcript:KQL03237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALTQGAIDGLLGVLAKAITDEARLIGGVPGDMQFIKDEMDSMNGFLLHLTKTEGQHDDQVRAWMKQVREIAYVAEDCVQRYVHDIVPFEAIRFGRLGALATMVRFLRNPKKYCELHDLGKQITELKARVRDVGERRLRYGVTVPAGTDLKLAPTTPAAGQQEEKREAFVRALDLELEQDVVGSKAWWRAHHQAWLRSSLRRATTAVGGLLPAALPSAVLRYLSFSREIVRQLPPLVQSEAATVHGILKKCSQDDGDDGAAFRCTKKMFLCALYAYPYATNQELEKLKEKLEGRAQEPKKEVMTFCYSMLSISQKSCLQYLTAFLYESEISRTSMVRRWVAEGLVGKEPGGGGGGGGRIPEEEGECCFGELVFRGFIRPARFSDAGTVKSCVMEKPVREFILSITGSENFEVSLPAHLDRQLRIREIVRRLPPPQQEQRQAADRWRNIARRCNLCSDSASPEEDHPMDALVDFLKKLPELYRLNVLDLGGCRGLKKDHLKSFGDVVWLKYLSLRNTDVSHLPACYINKLTLLETLDIRGTTIRPRDTKKINLPKLKHLLAGRYLTPGEKASLITVRMPRKIGSMRFMETLSHVQVSKDGTELRGVAKLRQLRKLGVVVHGDADSTAHLGRVLHALSGCLRSLSVCVTTQGWALDEVSSSSSTTQEMVGAAPRPSFILENLDIKGKISGLPSWITKAQKLANVTLRNTELSGEDAMRRLASVLSLRCLKLSGGAFTEQELVFRVLQFKALKILVLEGGPITTVTFLAADAAPALEKIVWAIGRSRVRDGEDLIVGINYLPNLKAIELRGDFKTTSLVDWVEATKESTSDPRYYIRYMSSTASVGNELITEVPKTARHTTVSIPVTVINQQH >KQL08198 pep chromosome:Setaria_italica_v2.0:V:44123443:44127114:1 gene:SETIT_000896mg transcript:KQL08198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAVESNALRGAADRRTSKRDRRVFWACIFILANNCFQYTAYFAVSTNLVNYLKDRLHVGSKAAANGVTNWLGTSSITPLVAAFLADAFLGRYWTIALFLVISVVAYAVLAASAAAALESAAFYAGLYLLALGGALQPVLTSFGAGQFDEADEAERRRQSSFFNWFYMSINVGSLVGGTVLVWVQSSVSWGLGYGIPALLSVVSVAVFLAGTTTYQSHQPPGGSPISRVAQVVVAAVRKCRVEAPDDAAELHEYEADDGMSAIQGSRRLAHTDQFRFLDKAAVETAGDKARPSPWRLCTVTQVEELKCVLRLLPVWASGIIFAAAYTQMTTTFILQGDTLDPYVGGFRVPAAVLSVFDTLSVMLWVPLYDRVVVPLARRATGHDRGFTQLARMGVGLVVLTAAMLAAGTLEVERRRVIARHGMYDTNTGADGAYLPMSIFWQVPQYVVVGASEVFTFIGQMEFFYDQAPDAMRSLCSGLSMTSFALGNYVSSALVTVVARATARGGRDGWIPDDINRAHLDNFFWLLAMLCIGNFGVYLLIARWYTYKKTVD >KQL07705 pep chromosome:Setaria_italica_v2.0:V:41341254:41345717:-1 gene:SETIT_000877mg transcript:KQL07705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPPVAASASPAQPDQLTAAASVSTPQNPNPNPLLSPQIPPSPTVSDLSAHISSPQQLDPAAAAASGGGGSMDYPPRPPQLQAPSPTQAGAGAGGFGQIHRSGSTSRLSTASQLPQYAAMAARMYGAQMSFSGGGGLVGQQQQQQLAGRAPMLGQGQLGMLQGQGNAASAAHFGLQSQMMAQARQKGMVQGTQLNNANTAQVLQGMQPMGVMGTMGMSQMRPNGTIPYGAQQRFAHAQMRPQASQQAALSPQKVAGQGLSRTASITALNSQLPGSSQNGQMVAMSMPQQQQQQQWLKQMQSSMSSPVSPQQQFQHQQRLMLMQQLQQKTGLSQQQLAQVQQQHPHLNAQQLIQQQHFLQQFQQQQQSLQSPRVSASGSQKSANHTGSQPGTPLSGGTMTGGSASQGAEGTSQLLGKRKIQDLVAQIDPLGRLDPEVEDLLLEMADDFIDSVTAFACTLAKHRKSSVVEAKDVLLHLEQNWHLSVPGFSREDKNPQRNSVKPSVDPQQPESDAAGVRGPGNKLIANNSVGNHQIRPPIAEPSAMPTMGPLSKAPRF >KQL07707 pep chromosome:Setaria_italica_v2.0:V:41341254:41345717:-1 gene:SETIT_000877mg transcript:KQL07707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPPVAASASPAQPDQLTAAASVSTPQNPNPNPLLSPQIPPSPTVSDLSAHISSPQQLDPAAAAASGGGGSMDYPPRPPQLQAPSPTQAGAGAGGFGQIHRSGSTSRLSTASQLPQYAAMAARMYGAQMSFSGGGGLVGQQQQQQLAGRAPMLGQGQLGMLQGQGNAASAAHFGLQSQMMAQARQKGMVQGTQLNNANTAQVLQGMQPMGVMGTMGMSQMRPNGTIPYGAQQRFAHAQMRPQASQQAALSPQKVAGQGLSRTASITALNSQLPGSSQNGQMVAMSMPQQQQQQQWLKQMQSSMSSPVSPQQQFQHQQRLMLMQQLQQKTGLSQQQLAQVQQQHPHLNAQQLIQQQHFLQQFQQQQQSLQSPRVSASGSQKSANHTGSQPGTPLSGGTMTGGSASQGAEGTSQLLGKRKIQDLVAQIDPLGRLDPEVEDLLLEMADDFIDSVTAFACTLAKHRKSSVVEAKDVLLHLEQNWHLSVPGFSREDKNPQRNSVKPSVDPQQPESDAAGVRGPGNKLIANNSVGNHQIRPPIAEPSAMPTMGPLSKAPRF >KQL07706 pep chromosome:Setaria_italica_v2.0:V:41342742:41345717:-1 gene:SETIT_000877mg transcript:KQL07706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPPVAASASPAQPDQLTAAASVSTPQNPNPNPLLSPQIPPSPTVSDLSAHISSPQQLDPAAAAASGGGGSMDYPPRPPQLQAPSPTQAGAGAGGFGQIHRSGSTSRLSTASQLPQYAAMAARMYGAQMSFSGGGGLVGQQQQQQLAGRAPMLGQGQLGMLQGQGNAASAAHFGLQSQMMAQARQKGMVQGTQLNNANTAQVLQGMQPMGVMGTMGMSQMRPNGTIPYGAQQRFAHAQMRPQASQQAALSPQKVAGQGLSRTASITALNSQLPGSSQNGQMVAMSMPQQQQQQQWLKQMQSSMSSPVSPQQQFQHQQRLMLMQQLQQKTGLSQQQLAQVQQQHPHLNAQQLIQQQHFLQQFQQQQQSLQSPRVSASGSQKSANHTGSQPGTPLSGGTMTGGSASQGAEGTSQLLGKRKIQDLVAQIDPLGRLDPEVEDLLLEMADDFIDSVTAFACTLAKHRKSSVVEAKDVLLHLGQLLHLALMHTCSWKCATTSHFAC >KQL08046 pep chromosome:Setaria_italica_v2.0:V:43301626:43304815:-1 gene:SETIT_000704mg transcript:KQL08046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTFTKLRDAAAPLASSAVRRCSGGSRIRADANCPRCDAHMSVQFSLQQLPAPPPAAAGVVDASQNHKHDGEGVCPACRAAFLFRAHRIDPLRGAFLEIPGAIGGEEEDADRGGFADRIKRMLSERPPDEFPPLPQSPPMPMPHYHPRRKNNRRRQKEEGGGGGGGGGNGGDSSSGGEGTSASPKREWWGGASLGDELPTPREMCRRLDEFVIGQAKAKKVLSVAVYNHYKRIYNANVQKESVANSGFPDAAQDDQNIVEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFVIADATSLTQAGYVGEDVESILQKLLVAAEYNVQAAQQGIVYIDEIDKITKKVLIL >KQL08045 pep chromosome:Setaria_italica_v2.0:V:43300772:43304815:-1 gene:SETIT_000704mg transcript:KQL08045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTFTKLRDAAAPLASSAVRRCSGGSRIRADANCPRCDAHMSVQFSLQQLPAPPPAAAGVVDASQNHKHDGEGVCPACRAAFLFRAHRIDPLRGAFLEIPGAIGGEEEDADRGGFADRIKRMLSERPPDEFPPLPQSPPMPMPHYHPRRKNNRRRQKEEGGGGGGGGGNGGDSSSGGEGTSASPKREWWGGASLGDELPTPREMCRRLDEFVIGQAKAKKVLSVAVYNHYKRIYNANVQKESVANSGFPDAAQDDQNIVEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFVIADATSLTQAGYVGEDVESILQKLLVAAEYNVQAAQQGIVYIDEIDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRSESIQIDTTDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSGASSPMITSSLLESVESGDLVRYGLIPEFTGRLPILVSLAALNEDQLVQVAPENVAFCCFFIQCC >KQL08044 pep chromosome:Setaria_italica_v2.0:V:43299487:43304857:-1 gene:SETIT_000704mg transcript:KQL08044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRTFTKLRDAAAPLASSAVRRCSGGSRIRADANCPRCDAHMSVQFSLQQLPAPPPAAAGVVDASQNHKHDGEGVCPACRAAFLFRAHRIDPLRGAFLEIPGAIGGEEEDADRGGFADRIKRMLSERPPDEFPPLPQSPPMPMPHYHPRRKNNRRRQKEEGGGGGGGGGNGGDSSSGGEGTSASPKREWWGGASLGDELPTPREMCRRLDEFVIGQAKAKKVLSVAVYNHYKRIYNANVQKESVANSGFPDAAQDDQNIVEIDKSNVLLMGPTGSGKTLLAKTLARIVNVPFVIADATSLTQAGYVGEDVESILQKLLVAAEYNVQAAQQGIVYIDEIDKITKKAESANVSRDVSGEGVQQALLKILEGTVVSIPEKGSRKNSRSESIQIDTTDILFICGGAFVDLEKTISERRQDSSIGFGAPIRTNMRSSGASSPMITSSLLESVESGDLVRYGLIPEFTGRLPILVSLAALNEDQLVQVLTEPKNSLSRQYRKMFNLNKVRLHFTDGALRLIAKKAIAKNTGARGLRAILETILLEAMYEIPDEKAGNERVDAVVVDEEAIGSADRPGCGAKILRGDGALDQYITRTNVMNLRETNDGLAGELEEAYMLSRIVSL >KQL05130 pep chromosome:Setaria_italica_v2.0:V:16233896:16241906:1 gene:SETIT_001190mg transcript:KQL05130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQAKINETKDVMKKKANELDKMRMERGKLDKGGYSSISGPRVIEKAFNDMSISGSRFGSGSGLGGLSADMDSFASKPKGHPSTAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSAVPSRLSALPPSDPVTVTIEEKLNVVVKRDGGINNFDVQGTLALQVLNDADGFIQLQIESQDIPGLSFKTHPNINKELFNSQQILGAKDPNRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPALREAPNVKQIDGEWKFDSEILCWNGLFSLLISPTAVVPWNLSSPQLIHHHSSPSLLGFLHPVLSVI >KQL05129 pep chromosome:Setaria_italica_v2.0:V:16233896:16240998:1 gene:SETIT_001190mg transcript:KQL05129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLDEEGVCKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQAKINETKDVMKKKANELDKMRMERGKLDKGGYSSISGPRVIEKAFNDMSISGSRFGSGSGLGGLSADMDSFASKPKGHPSTAATAPGKGLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSAVPSRLSALPPSDPVTVTIEEKLNVVVKRDGGINNFDVQGTLALQVLNDADGFIQLQIESQDIPGLSFKTHPNINKELFNSQQILGAKDPNRPFPSGQNETPLVKWRIQGMNESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPALREAPNVKQIDGEWK >KQL05575 pep chromosome:Setaria_italica_v2.0:V:25896605:25898940:1 gene:SETIT_003033mg transcript:KQL05575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRWWRRRDGGDDEAEDLVPMDTQEQEELVRLLEQKQAQQSRRWRRVFAGFVLAYAAFLVYSSFHHAWSPWELRYHAYFMEDLPAPMVIIADWISALACLFAVKGLLQNSGPSKKWMWYSCYIGILVAIFWTYYILRLPRIRWDVAWLPLGPLIAGALSLYVDHVLLESMQDISTLRSYMYNFKAL >KQL03785 pep chromosome:Setaria_italica_v2.0:V:4600911:4601606:-1 gene:SETIT_005609mg transcript:KQL03785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFTIVLQATRWPFENLNSCTKHCFIFETIGGGNQGSYENFDHKCN >KQL08512 pep chromosome:Setaria_italica_v2.0:V:45779540:45781056:-1 gene:SETIT_001979mg transcript:KQL08512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEIKIGQVDDVQELHRTGQGTVPDRYIRDGDDRPGGDNVCALAHIPVIDVGELPRGDELNKLRLACEEWGFFQVVNHGIEGELLDEMEKLTREFFMLPLEEKEKYPMAPGGIQGYGHAFVFSEDQKLDWCNMLALGVEPAFIRQPKLWPTTPARFKDTLERYSVEVRSLCQRLLAHIAETLGLAPATFRDMFGEAVQAVRMNFYPPCPAPELVLGLSAHSDGSAVTVLQQDMSCAGLQVFRGGAWVPVHPIRHALVINIGDSLEVLTNGRYKSVEHRAVTNGERDRLSVVTFYAPAYDVELGPLPEFVSDEAPCRYRRFNHGEYSRHYVTSRLEGKKTLEFAKIDQTKAAPEEPCSCL >KQL05075 pep chromosome:Setaria_italica_v2.0:V:15414591:15420972:-1 gene:SETIT_005129mg transcript:KQL05075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSALGVGGKEGPSAFSVDLVAAARRLLAFLRAGPPGVGRRSVRRYEELWLPLAVAEGGEGGGGGGEAPMLVPPPDVHLVWLCHCFHHESYSVYCLSRFGRLIDRPSILDAENEEYAEERCRDVWAAHYPSEPFDLHRNEIDGNSDDDIAYANANSEIVEMVRRYAGLAAHFASPFVREGVYHVAARRRYVCFLDLMKKVVGTTQDQTRLVPSLDILLMWLAHLSFPASYATDMVAMAISDNIAKMGVGYGEVVTDEVLKRTRALWEEAYDVPYDMAGSEVEMAAVRAAREAFYWAPAASDEDANRLYKSLQPRFLMEVYVFLKGESDKEHINKEFLRLRTQRCHRSLKLNKSMANLSCKNWQKTWHLYCEFATRGLLIEVRRTTTGCFRNSKFLKNISFSWSDMLHEKALALTEELEVRMRAMASITPPVQAPYLLKCVPDRVTDDGGAMISDVILRMRNYRPQEGRWLTRTVLDHGGRECFVIRMRIGRGIWRRGAETPVAVKWEDRSIEVREGSWSYVASTSSIGYAPEKVVGTATATKDQQENKVVWSLSTGYILTVNLGDELSFQLKSESLEEEARLLVGRRLSYRVNTDGASSNHNEEEQYLTLVRNSPDHHGDRATVLLNWKLLAVEFQPEEDAVFVLLLCMVIARTMTEIRREDVAGLLVRRRIGEARVGQRDWGSVMLPDLLSPDPNLQPWYRNAAQVLSSAETGAMLAKYSPADGKDVLYRQSLIP >KQL05443 pep chromosome:Setaria_italica_v2.0:V:24535761:24537531:-1 gene:SETIT_004556mg transcript:KQL05443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQIKHPRLRLQIPPSLSWPPLPPAACGPAAAPANIFIAVPNRAGQSRSVLRQSVSSLVERAKGTGSAVVGGWEHHGLGCSGIDELRSRPVPPGPLLLRGRNRRPTFRRIVRNGSTEQFSAMPYIYSLLNCLICMWYGLPFVSYGVVLVVTVNSIGAAFQLAYTAVFIAFANAKERLKVSGLLAAVFVVFGMIMYVSLALLDHNTRQTFVGYLSVASLIFMFASPLSIINLVIRTKSVEYMPFYLSLSMFLMSVSFFVYGVLLHDFFIYIPNGIGTILGIIQLLLYAYFRKGSRGEARLPLLVTHT >KQL06204 pep chromosome:Setaria_italica_v2.0:V:31671942:31672250:-1 gene:SETIT_004394mg transcript:KQL06204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWTQNASAKALPSCVSSVIREDKRIPKGYLPIVLVHDEEGGAETRVLVRVKDLKEPCMAALLEMAEQQFGYGQQGVLRVPCDARRFEHVVNMARKSKAAR >KQL08320 pep chromosome:Setaria_italica_v2.0:V:44762460:44762928:1 gene:SETIT_005303mg transcript:KQL08320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRICILSFFSSVHFLLREQIRHHLQKNHATANQSSS >KQL06209 pep chromosome:Setaria_italica_v2.0:V:31714578:31716979:1 gene:SETIT_002522mg transcript:KQL06209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGLYTDIGKKTRDLLYKDYNTHQKFSVTTCSPHGVAITAAGTRKNESLFGELHTQIKNKKLTVDVKANSESDLLTTITVDEFGTPGLKSILSLVVPDQRSGKLELQYLHEYAGVNASVGLNSNPMVNLSGVFGSKELSVGVDVSFDTATSNFTKYNAALSLTNPDLIASLHLNNHGDTMVASYYHLVKHHSGTAVGAELSHSFSRNESTLIFGSQHSLDPHTTVKARFNNYGMASALVQHEWRPKSFITISGEVDTKAIEKSTKVGLSVVLKH >KQL07058 pep chromosome:Setaria_italica_v2.0:V:37388617:37397279:-1 gene:SETIT_001560mg transcript:KQL07058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDTSSSDVSASHTGRVRRRRQPSEATADGNRANGQPLLVNDQNKYRSMLIRTYSTVWMIGGFVFIVYMGHLYIWAMVVVIQIYMARELFNLLRKSSEEKQLPGFRLLNWHFFFTAMLYTYGRFLSRQLVNTVTSDHLLYKVVSGLIKYQMFICYFLYIAGFVWFILTLKKKAYKYQFKQYAWTHMILLTVFAQSSFTVANIFEGIFWFLLPASLIVINDIFAYLFGFFLGRTPLIKLSPKKTWEGFIGASVTTIISAFLLANVMGRSQWLTCPRKDLSTGWLYCDPGPMFKPEHYSLGEWVPHWFPWKELAIMPVQWHALALGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFIAPQNFSVEIILDQIIRNLTYEEQKYLYQQLGEIFHERQLMQS >KQL03843 pep chromosome:Setaria_italica_v2.0:V:4957041:4957658:1 gene:SETIT_003341mg transcript:KQL03843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLATRRINTSSTPTTTTTSQALTVLVKDTCSTMSDQWRLKGWRNGKVLQRAGWVRVFGIVSSVPDGVRDYVVRHSDVKMDGSAGLIFEGQRVEFTAGTGDDGKPVALDGGEATTENASKKEVMY >KQL04705 pep chromosome:Setaria_italica_v2.0:V:11102991:11103387:-1 gene:SETIT_005327mg transcript:KQL04705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIPHLMLKSCMGSGRSGALLSVQCWRMRLRSKKRV >KQL07976 pep chromosome:Setaria_italica_v2.0:V:42909209:42911420:-1 gene:SETIT_001278mg transcript:KQL07976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHGVVCSDALRLDATDPLGVHVRAVTPLREGDLVATIPRGACLTPRTTGAAGAIEAAELGGCLALAVAVMYERARGANSPWDAYLQLLPDRESVPLVWPADEAERLLAGTELDKIVKQDREFLCEDWKECIEPLISSGGLDVHPDDFSLDKYFAAKTLVSSRSFQIDNYHGFGMVPLADLFNHKTDGEHVHFTSASDDSDSDGEDHDEQSDASADEQSTIENPSNSPSGSRVDDEDLEMIVVRDANEGEEVYNTYGTMGNAALLHRYGFTELDNQYDIVNIDLAMVNKWCTSIFSSRHARARVLLWRNLGYSGCTSQDAEYFEISYDGEPQLELLILLYIISLKPDVYDKLICVARDLVGDEEHDSIRNVVKFVEVTSSTQNSELNGLDELHDVKKFLHSEDICSALLSLADARESLYGSNTLEEDEEKLQACCIVSERKLYHSLVLRVSERKILYRLRKYASSRSKTKKRKHP >KQL03702 pep chromosome:Setaria_italica_v2.0:V:4157687:4158875:1 gene:SETIT_003062mg transcript:KQL03702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGENQESQTGNVPAASAAAGPKPASSAAGKGAEGQSVVRRLQSELMALMMGGDPGVSAFPDGDNIFNWVGTIAGSAGTAYEGTSYRLALAFTAEYPYKPPKVRFDTPCFHPNVDTHGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNNDSPLNTQAAALWANQEEFRKMVEKLYKPAA >KQL06033 pep chromosome:Setaria_italica_v2.0:V:30231260:30231737:-1 gene:SETIT_005337mg transcript:KQL06033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSSRPWLRRHAAVVGSAPSLAADAVKEALDEAGLFGSVSASPKDAAADLVGVAAAALILTLVRRRRRERKAREDSDARIPDGVSMV >KQL03727 pep chromosome:Setaria_italica_v2.0:V:4320036:4323624:-1 gene:SETIT_003776mg transcript:KQL03727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGGEVEDCRASLLHGQAGKKEKRQAASASSAPAPGGSLGRRVYEESKQLWVIVGPAIFTRITNYSMNVIMQAFAGHLGDLELASVSFACTVLVGFNYGIMLGMASALETLCGQAFGARKYHMMGVYMQRSWIVLSVCAVLLLPMYLFAGDLLRLTGQPPEVSAMAGQVSLWFIPLHFSMAFLFPLQKFLQCQLKNSVVAITSAAALCFHVSVTWLFFSWFRFGLAGIALALSMSWWATALMLFAYVSCGGCPETWHGFSVEAFSGLWEFLKLSSASGVMLCLEHWYYRILIVLTGNLKDAAIAVDALTICLLINGCELMIPLAFFTGTGVRVANELGAGSGEGARFAAIVSSTTSLSIGIFFCALVMRLHGEIALLFTTSAAVLGAVDKLYVLLAFTILLNSVQPVLSGVAVGSGWQSKVAYVNIGCYYFVGLPMGILLKWHFNLGVVGIWGGMIGGTAIQTLILAIITARCDWEKEAMIASTRMSRLSQVQ >KQL03856 pep chromosome:Setaria_italica_v2.0:V:5079453:5080397:1 gene:SETIT_003435mg transcript:KQL03856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVVRLVENGAAGGGEAVRKRKALVHTPSGQVVRSYAELESELRALGWERYYEDPALYQFHKRGSLDLISLPADFARFSSVHMYDIVIKNRDSFRVVDV >KQL04392 pep chromosome:Setaria_italica_v2.0:V:8724205:8727945:-1 gene:SETIT_002651mg transcript:KQL04392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKFFVGGNWKCNGTADQVDKIVKTLNEGQVPPPDVVEVVVSPPYVFLPVVKSQLRPEFQVAAQNCWVKKGGAFTGEVSAEMLVNLGVPWVILGHSERRALLGESNEFVGDKVAYALSQGLKVIACVGETLEQREAGSTMDVVAAQTKAIAEKIKDWSNVVVAYEPVWAIGTGKVATPDQAQEVHASLRDWLKINVSPEVSESTRIIYGGSVTAANCKELAAKPDVDGFLVGGASLKPEFIDIINSATVKSA >KQL04390 pep chromosome:Setaria_italica_v2.0:V:8724205:8727945:-1 gene:SETIT_002651mg transcript:KQL04390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKFFVGGNWKCNGTADQVDKIVKTLNEGQVPPPDVVEVVVSPPYVFLPVVKSQLRPEFQVAAQNCWVKKGGAFTGEVSAEMLVNLGVPWVILGHSERRALLGESNEFVGDKVAYALSQGLKVIACVGETLEQREAGSTMDVVAAQTKAIAEKIKDWSNVVVAYEPVWAIGTGKVATPDQAQEVSLS >KQL04391 pep chromosome:Setaria_italica_v2.0:V:8725094:8727801:-1 gene:SETIT_002651mg transcript:KQL04391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKFFVGGNWKCNGTADQVDKIVKTLNEGQVPPPDVVEVVVSPPYVFLPVVKSQLRPEFQVAAQNCWVKKGGAFTGEVSAEMLVNLGVPWVILGHSERRALLGESNEFVGDKVAYALSQGLKVIACVGETLEQREAGSTMDVVAAQTKAIAEKIKDWSNVVVAYEPVWAIGTGKVATPDQAQEVHASLRDWLKINVSPEVSESTRIIYGGIHFSSSCLTNVHQLLNLFGT >KQL05029 pep chromosome:Setaria_italica_v2.0:V:14288457:14293997:1 gene:SETIT_000498mg transcript:KQL05029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMGMTPEIMYGQNIFVPATANPYQYGYAEVGQPMEWYNHPSTVGYDGQDVFYPTEGMPCVYYAAPDNASMHPSYSPYPLDPSFIPDGSFMPQEYVADPANSTCQVASTPYYIPAVLPYAQDSVPGSATTPLLSNVAFLPGMPGYAATSANAAFPFIAPVTTKSDIHVNPPVQSTIVSSKQFQDHAKLPKVQLHNSVAQKQELSDRSMVPIKLPHASQASAHLLERPISAAKQSPKAKLSGNNCLGYATSDLQKWAAAEKFQPSSKSSAHLNGPGQKVHHLLNEHSLADSQKPGNQRSSAMVVKSYTSRLPVGNPEGTILIRTDQYNRDDLQVDYTYAKFFVIKSIGEADVHKSIKYGVWSSSSNGNSKLDSAFRDADRISRRNSTKCPVFLFFSVNGSGHFCGMAEMVGPVDFHKDMDFWCQDKWTGCFPVRWHIVKDIPNYSLQHITLQNNENKPVTHSRDTQEIPYIPGMSVLKIFKDIKVKECLFDDFMKYEVEEAQYSPHRRCRLSYNAPDFVPVSQRTKDALDTQQTKSSSVLIDRTSEVQNVSEKPHDPKAIKPQEPCVELSDKQVAEAGKENGQQENQCSGNQSQEDAVKTVTNQPPTSSLKTGADGKQQYWKKVENPRQHTDSAAQGSSKLTEKRLNGVRTSTGVVSESSEEQKITAKLGSFKISSKTEEADRKSRTVGVVTIGSMPVRVDSSEV >KQL05504 pep chromosome:Setaria_italica_v2.0:V:25214591:25223531:1 gene:SETIT_000082mg transcript:KQL05504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKINVYMSFSPETSRYLICDFEERHKAAALKNAYVLMGRHQWELAIAFFLLGDDFSSAVNVCAKNLQDEQLAIVICRLVEGSGGPLERNLISNILLPHAVEKGDNWLSSLLEWILGNYCQSVNRLFGCHPELGIDESKILGGSNVFSDPEVGQYCAILSAKNVFRNCVGEAVSAKLSKFSFAMASCAMNKCGLPLEALECLCTNSGIDDKESINIPAGGDHKIFDGMLNPFSVSKNWLSSSVISDIVSNLKVTMASKYLSRLLRNHLFCSQCNASLSKDKVLNDYTSRQIEELTRDVTAVISIFDRRFSLKFADIAEKILIFCSHDSLLFIAYVLLWGCKSPDVSIDRHSLECCSLRPINYLLLVSFKESCKFLSRHVVYSSFMCFVLNMELTNITGCASKENRKYIMSGLSNYLNASRLLLRFDNGGKNILDNRSAMLTVMDLLEYIIEFSFSWLYCDIKALLIMINPILAASVKGESFQVLLDGLVHSMRHRSHDVPLNTEGGMSSASVHKIQLEKSENSNLSIDEKWNLIGASLWIRLTSVMQLYLREFVEKERLEHETGGSDSEFKGHFSSVAAKFAMDSIHFVSSSLVKVHASFLRKNLPTHSHSSVLFWLESSQQWSDSNGYDQLSRILQLANNENMEVLFSNLWEISVNSVDICNAFVNEGVKCFSLSSINVTRSWKDIRGTGVECKVSSTQRSGEEHKHGLSSNNNDQGQGFTDGASSNGEVFPETKRKESIVQKDFQSPRELLRRSGELLEAICLNSINDKQAAIATNRKGLVFFNWSEKKHCKNFAEYVWSGSDWPLDSWAGCESTPTPTFISSGAGLGRKKGSQPGSGGATIGLGSLAKPGRDLTGGGAFGIPGYAGIGASGFGWGEPEEFEDFVDPPATLGNIHSRALSRHPSLPLFLVGSSNTHVYLWEFGKDSAMATYGVLPAANIPPPYALASISAVQFDYYGQRFATAALDGTVCTWQVEVGGRSNIHPTDSSLCFDIHASDVSYVSASGSVIASAGSNSNGANVVIWDTLSPPGTCQTSIMCHEGGARSLSVFDSDRGCGSISPLVVTGGKSGDVALHDFRFLSTGKSQHNRISMEHGVKAPSTHDTKSGTSGGTTNGMVWHIPKAHLGSVTKVTTIPNTSLFLTGSKDGDVKLWDAKNSQLVFHWPKLHERHTFFQPTSRGFGGVVRAAVTDLQVLSHGFISCGGDGSVKLVQLKDDLATVHQQ >KQL05838 pep chromosome:Setaria_italica_v2.0:V:28354018:28355858:-1 gene:SETIT_005565mg transcript:KQL05838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAIFFNLTMLWGYLFSFYETCQSNSWMIADEKVSIHNTPLLYLHIKLICTKKVRLLYSKQLDIGSTDYRLGQGLYLEGKKISVVFQWQ >KQL07822 pep chromosome:Setaria_italica_v2.0:V:41997507:41998157:-1 gene:SETIT_004471mg transcript:KQL07822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVASNPSISTSKPISLKRNSKDIGWDYGVLVDPANLNLIKCKLLKLHIAGIRGQVKPCKKSTDEDKERCKKEIDDSKQVKKVRLTEQQEVRDVVDLGALDADDDITMAETIRKIGHMDKFSMPLDQASLSNTKVIRQQLITKVIWKEIMHSLQRYIAR >KQL07837 pep chromosome:Setaria_italica_v2.0:V:42080314:42082732:1 gene:SETIT_001660mg transcript:KQL07837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRVRASSLLLALALELAVALVSVSAQQKFGINYGQIANDLPDPTRVAGLLQSMNVNKVKLYDADPRVLTAFANTGVEFIIAVGNENLQTMAANPAAARQWVATHVQPYIPATRITCVTVGNEVFSSNDTVMMSSLLPAMKAVSDALGDLGLGGQVTVSSAHSVNVLATSFPPSSGAFREDLAEYIQPILDFHGQTRSPFLINAYPFFAYKASPGSVSLPYVLFEPNPGVRDPNTNLGYDNMLYAQIDAVYSAMKAMGHTDVAVRISETGWPSKGDEDETGATVQNAAAYNGNLMQRIAMNQGTPLKPNVPIDVYVFALFNEDMKPGPTSERNYGLFYPNGSPVYAINAGSGSGSGGGGGFGPGGSLNPYYTSMFSSSSKLAVSTAFLTERVILLLLLQAIVILLQS >KQL08249 pep chromosome:Setaria_italica_v2.0:V:44415750:44416246:-1 gene:SETIT_003642mg transcript:KQL08249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTDCFYSHCPNTLILCVPKSLMAKANYNLSCYKCSIRNERKEITQLIKAQVTVIDLSVHRSIDQQN >KQL03872 pep chromosome:Setaria_italica_v2.0:V:5168996:5169316:-1 gene:SETIT_005414mg transcript:KQL03872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAGGTASRGEHQWYGSTDCDGTVLQHLIFKKKRNGAPAPDQTARNAAAFYLANLHTAGLWVYMYHVCRLLLAKKKRYAGFYLY >KQL06706 pep chromosome:Setaria_italica_v2.0:V:35139612:35142602:-1 gene:SETIT_002290mg transcript:KQL06706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPPLSPPQNPTPPPHFSGLLSPPPPPQPSGPRAEPLLPLAMGPGLYSDIGKKARDLLNKDFHTDQKFTLTTYTSNGAAITAASTKKNEAIFSEIQAQLKQKNVTVDVKATTDSLLLTTVTVEEFGVPGLKKIVTIPFPNQAAGKAEVQYLHDYAGINASFGLNSKPLVNLSGVFGTKAIAVGADVAYDTATGDFTKYNAGLNFTNTDLIASVTLNNKGDSLTASYYHLVNAEKNTAVGAEVTRSFSSKKNIVTFGTQHALDPSTTVKARYNSNGMASALIQHEWRPKSFVTLTTEVDTKAFEKSSKVGLSLVLKP >KQL04605 pep chromosome:Setaria_italica_v2.0:V:10187666:10188944:1 gene:SETIT_005351mg transcript:KQL04605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLTNQSRNVLSKKLLAGDKDAMDDINLFSVITVLSFLLSCPLMLFAEGVKFTPGYLQSSGLNLQELCIRAALAGFCFHGYQKLSYLILSRVSPVTHSVANCVKRVVVIVSSVIFFSTPISPVNALGTGAALGGVFLYSKLTRTKKPKNA >KQL04606 pep chromosome:Setaria_italica_v2.0:V:10187918:10188944:1 gene:SETIT_005351mg transcript:KQL04606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDAMDDINLFSVITVLSFLLSCPLMLFAEGVKFTPGYLQSSGLNLQELCIRAALAGFCFHGYQKLSYLILSRVSPVTHSVANCVKRVVVIVSSVIFFSTPISPVNALGTGAALGGVFLYSKLTRTKKPKNA >KQL08170 pep chromosome:Setaria_italica_v2.0:V:43960304:43963062:-1 gene:SETIT_001776mg transcript:KQL08170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIVASFWSERPPLPSRLYVHCPELESSAFSQLPRILRVVEGLILFRVAIRCREPILYEECDYFIYHVDSASLNGIPNPSPFFIRDDEIGLLPRGNHYTVAALVPTSDDEVFTLHLLQSEIGRWTSKDVSLEAPQSEFPMEIPRDTDACRLLSHTTNTVITLGGEDGTMGWVDLWRGILLCDVLLPAPKLRGVPLPLPRAMYLPNGESRIKFRSPKLYRGIAFSKEKRCLRFVDLDTTYKRLPASDKERGIPTYRFEGWEITKWSNCNLTNSFEDWQADYPPIHANDIKLNEQMQKQMLEYQLLWPKAPSQGNSVAADPGRNLENVTVFLPTPSMDDSNVVFLIAKAEFRQPKAYVLEVDMGNRQLKGVTEFGTEREPCASVIRCHGSVSRV >KQL05576 pep chromosome:Setaria_italica_v2.0:V:25904589:25910631:1 gene:SETIT_000626mg transcript:KQL05576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSLPMLTASRFLPPPPPPPLLTPTCPRQAVPFVRAAARTLEAPEAPKPQRPSPRRSAVAEVKASSDPVAALNRFEDVLQTQDCNIILRHCGDTRRWDDLSKVFEWMQEREMTNAASYSSYFKYLGLSRDPARALQVYGAIQDCTMRVHVSVCNSVLGCLVKNGRFDSSFKLYDEMIREGLSPDPFTYSTLLSGCVKLKQGYTKAMGLINEMKSRDLQMDSVIYGTLLAICASHNYCEEAEVYFQKMKDEGHSPNLFHYSSLLNAYSENADYGKAELLMKDLRSSGLTPNKVMLTTLLKVYSKGGIFEKAKDLLAELEASGFAQDEMPYCILIDGLVKGGKIEEANMLFNEMKEKGVKSDGYAFSIMISALHRGGHHQESKQLAKEFEAENASYDLVMLNTSLRTYCSTNDMESVMRMLKKMDELNISPDNITFNTLIRYFCNAKVYHLAYKTVEDMHTKGHQLNEELCSHVMVQLGKAGFPSEAFSVYNMLRYSKRTVRKSLHEKALGILVSSELLKDAYIVVKDNAELISPSSLEKFARAFMVSGNINLINDVMKALNRSGWRISQDIFGRAIQRYIQKPDKKHLLLCLLDWMTGQGYSVDSSSRNLLLKNAQLFGQKQLIAEILSKQQTASRTIGQRHKK >KQL07048 pep chromosome:Setaria_italica_v2.0:V:37314227:37318158:1 gene:SETIT_004261mg transcript:KQL07048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAHGGGLEVKEMAGSDRIPSSDLVGISTKLGGSHPPIPARTGREYTPSSTPLVLARSVPHGPRDRQPPKHAAAEQWQVPGCQQGFPASRQPSSLASLLLRADFKGFWESRFGGKKEPEQNGSANGDANGAAPKRTADLAVYEQFEQQARQTQVRAAAIRDGNADVIQKPLLPPFESAEMRNLAETLLRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSIVSKWRGDSEKLVKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLTKTNDLVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARQAMFEELLPETTSKLEVAYDVLVEKTEGYSGSDIRLVCKEAAMQPLRRLMSVLEASNELVPEEELPEVGPLKPEDIELALRNTRPSAHLHAHRYDKFNQDYGSQVLCSEQA >KQL03946 pep chromosome:Setaria_italica_v2.0:V:5536912:5538160:1 gene:SETIT_002735mg transcript:KQL03946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEVGAGDKYRSFIHGESEKDTVWRYGAPPNYDVVNKLFEAERTRVWPEGSLEEKVQRLLKTWEMELVHKVRPEDQKTVNSEKYSASNNGMKALSRAEVMAIGGYNHFLRTKLPPEHRIFDPDQETLDSAMATFLTAFPRGFAIEVLDVYSGPPKIAFKFRHWGYMEGPFKGHPPHGQRVEFIGVCIFHVDEEMKVEKSEYFYERGNFLASFLSPPDAAPGSGSAGSGSGCPVMRWN >KQL05190 pep chromosome:Setaria_italica_v2.0:V:17231154:17231995:1 gene:SETIT_003696mg transcript:KQL05190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPIQFVAVPLIYFPLQIFSSIGFIFHILCLYICFVQRLKSCGKKEYLSLMPLHFC >KQL05968 pep chromosome:Setaria_italica_v2.0:V:29562192:29563624:1 gene:SETIT_002292mg transcript:KQL05968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLHTELALGLLGCGGGGGDQLQTAPFVAKTYQMVCDPRTDALVRWGRENNSFVVVDPAGFSRLLLPCFFKHSNFSSFVRQLNTYGFRKVHPDRWEFAHESFLRGQTHLLPRIVRRKKRGEGGGGAASCSSVGEAQYAAASCAIRGEDHQEGQDQEEREALLEEVQRLRREQTAIGEELAQMSRRLQATERRPDQLMSFLARLAEDPDGVTRHLVEQAAEKKRRRMQLSSQAISPLPPPLLALGGKDCDGWQWAEQKPAIVLPSFEPTSSYCAVQQVPDFGGGNGGGGGITGMGLTADDTAVEMPFPFCLLGQGFF >KQL06729 pep chromosome:Setaria_italica_v2.0:V:35241518:35243872:-1 gene:SETIT_004338mg transcript:KQL06729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFDGPPSFSDLVDRVMRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVAVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELVEDGVVGVEDISMVHKAICESSMVNAEGTSIGQSPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISIVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAMTYYNPGVKWCIDSCGMMLPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQLVPLAFALVESENNESWSWFMKLVRVHVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCTRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFCEKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMTTNYSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWRKAREMLDQGYRIGQVADDYLSEAELRSVHHLEEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYVSDCAARS >KQL07836 pep chromosome:Setaria_italica_v2.0:V:42075716:42076019:1 gene:SETIT_005398mg transcript:KQL07836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLISAPQKIKAMKHLEISFVASNIIYYNILSKLLQQKKAPARN >KQL07279 pep chromosome:Setaria_italica_v2.0:V:38899751:38901316:-1 gene:SETIT_002089mg transcript:KQL07279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESRSKKGEQDAVPSYGLRGYEEVERIKAKVEEACPLTVSCADIIALAARDAVYLSNGPRYAVETGRRDGKVSAKSDADNDLPPPFSNIVDLKTYFSVKGLGWKDLVVLSGSHTIGSAQCSSFASDRLYNYSGRGVQDPSLNKTFAAGLREACEAGRENDTTPVYMDSTTPYDFDLGYYRDVLSNTSLFLSDKALMDDRWTRTYVERMAAAASPDEFFDDYAVAMTNMGRLEVLTGDNGEIRKTCTSYVD >KQL07280 pep chromosome:Setaria_italica_v2.0:V:38899751:38901777:-1 gene:SETIT_002089mg transcript:KQL07280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGLPLLLVVVVVAASSRAGLADPAPAAVSALPGLPVAGLAVGFYNASCPEVEDLVLAEMRAIVAKDRTLGPALLRFMFHDCLVRGCDASIMLESRSKKGEQDAVPSYGLRGYEEVERIKAKVEEACPLTVSCADIIALAARDAVYLSNGPRYAVETGRRDGKVSAKSDADNDLPPPFSNIVDLKTYFSVKGLGWKDLVVLSGSHTIGSAQCSSFASDRLYNYSGRGVQDPSLNKTFAAGLREACEAGRENDTTPVYMDSTTPYDFDLGYYRDVLSNTSLFLSDKALMDDRWTRTYVERMAAAASPDEFFDDYAVAMTNMGRLEVLTGDNGEIRKTCTSYVD >KQL05031 pep chromosome:Setaria_italica_v2.0:V:14299672:14300364:1 gene:SETIT_003376mg transcript:KQL05031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLASGRASGGATPHPLAAKAARPAPNVCPEVISSFIDFERSPGMIRVEFVFVPCACDAAAARGAFSFCYREKTVLAGDTSTRQRKMYLCWRLGTRYDRSPFLQCKMYLCWRLGTR >KQL07141 pep chromosome:Setaria_italica_v2.0:V:37886744:37890980:1 gene:SETIT_000647mg transcript:KQL07141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSITFASSSSYLSNGSSPCSVALPPPGPPQAPPLTAGDGWGSGGAAGGSSSNVEAVSLNRLSKNLERLLIDEDLDCSDADIEVPDGGPPVPVHRCILAVRSPFFYDIFAARGRGGAARGDAAAGARGAGEGAASGRPRYKMEELVPGGRVGREAFQAFLGYLYTGKLRPAPLDVVSCADPVCPHDSCPPAIRFAVELMYAAWTFKIPELISLFQRRLLNFVDKTLVEDVIPILQVASHSELTQVLDKCIQRIARSNLDDISLDKELSPEVVEEIKKIRKKLQTADDDASISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAASYCDSKVVSELLELGLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGATVSQLTADGRSAIGICRRLTRAKDYNTKMEQGQESNKDRLCIDILEREMMRNPMSVEDAVTSPLLADDLHMKLLYLENRVALAILFFPAEAKVAMQIAQADTTEEFGGITAPGTTAPSTSGKLREVDLNETPAIQNKRLRSRVDALMKTVELGRRYFPNCSQVLDKYLEYKYLEYDLPDGLDQFYLQRGTPDEQKVKRMRFCELKEDVRKAFTKDKADNSMFSGLSSSSSCSPPQKIAKK >KQL07142 pep chromosome:Setaria_italica_v2.0:V:37886744:37890980:1 gene:SETIT_000647mg transcript:KQL07142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSSITFASSSSYLSNGSSPCSVALPPPGPPQAPPLTAGDGWGSGGAAGGSSSNVEAVSLNRLSKNLERLLIDEDLDCSDADIEVPDGGPPVPVHRCILAVRSPFFYDIFAARGRGGAARGDAAAGARGAGEGAASGRPRYKMEELVPGGRVGREAFQAFLGYLYTGKLRPAPLDVVSCADPVCPHDSCPPAIRFAVELMYAAWTFKIPELISLFQRRLLNFVDKTLVEDVIPILQVASHSELTQVLDKCIQRIARSNLDDISLDKELSPEVVEEIKKIRKKLQTADDDASISDPVHEKRVRRIHRALDSDDVELVKLLLNESEITLDDANALHYAASYCDSKVVSELLELGLANLNLKNSRGYTALHLAAMRREPAIIMCLLNKGATVSQLTADGRSAIGICRRLTRAKDYNTKMEQGQESNKDRLCIDILEREMMRNPMSVEDAVTSPLLADDLHMKLLYLENRVALAILFFPAEAKVAMQIAQADTTEEFGGITAPGTTAPSTSGKLREVDLNETPAIQNKRLRSRVDALMKTVLTHIIWHYAVELGRRYFPNCSQVLDKYLEYKYLEYDLPDGLDQFYLQRGTPDEQKVKRMRFCELKEDVRKAFTKDKADNSMFSGLSSSSSCSPPQKIAKK >KQL03490 pep chromosome:Setaria_italica_v2.0:V:2690589:2702112:1 gene:SETIT_000103mg transcript:KQL03490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRRFPVLLSLLLAFCSVAAASDEIHGCGGFVEASSGLAKSRKASDSKLDYSDITVELCTVEGLVKESTQCAPNGYYFIPVYDKVPVVIDHNGCNGNADINFQFTGFMISGKVVGAVGGKSCSKGGGPSGVKVELMTDSDELVASALTSSSGEYSFTNIIPGRYRLRASHPDYDIELRGSPEVDLRFGNVVADDVFFVSGYNIYGTVVAQGNPILGVHLYLYSNDVTEVPCPQGFGDAPREGALCHAISGADGKFMFRSLPCGSYELLPYYKGENTVFDISPSSLPVSVEHGHLTVPQKFQVTGFSVGGRVVDGYGAGVEGANVIVDGQSRAVTDSFGYYRLDQVTSKKYTITAEKDHYKFNRLENFMILPNLASIDDIRSVRYDVCGIVRTVTPNSKAMVTITHGPENVKPQRKLVGENGQFCFEVPTGEYQLSALPVDSERSSSLMFSPGSITVNVNGPLLDLAFSQSQVNVHGKVLCKEECNQNVLVSLVRLAGGVEQEKKTTTLEQDNVNFVFTKVFPGKYRIEVRHSSSEGSVKDVWCWDQNALNVDIGIDDVKDIVFVQKGYWIELVSTHDTEAYIQLPDSSKRDLSIKKGSQRICVETSGQHEIHLTNPCISFGSSSVLFDTANPMPVHINAKKYLVKGEIHVDMGSLQEDIDSKDIVVDVLKSDGSFVEKISTKPALGKSNQNDFTAFEYSIWADLGEDFIFVPHDSSTGRKKVLFYPARQQYSVSVNGCQDTVPQITAKAGLYLEGSVSPATSDVDIRILSAGKSNYAHLNKGDVATETKTDSDGSFFAGPLYNDIEYKVEASKDGYHLKQTGLYTFACQKLGQISVQIYGENLELLPSVLLSLSGEEGYRNNSISGSGGTFIFDNLFPGSFYLRPLLKEYKFNPSAVAIDLNSGESREAEFRATRVAYSAMGSVTLLTGQPKEGVFVEARSESTGYYEEATTDAFGRFRLRGLVPGSTYSIRVVAKDNLQFAAVERASPDYVSVDVGHEDITGIDFVVFERPEVTILSGHVEGDGIDMLQPHLSVEIRSAAEPSRVESVLPVPLSYYFEVRDLPKGKHLVQLRSGLPSHTHRFESELVEVDLEKQPQIHVGPLKYKTEERHQKQELTPAPVFPVIVGVSVIALVISMPRLKDLYQSAVGITSLGSGAAPIKKEPRKNIIRKRV >KQL04278 pep chromosome:Setaria_italica_v2.0:V:7858016:7860573:1 gene:SETIT_001447mg transcript:KQL04278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRLHDEYGHDGDQPDDKRMRRLPSFSTVIREAMMQKHMQHLFRCIEPLLRRVVQEELQAGLMQSPRYIERSPPATPPAERPAWKLAFRTPPNLPIFTGSKIEDEAGNPLDIVLVDADTGASPAAPPPALRVELVPLVGDFPPDGREDWSPEEFQKGVMKEREGKRPLLTGEVALTMRDGRATVSELQFTDNSSWVRCRKFRIGVRVAPGSYDGSRIQEAMTDAFVVRDHRGELYRKHYPPHLVDDVWRLEKIGKEGAFHRKLRQNNVVSVQEFVRMLMVKPDELRAILGEGMTDRMWEVTTNHAKTCVDRDDKVYVYGTPHGTIYVDSVFKLVRVEIGGVEWPLQQLRGQAQVIVQQLMLEAYENRHSLQEAEAFMLPGHGHAANNVPLLQNAAHVALPAPGEAQLWYPNAPEIEFPVDDVVPPIPQPNNFGYQWPGQVFHMTG >KQL04281 pep chromosome:Setaria_italica_v2.0:V:7895503:7896376:-1 gene:SETIT_002992mg transcript:KQL04281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNASTEKQAQATSAAAAAQSQRPPAVSAEMQKVFSRFDADGDGRISPSELAAVNRAISPPPTSSHGGREVAAMMDELDTDRDGYVDLGEFAAFHARACARGDDGELEAELRAAFDVYDVNGDGRITAAELGRVLAQIGEGCSAEECERMIAGVDVDGDGCVGFEEFKKMMAPKGGAEPAAVPPADAAGPDKAKKE >KQL04088 pep chromosome:Setaria_italica_v2.0:V:6380329:6384322:-1 gene:SETIT_000537mg transcript:KQL04088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPSPSPGTIRATLPPSTATPSPVTTTPTPASPAPVTQPNATPADPPSSPAAPPPLPSASTPPPQLTPPPPSSAPPPPAAVPPPPVVVASPPPAPTAVVPPPSLPVAMPPPPTPAAPPKASPILPPAAASPPPSNLPAPNPPADPTPPTAVPPPPPRHHRPPRKPGTQPAPPPSGIPAEPSPASPAPTSGDPLIPTPTSPSPSGTPGSGTPSVPAPATAVDPASPVTNGDRGSNKSSSPATQSSSSSGSSGGMSSGAKAGIGVVVAILVLSLVGAALWYKKKRRRVHGYHAGFVMPSPASSPTQVLGYSAKTNFTAGSPESKDSMPEFSMGNCRFFTYEELYQITNGFSAQNLLGEGGFGSVYKGCLADGKEVAVKKLKEGGGQGEREFHAEVEIISRVHHRHLVSLVGYCISDDQRLLVYDFVPNNTLHYHLHGRGVPVLEWPARVKIAAGSARGIAYLHEDCHPRIIHRDIKSSNILLDNNFEALVADFGLARLALDACTHVTTRVMGTFGYLAPEYASSGKLTERSDVFSFGVVLLELITGRKPVDASKPLGDESLVEWARPLLTQALESGKVGELVDARLDKNYDEVELFRMIEAAAACIRHSASRRPRMSQVVRVLDSLADVDLTNGVQPGKSEMFNVANTAEIRLFQRMAFGSQDFTTDFSHSSWNSQSRGLDASGSRPL >KQL04953 pep chromosome:Setaria_italica_v2.0:V:13360266:13362110:1 gene:SETIT_001918mg transcript:KQL04953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLRRLPPLPLPRGPAPRRLLSAAASAAASPSPLPWPGLHAWRRAPPSDLRTWGPDGPCASDAEEAAGAPPEPDAGAGSSLAEMGALVLSTADPLAKARLTHAAFSRWVAGLPVGQATAPDHPARPDKPLVVTQKEMTTHKEMRVPLNAYMLHNLAHVELNAIDLAWDTVVRFAPLRDTLGDGFFADFARVADDESRHFRWYSQRLAELGFSYGDMPVHNLLWRECAKSSSDVSARLAVIPLVQEARGLDAGPRLVQRLFGFGDHRSADIVARVAEEELAHVSVGLYWFLKVCQMMGRDSGDTFKDLIKEYGVVLKGPFNYPARDEAGIPREWYDEKFKQESAQKLEEVHDRLACIVEMEKENASLND >KQL04981 pep chromosome:Setaria_italica_v2.0:V:13759125:13759880:1 gene:SETIT_003944mg transcript:KQL04981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRLLPDCAASPDGEPAKPEVTKEAKMDLLEDDDEFEFEIDSQPLEIGYWDDKEEGNEVVKQWEDDWDDFSLQLRKELESNASRN >KQL08042 pep chromosome:Setaria_italica_v2.0:V:43290737:43295346:1 gene:SETIT_002445mg transcript:KQL08042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRIPPPSLLQYSPSPVHSSPHPLNSLRYSSSERERYLAELLAERQKLAPFVQVLPFCTRLLNQEILRASTMAPNHNFVEPERIEHGSPLRLPGHPVNGQPMDLEGGWSGMQTEHMGVLQASSMGWNGAPALAGTPVVKKVVRLDVPVDKYPNYNFVGRLLGPRGNSLKRVEASTQCRVYIRGRGSVKDSVKEDKLRDKPGYEHLNEPLHVLVEAEFPADIVDGRLNQAVSILEDLLKPIDESMDYYKKQQLRELAILNGTLREESPSPHLSPSVSPFNSTGMKRAKTGR >KQL04003 pep chromosome:Setaria_italica_v2.0:V:5817798:5818229:-1 gene:SETIT_003278mg transcript:KQL04003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAATAVRFSAVAPVKGRPAVRSVAAVRVVLRRSFRAAAVAVSAAEPAEVDYSSSSSVFPMEACELFGGDACSGQMYPEARLAASAAADAATRRQEEVERDYVSYDEPKT >KQL04004 pep chromosome:Setaria_italica_v2.0:V:5817264:5818316:-1 gene:SETIT_003278mg transcript:KQL04004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAATAVRFSAVAPVKGRPAVRSVAAVRVVLRRSFRAAAVAVSAAEPAEVDYSSSSSVFPMEACELFGGDACSGQMYPEARLAASAAADAATRRQEEVERDYVSYDEPKTVFPGEACDDLGGEFCEEPYQAGVSRDLAHPEA >KQL08403 pep chromosome:Setaria_italica_v2.0:V:45216742:45218378:1 gene:SETIT_004010mg transcript:KQL08403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPPYSCTRTRNPSCYIRAPSISSPPGLQIHHRHCSAADLPLTMQGEPALVPPAAETPKGSYYLSNLDQNIAVIVQTVYCFRAAGGDDGRSASGVLKESLAKVLVHYYPLAGRLTVSGEGKLAVDLTGEGAVFVEAEADCDMADIGDVAEPDPAVLGKLVYSVPGAKNILEMPLLAAQVTKFKCGGFVLGLAINHCMFDGVSAMQFVNSWGETARGLPLSLPPELDRAVLRARDPPRHEFPHHEFAQITDDDGDTPPPPHGGEPLLYRSFRFAPASIARLKVLAPLEGRACTTFEALAGFVWSARTRALGVASSRQSKLLFAVDGRPRFSPPLPAGYFGNAIVLTSAACAAGELAAPAASSMPRAVRLVRGAVEAVTDAYMRSAVDYFEATRARPSLASTLVITAWSRLPFRAADFGWGPPAAYGPAALPEKEVALFLSCGEERGGVRVLLGLPPAAMAEFQRLVEEVTAA >KQL03979 pep chromosome:Setaria_italica_v2.0:V:5685226:5686043:1 gene:SETIT_005573mg transcript:KQL03979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTIFIPQTTHGSRAATMPPTSDVAPQNNLLSLSTKPKTDRAERKGNITELFRLQKIPDLQN >KQL03515 pep chromosome:Setaria_italica_v2.0:V:2868587:2870216:1 gene:SETIT_002047mg transcript:KQL03515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLSAAVLLALVAVQAAVLLAAVPSAQAGELQVGYYNKKCKGLENVVKWNVIKALKANRRTGAALIRLLFHDCFVRGCDGSVLLDASYDNPHPEKEAPVNIGLAAFDLLEEIKAAVEKRCPGVVSCSDLLIYAARDAASILSNGHVHFDVPAGRLDGFVSKAEEAQAELPDSAHDAQKLIDNFARKNFTVEELVILSGAHSIGQGHCSSFTGRLSEPANQITPAYRNLLNYKCPQGSNPTVDNNVRDEDYDVVARFMPGFVSRVRKIPDFLDNSYYHNNLAKIVTFHSDWTLLTHKEAFGHVKEYAENGTLWDEDFAESLVKLSELPMPAGSKGEIRKKCSVINHRLY >KQL03706 pep chromosome:Setaria_italica_v2.0:V:4164613:4166798:1 gene:SETIT_000773mg transcript:KQL03706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFSSVFSAPAEEEEGEEQREGEQEGKQEPAAEQSGGGWIFGGLIDTLKEEIEEQRRVNESAAAAEEEEVQQGAEGAEEEAGSGGGWIFGGLIKTLAEEIEAQRKEQEVIAAAAAEEEGKERERGAEADATADEEGEGSGGGWSFGGLIKTLAEEIEAQRNEQGAAAAVEEEEGERGADAEVAAAEGEEGDEAGEGPDGGWSFGGLVKTFASRSETVLGGYRRDLQDLGSGLRLETAALRAAAARAASALPGALEASASAASDRLESVGQAVDDLGAAAAGLLSHANEALRSAEGDGEDGDGASRPSDASASGASWRASLPSKKYTRFEAQVLALRADPATFTEEPEDSEGFARWNSSFSIDEVKEQIEGVLRESPGLESFVERLVPSVVDYETFWSRYFFAVDKLRQAEDVRTKLVSRAMSKEEDEELSWDVDDDDEDANTSDHKEGTNSMVDKKEEKSADPFNHETEGSGKQEAAVGTDSTEDKEVASAEAKDGNGESSVETLTPKSSNGVGQEEKAEAGDSSKESDFSVVSQPSAQEEDLSWEEIEDVGDQDEKKGASPRSSSTNKVEDLRKRLNSVEDDEDLSWDIDD >KQL04110 pep chromosome:Setaria_italica_v2.0:V:6567065:6568072:1 gene:SETIT_003136mg transcript:KQL04110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDKRRWLHGSMTMTGQPQPLTGSNIAVLTRRFVRYLAKTIKVTACLLPGRGRLSILQDPHHLILTLVHYTAMKKKKLSFRRSSLPAMYALAVAIILAITIVSCSEVQAAHDTATVLHPDGSRSGRGPAAPFGNPARGCDVPPPPQQRRRLHHHAGRSAGAGSDDV >KQL04130 pep chromosome:Setaria_italica_v2.0:V:6732423:6736021:-1 gene:SETIT_001869mg transcript:KQL04130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSPRPRPPPPPPPPPPRTPPPVPAPSQAMAAPAAAAAGGGEEPEQEQEFDICNDEGFVYKVPSGLYPDAAPSSTQAAGAATGPDPEIVGLRRRRRALLRLRAKRLRQLSRWEALASELLAPLPAPQPPASQSPPASPHPVAATATAASASVLDDLIAQADVQAELLKKASQLCDEINALCDAHEAAIVDHIAVLPVWGNPRELVASLYSPDPHEQAEPGTSGLDEGNGGFQSLSSQPGKRAIGVASAAVERVKIIGDKRIQSPSPCKRCSHFGCGGKNCCETRAELGDELLPPVLAENRKKKGAASPLSSNSVAAQASNKRKSQKTSGNKSMPSGTPGSTMRRKAASIPRAPDTSRSPGPTTRRRAAAQHASPRS >KQL07899 pep chromosome:Setaria_italica_v2.0:V:42403428:42403991:-1 gene:SETIT_004679mg transcript:KQL07899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNKLTLIALLVAFAIVAPSAAVRDGGAAKDAPAPAPSASGEATVHPMGFFDDILDDIIHFRIPDLPLPPILPCPPDFPIKIPFIPCYNETNTLECRSSLAKYMPPCAGFLTDADDSGSASSPPKECCNAIGSFLEDPMALCLCHVVNGDFGKLLKAPMNPKRANSFLQQCGFELSSAQVSRICSG >KQL04548 pep chromosome:Setaria_italica_v2.0:V:9782233:9782646:-1 gene:SETIT_003422mg transcript:KQL04548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSRDTPADGWIKVDQVEPLHKRWLSAVQEVAVMQHQVTVVDSGSLDTEMASTSVSSSWHPQARILSWSEERGAKALDVAGAASPSLRALRASAMSATLTNSAASLSRM >KQL04901 pep chromosome:Setaria_italica_v2.0:V:12909027:12913407:-1 gene:SETIT_001682mg transcript:KQL04901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPPGPEPMALDAPPAAGDATAAAAAVAPAGDEKKKEGEGGDKMPGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETFAIKKVLQDRRYKNRELQLMRAMEHPNVVCLKHCFFSTTPRDELFLNLVMEYVPETLYRVLKHYSNANQRMPLIYVKLYMYQLFRGLAYIHTVPGVCHRDVKPQNVLVDPLTHQVKLCDFGSAKVLIPGEPNISYICSRYYRAPELIFGATEYSTSIDIWSAGCVLAELLLGQPLFPGESAVDQLVEIIKVLGTPTREEIRCMNPNYTEFRFPQIKAHPWHKIFHKRMPPEAIDLASRLLQYSPSLRCSALDACAHPFFDELRAPNARLPNGRPFPPLFNFKHELANASPELVNRLIPEHIRRQHGVNFGHAGS >KQL06661 pep chromosome:Setaria_italica_v2.0:V:34862232:34868295:1 gene:SETIT_001403mg transcript:KQL06661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEKATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIVHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRHAVLGATESRPDLILTGHQENAEFALAMCPAEPYVLSGGKDKSVVLWSIQDHISALGDSSSSPGASGSKQSGKTTNEKESPKVDPRGIFHGHDSTVEDVQFCPSSAQEFCSVGDDACLILWDARTGTSPAVKVEKAHSGDVHCVDWNPLDVNYILTGSADNSVRMWDRRNLGSGGAGSPIHKFEGHKAAVLCVQACQDNMLVTIFALCRYGLFPMMFLNA >KQL06663 pep chromosome:Setaria_italica_v2.0:V:34862100:34870322:1 gene:SETIT_001403mg transcript:KQL06663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEKATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIVHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRHAVLGATESRPDLILTGHQENAEFALAMCPAEPYVLSGGKDKSVVLWSIQDHISALGDSSSSPGASGSKQSGKTTNEKESPKVDPRGIFHGHDSTVEDVQFCPSSAQEFCSVGDDACLILWDARTGTSPAVKVEKAHSGDVHCVDWNPLDVNYILTGSADNSVRMWDRRNLGSGGAGSPIHKFEGHKAAVLCVQWSPDRASVFGSSAEDGFLNVWDHEKVGKKKNSNVPAGLFFQHAGHRDKIVDFHWNSSDPWTIVSVSDDGESTGGGGTLQIWRMSDLIYRPEEEVLTELENFKSHLASCTPRN >KQL06662 pep chromosome:Setaria_italica_v2.0:V:34862100:34868858:1 gene:SETIT_001403mg transcript:KQL06662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKERSGSRAAVDERYAQWKSLIPVLYDWFANHNLVWPSLSCRWGPQFEKATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIVHPGEVNRIRELPQNSKIIATHTDSPDVLIWDVEAQPNRHAVLGATESRPDLILTGHQENAEFALAMCPAEPYVLSGGKDKSVVLWSIQDHISALGDSSSSPGASGSKQSGKTTNEKESPKVDPRGIFHGHDSTVEDVQFCPSSAQEFCSVGDDACLILWDARTGTSPAVKVEKAHSGDVHCVDWNPLDVNYILTGSADNSVRMWDRRNLGSGGAGSPIHKFEGHKAAVLCVQWSPDRASVFGSSAEDGFLNVWDHEKVGKKKNSNVPAGLFFQHAGHR >KQL05546 pep chromosome:Setaria_italica_v2.0:V:25599429:25602694:-1 gene:SETIT_001992mg transcript:KQL05546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARARGRDGSEELEAQIGLGRLRETQMGEVTNGGKFRTMTGGADRQGRAEGMTRPQESPRNPPTRTCGARRCDFPNERKGKGRAAAGRRVFRRDGGVPHSTTLSYSFLSHRLSLSLSLSLFPPSRARLPQLLAFPPPRSSPPPESRSRPVPSAAMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAESRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNR >KQL05547 pep chromosome:Setaria_italica_v2.0:V:25598734:25602694:-1 gene:SETIT_001992mg transcript:KQL05547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARARGRDGSEELEAQIGLGRLRETQMGEVTNGGKFRTMTGGADRQGRAEGMTRPQESPRNPPTRTCGARRCDFPNERKGKGRAAAGRRVFRRDGGVPHSTTLSYSFLSHRLSLSLSLSLFPPSRARLPQLLAFPPPRSSPPPESRSRPVPSAAMNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLAESRVVSYEAGKALADEIGIPFLETSAKDATNVEKAFMTMAGEIKNRMASQPAASGASRPATVQMRGQPVAQQSSCCS >KQL08807 pep chromosome:Setaria_italica_v2.0:V:47168000:47170890:-1 gene:SETIT_001581mg transcript:KQL08807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDLSKLVEEKRFWVASFLAAALLFTLSRYAAAPSSSPPPSPSYGHRLNALVDLTLVYDRGAVCLDGTPPGYHFLPGFGDGSHNWLLHLEGGSWCRNFKSCAQRKQTNLGSSDHMDTRAEFVGILSDDQSQNPDFYNWNKVKIRYCDGASFSGNVQHEVKNGTDFFFRGQRIWEAVMADLLSKGLSRAKQAFLTGCSAGGLSTYIHCDDFRALLPKTRTVKCLADGGFFLDVEDISGRRYMRAFYNDVARLQDVRKKFPHCSSDMEPGQCFFPREVAKSISTPMFILNPAYDVWQVEHVLSPQGSDPQNLWRNCRMDITKCSSKQLEVLQGFRKALLDAIDEFKTRREWGMFIDSCFIHCQSMKAITWHSPLAARINNKTAAEAVGDWFFDRREVKEIDCEYPCNPTCYNAVLDEPYKED >KQL04071 pep chromosome:Setaria_italica_v2.0:V:6300333:6300923:-1 gene:SETIT_003562mg transcript:KQL04071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIESMAVWHVGERTESSALDVGGARLAFFCAFFRRKRDCPGGSGFGSMRCPGLSGRGQESQKGADSSTDPIRSNQIESAMI >KQL05693 pep chromosome:Setaria_italica_v2.0:V:27036219:27045155:-1 gene:SETIT_000155mg transcript:KQL05693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYSIRGVDVDFPFDAYDCQITYMDRVIESLQQGKNALLESPTGTGKTLCLLCASLAWRRTFGEFLRGGRGGGRGGGGSQQLHYGSQPLGSQQSGDSESQQQHSGYPASQQQHSGYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHSEVSKLRGRAQNNACHFLCKKRRCQHNNVVAEFMKNKPELGSKPFDIEDLVNIGKGKPNGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNSIPWDNAVLIFDEAHNLESICADAASFDLHPNNLTACVAEAHECIKLCSAKRSIENSADKQFDPENYAILKALLMALEKKIGELVIESKELGYTKAGSYIYDFLSELNITSDTSKKLIETIDCASLLLEEGNSAETGPGVQAKTTVSRLESIREILDIIFRGGGQDHAKYYRFHVNEFQQTSGDALKVLGKSSRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHALNSSYRTRETIQYKQELGNAIVNFARIVPDGLLVFFPSYSMMDKCIEFWKNRNHSSSAAENTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLNDSSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKREYLDKQGAASNNNTKMLTGQEWYTQQAARAVNQAVGRVIRHRHDYGAIIYCDERFAWSNYQSQMSYWLRPYIKCYSKYGEVVQGLTRFFRDKATSDPLKLKQTDCNDCIAPVTNKCMPQENLSDLAARAQNECPQITLSVSSTTKRSNFMKLAQITPANRSTLTTKHNSTSMSQLFSEDQLSQDTKVVNMTDDVAVHGHLKEHTFKPLGLKKAKLMDRSKDAVGSDDISAKSPQNIESRTLARYQGEGSTPQSKKCTTEKACGKNEGICEKSEGQESNSGTAFLRLAREKLSGAEYKEFVEFMKALKLKTMHIKDSLEAIAKLFSSPGRLPLLEGFRVFVPKNHLPLYEQLVQKYSVCSA >KQL05694 pep chromosome:Setaria_italica_v2.0:V:27037997:27045155:-1 gene:SETIT_000155mg transcript:KQL05694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVYSIRGVDVDFPFDAYDCQITYMDRVIESLQQGKNALLESPTGTGKTLCLLCASLAWRRTFGEFLRGGRGGGRGGGGSQQLHYGSQPLGSQQSGDSESQQQHSGYPASQQQHSGYPVIIYASRTHSQLRQVIKELKATSYRPKMAVLGSREQMCIHSEVSKLRGRAQNNACHFLCKKRRCQHNNVVAEFMKNKPELGSKPFDIEDLVNIGKGKPNGPCPYYISRELSKSVDILFAPYNYLIDPGNRRSLNSIPWDNAVLIFDEAHNLESICADAASFDLHPNNLTACVAEAHECIKLCSAKRSIENSADKQFDPENYAILKALLMALEKKIGELVIESKELGYTKAGSYIYDFLSELNITSDTSKKLIETIDCASLLLEEGNSAETGPGVQAKTTVSRLESIREILDIIFRGGGQDHAKYYRFHVNEFQQTSGDALKVLGKSSRTLSWWCFNPGLAMEEFLKLGVRSIILTSGTLSPLDSLAMELNLEFPVRLENPHVISPDQIWVGVVPVGPSGHALNSSYRTRETIQYKQELGNAIVNFARIVPDGLLVFFPSYSMMDKCIEFWKNRNHSSSAAENTIWQRICKHKQPVIEPRQSSNFPNAIEDYAAKLNDSSTSGAIFFAVCRGKVSEGLDFADRAGRAVIVTGMPFATPTDPKVRLKREYLDKQGAASNNNTKMLTGQEWYTQQAARAVNQAVGRVIRHRHDYGAIIYCDERFAWSNYQSQMSYWLRPYIKCYSKYGEVVQGLTRFFRDKATSDPLKLKQTDCNGKDIVLNSVIDFFICFYLFYTQVHIHLNILSNFLLKLNPVCLLMIFVKGCRRLPCQT >KQL07894 pep chromosome:Setaria_italica_v2.0:V:42374845:42385209:-1 gene:SETIT_000033mg transcript:KQL07894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDASGDAAGSGRRTRTRGSEAVARSAALDRLRAIKEGRTRAADAVQVKVDAPIYDTVAEEDYNALVARRRKEAGEFIIDDDGLGYAEDGREEDWTHRALPSSSDEGSDGEDGARRKRKQPRPPQPKRPPQQSAAAASLSAAAAMMGKQRIPTMFTSPMFKKPGSDRNKGSALVADSIVDDVIAEFAPDDNDREERRRRVSRVSAPQPPPPIIAHINSEKVVADAETVVRSDTGFETDGVSDHGNDMVVELKPDDEMATKLEEASGSSAHLVVENKSSEELKQEANREVKIEKVHRLNAKIKAEQNRNGGMTSAAAGWMKVCGDGENAGVEGGVASGNADVDESSEFELKDGALPFYILDAYEEPFGANSGTVYLFGKVEVGKRFHSCCVIVKNIQRCVYAIPNRSVFPRESLSGLEKKSTNSDFLPSLRATLHELASGLKSEIADKLSDLNVSNFVMTPVKRNYAFERTDLPNGEQYVLKINYPYKDPVLPADLRGEHFHALLGTNNSALELFLIKRKIKGPSWLSVSKFVTRPSTQRVSWCKFEVAVDCPKDISVLTTSTSLEAPPVVVAAVNLKTIINEKHNVHEIVSASVICCHRVKIDSPMRPEDWQKRGMISHFTVMRKLEGSIFPIGLTKEASDRNQKAGSNVLALESSERALLNRLMIELSKLDCDVLVGHNISGFDLDVLLHRAQTCKVLSSMWSKIGRLRRSIMPRLTKGNTLYGSGASPGIMSCIAGRLLCDTYLCSRDLLKEVSYSLTQLAETQLKKDRREVSPHDIPPMFQSSGELLKLVEYGETDAWLSLELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHSFHAKKFIVPDKFARNKELNSTKRKMNADTEGANADDGAADPSVDDEGHNGDQVKARKGPSYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSSDGNVPSLPASKATGVLPELLRSLVERRRMVKSWLKTASGLKRQQFDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQNTVDLVQNNLNLEVIYGDTDSIMIHTGLDDISRAKAIAGKVIQEVNKKYRCLEIDLDGIYKRMLLLKKKKYAAIKVALDGSLRENIERKGLDMVRRDWSLLSKEIGDFCLNQILSGGTCDDVIESIHSSLVQVLEQMRSGQIELEKYVITKSLTKAPEDYPDAKNQPHVQVALRLRQNGYSGCSAGDTVPYIICSQQDSDNTHSAGIAQRARHPEELKRDPDKYMIDIEYYLSQQIHPVVSRLCASIQGTSPARLAECLGLDSSKFQSRLTESSNQDTSTMLLSVIDDEDERYRGCEPLRLSCPSCSGTFDCPPVSSLITSASPTSVSDSDEAKDATANFWRRMRCPRCPDNVDDSRISPPVLANQMKRQADNFINMYYKGLLTCDDEGCKYSTHSVNLRVMGDSERGTICPNYPRCNGRLVRQYTEADLYRQLSYFCYVLDATRCLDKLDQKARLPFEKEFAAVGQTINLTLMEIQKIRDRCAFGWVQLEDLAVSI >KQL04205 pep chromosome:Setaria_italica_v2.0:V:7211811:7214067:-1 gene:SETIT_002343mg transcript:KQL04205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRLVARHFLSHLRLQAPRPLPVPPLALGMITHCVGPSSSPVVAPQGTRYFADDRSHYDLFGKRRPGDEEFRKAWQEDVDEEDCLWTGSEDEEEEEESDTKLEREIKKVKRQAKENANLIDADDSDELRSICPESDEDDMTLWSGSEDDDDDDIPSEAHPSERSDSYIDKVFEFDESPKYRTISELLKAENEPPELSPGKQARKLAVENALKKLKKGPDGRYINVFDVVTDIDILIGAFENIVSGPEYAELREGGPKKLNIQFFKDIQARMRDPNFKFSPELKLKPKSKLVSRKKWQKAKARKRKNDRR >KQL06165 pep chromosome:Setaria_italica_v2.0:V:31213839:31220897:-1 gene:SETIT_000029mg transcript:KQL06165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGEETEQTENEKDDYGNPVKKLKLSSLVRGFHEDYQYLHKHYKQLISKLENVGYNFSGSDSSDSDTEGDSSDNDVTAPKVAVKEENNLYHKPTEHHNMEAEIEKLKQSTEEQAKEISDLKQLIDKAIKDKEATSSEIANLSSENENMKLLVETAKREEGKLLNTVKSKETEVTTLSCEKKIIEEERDNLKMLIVDMEKEKEDLRNKLNETMDKCNLLSAEVEKAQQAEKEVQTLLEENQKLKNDNLMLLVEHDNLKALHQNLDVECFQLKAAIAEITAKNESLITENHSAERKLQQLGLEIEGLKVEAAELMNNLDKERRTAAEEKERLVSENSMYLNELEKAQSSVKALENELKSTINVMNSNIAELQKDNDSATSEIEQLEASLMNLKTELAQQLERISDMQKTNEGLELANSNLHNEIVELQGQKNEAAASVINLESKLEQQVQEISNLLEANKDLKAAKTDLYNEVTILQEEKNTALTQLQQSEANIKKLQSDLKNAALAQLQQSEANIKELQSDMVQQQNQILLFQRENEELQSTNSRLHKQLEEIRTNLQDEIIVLQGEKEEAINNLHQSNDSVKTLGAQLEQAVEQISVLQLANEDLQNSNSQLKMQQEQAKFIHQAEILAQQDEKNKIISDLQQSEASIKNLVSNIAELQKDNDSATSEIEQLEASLMNLKTELAQQLERISDMQKTNEGLELANSNLHNEIVELHGQKNEAADSVINLESKLEQQVQEISNLQKANKDLKAAKTDLYNEITALQEEKNAALAQLQESEANIKKLQSDLRVEQISDLQLANEDLQKSNSKLKMQLEEAKFSHQAEILALQDEKNKIISDLQQSEASIKILVSNIAELQKDNDSAMSEIEQLEASLMNLKTELAQQLERISDMQKTNEGLELANSNLHNEIVELQGQKNEPATSVINLESKLEQQVQEISKLQEANKDLEAGKTDLYNEVMALQAEKNAALAQLQQSEANIKELQSDMVQQQNQILHFQRENEEMQSTNSRLHKQLEEIRTNLQDEIIVLQGEKEEAINSLHQSNDSVKTLRVQLEQQVEQISVLQLANEDLQNINSRLKMQLEEAKCSHQAEILALQDEKNKIISDLQQSEASIKNLRIELKQGKEQISEMNLSNEDLTNNIAILNKQLEEVRSSLHAEIAQLQAEKGIVLSELQVSQASVRNLESVLEKQSEKISTLDQANDQLQKNICTLTEQSEQTKAELQKEVEATQEEKDTALTQLKQSQTSVQNLENEVTQLKDELSVQLENNSTLEKQLEEAILKVSNLHENLEKAQAEAACQIDDMSTKTKDLEKTIDLLSCRKTKLEEDLKIMIKACIVNMSFMTEFEDRVTQKISDYEAGLVALHQSLKGVTSSCQRLQYAYDEVSSRVSQLEILKRSQIEEIGQLEVKHTETLEKHRLLEEENLSANKVNTKLQKHVQDLEVQLQLAKQKLKVTEAESKCKEDSYAMAVETSQAEIHRLEQLVKQFSGRVSLLEETLMQVKGHAVSGVKVLRKKLHDQLDEQKELVKENDEMSVRLREKEKLVSEMVKNSVEAEAKIVQLEKMVAEKEEELAARNYSDDLVRYIRSHNRPRLPFCL >KQL08001 pep chromosome:Setaria_italica_v2.0:V:43057097:43060938:-1 gene:SETIT_001860mg transcript:KQL08001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTKPLGGELNNSLDTLMVSSGVESKQAQNAGTETSLTGWKDLPMELLLRIMSLVGDDSMVIVASGVCTEWRDALGWGIANLSLSWCQDHMNDLVISLAHKFTKLQVLSLRQIKPQLEDSGVEAVANYCHDLRELDLSRSFRLSDRSLYALAHGCPHLTRLNISGCSNFSDAALVFLSSQCKDLKCLNLCGCVRAASDRALQAIACNCGQLQSLNLGWCDGITDKGVTSLASGCPELRALDLCGCVLITDESVVALANGCPHLRSLGLYYCQNITDRAMYSLAANSRVRSQGRGWDAAKRGSGKDRDRDGLASLNISQCTALTPPAVQAVCDSFPALHTCPERHSLNISGCLSLTSVHCACALHPHRAGRAILSNHAY >KQL04326 pep chromosome:Setaria_italica_v2.0:V:8176306:8177109:1 gene:SETIT_003194mg transcript:KQL04326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNPRVTSSRRKCRKAHFTAPSSVRRVLMSAALSTELRHKYNVRSVPIRKDDEVQVVRGTYKGREGKVVQVYRRRWVIHVERITREKVNGSTVNVGIHPSKVIVTKLKLDKDRKALLDRKARGRAADKAKGKFTADDVAAAAGGAAATGASLQEID >KQL07637 pep chromosome:Setaria_italica_v2.0:V:40949759:40953881:-1 gene:SETIT_001514mg transcript:KQL07637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQWLAEYPHQGADKRPRKRPRLAWDVAPTLFQPPKAIPMLYCGQELINGSFATAFLPPPPIYYTGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLENQEAVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVSIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFKRGVRLDWPEGAASRESMKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPNERLKAREALRHPFFTRCIRRFGF >KQL07638 pep chromosome:Setaria_italica_v2.0:V:40948909:40953881:-1 gene:SETIT_001514mg transcript:KQL07638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQWLAEYPHQGADKRPRKRPRLAWDVAPTLFQPPKAIPMLYCGQELINGSFATAFLPPPPIYYTGPPRNLSPPWRPDDKDGHYVFAVGENLTPRYRILSKMGEGTFGQVLECWDLENQEAVAIKIVRSLQKYREAAMIEIDVLQRLGKHDFTGSRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVREFARQILESVAFMHDLRLIHTDLKPENILLVSSESIRVPDYKVSIRPPKDGSFFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVILGLGWNYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPKHMIVRADRRAEKYFKRGVRLDWPEGAASRESMKAVWKLPRLQNLVMQHVDHSAGDLIDLLQGLLRYDPNERLKAREALRHPFFTRCIRRFGF >KQL04407 pep chromosome:Setaria_italica_v2.0:V:8790377:8793105:1 gene:SETIT_000963mg transcript:KQL04407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPLLTSLSMENSNSHPCTRLSMDPAGSHPASTGSSGGGGATNGVGSGGDREPFVIPLRESARPGAPDINLPLSADPSQPPPSWSLDAFEILDVTLGTHNYESEVVLTLPKSTGNGSATVGVGARKCAKRGDSIWGAWFFFNHYFRPALVEKPKGKVTRDASGCISGFDKSDLRLDVFLVQHDMENMYMWVFKERPDNALGKMQLRSFMNGHSKHGEPSFPFSADKGFARSHRMQRKHYRGLSNPQCLHGIEIVVSPNLSAVPEAELKRWAELTGRELNFSIPSEASDFESWRNLPSTDFELDRPHPPASKSAAHGSHSHKKGLNGSGLNLSTPPSSDDGMDLSPKCAKRRKDFFGHGVEEDCVMANNSCSDREQEVEAHTGEPSWMHEFTGVAKHASGPVTAAKTIYEDDEGYLIVVSMLFSDPHSVRVTWRNTLTHGIVKITCVSTARMPVIKRHDRTFKLTDPFPEHCPPGEFVREIPLATRIPEDAKIEAYYDETGTGLEIMVPKHRVGPEEHEVQVCMRPPHLGDNDLVLS >KQL03329 pep chromosome:Setaria_italica_v2.0:V:1741306:1742270:-1 gene:SETIT_004543mg transcript:KQL03329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWTTPQGWMLVMKSSPSPSSSSSAAAWLWNPRTGDKITLPDVEAGDDDDDDGIPMYCKCLLTHKDATHPGCFVVLFDYKEPNMWYCKVVVDGDGHRGGWRRYTYDIGDYEVPPASPTKDVISSVAAVQGELFFISSHEDMCAITFSSTSSTGDDDDDPEFQYFDVTMVDFPPGMCSGRTWLVESDDQLFLVCVCFVGFDADNVVFDMHTKSWSKGTNCISTF >KQL04236 pep chromosome:Setaria_italica_v2.0:V:7482124:7483406:-1 gene:SETIT_004514mg transcript:KQL04236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNNCDEAGDDITGFSRGLPMAGFYGGWNLGLQPSAQQYPFFQGGWTAALNQGFMPPHAQNQPVVSTVESVQPVVMKESQGDGEGALVAAGRRRKVGPSRSKLSNFSPKEDVFLVKSWLEISYDPIINTGQKKEGFWARITSQYNNKRGSFPERSFRSLQSHWETIKAEASKFAGHMANTSLALSNFADIEEYPFIYMHCWDLLKDEPKWMELNIRGARPGDDDAIADHILTVDRDLETPSCQCSGSKRPMGRDATKRQAKKLASSSPTCPYKKISMWQEENAKKDFRYEQMAAIESQRYDEVRQHNQHMAAIEEEKLRIMRKKADILQTHEEERILGIDLDKCAPCLRMYYEKKQQEILKNIGADRDDSVDP >KQL08422 pep chromosome:Setaria_italica_v2.0:V:45392151:45395126:1 gene:SETIT_002797mg transcript:KQL08422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFCCCFSTEDFEEYVHPSNPIYRQCISLRHFFHNIFGGYTATFQRLESRPSNPAQGAASLGSTNPSASLNDNSLDETYRLVSRPPPYDTDPRYARVQREGLVSRREKSINLAQEESLALRRNASSSGIEHLAAQKKRSSTENEGEYKVHRSESTKSLSAKAYNSSYAAVGSEDEDVCPTCLEEYTPDNPKIITKCCHHFHLGCIYEWMERSDTCPMCGKEMEFCESP >KQL03436 pep chromosome:Setaria_italica_v2.0:V:2354404:2355422:-1 gene:SETIT_002663mg transcript:KQL03436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGDGNGTGPKAHDETTPCGEVPVTDHVFNTSDAKQPYGPASRSQQVSNPANYAVAGKLLLATAGAFAGVLLALVVLHLYSTSRRRRLAGGRQRRLLRSLAIAGGGDDHDGSAPSPRGLEPAVLAAIPVVAVGAGAGGDCAVCLAELEPGEKARALPRCGHRFHVECIDAWFRGNATCPLCRADVVVGPPAAAAPSAPTEDGAPPEVRIDVDGGAAANAPVAPAMARLPSATDRGKARQVFASARFAASF >KQL06273 pep chromosome:Setaria_italica_v2.0:V:32310776:32311648:-1 gene:SETIT_004628mg transcript:KQL06273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHAGDGVDRISALPVDLLHVILARLRRAQEVTRTAALSRRWRRVLPAGDLSLVDDEPEYRLRQRGDTDMDSLLISMKRGDCPRPDQIDAWIRYGTQRVVGDFYLRVAMGSEEPYLTAVKLPEHGRPGSISLHLSCHGIQFPPAAVARYEALTALSLEDVSFAEDKEGRGLSGFVSSSSCCPRLPKSIPERQLVASISSLLLRFPGLRSLRRWRLISPSVESMTLDANASQTDGRLF >KQL03380 pep chromosome:Setaria_italica_v2.0:V:2076491:2077988:-1 gene:SETIT_001509mg transcript:KQL03380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFLTKHGFPCGYRFVPTNLELFSLLSDKIDGAKLRPPHNSIFHDVPILDYHPEELCEKFRKDAEHRCIYFFSRRVFKKQGTGGGAIIPEGKKEPRPVRAARGGTWKASGGGKLLCWPRNKGGFVAGRVVTMVFYDHGVDKSNWGMHEFTVPVDKRLRLSSLPTNFSKYIRFEDLALYRIYILRSGDMEIENAAGSSSLQMLANANDHFSALSTAVAPCLQIQPSWGVFAAGASMTSQTPPQQHPGVDHAHYYHHQPAFGAASAGAAAQQQAHNVSVHGAGLPGYSCQFASPPAPAPMPPAAANPAAHQAPATAAQAHGTRQEAGHFGATHSPCPPPAEQHATATTEPAHAQFADRFKPVEEAAPPQLEDIVLTAEDYRMGMADADEYLGAGIPDWDLRFAPFDRRAQLALHDGGDPGVGGPGVRRTAGDGRR >KQL06524 pep chromosome:Setaria_italica_v2.0:V:33956001:33957256:1 gene:SETIT_002764mg transcript:KQL06524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGELQLLGSWYSPYAIRAKVALGLKGLSYEYIEEYLFSKSDLLQKSNPVHRKVPVLIHGGRPVCESLVVVQYVDEAWAGTGPPLLPADAHDRATARFWAAFIDDKFFQAWRQLMRSTTDERRAEAFKNVVPQVETLEQGFRECSKGKKDFFGGDAIGLADIALGSFLVWIRVVDEVSGTKLLDEAKFPGLAAWAERFLAVDAVKEAMPEFERLLEHYKGFLAKLAAPAGYS >KQL04864 pep chromosome:Setaria_italica_v2.0:V:12530027:12530877:1 gene:SETIT_003564mg transcript:KQL04864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHQAISAHCPSSTSNMSDKCGNCDCADRSQCTKGNSYGVVIADTESRFERREEEVVADAGEHDGCKCGANCACGSNCSCGK >KQL04863 pep chromosome:Setaria_italica_v2.0:V:12530027:12530877:1 gene:SETIT_003564mg transcript:KQL04863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHQAISAHCPSSTSNMSDKCGNCDCADRSQCTRFERREEEVVADAGEHDGCKCGANCACGSNCSCGK >KQL04862 pep chromosome:Setaria_italica_v2.0:V:12530027:12530422:1 gene:SETIT_003564mg transcript:KQL04862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHQAISAHCPSSTSNMSDKCGNCDCADRSQCTKGNSYGVVIADTERYVLLPLILPLRAP >KQL05524 pep chromosome:Setaria_italica_v2.0:V:25366865:25368048:1 gene:SETIT_002747mg transcript:KQL05524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGGGADGQLKVLGAWPSPFANRVRVALHLKGLEYENVEEDLTNKSELLLASNPVHKKVPVLLHGGRPVPESLLILEYLDDAFPAAGPTLLPADPYHRAVARFWAAYVDGKLHGVMVAALTGATEEERAAATADTFAALETLEGAFAECSGGKGFFAGDAPGYLDVAFGAFIGWLRAWDRITGVTLLDAGRIPLLAAWAERFAALDAAKGVIPEPEHIAEFAKVLQARAAAGASN >KQL05386 pep chromosome:Setaria_italica_v2.0:V:23723289:23725909:1 gene:SETIT_002568mg transcript:KQL05386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRVLLRATALGLAAAAAGSLHAVSRWTPPRELSPFVPSVRLMLLESAQGLQAALLGAHPLSGAHLRDVRARAERDLALADVDRAEGGDPAAAADLRLLLALLAARDGRADEALRLYEEAARDAPFDPRPRALAYHLCLADGRQDESVRWSAAYRRLVPVIDGESLVPGLESDETRQLVRELLVAATLGGVCGLGHPEDRAVVMRVACGAVDQGLVAALQDKAPPATERLRLRALRVYLHAKVRLLIEKEAQDMAAGDAEASPVS >KQL05999 pep chromosome:Setaria_italica_v2.0:V:29971526:29972035:-1 gene:SETIT_004767mg transcript:KQL05999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHVAILAVDQEGMEKQKQKQQQLVCERGRRIKAAAELGLARSSRGRQWGRALGRRALAVASAKDPYSFEPVTAPTTGEHQAQKKAASPEEEEEEEEEVEEKVALLRQLVPGGEDMAVEGLLEETADYIAALKAQVGVMRALACLLSGSGLDELTEKPAGLLTPEKSRC >KQL08664 pep chromosome:Setaria_italica_v2.0:V:46561838:46562266:1 gene:SETIT_003742mg transcript:KQL08664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEFSRVFSAFDRDDDGKISAAELRLCMKAALGEDVSAEDADELVASADTDGDGLLSQEEFLKLVQLEAAAEEERCRGLKEAFGMYEMKGEGCITPLSLKRMLSKLGSHQEIDECKAMICRFDLDGDGVLSFEEFKVMMDA >KQL06593 pep chromosome:Setaria_italica_v2.0:V:34490133:34494225:1 gene:SETIT_004652mg transcript:KQL06593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRRGAGSGGVLLLALVVLLALQTPASADKKAPAFPFFSPLCLSQSSCVWPQSQSFQDDGLGAPKDWRGICDKGCDTTFQCNNKLIGARFFSAGLQAELSRPGDQGKLPSKEDLSSPRDYLGHGAHTLSTAGGSFARGAGVFGHGEGTAAGGAPRARVAAYKACFAPGCSDIDVLAAVLAAVADGVHVLSLSLGPEVASDYVSDLIAVGTFFAVQSGVTVVCAAGNSGPQPGTVTNVAPWMFTVGASTMDRDFPAYVRFGDNLAIKGQSLGASTLPLGQAYPIISGETANAAYQPTSNSSLCLAGSLDPAKVTGKIVVCVRGVNGRVEKGLVVKQAGGLGMVLCNDAGSGDSVLADPHLVAAAHCSYSQCVQLFKYLHSTNNPSGYINATDASFGVKPAPAVADFSSRGPNPITPQILKPDITAPGVSVIAAYSGAVSPTELPFDDRRVDYNIMSGTSMACPHVSGIVGLLKTKHPSWSPAMIKSAIMTTATTVANDGNPIPDETGAEATPFSYGSGHVNPVSALDPGLVYDTTLADYTNFLCSLKLTQNPLQDLQGNLPVGLPNLPVNVSVPVDLLLPLFDAAGEPCVCSKSQGPYGRPEDLNYPSIAVPCLSGSGSTTVRRRVKNVGDARSVYRVTVTEPAGVKVTVVPGELEFFGTGEEKEFTVTLDVVDAAAASDYVFGSIVWSDANGFDAYGRPDANRGHRVRSPIVVKTKCG >KQL03640 pep chromosome:Setaria_italica_v2.0:V:3585120:3591012:1 gene:SETIT_002583mg transcript:KQL03640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELLRVLQSSVLAPTPPTSPLSPLLSLLRFLSGVETLGPSPTSSMAWAAATAVATALVVAAAALSPASVASASNAEGDALYALRRALTDPRGVLQSWDPTLVNPCTWFHVTCNRDNRVTRVDLGNSNLSGSLVPELGHLEHLQYLELYKNDIQGTIPSELGNLKSLISLDLYNNNITGTIPKELGKMKSLVFLRLNDNHLTGPVPRELTKISSLKVIDVSNNDLCGTIPTSGPFEHIPLSNFDNNPRMEGPELQGLATYDTNC >KQL07056 pep chromosome:Setaria_italica_v2.0:V:37379789:37386482:-1 gene:SETIT_000184mg transcript:KQL07056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGMARNAVDKATSIDAQLRLLAPQKLSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQECYELAAEYESKLDPKMLDEIGNVLTSLDPGDSIVITKSFSHMLILANLAEEVQIAYRRRIKLKKGDFVDENSATTESDIEETLNRLVHQLNKSPLEVFDALKNQTVDLVLTAHPTQAVRRSLLQKHGRIRNCLSQLYAKDITPDEKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYNAQIEDLMFELSMWRCSDELRVKADQLHRSSKKDTTKHYIEFWKQVPPSEPYRVILSDVRDKLYNTRERARHLLASGYSEIPEEATFTDVEQFLEPLELCYRSLCACGDRSVADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITEYLGIGSYREWPEEKRQEWLLSELNGKRPLFGPDLPKSDEIADVLETFHVLAELPSDSFGAYVISMATAPSDVLAVELLQRECHVKRPLRVVPLFEKLADLEGAPAALARLFSVDWYRNRISGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKLYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPETIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAASLEHGMHPPISPKPEWRALMDEMAIVATKEYRSIVFEEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVLDKDIRNLQTLQEMYNQWPFFRVTIDLVEMVFAKGDPGIASLYDKLLVSEDLWPFGERLRANYEETKQLLLQVAGHKDLLEGDPYLKQRLRIRDSYISALNVCQAYTLKRIRDPGFEVKPRPHLSKDIMDAGKPAAELVKLNTTSEYAPGLEDTLILTMKGIAAGMQNTG >KQL07526 pep chromosome:Setaria_italica_v2.0:V:40319562:40322273:-1 gene:SETIT_004768mg transcript:KQL07526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAISNRACALLLLLLPAMAVLLHGQAARSSAADFPLGGQATVRLPPAPYQPRFAATAVVLDDARGNRRPPGFVAAVSAEADGAGTYTCSLVLFLGGVKVWASDHLEKFAARALCRLELTEDGQLQLTDGAGMVGWLSGTAGQGVKALHLDTKTGNLVLVDAQNRTRWQSLDDPTDKFLRGQHRRLPAYFIASMTDETSSPFYSLELDDDKIAAYIHVGDTSYSYWELAPTANRTMASARLDGSGLKMLDVRGMVAAQVSPPVKKPPLSFLALGGDGNLEMYYYDAQHRGFRVSYRALGFCELPLSCGVHEVCSAAGKCEDFSAYTDGPARAGRNPCYGTGGEACMVHLRGVTTVLRTAAPALAGVTLRQCVVQCASNLSCSAALYVKDNASVAAAADHGVCEHYTLAAGAREVTDGSRRRYSYWVKFPAAGGGDEDVDDDGDSSPGMLSKILMVCGAIDVACAVVFTILVALYFRRLRRLAAAVDRIVELQQGEDEGAGEQNSSDSNGADG >KQL04655 pep chromosome:Setaria_italica_v2.0:V:10473664:10474920:1 gene:SETIT_004620mg transcript:KQL04655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKTASKRKRKRDTPELFDEIVWEILIRLPVKSLVRFRSVSKAWRAIISDPSFETWSTATLVYGRHFPAGEFGTVSQMVHCDGLVLLSTNTKAYVFNPATRDAIALPESKRNALPLHDTCLPIGLGMDASTGRYKVARAFYRPSDDDPTRMAAMGMEVFTIGGEDGSWRETLEDPPYLMASFWQAAAHCKGCLFYFIDKKNHQNPPQGLIRFNLQDETFGITPLIPDLLPQVEDDDIALSELDGELCACFFSKWLQRLMIFTTGDILDRSDDAHWRCRYVIDLPECHPMALHGSGGILMRLGHCLFRYDLGAHGIQGKDDFHWMDRLKYVGLRCRGRAWKNLCFFNLSSYTESLVPLNAKASSQALQ >KQL06530 pep chromosome:Setaria_italica_v2.0:V:33981407:33981874:1 gene:SETIT_005273mg transcript:KQL06530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLSNISRTLLMEGRSAGMNCMHHKPTIIIFLTSSVVPLLISQAVAPQN >KQL03180 pep chromosome:Setaria_italica_v2.0:V:228876:230140:1 gene:SETIT_004195mg transcript:KQL03180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAEASAGGSLVFRGCQLPPGFRFQPTDQEIIVCYLKKKIDAATAVTSIIADVDIYKFDPWDLPDKAAMFGDGEWFFFSPRDRKYPNGARPNRRAGSGYWKATGTDKPILASGRCLGVKKALVFYQGRSPKGTKTHWVMHEYRLLDAAPPMSSNSMRLDDWVLCRVRNKQQLLVPDHGYSSSSSEPTTRAAADIVSSSSSEVVLPDSSSAAFADIHWNSDDHLLRYLIGGGGSGNPSTASASAVAAGHHDNYSAPPPHPHALVSVLESIKRNLSFQAIDELYLLQPPSKRANCIAAAGDDDDDHIQQILSPTSFSISEADEMF >KQL04073 pep chromosome:Setaria_italica_v2.0:V:6310332:6316957:1 gene:SETIT_001171mg transcript:KQL04073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYCASPEGDSALAAASPAATLTFHSPSPAAAAAVARPGYGSCDRRYVKQVFDNLHGSISLDPLALQFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYSLAGKAMNNLKTYQGEELGIDRIDMQTVKLAGLLHDIGHGPFSHLFEHEFLPRINPGSTWSHERMSVLLLDSIVDKHAIDIEDDYLKMVKEMIGASSKSATTKSAKDKHFLYDIVANGRNGIDVDKFDYIDRDCRACGLGSNFQYWRLMEGMRVMGDEICYPAKDYLSIHKLFSTRADLHRTVYTHRKVKAVELMLVDALIEANDYLGISLHANDAEDFWKLDDTIIKSIETAPNDELKKAKEIIQRIRRRELYKFCNEYSVPKDKLEHFKNVTAQDIVCSQKSSEVLLKEEDIAVSNVKIDLTRGKDNPLERF >KQL04074 pep chromosome:Setaria_italica_v2.0:V:6310332:6318219:1 gene:SETIT_001171mg transcript:KQL04074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEYCASPEGDSALAAASPAATLTFHSPSPAAAAAVARPGYGSCDRRYVKQVFDNLHGSISLDPLALQFVDTEEFQRLRDLKQLGLTYLVYPGAVHTRFEHSLGVYSLAGKAMNNLKTYQGEELGIDRIDMQTVKLAGLLHDIGHGPFSHLFEHEFLPRINPGSTWSHERMSVLLLDSIVDKHAIDIEDDYLKMVKEMIGASSKSATTKSAKDKHFLYDIVANGRNGIDVDKFDYIDRDCRACGLGSNFQYWRLMEGMRVMGDEICYPAKDYLSIHKLFSTRADLHRTVYTHRKVKAVELMLVDALIEANDYLGISLHANDAEDFWKLDDTIIKSIETAPNDELKKAKEIIQRIRRRELYKFCNEYSVPKDKLEHFKNVTAQDIVCSQKSSEVLLKEEDIAVSNVKIDLTRGKDNPLESIKFFKDFGCDEKFTITDDRVSHLLPAYNEDRIVRVYAKKPELVEAVSKAFENLQVKMYGEKTQVHDTPKKKRLRSN >KQL04506 pep chromosome:Setaria_italica_v2.0:V:9426140:9431176:-1 gene:SETIT_001038mg transcript:KQL04506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSNHSHIQLPEMDHIQPKNVPHNESLPLGQKLLVHRRSDAPLRIDPSSHGNMTVRSNDLPSSSHAVQPVGYRVGNQGTAHASFVHCPAGSSSSHLAEPAVSYPHRSEEGFPPVSSHMDNRRTAMKRKNPIINPVGFSANGYYVGSSSNTQLSNSVQPNPAPPAEPFLPQMPLSIGQSGWNGQHLIHQEGFQRNVRARHNHNVSLEPRPAPTYTANTIHLPSFGSAASASLGTSVERNQAPVSVPARTVPSGAPGLTSRALERTYYPAIGSINLSVGAVPTVPSSGSATFANGGYAPRTVHGGSVPIYPHPAPAASSGSRAMPHGAVIRSYPPATSAATSTSMRIAQPLPARTAASSRHGRHVSVGHASNGRNRRARSSYYALHPLMLEAERFMMLDQLVFYESRAAAADPHRNMRLDIDNMSYEELLALGEFMGNVNTGLADEKIAKCVREVVCCSSDLTQNDQDDQDDGSCVICLEGYKDKDVLGTLKCNHDFHADCIKKWLQTKNSCPVCKAAAA >KQL06308 pep chromosome:Setaria_italica_v2.0:V:32491748:32492196:1 gene:SETIT_005451mg transcript:KQL06308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIYWLNAIRGSVVALWHLRLIDTIKTFLEEIHTVFLLVFFIR >KQL06991 pep chromosome:Setaria_italica_v2.0:V:36924306:36925562:-1 gene:SETIT_004256mg transcript:KQL06991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAATLLLLLRLAIVAAVLAASAGAAPLDPQQLLALRALGLRAHQRADPCGAAGAAAGAVNASCDAGAPFRRVTSLALTNCSGTTSVSAAALEALAPSLRALAFADCPAAPPRALPPEQLASGLRAFSCTASLRRLSAVWLSHLANLTELTVADTPLATGSPTELAVVVSHMEHLNRLTISNANLSGFLPHHWHCPNLTHLDLSGNRITGAIPDTLTLLAGITHLNLSSNVLNGPIPNSIGDLISLTTLDLSNNTLSGGIPDTVSTLPELEVLNLGSNRLNGSIPLFLAEMRGLRELNLENNDFDGMVPFSAKFLSRLRVFRAAGNGKLCYNRSVLSAEVAVGVAPCDKYGFPVLPPPATARSERSADYDDGGGDGEPYAGADTRGGPSAAVLGVAIGLSCLAFLVILLVCLCKVCR >KQL08285 pep chromosome:Setaria_italica_v2.0:V:44576681:44577357:1 gene:SETIT_003444mg transcript:KQL08285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKAGNAAALVAVLIVAAMAAEAGAIKLCGVDRTAVDACRSYCAVGSAEASPSKACCDKVRPAQWDCLCKFKGSLPDGIDGARVMDLQYKCKCDYPPATCGAN >KQL06490 pep chromosome:Setaria_italica_v2.0:V:33785177:33785927:1 gene:SETIT_004590mg transcript:KQL06490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRARRGLTLEEPKAAGIPKKLAPTICISVDHRRKNLHGQAYVLQISPWQAGDSTPKELATATQKRSFKVVKVTDEMKESRALQKASLGKDEQASLGSNNTCSISSEDVS >KQL04650 pep chromosome:Setaria_italica_v2.0:V:10423458:10426665:-1 gene:SETIT_000320mg transcript:KQL04650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGFTEEEKAVDDAMGYPKAYARLCRGGGGAAVGLPYGHGPPHAFLPYVLQPHEALRAKDLNEMFPVTDAEAPPTANPRGYANLLWKQLDHLGNAGFDPALFRVDAYGNVLYLHADSASPLAWDIDHWFPCARGGKTVPSNLRIVQWQVCRKKQNKLEFLMPWWDLQLGVSVNQFLSIFASKNSDFRNRAFAFLFADGSGEELSSLQVVEAHAFPQHFSEMTRKVGLAPAAIVSTKGPDNSVLKSLDANRPLRPNYPLIASKKFTGEKDENFNLPISGQGPNSAKENNNPDADGYISNPYLSIAMARDSLRHREEAKKKQAELTELENEANELKQKNEEERVAIQGLEALLIKRKRRVEKCHRLAEAQSNYKAVLEKMIRDAMHQSVVYKEQLRLNQAATSTLMARLEAQRAMCDSSETELRKKYQQRDDLERQIKPERKRYRVDDGLLEERHGESVKYLSARKLRSSPLKQELRVFLEEDQRNSDAYISLGEEEIGEGTSTRASAFSNARNEQSKVINFPRRSLSIEQNTVDSERGRTLVREKLEELAIKERRRSRRRERMETMASRGAGTPMRSGDDKDKATMQQCYESETEKYHASETVSVPRTSSLPPSPPYRAVGMHGTPRYPADQSMLLQKSKVHHQHVPRSEDDENMNHVGKGNVDKWLHMLMDNQQEGQAVYHCSDEQHDDEEENASEEQHMQSRIDEESCRNEITECSDEIAEVEGDIASDQGTALCRDSFGIKEREEKKIWFPRSDNSRGFRSLPSSPSKILGMRRGVECIGRKPKVAGEDDCRYGYEDAVSTSSSKFLSRCKQAIKKAVNK >KQL07052 pep chromosome:Setaria_italica_v2.0:V:37345858:37349567:-1 gene:SETIT_002336mg transcript:KQL07052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLANYWSPAFTLVRGGATVGHPLKFNSVVVCGARGPRPRYPRVWKTRKKIGTISKSQKLVECIKGLSNVKEEVYGALDSFVAWELEFPLIVVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRIEEAEELFGMIFSRYMEGLPRTFFMRMISLYYSVGAYNKMFEVFADMEELGVRPDGSIVRMLGDVFQKLDMMDKYEKLKKKYPPPKWEYRYIKGKRIKMKVYPDNKTKELTEGDPGTDELEEAENIHHLGTDELEEAESIHLDDELEEAASSGLDRNVLDDAASGDFEYV >KQL07053 pep chromosome:Setaria_italica_v2.0:V:37346422:37349567:-1 gene:SETIT_002336mg transcript:KQL07053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLANYWSPAFTLVRGGATVGHPLKFNSVVVCGARGPRPRYPRVWKTRKKIGTISKSQKLVECIKGLSNVKEEVYGALDSFVAWELEFPLIVVKKALKTLEDEKEWKRIIQVIKWMFNKGQGKTMGSYYTLLNALIEDGRIEEAEELFGMIFSRYMEGLPRTFFMRMISLYYSVGAYNKMFEVFADMEELGVRPDGSIVRMLGDVFQKLDMMDKYEKLKKKYPPPKWEYRYIKGKRIKMKVYPDNKTKELTEGDPGTDELEEAENIHHLGTDELEEAESIHLDDELEEAASSGLDRNVLDDAASGDFEYV >KQL07337 pep chromosome:Setaria_italica_v2.0:V:39208301:39210887:1 gene:SETIT_001897mg transcript:KQL07337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNSNVKSAGVAQIDGRPVLQPAGNRVAPPEGARPLKKSLHKSLSMPASFDNNAAAAAARPAPENTRAAAAASLLPPATPASVTARATKAAAVAAEKSRVKARKPGAVLPVVTFAALEAFEPAGSIAAAQREHAAQAQAQRKMRIAHYGRTASFSRVEGRVGATAAEPVPASPTGNDEKRCSFITPYSDPLYVAYHDEEWGVPVHDDELLFEMLTLSGVQVGADWTSILKKRHVYREAFSGFNVDAVAKYTEKQMALLSTDFGLDLGTVRGTVNNACRILEVRRDFGSLDKYVWAFVNNKPLSPGYKYSRKIPVKTSKSESISKDMVRRGFRFVGPTVIHSFMQAVGLTNDHLVSCPRHRACSTSAAAAGRAN >KQL07624 pep chromosome:Setaria_italica_v2.0:V:40893103:40894352:-1 gene:SETIT_002442mg transcript:KQL07624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRTDMYNTNLDGSKDYLSVGMASVPAIYAFFAACYVAFLAGWLYITLYRNRLSAHRIHHLMSGLLVARMLYCISAAEDQHYIRTAGTPHGWDVMFYLFQLVKGVILFAVIALIGTGWSFLKPFLQDKEKKVLMAVIPLQVAANIAAAVVGETGPFLQGWVTWNQIFLFVDVACCCAVLFPVVWSMRSLRESSKTDGKAARTLAKLTLFRQFYVVVIGYLYFTRIIVYALKTITNYKYRWVSVAAEEVATVAFYMFMFYMFRPAERNQYFALDDDEEEAAEMALREEEFEL >KQL06907 pep chromosome:Setaria_italica_v2.0:V:36347257:36350261:1 gene:SETIT_001437mg transcript:KQL06907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPCAFVRLTVDQLLLKLPAVQRPSSGAGVHPSTSPCFCTLSLQDHPASLSRTALLPLASAAGAAAAAAHADPVVLSLDAEAVRRLSARPAELVVSVHAGQTGTNCGISAARALGRVRVSVDVARAAAGETVVARDGWVDVGKPGSASSASSAAAASARAQIHMVVRAEPDPRYVFQFGGEPECGPVVYQVPGGAAGGGQRQPVFTCRFSAGRRATRSRSLTPQSSMTRSTSRRLRSWLSGTLHGDGRDGSRASREQRKGWTVTIHDLSGSPVAAASMVTPFVPSPGSGRVSRANPGSWLILQATGAGPSSWKPWARLEAWRERGPVDALGYRLELVFDSGPHECAVPIAESSISTKRGGQFVIDPATFPEATAGAAWPFAGGFVMGSTVEGEGRASRPTVQVGVQRVTCMGDVAVFVALSAAVDLCMDACKLFSQRLRKELCQDQDD >KQL07476 pep chromosome:Setaria_italica_v2.0:V:39928293:39929963:-1 gene:SETIT_003992mg transcript:KQL07476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKMSAALRVPLRAILSRAAPPTARLLLPLHAHLIVSGRLAASPAALTSLVSLYARAPAALHRPTIPLLLAPTDPLPCYNAALSLPHALALPLFRSLRLAHSPDAFSFPPLFSSAPSPPHLLALHGLALRCGLAHDLFCASALLRGCLRFGLADHAHRLFDELTDRDVVVWNAMVNGFAKLGCFDRATECFRKMREAGEVEISSFTVTGILSVCTATADLRGGGTVHGLLVKSGFDKEASVCNALIDLYGKCHQVDDATRVFESLGESDKDLFSWNSMLSALQYSADHVGTMSLFARMRRAALWPDAVTVAAVLPACAKTAALQVGRKVHGYIVTSGLACDGAMDVFACNALADMYAKSGGLDEARRVFDWTRQRDVASWNIMIDGYASHGRGQEALKLFHQMIEEGLVPDEVTLLGTLSACSHSGLVEEGKDFLKRMKEEFGLEPQLEHYACVTDMLGRAGWLDEARRVVQEAGDVGAGAWRTYLAACRMHGDKERAEEAARMLMMAEESGSGGWVLLANTYGWEGNFKELEEVRGEMKRQGVQKATPGCSWVEVG >KQL06430 pep chromosome:Setaria_italica_v2.0:V:33318026:33318301:-1 gene:SETIT_004887mg transcript:KQL06430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSDTCTCTIRPCLQWIKTPEAQANATVFLAKFYGRAGLLNLLAAAPADHLRPVVFRSLLYEACGRIVNPVYGSVSLL >KQL07895 pep chromosome:Setaria_italica_v2.0:V:42387529:42389811:1 gene:SETIT_004192mg transcript:KQL07895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGTSHARRFLPLLPLLIHACVLLRTPPAVRADRTGYIVHMDKSAMPPHHSDHREWYAATVASATDASADGRAEPQLLYTYDDALHGFAATFSASELRTLRATLGFVSAYPDRRATTLHDTTHSMEFLNLSSVGGLWPAANFGEGVIIGMIDTGVWPESASFDDADMPPVPSRWRGTCEPGVEFTPSMCNRKLISARYFNKGLVAANPNVNISMNSTRDTDGHGTHTSSTAGGSAVPCASFFGYGSGTARGVAPRAHVAMYKVIWPEGRYASDVLAGMDAAIADGVDVISISSGFNSVPLYEDPVAIAAFAAMERGILVSASAGNDGPGLGTLHNGIPWLLTVAAGTVDRQMFAGTVYYDDMLGTINGITTYPENAWIVYKRLVYNDIVSSCDSKEALANSTTAIIVCRDTGSLLDQINTVAEAGVAGAIFISDDADFDKEMPLPAVIISPEDAPRLLGYINSSPVPTATMKLQQTILGTRPAPVVTSYSSRGPSPSYASVLKPDILAPGDKILASVAPVRPYAMVGQTQLTSNFLVDSGTSMACPHASGVAALLRAVHPDWSPAMIKSAMMTTATTTDNTDSPITDAGSGNATIASPLAMGSGHVDPNAAMDPGLVFDAGPEDFVALLCAANYTNAQIMAITRSSAAYNCSSASSDVNYPSFVAIFGANATSSDMRFKRTVTNVGAGSTVYHASWVSPRNVDVSVSPATLEFSTVGQTASFEVDIKLSAPTGGEPAFGAVVWADVSGKYRVRTPYVVL >KQL04404 pep chromosome:Setaria_italica_v2.0:V:8774666:8778759:1 gene:SETIT_002083mg transcript:KQL04404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMRDFARAHHVAFFDAMATELPDDYASQEVNHLTLGYFAVGGLSLLRELDRVNKDEIAKWVLSFQVHPEAHGDEDNGLFYGFCGSGSTKFPLPNVKEPCHSGSHLASTYSALSILKIVGYDLANIDSKALLSSMKKLQQPDGSFMPIHIGAETDLRFVYCAAAICSMLGDWTGMDKLKAKEYILNCQSYDGGFGMVPDSESHGGGTFCAVAALHLMGFLQEDLASNLRDSASIDIRMLLEWCLQRQVTDGGFQGRRNKPSDTCYAFWVGGVLKIIGAYHLIDRCALREFLLTCQSPYGGFTKFPHIRIPDIYHSYYGLAALALLEEEGLEPLCVELGILSAAL >KQL06485 pep chromosome:Setaria_italica_v2.0:V:33740539:33743936:-1 gene:SETIT_001858mg transcript:KQL06485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAISHRIAGSGPRGAAVAPPRPGRRAAVIAAATAKGAQRARALEGASDELRAAAAQCLDWAPARRRVRAAFAPVLPNLDHCLFKMAPKEIHMEENYEKNSKGVEIFWKSWLPREGTATKAALFFCHGYGDTCTFFFEGVAKRIAAAGYAVYAMDYPGFGLSYGLHGYIASFDGMVDHVIEQYARIRGMKEVCELPHFLLGQSMGGAVALKVHLKQQKEWDGVLLVAPMCKISEEVTPPAPVLKALNILSCLLPEAKLFPQKDIGDLAFRDPRKRKVAEYNAISYSDQMRLRTAVELLKATKDIESQLEKISSPLLILHGAADMVTDPHVSKFLYEKASTKDKTLKLYEGSYHSILEGEPDDRISTAINDIISWLDSHC >KQL06932 pep chromosome:Setaria_italica_v2.0:V:36512565:36514224:1 gene:SETIT_004834mg transcript:KQL06932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVMRWLKHLLTGRKEEHKGLEANHAASDWSDGAEQKKRWSFAKRRKSGADGGARQPAVAAATAESRLVRLEEDVRATEEKAAVVIQKTFRGYLARRALRALRSLVKIQALVRGYLVRKQAAMTLHRLQTLMRLQADSIAVKNASYRKSVEQERIFAQEVRMKPPSTPAHRRRLSDSTESNYERSPRIVEMDTCHLRSRSSRMTSRYNPDHPSEYSRLAAPTPSCSPLPGGKQQPARLSFGRSAGHERDPRGSKTAQNTTRFAPSHDSSPANSVEHSLASSRTPLRASQRDRDALVSPRYMAGTASSAARTRCHSAPRQRLTTLPPAEAPGAS >KQL06267 pep chromosome:Setaria_italica_v2.0:V:32238053:32240295:-1 gene:SETIT_004345mg transcript:KQL06267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWGQGAKRPILGLLFRAQQQSCRGHRYSSSTFQAHQLGTHVPQDGVFLRRFSSEVPASEQMNLIRQLRQRTSAPIKDVKASLVSCNWDIEAAHKDLRKRGVALAAKKSSRTAAEGLLAIAQDEKRAAVASSLAKMVLSAQGPGELFLPFGPEYMENMSINLDHPKLNGETTVQSAVTEVDAMVGENVKLRRGFMLSTTGHGVVSSYMHTCPPPGLGRIAGLVTLEAEDSSSLLDALKRVPLENERDILRTQAESSGKSQMAMDKMVEGRLRKYFEEVVLMEQKYILNDSINIKTVLNDLSKEVGSKVTIGKFIRMQVGEGIERYNIIVPHVISADKCFFFLD >KQL07683 pep chromosome:Setaria_italica_v2.0:V:41211709:41212116:1 gene:SETIT_003623mg transcript:KQL07683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELFAAEEKSSRLFRKRGGGGGKGAMGVRADGSGKGRSFSGRCARLVKEQRARFYIMRRCVTMLVCWREYA >KQL08764 pep chromosome:Setaria_italica_v2.0:V:47000433:47002525:-1 gene:SETIT_003449mg transcript:KQL08764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEANGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >KQL08505 pep chromosome:Setaria_italica_v2.0:V:45741049:45744473:-1 gene:SETIT_001316mg transcript:KQL08505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNDDGDLQRVTGPSELPPPAPPTVKKKRSLPGTPDPSAEVMALSPRTLMATNRFVCEICHKGFQRDQNLQLHRRGHNLPWKLRQRGGGDGAAAGGGGPPRKRVYVCPEASCVHHNPARALGDLTGIKKHYCRKHGEKKWKCERCGKRYAVHSDWKAHAKVCGTREYKCDCGTVFSRRDSFVTHRAFCDALAQENNKLAQPMNMATVASALQGHAHHLALPPSHADDLDAAAEDDADADFNLDTKSPQLRLQPTTMSDAANTPFLPPPLSMAGCMLSSLAAARPAPSPSTTFFSGAKIGIDGPSGPTGTMGFSPAGSASMSATALLQKAAEMGATTSGGYGAGFPTTVGFGPMLGGPDRFPSPFGPLKAAMEPPYDGQPLLGQTQLVGLDVGRLLLPMPPGQQLYGGHGGGVGSMTRAIGSLMHGGQQQQQMVEHRRPDDLRVVDYLGVDEQRSFSGVSSFGPHIGPWT >KQL07999 pep chromosome:Setaria_italica_v2.0:V:43044036:43051300:-1 gene:SETIT_001932mg transcript:KQL07999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRPDERFAVLRSIGEECIYEDGLCLMLKKKLDPICYVWFEPSPIMDIEQGILKTIYVNKMVTAGCTVKILMADWFLQRHPRIGNDLNKIRAIGCYNIAMWEAAGMYLDKVDIKWLSDELNHRALDYWPLAMDVSRKYTMKRMASYSSYMAPYGPERLPAAEIIYPCMQVAAVLCQKADVWLFSMDQRNTIMLARDYCDDIFKENKPTILLHRVLPNLLEDPDFQDERDPGRTIFMLDEEDDVNEKISSAFCPPRVAVYNPCLEYIKSVAFPWFGNLEVVQKEGNGSNKIFSSMEELIVDYESGDLDSTDVKLALQKAINNILELVGEFFRSSTEAQALITAPKFQDQITADIRKIQMQNKEFASL >KQL03699 pep chromosome:Setaria_italica_v2.0:V:4140670:4143957:-1 gene:SETIT_003599mg transcript:KQL03699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEEGNEAVQQWEDDWDDDDVNDDFSLQLRKELEGASAQKS >KQL03700 pep chromosome:Setaria_italica_v2.0:V:4140670:4144127:-1 gene:SETIT_003599mg transcript:KQL03700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPAPADAKAEAAKMDLLEDDDEFEEFEIDQEWDDKEEGNEAVQQWEDDWDDDDVNDDFSLQLRKELEGASAQKS >KQL03766 pep chromosome:Setaria_italica_v2.0:V:4462161:4465126:1 gene:SETIT_002997mg transcript:KQL03766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALARRARGSAAAAAALWGAARGFASVGSDIVSAAPGVSLQKARSWDEGVATKFSTTPLKDIFYGKKVVIFGLPGAYTGVCSQAHVPSYKNNIDKLKAKGIDSVICVAVNDPYVLNGWAEKLQANDAIEFYGDFDGSFHKSLDLEIDLSAALLGRRSHRWSAFVDNGKIKSFNVEEAPSDFKVSSAEVILDQI >KQL08157 pep chromosome:Setaria_italica_v2.0:V:43826501:43830177:-1 gene:SETIT_001374mg transcript:KQL08157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGATLNWVKTPFDSRRFHDLSSLSFRCRNPFGPIQRCWLPTDQDSSLTKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERSRKKDLLLTDVETARTVVEGNSKGLLVFPARVHNEPHGNVAWSEFQYVIDDYGDIFFEVPDSENLLEDDTANNPVTVLIGMDGPIIGESSVAISDFSDYMDGEKFMDVPDEHYSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDNRGEKMDSPSNSVSIVGYLRPAFIEEESYLRSLFHAESNSDGYSSDWKVNETDGYNTETRPISGTNHLVDDDKSRFDFNDTGTSTGSMIYKLEIMTIELFSMYGKQLMIDPQDFQDSEPDILANSASAIIERIKENSDQCAVALRSLCRRKKSLTVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRYQRKSVKTSTEDESQPIS >KQL08156 pep chromosome:Setaria_italica_v2.0:V:43825817:43831356:-1 gene:SETIT_001374mg transcript:KQL08156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGATLNWVKTPFDSRRFHDLSSLSFRCRNPFGPIQRCWLPTDQDSSLTKVRVAADYSDSVPDSKYTRDRGYHPLEEVKERSRKKDLLLTDVETARTVVEGNSKGLLVFPARVHNEPHGNVAWSEFQYVIDDYGDIFFEVPDSENLLEDDTANNPVTVLIGMDGPIIGESSVAISDFSDYMDGEKFMDVPDEHYSKIDTEITDILIEWGMPATMRAIHPIYFAKCLTKAVHDNRGEKMDSPSNSVSIVGYLRPAFIEEESYLRSLFHAESNSDGYSSDWKDGYNTETRPISGTNHLVDDDKSRFDFNDTGTSTGSMIYKLEIMTIELFSMYGKQLMIDPQDFQDSEPDILANSASAIIERIKENSDQCAVALRSLCRRKKSLTVEEASLIGVDSLGIDVRAFSGLEARTVRFSFNAQALSERSAEKKIRRMLFPRYQRKSVKTSTEDESQPIS >KQL07512 pep chromosome:Setaria_italica_v2.0:V:40158722:40160302:1 gene:SETIT_001705mg transcript:KQL07512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSAIISSIDSRKSRLGSRDVFTTAKLSARGGGLVRAGERGVAAGGLHFLDDAMRGVFARVLRRRADDTDRLGLSLLCSGGGGGVRWVACFRTSMCLSSSFSQPSGSLPAGLVVIGGSVFSGLLLVRRSMMISVAEDEVPRPRRVVVGRPVREPRAAVAVPRRARERPRRGPARAGRRGSGAAPRAHVPELEEPRVRDHVGQPELVPPHGWLAGCCNLTEECRMTARETASAFTVLLLFAGLLFLLACSVRGAEAQGRRWRGRGEQKWGGAREESEERRGREAPFVLALARRLPPAIPLCGVGPHTGYSRRGKATAGAQTERDGGRSGSRSGQARPKRRRKQAATPCHARTHEGIISFAGVAQSHCTVPLLRIALLIVNLKRTSSCCHAQCDYPLAIDLPM >KQL04803 pep chromosome:Setaria_italica_v2.0:V:11966863:11967297:1 gene:SETIT_005279mg transcript:KQL04803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDQSTLLPSYMSGLFFWGTNELVVAVLVLE >KQL04426 pep chromosome:Setaria_italica_v2.0:V:8891141:8894809:1 gene:SETIT_000145mg transcript:KQL04426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAMRVMSLLPATIAVMIAAASWGAHGGASDEASALLAFKAELAGSGSGMLASWNGTAGVCGWEGVACTGGQVVALSLPSYGLAGALSPAIGNLTFLRTLNLSSNWFQGEVPASIGRLARLQTLDLRYNAFSGTLPSNLSSCVSLLLLDLSSNRFHGRIPVELGDKLTSLQKFSLGNNSLTGAIPGSLGNLSSLIYLDLTENHLEGPIPHELGSMGGLQVLFLYENRLSGVLPHSLYNLSSLKNLVVGNNMLSGTIPADIGDRFAGMEVLDFSSNRFSGAIPPSLGNLSALTLLNLPGNDFIGYVPSALGKLQSLTDLFLSDNRLEANDSQGWEFITSLANCSQLQYLVLGNNSFSGQLPNSVANLSSTLQHLYLGDNMISGNIPINIGNLVGLTVLDMANTLVSGQIPESIGQLRNLVGLGLYNTSLSGLIPSSLGNLTQLNNLYLYYGNLEGPIPSNLGNLKNVFAFDLSTNRLNGSIPIEVLKLPRLSWYLDLSYNSLSGPLPTEVGTMVNLNELILSGNKFSGTIPASVGNCISLVKLLLDNNLLEGSIPQSLKNLKGLALLNLTMNKLSGSIPDALASIGDLQQLYLAHNNLSGSIPKVLQNLTLLAKLDLSFNDLQGEVPKRGPFANATHLSIDGNDELCGGNPQLHLAPCFTAAAGKNRRRMSRSVMVTVASICALLFLGLVVFLIHLIHKTLRQGKENQLIPTVIDEQHERVSYQALANGTDHFSEVNLLGQGSYGAVYKCTLHDKGITAAVKVFNVRQSGSTRSFVAECEALRRVRHRCLIKIITCCLSIDHQGQEFKALVFEFMPNGNLNDWLHPASKIQSLSNTLSLAQRLDIAVDIMDALDYLHNQCQPPIIHCDLKPSNILLAEDMSARVGDFGISKILPDDTSKTLLNSVSFTGLRGSIGYVAPEYGEGRAVSTLGDVYSLGILLLEMFTGMSPTDDMFKGSLDLHKFAEAALPDRALEVADPAIWFHEEAKGEDPATVRSRSERCLASVVGLGVSCSKQLPRERTAMRDAAAEMRAIRDAFLVQCATETWPAVGTTGLGSADS >KQL06996 pep chromosome:Setaria_italica_v2.0:V:36952981:36953399:-1 gene:SETIT_004637mg transcript:KQL06996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRPVFPKSSKPNHSLAVSLATSTHFASRRIEGREEEKMGGGMEVHKNRWIEEWNAGRENLEFNFRWTRRSLAVVGLFGLAVPILVYKGIVREFHMQDEDAGRPYRKFL >KQL08687 pep chromosome:Setaria_italica_v2.0:V:46698147:46701328:1 gene:SETIT_001067mg transcript:KQL08687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEMGTNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIKLKEIVTSPGPERDEQGKPIDGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGHLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLTKIFELCGTPDDVIWPGVTKMPWYNNFKPPRPLKRRVKEFFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLNHPPPHSRLPPIQQPGQAHPQIRPGQGMHNAPPVAAGSSHHYAKPRGPGGPNRYPQGGNQGGGYNPNRGGQGGGYGSGPYPQQGRGPPPYPGGGMGGAAGPRGAGVSGYGAGGPNYQQGGPYGASGPGRGPNNYQGGSRNQQQYGNWQ >KQL08688 pep chromosome:Setaria_italica_v2.0:V:46698147:46701328:1 gene:SETIT_001067mg transcript:KQL08688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAPGQLNLDEAPSWGSRSVDCFEKLEQIGEGTYGQVYMAKEMGTNEIVALKKIRMDNEREGFPITAIREIKILKKLHHQNVIKLKEIVTSPGPERDEQGKPIDGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGHLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLTKIFELCGTPDDVIWPGVTKMPWYNNFKPPRPLKRRVKEFFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLNHPPPHSRLPPIQQPGQAHPQIRPGQGMHNAPPVAAGSSHHYAKPRGPGGPNRYPQGGNQGGGYNPNRGGQGGGYGSGPYPQQGRGPPPYPGGGMGGAAGPRGAGVSGYGAGGPNYQQGGPYGASGPGRGPNNYQGGSRNQQQYGNWQ >KQL08689 pep chromosome:Setaria_italica_v2.0:V:46698519:46701328:1 gene:SETIT_001067mg transcript:KQL08689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSAQFPITAIREIKILKKLHHQNVIKLKEIVTSPGPERDEQGKPIDGNKYKGSIYMVFEYMDHDLTGLADRPGMRFTVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSSDHNGHLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLNGKPILPGKNEPEQLTKIFELCGTPDDVIWPGVTKMPWYNNFKPPRPLKRRVKEFFKHFDRHALDLLEKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPKYEASHEFQTKKKRQQQRQAEEAAKRQKLNHPPPHSRLPPIQQPGQAHPQIRPGQGMHNAPPVAAGSSHHYAKPRGPGGPNRYPQGGNQGGGYNPNRGGQGGGYGSGPYPQQGRGPPPYPGGGMGGAAGPRGAGVSGYGAGGPNYQQGGPYGASGPGRGPNNYQGGSRNQQQYGNWQ >KQL07747 pep chromosome:Setaria_italica_v2.0:V:41539471:41541539:-1 gene:SETIT_001686mg transcript:KQL07747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAPETAVSPAPQPEPPSDPPAVADAAHSNPPPMEVDTQTLAEPQPQPQPNPPSPPPSGEDDDVVAVSGASVGGDAADSAAASAAATATAEERVRGPWSPEEDTVLSNLVEKLGARNWTLIARGIPGRSGKSCRLRWCNQLDPQVKRKPFTEEEDRIIIAAHAIHGNKWACIAKLLDGRTDNAIKNHWNSTLRRRYCNDGRCKHGGSVERSTPEVSRAVSKEPWPSKDLSSFTAMDVRDAPVKTVPETSAGAWHVADQYYSTQAVDPPYLSRPAAKIGAFRPYNPGNAEPTQQETPSSVFKFDSTLKALTPESEVFKFADPTYFAAEVPNKCGHGCCTTHGRPRKNSLLGPEFNEFEDHPPILNSSFASLVSEISSIAWMRSGMQSGDASSLLQSAPPGASLTN >KQL03207 pep chromosome:Setaria_italica_v2.0:V:343607:348407:-1 gene:SETIT_000418mg transcript:KQL03207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVTPSKRPFQKNSSDHNGRGKWQKTKHSSSHKSQFKIEPGVPIFRILCPASKSGNVIGKEGSIIAKIRQETGVRIRVDKAVLDCDERVIFISAIEKDVEASREQFGKNDGGVAVSARGDHEKDKVNSKEKKDDPEKNLSKGEEDGSEGDYRNEEKDDSEKDNSKEQKGDLEKENSKEDKDDSEKDHSNKEEKDDDSEKDHSKEEKDDDSEKDHSKEEKDDPFVAIDMKSEPERAVPSALKAILFVFDRIFAAEDDNETGDASGASTSVSLRLLVLYSQAGWLLGKGGSVIKQMSVDNGCEIRVSKDNLPPCALSNDRLCQINGEVDSVRKGLNAVAELLLAHPPKESDVVAGIHSSGSSSRSLFSQSDGFPSGMQSNFHFPLQGPSQANGPFDTIDRQPNIGPFPIIHEAPMHNHASVPIEPLTFRLLCSKDKVGSVIGKGGNTVKTIQNDTGCEIKVLDTVPKTDDRIISISGPAHPGDGISPAQNAILHVQRKLMLPASDKEGPAMCRLIVSPNQVGCLLGKGGSIITEMRKLSGAFIVVLSKDKIPMGVPEDDEVIQISGGCDAIQEALMQITARLRNHLFRDRMPALGPNMRPPFGLLDSQFGPYVGNHESSSLFHKDFMGRPLDGISAPWTIKGIRDVGDPMSIPDIPGAEHRELGGFSGPGQSSMMPNLTAEVFVPRLVIPALCGEDGGCLDRIREFSEAKIAVAEPIADAMDTAVLISGTPDQMHAARSLVQAFVISESFAP >KQL03208 pep chromosome:Setaria_italica_v2.0:V:344140:347607:-1 gene:SETIT_000418mg transcript:KQL03208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVTPSKRPFQKNSSDHNGRGKWQKTKHSSSHKSQFKIEPGVPIFRILCPASKSGNVIGKEGSIIAKIRQETGVRIRVDKAVLDCDERVIFISAIEKDVEASREQFGKNDGGVAVSARGDHEKDKVNSKEKKDDPEKNLSKGEEDGSEGDYRNEEKDDSEKDNSKEQKGDLEKENSKEDKDDSEKDHSNKEEKDDDSEKDHSKEEKDDDSEKDHSKEEKDDPFVAIDMKSEPERAVPSALKAILFVFDRIFAAEDDNETGDASGASTSVSLRLLVLYSQAGWLLGKGGSVIKQMSVDNGCEIRVSKDNLPPCALSNDRLCQINGEVDSVRKGLNAVAELLLAHPPKESDVVAGIHSSGSSSRSLFSQSDGFPSGMQSNFHFPLQGPSQANGPFDTIDRQPNIGPFPIIHEAPMHNHASVPIEPLTFRLLCSKDKVGSVIGKGGNTVKTIQNDTGCEIKVLDTVPKTDDRIISISGPAHPGDGISPAQNAILHVQRKLMLPASDKEGPAMCRLIVSPNQVGCLLGKGGSIITEMRKLSGAFIVVLSKDKIPMGVPEDDEVIQISGGCDAIQEALMQITARLRNHLFRDRMPALGPNMRPPFGLLDSQFGPYVGNHESSSLFHKDFMGRPLDGISAPWTIKGIRDVGDPMSIPDIPGAEHRELGGFSGPGQSSMMPNLTAEVFVPRLVIPALCGEDGGCLDRIREFSEAKIAVAEPIADAMDTAVLISGTPDQMHAARSLVQAFVISESFAP >KQL07566 pep chromosome:Setaria_italica_v2.0:V:40530240:40530806:-1 gene:SETIT_004549mg transcript:KQL07566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEALRWRYGDVDDGNFAVHGRGVPVLVALFGVLVCFVAICLYLRWACHRYNDRRGVSAALPRYWASSPGSSSAAPLGSTASVSPGLDDAAIAGLPVTLYRRPGGGAGAGAAADDAAAQCCPICLGELVEGDKVKALPRCGHGFHPECVDAWLRAQASCPLCRASLLAAATATKPPGDGIGSDEAV >KQL05055 pep chromosome:Setaria_italica_v2.0:V:14903569:14905740:1 gene:SETIT_003096mg transcript:KQL05055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPATPAPAAAPSKGKSSAQNPSGHHAATPGPSGTPSKGKSAAAQAAAAGQASSSHHHAAGGADASATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVHKAQNVASKRGKLLTEDFLYLIRKDMRKLHRATELLSMNEELKQARKAFDVDEETLATNAV >KQL05054 pep chromosome:Setaria_italica_v2.0:V:14903569:14904788:1 gene:SETIT_003096mg transcript:KQL05054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPATPAPAAAPSKGKSSAQNPSGHHAATPGPSGTPSKGKSAAAQAAAAGQASSSHHHAAGGADASATTLKRKRGVFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDLVSLFCVSVFCAMSAMLCSLIVRLC >KQL05430 pep chromosome:Setaria_italica_v2.0:V:24320824:24322206:-1 gene:SETIT_003826mg transcript:KQL05430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHHLINAYGLPDDMDLLRVAPATEEDLKVAHSEEYLDLLCHLMPAIYENAAATQKAAQQHGLGEVRDRRTGCSTNDNPVIDDIWDYCLCYAGGSLAAARALASGRYRVAINWSGGMHHACEGKASGFCYVTDAVVAIKVLLGRFGRVLYVDIDAHHGDGVESAFLEQSRVMTVSFHQYDGRGFFPGTGGALYRALNVPLEAGTGDDEYHRLFGPIMERVMQVFRPDAVVLQCGTDSLAGDRITGLQLSVRGHAKCVHLIRSYDVPLLLLGGGGYTINHVASCWCYETAVAIGKEIPDDIPQYGFQRYYKSQGYKLHYYHDAHSSNSNDDARTKRVTKVKQRVMAHLDHLAALMAAPSTQPDEEPACPAGREDPAERLHRRCGNLDLTEFLIDLGRKQLKRRNIDLELNC >KQL07330 pep chromosome:Setaria_italica_v2.0:V:39158951:39160639:1 gene:SETIT_003053mg transcript:KQL07330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDPTLGAVLSHGGAGGGAVGGGDGGGDAHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPVEAALAYDRAARTLRGAKAKTNFPDHAGGGGRHRHQQQHLHLARPFSRQPLPQAVPFGGVDLDCPSPWHFVYLQPEAADTALPLAATQPAAAPPPSTALELSTGQTRGGLPFDLNEAPSC >KQL08590 pep chromosome:Setaria_italica_v2.0:V:46190925:46193836:-1 gene:SETIT_001105mg transcript:KQL08590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTLKSLSMDYLNLLINGQAFSDVTFNVEGRLVHAHRCILAARSLFFRKFFCGGAAADQAAAAAAPPGALLLDHLSPRSPSGASASSPRGAGVSAAAAAAPGAVIPVNSVSYEVFMLLLQFLYSGQVSLVPQKGEPRPGCGERGCWHTHCAAAVDLALDTLAAARSFGVEELALLTQKQLAGMVEKASIEDVMKVLMASRKQDLHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIDELRLKTSMSRRSPFLAHHHPHHAAGIEASSAGELDDHHKIRRMRRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAADVNHPAGPAGKTPLHVAAEMVCPDMVAVLLDHHADPNVRTVEGVTPLDILRTLTSDFLFKGAVPGLAHIEPNKLRLCLELVQSAAMVMSREDAHTATAAVNAAPMYGEPSGGAGGAVYNASGTNSSMVNLSLDNRMVYLNLGMDAQFGKMGDGGDGDDGGSRGQGGPSSLFSPHGYH >KQL06068 pep chromosome:Setaria_italica_v2.0:V:30443949:30447620:1 gene:SETIT_000693mg transcript:KQL06068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWKDFYTVLTAMVPLYVAMILAYGSVRWWRIFTPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNLRFLAADTLQKLLVLAALAAWSRLPSGLGAPRLDWSITLFSVSTLPNTLVMGIPLLIAMYGPYAGSLMVQVIVLQCIIWYTLLLFLFEFRAARMLIADQFPDSAAAIASLRVEPDVVSLEGGRAETEAEVAEDGRLHVTVRRSSVSRRSMLGVTPRPSNLTGAEIYSMSSSRQHSPRGSNFNHADFFAMVDGAPPPPTPAGGRGSSFGAAEVFSMHSSRGPTPRQSNFDEHSASARSSKPAAAAAVPSHDAKELHMFVWSSSASPVSEVSGLPVFTGGAAVNVGAKEIRMVVHADLPQNGSAGKENENDGAVSATAVEGEAFRFSGGKTVEDAEAGKAGGAPEALTKLGSSSTAELRVKDVDGAADGGGGYADAGRAGAHQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLAWSLIAFRWHISMPAVVAKSISILSDAGLGMAMFSLGLFMALQPNLIACGWRATGISMGVRFLAGPAVMAAASLAIGLRGSLLQVAIVQAALPQGIVPFVFAKEYNVHPAILSTMVIFGMLIALPITLLYYIVLGLGPV >KQL04043 pep chromosome:Setaria_italica_v2.0:V:6087543:6091425:1 gene:SETIT_000592mg transcript:KQL04043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGDVLLDLDKLPIKRLEAIDEAGNEHYPPDTSNKEQHLAAIRRIDFSWVIEKDAKKAKKAAEADTAQQAWPWQGLMESLQQAQQELSVVIDLIGTVEANDAVAVASTTKPKSQPNEILVDMAVSAATKLQRLRHLSRYFKQSAKTMEQQFQKETRFYNSLIRLQQNWKVKRQRVVGSGPGSEGFMFDLVDSYQLDTTTMPRVSPLSLVPIDQDSSGTLSVQIPQKSFRSLSLQFYGDTANNAESSASKKKEDTLSSSSSETDKDALENDDVNKSVKQAHSILRDIHKSIFEEQVFDMVIRDTFTQSQGINVTGMCEDFLQLAIGQECSLCLLLVPSGQNSDSETVGQEDHMDSEYSGNLAVATVNGKQESLNKDLRGFPNPKSLEIYLLHMFHEKIVRKIREKSRFVVRYQSPAQIAPEECGLLGHFCMTVAHRIFSNKVHLELESVVSRVPYLHLCSLPTWHSRTSSWSLCLKVPQPILAADRITKPSDVHEPRYKSRSQFNTKVILKDGQISLMGEGSPSIAGSLTGKPSDGRLINSYNCDLEDLPMMLLQQVASQVIHWLHEEAMVLGMNVTRDFLCLYFDLDQGETLGLVAHVDPDDAYGCISWYLTVDHPTEEGKMSADNLELEKRRFLGYLSLEVLYSTLMDLIKLCSTGVQH >KQL04617 pep chromosome:Setaria_italica_v2.0:V:10226520:10226987:-1 gene:SETIT_005600mg transcript:KQL04617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVRWSPQTACHAAKNAFSTVRRTEGNQKCKKRHDCSRSKRFNSINGVRAVTCASSLLPSPCAWKLRTL >KQL05577 pep chromosome:Setaria_italica_v2.0:V:25920478:25925003:1 gene:SETIT_001225mg transcript:KQL05577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAARLRLALLLYVFMCAAWARPGLEPAIRLPSERAAAAGEGTDDAVGTRWAVLIAGSNGYYNYRHQADICHAYQILKKGGLKDENIIVFMYDDIAHSPENPRPGVIINHPQGGDVYAGVPKDYTGREVNVNNFFAVLLGNKTAVSGGSGKVVDSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVNVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNDINVYATTASNADESSWGTYCPGESPSPPPEYDTCLGDLYSVAWMEDSDFHNLRTESLKQQYNLVKDRTSVHNTFTYGSHVMQYGSLNLNVQHLFSYIGTNPANDDNKFVEGNSLPSFTRAVNQRDADLVYFWQKYRKVAEGSPGKNDARKELLEVMAHRSHVDNSVELIGSLLFGSEDGPRVLKAVRAAGEPLVDDWSCLKSMVRAFEAQCGSLSQYGMKHMRSFANICNAGILPDAVSKVAAQACTSIPSNPWSSIHMGFSA >KQL05579 pep chromosome:Setaria_italica_v2.0:V:25920478:25925003:1 gene:SETIT_001225mg transcript:KQL05579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAARLRLALLLYVFMCAAWARPGLEPAIRLPSERAAAAGEGTDDAVGTRWAVLIAGSNGYYNYRHQADICHAYQILKKGGLKDENIIVFMYDDIAHSPENPRPGVIINHPQGGDVYAGVPKDYTGREVNVNNFFAVLLGNKTAVSGGSGKVVDSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVNVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNDINVYATTASNADESSWGTYCPGESPSPPPEYDTCLGDLYSVAWMEDSDFHNLRTESLKQQYNLVKDRTSVHNTFTYGSHVMQYGSLNLNVQHLFSYIGTNPANDDNKFVEGNSLPSFTRAVNQRDADLVYFWQKYRKVAEGSPGKNDARKELLEVMAHRSHVDNSVELIGSLLFGSEDGPRVLKAVRAAGEPLVDDWSCLKSMVRAFEAQCGSLSQYGMKHMRSFANICNAGILPDAVSKVAAQACTSIPSNPWSSIHMGFSA >KQL05578 pep chromosome:Setaria_italica_v2.0:V:25920478:25925003:1 gene:SETIT_001225mg transcript:KQL05578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAARLRLALLLYVFMCAAWARPGLEPAIRLPSERAAAAGEGTDDAVGTRWAVLIAGSNGYYNYRHQADICHAYQILKKGGLKDENIIVFMYDDIAHSPENPRPGVIINHPQGGDVYAGVPKDYTGREVNVNNFFAVLLGNKTAVSGGSGKVVDSGPNDHIFVFYSDHGGPGVLGMPTYPYLYGDDLVNVLKKKHAAGTYKSLVFYLEACESGSIFEGLLPNDINVYATTASNADESSWGTYCPGESPSPPPEYDTCLGDLYSVAWMEDSDFHNLRTESLKQQYNLVKDRTSVHNTFTYGSHVMQYGSLNLNVQHLFSYIGTNPANDDNKFVEGNSLPSFTRAVNQRDADLVYFWQKYRKVAEGSPGKNDARKELLEVMAHRSHVDNSVELIGSLLFGSEDGPRVLKAVRAAGEPLVDDWSCLKSMVRAFEAQCGSLSQYGMKHMRSFANICNAGILPDAVSKVAAQACTSIPSNPWSSIHMGFSA >KQL08024 pep chromosome:Setaria_italica_v2.0:V:43213355:43213839:-1 gene:SETIT_005352mg transcript:KQL08024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLYIVTRRNIVCNCFLLVCFFLKKILSCTHVLFTLVC >KQL08701 pep chromosome:Setaria_italica_v2.0:V:46757207:46765849:-1 gene:SETIT_000634mg transcript:KQL08701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSPSRPNACAGAGDPLPSRFARFRRRLRLHRRRRSGGDDSAKAIAEDEFAGIARIRIVKADMRFKDKFFACLSLGERTYRTETSDNTYRPVWDSEKKIIVETKGPHIARISVFETNRFSKNTLVGYCEVDLLEILTKDLDEHSEELPLLDPSSPNTTVGSITISCYIEDPVETEQSFARRVLAIVDYNEDGTLSLSEFSDLIKAFGNNFAVAKIEELFRQADKNGDGIVDIDELAALLADQQEKEPLISNCPVCGEDLGKYDKINDMIHMTLCFDEGTGNQIMTGGFLTDKQASYGWMFKLSEWAHFSTYDVGLHSGSTASHILVFDRRTKRIVEEVIDGKIVLSMRALYQSKVGLTLINTGVKDLLKNLSEKQGKKMNSPESAKDIPKFLESFKDQINMDEVKDPIESFKTFNEFFIRGLKPGARPIAYCDQDGIATCAADSRLMAFSSVDESTRLWIKGRKFSIEGLLGTSAHSNALSNGSLVIFRLAPQDYHRFHVPVSGTVEKFVEIPGCLYTVNPIAVNSKYCNVFTENKRVISIISTSEFGKVAFVAIGATMVGSITFLKKEGDYVQKGDEFGYFSFGGSTVICVFEKDAIQFDADLVANSERSLETLVSVGMTLGVSTRNKGLQVPELQKCSLD >KQL05407 pep chromosome:Setaria_italica_v2.0:V:23960918:23961421:-1 gene:SETIT_004365mg transcript:KQL05407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTKMERSMTQELPWSLVDDDPLAIMVERALISDRRLVRPLGSERVPTPKPNKVVVFVEQYECGLHFSCSDFLSSMLAHYKLEIQHISPNSLVRLSTFEWAFRTEGAISSARTVAHLYVASVRHKFHIFKGVKKEVCCGQVFFHPWDQAMVPTKAYKDRRETAWLP >KQL08256 pep chromosome:Setaria_italica_v2.0:V:44448103:44448752:-1 gene:SETIT_003555mg transcript:KQL08256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYQEVDYSSEEVRSVASPAGFGRHGGVQQHVVKEKFEEVDKVSRTGRHHGHFEARESKFEEDINTRTGEFHERKENFAVRADD >KQL04382 pep chromosome:Setaria_italica_v2.0:V:8647468:8651900:1 gene:SETIT_001071mg transcript:KQL04382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLFDEDGEALMDPDARDPSPEPEPYVGLEDDLGDGDDWNRERSPTPVHGDDGGAGSSSKPRKRLLKKGGGGGDGMPGDDGLEDFGLEDADADPAAEAKKRKGSSALRDLARGGAGKEKKEKKRRREDDGRGRDSGMAREKRGSGGGGRGGGGEVQDDGEREIQELWDTIAGGDSEDDQEGVRTVDDDNFIDDTGVDPADRYGSDNERHSPGRYAQAEEGEEDDEIERLFKGNNKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLVKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSMPNMNIRSAVLKLLADFPIDLEQHDRREQLKKSGLGKVIMFLSKSDEETTANRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQRKSGQSSSRQHASRPEASPLDFVIRPQSKIDPEQIRARAKQAVQDQRRLKMNKKLQQLKAPKKKNLQASKLSVEGRGMVKYL >KQL04383 pep chromosome:Setaria_italica_v2.0:V:8647468:8651900:1 gene:SETIT_001071mg transcript:KQL04383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLFDEDGEALMDPDARDPSPEPEPYVGLEDDLGDGDDWNRERSPTPVHGDDGGAGSSSKPRKRLLKKGGGGGDGMPGDDGLEDFGLEDADADPAAEAKKRKGSSALRDLARGGAGKEKKEKKRRREDDGRGRDSGMAREKRGSGGGGRGGGGEVQDDGEREIQELWDTIAGGDSEDDQEGVRTVDDDNFIDDTGVDPADRYGSDNERHSPGRYAQAEEGEEDDEIERLFKGNNKKKKNDRPRADIGLIVEQFIAEFEVAAEEDANLNRQSKPAINKLVKLPLLIDVLSKKNLQQEFLDHGVLTLLKNWLEPLPDGSMPNMNIRSAVLKLLADFPIDLEQHDRREQLKKSGLGKVIMFLSKSDEETTANRKLAKELVDKWSRPIFNKSTRFEDMRRYDDERAPYRRPQMKKPSSSSSGMESRDDDLDADFSQ >KQL04645 pep chromosome:Setaria_italica_v2.0:V:10407526:10410008:-1 gene:SETIT_001042mg transcript:KQL04645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLHFLLVPLVAQGHIIPAVDLARLLAVRGARVTVVTTPVNAARNRAAVEGARRAGLDVELAEIAFPGPGLGLPEGLENMDMLVEREHYMAFFRAVWRMDAPLEEYLRSLPRRPDCLVADSCNPWTAGVCARHGIPRLVLHCPSAYFLLATHNLSKHGVYDRVAGELEAFEVPGFPVRAVGSKATFRGFFQWPGVEGFQRDVDEAEATADGLILNTFRDIEGTFVDAYAAVLGRRTWAVGPTCASGLLDADAKAGRGNRADADAGGIVPWLDARPPSSVLYVSFGSLARLPAKQLIELGRGLEASGRPFVWAIKEAKSDAGVQAWLAEGFEERVEDRGLLVRGWAPQLTILSHPAVGGFLTHCGWNATLEAIAHGVPALTWPNFADQFSSEQLLVDVLRVGVRSGVKVPAYLPEEAVGVQVTRAGVEKAVAELMDEGPEGAARRARAKEIAAKAKAAVQEGGSSYDDLIDMIHHVAELSRTKSYERDPSARPLASAPELGSKSKNSEEMEAFFSVPS >KQL06813 pep chromosome:Setaria_italica_v2.0:V:35786825:35792122:-1 gene:SETIT_000372mg transcript:KQL06813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVAPPSLFLPLFLVLSSLSLVSSASGKQDQVYIVYLGGHAGAKVEEAILEDHHALLRSVKGSEEEARASLLYSYKHTLNGFAAILSREEATELSERSEVVSAFRSEGRWAPHTTRSWQFLGFEEGLKGPDGSDWLPSLDKSSGDVIVGVLDSGIWPESKSFSDEGLGPVPARWKGVCQSGESFSSSSCNRKIIGARYYLKAYEAHYKALNTTYAFRSPRDHDGHGTHTASTVAGRTVPGVSALGGFAAGTASGGAPLARLAVYKVCWPIPGPNPNIENTCFEADMLAAMDDAVGDGVDVMSVSIGSSGAPMRFEDDGIAVGALHAARRGVVVSCSGGNSGPKPATVSNLAPWMLTVGASSIDRAFDSPIKLGNGVGIMGQTVTPFQLPGNKPYPMVYAADAVVPGTPANVSNQCLPNSLSADKVRGKIVVCLRGSGLRVGKGLEVKRAGGAAILLGNPPASGSEVPVDAHILPGTAVSAADAKTILGYINSSSSPTAVLVPSRTVVDVRPSPVMAQFSSRGPNVLEPNILKPDITAPGLNILAAWSEASSPTKLDGDHRVVQYNIMSGTSMSCPHVSAAVLLLKAAHPDWSAAAIRSAIMTTATTNNAEGGPIMNGDGSVGGPMDYGSGHIRPNHALDPGLVYDASYEDYLSFACASAGSQLDRSVPCPARPPPPYQLNHPSVAVHGLNGTVTVRRTVTNVGSGEARYAVAVAEPAGVSVKVSPRRLRFARAGEKKVFTIRMEARATGTSNGGVVRGQFVAGSYAWSDGVHVVRSPIVVLVA >KQL07591 pep chromosome:Setaria_italica_v2.0:V:40680102:40682139:-1 gene:SETIT_002628mg transcript:KQL07591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGRAAACTALVFPSTATTTTTTPLPSTVSVNLRARHRKKATAGVVAAPHASGGGGAVLERPAFDQSQLDTLPVTQEGGDPGRLRDGRRSGSGDSYKVLLVDDVRHTEKHGKHTAVLARNKPTSTARSGYPKQVSRACDSRLLSTVVVRRARLCSGEGLAAGGAIHYRGSCAAALPRVSPERRRACHRRRQGARRVLRADDGSSGTPLCHRTRIGPGKLIAGPLVYSLHFIFIRDDNWTHMCGYPHVPRATRYG >KQL07590 pep chromosome:Setaria_italica_v2.0:V:40679926:40682274:-1 gene:SETIT_002628mg transcript:KQL07590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGRAAACTALVFPSTATTTTTTPLPSTVSVNLRARHRKKATAGVVAAPHASGGGGAVLERPAFDQSQLDTLPVTQEGGDPGRLRDGRRSGSGDSYKVLLVDDVRHTEKHVEKALPQVVPSITAEAARQLFHESRLKGVALVIVAVKEHAEFYAQMMVRQGLRSAIEPESDLAS >KQL07592 pep chromosome:Setaria_italica_v2.0:V:40681173:40682274:-1 gene:SETIT_002628mg transcript:KQL07592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGRAAACTALVFPSTATTTTTTPLPSTVSVNLRARHRKKATAGVVAAPHASGGGGAVLERPAFDQSQLDTLPVTQEGGDPGRLRDGRRSGSGDSYKVLLVDDVRHTEKHVEKALPQVVPSITAEAARQLFHESRLKGVALVIVAVKEHAEFYAQMMVRQGLRSAIEPESDLAS >KQL04891 pep chromosome:Setaria_italica_v2.0:V:12857903:12858973:-1 gene:SETIT_003349mg transcript:KQL04891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPGAECKDRATPEQVADYTLKLLRRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQSPNPWHVSSNARALQNTCLKTWGGRPENDALLLRAKANSLAQLGKYTSDGEAAEAREGMFVKNYVY >KQL07073 pep chromosome:Setaria_italica_v2.0:V:37517150:37517635:1 gene:SETIT_005150mg transcript:KQL07073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGKAMVEDTDMPAKMQAQAMAAASRALDRFDVLDCRSIAAHIKKQGELHLLPARVAQVPRLQRGGSIAKQAGNITARKIRCTVLFSPPAFVEGD >KQL08652 pep chromosome:Setaria_italica_v2.0:V:46501565:46502542:1 gene:SETIT_002869mg transcript:KQL08652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEAAEICKESIPGMQVDHVDISELPLLNTDLEVDGGFPPAVEAFRANIRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWGDRAAAILSASGGSGGSRSQYHIRQVGVFLDIHFINKPEIFTRAHMPPKKFDDDGNLIDPETKEHLRKMLLSLQALALRLQGKPASSEQGNGESMLPFMS >KQL08651 pep chromosome:Setaria_italica_v2.0:V:46501333:46502542:1 gene:SETIT_002869mg transcript:KQL08651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASTAEAAPAKTVLRVAAISGSLRRASANTGLIRAAAEICKESIPGMQVDHVDISELPLLNTDLEVDGGFPPAVEAFRANIRAADCFLFASPEYNYSISGPLKNALDWGSRPPNCWGDRAAAILSASGGSGGSRSQYHIRQVGVFLDIHFINKPEIFTRAHMPPKKFDDDGNLIDPETKEHLRKMLLSLQALALRLQGKPASSEQGNGESMLPFMS >KQL05431 pep chromosome:Setaria_italica_v2.0:V:24362305:24364028:-1 gene:SETIT_004181mg transcript:KQL05431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSELFGGRQNWPFTEKDLKNMVWEYELNQLYTQHKDKNLKERLESLINYLLGPTQFEVEWKKLVDECGIVDNPAIIALWEKRKSWIATYFEGMYCGKMTSTQRSESQNRVLKDGYVNNNTTLHMFAKRVLHSLQHTDHMDAEKFVQAEVIRACKSRFDEQLSKVYKTVYQEYKKQYGNSTTFVIEPNPDLEVRNGYLVTHEKGMRSFCWARYAFRVVEDKEAGVYECKCKQWEHTGKLVQSIPGKYILKRYTRDARSMIP >KQL06041 pep chromosome:Setaria_italica_v2.0:V:30268460:30270362:1 gene:SETIT_001241mg transcript:KQL06041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEGEQRPLHILFFPFLSPGHLIPAADMAALFATRGVKCTILTTPVNAAVIRSAVERANDAEGALAIDIAVVPFPDVGLPPGVESGPALNSEADRGKFFHAIQQLREPFDRFLAESRPDAVVSDSFFDWSVDAAAEHGVPRLAFLGSSLFARACSVSMLRHNPVEAAPDDPDASVLLPGLPHRVELRRSQMMDPKKRPDHWAFFQRVTDADQRGYGEVFNSFHDLEPDYLEHYTTTLGRRAWLVGPVALASKDVATRGAAANELSPDADGCLRWLEGKPSGSVVYVSFGTLSHFSPPELRELARGLNLSGKNFVWVIGGTDTEEQEWLPDGFAELLARGDRGLIIRGWAPQMLILNHSAVGVFVTHCGWNSTLEAVSAGVPMVTWPRYADQFYNEKVVVELLNVGVGVGSTDYASKLEARRVIGGEVVAEAIGRVMGNGEEGEAIRERARVLGEKARLAVEKGGSSYDDVGRLMDELMARRGL >KQL06075 pep chromosome:Setaria_italica_v2.0:V:30487960:30489659:1 gene:SETIT_003328mg transcript:KQL06075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLQVASIPPLLPSRNGCASTRPRVAAFAFSCKYNQSANAQGRTRFRNHITRRDTLSFMSSAMLAALLVASPAEARTSRLENKKKAMEKLERLREKALGPKGKNGSTRKEMPPPANLLIPPAAVEASL >KQL06542 pep chromosome:Setaria_italica_v2.0:V:34055046:34057513:1 gene:SETIT_000446mg transcript:KQL06542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCCGRYSLQLLQPHPSFPGGGTCSCSCSTASSSTSGNQRQRLHPPAALSPNTSRSTAPLTHPLDGDHQDEDGASDDGTLLALLRAHDTDAAYRLFSSKPSLLPSSPTTASRLLAQLSFSSSSPDAFSRTARLLQSLRARGALDLLDANSLSLAAAAAARSRDARLAHSLLLYLLRQGFLPDRRAYTAAVARLTPPTKALRLFDTVLRHLRRSPPELVSPSCLPDTAAFNAALSACADAGDCRRFRQLFDAMSEWSAAADALTYNVVIKMCARAGRKGLVANVLERMLSSGIAPCATTFHSLVAAFVGFGDIPTAERIVQAMRGQRKDICLLLRAVAMECDDVPDAEQGAALLDDIVAGAKPEHGTDEVPLLPKAYPPNARVYTTLMKGYMNAGRVEDVVTMLRAMRREAETAPASRPDHVTYTTVVSALAAAGDMARAHAVLDEMAAAGVPANRVTYNILLKGYCQQLQISKAKELFEEMVTGAGIQPGVVTYNILMDGCVLTDDSAGALAFFNEMRSRGIAPSTVSYTTLMKAFAMSGQPKMAHKVFEEMERDSRVTVDRAAWNMLVEGYCRLGLVETAKQVVERMKERGVQPDVATYGSLAKGVAAARKPGEALVLWNEVQERCLEEADEELLGALADVCVRAAFFKKALEIVACMEEKGIAPNKTRYRKMYIEMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRVEPLLEGDDDPS >KQL07338 pep chromosome:Setaria_italica_v2.0:V:39209497:39210188:-1 gene:SETIT_003705mg transcript:KQL07338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLELELLVSCQAQPIEKALPTSTKNNTQVLDFLRVKSSDLGRSDPKPRYLGT >KQL06881 pep chromosome:Setaria_italica_v2.0:V:36232728:36236800:-1 gene:SETIT_001413mg transcript:KQL06881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPQPSPPTGGDPEAPADTELPRLTVTQVEQMKVEARVADIYRALFGAAPNTRSIMLELWRDQHIEYLTHGLRHLGPAFHVLDANRPWLCYWMVHPLALLDEVLDDDLEDDIVDFLARCQDKDGGYGGGPGQLPHLATTYAAVNTLVTIGSERALSSINRDNLYKFMLRMKDESGAFRMHDGGEIDVRASYTAISVASLVNILDVELAKGVGDFIARCQTYEGGIAGEPDVEAHGGYTFCGLAALILLNEAEKLDLPSLIDWVAFRQGVECGFQGRTNKLVDGCYSFWQGAAIALTQKLVMIVDEQLKSSYSCKNPSWDDACGTTSSGCTSEKSSSDVDYAKFGYDFVQRSNQVGPLFHNIALQQYILLCAQVLEGGLRDKPGKNRDHYHSCYCLSGLSVSQYSAMTDSDSCPLPQQVLGPYSNLLEPIHPLYNVVLDKYHTAYEFFSKE >KQL08335 pep chromosome:Setaria_italica_v2.0:V:44860657:44865589:1 gene:SETIT_001843mg transcript:KQL08335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLAVVGPALAAPSFRTALPFRLRPRKIPSWRRAALPNDEDYYLIDAEESIGDGFSFSGGKYGEGPSKSDEWFAQGKMIDAYPVYGDKGKAKDPFFGLTMGSGSQPSDDVFRWFCVEAGNSSNPKVLLIHGLPSQAYSYRNVLPVLSDKYHAVAFDWLGFGFSDKPQPKYGFDYTLDEYTASLESLVNAVAPDKLSIVVQGYFAPVAVKYASEYQDKLNHLVLVNPPITDKHVSLPSPLASFSNFLLGEIFSQDPLRASDKVLTSCGPYMMKEEDAMVYRRPYLVSGSSGFALNAISKAMKKDLKAYIESMRSILGSDSWKTKTTICWGMRDRWLSYDGVEEFFGGLNQKIVELPMAGHHVQEDRGEELGNIIKSILR >KQL07140 pep chromosome:Setaria_italica_v2.0:V:37866503:37876452:1 gene:SETIT_000095mg transcript:KQL07140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGRKGKDAAAAGGGGVREATLVRVSKVLEDFRASDAEVYTFEPDISRLERGAIHQMCRKMGMTSKSSGFGEQRRLSVYKSKRKQGPAMEEGPSHLRFSEEAIHVLQDLFTHYPPDDADLHGDANRNSSGKAANTKWKTDSAFCRPAMSKPDITKKVEMLASKINGSTQLRKIMEDRSKLPISSFKDAITSTLENHQVVLISGETGCGKTTQVPQYILDHMWGKGESCKIICTQPRRISAISVAERISAERGEAVGDTVGYKIRLESKGGKNSSVMFCTNGVLLRVLIGRGTNTSKTRNPKRSLDDAILGISHIIVDEIHERDRFSDFMLTILRDLLPVYPHLRLVLMSATIDAERFSQYFNGCSVIQVPGFTYPVKTYYLEDVLSILQSVGDNHLNTTTSDKKQSSVLTDDFKSSMDDSINLALLNDEFDPLLELISAEQNPEIYNYQHSETGVTPLMVFAAKGQLGDVCMLLSFGVDCSAQDHDGKSALDWAQQEKQQEVYEVIKKHMECSTAKSTEDNELLNKYLATINPEHIDTVLIERLLGKICVDSNEGAILVFLPGWEDINQTRERLLASPFLRDSSRFLVLSLHSMIPSLEQKKVFKRPPAGVRKIILSTNIAETAVTIDDVVFVIDSGRMKEKSYDPYNNVSTLHASWVSKANARQREGRAGRCQAGICYHLYSRFRASSLPDYQIPEIKRMPIEELCLQVKLLDPNCRIADFLKKTLDPPVPETVRNAITVLQDLGALTQDEQLTELGEKLGSLPVHPSTTKMLLFAILMNCLDPALTLACAADYRDPFLLPMAPDERKRAAAAKVELASLYGGFSDQLAVVAAFDCWRRAKDRGQESQFCTKYFVSSNIMYMLSNMRKQLQNELSQRGFVPADTSACSLNSKDPGIMRAVLMAGAYPMVGRLLPPRKNARKAVVETASGAKVRLHPHSCNFNLSFSKSSGNPLLIYDEITRGDGGMYIKNCSVVGSHPLLLLATEMVVAPPDDDSDEEEDSSEDEAEKSTLVQHKEEIMSSPDNTVSVVVDRWLRFDATALDVAQIYCLRERLASAILFKVKYPQDVLPQALGASMYAIACILSYDGLPAMVPSNDLPANRGSGQNSAEASSFSQGRRAGYIPPGGFLVSLLADKPHQPPNFQNSYNHPGGASVHTGPSRAPTGRFDQSQRSFRNSGPGSSTRRSFKRQRDAAR >KQL07957 pep chromosome:Setaria_italica_v2.0:V:42807896:42808331:-1 gene:SETIT_003615mg transcript:KQL07957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVQCMFFIWLPVLCTWPPHWIACKALFRWFPAGKAPLITSSCSEVNAYNTPLYELRSTPAQTWSSSESVES >KQL03942 pep chromosome:Setaria_italica_v2.0:V:5490957:5492090:1 gene:SETIT_002656mg transcript:KQL03942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAKMLALQLALCAFFLLEGSAAAVQWTPAFATFYGGSDASGTMGGACGYDNLYSAGYGTRTAALSTALFNNGAMCGACFTIACDARKSRYCKPGTSITVTATNFCPPNWALSGDSGGWCNPPRRHFDMSQPAWETIAVYRAGIVPVNYRRVPCRRSGGVRFTVNGHSYFELVTVANVGGSGVVAQAWIKGSRTDWMPMSRNWGANWQSNAFLNGQSLSFRLRADDGRVVTAVDVAPAGWWFGGTYTSNAQFY >KQL06529 pep chromosome:Setaria_italica_v2.0:V:33976338:33977042:1 gene:SETIT_004495mg transcript:KQL06529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKAPRGRDGDDDQDPLALTLGSIYAAADAAPASPPPPPSPPPRAAPRSVRRRLNNGSAARRPCGKPRDADAAVDAGVQRPPFPWATERPAQHDTLEGLLRRGVTSVEGQARCKRCSDRKTIEYDLEPKFRALRDYVVANRHAMNDRAPDVWMYPALPDCDKCGNKGAMWPEIAAEKREINWLFLLLGQMLGCCTLEQLKYFCKKTGRHRTGAKNRVLYYAYIEMCNQLEPLD >KQL03747 pep chromosome:Setaria_italica_v2.0:V:4414097:4417315:1 gene:SETIT_001466mg transcript:KQL03747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSLAAAATGPASSPLALDAIPIASRPPAAAPRKRPVLLLDTRPHPASPTPPLLSSTAAAAAAAASAPPAHARRKKPSHPPRPRWQTALSIAAKNAALLAALFYLGDLAWRWSHPPPPSPPPDRAALEGYAARVDEVEASLARAFKMMQVQLEAVDRKIDGEVGAARADLAVLLEEKRLALEGGLNRLDARAGELGDALAGLSRMEFLRKDEFEKFWEEVKGGLASGSGSEVDLDQVRALAREIAMREIEKHAADGIGRVDYAVASGGGRVVHHSVPYEPKRGIFSGLLGGGNPDPQKMIQPSFGEPGQCFAVQGSSGFVEIKLKSGIIPEAVTLEHVSKDVAYDRSTAPKDCRVSGWYDETSGETQSSHAAKMAALAEFTYDLDKNNIQTFDVTAPDVGVINMIRLDFTSNHGSSLLTCIYRLRVHGREPVSPGTAGFRA >KQL03230 pep chromosome:Setaria_italica_v2.0:V:427211:431790:1 gene:SETIT_000927mg transcript:KQL03230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRGGSSSASSAGGGGASAAAFATRMLLLLTLLPLALAAFAFVLQWRGGMRDPAGAAWPDDTQRFPGMENSPLGSSSSSSSTRGKGSYFAVSSSSSAAADCAEILGRSASSHGVSLYGGWSFDSESSITPKICITGSTSAGLHQILPWLYYHKVIGVSHFFLFVEGEAAKPAVTSVLESIRGVKIIYRTKELKERQDKSRIWNETWLGGFFYKPCNYELFVKQSLNMEMAIIMARDAGMDWIIHLDTDELIHPAGAREYSLRRLLLDVPDNVDMVIFPNYESSIERDDIKDPFTEVSMFKKNYDHLPKDTYFGLYKEATRGNPNYFLTYGNGKSAARVQEHLRPNGAHRWHNYMKTPNEIKLEEAAILHYTYTKFSDLTSRRDRCGCKPTKEDVKRCFILEFDRLAFIIASTATEEEMRNWYREHVVWTDKDTNLKLLRKGVLTRIYAPMAIIRGLKESGIFTSAVTSAKVQSKTKSSNMGLENKESILPNVTAGQSTLEGGHEKLQATVRKILEMVDAQEEAMPPMSPPGFVELIESALS >KQL06431 pep chromosome:Setaria_italica_v2.0:V:33319887:33327576:1 gene:SETIT_001198mg transcript:KQL06431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERIKPRRARPASSRVIGVSSIDDDRAQGPGRLGFAGAAAMENLISLVNKLQRACTALGDHGEESALPTLWDSLPTIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLNRIDGPGEYAEFMHLKKKRFTDFALVRKEIADETDRATGRTKQISTVPIYLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVHDIENMVRSFIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRSYRLQYPWIGVVNRSQQDINKNVDMIAARIREREYFASIPEYKHLAHRMGSEHLAKMLSKHLESVIKSRIPGIQSLITKATAELETELCRLGKPIAADAGGKLYTIMEICRMFDSIYKEHLDGARSGGEKIYYVFDNQFPVALKRLQFEKHLAMENVKKLITQADGYQPHLIAPEQGYRRLIESCLVSIKGPAEAAVDAVHAILKELVHRAIKETRVCFLLS >KQL05741 pep chromosome:Setaria_italica_v2.0:V:27415786:27419255:-1 gene:SETIT_003828mg transcript:KQL05741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein THGSSTQFAQPVSLSACVTPARGPATMSIPSGLKRFLSCFPARSSSVTGATVSDPDGEDIISGLPDDVLSSIVSLLPINDAARTAALSSRWRDLWASNPLVLDDIDLLLNNPSHVGAVTSTVSHAITAHPGPFRSVKLTCYFSDADESTLHHWIRVLAAKGVTELVLNNIPWAGLDLLPCAILECRSLQRLRISEWRFPDTSGAAAVLRQGVAALPRLRELVLRRSIIQEQDLDRVLASSPKLKNLVFVLSRGAPAQVRLSSRSLWCVVFWQSVVEELAVVAAPLLERIILRTSSSPCGINGKDGSCMRIKISSASVLQALGYLNPNYHELQIGDTVVKVVPDAVVPSVKILALSIQFGVPNKARMMSCFLRCFPNIETMHIQSIPDRGATSKNGNSDFWNEIDSVKCIKESINKVVIHGFRWENCEIEFLKSILEGGNVLQKIYILQDKNVTVSEGAINGTLSLLASLKKKGVIALMILAGQDGIWSYEMASDVSRNDPFDCQS >KQL08760 pep chromosome:Setaria_italica_v2.0:V:46984895:46988586:1 gene:SETIT_001173mg transcript:KQL08760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGMLRSSTRVLRKLCGLEPAAAAARRLSAADASAASSSARDNSLLRPLPGLDLPPPLADNLGRSPTRVTTLPSGIRVATEDVPGPSACIGFFVNSGSVYESGETTGVSYMLEKMAFKGTNHRRHRNLVHELELAGGNVGASYSREQMVYSYDTLKGYMPEAIEILIDCMRNPLFLQEEVERQLVLAREEVQDLHKNPEKFLHEQLNLVGFSGALANPLIPPEDALSRINDKIIQKFYHENYTADRVVLAASGVDHEQLLNYAEFLLRDWHKGSPVEKPKSTYVGGDSRYRADSDMTHVALAFEVPGGWLQERDATIMTIIQTLMGGGGSFSSGGPGKGMHSRLYLRVLNKYHAVQSFSAFSNVYDNTGLFGIYLTTSSDFVAKAVDVAISELIAVATPGEVTEVELQRAKNSTISSVLMNLESRVIVAEDIGRQLLTYGCRKPIDYFLQCMEEITLDDITTFARKMLSSQPTTVSWGDVDKVPPYEFVCKRFR >KQL04249 pep chromosome:Setaria_italica_v2.0:V:7624400:7625204:-1 gene:SETIT_003470mg transcript:KQL04249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSTLLVLLCLQAALVMGIFAAVAKENAVGESKAIDINPGQLKCCTNCNFSFSGLYTCDDVKKDCDPVCKKCVAVKTYSGKKFKCTDTFLGMCGPKC >KQL04264 pep chromosome:Setaria_italica_v2.0:V:7754249:7760078:1 gene:SETIT_000951mg transcript:KQL04264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRCALKGGGCVTSWGGDRRSPAVSPSSVRMPTGNGGCCSGLRSRAADLAGLEMGSLRGGVGGLFRSSPRYGRLQATAAVDPEDVPLENVQVKSSGHVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTSLAGATVGSFTGGSLADKFGRTRTFILDAVPLALGAFLSATAQDVRTMIVGRLLAGIGIGISSALVPLYISEISPTEIRGTLGSVNQLFICIGILAALLAGLPLAGNPAWWRTMFGIAVVPSILLAVGMAFSPESPRWLFQQGKVIQAESAVKRLYGKEKVTEVMYDLRASGQSSSEPEAGWFDLFSNRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMGASMLLLALSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVLYIAGNVVETKGRSLEEIERELSVVD >KQL04265 pep chromosome:Setaria_italica_v2.0:V:7756304:7760078:1 gene:SETIT_000951mg transcript:KQL04265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQTSVSYSGWVVSTSLAGATVGSFTGGSLADKFGRTRTFILDAVPLALGAFLSATAQDVRTMIVGRLLAGIGIGISSALVPLYISEISPTEIRGTLGSVNQLFICIGILAALLAGLPLAGNPAWWRTMFGIAVVPSILLAVGMAFSPESPRWLFQQGKVIQAESAVKRLYGKEKVTEVMYDLRASGQSSSEPEAGWFDLFSNRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMGASMLLLALSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVLYIAGNVVETKGRSLEEIERELSVVD >KQL04263 pep chromosome:Setaria_italica_v2.0:V:7754249:7760078:1 gene:SETIT_000951mg transcript:KQL04263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRCALKGGGCVTSWGGDRRSPAVSPSSVRMPTGNGGCCSGLRSRAADLAGLEMGSLRGGVGGLFRSSPRYGRLQATAAVDPEDVPLENVQVKSSGHVLPYVGVACLGAILFGYHLGVVNGALEYLAKDLGIAENAVLQGWVVSTSLAGATVGSFTGGSLADKFGRTRTFILDAVPLALGAFLSATAQDVRTMIVGRLLAGIGIGISSALVPLYISEISPTEIRGTLGSVNQLFICIGILAALLAGLPLAGNPAWWRTMFGIAVVPSILLAVGMAFSPESPRWLFQQGKVIQAESAVKRLYGKEKVTEVMYDLRASGQSSSEPEAGWFDLFSNRYWKVVSVGAALFLFQQLAGINAVVYYSTSVFRSAGIASDVAASALVGAANVFGTMIASSLMDKQGRKSLLITSFSGMGASMLLLALSFTWKALAPYSGTLAVVGTVLYVLSFALGAGPVPALLLPEIFASRIRAKAVALSLGMHWVSNFFIGLYFLSVVNKFGISTVYLGFASVCALAVLYIAGNVVETKGRSLEEIERELSVVD >KQL03886 pep chromosome:Setaria_italica_v2.0:V:5222001:5230208:1 gene:SETIT_000092mg transcript:KQL03886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVSCRPISSRLVCYSSPIAPNRPRATRSLASRAHPPPPRPPCRGAPAELRGARIEHRARVHAAAAAMSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPPNGARASPVSVPLAAVPKAGAAYPPLTAHTPFQPPPPGPSLAGWMANAAASSSVQSAVVAAASIPVAPNQAVSMLKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLRETLLSRPFKIRDINACSPQFQNAVVKDSSISINRVTWSPDGELIGVAFTKHLIHLHAYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYSGFRKRSAGVAGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGSRPFEAFRPQYEASSMKVSGAPVVTGISPNIGRMDHLDRNSPAKPSPILNGGDTASRSIDIKPRISEEKPDKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAIQRLWKWSRNEQNPSGKATAGVVPHHWQPNSGLVMTNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLGVLVSSGADAQLCVWANDTWEKKRTISIQMPAGKTASGDTRVQFSSDQSHLLVVHETQLAIYDASKMERVYQWIPQDTLSAPISHASYSCNSQLVFAAFADGNVGVFDAENLRLRCRIAPSVYMSLTAINRYPICTLIAY >KQL03890 pep chromosome:Setaria_italica_v2.0:V:5225822:5231244:1 gene:SETIT_000092mg transcript:KQL03890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWEMSLCCLVSYLYVSKLHMMHLYYIVSSAVSMLKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLRETLLSRPFKIRDINACSPQFQNAVVKDSSISINRVTWSPDGELIGVAFTKHLIHLHAYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYSGFRKRSAGVAGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGSRPFEAFRPQYEASSMKVSGAPVVTGISPNIGRMDHLDRNSPAKPSPILNGGDTASRSIDIKPRISEEKPDKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAIQRLWKWSRNEQNPSGKATAGVVPHHWQPNSGLVMTNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLGVLVSSGADAQLCVWANDTWEKKRTISIQMPAGKTASGDTRVQFSSDQSHLLVVHETQLAIYDASKMERVYQWIPQDTLSAPISHASYSCNSQLVFAAFADGNVGVFDAENLRLRCRIAPSVYMSLTAINSNPPVHPLVVAAHPNEPNQFAVGLSDGSVKVLEPLESEGKWGTPAPADNGIPNGKTPASSATSNPAADQIQR >KQL03887 pep chromosome:Setaria_italica_v2.0:V:5222001:5231244:1 gene:SETIT_000092mg transcript:KQL03887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVSCRPISSRLVCYSSPIAPNRPRATRSLASRAHPPPPRPPCRGAPAELRGARIEHRARVHAAAAAMSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPPNGARASPVSVPLAAVPKPFQPPPPGPSLAGWMANAAASSSVQSAVVAAASIPVAPNQAVSMLKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLRETLLSRPFKIRDINACSPQFQNAVVKDSSISINRVTWSPDGELIGVAFTKHLIHLHAYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYSGFRKRSAGVAGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGSRPFEAFRPQYEASSMKVSGAPVVTGISPNIGRMDHLDRNSPAKPSPILNGGDTASRSIDIKPRISEEKPDKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAIQRLWKWSRNEQNPSGKATAGVVPHHWQPNSGLVMTNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLGVLVSSGADAQLCVWANDTWEKKRTISIQMPAGKTASGDTRVQFSSDQSHLLVVHETQLAIYDASKMERVYQWIPQDTLSAPISHASYSCNSQLVFAAFADGNVGVFDAENLRLRCRIAPSVYMSLTAINSNPPVHPLVVAAHPNEPNQFAVGLSDGSVKVLEPLESEGKWGTPAPADNGIPNGKTPASSATSNPAADQIQR >KQL03889 pep chromosome:Setaria_italica_v2.0:V:5222001:5231244:1 gene:SETIT_000092mg transcript:KQL03889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVSCRPISSRLVCYSSPIAPNRPRATRSLASRAHPPPPRPPCRGAPAELRGARIEHRARVHAAAAAMSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPPNGARASPVSVPLAAVPKAGAAYPPLTAHTPFQPPPPGPSLAGWMANAAASSSVQSAVVAAASIPVAPNQAVSMLKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLRETLLSRPFKIRDINACSPQFQNAVVKDSSISINRVTWSPDGELIGVAFTKHLIHLHAYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYSGFRKRSAGVAGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGSRPFEAFRPQYEASSMKVSGAPVVTGISPNIGRMDHLDRNSPAKPSPILNGGDTASRSIDIKPRISEEKPDKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAIQRLWKWSRNEQNPSGKQATAGVVPHHWQPNSGLVMTNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLGVLVSSGADAQLCVWANDTWEKKRTISIQMPAGKTASGDTRVQFSSDQSHLLVVHETQLAIYDASKMERVYQWIPQDTLSAPISHASYSCNSQLVFAAFADGNVGVFDAENLRLRCRIAPSVYMSLTAINSNPPVHPLVVAAHPNEPNQFAVGLSDGSVKVLEPLESEGKWGTPAPADNGIPNGKTPASSATSNPAADQIQR >KQL03885 pep chromosome:Setaria_italica_v2.0:V:5222001:5229653:1 gene:SETIT_000092mg transcript:KQL03885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVSCRPISSRLVCYSSPIAPNRPRATRSLASRAHPPPPRPPCRGAPAELRGARIEHRARVHAAAAAMSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPPNGARASPVSVPLAAVPKAGAAYPPLTAHTPFQPPPPGPSLAGWMANAAASSSVQSAVVAAASIPVAPNQAVSMLKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLRETLLSRPFKIRDINACSPQFQNAVVKDSSISINRVTWSPDGELIGVAFTKHLIHLHAYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYSGFRKRSAGVAGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGSRPFEAFRPQYEASSMKVSGAPVVTGISPNIGRMDHLDRNSPAKPSPILNGGDTASRSIDIKPRISEEKPDKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAIQRLWKWSRNEQNPSGKQATAGVVPHHWQPNSGLVMTNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLGVLVSSGADAQVSNAVLVYVTR >KQL03888 pep chromosome:Setaria_italica_v2.0:V:5222001:5231244:1 gene:SETIT_000092mg transcript:KQL03888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVSCRPISSRLVCYSSPIAPNRPRATRSLASRAHPPPPRPPCRGAPAELRGARIEHRARVHAAAAAMSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDRAKAVDILVKDLKVFSTFNEELYKEITQLLTLENFRENEQLSKYGDTKSARSIMLIELKKLIEANPLFREKLVFPTLKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPPNGARASPVSVPLAAVPKAGAAYPPLTAHTPFQPPPPGPSLAGWMANAAASSSVQSAVVAAASIPVAPNQAVSMLKRPTITDYQSAESEQLMKRLRPSGHGVDEATYPAPIPQPAWSVDDLPRTVACSLSHGSNVTSMDFHPTRHTLLLVGSANGEFTLYEIGLRETLLSRPFKIRDINACSPQFQNAVVKDSSISINRVTWSPDGELIGVAFTKHLIHLHAYQQPNETRHVLEIEAHSGGVNDIAFSRPNKQLCVVTCGDDKLIKVWDMHGQKLFTFEGHEAPVYSICPHHKESIQFIFSTSLDGKIKAWLYDNVGSRVDYDAPGKWCTTMLYSADGTRLFSCGTGKEGDSYLVEWNESEGSIKRTYSGFRKRSAGVAGVVQFDTAQNHFLAAGEDNQIKFWDVDNTNMLTCTEADGGLPALPRLRFNKEGNLLAVTTVDNGFKILANADGLRTLRAFGSRPFEAFRPQYEASSMKVSGAPVVTGISPNIGRMDHLDRNSPAKPSPILNGGDTASRSIDIKPRISEEKPDKAKPWELMEVLNPQQCRVATMPETPDQPRKVVRLLYTNSGVGLLALGSNAIQRLWKWSRNEQNPSGKATAGVVPHHWQPNSGLVMTNDTADTNPEEAVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKTRLKGHQKRITGLAFSTNLGVLVSSGADAQLCVWANDTWEKKRTISIQMPAGKTASGDTRVQFSSDQSHLLVVHETQLAIYDASKMERVYQWIPQDTLSAPISHASYSCNSQLVFAAFADGNVGVFDAENLRLRCRIAPSVYMSLTAINSNPPVHPLVVAAHPNEPNQFAVGLSDGSVKVLEPLESEGKWGTPAPADNGIPNGKTPASSATSNPAADQIQR >KQL06883 pep chromosome:Setaria_italica_v2.0:V:36240767:36242705:1 gene:SETIT_003309mg transcript:KQL06883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGGARRALAALRSGSPPTLSATLSRQAASRSTGLAAASLPRASRRRLAISRVPVAALGGVQGSLMPMHSATASALLTSMLGLKPGSWGWLSEVYSLYTVCCLDQTNEIIFLPRICYASITGMVLRSQETVF >KQL06884 pep chromosome:Setaria_italica_v2.0:V:36240767:36242705:1 gene:SETIT_003309mg transcript:KQL06884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGGARRALAALRSGSPPTLSATLSRQAASRSTGLAAASLPRASRRRLAISRVPVAALGGVQGSLMPMHSATASALLTSMLGLKPGSWGWLSEGFATPL >KQL04092 pep chromosome:Setaria_italica_v2.0:V:6422017:6422485:1 gene:SETIT_005209mg transcript:KQL04092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRGCLMVPRRRLPGHLWRWRLSTASGAVWSMDDGNSPPMDHGCDRGLERASCGGGG >KQL06383 pep chromosome:Setaria_italica_v2.0:V:32950527:32952582:1 gene:SETIT_004009mg transcript:KQL06383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSTGSFNSPGDAANGCRRPASILLSENANETTATAKWKGGHTMAVSFWVADPPDMPFFFVVCSKPADSVSKSSDFRISPHAVRAEGCFVLLRTRFFSRYCKDELFMYTAGDTEEFGIVPRGLHYLVAALCDSRDSSSDYQLHVYSSEMEQLHSELEPCQIRPKTWSSRVLPNTRVKTIKPEKVITLGEGVLGWVDFSHGLLVCNLFQEQLPSPSSPRLFWDLTCVDGKLKFIEMEHGTEVPEKPSDPCDDDVLYDSELIRLLDSEDMDDKPDRTVSSNYWCKECVVDVADIVVNESAYYLLLPGLRGETVGKLKFRDLYSVFPILSIDNGDILYLKSSVEPSDQNGWVVTVDLGNKKVKALRAYPFENHDPTKQAFRT >KQL06395 pep chromosome:Setaria_italica_v2.0:V:33038363:33040376:1 gene:SETIT_003189mg transcript:KQL06395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSNFGDSMGWSSSGRSSGSRRGKRGGGSGGADKPKQPQRGLGVAQLEKIRLQSEMAEYFNPLGQPPSLIHRTGSLNLMPYGGRGDLRYGEFQAPIIRSPSSSAIYGPPHYGHPNITLPLFEPQESTRLRGHHDRSRSADSTSMNSDDPQDVDLELKL >KQL07043 pep chromosome:Setaria_italica_v2.0:V:37242763:37247988:-1 gene:SETIT_000979mg transcript:KQL07043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLPLRSALPFLQAHGEERIWAAVEVSGLDFGQFYNIFGANPRQNSPNCSLVVIQPRKATTPYDETDASPQSRPDRHRTASSPRPHAPRSRQPTTTSGGGGGASAPLISPSGMDGQAPPLLEAVAPEPLAVADELPPPPPLEVEDALPLGIDGQAPPLDGVSPDPLTVSDEVQTPPSPTGEAEDALPVAPDAVNAAFSAVPDAGTGGVVLTDELRDQIVKQVEYYFSDENLPTDEFLLKYVKKNKKGFVPIETIASFRRMKKLVQDLSVIAAALRTSRNLVVSPDGKRVRRLHPLPQNELKDPKKSTVLVENLPPDFSMESIQEKFGRVGKVVNITVNDPELVKESSTAKKPAFILSSKVHALVEYEAVEAAEKAVTTLSDESNWRTGMKVRLISKGSAKHSKSSKENQDTVSKKNNQNQHSKEDQQTSSEKNSIADSMESTKDKENLNSAFTTETEHQHQKQNPKGARKGRYKGQGQIQQNTDKQGSSGSESLNRPIPGPRMPDGTRGFTMGRGRPLPLQKSERAEE >KQL07042 pep chromosome:Setaria_italica_v2.0:V:37243156:37247988:-1 gene:SETIT_000979mg transcript:KQL07042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLPLRSALPFLQAHGEERIWAAVEVSGLDFGQFYNIFGANPRQNSPNCSLVVIQPRKATTPYDETDASPQSRPDRHRTASSPRPHAPRSRQPTTTSGGGGGASAPLISPSGMDGQAPPLLEAVAPEPLAVADELPPPPPLEVEDALPLGIDGQAPPLDGVSPDPLTVSDEVQTPPSPTGEAEDALPVAPDAVNAAFSAVPDAGTGGVVLTDELRDQIVKQVEYYFSDENLPTDEFLLKYVKKNKKGFVPIETIASFRRMKKLVQDLSVIAAALRTSRNLVVSPDGKRVRRLHPLPQNELKDPKKSTVLVENLPPDFSMESIQEKFGRVGKVVNITVNDPELVKESSTAKKPAFILSSKVHALVEYEAVEAAEKAVTTLSDESNWRTGMKVRLISKGSAKHSKSSKENQDTVSKKNNQNQHSKEDQQTSSEKNSIADSMESTKDKENLNSAFTTETEHQHQKQNPKGARKGRYKGQGQIQQNTDKQGIFTLTCCMEYQTNKEVLVLSH >KQL04756 pep chromosome:Setaria_italica_v2.0:V:11391290:11394940:-1 gene:SETIT_001684mg transcript:KQL04756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAEVDPGDRERQQHDRRRLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAALTGYPRREVLGRNARVFQGTATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFQARDGSLLHFLAVQVPIDAARRRAGAGRGAPGAVLAACREEARVDEDFPCASHAGKVFVDMDKRGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPDKSAYLF >KQL04755 pep chromosome:Setaria_italica_v2.0:V:11391822:11394794:-1 gene:SETIT_001684mg transcript:KQL04755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAEVDPGDRERQQHDRRRLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAALTGYPRREVLGRNARVFQGTATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFQARDGSLLHFLAVQVPIDAARRRAGAGRGAPGAVLAACREEARVDEDFPCASHAGKVFVDMDKRGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPGTSLEVFEEINQHICSEQACTVDLLSYRCGCSFFVLVANRFSLCKELDRNFASSIRKDGSSFRDLLHVSPIRDASGKVRLDLSQDFPEFLKEAVFQMN >KQL04750 pep chromosome:Setaria_italica_v2.0:V:11391510:11394346:-1 gene:SETIT_001684mg transcript:KQL04750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPDGGRGRAEVRRGRCSPRAGRRPGWMRISRARATRGRCSLIWIREFAVAGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPGTSLEVFEEINQHICSEQACTVDLLSYRKDGSSFRDLLHVSPIRDASGKVAFHIWVHLDVGAKHDFNGLTPEVWQLGAVGAVRVAVRGLSASGSLLRPSQ >KQL04749 pep chromosome:Setaria_italica_v2.0:V:11391290:11394940:-1 gene:SETIT_001684mg transcript:KQL04749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAEVDPGDRERQQHDRRRLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAALTGYPRREVLGRNARVFQGTATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFQARDGSLLHFLAVQVPIDAARRRAGAGRGAPGAVLAACREEARVDEDFPCASHAGKVFVDMDKRGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPGTSLEVFEEINQHICSEQACTVDLLSYRWKFIP >KQL04754 pep chromosome:Setaria_italica_v2.0:V:11392158:11394794:-1 gene:SETIT_001684mg transcript:KQL04754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAEVDPGDRERQQHDRRRLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAALTGYPRREVLGRNARVFQGTATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFQARDGSLLHFLAVQVPIDAARRRAGAGRGAPGAVLAACREEARVDEDFPCASHAGKVFVDMDKRGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPGTSLEVFEEVRTRII >KQL04757 pep chromosome:Setaria_italica_v2.0:V:11391290:11394940:-1 gene:SETIT_001684mg transcript:KQL04757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAEVDPGDRERQQHDRRRLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAALTGYPRREVLGRNARVFQGTATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFQARDGSLLHFLAVQVPIDAARRRAGAGRGAPGAVLAACREEARVDEDFPCASHAGKVFVDMDKRGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPGTSLEVFEEACTVDLLSYRKDGSSFRDLLHVSPIRDASGKVAFHIWVHLDVGAKHDFNGLTPEVWQLGAVGAVRVAVRGLSASGSLLRPSQ >KQL04751 pep chromosome:Setaria_italica_v2.0:V:11392158:11394794:-1 gene:SETIT_001684mg transcript:KQL04751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAEVDPGDRERQQHDRRRLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAALTGYPRREVLGRNARVFQGTATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFQARDGSLLHFLAVQVPIDAARRRAGAGRGAPGAVLAACREEARVDEDFPCASHAGKVFVDMDKRGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPGTSLEVFEEVRTRII >KQL04747 pep chromosome:Setaria_italica_v2.0:V:11391290:11394940:-1 gene:SETIT_001684mg transcript:KQL04747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAEVDPGDRERQQHDRRRLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAALTGYPRREVLGRNARVFQGTATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFQARDGSLLHFLAVQVPIDAARRRAGAGRGAPGAVLAACREEARVDEDFPCASHAGKVFVDMDKRGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPGTSLEVFEEINQHICSEQACTVDLLSYRWKFIP >KQL04748 pep chromosome:Setaria_italica_v2.0:V:11391290:11394940:-1 gene:SETIT_001684mg transcript:KQL04748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAEVDPGDRERQQHDRRRLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAALTGYPRREVLGRNARVFQGTATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFQARDGSLLHFLAVQVPIDAARRRAGAGRGAPGAVLAACREEARVDEDFPCASHAGKVFVDMDKRGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPGTSLEVFEEINQHICSEQACTVDLLSYRKDGSSFRDLLHVSPIRDASGRVSYLGSP >KQL04753 pep chromosome:Setaria_italica_v2.0:V:11392158:11394794:-1 gene:SETIT_001684mg transcript:KQL04753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAEVDPGDRERQQHDRRRLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAALTGYPRREVLGRNARVFQGTATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFQARDGSLLHFLAVQVPIDAARRRAGAGRGAPGAVLAACREEARVDEDFPCASHAGKVFVDMDKRGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPGTSLEVFEEVRTRII >KQL04758 pep chromosome:Setaria_italica_v2.0:V:11391510:11394794:-1 gene:SETIT_001684mg transcript:KQL04758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAEVDPGDRERQQHDRRRLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAALTGYPRREVLGRNARVFQGTATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFQARDGSLLHFLAVQVPIDAARRRAGAGRGAPGAVLAACREEARVDEDFPCASHAGKVFVDMDKRGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPGTSLEVFEEINQHICSEQACTVDLLSYRKDGSSFRDLLHVSPIRDASGKVAFHIWVHLDVGAKHDFNGLTPEVWQLGAVGAVRVAVRGLSASGSLLRPSQ >KQL04752 pep chromosome:Setaria_italica_v2.0:V:11392069:11394794:-1 gene:SETIT_001684mg transcript:KQL04752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGAAEVDPGDRERQQHDRRRLASSLTARYSDWVLEALDELPGSFLLTDPSLAGHPIVYASRGLAALTGYPRREVLGRNARVFQGTATDRAAVAGVREAVRAQRAHQVAILNYRRDGSPHWVLLHLAPVFQARDGSLLHFLAVQVPIDAARRRAGAGRGAPGAVLAACREEARVDEDFPCASHAGKVFVDMDKRGLEAEEPCVASDSEKEKATNTANSIVSALNRYSKLTSLVVSGKRCDSVGIPALSSSLNLSVGRIKQSFVLTHSCLPDMPIIYASDAFVSLTGYSREEILGCNCRVLNGPDKSAYLF >KQL04796 pep chromosome:Setaria_italica_v2.0:V:11862875:11863705:-1 gene:SETIT_004757mg transcript:KQL04796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KARRRDPRKYHYSGTACPDFRKGGCKRGDACEFAHGVFECWLHPARYRTQPCKDGTACRRRVCFFAHTPDQLRVLPPQQSSPRGTAAAASPLAESYDGSPLRRQAFESYLTKSIMSSSPTSTLMSPPKSPPSESPPLSPDGAAAYRRGSWPGVGSPVNEVLASLRQLRLSKANSSPSGGWAGYPSSAVAYGSPTAAGLYSLPSTPTTMGGGFMANLEPLDVSFGGGEEEPVQRVESGRALRAKVFEHLSREGAVSVEPATGGIGGPDVGWVSDLIN >KQL03986 pep chromosome:Setaria_italica_v2.0:V:5704639:5710436:-1 gene:SETIT_000972mg transcript:KQL03986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAELALRLRAAANDRLARYEPLALVAAPLLALLVARTLHAAASAVADRGLVAIAIAAVKLLPGVSGYIAAEKKKVVDKMQSGGTSTKNNRRAELPTIGLGEQVIKDLETLKAKDVEWQGKCSGTVYIAGSESEGHFELINKAYSMFSHTNPLHQDVFKSVAHMEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTSRDYMRSKKGITKPEMIIAESAHSAYDKAAEYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIPPFDFSVKGVTSISSDVHKYGLAPKGTSVVLYRNHEIRKHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMMLLGQYKSYHGSFKENTKRD >KQL03985 pep chromosome:Setaria_italica_v2.0:V:5705082:5710152:-1 gene:SETIT_000972mg transcript:KQL03985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAELALRLRAAANDRLARYEPLALVAAPLLALLVARTLHAAASAVADRGLVAIAIAAVKLLPGVSGYIAAEKKKVVDKMQSGGTSTKNNRRAELPTIGLGEQVIKDLETLKAKDVEWQGKCSGTVYIAGSESEGHFELINKAYSMFSHTNPLHQDVFKSVAHMEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTSRDYMRSKKGITKPEMIIAESAHSAYDKAAEYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIPPFDFSVKGVTSISSDVHKYGLAPKGTSVVLYRNHEIRKHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMMYVGLNGYLDSTSRIMEVSKKIQRGIEEIPGLFVIGKPDMTVVAFGSDVVDIFEVNDIMSSKGWHLNALQRPNSLHICVTLQHTNIYGEFLKDLQDSVNTVKANPGPISGGMAPIYGAAGKMPDRGTVRELLVEFMDSSC >KQL03987 pep chromosome:Setaria_italica_v2.0:V:5705643:5710436:-1 gene:SETIT_000972mg transcript:KQL03987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAELALRLRAAANDRLARYEPLALVAAPLLALLVARTLHAAASAVADRGLVAIAIAAVKLLPGVSGYIAAEKKKVVDKMQSGGTSTKNNRRAELPTIGLGEQVIKDLETLKAKDVEWQGKCSGTVYIAGSESEGHFELINKAYSMFSHTNPLHQDVFKSVAHMEAEVVAMTAALLGSKEKSSGGQICGNMTSGGTESILLAVKTSRDYMRSKKGITKPEMIIAESAHSAYDKAAEYFNIKVRRVPVNKEFLADVKGFKRCINGNTIMMVGSAPGFPHGLIDPIEELGELASRYDICLHVDLCLGGFVLPFARKLGYPIPPFDFSVKGVTSISSDVHKYGLAPKGTSVVLYRNHEIRKHQFVAVTEWTGGLYVSPTIAGSRPGGLIAGAWAAMMLLGQYKSYHGSFKENTKRVNLFAYNACILD >KQL05582 pep chromosome:Setaria_italica_v2.0:V:25946705:25948259:-1 gene:SETIT_001351mg transcript:KQL05582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLPFSLLVVIFLAPAVHGSAAAAALFPREALPTKSGYLPIPPANASLYFAFYEATHPVTPPASTPLLVWLEGGPGVSSLVSNFFQIGPYTFAAGRNNSSAPLSPNPFAWNRRFGLLFVDSPLGTGYSSAPSPSAIPTNQSVVAEHVLAALQSFFDAQPAEFRARPLFLTGESYAGKSIPAAGSLILATNPELPEHRRINLRGVAIGNGLVHPVAQVATHADTAYFMGLINDKQKRDAEAMQAAAVALTNAARWREASDARARLLSSLRNATGLASLFDVAVETSLEAEFAGAAAVAEALMNSAEVRAALGARRASEGGSPWQLVSPAVDAAFHDDVMKSAKPDVEALLAGASARVLLYEGIRDAQDGPVAAEAWLRELEWDGLAAFRDAPRAVWRRRRGGGGGRGRLAGYVQRHGALVHVAVYGAGHLVPAAQGRAAQEMIEDWVFDKGLFGGDAAGTP >KQL07940 pep chromosome:Setaria_italica_v2.0:V:42694973:42696001:1 gene:SETIT_004220mg transcript:KQL07940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPNLAAWLVLAVLALFVPSSAAAQIKTTDTRWSFHLPLPSGVTGAESLAFDGKGEGPYAGVSDGRVLKWGGSAAGWTTFAHSANYRKIPLCTAGVVPSEETESMCGRPLGLQFHAKTGDLYIADAYLGLMRVGPGGGEAEVLATGADGAPFNFVNGLDVDQATGDVYFTDSSATYPRRFNTEIMMNADATGRLLKYDARSGRVTVLKAGLPYPNGVAVSPDGAHVVVAHTVPCQAFRYTLRGSKAGQYELLAELPGYPDNVRRDGKGGYWVSLNQEKQRLDAAPGAAPVKHLVGVRLDADGVEVEELTAAKGVTLSDVAERKGQLWLGSVELEYVGLIA >KQL06523 pep chromosome:Setaria_italica_v2.0:V:33954551:33955633:1 gene:SETIT_002748mg transcript:KQL06523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAAEGGSELRLLGTWSSPWVIRVRVALGLKGLSYDYTEEDLAAKSDLLLRSNPVHKKVPVLIHGGRPVCESLVIVEYVDEAWPAAGPPLLPSDPYDRATARFWAAYVNDTFFPSWKALFRSTAEEQRAEAFKNAVPAVETLERAFRDCSKGKAFFGGDAVGLVDVALGSHLVWIRVVDEVAGTNLLDGAKFPGLAAWAERFLAVDAVRKVMPDVRKVLEQYKGFLAKWIAAAGST >KQL07294 pep chromosome:Setaria_italica_v2.0:V:38985554:38989567:-1 gene:SETIT_001878mg transcript:KQL07294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSHESIRLNYREYEHWKYEKFVSFVDNLLLLRDRVDLHIFQLHFDSHHVVNCNDVRTWIGYAVKNNVKVLDVNMDRYDKTVLPRCIFTCRSLKELNLKMGKAPYKDYEHEGLVLPDIIRLPSLKNLTLCDVEYTSSLEQIIARSPGLEDLHLINCAQHLELVESKVLKRLTVDGFLGRDKGLTIAAPSLIHFKLALFGSDIKVMLEKELPTCSVFESPVTLAIGEWCLTYDLYVVLRFLQLSPRLEKLTLKHRKRNRRCRNRTNITGITFQCPLLETVIVQCSKDDGEIQKTVDALVATGISLEKIHVTFYEDIRKNLAERKRGRQEGKTGRSILEKKLKRRQDWVDDSHAISDNDNNGDEMEEACGYEYDPDDF >KQL08425 pep chromosome:Setaria_italica_v2.0:V:45408159:45411010:-1 gene:SETIT_001622mg transcript:KQL08425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGGARAPALALALLLAFAHVAVVAAQDTERIEGSAGDVLEDDPVGRLKVYVYELPTKYNKKMVAKDSRCLSHMFAAEIFMHRFLLSSAIRTLNPEEADWFYTPVYTTCDLTPWGHPLPFKSPRIMRSAIQFISKRWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGVLPLLRRATLVQTFGQKDHVCLKEGSITIPPFAPPQKMKTHLVPPETPRSIFVYFRGLFYDTANDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEDDVPKLDTILTSIPMEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQILNGLARKLPHGKDVFLKPGQKVLNWTEGPRGDLKPW >KQL08673 pep chromosome:Setaria_italica_v2.0:V:46607708:46611056:-1 gene:SETIT_000785mg transcript:KQL08673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSDEDTEISDTEIDDYEGKIYARLMSGDLKVKKNGESYSCPFCSSKKKNNYSISSLVQHASGVSAAPNRQAKEKATHRALVKHLKNDLAKSSEPRPKVIPVEPEPLQNRDEKFVWPWMGILVNVPTEWKDGRQVGESGNRLKGQLSHFCPLKVIPLWTFRGHSGNAIVEFGKDWNGFRNARTFESHFAAGGYGKKDWIGKKNQGSELYGWFARAEDYNSPGLIGDHLRKNGDLKSVNDLAKEGARKTDKLPLPEIRKMQQLARQHSQKIIDENQKLRSELETKMNELDVRSKQLDELAAKSGYDRRNLEQEKQKNAIRSSHLKLATLEQQKADENVLKLVEEQKREKHAALKKILLLEQQLDAKQKLELEIQQLKGKLKVMEHMPGDEDSASKNKINELSEALQEKIDELDGMESLNQTLVIKESKSNIELQEARNELENGLLDISGGRAHIGIKRMGELDLKAFSKACRKKCSEEDAEVTAAFLCSKWEAEIKNPDWHPFRIVMADGKEMEIIEDDAKLRGLREEHGEEIYTLVTKALCEINEYKSKGSYSVGELWNFKEDRKVTLKEAVQFVLKQWRTNRRKQR >KQL06975 pep chromosome:Setaria_italica_v2.0:V:36825652:36827370:1 gene:SETIT_005012mg transcript:KQL06975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEPLVLAHVIRDVLDAFTPTVPLRITYNNRLLLAGAELKPSAIVNKPRVDVGGTDLRVFYTLVLVDPDAPSPSNPSLREYLHWMVIDIPGTTGASFGQELMFYERPEPRSGIHRMVFVLFRQLGRGTVFAPDMRHNFNCKSFARQYHLDIVAATYFNCQREYLFSLFFSQIQREAW >KQL08745 pep chromosome:Setaria_italica_v2.0:V:46917790:46918008:-1 gene:SETIT_004060mg transcript:KQL08745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLILCARLMCVCGTAVRGGSLSYVPRNGDCDVPECAYVLLAMHEPLGFLLDWESGRAS >KQL07025 pep chromosome:Setaria_italica_v2.0:V:37077718:37080177:1 gene:SETIT_003478mg transcript:KQL07025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPASAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGQGETR >KQL07021 pep chromosome:Setaria_italica_v2.0:V:37077718:37080177:1 gene:SETIT_003478mg transcript:KQL07021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPASAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEAGNDDV >KQL07018 pep chromosome:Setaria_italica_v2.0:V:37077718:37080177:1 gene:SETIT_003478mg transcript:KQL07018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPASAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGQGETR >KQL07019 pep chromosome:Setaria_italica_v2.0:V:37077718:37079238:1 gene:SETIT_003478mg transcript:KQL07019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPASAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGQGETR >KQL07020 pep chromosome:Setaria_italica_v2.0:V:37077718:37080177:1 gene:SETIT_003478mg transcript:KQL07020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPASAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGQGETR >KQL07022 pep chromosome:Setaria_italica_v2.0:V:37077718:37080177:1 gene:SETIT_003478mg transcript:KQL07022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPASAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEGL >KQL07023 pep chromosome:Setaria_italica_v2.0:V:37077718:37080177:1 gene:SETIT_003478mg transcript:KQL07023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPASAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEAGNDDV >KQL07024 pep chromosome:Setaria_italica_v2.0:V:37077718:37080177:1 gene:SETIT_003478mg transcript:KQL07024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAARSFLRSSAPSSLRSAAARAASRAGPAPLPRRMPASAPRVLLRSPVEMSSVCLESLMPMHSATASALMTSLLAAPACKGFGWLSEDG >KQL04868 pep chromosome:Setaria_italica_v2.0:V:12544090:12547489:1 gene:SETIT_0007681mg transcript:KQL04868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKCYMQQLLRGLEHCHSRHILHRDIKGSNLLIDNRGILKIADFGLASFFDPEQRHPLTSRVVTLWYRPPELLLGATNYGVSVDLWSAGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQHPYARRVSETFKEFPAPALALVDVLLSVDPADRGTAFSALQSEFFTTKPYACNPSSLPRYPPSKEFDAKRREEEARRQGVAGGKQHKHDPERRTRESRAVPAPDANAELVSSLQKRQAQANTRSRSEMFNPCKEDSASGFRIEPPRPTPVTESSEDSQRAYPTRIFHSGPLVNQNHPSKGGGVKNGELQVPGVANHPVVVSTRSSLRTDDSSRTMAAQAEAFAHGRRLSESINEHFSNSGKYDQVFPKKDDRSSRVDGAIGYGSKGNKIHHSGPLTCPSGNVDEMLKENDRQIQEVFRRTRVEKSRARRDHGHHHGGIRPGDFGAIPVFPSSRSSYQAVQQ >KQL04975 pep chromosome:Setaria_italica_v2.0:V:13586055:13593489:-1 gene:SETIT_000416mg transcript:KQL04975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSTPICFPSTKPPQLPALPLLPRPSPRLASASGPGSAALRLGLPPPPSVVSAMRRRGRRRATPPPGAAAGGGGEAAAPDSQEKRAGTDIKTLATRFWKVAAPYWWSEDKTQARLRLAAVFALTLGTTGISVGFNFLGRDFYNALADKDQEKFTQQLLYYLGAFAGGIPFFVLRDYAKETLSLRWRSWMTSYYMKRYFNNRTFYKIQSQSIIDNPDQRINDDLSAFTGTALAFSLTLFNAAVDLISFSNILYGIYPPLFIVLVVYSLGGTAISVFLGKNLVNLNFMQEKKEADFRYGLVRVRENAESIAFYGGEENELKLLLDRFRRAFDNLSELLIASRNLEFFTSGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILSDFSLIVFQFQSISAFSAVIDRLGEFDDLLDGNESSLSSQNDSVDDINIIFKSRSSVVSSNGSLTQSDPCMVLEILNLTLITPRSGNVLITDLNLELKDKDHLLVMGPSGSGKTSLLRALAGLWTSGTGDIIYHVRGSMQFENSNVSSDEPSNMKLEGEEVLQSSKQRRDNGIFFVPQRPYMVLGTLRQQLLYPTWSEDVHHSPNNDAESTDPLPFLSEVSTSEGVGAKPEMPSTAELVRVLEVVRLGYILPRFNGLDSMHDWASVLSLGEQQRLAFARLLLAKPTLVLLDESTSALDEANEAHLYSQIEAAGITYISVGHRKTLHKFHNKALYISKSELTDSNLRNWELKPTSQISVEESSPFSS >KQL07227 pep chromosome:Setaria_italica_v2.0:V:38486632:38488068:1 gene:SETIT_003100mg transcript:KQL07227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRRRGKRGRTSPDPPAKRRRGGPPETDADGYPEPAPAQQPFSVMVAGLPPGCGVLELKSRLEAYGPISRARVDAAAATGYVTFRSGAAAVAAIAASLDPDGGITIGSKKVLVVQASDAPSNSKGVFRAAEPTGRSLHDATKNNENNDSAIPGSKAAAGVTYKAREIVAYDDLF >KQL04103 pep chromosome:Setaria_italica_v2.0:V:6498722:6499348:1 gene:SETIT_003424mg transcript:KQL04103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSLRPAAVLLVAAVWLWSAACAAGDDGDITSLLPPGTASPFPFCPVRPAGVSTGPFPWMTPPPPSTATFPQDPGFLPSGACPASASGAVAWLPLLAVFSAFLLPWMYR >KQL06519 pep chromosome:Setaria_italica_v2.0:V:33938464:33943243:1 gene:SETIT_002371mg transcript:KQL06519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGQVLCVHGGLSPDVRTIDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNFVNGIELVCRAHQLVQEGLKYMFQEKGLVTVWSAPNYCYRCGNVASILSFDEKMERDVKFFTETEENNQMRGPRTAVPYFL >KQL06520 pep chromosome:Setaria_italica_v2.0:V:33938464:33943243:1 gene:SETIT_002371mg transcript:KQL06520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDLWISKVKEGQHLAEHELQTLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFATGGHVPETNYIFMGDFVDRGFNSLEVFTILLLLKARYPAHITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIINGQVLCVHGGLSPDVRTIDQIRTIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTAEFNFVNGIELVCRAHQLVQEGLKYMFQEKGLVTVWSAPNYCYRCGNVASILSFDEKMERDVKFFTETEENNQMRGPRTAVPYFL >KQL06921 pep chromosome:Setaria_italica_v2.0:V:36420363:36420539:1 gene:SETIT_005367mg transcript:KQL06921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCLCNMLCNHVDPEVCKNSSYLIIAWISVLKDVAPLYN >KQL08147 pep chromosome:Setaria_italica_v2.0:V:43804426:43806814:1 gene:SETIT_003185mg transcript:KQL08147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVSSTAYFSSQPQLPASTAGDPATPIASASRLVRQPRRNSSFVTVEASSGTGGDGVVRTRSLTEDDLEELKGCLDLGFGFAYSEIPELCGTLPALELCYSMTRRFLDEQREPGQEQETSATPLPNWRISGPGDDPEEVKARLKYWAQAVACTVKLCS >KQL07233 pep chromosome:Setaria_italica_v2.0:V:38528907:38537523:-1 gene:SETIT_000102mg transcript:KQL07233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMVEGCVGPSELHLKKELIALRKARFLRDPETCSSWRSPLSSKSFLTTSGVTHHIEIGSSLSQQHTEPAAAPPKSEKKRKNVYLYNWRHHSNKSSESGIKLDVDDKQASADCSLESPCISNGVDSRSDTCLEVPVSIYSVQGSNSCTPVKRTVRRVRRSSFSKKGAMRNSTVSKLLDLHVNSGEQSEDTENYNSENHEQLQKAGYFSRSTSPLFAASGCFSSSNPSKLLRVPRREGSSFSCTPVSTSSYYRYKGRNPSTVGSWDGTTAASLDDDGLNQPELLTSRCGIPCYWSKRSKQKGSERSCSPSLSDTLRRKGSSLLCGSQTMHRRKKSSGSNKYGYLNKSSQGVPLLGDSSHFAYSSFDSASDEVSTIFGELDLEALSRLDGRRWSSCKSQDGIALSVSGADHVVPDHRSLSQKYRPRSFLEIVGQNFVVQSLSNAITRERIAPAYLFHGPRGTGKTSAARIFSAALSCTATGEAKPCGICTECNDFFTGNGINLIEVDATNRKGINRVRHLIENIPASATSSRYKVFVVDECHMVSSKVWSAFMKFLDEPLPRVVFIFITIDPENLPRSVISRCQKYMFAKIKDIDIVCRLRKIAMKENLDVELAALDLIALNSDGSLRDAETMLDQLCLLGKKITPSLVNDLVGVVSEEKLLDLLEIAMSSDTAETVKRSRELMDSGVDPMALMSQLAGLIMDIIAGTYRLADPTCGGDGIGGRNITDAELERLQQALRILSDAEKQIRLSSERSTWFTAALLQLGSGHNSEITQSRSSSKQSAKATSETMMEAVRESSASRTTSHPLFAFRDSKKALDLKTTSGHSSPQGPSLSSRMKPNDNFIYGECRSVDRALLDSAQTSIPSEQRNTNSGISDNLTRIWMKCIENCHSKTLRQLLYDHGKLASVKECEGTIITFVAFEDNDIKFRAQRFVSSIRNSMETVLKCNVEVRICLMQEFLAGGLQHQVYPDETGESDVLSCSTNSERLKGVLNLSGAGGGLQSSNVPMIISEGNSGIHRTRGQEVAVEQLKTAALDEQRLESAWLQTAEKHTPGTLNQARPERNQVLPQTVGQHHGRSSMTTIVPSRHADKDLTNELKALKISDSHGSQKYQNVQTENGYAISPSLLHRNNHLANCDNESVVSESGAPGCHGLFPCWKTEKTKRRKGKGQTRLSSS >KQL05175 pep chromosome:Setaria_italica_v2.0:V:16905127:16906836:1 gene:SETIT_001842mg transcript:KQL05175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVENQMHHHPPKAPTQLQIKTKTHQVACCLVSLLTSENELAAGHSILVGAMASLLRWKDKYVKERLQGLSAGLSCSSAAATSVIVSSGRAIDRHSPRLRDPDRRFPPPVPKPPSSPYHYDDGKDKRKKKAAADGGVSSASSEHKKNKKKQAVQLQQVSPASSSRFLLNSSRLMRQSDDDDIAVVDYLPPPPFPSSPRPSFIDDDDGITVADSLPPLPSPRPAFIDDDMFHSRGDGTLQPAVPSGPHQLEALPPVELFAEPSAGAGSFSSSWSSSEKGRRAAGDKTAMMRSCSTRTGQHQVVVLRVSLHCKGCAGKVKKHISKMEGVTSFDIDIATKKVTVVGDVTPLGVLNSISKVKSAQFWPDALSSLSTPPRASASF >KQL08798 pep chromosome:Setaria_italica_v2.0:V:47121886:47126586:1 gene:SETIT_000591mg transcript:KQL08798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQKRLGYGSRGRQIPAMPRVPNSVRGKRTTRRKKNEMCAFDLLASVAGTLLTDQDSSSYVPNTSGAATSYARNRKSVKEEYFDEILHLKNVAVKKDCGSGCVVGSGGVSALPRKGNDGLAENSLTRNEDESVLKSLTVKSNMLARDSSVRCTRPCEISRGLGIICEYGAFGVFHPGSSSSAEAGQAHQAEPKVIRSKADGHTAALDSLFDSVDLDGRPPALVSSDSSSGVLLCSHDKEHKAASLCQVEMQHTADRDDDENSSGCTHPSTTGNEGYKPHFLGNHRIRKLLASKVRKAARNKTSGGMSSKGSKLNFCGKKIPTTRQKVQRTNFKRKKLARGTTPFAKGMLTGATGTSFRMQGQNKSCGSEDYHVKLRIKSFNIPELFVEIPETATIGSLKRTVMDVVTSIMEGGLRVGVLLQGKSIQDDSKTLRQAGICHGEKLNNIDFTLECERQQDSPSGVIIAEEMDFVSADIVEPLARMKYEEPFPGTKGGGGNQQPIKAYPNDSFAGCVHRPVEMASQDASASLQAIIPVAASDLNALAIVPICKTRRSEFGQRRTRMPFSVGEVEALVEAVEQLGTGRWRDVKMHAFDGADHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLSAQAYWSQQQAKLHGKAPLPEICLA >KQL03970 pep chromosome:Setaria_italica_v2.0:V:5641995:5646172:1 gene:SETIT_000976mg transcript:KQL03970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAAAHMFAYNATLCACDPGYYLRANSSGGNSSCAPLLGGGGDGDGFGDWHVGSVGATRNQSFYFLTPVLSLDVVRRLTQSQAVLLWAALAALAAWFAFCAAARFVGRDPARHKKLFDSRFWISRLDCIFDNNHYAEDQQVLRKRKTELGGTFSVATLILFLGLVTVLLYQAIKRRNVEVHRVKPANAPDLLDFVNDLEFHITTVSSMSCAQAVAPSTIAMGTPGFMDFRVQPLSTLLTYSCQNTSDGPSITLKCNGCRIPPRDHYVSWQFVDLPRQPAAAVGFQFNLTAKQHGDNSDVSFVSGTISSNNFVDDKLKTFRGRDSNVLKIQLFPQIYNNHHGLKLLQPLLQDFTQGSTFSDVGSLNASLQNPSDGVINTTLYISYLSDYIVEISNESVLGPVSIIASIGGLYAFSVAIFLCLMAQCEGRIKKLRNEDTRMLKILSKQRAQRNWSKVRKFVMYTWGPSNLDPTDRSGKWPEASVMDSLHGSFHKRRKPIRRGTSSGSKPKKPADMAIEIERVGEMQQPSSSRQP >KQL04504 pep chromosome:Setaria_italica_v2.0:V:9420823:9421424:1 gene:SETIT_003593mg transcript:KQL04504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRIKSNSGRLDIVLNGGITRGMDTITPDHTIGLMLCTFVRPMLWSSKDSMVGKLSVYRGVDANLPFSVSKGWQGLIR >KQL05872 pep chromosome:Setaria_italica_v2.0:V:28641527:28642291:1 gene:SETIT_005389mg transcript:KQL05872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPFPLRASLDRSRASPRRRAAGPIEMHAPILAPHPPAARGSLKRAVDEEIEADSAAFCRTCHEPGMHLPRAR >KQL08240 pep chromosome:Setaria_italica_v2.0:V:44360965:44364366:1 gene:SETIT_001224mg transcript:KQL08240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRRLARKLPSLISRHQRLISPEIEAAELTESPTISASIPLDPSLPVLPLAVSHLSPPSPLPALPSAHASSPASLLRLLRRARHHPQLAALDLHILLAAADASPAFRPDHGLTSLLAARLAASRRLPSLRRLLDLVLARPCPCADDSIFACPELLPTFRKAIVAFAASGDIPAASEALASLRRAADSPLPAEFYNIILHALSRLRRHVDVIRFYGEMTSVYRVAPDAYTFNILINSSCRAEGVNTAMRWFGEMQRRSCAPTGVSFNTLMRGFIREGRYKEGIKVAREMLELGVGLSVVSMEILISGLCRGGEALKAAEVFVEFWGDGVVPERFDCFELVEALCRVGKIDKAVEVVDMVLERNMKCCLSVPAGVTVLECLMKAGKLDKVCQLMWRMIDQGIVPDTITCNCVFEALCEAGRAADANQLRVLAKEQGFVADGETYRMLVQGFGRQGRRKEGEAVLDEMLDSGFVPNIASYNRLLDGLL >KQL08241 pep chromosome:Setaria_italica_v2.0:V:44360965:44364366:1 gene:SETIT_001224mg transcript:KQL08241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRRLARKLPSLISRHQRLISPEIEAAELTESPTISASIPLDPSLPVLPLAVSHLSPPSPLPALPSAHASSPASLLRLLRRARHHPQLAALDLHILLAAADASPAFRPDHGLTSLLAARLAASRRLPSLRRLLDLVLARPCPCADDSIFACPELLPTFRKAIVAFAASGDIPAASEALASLRRAADSPLPAEFYNIILHALSRLRRHVDVIRFYGEMTSVYRVAPDAYTFNILINSSCRAEGVNTAMRWFGEMQRRSCAPTGVSFNTLMRGFIREGRYKEGIKVAREMLELGVGLSVVSMEILISGLCRGGEALKAAEVFVEFWGDGVVPERFDCFELVEALCRVGKIDKAVEVVDMVLERNMKCCLSVPAGVTVLECLMKAGKLDKVCQLMWRMIDQGIVPDTITCNCVFEALCEAGRAADANQLRVLAKEQGFVADGETYRMLVQGFGRQGRRKEGEAVLDEMLDSGFVPNIASYNRLLDGLL >KQL04509 pep chromosome:Setaria_italica_v2.0:V:9451032:9451556:-1 gene:SETIT_003647mg transcript:KQL04509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRAGGRDRAAREWREARTAAVEAREEHGRRQRRPRGSGAKPGVKKRNASAAYYRRHIFWFW >KQL07386 pep chromosome:Setaria_italica_v2.0:V:39447130:39450508:1 gene:SETIT_001431mg transcript:KQL07386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGAKFWEVVCDEHGIDPTGRYTGNSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEEMNPDDM >KQL03461 pep chromosome:Setaria_italica_v2.0:V:2532707:2536105:-1 gene:SETIT_003527mg transcript:KQL03461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNEENRAAPQREEASFQGKYGGISPKKPLINKDHERAYFDSADWVLGKQGASSNSAKVPAAETLKPKLQRTAYHQLPPRRPACTSE >KQL03465 pep chromosome:Setaria_italica_v2.0:V:2533065:2535759:-1 gene:SETIT_003527mg transcript:KQL03465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNEENRAAPQREEASFQGKYGGISPKKPLINKDHERAYFDSADWVLGKQQGASSNSAKVPAAETLKPKLQRTAYHQLPPRRPACTSE >KQL03462 pep chromosome:Setaria_italica_v2.0:V:2532707:2536105:-1 gene:SETIT_003527mg transcript:KQL03462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNEENRAAPQREEASFQGKYGGISPKKPLINKDHERAYFDSADWVLGKQGASSNSAKVPAAETLKPKLQRTAYHQLPPRRPACTSE >KQL03463 pep chromosome:Setaria_italica_v2.0:V:2533065:2535759:-1 gene:SETIT_003527mg transcript:KQL03463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNEENRAAPQREEASFQGKYGGISPKKPLINKDHERAYFDSADWVLGKQQGASSNSAKVPAAETLKPKLQRTAYHQLPPRRPACTSE >KQL03464 pep chromosome:Setaria_italica_v2.0:V:2533065:2535759:-1 gene:SETIT_003527mg transcript:KQL03464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFNEENRAAPQREEASFQGKYGGISPKKPLINKDHERAYFDSADWVLGKQQGASSNSAKVPAAETLKPKLQRTAYHQLPPRRPACTSE >KQL06680 pep chromosome:Setaria_italica_v2.0:V:34961239:34962634:-1 gene:SETIT_004039mg transcript:KQL06680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYKFIENQFCKPNTVHGVTFGGCVVPSILSPHHIHIGLSDPSSRRNSFTSTSSCVAPTRRSRAMRSSTSSGRPAPSPADATNRTILFNGFFILLTMLPSMESIANPDEKPTTCSVELAGFEGLQPALSPRVTSPAFDLILRVNNGHTFCLRHGGGDVVVSYAGVPLAHGRAPSFELGDKEVLALPVKATSAGVGIPGDLSRLMADERRWGVAQIQVEFGLAWESFVCDVELDGHPRVSECYKPTSVN >KQL04397 pep chromosome:Setaria_italica_v2.0:V:8746829:8747455:1 gene:SETIT_005575mg transcript:KQL04397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNITFSSNSSNKTLKSEGRRFSTLKQYKNALSDHISTLQKRQRRRH >KQL07915 pep chromosome:Setaria_italica_v2.0:V:42499593:42502795:1 gene:SETIT_001053mg transcript:KQL07915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGMSSLETAMKASSSIASGTARNAEPDQHPVCSNSLEQFYFPRPGQSLPGIPPFFGPPSSSLYLPNDNEAKVGNQFEPNPSQSTDWDPQAIVSNLTFLEQKIKQVKDVVQAMSNRENHVAGGSCELAAKQQLITADLTSIIIQLITTAGSLLPSMKNPLSSNLAVRQLGNTLGSPMGFGMNTNQRPSVDSKTNIPDSGKVSDYEELINSLNTTQDERDEMIKCPNPCVGEGSEPTPMEDHDVKESDDGEHEGENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTAAALAKPTKDSGSEHAPVTRYSCPFVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDVKISEASEQQQGSEPMNEISRSVGCFPCSSSDGISNLDMKMADDARGYYSPMSFDPCFGTLDDFTRPGFDISEDPFSFLPSGCSYVQQNGDN >KQL07916 pep chromosome:Setaria_italica_v2.0:V:42499593:42502795:1 gene:SETIT_001053mg transcript:KQL07916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGMSSLETAMKASSSIASGTARNAEPDQHPVCSNSLEQFYFPRPGQSLPGIPPFFGPPSSSLYLPNDNEAKVGNQFEPNPSQSTDWDPQAIVSNLTFLEQKIKQVKDVVQAMSNRENHVAGGSCELAAKQQLITADLTSIIIQLITTAGSLLPSMKNPLSSNLAVRQLGNTLGSPMGFGMNTNQRPSVDSKTNIPDSGKVSDYEELINSLNTTQDERDEMIKCPNPCVGEGSEPTPMEDHDVKESDDGEHEGENLPPGSYVVLQLEKEEILAPHTHFCLICGKGFKRDANLRMHMRGHGDEYKTAAALAKPTKDSGSEHAPVTRYSCPFVGCKRNKEHKKFQPLKTILCVKNHYKRSHCDKSYTCSRCNTKKFSVIADLKTHEKHCGRDKWLCSCGTTFSRKDKLFGHVALFQGHTPALPMDDVKISEASEQQQGSEPMNEISRSVGCFPCSSSDGISNLDMKMADDARGYYSPMSFDPCFGTLDDFTRPGFDISEDPFSFLPSGCSYVQQNGDN >KQL08226 pep chromosome:Setaria_italica_v2.0:V:44294122:44297469:1 gene:SETIT_004206mg transcript:KQL08226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGSGIGGFPGAPGNILDAAAQAFYPAAGAPYPIQPLPHQVYCPHPYPAMPVPPPAMAVPVPVPPMAMALAPPQPGYPLPTSTPVVDGPSSRVVVLGLVPPHAQEADVAQAMAPFGAIRSVDASAVASEGAVTVHFFDIRAAELAVACVREQHMRQQSRLGQLYAAAVSWPPPPAPQPWDWPHDDTRGLVLGHAVWAHFAAGADDGENRGSLVVLSPLPGVSVADLRQVFQAFGDLKDVRESAHRPSHKFVDFFDTRDAARALAELNGQELFGRRLVIEFTRPSGPGPRRRGGYVPHHRPSAPTPPRHQAAWRPSQLPSSQPSASSSSSSGSVRAREGVVLLRRSSSKSSAGDQSKGGGAGTSHERKGKGGNKVVSPAPSSSTATAPVKQAQKAAGSSGGGNWKGRKSGWEARFLFKEPEAAGDAAGTQAAAAAVEMDTRTTVMIRNIPNKYSQKLLLNMLDNHCIQSNERIAAGGEGQPFSSYDFVYLPIDFNNKCNVGYGFVNLTSPEAAVRLYKAFHKQPWEVYNSRKICQVTYARVQGLEALKEHFKNSKFPCDSDEYLPVAFSPPRDGNLLTEPVPIVGRSPAASSSSPPKSPTASIDPLTQQLMPAPSSSGDGDGDGASSTTASAHAPSDDDHADDDNEEDRLAGELRRLGYTD >KQL05267 pep chromosome:Setaria_italica_v2.0:V:19713541:19716150:-1 gene:SETIT_000316mg transcript:KQL05267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHRIRLLHPIFYQPNGRPRRDLATNAALQWLDDELTSLALPKLDSYASARFLQRCIARGDARAGRALHARVVQRGGVARLDTFCANVLLNLYAKLGPLAAARRVFDGMPERNMVSFVTLVQGHALRGEFGEAAKLFLRLRREGHEVNQFVLTTVLKLLVAMDAPGLACSVHACACKLGHERNAFVGSALIDAYSLCGAVRDARLIFDGIIGKDVVTWTAMVSCYSENESPEDAISVFSKMRMAGSKPNPFALTSVLKAAVCLSSTVLGKGIHGCSVKTLCDTEPHVGGALLDMYAKCGDIEDARTIFEMIPHDDVIPWSFMISRYAQSYQNEHAFEMFLRMMRSSVVPNEFSLSSVLQACANIALFDLGEQIHNLVIKLGYESELFVGNVLMDLYAKCRNMENSLEVFSSLRDANEVSWNTVIVGYCQSGFGEDALSVFREMRAAQMLSTQVTYSSVLRACASTASIKHAVQIHSLIEKSTFNSDTVVCNSLVDTYAKCGCIKDAQKVFEAIKQCDVISWNAIISGYALHGRGRDALELFNRMNKASIKANDVTFVALLSVCGSTGLVNQGLSLFNSMRMDHGIKPSMDHYTCIVRLLGRAGHLNEALKFIEDIPSAPSAMVWRALLSSCLVHKNVALGRFSAEKVLEIEPQDETTYVLLSNMYAAAGILDQVALLRKSMRNIGVKKEAGLSWVEIKGKVHAFSVGSVDHPDIRVINAMLEWLNLKAIREGYVPDIDVVLHDVDEEEKARMLWEHSERLALAYGLAMTPPGHPIRVMKNLRSCLDCHTVFKVISKIVQREIIVRDINRFHHFEEGICSCGDYW >KQL05018 pep chromosome:Setaria_italica_v2.0:V:14114837:14115259:1 gene:SETIT_005294mg transcript:KQL05018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVHRISLSIRFLPVHGINSLSYHACGNSEVILILILLKQKYDNCR >KQL08679 pep chromosome:Setaria_italica_v2.0:V:46652087:46652719:-1 gene:SETIT_004214mg transcript:KQL08679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDRAGYLDAEDEGPQAAEGAGRLPGQEDEVVAADRAELHGEGVLEVARVALGVVRDELLRRADAGGRDGGDEVHEAGGVGARREVEVEPAGVPVHAEGRVGRAVPDELLLEVEERAAVRRALPHLHDGGPLVGVGLGPAAGVAEAVVDGELDDVGLLHRDAVEDLAAEAEAQLEALGVGLREHELRGGDRGAEAGDLAVHAAEEDLHELG >KQL05473 pep chromosome:Setaria_italica_v2.0:V:24903409:24904629:1 gene:SETIT_004156mg transcript:KQL05473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALSMEELPEETQRLILRHIPCSVDHGRMSLVCRAWRNMIRRQRNQLVGQLLPQRRLLPWLLLRAPFPVGSTRVACVLSGCRVHHFLNITPPEARCFGSHDGAWLLLDTRELRPHKALNIRTGNVCDLPRKLRRRTDPYIHRMVIHVATLSSSPEHTNYVGAAIVTSWRNPAPGVVAALPPRRRCVALWRKNWRWVFDFVPPGDGDVALDVEDVLYLYSGAFAFVTQGEHLRLCKPFRLQENMLTTNWETLRFRPRGRLHDQYVRARYLVVSREELLMVVRFTPHPNQPTSKFKVFRGIERNIADADANFPVDMYPFEWSELDTLGDQMLFVGHGCSRSYKADEYLQGGIYFLDDGKFYDDAVIFGNGNVNHYPCSDNGMWSEGGHVQRCFPRPDPSDQSAPVWLLP >KQL05367 pep chromosome:Setaria_italica_v2.0:V:23492510:23497856:-1 gene:SETIT_000086mg transcript:KQL05367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRGAAAPPPAPRAGDLVITQVSLGGFDATVTARDLADFLESEVGQVWRCRVKTSWTPPDAYPDFLLPAVTPAAGAAGQPPQYDRVPPHAFVHFARPEAARRAADAAGRSELILGRKPLRAASAPDSSIRASRRRNVKPFRFTDSRLEVGDLPAPDSFFAAWRGPDAGLEFSVDPFDGTCRFVFTRDTAFAYQEYRQAAVVMRCDIKLEFPVRDVAEVRTFQLDSSLLLRLSSTPLVFYRTADDDIHESVPFDLLDDDDPWIRTTDITPSGAIGRCGVYRVTFPRRFWPKMEHALAYMRERRVEIVECGGGWGSRRGLSVRDEPEFGERMQDLFFCMQHAEGLKFPVLFLVNALVHKGVITQHHLTPEFFGLLQRREDEVNVAALREFWGDKFPVFDACRRLKNLQDRVARNPKLLRNKIGHENSEVRRLVITPTRAYCLPPEVERSNRVIRHYREVADRFLRVTFMDEGMQQLNSNVLNFSAAQIVKDLMSNSFQHKTTVYKRVKTFLTEGFHMCGRKYSFLAFSSNQLRDRSAWFFAEDRTRTVESIRKWMGRFTSKNVAKHAARMGQCFSSTYATVVMQPDEVNECLEDVERNGYVFSDGIGKITPDLAMEVAKTLQLTDNPPSAYQIRYAGFKGVIAVWQGENDGIRLSLRPSMHKFESTHTVLEVVSWTKFQPGFLNRQIITLLSSLNVPDAIFSQMQEAMLSNLNNILSDTDVAFDVVTTSCAEQGNTAALMLSAGFSPGTEPHLKAMLLAIRSSQLLGLLEKTRIFVPKGRWLMGCLDELGILEQGQCFIQASSPMLNNFLVKHGPKCSSANKNAETIVGTVVMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKKGERPHANEASGSDLDGDLYFVTWDEKLIPPGKKSWNPMDYTPAEAKQLPRQVSQHDIVDFFLKNMVNEKLGPISNAHVVHADTSEYGAMDEKCIQLAELAATAVDFPKTGKIVSMPPSLRPKLYPDFMQKDEAITYKSDKILGRLYRSIQEASSSDLVPEETCTLNDLPYDTDMEVPGATDFLSSAWLCKCSYEAQLNALLNQYGVRTEAELVTEHIWSLPKYSSRKQGDIKERLKNSYSALRKELRSTFESIETDETEISEDEKNRVYEMKASAWYQVTYHPKWVQKSREMLGPDCEEMPARLSFAWIAVDYLARIKIRCQGEVRSNSQRPVERLAAYISESM >KQL08017 pep chromosome:Setaria_italica_v2.0:V:43167636:43171990:-1 gene:SETIT_000354mg transcript:KQL08017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGRGAKMDGRRPSSSSSSFCTTTTVVVFVALCLVGAWMMTSSTVFPLEISSNKKSVVKQQPAPVNFGASEDAASGNAGESSGKFEDTDNNDTTVPEESNNKEAPEEEKFTENMAEKPEEKEQEPPREREENKDMFDDANGKSEGRSDDVKNDNDDGDKSEEKKDDEITNESGDEKPDGERKDDREEKSEGDATQEEQPQIEEKVEESGEKKEQSSNSNEVFPDGAESELLKESNTQNGSFPTQAAESKNEKEVRASSKSSGDESSYSWKLCNSSASTDYIPCLDNEKAIKKLRSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWPKSRDKVWYSNVPHTRLAEYKGHQNWVKVSGDYLLFPGGGTQFKNGALHYIDTIQQALPNIAWGKRSRVILDVGCGVASFGGYMFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPSRVFDVIHCARCRVPWHIEGGMLLLELNRLLRPGGYFVWSATPVYQKLPEDVEIWNAMSALTKSMCWKMVNKTKDKLNQVGMAIYQKPMDNNCYEKRSENNPPLCKDSDDADAAWNVPLEACMHKLPAGPTVRGAKWPESWPQRLEKTPFWLNGSQVGVYGKPANEDFEADNAHWKRVVSKSYVNGMGIDWSKVRNVMDMRAVYGGFAAALRDQKVWVMNIVPIDSPDTLPIIYERGLFGMYHDWCESFSTYPRTYDLLHADHLFSKLKKRCKLMAVFAEVDRVLRPQGKLIVRDTADTINELESMAKSLKWEVRMTYTKGNEGLLCVEKSMWRPKELEAST >KQL04794 pep chromosome:Setaria_italica_v2.0:V:11828091:11831588:-1 gene:SETIT_002441mg transcript:KQL04794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIATESRGSEDKASAHANEEKRWVLSDFEVGKPLGRGKFGHVYLAREKRSNQIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQTRVYLILEYAAKGELYKELTRCKHFSERRSATYIASLARALIYLHGKHVIHRDIKPENLLIGVQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVEKTEHDYHVDIWSLGILCYEFLYGVPPFEAKEHSETYRRIVKVDLKFPLKPFVSPAAKDLISQMLVKNSAHRLPLHKVLEHPWIVQNADPSGVYRG >KQL06546 pep chromosome:Setaria_italica_v2.0:V:34088314:34088636:-1 gene:SETIT_005556mg transcript:KQL06546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDECASFSLLQLSGNKDFFPRNSFSDVASNLLWSRYAGFLFPPFFYI >KQL03797 pep chromosome:Setaria_italica_v2.0:V:4639382:4641022:1 gene:SETIT_001100mg transcript:KQL03797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVHPRRRHRRLVDGASRPDRHVGAAGVVPCRAVAALLPGCRGRMRRRPDHPHRRGPDADRLLAPAAVQEPAAATFLPAGAAGHGGGEAGLGGLRVRDHVAEVVDAARGRGAGEVGQAGRVVRAALGRGHAPHHAGLARRVHALAQCWLRGGGDVGEVVVGGVPAAATPVVRGRVRRGRSEAFQVEQVRARVAHRILVHHRRRHPGAARERHGRRRRREREPPGGHDAAVDLRLESGERRVASAPAWRRREEDVAGGGRERAAPRRHGDLHGFGGLLMIGCGSSWRRRVLRLIEDVALQALVDGGLGAEPCVEVRRRRRAPRLGRDVDGDRLPAGAGAVAAEQRPALARTTTAGSLPPAVVTLVVAPVHRAGAGRLVLPCGGRVGVGPGQQPGPAVPAGLGGRGGAAALAGHVRRRRDGQPRQQLMIRERWRGGEDDGGVASDYVGRAEVALGREDADLGAARPASRRAEVRPGPGPCGGEADRPRRRDPVPVHVSATVRHDLSLFVSR >KQL03665 pep chromosome:Setaria_italica_v2.0:V:3789627:3791449:1 gene:SETIT_001249mg transcript:KQL03665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAASPASAPAPAAEDPLSAASVLDTLGAEVLAVMSPVSICMALVVLLISLLSPPSSGPAGASPPPVTAATLVYLESPNDTPAQKFLGALLDAAVFVALVAVVTFVLVALYYYRCTGFLKNYMRFSAFFVIFSMGGAIAAAVLRRLAAPLDAPTAFLLLFNGAAVGVLSVFASAVPILVRQGYMVALAVIVAAWLSRLPEWTTWIMLVALALYDLVAVLAPRGPLRMLVELASSRDDELPALVYESRPTVGPATSSSSYASAMGSVEMQTMTDSGRAGGSRYDRVEQDEDASRAVVEMRDLRRGQSNIGEMNRSSGSVLQMDNLEREVPVTSTELPSIQGGSSQHAVIQIEQPEEEETAPLVSAASTNNAASDEEQRQSSSSEPPLDFEMFESTRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIIAGLGCTLILLSICRHALPALPISIMLGVTFYFLTRLLMEPFVVGASTNLVMF >KQL05325 pep chromosome:Setaria_italica_v2.0:V:22529075:22532085:1 gene:SETIT_000489mg transcript:KQL05325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALLLLLLLSTAEALTPDGQALLAFKAAVVQDPTGALANWDATAADPCAWNGVACSSSSTDATQPRRVVALSLPKKRLVAALPAAPLPSSLRHLNLRSNRLFGPVPPELVSGAPALQSLVLYGNALNGPLPEELGRLAFLQILDLSSNALNGSLPASILKCRRLRALALARNNLTGPLPAGFGAQLSALERLDLSFNGFSGAIPEDIGNLSRLQGTVDLSHNHFSGPIPASLGRLPEKVYIDLTYNNLSGPIPQNGALENRGPTAFVGNPGLCGPPLKNPCSPDAMPSSNPSLPNDGDSSAPEAAGRGKGKGKGLGKIAIVAIVLSDVVGILIIALIFFYCYWRAVSSKGRKGHSAAAGSKGSRCGKDCGCFSRDESGTPSEHAEQYDLVALDQQVRFDLDELLKASAFVLGKSGIGIVYKVVLEDGLTMAVRRLGEGGLQRFKEFQTEVEAIGKVRHPNIVTLRAYYWSFDEKLLIYDYIPNGSLSAAIHGKPGTMTFTPLPWEARLKIMKGVAKGMSFLHEFSPKKYVHGDLRPNNVLLGTNMEAYISDFGLGRLANIAGASPITQSDRVGLEKAQSQQSDASVSPLMSKGSCYQAPEALKTLKPSQKWDVYSYGVVLLEMITGRSPAVLLETMQMDLVQWVQFCIEDKKPSADVLDPFLARDSEREDEMIAVLKVALACVQANPERRPSMRHVAETLDRLNGSS >KQL03793 pep chromosome:Setaria_italica_v2.0:V:4625150:4627132:-1 gene:SETIT_0026452mg transcript:KQL03793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NHPMLAKEYERVRAGKPPFMLDMSRYGLEPPPMNKRNDVAAWRQALRNAQSQLQHQIIRIENLELMLKYGVDVWKLQNRQMESVLSRMQKTAVEYNEKIETVNRERKFHQQNTGGQLHALTMEWQELCQKNIAIEAACVDLQNHIDQLKLEAEELGMPMEDHTGTNSQAS >KQL03792 pep chromosome:Setaria_italica_v2.0:V:4624672:4627132:-1 gene:SETIT_0026452mg transcript:KQL03792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NHPMLAKEYERVRAGKPPFMLDMSRYGLEPPPMNKRNDVAAWRQALRNAQSQLQHQIIRIENLELMLKYGVDVWKLQNRQMESVLSRMQKTAVEYNEKIETVNRERKFHQQNTGGQLHALTMEWQELCQKNIAIEAACVDLQNHIDQLKLEAEELGMPMEDHTGTNSQAS >KQL05627 pep chromosome:Setaria_italica_v2.0:V:26454830:26456137:1 gene:SETIT_004862mg transcript:KQL05627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGCEYKRRRWYVRYVGESNGAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRSWVPPPPNPPRMTEEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHYIYGLMAMWPTEEEVRDFESEKAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKSRKQQEIKEKIRKKYDVPIPDDDLLWGKIYQDMVHETGVEPKGLYARETIIKYWRQNRSKEKIGGSCRRRGNWRNKG >KQL06022 pep chromosome:Setaria_italica_v2.0:V:30152044:30159638:-1 gene:SETIT_000041mg transcript:KQL06022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELATAPPPRRPHRERRHRRKASDAAAAALAASSYGDVFGGPPRFAPPPAFAEGAGAAPADYAEVFGGVAASCSIPYLDLPPAVADGVGAGAGGYGEIFGRFDFGDFAAPYEEMLPGAECMAEEIASPSGSSRSSIRKESGQLDAEPSILYQQYPNACCDQHFDVEQFYPVSFHPDGDQRFSMSYNKASRGRPDDVVEMTTCIVEPSISYVVDSCNLSNDSEMDNVPVTDTGAHANGVKEKMSPQNAAAVGLKSANSESVVDQQLHIPTCPSISENICEDESFNKRSSIHSVSSEEAPSPDYPFLRASDISIPAAPIKVQPPPIPPSKLLNKNGNKEHGDADVNPNSAAAAAMKEAMEFAEARLKAAKDLMERKGDSFKFRKKPAHHRSTKSTEIKERKAPEDVHLFEEKLNVRRLAKEENQNNDITLLDKNRVGGALKPVHCDRDKKGFISPGKPQEMMQNGSELEQLGNWTSDAEFYELVSNDQRCRPNEAACQGNNDLMTNSFTKLDQSEKEKAEGFAGEPRRFRKLWDSSNTTVLRMEHVNQVKDGMASLEAEQKAPRLPEGKDGIPSMEAEQKAPRSPDVPFCDARVTYQEPSKGDNDLMTNSSAMLDQSDTGKAGGFAGEPKRSRKLWSSNNTIGMRMEPVNQGKDSIACVEAEQKAPWSQEIPFCDERVTYQEPTDSHLKQCSGVGNEGHGNDGQFEISCMNSLPAEVHADLEMSCSFLEPCLSGGHANGNKNNSDFTAQETPLVGNSNQGNNNKEGLELPCTDEFSCTSARSQVLQEPANVPNTDEIKEGLVKISKLEESAKPYEIFEKEMLFNFVDEACLQNKNERVNEVTSESLIHEEMTKHGIEENEDARGYFQEGDVDQVSGSPEEEGYLTSGSAIANESEYEEAEVDAFLGEGKLVESNVRTCGTCDEDPYQFQESQGSWGPQDLENRMDRVEDIVSHGEEREVQKSLLENVDKILVEEVLSHDGKEGQKSMETCVYRRADGVYEEVNVRSDTDDDQFDSVHEFITDEGADYAMKMGTLSNNLQASFSEACTSMKHLSQNTESVSAEKDNFLKNLEVDCREADRKIPTEKITTLEEGQNTGSKVEERDKVAEDTASETVLESREENIGVQRTKVRNDVKETGEIEKEVLTRLDEEKEKVRKLEKEKEQDKERQRRELEEEKEREMERAKDRLAVQRATREAHERAFAEVRAKAERIALERITSARQRASAEAHEKEEKTTCQAALEKASREARMKAERAAVERATAEARERAIEKAKAAADAKERMERFRGSFKDSFKAPNQDNQHEVQFQKTASNNHGKSKDIEVVEVESALRHKAKSERHQRTAERAAKALAEKNMRDMLAQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYILGSDSGWQPVPLTELITAAGVKKAYRKATLCVHPDKVQQRGATIRQKYICEKVFDLLKEAWNKYNSEER >KQL06023 pep chromosome:Setaria_italica_v2.0:V:30153292:30159638:-1 gene:SETIT_000041mg transcript:KQL06023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELATAPPPRRPHRERRHRRKASDAAAAALAASSYGDVFGGPPRFAPPPAFAEGAGAAPADYAEVFGGVAASCSIPYLDLPPAVADGVGAGAGGYGEIFGRFDFGDFAAPYEEMLPGAECMAEEIASPSGSSRSSIRKESGQLDAEPSILYQQYPNACCDQHFDVEQFYPVSFHPDGDQRFSMSYNKASRGRPDDVVEMTTCIVEPSISYVVDSCNLSNDSEMDNVPVTDTGAHANGVKEKMSPQNAAAVGLKSANSESVVDQQLHIPTCPSISENICEDESFNKRSSIHSVSSEEAPSPDYPFLRASDISIPAAPIKVQPPPIPPSKLLNKNGNKEHGDADVNPNSAAAAAMKEAMEFAEARLKAAKDLMERKGDSFKFRKKPAHHRSTKSTEIKERKAPEDVHLFEEKLNVRRLAKEENQNNDITLLDKNRVGGALKPVHCDRDKKGFISPGKPQEMMQNGSELEQLGNWTSDAEFYELVSNDQRCRPNEAACQGNNDLMTNSFTKLDQSEKEKAEGFAGEPRRFRKLWDSSNTTVLRMEHVNQVKDGMASLEAEQKAPRLPEGKDGIPSMEAEQKAPRSPDVPFCDARVTYQEPSKGDNDLMTNSSAMLDQSDTGKAGGFAGEPKRSRKLWSSNNTIGMRMEPVNQGKDSIACVEAEQKAPWSQEIPFCDERVTYQEPTDSHLKQCSGVGNEGHGNDGQFEISCMNSLPAEVHADLEMSCSFLEPCLSGGHANGNKNNSDFTAQETPLVGNSNQGNNNKEGLELPCTDEFSCTSARSQVLQEPANVPNTDEIKEGLVKISKLEESAKPYEIFEKEMLFNFVDEACLQNKNERVNEVTSESLIHEEMTKHGIEENEDARGYFQEGDVDQVSGSPEEEGYLTSGSAIANESEYEEAEVDAFLGEGKLVESNVRTCGTCDEDPYQFQESQGSWGPQDLENRMDRVEDIVSHGEEREVQKSLLENVDKILVEEVLSHDGKEGQKSMETCVYRRADGVYEEVNVRSDTDDDQFDSVHEFITDEGADYAMKMGTLSNNLQASFSEACTSMKHLSQNTESVSAEKDNFLKNLEVDCREADRKIPTEKITTLEEGQNTGSKVEERDKVAEDTASETVLESREENIGVQRTKVRNDVKETGEIEKEVLTRLDEEKEKVRKLEKEKEQDKERQRRELEEEKEREMERAKDRLAVQRATREAHERAFAEVRAKAERIALERITSARQRASAEAHEKEEKTTCQAALEKASREARMKAERAAVERATAEARERAIEKAKAAADAKERMERFRGSFKDSFKAPNQDNQHEVQFQKTASNNHGKSKDIEVVEVESALRHKAKSERHQRTAERAAKALAEKNMRDMLAQREQAEKHRLAEFLDPEVKRWSNGKEGNLRALLSTLQYVGLLSELFTSWLPWSFHWFLSETFNLRCQMDSIEIYFRKFKK >KQL07840 pep chromosome:Setaria_italica_v2.0:V:42100470:42104158:1 gene:SETIT_001718mg transcript:KQL07840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCSPSPRRRRPAEPGSPPLDGAVSAPTVTVNSISVSPYALARSPSFSAAAVDAEDAGVVRVYGSDGCPVAWRLRISLLYKAAAPLHFTPSEAAPLGRPVLRLSAADPEVCGTADELLRQVDARFDVKPRVAPPERSRAASPAAAAAAEVAELVRLQHRSAERHLEGVAAKVAEMVKKGKKSGKGRSVVEAAEVRRLGKWYGDAMEVMLEHARMEETLIFPDIQRASFPGVCDKVNEQHGRHLPMMNGIKEDIKTLLTLELGSPLFHEVLVNLSVRLKALQDHTKEHFKEEEGDMLPRLEGVRRMQREEGKVSDKSSSAWASEAVATMEVTHSKLFPFFMTGLLPQEAVHYLDLVCRCTKNTRHLVSMLRSLAERLEDANPSIIHNNPTKLYEHLLVKSP >KQL07859 pep chromosome:Setaria_italica_v2.0:V:42198994:42199755:1 gene:SETIT_003170mg transcript:KQL07859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFVWASPPSLTCMHILIRPTNFPFSSLTTQSIRDVDDSTIDPTRHHHQLQRQTAVDRQRARRRRQLAELVPDDPVHPQVHGEHPRAVVLTELADVQVHGQAAHAHGRHRVRAPRALERHRHLGAAEALALVQPRRLDPVVVDGHAPVGVRDFHSTAVRL >KQL06117 pep chromosome:Setaria_italica_v2.0:V:30790483:30792288:1 gene:SETIT_004621mg transcript:KQL06117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLPLPPPGAPLPAFARTLAELLVALSTTRALPKGQQLHGYLLKAGHLPATASSHTLLAHHLLTFYSRCALPDLSHRAFLDLPSPPSPAAWSSLISSFSQNGLPVAAFDAFRRMLATGVPATDRSIPSAAKAIAAAETSSRPPLAPQALHGLAAKTPFAGDVFVGSAVLDMYAKCGHLADARRLFDEMPERNVVSWSALICGYADAGMHPAAMGIFRLALEEDVPVNDFTVSCIIRVCAAATLFELGAQVHARAIKTALDVSPFVGSSLVSLYSKCGLVECAYRVFSEAPEKNLGIWNAVLIASAQHGHTSAAFERFMEMQKDGFRPNHITFLCLLTACSHAGLVDEGKRYFALMKEYGTEPQSEHYASMVDLLGRVGRIKEALDLIESMPMEPPESVWGALLMACRMFKDADTAAIAAKRLFETGSRSSGAHMLLSSTYAAAGRHVDAALARKAMRDAGVRKETGLSWLEAAGEVHTFVSNCRRHQRSEEIYRVLEKVGEKMEAAGYMADTSVVVKDVDGDEKRATVRYHSERLAIGLGLLIVPEGVPIRVMKNLRVCDDCHNAIKYLTKCTGRVVVLRDNRRFHRFEDGMCSCGDFW >KQL06294 pep chromosome:Setaria_italica_v2.0:V:32407383:32411030:-1 gene:SETIT_001474mg transcript:KQL06294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALRMKRMLEDTDFANEFNTKTVKSMKISHFHVSELEQSAVLNSPDKVPQDDSDPTIQLADQDIRVMEASGLHDLHGGKSVGVFKDLTSGVVVSPNSEADSSANYDDDESLLHIVNYVDKEFADEGVDYSAQSFCAVSDHEASWGPNQCCSLLDIYSPDGDFHFLLDNPADLLPSYTGLCEEFVSIDAFMNASSRCGSFPLIESVTEASIDNKPCSPEVDLCFSNSDVLEWLNPHLSEEDLPDLVDFAELNSNAIPATKEQGTRKVTLVLDLDETLVHSTMEQCDDADFTFPVFCDMKEHVVYVKKRPHVDMFLQKMVEMFDVVIFTASQSVYANQLLDMLDPENKLFSKRFFRESCLFTDSSYTKDLTVVGVDLAKVAIIDNTPQVFQLQVNNGIPIESWYNNPADEALPQLIPFLETLAVADDVRPIIAKKFGNIIDSC >KQL06293 pep chromosome:Setaria_italica_v2.0:V:32407003:32412592:-1 gene:SETIT_001474mg transcript:KQL06293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALRMKRMLEDTDFANEFNTKTVKSMKISHFHVSELEQSAVLNSPDKVPQDDSDPTIQLADQDIRVMEASGLHDLHGGKSVGVFKDLTSGVVVSPNSEADSSANYDDDESLLHIVNYVDKEFADEGVDYSAQSFCAVSDHEASWGPNQCCSLLDIYSPDGDFHFLLDNPADLLPSYTGLCEEFVSIDAFMNASSRCGSFPLIESVTEASIDNKPCSPEVDLCFSNSDVLEWLNPHLSEEDLPDLVDFAELNSNAIPATKEQGTRKVTLVLDLDETLVHSTMEQCDDADFTFPVFCDMKEHVVYVKKRPHVDMFLQKMVEMFDVVIFTASQSVYANQLLDMLDPENKLFSKRFFRESCLFTDSSYTKDLTVVGVDLAKVAIIDNTPQLQVNNGIPIESWYNNPADEALPQLIPFLETLAVADDVRPIIAKKFGNIIDSC >KQL06295 pep chromosome:Setaria_italica_v2.0:V:32407003:32412592:-1 gene:SETIT_001474mg transcript:KQL06295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALRMKRMLEDTDFANEFNTKTVKSMKISHFHVSELEQSAVLNSPDKVPQDDSDPTIQLADQDIRVMEASGLHDLHGGKSVGVFKDLTSGVVVSPNSEADSSANYDDDESLLHIVNYVDKEFADEGVDYSAQSFCAVSDHEASWGPNQCCSLLDIYSPDGDFHFLLDNPADLLPSYTGLCEEFVSIDAFMNASSRCGSFPLIESVTEASIDNKPCSPEVDLCFSNSDVLEWLNPHLSEEDLPDLVDFAELNSNAIPATKEQGTRKVTLVLDLDETLVHSTMEQCDDADFTFPVFCDMKEHVVYVKKRPHVDMFLQKMVEMFDVVIFTASQSVYANQLLDMLDPENKLFSKRFFRESCLFTDSSYTKDLTVVGVDLAKVAIIDNTPQVFQLQVNNGIPIESWYNNPADEALPQLIPFLETLAVADDVRPIIAKKFGNIIDSC >KQL06045 pep chromosome:Setaria_italica_v2.0:V:30304498:30307394:-1 gene:SETIT_004541mg transcript:KQL06045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTEHQASSPAGGPPGPNAPPPQSSAPPPPKASSSSPPPPTPPPPPPAQQSPPPPAPSGQHSPTSPFEQSSIKSSPPEKSPTASPPPPASPPPSPPPTNQSPPPTSPSTSPPPPPPHSSPPPPAPSTPPSPLESTTPPPPSSSETAASPPPPPNATSGSGSKTPPPPHEAPSTPPDTDAQPRPAPGKSPAHQMRPPPMGLTVIMPASGSPSGTWRGQPGSGAIAPPLGPPAGGSGSNVKTEVLIVISVAGLLLSLASLFIFACFNNNRGRRRQSLPPRKNNVVVPEHVVSPDVYQLSNGAAPSPSGTNSYDLSGSKSWFTYDELLGITGGFSAENVIGEGGFGKVYMGALGDGRRVAVKQLKVGGGQGEKEFRAEVDIINRIHHRHLVTLVGYCVTENHRLLVYEFVPNGTLDHHLHGKGLPVMDWHKRMKIAIGAARGLTYLHEDCHPRIIHRDIKSANILIDDAFEAKVADFGLAKLTNDSLTHISTRVMGTFGYMAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDASQPLGEESLVEWARVLLMDALDTDDFREIADPALEGRFSKTEMRRMVEAAAACVRHSAAKRPKMVQVWRSLDVDECSSDLTNGVKLGQSTAYDSRQYSADIELFRRMAFADDLSTAELGAAEGEDDGHRGSRAGGSSRARR >KQL08392 pep chromosome:Setaria_italica_v2.0:V:45184072:45188374:1 gene:SETIT_001146mg transcript:KQL08392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPAAAGKGGAVDPSLPRFRCQECRRALVVVGVDSYADRLPAHAASGNHASSVQGSVMGASRMENSYVVLSRQNRSQGPRIPPRPPSAAAPHTEPSQPTRAIEGSYIVLPPPAASIYKTPASEGGGAQLTPPGVNSSSPSPGNNSGFHSSVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKAYEASLQRLEQEPYNILSETDFQKEKQKIEEEEKKLKAAIEEAEKLYSEVTSEMKDLEIKSKQFEELEERYWHEFNSFQFQLKSHQEERDAVFAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSNVEVEWDEINAAWGQAALLLHTMAQYFTPKFQYRIKIHPMGSYPRVTDIHNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAVSLDKENNVPSEKSLKLPYKLLHFIG >KQL08391 pep chromosome:Setaria_italica_v2.0:V:45184145:45187110:1 gene:SETIT_001146mg transcript:KQL08391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPAAAGKGGAVDPSLPRFRCQECRRALVVVGVDSYADRLPAHAASGNHASSVQGSVMGASRMENSYVVLSRQNRSQGPRIPPRPPSAAAPHTEPSQPTRAIEGSYIVLPPPAASIYKTPASEGGGAQLTPPGVNSSSPSPGNNSGFHSSVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKAYEASLQRLEQEPYNILSETDFQKEKQKIEEEEKKLKAAIEEAEKLYSEVTSEMKDLEIKSKQFEELEERYWHEFNSFQFQLKSHQEERDAVFAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSNVEVEWDEINAAWGQAALLLHTMAQYFTPKFQYPFALLSVALQSA >KQL08390 pep chromosome:Setaria_italica_v2.0:V:45184072:45188374:1 gene:SETIT_001146mg transcript:KQL08390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPAAAGKGGAVDPSLPRFRCQECRRALVVVGVDSYADRLPAHAASGNHASSVQGSVMGASRMENSYVVLSRQNRSQGPRIPPRPPSAAAPHTEPSQPTRAIEGSYIVLPPPAASIYKTPASEGGGAQLTPPGVNSSSPSPGNNSGFHSSVTVLKRAFEIASSQTQVEQPLCLECMRVLSDKMDKEIEDVNADIKAYEASLQRLEQEPYNILSETDFQKEKQKIEEEEKKLKAAIEEAEKLYSEVTSEMKDLEIKSKQFEELEERYWHEFNSFQFQLKSHQEERDAVFAKIEVSQVHLELLKRTNVLNDAFYISHDGVIGTINNFRLGRLSNVEVEWDEINAAWGQAALLLHTMAQYFTPKFQYRIKIHPMGSYPRVTDIHNNTYELFGPVNLFWSTRFDKAMTWFLTCLQEFAEFAVSLDKENNVPSEKSLKLPYKIDGDKVGSHTIVLSFNKNENWTKALKYMLCNLKWVLYWFIGNTSFAPPSGPVRAQSLKNKSS >KQL08562 pep chromosome:Setaria_italica_v2.0:V:46066383:46067498:1 gene:SETIT_001908mg transcript:KQL08562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSDRLSQLKAFDDTKAGVKGLVDAGVAAVPPIFHHPPDPHAAPVPPIFHHPPDPHAAPASAIPVVDLAAAANDRAGLVAQVRAAAETLGFFQVVNHGVPAELLAETLASVKRFNEEPAEAKRPYYSRDPARRVRYQSNFDLFHAPAASWRDTLFLELPAPEEEIPPACRGAVPEYAREARGLGARLLELLSEALGLHARYLEHDAGCLDGGGVAVGCHYYPPCPEPHLTLGTAKHSDPSFLTVLLQDGVGGLQVLVGGRWVDVPPVPGALVVNIGDLLQLVSNDRFKSVEHRVVATSPAAAARVSAACFFRTTGAAASTRAYGPITTLKPPRYRSVTMAEFLGYYKGKGLDGRSALDRFRLPSSDDPCI >KQL05915 pep chromosome:Setaria_italica_v2.0:V:29036252:29039106:1 gene:SETIT_000571mg transcript:KQL05915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASVAAAGAAAAAASSAGPRRPGGRIREPSTMHAGIRRSRSEPHLRCSRRGGAAGAALTTSRSIGVFPFQFDAAPLRPPPLPDGGGDGSRLLTVADDPPPPPEAEPEPEMPAARRHEAHWLERLLELRSRFHDPTKRDVLDDEDDDDDVYRLDADHDGGCGVSYDDDDEEAAAEDARWDQHSFGKLLARAPLGEARLFAQLAFLCNMAYVIPEIKVEELKKHYGLRFVTSSLEKKAEAGIISAKLDADSTRPRTAPAYEVASGPQPRRPVRSHLAYEVAASAASYVRARASGLLSFGTPPRHEQQAGQGRLYNSGVAAYMAASTVTAVVAAEDEARQEAARDLRSPLSSPCEWFACDEADARTRCFAIQGSDSLESWQANLLFEPTEFEGTGVLVHRGIYEAAKGIYEQVMPEIEAHLAAHGERARLRLTGHSLGGSLALLVSLMLLARGVVAPEALHPVVTFGAPSVFCGGHRVLEALGVGEGHVRSVAMHRDIVPRAFSCRYPGHAIAVLKRLNGVLRTHPCLNTHRALYTPMGATYILQPDSSASPRHPFLPEGAALFRLEPDDAAPRALVASALRAFLNSPHPLETLSDLSAYGAGGAILRDHESSNYFRALSALARAPPRRRKQPEIVWQLPGVERLQQYWWPGIAGTVIPAPVAVSNKELVSEA >KQL07803 pep chromosome:Setaria_italica_v2.0:V:41891236:41891298:1 gene:SETIT_005121mg transcript:KQL07803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HSRSVTDAEVVDYDSRPGDK >KQL08272 pep chromosome:Setaria_italica_v2.0:V:44519598:44523597:1 gene:SETIT_000833mg transcript:KQL08272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEEGAESSAAEEREPVSFARGGPVFVPFMVGPISTAPGFMHSALQELQSLEAELGDPGDEFDGELCIDELRVLTQEELVEHALQEAMEDDSNSSTPPQPEEQTCDEGMSANPTPRNEALTSSPSAERENSRLPVEDTAVISHEPESSNGKPRGKKAKTRCRKGKTGTITLDSLVERENHGSPVDMAIVPHEPEGSEGNGTATSNPSAENAVSESPVDLSIVPHDPEGTNGQTTCEKVKKRGRHFDREVRAKILQGSYLTKADKWVEIKAKQDEDKYAARLHSFSGDSVKSKCSKSSSDKIEMATSLKLTGVPWKNKASRPEEHRTVVHPEVILCVEIYQKKCGSVKCQELLVLGSQFLTDLRDNICCLTDKLMKVAGQHDHSGYFLIEDTFYNDSRHRSATDYSKPILDWLQNSGDEVAEKWDAITSGVLKKRQKDLLRGLNISNVPEFKSERMQTTRFSDLHFRPGAGYLYCHQGSCNHRIVIRDMRLIHQDDSQDQADYPLQTFQLQKRLQKCSVCQIYPATKMTVDDKWALNNPCYFCIKCYYLLHYKEDNTLLYEHTVYDFIQE >KQL07862 pep chromosome:Setaria_italica_v2.0:V:42218967:42223259:-1 gene:SETIT_002358mg transcript:KQL07862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNFELQGRHGKSRVRVSRVWRRPAAAGGDIIVEWNVAVSVVSDCLPSYTSSDNSAIVATDSIKNTVYVKAKECTEVVSMEEFAVILGRHFTSLYPQVSEATVTIVERPWERVVVDGKPHSHGFKVGVEKHSTEVIVKKSGSLLINSGIQGYSLLKTTQSGFEGFVTDRYRLLPDTRERIVATEVTAWWRYPFEHVSQLPSKPFCFTQRYQDVKKVLSDTFFGPPDVGVYSPSVQNTLYLMAKEVLTRFPDISSIQLRMPNLHFLPVNLGSKENPLVKFADDVYLPTDEPHGTIEATLSRPMSKL >KQL05409 pep chromosome:Setaria_italica_v2.0:V:24012547:24012993:1 gene:SETIT_003613mg transcript:KQL05409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKYEEHSLFLSNLFKNFNCFSMQTNRITNFIVFRSNKHLEKKRSLNLLIMSSELLIFLFMHINIIANFNL >KQL07504 pep chromosome:Setaria_italica_v2.0:V:40090959:40095370:-1 gene:SETIT_000915mg transcript:KQL07504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGCFTALRSKKKKGPLDNPLVPSKKSIDARESTSSRLPEPEVHVPSLQSAPPSFRNRAKISQSANKVSNSRARVLSAPSTLIVVDQFGFPYAEYKDQDDSRDKEGSTKGHRFSNPLPLPLPSPEGHSLRNFGSFKASNVSGPLEISGPLPLPLEKYIGLRIFSYEEVSSACQWFSSDQCVSETLGSTSYKATFRDDFSGTKTTEATVARLLPSTQSLKEFKTQVNTLASLEHPNLCKLIGYYAKEDSNGRMLVYERLHHGSLDKLLFGRPDGRFMDWSKRLKVALGAARGLAFLHDEGPFQAMYSEFSTSNIQIDKDFTAKLSGYGCAGFNTEEISNAPVSAAHLSVETLAKGLLTPKSNVWSFGVVLLELITGRKNLDVSSSKEERNIVKWSRPFLTDDSRLSLIMDSRIKGRFPTKAARIVADIILKCLHNDPSERPTMRDVVEALTGVQEIKVPCRYPLQEPSAAPRKRMLKSTSLNGIVPQHPVITFSPSPPSHNQPLISPRSSTSALLHPRTCYSTLDDPRVSSIRKTPPPIMRRSSVEGF >KQL08602 pep chromosome:Setaria_italica_v2.0:V:46278147:46279056:-1 gene:SETIT_005053mg transcript:KQL08602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGPDMIASAVIQHVGSMLGDKAWERIELLWNFENDAKEMKDKMFNLQVALNNAEKRSQESEDALARLWLKKYKSVAYDMEDTLDELGANAMIWRNSTCTAHVLSSV >KQL05797 pep chromosome:Setaria_italica_v2.0:V:27935738:27936200:1 gene:SETIT_003608mg transcript:KQL05797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPFGLRSLDPSPDGVGWRSSGAGTHWGSRLRLVLVGLDVQTESDLESKAGISKQGTRRHHVFEPGRQTKPLLR >KQL07026 pep chromosome:Setaria_italica_v2.0:V:37080369:37083096:-1 gene:SETIT_003288mg transcript:KQL07026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAKRKPVFVKVDQLKPGTTGHTLVAKVLSSKTVLQKGRPGAPAAGPAARPTRIAECLIGDETGCILFTARNEQVDLLKPESTVIIRNAKIDMFKGSMRLAVDKWGRIEVTEPASFNVKEDNNLSLVEYELVNVAEE >KQL03336 pep chromosome:Setaria_italica_v2.0:V:1810715:1817236:-1 gene:SETIT_001606mg transcript:KQL03336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPSGNGLMAVTTRFELPRFLTNRTPTWFKKILSPLKKSENGPVFRFFMDLNDAVSYVKRLNVPSGMVGACRLDVAYEHFKEKPHMFQFVPNEKQVKAANKLLKSLPQRGRRKRLGGVPVFSAQNLNIAVATNDGIRWYTPYFFDKNLLDNILEASMDQHFHSIMQNRHKQRRRDIVDDSLTSEIIEESADSLLEPPEVQELMNEIGPAGIPLNVVTKAAEIQLLDVVDKVLLGNKWLRKAAGIQPRFPYVVDSFEERTAVSIANIATTSSFTASNDSCCQNDQQSQSSDPSIENSYRSHSNQDHNQFHFPFSNLLPNIWPGHDRKFKEQESDSKFSRYDADMKTDLQSNPLLPKITMVGISMSEGGQMSKANLKKTMEDLTKELEQTSEKNTFGDEKDPLFVANVGDYSRITKISST >KQL03593 pep chromosome:Setaria_italica_v2.0:V:3347503:3349231:-1 gene:SETIT_005577mg transcript:KQL03593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAEERPGPERYCRLRARRPRPYFFIPVLIPFCANIDASSSRFACFGCAATATWRSRWLARWASCLTALLAGILNDAGNTDLATAST >KQL03594 pep chromosome:Setaria_italica_v2.0:V:3346879:3347937:-1 gene:SETIT_005577mg transcript:KQL03594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAEERPGPERYCRLRARRPRPYFFIPVLIPFCANIDASSSRFACFGCAATATWRSRWLARWASCLTALLAGILNDAGNTDLATAST >KQL03595 pep chromosome:Setaria_italica_v2.0:V:3345953:3348641:-1 gene:SETIT_005577mg transcript:KQL03595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNAEERPGPERYCRLRARRPRPYFFIPVLIPFCANIDASSSRFACFGCAATATWRSRWLARWASCLTALLAGILNDAGNTDLATAST >KQL04211 pep chromosome:Setaria_italica_v2.0:V:7262735:7266296:1 gene:SETIT_005027mg transcript:KQL04211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERRSACLLAVAMVLLQAAAAAVLGGGERSGGGALLDPRRLEKFVDELPDMPRLRGYGVTEGGALVAGNLTIGMYDTTWKFHRDLPATRVFAYGASREAATVPGPTIEAMRGVPTHVTWANHLPASHFLPWDPTLATAAAAGARGVPTVVHLHGGVQHSSSDGHSLAWFTAGLAATGPRFSRPPYAYPNRQPPGNLWYHDHAMGLTRVNILAGLMGAYRVVSPGEEAPLNLPSGEAFDRNLVLFDRDFRADGALFMNRTGNNPDVHPQWQPEYFGSVVVVNGKAWPYLRVRRRRYRFRILNASNARFFRLSLSGGLRFVHVGSDSFLVAPSEIADVVVDFAESSAGAAVLSNDAPAPYPGDPGDKAEPVAVMKFLIEDAATEPDTSAVPASLMPHYPRPDAREAAATRRITMYEYTKNGTDEPTHLYLNARSYMEPVTETPREGTSEVWEVINLTDDNHPLHVHLALFAVLEQRSLRRVDEFRDCMVRRNDAGACGMGRHLAGGRRHVVPPQERGWKNVFKVRPSAVTRILVRFKPLASDDASPEEDSRFPFDVTTGPGYVYHCHILDHEDNEMMRPMKIVR >KQL03483 pep chromosome:Setaria_italica_v2.0:V:2619855:2627342:-1 gene:SETIT_000203mg transcript:KQL03483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATVEEQMVVKAIREECPWESLPKRLQSTLQTKDEWHRRIVDFCIRKRLQWNTCFARRVCREGEYYEEMMRYLRRNLALYPYHLADYICRVSRISPFRYYCDILFEAMKNEQPYDSIPNFTAADALRLTGVGRNEFIDIMNKCRSKKLMWKLNKSIAKEMLPTQPADFPVEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANSYVLFDPKVVDGLYKRGLVYFDVPVFPDDRFKVSRLENFVSNKEQSYEDPIEELLYAVFVVSSANATVAELAATLQADLYQLQAAASFACRLGWAEKLVDADSVLNDEGAPAFPGSILSDDEEGSNTSINSEKSGQQLISMDSDGPRKISGTAHVGFVVDANVTSYLMMGSLSPGLKSHAVTLYEAGKLGDSCIAELCSDLASLEGKKFEGVLEEFANHAFSLRYFLECLQSGGVSSNEITNNAGEAKTPRSSSNDIENATGQLAKVNIEDGVDDNHDELPQHDQVTSELDASSDTRVLKNKRKYKVDILRCESLASLAPATLERLFLRDYDIIVSMVPLPSSSVLPGPSGPIHFGPPSYSSMTPWMKLVLYTAGNCGPVSAVFMKGLRFRLLPEPLSGCEKALIWSWDGSVVGGLGGKLEGNLVKGNLLLHCLNSMLKQSAVLVQPLGVNDLNASGNLVTVDIPLPLKNDDQSIASVVAQTNLPEEQILNLTSVLKELSNKFDMSTLGYLRLLRLHRIEASDKIDPENVSYQWVPLSLEFGIPLFNPKLCERICERVVSSHMLQKDDLNEHCDVMQNVRRHLRELCGEYQATGPIAKLFNKRGSSRDLPRVLINSISGRWNLNNDPSTPTSGGAPSENERLRFSGRQRCRTEVVSFDGSTVRSYALAPEHNEATSRLTSEEQSSLHDVKSEQEDTDSKDVVLPGVNLIFDGAELHPFDIAACLQARQPLWLIAESSAASSALL >KQL04463 pep chromosome:Setaria_italica_v2.0:V:9202307:9202837:-1 gene:SETIT_004456mg transcript:KQL04463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNIWKAQALERVTEAGCRFDSARWRLLDAVARLAPPAHVFARGARRGLRHRIRLVLGDLAGVSGELALAASAVAAAELVALRGAAVSPMLSIHGRERHALGALRSARGLAEDAHGSVERCRGHLRAALYLLDHPGLPGVLGFVVAERTAAHRVLEDALASAVESEMLVITARQYI >KQL06714 pep chromosome:Setaria_italica_v2.0:V:35173958:35175190:1 gene:SETIT_002575mg transcript:KQL06714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLYEKPSETYAKKRPRYPKEWFSMLAGLTAGHHRAWDAGCGSGQAAISMAEHYESVVATDVSEGQLRNAMAHPRVRYVHTPEHLSEDELVALVGGDGSLDLIVVATSIHWFDIPLFYAVANRALRKPGGVLAVWGYNYEIHPFEDALQGQLYAALRPYQDPRARLATEDRYRSLPFPFEPVGVGAEGAPADVDMEVEMTLEDLAGFVMTGSVVTTAREKGAGEEMEAVVRDVMRRVEEKWGDAPTVPRKLAFKAFMLAGRPKC >KQL03158 pep chromosome:Setaria_italica_v2.0:V:137258:139329:-1 gene:SETIT_001485mg transcript:KQL03158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGHLLAFLGLPLHLHGRMSADDPGGSRRSVEVDFFSDDNKQTCVVERDEPRAASSGLAINKEDLTINLLPAATHDEETKPKEEVELQAELGRMNEENQRLRGMLAQVTTSYQALQMHLLTLMQQAQHQQAPAPLAAVAPIAPPPRQLQLDQQPASNSSTEVGSPRRSSSTANNKEDSPEATGGPAEGRPQQLDQASMRKARVSVRARSEAPIIADGCQWRKVRPEDGQGQPLPASLLPMHHGQRMPRAQAGAALRRRPLHPHHHLRGHAQPPAAARRHGHGLHHLRRRIHAALGLHAQRRQQLPRARRAALLLQHGHHLRVRALPHCHARPHPRPANRRRRRATASAASAAAATAGAAALQPVQVLRPAHVLVAGCWYNNAPADRDRAGRHRQRRGGRHHGGSQLHGGAGGGHHVHHRRRRPAAARQQQQQQQCHEQQ >KQL04922 pep chromosome:Setaria_italica_v2.0:V:13076268:13076918:-1 gene:SETIT_005054mg transcript:KQL04922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIHGELEHFIILEEEIVTRMFDRIMLLVSDIRTLGSTDWDDHKVTKKMLRAFTPRNPTLATMIRRDPSFKTKTPNQLLDEILHQELVERDVAKLVSIRMNKSLALNASSSTMSESSPKALKVKKEDSSEEVSTDKETVFAIRNYKKFLKKKMFKKSGDDRNKTSQRRCYECNKVGHFIADCPHKKKKKMEEKRFKDKSKDYKKKYQGQAHVGQE >KQL06040 pep chromosome:Setaria_italica_v2.0:V:30263797:30264712:1 gene:SETIT_003841mg transcript:KQL06040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVAMACGRAGDQPAVRKGPWTLEEDLILVGYISEHGEGSWDNLARAAGLNRNGKSCRLRWLNYLRPGVRHGSITPAEDAAIRELHAALGNKWSKISKLLPGRTDNEIKNYWRTRIQKKPAAAAKAPHQQPPAAVVREGASSAAGYYCAKPDPADQQAYWSQKAAVAAATAAAGVGSGEGVSSSTPTSQDSSTGAGDWRMQQTSSCPYYSELMMSWIAGGHSETGVGVDALTTHFSSSGQFSDSFWNAVENFWETKPVTGAF >KQL03904 pep chromosome:Setaria_italica_v2.0:V:5281345:5285854:1 gene:SETIT_000430mg transcript:KQL03904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLFSGFSEDTLRNLAFNFLAAVLILEFLSGISLVVQTSALEVVAPAMPPSQGWRPFHSMASQDKVLIGVSVQPADEQRQKKLYSSTVALSSAHPPVSVPSYSSVPGTLDLAIYSSDLSRPLVQHDRILATAAPAHVDADPPDAASNSSAAPSGLVQPPVSPHNGCCAPNMVQKRGTRDCHCVYPVRVELFLRNVSLISNWSNDFLQELASQLNLRVNQFEIVNFYVVGASGLNITMDIAPHTGISFAADQVNTMNYSLTQHTIRIDPVLVGDYNLLNLTWFRPLAPAPAPAFTIAPKASPSTSSTIPSPSGDPSNNRHSSLITVIIICVGALIGVLLIVLTICFCTFRKGKKKVPPVETPKQRTPDAVSAVESLPRPTSTRFLSYEELKAATNNFEPSSVLGEGGFGRVFKGVLGDGTAVAIKKLTTGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSSRESSQNLLCYELVPNGSLEAWLHGALGANCPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWARPILRDQDRLEELADPRLGAQYPKDDFVRVCTIAAACVSPEANQRPTMGEVVQSLKMVQRSVEFQESMPTPPTRPNVRQSSTTYESDGTSSMFSSGPFSGLSPFDTETIPRTAVFSEDLHEGR >KQL03903 pep chromosome:Setaria_italica_v2.0:V:5281345:5285059:1 gene:SETIT_000430mg transcript:KQL03903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLFSGFSEDTLRNLAFNFLAAVLILEFLSGISLVVQTSALEVVAPAMPPSQGWRPFHSMASQDKVLIGVSVQPADEQRQKKLYSSTVALSSAHPPVSVPSYSSVPGTLDLAIYSSDLSRPLVQHDRILATAAPAHVDADPPDAASNSSAAPSGLVQPPVSPHNGCCAPNMVQKRGTRDCHCVYPVRVELFLRNVSLISNWSNDFLQELASQLNLRVNQFEIVNFYVVGASGLNITMDIAPHTGISFAADQVNTMNYSLTQHTIRIDPVLVGDYNLLNLTWFRPLAPAPAPAFTIAPKASPSTSSTIPSPSGDPSNNRHSSLITVIIICVGALIGVLLIVLTICFCTFRKGKKKVPPVETPKQRTPDAVSAVESLPRPTSTRFLSYEELKAATNNFEPSSVLGEGGFGRVFKGVLGDGTAVAIKKLTTGGHQGDKEFLVEVEMLSRLHHRNLVKLIGYYSSRESSQNLLCYELVPNGSLEAWLHGALGANCPLDWDTRMRIALDAARGLAYLHEDSQPCVIHRDFKASNILLENDFHAKVSDFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMSQPSGQENLVTWVILRSIIYYMSQ >KQL05287 pep chromosome:Setaria_italica_v2.0:V:20788560:20789050:-1 gene:SETIT_005615mg transcript:KQL05287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDEYHNLKILRKKECSASAKFMSKSLNNIYNMSNSW >KQL08065 pep chromosome:Setaria_italica_v2.0:V:43391914:43394558:-1 gene:SETIT_002476mg transcript:KQL08065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADGSSKRSRPVRRAVKKLKFKPKVPPQKPKKLATEKPQLEEPKPIDEQLMKILRTRQVPANSVPNTKDERSTQKPPSTPPSADVVSLSPAQSGVQKQNQSKPLQIPRSFPVTVDSGTFDSEESSDFDDSDDDNGNVELQETQPSSIECEASTCPAEELHLLQEHDSKERMFLFKLPKSLPLPRRSSNIVERKGKAAGKEVKEGSNLQQLPQGYLGKMLVYKSGKIKMKLGDVMFDVNPGAESRMPQHVVALNTQEKHCCLLGEIENRHVIVTPDVDSLLNDK >KQL08064 pep chromosome:Setaria_italica_v2.0:V:43392195:43393945:-1 gene:SETIT_002476mg transcript:KQL08064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADGSSKRSRPVRRAVKKLKFKPKVPPQKPKKLATEKPQLEEPKPIDEQLMKILRTRQVPANSVPNTKDERSTQKPPSTPPSADVVSLSPAQSGVQKQNQSKPLQIPRSFPVTVDSGTFDSEESSDFDDSDDDNGNVELQETQPSSIECEASTCPAEELHLLQQEHDSKERMFLFKLPKSLPLPRRSSNIVERKGKAAGKEVKEGSNLQQLPQGYLGKMLVYKSGKIKMKLGDVMFDVNPGAESRMPQHVVALNTQEKHCCLLGEIENRHVIVTPDVDSLLNDK >KQL06343 pep chromosome:Setaria_italica_v2.0:V:32716330:32718738:-1 gene:SETIT_004094mg transcript:KQL06343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKSTSLALLIFLHSLSPCSSAPAPSQLGAGSTLSVEDHVRSFLVSPDATFSCGFLEAGENAFSFSVWYTGSADKTAVWTASPGAPVNGRGSRITFRHDGGLALADANGTAVWESKTSGGGLVISLLDTGNLVISDPSNNGGPAPWQSFDWPTDTLVPSQPLTKHMKLVAGYFSLYYDNDNVLRLLYDGPDTSSIYWPSPDNTVFGNARTNYNSSRIAVLDDTGVFLSSDNLAVRASDLGPGVKRRLTIDPDGNVRIYSLNATTGGWKVTWAAMAQPCSVHGVCGQNATCEYQPGLRCSCLPGYEMSDREDWRKGCKPMFGVTNCSQDQAAAPEERFKFVRVPQTDFYGYDLGYNSSVTFEHCKKLCLEMCLCTAFSYRLTGIGVCYPKGTLFNGYTSPNFPGSIYIKLPIDFDTSAQSVSARSSEGLACNPNGPKIVQGSPDTFRRPRNNTKWSYLYAFATVLGVLDVIFIATSWWFLSSKQSIPSSLEAGYRMVTGQFRRFTYRELKDATGNFKEELGRGGSGVVYRGVLDKGKVVAVKKLTNVAGGDEEFWAEMTVIGRINHINLVRIWGFCSQGKRKLLVYEYVENESLDRHLFATDRTLSWRERYRIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFDAKIADFGLAKLSKRDGAGDSSMQLTHMRGTTGYMAPEWALNVPINAKVDVYSYGIVLLEIVIGSRISEQRTAGGERLEMLQTAEVLRQVVASGDIAPLVDGRLQGQFNPRQALEMVRISLSCMEERSKRPTMDDIAKVLMVCDDEDEHPAYRS >KQL05139 pep chromosome:Setaria_italica_v2.0:V:16489687:16490506:1 gene:SETIT_003298mg transcript:KQL05139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHQGQHGHATNQANEYGNPVAAGHGATGVGAAGDQVQPMRDDHKTDGLLRRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKDSTGQQHTTTGGAVGQQGHAGATGTGAHGTEGTGEKKGLMDKIKEKLPGQH >KQL05122 pep chromosome:Setaria_italica_v2.0:V:16189118:16202209:-1 gene:SETIT_000087mg transcript:KQL05122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPAAAAAASAAAAISAVMDWRSTPDARAAAFAYLESVKSGDVRALASTSFLLVRKDQTSEIRLHGFKMLQHLVRLRWEELSASERNEFANLTINLISDVVGPDEVWALKSQTAALVAEVVRREGVALWNTILPSIVSLSNSGPIEAELVAMILRWLPEDITVHNEDLEGDRRRSLLRGLTESLPQILPLLYSLLEKHFVAALSEHSKQQMNLAKQHAGTVTAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRLHACEFFKVICQRKRPVDVAVSEYDAAMCNIFQVLMNISQQFLTRPGMQPSSIDESEYEFATCVCETMVALGSSNMQCILADGARTFQFLQQMLEYYKHYKIALHFQSLLFWLVVLREPSKAKSVARVSGDNFAAGNSASTGDLSTEKEKKGVSVFVTDEIYSTILDVSFKRMLKNSGSASSGLLELWSEELEGKSDFCNYRTKLLDLIKVIASQRPVIAAASIVQRINIVFGDANQATKSPQYLDAMEGAQLGLEAVVSAIFDGSVDCGKTDLEMKSQLHKIFEGLLQQLLSLKWTEPNLAVIHGHYLDALGPFLRHYPDAVASVVNKLFELLTSLPITFQDPSNNSRLARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRGEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPLNKLWTQVEWQTAYLSDPTGLTNMFADSQFMWSIYHTVTFFEKALKRSGTKKSTATPQAPTTTAAPGYLHPMSSHLAWILPPLLRLLRCIHALWAEPFAQSQTGEIKAAKSMTVAEQASLLGETGKLTKGQVAPADGLLDVQRDGESKENNIKNWLRGIRDSGYNVLGLAATLGEAFFRCAEASSVTLALMENVQVMEFRHLRQLIHLVIIPFVKHCPADLWQVWLVNLLQPLVVHCQQALHYSWSSLLHEGRAKVPDNIGNLSGSELKVEVMEEKLLRDLTREVCSVLWALASPGLNSGLPSLEQLGPSNRMDSLKNLESFASSSLTGFLMLHVGTALPALRISVEVFSWTDSDAVTKVIPFCGALIHLAVASNQAELRQFVAKDLFSSIIQGLAVESNSVMSAELVGLCREIYVYLSDRDPAPRQVLLSLPHIKQEDLLAFDDSLSKTASPKEQKQHMRSLLLLATGNKLRALAAQKTTNVITNVTTRNRSSAAHHGSIAEEDGQIGLAALSST >KQL05123 pep chromosome:Setaria_italica_v2.0:V:16189470:16202209:-1 gene:SETIT_000087mg transcript:KQL05123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPAAAAAASAAAAISAVMDWRSTPDARAAAFAYLESVKSGDVRALASTSFLLVRKDQTSEIRLHGFKMLQHLVRLRWEELSASERNEFANLTINLISDVVGPDEVWALKSQTAALVAEVVRREGVALWNTILPSIVSLSNSGPIEAELVAMILRWLPEDITVHNEDLEGDRRRSLLRGLTESLPQILPLLYSLLEKHFVAALSEHSKQQMNLAKQHAGTVTAVLNAVNAYAEWAPVTDLAKYGLIHGCGSLLSYSDFRLHACEFFKVICQRKRPVDVAVSEYDAAMCNIFQVLMNISQQFLTRPGMQPSSIDESEYEFATCVCETMVALGSSNMQCILADGARTFQFLQQMLEYYKHYKIALHFQSLLFWLVVLREPSKAKSVARVSGDNFAAGNSASTGDLSTEKEKKGVSVFVTDEIYSTILDVSFKRMLKNSGSASSGLLELWSEELEGKSDFCNYRTKLLDLIKVIASQRPVIAAASIVQRINIVFGDANQATKSPQYLDAMEGAQLGLEAVVSAIFDGSVDCGKTDLEMKSQLHKIFEGLLQQLLSLKWTEPNLAVIHGHYLDALGPFLRHYPDAVASVVNKLFELLTSLPITFQDPSNNSRLARLQICSSFIRISRAADKALLPHMKNIADTMAYLQGEGRLLRGEHNHLCEAFLVMASSAGIQQQQEVLAWLLEPLNKLWTQVEWQTAYLSDPTGLTNMFADSQFMWSIYHTVTFFEKALKRSGTKKSTATPQAPTTTAAPGYLHPMSSHLAWILPPLLRLLRCIHALWAEPFAQSQTGEIKAAKSMTVAEQASLLGETGKLTKGQVAPADGLLDVQRDGESKENNIKNWLRGIRDSGYNVLGLAATLGEAFFRCAEASSVTLALMENVQVMEFRHLRQLIHLVIIPFVKHCPADLWQVWLVNLLQPLVVHCQQALHYSWSSLLHEGRAKVPDNIGNLSGSELKVEVMEEKLLRDLTREVCSVLWALASPGLNSGLPSLEQLGPSNRMDSLKNLESFASSSLTGLFFFFHRFLMLHVGTALPALRISVEVFSWTDSDAVTKVIPFCGALIHLAVASNQAELRQFVAKDLFSSIIQGLAVESNSVMSAELVGLCREIYVYLSDRDPAPRQVLLSLPHIKQEDLLAFDDSLSKTASPKEQKQHMRSLLLLATGNKLRALAAQKTTNVITNVTTRNRSSAAHHGSIAEEDGQIGLAALSST >KQL04550 pep chromosome:Setaria_italica_v2.0:V:9824744:9825773:-1 gene:SETIT_002763mg transcript:KQL04550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITGKDKEEPLELKQGGSKVFSKLFTRESSAAAPSFRVYYGVASAGSVPFMWESQPGTPKNDAVSTATLPPLTPPPSYYTARQQVTHHRHHKSSAGGGGKKHSKQYMSLFSAILPKIILHRRSSRSSSSGSPSASSCSSSSSAFSSAASSASSLSFRSAQSPAACSSMRSRVYAFSLGDAAVDSEDERPPAPACFSVRHESFRAFKGCRVAMTVKSALASVGGHGPTSAQKV >KQL05394 pep chromosome:Setaria_italica_v2.0:V:23769240:23769671:1 gene:SETIT_004555mg transcript:KQL05394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AADKGTGKSEKITITSDDRRLSQEEIDRMVREAEEFAEEDKKVRERVDARNKLETYIYQVKSAVDDTKMTDKMDVEDKEKMEEAVREANEWLEGNSLDADKEDYEEKLKELEDVCNPIISAVYQKSSGSPGEDNYDEDDHDEL >KQL06423 pep chromosome:Setaria_italica_v2.0:V:33297543:33302400:-1 gene:SETIT_001815mg transcript:KQL06423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVEVHAIGRDVASASPLRLRDPPALDMMRYQRLSPDCLPLGNGGGGGGAVPRKSASRSSFKDDDVPAVATDGSRLASYLAATPHESKPLRARAPQPPPLSTSAAGRSPARDHAHHHNSDSSDTTSPTSTGGGGGGAVVGDVLLQWGHNKRSRCRRDSAAAAPSAQRRQAGVGGGVKIQRRSSAPAAEKLMPPPPAAGSYTRGSNLRAASSFPSRASASTAAAGDARHGSHHPPHHHRSVEERSGGGQKRSLPDKAHKSAMDAILHMESKNSHHHHHDSPLTANGAVAAGEKLGAERFELPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKTLQFVFPGMWLSDLTKGRYEVREKKCVKKKRRGLKGMESMDSDSE >KQL06424 pep chromosome:Setaria_italica_v2.0:V:33298960:33302400:-1 gene:SETIT_001815mg transcript:KQL06424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAVEVHAIGRDVASASPLRLRDPPALDMMRYQRLSPDCLPLGNGGGGGGAVPRKSASRSSFKDDDVPAVATDGSRLASYLAATPHESKPLRARAPQPPPLSTSAAGRSPARDHAHHHNSDSSDTTSPTSTGGGGGGAVVGDVLLQWGHNKRSRCRRDSAAAAPSAQRRQAGVGGGVKIQRRSSAPAAEKLMPPPPAAGSYTRGSNLRAASSFPSRASASTAAAGDARHGSHHPPHHHRSVEERSGGGQKRSLPDKAHKSAMDAILHMESKNSHHHHHDSPLTANGAVAAGEKLGAERFELPRIYISLSRKEKEDDFLAMKGTKLPQRPKKRAKNVDKTLQFVFPGMWLSDLTKGRYEVREKKCVKKVQIQSAPIWSSSAPLTL >KQL07451 pep chromosome:Setaria_italica_v2.0:V:39794999:39796038:-1 gene:SETIT_002852mg transcript:KQL07451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECRSLIEFLRAFEHHRKAADGSASASACSRSRRASSARAAAAGAFCDSTPMAVVDAVMLLAVVAALGFLVAPYLKLLLAEAGALLHPAASCLSAAAFFGAALAVAAAAVAWELLGHHARKCGKPRCRGLKKAVEFDIQLETEECVRGRPGPAARSALLAAAGARPVELGDEQRELEAELRKMAPPNGRTVLIFRAPCGCPKGRMEVWGAKKVRRIKK >KQL08802 pep chromosome:Setaria_italica_v2.0:V:47139229:47142195:-1 gene:SETIT_001678mg transcript:KQL08802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPQHVRAAPLARALRARATATATATAAAAPPKSQSQGVTSRRALLGLSEPELRQLALDLGQQSYRGKQLHDLLYKSRASQIQDFNYVPKAFREALLGAGWTVGRSPVHHAVTASDGTTKILLKLEDNRLIETVGIPVDDDSKGSSRLTACVSSQVGCPLRCSFCATGKGGFARNLRPHEIVEQVLAIEEMFKHRVTNVVFMGMGEPMLNLKSVLEAHRCFNKELKIGQRMMTISTVGVPNTIKMLASHKLQSTLAVSLHAPNQKLRETIVPSAKSYPLGALMDDCKSYFLETGRRVSFEYTLLAGINDEKEHAEELAELLHTCGGGYHVNLIPYNPIEGSEYKRPYRKVVQAFVDALEARKITVSVRQTRGLDANAACGQLRNEFQKNPLLESSPSEPSLVPA >KQL05976 pep chromosome:Setaria_italica_v2.0:V:29643634:29643801:-1 gene:SETIT_004615mg transcript:KQL05976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLLFLWWLSVLGNISVERLRMYVKVELKRFRVVKEVGRIVMNVRIFACCQLIEVCQ >KQL06415 pep chromosome:Setaria_italica_v2.0:V:33220954:33222980:1 gene:SETIT_003141mg transcript:KQL06415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAGAATPPTQPPATHPAVAPLAFLLGKWRGEGEGSFPAISSFRYGEELLFSHHPSKPVISYTQKTWKAASGEPMHAESGYWRPRPDGSVEVVIAQSTGLAEVQKGSYDAETKTVTLQSELVGNASKVKQITRAFQVTDGELSYVVQMATITASLQPHLKALLKKI >KQL03697 pep chromosome:Setaria_italica_v2.0:V:4134643:4135395:1 gene:SETIT_004643mg transcript:KQL03697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPGSSSPLLPALALAVAGTFFFLLVVPAVVAAGEAAAAAPGPLEFHVGGPRGWRVPDGNTSYGWWAMNNRFRVGDRLYFRYANDSVLLVERPAFDACDTAAPLAAFADGATTFLLDRPGFFCFISGAPGHCEEGQKLIVRVMVHPAMDAPGPASAPGTSAQPGHGGGRPGPSGGWPGATSGAGTDVAAAAGVAVAAALGVLASLVLMMLQ >KQL03943 pep chromosome:Setaria_italica_v2.0:V:5493278:5493931:-1 gene:SETIT_004391mg transcript:KQL03943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSAAKACHALLGAVHAKLRASASQLRGSYRSLGASTSAALAAALAALLCFAAAFPRAAASFLPLLASTSLCLAAAGLFAAEERGAAGGDGGAVEAVVVMGGREGRRKVEAGLVQVIGEANASAYGARDGLQVGCFLRRSAWRGVDEDGEEVVFAGTLAPRVAGGAVDAAGVLQRLGHGELEEEVAALRVDRLAEGVWNSYFGGWSRWHDVDVAV >KQL06844 pep chromosome:Setaria_italica_v2.0:V:35997996:36002497:1 gene:SETIT_002307mg transcript:KQL06844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHHRLLPRLVLLPSTHTSTSTLLRPSRLPRHLSISPRFCALSHLAVPQIVDQSDNEGTPHGDVQVKLPLDRLFVPPGATVDAGDQEAVSARVLKGSNIVLGPYARGDAQVVNADFVKSSVRPDDCPRDGLPEFALVGRSNVGKSSLLNSLVRRKRLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYASAPQEARTNWDEFTRNYFLSRENLVSVFLLIDASIPAKKIDLDYASWLGQKKVPMTLVFTKCDKRKKKKNGGRRPEENVETFQSLIREYFEAAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >KQL05131 pep chromosome:Setaria_italica_v2.0:V:16255292:16256684:1 gene:SETIT_005046mg transcript:KQL05131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQHRGEALGTRNWTGAHRRRRISDYSGSSEVLVMDGMEGGKGATVPGEAGVAYKGEEQRGHRPRWPIQMSTASAGQCILWNGHAITSLGMFQQYKLQLNWISLKDAIKEKLTVLKNVQWLELLEGKDGSSAGPTIELMGLGRLMNEKSRAASHRLPMWLLDEPSIVKDVEGTRLLEYIIAEHWKKGGIVFVAMHLPIEIEDSMSLRLPQRFPQRKTLVDLVH >KQL07809 pep chromosome:Setaria_italica_v2.0:V:41915234:41921193:-1 gene:SETIT_001363mg transcript:KQL07809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGAVAASREDEEAKKMEAGGDTVGQKLDAGALFVLQSKGSWLHCGYHLTTSIVAPPLLSLPFAFAALGWTAGIICLVIGAAVTFYSYNLISRVLEHHAQQGRRQLRFRDMATDILGPGWGKYYIGPIQFLVCFGAVIACTLLAGQSMKAIYLLANPGGTIKLYVFVAVFGVFMMILAQLPSFHSLRHVNLISLVLCLAYSFCAVAGAIYLGNSDKAPPKDYSITGDAQNRVFGVFNAIAVIATTYGNGIIPEIQATVAAPVTGKMFRGLCLCYAVVITTFFSVAISGYWAVGNQAQGTLLSNFMVDGAAVIPEWLLLITQLFTLLQLSAVGVVYLQPTNEVLEGLFSDAKQGQYAPRNVVPRLVSRTAAVAVATTVAAMVPFFGDMNSLIGAFGFLPLDFAVPAVFYNVTFKPSKRGLVFWLNTVIAVVFSALAVIASVAAVRQIALDAKTYKLFANV >KQL08314 pep chromosome:Setaria_italica_v2.0:V:44725200:44725819:1 gene:SETIT_003276mg transcript:KQL08314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPPQPGPGLPRHQHVLHLHLDPRHHHHVHIHLCHHLHGAHSLAPAPPACVHHQRQQQQHPAPVFFPNSNLGAAPWQPEPPPAAVGEDVEELDPEPGLLGAEAAEDEEEEPVFVLTDEWAEFFAKSEAKRRLAKQQKKNKGRK >KQL07558 pep chromosome:Setaria_italica_v2.0:V:40483257:40486958:1 gene:SETIT_001054mg transcript:KQL07558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLKSSHFSLWLSRSTPLAPAMAASSLLRSLTRHARGASAYRHLPWSPFSTTTAAAAGARDEARKGFPGLGPTAKGEKARVVVLGTGWAGSRLMKDLDTSGYDVVCVAPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFFLARCTGVDPDAHTIDCETVTDGEKDTLEPWKFKVAYDKLVFASGAEASTFGIKGVTEHAIFLREVHHAQEIRRRLLLNLMLSDVPGLSEEEKRRLLHCVVVGGGPTGVEFSGELSDFIMRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLIKSGVRLVQGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGASPFVKSLPFPKSPGGRIGVDEWLRVPSVRDVYAIGDCSGFHESTGKDVLPALAQVAERQGKYLANLLNHVMKAGGGHANSEVEADPGPPFVYKHLGSMATVGRYKALVDLRQSKESRGISLAGFVSFFIWRSAYLTRVVSWRNRFYVAINWLTTLLFGRDISRI >KQL07557 pep chromosome:Setaria_italica_v2.0:V:40483257:40486958:1 gene:SETIT_001054mg transcript:KQL07557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLKSSHFSLWLSRSTPLAPAMAASSLLRSLTRHARGASAYRHLPWSPFSTTTAAAAGARDEARKGFPGLGPTAKGEKARVVVLGTGWAGSRLMKDLDTSGYDVVCVAPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFFLARCTGVDPDAHTIDCETVTDGEKDTLEPWKFKVAYDKLVFASGAEASTFGIKGVTEHAIFLREVHHAQEIRRRLLLNLMLSDVPGLSEEEKRRLLHCVVVGGGPTGVEFSGELSDFIMRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLIKDWCR >KQL07559 pep chromosome:Setaria_italica_v2.0:V:40483382:40486223:1 gene:SETIT_001054mg transcript:KQL07559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLKSSHFSLWLSRSTPLAPAMAASSLLRSLTRHARGASAYRHLPWSPFSTTTAAAAGARDEARKGFPGLGPTAKGEKARVVVLGTGWAGSRLMKDLDTSGYDVVCVAPRNHMVFTPLLASTCVGTLEFRSVAEPLARIQPAVSKSPGSYFFLARCTGVDPDAHTIDCETVTDGEKDTLEPWKFKVAYDKLVFASGAEASTFGIKGVTEHAIFLREVHHAQEIRRRLLLNLMLSDVPGLSEEEKRRLLHCVVVGGGPTGVEFSGELSDFIMRDVKQRYSHVKDYIHVTLIEANEILSSFDVRLRQYATNQLIKSGVRLVQGIVKDVQPNKLILDNGEEVPYGLLVWSTGVGASPFVKSLPFPKSPGGRIGVDEWLRVPSVRDVYAIGDCSGFHESTGKDVLPALAQVVSRTILLQAKLVTKACPNYFGHRALFSIALGKTGWKMKRR >KQL08248 pep chromosome:Setaria_italica_v2.0:V:44398253:44399782:-1 gene:SETIT_002327mg transcript:KQL08248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDLFSVPSCFSAGEKLPDVPAPAAATRSGQSAVTLVYRAGIAGHDRLVTVTWCRNLLTHGLSVSIEGSAGAGKDKTGREWGEAGGGGGGGAASKSCSSACKVEMQPWHFWRKYGAKQFQVDGKAIDVVWDLRSARYSDEPEPLSDYYVAVVSGEEVVLLLGNLKKEAFRRTGSRPSLQDAVLVCKKEHVFSKKRFLTKARFHEKGKLHDISIECSSGNLNGGVDVDMVIKIDGSVNVLVRHLQWKFRGNECISIDQLKVQVYWDAHDWLFGTGMRNALFIFKPELPSTSADFHTDECSDFCLFLYAWKVE >KQL05886 pep chromosome:Setaria_italica_v2.0:V:28830073:28830494:1 gene:SETIT_005620mg transcript:KQL05886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYHDNQKQLDENGHNRKRLSVSTKPKLMSNRHLSKILKCS >KQL05536 pep chromosome:Setaria_italica_v2.0:V:25547587:25549744:1 gene:SETIT_0001062mg transcript:KQL05536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDGEGSQGIKKVHFRDSSSQVPLITYKRRRRQKPQQAEPQQQAEPQLETEPEQKAGDVPAQQTKDTFWKSRDMGWKYGIMIDENRQHWKCMYCGLIRYGGGVSRLKRHLAGDLDVKMCPKVPADVVEEIREHLRKKRERRRKRAAQNGGNNVNAKSFSDDANAEKDLLPADSVLPAGMGTNVLEEVTNQTIVVHQDPTYPR >KQL03422 pep chromosome:Setaria_italica_v2.0:V:2256150:2260956:1 gene:SETIT_001034mg transcript:KQL03422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWARLASRSRLRPAASSAVSRAPRASPPAPPPPPQAGHAARAAPPLRRLLRLPQLHSAAAASSASHARRLGDRWQRLGVARRWLSDASAAAEAAELVEVPLAQTGEGIAECELLRWFVNEGDQVDEFQPLCEVQSDKATIEITSRFKGKVHQIHFGPGDIVKVGETLLKMIVGDSQIVSPDNIFPSADKSLEEEPAVPSSEGNIPSGTLSTPAVRHLAKQYGLNINEIVGTGKDGRVLKEDVLNYAVSKGLYKEQSSSLEENIGLIDLLEEGKSLPDVPFYEDKKILLRGYQRAMVKSMSLAAKVPHFHYLEEINCDSLVKLKKAFQNENKDNTIKHTFLPFLIKSLSMALNKYPMLNSSFIEETNEVILKGSHNIGIAMATAHGLVVPNIKKVQSLSILEITKELARLHEMASHNRLSAADIEDGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDDENVYPSSIINVTVGADHRVVDGATVARFCNEWKGLVEEPELLLLHMR >KQL03421 pep chromosome:Setaria_italica_v2.0:V:2256150:2260956:1 gene:SETIT_001034mg transcript:KQL03421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWARLASRSRLRPAASSAVSRAPRASPPAPPPPPQAGHAARAAPPLRRLLRLPQLHSAAAASSASHARRLGDRWQRLGVARRWLSDASAAAEAAELVEVPLAQTGEGIAECELLRWFVNELFILQGDQVDEFQPLCEVQSDKATIEITSRFKGKVHQIHFGPGDIVKVGETLLKMIVGDSQIVSPDNIFPSADKSLEEEPAVPSSEGNIPSGTLSTPAVRHLAKQYGLNINEIVGTGKDGRVLKEDVLNYAVSKGLYKEQSSSLEENIGLIDLLEEGKSLPDVPFYEDKKILLRGYQRAMVKSMSLAAKVPHFHYLEEINCDSLVKLKKAFQNENKDNTIKHTFLPFLIKSLSMALNKYPMLNSSFIEETNEVILKGSHNIGIAMATAHGLVVPNIKKVQSLSILEITKELARLHEMASHNRLSAADIEDGTITLSNIGAIGGKFGSPLLNLPEVAIIALGRIQKLPRFDDDENVYPSSIINVTVGADHRVVDGATVARFCNEWKGLVEEPELLLLHMR >KQL06521 pep chromosome:Setaria_italica_v2.0:V:33946498:33947660:1 gene:SETIT_003616mg transcript:KQL06521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRSDRRPGPPAEARCERSADGWTGTGCGSGARDERNADGFAGAAAGGGHMRQGGARTASPGPAAAWSATDE >KQL04443 pep chromosome:Setaria_italica_v2.0:V:9071040:9071554:-1 gene:SETIT_005570mg transcript:KQL04443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACGGGEGGRREAGRGGRLLVIARSLASHSADPNLRPPPRRPPANLPDAKHAVSEGTEVITKFTSPSVASFCASGTCSGCSVL >KQL03275 pep chromosome:Setaria_italica_v2.0:V:678685:681247:1 gene:SETIT_002283mg transcript:KQL03275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTTSESDVTSMATTSPPRTPKTPKRPAYYVQSPSRDSHDDGDKSSTTHTTPVYNNSPLESPSHPSTGRHSRISSATRFSGTLRSSSPGSCRAGGRKRLASKGWREVAAIDEEGAYDELDEEPELPRCCVAAFWLSVVLLAFTVICLIVWGAARHYKPSVVVRSLTVHNFYVGEGTDRTGVPTKLVTLNCSLKINVHNPSTMFGVHVSSSSIRLMYSEIEIANGHLDKFYQPRTSHRVASAILHGEKTPLYGAGATLAPANAGGRVPLTLQLAVRTRGYVMGKLVRVTHARRVRCPVAIDPGSSKPVRIRQSACSHT >KQL04710 pep chromosome:Setaria_italica_v2.0:V:11160898:11161524:1 gene:SETIT_004603mg transcript:KQL04710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAAVDEPTVAMEEELRKEGELVEEPTEAMEQVAEDREEIGGPAEATEQVEEEREEATDPAEPMEQVGEDREEAEAEEGASLRPALPVGRVKRIMRVDRDIKKVTSEATLLIAAATELFLGSLAAGAHTAAARRGRRAVRAAHVRAAARAHRPTADFLLDCLHAEEEAPRARPVAGSAGGGGGREAKPLPRGTRRIDAFFQKVT >KQL06356 pep chromosome:Setaria_italica_v2.0:V:32822957:32829469:1 gene:SETIT_000826mg transcript:KQL06356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEADATTTTNYVYDALPGLTLDFSPEEDLDNDGAEPRSALAAAGQDEDDATATYAVFRNEITAAGDALLDIPAADFFSLDVSASVSVEAEAEAASPRAPVPAAAAATPSSSRAAEEQPAQGSERAWFRGGRRFRSPMLQLHKEIIDFCDFISPSTEEQSSRTAAVQAVSDVVKHIWPQCKVEVFGSFRTGLYLPTSDIDVVIFDSRVKTPQVGLYALAKALSQKGVAKKIQVIAKARVPIVKFVETKSGIAFDISFDVDGGPQAADFIKDAVKKLPALRPLCMILKVFLHQRELNEVYSGGIGSYALLTMLITHLQLIWGGKDILGYRQAKEHNLGILLVKFFDFYGRKLNHYDVGISCNSAKTFFLKIDKDFMNLDRPHLLSIQDPMAPDNDIGKNSFNYFKVKSAFSKAYSLLTDANLITNLGPKKSILGTIVRPDSILLDRKGWNNEDQLPDMLTEPWEPVTRQFDSENDVVYNWHVIDEDEPLPRNSQSTSEDTSSSPSKKRKSSKSKQKSRKKSKADVTGSSNAANGFREDRASKREAGSSKRRKGPREYDRFTNTLPQYTHVSRW >KQL03681 pep chromosome:Setaria_italica_v2.0:V:3988180:3988932:-1 gene:SETIT_002879mg transcript:KQL03681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHRSCRAHAEEVEEGQRDLVVGARLPVARRRRRGEGGPRARRERGGARGRVVACETKHTHKTARQLGHDVGTHHQSRPCSDDVEDTYRDCTRSGGRGLVGLAAAVRAGVRRRRLRGDAVRAGGGGGGGGVERQRRGAGVVVVVEDDDGRVVLRRRGPRRGRGRRGGLAAAERAGLLSLLARHEDVPVVLDGVVGAPWEEAGDERPLVAVGAGPAG >KQL06334 pep chromosome:Setaria_italica_v2.0:V:32664006:32664439:-1 gene:SETIT_005505mg transcript:KQL06334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRSAHHLRGNTLQRRGLQSWSYCNLSAHFGTHCSLHLANRCLIYLVHHT >KQL03782 pep chromosome:Setaria_italica_v2.0:V:4583407:4586650:-1 gene:SETIT_000866mg transcript:KQL03782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPNVGGGAAAADPAGGGAAVGAGASPWRKTTPPPAAGEAAVMGAESWPALEEARQKVAPESPAKAGAGNAVGGDLAKGPQGSPPPPPPSQVASRAHKFDGHGNPNRNHQAHHKNGPKRRFPAVNGAPSYPPAMQYHQHPGQPIFYPVPVLPSPMMLQEYPYQPFPVSVPNHERHVGKSGYENSVPPFVPVDQVGANEGNRPMPPHPRGDSHAWRPPVGTHGARPHPGPEGHGHFSQTWQNPQMFGTRENTNLPQGVGPRAFVRPMVPPPLGYINGPPYPGPMHPMYYYMPAPMEPMRGPQRYIQNQPTPSPVLSPKAAELRSKILTQVEYYFSDTNLERDDFLKSLMDENGWVPVSKVADFNRLKKMTTDIHLIVDALANSSLLEVQDDKIRRRSDWAKWASFSGATSVASPSSASMDSRGERNIGGFSNKDDDSEDQKKHSQSKDIKCNTDYINTEAKVADEQVQDAHIYSLNRDLSAISIDEKPKSLAAQSIKSSKHYSSFRSGDVKVQKVKSKINAPDSQNDFSSFGGDQSTFMLDEELELEHADHSRDDLYSHKR >KQL05913 pep chromosome:Setaria_italica_v2.0:V:29026990:29030734:1 gene:SETIT_000861mg transcript:KQL05913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSANPNPKPKRRRRGPPKQGPGSPMPATVGLMVGREVGAEGARRDARQAPPFPRVVATAGRGRGSGVEGARGVEGSASNAGRSAHDAGGVDGSYLTETRFDQCAISPLSLKAIKDAGYERMTRVQEATLPIILQGKDVLAKAKTGTGKTVGFLLPAIEVLSALPRSTSINLLVMCPTRELANQVAAEARKLLKYHRSLGVQVVIGGTRLPQEQRSMQANPCQILVATPGRLKDHLENTPGFSTRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMRKDYEFINTVQEGDEETHSQVNQTYMIAPLGLHFSILYDVLKKHVAEDAEYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGVPADREQYIHRLGRTGRKGKEGQGILLLAPWEMHFLSTVNDLSISEAATPSVDSSIQAVVKDAVTTVEMKSKESAYQAWLGYYNSNKPIGRDKARLVRLAEEFSQSMGLAVPPAIPKLILRKMGLINVPGLRSA >KQL05914 pep chromosome:Setaria_italica_v2.0:V:29027058:29030057:1 gene:SETIT_000861mg transcript:KQL05914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSANPNPKPKRRRRGPPKQGPGSPMPATVGLMVGREVGAEGARRDARQAPPFPRVVATAGRGRGSGVEGARGVEGSASNAGRSAHDAGGVDGSYLTETRFDQCAISPLSLKAIKDAGYERMTRVQEATLPIILQGKDVLAKAKTGTGKTVGFLLPAIEVLSALPRSTSINLLVMCPTRELANQVAAEARKLLKYHRSLGVQVVIGGTRLPQEQRSMQANPCQILVATPGRLKDHLENTPGFSTRIKGVKVLVLDEADRLLDMGFRRDIEKIIAFIPKERQTLLFSATVPEEVRQISHVAMRKDYEFINTVQEGDEETHSQVNQTYMIAPLGLHFSILYDVLKKHVAEDAEYKVIVFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGVPADREQYIHRLGRTGRKGKEGQGILLLAPWEMHFLSTVNDLSISEAATPSVDSSIQAVDI >KQL05518 pep chromosome:Setaria_italica_v2.0:V:25321864:25328613:1 gene:SETIT_000961mg transcript:KQL05518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINWASASGSSRGARREFGVVERETYAINIRRPRARPLACAAPFLSPPASKPRLHRTAAMPTVSVKWQKEVFPGIEIDTTQPPIVFKSQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKEGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDTARGNGVDQASHNLTLATRNTFGELDQSVRPVAPLQFLQTLRKKYPQFAQQHNNVYMQQDAEECWTQLVYTLSQTLTSDASESAALSMKQLFGIDLVSRVHCAESGEESMETESVYSMKCHISQDVNHLHEGLKHGLKTELEKVSPALGRTAIYTRESRINELPRYLTVQFVRFFWKRESNQKAKILRVC >KQL05517 pep chromosome:Setaria_italica_v2.0:V:25321864:25326242:1 gene:SETIT_000961mg transcript:KQL05517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINWASASGSSRGARREFGVVERETYAINIRRPRARPLACAAPFLSPPASKPRLHRTAAMPTVSVKWQKEVFPGIEIDTTQPPIVFKSQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKEGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDTARGNGVDQASHNLTLATRNTFGELDQSVRPVAPLQFLQTLRKKYPQFAQQHNNVYMQQDAEECWTQLVYTLSQTLTSDASESAALSMKQLFGIDLVSRFSLPLSLYLISVCIICPICVCYFSLYSW >KQL05519 pep chromosome:Setaria_italica_v2.0:V:25321864:25330169:1 gene:SETIT_000961mg transcript:KQL05519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRINWASASGSSRGARREFGVVERETYAINIRRPRARPLACAAPFLSPPASKPRLHRTAAMPTVSVKWQKEVFPGIEIDTTQPPIVFKSQLYTLTGVPPERQKIMVKGGILKDDADWSTLGVKEGQKLMMIGTADEIVKAPEKGPVFVEDLPEEEQVVALGHSAGLYNLGNTCYMNSTLQCLHSVPELKSALLSYSDTARGNGVDQASHNLTLATRNTFGELDQSVRPVAPLQFLQTLRKKYPQFAQQHNNVYMQQDAEECWTQLVYTLSQTLTSDASESAALSMKQLFGIDLVSRVHCAESGEESMETESVYSMKCHISQDVNHLHEGLKHGLKTELEKVSPALGRTAIYTRESRINELPRYLTVQFVRFFWKRESNQKAKILRKVDYPLELDVYEFCSDELKQKLQAPRQMLRDAENAKFGLKTQGKASSSKENEGSSSSAGDSSSMDIDKADPSVPKKQLTGVYDLVAVLTHKGRSADSGHYVGWVKQDNGKWIEFDDDNPNIRKEEDILKLSGGGDWHMAYICLYKARVAESKS >KQL07625 pep chromosome:Setaria_italica_v2.0:V:40900228:40901467:1 gene:SETIT_001900mg transcript:KQL07625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKHSPQSHDQPLQEEDYIDMDLSSAAAADAATTTASLFCYSTLMATSPQHSREFEFHMPAPLDQWEPMASPADELFYKGKLLPLHLPPRIQMVEKLLESAAEKGLLSASTAPATPYQSCNVSAANSCYASGELNAEYYFHECISAGSDAAEEAAACEKKPWSKKLKFIRHLNLGLKLKASKAYLKTIFATKGGNPDDKHGVPRADELSNAQFKTWRKDQFGNIRSNRYIASPISNRNTLGSKLKEDECSHRRSFSSVIIRYSSSNKPSSVSSSSCSSSNSSSFSIPSSTDSGVGPVLRRSSSASSEMDNPIQGAIAYCKKSQQLASVRKSASDAGFRFMSSSASKIAAESEDAEGIFDISRNINVNSMFSQ >KQL07186 pep chromosome:Setaria_italica_v2.0:V:38174218:38175923:-1 gene:SETIT_001619mg transcript:KQL07186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHSSWLLTCSPWSTFAALAALMTSALVLSYASSSFLNQRAAYDYDDPYGPDAEPSAAAAAAGALVPRKGPGYPPVFAYYITGGRGDCLRVTRLLKAVYHPRNRYLLHLDAGAGAYERARLASYARSEQSFLEYGNVHVVGKGDALDGRGPSAVAAVLRGAAVLLRVGADWDWLVTLGAADYPLVTQDDLLYAFSSVPRDLNFIDHRADSETHHVVVLDQNLLQSTNAEISFSSGHREKPDAFELFRGSPWPILSRAFVEHCVAAPDNLPRTLLMYFSNTLDAAEFYFQTVMANSQRFRNSTVNHSVRLDVPPPPPPQQQPGADQQQSRYDALVGSGAAFAGRFGDDEALLQRIDEEVLRRPLDGVTPGAWCAGGSGEEGVAESECSLGGDIDAVRQGAAGRRLASLINSLVGTGA >KQL06940 pep chromosome:Setaria_italica_v2.0:V:36550480:36554173:-1 gene:SETIT_000901mg transcript:KQL06940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPDWILERERRQMEQILELDMEELQVEEVDDAGSSSSDVDTFLRNTHGDGGISTSEDLTVDTSIVSLQAHTYLGAKVDGGRSKFAFLDGDKVLNLPMFYLQGVVLFPEASLPLRVIQPRLVEAIDKAVNHVEAPCMIGVVHAYRRANDGHHTIASVGTTAEIQEICQLDDGSSCVFSRGQQRFRLIRHWLDNDRVPWGEVRIIEEDTPQRTPRDAFGQLAASNSFRQCASSMSSVYVSCSKQLDHVDSEIDGGSLSPTSTSSDHSVTDKRVYLSGFQSSGLVSCGSLDESSNEDEDPIHEQSCCCHDSVKEIDGCGQPDKHTITRDEDDLCFRSFLGVRKKDTEQQRYLCGAYNTKMASQAPLSFWPRWAYEMYDSYSLARRAADLWRQVIVNPSMDDHVRKPNHLSFYIGSNLPISGSLRQELLEIDGVSYRLQREIQLLKAFNIIRCRSCLVMVYIF >KQL06939 pep chromosome:Setaria_italica_v2.0:V:36549256:36554200:-1 gene:SETIT_000901mg transcript:KQL06939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPDWILERERRQMEQILELDMEELQVEEVDDAGSSSSDVDTFLRNTHGDGGISTSEDLTVDTSIVSLQAHTYLGAKVDGGRSKFAFLDGDKVLNLPMFYLQGVVLFPEASLPLRVIQPRLVEAIDKAVNHVEAPCMIGVVHAYRRANDGHHTIASVGTTAEIQEICQLDDGSSCVFSRGQQRFRLIRHWLDNDRVPWGEVRIIEEDTPQRTPRDAFGQLAASNSFRQCASSMSSVYVSCSKQLDHVDSEIDGGSLSPTSTSSDHSVTDKRVYLSGFQSSGLVSCGSLDESSNEDEDPIHEQSCCCHDSVKEIDGCGQPDKHTITRDEDDLCFRSFLGVRKKDTEQQRYLCGAYNTKMASQAPLSFWPRWAYEMYDSYSLARRAADLWRQVIVNPSMDDHVRKPNHLSFYIGSNLPISGSLRQELLEIDGVSYRLQREIQLLKAFNIIRCRSCLTSIARRSDMVMLSSANAIGSLSFKEMITVHNATGLGLRGEPSKIYSWFPGYAWTIALCAACESNIGWLFRADKKNLHPKSFWAIRTTQVSDDTQAGQV >KQL05339 pep chromosome:Setaria_italica_v2.0:V:22907467:22910368:-1 gene:SETIT_002262mg transcript:KQL05339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPYATAAEAEAALGRAMTWAEAVWYQYSAAMPDSWLHCLTTCILFVIYTITPLPLLVLEQFAPSVVLPYKLQPRVQVPLAASLRCYMEAAFVFPVAVGFQLVSYPAAAKILRTRMGLPLPSVRETVAQLVVYSLLEDYLSYWIHRLLHTKWGYEKIHRVHHEFTAPTGFAMSYSHWAENLLLSIPALAGPVIAPCHITTQWLWFSIRLIEGINIHSGYHFPFSPSKLIPFYGGAAYHDYHHYAGGHSQSNFAPLFTYCDYLYRTDKGYRYHKLKQAKLKSLEEDNVEKKGGSTAFCNGKKD >KQL05340 pep chromosome:Setaria_italica_v2.0:V:22907739:22910286:-1 gene:SETIT_002262mg transcript:KQL05340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPYATAAEAEAALGRAMTWAEAVWYQYSAAMPDSWLHCLTTCILFVIYTITPLPLLVLEQFAPSVVLPYKLQPRVQVPLAASLRCYMEAAFVFPVAVGFQLVSYPAAAKILRTRMGLPLPSVRETVAQLVVYSLLEDYLSYWIHRLLHTKWGYEKIHRVHHEFTAPTGFAMSYSHWAENLLLSIPALAGPVIAPCHITTQWLWFSIRLIEGINIHSGYHFPFSPSKLIPFYGGAAYHDYHHYAGGHSQSNFAPLFTYCDYLYRTDKKSRPSDVSWSLFVFFFETGYRYHKLKQAKLKSLEEDNVEKKGGSTAFCNGKKD >KQL08428 pep chromosome:Setaria_italica_v2.0:V:45426507:45426849:1 gene:SETIT_005501mg transcript:KQL08428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDNGTFILYYLVLGLIAFTTVDSSSTISLVVAR >KQL07570 pep chromosome:Setaria_italica_v2.0:V:40565841:40568083:-1 gene:SETIT_004721mg transcript:KQL07570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPPQPGPYAPDLPAVPAWLNKGDNAWQLVAATFVGLQSMPGLVVLYVSIVKKKWAVNSAFMALYAYASTLIVWVLLGFRMAFGDRLLPFWGKAGPALAEGFLVARASFPATVRRGTGGALVAPRTEPPYYPEASLVLFEFELAAITLVLLAGSLLGRMNIRAWMAFTPLWLLLSYTICAFSLWGGGFLYHWGVIDYSGGYVVHLSSGVAGFTAAYWVRRGSRRILLMIAGGGLLWLGWAGFNGGAPYAPNITASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCVTAGAGPVHTWSAILMGVCAGSVPWFTMMILHKRSALLQKVDDTLAVFHTHAVAGLLGGVLTGLLATPDLMALHSHVPGARGAFYGGGVAQVGKQLGGALFVVAWNVVVTTGILLGVGLFVPLRMPDDQLRIGDDDAHGEEAYALWGDGERFDVTRNEAARTGAWGNGGREETVDHRLAGMGARGVTIQL >KQL04833 pep chromosome:Setaria_italica_v2.0:V:12157395:12160376:-1 gene:SETIT_003580mg transcript:KQL04833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGETTGAGGGGFRARMEHYLYSGEKKHVLAGIAIFAAVFGVPWYFMTRGAKHQSHQDYMEKANKARSERLSSGQPSALKE >KQL06440 pep chromosome:Setaria_italica_v2.0:V:33419283:33422428:-1 gene:SETIT_001145mg transcript:KQL06440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQFLPKLPRKSSASGKGDHVSGSSPGRNAAGNGSAIQRTSSCPSAGPARPASSVKRMSSAVFPSSVVAGIEPLVSFKDVPNGEKPNLFVSKVSLCCVVFDFSDPNKNSAEKDFKRQALVDLVDYVDSASSRFTEPMVVACCRMFAINLFRVFPPNCRSSSSGGGEGEEEEPMFDPAWPHLHLVYDLLLKFIGSSSLDAKVGKKYFDHTFIVKLLELFNSEDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIFYHFVFETDRHNGIAELLEVFGSVISGFTLPLKEEHKIFLWRVLIPLHKPKTLGVYLQQLTYCVTQFVEKEPKLASSVILGLLRYWPITNSQKEVMFLSEIEEVLEATNMVEFQKCMVLLFRRIAHCINSSHFQVAERALFMWNNDHIISLVAQNRQAIVPIVTPALEENIQNHWNVSVLNLTANVKKMLSEMDEELFSACLAKHKEDGERQASLEQKRRLAWERLESAAAFQPVTGNTAVLVSR >KQL06824 pep chromosome:Setaria_italica_v2.0:V:35891989:35892599:1 gene:SETIT_003366mg transcript:KQL06824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIHPSDRLGRSAGRGHARSYMPTRPNVFITFAIRETRQDQGHATMPGWAVRKQPTQHRSHRTRRAKPTTSQGDRTRKPLDARKTRPRCNPRPLRLGPPSISQYCCPVPSLYKGNRVSFL >KQL08257 pep chromosome:Setaria_italica_v2.0:V:44454947:44455561:-1 gene:SETIT_003468mg transcript:KQL08257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYQEVDYCSEEVRSVASPAGFGRHGGVQQHVVREKFEEVDRVSRTGSHGRHHGHHGHHGHGHGSGNFVMRETTVEEDFNTCTGEFHERKESYVVRSD >KQL08742 pep chromosome:Setaria_italica_v2.0:V:46907287:46907870:1 gene:SETIT_003457mg transcript:KQL08742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHHHDKNKNKAEAGAGGDDWRKKEKHHKHMEQLAQVGAVAAGAYAMHEKHKAKKDPEHARSHRIKEEIAATIAAGSAGFAIHEHHKKKEAKKHARHQHHH >KQL08452 pep chromosome:Setaria_italica_v2.0:V:45528755:45530388:1 gene:SETIT_002809mg transcript:KQL08452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNVVSGISASPSAAAGARHRHGAVKQLRIKVAQPQQQRLHGRRRQSGGRGGAVVARAGPGALSEIEPDLNEDPIDRWATPGISPEDFEFGVYDGHHTYHEGQDKKGFWEDVSEWYQEAEPPQGFQALISWAFPPAIILGMAFNVPGEYLYIGAALWIVVFCIIEMQKPDKPHNFEPEIYMMERSARDKLIADYNSMDIWDFNEKYGELWDFTVNTSREDIVKSS >KQL04912 pep chromosome:Setaria_italica_v2.0:V:12963403:12966854:-1 gene:SETIT_001114mg transcript:KQL04912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVASLYRRVLPSPPAVDFASPEGKRLFAEALAAGTMEGFFPLVSCFQTQSEPAFCGLASLAVVLNALSIDPGRRWKGPWRWFDESMLDCCEPLDKVKAEGITFGKVACLARCSGANVQSFRANLATIDDLRRHLVRCVSSQDCHLIASYHRQAFKQTGTGHFSPIGGYHAGQDMALILDVARFKYPPHWVPLRLLWDAMNMTDDSTGLLRGFMLISRKTAAPSSLYTVSCRDENWKSMAKYCVEDLPNLLKGDNLDNVQTLLSRLIESLPAEAEALIKWVVEVRRKEEGDPSLSKEEKERLFLKENVLQQVRDTRLFAIVHDLQYANNPCCNCSSSSEEDSLTRIAAAVCCQGAAMLSGNLASRHGFCCKETCLKCIQANGDGLKTVISASVVSEGNEHGVDMLLPMSSPGASSCNSNLKNNVVKYPSSADVLTVLLLALHPSTWLGIKDEKLKAELQTLVSTDNLPDDLKREIMHLRRQLYYLKTCKEKEEYEDDDLPSPKWLG >KQL08811 pep chromosome:Setaria_italica_v2.0:V:47182724:47190448:-1 gene:SETIT_000053mg transcript:KQL08811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRGLFGWSPPHVQPLTPVSETSEPPESPSPYAADLGLGGDGAPPPDDDAQPPLDDADDDPDPPPAAVPFKRLFACADRLDWALMAAGSLAAAAHGVALVVYLHLFGRAINSLHGRHTHDLFHNINQHALYFLYIAICVFFAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFGGLVIGLVNCWQIALLTLATGPFIVAAGGISNIFLHRLAENIQDAYGEAASIAEQAILYIRTLYSFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLISHGRANGGEVVVALFAIILSGLGLNQAATNFYSFEQGRIAAYRLYEMISRSTSIVNQDGRTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPARKTVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRENIAYGRSATTDQIEEAAKTAHVHAFISSLEKGYDTQVGRAGLSLTEEQKIKLSIARAVLSNPSILLLDEVTGALDFEAEKAVQEALDILMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHEELLNLDGLYAELLRCEEAAKLPKRTPIRNYKEPTSFQIERDSSASHSFQESSSPNMSKSPSLQKTHGFLTFRNSDANHNSHESPNIQSPPSEQMAEARLPMVASERAPSIKRQDSFEMKLPDLPKIDVPLHRQSSNTSDPESPISPLLTSDPKNERSHSKTFSRPLDIFDSFHAEDSKKPQTKAPSFWRLAELSLAEYFYALLGSAGAACFGSFNPLLAYTISLIVVAYYKIGVRDVHDEVNKYCSFIVGMGIITVLANFLQHFYFGIMGEKMTERVRRMMFSAILRNEVGWFDDEDNSADILSMRLANDATFVRAAFSNRLSIFIQDTSAIFVALLLGMLLQWRVALVALATLPILIISAVAQKMWLSGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKIMELYRLQLGDILTKSFIHGMGIGFAFGFSQFLLFACNALLLWYTAAAVKDGHLSLVTALKEYIVFSFATFALVEPFGLAPYILKRRKSLTSVFEIIDRVPKIDPDDASGLKPPNVYGSIEFKNVDFCYPTRPEMTVLSNFSLRVNGGQTVAVVGVSGSGKSTIVSLIERFYDPTAGQVLLDGRDLKLFNLRWLRSHMGLVPQDPVIFSTTIRENIIYARHNATESEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSAIESESSRVVQEALDTLIMGNKTTILIAHRAAMMKHVDNIVVLNGGRIVEQGSHDSLVQLNGLYVKLMQPHFSKGFRQRRLI >KQL08463 pep chromosome:Setaria_italica_v2.0:V:45567266:45568695:-1 gene:SETIT_004068mg transcript:KQL08463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVTRRRKSTDGGGIAALPDELLLEVFSRVGNVKALFLFAVTSRRWLRLFTDPAFLRELCPGHGEGRRARLLGFVPRQAKLHRALTSFVANDDGTFNYAQPLAARHGILLRHIVVNRGSCCIRRKPDIHCRLLDPVTGAHDDVAPPLECACVNHANGYAILTAADGDHINREQRPPSSAPHPAFSGELLLVGSHLDNNRLRQHVHSFSGATRRWSAPAQIRHHNRLRMVGARAAVVPRGAAHWLRRDLYLLSASTATGRASASVTKLAIKAAGGVPYLCVRRDGRFSLARVHPTRVDVWTQPDGDDGGDMVAWLRAQFYGKEWLLSQVIQMPAAAVPAGANFMCCSWFQFSKGAMMAVYGGSGVFVLNLETKAIEKIMDLTWCDPSRGYYSCLPYEMDPPEFFVGRLGGRAMETEEQAGLTMRD >KQL03657 pep chromosome:Setaria_italica_v2.0:V:3737989:3743968:1 gene:SETIT_000131mg transcript:KQL03657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASERPLLITTTPGTSTPDDAPSPHPPAASQLPPAQPEPPLRADRLAFSVEVPDPFRPSRRGDGPTDDPSAASQREREVGDEESRGVVVGEPSPEFAGNAIRTAKYSLLTFLPRNLFEQFRRLSYVYFLAITVLNQLPQVAVFGRGASVLPLAFVLFVTAVKDAYEDFRRHRSDRQENNRLASVLAPGTAGDFQPKRWKHICVGDVVRVGSNETLPADMVLLATSDPTGVAHVQTVNLDGETNLKTRYAKQETQVRFSQNGGVGGILHCERPNRNIYGFQANLEIDEKRVSLGPSNIVLRGCELKNTTWAIGVVVYAGKETKAMLNSSGAPSKRSRLETQLNRETVILSIMLIGMCTTASVLAGIWVLNHQGELEFTQFFREKDYTTGKNYNYYGVGMQIFITFLMAVIVYQVIIPISLYISMELVRLGQAYFMGADKDLYDESSRSKFQCRALNINEDLGQIRYVFSDKTGTLTENKMVFQCASIRGVDYSLGKDTDGYSVVVGDHLWTPKMAVKTDPQLVKLLRDSGKNDEAKLVLEFFLALAACNTIVPLVLDSRDYKQKLIDYQGESPDEQALAYAAASYGIVLVERTSGYIVIDVLGDRQRFDILGLHEFDSDRKRMSVIVGCPDRTIKLYVKGADSSIFGITNKSSELDIVRATEAHLHKYSSLGLRTLVVGMRKLSQSEFEEWQLAYENASTAVLGRGNLLRSVAANIECNIHILGATGIEDKLQDGVPEAIESLRQADIKVWILTGDKQETAISIGYSCKLLTNDMTQIVINNNSKESCQRSLVEALATTKKLRSASSIATLGPVLASEASSVTIALIVDGNSLVYILETELQEELFKLATECSVVLCCRVAPLQKAGIVALIKNRTDDMTLAIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMSYMILYNFYKNATFVLVLFWYVLYTAFTLTTAITEWSSLLYTVLYTSLPTIVVGILDKDLSKATLLAYPKLYGSGQRDEKYNVNLFVLNMLEALWQSLVVFYLPYFAYRRSTIDMSSLGDLWALAAVIAVVNMQLAPPPSLGGIG >KQL03533 pep chromosome:Setaria_italica_v2.0:V:2980931:2982061:1 gene:SETIT_004405mg transcript:KQL03533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSSRSGPFGKPHGCNGNGCASDLDTWPLHHAYRRGERYRLCSSCILLSDRSLYCCCCFFIVTSPSSHYDDGDPLMAPPAPTATCRVCRSAVAHLACLYPADGGGFVCPACTAAEEGRPFTYAPPCGVPLDTRAARVLLLAARTALALLKHEAAAASAAAERMAWEAEAARRRAYRAVSVALGLDGQEPPWNLHPRPVVPLQAAGNDRLAAPEQGSGTNIVDAPPPHEDHLAASGEGSQANKEEALLVHHRTMPPLAALSIGTGCATAAAVAPGAGSSHTPPWSSWSPPRFGANEVTRAAAESSRANPTPPRMLDLFGVKEMAMAAAEAARASPPPAPRTLQLFPADNKVSASPKPPKMQRTLQLFQDKIPDDDEE >KQL05839 pep chromosome:Setaria_italica_v2.0:V:28356564:28361305:-1 gene:SETIT_000333mg transcript:KQL05839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRLRAAAASSRLPRLGLCTTAASASATTSSAALSSPAPPLQRAAEPLSAHFVRTPAARGPGVADDLASSLRALLASSPTHPRAFPLLRSAALAKRLPPEALVDAVLSAADAGSPAATALLSSLLACLSRAARDFSAAAAAYARMVARGVVPDAKSRTDLLVVTARGASAADALALFDEMRGKGYHADVMMYDAVMRACFKGGMHGDAVRLFDEMARAGVKPDERVYSLTIAASCKLRDADRAVQVLGEMREAGFRPWDLTYSSVVDVLVKAGRMEEALQVKDQMLLVAGKKMDVVLATTLMHGYCLLGEVGKALDLFDEAVRDGVALTNVTYGVLIKGCDAEGMTDKAYELCHQMIEQGLLSSTHGFNLVIKGLLRDKRWEDAIGLLEVVADTGVPDVFTYNCLIHWLCQRHKLREALNLWDKMKEAGVKPSIVTYHSLLLGYCEKGCMDEALKLYSEMPGKGFTPNEVTYTTLMKGYIKKNAFDKAYSLLDEMHQNGVSCNDFTYNILINGLCMADRVCEVDEMLKRFISEGFVPSTMTYNSIINGFVKAGMMGSAFAMYQQMCEKGITPNIITYTSFIDGYCRTGCCDLAVKLLNDMRHKGIRPDIAAYNAFINGFCKQGNMSHALQFFVLLLKDGLKPDVTVYNCFITGYKDLKMMEEASKFYYSMIKEEIVADTATYTTLIDGFSKAGNIAFALDLYSEMLAMGNFPDDKTFTALTHGLCRNGDIDGAKKLLDEMRRLDVRPNIFIYNMLINACIRNGKLQEAFQLHDEMLNSGLVPDDTTDDLLVSLKPVEASRADSGNPILNSAS >KQL08758 pep chromosome:Setaria_italica_v2.0:V:46966901:46973031:-1 gene:SETIT_001237mg transcript:KQL08758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLADSFLADLDELSDNEGYPEADNAEAAGMEEDGDDDMPDLESLNYDDLDSVSKLQKTQRYNDIMQKVEVALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLSEENLEKTVEACDRALTLDTAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAGKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAAQNKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGNPLGGGTQSTYFSETGTFSKIRRTQ >KQL05209 pep chromosome:Setaria_italica_v2.0:V:17971015:17971517:-1 gene:SETIT_005245mg transcript:KQL05209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGHLFIYSFHSKINIQEVQVQFTQVNITTSNAKIGQACQQFRLDAFFLLAHCNLGSKLTLYC >KQL07291 pep chromosome:Setaria_italica_v2.0:V:38951258:38953079:-1 gene:SETIT_002806mg transcript:KQL07291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVERSTTAAAAGGSSAEMAVDHAAGSGAVEKPRFDALMPSEMSGGRPQFRKVPVPPHRFSPLKRCWMEIYTPVYEHMKVDIRMNIKARRVELKTRKDTPDVSNLQKCADFVHAFMLGFDIADAVALLRLDDLYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKYAIENSTRTRIVIADTKIHILGSFVNIKVARDSLCSLILGSPAGKVYSKLRAVSARLAERC >KQL05298 pep chromosome:Setaria_italica_v2.0:V:21138023:21145077:1 gene:SETIT_001096mg transcript:KQL05298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGPPRRARGDVSIESLARPVAVDHRISIQYYFRIADNLLRQADVYREENNLLDLYIILLRYSSLLLETIPKHHDYNAFKAREKEFLKKGPHNSQKLRSVLNELESLKPVVQQQFSNRNCGGAEEPNGVNGTYAASSGMEQYIPSPYMPKSLAGGPTGLLQKSFSSSKHQTTSLQNVQPERQLIKPYSTLPYPKEETLSRHSILGPNGLHGQWAGPVTGIRIEYPRNPELTQTDISSLVPSILNQDSLHGPITVSQDKNDDMLSVLSLDDGRWSLPVEEPASVSPGLEAEFSQLNIRQPSPFPVLAQVHSERGPIPPSRVADPRPGLAISDTGCYQNLHVPVALMECFLRVAEANTTKNLETCGILAGSLKKRTFYVTTLIIPKQKSTSDSCQATNEEEIFEVQDKGSLLSLGWIHTCFLSSIDLHNHYAYQIMLPEAIAIVMAPTDTTRKHGIFHLTDPCGLGVIHDCDATGFHPHEEPQDGTPIYEHCSHVYMNPNVKFEMVDLREV >KQL05516 pep chromosome:Setaria_italica_v2.0:V:25310322:25313933:-1 gene:SETIT_002471mg transcript:KQL05516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVRALIAAGATAVCCLVGAFWAFRSSSPSSSSKTQQSPSLNCCGCASCGCRAARSANGEMAVGGENKKKAQEPAPPEGGGGGASMMEQLVPEITTHALSYLDYTSLCRLSMTNSAMRRAANDDGAWKALYHKDFTVEQGTINPPNGWKAYYAATKAIMNLNAEFYNIIREGSLPAMSRFWLNADYVKCIHANGEFFTGYNAVMGGWSLLFNWGQDGGQGVGFQIRDVRVRVLGEVAWVNLKANIDLDPVLCHVTNVFEFRNGRWYMVHHHSSLMAEPAPHNMFG >KQL04447 pep chromosome:Setaria_italica_v2.0:V:9094180:9094987:1 gene:SETIT_003218mg transcript:KQL04447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKRLPAGKSAGKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KQL04449 pep chromosome:Setaria_italica_v2.0:V:9094180:9094987:1 gene:SETIT_003218mg transcript:KQL04449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAAKKPAEEEPATEKAEKAPAGKKPKAEKRLPAGKSAGKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KQL04448 pep chromosome:Setaria_italica_v2.0:V:9094180:9094987:1 gene:SETIT_003218mg transcript:KQL04448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKSAGKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KQL04930 pep chromosome:Setaria_italica_v2.0:V:13162538:13163770:1 gene:SETIT_002479mg transcript:KQL04930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLSTLRAAAAATAGATAAPLSLHRRRGGARAPRTRAPAVGAQGAAREPDANAVPVEGGGPPPASLPKAALRVGAGVALALALGGASWTARGGRAAGGPVLQPAMVCALNAVTDGAARASAEQRGAATMKTSVDALSDSLFRREDSPRDRATLMDLVFEQVTKEHITDRGKLTSLLQKEFSASRDSERKLDLGLLLTDVLINQRDWQRAKEVCQQLTGRYQRDSRPYLHLAVINMMMAVEGMLTPDTATTDDIEKMTKNAMDAWKEFKNKSELAKGSADSAT >KQL08578 pep chromosome:Setaria_italica_v2.0:V:46133097:46136501:1 gene:SETIT_004005mg transcript:KQL08578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAPMQHKVRLPENEEVARALHEKRLAMREQPAGFKEHLDRTFGKAYRNVCASTEPIRTLKEFSKIKGVGPWLIRCMKGFFAESSQDLSPTKCNVAGENGKKPRGPKRCVPKKKTAASGSPGDGIWIARHRKLLTEYVLDFIVERKNVADLASSIRDNRYKDQKSRLQSARICPTYDEFEGKCRDLQKKT >KQL04772 pep chromosome:Setaria_italica_v2.0:V:11573625:11577964:-1 gene:SETIT_001941mg transcript:KQL04772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCSHCSNNGHNSRTCPARSGGGGGGGGVRLFGVRLTTAPAPAAMKKSASMSCIASSLGGGSGGSSPPAGGDAGGRGGGDGGAGYVSDDPAHASCSTNGRAERKKGTPWTEGEHRMFLMGLQKLGKGDWRGISRNFVVSRTPTQVASHAQKYFIRQSNSSRRKRRSSLFDMVPEMPMDESPAAVEQFTLQNTQDEAASSNQLPALHLGQQKKVEVAKQLPTFQLSQHEESEYAEPSLPLPDLEMNSGAPFKTISVPAVPAFYPTLVPVPLTLWPPSVAHVEESGTTHEVLKPTPLNGKEAVKADDVVGMSKLSIGEASSVSMEPTALSLQLIGSTDARQSAFHVSPPMNRPELSKRNSSPIHAV >KQL07632 pep chromosome:Setaria_italica_v2.0:V:40930097:40930720:-1 gene:SETIT_005080mg transcript:KQL07632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAPSCTRFLKQACIALAFLLAVTSTAAAGRPARHSPPALSPGSGQTITLYTAGHATPKATAASSHHAVFTSEGPIGHHGSWLRALTRPGALRPGTVTVVDEELRGRKEFGLPLEGRLQGVLVTSSADNSSHMVAVRASFAGDGADDSLRFFGVRRDDQEESHVAVVGGTGRYSGAAGFAVVRAADAPQMGGDVSSSSGLSFSVHLK >KQL04572 pep chromosome:Setaria_italica_v2.0:V:9949627:9950599:-1 gene:SETIT_002595mg transcript:KQL04572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKPSHGDTAGAGNGGTEATKERKGLWSPEEDERLFAQITYHGVSTWSSVAQLAGLRRSGKSCRLRWMNYLRPDLKKEPISKREEEIIISLQRSLGNRWSAIAARMPGRTDNEIKNYWNSRIRKRLNAAAKSGGGGDGGSSSSTEPAAPAAEEKAEAELTNAATEAAPLPIPARFPMFACQVLDGAGDGGSESTPSSTISTQQNSGDESEASVGDSDMIHFLSFDDLDYPADFLVDVPGAMDAWESELYPANPMSFKCELS >KQL04665 pep chromosome:Setaria_italica_v2.0:V:10605088:10608420:1 gene:SETIT_001537mg transcript:KQL04665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAEAPSASASSAGNHRLLQSLADRGWRFRDPTDEAIQALLLASPTPSPEAMESELVDMDLRSFGGKSPPDRATTAATAKRLSYLHGPIVLQVVSVRDIYRSSIDASFKNPQQRRLLRFGLTDGTCEAVAIEFTPIPFITEEIAPGTKVRLENKIPINNGILCLSAKNVRVIGGTVQSLYEEWQMNQKYSGLSRPSLRLSQSDDGAGPPPFEKLDIEARPCRTTKVQPYPAIKLAVTHDHVPVNSGGKPMNEGSNDVYKDTTKSKVESTQDSRPKEVSETVPVQNQAAAQKLLQKMSQAVPEGRHGRGHRFKGKGKEEDAQVFTLDEWEKRKAIGSKSTSESYMQDTSRDEELARQLQEQLDLEDMHGGADVFHGRPESSDAERLRMSMFSFSGPDEAGGGRREFGGWGRGRGRGRGRGRGRGRF >KQL03689 pep chromosome:Setaria_italica_v2.0:V:4106416:4108204:-1 gene:SETIT_001089mg transcript:KQL03689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHSRRQRRSNAAGATEEDRLSSLPDDLLHSILRDLPLKQAARTSALSRRWAPQWLRALAASPVIDLTDRDFARGQTPARAAATVGRCLRLHAEHGAPLDAFRVALVSPSPSGLVGDGSALGRDVVGCSLERLALDGFSLRAVRLPAAGLAGLRSLSLAHADVTDEAVRGVLTGCRALESLTLRSCPLLTSVTIGSERLRVLELLGCRALRDLRVAAPALESFAFHGRVYFSEADDYAVPIELGDDTTMTMPALRDARMCHLGFGEYEDPNAQDFEYPFLYHVAHARVLTLCSIGLLLLREQQTYDEMAYADLPNLEELQLLMATVDNEGLEHVSTFFMLTQLPVLQRLFVRVNLVHKLCSVCTSSEKIHVFWNCLLPAEIVRYGLSHPQLPSDGGSSSAAALTDDDDADIILMFEVVLEQLTFIKVASFRGTRHELRLLRFFLKRAPVLEQMVLVTVEGEGAPGDEHLKDIYERVSVLQKASREARVSVCRPNEDDSPNHAHTMFYREE >KQL03690 pep chromosome:Setaria_italica_v2.0:V:4106375:4108204:-1 gene:SETIT_001089mg transcript:KQL03690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHSRRQRRSNAAGATEEDRLSSLPDDLLHSILRDLPLKQAARTSALSRRWAPQWLRALAASPVIDLTDRDFARGQTPARAAATVGRCLRLHAEHGAPLDAFRVALVSPSPSGLVGDGSALGRDVVGCSLERLALDGFSLRAVRLPAAGLAGLRSLSLAHADVTDEAVRGVLTGCRALESLTLRSCPLLTSVTIGSERLRVLELLGCRALRDLRVAAPALESFAFHGRVYFSEADDYAVPIELGDDTTMTMPALRDARMCHLGFGEYEDPNAQDFEYPFLYHVAHARVLTLCSIGLLLLREQQTYDEMAYADLPNLEELQLLMATVDNEGLEHVSTFFMLTQLPVLQRLFVRLPSDGGSSSAAALTDDDDADIILMFEVVLEQLTFIKVASFRGTRHELRLLRFFLKRAPVLEQMVLVTVEGEGAPGDEHLKDIYERVSVLQKASREARVSVCRPNEDDSPNHAHTMFYREE >KQL05096 pep chromosome:Setaria_italica_v2.0:V:15855644:15859745:-1 gene:SETIT_000394mg transcript:KQL05096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRERYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTEIFKECVRGALKNKTVVLVTHQVDFLHNADIIYVMKDGMIVQSGKYDELLQLGSDFAALVAAHDSSMELVESAAPASEGELPLSRQPSSKRNADSPSSSSIVAPKAEKASARLIKDEERASGHVSLAVYKQYMTEAWGWWGPLVVVAVSIAWQCSLVASDYWLADETSAENAASFRPSLFISVYSIIAAVSVVLVAARSFLVAFIGLQTADKFFKQILNSILHAPMSFFDTTPSGRILSRASSDQTNVDLFLPFFVWMSVSMYITVISVLIVTCQVAWPSVVAIIPLVILNLWYRGYYLATSRELTRLESITKAPVIHHFSETVQGVMTIRCFRKEDSFLQENLNRVNASLKMDFHNNGANEWLGFRLELIGSFVLCFTALLMVTLPSSFVQPEYVGLSLSYGLSLNQVLFWAIWISCFIENKMVSVERIKQFTNIPSEAAWRIKECLPDANWPTKGDIDVIDLKFRYRHNTPLVLKGITISIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGVDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLEQYSDDEIWQALERCQLREAVTSKSEKLDASVVDNGENWSVGQRQLLCLGRVMLKRSRILFMDEATASVDSQTDAVIQKIIREDFSACTIISIAHRIPTVMDCDRVLVIDAGLAKEFDRPANLIERPSLFGALVQEYANRSSDV >KQL05095 pep chromosome:Setaria_italica_v2.0:V:15856771:15859677:-1 gene:SETIT_000394mg transcript:KQL05095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRERYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTEIFKECVRGALKNKTVVLVTHQVDFLHNADIIYVMKDGMIVQSGKYDELLQLGSDFAALVAAHDSSMELVESAAPASEGELPLSRQPSSKRNADSPSSSSIVAPKAEKASARLIKDEERASGHVSLAVYKQYMTEAWGWWGPLVVVAVSIAWQCSLVASDYWLADETSAENAASFRPSLFISVYSIIAAVSVVLVAARSFLVAFIGLQTADKFFKQILNSILHAPMSFFDTTPSGRILSRASSDQTNVDLFLPFFVWMSVSMYITVISVLIVTCQVAWPSVVAIIPLVILNLWYRGYYLATSRELTRLESITKAPVIHHFSETVQGVMTIRCFRKEDSFLQENLNRVNASLKMDFHNNGANEWLGFRLELIGSFVLCFTALLMVTLPSSFVQPEYVGLSLSYGLSLNQVLFWAIWISCFIENKMVSVERIKQFTNIPSEAAWRIKECLPDANWPTKGDIDVIDLKFRYRHNTPLVLKGITISIHGGEKIGVVGRTGSGKSTLIQALFRIVEPSEGKIIIDGVDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPLEQYSDDEIWQALERCQLREAVTSKSEKLDASGQLTVMCLICFENNMNFIFPTSAVHIVFSIKRVCKNSDLRVLCQ >KQL05710 pep chromosome:Setaria_italica_v2.0:V:27169209:27169909:-1 gene:SETIT_003142mg transcript:KQL05710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGYKAAGKAVAVVLAMAALLAAAARAADDDDDHPWKCFRSCTKACHHHDAAAANKECNVSAVVSTVSGECKGGCHDDDCFEDVPTMGYPQCVYTACLSYPRHRREKRACLKKCCEKCFRHSPPAPGPSPIPEPPSPTPPSPTPEPPSPTPPAPGPTPEPPSPPN >KQL04642 pep chromosome:Setaria_italica_v2.0:V:10394750:10394920:1 gene:SETIT_003989mg transcript:KQL04642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRAPLAGGDGDGVGRPRPRGNFARRARTSTLPRRAGLVHYDTNLKPPRDVFVVH >KQL04427 pep chromosome:Setaria_italica_v2.0:V:8912686:8916431:1 gene:SETIT_000144mg transcript:KQL04427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAMRVMSLLPATIAVMIAAASWGAHGGASDEASALLAFKAELAGSGSGMLASWNGTAGVCSWEGVACTSGQVVALSLPSYGFAGALSPAIGNLTFLRTLNLSSNWFQGEVPASIGRLARLQTLDLSYNAFSGTLPSNLSSCVSLLLLDLSSNRFHGRIPVELGDKLTSLQKFSLGNNSLTGAMPGLLGNLSSLNYLDLRKNHLEGPIPHELGSMGGLQVLLLHDNRLSGVLPHSLYNLSSLKKLGVGDNMLSGTIPADIGDRFPGMEALDFSSNRFSGAIPPSLGNLSALTKLILQENGFIGYVPSALGKLQSLTALFLGVNRLGANDSQGWEFITSLANCSQLQVLVLGNNSFSGQLPNSVTNLSSTLQGLYLGDNMISGNIPINIGNLVGLTVFVMGNTSVSGQIPESIGQLRNLGVLGLYNTSLSGLIPSSLGNLTQLNRLYAYYGNLEGPIPSNLGNLKNVFVFDLSTNRLNGSIPIEVLKLPQLSWYLDLSYNSLSGPLPIEVGTMVNLNELILSGNKLSGTIPASVGNCISLVRLLLDNNLLEGSIPQSLKNIKGLALLNLTMNKLSGSIPDALASIGHLQQLYLAHNNLSGSIPKVLQNLTLLAKLDLSFNDLQGEVPKGGPFANATHLSIDGNDELCGGNPQLHLAPCSTAAAGKNRRRMSRSLMVTVASICALLFLGLVVCLIHLIHKRLRQGKENQLIPTAIDEQHERVSYQALANGTDHFSQVNLLGQGSYGAVYKCTLQDKGITAAVKVFNVWQSGSTRSFVAECEALRRVRHRCLIKIITCCLSIDHQGQEFKALVFEFMPNGNLNGWLHPASKIQSLSNTLSLAQRLDIAVDIMDALDYLHNQCQPPIIHCDLKPSNILLAESMSARVGDFGISKILPDDTSKTMLNSVSFTGLRGSIGYVAPEYGEGRAVSTLGDVYSLGILLLEMFTGMSPTDDMFKGSLDLHKFAEAALPDRALKVADPAIWLHEEAKGEDPATVRSRSERCLASVVGLGVSCSKQLPRERTAMRDAAAEMRAIRDAFLVQCATETWPAVGTSGLGSTDT >KQL05610 pep chromosome:Setaria_italica_v2.0:V:26353743:26359688:1 gene:SETIT_000443mg transcript:KQL05610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGGAAGGGGREEQGKVMGPLFPRLHVSDAGKGGGPRAPPRNKMALYEQFTVPSNRFSSSAASTRAAGGSLVTSTSASQVYSYDRPLFQPFDVPSNGPAHSSEKFKGNSINGQSNSTRRESGRMPTQTKNNDVYASKSIAESTSQHRVGNINKNSSGKKVANNDEFMVPSICSPRFSRYSTQEHAGVQDKSNPLSATNPHKSPSAMPKSSAECYSAVNRHLERIDESDMRSMSSSKVKEKESVQGSKIVEVEEKSLPVQAFKEKFKNKDAKACQMRDNANNIDSYDNPHFGNSRRQPTSMNGSSMEAKNPTTTRNTVSCKPCTDLNDCNKNSNLLDRSLREAGSKRKRGHQDVEQNDDLSDSSVECIPGWEVSPDEIVGAIGPKHFWKARKAIQNQQRVFAVQVFELHKLIKVQKLIAASPHLLIEGDPVLGSALVGKKTKLPKGNLKVQTLSIANKDDIQPTPEQPELSKQNTEGNPPSPCRDDGLGGNGHDQAATNETFTSNPPVMPAAPDNKQNNWCMNPPQNQWLVPVMSPSEGLVYKPYAGPCPPVGSLLAPFYANCTPLKLPSTPYGVPMPHQPQHMAPPGAPAMHMNYFPPFSVPVMNPGAPASAVEQGSHAAVPQPHGRAEQQSLISCNMSHPSGIWRFHASRDSEPQASSASSPFDRIQVQGDGSGPVSVFPSAPAQNAQPQPSSGSRDQQNHVIRVVPHNAQTASESAARIFRSIQMERRQNDP >KQL05611 pep chromosome:Setaria_italica_v2.0:V:26353743:26359688:1 gene:SETIT_000443mg transcript:KQL05611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGGAAGGGGREEQGKVMGPLFPRLHVSDAGKGGGPRAPPRNKMALYEQFTVPSNRFSSSAASTRAAGGSLVTSTSASQVYSYDRPLFQPFDVPSNGPAHSSEKFKGNSINGQSNSTRRESGRMPTQTKNNDVYASKSIAESTSQHRVGNINKNSSGKKVANNDEFMVPSICSPRFSRYSTQEHAGVQDKSNPLSATNPHKSPSAMPKSSAECYSAVNRHLERIDESDMRSMSSSKVKEKESVQGSKIVEVEEKSLPVQAFKEKFKNKDAKACQMRDNANNIDSYDNPHFGNSRRQPTSMNGSSMEAKNPTTTRNTVSCKPCTDLNDCNKNSNLLDRSLREAGSKRKRGHQDVEQNDDLSDSSVECIPGWEVSPDEIVGAIGPKHFWKARKAIQNQQRVFAVQVFELHKLIKVQKLIAASPHLLIEGDPVLGSALVGKKTKLPKGNLKVQTLSIANKDDIQPTPEQPELSKQNTEGNPPSPCRDDGLGGNGHDQAATNETFTSNPPVMPAAPDNKQNNWCMNPPQNQWLVPVMSPSEGLVYKPYAGPCPPVGSLLAPFYANCTPLKLPSTPYGVPMPHQPQHMAPPGAPAMHMNYFPPFSVPVMNPGAPASAVEQGSHAAVPQPHGRAEQQSLISCNMSHPSGIWRFHASRDSEPQASSASSPFDRIQVQGDGSGPVSVFPSAPAQNAQPQPSSGSRDQQNHVIRVVPHNAQTASESAARIFRSIQMERRQNDP >KQL05612 pep chromosome:Setaria_italica_v2.0:V:26353524:26359619:1 gene:SETIT_000443mg transcript:KQL05612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGGAAGGGGREEQGKVMGPLFPRLHVSDAGKGGGPRAPPRNKMALYEQFTVPSNRFSSSAASTRAAGGSLVTSTSASQVYSYDRPLFQPFDVPSNGPAHSSEKFKGNSINGQSNSTRRESGRMPTQTKNNDVYASKSIAESTSQHRVGNINKNSSGKKVANNDEFMVPSICSPRFSRYSTQEHAGVQDKSNPLSATNPHKSPSAMPKSSAECYSAVNRHLERIDESDMRSMSSSKVKEKESVQGSKIVEVEEKSLPVQAFKEKFKNKDAKACQMRDNANNIDSYDNPHFGNSRRQPTSMNGSSMEAKNPTTTRNTVSCKPCTDLNDCNKNSNLLDRSLREAGSKRKRGHQDVEQNDDLSDSSVECIPGWEVSPDEIVGAIGPKHFWKARKAIQNQQRVFAVQVFELHKLIKVQKLIAASPHLLIEGDPVLGSALVGKKTKLPKGNLKVQTLSIANKDDIQPTPEQPELSKQNTEGNPPSPCRDDGLGGNGHDQAATNETFTSNPPVMPAAPDNKQNNWCMNPPQNQWLVPVMSPSEGLVYKPYAGPCPPVGSLLAPFYANCTPLKLPSTPYGVPMPHQPQHMAPPGAPAMHMNYFPPFSVPVMNPGAPASAVEQGSHAAVPQPHGRAEQQSLISCNMSHPSGIWRFHASRDSEPQASSASSPFDRIQVQGDGSGPVSVFPSAPAQNAQPQPSSGSRDQQNHVIRVVPHNAQTASESAARIFRSIQMERRQNDP >KQL03361 pep chromosome:Setaria_italica_v2.0:V:1982584:1983101:1 gene:SETIT_005391mg transcript:KQL03361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSQFRGSFLEQPAIFHSWVSGGSKPTGHIQEPDLVQRP >KQL08661 pep chromosome:Setaria_italica_v2.0:V:46543910:46548045:-1 gene:SETIT_001000mg transcript:KQL08661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRTCSARRRSPSLGTAAVLLLLLLLAAWPPRLHAAGPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGAEWNPANTTDPGAGRVYFGEGAQYVDPDPGHSFQEIRQQIFGSDDASGPARMDGFVQQARSLGGNMTDAVMHGFAPDSVAVYRELVAQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPQLLAKGYPQRTIFDNIHDAGLSFGVYFQDVPAVLFYRNLRKLKYLLDFHPFHPTFRDHARRGSLPNYAVIEQHYLDSKLDPANDDHPSHDVYQGQMFVKEIYETLRASPQWNQTLMVLTYDEHGGFFDHVPTPVNGVPSPDGIVGPPPYNFTFDRLGVRVPAILISPWIEKGTGR >KQL08660 pep chromosome:Setaria_italica_v2.0:V:46543087:46548045:-1 gene:SETIT_001000mg transcript:KQL08660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRTCSARRRSPSLGTAAVLLLLLLLAAWPPRLHAAGPIKTVVVVVMENRSFDHMLGWMKRLNPEIDGVTGAEWNPANTTDPGAGRVYFGEGAQYVDPDPGHSFQEIRQQIFGSDDASGPARMDGFVQQARSLGGNMTDAVMHGFAPDSVAVYRELVAQFAVCDRWFASVPSSTQPNRLFVHSGTSGGATSNNPQLLAKGYPQRTIFDNIHDAGLSFGVYFQDVPAVLFYRNLRKLKYLLDFHPFHPTFRDHARRGSLPNYAVIEQHYLDSKLDPANDDHPSHDVYQGQMFVKEIYETLRASPQWNQTLMVLTYDEHGGFFDHVPTPVNGVPSPDGIVGPPPYNFTFDRLGVRVPAILISPWIEKGTVVHGPNGPTQTSQYEHSSIPATVKKLFDLPQDFLTKRDAWAGTFESVVQTRTEPRTDCPEQLPMPTRIRQTEANEEAKLSEFQQEIIQLASVLNGDHELTSLQDRIRDKMNVREGIAYMRGAVKRYFEAGMSAKRMGVDDEQIVKMRPSLTTRIERP >KQL08453 pep chromosome:Setaria_italica_v2.0:V:45533227:45533618:1 gene:SETIT_005559mg transcript:KQL08453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQCAVAKFGKRSLIMTSAVWTKLLVSHKFGKKGTYIITRKTGNCQISKIGRYVAAWFAL >KQL03952 pep chromosome:Setaria_italica_v2.0:V:5567753:5572013:1 gene:SETIT_001072mg transcript:KQL03952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEDQEAQKPLLATAAAGSSGDGRQGASSPSSSSSIAVVVASTAVAVAGSFEFGISVGYSSPSQPGIMRDLDLSLAEVPSCIRLLVLLTRTTEHWVVSPATYSYHAHMQYSVFGSILTIGAMLGAIVSGTVADRVGRRFAMAISDLLCILGYLLITFSQNFWWLDIGRLSIGCGIGLLSYVVPVYISEITPKNLRGGFATVNQFMICCGASLAYVLGTFITWRTLAIIGVAPCLLQLVGLLVTPESPRWLARFGHPGAFEAALQKLRGKGTDISDEALEIKDFTEKLQHLPESKMLDLFQKAYIRAVTVGVGLMVLQQFGGVNAICFYASEIFVSAGFSSGNTGMLAMVAVQIPMTGLGVLLMDKAGRRPLLMVSAAGTCLGCLLVGLSFLAKEHHWGKDLNILLALAGILIFTGSFSLGMGGIPWVIMSEIFPINMKGAAGSLVTLVSWLGSWIISYAFNFLLVWNSYGTFFIFATVCGLTVVFVERLVPETKGRTLEEIQASMNSSLTGPFQ >KQL03951 pep chromosome:Setaria_italica_v2.0:V:5567753:5572013:1 gene:SETIT_001072mg transcript:KQL03951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEDQEAQKPLLATAAAGSSGDGRQGASSPSSSSSIAVVVASTAVAVAGSFEFGISVGYSSPSQPGIMRDLDLSLAEYSVFGSILTIGAMLGAIVSGTVADRVGRRFAMAISDLLCILGYLLITFSQNFWWLDIGRLSIGCGIGLLSYVVPVYISEITPKNLRGGFATVNQFMICCGASLAYVLGTFITWRTLAIIGVAPCLLQLVGLLVTPESPRWLARFGHPGAFEAALQKLRGKGTDISDEALEIKDFTEKLQHLPESKMLDLFQKAYIRAVTVGVGLMVLQQFGGVNAICFYASEIFVSAGFSSGNTGMLAMVAVQIPMTGLGVLLMDKAGRRPLLMVSAAGTCLGCLLVGLSFLAKEHHWGKDLNILLALAGILIFTGSFSLGMGGIPWVIMSEIFPINMKGAAGSLVTLVSWLGSWIISYAFNFLLVWNSYGTFFIFATVCGLTVVFVERLVPETKGRTLEEIQASMNSSLTGPFQ >KQL08496 pep chromosome:Setaria_italica_v2.0:V:45710527:45718521:1 gene:SETIT_000079mg transcript:KQL08496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPFKPAAGALAEAGFGAGAGSIPAMVAAQQEMLHEQVDQLQRLVVAQCRLTGVNPLAQEMAAGALSIKIGKRPRDLLNPKAVKCMQSLFALKDTIGKKETREISLLCGVTVTQVREFFTCQKSRVRKFVRLSQEKALRVEAPKELDNAFSMSTEQIPLDIEAHAEVVEPLRTLEPVVPRSSSQPMDVPQVSSQPMELSQSCLQPMEAFQNSLQQATAQQYFAAPVMPSGTMVVQPTDAKISPDSVRKEIKQEEVHPGVESEDKKFLESIFALMRKEETFSGQVKLMEWILQINNVTVLSWFVTMGGLTIMSTWLSLAANEEQTSVILVIFKVLLHLPLHKALPAHMSVVLQTINRLRFYRTPDISSKARNLLSRLSKVLVRSQALKKPQKDLICKQRISEILRDESWKSEVDITEEVLALTDGANESSKPEPRKTQMLLTASADETNKRSAMQTKSKQKRKVLLVEHPNKKAAVKNVNSARNSTNNSRPLSADDIQKAKMRAMFMQEKYGKVDSSKASDKSQAMETPKTSGLVNSNVLPVPRDPIRSTAQPFDASTSSTAQPVDPSTSTSKQSTVPQPDKPEISNGLKLNIGSPKNVVEKLDSKRVPWRIPPAVWIDPSWSVSAGDNSKELEVQTQRNRREKETFYASQKDIPLNPKDPWDLEMDFDDSLTPEIPIDQAPDADTMEMDSVGAAPNAAAPVKDKQIGSTATSVAVADGANGEDPEPDLELLTVLLKNPQLVFALTSNNGEDVSSEQTVALLDTLKRTGLGLSELVNTLGNGAGAPKEPEPEPIPASLPSPTPSDQTARAVWGPEHPTQARAPNLQQPPLSNRGNTPPIANTVQQSFSNVMSSLPSQPYASVSVLPAQIQANVPSLPQLAVSVNPPVQHVSPVNNHLSRASVHQHAQQYALASDPVAMSLHQQAAVNKSTHGLQSVPNPAVAHSSLPEPNASYTTLPWQSNAAHVTNTGRNATADPWAARTTNSCNTASASTVPYANQNAYGDQSTHSAYNAYGSAAASSRTVLTGHGLDRNGYSRPVVEYQAMARDSHQRHSRSPDPGAGRDYGGTQGYNQQPLTRWSAGQGQQSYNPEPSRQWRSAQQGYTSAEPSRQWSSARQSYTSAEPSRQWSSEPKSYNVESSRSWNLGQQGQNPEGSRQWNLGKQDAYNPSDGRRSYEPQSYNVESSRSWNSGQQGQNPEASRQWNLGKQDAYNPSDGRRSYDQHWRR >KQL08611 pep chromosome:Setaria_italica_v2.0:V:46300896:46307955:-1 gene:SETIT_000328mg transcript:KQL08611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASAVIQHVGSMLGDKAWERIELLWNFENDAKEMKDKMFNLQVALNNAEKRSQESEDVLARLWLKKYKSVAYDMEDTLDELGANAMIWRNSTCTAKFFFSSINPLVVRITMSDKMRNIRVMLDKIVEDQTKFRFLTLPTPTSQDRRESEKKDILTKVLQKNGEKESFIIPVVGLGGMAFSHALFLRVLDLSGCHVSELPGSVCKLKLLRYLDASNLPIPNLPKFLNRLLNLQTLILSNTSLKALPTNVGCLQKLQYFDLSGCVNLHELPTSFGNLSALLFLNLASCHELPTLPESFGKLHKLQFLNLSDCYKLHSLPESCCQLDDLTHLELSDCHNLEKVPDCIDQLSKLEYLNMTSCSKVQMLPESLCKLMMLKHLNLSFCVKLRHLPASIGVLRLQSLDLQGCFFLVGLPDSIFNMSTLVHVERGLFAFHIRSEVDKLREQLNLERSCVLDGRGDLWSQILELEKTPCLELLIKGLKNVKNLEGADQAKLLNSSNLTSLWLSWGHGESSMVEHADASVEKSVLEKLVPPRNLRHLHLDGYMSIDFSRWMLELPSYLPHLSTIFLSNLKGCSHLPPLGRLPNLRALCLARMPNLKSVGREFYGDHGSCWKLRMILLEGMDNLEGWWTTRTSNEDEEFLIPNLHLLFASNCPKLKFLPYPPRSVTWAVRNSDHVFPEHGFGNLSSITSPYYLLIAGTSPSPEAWHRARYLCSIENLALGSLTGLTTLPEVIRSFISLRVFLVEDCYDLETLPEWLGDLTSLRQIEIGSCPMLSSLPESIQRLTGLKKLRIANCLALSEKCQGEDKHKIAHIPEVKFE >KQL06727 pep chromosome:Setaria_italica_v2.0:V:35236262:35237375:-1 gene:SETIT_004355mg transcript:KQL06727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTCKCSQKLLVEIISMLNQEQKDAIEKAGFGSLLKLKDIEIRRELCKEIAYSFDLDKEEFNIQENKVKISIKDVEHILGFPSQGDEIKEHHSSELREYLSKNKTYGDDFIRIFVLYTIGFYLCPTLQPYVKSDYLGLVEEIDNIKNLNWSSLVLNFLIRSIREYREVKAANLKGNLVLLQVSMSHMYPTLEHPGGDKPLMQYWDEKRAKERCKLARNHHFGEGKELQVFITNQYRLPSNQIDDRFNALNKRFDNVIQEQRVSLLSYY >KQL08211 pep chromosome:Setaria_italica_v2.0:V:44204926:44207591:-1 gene:SETIT_004140mg transcript:KQL08211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELIGGGALKKEPEEAVVEIDSEEEEAGKVVKRRRRRKKKACDPHQKRACVDCTKRCARIHGRAASSSPSPSSSKARPLPAVPSFFKVMMGYFSDDMDIPPPFARTILDLAGSNIYLEDAFGFRWRVRLCLSDGVLSFGHGWKNFVLDHAVSCGEFLVFRQIARSVFTVQMFAPSAVERLFLCERNKRQSRKRKPRQKASSPSIQTVKTSKNSVENRKKKQRTDHHSDLGPKDCQMPDHVCLDDSDVPNSASEPKCSETSGGLPEVGVAEPQEDSEAPTRHEREGQEVLDGEAEIADDCTIFEEKESECNARVTEHLVSDATEIELGEGLNLPTNADVIGPLAMMDLNEENIDDIFLSADIYEFGTDVCNPEAFSVDLNMEGPITTAQTSGFSCLEDASQNHHSSMGVGQSYVMPAETLSCSENKEMTDALETGSGYACVAVHDIDINELPATEPSPFAENSSPPADIEVHSGEFALSGCNQVKKDKQQDGQGDRQASTGQNTAEVISSGIMLHEHPHLSQNLHQTDKSEGLQSEIFESGGVLALAAACSKFCIAVPAPGQTWLELPNRLPVIPRTKKQGRKVVILKDPCMRLWPVLYQCTPRFSGFITGWVDICRENNLQEGDTCEFELSGNSELSFQVVLRSLHVATND >KQL03276 pep chromosome:Setaria_italica_v2.0:V:682509:685734:1 gene:SETIT_005094mg transcript:KQL03276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLWRWYQQCLASHPVRTQVVSSGILWGLGDIGAQAVTHHYSARRANNPPEDKDKDKEFKVDWRRVGITSSFGFAFVGPVGHYWYEYLDRIIRRRFQPNTFKFVASKVAADGFLFGPLDLLLFFSYVGLGQGRMGGTIWPAVQIANFRFIPVRYQLLYVNVFCLFDSCCLSWIEQQGDASWKRWFTSFRKIEDHKSKV >KQL04940 pep chromosome:Setaria_italica_v2.0:V:13230025:13230566:-1 gene:SETIT_005509mg transcript:KQL04940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACITYFTTQQFPLVEFNWQSFRQKYISSNLKSIVPK >KQL05307 pep chromosome:Setaria_italica_v2.0:V:21985328:21989832:-1 gene:SETIT_001219mg transcript:KQL05307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNCIATLVQTHAIARTSTPVTDTSNRTEPQTSPRCATSAGDMRHDQGSVHGFRPAQCPPSTCASSAHAASRAAPRGPDRGRPVGPSRCSPPRAHHLSRGPCSTRTHKSEKVRVSGYLHGARSGESSGRPIRRRGNPQPRPPSPAPRALTGGPVLKPRPHAPASSRGIPGLRTPARAKSRPCPFRLPPPHPSHPRRFPYKYNYPRHAVASSPFPPFLSFLHSPFSWRLGFRLFLPFPAKLARRGGLALRGMMGTAVELGRRQGDARFYDAARARRGNQRGLPKSRWSPADAAQEKVPSAAAAPGVSGNLERFVAAVTPSVPAQYPSKRAARGWRTNGVDGDQDRPYFVLRDVWEAYSEWSAYGAGVPLMLDGCDGVVQYYVPYLSAIQLYGDPAVLRTSTSPRHMMNDSDGDSHESSSDASSDCGHGRLKHLTREGFSSDDGESGDPHDRLLFQYLEFDSPFCREPLTDKARTIISVPMLFHDISKF >KQL08159 pep chromosome:Setaria_italica_v2.0:V:43836892:43840599:-1 gene:SETIT_000593mg transcript:KQL08159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVNNWLAFSLSPQDLPPSQTDSTLISATATDEVSGDVCFNIPQDWSMRGSELSALVAEPKLEDFLGGINFSEQHHKANLNVIPSSSNACYASSGASTGYHQLYHHQSSALHFADSVMVASSAGVHDGGASMLSAAATVNGGAGAASANGGSIGLSMIKNWLRSQPAPPLPQPRVVAAAEGAQAAQGLSLSMNMAGAQGAGMPLLAGERGRAPESVSTSAQGGAVAARKEDSGSSGGAGALLAVSTDTGGSGTVAETAARKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEEMKHMTRQEFVASLRRKSSGFSRGTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSILDSSALPIGSAAKRLKEAEAAASAQHAGVVSYDVGRIASHLGDGGALAAYGTHYHAAAAAWPTIAFQPSAAAAGLYHPYAQPMRGWCKQEQDHAVIAAAHSLHELNHLNLGAGGGAHDFFSAGQAAMHGLGSIDNASLEHSTGSNSVVYNGVGDSNGGAVGGGYMMPMSAATATTTAMVSHEQVHARSHQGEHDEATKQAAQMGYESYLVNAEAAYGGGRMPSWTPASAPAAASSNDNMAGVGHGGAQLFSVWNDT >KQL05817 pep chromosome:Setaria_italica_v2.0:V:28199141:28200018:-1 gene:SETIT_003028mg transcript:KQL05817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANSAETERALEQCERDLDLAIERLVNLRLDPEHDAGEGAAPDIIDDDVRHAPAPAAAKARSAAPVPSGGSGHAAWIERLINEMLSAADVEDARARAATFLNDFDASVAVGRDVVALQENRVLKKAVLLQHRLDNKKETANRELQRQLAGCQERVRSLETDSYALSMFLRRAQPQGGPSMTGRFHPEVF >KQL04552 pep chromosome:Setaria_italica_v2.0:V:9831964:9834687:1 gene:SETIT_002807mg transcript:KQL04552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTTRPAFVLALAIALTNEVAESRDFNILAKGPGLTAASGKLCQLCEQYSTEALLYLKQNETQTEILSILHHECASLAPLKQQCITLVNYYVPLFFLEVSMVNPEKFCESVHLCKQGMKISLPTREGTCGLCHHVIVEVLVMLKDPNTQLEVIDLLLKTCSKAQNYEQQCKRLVLKYTPLILVKGQKFLETNDVCSAIHACRTGTQASMENIPLSATL >KQL04551 pep chromosome:Setaria_italica_v2.0:V:9831964:9834687:1 gene:SETIT_002807mg transcript:KQL04551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPTTRPAFVLALAIALTNEVAESRDFNILAQNGLPDAAKGPGLTAASGKLCQLCEQYSTEALLYLKQNETQTEILSILHHECASLAPLKQQCITLVNYYVPLFFLEVSMVNPEKFCESVHLCKQGMKISLPTREGTCGLCHHVIVEVLVMLKDPNTQLEVIDLLLKTCSKAQNYEQQCKRLVLKYTPLILVKGQKFLETNDVCSAIHACRTGTQASMENIPLSATL >KQL06667 pep chromosome:Setaria_italica_v2.0:V:34900813:34905371:1 gene:SETIT_001093mg transcript:KQL06667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALASAARSRRRLIPYLHRLLHSGPAAAASPSPSTSRFLRHSSPVPRTPDHSPYLRFPDVRVSTLPSGLRVVTQAFPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTGRRPNAQALEVEIEDMGARLNAYTSREQTTFFADVQARHVPVALDVLSDILQHPRFPEKAIQRERGVILREMEEVVSAAGSVSHDEVVDRVKDLFTEFSTDPTTADQLVEAIPAIFTGSEVRVENEEMPLAHVAIAFKGSSWTDPKSIPLMVIQSILGSWNRSVGVGNCSGSSLARGISNGNLAESLMAFNTNYRDIGIFGVYAIAPPDTLHDLSRLIMAELRRLAFHVSEEEVARARNQLKSSLLLHVDGSTAVSENNGRQMLTYGRVMPFLELFARIDAVDCATIMETAKEHIIDKDIALAAVGPISNLPELSWFRSETCSDDEFTRRIFFGNAQNN >KQL06668 pep chromosome:Setaria_italica_v2.0:V:34900813:34905371:1 gene:SETIT_001093mg transcript:KQL06668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALASAARSRRRLIPYLHRLLHSGPAAAASPSPSTSRFLRHSSPVPRTPDHSPYLRFPDVRVSTLPSGLRVVTQAFPAATRMASVGVWVDAGSRFELPGTNGTAHFLEHMAFKGTGRRPNAQALEVEIEDMGARLNAYTSREQTTFFADVQARHVPVALDVLSDILQHPRFPEKAIQRERGVILREMEEVQGMMEEVIFDHLHAAAFQGHPLGDTILGPEENIRSISKKDLEQYISTHYTCPRMVVSAAGSVSHDEVVDRVKDLFTEFSTDPTTADQLVEAIPAIFTGSEVRVENEEMPLAHVAIAFKGSSWTDPKSIPLMVIQSILGSWNRSVGVGNCSGSSLARGISNGNLAESLMAFNTNYRDIGIFGVYAIAPPDTLHDLSRLIMAELRRLAFHVSEEEVARARNQLKSSLLLHVDGSTAVSENNGRQMLTYGRVMPFLELFARIDAVDCATIMETAKEHIIDKDIALAAVGPISNLPELSWFRSETCSDDEFTRRIFFGNAQNN >KQL03236 pep chromosome:Setaria_italica_v2.0:V:443629:445850:1 gene:SETIT_003806mg transcript:KQL03236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVAATLVALLVALFAVAATAQAPAAAPKMAPLPPPPKRAPMASPPAPPMGSPASAPSASVPAMSPTAAKLVSMARGNPRVAIIDVRDEERSYQAHIAGSHHFASGSFAARMPELVQAASGKDTLVFHCALSQVRGPTCARMFSDYLSETKEDSEIKNIMVLELGFNGWEVSGQPVCRCTDAPCKGTCS >KQL04315 pep chromosome:Setaria_italica_v2.0:V:8094061:8097400:-1 gene:SETIT_001444mg transcript:KQL04315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSISSASSPLLRLHGTPGAANASPRPSLVAAARQPASSATPLRAVSPSPSPSRPAPASPAAEPFGFDALKETFSVDVAAAEARPLAVPLAAPFTIASSRLDAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPAALAAAGRACGALEAAPAAPLGALLQDVAGVLPGHDFASARAGVEMALIDAVANSIRIPLWRLFGGASDTVTTDITIPIVAPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTADQAIEVLDRLNEMGVTPVLFEQPVHRDDWEGLRDVSIVAMEKYKVAVAADESCRSLLDAQKIINGNLAHVINIKLAKLGVLGALEIIDAARKANVALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVYGGYEAFGPLYKFTNARGHGGFLHLDNDGSK >KQL04317 pep chromosome:Setaria_italica_v2.0:V:8094844:8097400:-1 gene:SETIT_001444mg transcript:KQL04317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSISSASSPLLRLHGTPGAANASPRPSLVAAARQPASSATPLRAVSPSPSPSRPAPASPAAEPFGFDALKETFSVDVAAAEARPLAVPLAAPFTIASSRLDAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPAALAAAGRACGALEAAPAAPLGALLQDVAGVLPGHDFASARAGVEMALIDAVANSIRIPLWRLFGGASDTVTTDITIPIVAPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTADQAIEVLDRLNEMGVTPVLFEQPVHRDDWEGLRDVSIVAMEKYKVAVAADESCRSLLDAQKIINGNLAHVINIKLAKLGVLGALEIIDAARKANVALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVYGGYEGSLTLCTKLFICLQFLFKDSSYCRLHSCLLL >KQL04316 pep chromosome:Setaria_italica_v2.0:V:8093714:8097400:-1 gene:SETIT_001444mg transcript:KQL04316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSISSASSPLLRLHGTPGAANASPRPSLVAAARQPASSATPLRAVSPSPSPSRPAPASPAAEPFGFDALKETFSVDVAAAEARPLAVPLAAPFTIASSRLDAVSNVAVRVELRSGAVGWGEAPVLPSVTAEDQPAALAAAGRACGALEAAPAAPLGALLQDVAGVLPGHDFASARAGVEMALIDAVANSIRIPLWRLFGGASDTVTTDITIPIVAPNEAAQLAAKYRGQGFQTLKLKVGKNLNSDIEVLKAIRLVHPDCSFILDANEGYTADQAIEVLDRLNEMGVTPVLFEQPVHRDDWEGLRDVSIVAMEKYKVAVAADESCRSLLDAQKIINGNLAHVINIKLAKLGVLGALEIIDAARKANVALMIGGMVETRIAMGFAGHLAAGLGCFSFVDLDTPLLLSEDPVYGGYEAFGPLYKFTNARGHGGFLHLDNDGNEDG >KQL03850 pep chromosome:Setaria_italica_v2.0:V:5016259:5016724:-1 gene:SETIT_005335mg transcript:KQL03850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKATTATKASAMRMFSSTGSRHTFFPMLSQPC >KQL08554 pep chromosome:Setaria_italica_v2.0:V:46000436:46002813:1 gene:SETIT_001018mg transcript:KQL08554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSLEHCFKLLLLLALGGVTMHVPDADVLSSLGALRLYGHFSFHDATAMARDFGNRCSLLPATVLHPGSVSDIAATVRHVFSLGERSPLTIAARGHGHSLMGQSQAAGGIVVRMESLRGDRLQVHDGSMSPFVDAPGGELWINVLHETLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQAFRHGPQVSNVNQLEIVTGRGDVVTCSPEENSDLFYAALGGLGQFGIITRARIALEPAPKMVRWIRVLYSDFASFTEDQEMLIMAKNTFDYIEGFVIINRTGILNNWRTSFKPQDPVQASRFQSDGRVLYCLELTKNFNSNDAGTMEQEVTALLSKLRYIRSTLFHTDVTYLEFLDRVHTSEVKLRAQGLWEVPHPWLNLLIPRSSIHRFAKEVFGKILKDSNNGPILLYPVNKSKWDNRTSVVIPDEEIFYLVGFLSSAPSLSGHGSVAHAMNLNNQIVEFCEEADIGMKQYLAPYTTQQQWKAHFGARWETFERRKHTYDPLAILAPGQRIFPKASLPLSL >KQL08576 pep chromosome:Setaria_italica_v2.0:V:46126110:46126664:1 gene:SETIT_004307mg transcript:KQL08576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEEVNLSAEAEEAEDQQAAGDIVVDGFDPTEDELVLHFLRPQLRGFPPRVAGAVLEADPCGAAPWELLARYGLREQGHFFAARGRRRPFVRRAVAGAGAWMHSSTRAGRSVTDLGVVVRWSRVKFCFYTRGGPGGAGAGQQRSTGWVMDEYEITDPGCYRRRDEEGEEDEYWVVCRVRKSKRP >KQL05716 pep chromosome:Setaria_italica_v2.0:V:27192053:27192929:1 gene:SETIT_003326mg transcript:KQL05716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRGFTFKRILRWFPRSSASGGLQEEDEDSSERSGLLRSHLQHQIVPVTDSGDTSKALAVRVEPKTVALKVSMHCHGCARKVEKQVSKMQGVVSFKVELESKKVTVVGDVSPADVLESICKVMKRAELLVA >KQL03589 pep chromosome:Setaria_italica_v2.0:V:3336419:3337498:1 gene:SETIT_003874mg transcript:KQL03589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPAAAAAGGSRKRRRVSVGSTEHYEEVSRLGEGNFGAVVKARHRVTGQTVAIKRLTTAAADAAEDPMREASLHEACGDHPFIVGFHGLARDPATSRICLVTECVDGPSLHDYLDHRSRRGFPPLPEPTVRAVMWQLLTAAKAMHSAGVIHRDIKPENILVSGDRRSVKFCDFGLAMSMSDAPPYEQAGTLSYKAPEMMLEMPVYDARVDAWSLGCVMAEIINNGGLPLQGDGEDGQLRAIFDVLGVPDDETWPEFSSTPFAAKVVPELQVVHRKNCLRELFPEAALSKEGFEVLDGLLTCNPGKRLTADAALKHQWFAKVDALELPRKDEVASALPGKKKLRMAPAACAKRRKLQCV >KQL03667 pep chromosome:Setaria_italica_v2.0:V:3803322:3804747:-1 gene:SETIT_004246mg transcript:KQL03667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NSDLMPPESIDARRIEAEQATSVVRLRVTRTQNKKGRGTGFIVANTANKLVVMTCGHSFHEWVRGTEVGITFHDRRDASAEAVLINKEEEVALLMIDTSSNPELCAYPVVTFSYEDIRVGDFLVMLGHPHGMRGYHDVWNNFGWVTKEVGLTATGLGSIVQMFSGDFGTGPDASGSPVFGVDGRLVGMSIAGVQDMAYVLSVRWIWETLLKGIAELKRTNVIRLDVNCSCSCILDLCFC >KQL05230 pep chromosome:Setaria_italica_v2.0:V:18469716:18470606:1 gene:SETIT_004299mg transcript:KQL05230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPDFFTITLITDETPAAKQPARRRVATKPLARRRPSSASCVLQLLANHRPAAVIEKAALVAIDQTYAALLETAPPEGGQRVVEASAPVDPADPYSPLLRVEVSPTNCRVSLPAAASGRTTTPPEQIIKNHPGLTRASVTVSPGALHLARLAGAASGERRVSWSYAEARPDASSEALLRVVRTVRSGLDKAIARESTLLDLVRANSFGGSPKAGGIVAARAALEEMRAVLDVDAIVRRRRCQKRRRSSPEIISCRPAAEVEDTEMLIDGMRALHVYEADDDDAEVLTKRMRTLCV >KQL06390 pep chromosome:Setaria_italica_v2.0:V:33014382:33017364:1 gene:SETIT_002548mg transcript:KQL06390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLEPHDYIGLSAVAVAVPPTPTSSSSSSSSPAPPRLTLRLGLPGSESPDRDCCEDDVAAALSLGPLPVAAPAGSAKRAFPADPCPRAGAATKATDGKQSPPAAPPAAKAQVVGWPPVRNYRKNTLAASASKTKAPAEEAASGGGGPMYVKVSMDGAPYLRKVDIKMYSSYEDLSMALEKMFSCFITGQSGLRKSSTKDRLTNGSKADGLQDQEYVLTYEDKDGDWMLVGDLPWDSKNHRADRSEQVSLAIVCDHERL >KQL06391 pep chromosome:Setaria_italica_v2.0:V:33014382:33017364:1 gene:SETIT_002548mg transcript:KQL06391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLEPHDYIGLSAVAVAVPPTPTSSSSSSSSPAPPRLTLRLGLPGSESPDRDCCEDDVAAALSLGPLPVAAPAGSAKRAFPADPCPRAGAATKATDGKQSPPAAPPAAKAQVVGWPPVRNYRKNTLAASASKTKAPAEEAASGGGGPMYVKVSMDGAPYLRKVDIKMYSSYEDLSMALEKMFSCFITGQSGLRKSSTKDRLTNGSKADGLQDQEYVLTYEDKDGDWMLVGDLPWDLFSTICRKLKIMRGSDAVGIAPRTIEQTGQNK >KQL03389 pep chromosome:Setaria_italica_v2.0:V:2119183:2119667:-1 gene:SETIT_005496mg transcript:KQL03389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKIWHCSSKQLDRGNIMHIHTTASRYYWNQIDVLKNSKP >KQL07300 pep chromosome:Setaria_italica_v2.0:V:39004411:39007786:1 gene:SETIT_001783mg transcript:KQL07300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRHLLRRRLSTAAATAAAPTPGSILNPSSPNTPLSSLQKTRLAISLLKSSPPPPPDQILSICRAAALSPETHIDRVALSLAASKLSSAPDTLRDLTSTVLTPRHAPHAIVLFGQAGLLPDAISTFQSSPSTRSLNALIFACIVSKNHTEAARIFQTFPDAHGVKPNTETFNTIIKSFAESGTIRSFYSVFDEMCKKGVKPDARTFTTALAGFYNEERFDDVAKVIELMKKHGCGETLPVYNVRVRSLCKLGRSGEAKALVNEMVKKGTKPSWVTYNHLVYGFCKEGDLEEAKRLYKEMGMKGLVGDCNFYYMLIHFLCRGGDFDTALGLYNEIEPKNWVPCFSTMKMLVNGLAGSSKIDEAKGIIEKMKEKFPDNEGWKEVEAALPQ >KQL07301 pep chromosome:Setaria_italica_v2.0:V:39004411:39007786:1 gene:SETIT_001783mg transcript:KQL07301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRHLLRRRLSTAAATAAAPTPGSILNPSSPNTPLSSLQKTRLAISLLKSSPPPPPDQILSICRAAALSPETHIDRVALSLAASKLSSAPDTLRDLTSTVLTPRHAPHAIVLFGQAGLLPDAISTFQSSPSTRSLNALIFACIVSKNHTEAARIFQTFPDAHGVKPNTETFNTIIKSFAESGTIRSFYSVFDEMCKKGVKPDARTFTTALAGFYNEERFDDVAKVIELMKKHGCGETLPVYNVRVRSLCKLGRSGEAKALVNEMVKKGTKPSWVTYNHLVYGFCKEGDLEEAKRLYKEMGMKGLVGDCNFYYMLIHFLCRGGDFDTALGLYNEIEPKNWVPCFSTMKMLVNGLAGSSKIDEAKGIIEKMKEKFPDNEGWKEVEAALPQ >KQL07325 pep chromosome:Setaria_italica_v2.0:V:39115627:39115790:1 gene:SETIT_005382mg transcript:KQL07325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYHIWSRVRPKFAINSRIRRREAYGGRLMS >KQL05272 pep chromosome:Setaria_italica_v2.0:V:20180032:20181986:1 gene:SETIT_005254mg transcript:KQL05272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKTAGQLAEVKELVNIPTHIRFWGLDSGIRHRHSICLISSLIFREGSKCCAKQISALEESWRRPAAKCTGRCGSTVPTWLATSGSPPSRRYHMLATDSSIPWKLALSTPFRLGAR >KQL05271 pep chromosome:Setaria_italica_v2.0:V:20179815:20181986:1 gene:SETIT_005254mg transcript:KQL05271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRKLQQFSLQTQHMLDQLTDLQRREQMLCEANKCLRRKLEETSSQVHGQVWEHSANLVGYERQSPQQALSHVGNRFFHPLEVGPEYTLQIGSKIEFEWSGAHK >KQL08174 pep chromosome:Setaria_italica_v2.0:V:44001595:44006035:-1 gene:SETIT_000355mg transcript:KQL08174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVMEAEEGPFLANDAKLHAGICRAFHPAVSKLSTIFPFIEASRPRSKSGIQALCSLHVALDKAKGLLQHCADSSRLYLAITSETVLLKFEKSRSQLQESLRRVESIVTEDISCKITEIVSELEEIVFSLDRSEKEAGDDVINLLQRNNKTNGSSDSGELDVFHMAALKLGITSSRAALTERRALKKLIEKACSDEDKRKELVVSYLYNLMRKYSKFFRSEAGDDTDSQGSSPCSPTVLGMDDMYGPYGNGRAFSRQLLSVQSFNSRFGSFNSRLGSFNCRRGGGPRSENMSIPPEELRCPISLQLMYDPVIISSGQTYERVCIEKWFNDGHSTCPKTQQQLAHLSLTPNYCVKALIASWCEQNDFPVPDGPPGSFDVNWRLAYSDTEATGCVPVESFDSTNVKSVKVVPMESMRQEEPANSESGTLDDSSCNDFDLNEGYGNLLLLLHERSNMNKQCRLVEQIRYLLKDDEEARIQLGSNGFAEALVEFLRNAVNDGNEKAQEVGAMALFNLAVNNNRNKGLLLSAGVVDLLEQMISNPRLSGPSTALYLNLSCLPDAKAVIGSSQAVSFLVDCLYSQEAGDTKSSSCKHDALYTLYNLSIHQASVPALLTAGIVDALHCLLTESPASEGLGWTEKALAVLISLAATQAGRKEIMSTPGLVSTLATLLDTGELTEQEQAVSCLLVMCTADDKCIVPVLQEGVVPSLVSISAAGTGRGREKAQKLLKLFREQRQRDAPPPQQPQQQQQQSQLTEAGNGGGAIVCHRESKPLCKSKSRKLGRTLSSLWKNRGFSLYQC >KQL07354 pep chromosome:Setaria_italica_v2.0:V:39267812:39268672:1 gene:SETIT_003748mg transcript:KQL07354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEGEATAMPARRASPPPPPWEALPLVAPFLDAASLAAASCVSTSWHAAFAADYLWARLCAQHYPSALGLLRHLPDTTDDSASSGRCRCSSTTTSSSPHRRLFALFRSASTRSRVLPAPRLALADVAFAVDILTAGGETILSFAVAAAEAGGGVKNAAGLFLFGVDVGGRSAAIGPGEWRVRWTAVRTGTRGDGGAPAAVLMMDAKVPAARAAGAIVGFGGGRGEAGVAAGLPAPGCGGARLEAEVVLELAGEEKMIEKVRLGVMCECRYVSADEGLRYLQHFLL >KQL08541 pep chromosome:Setaria_italica_v2.0:V:45900888:45901079:-1 gene:SETIT_005146mg transcript:KQL08541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAGAVVQRVAGMLGQSAWERVELLQRFSDDFEEMKGTLITVQAVMAVAENRSQVSESVRLWL >KQL08574 pep chromosome:Setaria_italica_v2.0:V:46112578:46116254:-1 gene:SETIT_001962mg transcript:KQL08574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLVVLGIPWDVDTEGLREYMAKFGTLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLESEHVLGTRTLEVKIATPKEEMKSQGTKKATRIFVARIPQSVDESMFRRHFEAFGEILDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDVRYPPSRASQSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGYYGSSQAVGKKIFVGRLPQEANTDDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVAERVARRSHEILGHEVAIDTAAPLENDSTGGAYIDPMDLYGAYGSMRSFGRFCGSLDYNYGYGPSSGSSRSRGDWRYRPY >KQL08571 pep chromosome:Setaria_italica_v2.0:V:46112578:46116099:-1 gene:SETIT_001962mg transcript:KQL08571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFGTLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLESEHVLGTRTLEVKIATPKEEMKSQGTKKATRIFVARIPQSVDESMFRRHFEAFGEILDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDVRYPPSRASQSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGYYGSSQAVGKKIFVGRLPQEANTDDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVAERVARRSHEILGHEVAIDTAAPLENDSTGGAYIDPMDLYGAYGSMRSFGRFCGSLDYNYGYGPSSGSSRSRGDWRYRPY >KQL08572 pep chromosome:Setaria_italica_v2.0:V:46112290:46116487:-1 gene:SETIT_001962mg transcript:KQL08572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLVVLGIPWDVDTEGLREYMAKFGTLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLESEHVLGTRTLEVKIATPKEEMKSQGTKKATRIFVARIPQSVDESMFRRHFEAFGEILDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDVRYPPSRASQSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGYYGSSQAVGKKIFVGRLPQEANTDDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVAERVARRSHEILGHEVAIDTAAPLENDSTGGAYIDPMDLYGAYGSMRSFGRFCGSLDYNYGYGPSSGSSRSRGDWRYRPY >KQL08573 pep chromosome:Setaria_italica_v2.0:V:46112290:46117819:-1 gene:SETIT_001962mg transcript:KQL08573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLVVLGIPWDVDTEGLREYMAKFGTLDDCVVMKERSSGRSRGFGYVTFSSADDAKNVLESEHVLGTRTLEVKIATPKEEMKSQGTKKATRIFVARIPQSVDESMFRRHFEAFGEILDLYMPKEHGSKGHRGIGFITFQSAESVDSIMQESHELDGTTVVVDRATPKDEDVRYPPSRASQSQGGYGAYNAYISAATRYAALGAPTLYDHPGSAYGRGYYGSSQAVGKKIFVGRLPQEANTDDLRHYFGRFGRIVDAYIPKDPKRSGHRGFGFVTFADEGVAERVARRSHEILGHEVAIDTAAPLENDSTGGAYIDPMDLYGAYGSMRSFGRFCGSLDYNYGYGPSSGSSRSRGDWRYRPY >KQL04634 pep chromosome:Setaria_italica_v2.0:V:10342159:10345984:1 gene:SETIT_001781mg transcript:KQL04634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHTNPLPPMHQITYTLAQITREGDARSDTVLLHSNQFQGGLNKQRARTSRGLKRKEANRKRPLRDLITGRRSRRRRRHKTAGETSSLPLRNGGRLASEFGLLSRGLKMGRLWTQTGLLSLHSLNNWAISGSARYKENEWGNEVRLGLLRCSFFSSTSLPFSFAAVSRPRRRRPHPAPPRRRFRLGYRVTTAGESAHHHQFTTTDTMARLVSTALVRGLMRSSRASSAAAVSRPAIQQFMNYSSGLGGAPSANGDSMTTRVAADPDTHQDFQPTSKSSEMSFDDIVAQDIKEHPVLIYMKGYPDAPRCGFSALAVKVLQQYGVPISARDILSDLKLKESVKAYSNWPTFPQVFIKGEFVGGSDIILTMHQGELKDLLGDIAPKGEQNGSS >KQL04633 pep chromosome:Setaria_italica_v2.0:V:10342159:10345984:1 gene:SETIT_001781mg transcript:KQL04633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHTNPLPPMHQITYTLAQITREGDARSDTVLLHSNQFQGGLNKQRARTSRGLKRKEANRKRPLRDLITGRRSRRRRRHKTAGETSSLPLRNGGRLASEFGLLSRGLKMGRLWTQTGLLSLHSLNNWAISGSARYKENEWGNEVRLGLLRCSFFSSTSLPFSFAAVSRPRRRRPHPAPPRRRFRLGYRVTTAGESAHHHQFTTTDTMARLVSTALVRGLMRSSRASSAAAVSRPAIQQFMNYSSGLGGAPSANGDSMTTRVAADPDTHQDFQPTSKSSEMSFDDIVAQDIKEHPVLIYMKGYPDAPRCGFSALAVKVLQQYGVPISARDILSDLKLKESVKAYSNWPTFPQVFIKGEFVGGSDIILTMHQKGELKDLLGDIAPKGEQNGSS >KQL04780 pep chromosome:Setaria_italica_v2.0:V:11719354:11720433:1 gene:SETIT_005008mg transcript:KQL04780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRAWMYGIWRHSPTFMSEVAKFEEPAKKHARICKTKQIYCPCFDCSNKIVWEDTNVIKRHLIKQGFVDGYTIWSHHGEAGGTSNNTNINTGCDEVGGDDANDDGDHVMMDDDYNRGDQNGDQTDVRGEPQVDEERDVDMEDMLRHIEPKLLLGSAKGLENFETLKKAAKDRNLLPKLNFVPKNTYEANKIINPLKMRVQRIHACRNNCVSYRGEYATLEKCPNCDASHYKSNADFCEDRAGSSIRNKSKKGAKKSISDKVEDESYIGTDTMTQRRVPSLVMWYLPVVDRLERLFANPKTVEMMTWHANRPVKDDGKV >KQL04746 pep chromosome:Setaria_italica_v2.0:V:11385038:11386355:-1 gene:SETIT_002621mg transcript:KQL04746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLGLLGHEASCYAAASYPPPAASSYCFPPELVADSGAVTMEFPPAAAISDYFFLPEIMGARATDYYASPPAPVFASGGGTSGGGAAAENEMVNVSYVDDDGRRMVMSGSGGNNGGGRPSPRIGFRTRSEVDVLDDGFKWRKYGKKAVKSSPNPRNYYRCSAEGCGVKKRVERDRDDPRYVVTTYDGVHNHAAPGSGGACLAPPRGAQTQSMPCSTPLVAAPWSAPGGAPCDAWGMHTQLMHAATAAHSFSESSY >KQL05713 pep chromosome:Setaria_italica_v2.0:V:27183048:27183474:-1 gene:SETIT_005527mg transcript:KQL05713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENAARRRHVLPWVEKKVEGSGFDLREVRHLKG >KQL06536 pep chromosome:Setaria_italica_v2.0:V:34018425:34019501:-1 gene:SETIT_004045mg transcript:KQL06536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASSLVDDTSSGGGASTDKLRALAAAAASAGAPLERMGSGASAVVDAAEPGAEADSAAAAAAGAGAGVGVGGKLPSSRYKGVVPQPNGRWGAQIYERHQRVWLGTFAGETDAARAYDVAAQRFRGRDAVTNFRPLADADPDAAAELRFLVSRSKAEVVDMLRKHTYFDELAQNKRAFAAAASAPTTSALANAHSSPSPSPAAAAAAAREHLFDKTVTPSDVGKLNRLVIPKQHAEKHFPLQLPSAGGESKGVLLNFEDAGGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKGLQAGDVVGFYRSAAAAGADSKLFIACRLRPNGDVAALTSPVVEVEPSSAPVAKAVRLFGVDLLSAP >KQL04473 pep chromosome:Setaria_italica_v2.0:V:9275027:9277897:-1 gene:SETIT_002178mg transcript:KQL04473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAAIENDPGVLTELLQQMQLKGLQVDELYSLDLDALNDLQPIYGLIVLYKWRPPEKDERPVMKDTIPNLFFANQIINNACATQAILSVLLNSPGITLSDELKRLKEFAKDLPPELKGLAIVNCTSIRMLNNSFARSEVSEEQKPSSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCSGGVGEAGWLRVAQPVIQEHIDRFSQNEVRFSLMAILKNRKEVYTAELKDLQRQRESLLQQMSDTSARHMSSVGQSLAEVAAQIEAVTEKIIMEEEKLKKWKMENMRRKHNYVPFLFNFLKILEEKQQLMPLIEKAKAKQKSHSHSSNPR >KQL04322 pep chromosome:Setaria_italica_v2.0:V:8132271:8134873:1 gene:SETIT_002415mg transcript:KQL04322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAHTQLGSHTIQTHGVRLVRKHTHDWVVLILLAALVIGLHYAPPFKRFVGEDMMTGIRYPVKPSTVPGWAVPIISMLCPWVIFICIYFARRDVYDLHHATLGLLFAVLITAVFTDVIKVAVGRPRPDFFWRCFPDGKQLYDQVTGDVICHGEKSFLTDGRKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDRQGHVAKLCIVILPLLLASLVGISRVDDYRHHWEDVFVGGLIGSIMAVLCYLHFFPPPYHDQGWGPYAYFQMCCPFKQCHKTNNLQLQVGNTIALSRQEHDRKS >KQL07362 pep chromosome:Setaria_italica_v2.0:V:39309895:39311280:1 gene:SETIT_002234mg transcript:KQL07362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRATHWCYACRRPIRLCGQDIICPNCNDGFIQEISEMGGTLNTYGLVDPDFEERRARRFGMMEAMSSLMRQRMAETDIDSLFDIHGRQGTSTEHGRRPTSVPTLLFGSNPAPRADSGNVNVVFSGGRRLGIDRPNFSRFLVGPSLEALFEQLLLQSNRQGPAPAPQSAIDSMPVVKINRRHLNDDPQCPVCKEKFEIGAEAREMPCKHLYHTDCIIPWLVQHNSCPVCRHPLPSQRSGNANSTRTPSAYSNEAAVLGVTEADPEPVPINNGGANQETHSSFSFLWPFGPSSSNPTSYQYEETVDEPAVYDPNQIGYSEWYYDH >KQL05398 pep chromosome:Setaria_italica_v2.0:V:23845205:23847113:1 gene:SETIT_004673mg transcript:KQL05398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLLRRAALTIALAFGSPYRLRPFTGVFLSSLPPSASGHLGLVRSRPGLLDLNSLFTPKVFLDATHALGAAALHYQPFLGGRLRTLRDMAPNLQAAAGDDEGAKVGQMPLPPGPRLAAAFELIYEKMWGTAFDGDMSVVKKVLITALLNHLVKTKLHKDAVVSLHTVLKLLRFGTGSSIAPPSTIPIFAYVVLQASQALPSAVVLHASPLTGDSGVARISEGAGPWPTRTTLWLCPCRGTHPRRYARCQARPGARYGTRGHPAVADLRLLLAFLAARDGRFNQAMERYTEAAQEHPSDPRLHYSVHLLFPFGEHMEECDKWKASYERLAAGSSDEDRAAYMVLKEELVVALTLGGAPTACSDSYPAVLREIVGAAGSRVDAALVAALQDKGLSIVERLELRAIRTYVYGEMWSALKELKDNDGGSGTATE >KQL06622 pep chromosome:Setaria_italica_v2.0:V:34647124:34647747:-1 gene:SETIT_005215mg transcript:KQL06622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGCSSSGGFHLPLLPDAWMLPRFNILSIKTHGSSVDSFSYYLFSFLFHRAIVLATVYSIFAV >KQL06621 pep chromosome:Setaria_italica_v2.0:V:34647124:34647710:-1 gene:SETIT_005215mg transcript:KQL06621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLQRRRPDPAAPHARLLIIGRISSSSLTRSISPSPPFDQIAALNDAWMLPRFNILSIKTHGSSVDSFSYYLFSFLFHRAIVLATVYSIFAV >KQL07891 pep chromosome:Setaria_italica_v2.0:V:42341042:42344494:-1 gene:SETIT_002010mg transcript:KQL07891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAAGGPGAAVMSEYYQAQELSTMVSALTQVVAGGAGPWGEAPASSSARAGTAPRGSAAPEQQAMHGGYAHEVGSYHGAPSPELAGSEQGSDTQTSAAAMEQEHHSRSHAAAAALSGHEGPETPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPEDARLHPASTTPAAPAAPASEPIAGAGAASTSPAGYHPGAAQGVDYLRYQMLLQGAARNQGTLLPFYAGGGGGMSNPYGGGGGAPSNPYGGGGGGGNTSGFLGSYYSFPPSSVSVATVPSSTSSAPGYYYSSPHDTQQSESSAAAAEDWNWASSLIHWANSGYPTPPHTQ >KQL05599 pep chromosome:Setaria_italica_v2.0:V:26271511:26273056:1 gene:SETIT_003739mg transcript:KQL05599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRRRRRAPPTKPQPEEPPGADAPLEERLAWNSRQESECRITAIKAIKDAEAGDIRSWLQLVQSYLSKEQLEANVLEYFQENFPNLSVVPNEKYDVLELKWNDGDRCIIGDFVDDNILQASIASLPTAGVLQFLGDSVGKDFYRRTGSFSDFAWSELPEGQMAGAADAFQTPGAVSNQLSFGMTPKTVRLPKNGEMLLSVHGSPLGVYKEENLAAVQ >KQL07218 pep chromosome:Setaria_italica_v2.0:V:38410628:38414881:-1 gene:SETIT_001283mg transcript:KQL07218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHGDGVGRVGAAAAPAWAALLLPLLLLVAAARAGLTSEYRRHLGSAIDMPLDADVFRPPPGQNAPEQVHITQGNHDGTAMIISWVTTSEPGSSTVVYGTSEDNLNYTANGKNTQYTFYNYTSGYIHHCTIKKLEFDTKYYYAVGIGQTVRKFWFITPPKSGPDVPYTFGLVGDLGQSFDSNVTLAHYESNSKAQAVLFVGDLSYADNYPYHDNVRWDTWARFVERNVAYQPWIWTAGNHEIDFAPELGETKPFKPYSNRYPTPYKASGSTAPYWYSIKRASAYIIVLASYSSYGKYTPQYKWLEAEFPKVNRSETPWLIVLMHAPWYNSYNYHYMEGESMRVMYEPWFVKYKVDLVFAGHVHAYERTHRVSNVAYNVVNGLCTPVPDQSAPVYITIGDGGNQEGLATNMSEPQPRYSAFREASFGHAILDIKNRTHAYYTWHRNQDGSAVAADAMWFTNRYWQPTDDSADASQ >KQL06896 pep chromosome:Setaria_italica_v2.0:V:36301341:36302007:-1 gene:SETIT_004500mg transcript:KQL06896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPPQDPNPRADGRRRIRIGRLRKMPALPSLGQAPPRIRASPAARAIGPRRVGVASGVRHAGRTPASRAQHDLPPRPHRGSSRCPLWALLDGVGHRLASGLGLNGALGLPDWVTGSGFAQFSVAFQWTRVSCAAGGASVADSSSNLKVLLNSFSNRNDSLLIL >KQL05370 pep chromosome:Setaria_italica_v2.0:V:23526054:23526586:1 gene:SETIT_0042162mg transcript:KQL05370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSMAAKTAGAVQVLKDDTVKRTPIARPSIGHEGKPIRLLSNHFAVKLRGVDAVFYQYISITSEDDKVVDGKGIGRKVIDKLLQTYSSELDGKDFAYDEEKCLFTVGLLPQNNFEFTVILEETSS >KQL03688 pep chromosome:Setaria_italica_v2.0:V:4093969:4096818:-1 gene:SETIT_004230mg transcript:KQL03688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRLPGASKPATAMAALSLPPLPHRSPAPASSPLQSTSASVSLKRLCKEGDLRQALRLLAARAQPPREHYGWVLDLVAARRAAAEGRQVHAHALDTGSLDEDDDGFLATKLVFMYGRCGRVDDARRLFDGMSARTVFSWNALVGSYLSFGSAVEAVRVFRAMRASAAPGSTPDGCTLALVLKACGVEGDRCCGHEVHGLAVKSGLDKSTLVANALIGMYAKCGMLDSALRVFEWLQDGRDNGRTLEALELFRGMQSSGFGMNSYTAVGMLQVCVELALLNQGRELHAALLKCSSEFNIQFNALLVMYAKCGWVDSAVRVFHQIDEKDYISWNSMLSCYIQHGLYPDHSCVVSLSSALGHLGWLNNGREVHAYAIKHRLHTDLQVGNTLMDMYIKCDSIECCAKVFESMSIRDHISWTTILACFAQSSQHFEALGIFRGVQKQGIKVDSMMIGSILEACSGLKILSLLKQVHSYAIRNGLLDLILKNWLIDIYGHCREVHHSLNIFQTVEKKDIVTWTSMINCCANNGLLNEAVSLFTEMQKANIEPDSVALVSILVAIAGLSSLTKGKQVHGFLIRRNFPIEGPVVSSLVDMYSGCGNMIYATKVFYGAKYKDVVLWTPMINTTGMHGHGKQAIDIFERMLQTGLTPDHVCFLALLHACSHSKLVDEGKYYLDMMMNKYQVKPWQEHYACVVDILGRSGQTEEAYRFIESMPMKPTSVVWCALLGACRVHKNHDLAVVAANKLLELEPDNPGNYILVSNVFAEMGKWNDVNEVRTRMEELGLRKDPACSWIEIGNNVHTFTARDHSHRDSEAIHLKLAEITEKMGKEGYTEDTRFVLHDVSEEKIDMLHKHSERLAIAFSLISTRSGTPLRIAKNLRVCGDCHEFTELVSKLFERDIVVRDANRFHHFSGGSCSCGDFW >KQL08114 pep chromosome:Setaria_italica_v2.0:V:43630987:43635114:1 gene:SETIT_001656mg transcript:KQL08114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRHGGGGLSGRLLVLSSLLLLASGEVFFEERFEDGWESRWVESDWKRSEGKAGRFKHTAGRYSGDPDDKGIQTTMDARHFAISAKFPKFSNKNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLECETDKLTHVYTFILRPDASYSLLVDNRERESGSMYTDWDILPPRKIKDVHAKRPKDWDDREYIEDPDEVKPEGYDSIPKQIPDPKDKKPDTWDDDDDGIWKPRMISNPAYKGPWKRKRIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLNYVGIEVWQVKAGSVFDNILICDDPDYARKVVEETVGANREAEKEAFEEAEKERKAREDREAQKGKDEGNRRRRRRRPREWDDYHDEL >KQL08113 pep chromosome:Setaria_italica_v2.0:V:43630987:43635114:1 gene:SETIT_001656mg transcript:KQL08113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRHGGGGLSGRLLVLSSLLLLASGEVFFEERFEDGWESRWVESDWKRSEGKAGRFKHTAGRYSGDPDDKGIQTTMDARHFAISAKFPKFSNKNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLECETDKLTHVYTFILRPDASYSLLVDNRERESGSMYTDWDILPPRKIKDVHAKRPKDWDDREYIEDPDEVKPEGYDSIPKQIPDPKDKKPDTWDDDDDGIWKPRMISNPAYKGPWKRKRIKNPNYKGKWKTPWIDNPVWQVKAGSVFDNILICDDPDYARKVVEETVGANREAEKEAFEEAEKERKAREDREAQKGKDEGNRRRRRRRPREWDDYHDEL >KQL08115 pep chromosome:Setaria_italica_v2.0:V:43631031:43634126:1 gene:SETIT_001656mg transcript:KQL08115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRHGGGGLSGRLLVLSSLLLLASGEVFFEERFEDGWESRWVESDWKRSEGKAGRFKHTAGRYSGDPDDKGIQTTMDARHFAISAKFPKFSNKNRTLVVQYSIKFEQDIECGGGYIKLMSGYVNQKKFSGDTPYSLMFGPDICGTQTKKLHLILSYQGQNYPIKKDLECETDKLTHVYTFILRPDASYSLLVDNRERESGSMYTDWDILPPRKIKDVHAKRPKDWDDREYIEDPDEVKPEGYDSIPKQIPDPKDKKPDTWDDDDDGIWKPRMISNPAYKGPWKRKRIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPLNYVGIEVWQVKAGSVFDNILICDDPDYARKVVEETVGANREAEKEAFEEAEKERKAREDREAQKGKDEGNRRRRRRVCFLHPVT >KQL07176 pep chromosome:Setaria_italica_v2.0:V:38133062:38136549:1 gene:SETIT_003918mg transcript:KQL07176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEVKPLETARADRPVWLMKCPTVVSRAWQEAAATSNPEAGGANPNPVVAKVILSLDPLRDEQPTKASLLPSLRLTSLCGYLFRPDLCGNFKMEMAQANNGNTPKSYSLNMYNDFVPMCIFSESNQGKYACEGKVENKFDMKPHSENLANYGKLCRERTTKSMDRPRVEVLVDDHGNRMRPMPGLVGLMPSSAANAKEKKKPASTKPFEMKRTRRDRKEMENILFKLFERQPNWSLKQLMQETDQPEQFLKEILNDLCVYNKRGPNQGTHELKPEYKKSTEDNSAT >KQL03500 pep chromosome:Setaria_italica_v2.0:V:2743862:2746608:1 gene:SETIT_003941mg transcript:KQL03500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINEVRDQDLLVDLEKGNCLPPREDNNGMKINSMAGHAKTMLHGSWDDLVALKEDKSHHISGCSSHCRDSIVKSGESMTSEGEIKVGLLDKSAGDKEKKKWSKKPPRPPRPPTASPLDPADQKLISELSELAVLKRARIERMKALKKMKNSKPASSIGNLVALIITVIFCFFILWQGVFSRHGASISFHRPFVSSAGTRGGLISIQFYKKNVTVISTHISSAALKCDIPFYVILHAILLTVIPCCKLSRVDMMV >KQL06019 pep chromosome:Setaria_italica_v2.0:V:30141907:30145980:1 gene:SETIT_000778mg transcript:KQL06019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNLGEGSEFDDWKAKIYAGPISGELKVKNGERYKCPFCCTEKKGYCKIDGLLRHALIIEGASTKFEERATHSALVEHLKSSLGKSSEPQSQQVALKPRLAENRGKQYVRPWTGVLVNVPTKWEDGCQVGARTNRLKEQLSRFCPLKVTALWNSRGHTGTAIIEFGNDWSGFGNARAFESYFMTEGHGKRDWKKKENGYSGLFGWVAMDEDYFYQGPTGAHLRKKGNLKTINDIENEGIRKTGKLVADLASQLEVKNRHLNELECEYNGIITLLDKMVEEKEKLILSHKKCISEEQQQARRRSQAIIDKNQKLRSELDSKLNELDVRTKQPDDLAARSNCERRSNEQEKQMVHLKMAMLEQERAEENVLKRLEEQVKKDILNKIEELKQQLYEKNALEFEIKQMKGALDEDKLGKLQSDTNALIVKDKESNAMLEKARKELEKGFDDLSTSGRAHIGIKIAGQLDQKPFLNACRRRPSEGDLQLDAVKLCSKWQEEVKNSNWHPIKVVEVDGKEPERIIEDDAKLRELKQEYGQEVYEAVTKALLEMDEYNASGRYCKPVVWNFKADRRALLKEGVQFIVKQWQSRKRKR >KQL06581 pep chromosome:Setaria_italica_v2.0:V:34426176:34427338:1 gene:SETIT_002478mg transcript:KQL06581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYDISCFAAGVAGNIFALALFLSPVPTFKRVVKAKSTERFDGLPYLLSLLNCCICLWYGLPWVSDGGRTLVATVNGTGALFQLAYISLFIFYADSRSTRLKITGILLLEVFVFAFVAHASIAFLDQPARQLFIGGVSMASLISMFASPLAVMGLVIRTECVEFMPFYLSLSTFLMSASFTMYGLLLRDFFIYVSTRFVLISAYAFMN >KQL06582 pep chromosome:Setaria_italica_v2.0:V:34426015:34427940:1 gene:SETIT_002478mg transcript:KQL06582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYDISCFAAGVAGNIFALALFLSPVPTFKRVVKAKSTERFDGLPYLLSLLNCCICLWYGLPWVSDGGRTLVATVNGTGALFQLAYISLFIFYADSRSTRLKITGILLLEVFVFAFVAHASIAFLDQPARQLFIGGVSMASLISMFASPLAVMGLVIRTECVEFMPFYLSLSTFLMSASFTMYGLLLRDFFIYVPNGIGVILGAMQLVLYAYYSRKWKSSEPSAPLLA >KQL06583 pep chromosome:Setaria_italica_v2.0:V:34426015:34427940:1 gene:SETIT_002478mg transcript:KQL06583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLYDISCFAAGVAGNIFALALFLSPVPTFKRVVKAKSTERFDGLPYLLSLLNCCICLWYGLPWVSDGGRTLVATVNGTGALFQLAYISLFIFYADSRSTRLKITGILLLEVFVFAFVAHASIAFLDQPARQLFIGGVSMASLISMFASPLAVMGLVIRTECVEFMPFYLSLSTFLMSASFTMSPMGLESSWEQCSWCCTLTTAGNGRAVNLLHRCSPEQNRLDQSAGCSPLLGFRKEGGDGFLKESLRGRCYYLLVAVYTLFCPGHCAVHCWLCAPSFLFTYL >KQL07420 pep chromosome:Setaria_italica_v2.0:V:39666880:39667853:1 gene:SETIT_002828mg transcript:KQL07420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVAKPSVVLLLAVALAAASAADAITFNVINRCRDTLWPAALPGGGARLDPGKTWTVEVPAGTSSARMWARTGCAFDGAGRGSCETGDCGGALECAVSGRPPATLAEYTLGDPAYIDVSLVDGFNVPMSFQCGGNGPSCAADVNARCPAELKVPGGCASACEKFGGDTYCCQGPYKDQCPPTDYSRFFKGLCPDAYSYAKDDRTSTFNCPQGANYDIVLCP >KQL08543 pep chromosome:Setaria_italica_v2.0:V:45910324:45912480:1 gene:SETIT_002811mg transcript:KQL08543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYSQRHTGVQDPLSTRGIRANIRNGEGFYEPCSFSGKLPQEPPAGCRMAAVMKALAVASPVSARAQPRRCVAGTPSHQSLQSSFNGMSLQCRPAPRPRPCSSRSSSVQVVMMAKPSIQFIQGTDEQTIPDVRLTKSRDGTNGVAIFTFEQPSVFDSSAELGDITGFYMIDEEGTLQSVDVSAKFVNGKPARIEAKYVMRTPRDWDRFMRFMERYSQANGLQFVKN >KQL06506 pep chromosome:Setaria_italica_v2.0:V:33891541:33892752:1 gene:SETIT_001964mg transcript:KQL06506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLPRFLPVILFPFVSLVAHCPASHGTPLPPTYDVSMCVESPIWCGGVEIHYPFYLANSTAYHGAPFSCGYTDLKIACKDDGETQTPVIHLGRDDYTVQNIFYNNNSFLLADADVLRGGDCPRVRHNVSFDEAWLQLRNTSSHDNLTFFFGCFSKQPGGGDPRPLGFDTDKYRINCTGLGNAPGGGASFVFAAEELDNAQEYELAAHCGEIVTVPVRSEVLDLMASDQSMLARGGYGGVLRQGFELAWTRSTKDQCYRCENSGGRCAYGYAKAFLGCLCSGKVGDPYCKNSSASTVQPPSKSSRLQDPSFDFTPRFCDHQTRSSSLSRLVLSWLLVPAAAASLFRPASFFFLINGPRVVIV >KQL08657 pep chromosome:Setaria_italica_v2.0:V:46540100:46541600:-1 gene:SETIT_001464mg transcript:KQL08657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQRLSVVLVVLSTFWGPSTAVRSSTLHLARSHSVSPDAGAPLTAWAASLAAQSAADAARVAMLAAGSGNTNTKNKGRRSFVPIAPGRQILSIPNYVARARLGTPAQTLLVAIDPSNDAAWVPCSGCTGCAAAAASAPPFDPTQSSTYRPVRCGSPECAQVPSPSCPGGAGSSCAFNLTYAASTFQALLGQDSLALENDAVASYTFGCLHVVTGSSVPPQGLIGFGRGPLSFLSQTKDVYGAVFSYCLPSYKSSNFSGTLRLGPIGQPKRIKTTPLLSNPHRPSLYYVNMIGIRVGGKPVPVPASALTFDPASGSGTIVDAGTMFTRLSAPVYAAVRDAFRRRVRAPVAGSLGGFDTCYNVTVRVPTVSFTFTGPVTVTLPEENVMIRSSSGGIACLAMAAGPADGVNAALNVLASMQQQNHRVLFDVANGRVGFARERCTV >KQL04635 pep chromosome:Setaria_italica_v2.0:V:10347211:10350688:-1 gene:SETIT_004020mg transcript:KQL04635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STERTRLGRAGALDDDLFLLGSAARQPHRRQVRESQPPRPPPPLSPPHTGDRFSESVRPVTVPSVARACLPATATQRAHVAWRSGGACRRGGGALLRCGLRQIPRSAPHHSSQQATTTGRHPKRRPPFRRPNPPFPNPQAPAAAPSYARAHRVAFICPRWCWSAAVIVVVVVGIAALAGLVARRGCGGAAIVRGMSFGGSSSVATGAKRPFEYGRTHVVRPKGTHKATIVWLHGLGDNGASWSQLLETLPLPNIKWICPTAPTRPVSVFGGFPSTAWFDVADLSEDAPDDVEGMDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCFAHGKYGNANPYPVNLSLAVGLSGWLPCARTLKNRIESSPEAAQRASSIPIFLCHGKADDVVLYKHGERSADALKANGFSNVLFKSYNSLGHYTVPEEMDEVCKWLTANLELGTSPS >KQL03574 pep chromosome:Setaria_italica_v2.0:V:3227903:3232212:-1 gene:SETIT_000973mg transcript:KQL03574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAMATAPSAGVPPLRGTRGPARFRIRGVSVRCAAVAGGAAEAPASAGARVSADCVVVGGGISGLCTAQALATKHGVGDVLVTEARARPGGNITTVERPDEGYLWEEGPNSFQPSDPVLTMAVDSGLKDDLVFGDPNAPRFVLWEGKLRPVPSKPADLPFFDLMSIPGKLRAGFGALGIRPPPPGREESVEEFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWRLEEAGGSIIGGTIKTIQERGKNPKPPRDPRLPTPKGQTVASFRKGLAMLPNAITSSLGSKVKLSWKLTSITKSDGMGYVLVYETPEGVVSVQAKSVIMTIPSYVASDILRPLSSDAADALSRFYYPPVAAVTISYPKEAIRKECLIDGELQGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRVLLLNYIGGATNTGIVSKSASELVEAVDRDLRKMLINPSAVDPLVLGVRVWPQAIPQFLVGHLDLLEAAKSSLDRGGYDGLFLGGNYVAGVALGRCVEGAYESASQISDFLTKYAYK >KQL07773 pep chromosome:Setaria_italica_v2.0:V:41667643:41668587:-1 gene:SETIT_004030mg transcript:KQL07773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTRKSERLAKRMKLMASLLLTQRKKIGVGEHFQAEIPEWTGQPSGKELSCYRSDPETSKMLGTRIWPPEGEVNKTDIVAVGRGRPESCNCSYPGSFFCRQHHINEARDRLRSELGRAFTIWQFDSMGEEVSKLWNRDEQLKFNALEQLIPVMDQKTYWAVASKHFASKPRIDLIKYYLNVFLMRRVLSQCRSSLLEIDSDEDEVEEEEDEDQPEGSSFLQRPQDVQDVKKAS >KQL06249 pep chromosome:Setaria_italica_v2.0:V:32043288:32043699:1 gene:SETIT_005361mg transcript:KQL06249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATASLLPLLQKGAVPKRSAWTCMYVLIGMVRTTPGNISFVFLFFRVHELHFNRIQCKSISKIFFSKKEYTYQQYKFPPK >KQL05179 pep chromosome:Setaria_italica_v2.0:V:16960409:16965569:-1 gene:SETIT_005039mg transcript:KQL05179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRQRKHVTPGEREALLAYRDEKLTDKTMEKIPATSDEDCSTNTKNIRFFNGHFSFTSLYCRLDRDTTTMRNSGIYTFRAHGQIYHNIRSFGKDGSDPKHLELYFYDDDPTLEHRYRYCRKEMYEQDKHVLLIITNTLRNNPYSEQFRTLGQEENLEEYRVMLNLDQRLDQRTYNAPITSEVAAIWVEGNEWRNTFDRNVILHGNNNEIQGIRSYAGCYDPLSYPLFFPRGELGWHADIPKVGITTEDVKKARANQNNKNNDPDSSGRLWVTMREYYCYKFHVRPNIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDAVGKRTVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEEITNELEFGQTPQDRPDLVVCVFRAKLEEMKKELLEEHILGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHGPCGTLNKNCACTKNRKSCKNYYPRPFNTATIQGKDSYPLYRRRDDGNNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVEKSMLTAYFEANKIHEKARGILKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGATCYEELRTVDGKILPSFREAAERRGLIEADNTLDDCVTEAKLFRMPSSLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNARTVKQMVLINIREMLQSMGKDIRSFPLPEIDEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKAAYNEILTAIDRDEGGLFFVDGPGGTGKTFLYRALLAIVRGQGKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTAKLLQAASLIIWDEASMTKRQAIEALDKSMRDIMDVPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNCMRHMKLVRNMRAQNDPWFAEYLLRISNGTEETNDKGEIRLPKNICIPRTMDDSGLDKLIDSVYQMNSACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDSVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNTIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTAKNIKILTAENDDEDEDNKQDNKIEPSEKNKKRKKRKTKSEVNQKDTTDRYTKNIVYSEVLTK >KQL07556 pep chromosome:Setaria_italica_v2.0:V:40480744:40481243:1 gene:SETIT_005366mg transcript:KQL07556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPHKKDLILNNPVVLLAKAQTILLQHIQSLLF >KQL06187 pep chromosome:Setaria_italica_v2.0:V:31524451:31525099:-1 gene:SETIT_004508mg transcript:KQL06187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETEVKAVTFGQDVITTTVTSSGRAVERWISEVLSVHRPGGIRYDVVVGLDIEWRPNFRGPQNPTATLQLCVDRRCLIFQLLHADYLPVGLGRFLGDRTIRFFGVGVEADAERLRDDHGLEVANAVDLRGRVAEYMDRADLRQAGLRAIVGAVLGADLVKPRRVTMSRWDARRLSDEQIGYACIDAFVSSELLEGDY >KQL06410 pep chromosome:Setaria_italica_v2.0:V:33164708:33165037:-1 gene:SETIT_005444mg transcript:KQL06410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYIYSMKKLDLVFSAAYQPYEDLTCKFCPLFSAWQVIRSEIDYFYI >KQL06620 pep chromosome:Setaria_italica_v2.0:V:34638136:34641181:1 gene:SETIT_003657mg transcript:KQL06620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMGRLRIFVVQEPVVAASCLIAGFGLFLPAVVRPILDSFETAKQVPQPAPSDVVAGVTSKK >KQL06619 pep chromosome:Setaria_italica_v2.0:V:34638187:34640655:1 gene:SETIT_003657mg transcript:KQL06619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMGRLRIFVVQEPVVAASCLIAGFGLFLPAVVRPILDSFETAKQVPQPAPSDGTLMA >KQL07617 pep chromosome:Setaria_italica_v2.0:V:40859700:40862565:-1 gene:SETIT_004031mg transcript:KQL07617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAASNAYSWWWASHIRSTQSKWLDSNLQDMETRVKAMIKLIEIDADTFAKKAELYFKNRPELVSLVEETYRSYQALADRCDRISGELHKSNHTIATAFPEQVQLSLQNDNGDGFPKGITGINISRGTSPAPKRAQTHRRISSQMSKDKAQEAIERLQKEILVLQTEKEFFKSSYESSLNKYLDIERRASEMQEEVWSLQETFSTSAVIEDNEARALMAARALISCEDTLVNLQGQQKRSSQQARTEFERVIDAKKKLKSFKSECGHPDTQKELSDHQYVVTSSLHPSTEDNDPILQDHRLDLQEISQKVKRQFESCSEASVVHLAGNIDEFVDKVIALEIAASSQNAQINRMRAEADELHKRLDSLEEEKAALVGDSSKLSERLKQVEEVLQTIQRIEKSVHSENRNVHKQLTEACCSLTNFVEKLDTPLSEEILDSPEESKGVSSEEDADKPGTLSESLQADSGTAGKSMDEESLDSFDISSETHEEEADGTLGWQQLVLNGLEGKDKILLKDYASILQNYKDTKKQLSEIEKKNREYHLEAMSEMKELKSANTTKDEEIRSLRRMLSSLQAKLNTSPLQSAEKSEESSKANTDPSLGDREFSAIEEYMKHCQDEEPHVSSLEEKFREEISRVLEESLDFWLRFSTSYRYIQKFQKTFDKAKAELDRLTDAKAQEGVDSGSASQSARKQESAALEKTFRDLSTDLQVWLEKNVLLQEELESRFSLLCSIQEEISKITTLDQTSEAHFTPFQAAKFQGEVSNMKQENNKVTKELQAGLDHVRGLQVEIGRAILKLRDNIELSIGRSNRAQQNFRSLSVKAGVPLRTFLFGSKPKKPSLFSCMPAMPKPVSDMRPGLFR >KQL04918 pep chromosome:Setaria_italica_v2.0:V:13044902:13046729:-1 gene:SETIT_000795mg transcript:KQL04918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCVLFHLVIVVCLVPASIASPHHKLRLSASEVAALEAVAPPPQDQPTTFFEVDRPHRPPPGSFGPCSTLLLSHSFAYTYNKPPATAAYTPPPCLAAAGSRASAISLAVLEWRATCRGVQFDRIFGVWLGGAELLRGCTAEPRQSGVEWTVSKDVTKYASLLTARNSTLAVYLGNIVDQQYTGVYHAEVTLHLYFRHPPPAPPQPGLGPADVIVPISQSLPLNDGLWFQINNGFDVGSASVVVYLSYHSDDEFWYTNTPRDNGPFREVNVLIDGDIVGAVWPFPVVYTGGINPLIWRPITGIGSFSLPSYDIELTAFLGKLLGGEEHEIAFQVTNAQDVWFVDANLHLWLDPRCAATTAGVVSYDAPPLDTTIAFRPEGPRNEFYYTTAFRHVSASGWVQTASYGRITAAWTQRLGYENTNRIQDGSLQAVNQTTDAYSGAHVADPAGVLYSQEAQQSFALGMFVGVVNQTSNSSYTIARSVRLGLGEDRVAAGRSGFWSRSLRNAQDCEMDVDVEEGDAVGVSWGARQTYRYEASDACYFRNVTSLGYTVVSDHFDEACVKGSPGGGVAELAAGAGAARLSS >KQL05712 pep chromosome:Setaria_italica_v2.0:V:27179489:27180344:1 gene:SETIT_002774mg transcript:KQL05712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGDGTAQCPALPNRPPTLSLFPAPHLLCPVTAPCPRAHASRATAFAATSPPLLGSAVAPNATVEATIQPFSPANQRTRQRASSSFAIPHGQWPELARAHLMPSPLIRARYDLRQLLASPPSEPPCCLLSLPEHHTSPTTAHRAASRSLAPLPAPEKLPHRRAPHRGAPPSDHPRNLLAPLAAPSRSTVAHKDLLRPASPQSSPEPRPHCRAQGLLVELRRWPPSAVTAFSP >KQL06937 pep chromosome:Setaria_italica_v2.0:V:36541922:36544257:-1 gene:SETIT_0017091mg transcript:KQL06937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALLAHVLHRPPLLSSRSGAGARGLLASRIHPLRRLNCSAVEAAADPTEEAPAPPARKKRVVSGVQPTGMVHLGNYLGAIKNWVALQDLYETFFFIVDLHAITLPYDAPELSKATRSTAAIYLACGIDSSKASIFVQSHVRAHVELMWLLSSSTPIGWLNRMIQFKEKSRKA >KQL06700 pep chromosome:Setaria_italica_v2.0:V:35101623:35106257:-1 gene:SETIT_002953mg transcript:KQL06700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKEVLIEDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSNRSFDTLNTWHDEFLNQASPSDPKTFPFILLGNKIDVDGGKSRVVSEKKAMEWCASKGNIPYFETSAKEDYNVDNAFLSVAKLALEHERDQDIYFQAVADPVPETEPRSGCAC >KQL08053 pep chromosome:Setaria_italica_v2.0:V:43327795:43331589:-1 gene:SETIT_002638mg transcript:KQL08053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGSGAAPNAARTAEEVFRDYRARRAGMIKALTTDVDKFFKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDKEARRRLFSMINNMPTIFEVVTGSAKKQTKEKTPNSSSKSNKPSSKVQSRAEARSKAKVPKDEEESGDDDGDEEAEEHDNTLCGTCGTNDGKDQFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARV >KQL08052 pep chromosome:Setaria_italica_v2.0:V:43327431:43331693:-1 gene:SETIT_002638mg transcript:KQL08052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGSGAAPNAARTAEEVFRDYRARRAGMIKALTTDVDKFFKLCDPEKENLCLYGYPNETWEVTLPAEEVPPEIPEPALGINFARDGMNEKDWLALVAVHSDSWLLSVAFYFGARFGFDKEARRRLFSMINNMPTIFEVVTGSAKKQTKEKTPNSSSKSNKPSSKVSRAEARSKAKVPKDEEESGDDDGDEEAEEHDNTLCGTCGTNDGKDQFWICCDNCEKWYHGKCVKITPARAEHIKQYKCPDCTNKRARV >KQL06044 pep chromosome:Setaria_italica_v2.0:V:30294762:30296425:1 gene:SETIT_003741mg transcript:KQL06044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKEGASVHANNQVQSGGRSGGQGQLLTSCGQGYNPRFIPGFSPGYSDKGGHGGYGHGSHDRRGGGGNYGGRGGRGVHDNFDNNFYGSHGGYHGCYHGRGHAGGQFPSRGRGAAGWKVGPDHQQELLQEMLVGGTVPPRQLGHVLTDCTTIIHCGMCDSDNHVTKACPYQKGAKPTTIPCGFAVVGLGFYYIPYNGKEKAPRENKVTVVKVTKGSMTMANVIAELDRLLPNYKGTWIVEEKGPNRFATTFPSSEDLKWMVLWGPVVTKNVEAVMEIEESGDKNIYKYEIPKVWIQFRGLPKDFLEFPIIWAMRSILGSTQMVDMKFTNEHNTARLKVAVLALELIPEWVDVVIGDYEENVVDPVPINMGINPKVDEDNDGNVGEKKGEFNNENTNGNDNITGSKDGINGKATPSMGSSHSGAEPWKAEVMPVCGVVKKGVCQQQEKPKNLTTNAYVMPTRSSKRNAVANDEDSVEKATKLKAKKNLDVTQNK >KQL03744 pep chromosome:Setaria_italica_v2.0:V:4384437:4385927:-1 gene:SETIT_001749mg transcript:KQL03744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFSYEEVEAATGGFAAKNLVGKGSHGSVYKARLWGWGGGRAAVVAVKRPSHAQGAAKLANEIAVLSAAPRHPGVVAFVGVAAHQPAPAAASEGAKGVSAPQQQLLLVMEYVPNGSLHDLLHRAPKPPPWPRRVEIALDVARAVQALHAAAPRVIIHRDVKSANVLLGRDGRARLADFSLAVRVAATAPKKAEDDDDDDEGSAGPAPAGTIGYLDPCYTEPGRLGPESDVFSFGVVLLELVSGRKVMDVNSCPSSIVAWAAPLIAAGRAREVLDARVAAPRAARAEGAVARVLAVAARCVSESVERRPPMAEVVSELHGALESAGWRQRRRRGVVERACRCVASWGQRVGWSKRALRATKIECTEHSDSGVALDREGSPCTLPPHPNNDTIGALIK >KQL05391 pep chromosome:Setaria_italica_v2.0:V:23747606:23748092:-1 gene:SETIT_005070mg transcript:KQL05391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPDRRTEILGSITAQDRKADDNGSGFVFLKGKVYGVGEVYLGRVSAPNSRVIFADTYLSKTVNPAGWTSIGYTVPAAPIQLHRAGSDAAKRVLWSRRFTMNEASKYLTVDFINGKEWLPPFYY >KQL04500 pep chromosome:Setaria_italica_v2.0:V:9408984:9409179:-1 gene:SETIT_005519mg transcript:KQL04500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAAGRGHVVYPPRSAEDIFKDYRARRSAILRALTHGQ >KQL06959 pep chromosome:Setaria_italica_v2.0:V:36712724:36715959:-1 gene:SETIT_001340mg transcript:KQL06959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGGLALYHVLGVATCVALLYFTFGEVDLRHISLSSLPASGFGFGSGAGPSSSSSRAAAAVTAPFVERRGAQLFLDGQPFYPNGWNSYWLMDQAVEPRSRDRVSRMFRAAAEMGLTVCRSWAFNDGAYNALQVSPGHFDTRVFKALDWVVVEAGRHGVRLILSLANNLEAYGGKTQYVRWAWEEGVGLSASNDSFFYDPAIRDYFKAYLKTLLTRKNHLTGVEYRDDPTILAWELMNEPRCTTDPSGDTLQRWIEEMAAYVKSIDKKHLLTVGTEGFYGPTSPESKRDVNPGVWKDNNYGSDFIRNAKIPDIDFASIHLYPDTWLQKQHATVNEKLQFVKRWVSSHIEDGDKELNKPVLTTEFGLSHRAQGFDPSHRDVFYKAIYDIVYASASRGGAGAAALVWQLAPEGMEEFHDDYSVVPSEHPSLRRLIKKQSCRLAKLRPGVGEEAKRVLAACAAGSS >KQL05609 pep chromosome:Setaria_italica_v2.0:V:26334551:26335826:1 gene:SETIT_003753mg transcript:KQL05609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSFSDMILSESAWSGGGGDGGAVLLPPEVGVVEGGSMTVLERLVLDEALAAAILELQGIQVPAACAGKVPAAAGGGVVGETVAFAAMATPTPAYADVDADVLQRQQHRHRHHGAMGMPPDYDLTPATAAVAVTTVPAAFANAAAAVDSNGGGLVDGPVFSSNDTDAPAVAAMTATTSQQQCEEGKGGGRRQRRPNRKRKAAADPSSVPAQESTLCSLLASSTTASEGGIQIAFNTSGGAQAKRAKPSLSGSGSSSISFDGRGGAGGASGGADDPMYEPDTEALAQVKEMIYRAAAMRPVSLGSEDAGERPRRRNVRISSDPQTVAARQRRERISERLRVLQKLVPGGAKMDTASMLDEAANYLRFLKSQVRELQTLDRRNYAANASNRRRRHHGWVTESFNNGNGGAMPKPYDRASN >KQL06048 pep chromosome:Setaria_italica_v2.0:V:30330485:30331016:-1 gene:SETIT_005535mg transcript:KQL06048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWVMACRICRLGLVIGSWYNGTVRRLHALRKRHERLFFKGKIYCY >KQL06531 pep chromosome:Setaria_italica_v2.0:V:34000368:34000967:1 gene:SETIT_0023602mg transcript:KQL06531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPAAPIRLGAPTPYITSHGSKVARLHMYDWIVLVLLAVLDGILNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITAIYFKRRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGKP >KQL06532 pep chromosome:Setaria_italica_v2.0:V:33999509:34000967:1 gene:SETIT_0023602mg transcript:KQL06532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPAAPIRLGAPTPYITSHGSKVARLHMYDWIVLVLLAVLDGILNIIEPFHRFVGSDMMTDLRYPMKDNTVPFWAVPIIGIIGPMIIITAIYFKRRNVYDLHHAILGLLFSVLITAVLTDAIKDGVGRPRPDFFWRCFPDGKP >KQL06544 pep chromosome:Setaria_italica_v2.0:V:34086377:34087009:-1 gene:SETIT_003762mg transcript:KQL06544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRRRLLHPPSTTPHLLTQLRAAAHARALTQNRYVCRRSPLAPGTAPASLPRTTENTATPLPKLPSSRHSRTVSLSARLSSSRLSLARSCSPRPRSRSSRATLPSLLTLQLCLKTAPRRKLLVLLYPS >KQL07038 pep chromosome:Setaria_italica_v2.0:V:37233847:37236888:1 gene:SETIT_002546mg transcript:KQL07038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPRIPAAVHHWPEGGHHGAAAWADDFAEFAASRRGAHRRSLSDSVAFVEVAPADGAAGEFDRLDDDQLMSMFPDEAGGGVSSSAPGSDNGGSSDSDGEKRGGGAPAGGGSNGCDDERNEASDAQALATGQAAAASTELIRDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQNEVSVLSPRVAFLDQQRTILTVGNSHLKQRIAALAQDKIFKDAHQEALRKEIERLRQVYEQQSLKMSAGSAASEHGPPPPVRAEKELMS >KQL08809 pep chromosome:Setaria_italica_v2.0:V:47173276:47174130:-1 gene:SETIT_004276mg transcript:KQL08809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSRISVGAPGELSHPDTAKAAVAEFISMLIFVFAGSGSGMAFSKQSSFSSSSSSSWCAGKLTAGGATTPSGLIAAALAHALALFVAVAVGANISGGHVNPAVTFGAFVGGNITLLKAVVYWVAQLLGSVVACLLLKIATGGEAVGAFSLSAGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSGVWENHWVYWLGPFVGAAIAALVYDIIFIGQRPHDHLPTTDY >KQL07329 pep chromosome:Setaria_italica_v2.0:V:39144127:39145226:1 gene:SETIT_002729mg transcript:KQL07329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAADKSPVPPATGLGLGVGGGVGGVGMGPHFRGVRKRPWGRYAAEIRDPAKKSRVWLGTYDTAEEAARAYDAAAREFRGAKAKTNFPFASQCPVAAGAGSPSSNSTVDSSGGGSACGVQAPMQAMPLPPALDLDLFHRAAAVTAVSSGGMRFPFKGYPVARPTPHPYFFYEQAAAAAAAAAGYRMLKVAPPPVTVAAVAQSDSDSSSVVDHTPSPPPVTTKKEVSFDLDLNWPPPAEN >KQL05155 pep chromosome:Setaria_italica_v2.0:V:16576987:16579000:1 gene:SETIT_004585mg transcript:KQL05155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVCSSPRLKTKHRRKNLRLPDPPHQTKHRRKNLRLPDPPYPLPSDDAFVLEPIETIPSPDEHATGKRWRGEAISSVHYLVDPAEDTNIGDDVGQDKKIRPVSLRCSPSKFAELVAATDNEIKDRPHDMGFGGLLEFKPTILNRSLLTWLMDKFNLNTMKLELGSGKEIEINEHNEKLKLRTLAGDLAVRSFLLHAFCTLLFSNTDNYIRLDDVVWAEDLKRIAGINWCKAVVNSLRVAAQLYRLEKKMKGSNAPISWCGIFLIVQSVNFCLAFSTHATISQKSLQLRRCTFWSNRLRSQSSTCYAPPPVAAPVPAPAPAPTYAPAAAAEPSTPSSSTMDAPLLFNYPSFFSSFGQSQCELVGRSKNSQAEKILRSYHASTAKAQSMMRKAHDLTRTVDELMAKAHHE >KQL06957 pep chromosome:Setaria_italica_v2.0:V:36690429:36693689:-1 gene:SETIT_004644mg transcript:KQL06957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTMPSSLCVFAAMSGAAAGRPGSGAAVPGRLATSQGAKGKRAVAQPLAASVVTETPTPAIAPAAPPPPARPVVDAPPRRRGGRGGGGEHAAWKSVRQERWEGALEVEGELPLWLDGTYLRNGPGLWNLGDYGFRHLFDGYATLVRVSLRNGRAVGAHRQIESEAYKAARAHGKVCYREFSEVPKPDGFLSYVGQLASLFSGSSLTDNSNTGVVRLGDGRVLCLTETIKGSIVIDPDTLDTLGKFEYTDNQGGLIHSAHPIVTDTEFWTLIPDLIRPGYSVVRMDAGTNERRFVGRVDCRGGPAPGWVHSFPITEHYVVVPEMPLRYCAKNLLRAEPTPLYKFEWHLESGSYMHVMCKASGRVVASVEVPPFVTFHFINAYEETDAEGRVTAIIADCCEHNANTSILDKLRLQNLRSSAGQDVLPDARVGRFRIPLDGSPFGELESALDPDEHGRGMDMCSINPAHVGKEYRYAYACGARRPCNFPNTLTKIDLVEKTAKNWYEEGAVPSEPFFVPRPGAVEEDDGVAISMVSSRDGSAYALVLDAKTFQEVARAKFPHAMPYGLHCCWVPRNTNA >KQL08014 pep chromosome:Setaria_italica_v2.0:V:43131534:43139695:1 gene:SETIT_000369mg transcript:KQL08014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPAAAPSSAPAPAAAAAARVPAAAPAPAAAPPALAPQQPPATAAAVPEAAAACRRQLFTVELRPGETTIVSWKKLLREAGNAAAAPVVAAEPAFPAHAGPSGAAHPAESDPKDPTQPNRFNAVIEKIERLYMGKHSSDEEDLDDVPDDDQYDTEDSFIDDAELDEYFEVDNLKTKHDGYFVNKGKLEQIDPGTSTNIAPKKRRRKDSSTYLETNAPVDYLSIGDVPGKSSGRGTVQAGKQLASSSVGSYGQYPEDNRVVKNKTSGPGGALKRKSDFSAVADATARAKISKDASNAPVELSDLEDYKDVLLPLDYGDKELLLPLDYAHKSKSSDMLLPLDYAHKSKTSETYDYGSAYRDKGTSVQLDFQQRKASRENHDSSNRIYRKGKCGTSEYPVVAMGTAVYSTQTVNPIVGREGSGTKPKGTRLERAIRDLQKIVAEYRPPTIDINEVDPNGHAAVKRRLPQEVKQKLAKVARLSANQGKIQEHELMDRLMGIVGHLVQRRTLKRNMKEMVESGLSAKLEKADRFQRIKMEINEMIKARVAAKSKVNEQQDGSADDFQVANDERRAIKGKSVMDSALEDRICDLYDLYVEGMDEDKGPQSRKLYVELAELWPQGYMDNVGIKDAISRSKERKRLLHNQQKVRNEERMKRKKLAAAAKLQDGYPAVMQSGPMSQVPPPVPNPITYHPPDYGLNQGVKSYERVREISSRAIPDDSKRNAGEMKKKKRKPEYDPVDTQANQPKAPLQHGNERQRPSKPSDETNAGSQLTQTVLGLPTVIGHNQQPS >KQL06015 pep chromosome:Setaria_italica_v2.0:V:30119423:30120322:-1 gene:SETIT_003331mg transcript:KQL06015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSLSTSFLPTPAAARTTARTLRSVVPSQGMRCSMRKKGLHPEIYEDAKVYCNGELVLVTGGTKPEYTVDVWSGNHPYYVGDTSALVVMDSQIEKFRKKWGHVKEYWPEDQWREMHPDGDPEFEPEGDN >KQL05764 pep chromosome:Setaria_italica_v2.0:V:27702696:27704781:1 gene:SETIT_002334mg transcript:KQL05764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKCSHCGNYGHNSRTCGLGNSRETMLCQGGGDNGGGVGGGSRSGGGTSGLRLFGVQVHAGGGGAGSAAASMKKSYSMDCLQLAAPGCSIVSPSSSSSSSLLLSIEEGLERAAATNGYLSDGNARVVAERKKGVPWSEEEHRQFLAGLEKLGKGDWRGISRNYVTTRTPTQVASHAQKFFLRQSSMGKKKRRSSLFDMVPICENSSSISDPPSSEGASTSLSLNVSRHEQSSERTTAFDLNSTEEDDGRADVSSASGAGTRPFPAAAAAPPPTELQPPHGHGHGHGHGHHHCSPLELELGMSLSTPFIGT >KQL03905 pep chromosome:Setaria_italica_v2.0:V:5286211:5288871:1 gene:SETIT_004853mg transcript:KQL03905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTARRPLLVLLLVAGAAAIAESKPYAPADRVLLNCGSATDSLDGDGRKWMADTNDDTWLTDSGKSSLMMAADRMDNGLPSTIPYMTARVFTMEAVYNFTVNPRDRHWVRLHFYPSSYNGLAPDGFHFSVTTSTGLTLLRNFSAYTYAKALSQAFIIREFSLPPTPAGFLSITFTPMPVGNDTYAFVNGIEVISMPDLFSDPATMVGFADQTVDIAGAALQTMYRFNVGGMYIPPSNDSGLTRQWYDDTPYVLGPVQGVIYRAGPHFQLKYPSEVAEYAAPPEVYLGTRSMGSDPRLNQNYNLTWTMPVDCNFTYVARLHFCELLLSRPNQRAFDIYVNNKTAQSDADVIGMTTEKGVPMYKDFAVSVADEPGDEAMWVALHPSVALRPQFYDATLNGLEVFKINGTDGNLAGPNPDPSRLLAKAELGAGDEGVPRTHGHRNMGTVMGGTAGGAAALGLVAAICVEKKSRELAAAGGSHTSGWLPLFHSHTSNKSSGHLAANLAGMCRHFSFADIKVATKNFSESLVIGVGGFGKVYRGVVDGDTKVAIKRSNPSSEQGVHEFQTEVEMLSKLRHRHLVSLIGFCEDAGEMILVYDYMEHGTLREHLYMGGKPPLSWRHRLDICIGAARGLHYLHTGAKYTIIHRDVKTTNILVDGDWVAKVSDFGLSKSGPTTVNQTHVSTMVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLLARPALDPALPREQVSLADYALLCQRNGTLKDVIDPAIKDQIAPECLKKFADTAEKCIGEQGIERPSMGDVLWNLEFAMQLQDAFEGGSCGGRRPAGEGSGTAGRSAAALEPSNSNGSAASVTTLETSSTTRPPHEACIIVEENDDEVANSAAFSQLVRPTGR >KQL08628 pep chromosome:Setaria_italica_v2.0:V:46354527:46357869:1 gene:SETIT_001296mg transcript:KQL08628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGAKEALILELHAVEAVKLGSFVLKSGITSPIYLDLRVLVSHPRLLASVASLLRSLVPATRPYDLLCGVPYTALPFAAVLSAAASVPMLLSRYDTKRVEGAYRAGQAVLIVEDLVTSGASVLETVAPLRDEGLLVADAVVVVDREQGGRENLAANGVTLHALMTLTEMLAVLLRHGKVSEEKVGEVRRFLDANRKVAVPGVPVLQPKAAARKSFAERAGLATNPMGRKLLETMEAKQSNLCVAADVGTAKELLDLAAKVGPEICMLKTHVDILSDFTPDFGLKLRTIAEKHNFLIFEDRKFADIGNTVTMQSEGGIFRILDWADIINAHIVPGPGIVDGLKLKGLPKGRGLLLLAEMSSAGNLAYGDYTAAAVKIAEQHSDFVIGFISVNPASWSAAPLSPAFIHATPGVQMSAGGDALGQQYNTPYSVINDRGSDIIIVGRGIIKASNPAETAREYRIQGWQAYQSSLS >KQL06345 pep chromosome:Setaria_italica_v2.0:V:32749287:32750420:-1 gene:SETIT_005079mg transcript:KQL06345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTHGRRPRPEPPWLMLAGSTADPTTADFFSFHDGRSRTASLPEPAIQRRIWIGSAHGWVVTADEECALHLFNPVIGAQLPLPCITTTGFFQALPRTKSGKATGFLFHESSFLAVHWPDRAFKIHIGRMPLRFLRKAVPLRDPGGSGEYLVVMIHGPAITVRNTSTSGSTWQSRWMVILC >KQL05495 pep chromosome:Setaria_italica_v2.0:V:25171857:25172966:1 gene:SETIT_004635mg transcript:KQL05495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALLRSLPPAAAATLLLAPAAQLTSSAVAASAVSGRRWRSVRTNAGGGWLSGLLGGKGGGAPTAMTVTPGTVKAGDPVLHEPAQEVAPGDVRSDRVQGIIDRMIDVMRKAPGVGLAAPQIGVPLRIIVLEDTQEYISYTSKKDIEEQDRRPFALLVIINPKLKNTSKRTALFFEGCLSVDGYRAVVERHLDVEVSGLDHNGNPIKVQASGWQARILQHECDHLEGTLYVDKMVPRTFRIVDNLDMPLPIGCPPLGAR >KQL06681 pep chromosome:Setaria_italica_v2.0:V:34975462:34975962:1 gene:SETIT_004254mg transcript:KQL06681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGPFIYSVVAFYGLVVVLALARASETINGIINQDHPISSAVQLVGVKGLEPAMAPGAVSPAFDLLVRVDNGHIFDQYREGGSVTVSYAGVPVAHGRTPSFRVGAKAALNFTVIATSNESVGVPEDLFRLMSAERRWGAAQLDVCVQLGWPGWESYSWSIDLDG >KQL08119 pep chromosome:Setaria_italica_v2.0:V:43645822:43651270:1 gene:SETIT_001668mg transcript:KQL08119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCEGHASKQARPVVLKGTRLRPLLHESAALCELTEGGYKLTKQRATTTGRERGVVRCSRDRANRNTKQKKKKVVNKKKKSPASFSANPPPESMEIRAPPTSLRLAPPPASASFRRTGLKTSFLNGRVSLRVVHIRQSNVSRFKCNANLFDRLSRVVKSYANAVLSTFEDPEKILDQAVLEMNDDLTKMRQATAQVLASQKRLENKYKAAEQASNDWYRRAQLALQKGDEDLAREALKRRKSYADNASSLRAQLDQQKGVVENLISNTKLLESKIAEAKQKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFEKMEEKVMAMESQAEALGQLATDDLEGKFALLETSSVDDDLAQMKRELSGSSSKGELPPGRTAVSNSGAARPFPDLEIESELNELRRKAKEY >KQL03513 pep chromosome:Setaria_italica_v2.0:V:2851421:2853987:1 gene:SETIT_001741mg transcript:KQL03513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAEVMHQVVPLLEAPFHRCVVKSVEVVEEVVAVAPAPVQLAASPKAVVEVAVEVSDLELKRSSNAGSSVSAEPLQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSGHLGSLLMFSAPSAFYGVFDGHGGSDAAAYMKKHAMRLFFEDAEFPQASQDDEMFAESVEDSVRKAFLRADLALADDSLINLSSGTTALTALVLGRQLLIANAGDCRAVLCRKGEAVEMSKDHRPTYDAERQRVIESGGYIEDGYLNGVLSVTRALGDWDMKLPHGSPSPLIAEPEIRWTTLTEDDEFLIIGCDGIWDVMTSQHAVSTVRRGLRRHDDPERCARELAMEAKRLKTFDNLTVIVVCFVSELSGGSAPLEPAASNSNSRLRCCKSLSPEALSKLRRWLDSDE >KQL06008 pep chromosome:Setaria_italica_v2.0:V:30065585:30066136:1 gene:SETIT_003213mg transcript:KQL06008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSAGTTSQLLYPASSPCCARTQCRAPSARRGPHVAPPTGAAATHNSTAGALRRGGHGGAGRGRGGDEPTTPLDLPHPPSPPASFIAVTDLPPLVPQAGDASPYLEAASPSPPVHITAVGMASSGNDLGLQQLANNSKYCPHAPTSILFLPTPQ >KQL08286 pep chromosome:Setaria_italica_v2.0:V:44578832:44580508:1 gene:SETIT_003351mg transcript:KQL08286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGCPTTTTSSLLLFFTLSCLIIGQALCNHGHHGRTSGADYVEQYHHQELPDKHIVSQETMKGLNKDILPKYARRMLIGSMAPICTYNECRGCRFKCTAEQVPVDANDPMNSAYHYKCVCHR >KQL05785 pep chromosome:Setaria_italica_v2.0:V:27869531:27869971:-1 gene:SETIT_004828mg transcript:KQL05785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLLYSVALPVCTTDHDEPSTMATGLLSKVSIAVAAYARRFTRRLLRARRLRRGGSACLGRQLVPADGGCGGDRQDGGQEQGALWRRAILMGRRCEPLDFPGAIHYDSFGRRLESPRCGSRKASGALFCRSSDAVDEAVVTAVRKAS >KQL08668 pep chromosome:Setaria_italica_v2.0:V:46586514:46587214:-1 gene:SETIT_005430mg transcript:KQL08668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGRNGIVEDATAVVAQVAGCAESLEAFRWVSGVRILLDLVEQGGAATARARENAAAALLNLVVAGGDPAVEEVVAVGGAEETVRELAEDTTASPRGKAKAEALLRALEGAGAAARRREHRLADFLNGLVQSDPYISSPASASTHG >KQL05494 pep chromosome:Setaria_italica_v2.0:V:25160322:25168416:-1 gene:SETIT_000599mg transcript:KQL05494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHQRIEITKPRLVSDEETKVDALQEDEALCAHYKNGVSIQDYLPKMKAICDKLEEASGKALAVSDIKEDLSYRMPFGHREWRTVLHRLLDAQLVQEINAKVDDKVIHCLRLLKRFDPNEFTPKSMTSNYKLGKKGLATDQVMELPLENCIYDMINAQGTKGVTLVELGKRLGGKFINPKELHNRMLSMSKRFSLTLDIEAIGKTKQYRVWTSKNFLLCKAALQNCDALDDHEYCSDFRPPVPSKESDSLNELLFEEDRHDKPVHDLLSSHEACVGASQLSEQDPNELLFEEDHEYCSDFWPPVPSKESDSLNELLFEEDRHDKPVHDLLSSHEACVGASQLSEQDKVAFQRKRRCWPTSTFDDQRQKRIRHILKKKNFVLMVELHKFLERLEKENGKIMDRKTLIRTLNKLQQEGSCKCTKVNVPVATNYAGCRTIDVILNPSVEIMTPELMDQIRNRLRNFDSRSRSGAAAKLKQKQHVTAIHGLSVQCKVKVKKTSVLEAIHSNGFIGAKMIRAKLLHKFLWVYVSGLPHWCKLFDCAKEEQHEKNLNQLCQLFSLIGLVNELVEDSDVQSDDLPTHSLELRPYIEEPTPRIILSSHVNSNHRPKVRHDFQLLKQESVDAYWETLKYCYLTSDFIEPSAFPGCSVPEVFHQR >KQL07920 pep chromosome:Setaria_italica_v2.0:V:42529345:42531249:1 gene:SETIT_001023mg transcript:KQL07920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHRSELLSLVLIQPAMADNVAGAADYRGQPASRAATGGWKSSVFVMAMEIAERFAYKGVAANLITYLTGPLGQPMARAAASIDAWKGVSQMLPLPLACVADAWIGRYRAIVLASLIFVVSMGALSVSSAFPAFRGGHVAIFYVALYLVALGEGAHKPCAQAFAADQFDEKDPEESVARSSFFNWWYFGMCAGTAATTMISSYVQDNVGWGLGFGIPCIVIAASLAVFLLGSRSYRYYTTSEARPFSRVGKAFLALVESWTPNRRVRYVQDIDGEGNAAAVEEVKSVLRLLPIWASCIIYAIIFSQTSTFFTKQAATLDRRIGARFKVPPAALQTFISLSIVVFIPAYDRLFVPLARRYTGRPTGITMLQRIGAGLALSLAAVALDAGLVSTPKAQLPMSLWWMVPQYVLIGVADVFAMIGLQEFFYDQVPDAARSLGLALFLSIFGVGHLLSSLLISVIDKATAKSGTSWFSNNLNRAHLDYFYWLLTGLCAVDLVAFVFFARVYVYKRKGGDGDGDGDAV >KQL04276 pep chromosome:Setaria_italica_v2.0:V:7813325:7817675:-1 gene:SETIT_0045721mg transcript:KQL04276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPSPNVVMREEVAVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGVEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRSHRPFSVVRSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWCIDSCGMMLPDNGMLKHILQRVFWCFPQCSEAFQHCHPVILGTLMMAVAVDPEQQLVPLAFALVESENNESWSWFMKLVWVHVLGPSRIVCMILDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFCEKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPERMVYSIRRYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNYESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPTLMRNKVGRRQKKRFTGDMDVSEGRLSADYDTGIAHAAVENQKALNLDRAVIGRVLRTIGMAAPGYPLLEAAYDLHHRAHHLADLNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLDGQPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGPWHRDDALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQVEWKPYDREQLSHIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLH >KQL05732 pep chromosome:Setaria_italica_v2.0:V:27326424:27328539:-1 gene:SETIT_004515mg transcript:KQL05732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRREGGGGGRGGAAGPGPGDHHGGGKGSGAHAVSMDGGLREVSVSVVFSIWCLLFLLRSQFLHSQTDDPSAASVDILVPDRSVSHGSIQLTMDADFYEEHGMRDSYCKVRPLEAYVLPHHDASPPTCQTPYSPPQEASPPGAPPPPPPSNASNAPPPSSPPEAPFVGLNEFRSRIMQGKAEHNETGGRPRPAGGGGCAHRLEPSGAEYNYAAASKGAKVLAHNKEAKGAANILGGDKDKYLRNPCSADDKFVDVELSEETLVDTVALANLEHYSSTFRDFEVYGSMTYPAEVWELLGRFTAENAKHAQRFVLPEPRWTRYLRLRLVSHYGSGFYCILSYLEVYGVDAVERMLQDLIASAGPDADAAKDHRVSSIDAASRDAGHNNDSTAQQARQQAHARLDGDGGGRNDSAAGDGKNNGSRAGAAGDAKLPPQGKEAKPPQVAMAAAAAAGRAHGDGVLKILMQKMRSLELGLSTLEEYTRELNQRYGAKLPDLQNGLSQTAATLEKMKADVHDIVEWKDGVGNCACKFVQAKDLDELKSWKSSVSSKLDDLIRENEAMRWSLEEMRGVQETLQNKELAVLSISLFFACLALFKLACDRVLCLFAGKGKEEAPEAERVCGRSSKAWMLVLASCSFTTLIVLLYN >KQL04825 pep chromosome:Setaria_italica_v2.0:V:12127775:12130424:1 gene:SETIT_001328mg transcript:KQL04825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGGWIHGYVNNTSGGGNNGFMCGYAAVSSCTPAELQYREEEEEQFLISSQIQHHLNQISMRMNMDDEASVYVPSSNDGGVSPIGIHSPIIVDGLLDPHHHAGSFPSSSSSSSLSLPSASLSCSPESSSAHVLAAPAATTTACSSQYLEVSSQVPLPPPAVPYGDHQYANLHVPAPAHHDVAAAMAPPELPPATNAGGAFRRYARHLGPKRPPKPGACGQRMFKTAMAVLSKMHVAARYNQQYYYQQAAAAAEAAPPPSVNQLQHMFSERKRREKLNDSFHALKTVLPPGAKKDKTSILIRAREYVRSLESKVSELEEKNRSLESRLLRGEGSGRKDAGSGGNDCSGDEKVQVEIARATKEERAAEPCADDLCTLKIVVRSPCNMTDMMLRTLQCLRNQIGDGVSLVAMSTSDSATGVNTCPRPVLTLQIKSPPGARWEEQPVKDAVAKVVADALTTTTTTPSAAAQ >KQL06833 pep chromosome:Setaria_italica_v2.0:V:35955252:35957254:1 gene:SETIT_002745mg transcript:KQL06833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIGSGGEWWWNLPSLRRKSDSRRRGRRNTDPRGRRRGPPREPLSSSSESIGQSSGWPIKFPFRQAVTAACLTFTGDTIAQVRGRIVDRRRRGTEPDSKELIPDILLNHDWLRALRMTSYGFLLYGPGSHAWYQFLDRCMPKQTFVNLSTKVILNQILLGPCVIAIVFAWNNLWSGKLSELPSKYQNDALPALLYGFRFWIPVSVVNFGMIPLPARVAFMSSCSIFWNFYLSTTMNK >KQL04886 pep chromosome:Setaria_italica_v2.0:V:12760618:12762955:-1 gene:SETIT_001090mg transcript:KQL04886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQVAAPHHHHHQQKAANLARTFTKLLRRKRADAAAQGVPEAPASVVGAGDYEEERTEPPVVPSLSKLKLSGNLAAAYSFDAFFRNAAEKKASAAAGGGGGVGRPAPGEVTPEAAADSLLATLFAGVSAVKAAYAQLQLAQFPYDAEAIQSADAAVVAELTRLSDTKRRYLRDPAAAARGAAAAGHTTLAAHAEEQRHLLKTYQITARKLESELRAKDAEAERIRSSLTAELRAERALEARLHPGRTLASLDDLHLSGLNPTHFLTALRHTVKSIRSFSKSMLNSMQSAGWDLAAAAVAVHPGVPLRRAGDTKFVFESYVAMKMFANFHRRDFNFSFLDEREFYDRRRFFEEFTELKAEPASAFLDVRNPRWGGFGKFLRAKYLSLVHARMETAFFGRLEQRGIVSAGPGFPESSWFAEFAEMARRVWLLHCLFFAFDGGAEEDGASIFQVRTGARFSEVYMESVSDGRADDAAVAADDRVVGFTVLPGFRVGRTLIQCRVYLSRPVRRP >KQL06049 pep chromosome:Setaria_italica_v2.0:V:30333130:30336956:1 gene:SETIT_003882mg transcript:KQL06049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGCLCLPKKKEGVSAAKQSINSPASSLLQNQKPTQPPPPPSKKGMDAVERLKSGFDKFKTDVYDKKPELFEPLKEGQAPRYMVFACSDSRCCPSVTLGLQPGEAFTVRNIAAMVPPYDKTKYTGIGSAIEYAVCALKVEVLTVIGHSRCGGIKALLSMKDGAPDNFHFVEDWVRIGFLAKKKVLTNHASVPFDDQCTLLEKEAVNVSLYNLLTYPWVKEGVANGTLKLVGGHYDFVNGVFS >KQL03459 pep chromosome:Setaria_italica_v2.0:V:2509726:2510538:1 gene:SETIT_004873mg transcript:KQL03459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGGGAKPTTRFGFSWADEVEREEREQVAMQQQQEEEAKREQIKAKADPFGAARPREVVLAEKGVDWRARDRELDLGTAPRPPRSAARGHRRTAATAAASACAATPARGVLPLDRDAGRTPHPRRQAPAAASAPRPPPTGRRNETPVGRSARGGSKRKFAGDGPVRRAPPVGDHAEQGRRVFGELNVSNGYGSSICGSAAGNGCNCNPGGGQADGMKAAGAVAADGAPSNAVAATGLDESAAGQKRSRGGKGRKGRGSGKAKKQQTLPV >KQL08062 pep chromosome:Setaria_italica_v2.0:V:43385428:43389668:1 gene:SETIT_000568mg transcript:KQL08062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDCMNSYEKLKKFKIDSMDLKINIIAALVAAGRASEVQAAMKALKVDLTTRALRDARSFELAYNSACSLIENKKYSEAKEQLDLAKRIGKEELMVEDFDENAIEYELAPVSAQLAYVQQLQGQSQEAMQTYVNMVNGKSDDSSPLADSSSLAVATTNLISLKGTKDTADSLKKLDRLIEKSNAPNQLQLIESLDFKLYPRQKEALYSARVLLLLHANKIDQAHELVCGLLGMFQDSVFPVLLQAAVHVKEKKIQKAEEVLSQYAEKHPENSKGVLLALAQIAANANHFQLAADSLSKIPDIQHMPATVATLVALKERLGDSNAAASVLDSAIQWWKNSMTEDNKLDLFTREAAAFKLSHGRDEEACLLYEELVKSHGSIEALAGLVATAARTNLEKAEQYEKKLKPLPGLKGVNVESLEKTSGARHVEGPQDMKVDTPEEVKKQKARKRKRKPRYPKGFDPANPGSQPDPERWLPRRERSSYRPKRKDKRAQVRGAQGAVTRETAATNAGGSSKGSQTSSSSKTPAANTDQPKPSNKSRKKKSRS >KQL08063 pep chromosome:Setaria_italica_v2.0:V:43385428:43389668:1 gene:SETIT_000568mg transcript:KQL08063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSKAAAAAAEAVSVEDLFTSLHRHIQAGEFAKAVKVADQVLKAAPGDEDAVRCKVAAHIKADQIDKALAAIRDAERLPIDLSYYKAYCYYRQNKLEEALSLLRGQEETAAILQLESQILYRLGRMNDCMNSYEKLKKFKIDSMDLKINIIAALVAAGRASEVQAAMKALKVDLTTRALRDARSFELAYNSACSLIENKKYSEAKEQLDLAKRIGKEELMVEDFDENAIEYELAPVSAQLAYVQQLQGQSQEAMQTYVNMVNGKSDDSSPLADSSSLAVATTNLISLKGTKDTADSLKKLDRLIEKSNAPNQLQLIESLDFKLYPRQKEALYSARVLLLLHANKIDQAHELVCGLLGMFQDSVFPVLLQAAVHVKEKKIQKAEEVLSQYAEKHPENSKGVLLALAQIAANANHFQLAADSLSKIPDIQHMPATVATLVALKERLGDSNAAASVLDSAIQWWKNSMTEDNKLDLFTREAAAFKLSHGRDEEACLLYEELVKSHGSIEALAGLVATAARTNLEKAEQYEKKLKPLPGLKGVNVESLEKTSGARHVEGPQDMKVDTPEEVKKQKARKRKRKPRYPKGFDPANPGSQPDPERWLPRRERSSYRPKRKDKRAQVRGAQGAVTRETAATNAGGSSKGSQTSSSSKTPAANTDQPKPSNKSRKKKSRS >KQL04963 pep chromosome:Setaria_italica_v2.0:V:13446187:13448508:1 gene:SETIT_001891mg transcript:KQL04963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVSVAALLVVVVVLHVADATVLRPQKLLFPAIFSFGDSYADTGNYIIEVDPRPFNFSPYGDTLGRPTGRASDGLLPVDFVANAVGLPLVPPYLDRSQDFSKGANFAVIGARALDQAFFLEQNVTSPAAPMNSSLSVQLRWFEELKPSLCNATKLDCDDYLGKSLFFVGEFGGNDYLAFLSAKSVEQTTQTFVPVVINAIAAAAERLIQHGARRIVLPGNIPMGCLPAILTLYPSPNASDYDSYGCLDKFNALARYHNELLRRSARELRAKYPRAAIAFADYYQPVLAFLTAPALFGFDRSTTLVACCGGGGEYNFNATAFCGFPPATACEDPSRSVSWDGIHLTQPAFRKIARSWLHGPSSVPPILTLALEL >KQL05806 pep chromosome:Setaria_italica_v2.0:V:28035169:28035675:-1 gene:SETIT_003888mg transcript:KQL05806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRVKRSGVKFIEDERDHSLTFFNRRSRLFKDASELSTLTGARVTVVVESKNKKVSSFSTPDAGPIVDTFLSEDASTEFNTIKGGKVKSTTLQNESFHLEKSKAVEDNMEENMMQAKDIQETSRMAKYVYGKVEDLNATELIEMCSKLSEIEQEIEDLLSINFVRTK >KQL03581 pep chromosome:Setaria_italica_v2.0:V:3246598:3249670:-1 gene:SETIT_001601mg transcript:KQL03581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGEGDGEGWEAAVRAEVGAVGWWDDPDGADLRARFKAFTGQRRDWPQPTLLFWKDLLLRVARRLRLCSAPAHLVTSVWFARPGGLTPLCLPQVLEEMRADGDILLKSELIDPSTGSLYQLVRRVSQMAISSRRPVLQEDILVFKSLVEERAADIARQLSDSHWTSTCVVTISRFNSFFSDQEDAHAALCFLTQSGKARYLVARKQDHIEGVKFALNSAQVPAVSKLDHDTLHLLWTEERLQQQLDVLDRRWEISRRRALAFFKSRDKQAAYRYARQSKVFSQSRSRCMQLLERVEEVISLIASAESTKKVYEAIQIGIQAMKENNVSIEEVNVHLKEVDELVAAQREVDAALESAPLQSIDDEGDIEEEFRKLEAELQDEIPHIQVQEPVAHSNEESPDEVIESLSNNLSSIKLEAI >KQL05640 pep chromosome:Setaria_italica_v2.0:V:26615251:26615535:-1 gene:SETIT_003692mg transcript:KQL05640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWKVQFTTIEVKVNSGKYQLKEAHQPVTTSTVRNYVLHITSTSAWGAPTSIAPFVN >KQL03835 pep chromosome:Setaria_italica_v2.0:V:4860074:4862098:-1 gene:SETIT_003519mg transcript:KQL03835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMEPRKSSYLVAVVFASLLLSSMAGGHRKLVNKDDAESMETSESMQQLQGDDEAAAVVHERILKQVKMDDYGRYDPTPTMSKPHFKDIPN >KQL03834 pep chromosome:Setaria_italica_v2.0:V:4859813:4862275:-1 gene:SETIT_003519mg transcript:KQL03834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMEPRKSSYLVAVVFASLLLSSMAGGHRKLETSESMQQLQGDDEAAAVVHERILKQVKMDDYGRYDPTPTMSKPHFKDIPN >KQL04400 pep chromosome:Setaria_italica_v2.0:V:8751849:8753548:-1 gene:SETIT_003556mg transcript:KQL04400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCGGNCGCGSGCKCGSGCGGCKMFPDMAEQGTTTTQTVITGVAPSNKGHADGGFEGGAASAGAENGGCKCGPNCTCNPCTCGK >KQL04399 pep chromosome:Setaria_italica_v2.0:V:8752320:8753042:-1 gene:SETIT_003556mg transcript:KQL04399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRCKMFPDMAEQGTTTTQTVITGVAPSNKGHADGGFEGGAASAGAENGGCKCGPNCTCNPCTCGK >KQL03858 pep chromosome:Setaria_italica_v2.0:V:5087655:5088926:-1 gene:SETIT_005049mg transcript:KQL03858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAVAGRDWSQLPADLVVLVLGALEVPELLRSAAVCRSWRAAYKLDPRLDATPLFRGPCLLYSSADRDADVATLRSLSESAAADHTTSTRRRHHVTLPAPSFRGRYVVGSSHGWLITADEQSELFLVNPVTRAQIALPPVKTMRSLNLRLARSSKALQGYFLHYMDVAAGCRNSHMYDLREFYDPNEARFLLYRRVALSADPSSGNCIVLIIHCFQEQLSFARIGDAEWTWIHGEEHFCHYQDLFYNDKDSLFYALRGTGEVHTIDFSGSSSPVVKIIFKKVVNYIDNYKYLVRAPRGDLIQVWRDDDVVDNGEWVTKKLVVYKMDLVHQKVAEVKDLQGYALFLGFNTSFFLPVACSPMLKANCIYHTDDNTEYIGGHKFSRRHIVAFSLDENVFAEFLPCDSRLNWPPPIWIRPSCEWVG >KQL06039 pep chromosome:Setaria_italica_v2.0:V:30260958:30261873:1 gene:SETIT_003965mg transcript:KQL06039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTMCGCAGEPAVRKGPWTLEEDLVLVGYISQHGEGSWDNLARAAGLNRNGKSCRLRWLNYLRPGVRRGSITPAEDAVIRELHATLGNKWSKIAAHLPGRTDNEIKNYWRTRIQKRPAAPANTQQQPCRAPAAAPTTATAASEGASSSSSASASHGSSAAEDYYYWCMKPNNPDQQACYSHKTVAAAAAVGLGGWSASAALTRQDSSSTSTTAGDGCKLTGYSYPYYSELGSIADGVMMVDADNFWNVVDNFWGTLPFPDATF >KQL04331 pep chromosome:Setaria_italica_v2.0:V:8250874:8256732:1 gene:SETIT_001121mg transcript:KQL04331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSRYPSHPPSDLSTGPLVAPVRRSMLSAPAAGGPFRGGALTRRLRPRSSLASPPASSGGEPEKERLLLVERYRDGVTKRYMSDGNSKLQIRSEKHESPVNAVEDENADSLIPQVIRDFVLPAGFPGSVTVDYLEYMLLQFPTNVTGWICNVLVTSSLLKAVGVGSFTGTSAAASAAAIRWVSKDGIGAFGRLLIGGRFGTLFDDDPKKWRMYADFIGSAGSIFELMTPLYPGYFLPLASLGNLAKAVARGFKDPSFRVIQNHFAQSGNLGEVAAKEEVWEVGAQLLGLSIGVLIMDTAGVKSSYLTLTSTWLIIRLLHLWLRYQSLSVLKFRTINLKRGRILVRSHVAQHTVPGYVVCNEEENILTWERFLHPQIFFGVPMERMLGGKGSSDKVNRLLKLYKNEKYVLFVEQFGSREPTFLVAFKEAANSMSVLRSLWQAHWLQKNWKNQDEIFSWLEDSILALEHGFTDFLEQMERAGWDQNQIILKVPKEPVLVLQHLDQEV >KQL08279 pep chromosome:Setaria_italica_v2.0:V:44547408:44555019:-1 gene:SETIT_003275mg transcript:KQL08279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRALLSRLRLAPLLHDGHHLRRLVSAAAASPPDEAPPGAPPPPPSNSRLFVAGLSWSVDERSLTDAFSTFGTVTEVRIIYDKNSGRPRGFGFVHFSNDHEAKCAKDAMDGKVMLGRPLRISFALEKVRGAPVIVPRLSTVK >KQL03489 pep chromosome:Setaria_italica_v2.0:V:2674527:2678282:-1 gene:SETIT_000736mg transcript:KQL03489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRKAMWLYPKVVGFNPPERWGHSACFFEGVVYVFGGCCGGLHFSDVLTLNVETMAWSSMATTGQRPGTRDSHGAALVGHRMLVFGGTNGGKKVNDLHVLDLRTGEWTRPQCKGAPPSPRESHTVTVVGGDRLVVFGGSGEGEGNYLSDVHVLDVPTMTWSTPEVKGDYAPAPRDSHGAVAVGGRLFVYGGDCGDRYHGEVDVLDVDTMAWSRVYIIGGVGDKQYYSDVWVLDVANRSWSQLEICGQQPQGRFSHTAVVMNTDIAIYGGCGEDERPLNELLILQLGSEHPNGRYNISMCKVLSNHWSQEKRKFLRSETTMQQKDASVSNGEMVQKPREAEIEQRNPFLRGLENGHAKRRKTGEARPNEPESEQEEHSLSLSQHSSPSQSDQEQNGAHKLSASPNTSISALQPFTRLNANGTLRAPGPGGANGTLRAPGPGGVSSRPLKTDQFLRTIAPHRQEVQFLSSDHKPQPRPPGPPLIGAEVHGTIDGAFDSGYLMTAVVNGQLFRGVLFAPGPGVTAPRPAVHHQILTSSAVPPQQRPLLAHAIPVHARPVPQATGFVLPDCAHHARQGGFPAKAVKSEPERGNSDLHDVVLTLGGPGGGK >KQL07546 pep chromosome:Setaria_italica_v2.0:V:40430860:40435806:1 gene:SETIT_000517mg transcript:KQL07546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISSALSFVSDRKRPIAVAVILFIVLSSLFLLFSPAPSALPFFSSPSSHLSSSETSIPISSNASPPEAPTSVASNGISSSTSSAPVPVSANASPPIAGTAIASDGVGSSTADPPRPDAIAAAGDAEPDVPEPDRGTPPAAAEASGSAGDNETTAGVSGERDGEGQGGGGGGGAVEEPVELLSWELCEVGKGVVAADYIPCLDNVKAIKALKSLRHMEHRERHCPEPRPRCLVPLPDRYRRPVPWPLSRDMIWYNNVPHPKLVEYKKDQNWVRKSGNYFVFPGGGTQFKNGVAAYIRFIEQILPNIQWGVHTRTVLDVGCGVASFGGYLLDRNVITMSLAPKDEHEAQIQFALERGIPAFLAVIGTQKLPFPDNSFDVIHCARCGKPLLELNRVLRPGGYYIWSATPVYRKDQRDVDDWNAMVSLTKSICWRTVVRSRDINKIGVVIYQKSISNSCYLKRKNNEPPMCSETDGSRSPWYTPLDSCLFPAVSSSGGENSWPISWPERLTMKHSTTSNNSSIQFSQERVDSDTDHWKDLVSEVYLNEFAVNWSSVRNVMDMNAGFGGFAASLIHQPLWVMNVVPVDQPDTLPIIFNRGLIGVYHDWCESFNTYPRTYDLLHMSYLLGPLTRRCHIIEVAAEIDRILRPGRWFVLQDTIEVIRKMEPVLRSLHYRTTIVKQQFLVAAKGFWRPGSTGSQS >KQL07346 pep chromosome:Setaria_italica_v2.0:V:39236962:39241724:-1 gene:SETIT_004259mg transcript:KQL07346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEQLDIKPFLSPITATPIQRGLHGPSTFQATALPPQTQAQAACVLNRAASELSGGIGYRTSGADEALEGTSARRPCPAPRLSRKFWSAGDYDAGAGSSAPQPQTVQNRMCVHPEFLHSNATSHKWPFGAVAELLDNAVDEIETGGATRILVDKVIDNRNGSPALLVQDGNGFKTSTMRLGADAIVFSRCTKSGGPTQSIGLLSYTFLVETGQTDVVVPVDYKCNLLRGQTTRLERHGSEQFSSNLAVLLKWSPFATEEELMQNFTDIGPHGTKIIVFNLWSNDDGNLELDFDTNPEDIMISGAPNPEEFCTAVKRANENHLANRLRYSLRVYASVLYLHLPDYFRIILRGQEIKRHSIAADIIYPECISYKPQICSRQEAEVLTTIGFLDGAPTISVHGFNIYHKNRLILPFHRVLSSASSKGRGVAGVLEADFIKPTHDKQDFEKSQLFQRLMNRLKDMTNEYWDIYCHKIGYVKTPRVRAAPVPRAPPAVLPIENGPAEPSEGSAPAPVVSVPPLRSDSTYVNAVPIAVAPASFRAAPAGTEGAAPRTPTGYSPPVTQTTQVNQTTSSSTAPGTDLGATRKRKNDDEAPTASFKKQDTHDLAGSSSANDQVCQYMGERELKEISFLKLENRMLREECSHFEMAEKELLAKEQNLRLEIEKAQEQYKILLNEYVS >KQL06011 pep chromosome:Setaria_italica_v2.0:V:30078673:30082551:1 gene:SETIT_001097mg transcript:KQL06011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDLSSDMKRTVLGLTLWVWIAIGVVALLVAILLMICIWVASRRRTKRTMDSLRQTQIPIYSKEIPVDRVAGRSLAQTMHEREQSSFPPPDKYANREPAGATLGHLALSKSSDHDNMSQGSSVCNVDRAASVHSGEDGSSGPRRKPNSPAAFVSASPLVGLPEFSHLGWGHWFTQRDLELATNRFSKENVLGEGGYGVVYRGRLVNGTEVAIKKIFNNMGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGVNRMLVYEFVNNGNLEQWLHGAMCQRGVFSWENRMKVVTGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNGKVSDFGLAKLLGSDKSHITTRVMGTFGYVAPEYANTGMLNEKSDVYSFGVLLLETVTGRGPVDYSRSSNEVNLVEWLKTMVANRRAEEVADPSLEVRPSIRSLKRALLVALRCVDPDSEKRPKMGQVVRMLESEEVPYREDRRNRRSRTGSMDIESIAEGSNSAEFGKKVERTGSATSDRSQP >KQL07220 pep chromosome:Setaria_italica_v2.0:V:38421755:38423975:1 gene:SETIT_001414mg transcript:KQL07220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQVVSSNGSSPSPSPAAARTRAPRPPPPNVRQPPAALGAKARPRTTTSSTMAAAAARRQLPVPVPVPVRRVMSAKDKEEPDHKKETVEEEVVRLRGEVEALRREVQRLLRLNADLALVQTQRGQSKAIGGSDLPQQQQDKHDPATNRTQPPNKAPGGVPARPPPPPPPPPPRQKKPQGPSAPSTSPVSKATAVVDMYNSLTSNKKPQQQIDRGRSHHHHSSIVGELQNRSRHLLAIKADVETKAELIEDLIKKIHTSTYTDVEQVLTFVDWLDQQLSTLSDETAVLKHFNWPERKADALREAASEYRHLKCLLTDISSLNDDAGSPSPCDATLRKISMLLDKLEKSMSRLVNLRSSAMPSYKELRIPTYWMLDSGVAAKMRLASVNLAKMYTKRVLKELDGRDTAGNEAALVAQSVRFIYRVHQFAGGLDCEAMHAFEELRRRARPVSSS >KQL03351 pep chromosome:Setaria_italica_v2.0:V:1908391:1909890:-1 gene:SETIT_002247mg transcript:KQL03351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAMEEEMFVAVRRTEHVEVTSRAVEVAPAAAAGPRTVRVFCDDYDATDSSGDEDDEEAAVAARRRVKRYVQEIRLERAVKEAPAAKAAASSAAAAARTKLVLPGRKRKADGAEPRFRGVRRRPWGKYAAEIRDPWRRVRVWLGTFDTAEEAAKVYDSAAIQLRGPDATTNFEQVDDPVPTEVAERLPQPPPAAASKNASSSATSYDSGEESHAAAASPTSVLRSFPPSAVAEDTCGKKPAPAAQPAYRAPETDESSVDGSVFGCPFTGDDCFGGEFPPLYTDFDLLADFPEPSLDFLADLPEEPLSLPSIERENKCWAMAA >KQL06654 pep chromosome:Setaria_italica_v2.0:V:34823872:34824099:-1 gene:SETIT_0038301mg transcript:KQL06654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDDCDGLPMYVEEDEEEAAAEKEKRRRQSRKPPRMSVTPEELARIEFNQAVARKLYEYDPKLGTSCYTRAWFTK >KQL05608 pep chromosome:Setaria_italica_v2.0:V:26315800:26316237:1 gene:SETIT_005536mg transcript:KQL05608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADFMPSCILSYLNMIRMLSIFVYKYKKLR >KQL05902 pep chromosome:Setaria_italica_v2.0:V:28946343:28949346:1 gene:SETIT_002383mg transcript:KQL05902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLSSPPSLSSPTSQSSLRPRSRGALGAGTCVPPPRRRVLVFASSSRKPAAGNRGLEVERRRLLMSGLVSSFAIVLPISESYAAMETDEDVKMNTQVDEINAYSFLYPVELPGKKFSFKWVVSRKPERYSSAAPLSPDARQRIVSERVDMIHNVVISVSIGPPNSRFLPSKDKSSWDPKDVADCILADRSTLKVTTGQRMTESSVLDAHCTEVDGEPYWYYEYLVRKSPTASAPEPNLFRHNVACTVERDGYLYSLNASTLSKQWESMGPFLQKTVASFRLLPPTESYVPPYKDPWRFW >KQL05901 pep chromosome:Setaria_italica_v2.0:V:28946343:28949346:1 gene:SETIT_002383mg transcript:KQL05901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLSSPPSLSSPTSQSSLRPRGALGAGTCVPPPRRRVLVFASSSRKPAAGNRGLEVERRRLLMSGLVSSFAIVLPISESYAAMETDEDVKMNTQVDEINAYSFLYPVELPGKKFSFKWVVSRKPERYSSAAPLSPDARQRIVSERVDMIHNVVISVSIGPPNSRFLPSKDKSSWDPKDVADCILADRSTLKVTTGQRMTESSVLDAHCTEVDGEPYWYYEYLVRKSPTASAPEPNLFRHNVACTVERDGYLYSLNASTLSKQWESMGPFLQKTVASFRLLPPTESYVPPYKDPWRFW >KQL04568 pep chromosome:Setaria_italica_v2.0:V:9929234:9934216:1 gene:SETIT_001613mg transcript:KQL04568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVSTSRGLHPPGKLGAFESSHVWPLSAPTSQPGAGLGDDQDVRLLSVAWNQDCGCFAAGTSNGFRIFNCEPFKETFRRDLKSGGFGIVEMLFRCNILALVGGGSNVQYPPNKVMIWDDHQSRCIGEFAFRSDVRAVKLAKDYIVIVLERKIYVYNFTDLKLLYQIDTLSNPKGLCCLSHHSNTSVLACPGVHQGHVRVEHFGLKMTKTIPAHDSNISCMALTMDGLLLATASTKGTLIRIFNTMDGTRLQEVRRGLDKAEIYSIALSPNVQWLAVSSDKGTVHIFSLRVRVAGEDSSNEQHTLEGPRMDHQNSSSSIDPLIQTNTGSNASSSLSFMRGILPKYFSSEWSFAQFHLPEVTRYIVAFGAQNTVMMVGLDGSFYRCSFDQVNGGQMLQKEYFRFLKSDSPPFRTSAS >KQL04517 pep chromosome:Setaria_italica_v2.0:V:9528355:9528856:1 gene:SETIT_005643mg transcript:KQL04517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFSGPWINRLSHGCFAIYIIFLFFFMQCEVLISVKFAEAIFD >KQL06554 pep chromosome:Setaria_italica_v2.0:V:34143934:34147480:1 gene:SETIT_001085mg transcript:KQL06554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAVAAANGTPEVEERKAQRSYWEEHSRDLTVEAMMLDSRAAELDKEDRPEVLSLLPSYEGKSVLELGAGIGRFTGELAKTAGHVFALDFIESVIKKNESTNGHYKNTSFMCADVTSPDLIIEENSVDLIFSNWLLMYLSDEEIDKLAERMVKWLKVGGYIFFRESCFHQSGDLERKVNPTHYREPRFYTKVFKECQAFNRDGTSFNLSLVTFKCIGAYVEIKKDQNQICWLWKKVHSSEDGGFQSFLDNVQYKASGILRYERIFGDGYVSTGGVVPLNVIIYHHPPETTKEFVDRLDLKPGQKVLDVGCGIGGGDFYMAEEYGTHVVGIDLSINMILFALERAVGRKCSVEFEVADCTTKTYPDHTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVDAYGQVLVTWKFLRFMLVQFL >KQL06553 pep chromosome:Setaria_italica_v2.0:V:34143934:34148337:1 gene:SETIT_001085mg transcript:KQL06553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAVAAANGTPEVEERKAQRSYWEEHSRDLTVEAMMLDSRAAELDKEDRPEVLSLLPSYEGKSVLELGAGIGRFTGELAKTAGHVFALDFIESVIKKNESTNGHYKNTSFMCADVTSPDLIIEENSVDLIFSNWLLMYLSDEEIDKLAERMVKWLKVGGYIFFRESCFHQSGDLERKVNPTHYREPRFYTKVFKECQAFNRDGTSFNLSLVTFKCIGAYVEIKKDQNQICWLWKKVHSSEDGGFQSFLDNVQYKASGILRYERIFGDGYVSTGGVVPLNVIIYHHPPETTKEFVDRLDLKPGQKVLDVGCGIGGGDFYMAEEYGTHVVGIDLSINMILFALERAVGRKCSVEFEVADCTTKTYPDHTFDVIYSRDTILHIQDKPSLFKSFFKWLKPGGKVLISDYCRSPGKPSEEFAAYIKQRGYDLHDVDAYGQMLKNAGFSHVIAEDRTDQFLGILQKELDKFEKSKADFLSDFSQGDYDDIVNGWKAKVQRSSAGEQRWGLFIATK >KQL07900 pep chromosome:Setaria_italica_v2.0:V:42404564:42405699:-1 gene:SETIT_005378mg transcript:KQL07900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDALEQGRCECKQLVESNRSIYLIVVQCKYLVLESFLSSVHPLSSILDQEALALLYIEKAQYAGLRALQS >KQL06951 pep chromosome:Setaria_italica_v2.0:V:36628661:36637890:-1 gene:SETIT_000025mg transcript:KQL06951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDAEPPPTVGVEEALPTTPDIALDGDGEGYKFAEAIEGEKDGGAPYGEGGKVEEGSKSCGDDVVADELLGIEEDPNLTDVDETDEQEEDAGAEVQTSDVAAAVDVLAEVGAAVASSTLVDAPTEVGMQTNEVTAVDDLTEVGAALVSSTSMDDPTEVSTSLVNEDCNIVSTGGVHRLDDQTDKEVDGDSLDADEAAPLDHMRPQMDVAAALLNEVETEIVKAGDPVAEAITNMDMQVQTGDDSEAEGVGTIADAATTDEESKHMGAVTTTTDDSKKHNGTVGDDASNEGIQMDRDGLTGDDSELKEIATADEDHVEEEGMQMGAINITGDMDKEGRIVVENIADEAVDGVAVPEEKAVQTDEAGDDIPEEEDVQMGGVGLTGNDNEQEEAVTADHGVEEDAMLMDAVANDDDEDNEIVGEDVAEEAVTGTVGAALKLIILRVLIGMMISSSQRVGGIVDVDFDDKSSWWYLFKDYWLNLKTNLSLTVEEISAAKYQKSGELPDTNDEEANSESSLGRHLENNTPKKRGRKRSKEAAIEDGSERKESTGKSTKQGLSSIPDAQTSSGKKVRKLSKRSLSRQHSSKESESVGTSTSSAEEASWASEELLNFVAHMRNGDKSVISQFDVQPLLLDYIKRNNLRDPRRKSQIICDSLLQSLFGKKRVGHFEMLKLLESHFPMSEVSPSADENHGGVVDPDPSQDADGNSEASVVMSSEKRRKSRKYDQRRQPNLDDYAAIDNHNIGLMYLRRNLMEELIGDVDTFDEKVVGSFVRIRIPGTGQRQDIYRLVQIVGTGRAAEKYKSGKKTTDITLEILNLDKREAVTIDIISNQEFTEEECKRLRQSIKYGFIPRLTVGEVQEKARVLQTLKVNDWIESEKMRLGHLRDRASDMGHRKELRECVEKLKLLSTPEERARRLNEEPEIHADPAMDPDYESPEEQEQETERSSFNKSRGSFLRKDGNLVSPVKGDGRNPLQRDSKTNWESNRNTWAESSPHMESPLSRRSTFSSPGDSAGYTSKSESPNIGAQTVKLEGTTHSASQGPSGVSSGILAANVGSGAKTASQSAINESEKIWQYMDPSNKIQGPFSIVQLRKWNSNGYFPPSLKIWKASEKQDDSILLTDALAGKFEKDLPPWEPPHVSASQIDKTPLLEESTIAGEQTPKSVVPKSFSSSDQRPDYSSTNLGASMMHSGAQGYYGVQNSHAGYTNQQSLTGSWNAASNQFGVAVNPMTPTQPAMGSFSGQNIVAAGNMVHLTPGMTPATANAELTSDLPSQNQVPSALPQMDDRLADGENSSHGRVCSSAEGTRNQMSTPSAASVQPSVTAIAGSDTQSGGWTVPAQAANTSGQSQVAGNMTWGPAPQGDGSMGWGMMGQSNMNMPWVASAQGASGYNMGVTMPTQPNAVPNMGWLPNPGNASMNMIWAATQGQGTTNAAAMMGGQMQGVAMAQWGGVAAGNANPYPGWGTQQVGNMNQNVNWSAPVQGNPGQANNSMNWNAPNGNPDWNNQQRDSGGRQSGNRDSGGRPWKRAGGDGGSWGNKAPGVCWSFVDRGKCWKGDCRFVHPTNTDGYSSRNDRHFDRQHSGNERRHDNHNEGNDRQFDRQPSDNERHHDRPDGRHDGRDDDRHDDRQADRSQSREPH >KQL07904 pep chromosome:Setaria_italica_v2.0:V:42430992:42433082:1 gene:SETIT_002574mg transcript:KQL07904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSMLMSTSVSGGRALPSLQAARPAAAYPRLALPSVNRHSKSVSVKTLALFGKSKAAKAAPAKKVAAPKPKVEDGIFGTSGGIGFTKENELFVGRVAMLGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGTFVDDVTGLDKAVIQPGKGFRGALGLSEGGPLFGFTKSNELFVGRLAQLGVAFSIIGEIITGKGALAQLNIETGVPINEIEPLVLFNVLFFFIAAINPGTGKFIIGDDEKE >KQL06029 pep chromosome:Setaria_italica_v2.0:V:30205668:30206188:1 gene:SETIT_005564mg transcript:KQL06029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIERCCKELLYILKEPNMKKKYSGYFIKQVIIVSIIPTFSL >KQL03988 pep chromosome:Setaria_italica_v2.0:V:5719754:5723461:1 gene:SETIT_004089mg transcript:KQL03988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAAGGSCSAVVATTTAAVFLLLLLLCGCGAPAAMAAPGGGGGGEQQYDGGARYKDPRQPLNRRIEDLLARMTLAEKIGQMSQIERENATADVVRGYFVGSVLSGGGSVPAPQAPAEAWVEMVNEMQRAAMSTRLGIPMLYGIDAVHGHGNVYKATVFPHNVGLGCTRDPELVKKIGAATALEVRATGIPYVFAPCVAVCRDPRWGRCYESYSEDPRVVQQMTSSIIPGLQGEIPANGRRGAPFVAGQRNVAACSKHYVGDGGTTRGINENNTAASFHELLGVHMPPYYSAVIQGVSTVMVSFSSWNRVKMHANHFLVTDFLKNRLRFRGFVISDWRGLDKMTSPEHDDYITSVKLGILAGIDMVMIPYTYTEFVDDLTALVRNGTIPTSRIDDAVRRILRVKFTMGLFEDPYGDPSLAGELGKPEHRELAREAVRRSLVLLKNGKPGQKPLLPLPKKAGRILVAGSHADNLGYQCGGWTITWQGLGGNNLTAGTTILNGIKRAVQDHGTEVVYSESPDAGFVQRNKGRFDYAVVVVGEPPYAESFGDNLNLTIPEPGPSVIRVVCGGGIRCAVVLVSGRPLAVEPYVGAVDALVAAWLPGTEGEGVSDVLFGDYGFTGKLARTWFRSVEQLPMNVGDARYDPLFPFGFGLGLETQPSTA >KQL07968 pep chromosome:Setaria_italica_v2.0:V:42875623:42878891:1 gene:SETIT_000196mg transcript:KQL07968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFWLVLVLCNFGISKSLPLDRDTLLDIKGYLKDPQNYLHNWDKFHSPCQFYGVTCDHNSGDVIGISLSNISLSGTISSSFSLLQQLRTLEVGANSISGIVPAALANCTNLQVLNLSMNSLTGQLPDLSALLNLQVLDVSTNSFNGAFPVWVSKLSGLTELGLGENSFDEGNVPESIGDLKNLTWLFLGQCNLRGEIPASVFDLASLGTLDFSRNQITGVFPKAISKMRNLWKIELYQNNLTGEIPQELATLTLLSEFDVSRNQLTGMLPKEIGGLKKLRIFHIYHNNFFGELPEGLGNLQFLESFSTYENQFSGKFPANLGRFSPLNTIDISENYFSGEFPRFLCQNNKLQFLLALTNNFSGEFPGSYSSCKTLQRFRISQNQFSGSIPPGLWGLPNAVIIDVADNGFIGDISSDIGLSVTLNQLYVQNNNFIGELPVELGRLSQLQKLVASNNRFSGQIPKQIGNLKQLTYLHLEHNVLEGPIPPDIGMCSSMVDLNLAENSLTGGIPNTLVSLVTLNSLNISHNMISGNIPEGLQSLKLSDIDFSHNELSGPVPPQFLMIAGDDAFSENVGLCVADTSEEWRQSVTNLRPCQWSDNRHNFLTRRLFLVLVTVTSLVVLLSGLACLSYENYKLEEFNRKGDIESGGSTDLKWVLETFHPPELNPEEICSLDGENLIGCGGTGKVYRLELNKGRGTVAVKELWKGDDAKVLKSEINTLGKIRHRNILKLNAFLTGGASSFLVYEYVVNGNLYDAIRREFKAGQPELDWDKRCRIAVGVAKGIMYLHHDCSPAIIHRDIKSTNILLDEEYEAKLADFGIAKLVEGSPLSCFAGTHGYMAPELAYSLKATEKSDVYSFGIVLLELLTGRSPTDQQFDGEMDIVSWVSSHLTEQNPAAVVDPKVSNGASDYMIKALNIAILCTAQLPSERPTMREVVNMLIDNDPSCTTGRAKNKNDK >KQL04273 pep chromosome:Setaria_italica_v2.0:V:7801117:7803758:1 gene:SETIT_000535mg transcript:KQL04273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLLILLLSPLLLLHSLANAGSLDADVAALTDFRLAAGPSGAALASWNLSANPTPCGGAWRGVTCAGGRVTRLVLEGLGLSSAEALPALARLDGLRVLSLKGNAFSGGIPDLSPLAGLKLLFLARNALSGPIPPSLGALYRLYRLDLSFNNLSGVVPPELGHLDRLLTLRLESNRLTGGIDAVALPRLQEFNVSNNLMSGRIPSAVAAFPAAAFDGNVGLCGAPLPPCKDEAQQPNASGAVNASAAGDCPPAAAMVAPAGAEAQGGGGKGKMSRAAVVAIVVGDFAVVGLVAGLLFCYFWPRLSGRRSGKRLQQGEKIVYSSSPYGAAGVVAAAGAGGATFERGKMVFLEDLSCSNGGTRRFELEELLRASAEMLGKGGSGTAYKAVLDDGTVVAVKRLRDATAAAAASKKDFEHHMAVLGRLRHPNIVPLTAYYYARDEKLLVYEYMPNGSLFSLLHGNRGPGRTPLEWAARLRIASGAARGLAYIHHSGRRGSGTPKLAHGNIKSTNILIDRFGVARLADCGLAQLGSSPAAAAARSAGYRAPEAPPPPRPWASHKGDVYAFGVVLLELLTGRCPGSELPNGGVVVELPRWVQSVVREEWTSEVFDLELMKDKGIEEEMVAMLQLALSCAVAAPEQRPKIGYVVKMIDEVRACGEASPSQDESVDESSGVSDSPAVSEGGALSQ >KQL07555 pep chromosome:Setaria_italica_v2.0:V:40479714:40482906:-1 gene:SETIT_000934mg transcript:KQL07555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASTSLFSPCALTAKLGLGRASYGPGRRASVRRRGRLSVVAVQTGPQKPSPSSSRAAAAEDEADALQKLLKREYKYGFVSDFESFSIPKGLSEATVRRISELKAEPAWMLDFRLAAYRRFLTMVEPTWSDNEYAPVDLQSLCYYSAPKTKPKLNSLDEVDPELLKTFDRLGIPLTEQKRLTNVAVDAVIDSTSIATTHREALMAKGVIFCSISEAIREYPDLVKRYLGSIVPPGDNYYAALNSAVFSDGSFCYVPKDTVCPMEISTYFRINDKETGQFERTLIVADERSTVSYLEGCTAPAYDSNQLHAAVVELVCEEGAEIKYSTVQNWYSGDEEGKGGIYNFVTKRGRCKGRGSKISWTQVETGSAITWKYPSVELVGDDTVGEFYSVALTKDCQQADTGTKMIHKGKNSRSRIISKGISAGKSRNCYRGLVQMNAGAENAYNSSQCDSLLIGDNAAANTYPTIQVGCTSGRVEHEASTSKIGEDQLFYFQQRGVDHEKAVAAMIGGFCRAVFEHLPYEFAQEVDALMNLKLEGSVG >KQL08732 pep chromosome:Setaria_italica_v2.0:V:46887115:46888580:1 gene:SETIT_002014mg transcript:KQL08732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVASTVAAAVALLAALLCGASAAPNGGGDVGQPPVARGLSFDFYKRSCPRAEAIVRSFVQDAVRWDTGLAAGLLRLHFHDCFVQGCDASVLLDGSATGPGEKQAPPNLTLRPSAFKAINDIHDRLTRECGGPVVSCSDVLALAARDSVVVSGGPSYRVPLGRRDSTSFATQQDVLGGLPPPTATVPALLGVLSKINLDATDLVALSGGHTIGLGHCTSFEGRLFPRPDPTLNATFAGHLRQTCPAKGTDRRTPLDVRTPNTFDNKYYVNLVNREGLFTSDQDLFTNPATRPVVDKFAQNQKAFFDQFGSSMIKMGQIKVLTGSQGQIRRNCSARNPGTAGLPWSILEEAESLIF >KQL04848 pep chromosome:Setaria_italica_v2.0:V:12401057:12405394:-1 gene:SETIT_001729mg transcript:KQL04848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGGGGGRGDEMMMTSGATGRIVPVFRSVLSRRALLRVAVALHSLFIWLLVLVGELRRRRSVSEAAAADAGAGTTWRARRRQRQAAEEEDVRRRRALAEEVAMAEDAAAEQGTRSDTFLVAGARRNALFCRLWAPASKEMRGILVIIHGLNEHSGRYLHFAEQLTACGFGVYAMDWIGHGGSDGLHGYVPSLDYVIEDIEVLLDKIMMENPGVPCFLLGHSTGGAVVLKASLYPHIREKLEGIILTSPALRVKPAHPIVGAVAPIFSLVAPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLHNLKKVTIPFMVLHGTADRVTDPLASQDLYKEAASRHKDLRLYDGFLHDLLFEPERDEIGAEIIGWMDGMLRRQAV >KQL05357 pep chromosome:Setaria_italica_v2.0:V:23388875:23389304:-1 gene:SETIT_005349mg transcript:KQL05357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQKNWKKKEKKSEAKIAFGQSCQRISITTHREMI >KQL04255 pep chromosome:Setaria_italica_v2.0:V:7677535:7681415:-1 gene:SETIT_003228mg transcript:KQL04255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVGGGAGSAPGPTAAAAAAAVQKQKSLLQKADADVSSLVDNFSSLINIARVNDPPVRNSQEAFQMEMRAARMVHSADSLLKLVSELKRTAIFSGLASLNENVDRRIEVLGQQVEGTERMLERIGQEAATSLKELEAHYYSSVGCLSW >KQL04254 pep chromosome:Setaria_italica_v2.0:V:7678443:7681380:-1 gene:SETIT_003228mg transcript:KQL04254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKVGGGAGSAPGPTAAAAAAAVQKQKSLLQKADADVSSLVDNFSSLINIARVNDPPVRNSQEAFQMEMRAARMVHSADSLLKLVSELKRTAIFSGLASLNENVDRRIEVLGQQVEGTERMLERIGQEAATSLKELEAHYYSSVVRSSSYD >KQL03774 pep chromosome:Setaria_italica_v2.0:V:4525325:4535078:-1 gene:SETIT_0016811mg transcript:KQL03774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRWRTPSRFNFKREDLTSALRKVHLPRGYPIPSEHSVGMRLVNTFEEHFGDLDGNNEEHARVKLLASSISKSVVSLASFKGSVRHFVCTGIIFGHMPSEMSILTSASLVRCLGDKAKFVNKLKIKVRLPSGKLVVGKLWKYDYKYNIAVVKTKSFPEFHAAHVHNGVQFNSELFQANLVAIGRGYESGELMASGGTLLHKTSRLDSQELMISTCKITKAGIGGPLIDSSGNFVGMNFYAKDETPFMPVSILLKCLKHFEIFGRVVQPWLGLRVGSLRAEKLSICEEIRNRFPQAHGIYVEMVSEGSPAAISGIKVGDLISTLDGVALSNAQEFHELILDKAECALKCSEGLVFEVYVLRPSDCSGFVVTLNGEEVTMIKQNSWPVPEVEWLDPSTNDNSDFTMW >KQL03775 pep chromosome:Setaria_italica_v2.0:V:4525287:4536509:-1 gene:SETIT_0016811mg transcript:KQL03775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRWRTPSRFNFKREDLTSALRKVHLPRGYPIPSEHSVGMRLVNTFEEHFGDLDGNNEEHARVKLLASSISKSVVSLASFKGSVRHFVCTGIIFGHMPSEMSILTSASLVRCLGDKAKFVNKLKIKVRLPSGKLVVGKLWKYDYKYNIAVVKTKSFPEFHAAHVHNGVQFNSELFQANLVAIGRGYESGELMASGGTLLHKTSRLDSQELMISTCKITKAGIGGPLIDSSGNFVGMNFYAKDETPFMPVSILLKCLKHFEIFGRVVQPWLGLRVGSLRAEKLSICEEIRNRFPQAHGIYVEMVSEGSPAAISGIKVGDLISTLDGVALSNAQEFHELILDKAECALKCSEGLVFEVYVLRPSDCSGFVVTLNGEEVTMIKQNSWPVPEVEWLDPSTNDNSDFTMW >KQL03773 pep chromosome:Setaria_italica_v2.0:V:4525287:4534682:-1 gene:SETIT_0016811mg transcript:KQL03773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVNTFEEHFGDLDGNNEEHARVKLLASSISKSVVSLASFKGSVRHFVCTGIIFGHMPSEMSILTSASLVRCLGDKAKFVNKLKIKVRLPSGKLVVGKLWKYDYKYNIAVVKTKSFPEFHAAHVHNGVQFNSELFQANLVAIGRGYESGELMASGGTLLHKTSRLDSQELMISTCKITKAGIGGPLIDSSGNFVGMNFYAKDETPFMPVSILLKCLKHFEIFGRVVQPWLGLRVGSLRAEKLSICEEIRNRFPQAHGIYVEMVSEGSPAAISGIKVGDLISTLDGVALSNAQEFHELILDKAECALKCSEGLVFEVYVLRPSDCSGFVVTLNGEEVTMIKQNSWPVPEVEWLDPSTNDNSDFTMW >KQL05189 pep chromosome:Setaria_italica_v2.0:V:17226907:17229374:1 gene:SETIT_003088mg transcript:KQL05189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRHCFFYVPSPSPVALVAIDSHPRIKFSPSTSMGKHLSTVHSSARLPTPPTLPPPPHAASFLIHNASYAPTPHRATSARTVPPPCAATPDPRWRSPGTPTAPPLLLLVVLSGSSPSTTSEAIPVHLFAIHGCVPAAAVGIISRASFDSRTCQQALPLAVIAQVRQGDLHSFKVHAL >KQL06670 pep chromosome:Setaria_italica_v2.0:V:34913154:34920826:1 gene:SETIT_000068mg transcript:KQL06670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSTKPPLLVASDTDAPSPNPTAPNPSVARSAPAISRARARPSQPHVLACCALALAPRLESGSGFGLASQIPSRRGRQARRLPPHTTGRPLPVRCRASSNGTTGAAKKYAWALGRSAWPARKIFCGGGDAPGKAIRRSSHAGVVPAALPLARLARPIAIPNPRDTSPMPVPTPPCCPCSPISLAGPPRSGSSSIQTPSAAPPASRCRSQCQRLRHRRSGSRGLPPTPGTRHFHSSAPASLSARVPTTPTPTPNTQQDGARAPPRQPRAAAPPAGGGRVHHVPGPVPRHLHPSARAGGGGEAAPAGAGRAPVRAGPARVGVRAAAERYLPAEAQLRHARRAGRHGLHLRLRHRRRAHRRHRPGRHPRADDALRRQVRRGVHRVPDDRPHAAPRVHEQGLQVIHRDGLLQHPRPGGDTAQPHGEPRLVHAVHAVPGRDRAGPPRVAAQLPDHDRGPHRPAHVQRLPARRGHRRGRGHGHVQRHPEGKKKTFLIASNCHPQTIDVCETRAAGFDIKVIVADAKDFDYSSGDVCGVLVQYPGTEGEVLDYAEFVKDAHAHGVKVVMATDLLALTTLRPPGEIGADIAVGSAQRFGVPMGYGGPHAAFLATSQEYKRLMPGRIIGVSVDSTGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPAGLKAIADRVHGLAGTFAHGLKKLETVTVQDLPFFDTVKVTCPNARAIAKEAVKNEMNLRVVDANTITVAFDETTTLEDVDKLFKVFNNGKSVSFTAESLAPEVSSSIPSSLARESPYLTHPIFNTYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATVEMMPVTYPNFANLHPFAPTDQAAGYHEMFDDLGDLLCKITGFDSFSLQPNAGASGEYAGLMVIRAYHNSRGDHHRDVCIIPVSAHGTNPASAAMVGMKIVAVGTDSKGNINIEELRKAAEANKDNLAALMVTYPSTHGVYEEGIDEICRIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKEHLAPFLPSHPVIPTGGFPLPEKTDPLGTISAAPWGSALILPISYTYIAMMGSQGLTDASKIAILNANYMAKRLEKHYPVLFRGVNGTVAHEFIIDLRGFKTTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIENGKADALNNVLKGAPHPPQLLMSDSWTKPYSREYAAFPAAWLRGAKFWPTTGRVDNVYGDRNLICTLQQAGQVAEEAAAATA >KQL05958 pep chromosome:Setaria_italica_v2.0:V:29513832:29514332:-1 gene:SETIT_005326mg transcript:KQL05958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCGLQRQRRIGTSNGHVTVGLNGHKQQWHIGDGKLKLMA >KQL07308 pep chromosome:Setaria_italica_v2.0:V:39031703:39032107:-1 gene:SETIT_004339mg transcript:KQL07308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSSIPQRAAAATEQRQQRRASMGNCAVTQHAVTSWADDGEWDVPAEAAEEEAAGTSGRKDHAAAEVTIRITRKQLQELMDKRAGGLQGLKSRRAAAQLLADVMNAGQVYHHLNHCKAAHWKPKLQSIPEAVES >KQL06984 pep chromosome:Setaria_italica_v2.0:V:36862934:36867898:-1 gene:SETIT_000238mg transcript:KQL06984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRSYTNLLDLAEGNFAALGPAAGGGRRRQGSFGTKRMSRVMTVPGTLSELDGEDDSEPAATNSVASDVPSSLAADRMIVVSNQLPVVARRRPDGRGWSFSWDDDSLLLQLRDGIPDEMEVLFVGSLRADVPVAEQDEVSQALLDRFRCAPVFLPDHLNDRFYHGFCKRQLWPLFHYMLPFSSSASAATTSSSPGNGRFDRSAWEAYVLANKFFFEKVVEVINPEDDYVWVHDYHLMALPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGIHMGQLQSGLRLPDREWRLSELQRQFEGKTVLLGVDDMDIFKGINLKLLAFENMLRTHPKWQGRAVLVQIANPARGKGKDLEAIQAEIQESCRRINGDFGQSGYSPVVFIDRDVSSVEKIAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGAPGSEAMSEVSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEGMNEAISMPEQEKHLRHEKHYRYVSSHDVAYWSKSFIQDLERACKDHFRRTCWGIGLGFGFRVVALDPHFTKLNIDSIVNAYEISESRAILLDYDGTLVPQTSINKAPSPEVLSIINTLCSDRRNIVFLVSGRDKDTLGEWFASCPKLGIAAEHGYFLRWSREEEWQTCTQTLDFGWMQMAKPVMNLYTEATDGSSIETKESALVWHHQDADPGFGSSQAKEMLDHLESVLANEPVSVKSGQFIVEVKPQGVSKGVVAERILASMKERGKQADFVLCIGDDRSDEDMFENIADIMKRNIVAPRTPLFACTVGQKPSKAKFYVDDTFEVVTMLSALADATEPELETDSADELVASTLLLNIGDEQSECTDRSIGGS >KQL07122 pep chromosome:Setaria_italica_v2.0:V:37786026:37787029:-1 gene:SETIT_003994mg transcript:KQL07122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEHAAAAGDEAAFSMRALRHIASRDEARRQMNQWIESATAGRIKDLIRAGSISRATQAVLTNALYFKGAWSRKFDARFTEHAAFYLPNGSHVRVPFMSSTRDQHIARRAGYKVLKLPYASAPGGQQRIFSMYIYLPDDHYGLRGLLHSLSSNPALLESSRTMGRKVPVGVFMVPKFTISCKTDATEMLQALGLNLPFDPVQADLSEMVHHMCFVEVNEEGTEAAAATGCRVIPGCAPRMTKEDFVANHPFMFLIKEDLSGLVVFAGQVTNPSLSP >KQL06200 pep chromosome:Setaria_italica_v2.0:V:31611174:31613268:-1 gene:SETIT_003080mg transcript:KQL06200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAGGRRLLAPGLRRLGFGAAGEAGPAAAAAAGVRAYHERVVDHYNNPRNVGSFDKDDPNVGTGLVGAPACGDVMKLQIRVDEDSGKIVDACFKTFGCGSAIASSSVATEWVKGKPMDEVLTIKNT >KQL06199 pep chromosome:Setaria_italica_v2.0:V:31610102:31613268:-1 gene:SETIT_003080mg transcript:KQL06199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAGGRRLLAPGLRRLGFGAAGEAGPAAAAAAGVRAYHERVVDHYNNPRNVGSFDKDDPNVGTGLVGAPACGDVMKLQIRVDEDSGKIVDACFKTFGCGSAIASSSVATEWVKGKPMDEVLTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKTGQKGEDSPSEKTAEA >KQL06797 pep chromosome:Setaria_italica_v2.0:V:35649681:35652570:1 gene:SETIT_000856mg transcript:KQL06797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKVVAIAAGEVHTLALTGDGEVYSWGRGPFGRLGTGREDDELVPTAVAPAVCVSGGRPRPRFVAVAAGAYHSLALDDEGSLWSWGYNLYGQLGYGDQNSLFPCLVEQFQDLDPPETLDDEAQSTHDLTSLKLSSVKAGGMMSFAIDSLGGLWMWGNCPQQTDAGEFCIASSSIPLPVWDFHGHTVVKVACGNEHVVAAVSAGETYTGGDLVCYAWGNNNHGQLGLGDKESRSRPVLISAFSEESSWEVYEIACGASHTAVLTNKKSFDQIESRCWTFGLGDKGQLGHGTTATICSPQPVDGLPTGSFLISLDCGLFHTTVVSSDGEVWCWGMERGLGLCPDASYSGVDAGDALSPIRVQSPETNGFNFLGPVQIACGAAHTVLVAGDGYRMWAWGRGRSGVLGRGQTADSYIPCVVMWPPLGENFQEIHEDRTEASTSRVTDRTSTELEQKLSAATEELQFLRSKLTLMERYANILHISIFRKPLDERTLPRSLQESPVFDIRKEFENILDAADTDELNRLEIFYRSMLSGVKDKLLKRRVQEMVQQCIISLSAGRQTPQDQ >KQL06842 pep chromosome:Setaria_italica_v2.0:V:35995008:35995824:-1 gene:SETIT_004905mg transcript:KQL06842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGEGRRAYEFANDALVDEDGDGETEEADDGEAAARPAEVELEVLAAGVPLLDPPVLVHLHPATHLLLDSSLALLVGDRWRDLCGVGEFGAETEMASGAAEKGKALADASESAAESAARRAREWTTWAMKKAKVVAHYGFIPFVILVGMNSEPKPRLTQLLSPI >KQL07423 pep chromosome:Setaria_italica_v2.0:V:39682785:39686249:-1 gene:SETIT_002173mg transcript:KQL07423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQTMASKAGGGGGGGGGGGGAGAAQRGQMQNLSRQGSLYNLTLDEVQSHLGEPLHSMNLDELLKSVFPDGLEHDGGTTSQYEQTSGLLRQGSITMPPELSKKTVDEVWKGIQDAPKRNVTEGGRRRRERQPTLGEMTLEDFLVKAGVVTQGYLKDLNDVGNVEQVGSAGVSGLTAGAQWLDRYQQQITAIEPHQHGQHGVPGAYMPSQLALQPLNVGPGAILESYSDGHITSPMMGALSDSPTPGRKRGAPGDVADKLMERRQKRMIKNRESAARSRARKQAYTNELENKVSLLEEENERLKRQKELEKILFSAPLPEPKYQLRRTGSATF >KQL05768 pep chromosome:Setaria_italica_v2.0:V:27747708:27748259:1 gene:SETIT_003877mg transcript:KQL05768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHGWLVWHPLYMICFMDMLTLDTATMDFYVAELPLRVNVKDRECSFVVGETINGTPCVVYAFKFRVCLSLQRIDDDVKKWLGDKITSIDTQLDGVLGQLKNKYSQVQVVAVRDGFAYLTASLRYNDDTTPSWVFSLSLETMKLEKMFQRPYECCVQPYVMSWPLSLVDNYGSFAFEDGTRNT >KQL08761 pep chromosome:Setaria_italica_v2.0:V:46988860:46991811:-1 gene:SETIT_001357mg transcript:KQL08761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLTLEQAAREAGSDGTGATALNLSHRALSDVSCLSSFNKLERLDLGYNCLVTLEGLSSCANLKWLSVIENKLVSLKGVEGLSKLQVLNAGKNKLTKMDEVASLTSLGALILNDNNISSICKLDRLQQLNTLVLSKNPIFAIGNALAKAKSMKKLSLSHCQIENIGSSLAACVELKELRLSHNKITTIPSDLAKNVKMLNLDLGNNLIERSSDLEVLSELRYLRNLNLQGNPISEKDSHVKKVKKLVPTLRILNAKPLEASSKSDNRYEKENDPVEVDRKKKDKKLQSKQQLKVPEEPEVKTVSLGVTTSALGKSEVLDGKERKKGRKEAKKKPEVEEHANDSKSKRKDDVDDTGRKDKKAKRKKFVDEEDIDAEGIDNTEISFADLVFSKQYSSEPKLKDSSTQEVAPDGKFEDLVIDHTKKRKKSKNAVTITDPSALKMISSVPDVGAGGLGLSGWDD >KQL04311 pep chromosome:Setaria_italica_v2.0:V:8059877:8063129:1 gene:SETIT_004968mg transcript:KQL04311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVLLPSLLLQAVAFLLQLSVATSQTSEPEQQGCSPKACGDLNISYPFWLEEPGRPPCGSPPFQLKCNGSGAFLTHSMFQAYRVVRIFTQNNSFHVVDENLPLATGCPAPCFNISLAMGLGAFAISKANSELRFLSRCDETVPEVLPGFRRLPCDNYSFVGFGRRYGSSSVLREIPQGCLVSVVPTLPVPAPDHRHDYVANMRKGFLLEWTVVSGDCSKCTASGGECMYPDNGLGFSCNCPDGIHYPMNCVLMTVAASLLLPCMYVLIWHRKGQKLCSLFCKTSMSNNERNIEALLVSHGSLAPKRYKYSEATKITSSMNNKLGEGGYGVVFKGRLHDGRLVAVKFLHDSKAKGEEFVNEVMSIGRTSHVNIVSLYGFCLEGSKRALIYEYMSNGSLDKYIYSKNPKQILGWERLYAIAVGIAHGLEYLHHSCNTRIVHFDIKPQNILLDDNFCPKIADFGLAKLCCTKDSKLSVTGARGTIGFIAPEVHSRTFGVVSIKSDVYSYGMLLLEMVGGRKNVKSVVDKSSQNYFPDWIYNHYAQHDGLQACEVTPEVEEIAKKMSLIGLWCIQILPMHRPTITKVLEMFERGLDELEMPSKQNFSQVL >KQL06259 pep chromosome:Setaria_italica_v2.0:V:32176378:32179329:-1 gene:SETIT_002526mg transcript:KQL06259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPFSSSQDASNPPAPEQRVLVTNKHGENLVGLLHHTGSNKVVVLCHGFTASKDDGIIVDLAAAITKQGISVFRFDFSGNGESEGEFQYGNYRKEADDLHSVVSYLFQENFDVAAIVGHSKGGDVVVLYASIYNDVPMVVNLSGRFNLEKGVEERLGKEFMDRINKEGYIDVTNKSGKFLYRVTKESLMERLNTDMHAASLSISKECRFFTIHGSADEIIPVEDAYEFAKLIPNHKLRVIEGANHCYTAHRKELSDAIVECITSNEAGDIPR >KQL04737 pep chromosome:Setaria_italica_v2.0:V:11248227:11254922:1 gene:SETIT_000646mg transcript:KQL04737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGHHFNNILLGGRGGTNPGQFKVHSGGLAWKRQGGGKTIEIDKADVTSVTWMKVPRAYQLGVRIKDGLFYRFIGFREQDVSSLTNFIQKNMGVTPDEKQLSVSGHNWGGIDIDGNMLTFMVGTKQAFEVSLPDVAQTQMQGKTDVLLEFHVDDTTGANEKDSLMDLSFHVPTSNTQFVGDENRPPAHILWETILKFADVGSSEEPVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSIVRLFLLPKSNNPHTFVVITLDPPIRKGQTLYPHIVIQFETEVVVERDLALSKEVLADKYKDRLEESYKGLIHEVFTKVLRGLSGAKVTRPGSFRSCQDGYAVKSSLKAEDGLLYPLEKGFFFLPKPPTLILHEEIEFVEFERHGAGGASMSSHYFDLLVKLKNDQEHLFRNIQRNEYHNLFNFINGKNIKIMNLGGDGQGTSGVVTDVLRDTDDDAVDPHLERIKNQAGDEESDEEDEDFVADKDDSGSPTDDSGDEDSDASDSGGEKEKSSKKEASSSKPVQKRKPKGRDEDGPEKKKAKKKKDPNAPKRAMTPFMYFSMAERGNMKSSNPDLPTTEIAKKLGEMWQKMSSEEKQPYIQQAQVDKKRYEKESAVYRGEAPVDVDSGNDSD >KQL06664 pep chromosome:Setaria_italica_v2.0:V:34878703:34879639:1 gene:SETIT_002732mg transcript:KQL06664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGADAFAAPANPWSLVRGYFSPATLFLLLNMVIGTIALTSRSRRRHHHHHHLDDRYQQHYGGDQHQHAPPPLVRTSSVMERLRSLGLYRFRSGDFPPEYNHHLSAGADDGTSGHEGSGGAREAQAQYARSRSETAARPPPVRKATNKKEEEAVVSRAARTKPSAEVMKLERAPAQAQVRRAPRAPATRAQLVVREEEEAAAAVSVDARADDFINKFRQQLQLQRLNSLLNYKEMLNRGL >KQL03485 pep chromosome:Setaria_italica_v2.0:V:2647400:2648145:-1 gene:SETIT_004048mg transcript:KQL03485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLYSIHPGISRVGGAVSEASVAGVGGPAPSDLTELMKAQIASHPRYPSLLSAYIECRKVGAPPQVASLLEEVSREGRAGPGSGAAEIGVDPELDEFMDAYCRVLVRYKEELSRPFDEAASFLSSIQAQLSNLCSGGSSPAATTATHSGEETPRIDRSVA >KQL04897 pep chromosome:Setaria_italica_v2.0:V:12899832:12900871:1 gene:SETIT_002756mg transcript:KQL04897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGFRALVADLLALPVPTVAAVTGHAAAAGCALALAHDAVVMRGARGFLYMSEVDAGIKIVDFFAELLREKVPDAAARRDLLLRGDKMTAAEAARRGIVDAAVDGGVEDVVAAAVAEAERLAARGWDGEVLAEIRKAAWPKVWSKVKDHGAGPARPRL >KQL06147 pep chromosome:Setaria_italica_v2.0:V:30967498:30968060:-1 gene:SETIT_003263mg transcript:KQL06147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVVFVVGAACRLDFVVADGGRGGVRPWRWSRSDGWHESGRCISVASWSDGDLRFVFDFLGVYDLSCAFGFDLGFERLEMICAWEFDFWSRFGEEILVVLGMVWRGEILAMNKFRAAARRSGSTPPTSGRARMIILQARCVRLR >KQL07205 pep chromosome:Setaria_italica_v2.0:V:38342155:38346895:-1 gene:SETIT_000264mg transcript:KQL07205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLSELVWSPDEGLSIKIAASSLSTRKASLRWNADTLSIVISSPQQSGAGGAKSSDNIYDNPEVSEKMPSQLRTRSDSSVRVTANPNRIATLDALRSTSMRSHEQDSSSPSRKKVMASVSENQVYCATTVHNERSWATNAWRARLIKAVCQKDSMLPKNTENALPPSSLGISCDAGEFSGKLVGFIGNRNVQTLGNDSNLISNVPAIHSHGKHQDPVLQESHKDEPVVARGESASAVNAVEKCESAPGVDARKLEKGKEKVIYNDSNFVSNTKESDDSNESIESCTSTKAPKRKHSQCSEAKLPFGNKRFRRGDNESSCSGLLEKCGSSFFNWMSSLTNGLPMLDEATAAVPLDQKFSASTGEGSAAPPLLLQNNSGIPMHSVGFNSLFQSLYTRNVMITSRNNCHQLGTGHVFNRLALELNNSNSVLDKQIGMGRETLNVTAETLAAERLQMVSGGSKGNFHNQIDIFPTRLERNMKLPNSSQFCSKSLEEKQNECTVGCSNDATRNKGGFGESLWVSRLLPKTSLEVMEATPCNADSAVNPNAVGDKLYCPSLQNFNAEKELNGAQNLTSRGSSDGATSSKYPAMPPEEPKQSETMASVFAKRLDALRHAKTSAVRLAIACDRGMPKLNNHKTNSFVVSYNSRDELEAGQETHKSSSGNGRIVLWAHDKVKEQSNEELRGNMSEHKHQDHGGSTAAPQHNLQVNTWAEDIDTRRIELKEGSSDVMAGLPDNKQIVPYGIMPNVACDESSVVFGALHRLRLSRSDIIRWLRSPIMHTTLDGFFVRLRFGKWEEALGGTGYHVARLNGALDRNRLSVTIRNSTCQVDSRFVSNHDFHEDELKAWWSAAMKGEWKLPSKEELSMKLRERELLRS >KQL08656 pep chromosome:Setaria_italica_v2.0:V:46517665:46519483:-1 gene:SETIT_001895mg transcript:KQL08656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAAALNYGMADVGMVVVAPAASFHHTHHHHHHHEAAAAAAAAAGDPIFPLLSGGPCVLDPDAAKSGSGAPPGSAAIQFWQPQPQSPSSAAAANPNPSASPFAYLKKPLPMLDTGAGSSGSGATTCQDCGNQAKKDCGHNRCRTCCKSRGFDCSTHVKSTWVPAARRRERQHLAASGSASSSPATASAAAVASASASKKPRLLSSQTTTSHTSTSNATTPRSFDTTSSHQDASFRDSLPRQVRAPAVFKCVRVTSIEDGEDEYAYQAMVTINGHLFKGFLYDQGPDDGRHAATSNEDSTAGVPNISELHLGGASASGAGGTGGVREGGASMGPTELYGGGGQHHILGGSSYGNTMN >KQL08655 pep chromosome:Setaria_italica_v2.0:V:46516887:46519483:-1 gene:SETIT_001895mg transcript:KQL08655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAAALNYGMADVGMVVVAPAASFHHTHHHHHHHEAAAAAAAAAGDPIFPLLSGGPCVLDPDAAKSGSGAPPGSAAIQFWQPQPQSPSSAAAANPNPSASPFAYLKKPLPMLDTGAGSSGSGATTCQDCGNQAKKDCGHNRCRTCCKSRGFDCSTHVKSTWVPAARRRERQHLAASGSASSSPATASAAAVASASASKKPRLLSSQTTTSHTSTSNATTPRSFDTTSSHQDASFRDSLPRQVRAPAVFKCVRVTSIEDGEDEYAYQAMVTINGHLFKGFLYDQGPDDGRHAATSNEDSTAGVPNISELHLGGASASGAGGTGGVREGGASMGPTELYGGGGQHHILGGSSYDSRGECVHLGHRELWWYCLPN >KQL07009 pep chromosome:Setaria_italica_v2.0:V:37041515:37043085:-1 gene:SETIT_002733mg transcript:KQL07009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAATAARAWLRPSAAVGLRETAFFPGSASFATTRLCVGRSCSDRTFAGIQIADSNLRRCKIVHVKSGESDGHPKTEDMLVDEETLQSNLDKAIAEEDYARAAKIRDDLRILHEDAEASLLAANARFYSAFRNGDIAAMYSIWAKGDHVYVIHPAAGRISGYDVVMQSWEMVCNADYEFPLSIDLRNIEVHIRGNLGYVTCLEVVKNKGRTWGKQVATNIFEKVNGTWLISVHHASHIEE >KQL08681 pep chromosome:Setaria_italica_v2.0:V:46663925:46665238:1 gene:SETIT_004999mg transcript:KQL08681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCTPFSRQKRARRADPPTTPTAVPDWTSLTDDLVRRVGDCLLATDDIDCYLAFRAVCHDWRRSATKDDHPSRRFHPSKWALLDRRDDVLTLVNVETGRFLRRRIPLLRDCFFVGATAGGLLLLGESAYPYQARVLNPFTGSLAHFKAPVPVGRQAREVAVVMTSSTTMRVFVSTALGAIMWADQDSDYFHKCDAPFPNPSDLLCMVPFAGNVYLTNRQGSVLSISTAVGGVAGQGQRRRRSAETISSMAAATIIPASVEGGRSYYLVESGGELFLVTRPWYGVPGQLEVHRVDTMRKALEPVRSIGNRALFLSHVRCLSVDADKFRTVEAGCIYFVDQIVAGGSYFECSFMTTVRFPDGVQQPALDLSPVQQGCFRPFSLTHAFANYCKFIIQYSELRRKMIDDDEDFSDDYGYSDEEDFSDDYESDDGGSSESDQ >KQL05580 pep chromosome:Setaria_italica_v2.0:V:25925487:25925928:-1 gene:SETIT_003569mg transcript:KQL05580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLRLQTFWLVQQLCWAAWKFLPWNLKTIVSLFKLRWTFKPGSHTRIQKRKRWFRHVAVTWPKGIENKMWRLDPLRSSLNI >KQL03178 pep chromosome:Setaria_italica_v2.0:V:213228:217256:1 gene:SETIT_001310mg transcript:KQL03178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDEPLDFEKEEDPLLPSPRPAKRKKVIGLDDLLLDYFENGKDLRKVRAAKSKHGPRGGYVSDEEDKEVREDEICKIFEDCEEKAKGLDARDDVPPWGQQIFGCQKLPSNLSDMGVENCQMLQSFCASEHLGFDLEIQQGEGFLEGMLMYGWLLKLVRIGGSVEDSIASWTLTKLLYSSNKKLQVSATDFWDSILSLDEDDKLLVNLGYFPSYSVLKCAMLSYGYLFETLGTKASISESAAAADSSDVGPPHNIIAWVRVVSACCKIRKVRPIFSASEAEELLVIVISLFLDRGLEGLLVVLGDCLNSLVLYFNTSEWESSCVMVAESIAQRVTMDLNCLRIIDCITGTNKRSKFLRSQLALQLLKINFGLKVGNVEKMLKLVTSINVKEKECDFFRLYVYLVLMDNLLFSSGAFRDKTMIVDTWRNYLRNCSTQIACTNWGFYAPKVRNKASYLLQGAVFKKSGGVSAR >KQL07542 pep chromosome:Setaria_italica_v2.0:V:40407760:40409604:1 gene:SETIT_002219mg transcript:KQL07542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGGGGGGGLVCVTGGSGFIGSWLVRLLLARGYTVHATVRNLQDEGETKHLQALDGAGARLRLFQMDLLDPASVRPAVEGARGVFHLASPVILHPTQDPQKELVEPAVKGTLGVLQAARDCGVGRVVMVSSQTAMVPNPNWPADKVIDEDSWADIDLLKELQLWYSVSKTLAEKAAWDFAEEQGLQLAVLNPVLVLGPTLTPSITGSLQVFLQIMKGQRFDMDEYFLGCVDVRGVAQSLVALYENSSAQGRHLCVESTERMVDFTNKLADLYPELPVQRIQEDKQEWVVRAKDPSKKLIELGVRFIPFDRIITDTMDCFRSKGLI >KQL07248 pep chromosome:Setaria_italica_v2.0:V:38663597:38667775:-1 gene:SETIT_001153mg transcript:KQL07248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRAATVSVRVDGAPAEATLGGGELRWRRATGGGGAGERALSLEREVLGVEARGKEVVVRAFVAAGAGRATSCASGAGAGGKKGAGRRCRRDFVLEMADGEGAAAAWGERMRRCLDSFGRPKRLFTFVNPFGGKKCAKKIYDAEIKPLFEAAGVSITVQETEYRGHAREVATSLDLAKYDGIVCVSGDGVLVEVVNGILQRTDWEEAIKMPIGLIPAGTGNGMAKSLLHAASEKCSVSNAVFAIIRGHKQSLDVCTILQGEKKFFSVLLMTWGLVADIDIESEKYRWIGSARLDFYAVVRIMNLRKYCGNIHFVPAPGYEAYGEPIKQVKNFMVESLEQNGKSHPSSYPGPSVEFQASDWRFVDGPFVAVWINNVPWAAEDIMAAPEAKFADGYMDAVILRDCPKADLLALLMKMSDGSYVKSPYVTYLKVRSFKLSPGPLVGNPKKGGIIDVDGEVIARGEGTYGRSQHQDVMAYGPPIQLTVHQALATVYCPNKIR >KQL06757 pep chromosome:Setaria_italica_v2.0:V:35452308:35456011:-1 gene:SETIT_003345mg transcript:KQL06757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGSKLAQLQSKACEATRFAAKHGCAYHKTLMEKNKHYVVDPPTIQKCQELSKQLFYTRLASLPARYESFWKELDSAKLLWKNRSSLKVEDAGVAALFGIELYAWSCLGEIVGRGFTLTGYHV >KQL05429 pep chromosome:Setaria_italica_v2.0:V:24305292:24309117:-1 gene:SETIT_004057mg transcript:KQL05429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLFLLLVVATSSTSPVTGVEEALPVVNPISCLCNSTTSAARTYLPSSRFAANLARASLSVPANASASGGFFKGSIGAAPDTLYALALCRGDIPPADCASSCLEAAFQYPQVLCNRSRDVTLYYDQCQIRFSDQDFLAGAGNEPETAATNMDNISVPVFPGWDPGNGDSVSFIRHRHRVYVAARDGQAGGVQLVGEVRHHSHGGWRHLPDALLPDAVHAGLSPDACFGCLEDIIQQSFKWFDGRQVGRIQGVRCVIRYETDAFFVVDEHTRYIGPTKSTSTSSPLAESTGNGSRSKIMLSIIATVVALLMLLLCSIIGFGWIRAHRKGKVLLQDNSVVNLQEEEAFVWTVEGNISSEFSLFDVAQIQEATSNFSDDNKLGQGGFGPVYKGKLPNGQEIAVKKLSTNSGQGFIEFKNEVQLIAKLQHTNLVRLLGCSSQREEKLLVYEYLPNKSLDSFIFDKKEKRALLDWEKRQAIIEGIAHGLLYLHKHSRLRVIHRDLKASNILLDKNMNPKISDFGLAKIFSSNNSEGNTNRVVGTYGYMAPEYASEGFFSIKSDVFSFGVLLLEIVSGKRNSGFRLNGGFLNLLGYAWELWTEGKWHELVDTSLAMEHCKSELLRCINIALLCVQENADDRPAMWDVATMLSTEGVPLPEPKHPAYYNVRVTNTEALDIDLELYSINEVTITAQQAR >KQL05292 pep chromosome:Setaria_italica_v2.0:V:20869025:20874801:1 gene:SETIT_002231mg transcript:KQL05292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWDWGVESYCGGVIGGDAWRDDPNNMDHRIGVDGEKDISVGDICWDEFKPASIDKTQAGSLQSTKDNRVTVEFHNNQPGKPKVTFEGSQEEYKDNDGVLFFDGETFRLERLHRAVKRLRHVRVPGESAAANLATTITGMGAESHSPPLAKVGKSQSISKPTVHSVPVEVERIDIGEPENSGPRYNNKSTTYQPVTTDPFALSPYPNDEDDNLDILGDDDDNVSPNNITSGQGTSVCGFDINLPNQGNMDDEIADVDVNDEADEGLNAAEALRAQVNAEQDSSSSSGSSSSSSSGSGSGSGSGSSSSDSDGSDGVSASSGADVDI >KQL06805 pep chromosome:Setaria_italica_v2.0:V:35711266:35712375:1 gene:SETIT_004845mg transcript:KQL06805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASVQNDEVDVEEVPIPPAPDPTGKGRRKKMVNRTKLGNFNPDEDVNIVKSWLEISCDPITKRSLKSLQSRWDIIKAEVGKFSSFYADAIRENPSGMSDADKTTHAAANFAGILKHNFAYMHCWEIMKDEPKWQDPKPRGFGKSTGGDGFGEDSSHEPDTNDLGDDNSSPTGSARRRPMGRDSAKAAKKKANSSAGSTSSSEYASRMQDLSLQKISILQEESMRKTDHFQQLACIDEKQFEEMRSHNQSLLDIEQEKIGSCEKQEDERILGIDLNACTPAQRMYYEALQEEIFEKIAARRGKRQGP >KQL03582 pep chromosome:Setaria_italica_v2.0:V:3255207:3255878:-1 gene:SETIT_004961mg transcript:KQL03582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDLVVSIANKISDARDFIRFKAICKSWNCARSSEAHPFDPWILKYEHIGEFGAVTFASIVDYRLFEVSFLALVGKRHRLIGCDGSGCLVTVDDRDESIVFQLNPLSHREHIVLPRLPTWCRMELFVVVTNLWPLKSTPLLGSLPVCIWHLGSQSNWTTIPLEDFWRSSPEHMCIYFEHHLPAPPQVVGLRLSWVIGMSNSSLLFGR >KQL05146 pep chromosome:Setaria_italica_v2.0:V:16529211:16532711:-1 gene:SETIT_002616mg transcript:KQL05146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGARLPPPRPPRLHFHPSTPIPYLHATAPVAASSTLFVHRPAPIPSARVSRPRRRAAMSATTAADSSSTIGVSDATGVDARSLESVGQNDLLIVGPGVLGRIVAEMWKQEHPGSNVYGHTATTDHHCELTELGIIPSLKGSIPGPKFPYVIFCAPPYRSEDYAGDLRVAASNWNGEGSFLFTSSTAVYDCSDNGLCSEDSPCIQIGQSPRTDVLLKAENVVLEAGGCVLRLVGLYISSPTCPFFNTYSYFQASSVL >KQL05944 pep chromosome:Setaria_italica_v2.0:V:29379839:29380309:1 gene:SETIT_005393mg transcript:KQL05944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAYIILLLLVLVLYVIQAKTNGGECKDNRVRYRGEW >KQL03934 pep chromosome:Setaria_italica_v2.0:V:5434535:5437180:1 gene:SETIT_004851mg transcript:KQL03934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAASAPSSNSAMTNLKRNSDDVEWDYGVLVDLTNLNVIKCKLCGLVVKAGIYRLKQHIAHIHGEVKPCWELTNEDQQKCAKVIDDSKKAKKARAEKQQQVRDAVDIDGAPVDEEESTNGESQGLDEVGNSVARKVGPLDRFTLPLDPASLSAKVVRQQKISEAIWKERMHKLKRYIAKWVYVHGIPFRSIINEEFDQMVEVAGLCGPGGRKPTQHELREKLLYDEVEDTKKLLKKRRSIMNLCLHCNISSTFLESHVSDERHTGHMIFEYVDATIEKVGAKNIVQVVTDNASNNMAVKDLLFVKRPNKFWSLCATHTLNLMLEGIGKMKKFKSIIDQAKAVTIFIYAHHRTLSLMRKYTKKRDIVRPGATRFASSFLTLQSLYEKKDQLRIMSQCQCDEWEKLCGLTHMKKNSKVVLSTATMVKPAFWGGVGLCLRLFESLIKALHMVDSDIKPSLAFLYGDILKAKEEIMATIGNVDKAVNLNLYLGIMEIIDEKMKGRLDTPLHLAAYFLNPYFSFNDPSIFTNEHVMDGLISAIETFYHGGYDKQSQVLNAEMDKFKDTEGHFAKAVAKVGYKDYNFNPGGDDEIDVELVAGLTLKLTKEACGTEEVAKLHRSSRLAQMRNVDEDIIEEPEEEPLHDDDEEIEFESDQEDVVLVDGVGEQKGEEDD >KQL06392 pep chromosome:Setaria_italica_v2.0:V:33021263:33022825:-1 gene:SETIT_001850mg transcript:KQL06392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSPSAASKPPCAAFQSHPTDLELVNSYLRPWVETGLKAGPFIHEADVYAADPADLTRRFAPAVAQDGERAWYFFTPLRHKSVRGKRKARTVASGGGCWHNEAKSKPVFTGINGKRQIGHRQSFSFVKKDGGSRLRTGWLMMELRLLKDGAAGKEAQAEGALGNLVLCKVYRSPRNPEPSDPAPDPALKEEATAADDDDESSGATAEDDDDSSDAPEATAAASGPTKKSDEEESSEATVAAPSRHSKADDEISGAGAAAAAPGRKEKAAGDEDSAETSAAAPPARKRKAPDDESSGAAAAPAPAPKRSSGSPGAPAPPSTELQCPHCGTHLVVTLKRPETKSETEIAKDEPAPGASDAPPTRGDARGSSEKNLQFHHFL >KQL05897 pep chromosome:Setaria_italica_v2.0:V:28916327:28927406:-1 gene:SETIT_000281mg transcript:KQL05897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLTLNCMDRKSEAYELVRRGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLSLKPSHRMNWIGFAVAHHLNSNSSKAIEVLEAYEGTLEDDYPPDYEHSEMLLYKISLFEECGMLDRGLEEMQKKESKIVDKLSFKEQMASVLFKLGRFDESESIYRSLLFMNPDNYKYFIAVQKCLGLYADNGQYSAEDVERLSALYDSLKENYAWSSAVKRIPLDFLEGEKFLEAADNYVRPLLTKGVPSLFSDLSPLYEHPGKANILEQLFLKIEDSIRTSGCFPGSPQKEPPSTLLWTLFLISQHYDRRGQFEIALSKIDEAISHTPTVIDLYSVKGKILQHAGNFAAAAALADEARSMDLADRYLNSECVMQMLEADQVGLAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKNFLAVEKHYADMTEDQFDFHSYCLRKMTLRAYVSMLKFQDRLHAHEYFHKAAAGAIRCYMKLHDSPTKSSTEENDEMSKLPPAQRKKLRQKQKKAEARAKREAEEKQEDEAASSNSSKSGKKQNARPVDQDPHGEKLIQIEDPLAEATKYLKLLQNNSSSSLETHILSFELNMRKQKVLLAFQAVKQLIKLDENNPDSHRSLIKFFHKINSLPTPVTDSEKLIWNVLESERPDIRQLHGKSLIEVNRSFLEKHNASLTHRAAAAEMMYLLEPNKKMEAIKLIEDSTNSTSSGNNVLGPVNEWQIQDCVDVHKLLETVFGDQDVANRWKARCAEYFPYSTYFEGIKSAIAAYVVDHSLENSPENGIAPNPQLKPKDGELGTLNGTLHIVDDLSSLSIR >KQL07729 pep chromosome:Setaria_italica_v2.0:V:41417295:41421800:-1 gene:SETIT_000753mg transcript:KQL07729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAGGYGAGAGSGTEPHCHGRGHGDFPLHHHAQHVAAQQLYHVPQHSRREKLRFPPDDSPPHASASGPQHQNHHHHQQHAGAGWPPPPPGFYSYATSSTSSYSPHSPTLAGQAQLVVAPHGHGLAAPLSSQIPTQNFALSLSSSSSNPPPAQARRTLAAPSGPFGPFTGYAAVLGRSRFLAPAEKLLEEICDVGGAAPRVDRSASDEGLLDADPMEGIDHDMDGADRAASDAGPISGAEQQWKKTRLISMMEEVCKRYRLYYQQVQTVINSFETVAGFSNAAPFAAMALRAMAKHFKCLKGMILSQLRNASKAAASKEGFSKDIAMFGLASGSAAALQRASSVAAFGQPHNIWRPQRGLPERAVSVLRAWLFEHFLHPYPTDGDKQMLAKQTGLTRNQVSNWFINARVRLWKPMVEEIHNLEMRQVHKHPALDKSQRAMHHQTQHSSESSGKPSDPSDSQLGQSSSITRNHAIPASQGFPDELSQMSHSIQQGQVTFAYNGLSTPQHQHSLASSQHHQQLGSMSGIGGAGNGGVSLTLGLHQNNRVCIAEPLPASLPPNLAHRFGLEEVSDAYMMGSFGGQDRHFGKEMGGHLVHDFVG >KQL05408 pep chromosome:Setaria_italica_v2.0:V:23996343:24008388:1 gene:SETIT_004692mg transcript:KQL05408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGGEFRRIETNSELLQLHRCKKCSAALLAALLHCGTPAHQQAAARQCGDTALALPHRAYLSGSRPQPAPDLNNTQTSFLYNEMKYKWKVEKIPYEFNSVDHYFKSFIAPLVEETRSQLGISLEAIHKSPYSEIISMEAVGDSKLLYNMDVDVGYMSDNYVPRNGDILILSSFKPEVTEDLIYDGASLVMVVPTDVQHQKELRIKVLRDVVTEQNKTKFKYAVFATNIMTKLRIWNVIFSQKGMNNNFTIIKSMLSPKNMDGNSCGLCAMQVGDLMPYLIEKLRQTRLNQSQLHAVITIISAVRCKHSNLVKLIWGPPGTGKTKTVSTTLWALKSLKCRTLMCSPTNISVVGVCHQYLQALKDLNGHADTDGLPCSLGDIVLFGNKYKMDITEEVQEVLLDYRVNELVKCFSSSSGWKHRINSVLSLLENYNDPLCLLDFYKQFCAVANDVKECILNLWIHLPRKCFSSEVVSNILDLLHLLKTMCDLLSCEDFSYGCTKRRFYFLSASAEKIGSSKPISFAKDWVEARFRCLEKLKFLQSSFDLPVDVGSCWIRNYCIHNATLIFCTVSSSYHLHNMETNPVDVLVIDEAAQVRECESVIPLRLHGLRHAILVGDDCQLQPIVQSRVCKEAGLGVSLFKRLALLGFEKHLLNIQYRMNPCISLFPNARFYDRMIIDSSNVKSPTYSKDYLDLPFGTYTFINIVDGKEEREFSGSSWWNMVEVAVVLQLIQSVFKSWQNTMGKITIGVVSPYNSQVNAIKARLGTKYDKCVNFNVRVTSIDGFQGEEDDIIILSTVRSNSKGNIGFLSDNHRTNVALTRARHCLWILGNANILSKSGTIWAALVHDAKQRECLINATDNAALAKLVLKVKAELDQLGGLLNFDSAAFCNTKWKVRMSIIFSSEFKNAFMKLKSAKLRWEVLQKLVGLGCGWRSKFMNVGMTDEFGLVKVYKVRDLYLVWTTDLEKGARLFQIIRIWDLVTLEHIERINQRLQNLFSMYSDDYMEHCRRVHMEGKWELPMVWRAGHDVTRLKKDCQVETQEAGDHVDVSHALDHSKVSESFLLMKFYSLSSGVAKHLLVAADGSKVDIPFELTEEENEIIQFPCSSFILGRSGTGKTTVLTMKLIQKEQQSLIAYQGLKFEEDDLSGMNDNNNHIALGDMKTEQDFVRQIFLTVSPKLCSAVKSHISKLKRFASDDLSVHPSSLTMYDINDELEEFNNIPDKFRNIPQKNYPIVITFRKFLMMLDGTMSTSFFDRFHGELRTCIEGGKLQYRTLQAYIETKEVDYEKFSHSYWPHFNAKLTKNLDPSTVFTQIISHIKGGRQAVKSSDGKLEKKDYIMFYDRRFPSLSAEIRDKIYDIYICYEKEKCIAREFDLSDFVNSLHSRLSTGGYNGDMLDFIYIDEVQDLSMNQIALLKYVCSNFKEGFIFAGDTAQTIARGIDFRFEDIRSFFYAEFLSKVKHEKELNLSDRFQLRQNFRTHCGILLLAQSIMDLLCYYFPMSVDKLNPETSLVHGEGPVLLESNNGENTLITIFRGKENQHRERINFGADQVILVRDDAAKEQVVGLVGNQALVLTILESKGLEFEDVLIYNLFSSSPLRNKWRVIYGYMKENGTIALPEKISYPKFDGNKHFLLCSELKQLYVAVTRTRQRLWICEDKNDSCHPIFDYWKKLRLVQVRQLNSLRSEEMEKKSSTDDWRLRGIKLFNERQFGMASMCFQKAGDEHREKWARAADHVANGGCLVSGNWRRAQKFFVEAAEIYDSIGMHEKAASCLIKSRDFKKAGLMYLEKCGSSRLEDAGECFAMAQCWLEAANAYFKAKCYTKCFSTCLKGELFDIGLKFVHQLEETASFDGPNSELNDTRNRYLEACASYYFHRKDIKHMMKFVKVFGSVDNVWEFLTSRTLFNEFLSFEVEMGNFSEAAGAAELIGSVLVWEDTINFGNMTQLIILHVIMNSLWNTHAKRRCHKGHVGKDQLLKKARDIVQRVSNFYCSASLEAGALFDPRKSLANLSKNLPAGSKKGILWVNLCAVRSILDIHLVFTSSVYNFESSPALENVKCLHDTLSSNQISPESLICIWNSWKSMVLEVLSQKVPSQTSRVSLRQGRNRCRLNANVDDLHIKNYWTNELYTIGIRVLEKLESLATISSKQAVHPYVQGLIVLAIYETANFFTETKFSQPKKVGKSRDFFILDERLHNKKGKFKNFLVLSERLIFELVFLDSEDETKFGLLHIFNSPAAIDLLVHSLNTNATLLNRRFTCEQLRIVTTLLLLSGRLDDRMISSFMPYLNRNSPWEQFFQSLRSFLDGGSGGARLLLRLGSMLRPCSISITMWIFEVDYNSPVCYGYLMQWFSLWASSYIKSLFVEMVKKYLGGCQTSCQDLDLEYSSDVYKPEQWSVIALIKNLLLKRSILHKWIYKTSACICLPILLRLVTVMYMNSIIHHLGDVLEVSDFLLRHGVLNVLHQDFSEKIQHTIKMRSCTVSGLMTVFIDGLTAMGNHLALMGLPEGSIICNDLIACIVSVMELRTAEKHLQY >KQL05875 pep chromosome:Setaria_italica_v2.0:V:28656179:28657955:1 gene:SETIT_003430mg transcript:KQL05875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVGAPTWVPTSPSRRRPRRRRCRRRRSSPPGRAGGSGSPGAWKNGNGRGVLFAGAGGSRCRSELAVASHTETVPLPLLPVPSLAKSHMATARRIPRRRGKHAEIAT >KQL03456 pep chromosome:Setaria_italica_v2.0:V:2495035:2496241:-1 gene:SETIT_002659mg transcript:KQL03456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQQPRRPQGGRPRPPTPTSPMAYSDDMAEFYDTWVGREEQIVADLTAALALPPRRRRDALPPLVDVAVGHVAAYYEHKARLADRDVVAALDPRWLNPLERTFLWAWGWKPALVFRFVETGGVGVGGMGSTQRRALEDLRAATAAAEREVGREVAAVQESLAGPRVLAALRRQHAPGNGEADDAVAAVGRSLRVLLGAADALRERTLRGVVGLLAPDQAAAFVAAMLRFHLAVRHAGRDWGSGHGGQRGV >KQL07552 pep chromosome:Setaria_italica_v2.0:V:40469559:40470734:-1 gene:SETIT_004304mg transcript:KQL07552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIITKRATERERNADGQPPPPLLDSPLPTPRRSWASVDASRPRCRRDSSPSPLRTHVPFSWESSPGVPKNSACGRDVHKKALAATMPPPPRPPPGRPQPPCLALNSYYGNTSEASTDDDDDDRSFSEALDRISSPERTGSFDRVTSKRFEDIFVGRATSFSKDRSRHPAAEATEFSASGRHPRRVSTRSSHDEDRRWTPRLLNDSVPMQLMQRIRMDAEAEEMTPRACGLMVFFPWSAKPAVCGFRGCPTPVPAPAAQHATPRPGADAPSPRSRSRSHSRRITTTTLRDVIKEDNEKAISGDSSLPRGEKKRIREDLQSRRWGVSSLLDTSKKYCTDARKALSKLSIGLGADSGSPRVSGERRSGKLHDGFSSTPATPAKLTQLKANRN >KQL07515 pep chromosome:Setaria_italica_v2.0:V:40189373:40189807:1 gene:SETIT_003747mg transcript:KQL07515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NQIGPFIPLGGIVGAAVLCAVIWAVCRCCRDGAKDGCGGGVSATGKHEGLLDKTKDVVVAVPEPSGGGQQRGDPGGDGDGDVELCAICKAPLAEEGWGGCRRLRPCGHVYHVDCVGLWLQRRWVCPVCRADVAVSPTEIMDAIV >KQL08016 pep chromosome:Setaria_italica_v2.0:V:43163967:43166628:1 gene:SETIT_001573mg transcript:KQL08016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQAQQEPALPHSSSTAKRAAASLMDARPAQPLLLRAPTPSIDLPASKPDRAAAAAGKAAAASVFDLRREPKIPAPFVWPHDDARPASAAELDVPLVDVGVLRNGDRAGLRRAAAQVAAACATHGFFQVCGHGVGADLARAALDGASDFFRLPLAEKQRARRVPGTVSGYTSAHADRFASKLPWKETLSFGFHDGAASPVVVDYFAGTLGQDFEAVGRVYQRYCEEMKALSLTIMELLELSLGVERGYYRDFFEDSRSIMRCNYYPPCPEPERTLGTGPHCDPTALTILLQDDVGGLEVLVDGDWRPVRPVPGAMVINIGDTFMALSNGRYKSCLHRAVVNQRQERRSLAFFLCPREDRVVRPPASGAVGEAPRRYPDFTWADLMRFTQRHYRADTRTLDAFTRWLSHGPAQDAPVAAAAST >KQL05952 pep chromosome:Setaria_italica_v2.0:V:29490936:29491596:1 gene:SETIT_003774mg transcript:KQL05952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEEEQRSSATGGEEERRGGGLVSGLVDKAKGFVAEKVAKIPKPEASLERVSFQSVSRQGIELHSHIDVNNPYSHRIPICEVTYTFKSAGKVIASGTMLDPGWIAASGSTKLELPVKVPYDFIVSLMKDLGGDWDIDYVLEVGLTIDLPVIGTFTIPLTTEGEMKLPTFRDLF >KQL07901 pep chromosome:Setaria_italica_v2.0:V:42406142:42419056:-1 gene:SETIT_000089mg transcript:KQL07901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDSPEQVVREVGKRLAHPRLGKDALVKLLKQAENALSELSQSSSLQDALQALSKSLVQTTLLNHKDKDVKLLVAVCFIEVMRVLAPDPPFSDEILTEIFRLFISIFADLAETSSPYLTRRMKILENVAALRCSMIMLNIGCEDLILDIVKIFFSSVRQGLQQSVRQAMLSIMTQILNEKVTQPLLDVILRNLVKDDKGASHKLAFDIIRDCAKKMEPIICSFLSSCIFNKDMPVNDLRKLHHKVILEIFQCAPQILFAVIPNLTHELLSEQVDIRLEAVHLIGRLLAFSNLHFGQENKLVFTEFLRRFSDKSAEVRIAAIDAAKACYMDKSSGNEAREILLSLQGRLLDFDEKVRIRAVNTVCDLAKSNLSSFPHEASVRKNVMHKLLELYRDYCDKCSNGTATVNTHYEQIPAKLIVLCFDNDVESFRPQNMELIFSEELFPSSLSPKERATHWIEFFSYFKPEHIKALNIIFSQKRRLQLEMQAYLSLRAKKEEPSDEIQKKISVSFRKMATSFSDTAKAEECFKNLHQMKDNNIFKDLVELINEGTTFATGRVTRDSFLKRIGHKHPMHSFFKILSIKCLYSIFNREIVCAIFESLLSCGNGLTDYVESACDLLLVVAMVFPSLFGGSEEYLLKLFSEESVLINEKTLRMLAHLAKSTHHLSINFSNVVYPLLEQKCIEGTRAESKYAITAIASLHSPDDQRFAKLCKKVVAGLNDNCNVPTLLQSLGSILEHSPSVYELYGRQIINSIQDILLSTEVYCLKALVKGFLPRSTARARINNVLGKLLEYEKGLFPDIALCENDSPYLQLAAGKSVLQLATRWDVHISPELFRKTILMARDPSYIVRKSFICKLYGLLKRRAIPVRYACAFALASTDCSGDVRAESASYLSEVLKEQRRFFVQQNRASKDSIVDNPAYAVVFSYFIFGSCCKFLCPLCVMLRELVEIDSFNRTEHGPAASSVSVLSGIFRAIQKAEDPADSEITPVCILATKLHILSKIGLLIVKELDKHCKMSDSPRHVLLPSSYYKLSGSERKADECCQENFISDKLVKRILKAHEPYKHQDNVKCSVVSERVSNESAPDQMNASVCDKGKGNRSLASGKAVSKKKDQNTNNSLEKENASSCGSAGTKLSSPGSLGLAKEADSRDCVSLLDKQNRPMSRCSTGETRASKADRNFCRKTVLYRATFPPFSAQCTRTVAKGS >KQL07772 pep chromosome:Setaria_italica_v2.0:V:41652649:41654604:-1 gene:SETIT_000611mg transcript:KQL07772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLQMDPPAPPARRSVSTSCDLHPGETFTGFCAACLRERLQGLEASAAAASAPGRKSTSAIRSLFARPFGAGGSSASGPAEPPDLRRCKSFSCGRGGDALAAAAAAAAARADEPQRHSCDVRGRSTLWALFHQDDRDRVRDGTAFGAFPASSSAAAAALAADVQPPPQAPCIPDDFLDEDIPVVMETDEIIPVVEEEPFVAMDISGEVEAEGNVAREGKAIKDHIDLESSQTKKASPKDLKEIAGSFWVAASVFSKKWQKWRRKQKLKKEAAVSKAAAAAMPPPEKPSKPSFLRRRRLRGEAGSELAGGRRSCDTDPRFSLDAARMSVDDAGFSWDEPRASWDGYLFGAGAGIGLGRAPPPLSRLPPILSVLEDTPTDIVERSDGQIPVEDDFDPEPPGGSLQTRDYYLDSSSRRRRSLERSSSVRRPSFEVPDPRAVAAAVNGKESPIGGSEFYHFQHAEDLLDRGFSSNSLIEDISASLEAALSGPAKKPRRWRKAWSLWGLIHRRAAGRRSGGPSDIADRSFSEPWPDLRVRGANPKMQRCNSNLSARSSFSSNSGGLGSSRRSYVDASGNVRRREEPHAQAPPLERNRSARYSPGRAAAENGMLRFYLTPMRSGSARARGGGLPSKAGPGRPLATQSFARSVLRLY >KQL06443 pep chromosome:Setaria_italica_v2.0:V:33459559:33460191:1 gene:SETIT_005453mg transcript:KQL06443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKKAIGTEMSNITEQASSTPRMRHLQSLNTKEKKTRKIETKRSKRGSGKAISQDRGAELLIIKLRII >KQL04040 pep chromosome:Setaria_italica_v2.0:V:6073054:6074624:-1 gene:SETIT_004052mg transcript:KQL04040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSRVLVIGGAGDIGKYIVAASVRLGHPTAILIKEFAPSDIIKVQLLNSWVNSGASLLKGDLFDHESLVKAIKCADIVISAVGPHQVAEQTRIVAAIKEAGSVKRSVPSEFGSDVDRLHTVDPAVSLCRGDTHTYVSCNSFAETYLPSIGDVTAIGAGPPASKITILGDGDAKAVFVFKDDIATYTMRAVDDARTLNKILYMRRPTNVVSHNELISMWEKKAGRTLQKAVFPLNILLSLALSIFVRGDQSNFDIDPAVGVEATS >KQL07606 pep chromosome:Setaria_italica_v2.0:V:40782227:40784449:-1 gene:SETIT_003159mg transcript:KQL07606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDGGSHEGGGGGGVREQDRFLPIANISRIMKKAVPANGKIAKDAKETLQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYVDPLKIYLQKYREMEGDSKLSTKAGEGSVKKDAISPHGGTSSSSNQLVQHGVYNQGMGYMQPQYHNGDT >KQL05945 pep chromosome:Setaria_italica_v2.0:V:29430109:29434924:1 gene:SETIT_000334mg transcript:KQL05945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGSGGGGGGLFASAVGVGVGVGVGLGLGLVSAGLGPSNPGGGGASGGGATAAVVEAELLRLVVDGRETGVTFADFPYYLSEETRLALTSAAFPYLTQAVLPKHIQVLDDSSRTILLGGQSETCLLSLAKAVAHQFNARLLPLDLFEFSRLMKHKYGAPSDAQVEIPNSSMTETTWDRVYGFVDSLNIFRKKAEPTESLDHRRDILDVKTSIHYKITQPVGLYISLLPCAKKHDTESDEDNEIVVPVWSVDEEILMQSLYKVIVSVSECSPLILYIREVNVLLGSSPRAYDLFKKMLNKLSGRVLVIGSHFLTADEDSGDVDEEVTELFPYILETKPPKEESHLEKWKTQMENDVAKAQEESFVTHTAGVLSAYNLECGDLSSIPRDDYFTIGKYIENIIAPAVSYHLMNNKDPEYKNGRLILSSTSLSHGLKIFQESNLGKGTVETKVDSKVAKDNEYEKRIRESSVIPASETGVTFDDIGALADIKESIQELVMLPLQRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAVANEAGASFINISMSTIVSKWYGEAEKSIRALFSLATKIAPAIIFVDEVDSMLGSRERSNEHEVSRRIKNEFMTHWDGLLSKPNERILVLAATNRPFDLDEAIIRRFEHRVMVGLPTLESRELILKKLLSKEKVEDIDFKELAKMTEGYSGSDLKNLCVTAAYRPVRELLQKEKEMKKDKKAKDEEGKKVQAEEPTNQGSGKEKPESSKAKKVESGEEGAKGETKETAALRPLTMEDLKKAKEEVATSFSSEGAVMNEIRQWNELYGKGGSRKKQDLSYFF >KQL04493 pep chromosome:Setaria_italica_v2.0:V:9382811:9385520:1 gene:SETIT_001347mg transcript:KQL04493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAALAAVLALSCCNVASATAEAEADRIASLPGQPPVNFSMYSGYVTVDAAAGRALFYWLIEAAGVPPESAPLVLWLNGGPGCSSVGYGASEELGAFRINADSRSLSRNPYPWNKVANMLFLDSPAGVGYSYSNTTTDLYTAGDNKTAHDSYTFLVNWLERFPQYKQRDFYITGESYGGHYVPQLSQLVYRNNKGVKKPVLNLKGFMVGNAVIDDYHDYIGTFEYLWTHGLISDETYEKLRLTCEFEVSEHPSKECEKILEVASAEQGKIDTYSIYTPTCKKTSLHRHRLIRGRTPWLPRGYDPCTTQYSTKYYNLPEVQKALHANVTGIPYAWVACSDPIYKYWKDSPRSMLPIYRELIAAGKRIWVFSGDADAVVPLPGTRYSIDALFLPTISNWYAWYDQGEVGGWCQVYKGLTLVTIRGAGHEVPLHRPRLGLKLFEHFLRDEPMPKPADSIQTF >KQL05285 pep chromosome:Setaria_italica_v2.0:V:20714021:20720838:1 gene:SETIT_000479mg transcript:KQL05285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGEREAPKGQREERRRKQLSTGGRGGEERKGRRGCAVPASWGGFPTAGDATVGTRREVQFGLGFVGGTRGGGRHLSRMFDWNDEQQQVGDAIWAEFNDSEDHIVPYPKHAEDSTLVRVGNHKNNDEEAASIADITKHSAGDQTELQGMEKQHANQTSAHFSATRLDMESWPDLPSLNPALDRNYSDDNIASTYLDFSAEPSLQKVTGNTTVQLDGESEVFGNDHEEKSSSFLDCDWGNIGDFDDFDRLFSNSDSIFGNEVVANDSDFLSASSDMMDNVVQSIPIPQVPLNKQPLSHHGPSLLLINEISGGITKQENKVADANAKSGEQVQSKNHLTCEYSGKPNQFSQEGDVQKRPVRSRRKQEERGKSKLSSDTSGFSQSKVQNPSASLQAPMQPVQTPQYALFQDSKNMGQVQHSNQFICPGYGYPAYPFPTIPLVSNIQAEDHQRKPAATSYQTSADSPKHSSSIEMSQDIPSRPLRMTPKEKIEKLRRRQQMQALIAIQQQQQQFGQEGSGSDTIVLQPYSPRNKNADSLGSSIVIDENTNKDFLPEMIPTSHDEVHKSFAISEDPFIEEKIYYQLQDALGKLDTKTRLCIRDSLLRLACSATERQIAGDRSSTNKTTKDEDEASEHDTSTRRTRSPTKEAETTTNPIDRIVAHLLFQRHCSKVATATKEEIISSTHLKIEPESKVPLETPRVPSEDRKDKQEMVLQPSQ >KQL05284 pep chromosome:Setaria_italica_v2.0:V:20714021:20720838:1 gene:SETIT_000479mg transcript:KQL05284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGEREAPKGQREERRRKQLSTGGRGGEERKGRRGCAVPASWGGFPTAGDATVGTRREVQFGLGFVGGTRGGGRHLSRMFDWNDEQQQVGDAIWAEFNDSEDHIVPYPKHAEDSTLVRVGNHKNNDEEAASIADITKHSAGDQTELQGMEKQHANQTSAHFSATRLDMESWPDLPSLNPALDRNYSDDNIASTYLDFSAEPSLQKVTGNTTVQLDGESEVFGNDHEEKSSSFLDCDWGNIGDFDDFDRLFSNSDSIFGNEVVANDSDFLSASSDMMDNVVQSIPIPQVPLNKQPLSHHGPSLLLINEISGGITKQENKGDVQKRPVRSRRKQEERGKSKLSSDTSGFSQSKVQNPSASLQAPMQPVQTPQYALFQDSKNMGQVQHSNQFICPGYGYPAYPFPTIPLVSNIQAEDHQRKPAATSYQTSADSPKHSSSIEMSQDIPSRPLRMTPKEKIEKLRRRQQMQALIAIQQQQQQFGQEGSGSDTIVLQPYSPRNKNADSLGSSIVIDENTNKDFLPEMIPTSHDEVHKSFAISEDPFIEEKIYYQLQDALGKLDTKTRLCIRDSLLRLACSATERQIAGDRSSTNKTTKDEDEASEHDTSTRRTRSPTKEAETTTNPIDRIVAHLLFQRHCSKVATATKEEIISSTHLKIEPESKVPLETPRVPSEDRKDKQEMVLQPSQ >KQL04783 pep chromosome:Setaria_italica_v2.0:V:11733453:11736094:1 gene:SETIT_004178mg transcript:KQL04783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSMDVPAKGGFSFDLCLRNGMLEKEGLKGPGFRKTGTTIVGLVFADGVVLGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLELHRYATARESRVVTTLTLLKSHLFRYQGHISAALVLGGVDCTGPHLHTTLPFAAMGSGSLAAMSVSESKYKEGLTREEGIQLVSEAIRAGIYNDLGSGSNVDVCVITEGKTEYLRNHELPNPRTYVSSKGYSFVQGQTEVLSTKITPLKPKGEVTAGDAMEE >KQL07509 pep chromosome:Setaria_italica_v2.0:V:40137272:40139497:1 gene:SETIT_002127mg transcript:KQL07509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTGRESHPCGRARRARATPGLSCAHTRPRAVGVPHLRSEGGDEHPACAPDSYVLTAVVYLASRGRRSSLALARSLASSRGSVCAAMATANVLLLLLCSAVCFLARRAAGDYGGWQSAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGASCGQCFELRCDNAGASCLPGTITVTATNFCPPNYGLPSDDGGWCNPPRPHFDMAQPAFLQIAQYRAGIVPVAYRRVPCVKKGGIRFTINGHSYFNLVLVTNVAGAGDVQSVSIKGSSTGWQAMSRNWGQNWQSNSLLDGQALSFKVTASDGRCVTSNNAAPAGWSFGQTFEGSQF >KQL05476 pep chromosome:Setaria_italica_v2.0:V:24949715:24954040:1 gene:SETIT_001200mg transcript:KQL05476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRVKVVGQVERVDGTSLTYAEFVDRFMAPNRPVVLTGLTASWRACEDWTLPGPGHRRRPDLSFFARNFPSPLLQVADCSSREFTDQKRLEMSMQEFIDRWVGHAHGGSSAGDRESSLFYLKDWHLVKEYPDYIAYTTPTFFVDDWLNMYLDSHPIHRDSDITNHKSEVNCSDYRFVYMGAKGTWTPLHADVFRSYSWSANVCGRKQWLFLPPSQSHRIFDRYMRSSVYNLNDDVSEKQFPEFNKTEWIECIQEQNEIIFVPSGWYHQVQNLEDTISINHNWFNAYNLHWVWILLYEDYKVAKEYIEDIRDICDDFEGLCQRNLAANTGMNFYDFFVFIVRFALANVIELYHLQQPEVATFSTETAHHFVYNLKSIRNVASKMTTTEAFTTENLRSISEDNRSAFSNVKQILEEESFRRLLMTLSKAYAHIDRGQRNCPKSCTSYPKGCLSVICLKSDCNVVDHITSLINEVCVPADLVTLVDSALSDV >KQL08567 pep chromosome:Setaria_italica_v2.0:V:46092710:46095562:-1 gene:SETIT_003988mg transcript:KQL08567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPRRSVELPVADDADRARLQELGYKQELKRGLSVISNFALSFSIISVMTGVTTTYNTGLRYGGPASMTLGWLVVATFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKDWAPLASWLTGWFNIVGQWAAIASVDFSLAQLVQVIILLGTGGANGGGYMASKYVLLGIYGCILVLHGLINCLPIQWLSWFGHLGAFWNTAAVAKERAGVEFIFTHFNMDNGMGIQGKAYVLAVGMVVSQYSLLGYDTSAHMSEETKEADRSGSIGIVASVALASMFGWIYLVALASLTIDIPYLLSPSNDAGGYAVAQALYTTFHRRYNSGVGALASLAIIAFAIFLCGITCVTANSRMGYAFSRDGAMPFSRIWYQLNKKEVPINVVWLSVVIAFIMSLTSLGSQVAFQAMVSITTIGLYMAYALPIFFRVTTARKSFTPGPFHLGKFGNVIGWVAVAWVALVTVLFCLPVAYPVGEDNFNYTPVAVGGVLFLSVGTWLLHARFWFKGPIVNVDA >KQL06832 pep chromosome:Setaria_italica_v2.0:V:35947576:35948885:-1 gene:SETIT_004435mg transcript:KQL06832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPNLPPFAAAERFLGFPRGGLAGAVVPAPDGDNDLPDLAEADVEAESRTTTTGGRGRAPRHDVQGGLTRMFGDRPGRRVAVSAPVEVPTWPSRFTVPDPALMFEMEMQELEMGGLLLVLVSDPSAI >KQL08094 pep chromosome:Setaria_italica_v2.0:V:43522754:43525439:1 gene:SETIT_003916mg transcript:KQL08094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANGMKVALHRQVSGGSMKHNAELRRQASLESPRTGRATSRFLFGRQSSMDPNRRRGRSQSPVGSSAEDLTVPENLDATMQLLFFACQGDAMGVEGLLRSGVDVNSINLDGRTALHIAACEGHRDVVRVLLNWKANIDARDRWGSTKNKRTPMMVSTPGEVPEYELNPGEVQFRRGHDVTPGMYHIAKWNGTKVSVKILDREGCSDQDAANSFRHELTVLEKVRHPNVVQFVGAVTQHIPMMIVSELHEECKPDPIIHCDLKPNGGNLKIAGFGVTRMSKIGSDKMRLVHQEDLVDSFRLVRTPEDSGHSIRFEGVRPSLKGKLKGYPPDFKALIEECWHPQAMARPTFSEIIIRLDKIYAHCAKQGSWKDSLKIWLVSRRFKRIKYRSVKSRIHTEL >KQL03880 pep chromosome:Setaria_italica_v2.0:V:5203407:5203940:1 gene:SETIT_005082mg transcript:KQL03880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGNGVVDVERLGQQQGQGGDSLPQNDGGGGGGQHKPTFDANEVSTLLVVATLITALSYQLGTNIPGGYWQDDNGHVAGDPIMRDKHRRRYWLFMLGSWAAFGTSMLLTLGLLTGVPAKSRLVRYAFLVAYSSLVLTFVTSQPRTSLAMDLAIWGAVMAVLTVFTKYLRVDTLPSW >KQL07030 pep chromosome:Setaria_italica_v2.0:V:37138871:37139137:-1 gene:SETIT_004545mg transcript:KQL07030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFGIVLFLSVLIVGTALAATPAGARVVPAGYYPAPVGAGDGTAFARAAAGGGRRGGIRRGRWNVQQGDAARKREVPGGPDPQHHN >KQL07002 pep chromosome:Setaria_italica_v2.0:V:36984339:36986921:-1 gene:SETIT_002850mg transcript:KQL07002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTPSPGRRVVSSAPATLLLRLLLLAGAADAAPPLVGVSPQDEAYFATQVIACRDGSGSFPRSRLNDGYCDCADGTDEPGTSACPEGKFYCRNIGDTPHLLFSSFVNDKICDCCDGSDEYESGVHCQNTCRNRNDIAEADNGGVKLSVTRLDATNEFTNKHTIDIENLVHNHINKDLIQKLRGLRMALVIELGLVVCIFVFCVARRRTRTRRRQHILKR >KQL03340 pep chromosome:Setaria_italica_v2.0:V:1831176:1837904:-1 gene:SETIT_000801mg transcript:KQL03340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSSSKKKGKKKKKPSPAAAAAAAPAPPSADGAVDSEAGSPGQETLTLAAAAVSETESSSSCEASTFTSAIFTASSSGTASTSSFSAFSSSGSTASSLAAGEERRDLAWLLDAFASATIDQVDSAYREAGGDPFLAAGILGSTQDTQPPQPPQPAPQPPPPPDLSPHSGSGGRKAGRRPKRVAVAATGMVADVIGKDYTRSATPPVSAPSAWKGRDGEKDGGPAGRKYSVEEAEQFLCSMLGDNSELGMGVVRDVLGQYGYDVEKALDALLDISGVSSVQIMETHHPNAGRNGTSHLNMFPGNGLSLDNLPAGNKRSPRQLIDQESNASLQSELGHGFLWGEPQISYAKAVMEAPRSPTFPSRSTEVKADPQQVLDSLFKIPEMRTYEPSSMDWKKVVKKLQSFNSSVASNNQERPKNGDGYREFRGVAARHYDKMKEYYQKAALAYSKGDKSYAAYLAEEGKHYRELARMEDEKASRNIFEARNKHITNTVTIDLHGQHVQQAMKLLKVHMMICICMPSVLLRVITGCGSEGTGKGKIKRSVIELAEKEQMEWREENSGTIALRLGGPREYRFLEYDSDSD >KQL07345 pep chromosome:Setaria_italica_v2.0:V:39233454:39233964:-1 gene:SETIT_005585mg transcript:KQL07345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNLLQLATASLPLIDLKERKNKTQSIGKAAHHEKRYISAVFF >KQL07344 pep chromosome:Setaria_italica_v2.0:V:39233112:39233674:-1 gene:SETIT_005585mg transcript:KQL07344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEFRFRHSEFIHSGNILRFRCAAFAPAQVYC >KQL08705 pep chromosome:Setaria_italica_v2.0:V:46772036:46773829:1 gene:SETIT_003211mg transcript:KQL08705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARVAGVPEDEESGLLPRPSAAGRRPSSRGPRLPPPPPAWATVDGPLGLPLEEAEGHARRFFLWGFACLPFLWAINCCYFWPLLRSPAASSPAAFGPIRPYVVRSAIGFTIFSVVLITWATTFIVGGERLFGPAWNDLVMYNVADKLGLSGFMG >KQL03572 pep chromosome:Setaria_italica_v2.0:V:3210135:3212027:1 gene:SETIT_004475mg transcript:KQL03572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGGSVRELTALLSALGDARHPNPAHAAQLHARLIVSAGARPDPHPDPVLLTQLVSLYAAAGRLADALRAFRAHLATANLRTYAVLVSALARPRPGLAFSLFSGACRGLLPSPHLVSAVLAACAGLPTICGRQVHACATKFVPPRDVFVYTGLVDVYAKAGDMAASRKVFDEMPSRGAASWNALLVGYARNKMCLKALSVFRELAGQGREVPLDQVSVSGVLSACSWAGNVDFGRQVHACAAKVGLELDVVCVSNGLLDMYTRCGCSREALVLFDAMDHRDVITWNIVICACIHESRFKEACMLFQSMVRDGVVPDDVSFATVLQASACMLSWALGASIHASVIKTGFLDSDGIASSLITMYSKCGSLDDALRAFQLAKDRLCVMSWTAMITALQQNGHGVQAVGMFEKMLENCIPPDHITFVSVLSSCSHSGLIEQGRRYFNSMTQVHNITPSTEHYACMVDMFGRAGLLSEAKQLIDQMNVKPDASVLGALLSACMNCRDLEIGEEVAKKLFVIEPGNSGNYVLLANIYASHGRLEEAKEVRRWMMFQELRKEKGRSLVNKKLATCLTYIQLNRFLHTQGFMNIPAMDSARYDPNHM >KQL06460 pep chromosome:Setaria_italica_v2.0:V:33650027:33651609:1 gene:SETIT_001341mg transcript:KQL06460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGQPPSPPPPLPHVLLVSAPFQGHVNPLLVLGRRLASEGLLVTFSTAPHAGLKFKHGEEDDAAADGAGRGALRFEHMRGEGLWAPDDPRYRATDDVARHLDDVAPAALAEIIRRQADAGRPVTCVVANAFAPWALRTAGAVGVPGAMLWTQSCTVLSLYYHYFHSLAAFPSGDAGPDAPVDVPGLPALTARDLPALIHAPEELVWRQAMLSDIASLRETASWVLVNTFDELEHAAIQALRAHLPVLPVGPLFETEDGGGGHDVCTAWLDAQPPRSAVFVAFGSLVNLSRDEMAEVAGGLASTERPFLWVVRDDSRDLLPAAAAASGDSNTRGKVVPWCEQRRVLSHRAVGCFLTHCGWNSTTEALAAGVPVVTYPVWSDQRTNAALLVDACGVGVRLPAPTTRDALRQCIEAVMKGTLEGEDIRARAEEWKGKASAAVADGGSSCRATREFVGAVVSIGAGN >KQL06794 pep chromosome:Setaria_italica_v2.0:V:35644426:35645733:1 gene:SETIT_003962mg transcript:KQL06794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAHAPAAYRDPLPPHHHAADLAAARLESCADGRLPPRIHARLLRRGLLLLPFHWNALTRAYLHLGSPRSALRAAACMLAHGAALDHYTFPLALKAAAQAEPPGSSLRLQLHAAAVKRGLARHPFTESALISGYAKAGDLGAARRVFDENPNRELGSWNAIISGLSQAGEPMEALALFHELRRGGMVPDDLTMVSVASACCVLGDIGLAEQLHKCILQCQRSGRLDVTLSNALVDMYAKCGRTDLARRVFDRMPVRDVSSWTTMITGLATHGEEQGALDMFDNMQREPVPPNRVTMLAVLSACAHGGLVDRGLGLLKQMEDGEIKVVPTVEHYGCVVDMLGRVGRVDEARALVEQRMPMEGNVVIWGTLLGACEKHGNVSVGEWAAERLVEAEPWNDGVYVVLSNIYAAAGMWGEVERVRKIMSERNVVKSPGCS >KQL08274 pep chromosome:Setaria_italica_v2.0:V:44532842:44533312:-1 gene:SETIT_003433mg transcript:KQL08274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAQPLCPELFCKRLQVASTDVFQHAISRYPTEHRAVNTQESKVIQLTLLSWLQSSLRNRTSRFCSDICWNFGRITLQGLHLQPRVPENYQVLFLFFHLGKRLKQRRDQ >KQL06307 pep chromosome:Setaria_italica_v2.0:V:32488897:32490372:-1 gene:SETIT_001788mg transcript:KQL06307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASPSQKAGEAVAAAATNQFEFHVYGPRHLSSPSWWDLLRSSWKDPNYRRMVIACFIQGVYLLELDRQDERDERTGLAPQWWRHFMYRLSQTLVDERDGSIYGAVLEWDRQAMLAGYAPFRPAGAPAAVVALRGTLLSGATFRRDVTDDLRFLAWDSLKGSVRFAGALAALRAAARRHGARGVCVGGHSLGAGFALQVGKALAKEGVCVECHVFNPPSVSLATSLRGFAETAGEMWGRVRAWLPYVGSTAAAQPAAEAGGGEAAKAPTTLERAGTAKWLPHLYINTNDYICCYYTDATAGTATVTARGGGGGGSGNTVTAGGGNGMGTGRAGLARMVLVSKGPTKFLDAHGLQQWWADDVELQVALNHSKLIDRQLRSLYAPPPAAPQM >KQL04282 pep chromosome:Setaria_italica_v2.0:V:7897162:7897964:1 gene:SETIT_003245mg transcript:KQL04282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRSGGNVFDPLSLDFWASADPLGVVRPLAEQCPVLTNVRVDWKETPDAHVFRADLPGVKKDAARVEVEDGGVLVISGERAREEAGEGEAWRLVERSSGGFRRRFRLPRGARLDQVRASMEDGVLTVTVPKEEAKKPQVRAVEISG >KQL04716 pep chromosome:Setaria_italica_v2.0:V:11184628:11185847:-1 gene:SETIT_003426mg transcript:KQL04716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAHSDEFCHCQGCVGKYTLLRDEENPRLAIFERRLPCCGCGIGWSSFLLGFLCPLIWYFAATLYCCKYYNKDPRERPGLAASAVAALIFTVLAIITLAVTLIICAYK >KQL04715 pep chromosome:Setaria_italica_v2.0:V:11184404:11187397:-1 gene:SETIT_003426mg transcript:KQL04715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAHSDEFCHCQGCVGKYTLLRDEENPRLAIFERRLPCCGCGIGWSSFLLGFLCPLIWYFAATLYCCKYYNKDPRERPGLAASAVAALIFTVLAIITLAVTLIICAYK >KQL04714 pep chromosome:Setaria_italica_v2.0:V:11184404:11186806:-1 gene:SETIT_003426mg transcript:KQL04714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAHSDEFCHCQGCVGKYTLLRDEENPRLAIFERRLPCCGCGIGWSSFLLGFLCPLIWYFAATLYCCKYYNKDPRERPGLAASAVAALIFTVLAIITLAVTLIICAYK >KQL08780 pep chromosome:Setaria_italica_v2.0:V:47058623:47059202:1 gene:SETIT_003600mg transcript:KQL08780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHAQPLRFQAQRKHVKFQDNQANAMKDGAAPGTSDKLGHDRRVKDDVDTIASDFIRLKHRAWALQKSTTMYPAST >KQL05766 pep chromosome:Setaria_italica_v2.0:V:27738752:27740047:-1 gene:SETIT_003985mg transcript:KQL05766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGERSPAQLPPAKRRKKSSPTTTSSTTIHSLTDDLLLRIFLRLPSLATLVRAALACRPWCRAVASSPAFRRRFRELHPAPLLGLFFGPPTAVQDPLLPAFPSFVPARRRDRDLGAALRGGDFFLTSIQEHPDKAHSWDILDCRGGYVLLCNGDQFSAQAMAVVNPLARQSQRFFDDSPKDASEGCRGTPVERSACLLCSDEDPTSFRVVALTHDESRVRATVFSSDTGEWKIHPWVDVPGRPRRSKVWLLNGNMQSNGFLYWVYKNHKYIITLNTATMRFSVEELPQFLKNRCCSFDVGETNSGERCIVYAVDFTIGVMLRRTDSDGVEKWMLYRATPLDTQLDGVLRKLKGNYNELLVVAVRDGFAYLATSKNVYDPQNPSWFLSLCLETMKLENLFQRPYNSGGHPYVMAWPPCLVRNYGRLALEDGT >KQL08328 pep chromosome:Setaria_italica_v2.0:V:44825926:44826129:-1 gene:SETIT_003784mg transcript:KQL08328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSGELRMTLLCVALMGLLLLSQHAAPVNATGAAATGKKTNSFSFNAAGGRTLNSFSMNSHDTERK >KQL04723 pep chromosome:Setaria_italica_v2.0:V:11210456:11210857:1 gene:SETIT_004810mg transcript:KQL04723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGLAPPGALRARRGPLPRCDRPLRVRGVPRAPPPPRPRVRARRLLPPRPARGLRREARASAAGPRRQGGRAPVAQDRRVGRLGGAGQHVRGARRQGHAEAGAEAGRVGGHRGGPRARVLLPFLQQGRRGLLRRG >KQL08078 pep chromosome:Setaria_italica_v2.0:V:43483612:43484731:1 gene:SETIT_004423mg transcript:KQL08078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFTAYVGFYEICSPKKGEFVELLKEKFGFDAAFNHKGEPDLTAALKSVLLRKHFPEGIDIYFENVGGLMFDAVLLNMRVHGRVAVCGMVSQRGVSVPAGIHNLFCLISKRIEMKGFITSTYGRIVHVEDMNVGLESGPAAFVGPFSGKNVGMQVVCVSQD >KQL06967 pep chromosome:Setaria_italica_v2.0:V:36774874:36783301:-1 gene:SETIT_000036mg transcript:KQL06967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKGSEVSASKSYPLRSSHSSGRVLRSALTKDNKACSDPQNDSAAAQSAVKKRKNGSPSVSPNNSVRMLRSASKNKNDACSEPLNDKTTAKPAAKKRKNASPLQVGSPSNTVRVLRSALKNKDEACSERTTNRTAGDPAANKRKNVSPSKLGSPGSSARVLRSASKYKDETCTEPSVEPAADRKKIASPSKVGSPNDTVRVLRSALKNKNEACTEPLNDSIVGQPTAKRRKSGSPLKMASPFSSARVLRSTSERKNEAFNEISNDNTAAQPASGKRKGRTPLKTDSPKIGVRVLRSASGKKNEACSEPVNDSTSAQTAVRKRKSCRPSKDRSPKKDYVKICQRVRYILNRMNYQQSFIQAYASEGWKGQSLEKIRPEKELERAKAEILQCKLRIREAFRNMDLVLSEGKLEESLFDSAGEISSEDIFCAICGSKDVTLQNDIILCDGACDRGFHQNCLKPPLLTEDIPPGDEGWLCPACVCKADSIDALNELQGSKLSIHDSWEKVFPEAASLANGSKQVVASDLPPDHIEDSDYNPALAEEDMMNEDRFSAEDDRKGDDLGLPSEDSEDDDFDPAGPDSSEDQKYELNSEESDFTSDSDDFCAEIAKSCGQDEVSASPLLDVLNSTGRMKIRAVGNQSNEEISNHAFIDMELEQGTVLPISSRRQVERLDYKKLYNEAYGKESSKSSDDEEWSGKELLEGSETDSLGEQLQPAKRCSRRAPAGQQNNEHTPQRERLRGSESEQQTEVLRSNGSSSTGRKFGPIVTQKLKVHFEKDPYPGRVTKENLAQELGLTFNQVCRWFSSTRHYSRVASAKNEKHQDNHTSENNDSTNADSMQTHAGVMEKLTADRSVIVPEKPTMQNNLNQCNKEDMPLSGTEIEMESYGQESSDSSDEEWSAFSTPRKSRLQDNETASPVESLLPPKRCSRRTPAREQNNEHTPQSEQLTGSASEHRTEVLCSNSSSSKASKHHFGPIVSQKLKAHFEKDPYPSRATKESLAQELGLTFNQVSKWFSATRYYSRGAAAKNKKHPGKNTTENDNSLSFDGVEVREPNIVLMEKPAADINDTISEKLMIQINLNEDIEEDIPPSQYTRCEERATMTPTAISTEVGPPGYGPGENFLQVSSRNTSCEQNVIMTPPAILREVGPPGYVRGENQGNNAPRNMSCEQGVFTSPTTITREIGPPGYGPEENQGSGISWNMSCEQGVFMSSPTISREAGPPGYGSRENQGSGTSWNTSCEQGMFINPATISREAGPPGYGPGEKHGNGTSPNTSCELRMFTSPTTISREVGLPGNEPGEDQDNGTSWNMSYKKGVFPTAIEVVPPGFGPGENQDYGTARNIRCEQRMYTSPTTIPREVGLPGYGGENQSNGASWSTCYEQGVFTSPTAISREIGPPGYGPGENQGNITLWTTSCKQRMFANHTTVSSEGGPPGYSIIENQGTGGSRNIDLEQRAVVTPGTISREVFPPGYGPGENQDSGASGNVGSPQGRSAQKVEFSDEARKKAIQRELRRRQKFR >KQL06968 pep chromosome:Setaria_italica_v2.0:V:36775212:36781833:-1 gene:SETIT_000036mg transcript:KQL06968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKGSEVSASKSYPLRSSHSSGRVLRSALTKDNKACSDPQNDSAAAQSAVKKRKNGSPSVSPNNSVRMLRSASKNKNDACSEPLNDKTTAKPAAKKRKNASPLQVGSPSNTVRVLRSALKNKDEACSERTTNRTAGDPAANKRKNVSPSKLGSPGSSARVLRSASKYKDETCTEPSVEPAADRKKIASPSKVGSPNDTVRVLRSALKNKNEACTEPLNDSIVGQPTAKRRKSGSPLKMASPFSSARVLRSTSERKNEAFNEISNDNTAAQPASGKRKGRTPLKTDSPKIGVRVLRSASGKKNEACSEPVNDSTSAQTAVRKRKSCRPSKDRSPKKDYVKICQRVRYILNRMNYQQSFIQAYASEGWKGQSLEKIRPEKELERAKAEILQCKLRIREAFRNMDLVLSEGKLEESLFDSAGEISSEDIFCAICGSKDVTLQNDIILCDGACDRGFHQNCLKPPLLTEDIPPGDEGWLCPACVCKADSIDALNELQGSKLSIHDSWEKVFPEAASLANGSKQVVASDLPPDHIEDSDYNPALAEEDMMNEDRFSAEDDRKGDDLGLPSEDSEDDDFDPAGPDSSEDQKYELNSEESDFTSDSDDFCAEIAKSCGQDEVSASPLLDVLNSTGRMKIRAVGNQSNEEISNHAFIDMELEQGTVLPISSRRQVERLDYKKLYNEAYGKESSKSSDDEEWSGKELLEGSETDSLGEQLQPAKRCSRRAPAGQQNNEHTPQRERLRGSESEQQTEVLRSNGSSSTGRKFGPIVTQKLKVHFEKDPYPGRVTKENLAQELGLTFNQVCRWFSSTRHYSRVASAKNEKHQDNHTSENNDSTNADSMQTHAGVMEKLTADRSVIVPEKPTMQNNLNQCNKEDMPLSGTEIEMESYGQESSDSSDEEWSAFSTPRKSRLQDNETASPVESLLPPKRCSRRTPAREQNNEHTPQSEQLTGSASEHRTEVLCSNSSSSKASKHHFGPIVSQKLKAHFEKDPYPSRATKESLAQELGLTFNQVSKWFSATRYYSRGAAAKNKKHPGKNTTENDNSLSFDGVEVREPNIVLMEKPAADINDTISEKLMIQINLNEDIEEDIPPSQYTRCEERATMTPTAISTEVGPPGYGPGENFLQVSSRNTSCEQNVIMTPPAILREVGPPGYVRGENQGNNAPRNMSCEQGVFTSPTTITREIGPPGYGPEENQGSGISWNMSCEQGVFMSSPTISREAGPPGYGSRENQGSGTSWNTSCEQGMFINPATISREAGPPGYGPGEKHGNGTSPNTSCELRMFTSPTTISREVGLPGNEPGEDQDNGTSWNMSYKKGVFPTAIEVVPPGFGPGENQDYGTARNIRCEQRMYTSPTTIPREVGLPGYGGENQSNGASWSTCYEQGVFTSPTAISREIGPPGYGPGENQGNITLWTTSCKQRMFANHTTVSSEGGPPGYSIIENQGTGGSRNIDLEQRAVVTPGTISREVFPPGYGPGENQDSGASGNVGSPQGRSAQKVEFSDEARKKAIQRELRRRQKFR >KQL07601 pep chromosome:Setaria_italica_v2.0:V:40743880:40747797:-1 gene:SETIT_001158mg transcript:KQL07601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFSAVKKVFSSSDPSGKEAKAEEADKSKSRRRWPFGKCRHSDPSTSTASGTAPVAPLPQPPPPQPAQPHSQEIRDVKPVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVTSTAPKMPANSREELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMARVQTKIYSRRVKLEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQIEASLIMKQEAALRRERALAYAFSHQWRNSGRTITPTFTEPGNPNWGWSWMERWMSARPWESRLAASDKDPKERTLTKNPSTSAVRTSVPRAISIQRPATPNKSSRPPSRQSPSTPPSKAPSTSGKIRPASPRGSWLYKEDDLRSITSIRSERPRRQSTGGGSVRDDASLTSTPPLPSYMQSTESARAKSRYRSLLTEKLEVSDRAPLVHSVVKKRLSFPIMDKPSGAPVDKPKERARRHSDPPKVDPTTLKDAPVA >KQL07602 pep chromosome:Setaria_italica_v2.0:V:40743880:40747485:-1 gene:SETIT_001158mg transcript:KQL07602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFSAVKKVFSSSDPSGKEAKAEEADKSKSRRRWPFGKCRHSDPSTSTASGTAPVAPLPQPPPPQPAQPHSQEIRDVKPVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVTSTAPKMPANSREELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMARVQTKIYSRRVKLEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQIEASLIMKQEAALRRERALAYAFSHQWRNSGRTITPTFTEPGNPNWGWSWMERWMSARPWESRLAASDKDPKERTLTKNPSTSAVRTSVPRAISIQRPATPNKSSRPPSRQSPSTPPSKAPSTSGKIRPASPRGSWLYKEDDLRSITSIRSERPRRQSTGGGSVRDDASLTSTPPLPSYMQSTESARAKSRYRSLLTEKLEVSDRAPLVHSVVKKRLSFPIMDKPSGAPVDKPKERARRHSDPPKVDPTTLKDAPVA >KQL07600 pep chromosome:Setaria_italica_v2.0:V:40743880:40747825:-1 gene:SETIT_001158mg transcript:KQL07600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGKWFSAVKKVFSSSDPSGKEAKAEEADKSKSRRRWPFGKCRHSDPSTSTASGTAPVAPLPQPPPPQPAQPHSQEIRDVKPVETDSEQNKHAYSVALASAVAAEAAAVAAQAAAEVVRLTAVTSTAPKMPANSREELAAIKIQTAFRGYLARRALRALRGLVRLKSLVDGNAVKRQTAHTLHCTQTMARVQTKIYSRRVKLEEEKQALQRQLQLKHQRELEKMKIDEDWDHSHQSKEQIEASLIMKQEAALRRERALAYAFSHQWRNSGRTITPTFTEPGNPNWGWSWMERWMSARPWESRLAASDKDPKERTLTKNPSTSAVRTSVPRAISIQRPATPNKSSRPPSRQSPSTPPSKAPSTSGKIRPASPRGSWLYKEDDLRSITSIRSERPRRQSTGGGSVRDDASLTSTPPLPSYMQSTESARAKSRYRSLLTEKLEVSDRAPLVHSVVKKRLSFPIMDKPSGAPVDKPKERARRHSDPPKVDPTTLKDAPVA >KQL04145 pep chromosome:Setaria_italica_v2.0:V:6828128:6828880:-1 gene:SETIT_004271mg transcript:KQL04145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LWSCHMVRRHGVHGSGEAERRAAGDDGGRGDRRCSRVMGLGLHGSSSSGGERRAAAGGDEVVEAGRDGPEGVKVGGGGASQVPDGGAAAQEARRGDDAPAAIAADSSISSGDPDDATMGITTNDSIQPPPQVLHSLPLLVF >KQL06158 pep chromosome:Setaria_italica_v2.0:V:31073803:31075469:-1 gene:SETIT_003982mg transcript:KQL06158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSATVSTTAVARDPGPAAASSYRIGSYLSRNPCGRRFPQVTARNKSHLIPNSGPEHEQRAAARAPAMAGSPEDRWRIRRRRLADLYGDGPEEGEVVPAGYHSDADTEEYYNTNRGCSSDSVPADYRGASTFPAAAHNYGSASTSSASANNNGGGASSSPAAQVLACPFCGKEFRNHKAVCGHMKVHREQGIGKANKGIKRNAAAVGGWGGTGKRGCSGSRGRAAPPIDEPDQSMAVVVAEAKIVFNPMPLAFAAPNPPPAPTATETPNPPPAPTATETPNQSTPMASPTPKMSSSSVPAASVMTNDPVESSSAPPMRDDAMETVVAGAANPPTEAVVHLHDAPPPPPPAAGEQAHEQPVAPPPGGRQNPKGYTCKRCGMWFRTHQGLGGHVVGHKNREIAVALHGGAVRNGRDAKPGKAHVCKVCGREFPGGLQLGGHMRKHWTGSPFNKKKPRLVAPPLRLALSIKADEASPAPRPAVAGRVLLFGIDIGAGVKTPAAQECSPAPEASASASGEQ >KQL04168 pep chromosome:Setaria_italica_v2.0:V:6937460:6938278:-1 gene:SETIT_002536mg transcript:KQL04168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKFLQLVEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKAKKVKSRKHRKRGDSSSDSDSDSDSDVDRKHRKRKDHKRNRKHGHSDSDDARRRKRRSKRRSSDSSDEGNSECESGSEDERRRKKHSHRRRHHRHSSRSDSEDYSSDEEERRSTKKDHSRSRRRRHRSSDDDSDSEGKVRSRHRKRLRSSDEDAPSDSNNHKHHKSRSLEESSDERAADESEKMRNGKRSHKNSHHHHCHHRHHHHERSSSSAEPNDDQKALEGDSAD >KQL04167 pep chromosome:Setaria_italica_v2.0:V:6937460:6938278:-1 gene:SETIT_002536mg transcript:KQL04167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKFLQLVEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKAKKVKSRKHRKRGDSSSDSDSDSDSDVDRKHRKRKDHKRNRKHGHSDSDDARRRKRRSKRRSSDSSDEGNSECESGSEDERRRKKHSHRRRHHRHSSRSDSEDYSSDEEERRSTKKDHSRSRRRRHRSSDDDSDSEGKVRSRHRKRLRSSDEDAPSDSNNHKHHKSRSLEESSDERAADESEKMRNGKRSHKNSHHHHCHHRHHHHERSSSSAEPNDDQKALEGDSAD >KQL04169 pep chromosome:Setaria_italica_v2.0:V:6937245:6939575:-1 gene:SETIT_002536mg transcript:KQL04169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKFLQLVEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKAKKVKSRKHRKRGDSSSDSDSDSDSDVDRKHRKRKDHKRNRKHGHSDSDDARRRKRRSKRRSSDSSDEGNSECESGSEDERRRKKHSHRRRHHRHSSRSDSEDYSSDEEERRSTKKDHSRSRRRRHRSSDDDSDSEGKVRSRHRKRLRSSDEDAPSDSNNHKHHKSRSLEESSDERAADESEKMRNGKRSHKNSHHHHCHHRHHHHERSSSSAEPNDDQKALEGDSAD >KQL04170 pep chromosome:Setaria_italica_v2.0:V:6937245:6939575:-1 gene:SETIT_002536mg transcript:KQL04170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKFLQLVEEKKKRILEKKEAPLKWEQKLEAAAKAKADAEAKAKKVKSRKHRKRGDSSSDSDSDSDSDVDRKHRKRKDHKRNRKHGHSDSDDARRRKRRSKRRSSDSSDEGNSECESGSEDERRRKKHSHRRRHHRHSSRSDSEDYSSDEEERRSTKKDHSRSRRRRHRSSDDDSDSEGKVRSRHRKRLRSSDEDAPSDSNNHKHHKSRSLEESSDERAADESEKMRNGKRSHKNSHHHHCHHRHHHHERSSSSAEPNDDQKALEGDSAD >KQL07496 pep chromosome:Setaria_italica_v2.0:V:40052164:40054829:-1 gene:SETIT_002121mg transcript:KQL07496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQSRLAVSGGGDISSGSEGEHEAVIRELTRGRQLTARLRDEALRALRGQGQAEATAAFILQEVSRAFTVCINIMGSPARAPPPPPETPARLSPTATELLVPRRNREDSIPREQTVTSSPHYDGYQWRKYGQKRITKTQFPRCYYRCSFHRERNCRATKQVQQCSGGDPPQYLVMYFNDHTCDTAASWEPEAAASAANPAAAMMDLSGAAGGLVARLQGARGVQEEHERQVLVSSLACVLGAQQFAHHSPPDAAGGITSGASAAAVNVPPQRARTRDAPAPATAPAAASAPVVDDAAAEMPRLDVDVVGLDVMDYGVTGELCFGESYGLPDGGGLPF >KQL06880 pep chromosome:Setaria_italica_v2.0:V:36227966:36228601:1 gene:SETIT_004676mg transcript:KQL06880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSISYSHDGHGHYVVSFDEDAIRTTLADSGDAVDSWLDEIYRVHRLVVGLDVEWRHSSYDYGYGYYYARTPPICVGRRCLVFQILHADYIPGSLFDFFADERFTFVGVGVHDDLAKLRAGYGLEAACAEDLRALAADELGNPALRSAGLQALVWEVMGVRMEKPHCVRVSAWDARNLSYDQLKYACVDAFASFEVGRRLYDGDY >KQL04419 pep chromosome:Setaria_italica_v2.0:V:8825095:8830220:1 gene:SETIT_002256mg transcript:KQL04419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSLITGKAGASGFGSASTAEQVTDGVDASRLTVVITGGASGIGLETSRVFALRGAHVIIAARNTEAASEARKTIMEKNPMARIDVLKLDLSSLKSVRAFADQFNSMKLPLNILINNAGVMFCPFQLSKDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHHHTYPKGIDFDKLNDEKIYNDKMAYGQSKLANLLHAKELSRRLKEEGANITVNSVHPGLIMTNLMRHSFVLMKVLQVATYILWKNVPQGAATTCYVGLNPQLRGVTGKYFADCNVEKTSKLSRNDELAKQLWDFSEELIKSAQ >KQL04420 pep chromosome:Setaria_italica_v2.0:V:8825095:8830220:1 gene:SETIT_002256mg transcript:KQL04420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILSLITGKAGASGFGSASTAEQVTDGVDASRLTVVITGGASGIGLETSRVFALRGAHVIIAARNTEAASEARKTIMEKNPMARIDVLKLDLSSLKSVRAFADQFNSMKLPLNILINNAGVMFCPFQLSKDGVEMQFATNHLGHFLLTNLLLDNMKATAKSTGIEGRIVNLSSVAHHHTYPKGIDFDKLNDEKIYNDKMAYGQSKLANLLHAKELSRRLKEEGANITVNSVHPGLIMTNLMRHSFVLMKVLQVATYILWKNVPQGAATTCYVGLNPQLRGVTGKYFADCNVEKTSKLSRNDELAKQLWDFSEELIKSAQ >KQL07917 pep chromosome:Setaria_italica_v2.0:V:42500210:42500658:-1 gene:SETIT_005312mg transcript:KQL07917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQFNQRMDENFNKRSTRSTKQMNDEGIER >KQL07465 pep chromosome:Setaria_italica_v2.0:V:39877405:39879441:1 gene:SETIT_000880mg transcript:KQL07465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWPAMTMRGASAAALLVLVALVAGARAEDPYHFFEWKVTYGTRNIMGTPQKVILINDMFPGPTINCTSNNNIIVNVFNMLDQPLLFHWHGIQQRKNSWQDGLPGTMCPIQPNTNFTYHWQPKDQIGSFYYFPSIGMQRAAGGYGLITVHSRDLIPVPFDTPADDFPVLVSDWYTKDHTVLAKNLDSGKGIGRPAGLVINGKNEKDASNPPMYNMEAGKTYRFRVCNLGIKASFNVRIQKHILKLVEMEGSHTVQNTYDSLDLHIGQCLSFLVTADQAPGDYLLVASTRFIKEVSTITAVIRYKGSNTPPPSQLPEAPSGWAWSINQWRSFRWNLTASAARPNPQGSYHYGQINITRTIKLATSKGKVDGKERYALNGVSHVDTETPLKLAEYFNATDGVFQYNIMGDVPPAAGTPIKMAPNVISAEFRTFIEVVFENPEKSIDTFHINGYAFFAAGMGPGTWSPASRKTYNLLDTVSRHTIQVYPRSWTAVMLTFDNAGMWNIRSNLWERHYLGEQLYVSVTSPARSLRDEYNMPETSLRCGKVVGLPMPPSYLPA >KQL04016 pep chromosome:Setaria_italica_v2.0:V:5877239:5880832:-1 gene:SETIT_000884mg transcript:KQL04016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPALVPLPVFLAAFVLSIGTPALARSSACPEGWQISPVQNKCFKYISTSLSWDRSEALCRNYSGHLAALSSVQELNFSKSICGSSTSGCWVGGHHYNTSTGNVWKWSDDSSVWNETAFPVALLHSNCSSTACSVATSNDLCTLVTNGRASISGKRCSEPHGLICMMDHDRCYHDHCHKEYFIALIAVSGFILATTLAVVVWLLVYRRSKKRRRSREALGASAAALVAPQWKVYTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSKVAIKRLKRSSLQRKKDFYSEIRRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWAMRMRAATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGAHLMGVGLSKFVPWEVMHERTVKAASYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLDLLDPLIQELPDVGVVQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLELKSAASEQQLRSGTSTSATSPMLPLEVRTPR >KQL04015 pep chromosome:Setaria_italica_v2.0:V:5877619:5880785:-1 gene:SETIT_000884mg transcript:KQL04015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPALVPLPVFLAAFVLSIGTPALARSSACPEGWQISPVQNKCFKYISTSLSWDRSEALCRNYSGHLAALSSVQELNFSKSICGSSTSGCWVGGHHYNTSTGNVWKWSDDSSVWNETAFPVALLHSNCSSTACSVATSNDLCTLVTNGRASISGKRCSEPHGLICMMDHVDRCYHDHCHKEYFIALIAVSGFILATTLAVVVWLLVYRRSKKRRRSREALGASAAALVAPQWKVYTSEELRSITKNFSEGNRLPGNAKTGGTYSGILPDGSKVAIKRLKRSSLQRKKDFYSEIRRVAKLYHPNLVAVKGCCYDHGDRFIVYEFVANGPLDVWLHHIPRGGRSLDWAMRMRAATTLAQGIAFLHDKVKPQVVHRDIRASNVLLDEEFGAHLMGVGLSKFVPWEVMHERTVKAASYGYLAPEFIYRNELTTKSDVYSFGVLLLEIISGRRPAQSVESVGWQTIFEWATPLVQSHRYLDLLDPLIQELPDVGVVQKVVDLVYSCTQHVPSVRPRMSHVVHQLQQLELKSAASEQQLRSGTSTSATSPMLPLEVRTPR >KQL07128 pep chromosome:Setaria_italica_v2.0:V:37820617:37822189:-1 gene:SETIT_002682mg transcript:KQL07128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGNAWSPLSSHGFGSESSGMASRASEPVARTNSGGGGGGSKDSGSFECNICLDLAQDPVVTLCGHLFCWPCLYEWLHVHAHSQECPVCKAVVEEGKLVPLYGRGGTSAAPRARSVAGVQIPSRPTGQRPSTAPQPDHNNHYPHQNPWFMGAHAGGAPVAGGRWGNYTFSAAIGGLFPLLSFQVHGFPQATAYGPAAGFPYGYGHSFHGWHGYGFPRQAPQGQQVDVYLKVLLLVVGVLVIASLIAF >KQL08517 pep chromosome:Setaria_italica_v2.0:V:45800617:45802475:-1 gene:SETIT_004771mg transcript:KQL08517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTPVVAGIAAGAAALLLVAVLVAAWLVRRRLRARRDRSSDTGSSSEAPPTLAEWGRCGRTSSAPEFHGARQFSLEELAHATKNFAEANLVGAGSFGLVYKGLLLDGTVVAVKRRTGAARQDFADEIRRLSEIWHRNVVTLIGYCQEGGLQMLVFEYLPNGSVNGHLYDTGKESMTRLEFKQRLSIAIGAAKGLNHLHTLAPPLIHRDFKTSNVLVDENFIAKVSDAGIDRLMRGLEGATPSNGSVYQDPQAHSLAQLSESSDVYSFGVFLLELITGREAAALIPPESKESFAHWMEAQFTSNELIDPRLGGSFTSEGMTELVGLAFQCLNPSARRRPRMRLVAAELDRILEKEMTLTTVMGDGTAIVTLGSQLFTS >KQL05707 pep chromosome:Setaria_italica_v2.0:V:27160254:27160958:1 gene:SETIT_003733mg transcript:KQL05707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFSTSCRQRLTELGLFILRLRLSGGPIVRGGGSHDLGPGFPGGFGVPGAGMGTGGDGGLAGGFGVLGPGMGAGGLSGGEGGMGRGFGVLGDGFGGLGRTSFSVLGAPALVALAALALASAVSASARAWEGSASGHRGGGRRRHRRRRRRHGGGGDDGGGRGGSICSHIP >KQL08132 pep chromosome:Setaria_italica_v2.0:V:43717147:43720237:-1 gene:SETIT_004589mg transcript:KQL08132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGLEALKERGFSAETPSSHQQHRHSRRLSVADLAWEIAVLEEEVVRKELHLLSLYRAAFDQYLGVSPRASALHTQELSRSSSGRSSLANFLSASITEYVPKISCKLSEDILRCISAVYCKLASKPLKEAKFEPSSTPSFSSASSSFSLKYPVDSWSPRCHYNVDTTSDAYGSFDGSNVQYTGMIIFPRIHIDEDKFEYASKMLDTIRTLIKRLEKIDPTKMEHEEQLCFWINIHNALLMHAFMAYGLQEKRMKSTDMILKVRLYTAKKLPPTGASQD >KQL05466 pep chromosome:Setaria_italica_v2.0:V:24840579:24843139:1 gene:SETIT_001214mg transcript:KQL05466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSAVPTPSGLDLIFTSVSATTVSSMVAVEMESFSNSQLLLVTLLMLLGGEVFTSMLGLHFTYTKLKKRETQIPHDLDGNNGSPPPSPSLELRTMGGGAPAAVAALEQMETRFKNNLDFTSIARTRMLMFVVLGYHVVVHLAGYTLMLIYLSAVTGAREVLIGKKINPSTFSIFTVVSTFANCGFVPTNEGMVSFKSYPGMLLLAMPHVLLGNTLFPVFLRLSIGALERVTRRRDLGELLVSGGGGGGPGRAAATSTIGYDHLLPAARTWHLAFTVAALVAAQLVLFCAMEWGSDGLRGLTASQKLVAALFMSVNSRHSGEMAVDLAAVSSAVVVLYVVMMYLPPYTTFLPVPVEDDHQHREAQSDEKSKTTTSKNIWQKLLMSPLSCLAIFIIVICITEQRQIADDPINFSVRNIVVEIISAYGNVGFSTGYSCGRQVKPDGSCRDAWVGFSGKWSREGKLTLMAVMFYGRLKKFSMHGGQAWRLG >KQL05598 pep chromosome:Setaria_italica_v2.0:V:26260114:26262246:1 gene:SETIT_001104mg transcript:KQL05598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSFTEKGKQYPGKMTVFVFLACLVASSGGLIFGYDIGISGGVTSMDPFLIKFFPSVYAKEQEVVETNQYCKFDSTLLTLFTSSLYLAALVASLFAGYITKSCGRRVSMLGGGVIFLVGAILNGFAQNVAMLIVGRIFLGIGVGFSNQSVPLYLSEMAPAKMRGMLNISFQLMITIGILAANLINYFTAKIAGGWGWRIGLGLAAVPAVIMVGGSIFLPDTPNSLVARGKPDRARAMLRRIRGTDDVGLEFDDLVAASEASAAIGNPWSTLLQRRYRPQLAMAIIIPTLQQLTGINVVMFYAPVLFKTIGFGGTASLMSAVITGLVNMFATFVSIATVDRLGRRKLLLQGGAQMIIAQFVLGTLIAIKFGTSGVAEISRPYAIGVVLCICVFVSAFAWSWGPLGWLVPSEIFPLEIRSAAQSAVVVFNMVFTFVIAQIFLMLLCRLKFGLFYFFGAWEVVMTLFVYFFLPETKGIPIEEMDTIWGNHWYWRRFVDGNAKVQQMSTAV >KQL05135 pep chromosome:Setaria_italica_v2.0:V:16394784:16395634:-1 gene:SETIT_004540mg transcript:KQL05135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVHMFHGGIVKENGEFENMNEVVELFDARPSFKDLVDRAMRKYGCGVDEMTLRGRFDCGKARPHYVLMNLASESNWKQYEEVIEHANVVCLEVWEEAKIDDDEISLGFKDDDFQEEDGAQDVQANAHEDIVVGDGLECEEEESQSEEDGPESEEEESQSEEDGPQVNTTTVHDVEDIGCVDKCVDYTLNVLQLLKECYVKLPSIPSSKDISMVHKAICQSTC >KQL07937 pep chromosome:Setaria_italica_v2.0:V:42657334:42658837:1 gene:SETIT_004984mg transcript:KQL07937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWPNGIMAFRCCCAGLANPQEEKKIVSTSTSIGASYDKKSRGRHGEVSVLREGAHEQGRVDKGGGPAPDRLHQGARRGVLALAAQGRRPPPLRQELQAALDELPPPGPQARQLHRRRRRAHHQAPRPARQQVVADRGAAARPDGQRDQELLEHAHQAQAPQPRHRPADAPPAQRRERRCRGQRAHHVQHRRLPVPAAGAQAGAPGHHHPAQCDVREARAVGGRPQQQRRELGRAALPRPEPRPRPVRRPAVLAAQDAGRRRDDAHLAAAAAAAATGDHLPVLPPRRPRRGGLQLQDRVAGGVPVSQAARGGPVHIGGVVKSQQ >KQL04534 pep chromosome:Setaria_italica_v2.0:V:9582417:9593612:-1 gene:SETIT_000038mg transcript:KQL04534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSKWQVWGQPDGSLVWVPAPDAPPTPPPLHPSAPAVDAAAGPPDPALPHPPPPDGAPIEETLGPDGADGRRLPCMTDLFFQALDKLIEGNGMDALTEGVSTGGLFSTGSGRSVAISGRAVRRARVLIGDEVEEATNNNRKRKQPFGDDASLEGEQTSFDVLLAGGAHKDNLLPMFQTGSGKVVSLSKDSIQKARAVLEGNVGGPDGMEQFPMFQTGSGRAVSISMASVQKAKAVLDENNINTGNVEGPGRPDQSLIFQTGSGRPVLISERSIKRSRAALMDEGAENIGLGRSVAVKQSSIKKARAVLEDGDVKRSGNGDTNVCATSFQFETPTSVLVSSSLIMNDRTVTPKENTSVQGRSVTVSKSSIKRASAILEPRNIAKELEDEAQLNDVCATSIIKTGLRRSILSENPRENAQVVTGTKEAVKRVNSDVGDGFAENPMFQAGIQQFSPENVSSRHRAILLEQGKFATKGYEDSGSSFPMFQTGSGKSVLVSESSVQKARAVLEEEGDANKGIYYFFDKMDKKFPVFASPLKTICTRTVNVSSTGVSRAATLLGLEENTLSTQFFGHVGDKLGTKITVNRENPEQRLDVVSAHAISGGPHKDFCPTESPILVDRHQQFGFSKTTSNAGERSIRFSTAGGRSMAISTAALQRAKSLLGDSDLEVLPNEVGRSLASAKEKLPDSVISPKGDESNVLHRTKAIGYAVPDTPVIKGTANKLGREYLPINEIPKVPKAPSRFLSEVGNAIDTKDKTQRHHMPAGPLVDITNYMATCSGNTDNFANGKRIIGGRNSISPFKRPRSSRFVTPIKTNKLSSAGESKAASAQISPCRTKLSACYPFQHQRKSCKEYFGGPPCFKYLTEHVTDDVKLMDAKRAEKFKFTHMGAGAEDFQKMLLKCGASLSYATKEWVSNHYKWIVWKLASLERCYPTRAAGKFLTVDNVLEELKYRYDREVSHGHRSAVKRILEGNASPSLMMVLCISAIYSSPDQSNNKLEVDKVDNNEDSNENKSLSAANRNMPAKIELTDGWYSLDASLDMALSEQLAKRKLFLGQKLRIWGASLCGWSGPVSFHEASDTVKLMIHINGTYRARWNEILGFCNHVGLPLAFKCIKASGGRVPRTLVGITRIYPVLYRERLPDGRSIVRSERMERKALQLYHQRVSKITEDILFEQQENCDSTDDNDEGAKICKMLERAAEPEVIMAGMTSEQLMHFSSYKEKQKVVMQNEVAKKVQKALEVSGLSSRDVTPFLKVRVMGLVSKLSASTFSNKEGLITIWNPTEMQKADLVEGQIYSVTGLMPSNFCTNLLYLHGRGSSTTWKPLASAQTTDFRPFFTPRKAIELSKFGEVPLSSEFDVAGVILYVGNIYLCDNQKRQWLFLTDGSKFISEQMSEEQDCLLAVSFSSPTTGEDSALFSYTLSGNTVGFSNLVKRQKDQMRQIWVAEATESSTYTLSHEIPRKSHLKEAAASAERWASRSCRKIEELKERVLCIVGDSGG >KQL05651 pep chromosome:Setaria_italica_v2.0:V:26716982:26722991:1 gene:SETIT_000487mg transcript:KQL05651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRRVLTQIAKHRPTKAIYNELVASSPLGTLRTEVTAGARIRNLQERNQSSYIGSLARRVRDLDAPSETSLLKEIYRSDPERVIQIFESQPSLHSNPAALSEYVKALVRVDRLDESTLLKTLQRGVAASTRGEESFGSIPALIGAGQATKDGVLGTANAPIHMVTAETGQFKDQLWRTFRSIALTFLLISGIGALIEDRGISKGLGLNEEVQPSIESNTKFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQILDSHMSKVLKGDDVDLMIIARGTPGFSGADLANLVNVAALKAAMDGAKAVTMNDLEYAKDRIMMGSERKSAVISDECRKLTAYHEGGHALVAIHTDGAHPVHKATIVPRGMALGMVAQLPEKDETSVSRKQMLANLDVCMGGRVAEELIFGDTEVTSGASSDFQQATATARAMVTKYGMSKQVGLVSYNYEDDGKSMSSETRLLIEQEVKNFLENAYNNAKTILTKHNKELHALANALLEHETLTGAQIKNILAQVNNKQQQEHAIEAPQKTPAAPASPASPAASAAAAAAAAAAAAQQAAAKAKGVAGIGS >KQL07845 pep chromosome:Setaria_italica_v2.0:V:42119193:42119399:1 gene:SETIT_003701mg transcript:KQL07845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETTSLWCPVQHCGRSGKSSVLYLLNGLYLCHEFRCQSGKKEKRDESFAQTLN >KQL08638 pep chromosome:Setaria_italica_v2.0:V:46401396:46408049:1 gene:SETIT_000099mg transcript:KQL08638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAAAARRKLGGYLRAVLSVDVAGAASIPPLSRCTLSACGAVPLAPLPDEGTTPRSKWRASAGGGGGSVVRMLRTLVANRCVEVEGTLLRVVTRSAGVGDGVVVEARAVVLIDVYLPVAAWSGWQFPRSRTAAAAVFKHVSCNWDARKALLAFDWAAHDGPHCDDHCIWSCTDCHVLGCEDHQIASISNKEKSFDLHEIFKTLPGVRMEKGMQTERIIPDAETLELGIWSVPDDVLNKVLILLKPRDLIRVAATCHHLRSLAASIMPCMKLKLFPHQEAAVEWMLRREQNTQVLAHPLYKDFCTEDGFPFYINVTSGEVSTGNSPTINDFRGGMFCDEPGLGKTVTTLSLILKTHGTLAYPPQGVDVSWCMHKPDKKYGYYELSPSCSSNRNSSSSVSKKLLREDVTTDDPCSSGLSHDDDPVCSTRSSRKRGRLLSPDPTKVMFHATSEKSPSSSHSKVHPTPATHVLKFTKNSRQVRKNLMDAYSNGSVGNKRKRGTTSETNETWVQCDACRKWRRLSDATVLDSTTAWFCTMNTDPTRQKCTAPEESWDFVKKITNLPGFYKKNSLPGNEENVSFFTNILKDNVAMINSETKKALIWLAKLSPSKLVEMELVGLTRPVLDTRATTGKGARPYYKIFQAFGLVRKIEKGVTRCRLSAEWGPQKRSALKHVHWFRVILDEGHTLGSSLALTNKLQMAVALVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHDEVYGQNYQSWDSGIHRPFEAQMEEGRVRLVQLLQRTMISARKADLKNIPPCIKKITFLDFNEGHAKSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRATTIKNVRLSCCVAGHIKVAEAGQDIQETMDDLVKLGLDPSSDEYQFIRIALLNGASCFRCKVWCRLPIITPCQHILCLDCVALDSEKCTLPGCGNHYEMQTPAVRLENPKPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVSYLVDKLISLRETYMNHGNNITNSAGHANALSCQPQAMLDKVIIFSQFLEHIHVIEQQLTIAGITYAGMYSPMPLGSKYGGTSY >KQL08639 pep chromosome:Setaria_italica_v2.0:V:46401396:46408049:1 gene:SETIT_000099mg transcript:KQL08639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAAAARRKLGGYLRAVLSVDVAGAASIPPLSRCTLSACGAVPLAPLPDEGTTPRSKWRASAGGGGGSVVRMLRTLVANRCVEVEGTLLRVVTRSAGVGDGVVVEARAVVLIDVYLPVAAWSGWQFPRSRTAAAAVFKHVSCNWDARKALLAFDWAAHDGPHCDDHCIWSCTDCHVLGCEDHQIASISNKEKSFDLHEIFKTLPGVRMEKGMQTERIIPDAETLELGIWSVPDDVLNKVLILLKPRDLIRVAATCHHLRSLAASIMPCMKLKLFPHQEAAVEWMLRREQNTQVLAHPLYKDFCTEDGFPFYINVTSGEVSTGNSPTINDFRGGMFCDEPGLGKTVTTLSLILKTHGTLAYPPQGVDVSWCMHKPDKKYGYYELSPSCSSNRNSSSSVSKKLLREDVTTDDPCSSGLSHDDDPVCSTRSSRKRGRLLSPDPTKVMFHATSEKSPSSSHSKVHPTPATHVLKFTKNSRQVRKNLMDAYSNGSVGNKRKRGTTSETNETWVQCDACRKWRRLSDATVLDSTTAWFCTMNTDPTRQKCTAPEESWDFVKKITNLPGFYKKNSLPGNEENVSFFTNILKDNVAMINSETKKALIWLAKLSPSKLVEMELVGLTRPVLDTRATTGKGARPYYKIFQAFGLVRKIEKGVTRCRLSAEWGPQKRSALKHVHWFRVILDEGHTLGSSLALTNKLQMAVALVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHDEVYGQNYQSWDSGIHRPFEAQMEEGRVRLVQLLQRTMISARKADLKNIPPCIKKITFLDFNEGHAKSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRATTIKNVRLSCCVAGHIKVAEAGQDIQETMDDLVKLGLDPSSDEYQFIRIALLNGASCFRCKVWCRLPIITPCQHILCLDCVALDSEKCTLPGCGNHYEMQTPAVRLENPKPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVSYLVDKLISLRETYMNHGNNITNSAGHANALSCQPQAMLDKVIIFSQFLEHIHVIEQQLTIAGITYAGMYSPMPLGSKRSALTKFQEDPTCMALVMDGTAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPILVETLAMRGTIEEQMLKLLQDSSACRKMVNKGISSTENEGGRPHRSLHDFAESSYLAQLSFV >KQL08637 pep chromosome:Setaria_italica_v2.0:V:46401396:46407117:1 gene:SETIT_000099mg transcript:KQL08637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAAAARRKLGGYLRAVLSVDVAGAASIPPLSRCTLSACGAVPLAPLPDEGTTPRSKWRASAGGGGGSVVRMLRTLVANRCVEVEGTLLRVVTRSAGVGDGVVVEARAVVLIDVYLPVAAWSGWQFPRSRTAAAAVFKHVSCNWDARKALLAFDWAAHDGPHCDDHCIWSCTDCHVLGCEDHQIASISNKEKSFDLHEIFKTLPGVRMEKGMQTERIIPDAETLELGIWSVPDDVLNKVLILLKPRDLIRVAATCHHLRSLAASIMPCMKLKLFPHQEAAVEWMLRREQNTQVLAHPLYKDFCTEDGFPFYINVTSGEVSTGNSPTINDFRGGMFCDEPGLGKTVTTLSLILKTHGTLAYPPQGVDVSWCMHKPDKKYGYYELSPSCSSNRNSSSSVSKKLLREDVTTDDPCSSGLSHDDDPVCSTRSSRKRGRLLSPDPTKVMFHATSEKSPSSSHSKVHPTPATHVLKFTKNSRQVRKNLMDAYSNGSVGNKRKRGTTSETNETWVQCDACRKWRRLSDATVLDSTTAWFCTMNTDPTRQKCTAPEESWDFVKKITNLPGFYKKNSLPGNEENVSFFTNILKDNVAMINSETKKALIWLAKLSPSKLVEMELVGLTRPVLDTRATTGKGARPYYKIFQAFGLVRKIEKGVTRCRLSAEWGPQKRSALKHVHWFRVILDEGHTLGSSLALTNKLQMAVALVASNRWILTGTPTPNTPTSQVAHLHPMLKFLHDEVYGQNYQSWDSGIHRPFEAQMEEGRVRLVQLLQRTMISARKADLKNIPPCIKKITFLDFNEGHAKSYNELVVTIRRNILMADWNDPSHVESLLNPKQWKFRATTIKNVRLSCCVAGHIKVAEAGQDIQETMDDLVKLGLDPSSDEYQFIRIALLNGASCFRCKVWCRLPIITPCQHILCLDCVALDSEKCTLPGCGNHYEMQTPAVRLENPKPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVSYLVDKLISLRETYMNHGNNITNSAGHANALSCQPQAMLDKVIIFSQFLEHIHVIEQQLTIAGITYAGMYSPMPLGSKVCFLIRDV >KQL03666 pep chromosome:Setaria_italica_v2.0:V:3794855:3798500:-1 gene:SETIT_000441mg transcript:KQL03666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDAGLKREDHSRLGTGPGISQTSCCVNEENESRNRRYHQLKCSDSNSGELSLDYVPNFHCRSLPTRSRKTSTEQSIVGKRGSMYQSSSEISRIRKIQEGRRKIDSAFGGDAFLLFDIVDASSRPSTSGAYLHSHRNQRSGAKSSVETTHRINRASKDFLDLSFRELPDDNFKLDRPRLDSTMLKNDGGDGFLEISFEKEITDGGPCRGAAPYLLDVESGKCTETDYQLKTSGCPSENNHGERGRDSASSSKSMSEKISSSDDTCRSGSVQHHIIENNTKARSSPFKKMLDPIMKSKSRRSPSLAEKGDPNSITGPGSRKNSMSRKSLLGDFSRTEQASSCQPIGETQRITSALSPAHLQAVLRLDSKNGVQVSEFCVEGLEESISARNWKTGDELNSIYTLHSGGKRSSAAGRISKDGGWNLPPIVGQLQVSSYLCSEVGKDGMVNNSVITEFVSYDIAHARRIVEKTQCTEAPQQPLCSAIDKSMSGESPQMINLMDQHKIGRNNSDVSTSCPWSEEDLYPHLEIAATVIEVPFSKDKSKDMKNGSSPCSVKVVTPTGLHGLPSESGASPSPLLDRWRYGGGCDCGGWDMACPIDVLGNAYDDNWAESITTNAKHPMELFVEGSKEELPALSMKANGKGQFLVDFHARLSALQAFSVCISLLHCSEASIAISIEKGKHKLYSNSLKLLLEEDVRHLIEAVTAEEKKQQKKSRRRKAPPSVVLDPPFSPIGRV >KQL05687 pep chromosome:Setaria_italica_v2.0:V:27001479:27001673:-1 gene:SETIT_004926mg transcript:KQL05687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKVNVLVFTAFFFSGLMQLSMAQDKPATLAATARVVDAKAIDQAIAYLLMFAALFVTYFAH >KQL03815 pep chromosome:Setaria_italica_v2.0:V:4778268:4780666:-1 gene:SETIT_003223mg transcript:KQL03815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIAGEDFQHILRLLNTNVDGKQKIMFALTSIKGVGRRFSNIVCKKADIDMNKRAGELSPDELERLMTVVANPRQFKVPDWFLNRKKDYKDGRFSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >KQL05066 pep chromosome:Setaria_italica_v2.0:V:15110436:15111443:-1 gene:SETIT_004970mg transcript:KQL05066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRFAAYHGSRFEQTYRCYPASYSNNPHLEDGDKVILPASALHRLTLLRIDYPMQFELRSTAAEPQRTSHCGVLEFVAAEGSVIMPCWMMQNMGLREGDAVRLRSAALPKGTYVKLQPHAAAFLNVSNPKAVLEKTLRTFSCLTTGDTIMVSYNSRNYYIDIVETKPAAAVSIVDTDCEVDFAPPLDYKEPEKPQQPAVVVVPASTKATADDGDVVKDEPEFKPFTGSGKRLDGKASKPQAAEGATSKVISGKEPQKAAVKQTEEPPKDETPKFQAFTGKGYSLKR >KQL05410 pep chromosome:Setaria_italica_v2.0:V:24010929:24016511:-1 gene:SETIT_002486mg transcript:KQL05410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAHLLRRASSAFLSSTTGPLRPRCPLPGRLRLPRAAMATDSSAAPFQKIQIQREDTSFDAYVVGKENAPGIVVLQEWWGVDYEIKNHAVHISQLGGGYRALIPDLYRGKVALDVAEAQHLMEGLDWQGAVKDIQASVKWLKSNGSPKVGVTGYCMGGALSIASGVLVPEVDAVVAFYGTPSSELADPCKAKAPIQAHFGEHDSFVGFSDVTAAKSLEEKLKSSGVPYEVHIYPGCSHAFMNTSPEALKRKKGMGLTDENQEAVDLAWSRFSAWMGRFLGSA >KQL05439 pep chromosome:Setaria_italica_v2.0:V:24525783:24531853:1 gene:SETIT_000606mg transcript:KQL05439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEVPPEETNRCVRGCCRSAAIPLHLPPASFSLVSPIARGSESTVYEARLGGKRVAAKKPVLATSEDLDKFHYQLQLLCELDHPGLAKLIAAHASPPNYLMFFDFFEPPNLADKIHVEEWSPSVQQVVAIASDLAKALQYLHILGVVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKCVSVENWKSSGKPTGGFHKRNMVGTLIYMAPEILRKDIHTEKSDVYSFAVSINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAVVSQGLRPALALPKSGVPPTLLSLIQRCWDRDPEKRPSFENIIDELNIIQKHLVSSACLPPSPVSKSQSGNIEAHHYQEALNWFNQGELFVKRSEKSYLTENLWSGSSNQSSEYHPTLSWGSFATCGRRETMEDTHFMLPNVSEEKDVFAFGIFDGHRGSAAAEFSVRAVPGFLKQFGRDTSPTDALAEAFVRTDIAFREELILHQKSKRIIRKDWHPGCTAVTALVVSNKLFVANAGDCRAILSRAGKPFPMTKDHVASCPKERERVTKAGTEVKWQIDTWRVGAAALQVLKLTPFSKY >KQL05441 pep chromosome:Setaria_italica_v2.0:V:24529812:24534521:1 gene:SETIT_000606mg transcript:KQL05441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSQSFRYSIKASTAHTVLEMTYTEQQLTAAVVSQGLRPALALPKSGVPPTLLSLIQRCWDRDPEKRPSFENIIDELNIIQKHLVSSACLPPSPVSKSQSGNIEAHHYQEALNWFNQGELFVKRSEKSYLTENLWSGSSNQSSEYHPTLSWGSFATCGRRETMEDTHFMLPNVSEEKDVFAFGIFDGHRGSAAAEFSVRAVPGFLKQFGRDTSPTDALAEAFVRTDIAFREELILHQKSKRIIRKDWHPGCTAVTALVVSNKLFVANAGDCRAILSRAGKPFPMTKDHVASCPKERERVTKAGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETALSDDDEFLVMASDGLWDVVSNEDVLSIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >KQL05440 pep chromosome:Setaria_italica_v2.0:V:24525783:24534521:1 gene:SETIT_000606mg transcript:KQL05440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEVPPEETNRCVRGCCRSAAIPLHLPPASFSLVSPIARGSESTVYEARLGGKRVAAKKPVLATSEDLDKFHYQLQLLCELDHPGLAKLIAAHASPPNYLMFFDFFEPPNLADKIHVEEWSPSVQQVVAIASDLAKALQYLHILGVVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKCVSVENWKSSGKPTGGFHKRNMVGTLIYMAPEILRKDIHTEKSDVYSFAVSINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAVVSQGLRPALALPKSGVPPTLLSLIQRCWDRDPEKRPSFENIIDELNIIQKHLVSSACLPPSPVSKSQSGNIEAHHYQEALNWFNQGELFVKRSEKSYLTENLWSGSSNQSSEYHPTLSWGSFATCGRRETMEDTHFMLPNVSEEKDVFAFGIFDGHRGSAAAEFSVRAVPGFLKQFGRDTSPTDALAEAFVRTDIAFREELILHQKSKRIIRKDWHPGCTAVTALVVSNKLFVANAGDCRAILSRAGKPFPMTKDHVASCPKERERVTKAGTEVKWQIDTWRVGAAALQVTRSIGDDDLKPAVTAQPEVIETALSDDDEFLVMASDGLWDVVSNEDVLSIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >KQL05438 pep chromosome:Setaria_italica_v2.0:V:24525783:24531285:1 gene:SETIT_000606mg transcript:KQL05438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEVPPEETNRCVRGCCRSAAIPLHLPPASFSLVSPIARGSESTVYEARLGGKRVAAKKPVLATSEDLDKFHYQLQLLCELDHPGLAKLIAAHASPPNYLMFFDFFEPPNLADKIHVEEWSPSVQQVVAIASDLAKALQYLHILGVVHRDIKPANILLDKDLHPHLADFGLAMFQKDIKCVSVENWKSSGKPTGGFHKRNMVGTLIYMAPEILRKDIHTEKSDVYSFAVSINELLTGVVPYTDLRAEAQAHTVLEMTYTEQQLTAAVVSQGLRPALALPKSGVPPTLLSLIQRCWDRDPEKRPSFENIIDELNIIQKHLVSSACLPPSPVSKSQSGNIEAHHYQEALNWFNQGELFVKRSEKSYLTENLWSGSSNQSSEYHPTLSWGSFATCGRRETMEDTHFMLPNVSEEKDVFAFGIFDGHRGSAAAEFSVRAVPGFLKQFGRDTRFVLNTKHRKCFAMLKFRSEFWHYAAQPMPLQKHL >KQL05649 pep chromosome:Setaria_italica_v2.0:V:26678966:26679495:-1 gene:SETIT_005440mg transcript:KQL05649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKMQLWLYGVKTPSSENIKEYSKCYALQGRVQTPEKVITSLSESRVLCWVVPYWVQGNRKCITEYSKIVSCLCSF >KQL06949 pep chromosome:Setaria_italica_v2.0:V:36616115:36618075:-1 gene:SETIT_001735mg transcript:KQL06949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAEYGYYGGGGAGPRERKGAAGCGDHFVVDDLLVLPYDDDEEGDGEAAAAGDGGAPPCLQAAGAGGGVKEEGGLGNFSADSSTVTALDSCSNSFSGLADGDFPGEFCEPYDQLAELEWLSNYMGEGDDAFATEDLQKLKLISGGYSPAVNVPPAPLAPAAAASAVSAAQPGMFIPEAPVPAKARSKRSRAAPGNWSSRLLVLPPPPASPPSPASMAISPAESGVSAQAFPAKKPSKPSKKKDAPPAPQALPSSASAVQSAGSAASAEGRRCLHCETDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVMSKHSNSHRKVLELRRQKEVQHQPPHQAHVIAGGGPGGLMHMQSSLLFDGPAAAPIVAGDDFLIHHHLGADYRQLI >KQL03626 pep chromosome:Setaria_italica_v2.0:V:3514265:3520293:1 gene:SETIT_000204mg transcript:KQL03626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPCSLLVHFDKGSAAMANEIKADLEGGDGPAKADAMRRAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDRRDPAGRALPEMILICQNLRNNLQSPNEYIRGVTLRFLCRLSEPEVLEPLVPSVLSNLEHRHHFIRRHAVSAVSAIYRLPHGDQLIPDAPELVERLLASEQDASARRNAFLMLCACAQERAVAYLLSNADRVTEWPDLLQMAVLDLIRKVCRSQNRANKGRYITIITSLLSAPSTAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLILLDRLYELRTSHRDVMVGVVMDVLRALASPNLDVKRKVLDLVLDLLTPRNVEEVVLSLKKEVVKTQAGDLEKGGEYRQMLVQTIHACAVEYPEVAGSVVHLLMDFLGDTNVAAAVDVVLFVREIIETNPKLRVSMIQRLIDTFYQIRASRVCSCALWILGEYSLSLSEVESAIATIKQCLGDLPFYTVSDEGDTTDSAKPAQAVVNSVTVSSRRPVVLADGTYATQSAATETISTPSVAPGSLSSTLNLRSLILSGDFFLAAVVACTLTKLVLRLEEVQPSKVEANKACTGALLIMTSILQLGQSSYLPHPIDNDSYDRIVLCVRLLCNTGDDVRKIWLQSCRQSFAKMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRRGMSQLELEDEVHDDLKAATGGFTKDADDANKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVTFRNMWAEFEWENKVAVNTVIQDEKEFLNHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQLDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >KQL07631 pep chromosome:Setaria_italica_v2.0:V:40926411:40929865:1 gene:SETIT_001688mg transcript:KQL07631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLHKSSPTHAPSPAHAPAPKAAKQARPGPRSWIGYLLREQRLLFVVLGALIASTFFLLRPYLSLSPSSHLPDARPLFSFAARSGVPAGYRPPPRRVVVTGGAGFVGSHLVDRLLEQGDSVIVVDNFFTGRKENVAHHLRNPRFELLRHDVVEPILLEVDRIYHLACPASPVHYKYNPIKTIKTNVMGTLNMLGLAKRIGARFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGGGVEVRIARIFNTYGPRMCLDDGRVVSNFVAQALRRQPMTVYGNGKQTRSFQYVSDLVAGLMALMESDHIGPFNLGNPGEFTMLELAQVVKETIDPMATIEFKPNTADDPHMRKPDITKAKQLLHWEPKVSLKEGLPLMVTDFRQRISDE >KQL03827 pep chromosome:Setaria_italica_v2.0:V:4835388:4836740:-1 gene:SETIT_003810mg transcript:KQL03827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSVDDLEAEYIENAFGWPIEKQIPVFRRLFKKRANMALVLYNKNHPDEQYQFIKVRLNEVYSFIEYRLQDPHHTHMNFMALDVKTGLEKTFFAELCMFNDVDDGNSGFVATACEIVDGNSAGGRRINHTFKDGKFPPDYYDGDNCYASAERIKHPPGAVYRAGHD >KQL07200 pep chromosome:Setaria_italica_v2.0:V:38276451:38282466:1 gene:SETIT_004250mg transcript:KQL07200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQITSTAGGGTLTLEFGILSRLTNNTVFERVTKNSVRGIWSRRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLYIFQEAYKAAMHYLHHDPWYVEVNMNSGATVWPLFNSLQAFWPGLQVLAGDVDPAIRTHAAFFSVWKKYGFTPEGFNLATSTVQNGQRSYPLRPELIESTYWLFKATRDYRYLDVGRDILASLQYGARCPCGYCHISDVETHKQDDHMESFFLAETVKYLWLLFDLAAGPDNIVENGPYKYIFSTEGHLLPVTPEIVLVDEHCSYFGAFCNGGADRGYGISASSMKHKKANYTHLDDIQTPSSHYSASNMFATRGYIKGVCPGLTHAQKLGISYSDEEGNVIEQTSEGHEHHDESAIESSVRTQSSNVILISHPVASQQDQALESSSGNGDHADIVVTADSDSISHDNNDGSLGANTEELTEDTERTSKHSEDEDIAQNLNLKEDIS >KQL05666 pep chromosome:Setaria_italica_v2.0:V:26906337:26908038:1 gene:SETIT_003594mg transcript:KQL05666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLWRVRLSSFAAGAATASAAGFFLLYKDHLLARATIARQVEDIKEASEKHYEALNKRISALESRKEPGAIKEASD >KQL06923 pep chromosome:Setaria_italica_v2.0:V:36419542:36424158:1 gene:SETIT_000298mg transcript:KQL06923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKSYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKSDEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPSKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >KQL06922 pep chromosome:Setaria_italica_v2.0:V:36419542:36424158:1 gene:SETIT_000298mg transcript:KQL06922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFTAEELRAIMDKKNNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDESLKSYKGERDGNQYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVEGEEAYQTFSRVIENANVIMATYEDKLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDETKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLNVTMKSDEKELVGKALMKRVMQTWLPASTALLEMMIFHLPSPSKAQKYRVENLYEGPLDDIYATAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVATGMKVRIMGPNYVPGQKKDLYVKSVQRTVIWMGKKQESVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDACPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVLCTIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIVSPPVVSFRETVLEKSCRTVMSKSPNKHNRLYMEARPLEEGLPEAIDEGRIGPRDDPKVRSKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPENALGGIYGVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSSQLRAATSGQAFPQCVFDHWDMMGSDPLEAGSQAAQLVLDIRKRKGLKEQMTPLSEFEDKL >KQL05427 pep chromosome:Setaria_italica_v2.0:V:24277562:24288266:-1 gene:SETIT_000403mg transcript:KQL05427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIKDIGLSAAINILGAIIFLLAFAFLRLQPINDRVYFPKWYLKGARESPSHGGTFVRKFVNLDMRSYLKFLSWMPAALKMPEDELISHAGLDSAVYLRIYLVGLKIFVPITILAFAVLVPVNWTNDALEFSKVHHSDVDNLSISNIPVGSKRFIAHLTMAYVFTFWTCYVLLREYEIVAQMRLRFLASEKRRPDQFTVLVRNIPPDPDESIGELVEHFFLVNHPDHYLTHQVVYNANKLAKLVKEKTKMQNWLDFYLLKFERNASQRPTTKTGFLGCFGTKVDAIEYYKSEIERIENEEAEEREKIVKDPKSVVPAAFVSFRSRWGAAVCAQTQQTSNPTVWLTEWAPEPRDVYWDNLSIPFVSLTIRRLIVAVAFFFLNFFYVIPIAFVQSLANLEGIEKAVPFLKPLIEIPTIKSFIQGFLPGIALKIFLILLPSILMFMSKVEGLTSISSLERRSASKYYIFIFFNVFLASIIAGSALEQLKTYIHQSANEIPRTVGEAIPMKATFFITYVMVDGWAGVAGEILRLKPLVIFHLKNFFLVKTEKDREEAMDPGSIGFDSSEPQIQLYFLLGLVYAAVTPFLLPFILIFFGFAYIVYRHQIINVYNQEYESAAAFWPSVHGRIITALIISQLLLLGLLSTKGAGQSTPVLLVLPVVTFYFHKYCKNRYEPTFVKCPLQEAMKKDTLERAREPGFDLKGYLMNAYIHPVFKVDDDDEKFSIAGEPEAEQVLVATKRQSRRNTPVPSKYNGSESPSLHEIVNDQRL >KQL04900 pep chromosome:Setaria_italica_v2.0:V:12907895:12908905:1 gene:SETIT_002710mg transcript:KQL04900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGNLCAVERRGRVHVITLTGAGEHRLGPALFSAIRSAVAAVRASPGGGAGALVLAAEGKFFCNGYDLAWARAGPAPADRLSAMRAAFRALVADVLALPMPTVAAVTGHAAGSGCALALAHDTVVMRASRGFLYMSEVDAGIKIADFVGELIRQKVPDAAARRDLVMKGEKMTAAEASRRGIVDAAVDGGVEDVVAAAVAVAEDLAARGWDGEAVAEMRKATWPALWSMVKDYGGAAPERARL >KQL08773 pep chromosome:Setaria_italica_v2.0:V:47032253:47038937:1 gene:SETIT_000189mg transcript:KQL08773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAGDGEDAAVAGDPVVLEITDTSSSAESVPPSSPRPLPVAVSDLSRFDTLPSPTVAVRADRHRLIESSSYFRALLGGSFSESGREYVQLGCNLEAAVQVLRYLFEPSESCTITHGNFLLLLEGALFLAVESLLVECERWFRTMGSRTSSALVPLDFVIEVWYFAQEHGVTFVQDICPEYLAQNFAFVISRKSFNKIPYDLLCSSIECPHLTVDSEKQLCEAILYWVSENMEPCDRPNSNSVDGHLFLLSKVKICLLPLELATGIKRHWFDFGNNIVCTILNLLKDSLKTLLDAIAGGNLKGYGIRITEYSKNIVLSGCPQITTAFLYISVLPTDLDVSFKRRIVSSYTQVDHQSFILYDELEKAAKTLLFRNVRMVDLSKCPNVHFGAAIDWLKLAFPELRIFRALHCLSFHFDDLIYLLLRCPWIDEIDMTIDTSTITPNQSIVSFSSEVLGKVKQNRRRYYIPCPPYDRQLNSVFSNVSRLTLEGRNDIDDVDLVKISALKNSLSYINIRNCTMLTDDGISNFLMKCTKIHSIVLSYTSFGNRSIQTLCTTNPDHNDGHAHVMAFNMQELHLGGCKGIDAAALSQLMSIINITKFLCLRETSLTDGALCKFVGSSLEYLDVSETMVSMVSLAPVIRRNCNLNCLKTAGCRNLLFERDEVEQISGNKYGNFLQEIGSTCCLEDVEMGWGFCPIQIKDLIPSFSKVRKMTVGLGTTLAENVLHALPVICPFLESLTLRFQVISDSVVRNLLESATNLQVLCLHHCIGSLTSFSFQTKAPTLRVLRLQWVTPWITNDDLKILTDNCNLVELSLSGCKLLDSSSQEIISSGWPNLVLLHLEDCGQVTVQGVSSILNCKALEDVLLRHTGRGIGRSIIDDAIRELPLLRKLALDLCDACEEGYESPNNAEGKMIRAVRMSRCKTLRGSCLELPRGSGGASKPVHKDTVVLEWSSTRLTTTIVKERV >KQL08251 pep chromosome:Setaria_italica_v2.0:V:44423734:44425564:-1 gene:SETIT_001319mg transcript:KQL08251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATVKCGNAGYGYGYGAYGYGYGNSKPQMNYHRQSTESVTTLVTEINRMSVNDKPGCGGAGAGGVQKQAAYKEEEVFVEQDQGSYGGYHGGGAVQAYTTCEGAAAGVKNHGYKQEKYGEADGGYGSHYGVKKQGYEQEACGQTNAGHGGAHYGGAAGAVQTYAYDQQAAYGVQQHGYQKDAYGGAVKKDSYQQHESYGDCGAGYGGSHYGGGAGVQTNAAYKQHEKYGEADGGYGAYYGGGAGVKKQQGYKQESYGENGAGYGAHHYGGGGVEQHGYNQKHKDAYGGGAVKKSNYQHESYGDCDAGYGSHYGGGAVQKYGYKQDVYGGNAAGGLQHGGAYGYGAHGKAGRTDFAGGYNYNLKAYDSGSESESDCEEHGYGAYKHETHGASKQGGLHGYGAYKYDKHGAGNLGGGGVRRYESYEKHEELAGGRRYQSYQSTTQEYTGGGGYGYDTCPPLNNSHLLGYGA >KQL05047 pep chromosome:Setaria_italica_v2.0:V:14800489:14801319:1 gene:SETIT_005073mg transcript:KQL05047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMETIGDLVELENFLLFFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQHLGANVGFAQGSTGLGKYLMCFPTGEVIFGRETMRFWDLHAPWLEPLRGPNGLDLSRLKKYIQPWQERRSAEYMTHAPLGSLNSVGGLSFLSK >KQL07692 pep chromosome:Setaria_italica_v2.0:V:41274508:41277480:1 gene:SETIT_002016mg transcript:KQL07692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAALLLAAKFLSLLQCARESWSDRNRIPAVSSRKPARRTRCGLCLSRHGPPAETTCALRARATRSTAEDAGAPQRRERAHRSPRVRDIVRNPSLLGRGPFHPNPAPAPTPAEYVMLSPRGGRPHDATARAPSSSSSPPRQHAGMGAGAGGASGRVLEWEAGLPAASELTPVSHPLITPALAAAFRINIAGGAFAAASPFDDSPLAHDSPTSHLSLSCDEDDDDDEDEEGETEDAAASGSGACRGGRAGKKARMVWTPELHHRFVEAVAHLGDKGAVPKAIVRLMNVEGLTRENVASHLQKYRIYLKRTRNPAAPQPAPHFPTAYGSPFNPQPPSDPSSRSGYCAFPSRDGEKL >KQL03915 pep chromosome:Setaria_italica_v2.0:V:5326530:5332357:1 gene:SETIT_000452mg transcript:KQL03915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLVWLSLQQGARPGMQMDAETVQAAPALAARLQNSPVSECTHLDVTVKFPSLQALRSFFSSYPASGTGPELDECFIMSNNHATRILRHRVADEELEGTVHKDSFWLIKPHLYDFATSQQAPPAPPAAKVALVADSCLLTTLKCDGAGWGMRRRVRYIGRHRDEAPKEAGVNGYDTEVSIREEQQHPAMQEVTRSERNCKRKREAEGSSKDRHRDEGKTNRKVQGSSKKSSKKAKKRTVESNDGDPRHGKDRWSAERYAAAEKSLLDIMRSNGARSGAPVMRQVLREEARKHIGDTGLLDHLLKHMAGRVPEGSAHRFRRRHNADGVMEYWLEPAELAEVRRQAGVSDPYWVPPPGWKPGDDVSLVAGDLLVKRQVEELTEEVNGVKRQMEQLVGKDENFDAERAYSSLKEKYQSVMRDNEKLEKQVFSLKDMCENVVQMNGELKKEVLSFKDKYEHVAYKNDKLEEQVTYLSSSFLSFKDQLVLALKTGTSRQLGLAPSDGNQESAPLTEVYLASGDQMTPRADSTVIQGVPDSLARKSSFRVCKPQGTFLWPSMASGTTISGGASSSCPATATPGPGIPRSTSCTTSAGLGLQRSSRAPAVEVAVSAVSAVSGLDEHLMLGALSSTPPSASSTYTTATAAKLQLSLSSPRSPLQPQKLFGTSAAAAGFSPQKLLHFSGLTRLHVDTSSSSGACRASPPEGRRALFSADAGRISMVATELALATPSY >KQL06648 pep chromosome:Setaria_italica_v2.0:V:34805410:34807253:-1 gene:SETIT_002573mg transcript:KQL06648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLDGCRLHLKLPTTSDSTRTERRSRTPLPPPGSRRHCPLHRPTAMGDNSAADAPVPGRFGRICVFCGSNPGNRAVFGDAALDLGKELVARGIDLVYGGGSVGLMGLIAQTVLDGGCSVLGVIPRALMPLEISGASVGEVKVVSDMHERKAEMARQADAFIALPGGYGTMEELLEMITWSQLGIHDKPVSISIWL >KQL06649 pep chromosome:Setaria_italica_v2.0:V:34804704:34807253:-1 gene:SETIT_002573mg transcript:KQL06649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLDGCRLHLKLPTTSDSTRTERRSRTPLPPPGSRRHCPLHRPTAMGDNSAADAPVPGRFGRICVFCGSNPGNRAVFGDAALDLGKELVARGIDLVYGGGSVGLMGLIAQTVLDGGCSVLGVIPRALMPLEVGLLNVDGYYDPLLTLFDKGATEGFIKQDCRDIIVSAPTAHELLKKMEHYTRSHTEVAPRTSWEMSELGYGKAPET >KQL06650 pep chromosome:Setaria_italica_v2.0:V:34805024:34807253:-1 gene:SETIT_002573mg transcript:KQL06650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLDGCRLHLKLPTTSDSTRTERRSRTPLPPPGSRRHCPLHRPTAMGDNSAADAPVPGRFGRICVFCGSNPGNRAVFGDAALDLGKELVARGIDLVYGGGSVGLMGLIAQTVLDGGCSVLGVIPRALMPLEISGASVGEVKVVSDMHERKAEMARQADAFIALPGGYGTMEELLEMITWSQLGIHDKPVGLLNVDGYYDPLLTLFDKGATEGFIKQDCRDIIVSAPTAHELLKKMEHYTRSHTEVAPRTSWEMSELGYGKAPET >KQL03446 pep chromosome:Setaria_italica_v2.0:V:2437909:2439412:-1 gene:SETIT_004864mg transcript:KQL03446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEANGGVVNGGSSTICMEAERNKKPRKRKKSGSSHSAAETATSSSLPSAGDGNGGAGQQRRQLAGEGQQQQQQLHRGKPRVIWTPELHNIFLKAYNALGEDAAPKKILALMNVDGITRENVASHLQKHRLSLKREEQRMPGKVHQRPPLHADASSPTPTPVPSLHPPAQAPAPSSLHPLPPPQAPFAALTMSRLQIGHGHQHLLQTPPDYCFSSSSNAAPTSLLLHYPHPGFLPMAQPIQHPLDATAVATRRELFKKQVAGRDATMAQRAAAAAASGGYNLMLVRNDVTQASQTVSITGESSVHEANMRYEEAEIRMEHLQMAAEGEEGQGRAAERGAAAEMAAKAEEGQVQAAEPRTAAAGSSSPPGSSSIREQYWKVILSDERS >KQL05421 pep chromosome:Setaria_italica_v2.0:V:24115925:24125453:-1 gene:SETIT_000059mg transcript:KQL05421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAFFILSFQAMAIIAWSPSGSLSSIFEADVFRNVLTIFITAAFLNFLQAMLEIILNWKAWKSLECSQRMRCILKFAAAIAWLIILPITYSGSIQNPTGLVKFFSNWIGNLQNESIYNFAVALYMLPNILSALFFIFLPIRGVLERSNSRIIRFLLWWTQPKLYVARGMYEDTGSLLKYTTFWILLLICKLAFSFYVEIAPLVEPTRIIMSLDRPPYEWHEFFPNLQHNLGVVTTVWAPIVMVYFMDTQIWYAIFSTICGGVNGAFSRLGEIRTLGMLRSRFQAIPRAFGKKLVPNRGSHFKREEEDRNPPYDKFADIWNAFITSLREEDLLNNREKNLLIVPSSGGETSVFQWPPFLLASKIPIALDMAKNVKKKDDELMKRINQDPYTEYAVIECYETLLDILYSIIVEQSDKKVIDKIDESIKNSIECKQLVKEFRLDELPQLSEKFDKLLDLLKFYDENDPTKNNTQIANLLQDIMEIITQDIMKNGQGVLTPYFKEEVLFSPKDLGRKNEDGISILFYLRKIFPDEFRNFLQRINCKPKDEEELKERMEEICHWASYRGQTLSRTVRGMMYYRKALEIQCLQDTKDPAKFGRDTSIESYQELQSDSEMAQAIADIKFTYVVSCQIYGMQKTSKKKEDKNRYLNILNLMITNPSLRVAYIDEVEAPTGNGTTEKTYYSVLVKGGEKYDEEIYRIKLPGRPTDIGEGKPENQNHAIIFTRGEALQAIDMNQDNYIEEAFKMRNVLEEFESRKYGKRKPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRVLANPLKVRFHYGHPDIFDRLFHITRGGISKASKTINLSEDIFSGFNSTMRGGNVTHHEYMQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDIYRLGRRFDFYRMLSFYFTTVGFYFSSMVTVLTVYVFLYGRLYLVMSGLEKSILMDPRNQQNVKALENALASQSVFQLGLLLVLPMIMEVGLEKGFRTALGEFIIMQLQLASMFFTFQLGTKTHYYGRTILHGGAIYRPTGRGFVVYHAKFTENYRLYSRSHFVKGLELLILLVVYLVYGSSYRSSNLYIFVTCSIWFLVASWLFAPFIFNPSCFEWQKTVDDWTEWRKWMGNRGGIGMSVEKSWEAWWISEQDHLRKTSIRALLLEIIISLRFLIYQYGIVYHLNIAVARHNKSIMVYALSWVVMLLVLVVLKMVSIGRQKFGTDLQLMFRILKGLLFLGFVSVMAVLFVVLNLTIADVFASILGFLPTGWCILLIGQACSPLLRRTVLWDSIMELGRSYENIMGLILFLPIGFLSWFPFVSEFQTRLLFNQAFSRGLQISRILAGQEVDIGDESESE >KQL08618 pep chromosome:Setaria_italica_v2.0:V:46322520:46323410:1 gene:SETIT_002815mg transcript:KQL08618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPVKLIGAFGSPFVHRAEAALRLKGVPYELIQEDMKNKSELLLQHNPIHKKVPVLLHGDRAVCESLLIVEYVDEAFDGPPLLPSDPIGRATARFWAHFMDQKLRRPLVLSFCTEGEMQEGFIKETKENLALLEAQIDGKRFFGGDSIGYLDIALSGISHWMGVFEEVAGVSFMGDEYPALHRWAKEYTSDEAVKQCLPNREHLRDHFAAKRDKIKMVAMAMPQQ >KQL04694 pep chromosome:Setaria_italica_v2.0:V:11020060:11025050:-1 gene:SETIT_001212mg transcript:KQL04694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPAKRKPSSPPKPPRAPAPDEASAGSEDPVVLLRSRWQLASVLHFLKVFEPVIKADLGLSAEEIETALVSNNRNLARIHIALLKGIPPVNKNLKDEDGWIIVTSKKLTDWWSWEVETYKQQDPIKRLLILKALCEVRSEQNDAVWYVNDEMKKGANISNFRKDKLGIGSNGTVYWYDGDSTIGHRLYKEDVTVNFKQNWKGKSGHLTKPDINIHWETVATNLDEFLEISEKLSRKGRTESAIAEHLKAEIIPAVEKLQKKKERDLKRQEKKDKLLAFANSFQTRSLRNRRPVNYNYSDYDRSIEEAIKATSKAKEHDSHEAGTKEKCASHLGNKGANGRPDINSERNKDGGLDDAKYLSDLSSGDEEDRDYTEQDGGSADSDGDNNASDPYRSDLEEEDVFVPRKRTRLAARLLKEKPRQGLRRSQRNMKNDEEAMHPGQLTPPPMTKKTLRQRPTPVSKQPGAASSGSEDDVAQFVADSEDESE >KQL04693 pep chromosome:Setaria_italica_v2.0:V:11020413:11023435:-1 gene:SETIT_001212mg transcript:KQL04693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLSNVLTILSLQLICACRKEVETYKQQDPIKRLLILKALCEVRSEQNDAVWYVNDEMKKGANISNFRKDKLGIGSNGTVYWYDGDSTIGHRLYKEDVTVNFKQNWKGKSGHLTKPDINIHWETVATNLDEFLEISEKLSRKGRTESAIAEHLKAEIIPAVEKLQKKKERDLKRQEKKDKLLAFANSFQTRSLRNRRPVNYNYSDYDRSIEEAIKATSKAKEHDSHEAGTKEKCASHLGNKGANGRPDINSERNKDGGLDDAKYLSDLSSGDEEDRDYTEQDGGSADSDGDNNASDPYRSDLEEEDVFVPRKRTRLAARLLKEKPRQGLRRSQRNMKNDEEAMHPGQLTPPPMTKKTLRQRPTPVSKQPGAASSGSEDDVAQFVADSEDESE >KQL05087 pep chromosome:Setaria_italica_v2.0:V:15639421:15639888:-1 gene:SETIT_004856mg transcript:KQL05087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSATPVRMSLTAAATREIIFDVEGYTATKTMSDGRGYFQSDKFTVGGYDWAVRYYPESGGVYVSVTLVLLSELSKDAGHEVRVRFVSVLQDRHGERPPERWRSASHVFSGYGQEWGFWRYVTHDVLEDPDFIVGDCFTLVCTVSVLQKPVLGG >KQL07645 pep chromosome:Setaria_italica_v2.0:V:40989816:40990242:-1 gene:SETIT_005336mg transcript:KQL07645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINSASNLGCCYILSNDSYPLVLKIIPVSVLPLKTSLHKFINVELVQ >KQL07643 pep chromosome:Setaria_italica_v2.0:V:40975124:40976078:-1 gene:SETIT_002891mg transcript:KQL07643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRDRAAWEVEAGAADTARLLVLLAQAQQQQQQRHLLQHGHGAPFPSARGPHGRVFECKTCSRQFPTFQALGGHRASHKRPRLLQPLQQQQQQSPAADHAELCLGRQPLLLAPQQPAKPRVHECPVCGLEFAIGQALGGHMRRHRAEAEADAEAHNNKVQRPAPEKACDVAGGICLDLNLTPSENCAKCRSVAVLGGAGQGVHKTLAMLDCSL >KQL05169 pep chromosome:Setaria_italica_v2.0:V:16873083:16874353:-1 gene:SETIT_004915mg transcript:KQL05169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VELEHIFWRWDVSAMVFWKGYIREYGGQEYLRVILVDEQGTKMEAVACGDHHMMFNNVFIEGETYDFLGVYFTPTYTPQRPIWISECPRAFREFEDVYRQPVDTFADVIGMVVYASEIQDRGDFWRRPNRHVLIMNQRKNFIIIHVNDPHLQHHMWEWRRAAYQFKTLAALHVKISTMRGGVTTTDYSQIIFSPICSDVYDLKDLCKRIRAERKQITKTASVLTLAIINK >KQL08340 pep chromosome:Setaria_italica_v2.0:V:44896285:44898738:-1 gene:SETIT_005293mg transcript:KQL08340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASINRPPTPSAEQDRDKEPSLEEVINIKLVESGEKEKLMELLRERLVECGWRDEMKALCRAYARKKGRNNVTVDDLIHVITPKGRASVPDSVKAELLQRIRSFLMSTSLR >KQL08413 pep chromosome:Setaria_italica_v2.0:V:45312127:45313296:-1 gene:SETIT_003895mg transcript:KQL08413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNADPPRARVPRRLQAEACLLEKPHDVAGGVALGAAGGARARQQGARAGRLALRDDLIPDPDVHSNVRPRRADERHEPLPPLAAHPVLPPEHVGVGPGVEVGRVRHGVVAAVQERGLEHEHRHVPAGRHGEEVVAPVRVDVVAPPEVPARPAGDEEVVAPTHAPPLGTRHEPLRQVHGRGVPDEVERELVRAVPPGLAGDDAVGAVGERPAEAGVADEPAHVAPVVLGLAAVADDVGVEARRQVVHVDVPAPARVGAVSTMAHLHHLHRGAEHGELQVEEQGPNYHGEGGEEPQRRQRVRRGLVHSLRSADCPARPSTTAFRCRFGQLPNQEARTALSASEKAMA >KQL03824 pep chromosome:Setaria_italica_v2.0:V:4832956:4833341:1 gene:SETIT_005639mg transcript:KQL03824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISCRKCIASLLIVPNGVQCCTRIIDARNRVRRMEKK >KQL06475 pep chromosome:Setaria_italica_v2.0:V:33716778:33717384:1 gene:SETIT_003448mg transcript:KQL06475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPPSGWGRGCCPAAKQWGCSALDPFLPGRGGASGRQDRCRRRRVAASSGEGPGSFKPWLVAVSRGGGVCGLILLAPGEEEPAITERYHANFAWDGTRWSLLL >KQL03972 pep chromosome:Setaria_italica_v2.0:V:5650331:5655228:1 gene:SETIT_001999mg transcript:KQL03972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFGKVAIVIGSGIVGTVLTGGESSLPDFKDVISGAFKFMTKGAKQSKDGPSTSSPHTAQLLTQVNYLREELNMLSKSNHVAIVTVDGRPGPGAYGITAVVIGAIGYLFIRWKGWKLSDMMFVTKRGFTDACNAVGKQVDQVSESVHAAKRHLAGRIDRVDCTLDECQEITEATRNEVTIIHGDLSAFQKDMESVHLVVRNLETKLGRLAYTQDRTTRGIYDLCEFTKKLEQSPKADTRQVTSSTPRPAIESSERAARAASLPPALEPESPSAQSPRAEAPKVVRSTTMSASGLSMLVGTTMPPKRDHQGAFSRASSMKEGSSELPSGAPSSAEPSPRRSTSSTLFGGFGFLRSYAS >KQL04179 pep chromosome:Setaria_italica_v2.0:V:7041187:7041994:-1 gene:SETIT_003152mg transcript:KQL04179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLASCEFSAAARRPGAADLFRRRPSSTTAAAAPALLTVTKKPAAARCHCSSRSRRDDAEFGGGDGRLVDEGMLVLRRRIHEMRAAERNWEPPEEWAAWEKEWYGTYDADVCDLLGALQAFLVSSRPGVGVGLLAALVLAVPASAFVLVTHLLDASRAIISNLQH >KQL04487 pep chromosome:Setaria_italica_v2.0:V:9363162:9367352:-1 gene:SETIT_003402mg transcript:KQL04487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLIAGLAVAATALAGRYGIQAWQAYKARPIVPRMRKFYEGGFQPTMNRREAALILGVRESANTEKVKEAHKRVMVANHPDAGGSHYLASKINEAKDVLTGKTKGGGSAF >KQL07078 pep chromosome:Setaria_italica_v2.0:V:37531960:37535641:-1 gene:SETIT_004199mg transcript:KQL07078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVRLDWGLGPGPPRQRRCRAEGGEGPYSVLAKSQAPARSAPVTSHEPCAGAAPSKRLSLSDSVLGVGAARSPHGESRKPVVSYARVPSHVPIPARSRPAPRGDHATAWAPCLLAPAYLSPNPCFALVCAFLGAARGEGAGREGGREMDAEAGEAGEASTSGAAVPFGRSSSRLGGPGAESFDGALRELKDLRSQLHEAADCCEKAFLKTEKKKLILEGTKSYICDAVVAVVDHLGTVSSKLEHKLQEKTDVTQAERKINFLKQDVHIILGDDKKKANHGSNILSFLKKTRRHA >KQL08409 pep chromosome:Setaria_italica_v2.0:V:45288027:45289876:1 gene:SETIT_002416mg transcript:KQL08409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQGDAINGLCSTTCAACLPSGSWDELSDGSWIWLLEREQNRGALILARNAIWIPTWPNKIAEDHVQAIPSDHPTLCLPLPPPPAPAPAHRPSPTLAAMGCGPSTGEGAEPRRRRRGWARERALGNSEASTTGLLAQRQQGQEAESSPAAGRRRGSKVAPEPKEQGEEAVGRAALPSTPGSPSFRYYCQKTAFVDKIVADADASSDGSVRTRAATTRQASNRNEVTTTNAQESSQVPEPKTESKEGARWLRFRGLSMVTTTWHNLFSRHTSKASPSPAAESQPPPAAAAAVRSHL >KQL04408 pep chromosome:Setaria_italica_v2.0:V:8799384:8802184:1 gene:SETIT_001385mg transcript:KQL04408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALLSSSSSLLPALPRAGGAGAASLLPPLRLRRGGRRRAAACAVRAGLHGLDSLAGPHLQAALERAEAALYTLADAAVVAADAAAGGGDAGEAVTAVQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYSYGFAIILLTIIVKAATLPLTKKQVESTLAMQNLQPQIKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQFVSMEIMKPPQVNASLYTFSLCILPIVHCYGLTSNLWSATER >KQL04410 pep chromosome:Setaria_italica_v2.0:V:8799384:8804415:1 gene:SETIT_001385mg transcript:KQL04410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALLSSSSSLLPALPRAGGAGAASLLPPLRLRRGGRRRAAACAVRAGLHGLDSLAGPHLQAALERAEAALYTLADAAVVAADAAAGGGDAGEAVTAVQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYSYGFAIILLTIIVKAATLPLTKKQVESTLAMQNLQPQIKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQFVSMEIMKPPQSDDPSQKTSLLVLKFLPFMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKMGGAKPAVSEGGSGIITAGRAKRSNAQPAGERFRELKEEENRRKLNKALAAGDSKASASTYDSDDEESDDETTEEGGPVEKASSTGSDKKLPSYSGKKGKRSKRKRMVQ >KQL04409 pep chromosome:Setaria_italica_v2.0:V:8799384:8804415:1 gene:SETIT_001385mg transcript:KQL04409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALLSSSSSLLPALPRAGGAGAASLLPPLRLRRGGRRRAAACAVRAGLHGLDSLAGPHLQAALERAEAALYTLADAAVVAADAAAGGGDAGEAVTAVQKNGGWFGFISEALEVVLKVLKDGLSAVHVPYSYGFAIILLTIIVKAATLPLTKKQVESTLAMQNLQPQIKAIQQRYAGNQERIQLETARLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGAGISWLFPFVDGHPPLGWHDTICYLVLPVLLVASQFVSMEIMKPPQSDDPSQKTSLLVLKFLPFMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKMGGAKPAVSEGGSGIITAGRAKRSNAQPAGERFRELKEEENRRKLNKALAAGDSKASASTYDSDDEESDDETTEEGGPVEKASSTGSDKKLPSYSGKKGKRSKRKRMVQ >KQL03328 pep chromosome:Setaria_italica_v2.0:V:1735451:1737986:-1 gene:SETIT_002511mg transcript:KQL03328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPHAEGGASPKHETLMEKLADKLHAGGGKGDSSSSDSDNDERPRPSAPAAEVKPSFSVSAAAAAAEARAKVFRLFGREQPIHKALGGGKPADVFLWRNRNISAGVLGGVTAIWILFECLGYHLLTFVCHGLIFSLGVLFLWSNASSFINKSPPRIPEVIIPEDLVVNIALSTRYEINRAFVNLRQIALGRDIKKFLMVIAGLWLLSVLGSCCNFLTLVYIVFVVLHTVPVLYEKYEDQIDSYGEKGWVEIKKQYAVFDEKVLSKVPRGPAKDKKH >KQL07609 pep chromosome:Setaria_italica_v2.0:V:40800873:40801281:-1 gene:SETIT_003634mg transcript:KQL07609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSDAAPVIRRSISKTPSGNPLPRRGQVKERIVRDIAAALATAAVLACDKTAGAGTGKKDAGARKK >KQL04537 pep chromosome:Setaria_italica_v2.0:V:9601357:9605697:-1 gene:SETIT_000495mg transcript:KQL04537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGRKTETFYAGTPSTPARTNAWYGTYSVAARNLREDELGGVIFGCKHNTMNECLTKQLFGLPSGHISYVKNVKPGMPLFLFNYSDRKMHGIFEAACAGKLNIDQFAWSDGGRIKTQFPAQVLVSMKTQCYPVPESHFKSVISDNYHRPRHFYFELDHAQTSALISLFKPAPVHDVANKWDPSKSLQSPTTKAYLNPGPTKSEFYTKDLDPFGVSSESHCVAPYKLADPEGEYASACRTSTIHLDNESSKWDDLDDAATKEGTESVNDDHQHTNPPREEQHDTVAVRQKLQELFVLRQQEAQSSNGTVDSASDKSMPQEAQYGAALPTDPPDSTSKADASIEDLTSLGKYHGNAELLHIINELSKRTRAMEKKLVESDKEKLFLRESVKDAERKVQQLEYKFEKLQLNYNSLAPLVGGPYDNVEGPSIFILGGYRGSTCLSSLDAFCPRTDRLVPLCPMSSARAYAAAAALNNNIYIFGGGDGSTWYHSVEYYSRGENQWMTCPRLKHKKGSLAGTTLNDKIFAIGGGDGSVVFSEVEMFDPALGRWIDSLSMRQNRFAPAAAVFNGSLYVTGGYDGNISAERYDPREGFWALLPSMSVRRGSHSVAVLGEALYAVGGYDGSNRISTVEIFDSRANSWRMGSPFSIARGYGCAITMDDNLFYIGGINDAGETIDTVEVYNERQGWSVSGCQSVGGRGFACAIAV >KQL05490 pep chromosome:Setaria_italica_v2.0:V:25073989:25079629:-1 gene:SETIT_001487mg transcript:KQL05490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGPSAAAATALFGLVLLGRKRVGLGPSRSDPIGAGSCAAAAWAPSGRPPARLDRPGTAAARSVMEGNKDDAVKCLRIGRGALEAGDRARAIKFLSKAKRLDPSLPIDDLLAPLLNPQDDSPASSSSSPPPPPPQPAAAGAAEAAEADGLRERKQKGKKREEEETTGAAAREYTAEQLEVVRQVKKHSRDYYQILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDDEGRKRYDLVGSDEPVTHHRRPSTARAYNGFYEDDFDPDEIFRNFFFGNMAPATTRQFGQFGTFHFRTGGMHAHGQQNSGGSTVRMLIQLLPVLLLLLLNFLPSSEPVYSLNRSYPYEHKFQTPRGVAYYVKMPNFEEQYPHQSTERVTLERHVERDYYSIITQNCRVELQRRQWGLAYQTPHCDMLKKFEAAAQ >KQL05491 pep chromosome:Setaria_italica_v2.0:V:25077009:25078728:-1 gene:SETIT_001487mg transcript:KQL05491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNKDDAVKCLRIGRGALEAGDRARAIKFLSKAKRLDPSLPIDDLLAPLLNPQDDSPASSSSSPPPPPPQPAAAGAAEAAEADGLRERKQKGKKREEEETTGAAAREYTAEQLEVVRQVKKHSRDYYQILGLEKDCTVEDVRKAYRKLSLKVHPDKNKAPGAEDAFKAVSKAFQCLSDDEGRKRYDLVGSDEPVTHHRRPSTARAYNGFYEDDFDPDEIFRNFFFGNMAPATTRQFGQFGTFHFRTGGMHAHGQQNSGGSTVRMLIQLLPVLLLLLLNFLPSSEPVYSLNRSYPYEHKFQTPRGVAYYVKMPNFEEQYPHQSTERVTLERHVERDYYSIITQNCRVELQRRQWGLAYQTPHCDMLKKFEAAAQ >KQL06855 pep chromosome:Setaria_italica_v2.0:V:36113869:36115233:1 gene:SETIT_004522mg transcript:KQL06855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTVVLYPGLGVGHLTPMVQLAKLFVQHGVAVTVALVEPQVELASFSAVVARAAATNPSVTFHVLPPPAPAAEARRERLDYLRLMDAPLRDFLRSLPAVHALVIDMFCSGSLDVAAELGIPAYFFFASGASFLAVFLNLPSVMANMDTSFAELGDSPLRLPVAPPFKATDLPKVILDNDEGTKAILRMSERIAESNGILINTFDALEARAVRALREGLCIPGRPTPPVYCIGPLVTEGGDKKHECLEWLDAQPDNSVTFLSFGSLGTFSKKQLLEIAVGLEKSGQRFLWVVRSPSSDEQSIGDPLPEPDLDTLLPEGFLERTKDQGLVVKSWAPQVEVLGHRATGAFVTHCGWNSTLEGIVAGLPLICWPLYAEQRLNKVFIVEEMKLGVVLRGYDEEVVKAEEVEAKVRWVMDSEGGRGLREHAAAEKSKAVQALNGGGSSQAAFVEFLNNL >KQL03257 pep chromosome:Setaria_italica_v2.0:V:571669:573987:1 gene:SETIT_001931mg transcript:KQL03257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGEFKSSLLQQMMWSGGTDSKNMMSSLMPCAEEQEASNNKMPPLSSPSMLLPQHLLQISSGLAPEVNSAATSLASSDLHDGRESNMPESWSQLLLGGLVGDHERYSAATALLSKGLEEGPMPNQGAAAAYNFYGHGGGGGEEIQTSGTNKSQVASSPRSCITASLGSNMLDFSNSTVQAPEVKNHHSDNSSEGNSTASGSAPKKARVQTSSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEVQPLPLILSNYIISLHLSFLSLDTCIHSVLRMS >KQL03258 pep chromosome:Setaria_italica_v2.0:V:571669:577820:1 gene:SETIT_001931mg transcript:KQL03258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGEFKSSLLQQMMWSGGTDSKNMMSSLMPCAEEQEASNNKMPPLSSPSMLLPQHLLQISSGLAPEVNSAATSLASSDLHDGRESNMPESWSQLLLGGLVGDHERYSAATALLSKGLEEGPMPNQGAAAAYNFYGHGGGGGEEIQTSGTNKSQVASSPRSCITASLGSNMLDFSNSTVQAPEGNSTASGSAPKKARVQTSSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSFPYLGHGNGSSSMQQHTVASLLNHSTSIGTVEAQGPTEQDEGDVGDEESKKDLRSRGLCLVPVSCTSHLAGDDSGASDFWAVAAAPPPPPPPLGSIIWR >KQL03259 pep chromosome:Setaria_italica_v2.0:V:571669:577820:1 gene:SETIT_001931mg transcript:KQL03259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGGEFKSSLLQQMMWSGGTDSKNMMSSLMPCAEEQEASNNKMPPLSSPSMLLPQHLLQISSGLAPEVNSAATSLASSDLHDGRESNMPESWSQLLLGGLVGDHERYSAATALLSKGLEEGPMPNQGAAAAYNFYGHGGGGGEEIQTSGTNKSQVASSPRSCITASLGSNMLDFSNSTVQAPEVKNHHSDNSSEGNSTASGSAPKKARVQTSSSAQSTLKVRKERLGDRITALHQIVSPFGKTDTASVLQETIGYIRFLLSQIEALSFPYLGHGNGSSSMQQHTVASLLNHSTSIGTVEAQGPTEQDEGDVGDEESKKDLRSRGLCLVPVSCTSHLAGDDSGASDFWAVAAAPPPPPPPLGSIIWR >KQL04051 pep chromosome:Setaria_italica_v2.0:V:6154802:6155274:1 gene:SETIT_005446mg transcript:KQL04051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFITMHEFQCVHDANVGGRHPRWSLQLASCSTCQNKLSSCSSAHLHVLLVSPLC >KQL07210 pep chromosome:Setaria_italica_v2.0:V:38376234:38378964:-1 gene:SETIT_000960mg transcript:KQL07210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCLVFMLLFLISSLISTVGLPVEPPAELLQLGDVGGGGRLSIDASDIQEASRDFGGVARAEPVAVFQPRGAGDVARLVRAAYGSARGFPVSARGHGHSISGQAQVPGGVVVDMSHGPGAAARALPAYSAALGGHYVDVWGGELWVDVLNWTLSHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSETENPDLFFGALGGLGQFGIITRARIALERAPQRVRWIRALYSNFSEFTADQERLISLGAGVRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDATAASVDQDVDALLGELNFLPGTVFTTDLPYVDFLDRVHKAELKLRTKGMWEVPHPWLNLFVPASRIADFDRGVFRGILGGRTAGAGGPILIYPMNKHK >KQL07209 pep chromosome:Setaria_italica_v2.0:V:38374679:38378964:-1 gene:SETIT_000960mg transcript:KQL07209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCLVFMLLFLISSLISTVGLPVEPPAELLQLGDVGGGGRLSIDASDIQEASRDFGGVARAEPVAVFQPRGAGDVARLVRAAYGSARGFPVSARGHGHSISGQAQVPGGVVVDMSHGPGAAARALPAYSAALGGHYVDVWGGELWVDVLNWTLSHGGLAPRSWTDYLYLSVGGTLSNAGISGQAFHHGPQISNVYELDVVTGKGEVVTCSETENPDLFFGALGGLGQFGIITRARIALERAPQRVRWIRALYSNFSEFTADQERLISLGAGVRRFDYVEGFVVAAEGLINNWRSSFFSPQNPVKLSSLKHHSGVLYCLEVTKNYDDATAASVDQDVDALLGELNFLPGTVFTTDLPYVDFLDRVHKAELKLRTKGMWEVPHPWLNLFVPASRIADFDRGVFRGILGGRTAGAGGPILIYPMNKHKWDPRSSVVTPDEEVFYLVAFLRSALPGVPESLSTEALARQNQMILDFCEEAGIGAKQYLPNHKGQHEWAEHFGAARWERFARLKAEFDPRAILATGQGIFPPPGSPAPVSDS >KQL03280 pep chromosome:Setaria_italica_v2.0:V:698634:700319:-1 gene:SETIT_004115mg transcript:KQL03280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSQVAPVALLLTVLVVLPLSYLLILLAGNGGGGDARRRRLPPSPRGLPLLGHLHLLGSLPHRALRSLARAHGPVMLLRLGRVPTVVVSSAAGAEEVMRARDRAFANRPRSAMADRLLYGSRDVAFAPYGEYWRQARRVCVVHLLSARRVQSFRRVREQEAAALVGRVRAKGDEDGAAVVVGLSELLTEYANAVVSRAAFGDESARGLFDGGDRGREQRKVFTDFQTLIGMEPLGELLPWLGWVDAARGLEGKIRRTFEALDALLDKVIDDHRRRRPKNGDDGIDGEHWDFVDVLLDVHKHDQEYGFQLETNEIKAIILDMFAAGTDTTSTVMEWAMAELVTHPRAMRKLQDEIRAAVGSTGSVDEGHFAELRYLKAVVKETLRLHAPVALLVPREPPADAEILGYHVPARTRVVINAWAIGRDPATWEDAEEFLPERFSSSAVDFRGQHFELVPFGAGRRGCPGLGLAEASIEMALASLMYHFDWESTGGTGSSAVDMTEMSGISVHIKSGLPLVAKPWTPATPTC >KQL05250 pep chromosome:Setaria_italica_v2.0:V:19284248:19284784:1 gene:SETIT_005211mg transcript:KQL05250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYEKTILPLWAPPPFSFLFSPSPSSAPHRARPPLRGRPAAVPPPLGRRRPSRPPRRRPGPAAPPSFLLVAAGAGRVPPHRSIFT >KQL05680 pep chromosome:Setaria_italica_v2.0:V:26959315:26964036:1 gene:SETIT_002188mg transcript:KQL05680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAASWIFVGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEFIKVPSSKKSSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKASSSAQKYFRRATRLNWPEGAVSRESIRADLVSRNADHSRAVLADLLQGLLRFEPSERLTAQEALDHPFFRNPT >KQL05679 pep chromosome:Setaria_italica_v2.0:V:26960134:26961885:1 gene:SETIT_002188mg transcript:KQL05679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAASWIFVGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEFIKVPSSKKSSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSVRSLLTLLFFQH >KQL05681 pep chromosome:Setaria_italica_v2.0:V:26959315:26964036:1 gene:SETIT_002188mg transcript:KQL05681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAASWIFVGTFGRVLECWDRETREYVAIKVVRSIRKYRDAAMIEIDVLNRLAENEKYRSLCVQIQRWFDYRNHICIVFEKLGPSLYDFLKRNRYQPFPVELVREFGRQLLESVAYMHELRLIHTDLKPENILLVSSEFIKVPSSKKSSQDEMHFKCLPKSSAIKLIDFGSTAFDNQEHNSIVSTRHYRAPEIILGLGWSFPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPIPEHMIRKASSSAQKYFRRATRLNWPEGAVSRESIRAVRKLDRLKDLVSRNADHSRAVLADLLQGLLRFEPSERLTAQEALDHPFFRNPT >KQL06441 pep chromosome:Setaria_italica_v2.0:V:33423137:33423862:-1 gene:SETIT_004194mg transcript:KQL06441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPAARRRRRGGAGEAGVYWECLKNHAASLDGHALDGYGEFMPSPEAGPADPASLQCAACGCHRNFHYRLREAPPSPPLLTLPHPLPAQPAPVPQHVMREAPEDRLPAAFEDDELDEGSDFDEDCPLSPLPAPAMGPPRYLQPAPHMLLALSTGAPSASIPTMVPRPPASLGSMPALGAGSAAARKRFRTKFIPEQKQRMQALSERLGCHLQMVCCPGGREEGGLGEKWRWRRGDGWRRVC >KQL04112 pep chromosome:Setaria_italica_v2.0:V:6573144:6573619:-1 gene:SETIT_005427mg transcript:KQL04112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGCLLRPNCLLYKAGITCTQLPSNYTPTMETTHGVVQ >KQL05167 pep chromosome:Setaria_italica_v2.0:V:16861050:16864169:-1 gene:SETIT_004208mg transcript:KQL05167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPTDPEYGLSPNKNTIGVDVYNPPPLHWTSLLVLAYQSCGVVYGDLSTSPLYVYKGTFSGSLHRFLGEEAVVFGVFSVVFWTLTLIPLLKYVFIVLSADDNGEGGTFALYSLLVRHAKFSLMPNQQAADEELSAYYRPGYAATEDTPILRALRSFLERHRKSRTCLLLTVLFGASLVIGDGVLTPAMSVLSSFSGLRVHSSALTHGEVVILSCIVLVCLFTLQHWGTRRVAFLFAPVVVLWLLLLAALGVYNIVVWNPRILRALSPYYVVSFFQRTGKEGWISLGGVLLSMTGTEAMYADLGHFTAASIRVAFVGLIYPCLVVQYMGQAAFLSKSPDCNIHFIFFESIPRPIFWPVLVIATLAAIVGSQAVISATFSIVRQCTALGCFPRVKIVHTSNRIHGQIYSPEINWILMLVCLGVTVGFRDTDLIGNAYGMACAGVMVVTTLLMALVMVFVWQQGFLMAAMFLLAFGSVEFVYLSAALMKVPQGGWLPLALSLVVVAVMYIWHYGTRRRHMFDVQNKVSLKWLHALGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHQVLVFVCVKAVPIPHVRCYERHLIGRIGPREFRMYRCVVRHGYKDVPGDDNDFENDLVVRIAEFVHMEAAEQAAAGDAARNSDASVEGRMAVVSRPFDLSRTGLLMRAPLPNPEDSVVVRAAATAATADSGKTETIQSLQTMYEAESPGFAIRRRIRFEIDDATSESMDPAVKEELSALVEAKHAGVAYIMGHSYIKARKSSSLIKKLAIDVAYTFLRKNCRGPAVALNIPHISLIEVGMIYYV >KQL05822 pep chromosome:Setaria_italica_v2.0:V:28261811:28263637:-1 gene:SETIT_002298mg transcript:KQL05822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSMFSTVKVSNVSLKAVQRDIKEFFSFSGDIVHVEMQSGDELSQVAYITFKDNQGAETTILVTGATIVDMAVIVTPATDYELAAYVLADLEPKDTKPSALQKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARASSFDKRFGLSEKISVGTSAVNDKVKEMDQKYQVSEKTKSALAAAEQSVSTVGSAIMKNRYVLTGAAWVTGAFSKVTSAANDVGAKAKEKIAAEQEHKNVEGGSAAQPDIPEGPTTHREVDGEFAKIHVSETPEDIPISTVATVPAVINEEPSKVSPPADAPKKPEPAHGLIL >KQL05057 pep chromosome:Setaria_italica_v2.0:V:14912746:14916553:-1 gene:SETIT_004748mg transcript:KQL05057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQRRAALFATVLLLHHAVSTLAGYGPGSVTAWFPDSDSLSVVIFFVLLGTSYYDILQVSKDASEEQIKRAYRKLALKYHPDKNPNNEEADTRFAEINNAYEVLMDQEKRKVYDWYGEDGLKQFQGERSGGGGRTMNFKHVFSNFFGGRGMEKEGEQITKGDDVIVELDASLEDLYMGGSVKVWREKNMIKQAPGKRRCKCRNEIRQREIAPGVFYQISEQVCETCPNVKYVREGDSINVDIEKGMPDGQEILFCEEGEPKIDGEPSDLKFKIRTTQHERFRREGNDLHAAMTISLLKALVGFKKNFNHLDNHSVEIGTEGITKPKEVRKFEGEGMPLYQSSSKGDLYITFDVVFPEHLTDDQKAKLTSILSFL >KQL07031 pep chromosome:Setaria_italica_v2.0:V:37142271:37144247:-1 gene:SETIT_003835mg transcript:KQL07031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPLSASLFNSLIASRARAGRSAEALSLLARMLAAGVAPTAFTFAPILSSPSATACCAAQLHPHILKSGLLHCEPYSGTSLVGFFGRSGRFDEALKVFGEMTVRSVVTWNCLISSFVQFGRSHDALFWFRELVRSSDGLSDGSLVAVLPAFGSPVEVHAVANALLSSYCTCGSIQVAEKMFNELVMNGHEHGKFIHAKVIKRNLNTSVFVSTSLVDFYANCIGWRDAHKVFKEVPENSTTCWNALISAHSDSDGPTSLVILRDMLRSGIKPNEVTFSASLKDPSLLALQQIHSLVTRLGHGGNDYVSSGFISSYASHGVVSDALTYGVALDPDSCSVSMNALAGVYNKARSYKETKELLLHQQSRDTVSWCILITACARNGDCIEAFGFFKQMRILGHRADNYVFVSLLSICTKNNSLDLGKSIHGLIIKTNSGCSDTYVDNVLLDMYAKCGRIEDCLRVFEELKDRNLISWTAVISGLGLSGFSHKALAWFKAMEKDGCKPDKVAILAVLSACRHGRLVKEGMKIFKNMKADYSVEAEMEHYICVVDMLCKCGYLKEAEVVIRGMPFQPSSVIWRTFLQGCKTYGVTETQVFS >KQL05573 pep chromosome:Setaria_italica_v2.0:V:25882357:25887359:1 gene:SETIT_002961mg transcript:KQL05573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLHDHSCEDHNCAADWSLYNHVDTPKVVALNESVPGSVKSVFKPWEQRLDTSGGFLESNDGDPELLIFIPFTSDVKIKSISVVGGADGTSPSRMRAFINREGIDFSDAQNMQPVQEWELAENLQGTLEYQTRYSRFQGVANLTLHFSDNFGGDTTKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKTKSETGGGFSHVE >KQL05572 pep chromosome:Setaria_italica_v2.0:V:25882357:25887066:1 gene:SETIT_002961mg transcript:KQL05572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLHDHSCEDHNCAADWSLYNHVDTPKVVALNESVPGSVKSVFKPWEQRLDTSGGFLESNDGDPELLIFIPFTSDVKIKSISVVGGADGTSPSRMRAFINREGIDFSDAQNMQPVQEWELAENLQGTLEYQTRYSRFQGVANLTLHFSDNFGGDTTKIYYIGLRGEATQNKRDVVATIVYEVMPNPSDHKTKSETGGGFSHVE >KQL07240 pep chromosome:Setaria_italica_v2.0:V:38589781:38599443:-1 gene:SETIT_000073mg transcript:KQL07240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMPPPALAVSPVGGAEDNLSMPLGDFMAFLETEPAPPEQGGEEEEDQQHPGVNQGCLEMAANTNGSEDLFQSHEEMLENVEYWSNYSHVDPSECQMEANMELNGGEQKFDHSEASRYGLFINDLQNQPGTYNLDNQHFPRDASNHANFEEATVHPHDGLSNGSYLEQQTICSDQIELQVENNTEGMETQMNTYFSGGISREQSALSEIQWASTDDMLPNNSQDGDHFTSMAMFSLTHNADVPDISCTELNMGETTESIRNGNSSCLTMQEEHQQGECGQYPHPDYVSVDMVGERSLHDLPQDFSQNNEQYEMEQFPQNICESDSMQMGSPDQYCDDTSLSDFYMDVSSPESISCEQNQSEDICFKSESSTDSSPVPSSRNSTTEDADKYLGHISKQLLDSKSKFIPFNNQHPFKNIGYQKPPVLQKQYDYRSNSSSIQGNSSRGCFSINGASDLCVLEGNRNPASDPRLPIQGKFHHSFQQHMYSNPIHPGMRYKPHDERITLRLALQDISQPKLEANPPDGVLAVPLLRHQKIALSWMVQKETSSPHCSGGILADDQGLGKTVSAISLILTERPPVPQSSTIKKEPCEAVTLDDDDEDDCAEPNLKKQMQTCSSELTSNTVKQENPIVAVKTRPAAGTLVVCPTSVLRQWAGELKNKVTSKANLSFLIYHGSNRTKDPDELTKYDVVLTTYSIVSMEVPKQSNPDSDDEEKGKPDRYGAPVSSSGSKKRKPPSSKKMKNKSAAESSLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAVYKQFCSMIKIPISRNPTNGYKKLQLVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERNFYNTLEVESREQFKEYAAAGTVRQNYVNILLMLLRLRQACDHPHLVRGHESTSNWMSSLEMAKKLPMERQQQLLICLQSCSAICALCNDAPEYAVVTICGHVFCNQCILEQLTGDDSVCPVSNCRVRLNTTSLFSRDTLECSLSRLTCNFKSNDTRMEMVHAEKRPGTDSSYASSKVRAALDILLSLPKIDPTQMINSKNSIGLASEKFDGKGPLEQTNTKLTEKAIVFSQWTRMLDLLEVHLKASHVTYRRLDGTMSVAARDKAVKDFNTVPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQEKKREMVASAFGEDRSGSRQTRLTVEDLNYLFMV >KQL07241 pep chromosome:Setaria_italica_v2.0:V:38590727:38599443:-1 gene:SETIT_000073mg transcript:KQL07241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMPPPALAVSPVGGAEDNLSMPLGDFMAFLETEPAPPEQGGEEEEDQQHPGVNQGCLEMAANTNGSEDLFQSHEEMLENVEYWSNYSHVDPSECQMEANMELNGGEQKFDHSEASRYGLFINDLQNQPGTYNLDNQHFPRDASNHANFEEATVHPHDGLSNGSYLEQQTICSDQIELQVENNTEGMETQMNTYFSGGISREQSALSEIQWASTDDMLPNNSQDGDHFTSMAMFSLTHNADVPDISCTELNMGETTESIRNGNSSCLTMQEEHQQGECGQYPHPDYVSVDMVGERSLHDLPQDFSQNNEQYEMEQFPQNICESDSMQMGSPDQYCDDTSLSDFYMDVSSPESISCEQNQSEDICFKSESSTDSSPVPSSRNSTTEDADKYLGHISKQLLDSKSKFIPFNNQHPFKNIGYQKPPVLQKQYDYRSNSSSIQGNSSRGCFSINGASDLCVLEGNRNPASDPRLPIQGKFHHSFQQHMYSNPIHPGMRYKPHDERITLRLALQDISQPKLEANPPDGVLAVPLLRHQKIALSWMVQKETSSPHCSGGILADDQGLGKTVSAISLILTERPPVPQSSTIKKEPCEAVTLDDDDEDDCAEPNLKKQMQTCSSELTSNTVKQENPIVAVKTRPAAGTLVVCPTSVLRQWAGELKNKVTSKANLSFLIYHGSNRTKDPDELTKYDVVLTTYSIVSMEVPKQSNPDSDDEEKGKPDRYGAPVSSSGSKKRKPPSSKKMKNKSAAESSLPEKPLAKVAWFRVILDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVEDLYSYFRFLRYDPYAVYKQFCSMIKIPISRNPTNGYKKLQLVLKTVMLRRTKATMLDGKPIISLPPKTVSLKTVDFTSEERNFYNTLEVESREQFKEYAAAGTVRQNYVNILLMLLRLRQACDHPHLVRGHESTSNWMSSLEMAKKLPMERQQQLLICLQSCSAICALCNDAPEYAVVTICGHVFCNQCILEQLTGDDSVCPVSNCRVRLNTTSLFSRDTLECSLSRLTCNFKSNDTRMEMVHAEKRPGTDSSYASSKVRAALDILLSLPKIDPTQMINSKNSIGLASEKFDGKGPLEQTNTKLTEKAIVFSQWTRMLDLLEVHLKASHVTYRRLDGTMSVAARDKAVKDFNTVPEVTVMIMSLKAASLGLNMVAACHVLMLDLWWNPTTEDQAVDRAHRIGQTRPVTVSRLTIKDTVEDRILALQVGFEAFIVFESSASSSMSRY >KQL04698 pep chromosome:Setaria_italica_v2.0:V:11062434:11063134:-1 gene:SETIT_003735mg transcript:KQL04698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQQSLLPPTTSLAMDGGGGGGGYSPRFQRQASCSCAPSISRRGFVRGGFDLDGDDYYYYDDIFHSSSGGGAAAYDKVDGHYPAGTQRLSARARLRGLWRRIMREKKRILLCTTGCVPAAAPPHREPYDAYSYAQNFDDGAAWVEPENLSRSFSARFAVPSRVLQRVAV >KQL07621 pep chromosome:Setaria_italica_v2.0:V:40886928:40887368:1 gene:SETIT_005557mg transcript:KQL07621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIESVPQCLQYMFSAIRSILSIQIKKRERSWKTCYPFLADFLREIPLSVGLHK >KQL08584 pep chromosome:Setaria_italica_v2.0:V:46169074:46171292:1 gene:SETIT_002652mg transcript:KQL08584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSGGGGGDFSVLVLGSDFATDAGAALLTPADREEWHDCLPDLSDADACFSDLEELQVVLVQGTDRAGRTIVRVVGKFFPAPVIDGERLKKYVFFKLRTELPEGPFCILYIHSTVQSDDNNPGMSILRTIYEELPPEYKERLQVFYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYISRLEYLWVDIRKGVVEIPDFVVEHDKVLEHRPLTDYGIEPDPLHLADVPAAGYSLGRYEDKWAPEDRRYSRNYM >KQL08585 pep chromosome:Setaria_italica_v2.0:V:46169102:46172294:1 gene:SETIT_002652mg transcript:KQL08585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSGGGGGDFSVLVLGSDFATDAGAALLTPADREEWHDCLPDLSDADACFSDLEELQVVLVQGTDRAGRTIVRVVGKFFPAPVIDGERLKKYVFFKLRTELPEGPFCILYIHSTVQSDDNNPGMSILRTIYEELPPEYKERLQVFYFLHPGLRSRLAIATLGRLFLSGGLYWKIKYISRLEYLWVDIRKGVVEIPDFVVEHDKVLEHRPLTDYGIEPDPLHLADVPAAGYSLGRYEDKWAPEDRRYAKTR >KQL06652 pep chromosome:Setaria_italica_v2.0:V:34816097:34820267:1 gene:SETIT_002135mg transcript:KQL06652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSQGSAAVAAARVDLCALDLMPVFAKEMIAGGVAGAFSKTAIAPLERVKILLQTRTNEFGSLGVLKSLKKLRQHDGVKGFYKGNGASVLRIVPYAALHFMAYERYRCWILNNCPSVGTGPLVDLLAGSASGGTAVLCTYPLDLARTKLAFQVNSSDQLSSAIKRASPHPTYGGIMDVFRGVYSEGGVRALYRGVGPTLMGILPYAGLKFYIYEGLKTRVPEDYKNSVTLKLSCGAAAGLFGQTLTYPLDVVRRQMQVQNQQQNGLFGCPRITGTFQGLIAIKQTQGWRQLFAGLSLNYIKVVPSVAIGFTAYDTMKHLLKIPPREKVRSGDGPA >KQL03254 pep chromosome:Setaria_italica_v2.0:V:560498:562589:1 gene:SETIT_004908mg transcript:KQL03254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQWVVLLRSPLVPLDLPKDAGISLALAAPPRISQLQVPPSLVVSGSAVLAADPTGILLLSASRGTDPLAADEPASASYDLWDAVSKTSIHVPARETTVNDDTGVAGLVVARKFGQRRIMVAELSVYDGAATLRGFSTETSRWTQKDLHYPPIKRQWCSAYALSYRGRLWWVDLLLGLLVCDPFADNPELRWVPLPSCYRLRPQVGEGHRKGLSNDRCVSLSCGKLRLVVISRRTHQPRIKLWTLADYEAGKWSLDFDIPIEHIGLIRRSVLAFVHPNNAHVVYFFLEQQLFAVDLQTNKVTESASIGRDHGDHVLAWELPQSLRVPLPGPSPTQESRFTFGFDSVADFFSEAYGHALADMESYKLSNIALAHLNRKKKLEFKLSDRLFLQTFVEDTDTKIKKY >KQL07954 pep chromosome:Setaria_italica_v2.0:V:42790347:42790421:-1 gene:SETIT_0000651mg transcript:KQL07954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNHELPRAILNAITFDLMTSTDM >KQL05717 pep chromosome:Setaria_italica_v2.0:V:27195644:27196102:-1 gene:SETIT_005269mg transcript:KQL05717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHRHSIYNLFVNDTVIVAFPNKISMLKL >KQL06970 pep chromosome:Setaria_italica_v2.0:V:36788145:36790218:-1 gene:SETIT_001890mg transcript:KQL06970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLASLPLEGIRNKQPAKQMSGTSAASHRPSKPYPPPHAPRRLALNLTTPLVSLALLLLAAAALFLYSQTAARPYESRGEVPSLSSPTVEIFDGARAIWELPAAAPARAVLFVAHGCSCRPENFWPPSPRCPGCVGLPEDVAITDLALRRRFAVLAVGSAGECWSLRKEVNGAKRVIQSWAAKNGLEGLPVVALGASSGGYFVSRLAAKMSLAAVVIMIAEGAFGGAAGAPAAVYPPTMFIHMPKDRRRAALLERNSKMLMKNGVEVKELQSLELPLTPTLLSERITGLDRVLSEKIWTAFREEGFVDDEGYMKEDGRATPWKDALVKRGFWKEVSPLADHIQEELNLAYGYHEMTSLHADEMFNWIEKHLK >KQL08153 pep chromosome:Setaria_italica_v2.0:V:43814584:43818994:-1 gene:SETIT_001812mg transcript:KQL08153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCRWVCCNCQVDESDQRENGQFKVTTNNADGMTKGFKDSATGKVEPQNAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEPTTEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKVAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVKQCVDPRLKGEYPAKGLAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLAANMIGTTAWIIDKMDRFTSRIRTTTWRTSLVLQ >KQL08152 pep chromosome:Setaria_italica_v2.0:V:43815208:43818260:-1 gene:SETIT_001812mg transcript:KQL08152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWCRWVCCNCQVDESDQRENGQFKVTTNNADGMTKGFKDSATGKVEPQNAAPPINIPVLSLDELIEKTDDFGSTALIGEGSYGRVYYAVLDNGTKMAVKKLDSTENEPTTEFLTQVSLVSRLKHENFVDMLGYCTERNLRIVAYEFATMGSLHDVLHGRKGVQGAQPGPALDWMQRVKVAVDAAKGLEYLHEKVQPSIVHRDIRSSNILLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLTEDKVKQCVDPRLKGEYPAKGLAKLAAVAALCVQYEAEFRPNMSIVVKALSPLLVSKQTQTPAPPPAVDS >KQL06404 pep chromosome:Setaria_italica_v2.0:V:33127284:33130550:1 gene:SETIT_000228mg transcript:KQL06404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHNLAACRLCVAFLLLSTATLSSAAASSLDAICTIPSPRPKHHDVSKDDAFVLLNLFQLNAGYFFGGEDIHFAKDDSNSSSSFVTRSFSFFPHSVDPTSDSDLLHVAATLDLSGGRARSILTSHRRRHRYVGDHSIKFYLDGYYSSATDELCMTGQGTYPSDDGTIQRLEGVVLKLRMPGLSNLSDPFVTGRLKGASFETISLVAYAEGAYHYGESASCPTLHPSSTSTAKGALQALGASFSCAHLKEHLATSYKLQYSGGGAHAPGSSPPMGLQAPRLHVGQVQCTKDGEVRAYAAFYNGTNKWRQLQPHPPFMVGDEVLVAEGRWDSERSLLCLTACHVVYSESEMSVSVKECGIAMSFWFPGAWTIRERSVLAGMIWDSNQAPGGFGGPGEIRVSSIDSTNHRSNFSDVRYDYTMVEEARKLYLNDPVLSDARKKVKGSFVAPNYTDHDFAFHFQEAKDSVGSGRAYPVTIGSAMVYGDELAADDSFSRHAVVDTKQELVNVSYDIRHHVPPADWNNSYMVSLEERRITAEGVFDPKTGVMCMMACREHDSSMTDCQILIIVHFASLDRKDQGHGKGAISSLRNKTTDPLFFEKIEIVLYGMYSEQVSESISRMDLESVMLVISTTLSCVITILQIFHVKKRPEAAAATSITMLVILALGYVAPLVISSEALFLSRRSLYMPFPFDSYVPYELSQAMMRAPTLIALLLQLRLIQLAWSARKTATDAGRARAEASWAGERRALWLCVPLYLIGGALTFIAHAVNARRAAREGSLTVRLGPVPATLWEDLVSSAGLVLDAFLLPQVATNAFASVAGAAGVRALSPWFYVGGTVVRAMPHVYDVIRARGYVPNLRPSYVYASPRYDRFGVGWDVAVPCGATLLAVLVFLQQRVRLAAAPLFPSRRRLGEYEMVSNL >KQL05294 pep chromosome:Setaria_italica_v2.0:V:21028765:21037359:-1 gene:SETIT_001996mg transcript:KQL05294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGTPLSHPRPHLHSGGVYISQSRTLPRARNLPLLSLPAAAPGPQRGRRRCLRCAAVNGDGRPREEGPPPPREEYPSSGLGAALEDPASGPPVENGSFGGLSQEEDQSALYNFLYPSKELLPDDKEMSIFDHLEELRERIFISVLAVGAAILGCFAFSKDLILLLEAPVTVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLSPAALNFFVNYADGAVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLIGR >KQL05295 pep chromosome:Setaria_italica_v2.0:V:21030563:21037359:-1 gene:SETIT_001996mg transcript:KQL05295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAGTPLSHPRPHLHSGGVYISQSRTLPRARNLPLLSLPAAAPGPQRGRRRCLRCAAVNGDGRPREEGPPPPREEYPSSGLGAALEDPASGPPVENGSFGGLSQEEDQSALYNFLYPSKELLPDDKEMSIFDHLEELRERIFISVLAVGAAILGCFAFSKDLILLLEAPVTVQGVRFLQLSPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFVLPGLTRDERKFLGPIVLGSSVLFYLGIFFSYTVLSPAALNFFVNYADGAVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQLLLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLIGR >KQL08005 pep chromosome:Setaria_italica_v2.0:V:43086082:43087829:1 gene:SETIT_003179mg transcript:KQL08005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQEDHRSKDGEVAVEGGPVMDWQLEPGVASKLYDVFTVAGLRVDAIEPGRALICSFTVPTRLTDGSKRMHGGALASLVDLVGSAVFFAGGSPTTGVSLEITISYLNAARANEEIEIDARVLGIGETTGCVTVEVRKKGTGEVIAHGRHTKYLAVSSKL >KQL08367 pep chromosome:Setaria_italica_v2.0:V:45040262:45042035:1 gene:SETIT_001337mg transcript:KQL08367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWPWSACGSVHLLGEEGAEPEQPLRRVPFLGGALRAPRVVPAAGRGRGHARRRLVVVCGFGGGGCGRGGGGLLLGAGLLLLRGGLLQLVAPQLPHGAGAPDADAGAAGARVVVTALAGHQPPQHGGGAVASGGCGGGGQRHRLGGRSIFFCCRWFCCCCGGGDSSCNRGGRGNGRRRPGGLSAGVAAGARGAAHLARGWRRGRRRARGAGIGISTRIGVGTGAGVGVGILIWLGPAQGACCCRAAGGVAGGAAPGEGPDVDVDELGVPADAAHAALHLLAVLGLAAARLEDVLPLERDRREVRRRRDAGLRERLRGLLPFRSRLWHRDLRGVRRVLEVRILGVGLGALGVAFSGSNVAIGGGRLEGVEREHDAAGEELVGGEQGRGRERGRVPAHHPELELRRRGRGRRRPPGLDAALRRRRRKRLHGVRHCYCPLRQQQRKPPADSGKRKRRPGSVSHSRRRR >KQL04356 pep chromosome:Setaria_italica_v2.0:V:8440909:8444495:-1 gene:SETIT_004461mg transcript:KQL04356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRSVNPSRRVADGGLPSVGGLLHPKSRSPPVLTIALVVLGVILLVAYFNSGSGVTVTSREAVSRSEGTCTSEVMRALPYLKKAYGNAMQKVLHVGPDSCTVVSNLLKEGKVEAWGVEPYDLEDTDSSCKSLVRKGFVRMSDIKFPLPYRPDSFSLVVVSDALDYLTPRYLNKTLPDLAKVSTDGLVIFAGNPGQQKPKVSELPKFGRPAKLRSSSWWTRYFVQTGLTENEGPLKKFEEATSKDEYKPDCQIFHLSS >KQL06220 pep chromosome:Setaria_italica_v2.0:V:31818495:31822745:-1 gene:SETIT_004865mg transcript:KQL06220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QEAAMATTTDAAAVAPVEEENPAPVAAEAAAEEDPTKKVEPAMDITTDAAPVAPPLEDEKPAPAAGEEEPREYSESKEIKAGRGEEEEVRLEGHGEGFGGPEAENGEAKGAGGGGDGGKVEEAEEDVKGVSQGAAEAEKDDVGGEAVAAPAPAVESKSETGELGEEDASLASPDAPEGDEKGGLREEQEEAGAAVEAEAVDKVADDGQSAVAEEEKREPEAAKGEEVVSGGGDVGELGDEKEVEFSARSMEVTKPEDKVPVAESNGELADKKGASDDVVALGSEEALEESTNKGADVEDEAANPEPASEPSPVDSPEKGQNAEGQDAASEAPKESTNMDADLEDEAAKVQPSSETSPVVVNDGSAEEPSPARTDSVIEDSLEKEQAAEEQAAASEAVEDAGAKKLKEVENGAAAPELAPESSNEYNGADETKGATEVADHEEEAGDSDIIEAEAVADVEDGVGNEADEDDDGANSDTSPARVAILESSEAAKQIMKELAEGSSRGSVSGSRDFAESMDGQIMLDDSEDDDDDDEEDGDEKGFDSAALAALLKAATGGSSDGNITVASQDGSRIFTMDRPAGLGSSATSLRPTAPRQPARPNPFSPSELAVTADPTEEMTEEEKKLHDKVELIRVKFLRLVYRLGATPEETVAAQVLYRLSLAEGIRHGRQTNRAFSLDNARRKALLLEAEGKEDLDFSCNILVLGKVGVGKSATINSIFGEEKTRTDAFSSATTNVREIVGVVDGVKIRIIDTPGLRSNVMDQGSNRKVLSAVKKFTKKCPPDIVLYVDRLDSLSRDLNDLPLLKTITAVLGSSIWFNAIVALTHAASAPPEGLNGAPMTYEVLMAQRSHIIQQSIRQAAGDMRLMNPVALVENHPSCRRNREGQKVLPNGQSWRHQMLLLCYSSKILSEANSLLKLQDPNPGKLFGFRFRSPPLPFLLSSLLQSRAHPKLSAEQGGNEGDSDIELDDYSDVEQDDEEEEYDQLPPFKPLTKAQLLRLTKEQKNAYFDEYDYRVKLLQKKQWKDEIRRLKEMKKRGKTDLDDDYGYANIAGENDQDPPPENVSVPLPDMVLPPSFDCDNPTYRYRFLEPTSTVLARPVLDAHGWDHDCGYDGVSVEETLAILNRFPANVAVQVTKDKKEFSIHLDSSIAAKHGDNASSLAGFDIQTVGRQLAYILRGETKIKNIKKNKTTGGFSVTFLGDIVATGLKVEDQLSLGKRLSLVASTGMMKAQGDTAYGANLEARLKDKDYPIGQSLSTLGLSLMKWRRDLALGANLQSQFSIGRGSKMAVRLGLNNKLSGQITVRTSTSEQVQIALMGLVPVLASIYRSFRPSEPSFAY >KQL03881 pep chromosome:Setaria_italica_v2.0:V:5206792:5208881:1 gene:SETIT_000786mg transcript:KQL03881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSATSATNFQLLLMLLLLATARRGNAAAVFAGLSFESEGEAEAFEDALLRQACFNVSVGGREACVSRLDTARGGAGSGPVPVLRAALRDTLGEAVGAAGAVRGLASLSNHAREEMAVRDCVELLGYSVDELGWALDAMAEAGDGGVEPDAPGSAAAARRAEDDLHAWLSAALGNQDTCVEGFRGTDGRLMRRVEAAVAQLTQLVSNLLSMHKRLRSITPLRHAPPGSNGTGGAAADPGSELPPWVMDVEGEGGKDEEPKPMPKSARAGGSKPTRVDVVVAQDGSGRYRTVSEAVARAPSHSKRKYVIYVKRGVYHENVEVRKKKTNIVLVGEGMGETVISGSRSFSGGWTTFRSATFAVAGAGFVARDLTIRNTAGPAAHQAVALRVDSDRSAFFRVAVEGYQDTLYAHSLRQFYRDCRVAGTVDFVFGNGIAVLQRTLVATLPLAPGQTGSVTAQGRRDPNQNTGFAFHGCVVEAAHPTFLGRPWKPFSRVVVMESYLGPGVQARGWLEWAATGAGEHAVALATLFYGEYRNYGPGAGVAGRVRWPGYHAIVDAAVAGRFTVRRFIDGLAWLPGTGVTFTADLFRK >KQL03921 pep chromosome:Setaria_italica_v2.0:V:5357150:5360548:1 gene:SETIT_003124mg transcript:KQL03921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLQLPPPLAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLNRFNEAIVQCSIVLSEDESNVKALFRRGNAKSELGQTESAREDFEKAKKYSPEDKEILRELRLLAEQDKALYEKQKELYKGLFGPRPEVKPKKANYLAIFWQWLVSLIRYLVRMFKHKIE >KQL06153 pep chromosome:Setaria_italica_v2.0:V:31010482:31018484:1 gene:SETIT_000602mg transcript:KQL06153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNVVEVEPGRPAADGRPSVGPTYRSAFARDGFPPPVPGLDSCYDIFRMAVEKYPNNRMLGHREIVDGKAGAYVWKTYKEVFDIANKIGNSIRSCGLAKGSRCGIYGANCPEWIITMEACNAHGIYCVPLYDTLGAGAVEFILCHAEVEIAFAEEKKIELLLKTLPKSNEFLKTIVSFGKVTQEQKEEASKFGLSIYSWDEFLSLEADQEFDLPVKEKTDICTIMYTSGTTGDPKGVLISNASIICLIAGVDRLLNCVNEELAESDVYMSYLPLAHIFDRVVEELFIFHGASIGFWRGDVKLLVEDIGVLKPTILCAVPRVLDRIFSGLQAKISSGGFIKSTLFNLAYKFKQFRMMRGAKHDEAAAICDKVVFSKVKEGLGGNVRVILSGAAPLATHVEEYLRVVTCAHVIQGYGLTETCAGSFVSLPNQMSMVGTVGPPVPNIDVRLESVPEMNYDALARTARGEICIKGETLFSGYYKREDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYGLVSAIDSIWIYGNSFESFLVAVVNPNKEALESWAAANGISGDFESLCQNPKAKEYILGELSRIGKEKKLKGFEFIKDVHLEPVPFDMDRDLITPTYKKKRPQLLKYYQGVIDNMYKSAK >KQL07063 pep chromosome:Setaria_italica_v2.0:V:37431915:37434454:-1 gene:SETIT_002693mg transcript:KQL07063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFAEEIRPLDVGLDNEAQIARNWNSSTRSSNGRRGRNGANQFATPIKYLHIHECESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGRLHAESYDWIDVPDHPIDQLQARPAKLVRDREMTAPETSILYPNRGGNIHTFRAITPCALFDVLSPPYSAEDGRHCSYFRKSLVNQPPVVLPAEIDSSQVVWLEELEDHQPPDGFVVARGLYKGPVIRI >KQL07064 pep chromosome:Setaria_italica_v2.0:V:37431915:37437146:-1 gene:SETIT_002693mg transcript:KQL07064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIKNLSNACKVSFSPDGPISEEALERVRALLEEIRPLDVGLDNEAQIARNWNSSTRSSNGRRGRNGANQFATPIKYLHIHECESFSMGIFCMPPSSVIPLHNHPGMTVLSKLLYGRLHAESYDWIDVPDHPIDQLQARPAKLVRDREMTAPETSILYPNRGGNIHTFRAITPCALFDVLSPPYSAEDGRHCSYFRKSLVNQPPVVLPAEIDSSQVVWLEELEDHQPPDGFVVARGLYKGPVIRI >KQL04702 pep chromosome:Setaria_italica_v2.0:V:11098585:11100804:-1 gene:SETIT_001306mg transcript:KQL04702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVDPPPRGEATAAAPAPVLRRSASIERIPEDARRILHRLAGELWGGDVDPGALTVSQLKGAMTNEVFRITWPGGEGDPRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFANGRVEEFINARTLSAADLRDPEISALIAKKLREFNDLDMPGPKNVSLWQRLRRWLEEARGRCSEEESKQFQLNKVGDEIAVLEKALSRVDQTVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMAADYHTATPHVLDFTKYPDTEEQRRFVQTYLSSSGEKPSDEEVENLLGLIAKYTLASHLFWGLWGIISAHVNKNIDFEYMEYARQRFDQYWQTKPRILGAN >KQL04703 pep chromosome:Setaria_italica_v2.0:V:11098585:11102235:-1 gene:SETIT_001306mg transcript:KQL04703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSFLPPTTLSRAAIGWRSSGTGPIIHAAASSPCASAASSEHPQLHRRRRPGAHGGAHRPAMAPVTQARWSALPPRLRLPPPAGADGVAAASFGRSYRRRRRLVLLRSPMVAVDPPPRGEATAAAPAPVLRRSASIERIPEDARRILHRLAGELWGGDVDPGALTVSQLKGAMTNEVFRITWPGGEGDPRKVLVRIYGQGVEVFFDRADEVRTFECMSRHGQGPRLLGRFANGRVEEFINARTLSAADLRDPEISALIAKKLREFNDLDMPGPKNVSLWQRLRRWLEEARGRCSEEESKQFQLNKVGDEIAVLEKALSRVDQTVGFCHNDLQYGNIMIYEETRQVTLIDYEYASFNPVAFDIANHFCEMAADYHTATPHVLDFTKYPDTEEQRRFVQTYLSSSGEKPSDEEVENLLGLIAKYTLASHLFWGLWGIISAHVNKNIDFEYMEYARQRFDQYWQTKPRILGAN >KQL06092 pep chromosome:Setaria_italica_v2.0:V:30606297:30606755:-1 gene:SETIT_003725mg transcript:KQL06092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTYVIVHTFMCHLHSTWRKQCIEVGLSFFDRSWWCRMLDRSCACFYFC >KQL08619 pep chromosome:Setaria_italica_v2.0:V:46326837:46327925:1 gene:SETIT_002802mg transcript:KQL08619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAAVKVIGAFDSPYSHRAEAALRLKGVPYEFIPEDLSNKSELLLNSNPIHKKVPVLLHGGRAVPESLLIVEYVDEAFPGPPLLPADPFDRATARFWARFLDDKCSTPFWLSLWTEGEVQEGFVKEIKENLKLLEGQVKGKRFFGGDAVGYLDIAASGFAHWLPVLEEVAGVSLVTDEEFPDLCRWGQEYASHEVVKQCLPDREKLLARFTANKDLFVATAKSMAPK >KQL04204 pep chromosome:Setaria_italica_v2.0:V:7203839:7206782:1 gene:SETIT_001095mg transcript:KQL04204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNTFFPQRWGQARRRSGREGEGERPQPRSPCGCGCGRERHLLFLRPSLLFPHHQAVSPVSFVAATCSQCPAGRMTKDEEFKLVKIQNHVLRVNIHCDGCKHKVKKLLQKIEGVYSVAIDVDNHKVSVTGDVDAETLIRKLTRGGKHAELWTQQKGGGGNQGHKGNNQQKQQQHQQNHQQHQQQQKQGANPGKDCHNNKNNNGGQKDQGKQGGVGSLMQGLKAFKSQHNNKHQLPELDSEDDDMYDDEDDEFDDDYEEELRYLGDKMSQLGFHSNNHHQNQNKNGNNAAANNNHNTGKKGGNVTGGAGANHHQNQKNANVINMAAANAKMGAGVQNQKNANVINMAAAANAKMANGAQRNTGAMSGMLGLSHGLGAGNAAPGFQGYTGFNHPSYAAAGYGGLQQQHHLQQQQSNNLMASMQGYHHHPAATAAMMNNLRGLNSNMMMMHQPQQQPQMMYHSSPQISPYTGYYNPYNYYYHPHPGSAGYPASNGDVETMFSDENTKGCVVM >KQL06479 pep chromosome:Setaria_italica_v2.0:V:33724662:33728710:1 gene:SETIT_001981mg transcript:KQL06479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYSPPLLRRFFSCAASSASPGGGSGAGKKNLVFLGSPQVAASVLDTLLAASGSPESGFQVAAVVTQPPAAKNRGRKLMPSAVAQLALDRGFPEELIFTPERAREESFLSDLKEVKPDVCITAAYGNILPQKFLDIPPCGTVNIHPSLLPLYRGAAPVQRALQDGVEETGVSLAYTVRALDAGPVIACERFSVDECIKAPELLAILFDIGSKLLINELPSILDGSAKETAQPQDDSKATLAPKLNSEESWLSFDQEAKELHNKVRAFSGWPGTRAKLQLINQNGEPDMLEIKVISTKVLASCDKVGDENEILFSGSSLLIPCSGSTWLEVLELQLPGKKVTTARDFWNGLRGQKLLKSP >KQL07715 pep chromosome:Setaria_italica_v2.0:V:41373107:41373494:1 gene:SETIT_005634mg transcript:KQL07715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQKIFKEKEKNIILMNSPITMKDISIYKKNKNNIK >KQL04497 pep chromosome:Setaria_italica_v2.0:V:9403126:9404729:1 gene:SETIT_004538mg transcript:KQL04497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWLPDQNEKKYPKTSHVGFGLVLGYADLKNNRLTDYTFSYEKMLSDKNAAITFVHADERALGLHLIRFQEVVEQACTDLFPHYLCDYLYCLSEAFSKFYASCQWSDHLKKRAVCCFATRRPSSCSSVSTCWASLQSTSYK >KQL05104 pep chromosome:Setaria_italica_v2.0:V:15981246:15981858:-1 gene:SETIT_005365mg transcript:KQL05104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKALASITSMERDRRRLWWTRPHHRVDEAAASPPLSMNASAFRDHVLPIKMEMDQQPPVAAQHVQVCHWGRTSDACCCVA >KQL05105 pep chromosome:Setaria_italica_v2.0:V:15981246:15981883:-1 gene:SETIT_005365mg transcript:KQL05105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRRRHPSRWSALLLPSRMLQPSEIMSCRLRWRWINNLLWRRNMCKYVIGVAHRMPAAALPDVLL >KQL05106 pep chromosome:Setaria_italica_v2.0:V:15981544:15981883:-1 gene:SETIT_005365mg transcript:KQL05106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDFWPLPCGCPLLPLLANRAIPDGGEGSGFHNLHGKRSTAALVDAAPSPSG >KQL05418 pep chromosome:Setaria_italica_v2.0:V:24077131:24078082:1 gene:SETIT_004373mg transcript:KQL05418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFTKLALKAKFYLGKMFGAITVLRFGIELAWNMTPNYSVFRSMLILYIHFCACTPFPEISPQPENNFFDKWWASTNIGIDGQARKGLNSVIILGAWCIWNHHNRCVFDGIQLSLNEVLASVRDELQL >KQL05862 pep chromosome:Setaria_italica_v2.0:V:28505373:28506725:-1 gene:SETIT_001421mg transcript:KQL05862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYSKKRKPDENGAATASPAGGAAALGLTRDDVLRLLEPLSRDQLADIAAAAALVSAHALDAVRAAADRDPALRKLFVRGLGWETTSDSLRSIFSAYGDLEEAVVITDKNTGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGAAGGPSGGAAGSGGAPVADVSLRKIFVGNVPADMSSERLLAHFASYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIDGHQLVCKLAIEGKKGKQGQSQQSGPGNQQQQQQMLQGGPQDMQGGLGLGPGPQMGAQYGGPGSGLSSFGAFGGVGGGFGGPNPYGNMPSSMGGGGAGGLGSMGGQVPTGLGGAGPGAFGPGGLGGGSFGGSSQFGAGGMGAYGGLGMGGGSMYRMQQGGGGLPAGAFGEGGNYPLPGSGFRGQDPQGGMSPGPGGRAPPMYPNVPPYF >KQL05863 pep chromosome:Setaria_italica_v2.0:V:28503138:28506813:-1 gene:SETIT_001421mg transcript:KQL05863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPYSKKRKPDENGAATASPAGGAAALGLTRDDVLRLLEPLSRDQLADIAAAAALVSAHALDAVRAAADRDPALRKLFVRGLGWETTSDSLRSIFSAYGDLEEAVVITDKNTGRSKGYGFVTFRHADSAVLALKEPSKKIDGRMTVTQLAAAGAAGGPSGGAAGSGGAPVADVSLRKIFVGNVPADMSSERLLAHFASYGEIEEGPLGFDKQTGKFRGFALFVYKTPEGAQASLVDSVKVIDGHQLVCKLAIEGKKGKQGQSQQSGPGNQQQQQQMLQGGPQDMQGGLGLGPGPQMGAQYGGPGSGLSSFGAFGGVGGGFGGPNPYGNMPSSMGGGGAGGLGSMGGQVPTGLGGAGPGAFGPGGLGGGSFGGSSQFGAGGMGAYGGLGMGGGSMYRMQQGGGGLPAGAFGEGGNYPLPGSGFRGQDPQGGMSPGPGGRAPPMYPNVPPYF >KQL04385 pep chromosome:Setaria_italica_v2.0:V:8657462:8660177:-1 gene:SETIT_004823mg transcript:KQL04385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADEADAAGADDAGELLVWPWTGILSTTAADEDADAAATLAFHAHRRFAGVATTALREEPTTHQHRQQHFLLLHFGKSWAGLRDAMSLAAYFTGAGRRKWQRRGEGDAGCVFGWAAVREDLIGDGAVGRFLRESGTAARSVEDIEKDEASAAVMLGAVASEYQRRERFLAAKNEEMARVVQRMEEESNRLHSELKELKAVADNTLLEMFRGADEENNKLRAELDAIKGEVKLRVDRIQELKECRTELHCSKVEKEEMEAINAKVIQLEKQLEQKEAQESAICLLNTKLQAEENLKEAYGHLHKLCLESMMISDFTVIGIKRMGQLDEKPFHHACKRKYSDNDPDGKAARLVSSWQEELKNKSWNPFTTFLVDGEEKDVVNEDDPKLRQLWTEYGDNVCNAVKVTLTELNDYSPRGRHAVNELWNFREGRKATMAEVVKYIFEQLKTSS >KQL05283 pep chromosome:Setaria_italica_v2.0:V:20715224:20715698:-1 gene:SETIT_003535mg transcript:KQL05283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIKTPFSYRTYNSQHFNTAPRIRQRYKLQIIKSRGNPSGIVPFTMLVSTESEGAIDSERKREIFCTEQSVAPRFRSKSPAPPTPTT >KQL07721 pep chromosome:Setaria_italica_v2.0:V:41390313:41394350:-1 gene:SETIT_002926mg transcript:KQL07721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSSVTAEDLVDALREVDWSTPPRPVSEFFSRFTAPRSYSKWTSRLKCNLYYYRTNYFILVMFILGMGFLRKPVAILAALATGLSIAFLNDSFAVTFNEKVTRSVRQFSPHLAAKMRPPTTPVIRGRPSKRRSIHICGRPRWVLVLLFSAVSCMLWLTSCSLLTVLWALLIGLLATLLHASFRTPNLKARLNTFREEFRAVWRNYSEL >KQL06397 pep chromosome:Setaria_italica_v2.0:V:33065242:33065750:-1 gene:SETIT_003174mg transcript:KQL06397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAVQLPRYVVFDLSAGAGLRCFSAAPAVEHVGVPFRTQTLGLETLHRTRLSRADDLAAHRPIEQAGTAMNSCDRVQISDPDTVSDAGTSGVQAAEESEDEADSFDELFFQEGFARKIDALAQLVGMEGAYKPAAVLGEVVRVLQAADRRKCSLRANTAV >KQL03604 pep chromosome:Setaria_italica_v2.0:V:3369742:3371713:-1 gene:SETIT_001314mg transcript:KQL03604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPRATIPAPTTTRRAAAPPLGSDRAAMRSLRVAVIGAGAAGLATARELCREGHAPVIFERSGGVGGTWIYEPREDGGHSSLYESLRTNLPREVMGFLDFPFAAAPDSLDTRRFPRHEEVLRYLQEFARRFDLHGLVRFGTEVTAVDVLQDRHHWRVRWRKAAGGGGTDADAARTGEDEEEQEESYDAVVVCNGHYTEPRIADIPGVDAWPGKQMHSHSYRVPDPFRDQVVVIIGAKNSGGDISRDIAGVAREVHMANRASPAATCERLPGYHNLWLHSMVERADADGSVVFRDGTSVRADVIMHCTGYKYSFPFLAGETVSVHDNRIHPLYKHVFVPRLAPSLAFIGLPFKVIPFPLVQLQASWVAGALSGRIQLPSEEEMMEDVRALYSQLEAIGWPVRYTHCLKHDQFDYDDWLAEQCGHAKVEGWRRQMYDAASKKKVECPETYRDEWDDHHLLEQANQDFQKYH >KQL03750 pep chromosome:Setaria_italica_v2.0:V:4421962:4423581:-1 gene:SETIT_005168mg transcript:KQL03750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPRWDGWPETDGDDRTPVATRTASRGIGGGRPRDANTGRGQGAGNLLSFGATPPGPWFAFAPPHPCAPPLRQHVRAPVFAQPPRDPFPWSTPVFANPFDDPRLALTMPCPWGPPSQVPFGGSGGGAAAPKGGGGDPGVLFPGSARPVRTDCDADGGASCLPLARQVALRTAGGGEARNFIVSPLSFHAALALVAAGTRGETQRELLSFLGSDSLDDLCRAAATVLVGRLPDVAETASFACGVWVDRNRFLTPEFANTAASRYAAVAEPVDFSSEPEMARRRVNAFVSEATKGLITDVLPPGSVDSSTVLVLANALYFKGTWARPFDPFRTFTAPFHLPGGDTVSAPFMTANLFNEQLIAVFPGFKALKLPYKSGGGHQAAFHMLLLLPDGEALKIGDLYDRAVSTPGFIRKHTPVDEVTVGRFMVPKFKFTFDFEASEDMKKLGVTRAFRGGDFSGMVTSGDGLFISGVYHKATIEVDELGTVAAAATAQCARMARLPPVDFVADRPFLFAIVEERSGVVMFLGHVVNPLAG >KQL04520 pep chromosome:Setaria_italica_v2.0:V:9542071:9543016:1 gene:SETIT_0046862mg transcript:KQL04520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNYNFPEPIPTWISNLMNLKFLSLYDDNFYGPIPPWISNLTQLSVIVFSRNDLTGKIPRSSFTLPELGYLLLESNQLSGHLDDIDDP >KQL04467 pep chromosome:Setaria_italica_v2.0:V:9243884:9244692:1 gene:SETIT_003759mg transcript:KQL04467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGLQMKLLIDTKAQRVCFAEAGRDVFEFFSCLLGLPMSTDINLLTKERMVVSIGNVLASVQDLDGKFVISSKSKEPYLSPAVAPTVLCPLQKLLDAPLNASTKFFTLEGKSDYYGVHPCGYFSATKGSKCPSCSKTMATPMKHVKPNGFAVGMATYTIMDDLSITPACSVSSVAMLAECGVTDLSSLQQKTVKIGKEEVAHLRRRYFLDSLKSKTVLTDVFLQKEKVLWKKEA >KQL04881 pep chromosome:Setaria_italica_v2.0:V:12715271:12715873:-1 gene:SETIT_003649mg transcript:KQL04881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRADGGGSTTGETMEEGRQHGEQARYQSSEDGGGSSDRCSGGNEMISVQFMQKVWLIMLLQN >KQL04423 pep chromosome:Setaria_italica_v2.0:V:8835685:8839340:1 gene:SETIT_000143mg transcript:KQL04423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGMRVMSLLPATIAVMIAAASWGAHGGASDEASALLAFKAELAGSGSGMLASWNGTAGVCGWEGVACTGGQVVALSLPSYGFAGALSPAIGNLTFLRTLNLSSNWFQGEVPASIGRLARLQTLDLSYNAFSGTLPSNLSSCVSLLLLDLSSNRFHGRIPVELGDKLTSLQKFSLGNNSLTGAIPGSLGNLSSLNYLDLTENHLEGPIPHELGSMGGLQVLALDENRLSGVLPHSLYNLSSLKSLWVGTNMLSGTIPADIGDRFPGMEALDYSSNRFSGAIPPSLANLSALTKLVLQENDFIGYVPSALGKLQSLTALFLGDNRLEANDSQGWEFITSLANSSQLQLLVLGNNSFSGQLPNSVTNLSSTLQGLYLGDNMISGNIPINIGNLVGLTVFEMGNNTFVSGQIPESIGQLRNLGVLGLYNTSLSGLIPSSLENLTQLNHLYLYYGNLEGPIPSNLGNLKNVFAFDLSTNRLNGSIPIEVLKLPRLSWYLDLSYNSLSGPLPTEVGTMVNLNELILSGNKLSGTIPASVGNCISLVRLLLDNNLLEGSIPQSLKNLKGLALLNLTMNKLSGSIPDALASIGNLQQLYLAHNNLSGSIPKVLQNLTLLAKLDLSFNDLQGEVPKGGPFANATHLSIDGNDELCGGNPQLHLAPCFTAAAGKNRRRMSRSVMVTVASICALLFLGLVVFLIHLIHKTLRQGKENQLIPTVIDEQHERVSYQALANGTDHFSEVNLLGQGSYGAVYKCTLHDKGITAAVKVFNVWQSGSTRSFVAECEALRRVRHRCLIKIITCCLSIDHQGQEFKALVFEFMPNGNLNGWLHPASKIQSLSNTLSLAQRLDIAVDIMDALDYLHNQCQPPIIHCDLKPSNILLAEDMSARVGDFGISKILPDDTSKTLLNSVSFTGLRGSIGYVAPEYGEGRAVSTLGDVYSLGILLLEMFTGVSPTDDMFKDSLDLHKFAEAALPDRALEVADPAIWLHEEAKGKDPATVRSRSEVCLASVIGLGVSCSKQLPRERTAMRDAAAEMRPIRDAFLVQCATETWAAVGTTGLGSADS >KQL05798 pep chromosome:Setaria_italica_v2.0:V:27936979:27946513:-1 gene:SETIT_000245mg transcript:KQL05798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQTNWEADKMLDVYIYDYFMKRNLQATAKAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDVAASYIETQLMKAREQQQQQPPQQRQQQPQHIQMQQMLLQRAVHQQQQQHQQQQQQHQQQQQQQQQQPQQQQHQQQQQQQQQLQQQRRDGSHLLNGSANGISGNNPLMRQNQSTANVMATKMYEERLKVPSQRDTLEDASMKQRYGENAGQLLDSNEASLLKAASSGQSSGQILHGTVGGLSGTLQQVQARSPQLPGPAQSIKTEINPILTPRAAGPEGSFIGVQGSNQAGNNLTLKGWPLTGLEQLRSGILQQKSFIQNQQQLHQQIQMLTPQQQQQLMLQAQQNMSSPTSSDVDNRRLRMMLNSRNAVLGRDGQTNSGTDIIPNVGSPSQSGGDIDILIKKKLAQQQQLLQQQNNSQQQPQQHQLQQPAVSSQQSQSSNQLLQQEKSGIGSMPVDGGMPNTFGGAEQTAKKRKKPGSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSVQQLQQNGGSAKPMVMFGSDGTGSLTSPANPLDDVDRLLEDGSLDDNVESFLSQDDMDPRDNLGRCMDASKGFGFSEVAKARASSTKVVCCHFSSDGKLLATGGHDKKVFLWCTEPLKSKSQLEEHSFLITDVRFSPSMSRLATSSFDKTVRVWDADNTDYSLRTFTGHSASVMSLDFHPNKEDMICSCDSDGEVRSWSINNGSCLTCVKVFKGGATQMRFQPCKGKYLAAASEKTIYILDGETQHSCRSPLQGHNKNIQSLCWDSTGDYLASVSEDSVRIWSFTPGHDGDFVNELNCSGNKFHSCVFHPTYPSLLVIGCYESLELWDIREKNTMTLNNAHEGLIAALAACSATGKVASVSHDRFVKLWK >KQL04790 pep chromosome:Setaria_italica_v2.0:V:11806588:11812239:1 gene:SETIT_001127mg transcript:KQL04790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAVSGLRALKRHRADAQMMNIAIRSASTSVAQSSSGGFWTWLTGARSNALPPPDFELPGVTIPPPLPNLVEPGKTKITTLSNGVKIASETSAGPSCSVGVYVDCGSVYEAPETTGASQLLKTLAFSTTTNRSELRVVREIEAIGGSAKASASREMMSYTYGALKTYMPEMVEVLIDCVRNPAFLDWEVKEQILKLKAELAKASSNPEKLLLEALHSTGYSGALANPLIAPESSISRLNTDVLEQFIAENYTAPRIVLAASGVDHDELVSIAEPLLSDIPSVAGTTRPKSTYVGGEYRRTADSSNTDVALAFEVPSGWLKEKDFVTVSVLQTLLGGGGTFSWGRQGKGLHSRLNHLVNEFDQIKSISAFKDVHSNTGIFGIHTSTDASFVPKAIDLAARELISLATPGQVEQSQLDRAKASAKSAILANLESKASLTEDMGRQLLAFGERKPVEHLLKAVDGVTLKDVTAVAEKIISSPLTMASHGNVLNMPTYESVSGKFSSK >KQL04789 pep chromosome:Setaria_italica_v2.0:V:11806588:11810324:1 gene:SETIT_001127mg transcript:KQL04789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAVSGLRALKRHRADAQMMNIAIRSASTSVAQSSSGGFWTWLTGARSNALPPPDFELPGVTIPPPLPNLVEPGKTKITTLSNGVKIASETSAGPSCSVGVYVDCGSVYEAPETTGASQLLKTLAFSTTTNRSELRVVREIEAIGGSAKASASREMMSYTYGALKTYMPEMVEVLIDCVRNPAFLDWEVKEQILKLKAELAKASSNPEKLLLEALHSTGYSGALANPLIAPESSISRLNTDVLEQFIAENYTAPRIVLAASGVDHDELVSIAEPLLSDIPSVAGTTRPKSTYVGGEYRRTADSSNTDVALAFEVPSGWLKEKDFVTVSVLQTLLGGGGTFSWGRQGKGLHSRLNHLVNEFDQIKSISAFKDVHSNTGIFGIHTSTDASFVPKAIDLAARELISLATPGQGILTIQSRLLFVDYLLA >KQL05920 pep chromosome:Setaria_italica_v2.0:V:29080613:29086009:-1 gene:SETIT_002244mg transcript:KQL05920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERQVAELGPGAACCGWNHCGRRLAAGAVDGSVSVYDSNPSPSSKWQAHEQAIVNVVWLPPEYGDAVACVCADGTLSLWEEVAEDDQLPTWRKCKVFEGGNCCILNVHFGLHLGSLKMVTPYSDGQVKIYELLDSLELDKWQLQAEFQNVTDPVSRFGKPSCTSASIAWNPRRGGSQQASFAIGFDSDSSHFNSCKIWEFEEAHQRWLPLVELGSPEDKGDRVFAVAWAPNIGRSYEIIAVATCKGIAIWHIGSNTDSEGRPSTENVALLSGHDGEVWQLEWDMGGMTLASTGGDGMVKLWQANLDGVWHEQAVLDCSGSHV >KQL06451 pep chromosome:Setaria_italica_v2.0:V:33573362:33576746:-1 gene:SETIT_001186mg transcript:KQL06451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAREDGEAALPLLLPRAGAGGGNKEEASSSWWRLWAREAGRVGYLALPMVVVSMSQNVVQVSSNMMVGHLPGVLPLSSAAIATSLANVTGFSLLIGMASALETLCGQAYGAKQYHKLGVDTYRAIATLLVVCIPLSLIWVFMDKVLVLTGQDLLISHGAGRYMIWLIPGLFANAVIQPITKFLQTQSLIYPLLLSSIVTMAIHIPLCYVMVFKTGFGYTGAALTTSISYWLNVGMLVGYIVFSSSCKETRTRPTIEAFRGVDAFLRLALPSALMICLEWWSFELLILLSGFLPNPELQTSVLSICLTSVTLLFTIPFGLGAAGSTRVANGLGAGNPDGARSAVRVVLSMAAMDAVIVGGTLLAARRLVGLAYSSEEEVVSFVAAMVPLVCITVVTDSIQGVLSGVARGCGWQHLGAYVNLGSFYLLGIPMAILLGFVLNMGARGLWMGAVCGSLSQSTFMSAITFFTDWPKMAEKARERVFSEKSPEAGP >KQL06815 pep chromosome:Setaria_italica_v2.0:V:35805800:35808547:-1 gene:SETIT_003926mg transcript:KQL06815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHMALLRKHRSSTVCTRTEHESRKKLDEAWDCILLHESSVNNQSRERMDPCNEMHSNLFWRKELNSSVNKQGIEVSESTNCPANTGCEVVVKHATGPKDDIFGDLPLLELESSKTMFRTGVDELPTVIEESFITSQSEADSIPEAVPLRLIDASDITVQTPSPLVDLVKSEVNPHNEPAFISHSDVAGSRPSTVRMDGLPHHKNISVMEPDPGNMQLKFNGSALSTNSDLRSKCGSRNPLQGCSDANKNCSKEIKKHSTSSTSSPAMRTRTEATKCKDALVKGKKSTDIAAVVALPAPMNHLSSQVSVLPSAVSQGVFSTRTNADDMSSFRSMPAKECIPSTRPFGMPANECVPSTRPSGMPAKECIPSARPSGIPAKECIPCTRPSGNFRSNVDPCVPLSTNNQGSWSSKPHLICSPANIGMAFMKLPGLERMEISNCNVEIGEKKYRNAQSMNTVRFQKQQLVSGMTNAMQGQKKIGLSNSQAGKTVLDGCLGQGDHHLQQPTVRLMGKTVSVCNRSKDHNVPTMGKVSPDNIPIESNYLSTAFRQLPQKRSFPCQDSVIPRVHINDSSDFLARIPNNNVSGQNTSFSGLHNQKLQPKNTASPTTKDCTWNFGSQFPHQAELNNATMVSANSKTRHLELRQPPHLTSIPQNQQSQMWTPASHMSRKDHSFVGSAANQCSPAPQLLIKASMKEKYQKSTLLSYDDPSSVPIRQPYQIPGEKLSSASAISFLDYGVDNSLSRSSSPGLSLSLTTGFANKSVSIGRPTCMVNLTNTDGRNDAGFADPISNGPAYTENVSQQPAKRQLVTDRQDFMSMDLNIASHSPGWSLSDAVGPRVLDFSKRTARDVVQTVRNESNNSRASSGPVPPMETRLRAAVVAGVNTMLRPGQNLNDHSKLLYSTKFSVNSGVNSVVL >KQL07037 pep chromosome:Setaria_italica_v2.0:V:37221279:37223623:-1 gene:SETIT_004933mg transcript:KQL07037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRRRVGDGGGDLGDAAMLGAVGLGDFARLVLPDHPPEELGLAAAYDDASGRILVSIAGASGAPISASPADLAGALELPPGPVGLAKGVDAALFATAEAIAAVSGFVRDRVILGGGGDGGHASGEVAAALRLVEEGKAYEVDWGGLVWAVVKGEVVDGTPRRYAPYLIHLLERQTPGLFADFDGRLPRPKRWKGQQCQWDSVGFLALEEEEDPSLVYGGSQNIGDLEDMPIFGVEDNDEAEASQRKIEDSSCASEGCHVNNTTLGGKQLLELVANPDQRNDTGERMDQNGVQL >KQL03647 pep chromosome:Setaria_italica_v2.0:V:3652859:3656165:1 gene:SETIT_000381mg transcript:KQL03647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTGRFVILVLAYRFLVPLLSAEPDHTTTRESYVVYMGSPSGGGGGGGLEVMQADHLQMLSSIVPGDEQGRVALTQSYHHAFEGFAAALTEEEAAALSGHERVVSVFKDRALQLHTTRSWDFLEVQSGLRSGRLGRRASGDVIIGIIDTGVWPESPSFDDAGMREVPARWRGVCMEGPDFNKSSCNKKLIGARYYSVQPESASNSNTPRAVAATGSPRDTVGHGTHTASTAAGAEVADADFYGLARGAAKGGAPASRVAVYRACSLGGCSSSAVLKAVDDAVADGVDVISISIGMSSAFQSDFLSDPIALGALHAHQRGVLVVCSGGNDGPNPYTVVNSAPWLLTVAASSIDRSFQSTIALGNGAVVKGVAINFSNQSLSGGQYPLVFGAQAAARYAPVAEASNCYPGSLDAQKVAGKIVVCVSTDPMVSRRVKKLVAEGSGARGLVLINDAEKDVPFVAGGFALSQVGTDAGAQILEYINSTKDPTAVILPTEDVKDFKPAPVVASFSARGPGMTESILKPDLMAPGVSILAATIPSADTDDVPPGRKPSAYAIKSGTSMACPHVAGAAAFVKSAHPGWTPSMIRSALMTTATTMNNLGKPLASSTGAAATGHDMGAGEMSPLRALSPGLVFDTTTHDYLNFLCYYGYKEQIVRKVSGDARFSCPAGAPSPDLIASGVNYPSISVPRLRRGRPATVTRTAINVGATNATYAAAVEAPPGVTVRVSPDRLVFSSRWTTARYEVSFDVAAGAGVSKGYAHGAVTWSDGAHSVRTPFAVNVL >KQL06001 pep chromosome:Setaria_italica_v2.0:V:29976424:29982713:1 gene:SETIT_000954mg transcript:KQL06001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFGPVTPGQVSFLLGVFPVLIAWIYSEILEYRKSSSIKVHADSNLEKETVREDDKAVLLEGGLSKSPSTMLRNMSTKTKIIRFITMEESFLLENRAVMRAMAEFGIVLVYFYVCDRTNTFPESKKSYNRDLFLFLYILLIIASALTSLKKHNEKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRIFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGCLGLFNKYNEIPSVMAMKIVGCFLVVILIWEIPGVFELLWRPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIVTTSVVAGYLWYEYIYKLDKLTYNKYHPYTSWIPITVYIALRNCTQQLRSASLTLFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLSFIPGYPMLNFMLVTAIYLLISYRVFELTTILKSAFIPSRDNNRLYQNFVAGIIISLCLYFCSVILLKITVV >KQL06000 pep chromosome:Setaria_italica_v2.0:V:29976424:29982711:1 gene:SETIT_000954mg transcript:KQL06000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFGPVTPGQVSFLLGVFPVLIAWIYSEILEYRKSSSIKVHADSNLEKETVREDDKAVLLEGGLSKSPSTMLRNMSTKTKIIRFITMEESFLLENRAVMRAMAEFGIVLVYFYVCDRTNTFPESKKSYNRDLFLFLYILLIIASALTSLKKHNEKSAFSGKSILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRIFIAAYVWMTGFGNFSYYYIKKDFSLARFAQMMWRLNFFVAFCCIVLDNDYMLYYICPMHTLFTLMVYGCLGLFNKYNEIPSVMAMKIVGCFLVVILIWEIPGVFELLWRPFTFLLGYKDPEPSKANLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESETKVRLSIKGTIVTTSVVAGYLWYEYIYKLDKLTYNKYHPYTSWIPITVYIALRNCTQQLRSASLTLFAWLGKITLETYISQIHIWLRSSMPNGQPKWLLSFIPGYPMLNFMLVTAIYLLISYRVFELTTILKSAFIPSRDNNRLYQNFVAGIIISLCLYFCSVILLKITVV >KQL04286 pep chromosome:Setaria_italica_v2.0:V:7911527:7912685:-1 gene:SETIT_003202mg transcript:KQL04286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRRSNVFDPFADFWDPFDVFRSLVPSVASSDRDTAAFANARVDWKETPEAHVFKADLPGVKKEEVKVEVEDGNILVISGERSKEKEDKNDKWHRVERSSGKFLRRFRLPEDAKTDQVKAGMENGVLTVTVPKAEVKKPEVKAIEISGAGDNAV >KQL03684 pep chromosome:Setaria_italica_v2.0:V:4040606:4042744:-1 gene:SETIT_001725mg transcript:KQL03684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGGGGVVAPPPEVIVVGAGPSGLAVSACLSLRGVPSLVLERDDCVGSLWRKRAYDRLTLHLPKQASALPHAPHADEAPTYLPRDDFVRYLDGYAGRFGVRARLRREVRAARFDAARRRWDVEALDHGTGELERHAARFLVVATGSYDEKFVPKVPGLEGFPGKVMHASEYRSGKGMEGKSVLVVGCGNSGMEIALDLAENGAITSIVVRSEVHILTKQILNLGVKLGFYLPIWMIDMLALFLCYLVFGDTSKHGIKRPAVGPFTMNRQTAALPVIDVGTYKKIKSGEIQVIPTGMTSVQGNVVEFADGRRHPFDAIVFATGYRSGIKRWLQDGSSELVGNDGILKQRSPKAGNGLYYAGLSGRGIFGSGTDAEFIADDISKQLQPVHEHEAHGKPEH >KQL05043 pep chromosome:Setaria_italica_v2.0:V:14659035:14659716:-1 gene:SETIT_003350mg transcript:KQL05043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHACYGGGVAGAAPAAAAARRLKLGRPSRSSLMKARKLRKGGGKCRPRSAASRRKRVEAIRRKMEALRRLVPACEGGDGERADDGRLEELLLHAAGYILRLQMQVRVMQVMVHALNNPPED >KQL06823 pep chromosome:Setaria_italica_v2.0:V:35886042:35888142:-1 gene:SETIT_003907mg transcript:KQL06823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLVQRVQPVSAGTACGSAAAPSPTAAAAGGEHADGLLFDNLVLGNGDDAKNKAGADDADASDEKLEWLRSQIIGAEAEFASPFGTRRITYADHTASGRCLRFAEEFVLRNVLPYYGNTHTTDSYVGLHTSKLAGDAARYVKRSLGAGPRDMLLFCGTGCTAAIKRLQEVTGMAVPPTLRAAALAALPPSDRWVVFVGPYEHHSNLLTWRESLAEVVEIGMRPDDGLLDMAALEEALAARAPSGRPMLGAFSACSNVNGLRTDTRAVARLLHRHGAYACFDLACSAPYVRVDMRFGDEDGYDAVFLSPHKFLGGPGSPGVLAMASRLYRLRRTAPSTSGGGTVLYVSAYGDAVYSGDAEEREDAGTPAIIQKVRAALAFRVKEWVGEACIEAREARMLALALRRVRAAANPNLRLLLGADPASAPRLPVLSFVVYPPRDEAGLEDGRCSGNTEEQTPPRLQLHCRFVTKLLNDLFGVQARAGCACAGPYGHRLLGIGPARAKAIRAAVEQGYHGVRPGWTRVSLAYYTSVEEAEFVLDAVDFVASFGHRFLPLYTFDWKTGDWQYDPSCARGLVPNSVGSNSAAPSGRVKAEYGYQSEYMGLAHRLADSLATTCSCLDSSSSRARRIPKSLDPHLVYFVV >KQL07017 pep chromosome:Setaria_italica_v2.0:V:37074788:37076019:1 gene:SETIT_002393mg transcript:KQL07017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPARHLFLITLVAVLAVAAEAWGGGRFFFSKTTRAEAAVEPEKAAAAAASGTAPGAADPNAAPAFSRPSTGGSGRGYGLYGRPEENYPPGYFRRGVHRNAEKLTTTDVPAAAGAGREAVPVGGERAQEYAADGSGRGRPRTTVPATTTSDAEEEAAPAGGAGGDLEGVQPYPEDGSGRGRPPWYYTAFRGGRQQRGYGMSDTRLYQNGRYYYDVDAGRYGYGRESNPVRTRPEEEYPGSGYGRPAGGDRRGRYGNNAAAGYRRYGGNDDEFGNGAMDQNSNGNGFQEEEGRQNGRYIP >KQL07613 pep chromosome:Setaria_italica_v2.0:V:40824881:40826707:1 gene:SETIT_004511mg transcript:KQL07613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASALVGPPVAVAFNADPKPQPKPTIGSSPDYSSDENSSMDEDSTEKKVVSRSAQPLAYASSGDPCVDFFFQVVPGVTSDADLAALLDVAWSRDALTALKLVCHLRGVRGLGKSDRDGFYAAALWMHARHPLTLAANLANFARFGCLKDLPEILYLVLHGPREEDQRKGDGRRRRTKRRRGVDEAKAAKERLNKEAQLAQAALARYASDEAFRHLYDRVADTFAELLKSDVEHLRAGDTSKIGLAAKWCPSLRSSYDRATLLCEAIARRVFPRESNKEYLDLSDKHYTYRVRNLLRREVLVPLRKVLELPEVYMSAGQWDELPYARVASVAMNKYKEVFQKRDKPRVVGFFDDVRTGHARVAAGAVLPHELIAAALKGEHDEAADLQWRSMVSALAAEGRLDNCIAVCGLTGAATDPAVSAAVALGLLISELSQEPWKGRVITFDETHQLHKLHGGNLKEKLQPLVAALGPRKKGANLQGVFSKILSTAVAGGLRRDMMVKRVFVLSDMDFDGWAGPASAWDTEYQGISNRFSDEGFAAPEVVFWNLGTSKSSMPVVAAQEGAALVSGYSKNLVRLFLEADGNLTPAAVMADAISGPEYDALKVFD >KQL06692 pep chromosome:Setaria_italica_v2.0:V:35035176:35038512:1 gene:SETIT_004406mg transcript:KQL06692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDEANAAAVAAEEDAEAAEGKTWRRKGKHDKPKPWDEDPNIDHWKIEKFDPSWNEGGMLEVSSFSTLFPQYREKYLQESWPIVKGALKEHGISCELNLVEGSMTVSTTRKTRDPFIIIKARELIKLLSRSVPAPQAIKILDDEMNCDIIKIGGLVRNKERFVKRRERLLGPNLSTLKAIEILTGCYILVQGNTVAAMGSYKGRGLKQVRRIVEDCIKNVKHPVYHIKELLIKRELAKNPALATESWDRFLPKFKKKNVKQKKPQTKEKKPYTPFRHLNSLASVLVMISAERSCPTAYAYIDEELMTGEYFMSEKKKSEKKWQEKLEKQSGKAEENKRKREAAFVPPKENTTGPSESDKTANDNSGIGDLAKSLKKKAKEFRKNEAQENVRVESYLASNEESCPKKEKSTKSK >KQL03322 pep chromosome:Setaria_italica_v2.0:V:1396756:1402352:1 gene:SETIT_001043mg transcript:KQL03322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRGADDLTRFSTDSSIPGFWNELSDEIASKLSRSVVSIALSHGEYVLFASSGIAIECQSNFTKFVTSAALVRALDDERDVHDIEIKVRHEGHVAIGTVEEYDLDHVIAVVKVTPVLDVYCVPLSYAKELMPGSKVVAVGRDISGKLMARSGTLTASDRSEDSGHLMFSTCKLSEVMQGGALFEFDGNFVGMNIFWNMKRPIFMPRDIIFDRLNDLWTSMEKILFPEMVKLARKRSTSVELRSHPEGSMNVDTFEEHFGDKYPTGVWGEFKKEIYSNISDRVVALASFHDKSKFFACTGIFIDFYGCSIILTSASLVRDPDGANEIVSSLRIEVLLPNNKRTAGKLEHYNFQYNVALVRVKNYNVDGRGKLPMPEVVRYNQTVVAVGRCFESGLLMAASGKFIPTHKVPDDANYDLGYTTCKTTKAVIGGPLVDLDGKFVGINYYDIETGTPYLSFSEIWEILEGMLDDLKTNKATIGGHKARVGYDIEPQIIWVSPA >KQL03319 pep chromosome:Setaria_italica_v2.0:V:1396756:1402265:1 gene:SETIT_001043mg transcript:KQL03319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRGADDLTRFSTDSSIPGFWNELSDEIASKLSRSVVSIALSHGEYVLFASSGIAIECQSNFTKFVTSAALVRALDDERDVHDIEIKVRHEGHVAIGTVEEYDLDHVIAVVKVTPVLDVYCVPLSYAKELMPGSKVVAVGRDISGKLMARSGTLTASDRSEDSGHLMFSTCKLSEGGALFEFDGNFVGMNIFWNMKRPIFMPRDIIFDRLNDLWTSMEKILFPEMVKLARKRSTSVELRSHPEGSMNVDTFEEHFGDKYPTGVWGEFKKEIYSNISDRVVALASFHDKSKFFACTGIFIDFYGCSIILTSASLVRDPDGANEIVSSLRIEVLLPNNKRTAGKLEHYNFQYNVALVRVKNYNVDGRGKLPMPEVVRYNQTVVAVGRCFESGLLMAASGKFIPTHKVPDDANYDLGYTTCKTTKAVIGGPLVDLDGKFVGINYYDIETGTPYLSFSEIWEILEGMLDDLKTNKATIGGHKARVGYDIEPQIMYVDTSHRSYYYYVINISCLENC >KQL03321 pep chromosome:Setaria_italica_v2.0:V:1396756:1402352:1 gene:SETIT_001043mg transcript:KQL03321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRGADDLTRFSTDSSIPGFWNELSDEIASKLSRSVVSIALSHGEYVLFASSGIAIECQSNFTKFVTSAALVRALDDERDVHDIEIKVRHEGHVAIGTVEEYDLDHVIAVVKVTPVLDVYCVPLSYAKELMPGSKVVAVGRDISGKLMARSGTLTASDRSEDSGHLMFSTCKLSEVMQGGALFEFDGNFVGMNIFWNMKRPIFMPRDIIFDRLNDLWTSMEKILFPEMVKLARKRSTSVELRSHPEGSMNVDTFEEHFGDKYPTGVWGEFKKEIYSNISDRVVALASFHDKSKFFACTGIFIDFYGCSIILTSASLVRDPDGANEIVSSLRIEVLLPNNKRTAGKLEHYNFQYNVALVRVKNYNVDGRGKLPMPEVVRYNQTVVAVGRCFESGLLMAASGKFIPTHKVPDDANYDLGYTTCKTTKAVIGGPLVDLDGKFVGINYYDIETGTPYLSFSEIWEILEGMLDDLKTNKATIGGHKARVGYDIEPQIIWVSPA >KQL03324 pep chromosome:Setaria_italica_v2.0:V:1396756:1402867:1 gene:SETIT_001043mg transcript:KQL03324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRGADDLTRFSTDSSIPGFWNELSDEIASKLSRSVVSIALSHGEYVLFASSGIAIECQSNFTKFVTSAALVRALDDERDVHDIEIKVRHEGHVAIGTVEEYDLDHVIAVVKVTPVLDVYCVPLSYAKELMPGSKVVAVGRDISGKLMARSGTLTASDRSEDSGHLMFSTCKLSEVMQGGALFEFDGNFVGMNIFWNMKRPIFMPRDIIFDRLNDLWTSMEKILFPEMVKLARKRSTSVELRSHPEGSMNVDTFEEHFGDKYPTGVWGEFKKEIYSNISDRVVALASFHDKSKFFACTGIFIDFYGCSIILTSASLVRDPDGANEIVSSLRIEVLLPNNKRTAGKLEHYNFQYNVALVRVKNYNVDGRGKLPMPEVVRYNQTVVAVGRCFESGLLMAASGKFIPTHKVPDDANYDLGYTTCKTTKAVIGGPLVDLDGKFVGINYYDIETGTPYLSFSEIWEILEGMLDDLKTNKATIGGHKARVGYDIEPQIMCSGRARPAQPGAWELGQAS >KQL03320 pep chromosome:Setaria_italica_v2.0:V:1396756:1402265:1 gene:SETIT_001043mg transcript:KQL03320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRGADDLTRFSTDSSIPGFWNELSDEIASKLSRSVVSIALSHGEYVLFASSGIAIECQSNFTKFVTSAALVRALDDERDVHDIEIKVRHEGHVAIGTVEEYDLDHVIAVVKVTPVLDVYCVPLSYAKELMPGSKVVAVGRDISGKLMARSGTLTASDRSEDSGHLMFSTCKLSEVMQGGALFEFDGNFVGMNIFWNMKRPIFMPRDIIFDRLNDLWTSMEKILFPEMVKLARKRSTSVELRSHPEGSMNVDTFEEHFGDKYPTGVWGEFKKEIYSNISDRVVALASFHDKSKFFACTGIFIDFYGCSIILTSASLVRDPDGANEIVSSLRIEVLLPNNKRTAGKLEHYNFQYNVALVRVKNYNVDGRGKLPMPEVVRYNQTVVAVGRCFESGLLMAASGKFIPTHKVPDDANYDLGYTTCKTTKAVIGGPLVDLDGKFVGINYYDIETGTPYLSFSEIWEILEGMLDDLKTNKATIGGHKARVGYDIEPQIMYVDTSHRSYYYYVINISCLENC >KQL03323 pep chromosome:Setaria_italica_v2.0:V:1396756:1402822:1 gene:SETIT_001043mg transcript:KQL03323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSKRGADDLTRFSTDSSIPGFWNELSDEIASKLSRSVVSIALSHGEYVLFASSGIAIECQSNFTKFVTSAALVRALDDERDVHDIEIKVRHEGHVAIGTVEEYDLDHVIAVVKVTPVLDVYCVPLSYAKELMPGSKVVAVGRDISGKLMARSGTLTASDRSEDSGHLMFSTCKLSEVMQGGALFEFDGNFVGMNIFWNMKRPIFMPRDIIFDRLNDLWTSMEKILFPEMVKLARKRSTSVELRSHPEGSMNVDTFEEHFGDKYPTGVWGEFKKEIYSNISDRVVALASFHDKSKFFACTGIFIDFYGCSIILTSASLVRDPDGANEIVSSLRIEVLLPNNKRTAGKLEHYNFQYNVALVRVKNYNVDGRGKLPMPEVVRYNQTVVAVGRCFESGLLMAASGKFIPTHKVPDDANYDLGYTTCKTTKAVIGGPLVDLDGKFVGINYYDIETGTPYLSFSEIWEILEGMLDDLKTNKATIGGHKARVGYDIEPQIIANYRRKSEA >KQL03830 pep chromosome:Setaria_italica_v2.0:V:4851708:4855319:-1 gene:SETIT_001517mg transcript:KQL03830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANCCIAAKERTQPCITPIEVSAYRNVRHSPSWSFRWDNRTHIEDIMEIPTLFSNHSSGSIRPETKSGSIAPTEGLSNGNSLGTSPSDVLHRTKWHKSDKKMEAPKATKADPHADRSTASISSPEAKLTRKSLDMGSVALDLKTSASVPSTPPLVSRADPSSSRGHSQPTDSDSMKKARRSPGYQLYRQVSDSKIPSLRSLNEISSPEGRPSSSMLSVCSNDLSAAGSHGESSDGWSMRTFSEMVATSQRERWSLDSELLGSISSKMTRSNASNSTSLPPDQEVCKLCLKLLKERSTWNAQELAVVAVLLCGHVYHADCLDSITTEADKYDPPCPVCTHGEKCTVKLFGKLESKIKNKIPKNVVVDIDLDGNIKHQKKGRREPRLGTSSSMKVPFSRPFLRRHFSIGSRPPRSVSETDSTRKKGFWARHWRE >KQL03432 pep chromosome:Setaria_italica_v2.0:V:2338452:2339036:-1 gene:SETIT_003858mg transcript:KQL03432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGASFAGVLSVFLVAAASAGATPVPTAAPSSKYSVEEACSRQAAGLRDLCMATLSADPSSKAASTSTAALARVAIQAAQRNASETATYLSSIYDDDSLENKTAQLQQCLEDCGERYESAVEQLEDAASAVGSGAYSESEALVAAGQAERGCQAVPEHRNILTARNREVDQLCSIALAITKLIRGPPS >KQL04571 pep chromosome:Setaria_italica_v2.0:V:9944255:9946426:-1 gene:SETIT_002206mg transcript:KQL04571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGVVSLLNAVFRRMFTSAGLRPGSATVDADDTTIHFWAHPSLLQPPSSEQQQPPRPVVVLIHGFGPDPTWQWAAQAGPLSRHFDLVVPTLLFFGASATRGPARSDAFQAAALAALLTGEAHLPGAAGRTVHVVGTSYGGLVAYHLARELHGRGAATPVAVGKVALCDSDACKGADDDRALAARSGVGEVTELLAPADTRALRRLMAICAHRPIKYIPECLLRDMLRKYFADKREDKIALIKGITTGEGFPLTPLSQEVLIIWGEFDQIFPVEKAHKMKEKLGEKATVKVIPNAGHLPQQEDPKLFNQILLDFLLHPSTSNGSAAAK >KQL07997 pep chromosome:Setaria_italica_v2.0:V:43033649:43036722:1 gene:SETIT_000668mg transcript:KQL07997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDMPRGLPFAVDTWGPSSRHRRHRFLTHAHRDHLVGAGAAAGGAGGTVYATRLTLSLALALRHFPQLESWEFVEMEVGRTVAVDDPAGAFSVTAYDANHCPGAVMFLFEGQFGAILHTGDCRLTSDCVQNLPTKYIAKKGNENICRLDFVFLDCTFSKCFLKLPSKESAIQQVIACIWKHPHAPFVYLACDLLGHEDVLIEVSRTFGSKIYVDRRLDCFKALSLTAPEIITDDPSSRFQMVGFHQLYEKASRKLEEARARLQPEPLFIRPSTQWYAICARSQKPSLTEAEQDEYGVWHICFSIHSSRDELEQALQLLQPQWVISTTPPCFALELSYVKKHCFKTRLTADDPLWKIFGDPLQKSISSPSSVLASSETHTDKDHSDFVDEDDHSSSSSEECTYLDISAIELKFVPSPPPEEPDITLFGRARFGSQAIDIMKEELCSQYIAFEGGYLEEARACALADSVHSNSEDSETNSAADCVVKEAPASHQDHIDAGDEMGSCQHELSSRQLEGSQAQSLSTVHRNILVLADQHEKFEAAIESNALSSSEDSSLSLVRSGETTTCEKEPLCIFGSSKCLNPSLKRLYRSRNIPVPRPLPSLVRLLESSKRVKMQPSTNYSLLNSRHSLP >KQL08379 pep chromosome:Setaria_italica_v2.0:V:45119294:45125214:-1 gene:SETIT_000168mg transcript:KQL08379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTARGGRRGGGAGMEEKGEAAGLGFLGLDRMRLLLPLPMPEKLSARTLRTHLSSHFINWRWRWVWDRCRWLLTFWVLLWVAISVGIFWYMSNQAVEKRRESLQSMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKTPSAIDQRTFARYAERTAFERPLTSGVAYAVKVTHAERELFERQQGWSIKKMYSSKKQSTGAGDAEVREPAEEYAPVIFAQDAYKHVVSFDMLSGNEDRENVLRARESGKGVLTAPFKLLNNRLGVISTYTVYKTELPPNARPQERIQAAIGYLGGIFDIEALVDKLLRQLAGKQSIMVNVYDTTNESPISMYGSNDTGSGMCHVSTLNFGDPSRKHEMHCRFMQKPPWAWLAITSSFGTLVIALLIGYIIHATVKRIAKVEDDFQEMIELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEMVPFDLRTVCDDILSLFCGKAQEKGLELAVYVSRQVPETLIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHLVEEVMHCLEVETGTQYANTLSGYPVANRKHSWENFRIFNMELNSSEMPFLPVASDSVSLIISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPQVGSTFTFTAVLTRACSSGNENKSSEFKEINALVVDHRLVRAKVTKYHLQRLGVQTELATDLDQYISKVNSGLRIAKLVLIDKETWLKESHSIPLLVSKLRNKDQPDSTKLFLLENPKNSLRSRSHISREYNLNVIMKPLRASMLQVALQRALGGIDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAIALLKPPHNFDACFMDIQMPEMDGFEATRRIRSMERDLNEQIERGEVLQECPNIRRWRTPILAMTADVIQATHEHCLKSEMDGYVSKPFEGEQLYSEVARFFLNQDEVQ >KQL08377 pep chromosome:Setaria_italica_v2.0:V:45119294:45124511:-1 gene:SETIT_000168mg transcript:KQL08377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTARGGRRGGGAGMEEKGEAAGLGFLGLDRMRLLLPLPMPEKLSARTLRTHLSSHFINWRWRWVWDRCRWLLTFWVLLWVAISVGIFWYMSNQAVEKRRESLQSMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKTPSAIDQRTFARYAERTAFERPLTSGVAYAVKVTHAERELFERQQGWSIKKMYSSKKQSTGAGDAEVREPAEEYAPVIFAQDAYKHVVSFDMLSGNEDRENVLRARESGKGVLTAPFKLLNNRLGVISTYTVYKTELPPNARPQERIQAAIGYLGGIFDIEALVDKLLRQLAGKQSIMVNVYDTTNESPISMYGSNDTGSGMCHVSTLNFGDPSRKHEMHCRFMQKPPWAWLAITSSFGTLVIALLIGYIIHATVKRIAKVEDDFQEMIELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEMVPFDLRTVCDDILSLFCGKAQEKGLELAVYVSRQVPETLIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHLVEEVMHCLEVETGTQYANTLSGYPVANRKHSWENFRIFNMELNSSEMPFLPVASDSVSLIISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPQVGSTFTFTAVLTRACSSGNENKSSEFKEINALVVDHRLVRAKVTKYHLQRLGVQTELATDLDQYISKVNSGLRIAKLVLIDKETWLKESHSIPLLVSKLRNKDQPDSTKLFLLENPKNSLRSRSHISREYNLNVIMKPLRASMLQVALQRALGGIDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAIALLKPPHNFDACFMDIQMPEMDGFEATRRIRSMERDLNEQIERGEVLQECPNIRRWRTPILAMTADVIQATHEHCLKSEMDGYVSKPFEGEQLYSEVARFFLNQDEVQ >KQL08378 pep chromosome:Setaria_italica_v2.0:V:45120375:45124511:-1 gene:SETIT_000168mg transcript:KQL08378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTARGGRRGGGAGMEEKGEAAGLGFLGLDRMRLLLPLPMPEKLSARTLRTHLSSHFINWRWRWVWDRCRWLLTFWVLLWVAISVGIFWYMSNQAVEKRRESLQSMCDERARMLQDQFNVSMNHLQALAILVSTFHHSKTPSAIDQRTFARYAERTAFERPLTSGVAYAVKVTHAERELFERQQGWSIKKMYSSKKQSTGAGDAEVREPAEEYAPVIFAQDAYKHVVSFDMLSGNEDRENVLRARESGKGVLTAPFKLLNNRLGVISTYTVYKTELPPNARPQERIQAAIGYLGGIFDIEALVDKLLRQLAGKQSIMVNVYDTTNESPISMYGSNDTGSGMCHVSTLNFGDPSRKHEMHCRFMQKPPWAWLAITSSFGTLVIALLIGYIIHATVKRIAKVEDDFQEMIELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMDTDLDTTQQDYVRTAQASGKALVSLINEVLDQAKIESGKLELEMVPFDLRTVCDDILSLFCGKAQEKGLELAVYVSRQVPETLIGDPGRIRQIITNLVGNSIKFTERGHIYLTVHLVEEVMHCLEVETGTQYANTLSGYPVANRKHSWENFRIFNMELNSSEMPFLPVASDSVSLIISVEDTGVGIPFEAQSRVFTPFMQVGPSIARIHGGTGIGLSISKCLVGLMKGEIGFASKPQVGSTFTFTAVLTRACSSGNENKSSEFKEINALVVDHRLVRAKVTKYHLQRLGVQTELATDLDQYISKVNSGLRIAKLVLIDKETWLKESHSIPLLVSKLRNKDQPDSTKLFLLENPKNSLRSRSHISREYNLNVIMKPLRASMLQVALQRALGGIDKVHCRNGVVGNSTLGSLLHKKQIIVVDDNIVNLKVAAGALKKYGAEVTCADSGKKAIALLKPPHNFDACFMDIQMPEMDGFEATRRIRSMERDLNEQIERGEVLQECPNIRRWRTPILAMTADVIQATHEHCLKSEMDGYVSKPFEGEQLYSEVARFFLNQDEVQ >KQL05892 pep chromosome:Setaria_italica_v2.0:V:28846815:28848610:1 gene:SETIT_004822mg transcript:KQL05892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLDKVLLLVLVVLVGLQSLWGCSWSLWSLWGRSCLASPSSPLAARVASPISTRPLAPLLPSHTVAALSAHSYVGLPDRASALLCTVASPTAAHLNAVLGPLLRRRRLAGLVPSILAAHASVPRDASTDSILAKSLCLTSGADSALHLLREPSSGAPPSLQLFTALIDSFYKQRLPHRAEELWRAMVQDHGIAPDAAAYNSRITYKSANGTVDEVRELIRVMREEAGLAPDVVSYNALVRAMARHRRVDEAVEVYRGMEAGEVEGVAPNCATYTCVVGALCGAERWSEAEDVFYEGVKRRKVIDLGTVRLLVLGLKGAGKGRAARRVVVGLRKKFPEQFDGPWRELEEAAGLPASGKEDDGEEDGADEQPVPTTAAA >KQL08544 pep chromosome:Setaria_italica_v2.0:V:45916072:45916571:-1 gene:SETIT_005281mg transcript:KQL08544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQERKKKRMPLGFKLSAVKQYCEKAKTAETMCKI >KQL04314 pep chromosome:Setaria_italica_v2.0:V:8090911:8092699:1 gene:SETIT_001508mg transcript:KQL04314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPDLARVLPRVLIVSRRTVRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVAGVHTLLDSFEPIHGVLLCEGEDIDPSLYESSDAGDGDGSALSPDQLEAVRRLHPSDAAIDHEKDSIELHLARRCLERNIPYLGICRGSQVLNVACGGSLYQDVEHELGGEAVQHINYGDYDGHRHPIRVLPGTPLHEWFADDLADGEDGGKQLMVNSYHHQGVRRLAQRFVPMAFAPDGLIEGFYDPNAYNPAEGKFIMGLQFHPERMRKPGSDEFDYPGCARPYQEFVRAVVAYQEKQLAAARVPRSAVPTSPKLNKEMERRRRVIFRSFSLAKDMYLSGGRTKPAEPRDLDAGAEFLESNTASLSVQQEKRLKQMGATVRNASGYLNSLKVNNGREAAARALMAEMTVDQLSDLASFYQTMGRICSEVLDRKLQALQLRE >KQL07533 pep chromosome:Setaria_italica_v2.0:V:40368964:40369485:-1 gene:SETIT_004787mg transcript:KQL07533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACMVPCGALDVVRIVHLSGHVDEFSCPVAAASVLAANPNHTLTTAWSPSGAPGCASKKLVIVSPDSELKRGRIYFLIPSATLPADRRSKKQGSSKKSGGSKRPSRHHHHSKKSSAGDTAEQDNYLRELLSEKTASSGGHRRRRSGARVGVWRPQLESIVEEASD >KQL06096 pep chromosome:Setaria_italica_v2.0:V:30631455:30632241:-1 gene:SETIT_005104mg transcript:KQL06096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HTGSPIAFSCIAEDLVKSSASEASQNMKIDFTAEPAVSMETAIGKIRLLHMMSTDSPSNFSTKYVSRKSFPLDSTTSTLLQREACISKRIQTALTPGIPLYPHVSL >KQL05350 pep chromosome:Setaria_italica_v2.0:V:23276989:23283812:1 gene:SETIT_000447mg transcript:KQL05350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEFVDNVIAVTKESVKTFTYESLNNIARFINGISALLLTLLPGKGNILEGISGWELKPALRGPRLPRWMESGVSSFNEFIHELSADSDTESVADSITGDDENEEFVCPPSPLSQSSRLSRASSFGRRDRRLRRHIRYAVSWILWPLRFFISLLLVLFSAIKFRIIRSSSKSAETPHYSRSSPAKRSFHIRDQFLQRTTDRRRGVFEDLHLAIEIFIESVFDIVHKGAHYVLSPSEVWQKLFSWIHGSGHDTSPVVDVPTANVGSDNPVPTERKAVNRHSLNTDSRTCEDVITELGYPFEAIKVVTSDGYVLLLERIPRRDSQKVVLLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHIDKNISSYKYWKYSVNEHGTKDMPAIIEEIHKIKTSELGKSQPLSGEETEVQNDKIKKLEEQASQGGAEDQPYKLCAVCHSLGGAVMLMYVVTSRITQKPHRLSRLVLLSPAGFHEDSNVVFSMVEKLLLFVGPVLAPLIPGLYIPTRFFRMLLNKLARDFHNYPALGGLVQTLMGYVVGGDSSNWVGVLGLPHYNMDDMPGVSFHVALHLAQIKRAKKFQMYDYGSHAANMEAYGTPEPLDLGAHYGLIDIPVDLVAGQRDRVISPSMVKKHYKLMRKSGVEVSYNEFEYAHLDFTFSHREELLSYVMSRLLLVTDPGKGRIKQSTMRLRKSKKVHSEIEENVECRAKEEADELAGRTA >KQL07949 pep chromosome:Setaria_italica_v2.0:V:42747393:42753822:1 gene:SETIT_000291mg transcript:KQL07949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAASSSALPCLLLLMLLLLPSLDAASNVTYDHRSLIIAGRRRLLISTAIHYPRSVPEMWPKLVAEAKDGGADCIETYVFWNGHEIAPGQYYFEDRFDLVRFVKVVKDAGLLLILRIGPFVAAEWNFGGVPVWLHYVPGTVFRTSNEPFKSHMQSFTTYIVNMMKKEQLFASQGGNIILAQIENEYGDYYEQSYGPGGKAYAMWAASMAVAQNTGVPWIMCQESDAPDPVINTCNGFYCDTFQPNSPTKPKMWTENWPGWFQTFGESNPHRPPEDVAFAVARFFEKGGSVQNYYMYHGGTNFGRTTGGPFITTSYDYDAPIDEYGLRRFPKWAHLRELHKSIKLCEHALLYGNTTFLSLGPKQEADIYTDRSGGCVAFLANIDPEKDKIVTFNNRKYDLPAWSVSILPDCKNVVFNTAKVQSQTSMVTMVPESLQASKSDRWSIFRERTGIWGKNDFVQNGFVDHINTTKDSTDYLWYTTSFSVDGSYPSKGSHAVLNIDSKGHGVHAFLNNEFIGSAYGNGSKSSFTVELPINLRTGKNELALLSMTVGLQNAGPSYEWIGAGFTNVNISGLKNGAIDLSSNNWAYKIGLEGEYYSLFKPDQRSNKRWIPQSEPPKNQPLTWYKVNVDVPQGDDPVGIDMQSMGKGLAWLNGNAIGRYWPRTSSSDDRCTPSCNYRGAFNPDKCRTGCGQPTQRWYHVPRSWFHPSENTLVVFEEKGGDPTKITFSRRVVSSVCSFVSEHYPSIDLESWDKSITNDATAAAKVQLSCPKGKNISSVKFASLGNPSGTCRSYQKGSCHHPNSLSVVEKACLNTNSCAVSLSDGGFGEDLCPGVTKTLAVEADCS >KQL08397 pep chromosome:Setaria_italica_v2.0:V:45200972:45201587:1 gene:SETIT_005482mg transcript:KQL08397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGSMYSQLPTMAVPLREPCPGWTCLTSPKSPSLASNASSSITLLGLMSRCRMASLSPVWRYSMAEPMPRTILYLSGHVSSLSDDPWRWSSRLPLVTSSYTSRSLSSPPPSHHPTSFTRLRCFSWPKIMISVT >KQL08794 pep chromosome:Setaria_italica_v2.0:V:47099227:47099556:-1 gene:SETIT_003913mg transcript:KQL08794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPGGDDKWKLSSKGRSRSGRPHAMTDASSSSAGGSSSVLSRSYSASQQQQRQPQQGSKKKCVAEAVKEHRARFYIVRRCVSMLVCWRDY >KQL07435 pep chromosome:Setaria_italica_v2.0:V:39738765:39739949:1 gene:SETIT_003013mg transcript:KQL07435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLPRLATVLVAAALAFGMAAAQGPAAAPGPAPGISDECLNSVLNMSDCLTYVTAGSTARHPDKACCPELAGLLESHPVCLCQLLAGGAESYGVSVDYKRALALPGICRLTAPPVSACAAFGVPLPAGLVPTAAPSPMSGLSPSMGPEVPGNLLSFPCS >KQL07436 pep chromosome:Setaria_italica_v2.0:V:39738765:39740565:1 gene:SETIT_003013mg transcript:KQL07436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMLLPRLATVLVAAALAFGMAAAQGPAAAPGPAPGISDECLNSVLNMSDCLTYVTAGSTARHPDKACCPELAGLLESHPVCLCQLLAGGAESYGVSVDYKRALALPGICRLTAPPVSACAAFGVPLPAGLVPTAAPSPMSGLSPSMGPEVPANTPAGSAAKSSNHAPGRVTAGGLIALAALPLAVAAAAGML >KQL04143 pep chromosome:Setaria_italica_v2.0:V:6817082:6819666:-1 gene:SETIT_000604mg transcript:KQL04143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGAGDKAGRGGGRLPQQAPPPLRLESRRFRLLSIVVGCFVFCLVFLLSSRPDATAFDTVSPRASLEGARRRPAAVKTLRTASSAGFGGDFHVDILPQQQGGSAEQGGDKTATECTSTIVPSMNHPLHQSASWFCFVKISALFFTSGVRDTVIVEERSDAEVSEAEPEEAERDRNGNAAAAVASNSDDQPAPAVETKQAQDDAVVTTAAAATTTAQPATEKTATETDRPEEKARAAGGQSKLQEQPAQQRQEERHEPAQSGGGDHHQRPPLCDFSDFRSDICDLAGDIRLDANASAFVVVDPAIGASDGGQLHKVRPYPRKGDETCMGRITEITVRSTGDDAARCTRAHSAPAVVFSIGGYTGNIFHDFSDVLVPLFNTAHRYGGDVQLVMANVASWWLVKYDKLLRELSRHAPLDLAKAGAAGEVHCFGHATVSLRAHKELIIERERSIDGLATPDFTRFIRRALSLPRDAPTRLGDGTGRKPRLLIISRHRTRLLLNIDAVIRAAEEVGFEAVVNESDVGNDISEVGALINSCDALLGVHGAGLTNMMFLPPGATLVQIVPWGGLQWMARADYGDPAEAMGLRYVQYEVAVGETTLKDKFPAGHEIFTNPTALHKKGFGFIRQTLMDGQDITVDVGRFREVLLQVLNNLAQ >KQL04142 pep chromosome:Setaria_italica_v2.0:V:6816647:6819917:-1 gene:SETIT_000604mg transcript:KQL04142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGAGDKAGRGGGRLPQQAPPPLRLESRRFRLLSIVVGCFVFCLVFLLSSRPDATAFDTVSPRASLEGARRRPAAVKTLRTASSAGFGGDFHVDILPQQQGGSAEQGGDKTATEWVRDTVIVEERSDAEVSEAEPEEAERDRNGNAAAAVASNSDDQPAPAVETKQAQDDAVVTTAAAATTTAQPATEKTATETDRPEEKARAAGGQSKLQEQPAQQRQEERHEPAQSGGGDHHQRPPLCDFSDFRSDICDLAGDIRLDANASAFVVVDPAIGASDGGQLHKVRPYPRKGDETCMGRITEITVRSTGDDAARCTRAHSAPAVVFSIGGYTGNIFHDFSDVLVPLFNTAHRYGGDVQLVMANVASWWLVKYDKLLRELSRHAPLDLAKAGAAGEVHCFGHATVSLRAHKELIIERERSIDGLATPDFTRFIRRALSLPRDAPTRLGDGTGRKPRLLIISRHRTRLLLNIDAVIRAAEEVGFEAVVNESDVGNDISEVGALINSCDALLGVHGAGLTNMMFLPPGATLVQIVPWGGLQWMARADYGDPAEAMGLRYVQYEVAVGETTLKDKFPAGHEIFTNPTALHKKGFGFIRQTLMDGQDITVDVGRFREVLLQVLNNLAQ >KQL03493 pep chromosome:Setaria_italica_v2.0:V:2715002:2716393:-1 gene:SETIT_004903mg transcript:KQL03493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAVAALAVLASMAAVPRGCCDAAAAGTVVRSIFVNRNGGADFKSVQHAVDSVPFGNYQWIRVHVAAGVYNEKVMIPQNKSFILLEGEGRQQTSIEWADHASNDTSTAASPTFAAYSTDFMARDITFKNTYVVDGGITPAVAALVFGDRSSFYRCGFVGVQDTLSDMDGRHYYEACYIEGAMDFIWGNGQSMFQGCEIWTAPAPVSPGFITAQGRTSEDDAGGFVFRGCTVRGVTPAYLGRAWRGYARVIFYQTDMSGVVVGQGWDAWNYKGKEDTLTMVEAGCTGQGSNRTERVPWTKALGGVELAKFVDVSYVSGDGWLDAQPR >KQL05156 pep chromosome:Setaria_italica_v2.0:V:16632678:16633384:1 gene:SETIT_003453mg transcript:KQL05156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVTRLAAQRAVVIFSTSSCCMCHTVTQLFRELGVNATVVELDEDPRGNEMEKALARLLGRSTGVPAVFIGGRLVGSTDKVMSLHLSGNLVPLLRNAGALWV >KQL04717 pep chromosome:Setaria_italica_v2.0:V:11192090:11194301:-1 gene:SETIT_000648mg transcript:KQL04717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAENGVGSGSVRDLPPSMRFKYVGAQLGSAPRVLLPAKKRVFPRTAAAEAVVSVCLPVKKRAIVAPRPEAQAAAGVPVCLPAKKRAIVAAPPVDAACLPVKKRAYALPTDAVLPACLPAKKRVGAAPPPPPPDVVASTCVLAKKRVHAPAPRDAAAGSVPVCPPANSRVCTTAPAGTVASTCVVAKKRIPAPGPRHDAARSVPVCLPANKRVMPPFVSPTSVEADGARFGIAKEARPQGSNKHNGGAINPRVANGAEGCARGKELKKPEKPVNPKGIKEQVSMKLVKPRSPSKSKDLEKKACKIVNGKQSEVVAAVREKSDKAADVARAALKEESRNGRGEAADAEGRARGKEIKKPEKAINPRGTKEQVSMEPSKPRSPDKSKDLENKACKIVNGKQSDFVAEVRKKSDEAADAKGAALKEELRNGADEVAQEREQEAVEEDDGVLCAVCGSTDGDPSDPIVFCDGCDLMVHASCYGNPLAQAIPDGDWFCSLCSAKSKPAARPSCCLCPARGGAMKRTTEGQWAHISCALLVPEVFFLDPDGRDGVDCSRVPAHRFAKDCYICESNNGCALECSQPKCSLGFHVSCGLDAGLCIEYREGKGGAIVAGFCREHTELWEKQQLTGKYKIVARGQE >KQL07262 pep chromosome:Setaria_italica_v2.0:V:38753513:38758468:1 gene:SETIT_004921mg transcript:KQL07262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFLVGAECECERNGVPSPLEVGAAELLAIAGSVGEVCARQRRAVPSPVAGEVAVVLPAATAQPRSVGVSVGRLAAANLVSRAESPTLCLSAPVAIPERIFQNQSISDGQTLVSTSKNFVLGFFTPGASRHRYVGVWHKDDPERTVVWVANRSNPLQDNLGILKFDNSSNLIVSDGKGNSFAVAYGVGVQDLEAAILDNGNFVLRSIANQTNIIWKSFDSPTDTWLPGMNITLGSKLLTPWKSSDDPAGGDYSFGLGVTNNASQLIIWLTKIVLDPNGSLNITQFDPDAQLWTLLWRQPASCEVSNLCGVFGICNNNMLPSYQYQKNLLPSYCRCPKGCTRQTPLQCYGDRFIDMPGMRLPDNREKLSFMGDNECQSACMIDCNCTAYSVLDGCSLWHGDLTNLQEGYNGSGVGTLYLRVAASELESIRSSGHKILWIAGVLPSVAFLIFCIILFIWIRRSKNKDATDNFSTESKLGEGGFGPVYKGNLPDGQEIAVKRLAANSGQGLLEFKNEILLIAKLQHSNLVGLLGCCIEGEEMLLVYEYMPNKSLDFFLFEKSRRALLDWEMRVNIIEGVAQGLIYLHKHSRLRVIHRDLKASNVLLDTDMNPKISDFGMAKIFDPKGTQANTKRVVGTYGYMAPEYAMAGIFSVKSDVFSYGVLLLEIISGMKNAGSQGLGNSLSLLGYAWELWKEGRCHELIDKSLHGRCPENVALRCIHVSLLCVQEQAADRPSMTEVLSMITNENATLPDPKQPGFLSLLVSSQTEITEET >KQL07983 pep chromosome:Setaria_italica_v2.0:V:42976121:42979336:-1 gene:SETIT_001551mg transcript:KQL07983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSFSRSTSARLAARGGVGSPRVSTPGAAHRNWWAAAPSGPSIERIALAFLLASLALVLSCALYLYFFRHPGRDRVVAEFAGESLESCDVFDGIWVPDERYPLYNSSRCPFAERGFNCLANGRKDTEFLKWRWKPRGCNLPRFSARAVLEWLRGKRVVFVGDSMSRTQWESFICMLMTGVDDPKTVYEVNGNQISKTIRFLGVRFESFNLSVEFFRSVFLVQQIPALRHGRRRVRAILKLDKLDDLSQKWADSDVLIFNSGHWWTASKLFDMGCYFEAGGVLKLGTSINAAFKMALETWASWVKERVDLRRTRVFFRTYEPSHWSGLNQKVCEVTEQPTTEAKGNDRREFGDILADVVANTSVPVTVLNVTLMGAFRSDAHIGLWSHPNTILDCSHWCLPGVPDAWNELVFSHLLTNGWRGLVS >KQL07982 pep chromosome:Setaria_italica_v2.0:V:42977192:42979329:-1 gene:SETIT_001551mg transcript:KQL07982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSFSRSTSARLAARGGVGSPRVSTPGAAHRNWWAAAPSGPSIERIALAFLLASLALVLSCALYLYFFRHPGRDRVVAEFAGESLESCDVFDGIWVPDERYPLYNSSRCPFAERGFNCLANGRKDTEFLKWRWKPRGCNLPRFSARAVLEWLRGKRVVFVGDSMSRTQWESFICMLMTGVDDPKTVYEVNGNQISKTIRFLGVRFESFNLSVEFFRSVFLVQQIPALRHGRRRVRAILKLDKLDDLSQKWADSDVLIFNSGHWWTASKLFDMGCYFEAGGVLKLGTSINAAFKMALETWASWVKERVDLRRTRVFFRTYEPSHWRLA >KQL06829 pep chromosome:Setaria_italica_v2.0:V:35923460:35926401:1 gene:SETIT_001911mg transcript:KQL06829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYLGSTPPSPPPSLAAGARSKYTLPPHPMAPLLSPPLLADSVTKFHAASTAVPCSGSPQRYAITGLAGAGRRDRRRCRRTRGRTGLRVEAVAAESRSSEGGVAEDYYAVLGVMPDATPKQIKKAYYNCMKSCHPDLSGNDPDVTNFCMFINEVYTVLTDPIQRAVYDEIHGYAATATNPFLDDNAPRDHVFVDEFSCIGCKNCANVCSKVFQIEEDFGRARVYDQSGDIKLIEEAIESCPVDCIHWTSAAQLSLLEDEMRRVERVNVGLMLAGMGGSIDVFRMASSRWEKRQAKVLEKVRRRVSQDDSSKGGSWSDIWGAPTRYQKNEEEAKERAKRAAAAARRWREYSRKGADKPPTYKLPEAVPNKE >KQL03148 pep chromosome:Setaria_italica_v2.0:V:57480:60367:1 gene:SETIT_005140mg transcript:KQL03148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSTAGLEIGRETIVQVRREKLNLLLTNRSDIFFFLIYCWICNVRMACVWLILFEEEFRPLDPCSEDFMNEHNVELASKLSQCVVSLAAFNGKIRRFACSGILIKYGRCTSVLTSASLVRYSDDESKINDDLQIEVRLPNGQCVKGVLQCCCLEYNIAVIKITRFTDLCAIKLERRRQFKSGSKVVAIGRIFAQGKLTATHGMITDKLSKLDCEVLMVSTCKITKAGIGGPLVDFSGNFVGMNFYDDDETPFLPSNEIIMCLRRLMSPKTSIVLRPFLKQWPVPEPYWSYTSDKIARALQQKQTKIMINIRFNLKTSS >KQL04516 pep chromosome:Setaria_italica_v2.0:V:9521378:9524861:-1 gene:SETIT_000156mg transcript:KQL04516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISHTSHRQQLLLSLLVHLFLPIQLSYSLGAYSNQTAMPPAKVQCHPDQASALLRLGRSFSTSDSACTLASWRAGTDCCGWEGVACAYGRVTSLDLGGCGLESAGLHPALFDLTSLRHLDLSGNSLNESELPAVGFERLTELTHLNLSYSDFAGMIPHGIRRLSKLVSLDFSNWIYLVEGDNDYFLPLGEGRWPVVEPDIGSLVANLSNLKELYLDTVDLSGNGAAWCSAFANSTPQLQVLSLRNTNLNAPICGSLSLIHSLTKVNLKYNKLFGRIPESFADLPSLSVLRLAYNLLEGPFPVRIFQNKNLTVVDISYNFKVSGLLPNFSSDSIMTDLLCSNTNFSGPIPSSISHLKSLKSLGIAATDSHQELPSSIGELRSLTALQVSGAGIVGEMPSWVANLTSLEILQFSNCGISGQVPSFIGNLKKLSTLKLYACNFSGQVPPHLFNLTNLGVINFHSNGFSGTIELSSFFKLPNLFRLNLSNNKLSILDGEYNSSWESIDNFDTVCLASCNISKLPDILRNMHSVQVLDLSNNHIHGTVPQWAWDNWIDSIILMNLSHNQFSSSIGYGSGISANMFVIDISYNLFEGPLPIPGPQTQLFDCSNNRFSSIPFNFGSDLSSISLLMASGNKLSGEIPPSVCEATSLKLLDLSNNDLLGSIPSCLMEDMNYLNVLNLRGNQLHGRLPNSLKQGCAFGALDFSDNRIEGQLPRSLVACKDLEVFDIGNNHIEDTFPCWMNVLPKLQVLVLKSNKFVGNVGPSVSEDKNSCEFINLRIFDLSSNNFSGLLRTEWLRTMKSMRTKAVNERLVMEKQYDPLGQTYQFTTAITYKGSDITFSKILRTIVVIDVSDNAFYGAIPQSIGDLVLLSAVNMSHNALNGPIPSQFGMLHQLESLDLSSNDLSGEIPQKLTSLDFLSILNLSYNKLEGRIPDSPHFLTFSNLSFLGNIGLCGLQVSKACNNMTPDIVLHQSEKLPIDIVLFLFAGLGFGVGFAIAIIVTFQCHNSELPRQCIAFDLSSCFT >KQL08015 pep chromosome:Setaria_italica_v2.0:V:43146602:43147557:1 gene:SETIT_0030121mg transcript:KQL08015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKVPNLSETARRGCCKLCGEPEEDSKRFLICGHPPCMYKYYHIRCMSPDQIASKKQLNEQCWYCPSCLCRVCHRDEDDKKIILCDGCDEAYHLYCLRPRRTRVPKGKWYCKLCLKARAREVKVKEYEDRMLSEHRKHDLTMVKSDKYTGVDLLLSRVKKWRADDEAAAAEAAITLEMLKGGNEEPATAAE >KQL03772 pep chromosome:Setaria_italica_v2.0:V:4517831:4519910:1 gene:SETIT_004044mg transcript:KQL03772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRARCWAGEWGTWAGRERRELAALFGGPAEAWAGGWRGVGGPLMDFDGNVIGMNFYDKKETPFLPSFIVLKCLQHFDIFGKVIRPLHGLRVKTLYEANLSLLEEKVQSGIPERCGVIVVKVQEPSAELSTIKVGDIITHVDGVPFSNAAELGGILLDMCGKLWLDRQKLHPSDDFNQTAAVMSLKVLTYGGGKSEVTTQKIDVNKFTPSGLNRWPLPMPIIVRQYANGKLVDEEWYTTGV >KQL03423 pep chromosome:Setaria_italica_v2.0:V:2265929:2268601:1 gene:SETIT_001877mg transcript:KQL03423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRDLLSATVLAVLLATGIAATTAAKTATSQRHKPRRPVPAAVSSCDVYNKGSWVADESYPLYDAASCPFVRKEFDCRRMGRPDTTYLKYRWQPTPPCSLPRFDGLKLLSTWRGKTVAFVGDSLVVNQYESLLCMLHAAAPGARTNASWASGESPSITVRFEDYGVTLVYYLSHYLVDLVHDKARRIALKLDTVDEGRNWLGADVLVFGSWRWWARKSWDYIQDGNTVVQDMDRTQAFTKGLQTWARWVDANLLQASTKVFFQGYSPNHLNGQEWGAPWGKTCVGETMPVNNTAAYHGQPNPQDAIVRRVLAGMTKPVHLLDITFMSQLRKDGHTTKYNGDIQGGDCTHWCVAGVPDTWNTVFYSVLAAGNSLRY >KQL06659 pep chromosome:Setaria_italica_v2.0:V:34844174:34847951:1 gene:SETIT_001590mg transcript:KQL06659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSGEDAPKRNPLPSALVSNLQSVLAARRPPPPEEVSTAAAAGEAEAEAPAAEATDASPDDGAPARPVVLLTCAGGIRSAGLAALVDALVAGGRCDVHVCAPESDKPACGHSVTIRETITATSVDFTGAKAFEISGTPVDCVSLALSGRLFPWSAPALVISGINAGPNCGYEMFHSSAIAAAREALVYGVPSIVISLNWKKDESKDSDFKDAAQACLPLINAALADIEKGAFLKGCLLNIGVPSAPSANKGFKLTKQSEYSPAQSWQAVSTSRPSSAVHFMGMHQSLGIQLAQLGKDASAAGAARRVSAQRKTVEVESVAAAGKQEVREVVKKLFRAEFVEKQHEDLNEDIDLRAMENGFISVAPLNVHGQVEPEIGAPASDWLSAVVSLDKEKEAAAATADQQDASVAAEEKEAPSAT >KQL07429 pep chromosome:Setaria_italica_v2.0:V:39718749:39719342:-1 gene:SETIT_005514mg transcript:KQL07429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPAVAHSPNRFSISWSRLIPKGRGAINPKGLQYYNNLIDELVRHGVQVHVMIYQLDLPQVLEDEYGGWLNPRIVEDFAAYADVCFKDFGDRVSVLDYSG >KQL07430 pep chromosome:Setaria_italica_v2.0:V:39718384:39719407:-1 gene:SETIT_005514mg transcript:KQL07430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPAVAHSPNRFSISWSRLIPKGRGAINPKGLQYYNNLIDELVRHGSGRRVRWMVKPQNCGGFCSICRCVLQGLWRQGVSTGLLWMKSMLLHSGRMMLYKSHLDDVLIHSE >KQL08813 pep chromosome:Setaria_italica_v2.0:V:47196602:47201484:1 gene:SETIT_004058mg transcript:KQL08813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFWLRTRSLSSTQLMAAPARARLVRGVASRLGGGRRLLARSAASKPQATSLKDDSCTAFLHWLQRKAGTQISSMFWDLNELHMVIADRNYAVGEQVMIRYGKYSNAVLALNFGFTLSRNIYDQHLGIASDPESRSVHPFRKEMAKDLLVGELRVLRSARAWVATYCETLSTS >KQL03196 pep chromosome:Setaria_italica_v2.0:V:285758:286431:1 gene:SETIT_003592mg transcript:KQL03196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRPKRQGRKEPKERRDGAARQRSRPGTHRSFGLHARQHLIAVCLLASACGVSYVCRQRRVLQFLAFFFSCCWLFSF >KQL03508 pep chromosome:Setaria_italica_v2.0:V:2794138:2797061:-1 gene:SETIT_000314mg transcript:KQL03508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSSFRRFPPALLAALLCAIIAAASPAAAGAGAGGRGPITTNGRNYTKVCDPARFAALGLDMSRFRYCDASLPYGDRVRDLVGQLTLEEKVRNLGDKAEGAARVGLPPYKWWGEALHGVSDVGPGGTWFGDVVPGATSFPLVINSAAAFNESLWRAIGGAVSTEIRAMYNLGHAELTYWSPNINVVRDPRWGRASETPGEDPFLVGRYAVNFVRGMQDVSTGAVAAGERDPFSRPIKVSSCCKHFAAYDVDAWFDADRLTFDARVQERDMVETFERPFEMCIRDGDASCVMCSYNRVNGIPACADARLLSETVRGQWQLHGYIVSDCDSVRVMVRDAKWLNFTGVEATAAAMKAGLDLDCGMFWEGARDFFTTYGVDAVRQGKIKEADVDNALTNVYLTLMRLGFFDGMPEFESLGAGDVCTEEHKELAADAARQGMILLKNDARRLPLDPNRINSVSLVGLLEHINATDVMLGDYRGKPCRVVTPYNAIRKMVNATYVHACDNGGCDTAEGMGRAARTAKLGDTTIVIAGLNMSVERESNDREDLLLPWNQTTWISAVAEASPHPIVLVIMSAGGVDVSFAQSNPKIGAIVWAGYPGEEGGTAIADVLFGKHNPGGRLPLTWFKNEYVNQIPMTSMALRPDAAHGYPGRTYKFYGGPAVLYPFGHGLSYTTFSYASATAGATVTVPIGAWEHCKTLTYKAGAAPSPPPACPALNVASHRCDEVVSFAVTVRNTGGVGGGHVVPVYTAPPAEVGDAPLKQLVAFRRVFVPAGAVVEVPFTLNVCRDFAIVEETAYTVVPAGASAVIVGDDALALSFAVTINLAA >KQL04459 pep chromosome:Setaria_italica_v2.0:V:9175474:9176064:1 gene:SETIT_004173mg transcript:KQL04459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHWRELARTVPGTLVLVIDSITTGLLSLFDRAHGKLQQVINMVLSLRRGLTVHPRPSAEQCPSEDLEEACRELDRLGTLHTAAGHLFVLCCAYLSLWGCPLWQTWEGRRTAAIDHAAEARRWLRSAAAHARAASAADRMADSFRRPSPGWDAWVLASLKHARCTIWMEMMAQSEVRRMRHAVILEFFDAWMILNQ >KQL06691 pep chromosome:Setaria_italica_v2.0:V:35024229:35027674:1 gene:SETIT_004459mg transcript:KQL06691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGISKRRTSPVSSSSSSGDGMPRRITRKPRSARRGPRGAIRRPPAPRPVNELDLNTAALDPDHYATGLRVLLQKELRNSDVSQLGRIVLPKKEAESYLPTLISKDGRSLCMYDLLNAQLWTFKYRYWPNNKSRMYVLENTGDYVKAHDLRQGDFIVIYKDDGNDRFVIGAKKAGYEQTATVPQIHQHMHLSATLPAPQVFHDYAAPVAPEADMLAIVPQADEIFDGILNSLPEIPVANMRYSDFFDPFGDSMDMANPLGPNHSVNLATHFHDEKTGSSSFPYPKSGPLM >KQL06901 pep chromosome:Setaria_italica_v2.0:V:36322494:36326015:1 gene:SETIT_000582mg transcript:KQL06901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKVIFYRVQDSIMPTDMWVRMCQCGPGPRESLPTSRHSSLPRFLLLGLPFLFCSGREPALFKRPQPHFITPRLASAPATAVTQFTPPHCALALLHSLTGKHSSGSASGCARQPCLYRLCPHPLLLDSSSSLPNGRQRPQPCSHRRIAVLSAPRREAAAVPELGARSAMAGRLVLALPVLLFLLLVGHCRGGKIGICYGRNADDLPGPDKVAQLIQQQSIKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLAFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPVNVSGLVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAHFLKPLLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYSNMFDAQVDSIFFALMALNFKTLKIMVTESGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDQSSIYSLDWTGRGNVDVMTGANITSANGTWCIASANASETDLQNALNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANVVACDFGGAGIRTTKDPSYDTCVYLAAGSKMSTMNSTSLPARSGSSPNPSAKCVTSLLFMLAVAVAAVML >KQL06900 pep chromosome:Setaria_italica_v2.0:V:36322494:36326015:1 gene:SETIT_000582mg transcript:KQL06900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRKVIFYRVQDSIMPTDMWVRMCQCGPGPRESLPTSRHSSLPRFLLLGLPFLFCSGREPALFKRPQPHFITPRLASAPATAVTQFTPPHCALALLHSLTGKHSSGSASGCARQPCLYRLCPHPLLLDSSSSLPNGRQRPQPCSHRRIAVLSAPRREAAAVPELGARSAMAGRLVLALPVLLFLLLVGHCRGGKIGICYGRNADDLPGPDKVAQLIQQQSIKYVRIYDTNIDVIKAFANTGVELMVGVPNSDLLAFAQYQSNVDTWLKNSILPYYPATMITYITVGAEVTESPVNVSGLVVPAMRNVHTALKKAGLHKKITISSTHSLGILSRSFPPSAGAFNSSYAHFLKPLLEFLVENQAPFMVDLYPYYAYQNSPSNVSLNYALFSPQSQDVIDPNTGLVYSNMFDAQVDSIFFALMALNFKTLKIMVTESGWPNKGAAKETGATPDNAQTYNTNLIRHVVNDSGTPAKPGEEIDVYIFSLFNENRKPGIESERNWGLFFPDQSSIYSLDWTGRGNVDVMTGANITSANGTWCIASANASETDLQNALNWACGPGNVDCSAIQPSQPCYQPDTLASHASYAFNSYYQQNGANVVACDFGGAGIRTTKDPSYDTCVYLAAGKMSTMNSTSLPARSGSSPNPSAKCVTSLLFMLAVAVAAVML >KQL08275 pep chromosome:Setaria_italica_v2.0:V:44533983:44535587:-1 gene:SETIT_005164mg transcript:KQL08275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPNRMAPFDRIARALASDHPPPPPAALHAHLLRSHAAATAPALIRSLINGAISRLSKPRPRAAVGLLLLMPRLPVSPDHFSLPFALNAAASLRLLPLGASLHAVAIRLALLPLRLPVANALVDFYAKCEDFPAAHAALADIPAPDAVSFNSLLCAHARNASVPSAESLFAAMPSRTQVSWNAMVVVYVSAGDLASARRVFDEMPTRDTASWSVLIVGYCKRGLVQRARELFDKMPAKNLVAWTAVINGYAQTGRPKATLALFRELEAARIEPDAATMVGVISAASQMGSTELAGWVGAYVDRKKIERNEKILTALVDMHAKCGNVEQALSAFREIAQPDAYPYTALISGLATHGHGKLALEVFERMQTQAVWPDPITFVGVLTACSHAGLVDKGLEYWEAMVRDYGIERRADHYACVVDMLGRAGRIEEAFEMVQTMPMGPHPGALGALLSACKTYDNVEIAEIVANKLFELEPGNTGNYILLSNIYAGKELWEEAERVRSLMRTKLPFKKPGSTWVEDRQKEHAKMSIMD >KQL04344 pep chromosome:Setaria_italica_v2.0:V:8340716:8343387:-1 gene:SETIT_002426mg transcript:KQL04344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREAYMEVLPPMDHIGSRNGWYHPAVRTWTPQENKLFERALAGVDLRCPDWEQVARAIPGRTVREVVHHFKSLEVDVQQIESGMVPIPFYGGGGGGSFTLQWDGNGGGGPGDFRHAYRFGGGCGKRHPGRTPEQERKKGVPWTEEEHKLFLLGLKKYGKGDWRNISRNFVQTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTVNLTDDQPPSPSQSSLITNQSNASAPATAVGQFSLSADTKQHGAANLPFNSPSRTPGMPTYGMGLQDQGLQCGPLHDQLVGNQSMLF >KQL04889 pep chromosome:Setaria_italica_v2.0:V:12843739:12843888:1 gene:SETIT_004947mg transcript:KQL04889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYHRAGRLHRYDPDTEWIKRLARVAKKHPPSEGLVPRMEEFLQLLEED >KQL07816 pep chromosome:Setaria_italica_v2.0:V:41961288:41963349:-1 gene:SETIT_000988mg transcript:KQL07816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPGAVALHEAARALAASVQPQVAAVLFVSAACTVALAALLAVLRLRPPWWCACHVCEAYLTASWAGEFDNLCDWYAHLLRSSPAQTVHVHVLRNVLTANPVTVDHMLRGRFDNYPKGAPFSAILADFLGRGIFNVDGDAWLFQRKLAAAELASPALRAFAVRVVASELRSRLIPLLHSASRQGKGRGKGKVLDLQDVFRRFAFDCICKISFGLDPGCLELSMPVSSFENAFDVASTLSARRATVPMQIIWRLKRFFNYGDERKLRDAVRLVDRLAEEVIRQRRKLGGAASGSDLLSRFMGSINDDKYLRDIVVSFMLAGRDTVASALTAFFLLLSDHPEVAAAIRDEVARVGGDDDRLTASTFSKLKDMHYVHAALYESMRLFPPVQFDSKFAAGDDKLPDGTAVAKGTRVTYHAYAMGRMESVWGPDCGEFRPERWLRNGRFVPESPYRYPVFQAGARVCIGKELALVEMKAVIFAVVRSFDIEAIDRSSRRPKFAPGLTATFASGVPVRVRRRARVSGHSPPI >KQL06304 pep chromosome:Setaria_italica_v2.0:V:32479236:32481963:-1 gene:SETIT_002484mg transcript:KQL06304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGSTSSGGAAGPCAFPTVPRLLRSHVCDWIALFLLVAVDGALNLVEPFHRFVGAGMMADLRYPMKTNTVPVWAVPLIAVIGPVVIFLMVYIRRRNVYDLHHAILGILFAVVITGVLTDAIKDAVGRPRPNFFWRCFPDGKAVYDNVTTGVICHGDPSAIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLLPLVLAAMVAISRVDDYWHHWQDVCAGGVLGLVVASVCYLQFFPAPSDEKGIPHFPLPQCSRTSHVSLRGAALMRIL >KQL06303 pep chromosome:Setaria_italica_v2.0:V:32478827:32482167:-1 gene:SETIT_002484mg transcript:KQL06303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMADLRYPMKTNTVPVWAVPLIAVIGPVVIFLMVYIRRRNVYDLHHAILGILFAVVITGVLTDAIKDAVGRPRPNFFWRCFPDGKAVYDNVTTGVICHGDPSAIKEGHKSFPSGHTSWSFAGLGFLSWYLAGKITVFDRRGHVAKLCVVLLPLVLAAMVAISRVDDYWHHWQDVCAGGVLGLVVASVCYLQFFPAPSDEKGSWPHAHFRFITEQEEESRTQVPTEMGAPTSHELVALEAGRRGQL >KQL08498 pep chromosome:Setaria_italica_v2.0:V:45724198:45725019:1 gene:SETIT_005197mg transcript:KQL08498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQGKNGSSLITRRVEASTTFLHTTPQTEPSGGGEPELAVQLRCHVTKYIRAGRGRGQRQVYVYRGSGAEASFVAAVPRDVLVDEDSVRDVMRLLLRAIRPLRDLDLTDDEWEAILPEDVVPQLADLARGLDEGSRSAAVVELAVDRHIRYSAPRVLMTACRGAPPATEGKDDGCSICLEVLHEEAAAAGKGVPVELPGCAHAFHRRCISKWFRKKPTCPLCRGNVTKHLDPELCSCSLN >KQL07425 pep chromosome:Setaria_italica_v2.0:V:39699369:39702180:1 gene:SETIT_003881mg transcript:KQL07425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSPPPHGSLSLASVGITSGSISRHTRVDSAGPRASALPLYFIAARLGPAARTGPHRTAATEPRVASARSRRRSRPVLPPPPIRAHADRRSPAAQGFDCTLALANMPVRVSLLSHANPIRSRALLWISSPGIHSWGISEEMGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKVR >KQL06459 pep chromosome:Setaria_italica_v2.0:V:33644968:33645582:1 gene:SETIT_004930mg transcript:KQL06459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFASCTLATTPGTGRGARVVLPDGRVRQVPLPATAAELMLEVPGHFLADARALRPGRRIEALPADEALVRGALYATLPMKRLGAPVAPADVARLAAAVIASGEKARAARRRMRPASSPAATAKVAAVVAPPEVVEAAAAAAPLLETDASKPRAPRLEEMAVDDEAAAAEIEELKQRLSGGGRRSRRPTLETIQEESYAAARC >KQL03984 pep chromosome:Setaria_italica_v2.0:V:5701832:5704246:-1 gene:SETIT_004364mg transcript:KQL03984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFKPTAGAFSMASRLAGASTPPDARMVKTGFDPVIYRHNLHLNSLISSGRLARAREMFDQMPEKDVVSLNHMLSGYSRYGGLAAAEDLFRAATHRNVYTWTIMMGILATDRRGCDAVSLFRDMLREGEAPDSVVVSTVLNVPGCDVASLHPVVTKLGFETSVVVCNTLLDAYCKQGLVAAGRRVFLEMPERDAVTYNAMMMGCSKEGLHSEALELFAAMRRAGIGASQFTFSSMLTVATGMVDLHLGRQIHSLFVRATSARNVFVNNALLDFYSKCDCLGDLKQLFWEMPERDNVSYNVMISAYAWNRSASMVFQLFREMQTIGFDRRALPYASLLSVAGSLPDIEIGKQIHAQLVLLGIASENFVGNALIDMYSKCGMLDAAKTNFANKSDKTAISWTAMITGCVQNGQHEEALELFCDMRRVGLRPDRATCSSIMKASSSLAMIGLGRQLHSYFTKSGHMSSVFSGSALLDMYAKCGSLDEALRTFDEMPEKNSITWNAVISAYAHYGQAKNAIKMFESMLHCGLYPDSVTFLSVLAACSHNGLAEECMKYFDLMKYYYSMSPWKEHYSCVIDTLGRAGYFDKVQKVLDEMPFEDDPIIWSSILHSCRIHGNQDLAAMAAEKLFSMAPTDATPYVILSNIYAKAGRWEDAARVKKIMRDRGVRKESGNSWVEIKQKIYSFSSNDQTNPMIADIKAELDRLYKEMDKLGYEPDTSCALHLVDDELKLESLKYHSERLAIAFALINTPPRTPIRVMKNLSACLDCHSAIKMISKIVDREIIVRDSKRFHHFKDGICSCGDYW >KQL05618 pep chromosome:Setaria_italica_v2.0:V:26392344:26393298:-1 gene:SETIT_004238mg transcript:KQL05618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGDRPVWKKFWSLLIPQKVKVFAWKLAHSGLASQANKKARKMEILSTCNICGGEETDHHAVKWVIPAEEELQHAGHEWLRLIDQNDVETAGRLVLILWRAWFIRNELTHSSRKLSITSSVGFLLNYWATLCSIRQEDGLDDKGKKPMFASRLPREGWIKINVDGAFTERGEAGIGVVIRAIFNAASAEEVEITACKEGIMLAAEWSPKPAVLESDCLSAINLLSKPECQRSPSALIIKEAARAAEGLPAVLFRHVKREQNSVAHELAQLARRLFHSAVWRTRAPACVEHLVARDCNSPLSN >KQL06642 pep chromosome:Setaria_italica_v2.0:V:34789343:34791194:1 gene:SETIT_0022972mg transcript:KQL06642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWESVALTLAGTAGNNIGKVLQKKGTHILPPLSLKLKVVKAYALNQLWISGFLMDMCGAALMLTALSQAPVSVVQPIAGCGLAILCVFSHFYLKEVMNGLDWVAITLAGLGTIGVGVGGEEQKVDQIPLLNIPWLVLSVVILFVLLNTWLHMYKKQRREQELTGPEVIEEIIYGLESGILFGISSVISKMGFVMSEMGFPKIVVPAAISCSVCCSAVGFVYQNVCIYFVSRRHAAINSSLVPICE >KQL04998 pep chromosome:Setaria_italica_v2.0:V:13916138:13920512:1 gene:SETIT_000509mg transcript:KQL04998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPTASPTLKDHLSAPTGPLHLKVWEVVCIALGVFMVVVFFVAVWLTIRSKKRVRRASANIPITQIPAISKEIKEVRVEEVPASDFAAHDGVLLTIQDKCSDRDSDKAMAHLGVSKSRRGDESHSGSFRYMDKDAGFQSAEEGGSGTFRQASAHAITAPSPLVGLPEFSYLGWGHWFTLRDLELATNRFSKDNIIGEGGYGIVYRGQLINGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSQRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFESKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSKHHRGGSTEMDSQRDNNSDTEKSDNPDSKPSRSRASSSK >KQL04997 pep chromosome:Setaria_italica_v2.0:V:13914935:13920512:1 gene:SETIT_000509mg transcript:KQL04997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLLAAGSASHANMYLAVRRGPFTDPETPRHRRAQERSLIRAPPPASFNLFPNPPLIHHLFSNFFRKEKNKQQRGKQKEQPAPTPACLLLACCLCAAHHPRRLRFVPSPFADFFGGKGKQASAEILHRYHSPTAPIRGRRWEACVCFSRAAGSSGLLSPAGAEIRPLGRRLRSFSAGAGSWHPAMESPTASPTLKDHLSAPTGPLHLKVWEVVCIALGVFMVVVFFVAVWLTIRSKKRVRRASANIPITQIPAISKEIKEVRVEEVPASDFAAHDGVLLTIQDKCSDRDSDKAMAHLGVSKSRRGDESHSGSFRYMDKDAGFQSAEEGGSGTFRQASAHAITAPSPLVGLPEFSYLGWGHWFTLRDLELATNRFSKDNIIGEGGYGIVYRGQLINGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSQRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFESKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGQDRRSKHHRGGSTEMDSQRDNNSDTEKSDNPDSKPSRSRASSSK >KQL04996 pep chromosome:Setaria_italica_v2.0:V:13914935:13920512:1 gene:SETIT_000509mg transcript:KQL04996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGLLAAGSASHANMYLAVRRGPFTDPETPRHRRAQERSLIRAPPPASFNLFPNPPLIHHLFSNFFRKEKNKQQRGKQKEQPAPTPACLLLACCLCAAHHPRRLRFVPSPFADFFGGKGKQASAEILHRYHSPTAPIRGRRWEACVCFSRAAGSSGLLSPAGAEIRPLGRRLRSFSAGAGSWHPAMESPTASPTLKDHLSAPTGPLHLKVWEVVCIALGVFMVVVFFVAVWLTIRSKKRVRRASANIPITQIPAISKEIKEVRVEEVPASDFAAHDGVLLTIQDKCSDRDSDKAMAHLGVSKSRRGDESHSGSFRYMDKDAGFQSAEEGGSGTFRQASAHAITAPSPLVGLPEFSYLGWGHWFTLRDLELATNRFSKDNIIGEGGYGIVYRGQLINGSPVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCVEGTQRMLVYEYVNNGNLEQWLHGAMSQRGSLTWEARIKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFESKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVVLLEAITGRDPVDYGRPANEVNLVDWLKMMVASRRSEEVVDPTIETRPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESDDPIPRGDRRSKHHRGGSTEMDSQRDNNSDTEKSDNPDSKPSRSRASSSK >KQL04625 pep chromosome:Setaria_italica_v2.0:V:10271919:10277897:1 gene:SETIT_000037mg transcript:KQL04625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSTAAPPYAAVAGEGPGPLLLAVVSFLRPLLIHGVGAAAHAILALAVAGRLLSRLCGAAKDGRARGGASRGGGRFRCYGVAACATWALAAFQVLLAAYSCYLYLGAGWSRDAAAGLGDAAARAVAWLLLAAYLQFDLGPRRGERFPAPLRLWWALFLLLSVLAAAAHVATSLDGRPVPTHSWALDAVSVVAAVVLLCAGLLGGREGGGSAAEEPLLNGAHETADENSRSAAEASKFTGAGFLSVLTFSWMGPLLAVGHKKTLGLDDVPGLDPGDSVAGLLPTFEANLEAVAGGVSGSGRKAVTAFKLTKAVVRTVWWHVAVTAFYALVYNVATYVGPYLIDSLVQYLNGDERYASKGQLLVLAFIVAKVFECVSQRHWFFRLQQAGIRARSVLVAVVYQKGLALSSQSRQSRTSGEMINIISVDADRVGIFSWYMHDLWLVPLQVGMALFILYSTLGLASLAALGATVVVMLANVPPGQMQEKFQQKLMDCKDVRMKATSEILRNMRILKLQGWEMKFLSKIIELRKTETNWLKKYLYTTTLVTFVFWGAPTFVAVVTFGACMLMGIPLESGKVLSALATFRVLQEPIYNLPDTISMVIQTKVSLDRIASFLCLEELPTDAVKRLPSGSSDVAIEVSNGCFSWEASQELPTLKDLNFQARRGMRVAVCGTVGSGKSSLLSCILGEIPKLSGEVKICGATAYVSQSAWIQSGKIQDNILFGKEMDNEKYERVLESCSLKKDLEILPFGDQTVIGERGINLSGGQKQRIQIARALYQEADIYLFDDPFSAVDAHTGSHLFKECLLGALASKTVVYVTHQIEFLPAADLILVMKDGRIAQAGKYNDILGSGEEFMELVGAHKDALAALDLIDVAGRSNESSPSRGTAKLTRSLSSAEKKDKQDEGNNQSGQLVQEEEREKGKVGFWVYWKYLTLAYKGALVPLVLLAQILFQVLQIGSNYWMAWAAPVSKDAEPPVSMSTLIYVYIALAVGSSFCVFLRALFLVTASYKTATLLFNKMHMSIFRAPMSFFDSTPSGRILNRASTDQSEVDTSIASQMGSVAFASIQLVGIIAVMSQVAWQVFVVFIPVVAACFWYQRYYIDTARELQRLVGVCKAPIIQHFAESITGSTTIRSFGKENQFVSANSHLMDAYSRPKFYNAGAMEWLCFRLDVLSSLTFAFSLIFLINLPPGTIDPGIAGLAVTYGLNLNMLQAWVVWSMCNLENKIISVERILQYLSIPAEPPLSMSEDKLAHNWPSRGEIELHDLHVKYAPQLPFVLKGLTVAFPGGLKTGIVGRTGSGKSTLIQALFRIVDPTIGQILIDGIDICTIGLHDLRSRLSIIPQEPTMFEGTVRSNLDPLGEYTDNQIWEALDCCQLGDEVRKKELKLDSPVVENGENWSVGQRQLVCLGRVILKRSKILVLDEATASVDTATDNLIQKTLRQQFSEATVITIAHRITSVLDSDMVLLLDNGVAVERGTPGRLLEDKSSLFSKLVAEYTMRSTHT >KQL06677 pep chromosome:Setaria_italica_v2.0:V:34953504:34954130:1 gene:SETIT_004513mg transcript:KQL06677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TMPSAMNLRRQYSVKEDLASAVKMILILFWFSTPFWVLLLRFLPPKFSVQVVGATGLDAPPPAHDAPIPISTAFNITLHAANRRPTDRCYRNGEAAVRYSGYTVAWGRTRAFCLGAKEARDVPLVAWADGVGLPPALRERMAADWRAGAVDLEVDVRLFRGDDGSARPAWMSCKVKAGGAKPSGVTPCTVFALQNWASDIGPDWMQYF >KQL06673 pep chromosome:Setaria_italica_v2.0:V:34946907:34948893:1 gene:SETIT_002418mg transcript:KQL06673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWRVMFLLVVLLAVKVRCVSCPGDMWLETATPAPHLNRSAPLPEPHRNDSCDSHTVSCEPAESNGAKAVVGFNSAVTFFFFVVPMIRVLRLERNSRTKSDTQFWWYLCCGFSGYIVWVYYFSDCSSLYQAAVFPIYMVSILGAIVHFILLCIATYLTLGVRDKRPYALIFVLGSSLIGATLLWVFKVKRIGWLGFSLTALSHCFRFGATGLGQLVNVLVVDLFPQYAHVGLGIQYMRGCTQYGHVRGVCVKVHLCVWSVVVERTL >KQL06674 pep chromosome:Setaria_italica_v2.0:V:34946907:34949818:1 gene:SETIT_002418mg transcript:KQL06674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLWRVMFLLVVLLAVKVRCVSCPGDMWLETATPAPHLNRSAPLPEPHRNDSCDSHTVSCEPAESNGAKAVVGFNSAVTFFFFVVPMIRVLRLERNSRTKSDTQFWWYLCCGFSGYIVWVYYFSDCSSLYQAAVFPIYMVSILGAIVHFILLCIATYLTLGVRDKRPYALIFVLGSSLIGATLLWVFKVKRIGWLGFSLTALSHCFRFGATNYRDKFTFWLFDVSIPIWVVNAFISVVGSITGFLWLRHPQLCISMEYKVTSYVIGVVRAIEVYLWCSRGMARVLSKIEGEINHV >KQL06734 pep chromosome:Setaria_italica_v2.0:V:35287027:35287832:1 gene:SETIT_003411mg transcript:KQL06734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSILSAWLLMRKPARPIRRPRTTIQPPSPSIRPPCPSIWPLRAPSFLRPPPPTSPTPLPPPSSLPPRMCGWNRRVPRPPAIPRPGIRSWAPAATGAALDRKGSWSSDGEK >KQL08642 pep chromosome:Setaria_italica_v2.0:V:46442192:46445452:1 gene:SETIT_002775mg transcript:KQL08642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVDAESRFHVLAVDDSLIDRKLIEMLLRNSSYQVTTVDSGSKALELLGLRDEADDPSSPSSSSPDQQEIDVNLIITDYCMPGMTGYDLLKRVKGSSSLKDIPVVIMSSENVPARINRCLEDGAEEFFLKPVKLADMKKLKSHLLKRKEPKMCQPQIQPEPEQKLEPPPQPAHKPEEAVAEATADGTAAISDCNGSNKRKAAAMEQQQEGLTSPESTKPRLSNSSLAVET >KQL07902 pep chromosome:Setaria_italica_v2.0:V:42423602:42426779:-1 gene:SETIT_002208mg transcript:KQL07902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKQMEEIQRKLSLLAYPRANAPAQSLLFAGVERYRLLEWLFFRLLGDRSPFTQQNWQGDSLDRDEENNRIQHLAEIANFLGITPSVDTEAIQGRGSYEERVELLRLIVDLVEASCYADNPEWSVDEQLAKDVQLVDSIAEKQAQIFSEECKLFPADVQIQSIYPLPDIAELELKLSEYTKKMSNLQQMVQELASKYDYNPNEDYAETELKLREHLQSFLETVKSFNTIYTKEIHPWTHMMEVPQLHGFGPAANRLLEAYNTLLKFLGNLRSLRDSYTAMAAGSLSASNEPSSVTKIISDCESALTFLNHSLSILSTSVAREQGETL >KQL04031 pep chromosome:Setaria_italica_v2.0:V:6015732:6016996:-1 gene:SETIT_002006mg transcript:KQL04031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNEETRILVIGGTGRLGRHIVAASARLGHPTAALVRDTAPSDPAKAALLRSFRDAGVTLVKGDLYDHTSLVRPMKAADVVISALGTRQIADQTRLIAAIKDAGGVKRFLPSEFWTDVDHTAAVEPARSTVYASKASVRRAVEAAGVPHTYVLCGYLAGAALPSVGQVLSPAPPADEAVVLGGGDTKVSYVAEEDVGAYTVRAAADPRAAGRMLYVKPPANTLSHNELLSMWEKKTGRTFLRVYVAEDAVLKMIRGGKNDSAEASHALGIALSIGHAAYILGETNFKIEPSLGAAAGELYPDVEYTTVSEYLDRLLPTGSSGRYLQGHKGVLTSLAALCCVLLCIFVWMLSDRISR >KQL04030 pep chromosome:Setaria_italica_v2.0:V:6015534:6017070:-1 gene:SETIT_002006mg transcript:KQL04030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPNEETRILVIGGTGRLGRHIVAASARLGHPTAALVRDTAPSDPAKAALLRSFRDAGVTLVKGDLYDHTSLVRPMKAADVVISALGTRQIADQTRLIAAIKDAGGVKRFLPSEFWTDVDHTAAVEPARSTVYASKASVRRAVEAAGVPHTYVLCGYLAGAALPSVGQVLSPAPPADEAVVLGGGDTKVSYVAEEDVGAYTVRAAADPRAAGRMLYVKPPANTLSHNELLSMWEKKTGRTFLRVYVAEDAVLKMIREASHALGIALSIGHAAYILGETNFKIEPSLGAAAGELYPDVEYTTVSEYLDRLLPTGSSGRYLQGHKGVLTSLAALCCVLLCIFVWMLSDRISR >KQL08511 pep chromosome:Setaria_italica_v2.0:V:45775524:45779062:1 gene:SETIT_002427mg transcript:KQL08511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHLSFEEGWKVLEQGIVKCSKILECTSTRPTVAEYMNYYDCSYRMAVQKQHYCHEMYNGFKTTLADCVRAMILPHLMHKQNDYFFRELVKMWSNYAIMVRCVIGFFSYLDRCYVEQYKLPSLSDTAATSFFGPVFSYFSDEARTALLTLIRQERDGSTMDSGFLDVMHGICRSEVKTLMQNAVLEDTYAYYSMRSSEWIMQYSLPDYLSKVQESMEKETNRLAYYLNISRDESIDLCLQAVNAPLMETYDSYASEKQIGGQLLLQTYKTVEEELLGRCSSLTLGGSDSNSFN >KQL07375 pep chromosome:Setaria_italica_v2.0:V:39398531:39400459:-1 gene:SETIT_001050mg transcript:KQL07375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSPTSSLGLQLVLLLPMLPLLYLLLRQDPKKQPRAHGLKAYPLLGTLPHFVKNQDRILEWTAGVMKRDPAHTMSVKALGLTGAAITANPANVEHILKTNFANYPKGELAVSMIEDFLGHGIFNSDGEQWLWQRKAASYEFSKRSLRNFVVDAVRFEVVERLLPLLDRARQDGRTLDVQDVLERFAFDNICRVAFGEDPACLAEENMAAPQSAEFMRAFNDAQNAIMARFMSPLKSLWRLKRLLNMEPERRMREALCTIHGYADRIVRERRERGDAGLASRDDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWLVSTRPDVEDRIVREIRAARALSSHGSTHPSPTFSFDELRDMHYLHAAVTESMRLYPPVAMDTHSCKEDDFLPDGTFVGRGWLMTYSAYAMARVEDVWGKDCEEFRPERWLGEDGAFRPESPFRYPVFHAGPRMCLGKEMAYIQMKSIVACVFERFSFRFVGGEERPGLVLSLTLRMEGGLPMEVIERKSSVI >KQL06518 pep chromosome:Setaria_italica_v2.0:V:33930630:33937562:1 gene:SETIT_000506mg transcript:KQL06518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDGDRHRAPKRHKSSAPSKAAPVDESTEFDYADDFDDDALDADTEVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAFDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSNLLNDDVISKARISPEDSLGASSFTISKTSGQASGHEELLNGMELAAATEDKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRTRSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDDHISRVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLAATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCEEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIYGATSHAERTRILYQFKNSPEVHTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKSQQFLIDQGYSFKVITSLTPPEEGPNLSYYTLDEQLELLGKVLNAGDDMIGVEHLEEDSDGKALLKARRSAGSMSAFSGAGGRVYLEYSTKGKGAPKKPQGPIKQALSV >KQL07671 pep chromosome:Setaria_italica_v2.0:V:41107145:41113718:1 gene:SETIT_000842mg transcript:KQL07671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAMAEVVQEGCVENRQPLAASSSSVSDGSSCGGGGPAGTSPLVSSSGNSISGLRRTSGPIRRAKGGWTPEEDETLRKAVETFKGRNWKKIAEFFHDRTEVQCLHRWQKVLNPELIKGPWTQEEDDKIIDLVRKYGPTKWSIIAKSLPGRIGKQCRERWHNHLNPEIRKDAWTPEEERALINAHRVHGNKWAEIAKALPGRTDNSIKNHWNSSLRKRLDAYGANSFLAAQNLLAHDDFKDQMRPIAMGNRLDLNKVPIIGSKDVPGRAHRSIIGSLSQAYNLKDSSAFLSLSIPTAQTSYEMSSLVDGSAVTLAAQGLESDSVRGKGLEIDSLHEKGFEVSSTPDPVGKGCAIQLKSAPAKSGTKSSLKNELYSTLGPLCYQIPNMEEVAPISSSLHSENHGSHQTTHHSKDGLKSPDGFTSPSPTIGKVSSPLTVDSILKTAADSFPCTPSILRKRKRDKSTPTSDNELKMGGVNTDSFYTPNGKGASTNTPGSFKTALLSLGPLDGLLTSVRSSDASPPYQIRSKRMAAMKSVEKQLDFSADGLDTSGSEILNSPCHNSQGANSNRT >KQL05276 pep chromosome:Setaria_italica_v2.0:V:20448189:20449807:-1 gene:SETIT_003058mg transcript:KQL05276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVYDAMKFCKADVSTVCFGLAASMGAFLLAAGTKGKRYCMPNARIMIHQPSGGAGGKVTEMGLQIREMMYEKIKINKILSRITGKPEEQIDEDTKFDYFMSPWEAKDYGIVDSIIDEGKPGLVAPFAGAVPPPKSRVWYLWKASGPTRKIMKDLPSEEKLILNGNGSATGDDGKLKEASAT >KQL06172 pep chromosome:Setaria_italica_v2.0:V:31358347:31358878:-1 gene:SETIT_005636mg transcript:KQL06172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAASDGGGSSDLIGVPIQVVQQQGVDLGDGKFDPLWHNEEELDPFSKATKSSMSIAYHHFWQVEDLSQRQRLI >KQL04688 pep chromosome:Setaria_italica_v2.0:V:10974296:10976024:-1 gene:SETIT_001082mg transcript:KQL04688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQATYYPYLFMFMALVFPLLIKLKKRAGNSVRLPPGPWQLPVIGSLHHLLGKPLVHRALADLARRLGAPPLMYLKLGEVPVVVATSPDAAHEIMRAQDVTFATRPWSTTMKIMMADGYGLGFAPYGEQWRQLRKISVLELLSARRVQSFRRVREEEVARLVAAVAATPPGKPANLSERVAVAIADSTVRALIGDRFGRREEFLETIEEESKLTSGFNLSDLFPSWSWLVNFVSGTARRSHAMHKKSIELMEHAIGQHEEMRATMAANGKVVEEDDLVGVLLRIQKEAGLSVPLTNGTIKATIFDLFGAGSKTAAITLQWAMSELIRSPNVMKKVQAELRNILDGKPKVTEDDLSEMKYLKLVIKETLRLHPPAPLLIPRESRESCKILGYDVPKGTTVLVNAWAIGRDPKYWEDADEFKPERFESSAVDFRGMNFEYIPFGAERRICPGILFAQANMELVLAALLYHFDWKVKSGLEPSELDMTEEMGLTIRRKNDLRLYPIVRVPPQFTQ >KQL05715 pep chromosome:Setaria_italica_v2.0:V:27190163:27190618:-1 gene:SETIT_003686mg transcript:KQL05715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLERGKKRQTARGVMMLYFKLRAVNYRHHMHSSLRHYAHLLRQLGIQRCRKIGHYLT >KQL05458 pep chromosome:Setaria_italica_v2.0:V:24713683:24713922:-1 gene:SETIT_004493mg transcript:KQL05458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPHDEDLPSSSHSSTMAAAPNDQDNNSNAYKGPITRARAREIQNKVNMFLSNVHIFDEDSILPNACTLLVLRFEGLVSLE >KQL07676 pep chromosome:Setaria_italica_v2.0:V:41144716:41148029:-1 gene:SETIT_000806mg transcript:KQL07676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAISSALRPCSLLVAALMLLASIVEVQGITRYYDFNVTMANVTRLCSSKSIVTVNGQFPGPELVAREGDRVVVRVTNHAQHNVSLHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNFTVVGQRGTLWWHAHISWLRATVYGPIVILPKLGVPYPFPAPYKEVPVIFGEWWQADTEVVIKQALQTGGGPNVSDAHTINGLPGPLYNCSAKDTYKLKVKPGKTYMLRLINAALNDELFFSIANHSLTVVEVDAVYVKPFTVDTLLIAPGQTTNVLLTAKPFYPGANYYMSAAPYSTTRPGTFDNTTVAGILEYEYPDAPSSAPSFNKALPLYKPTLPGFNDTDFVGNFTAKLRSLATAQYPAAVPKTVDKKFFFTVGLGTHPCPANTTCQGPTNTTQFAASVNNVSFALPTRALLHSHFTGLSSGVYSSDFPVAPLTPFNYTGTPPNNTNVANGTKLMVIPYGTNVELVMQGTSILGIESHPLHLHGFNFFVVGQGYGNYDPVNDPAKFNLVDPVERNTVGVPAGGWVAIRFLADNPGVWFMHCHLEVHTTWGLRMAWLVLDGSLPHQKLLPPPSDLPKC >KQL07440 pep chromosome:Setaria_italica_v2.0:V:39750303:39751866:1 gene:SETIT_004848mg transcript:KQL07440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEESTAEAPGDAAAYHEEYLGVPGVRFAPTDQELIVHYLGRKLRNEPLPTDLVVDGRDAYAEHPKKLVPKLGEGIGGAWYLFSPRDRKYAGGMQPKREMGDGEGRWKAVEAEKLVLGGADGKEVIGAKRALTFHEHSHQVDALGKRKRVSSKSKPTKWKMVEFVSINSSRAARDDTAPDPMLLNEFVLCKITNKSLRAANPDPAQGDEKEENDDDNGEEEPAPDGGGESQEKHLPEQRPAGGGGAGAQGEQQQPPPGGGAGSHGNRQPAATEAPSWGHIAALPDDDYRGAKYFVGVDGSNRSGTNRLSVFGSDDHIGAFYGGGAGSHGNRQPATAEAPHGQHMAALPGDDYRGAKYFVGIDASNRSDTNRLSVFGSDDHIGAFFGGGDSLGTQRAGHRQEASGGGAGSSPDEQQQPTPEAPNGGGAAATGAASQQSDANLCHWEFCDSAITFADFVGST >KQL04476 pep chromosome:Setaria_italica_v2.0:V:9296864:9300365:-1 gene:SETIT_002387mg transcript:KQL04476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYDDRYGGNTRLYVGRLSSRTRTEDLEDIFSRYGRVRYVDLKHEFAFVEFSDPRDADDARYNLDGREFDGSRIIVEFAKGVPRGTGGSRDREYMGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNRCYRCGDRGHIERDCRNSPKNLRRGRSYSRSPSPRRGRSRDRSYSRSRSRSYSRSRSPRRDSRGERRSMSPRDSHSPRRSPRDSHSPRRNPRDSRSPRRSPSPSKGRDRSPSRSPAPRELNGDHSMSPRRADSRSPADHERRDISPAANGRSPSPGDYKENGNQRPSPRGSASP >KQL07987 pep chromosome:Setaria_italica_v2.0:V:43003256:43006815:1 gene:SETIT_001354mg transcript:KQL07987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSLPPGFRFHPTDVELCSYYLKRKITGKKLLVEAIAEVELYKYSPWDLPDKSCVKSRDMEWYFFCPRDKKYATGSRANRLTPNGFWKSTGNDRTIVLNTRIVGMKKTLVFHEGKAPRGDRTDWVMYEYRMEDNELDVGGFSKDAYVLCKIFKKSGPGPRIGEQYGATFNEEEWDNINTETAFPLMPCPSSEVLGATNEPPFQHTVASTSSVVSEPHVLTVASADGLPFEFSTSSITATDELQRHRLRHNGSEMVTVNCSSDALDACDPTEFGGITSEETDKWVRFLNDSTERDGGAREKLAGLPAISEAQAQALEMNSDYCYHELVRLVESGGVSTANDLSPGYVNTECLNTPMVSGLGAGDDYLEINDLFPLGETASFELPAPESQFPQYPLEQCPCNELNHPQYHDEGALAASLAAGDFLPPTSCSMPDISVDDVTCSTNLMWNDCSNSTVWYPFS >KQL07988 pep chromosome:Setaria_italica_v2.0:V:43003256:43006815:1 gene:SETIT_001354mg transcript:KQL07988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSLPPGFRFHPTDVELCSYYLKRKITGKKLLVEAIAEVELYKYSPWDLPDKSCVKSRDMEWYFFCPRDKKYATGSRANRLTPNGFWKSTGNDRTIVLNTRIVGMKKTLVFHEGKAPRGDRTDWVMYEYRMEDNELDVGGFSKDAYVLCKIFKKSGPGPRIGEQYGATFNEEEWDNINTETAFPLMPCPSSEVLGATNEPPFQHTVASTSSVVSEPHVLTVASADGLPFEFSTSSITATDELQRHRLRHNGSEMVTVNCSSDALDACDPTEFGGITSEETDKWVRFLNDSTERDGGAREKLAGLPAISEAQAQALEMNSDYCYHELVRLVESGGVSTANDLSPGYVNTECLNTPMVSGLGAGDDYLEINDLFPLGETASFELPAPESQFPQYPLEQCPCNELNHPQYHDEGALAASLAAGDFLPPTSCSMPDISVDDVTCSTNLMWNDCSNSTVWYPFS >KQL07989 pep chromosome:Setaria_italica_v2.0:V:43002577:43006815:1 gene:SETIT_001354mg transcript:KQL07989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTSLPPGFRFHPTDVELCSYYLKRKITGKKLLVEAIAEVELYKYSPWDLPDKSCVKSRDMEWYFFCPRDKKYATGSRANRLTPNGFWKSTGNDRTIVLNTRIVGMKKTLVFHEGKAPRGDRTDWVMYEYRMEDNELDVGGFSKDAYVLCKIFKKSGPGPRIGEQYGATFNEEEWDNINTETAFPLMPCPSSEVLGATNEPPFQHTVASTSSVVSEPHVLTVASADGLPFEFSTSSITATDELQRHRLRHNGSEMVTVNCSSDALDACDPTEFGGITSEETDKWVRFLNDSTERDGGAREKLAGLPAISEAQAQALEMNSDYCYHELVRLVESGGVSTANDLSPGYVNTECLNTPMVSGLGAGDDYLEINDLFPLGETASFELPAPESQFPQYPLEQCPCNELNHPQYHDEGALAASLAAGDFLPPTSCSMPDISVDDVTCSTNLMWNDCSNSTVWYPFS >KQL08594 pep chromosome:Setaria_italica_v2.0:V:46242155:46242733:-1 gene:SETIT_005109mg transcript:KQL08594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKMRMPVPSLFRLGRRSKSPPPQSQQQQQAKAEAAPGSPPRTAEEEMARVFRKFDANGDGRISRSELAALFESLGHAASDDELARMMAEADADGDGFISLDEFAALNATVAGDAAAVEEDLRHAFRVFDADGNGTISATELARVLRGLGESASVAQCRRMIEGVDQNGDGLISFEEFKVMMAGGGCFAKIA >KQL08229 pep chromosome:Setaria_italica_v2.0:V:44318076:44322283:1 gene:SETIT_002070mg transcript:KQL08229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCEAPRLRCDAGAGEAVAAADVDVVTTRGRRRIPAHSSVLATASPVLGCILERRLRKDRESGKKAGRSVVRIRGVTDDAAAAFVRLLYAGRCGDGEEEDMEKHAVQVLVLAHAYQVPWLKRACEGAIGARLTADSVVDVLQLAALCDAPRLHLRCTRLLAKEFAAVERTEAWRFLQENDPWQELQLLQRLHEADMRRRKWRRKRAEQRVYVELSEAMDCLDHICTEGCTEVGPAGRAPAPSPCARYATCRGLQLLIRHFSQCHRKSCARCQRMWQLLRLHSALCDRPDRCNTPLCTRFKQKEQEKAAVKAGDDGDKWGLLVKKVKAARVFSSLANRKQMSSTSQC >KQL08616 pep chromosome:Setaria_italica_v2.0:V:46318365:46319521:-1 gene:SETIT_002782mg transcript:KQL08616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPVKLIGFFGSPYAFRAQAALCLKGVPYELLMEDLFGTKSDLLLQLNPVHKKVPVLIHGDRAISESLVVAEYIDEAFDGPPLLPTDPYDRAMARFWADFIENKLTKPFFMAHWVEGEAREKFEKEGLELLTLLEAQLKGKKFFGGDRPGYLDVAASALGPWSSVIQEVMGVTVVREDEHPAIVQWVKDYSSHEALKPCIPDREKLLAYFTENLERYKTAVNAMLQQQ >KQL04102 pep chromosome:Setaria_italica_v2.0:V:6486551:6489511:1 gene:SETIT_000406mg transcript:KQL04102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVASLALVVLLFGVALSPAPASAQQPADCPDRCGNISVPYPFGIGARCARDFGYELVCNHSYKPPRLTFFPPLPTPTSILAGRRLNLVSLSLADGEAVALVNAYRECGNSTTGLVSNDRNRTTYLSLLGSATYRLSAARNRFVTLGCPTLGYLSDDRGYYVTGCTSVCRPSDWNAVPPGACTGVGCCQSRVPPNVSFYEPSVQRLGENQRSFLASFLENTTACRYAFVAEDGWVENTYRGRPEFNRTDDFAVPVVLDWAIRNVANCDAAKRNETGYMCRSANSDCVDSTNGVGYRCTCSQGYEGNPYLLDDRGCKDINECQHLDKYPCYGVCTNVLGGYKCDCHPGFSGDAIKNDCRPKDKFTLALKVVTGVSVGVFLSVFMCFWLYLGLQKRKLIRTRQRFFEQNGGVILQQQMHSGGGAGGFKIFSTEELEKATNNFAADRVLGRGGHGVVYKGVLDDNMMVAIKKSKMMEAAQTKEFAREMFILSQINHRNVVKLLGCCLEVEVPVLVYEFVSNGTLYHYIHGKEPNVEDIALDTRLRIAAESAEALSYMHSSASPPILHGDVKTANILLDDKLNAKVSDFGASKLAPTDEAEIATLVQGTCGYLDPEYLMTCQLTDKSDVYSFGVVVLELLTRKKALYLDGPEEDRSLVSRFTTSVKAGRHRELLDTQVRNEMDDEMLAEIAHLLMRCLSMNGEERPTMKEVAERLERLRRYQQHPWAEADRNAEENQSLLSMEQLNSNYQFRQHDVLDLEEVSTYTFSL >KQL06827 pep chromosome:Setaria_italica_v2.0:V:35912130:35913894:-1 gene:SETIT_001013mg transcript:KQL06827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLSPSPSASATSSRRGQIVASEWPPGSSSGSNPPSDGPPPSPDPPPQAVGTDDEEFGGRPAKRARPESGCGDGVCTADRPAGDGRDRISDLPDAVLLSVLSFVPLRDAGRTAVLSSRWRGLFNQSLLDFNACQPFPPEEGRGCDWFIRAVTGILAARPRTPIRSFRFVMYGRGFDGRLGVVDRWFRALARHRLRELDVDMFYAAPMPTLPGSLLKLASLETLKLFYCRFPNAGSAPAPRLPALKILDLSNVNVSQESLQAILSHCTSLECVKLKNITGVDKISLRSKSLARLYGDFGDLKELVVEDAPNLKELVGIGLPSGKAKVKIVFAPTLLVLGYLGISVRPLVLHDTVFDGGIVQLRTLMHSVKTLAILVPFSEKGYTVFVAQLLKCFPRLEALHIEPNKRSISRRVNVEEWDTANSIQCIEHSINRVVFECFGGEDCQWGFLTFLLGMARALKLVELYCWTGKDWASDQIEVLNPKNRASPDAEIQFFRLCKPISDLYLCHCCTQRCQKENRVALI >KQL03771 pep chromosome:Setaria_italica_v2.0:V:4513128:4514280:1 gene:SETIT_004429mg transcript:KQL03771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREKCIEMKRLRKEEKRREDEERVKWALRSRALLDARSKAWKEEQRKLDFGGNKREKETLTWEIINKPPKGSGEWLPYESDDEFGTEFELKHTSWEVEKFTLELARCMVGLESFTGDTKIFSCSGTIVEYVDDTGYVVTSASLVRCPDKDEQVDKPKINVWLASGQKLEGFVSNVDFYYNICVIKVPCTFHLPSKSFSPNTWSFNFYENHTRDVVVLGRSCEPCSLKVASGKLIPRRSKFDCEELLVSSCKISKVGQAIP >KQL06574 pep chromosome:Setaria_italica_v2.0:V:34364069:34365574:1 gene:SETIT_001317mg transcript:KQL06574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVSGRWTRVRTLGRGASGAVVSLAADEASGALFAVKSAPASAEEQLRREGSILSALRSPHVLPCLGFRAAAGECQLFLEFAPGGSLADAVARGGGRLGERAVRAYAADVARGLAYLHERALVHGDVKPANVVLGADGRAKLADFGCARMAGSARPLGGTPAFMAPEVARGDEQGPAADVWALGCTVIEMATGRAPWSDMEDLLAAVHRIGYTDAVPEVPAWMSAEAKDFLARCFARNPRDRCTAAQLLEHPFLASAGCGVKTEEVAARWVSPKSTLDAALWESDSDDSDDEGDVSESPTQRIKELAGACSALPDWDSDEGDWIQVLNEQCDKACHLVPVKEAAREMAGEDKRLLLLSEALETKVDFIDADAESDDPECSAAVGLTAPSIEQQEDCCSCSWSDPSVIAVATCRIEMSDQFLLPNCLIFTLSSVLLAVQFIVLYDYPIHTGLLPSCCQCDATIPESLF >KQL08726 pep chromosome:Setaria_italica_v2.0:V:46845992:46848296:-1 gene:SETIT_003081mg transcript:KQL08726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIISKKNRREICKYLFHEGVLYAKKDYNLAKHPKLDVPNLEVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEYLRTFLNLPSEVVPNTLKKSSKPPSRPFGSGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGAPGDFGGEKGGAPADFQPSFRGSRPGFGRGVGGAFGAGGSSME >KQL04976 pep chromosome:Setaria_italica_v2.0:V:13693443:13695612:1 gene:SETIT_002587mg transcript:KQL04976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMHDVVELKCMENRSKKSLRWCRTHDLKSSALPPSSSLLSPHSPPSGSMVASMLLRASRVATRAACSAVSAASRRAAGAGSAGAAVPDLLLPRSTPVASPLFGRYQASRRTAVACLGGAGAQDLLLRRSTPVASPPFGRCLSSLMARSDQGTLEPLTRPAFEVDDKDLESDEALWAFYERWCKFWGQERSREEMQRRFDLFKDTVLFVHRCNKNGDQLEVGMFADGKLAEQQVGVCKEVFESLPYSKSLIIGRAGPILFNNK >KQL08587 pep chromosome:Setaria_italica_v2.0:V:46172701:46175132:-1 gene:SETIT_002357mg transcript:KQL08587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLQPVPTPAASNGDAFRLGFIGAGNLAESIARGVAASGVLPASAIRTAPHRRPERGAAFASIGACLLDTNTQVVDESDVIVISVKPQIVKQVLLELKPLLSDEKLLVSIAAGIKMKDLQVNMLYAMLEYYILRPYTALFSFQDWSGQRRIIRVMPNTPSAVGQAASVMCLGEMATQKDENRVKSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVSQTGKHPGQLKDQVTSPAGTTIAGVQELEKGAFRGTLISAVVAAAKRCRELS >KQL08586 pep chromosome:Setaria_italica_v2.0:V:46172483:46175220:-1 gene:SETIT_002357mg transcript:KQL08586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLQPVPTPAASNGDAFRLGFIGAGNLAESIARGVAASGVLPASAIRTAPHRRPERGAAFASIGACLLDTNTQVVDESDVIVISVKPQIVKQVLLELKPLLSDEKLLVSIAAGIKMKDLQDWSGQRRIIRVMPNTPSAVGQAASVMCLGEMATQKDENRVKSLFSAIGKVWTAEEKYFDAVTGLSGSGPAYIFLAIEAMADGGVAAGLPRDLALGLASQTVLGAATMVSQTGKHPGQLKDQVTSPAGTTIAGVQELEKGAFRGTLISAVVAAAKRCRELS >KQL06006 pep chromosome:Setaria_italica_v2.0:V:30056759:30057762:-1 gene:SETIT_002540mg transcript:KQL06006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDRVGVADDAHVGHCTLGPERLLQYVLPQLRPLRGPGQQPREVAAAADRDDLQLGADHRVGGHVFPEEPLGHGPRRAGDHHLPPRGEPQALVDQRVELLHVVLGQDGAGDEVHVPGPEPREGDERHDPDAGAGAALPEGPDEREGAEQQHGAEQAAALEGHEHEVGEERGGAQQRAVHVAHHRPVCRRDSGGVIKDGGALGGGGMALLRLGGGRRRLGGGVAVVELEGLAPELPGGAVEREEPLRGHLGEDSWRHHLRPLSLRSFPRYST >KQL07750 pep chromosome:Setaria_italica_v2.0:V:41557222:41559180:1 gene:SETIT_000613mg transcript:KQL07750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTGEWWNRNVVDVENDAILSGQLPTQSDAFTVNGKTGLLYQCANETFTAVVEPNTRVLLRVINAGLNSHLFFKVAGHNFTVVAVDGSYTSNLNTDTLVIAPGQTVDALVTTSAAPGSYYMAVLAHDTMSPLAFAASDTTTATAILQYNGTSTNPPAMPVMPSSSDSATANAFYFGLRGLGTPAVPSPVDVSMTIELGLGQLPCDPSQTKCNGTAAAAAMNGVSFRLPAPETSLLGAHLNGLTGVFTADFPDGPPPSGTAMAVGTKVKKLAYNSVVEIVLQNPSAVPTENHPIHLHGFNFFVLAQGVGTFTPGSASYNLVDPVARNTIAVPGGGWAVIRFVANNPGMWFFHCHLDPHVPMGLGMVFHVDSGTTAGATLPPPPADWVGVCDAQNYATAAAAAAAATPAPVPAPAPAPTLAPGSAPAAATSPRAAAGSPVKPSSPVDHKPSPNLPQRRGDGRPSATSAAAEPRATGHLTCLLCTIILFFVLHEHKA >KQL07749 pep chromosome:Setaria_italica_v2.0:V:41555321:41559180:1 gene:SETIT_000613mg transcript:KQL07749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLLALALLLVGPVADAATAKYTFTVGSTQISQLCSTTSIIAVNGQLPGPSIEVNEGDAVEVKVINNSPYNVTIHWHGVFQLMTPWADGPSMVSQCPIQPSGSYTYRFSVPGQEGTLWWHAHSSFLRATVYGAFIVRPRAGNSYPFPAPDQEVPIVLGEWWNRNVVDVENDAILSGQLPTQSDAFTVNGKTGLLYQCANETFTAVVEPNTRVLLRVINAGLNSHLFFKVAGHNFTVVAVDGSYTSNLNTDTLVIAPGQTVDALVTTSAAPGSYYMAVLAHDTMSPLAFAASDTTTATAILQYNGTSTNPPAMPVMPSSSDSATANAFYFGLRGLGTPAVPSPVDVSMTIELGLGQLPCDPSQTKCNGTAAAAAMNGVSFRLPAPETSLLGAHLNGLTGVFTADFPDGPPPSGTAMAVGTKVKKLAYNSVVEIVLQNPSAVPTENHPIHLHGFNFFVLAQGVGTFTPGSASYNLVDPVARNTIAVPGGGWAVIRFVANNPGMWFFHCHLDPHVPMGLGMVFHVDSGTTAGATLPPPPADWVGVCDAQNYATAAAAAAAATPAPVPAPAPAPTLAPGSAPAAATSPRAAAGSPVKPSSPVDHKPSPNLPQRRGDGRPSATSAAAEPRATGHLTCLLCTIILFFVLHEHKA >KQL08254 pep chromosome:Setaria_italica_v2.0:V:44442308:44443713:1 gene:SETIT_004038mg transcript:KQL08254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGPEPFDGVYMNLPKKHHVLKKAKNCEFCNAKKFLGERPALCCRNGKVHIYVPEVLSELHRLFTSQTDKDARYFRKHIWYFNSHFSFTSFRFSIDRHLAYARGNGVYTFKAHGQIYHKLDPLVPGGKGPRHMQLYIYDTDDSIGYRVKTSPNLDENRIRLIRGILRDNPYIQVFTSLDDPYYIMAYHGCYDPLAYPLFFPCGETDWEDKTIVFRDPPPSKPKEEERFERGNRQVAAGERGGVVV >KQL06587 pep chromosome:Setaria_italica_v2.0:V:34469437:34471131:-1 gene:SETIT_001844mg transcript:KQL06587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLHCSDKLPFMDVEAILHMKEGLGETSYAQNSSLQKRGMDTLKSLITNSATDVYISQMPERFTVADLGCSSGPNALCLVEDIVGSIGRVCGRSSQPPPEFSVLLNDLPTNDFNTIFFSLPEFTDRLKAAAETDEWGRPMVFLSGVPGSFYGRLFPRKSVHFICSCSSLHWLSQVPPGLFDEVTGRPINKGKMYISSSSPLAVPTAYLRQFQRDFGLFLKSRAAEVVAGGRMVLAMLGRQTEGYIDRRTTFLWELLSESFASLVSQGLVEQEKVDAYNVPFYAPSIQEVEEEVRREGSFRLDYVQTYEINLSSSGDAKEDGRTVSMAIRAIQESMLSHHFGPDIVDALFHRYTELVTASMEREEVKSVQIGVVLTRL >KQL05385 pep chromosome:Setaria_italica_v2.0:V:23714668:23715516:1 gene:SETIT_005144mg transcript:KQL05385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFLRPAAVAAAAAGSLFSAVSCSPSSPLPLSASPSSSPTTPVGPAEATGHLALVRAHPGLRELNAMLTPASFLVDATQALLAGALRCAPFYPGTLRQGRDFLNAQILSAESECHGDAAAGQVVPARIQVALLDARDGRLEDALDAMARLAAEHSGNTTARLYAAALCHVLGRHQDGARWLRDAAVPDLSRFEHKMPFVEAVLVSTLGSAPRAVAGSKELALVSTLGLVEMSMWSIFKHGDLSERLEVLALIAFLRGIVARKLRRDDGSAPLEGSQGATPT >KQL03956 pep chromosome:Setaria_italica_v2.0:V:5579067:5579968:1 gene:SETIT_004354mg transcript:KQL03956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRRSSRNAPVAVAATTRGGGKTTAAAAAADVVREFYDGVNRRDLAAVAPLIAEGCVYEDLVFPRPFVGRDRIIGFFGEFMGTISPDLQFVIDDISAEDSAAVGVTWHLEWRGRPFPFSRGCSFYRLQESEQQQQPQIVYGRDCVEPAAKPGDLALVIIRGVTWILERFPSLAN >KQL06858 pep chromosome:Setaria_italica_v2.0:V:36130897:36132527:1 gene:SETIT_001348mg transcript:KQL06858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSVVLYPGLGVGHLTPMVELAKVFLQHGAAVTVALVEPPTKSPDFSAAVARAAASNPSVTFHVLPPPAPADDSSSSSDGTVAHRIVMMFDYLKAMCAPLRDFLRSLPAVDALVLDMFCGDALDVAAELKLPVYYLYSSAAGDLAVFVNLARATTSYEGLGDSPVSFPGAPPFKASDLPKEVVNGSPGAKAILRALYRIPEADGILINTFESLETQAVRALRDGLCVPDHSTPPVYCIGPLVSGGGEKEHECLRWLDTQPDKSVVFLSFGSMGTFPKKQLEEIAIGLENSGQRFLWVVRSPRNPEAMLAQPLPEPDLDALLPEGFLGRTKDTGLVIKSWAPQVDVLRHRATGAFVTHCGWNSTLEGITAGLPLLCWPMYAEQRMNKVFIVEEMKLGVEMKGYNEEVVKAEEVETKVKWVMESEGGQALRKRVVEVKERAAEALKEGGSSHAAFVEFLKDLDT >KQL03316 pep chromosome:Setaria_italica_v2.0:V:1260496:1268640:1 gene:SETIT_000310mg transcript:KQL03316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSSGLHPIFFQLITHSSSLSSLSSNPKTLDATLRRRRSPPPAAMDLLRWHLHKVRFPEPTNRIHKDECCVSFDTPRSEGGLYVDMSSFLGFGREHVEWNFEKTGNPVYLHIVQRRKPDPDEADRPLKKPALLAIGVEGGFGDQEPEYDEHFEIIILPEFISLPFPSVDLPEKVRLAVVKVILTESADRKQQLAAWVADKKNISKYAMDLQQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRKLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFCIDFSSLQKTEMTTAESYDWNRIQESGKDAELLFGLGYTGLANLGNSCYMASIMQVMFSTHPFISRYFEKQSLKAAFAAAPADPTVDLNMQMTKLGHGLLSGKYSARTKEGQEGIRPRMFKSVIAANHPEFSSMRQQDALDFFLHLIDRVEQANPGNHELNPCSGFKFIIEERVQCPSGKVSYNKRSDYILSLGIPLHEATNKEELEAFNEKKAAMDLDGKEVSNEEIVRPRVPLEACLANFAGPEEIPDFYSTALNSKTTATKTAGFNTFPDYLVLHMRKFVMEAGWVPKKLYVYIDVPDTIDISHMRSKGVQPGEELLPEGASGDNKAEPALPVASEDIVSQLASMGFNYLHCLKAAINTSNTGVEEAMNWLLSHMDDRDINDPISKDSRASESVDEASVQTLISFGFPEEIATMALKASGGNIEKATDWIFSQASSSASADSSTSNVNADDTYVPDGCGIYKLMAFVSHMGTSTNCGHYVAHVLVDGRWTIFNDSKVAASVDLPKDMGYLYFFQRISS >KQL05264 pep chromosome:Setaria_italica_v2.0:V:19573528:19576368:-1 gene:SETIT_004022mg transcript:KQL05264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLALSRRELQALCKRNAVRANMSNAAMADALRALPSVDGFEEIGTTVPPPVSAIKSVEEVIIEEEKKDGIPLSRGGRARSRARTAAADRMEQDAPDQGALQGSQGTGAREPGAPVDREEVIGKEQGHGCSLPRGGRARAKTRKAASHKTEEAVVAPETLQLQGSQRTASGEGMAPVEAEEVATGKRRTRRSGRSKVRMASDQKEEVPAVAHMEQKVVSDKCCNDPKEQEVVMVVEEEATKPEEDQNVTRRMAVHEAKEEVPAPAFLRRSQRTVAPEGMASVEVEEVGTGKRRTRRSARSKVALDQKETEEVAVWKDTKVVSDKSCNDPKTHKAVVVVEEDITKGQNVTRRPAAHEMEEVPAPAILRRSQRTAVPVEEEEVATAKKRTRRSTRSKVAAASRKGQKGSADKSSIGPNEDEVVAIMEEETTKPHDGGNVIRKAMAHKMEEVPLLATLQQSQRTAALDATAPVEAEEGATTKRRRRPRRSKVAAAAQNGQKGKMEDPPTVSILLSKPEAIDVHDKAESADKKTIKEDCFTLTSEADQSDLLVNTLDRFSKPMYEFTVKGEKKDGECWWMLM >KQL06870 pep chromosome:Setaria_italica_v2.0:V:36190651:36191489:1 gene:SETIT_002670mg transcript:KQL06870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETATVGPYNAAAAAGKEDPTRPLAVASPTVHPEVTDGAGDEEVATTRRWRSMQYLRKRRCAIWCCGCCATTVVLLGIAALVLALTVFKVKDPVLTMNRVTLEGVDGDLGTERHPVSVNATLSADISIKNPNVASFRFARSETDFYYAGETVGVAYAPDGEVGADRTVRMNVTLDVLADRISPNVNATDLIFGQDYNLTSYTEITGRVNVLGIYKRDLDIKMNCSITLEVGAFTTVQSKSTNCVANVS >KQL07514 pep chromosome:Setaria_italica_v2.0:V:40186323:40187712:-1 gene:SETIT_001515mg transcript:KQL07514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAKGKMRRTSSNLLLRITDICKVHSVGVAENVGGKPKADSTGGSSEDGAHLKVHPHQVSDHESCSGSSTSRYEEAVVEKLLDAISVLKLAYVKVQQAHVPYDPEKIAAAGERFVSELEETSGLKDLYVSANKWSNPMFQSLVSSRIHEHQKLAVELQADICKKDSELVLMRAELEEVERSNMELKEKIDQRAMHGERKFDIGKGESIDMFIKLFESSSKHIHDFTKLVVGWMKVSGWDLGISKFPIDKSAVYEKRSHKKYGVEAYFACAMLMGTKEEYFSMDFYDHVMSFEDPFDALMEAPNSAFGRFCREKYLVAVPRTMEDSFFGNLDHRAFVERGGHPRTQFYQTFARMARNVWALLTVARYLKPRAEMFFVKTGVQFQKKHMESVSARLITEEAKISVGFTVMPGFKIGCTVIRCKVYLSMLNARDF >KQL05091 pep chromosome:Setaria_italica_v2.0:V:15739760:15743405:-1 gene:SETIT_001154mg transcript:KQL05091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALTDSRKRLSADQRLSADHRFPIPSFPPRSPPPPSKRPKLAPFLSSLDASTSATPPPNPSPQIIHAAAAASTSNTTGPSSSSTPDSNPFPNRRRLPPPPPFQRPIHGPQRVLRAFRFGGPARPHSAGPGRLSPSSPTPPPPRTLGLEQYVELVNTVSRPAPPTPTATTDAAREKEAVPLEVVAIEEDGDERKQQDEQEVVSGSVEVRRVPLYKELYEESSRKRDAKLKTLEFEVRLAEEGRLGLERLADFLPRITPKKEEVPEPFVPLTDEDEEIVRAALHGRNSREKLAVHEPSNIVITREILQCLNNQEWLNDEVINLYLDLLKERELRQPSKFLKCHFFNTFFYKKLISGGYDYKAVRRWTTKRKLGYSLIECDKIFVPIHKEVHWCLAVINIKDKKFQYLDSLGSMDMKVLRILVFRG >KQL05092 pep chromosome:Setaria_italica_v2.0:V:15740330:15743361:-1 gene:SETIT_001154mg transcript:KQL05092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALTDSRKRLSADQRLSADHRFPIPSFPPRSPPPPSKRPKLAPFLSSLDASTSATPPPNPSPQIIHAAAAASTSNTTGPSSSSTPDSNPFPNRRRLPPPPPFQRPIHGPQRVLRAFRFGGPARPHSAGPGRLSPSSPTPPPPRTLGLEQYVELVNTVSRPAPPTPTATTDAAREKEAVPLEVVAIEEDGDERKQQDEQEVVSGSVEVRRVPLYKELYEESSRKRDAKLKTLEFEVRLAEEGRLGLERLADFLPRITPKKEEVPEPFVPLTDEDEEIVRAALHGRNSREKLAVHEPSNIVITREILQCLNNQEWLNDEVINLYLDLLKERELRQPSKFLKCHFFNTFFYKKLISGGYDYKAVRRWTTKRKLGYSLIECDKIFVPIHKEVHWCLAVINIKDKKFQYLDSLGSMDMKVLRILARYFVDEVKDKGGQQIDALSWKQEGVKNLPLQENGWDCGMFMLKYIDFYSRDMDLIFGQKHMRYFRRRTAKEILNLRAE >KQL03283 pep chromosome:Setaria_italica_v2.0:V:718897:720788:-1 gene:SETIT_000994mg transcript:KQL03283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLVALLLTVLIIPLLSFLLIAAKRSSSRLQRGDGRRLPPSPPGGLPLLGHLHLLGRLPHRALGSMAASCGPVMLLRLGQVPTVVASSAAAAEEAMKTRDLAFASRPRLLMADRLYYGTRDMVFAPSGERWRQLRRVCVSRLLSPGRVLCFRAAREQEVAALLGRVRAAAAADGAAVVNLSELLITYSNAVISRATFGDGSYGLDGDDGGGGTKLRKVFGEFEELLGTVPMAEVVPWLWPVDVATGLERKARRTSEEIDRLLERVIADHRRRRRGARRVVGDGEDDRRDFVDVLLDLSETEEEVGGVQLDTVTIKATVVDMLVAGTDTSYSLLEWAMAELINHPTQMRKLQDEIRAAVAGGVTEDDLPRLPYLKAVIKETLRLHPPGPLLLPRETLEDTELQSYHVPARTRVVINAWAIGRDPDTWGENAEDFMPERFAADGEAEYQKMGLDFRFLPFGAGRRGCPGVGFAVPANELALASLLYHFDWEVPGGGRPAVVDMTEVNGLAVRLKKALLLVAKPWPR >KQL03664 pep chromosome:Setaria_italica_v2.0:V:3785043:3789155:1 gene:SETIT_002397mg transcript:KQL03664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPTRAPVSSSSSDVVFSGRTSRPNLRAAAVSSAPGWRRREPYPAVSVAAGSGQSAPGTVAVDPKVDTLLDSVKWDSKGLAVAIAQHVDTGAILMQGFANKEALAKTISTRKATFFSRSRSSLWTKGETSMNFINVHDIFLDCDRDSIIYLGKPDGPTCHTGAETCYYTSVYNALQGSKPNEDRQVMTTLYSLEDTISRRQEETVTEGSGKPSWTKKLLLDNQLLCSKIREEAGELIQTLLENEDQSRTASEMADLLYHAMVLLRVKDVKMEEVLEVLRKRFSQSGIEEKANRNKS >KQL06331 pep chromosome:Setaria_italica_v2.0:V:32654211:32655412:1 gene:SETIT_002488mg transcript:KQL06331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDSGWKVDTGHKSGYLSFIEKELAKRLPNAHIKADPHIQSKVKTLKKLLSYILDIQQSGSGFGWDDERKMVVGDKDQFMGWAKSRPGAAALYGKPFVNFDKLFEIYASDLAKGAKAKGPGDQFDLHEELSSADVTEQTQQIDSAVDSHSQPPCHGSYSSSGVKSLAGRKRVILDDNVLASEFSNISKALNTLVEAETANAAAMNAMQSAFTLEFEAQKRTDERREQLFSVLKKLSGFSRDQIVKAVLVIGQNEKRINLLFTTPDELKSEFVHQVLKRPKKI >KQL08440 pep chromosome:Setaria_italica_v2.0:V:45473795:45478306:-1 gene:SETIT_000893mg transcript:KQL08440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSVRSAAAPRRLAPSAPPASAGHVRGLARFGNRTGPLGARGLSMVVADSTRRRAKFGSGDSVLGAPVLGGPGAELGDQLSVVMKFGGSSVSSAARMEEVADLILAFPEERPVVVLSAMGKTTNLLLLAGEKAVGCGVIHVSEIEEWNTIKDLHIKTVDELGLPRSEIHDMLDELEQLLKGIAMMKELTLRTRDYLVSFGECMSTRIFAAYLNKIGVKARQYDAFDIGFITTDDFGNADVLEATYPAVAKRLHGDWIRDPAIPVVTGFLGKGWKSGAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPHAKTVPYLTFEEATELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLITKQREMDKVVLTSIVLKSNVTMLDIVSTRMLGQFGFLARVFSIFEDLGISVDCVATSEVSISVSLDPSKIWSRELIQQELDNVVEELEKIAIVHLLQQRAIISLIGNVKRSSLILEKTFQVLRKCGVNIQMISQGASKVNMSLIVHDSEAKACIKALHQAFFEDEDVLTQVETENLLVG >KQL05033 pep chromosome:Setaria_italica_v2.0:V:14371694:14376597:1 gene:SETIT_001798mg transcript:KQL05033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGTSGSTSGGGAAAAAASAVAVLATVVAAALVAGQGGGGDDPAGEGCARPPVVFAFGDSNTDTGGVAAGLGYYYPLPEGRAFFRRATGRLCDGRLVIDYLCESLNTSYLSPYLEALGSDFTSGANFAISGSSTLPRSVPFSLHVQVQQFLHFKQRSFELVAHGGSAPVDADGFRNALYLIDIGQNDLSAAFGSGSPYEDIVRQKIPAIISEIKDAIMTLYYNGAKNFWVHGTGPLGCLPQKLAAPRADDSDLDYSGCLKTLNNGAYEFNNQLCAVCDELRSQLRGTTIVYTDVLLIKYELIANHSAYGFEEPLMACCGYGGPPYNYNANVSCLGPGFRVCEDGAKFVSWDGVHYTDAANAVVAEKILSGNFSTPKLPFDYFCKS >KQL04544 pep chromosome:Setaria_italica_v2.0:V:9668441:9669359:1 gene:SETIT_003114mg transcript:KQL04544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDMVRIVHLSGRVDEYGRAVSAGEVLAAHPNHVLSRPCSSPQGVVRRILIVSPDSELERGEIYFLIPAASVPDAKKGGGGGGGAGTPGRHVRSKSEGSVVVSDRQLGLAGAGGASPELKAPAKKRTAAQQHRRRMSTGSHAAPWQPHLACIAEDL >KQL04704 pep chromosome:Setaria_italica_v2.0:V:11102279:11102672:-1 gene:SETIT_004740mg transcript:KQL04704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKGSVADGNEHVKNFEGSVADGNKHAKNFEGSVADGNKHVKIFIPAQAML >KQL06133 pep chromosome:Setaria_italica_v2.0:V:30883410:30895936:-1 gene:SETIT_000057mg transcript:KQL06133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLRAFRPTSDKVVKIQLHPTHPWLVTADANDRVSVWDWEHRQVIYELKAGGVDERRLVGAKLEKLAEGDDSKGKPTEAIRGGSVKQVSFYDDDVRFWQHWRNCSAAAEAPTAVNQQASTFSAPAPSTRGRHFVVICCENKVIFLDLVTMRGRDVPKQELDNRSLLCMEFLSRSSSSDAPLVAFGSSDGVIRVLSMLTWKLVRRYTGGHKGAIACLMTYMSAAGEVHLVSGGSDGLLILWSADHIHDSRELVPKISMKAHDGGVVAVELSRVMGSAPQLITIGADKTLAIWDTVTFKEIRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLVPPQVLAQHKKLRVYCMVAHPLQPHLVATGTNIGIILSEFDPRALPAIAPLPTPTGNKEHSAVYIVERELKLLNFQLSNTANPSLGNAGVASDTGRSRNESIDQLIVKQTKKHISTPAPHDSYSVLSVSSSGKYVAIVWPDIPSFAVYKASDWSVVDSGTGKLFAWDTCRDRYALVESALAPRMPLVVKGGSSKKAKEAAAAAAQAAAAAASAASAATVQVRILLDDGTAHVLQRSIDGRSEPVIGLHGGALLGVTYRTSRRISPLTATAISTVQSMPLSGFGGSGSSFASDDPFSSKEGPPQNFQLYSWETYQPVSGLLAQPEWTVWDQTVEYCAFAYQQYIVISSLRPQFRYLGDVSIPFATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETKKRKEEMKAREAQSQAVAEHGDLALITVEAPQVTASEKISLRPPMLQVVRLASFQHSPSIPPFIVPKQSKLDGDDSVYQKELDDRRYAEVAVAGGGVSVAVTRFPPEQKRPIGPLVVVGVRDGVLWLVDRYMCAHALSLSHPGIRCRCLAAYGDPVSAVKWATRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLAMQSNDLKRALACLLTMSNSRDVGQETAAADVTDVTQILNLAVAKQAKQESLADAVQGIVKFVKEFFDLIDAADATGQSDIAREVLKRLAAAASVKGALHGQMLRGLALRLANHGELTRLSGLVTNLIAAGHGREAAFAAAVLGDNALMEKAWQDTGMLAEAVLHSQAHGRPSLRSLVIAWNKMLQKELDHTPTVKTDAAAAFLASLEDPKLTSLGETEKKPPIEILPPGMPPLSAPPIVIKKSATKPGLPNAAPASNGPIGAPMVQGATAPQGTPMVQGAPMAQGAPAAQGVPMNQSAPAPSQGTDEAKPSEATAADAAPPSAEAAAAPSSDEAKAAPGNEEATAAPGNEEATAAPVIDAASNTDPAAAAAPAPAADTNSTGAPDATPVEAATSAPSTETPEATDKPSSTEASPPPPPPAYESVV >KQL08700 pep chromosome:Setaria_italica_v2.0:V:46753394:46755853:1 gene:SETIT_000377mg transcript:KQL08700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFYLHLLRSCAALPHVAAVHAHIARAHPAASLFLRNSLLSAYCRLGGPLPAARLLDEMPRRNSVSFNLLIDAYSRAGLADRSLGTFERARAAGVKADRFTFAAALAACSRAGDVRTGKAVHALAVLDGLAKGMLVSNSLISMYARCCEMDEARRVFDAADEHDDVSWNSLLSGYVRAGAHQEMLKVFTLMCRCGMGWNSFALGSIIKCCPSGVDIAGHIAEAVHGCVVKTGLATDVFLASALIDMYAKKGALSNAVALFKSVQDPNVIVFNAMIAGFCRDEAAVGKEVTREALNLYSELLSRGMEPTEFTFSSVVRACNLAGEFEFGKQLHGQVLKHSLQGDDYIGSALIDLYSNSGCTEDGYRCFRSLYKQDIVTWTSMISGFVQNELFEKALRLFQELLCYGLKPDLFTISSVMNACASLAVARTGEQIQCLATKSGFNRFTVMGNSCIHMYARSGDVDAATLRFKEMESRDVVSWSAVISSHAQHGCAKDALCIFNEMMDAKVVPNEITFLGVLTACSHGGLVDEGLRYYEIMNKEYGLAPTIKHCTCVVDLLGRAGRLGDAEAFIRDSVFLDDPVIWRSLLASCRIHGDMERGQLAADRIMELEPTTSASYVILYNMYLDAGELSLASKTRDLMKERGVKKEPGLSWIELKSGVHSFVAGDKSHPESNAIYEKLSEMLSKIEKLGSTGNASTESTGISGREQNLVGCHSEKLAVAFGMIHLPQSAPVRVMKNLRVCRECHSTMKLISRSENREIILRDAIRFHHFRGGACSCGDYW >KQL08740 pep chromosome:Setaria_italica_v2.0:V:46901383:46902488:1 gene:SETIT_003109mg transcript:KQL08740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYYHGGRSMYSSTDECYDAGRHGSRRMYSHTDECYDDVDRRRPGAYADDCYNGAGGYGGGRQAAVYSDEYSRGGYGYGGGQEHFKREEREHKHKERLGEMGALAGGAFALYEGHRAKKDPEHAQRHKIEAGVATAAALGAGGYAYHEHREQKEAHYEGQQEHRVPHGYYCN >KQL08373 pep chromosome:Setaria_italica_v2.0:V:45098685:45099995:1 gene:SETIT_004015mg transcript:KQL08373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRASLAPPAKPPPRHLRDAGVPGLAPGDCSTVPGVSEEGSKHLISAEKSEPLSGGGRDRVDMNAGMSPRPSTDWGPIIVAVILFVVLSPGLLFQLPARTRVVEFGNMGTSAIAILVHAVIFFCLLTIFVVAIGVHVYAA >KQL07110 pep chromosome:Setaria_italica_v2.0:V:37719537:37719958:1 gene:SETIT_003844mg transcript:KQL07110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWPGKIECKLCGQTETTDHIIFQCAVAQFSWCVCRDILEWPFPPTSLNDLREIAREGSNRQIKNILFLFGCVAWSLWLIRNYLVFNNLVVFSQKWKILSKEKEQLWINMVTQKLKLRLSSLRSEDDQSA >KQL07844 pep chromosome:Setaria_italica_v2.0:V:42112879:42117361:-1 gene:SETIT_000911mg transcript:KQL07844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGGRRRRGMGLGFAGRTEDRMSGRRTSFGGSGEADPVEKRSSSSSSKAVEADCSPAAAGARKEPRKRSELGELLCRARSMAELSVCCRVCRCEPVRVDETSRHWWEVARRRRGRRADADLGSTIVLFLPSLRRAAPGKGGGFTAELAMISPERSPSQSPRSPAAAAGAPFLSISVTDPVKMGTGVQAYISYRVFTKTNLPEFEGPEKIVIRRYSDFEWLHDRLAERYKGIFIPPLPEKNAVEKFRFSKEFIELRRQALDLFINRIASHPELKQSEVLRVFLQADEEKMDRARSYETGIFKKPSDFLQMFKDVQSKVSDVVLGKEKPVEESTPEYEKLKNYIFELENHLAEAQKQAYRLVKRHRELGQSLAEFGKAIKLLGACEGDVMEKVFSEVGSKSEMLSIKLQREADNLLFNFEEPLKDYVRAVQSIKATMMDRANAFRQHFDLDQERKYKELNLEKLKFMNPEKYAEAEFEFRELKADSEEATKKFEHIVRIMNEELARFQEQKTADIGLAFHEFAKGQAKLAKDIADAWRSILPKLEACSSS >KQL03691 pep chromosome:Setaria_italica_v2.0:V:4109196:4113817:-1 gene:SETIT_002447mg transcript:KQL03691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAALSPPRVALDVRALFSPPRSLPASPSSQLRLAARPRALAAAAKPRFLSPHRDPAVDGGRGARDVVAMVVPFLRGTAWEQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEALAVYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSSIMIKQPIGRFQGQATDVDIARKEIRNVKIEMVKLLARHIGKPIEEIARDIRRPKYFSPSEAVDYGIIDKVIYNEKIQEDSGVVSELKRSNLI >KQL03692 pep chromosome:Setaria_italica_v2.0:V:4110644:4113817:-1 gene:SETIT_002447mg transcript:KQL03692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAALSPPRVALDVRALFSPPRSLPASPSSQLRLAARPRALAAAAKPRFLSPHRDPAVDGGRGARDVVAMVVPFLRGTAWEQPPPDLASFLYKNRIVYLGMCLVPSVTELMLAEFLYLQYDDAEKPIYLYINSTGTTKNGEKLGYETEALAVYDAMRYVKVPIFTLCVGNAWGEAALLLAAGAKGNRAALPSSSIMIKQPIGRFQGQATDVDIARKEIRNVKIEMVFFHLL >KQL05484 pep chromosome:Setaria_italica_v2.0:V:25006926:25008348:1 gene:SETIT_002588mg transcript:KQL05484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPAIIPTAAEGAARIILVHGTGHGGWCWYRVATLLRAAGHRVDAPDLAASGADARRLRDAPTFEDYTRPLLDLLQALPDGERAVLVGHSFGGMSVALAAEAFPDKVAAAVFVTAFLPDCTNPRSHVIEKLTLTDWMDSVTDAEHDPPSVFLGPEFLREKLYQLSPPEDYTLSQSLARVSSHYVADLRGQPPFSEARYGAVRKVYVVCDQDQAMLEPYQRAMIAGCPVAEVRAIAGADHMAMFSALAELAGHLADVANTYA >KQL04208 pep chromosome:Setaria_italica_v2.0:V:7243231:7245639:1 gene:SETIT_003640mg transcript:KQL04208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQITPQPELLEGVSHAPAVRRIPHIMTGPSKNSGIFQSATDAAASGNQGANSTVSEKQGISPLYSI >KQL07800 pep chromosome:Setaria_italica_v2.0:V:41847209:41850867:1 gene:SETIT_001206mg transcript:KQL07800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLRSATASASPAATPSPAAASTPRSVKRRLTPGRAGESPKASRHTSPHRSPHAGAGTACTPKLLSASPKSSRKRLYGDLVAAEKPKWNPRDPAQMRAVKEALHVATVPSCELVCRDNEQRRVFEFCKACIEQEKAGSLYICGCPGTGKTLSVNKVKESLGRWADEMGMETPDSLAINCTNLANTSEIFSKILGQFQNRKKGSSKLSPLQQLQSMFSNEESAPRRMMLVIVDEMDYLITRDRAVLHDLFMLTTCPYSRCILIGIANAIDLADRFLPKLESLNCKPFAVTFRAYSKDQISDIIKHRLKVLEYDVFEPLALEFCARKVAAATGDMRKALGVCRSAVEVLETRLQDSDQELGIVTFDHMDIALSKAFKSPIVDSILCLPQHQQMVLCALANTFQHCKKKATTLGELNKSYIEICRSTQVPAVGMLEFSNMCMVLSDQGFMKLGQSKEDKLRRVTLQIDISDIGFAFKGNRFFQKCLKQPRC >KQL04345 pep chromosome:Setaria_italica_v2.0:V:8358727:8360904:1 gene:SETIT_000816mg transcript:KQL04345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVADMYTQDGTVDIKGNPAVKKGTGNWRACPYILANECCERLAYYGMSTNLVNYMKTRLGQGNSIAANNVTNWSGTCYITPLIGAFLADAYMGRYWTIASFMIIYIIGLALLTMASSVKGLVPSCDISGTCHPTEPQTAVVFVALYLIALGTGGIKPCVSSFGADQFDENDAKEQKSKSSFFNWFYFSINIGALVASTVLVYVQTYVGWGWGFGIPAVVMAIAVVSFFIGTPLYRHQRPGGSPITRIAQVLVASARKWSVAVPADKSLLHETPDGECGIKGSRKLEHTDQFGCLDKAAVETAADRTAASPWRLCTVTQVEELKSVVRLLPIWATGIVFATVYGQMGTMFVLQGNTLDQRMGPKFSVPSATLSMVDTISVIIWVPIYDRIIVPVVRSYTGRPRGFTQLQRMGIGLVISIFSMVAAGVLDIVRLRAVARHGLYGDKDVVPISIFWQIPQYFIIGCAEVFTFVGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTVLVTIVTRITTRHGKLGWIPDNLNLGHLDYFFWLLAVLSLLNFLVYLVIASWYKYKKTADYPDAKGEQNQEH >KQL06429 pep chromosome:Setaria_italica_v2.0:V:33313220:33316538:-1 gene:SETIT_000956mg transcript:KQL06429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGAGASATLRKRALSIDTASAAAAIEFGSRAASGREEDKGGAVGAVEEEPVSPTGRLFREPNFRCHIVSVFGLAAPVDLPALRDGVAATLARHPRFCSVQALNEFEKDARPKWVRTAVNLDNHIIVPDLDPAATSADPDKALEDYVSSLSTRPMDHSVPLWEVHVLDFPTAEAAAAVALRVHHSVGDGVSMLSLFMACTRSAADPGALPSLLPARRAGPVYAVRRRQRPPSSSAAAGALDALAALAAWVVAFLVLAWHTVVDVVCFFATATSLLGDAPTVLKGQEGTEFRPKRFVNRTLSLDDVKFVKNAMSCTVNDVLLGITSAALSRYYFRKTGESDSNSITVRSAVLVNLRPTPGIQTLASMMESGKDNGARWGNKIGYMLIPFHLATHDDPIEYVRRATKVARRKKSSMESVFTFWSGDMVLKLFGIKVPCH >KQL06428 pep chromosome:Setaria_italica_v2.0:V:33312705:33316538:-1 gene:SETIT_000956mg transcript:KQL06428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGAGASATLRKRALSIDTASAAAAIEFGSRAASGREEDKGGAVGAVEEEPVSPTGRLFREPNFRCHIVSVFGLAAPVDLPALRDGVAATLARHPRFCSVQALNEFEKDARPKWVRTAVNLDNHIIVPDLDPAATSADPDKALEDYVSSLSTRPMDHSVPLWEVHVLDFPTAEAAAAVALRVHHSVGDGVSMLSLFMACTRSAADPGALPSLLPARRAGPVYAVRRRQRPPSSSAAAGALDALAALAAWVVAFLVLAWHTVVDVVCFFATATSLLGDAPTVLKGQEGTEFRPKRFVNRTLSLDDVKFVKNAMSCTVNDVLLGITSAALSRYYFRKTGESDSNSITVRSAVLVNLRPTPGIQTLASMMESGKDNGARWGNKIGYMLIPFHLATHDDPIEYVRRATKVARRKKSSMESVFTFWSGDMVLKLFGIKAAAALCYGMFTHTTLSFSNMVGPPEQVLFCGNPIVYIAPGTYGHPHALTVHYQSYMNTVKLVLSVDESQFPDCHQLLDDFAESLRLIREAVPRKPEAAQGGASS >KQL05364 pep chromosome:Setaria_italica_v2.0:V:23415776:23417000:-1 gene:SETIT_0009451mg transcript:KQL05364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVPKETIEVIAQSVGIPSLGPDVAAALAPDVEYRLREIMQESIKCMRHAKRTVLTADDVDSALSLRNVE >KQL04472 pep chromosome:Setaria_italica_v2.0:V:9273668:9274885:1 gene:SETIT_002119mg transcript:KQL04472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKPAATVTLSSLLLLLLVASGATAARPTRQLTSGGGGDDDTGAAAAAAVAPAAAPTVAPVAADAPTAANAIPAAAGAAGAAASAPVAASTIPVGAGAAVANPTGDHGMVFFMHDILGGTNPSARIVAGIVDNAAVTGQLPFARPNGAVLPLSSGVNVNSGAASAIDNNNIPFLTGLGGATNAVKSINNNNNNNGGNGVPIFAGGSLPQGTTLQKLLFGTMTVVDDELTEAPELGSAAVGRAQGFYIASSEEGVSQTVAVTAMFKEGGFDDTISFFGVHRTADSESHLAIVGGTGKYVGAKGFAKVAVVRPGGVVASGALLETDGVETVLQFTVFLV >KQL08349 pep chromosome:Setaria_italica_v2.0:V:44935974:44937341:1 gene:SETIT_003892mg transcript:KQL08349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKAGTSLSPVVIFLLGAASATALLLFFLTSSARPELVNGVQRRGEVPAGSASVRCSTPRANATVGAEQHAGATASPANETGAGGDGEAEFARMLRRAAMEDRTVIMTSVNEAWAAPGSLLDSFLESFRVGENVSHFVKHIVVVAMDDGAFRRCQAVHPHCHLLRPEKEGLDLSGAKSYMTKDYLDLVWSKLKLQQRILELGYNLLFTDVDVAWFRNPLVHITMAADITTSSDFYFGNPDDLGNFPNTGFIYFKATPRNARAMAYWHAARRRFPENHDQFVFNEIKRELAGKLGVRIRFIDAADVSGFCQLGRDLNRVATVHMTCCIGLENKLFDLKRVIADWKRYMAHPLWERRTGKIGWTFEGGRCIH >KQL08508 pep chromosome:Setaria_italica_v2.0:V:45754642:45755466:-1 gene:SETIT_005132mg transcript:KQL08508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQGKNNGSPLITRRVEASTLFLATTPPTEPSGGGEPELAVRLRCHVTKYIRAGRGRGQRQVYVYRGSGAEASLVAAVPRDVLVDEDSVRDVMRLLLRAIWPLRDLDLTDDEWEAILPEDVVPQLADLARGLDEGSRSAAVVELAVDRHIRYSAPRVLMTACRGAPPATEGKDDGCSICLEVLHEEAAAAGKGVPVELPGCAHAFHRRCISKWFRKKPTCPLCRGNVTKHLDPELCSCSLN >KQL04829 pep chromosome:Setaria_italica_v2.0:V:12148751:12149299:1 gene:SETIT_005553mg transcript:KQL04829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTVYWNSPQRRVSFVLSMDCETMYLEPVQGHRQLETRLCSARKTAAMAGSQVGHARAR >KQL05811 pep chromosome:Setaria_italica_v2.0:V:28077062:28084857:-1 gene:SETIT_000042mg transcript:KQL05811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAEIQKVASMRLDGGLRSGSSSAWWRAPDVFSRSSSRREDGDDEEEALRWAALERLPTCDRVRRAILPLGEGGETGAHAQQVVDVLGLGPRERRALLERLVRVADEDNERFLLKLKERVERVGIDMPTIEVRFEHLKAEADVRVGTSGLPTVLNSITNTLEEVASALRVHRSRKQAMPILHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGRLDKDLKVSGKVTYNGHGMDEFVPERTAAYISQHDLHIGEMTVRETLEFSARCQGVGSRFDMLTELSRREKVGNIKPDADIDAFMKACAMRGQEANVISDYILKILGLDICADTMVGDEMLRGISGGQRKRVTTGEMLVGPANALFMDEISTGLDSSTTFQIIKSLRQAIHILGGTALISLLQPAPETYDLFDDIILLSDGQIVYQGPREGVLEFFWSLGFKCPERKGVADFLQEVTSRKDQKQYWGRHNKPYQYVSVKEFACAFQSFHAGRAIANELAVPFDKSKNHPAALTTSRYGVSARELLKANIDREILLMKRNSFVYIFRTLQLMMVSTMAMTLFFRTKMHRDSVTDGRIYLGALFFAVIMIMFNGLSELALTIIKLPVFFKQRDLLFFPAWAYTIPTWILKIPISFLEVGGFVFMSYYVIGFDPNVGRFFKQYLLLLAVNQMAASLFRFVGGAARNMIVANVFGSFMLLIFMVLGGFILVRDKVKKWWIWGYWISPLMYAQNAISVNEMLGHSWDKIMNSSVSNETLGVQSLKSRGVFPEAKWYWIGLGALLGFVMLFNCLFTLALAYLKPYGESHPSISEEELKEKYANLKGNALAEDSLALGSSHRATVGITGSGSATAENHSCTRGMVLPFAPLSLTFNNIKYFVDMPQEMKTHGVVEDRLELLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTSGYIEGNIRISGYPKKQKTFARVSGYCEQNDIHSPQVTVYESLLFSAWLRLPKDVDSNTRKMFIEEVMELVELKPLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHRSSELIKYFEGIQGVRKIKDGYNPATWMLEVTTVSQEQTLGVDFSDLYKKSELYQRNRALIQELSEPPAGSSDLHFRNQYSQSFFMQCLACLWKQNLSYWRNPAYNAVRLFFTTIIALIFGTIFWDLGGKMGQPQDLSNAMGSMYAAVLFIGVLNAMSVQPVVSVERTVFYRERAAGMYSALPYAFGQVTIELPYTLAQASVYGIIVYSMIGFEWTAAKFFWYLFFMYFTFLYFTFYGMMAVGLTPSYHVASIVSSAFYAIWNLFSGFIIPRPKVPIWWKWYCWACPVAWTLYGLVVSQFGDITTAMDNGVPVNVFVEKYFGFKHSWLGVVAAVVVAFAVLFACLFGFAIMKLNFQRR >KQL08728 pep chromosome:Setaria_italica_v2.0:V:46855833:46856558:-1 gene:SETIT_005159mg transcript:KQL08728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGMEGSATNSHGTGDPLSKLPTREGWSEPLVLYKNYWLRPRFAATIMRLQNTFEPRHDDIVLATNPKCGTTWIKALAFAITNRFRYEFCNHPLLFRHPLEVVPFLEIPRDGDMTYVETLPSPRLLATHMPLSLFPDSLATCGCRIVYVCRDPKDALVSWWHFESKVHRERSVDFEAAVNMFSEGFSNYGPFWEHCLEYWRESIPCPNRILFLKYEDMMSEPVKYVIRLATFLGVPFSIK >KQL03454 pep chromosome:Setaria_italica_v2.0:V:2473702:2475139:-1 gene:SETIT_003959mg transcript:KQL03454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSQGTRTAWSYTYEKGLVDVMKEHVNIPMYRAQNSWTAEGWRNITNKFNDMFPTTHFTKQQVQEKEKELKGSYKIIKEARKSEPKGWKKLIKDNHKVPKFRKKPFLLYNNLDYCMKSIQNCHEFLYKCALYFIQLMSLKEQYLRPEVQSAPPYLNLEEQQSASGKKCKQSQMVAKLGEFIDLRKIQMEKNQEKLDEKKKKEYDYSVEKYIVVVDSIEDLTIEQKADANELFQSEMNRQIFMMAKNQAVRLVWLKKKIHRYVCLS >KQL06066 pep chromosome:Setaria_italica_v2.0:V:30412014:30412880:-1 gene:SETIT_003825mg transcript:KQL06066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTIMARSPHESSFSFSRRNFKWPVLGKSSSHGATAGVEDGSAKGSEADEEDEAAMAFSSSCPSFHSEDFVSPPPPPKQRGKKGRTAVSRLRTALAAAIAGRHRQVGLGARLTGTLYGHRRGHVHLAFQVDPRACPALLLELAAPTASLVREMASGLVRIALECDRAKAACALPSAASAASNSGGAGRKLVEEKVWRAYCNGKGCGYAVRRECGAADWRVLRALEAVSMGAGVIPAACGGGEGDVMYMRARFERVVGSRDSEAFYMMNPDSSTGGNGGPELSIYLLRV >KQL05261 pep chromosome:Setaria_italica_v2.0:V:19467388:19477658:1 gene:SETIT_000018mg transcript:KQL05261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSLSAVLRHTPSPPVGFTILKAGLNKSVLLSVYSSQNSRNDEDRRNSHEKKIASYLSKIGQKFLSVYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLFWIIGRQLVEKTKRRLWFPLKVYAAVVFIFTYSLSVSPIFAQLVSKFVKLYPDLGFDPEASLLENVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRFLIWHSDKILSVSVFYACLSSISLSGLIYLLGLIVFCMLPKVSRIPSKVYLVYTGLLAASEYLFQMVCKPARMCPDQHFYGLSVFLGLKYYDSGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLVHNGKWEEPCQLFISSNSPYSPVRSNEASHSSNRFTSLFSKVQGLIGSSSSSSLGLGNTSQKSEYVDNAIKGSDVDKRYSFAKIWGLSKESHNWDKKRIISLKRERFETQKITFKCYMKFWIENLFKLRGLEINMIVLLLASFTLLNVVSIFYIVCLVVCILMNRDLIQKVWPLFVFLFASVLILEYFALWNDVMPWFHDINDIEVNCRECWKNSRIFFDYCSKCWLGITVDDPRMLISYYVVFIFSSFKLRSDRFSVFSDSDTYRQMMSQRKNAVVWRDLSLETKSFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFALIVLSLAYQSPYVGQFSSGMCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSVQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEHKRQRNMQVERMKSEMYNLQSQLNRMNSFTPINDTSHNEGLRRRRNTKLYSDTDTLHEDNETGSPTKQDKIGSTESAESFEFSIADTQKNMRDLMFQHSSDTMRSPIRGRSDEFVLTDNIRNSLGSTPEITEFEESDEKVNYNLSKWEKARGQPKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPDEPHSNEHPAEGDIYDVVESQTETQDGQLPRTHSVSDTSGTKVKSSMPIGVIFRLLSMVYLGALFLYALCVNYGPSYLFWVIILIYTELNILSQYIYQIIIQHCGLNIHLSLLQRLGFPVDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSTRNNIEEKRCIPYSWRERLKSLHLPIMNLLRMIIRGLSRYWMSLTHGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANLPASCHCCSKVRIQSIEKSKENSNMALAVLEVVYAAPLECQPAGWYKSLTPAADVEREIHESQKAGLFDEINFPYPIVSVIGGGKREIDLYAYYFGADLAVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMVLFFLIVVDRIIYLWSFATGKVVFYLFNLVLFTYSVTEYAWGMELAHRDVGGLVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDAILNRANHRQGEKQTKLTKFCSGICLFFVLICVIWAPMLIYSSGNPTNIANPVIDVSIKIDIKALGGRLTLFKTTACEKIPWKYLKAYGDVDPLGYLGAYNVDDIQLICCQPDASTMWLIPPPVQSRFIQSLGRETLFEKMELILNWDFLRARPKGKELVRYESPIEHCPSVDDVKQVLNGTTNSFSIIDAYPRYFRVTGSGEVRRLEAAIDSVSGELLLNNGTSWWSFYTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLSLIVKVISSYQNALEIVKKESMP >KQL05260 pep chromosome:Setaria_italica_v2.0:V:19467388:19477045:1 gene:SETIT_000018mg transcript:KQL05260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFSLSAVLRHTPSPPVGFTILKAGLNKSVLLSVYSSQNSRNDEDRRNSHEKKIASYLSKIGQKFLSVYRSYGTYVAFLTILLTLYLVTPNYISFGYLFFLLFWIIGRQLVEKTKRRLWFPLKVYAAVVFIFTYSLSVSPIFAQLVSKFVKLYPDLGFDPEASLLENVWQSLAVLVVMQLYSYERRQNSDKNFGVSDASESGLLGFLRRFLIWHSDKILSVSVFYACLSSISLSGLIYLLGLIVFCMLPKVSRIPSKVYLVYTGLLAASEYLFQMVCKPARMCPDQHFYGLSVFLGLKYYDSGFWGVEYGLRGKVLVIVACTIQYNVFHWLDLMPTSLVHNGKWEEPCQLFISSNSPYSPVRSNEASHSSNRFTSLFSKVQGLIGSSSSSSLGLGNTSQKSEYVDNAIKGSDVDKRYSFAKIWGLSKESHNWDKKRIISLKRERFETQKITFKCYMKFWIENLFKLRGLEINMIVLLLASFTLLNVVSIFYIVCLVVCILMNRDLIQKVWPLFVFLFASVLILEYFALWNDVMPWFHDINDIEVNCRECWKNSRIFFDYCSKCWLGITVDDPRMLISYYVVFIFSSFKLRSDRFSVFSDSDTYRQMMSQRKNAVVWRDLSLETKSFWTFLDYVRLYAYCHLLDIVLALIAITGTLEYDVLHLGYLGFALVFFRMRLEILKKKNKIFKYLRMYNFALIVLSLAYQSPYVGQFSSGMCDQIDYLYEIIGFYKYDYGFKITSRSAFVEIVIFLLVSVQSYIFSSGEFDYVSRYLEAEQIGAMVREQEKKALKKTEQLQHLRRSEEHKRQRNMQVERMKSEMYNLQSQLNRMNSFTPINDTSHNEGLRRRRNTKLYSDTDTLHEDNETGSPTKQDKIGSTESAESFEFSIADTQKNMRDLMFQHSSDTMRSPIRGRSDEFVLTDNIRNSLGSTPEITEFEESDEKVNYNLSKWEKARGQPKENPLKSAVQLIGDGVSQVQSFGNQAVTNIVSFLNIDPDEPHSNEHPAEGDIYDVVESQTETQDGQLPRTHSVSDTSGTKVKSSMPIGVIFRLLSMVYLGALFLYALCVNYGPSYLFWVIILIYTELNILSQYIYQIIIQHCGLNIHLSLLQRLGFPVDKIKASFVVSILPLFLVYISTLLQSSITAKDGEWVPVTEFSFLSTRNNIEEKRCIPYSWRERLKSLHLPIMNLLRMIIRGLSRYWMSLTHGAESPPYFVQVTMEVNHWPEDGIQPERIESAINRVLAIAHEERCQANLPASCHCCSKVRIQSIEKSKENSNMALAVLEVVYAAPLECQPAGWYKSLTPAADVEREIHESQKAGLFDEINFPYPIVSVIGGGKREIDLYAYYFGADLAVFFLVAMFYQSVLKNKSEFLEVYQLEDQFPKEFVFILMVLFFLIVVDRIIYLWSFATGKVVFYLFNLVLFTYSVTEYAWGMELAHRDVGGLVLRAIYLTKSISLALQALQIRYGIPNKSNLYRQFLTSKVTQVNYLGFRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLFLVKCDAILNRANHRQGEKQTKLTKFCSGICLFFVLICVIWAPMLIYSSGNPTNIANPVIDVSIKIDIKALGGRLTLFKTTACEKIPWKYLKAYGDVDPLGYLGAYNVDDIQLICCQPDASTMWLIPPPVQSRFIQSLGRETLFEKMELILNWDFLRARPKGKELVRYESPIEHCPSVDDVKQVLNGTTNSFSIIDAYPRYFRVTGSGEVRRLEAAIDSVSGELLLNNGTSWWSFYTNPSDLAGCQGLNGPMAIVVSEETPQGIIGETLSKFSIWSLYITFVLAVARFIRLQCSDLRMRIPYENLPSCDRLLDICEGIYAARAEGELEVEEVLYWTLVNIYRSPHMLLEYTKPD >KQL06018 pep chromosome:Setaria_italica_v2.0:V:30134655:30139603:1 gene:SETIT_001279mg transcript:KQL06018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILVNVPTEWKDGRQVGESGNRLKGQLSHFCPLKVIPLWTFRGHSGNAIVEFGKDWNGFRNARTFESHFAAGGYGKKDWIGKKNQGSELYGWFAWAEDYTSPGLIRDHLRKNGDLKSVNDLAKEGARKTDKLVANLANQIEMMGQREQLLQSYNEEIRKMQQLARQHSQKIIDENQKLRSELETKMNELDVRSKQLDELAAKSGYDRRNLEQEKQKNAISSHLKLAALEQQKADENVLKLVEEQKYREKHAALKKILLLEQQLDAKQKLELEIQQLKGKLKVMEHMPGDEDSASKNKINELSEALQEKIDELDGMESLNQTLVIKESKSNIELQEARNELEMYGLLDISGGRAHIGIKRMRELDLKAFSKACRKKCSEEDAEVTAAFLCSKWEAEIKNPDWHPFRIVMADGKEMEIIEDDAKLRGLREEHGEEHGEEHCYSVGELWNFKEDRKVTLKEAVQFVLKQWQTNRRKQR >KQL07252 pep chromosome:Setaria_italica_v2.0:V:38690402:38690653:-1 gene:SETIT_004567mg transcript:KQL07252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHGTAAIVIAFLLVTVTLADAAPVGSLLTCTKAYGVKERETCFAVAQATGLTLNQFLSFNPNINCHNLFIGQWVCVAAVTA >KQL06687 pep chromosome:Setaria_italica_v2.0:V:35004252:35007264:-1 gene:SETIT_002193mg transcript:KQL06687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGGLASRYSTHYGRRSSRQESMATLAPRPRLLLALRRPQPRRLRACAAAPCARRAPVPPQAARPRRVFLGLGATVIDQVARMASGGTSSRSFVAGARPRQGVSPVEQILKNVEWPDEFPFKPEDFSRFDESSDTLFYSVPRFVTHIDDQAIRALTEYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKIVGMGLNEDELKRNSVLTEYVVQDLNVNPKLPFEDNTFDVITNVVSVDYLTKPIDVFKEMQRILKPAGLAIMSFSNRCFWTKAISIWTSTGDADHAWIVGAYFHYAGDFEPPQAVDISPSPGRTDPMYVVYSRKRIA >KQL06688 pep chromosome:Setaria_italica_v2.0:V:35005427:35007264:-1 gene:SETIT_002193mg transcript:KQL06688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGGLASRYSTHYGRRSSRQESMATLAPRPRLLLALRRPQPRRLRACAAAPCARRAPVPPQAARPRRVFLGLGATVIDQVARMASGGTSSRSFVAGARPRQGVSPVEQILKNVEWPDEFPFKPEDFSRFDESSDTLFYSVPRFVTHIDDQAIRALTEYYSEVLPPSNTPGVAILDMCSSWVSHYPPGYKQEKIVGMGLNEDELKRNSVLTEYVVQDLNVNPKLPFEDNTFDVITNV >KQL05876 pep chromosome:Setaria_italica_v2.0:V:28695328:28697169:-1 gene:SETIT_001730mg transcript:KQL05876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASAAPTVARSAPELVPPAGPTPGGTLPLSSIDKTAAVRVSVDFVQVFPPAAGAAVGDQGAAVAAMRDGFARALVPYYPVAGRIADASPGEPVVECTGQGVWFVEAAASCALADVNNLERPLLIPKDELLPRPPPEEKLEDLIVMAQVTKFTCGGFAVGICFSHLVFDGQGAAQFLKAAGEMARGLPAPSVAPVWDRDAIPDPPKLPRGPPPSFTAFSFVTQRHGRGVQVAPPCIQLPDDAEVRLGFAASTRHLLQGVLPSVEGYYGNCVYPVGITRTSKAIREAPLPEVIGVMRGAKEALTARFTDWMHGGAKEDHYNVPLDYGTVTVSDWSRVGFNEVDYGFGEPGYVFTVNDHVNIVASVIYLKPPTPKRGIRLMLRCVEEPHAAAFADELAKYE >KQL07360 pep chromosome:Setaria_italica_v2.0:V:39298602:39301203:-1 gene:SETIT_001986mg transcript:KQL07360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALRKTLLHGRLPAPPAAAGTAPSRISSILRLLSSSSSTGGSGGGDEWGASSFPSGGGGGDEWGSTWSTGLTKDHFDGSSPSVGRPVPSPSAPVSRELATVRAMDEEDKMIRDLERDNRESKAYVDSWGDRMRETCALLKQVREPGARGSYLKDSEKQEMYRLHKEDPSTYTVERLAKDFRVMRQRVHAILWLKEMEEEEERKRGEPLDDSIEILLDSCPEFFNSHDREFHVATLPYKPDFKVMPEGWDGTTRDPDEVLYEISMKEDQMLHEEFVQRLEFNKKKVAGEVKCHKYSRRRPDDGWSYMVEKLGPHGKRGTGGGWKFMSLPDGSSRPLNDMEKMYVKRETPKRRRRIIAPYK >KQL04209 pep chromosome:Setaria_italica_v2.0:V:7251816:7253799:1 gene:SETIT_004323mg transcript:KQL04209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPVRAEVAALLLLLLVAAVGVAAGFRPGPPVSEDTLEKVAASLEMYVDLLPQMPKVLGYSLKYGRPAPTHLTIGMYQKKWKFHRDLPVTTVFAFGTSAESATFPGPTIEALQGVPLWVTWENHLPDRHILPWDPTVPVAIPRAGGVPTVVHLHGGVHPPQSDGHANAWFTAGFRERGPTWTTPTYAYPNAQSPGGVLWYHDHALGLTRANLLAGLLGAYVIRNPAAEAPLGLPCGDALDRVLVLADRSFYADGSLYMNCTGDNPGVHPQWQPEYFGDAVTVNGKAWPFLPVARRRYRFRIINASNARFFNLSLSNGLPFHVIGSDASYLPRPVAVTHLLVAVAEAFDVVVDFSESSEAELEVVNTAPYPYPDGDSPDHLTGKVMKFVVAPARLWDDHSRVPARLLDYVKVAEEEAAQRRYIVMYEYEDEATGNPTHLYINGKRPEDPATETPRAGTTEVWEVINLTADNHPLHLHLATFQAVRVRGLVELEEFKRCMERLNDAARCGVARHAVGEEVAVPEHERTWKNVVKLAPGFVTTVVVKFLMVDTGRAYPFDAAAEPGYVYHCHILDHEDNAMIRPLKLIR >KQL04357 pep chromosome:Setaria_italica_v2.0:V:8445721:8447851:-1 gene:SETIT_002977mg transcript:KQL04357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILGALKLAASPPALAGAALPAATPARSSVHFHLANAGAAALVAASLLVADPSLAFIGGGPYGKQVTRGQDLTGKDFSGQTLVKQDFKTSILRQANFKGANLLGASFFDADLTSADLSDADLRGADFSLANLTKVNLTNANLEGALTTGNTTFKGANITGADFTDVPLRDDQREYLCKIADGVNSTTGNATKETLFCN >KQL04358 pep chromosome:Setaria_italica_v2.0:V:8446323:8447794:-1 gene:SETIT_002977mg transcript:KQL04358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILGALKLAASPPALAGAALPAATPARSSVHFHLANAGAAALVAASLLVADPSLAFIGGGPYGKQVTRGQDLTGKDFSGQTLVKQDFKTSILRQANFKGANLLGASFFDADLTSADLSDADLRGADFSLANLTKVNLTNANLEGALTTGNTTFKGANITGAGKCSFTHLSYTDLLSQPTSRTFPFAHI >KQL05923 pep chromosome:Setaria_italica_v2.0:V:29107017:29108339:-1 gene:SETIT_004762mg transcript:KQL05923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTFTNLSSPEHSTALATLPLGVSVAKLLEVSLDDLPLDAHLVTRIITVVRRTLPHLRELLRSLLGSPAGVAAFLTDMLRPAALAVAEELGVPRYVFYTSSLMSLASLLYTPELARTTTGECRDLPEPVRLPGCMPLRGADLVESVQDRTNPVYALMIDLGLDYLRADGFIVNTFDDKEHDTLVAFEELSAKGVYPPVYAVGPFIRPCSDEAAKHRSMQWLDGQPDGSVLYVCFGSGGTLSSQQTAELASGMEASGQRFLWVVRLPSDKDSSAGYFGTKDHGDDPLSYLPEGFIERTRGMGLALAQSAPQVEILNHRAVGGFLSHCGWNSALEAVDAGVPILAWPLFAEQRMNAVKLSSDGLGVELRVMALEDDGMVLREEVAAVARELVVGEKGAAARRKAHELRAGAEKAAAPDGPAHRALAAVVATWKLGRRQSRG >KQL03717 pep chromosome:Setaria_italica_v2.0:V:4225645:4229821:1 gene:SETIT_000818mg transcript:KQL03717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPSCRARHGSFVPEPCPCRAVRHGWTTTVVESSLSVILPAICLLCLKKLPADGSREPKAPTQPQLLLLPSLPSHSPALKAPCPVGCRSVPRSRTPGRRSVWRAEPPCARASRRPAGTMLAASARVCSRLASPHASSPAGAAAAATAAAALASSPVLGSGMSALERQPAPPGAGFGDTGRGPAADDPPPRHCTSSGESREGYQLSRVPYQRHPMLDRGTVTAGSNHHVSAASCSSQYHQSYRYFSSSSDQQRIWAGNKHICDLPRCVKIVEVGPRDGLQNEKDIVPTPVKVELIRRLAASGLPVVEATSFVSPKWVPQLADAKNVMEAVRNIEGVRLPVLTPNLKGFEAAIAAGAKEIAIFASASEGFSKSNINCTIKESLARYNDVALAAKEREIPVRGYVSCVVGCPVDGPVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGDVHYICNHPLPPPFFLCF >KQL03718 pep chromosome:Setaria_italica_v2.0:V:4225645:4230807:1 gene:SETIT_000818mg transcript:KQL03718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPSCRARHGSFVPEPCPCRAVRHGWTTTVVESSLSVILPAICLLCLKKLPADGSREPKAPTQPQLLLLPSLPSHSPALKAPCPVGCRSVPRSRTPGRRSVWRAEPPCARASRRPAGTMLAASARVCSRLASPHASSPAGAAAAATAAAALASSPVLGSGMSALERQPAPPGAGFGDTGRGPAADDPPPRHCTSSGESREGYQLSRVPYQRHPMLDRGTVTAGSNHHVSAASCSSQYHQSYRYFSSSSDQQRIWAGNKHICDLPRCVKIVEVGPRDGLQNEKDIVPTPVKVELIRRLAASGLPVVEATSFVSPKWVPQLADAKNVMEAVRNIEGVRLPVLTPNLKGFEAAIAAGAKEIAIFASASEGFSKSNINCTIKESLARYNDVALAAKEREIPVRGYVSCVVGCPVDGPVPPSNVAYVAKELYDMGCYEVSLGDTIGAPWFQCLRPPCPRFLWRNLLSISTIPTANRSQISSSLSRWGSASWTPPSPASAAALMRRARRETWRPRMLCTCSTGWGSKWV >KQL03716 pep chromosome:Setaria_italica_v2.0:V:4225645:4230807:1 gene:SETIT_000818mg transcript:KQL03716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPSCRARHGSFVPEPCPCRAVRHGWTTTVVESSLSVILPAICLLCLKKLPADGSREPKAPTQPQLLLLPSLPSHSPALKAPCPVGCRSVPRSRTPGRRSVWRAEPPCARASRRPAGTMLAASARVCSRLASPHASSPAGAAAAATAAAALASSPVLGSGMSALERQPAPPGAGFGDTGRGPAADDPPPRHCTSSGESREGYQLSRVPYQRHPMLDRGTVTAGSNHHVSAASCSSQYHQSYRYFSSSSDQQRIWAGNKHICDLPRCVKIVEVGPRDGLQNEKDIVPTPVKVELIRRLAASGLPVVEATSFVSPKWVPQLADAKNVMEAVRNIEGVRLPVLTPNLKFFIFVITHQGFEAAIAAGAKEIAIFASASEGFSKSNINCTIKESLARYNDVALAAKEREIPVRGYVSCVVGCPVDGPVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEATMSAVPVEKLAVHFHDTYGQSLSNILISLQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKMGVDLGKVMAAGEFICKHLGRQSGSKAATALSKVTANASKL >KQL03715 pep chromosome:Setaria_italica_v2.0:V:4225645:4230807:1 gene:SETIT_000818mg transcript:KQL03715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPSCRARHGSFVPEPCPCRAVRHGWTTTVVESSLSVILPAICLLCLKKLPADGSREPKAPTQPQLLLLPSLPSHSPALKAPCPVGCRSVPRSRTPGRRSVWRAEPPCARASRRPAGTMLAASARVCSRLASPHASSPAGAAAAATAAAALASSPVLGSGMSALERQPAPPGAGFGDTGRGPAADDPPPRHCTSSGESREGYQLSRVPYQRHPMLDRGTVTAGSNHHVSAASCSSQYHQSYRYFSSSSDQQRIWAGNKHICDLPRCVKIVEVGPRDGLQNEKDIVPTPVKVELIRRLAASGLPVVEATSFVSPKWVPQLADAKNVMEAVRNIEGVRLPVLTPNLKGFEAAIAAGAKEIAIFASASEGFSKSNINCTIKESLARYNDVALAAKEREIPVRGYVSCVVGCPVDGPVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEATMSAVPVEKLAVHFHDTYGQSLSNILISLQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKMGVDLGKVMAAGEFICKHLGRQSGSKAATALSKVTANASKL >KQL07411 pep chromosome:Setaria_italica_v2.0:V:39613043:39616940:1 gene:SETIT_002675mg transcript:KQL07411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSIGTGYDLSVTTFSPDGRVFQVEYATKAVDNSGTVVGIKCKDGIVLGVEKLVTSKMMLEGSNQRIHSVHRHSGLSVAGLAADGRQIVSRAKSEAASYEKVYGEPISVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMIEPSGVSYKYFGAALGKGRQAAKTEIEKLKLSELTCREGIVEVAKIIYGVHDEAKDKAFELELSWVCDESNRQHQKVPNDLLEQAKAAAQAALEEMDAD >KQL07644 pep chromosome:Setaria_italica_v2.0:V:40985757:40986973:-1 gene:SETIT_004460mg transcript:KQL07644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PWASLPQDLLELIAWRVLAGDLLDYVRFRSVCSHWNSSTVPPRGRGLVDPRFHPRRWMLFPEGYGLYPGHPNLGGVCAAVTVNAAGAITVMLCLDTKRRVAYAATGDRRWSLSAWKLPRLRAVTMSFQGKFYAMAVNSADKNNVYICRIDPPQPSAEGNHSLSLPPPRMLVKSPLDGGLMVLGFTDVSLAHLVVYRVSDLTKGRVAPLTSIGEHAIFSEVHALCISAKRFPSILGNSIICKNRSSRMVETHDFNPLLGRRAVRPAHPLVEQYDLGSDTWSPAIDEDIVHSDRTPASPYTLAHHIFTCCCRYYW >KQL06448 pep chromosome:Setaria_italica_v2.0:V:33513629:33517395:-1 gene:SETIT_000859mg transcript:KQL06448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPETGDGWASRPTSWNKLGSGETGGGDFDQTSKGKTPKPPVHPIYLLAGVALDARAHTSRPVFHRLLHWIDYRPSVFQICSLLAPIHLRQAPILADAAAMAVAPDQKQRPAGSSSASPHLRVHDTILVAPSPSPPETSLPLTFFDIIWLNSPPVERLLFYRLAPDADVATIISNLKDSLHKAFRVFYPLAGRLRLTPGTSDRYELYYSPGDAVTFTVAECDDGDADIDGLAAGDPREVAKIGTLVPPLAEGGGLFALQATLLSARRGLAIGVTVHHAACDGSNSTHFLHTWAAACSGTEAPPPPVIDRTLLADPRGLYNVFYQEAPSTDEMEFAKMSADQLFATFTLSKDDLQRIKEVVADEAARRGVAPPRCSSLVATFGFVWSCYQRAKESCGSGEGPMTCILFPVNHRSRMKPPLPERYLGNCVGPAFGMAPKSELAVAGVGGLFTACAAVASAIDEAVRDIGTSSMDAWLDRIKEASANGILSVAGSPRFRVYELDFGFGRPLKVDIVSVARTGAVAVAESRSCIGGMEVGVSLQPAGMDRYRKCFTDGIAWLHQRS >KQL04800 pep chromosome:Setaria_italica_v2.0:V:11896594:11899571:-1 gene:SETIT_002059mg transcript:KQL04800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSGSVSIDVERIFFGGKEHRVRTRHGPLSVSVYGDEDKPALVTYPDVALNHMSCFQGLFFCPEAASLLLHNFCVYHITPQGHELGAAPISSDVPVPSVDDLADQVADVLDFFSLGAVMCLGVTAGAYVLTLFATKYRERVLGLMLVSPLCKGPSWSEWLYNKVLLNLLYYYGTRGLVKESLLQRYFSMEVRGNGQDPESEIVQACRSLLDERQGSNVWRFLQAINRRHDLTESLMKLQCRTLIFVGENSQFHADAVHMTTKLDRRYCALVEVQACGSLVTEEQPHAMLIPMEYFLMGYGLYRPPQQETSPRSTLNPFCISPELLSPESMGVKLKPIKTRISLNV >KQL06684 pep chromosome:Setaria_italica_v2.0:V:34992605:34993670:-1 gene:SETIT_004967mg transcript:KQL06684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNQSIRQGVAVRKEARRMSRSEIRAILYVLLALALSPLVCYLFVLDLPPKFSVQLTGIQGLDTAAPASPLSTVFNITLHASNKRGRTACYRHGEAVVRYSVFTLAWGRTRTFCVGAKDTRDVPVVAWADGVGLPKPIRDRMAEERRAGTVELEVNVKLFAEDDASGAEPTWMWCKVVTGRAAEPSDATPCSVFRSRIWAADFAPNWMLL >KQL06227 pep chromosome:Setaria_italica_v2.0:V:31875510:31876007:1 gene:SETIT_003871mg transcript:KQL06227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTSCDVESVSATFTALSMGDVMMYIDCSLSHMPPEFLLRAVRAQLKDRGLDAIAELMDTAEAAFLPLSPSSSSTSFDDDSPSGWSFGWRSTEDAVACHSRSSLVAVMAQALAHHVGYVWVVNETSGALIGVVRFTDVLALLWEHLRPQSQVLCR >KQL07576 pep chromosome:Setaria_italica_v2.0:V:40591747:40592370:1 gene:SETIT_004684mg transcript:KQL07576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYATTRTSPQQHSRITTNGRVVSMCPGHTEVPGEVARHHEHVVAAGQCCSVMVQTIAAPVDAVWSLVRRFDQPQEYKSFIRSCRLVDGDGTTVGSERELIVQSGLPANSSRDRLEILDDALRVISFKILGGEHRLSNYRSVTTVHEATSSDGPVAMVVESYVVDVPPGNTAQETCVFTNTIIRANLQNLERKVMGRLAMAAPHQNH >KQL04450 pep chromosome:Setaria_italica_v2.0:V:9096978:9105707:1 gene:SETIT_004348mg transcript:KQL04450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMLVQTSESICGFSYFSQTHNSGYQMLSHSHSTQVGGALHKNRKGCLVCLVLNLRGFVLRPGKRGTLRTLAAAAATDAAASPPEAAAVPAEAGAKVERLQPLQWPPRDALCGELGAGDAGRRVRLCGWVALRRAHAGLTFLTLRDSSGMVQVTTLPEYPEVYNIVNKLRVESVVAVEGVVAADRVLVLNSVTRSLPFPVTTAETVKEKFPEEIRLRFRVLDSRRPQMQSNLRLRHNVVKLIRRYLEDEHDLLSARWSILNRGSNISASYGSIIFKIETPVLSKSTPEGARDYLVPSRVQPGTFYALPQSRQLFKQMLMCFRDEDLCADRQPEFTQLDMETAFTSMEDMLNLNEDLMRHVFQAVGDIKLPNPFPRLTYAEAMDRYGTDRPDLRIHISTVCAVLVLLYGFLVVYPADLMLLLLCSIHVEHVLRTFLLVSDAFLGTSFKVFADTLENGGIIKALRVPGGATVFSNTDLKKGTVYTEASKAGAKGLPFLKVMENGELEGIGLLVSNLKPENKEQLVELLDAKAGDLILFALGDLSSTNRILGRLRLFIAHKLEVIDTSAHSVLWVTDFLIYDEHRYEALHHPFTAPNPEDMNDLPSARALAYDMIYNGVEIGGGSLRIYKSMYNKEFLRSLAEEKFGYLLESFDMGAPPHGGIAYGLDRLVMLLAGESSIRDVIAFPKTTAAQCALTKAPSAVDPQQLKDLAFPKPTS >KQL06069 pep chromosome:Setaria_italica_v2.0:V:30454398:30458118:-1 gene:SETIT_002823mg transcript:KQL06069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSPSGLELTMAVPGLSSSSGSEGYAGNGNGNAMRDLDMNQPASGGEEEEFPMGSVEEDEEERGAGPGGPHRPKKLRLSKEQSRLLEESFRLNHTLTPKQKEALAVKLKLRPRQVEVWFQNRRARTKLKQTEMECEYLKRCFGSLTEENRRLQREVEELRALRVAPPTVLSPHTRQPLPASALSMCPRCERITAATGAPAARTPRPAAAANPFHPRRPSAAF >KQL06559 pep chromosome:Setaria_italica_v2.0:V:34193501:34195255:-1 gene:SETIT_004646mg transcript:KQL06559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGDGGGLELAAAAETTLDGTTDHSGKPAVRSKTGTWRACPFILGNECCERLAYYGMSSNLVNYMIDRLHQGNAAAANNVSNWSGTCYMMPLLGAFLADAYLGRYRTIAAFMGLYIVGLTLLTMSAAVPGLRPPDAAGAAPTAGQSAAFFAALYLIAVGTGGIKPCVSSFGADQFDDADPRESQSKSSFFNWFYTSINIGALVASSVLVWIQMNVGWGWGFGIPAVAMAAAVASFLLGSRLYRHQRPGGSPLTRMLQVVVAAWRKRKSPVPADPSLLHEAPASASAIQGSRKLEHTEQFRWLDRAAVLTEEDDTMNNEKSNPWQLCTVTQVEELKSVVRLLPVWATGIVVSAVYSQMSTMFVLQGNTLDPSVGSSFKIPSASLSIFDTLSVIAWAPVYDRLIVPAARRWTGHPRGFTQLQRMGIGLAVSVLAMVAAGALEVLRLHVAASHGMLDSTAYLPISILWQVPQYFIIGAFEVFTFIGQIEFFYDQAPDAMRSMGTALSLTSSALGSYLSALLVSVVTAVTTRNGGLGWIPDNLNRGHLDYFFWLLSLLSVINFLVYLLIAKWYKYKQPSTTAATST >KQL06161 pep chromosome:Setaria_italica_v2.0:V:31123224:31123415:-1 gene:SETIT_004547mg transcript:KQL06161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EKTHFRPIRSKHTDWRAHFQHQTDITHRPTPISGIRAHVPCTPRKHRPGGPTHRSADLVGRAT >KQL04380 pep chromosome:Setaria_italica_v2.0:V:8618435:8618953:1 gene:SETIT_005133mg transcript:KQL04380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRRIEDRVSRQVRFSKRRAGLLKKAFELSLLCDAEVALIIFSPAGKLHEYASTRTHGAAPVPDLVLLFSRSGWVCSATGFRIAGNSSELCLLSPCARAERAPVL >KQL04237 pep chromosome:Setaria_italica_v2.0:V:7485216:7486307:1 gene:SETIT_002412mg transcript:KQL04237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMSCNGCRVLRKGCSDNCAIRLCLQWIRSPDAQGNATVFLAKFYGRAGLINLIAAGPEHVRPAIFRSLLYEACGRMLNPVYGSVGLLWSGNWKLCQSAVESVLRGMPIAPPPPAATAVPPLRTCDIRHVARREADDHGAVAAAAGLHRLANSSRGQFKRSAGAHRSAGSGSGIELVFSQPSAAASMLVDVRMAQPLNWAPRRQPSHEYSGSHDAVPETDSNTSVDTVDVSHVSQSEPEPRREIDDDGRADGLDLTLGLPTTTVHKTEPSDVDDGLQPCHRGELAKLGLAIADSRAR >KQL06491 pep chromosome:Setaria_italica_v2.0:V:33789542:33789987:-1 gene:SETIT_005284mg transcript:KQL06491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDMDPIFYAYRIWNFYSVVLFRLLVCCITPFSTLVHKQVCISFWF >KQL07519 pep chromosome:Setaria_italica_v2.0:V:40227880:40229439:1 gene:SETIT_005508mg transcript:KQL07519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLHNKKQIFCANKTRVSFKLILLGSHENVLHADTILLFRNLKHSRLKASIFVGHHLGDDG >KQL05256 pep chromosome:Setaria_italica_v2.0:V:19329063:19334421:-1 gene:SETIT_003334mg transcript:KQL05256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSGIPSKQMKIWIANRRAQIKKQTIREENARLRIENEMLREENREMSLMQRKKVCGLCVLKAENARLRAEFERLQAIAAMRQNIANPKEELGSSSSAFQTPAPSSATGSQPDPGPGAYVPKDEPPSM >KQL03908 pep chromosome:Setaria_italica_v2.0:V:5305317:5305730:1 gene:SETIT_005372mg transcript:KQL03908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSLICLTLTFDDLLEIRQNKMQVMCLPFVVRHFQ >KQL07323 pep chromosome:Setaria_italica_v2.0:V:39108203:39110042:1 gene:SETIT_002398mg transcript:KQL07323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASEIAAVGVIGAGQMGSGIAQLAAAAGCAVLLLDADPAALSRAVASISSSLRRLAAKGHLSQAACEDSIGRIRCVSAVQDLRDADLVIEAIVENEDVKKRLFVELDKITKPSTILASNTSSISITRLASATKRPAQVIGMHFFNPPPIMKLIEIIRGADTSDEVFATVKSFSERLGKTVICSHDYPGFIVNRVLMPMINEAFWALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSVLRVLHNGLGDNKYSPCPLLVQYVDAGRLGKKRGLGVYSYGQKSSSSKPKSSL >KQL03721 pep chromosome:Setaria_italica_v2.0:V:4274763:4276130:-1 gene:SETIT_003266mg transcript:KQL03721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEAPNKRNAVGSLTDDVLVDILRRLPARSLCCCKCVCRSWKRVISDSYHRKEFSQTVAGFFYGSWWNGTRHFTSITDERPSLSFLPFPLDKVLVSYCYSSLIFCWCVGPDGLRRYVVCNPMTEKWLALPRSIRSVGQAHLGSI >KQL03969 pep chromosome:Setaria_italica_v2.0:V:5635866:5637984:-1 gene:SETIT_000882mg transcript:KQL03969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTCVPAGSPGVGEAPPPWKAPPPLLCAVVVVAAAAAWCAARALEWAWLRPRRLGRALRAQGLRGTAYRPLAGDAQLADRLSREARSRPPLPPGCHAVVHRAMPLFHHAMEEHGKTSITWFGPVPRVTIADPALVRQVLSNKFGHFEKVGFGQLQRLLHYGLSTHEGDKWARHRRIINPAFHLDKLKRMLPAFASCCADLVNRWEAGPAAAGGGGEPRCEVDVWPEMQRLTGDVISRAAFGSSYLEGRRIFQLQEEQVRLAMLSASKIHIPGYMMLPTRINRRMKRIAAEIEGILRGMIAKREDALIAGEATAGDDLLGLLLESNMEQSRGSSDGGGRGASSGSMSTDDIVGECKLFYFAGMETTSILLTWTLVVLCMHPEWQDRAREEVLRVLGGGTTPDYDGLSRLKIVTMVLYEVLRLYTPLPAVHRRTYKPMELGGVRYPAGVTLVLPLLCVHHDRDVWGPDADDFRPERFAEGVAGALGGDRPPAFFPFGGGPRTCVGQSFALLEAKMGLAMILRGFALELSPSYSHAPFPAPLLKPEHGAQVMLTKLP >KQL04268 pep chromosome:Setaria_italica_v2.0:V:7769443:7771652:1 gene:SETIT_005644mg transcript:KQL04268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDYFETTYKFLDLSPHVLIPMHGRINLWPKHMLCGYLRNRRSREASILQSIENGGRTLFEIVSKTYSDVDRKLWIPASFNVRLHVDHLNSQNKLPKDFSLENFKASCGAHFIFRWAVAYVQSRSSPAILAVAASALAGGLAIACALRRNNGK >KQL04269 pep chromosome:Setaria_italica_v2.0:V:7771011:7771652:1 gene:SETIT_005644mg transcript:KQL04269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSHWRILRRAVERISYSVGRWRMCNPGAPQLSLRLQQVPLLVVWQLLVLSEGTMASNYRLCWSVIPATSTLYFYEDSATTMLSRMLSCQNFCSTIVWVNFATSIHKESAQARACKVWD >KQL04267 pep chromosome:Setaria_italica_v2.0:V:7769478:7770942:1 gene:SETIT_005644mg transcript:KQL04267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDYFETTYKFLDLSPHVLIPMHGRINLWPKHMLCGYLRNRRSREASILQSIENGGRTLFEIVSKTYSDVDRKLWIPASFNVRLHVDHLNSQNKLPKDFSLEMFSRSCDDFFSSL >KQL06242 pep chromosome:Setaria_italica_v2.0:V:31971164:31975407:1 gene:SETIT_000399mg transcript:KQL06242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDQRRPAAAAVVTVARAARAQDSPARRLIAWLQLLLRAFVQRYSKLASWDAAGRPVLVVLAAFVLQRSLRRRYLSWKESSRLRLRAAAVTVQAAVRAMAARRELSLRKQIRAATRIQAQWRAHRAVWSYLMTRRAALICQRSWRQSMASRELRKLRLEDLEREMLAEMCRLREMVDVLQQAVNDAETRAVNEREAARKAIAELEAAPLIKETVVMVEDTEKVNSLNAEVDRLKDLLGAEMKETFAAKKVLAEAELRNEKLARLLGVEEVKNKRLQDSLKRMEEKASNLDEENKMLRQAVASIPTIKSPSTENHEAPNIQETTDNEKTPNGAVKPIIVDREGNIHEKSAEQPSSNGNEAEKQQQELLIKCLSEDLGFSIGRPIAAYLIYRCMVHWRLFEEERTPVFDRIIQKITTALEGRDNNDTLAYWLSNSCTLLVLLRRTLKINGVAALARQRRRASPLKVPQEDEDPSHHDRPLSDGRLIGGLAEVYQVEAKYPAIAFKQQLTALLEKVYGIIRHNLKKELSPLLSLCIQAPRTFVVSPRGSCSQGTDLAQQASMAHWQSIIKILTNSLNVMKSNYVPPFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYLKAGLDELEHWCFWLTEEYAGSAWDELKHIRQAVTLLILEEKHSRSLSEITDDFCPALSMQQLYRISTMYCDDKYGTLGIPSEVISSMRTKMVEGSSSPSAHDDINSFLLDDDFSIPFSVDDIAKLMVHVDVADMDLPPLIQENNGTKLGH >KQL06241 pep chromosome:Setaria_italica_v2.0:V:31971164:31975407:1 gene:SETIT_000399mg transcript:KQL06241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDQRRPAAAAVVTVARAARAQDSPARRLIAWLQLLLRAFVQRYSKLASWDAAGRPVLVVLAAFVLQRSLRRRYLSWKESSRLRLRAAAVTVQAAVRAMAARRELSLRKQIRAATRIQAQWRAHRAVWSYLMTRRAALICQRSWRQSMASRELRKLRLEDLEREMLAEMCRLREMVDVLQQAVNDAETRAVNEREAARKAIAELEAAPLIKETVVMVEDTEKVNSLNAEVDRLKDLLGAEMKETFAAKKVLAEAELRNEKLARLLGVEEVKNKRLQDSLKRMEEKASNLDEENKMLRQAVASIPTIKSPSTENHEAPNIQETTDNEKTPNGAVKPIIVDREGNIHEKSAEQPSSNGNEAEKQQQELLIKCLSEDLGFSIGRPIAAYLIYRCMVHWRLFEEERTPVFDRIIQKITTALEGRDNNDTLAYWLSNSCTLLVLLRRTLKINGVAALARQRRRASPLKVPQEDEDPSHHDRPLSDGRLIGGLAEVYQVEAKYPAIAFKQQLTALLEKVYGIIRHNLKKELSPLLSLCIQAPRTFVVSPRGSCSQGTDLAQQASMAHWQSIIKILTNSLNVMKSNYVPPFLICKLFTQVFSFINVQLFNSLLLRRECCSFSNGEYLKAGLDELEHWCFWLTEEYAGSAWDELKHIRQAVTLLILEEKHSRSLSEITDDFCPALSMQQLYRISTMYCDDKYGTLGIPSEVISSMRTKMVEGSSSPSAHDDINSFLLDDDFSIPFSVDDIAKLMVHVDVADMDLPPLIQENNGTKLGH >KQL03245 pep chromosome:Setaria_italica_v2.0:V:510792:513520:-1 gene:SETIT_003768mg transcript:KQL03245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVALGHRGEASEPDFFRGVLGELVLTFLFVFIQVGAAMTAGAKTDAGGDLTAVALGQALVVCVIATAGFHISGGHVNPAVTLSLAVGGQITLFRSALYIVAQMLGSSTACILLRWLTGGLATPVHALAAGVGPIQGVVAEAVFTFSLLFVIYATILDPRKLLPGAGPLLTGLLVGANSIAGAVLSGASMNPARSFGPAVATGVWTHHWVYWVGPLAGGPLAVVVYECFFMAPARTHQLLPQEAP >KQL05947 pep chromosome:Setaria_italica_v2.0:V:29461419:29461952:1 gene:SETIT_003757mg transcript:KQL05947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQQQENPRGPCPCAAGCSFFGSPETLGMCSVCYKKHCLAAEAGANTAAAATASRSAATAAPVRTTASSTSAKPVADVSFAPAAEAAAAGVAEEAVVVQPSSAPGAAKKAQPTRCAACYKKVGLTGFVCRCGKTFCGTHRYAEEHGCSFDFKGAGREAIARNNPLVKGAKLSGKI >KQL07000 pep chromosome:Setaria_italica_v2.0:V:36973150:36976476:1 gene:SETIT_001433mg transcript:KQL07000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPRKRRRRNAAAGVGPDCFSNLNEDLLRYILSRLSTRSAATLAAVSRHFRKEIPRLLERVDSLTLNEPDIPANGPHIQILRATPPILLRRLALAPHRAIPPSAFRRVLNDVAQHGVSELSFRLARRARVPKNVLSLKSLSVLSLDTCAVPPWSDVACPCLRTLRLHRVAIHQEVINKILASASCLDTLEMVYCTGLGTGKAGSCTVESSSVRNLVFRPTLKLEQITIRAPALRTVTLYTRGKVKRLELVPAPDVRKAYLHIAKPRTVMESFRVRPFLDAGVRLECLTLRGHAIKVLSSEYEDIPKLTVMFQDLRILSVSLDLSSVQETGFMLKLLESCPNLQKFSLSAAKTYKALPSSTNLKERLASISCLTSLVQFKFCGFKPQEFQNELMVFLLTRGKKLKKVGVEFDKSQVDAVKMILSVRRAHIERISTKYASHHMEMEFF >KQL03296 pep chromosome:Setaria_italica_v2.0:V:761978:762820:-1 gene:SETIT_004492mg transcript:KQL03296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAPPPSPLMPSAGEPFRVFVGYDPREHEAYEVCRRSLLRHATMPLDVRPVRQSDLRAAGLYWRARGPTESTEFSFTRFLTPYLAGYRGWALFVDCDFLYLADVAELLAAAVPPGADADRLAVACVKHVYEPAEATKMDGAIQTTYPRKNWSSMVLYNCAHPKNVATLTPDAVSTQTGAFLHRFAWLDDDEIGEVPFVWNFLVGHNKVDPADPTTHPRAIHYTCGGPWFKRYRDCEFADLWIKEAEELKAEKDKLEAEEKPKLIEDKDAKEQDDNKEEN >KQL05973 pep chromosome:Setaria_italica_v2.0:V:29613593:29614374:-1 gene:SETIT_003572mg transcript:KQL05973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPSLRAKPKGKGGARGAAADPAGEGATASATAVRLVKEWTTWTMKTAKVAAHYGFIPLVIIIGMNSDPKPSISQLLSPV >KQL04663 pep chromosome:Setaria_italica_v2.0:V:10596884:10600891:-1 gene:SETIT_001761mg transcript:KQL04663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAKLARRAVETDAPVMVKIQELLRGATNVMSLAQGVVYWQPPESAMNKIEKIIREPAVSKYGSDDGLPELREALLEKLRKENKLTKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGACDPKTLHPDVDWLEKVLKENDPVPKLVTVVNPGNPSGAFIPRPMLERISDICKNAGAWLVVDNTYEYFMYDGMEHYCLEDNHIVNLFSFSKAYGMMGWRVGYIAFPNEADGFHDQLLKVQDNIPICASIIGQRLALYSLEAGPEWIKERVKDLVKNRKLLVEALSPLGEDNVKGGEGAIYLWAKLPDNFSDDFEVVRWLANKHGIAVIPGSASGGPGYIRVSFGGLKEEDTRLAAERLRRGLQELVTDGMVQ >KQL04664 pep chromosome:Setaria_italica_v2.0:V:10596884:10600809:-1 gene:SETIT_001761mg transcript:KQL04664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAKLARRAVETDAPVMVKIQELLRGATNVMSLAQGVVYWQPPESAMNKIEKIIREPAVSKYGSDDGLPELREALLEKLRKENKLTKSSVMVTAGANQAFVNLVLTLCDAGDSVVMFAPYYFNAYMSFQMTGVTDILVGACDPKTLHPDVDWLEKVLKENDPVPKLVTVVNPGNPSGAFIPRPMLERISDICKNAGAWLVVDNTYEYFMYDGMEHYCLEDNHIVNLFSFSKAYGMMGWRVGYIAFPNEADGFHDQLLKVQDNIPICASIIGQRLALYSLEAGPEWIKERVKDLVKNRKLLVEALSPLGEDNVKGGEGAIYLWAKLPDNFSDDFEVVRWLANKHGIAVIPGSASGGPGYIRVSFGGLKEEDTRLAAERLRRGLQELVTDGMVQ >KQL05013 pep chromosome:Setaria_italica_v2.0:V:14106908:14109096:1 gene:SETIT_004535mg transcript:KQL05013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEKLLYHVTNWTYLQFQPWHRDDALPTFYHVWKHVRPVCDNPDRRYRAYTNEFDVLTQHQVEWKPYDREQLSHIVFSPTCYRHRELWRCTTPMILYYVVEFHMPHRVIRQFGRMQPCPPLELSTSQQLHSIDRRKRYKKNDWRVKHDQYLLLWQNKQGCDPEEQKYVSDCAARSSLSPCPYPLERDVGFSSLPPPPPPPPRPKPTESLVQVARHLSPAAPGGLARQGPTRTFVAVRFVCRGTAPTNRTFSGKPSPLPPAHFCFAKRSGAASPWLG >KQL03378 pep chromosome:Setaria_italica_v2.0:V:2063426:2068995:1 gene:SETIT_000531mg transcript:KQL03378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSPPSQPELAEPDGSSKVNSVPCNGERELPRTNPPAAAVTGSRPRSEAAGQARQSSARHAAPGATSNAEQQASRLPPLSGPAAASPPRRVEQSRAEWPDMKMPESKRSEGFCGEAVITEFEALTRDAAAVQRETLRRILADNAGTEYLRDRGLAGRTDAASFRACVPLATHADVEPYIARIADGDTSPVLTARPITSISLSSGTTQGKRKYLPFNQELVKSTMQIYRTSYAFRNRAFPVEDGKALQFIYGSRQFTTKGGLTATTATTNVYRSEEFIPTMRAIQSQACSPDAVIFGPDFAQSLYCHLLCGLLFADEVRIVSATFAHSVVLAFQTFERVWEELCADIRAGALSATRVTSPAVRRAVSALLPAPNPALADEVARRCAALSNWYGVIPALFPNARYVHGIMTGSMEHYVKKLRHYAGGLPLVAAEYGASEGWVGANVEPETPPESATFTVLPNIGYFEFIPLKAGDGGAAASDTCFAEAEPVGLTEVTVGEHYEVVMTTFAGLYRYRLGDVVKVAGFYNSTPKLKFVCRRNLMLSINIDKNSEHDLQLAVDSAAKILAAEKLEVVDYSSHADVSRDPGHYVVFWELNAEANGDVLQSCCDELDRAFTDPGYVGSRKAAAIGPLELRVLQRGTFQKVLRHYLSLGAPVSQFKSPRCVGRSNNSGVLQILSGGVVKVFFSAAYD >KQL04806 pep chromosome:Setaria_italica_v2.0:V:11977740:11981043:1 gene:SETIT_004803mg transcript:KQL04806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLMAALVSFSSLPVSGAQTNITSGASLQAAAGAGWPSPSGRFAFGFYATDGGLAVGVWLATAPNVTVTWTADRDKAPATGGAVRITSDGRLVWAGANGGERSLAVPPQPAVAAAMRDDGSFVLYGANGTVDLVPGAQLFSSVSDTSWATGRYRLANQLEDGNLVTYPVQTHNNATSSIDPDGILRRYRHAVASTGAWSTDVDWIGPSDRCQVKGVCGLNSYCVLDSGVQPRCVCPPGFDLIDATNAALGCNETTGAGDCAAAAAAGLTMTMAAMQDMWWADTPYGSFVGAADCQAACMADCLCAAVRLNGNDGTCTTQKLPLRYGRTGGGYTLFVRNAAGTADPALGGRGPTGGFREPVDRGAFGTVFRGTLHGGEGERAIAVKRLEKVVEEGEHEFQREVRAIGRTSHRNLGAHRLLVYEYVSNGSLAARLFLNKPCPSWSERVGIPLDVARGLHYLHDELESRVIHCDVQPQNILMDASGTAKIADFGLAKLLLPDQTRTFTGVRGTRGYLAPEWYRGAGPLTVKADVYSYGVVLLETVTCRRSMELEEAGEERTIMELAHECLVAGEVRRVMGSVDDDAVEAAEVERAVKVAVWCAQAEPQARPAMRTVILMLEGHVKVPFPPPPASS >KQL08523 pep chromosome:Setaria_italica_v2.0:V:45828020:45828688:-1 gene:SETIT_003579mg transcript:KQL08523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSVEKICDDSGEDPTCSRSVTGTSVRDHIYYLGVSMHAEDWSSCRIVMDYSKLQYQMDLKGNVVLSKQPGLSIDRGFSAQ >KQL06825 pep chromosome:Setaria_italica_v2.0:V:35900265:35903816:1 gene:SETIT_004184mg transcript:KQL06825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISFEAWEGVQRHGQDLADRLAQGFTGLLQAPPQFPWPPAPHKRMPFDIDLPVVPFGAGRGAPGKDFPFPAAAVSSVIDIGGRLGQAGAELGASVGGAVQHAVRQLPVPFRQIRRRKQSPQAPLPPAASVGEGAVGLSVGRAAADRCPLEAAAAAAAAATGSAAASSVSGHVGGDDLDEEDEGFGCEIGTFGNFKKAKGTVNMSATYNTRSHDIESSVVARGDLWRLEASRGGSTSGNDTSPLYLIQLGPLLFVRDSTLLLPVHLSKQHLLWYGYDRKNGVHSLCPAIWSKHRRWLMMSMMCLNPVACSFMDLQFPNGQLTYVAGEGISASGFLPLFGGLLQAQGKYPGETRVSFSVKNKRGTRFTPMFQWPDKSVSLGVTQPLAWKRSGLMVRPSIQVSLCPTFGGSDPGVRAEVVHSLKEELNLMCGLSCTRHPSAFTALSIGRSKWNGQVGSSGVVITLETPLNNIGRPALSVQLNGGFEL >KQL05912 pep chromosome:Setaria_italica_v2.0:V:29016808:29020481:1 gene:SETIT_000802mg transcript:KQL05912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGDLLLRVHAGTPVLARAFPCRLRDPARHRRGLVCPLAAARVDVAGRVRPRGAAPVQNRRRRDAEERLSFSRVVTRRDAVDEDEEDVEGEALQLGAVTSGGDAGGVDGSYLSDTRFDQCAISPLSLKAVEDAGYERMTEVQEATLPIILQGKDVLAKAKTGTGKTVAFLLPAIEVLSTLPHQRNQLRPPINLLVMCPTRELANQVAAEARKLLKYHRSLGVQVVIGGTRLTQEQRSMQANPCQILVATPGRLKDHLENTPGFSTRLKGVKVLVLDEADRLLDMGFRRDIEKIIASIPRERQTLLFSATVPEEVRQISHVAMKKDYRFINTVKEGDEETHSQVSQMYMVAPLDQHFSILYDALKKHVAEDADYKVIIFCTTAMVTKLVAEVLSQLKLNIREIHSRKSQSARTKVSDEFRKSKGLILVSSDVSARGVDYPDVTLVIQVGIPADREQYIHRLGRTGRKGKEGQGLLLLAPWEKYFLGTVKDLSISEAAVPSVDSSVETEVKNAVRKVEMKSKECAYQAWLGYYNSNKTIGRDKSRLVGLAEEFSQSMGLTVPPAIPRNILRKMGLNNVPGLRSS >KQL06539 pep chromosome:Setaria_italica_v2.0:V:34041998:34043434:-1 gene:SETIT_003200mg transcript:KQL06539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKPQTFMNASGESVGQLVSYFKIPLNQVVVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPPGKMDPANFVLRPFTKREQEELDFAFHRGLEAVRIMVLEGFNKSATYVNTTQSSEMLNR >KQL06540 pep chromosome:Setaria_italica_v2.0:V:34042072:34043434:-1 gene:SETIT_003200mg transcript:KQL06540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKPQTFMNASGESVGQLVSYFKIPLNQVVVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPPGKMDPANFVLRPFTKREQEEVFTIICTLEILWFSQFNKDILRCSILIHVETGKVTQVIILCSSILLSIGAWRLCE >KQL06538 pep chromosome:Setaria_italica_v2.0:V:34041733:34043457:-1 gene:SETIT_003200mg transcript:KQL06538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKPQTFMNASGESVGQLVSYFKIPLNQVVVMYDDLDLPFAKLRLLPKGGHGGHNGMRSIINHLKQSRDFPRLRIGIGRPPGKMDPANFVLRPFTKREQEEGLGGCANNGA >KQL03418 pep chromosome:Setaria_italica_v2.0:V:2237245:2241383:-1 gene:SETIT_002746mg transcript:KQL03418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSILFIIGIIGNVISVLLFISPIKTFWRIVRSGSTEEFEAAPYVFTLLNALLWLYYGLTKPDGLLIATVNGFGAVMEAIYVVLFIVYAADHATRIKAAKLAAALDIGGFGVVFAATTFAISELDLRIMVIGMICACLNVLMYGSPLAAMKTVITTKSVEFMPFFLSFFLFLNGGIWATYAVLDRDIFLGIPNGIGFILGSIQLIIYVIYMNSKVSHSSNETGEDGWQASDPLLASNA >KQL07662 pep chromosome:Setaria_italica_v2.0:V:41076311:41078708:-1 gene:SETIT_003417mg transcript:KQL07662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTQAKSGLFVGINKGHIVTKRELPLRPSDRKGKATKRVTFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVVRKMRSGGGAADKKK >KQL07663 pep chromosome:Setaria_italica_v2.0:V:41076311:41078810:-1 gene:SETIT_003417mg transcript:KQL07663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTQAKSGLFVGINKGHIVTKRELPLRPSDRKGKATKRVTFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMANVVRKMRSGGGAADKKK >KQL08206 pep chromosome:Setaria_italica_v2.0:V:44183891:44188524:-1 gene:SETIT_000815mg transcript:KQL08206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALADATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLASVALQILRERRNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQIFRNGCEGLEERGLFMRADSDTMRKRKDVDKDHADQDSSDGATVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGATRQDFSHKGPPDNLNLRNSFQEQPSNGANGFQHTSQKIQAQSNMLDPHLEDTKIVVPLKVSDKNGTPVSDVIDPQNVPSASPLGGVLSFERMPLNQDRKPSETMILECQSWSGNVPPKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPITPGNLYVPPPLVSMSCSMEGDVRDFSDVKRDLLGCMKSLSPALTCTVDSVSAQLSDSVVTSTNNDPKFSSVEGLSSSKECLFDPARNQTTLLNSEEASIICGTDLACLPDDLSGYQLQGIGLNNIDLFQCNDAMMLHGLQNNWRRESLQRLLIVRSFADLT >KQL08208 pep chromosome:Setaria_italica_v2.0:V:44184220:44188524:-1 gene:SETIT_000815mg transcript:KQL08208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALADATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLASVALQILRERRNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQIFRNGCEGLEERGLFMRADSDTMRKRKDVDKDHADQDSSDGATVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGATRQDFSHKGPPDNLNLRNSFQEQPSNGANGFQHTSQKIQAQSNMLDPHLEDTKIVVPLKVSDKNGTPVSDVIDPQNVPSASPLGGVLSFERMPLNQDRKPSETMILECQSWSGNVPPKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPITPGNLYVPPPLVSMSCSMEGDVRDFSDVKRDLLGCMKSLSPALTCTVDSVSAQLSDSVVTSTNNDPKFSSVEGLSSSKECLFDPARNQTTLLNSEEASIICGTDLACLPDDLSGYQLQGIGLNNIDLFQCNDAMMLHGLQNNWYDDPELSSEIMEFPLLDECLFA >KQL08207 pep chromosome:Setaria_italica_v2.0:V:44184446:44188524:-1 gene:SETIT_000815mg transcript:KQL08207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALADATAFPYGLRVLVVDDDPTWLKILEKMLRKCSYEVTTCGLASVALQILRERRNKFDIVISDVNMPDMDGFKLLEHIGLEMDLPVIMMSIDGETSRVMKGVQHGACDYLLKPVRMKELRNIWQHVYRKKMHEVKEIEGNDSCDDLQIFRNGCEGLEERGLFMRADSDTMRKRKDVDKDHADQDSSDGATVKKARVVWSVDLHQKFVNAVNQIGFDKVGPKKILDLMNVPGLTRENVASHLQKYRLYLSRLQKQNEERILGATRQDFSHKGPPDNLNLRNSFQEQPSNGANGFQHTSQKIQAQSNMLDPHLEDTKIVVPLKVSDKNGTPVSDVIDPQNVPSASPLGGVLSFERMPLNQDRKPSETMILECQSWSGNVPPKQFMQYPKHNHERCDLLGDYSCLPKPDLEHPITPGNLYVPPPLVSMSCSMEGDVRDFSDVKRDLLGCMKSLSPALTCTVDSVSAQLSDSVVTSTNNDPKFSSVEGLSSSKECLFDPARNQTTLLNSEEASIICGTDLACLPDDLSGYQLQGIGLNNIDLFQCNDAMMLHGLQNNWYDDPELSSEIMEFPLLDECLFA >KQL08395 pep chromosome:Setaria_italica_v2.0:V:45197608:45199134:-1 gene:SETIT_002284mg transcript:KQL08395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRPLRDHSIHIDLQVAREFSYNELSAATSNFSRDRKLGAGSFGEVYRGELQDPRMPPVVAVKKLTRLMEQTSHCQDFVTEVNTLSQLSHRNLVKLLGWCSGGELLLVYELVTNGSLEEHLHGSERLLTWPERYKIVLCVGAAIDYLHNGYRNRILHRDIKPSNVMLDDAFDAKLGDFGLVRQLVDPEQGSLGGTGMIGTRVYMDPICITSHTVSTASDMYSFGVLLLLEIAAGMKPDLVQGAARGDLSNTLVEAVRESYARGAVLDMADKRLKGNFNSSQMERVLAVGRLCVELERQHRPNIKRAVNLLSDLSLPLL >KQL06924 pep chromosome:Setaria_italica_v2.0:V:36425260:36428036:1 gene:SETIT_004381mg transcript:KQL06924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDIGRGGLGETTTLDMNGSKFNGTIPASLTGLWSLQYLDVSGNRFTGTIPALLSGLRNLQLLGLNDNLFTGTIPADLGELASLHMLYLANNQFDAGQLPSSFKNLTNLVSLWASQCNLVGEFPNFLWSLKELQLSNLYTNNLTGNLVVDGLVARNLTVIDVSENKITGVIPSIPASIGRLPSLTRLRLHTNMLNGTLPPELGKQSPGLNYVEVDYNELTAEIPEGLCTGDNLQHLTAKSNQLNGSIPAGLANCATLGTLSLDNNQLSGDALPATVHLNLSTILIGNNQFGGNIPAALAALHVFTAENNRFSGAIPASLGDGMPLLQRLNLSGNQLSDGIPRSVAKLSQLTEMDLSRNQLTGGIPVELGAMPVLSVLNLSSNKLSGNTGQVPAGFATAVYDTSFLNNPGLCTAAWGPGYLTGVRSCAGGSQDGGSSGGKRRRVAEQDNWKITPFVKDLGFGEAPILRGLTEENLVGRGGSGRVYRVAYTNRLNGRAGAVAVKQIRTAGKLDQKLEREFASEAGIIGNLRHNNIVKLLCCRSSAESKLLVWLHGDALVAGGRPMARARSARREPLDWPARLRVAVDAAQGLCYMHHEYEPPIVHRDVKTSNILLDSEFRAKIADFGLATGCCCRPGRRKRCPPSLARSATWLLCAYTKKVNEKVDSFGVILLELTTGKEANNGGEHGCLADWARRHYQSGGSIADATDKSIRYDGYSDEIQVVFRLGVLCTADMPSEQTYHKGKTERGLLYEVALLPKRGIRRKQLSNGSGIDIEEKMTALSEERN >KQL07858 pep chromosome:Setaria_italica_v2.0:V:42197920:42198275:-1 gene:SETIT_004426mg transcript:KQL07858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYEKQQPQTQQPHLNAGYYGPAIPPPQPAYYPQPPPPRRGGGPGCLLCFLFKVVALVVIALGAATLVLWLIFRPDAPKAYADSAALSRFDLGAGNGNGGDLLQYNLTVAIRLRNPN >KQL03933 pep chromosome:Setaria_italica_v2.0:V:5425494:5426100:-1 gene:SETIT_004253mg transcript:KQL03933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIVIKADLVGNACMSDILSVVATLQGIKSMDIDADKCTLTVVGTADPVRIAQRLKKKCFAATIVSVADDKPKPPEPKKDTCKEVCEKLCKERCDKLSCCKECKDKCEKACKEGCESRCKAWLEGCSCSCSRCSPSTGFCYTPGYPYCGCGRGRGCGSWPGPFGC >KQL08666 pep chromosome:Setaria_italica_v2.0:V:46564736:46565974:1 gene:SETIT_003108mg transcript:KQL08666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDNAEAKQVGDQSQSSQKGKNAEPESPKLSDTKKLIEFMESHYDEFVARVQTFDEFYHAIFELIEMFCEERGQLQYRIPEKRILEDAYKKHHRSEGELKKDEFVEISKEVLKMESFTFGKATMEFAMFLFGAPACAFLAKRILPGLGWLSDDIVIPLATSGSVAYLIKSKRL >KQL06417 pep chromosome:Setaria_italica_v2.0:V:33223314:33225551:-1 gene:SETIT_003514mg transcript:KQL06417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNHCQEHDPALEGAD >KQL06416 pep chromosome:Setaria_italica_v2.0:V:33223523:33225476:-1 gene:SETIT_003514mg transcript:KQL06416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >KQL06277 pep chromosome:Setaria_italica_v2.0:V:32334243:32339614:-1 gene:SETIT_001116mg transcript:KQL06277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSVALLLLATVLLQALLAPPAEGLVRVPLKKRPADENGRLHDERRRGFLGNDDAAAASKKAEAEEEGDIVALKNYLNAQYYGEIGIGTPQQKFTVIFDTGSSNLWVPSSKCYLSMACYFHARYKAGQSNSYKKNGKPASIRYGTGAISGYFSLDSVKIGDVVVRNQDFIEATREPGITFMVAKFDGILGLGFKEISVGAAVPVWYNMVKQGLVDDPVFSFWFNRHAGEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQFGMGDVLIGGKSTGFCASGCAAIADSGTSLLTGPTAIITLINEKIGAAGVVSQECKAVVSQYGQQIIDQLLAETQPSKICSSVGLCTFDGAHGVSAGIRSVVDDEAGESNGLFNEAMCNACETAVVWIRSQLAQNQTQDLVLQYINQLCEKLPSPMGESSVDCSRVASMPDIAFTIGGRKFALKPEQYILKVGEGTAAQCISGFTAMDIPPPRGPLWILGDIFMGAYHTVFDYGNLKVGFAEAA >KQL06278 pep chromosome:Setaria_italica_v2.0:V:32334718:32339140:-1 gene:SETIT_001116mg transcript:KQL06278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSVALLLLATVLLQALLAPPAEGLVRVPLKKRPADENGRLHDERRRGFLGNDDAAAASKKAEAEEEGDIVALKNYLNAQYYGEIGIGTPQQKFTVIFDTGSSNLWVPSSKCYLSMACYFHARYKAGQSNSYKKNGKPASIRYGTGAISGYFSLDSVKIGDVVVRNQDFIEATREPGITFMVAKFDGILGLGFKEISVGAAVPVWYNMVKQGLVDDPVFSFWFNRHAGEGQGGEIVFGGIDPNHYKGNHTYVPVTRKGYWQFGMGDVLIGGKSTGFCASGCAAIADSGTSLLTGPTAIITLINEKIGAAGVVSQECKAVVSQYGQQIIDQLLAETQPSKICSSVGLCTFDGAHGVSAGIRSVVDDEAGESNGLFNEAMCNACETAVVWIRSQLAQNQTQDLVLQYINQLCEKLPSPMGESSVDCSRVASMPDIAFTIGGRKFALKPEQYILKVGEGTAAQCISGFTAMDIPPPRGPL >KQL04563 pep chromosome:Setaria_italica_v2.0:V:9895529:9896106:1 gene:SETIT_005394mg transcript:KQL04563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVNISSKNSMSKHPFFDHPTVSQKNGQITVVKQHPERNGGGH >KQL07881 pep chromosome:Setaria_italica_v2.0:V:42317830:42322919:1 gene:SETIT_001497mg transcript:KQL07881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGEVWEGAGVGGGVRRRKGGVESAGAGASSSFAEGNGEFVLSSMDARFSGSADDDGLFVSAASSRQPAFGHSKSTAASSGTYKGQEYAFVRSYSDRLLKCDLTLDMLSENEKIKIFEKLVKFQNDGTVEVDVTRSALVTSELSEIDAFGYVPRGIEEVTPGITKSVPKLKIAILVVGTRGDVQPFIALAKRLQEFGHYVRLATHVNFRTFVKSAGIDFYPLGGDPRIMAQYMTKNKGFCLAAPTEIYAQRKQLKEIIFSVLPACTEPDLDTGTPFRAQAIIANPPAYGHLHIAEALGIPLHIFFTFPWTQAN >KQL07882 pep chromosome:Setaria_italica_v2.0:V:42317830:42324662:1 gene:SETIT_001497mg transcript:KQL07882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGEVWEGAGVGGGVRRRKGGVESAGAGASSSFAEGNGEFVLSSMDARFSGSADDDGLFVSAASSRQPAFGHSKSTAASSGTYKGQEYAFVRSYSDRLLKCDLTLDMLSENEKIKIFEKLVKFQNDGTVEVDVTRSALVTSELSEIDAFGYVPRGIEEVTPGITKSVPKLKIAILVVGTRGDVQPFIALAKRLQEFGHYVRLATHVNFRTFVKSAGIDFYPLGGDPRIMAQYMTKNKGFCLAAPTEIYAQRKQLKEIIFSVLPACTEPDLDTGTPFRAQAIIANPPAYGHLHIAEALGIPLHIFFTFPWTPTDEFPHPLARMPQSATYRLSYLILDLIIWWGTRGFINDFRKKLNLPPIAYFSTYHGSISHLPTGYMWSPQLMPKPKDWGPLVDVVGYCFLNLGTKYQPPLELSQWLEQGPKPIYIGFGSMVCTL >KQL03914 pep chromosome:Setaria_italica_v2.0:V:5320939:5322230:1 gene:SETIT_003818mg transcript:KQL03914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLTFAVRRRDPVLVGPAGPTPRETKRLSNIDDMEVLRRHMPSVCFYRGGSRVDDDPAAVIRRAFGEALVPYYPLAGRLREVEGRRLVVDCTGEGVLFVEVDADVRLAELEAATGLRPPFPCMDHQATPLLLVQVTRLLCGGFVVAFRINHVMCDAAGVSQFVSAILEARSQPKARDYDAVPPPPSFSNMVQQTFTFSPADVAALKKRLPPHLRDKAMAFDVLMAAVWRARTAALELPPGKDVGLALMANFRGLSELGIPAGYYGNACIALMAQTTAGALRGGSLGDEVALVREAKAAMTAEHVRPRSALADDYFVSDTRHAFQRADFGWDEPVYAGPVATHPLVRASFLLTVRNADGEEGLAVTMVLPQLVMDRFASQIEMLLTSLVAPAVRGTKARL >KQL06164 pep chromosome:Setaria_italica_v2.0:V:31162595:31164134:-1 gene:SETIT_002203mg transcript:KQL06164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIMSEEKCALVSELVRVLEMVRQLEEHMAGQQQQGGVGGAAAGGDLRCRALVCTMRDSIDRAVHMAMSSCADGRGGQPESPPSGGDGSPRSAGSDQGGDFRGRGNAAGQCKKRKTLPKRSTQVRVSAVHVTPLDDGLSWRKYGQKDILGAKYPRAYFRCTHRHTQSCHASKQVQRTDGDPLLFDVMYHGSHTCAQAQGAGAHPSGNQGARPAAASGEHSQARAQPTAAAEQTASPSPGLEAAGPVLPFSLPSNVPARGADDAGGVGVRVTASPFVSPATPESLVRDAPHHDVELASTSNSPMGMAEMDFMFPLDATDFLENPASYF >KQL04247 pep chromosome:Setaria_italica_v2.0:V:7604062:7606029:1 gene:SETIT_002186mg transcript:KQL04247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLNHQQMLIKKALAKKPKTKRISGFGLKPSAALLKARPQMQPPAPVQPRRRVRVLFEDPDATDSDSDDEEAGAPTGPVKSKRFSFEMFVGKAPPKPVLPAATVAASTSGGSPESYRGVRLRKWGKWAAEIRNPFTGKRQWLGTFDTAGAASAAYLSASRSFADEKRRRRGQPVPASSPASSASATPTASSSSSTSAAPFAHPSPSSVLEATKPALKAESPEPVATPILPSTEAAQLPDDPEFYQDLLRGLQLPDIDPMDFRAGLDALDVSDAPFCLDDDQDLLFGDFADEELDEIDLDLDDINDVFPEIPGCDLGRGMDDFLQTVDFCV >KQL05199 pep chromosome:Setaria_italica_v2.0:V:17391338:17392539:-1 gene:SETIT_004833mg transcript:KQL05199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKVYGPAASTNVARVLVCLEEVGAEYELVPVDMPSGEHKSPEHVARNPFGQVPAFQDGDLILSESRAISKYILRKAGSDLLRESNLSESAMVDVWLEVENGHFSSAMSPIIFQTLIVPNFLGGKTDTKIVEENVRKLKTALEVYESRLSKFKYLAGDFVSLADISHFPAAYYLLGGPHASVLDAYPHVKAWIAEVMDRPSAKKVAELMKMPSA >KQL05951 pep chromosome:Setaria_italica_v2.0:V:29484686:29488252:-1 gene:SETIT_004913mg transcript:KQL05951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWRLCLFLLLVLQSCCRSALSASPYLVGMGSYDITGPAADVNMMGYASAEQIAAGIHFRLKARAFIVAEPDGKRVAFVNLDACMASQLVTIKALERLKARYGGLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVNGIEQSIVEAHNNLRPGKIYVNKGDLLDAGVNRSPSAYLNNPADERSKYQYNVDKEMTLIKFLDNELGPLGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEENVSPNQAAHVSSGNPRRVSALVSEPNEITDDLIRLASSYKASGGREIPSSSITRRIRSTQQKKPKFVSAFCQSNCGDVSPNVLGAFCIDTGLPCDFNHSTCNGMNELCYGRGPGYPDEFESTRIIGNRQFLKAVDLFNSASEEIQGKVDYRHTYLDFSQLEVNVPTSTGDQQSVKTCPAAMGFAFAAGTTDGPGAFDFKQGDTKGNAFWRLVRDVIRPPGPEQVKCQAPKPILLDTGEMKVPYDWAPAILPIQIIRIGQLVILCVPGEFTTMAGRRLRDAVKNVLTKSGQFHNNIHVVLAGLTNTYSQYITTFEEYQMQRYEGASTLYGPHTLSAYIQEFQRLATAMVSNEEVPTNLQPPDMLNRQIELLPGVIVDEAPPGVTFGDVSSDVPANSTFRKGSVVNATFYSACPRNDLLTDGTFALVEKLDGSNDWVPVYDDDDWSLRFKWSRHLPLSPISFATLVWTIPEDAPSGVYRFRHFGASKPLIGSVKYFTGTSSAFVVR >KQL06974 pep chromosome:Setaria_italica_v2.0:V:36816851:36820917:-1 gene:SETIT_001285mg transcript:KQL06974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKPPTPASGPLTPRFRLGKQSSLAPECGGEGGAGTGAGSAEGSAEANGVMSFQLMYLAHEGNAEGIRELLDGGADPNFRDSDGRTALHIAACEGHADVVELLLQRGAEAAVEDQWGSTPLADAMHYQNHDVIKILEKHGSKYKIAPMHVNNVREVPEYEIDPSELDFSNGNDLSKGTFRKATWRGIPVAVKKLDDDLLVDENKVRAFRDELDVLQLIRHPNVVQFLGAVTQSNPMMIVMEFMHKGDLRTHLNKKGALPPSYAVKLALDIARGMSYLHEHKPQAIIHRDLEPSNILRDDTGHLKVADFDLCKMLKWRRKVREEKAVTSPGNACRYVAPEVLRNEEYDTKVDVFSFALILQEMIEGCLPYYDKRNDEIEKAHNSKERPPFRAPPKHYAHGLRELIEQCWSENPAHRPDFREIINRLSAIQNEIAQRNRWKVRPLRCFLSFEGMWKKDRNEGSTTRSSRSSRSNF >KQL03584 pep chromosome:Setaria_italica_v2.0:V:3297069:3297353:-1 gene:SETIT_005320mg transcript:KQL03584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVCVPEDLSALVLSGSQLHTIMEKPMCRKSLIILTMHPFNWRF >KQL08694 pep chromosome:Setaria_italica_v2.0:V:46725849:46726187:1 gene:SETIT_005445mg transcript:KQL08694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPAGRNVKPYRSRCRRLYYWRIPVHVDRICRGC >KQL04898 pep chromosome:Setaria_italica_v2.0:V:12900960:12901438:1 gene:SETIT_005500mg transcript:KQL04898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEIDRILDIHRPKEAWFYNNFLDHNHPLSRFSKNA >KQL04777 pep chromosome:Setaria_italica_v2.0:V:11687298:11692722:-1 gene:SETIT_000645mg transcript:KQL04777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSARTAVASAASPASPWKAVGGSKGGSCDGCRTYRESVRRRAATVRVHAAEQRRVQAVAVGTAAETQEGVAAADGGVVDPYAEDEELPVMPWAYSVASGYTLLRDPHHNKGLAFTEKERDAHYLRGLLPPAVVSQEVQIKKFMHNLRQYQLPLQRYMAMMDLQERNERLFYRLLIDNVEELLPFVYTPTVGEACQKYGSIFRQPQGLYVSLRDKGRVLEVLRNWPQRDIQVICVTDGGRILGLGDLGAQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEELLNDEFYIGLRQKRATGKEYHELIEEFMSAVVQIYGEKVLIQFEDFANHNAFDLLEKYSKSHLVFNDDIQGTASVVLAGLLASLKVVGGTLAEHTYLFLGAGEAGTGIAELIALQISKQTKAPIEECRQKVWLVDSKGLIVSSRKESLPSFKQPWAHEHEPVSTLFDAVQSIKPTVLIGTSGVGRAFTKEVVEAMASFNERPVIFSLSNPTSHSECTAEQAYNWTKGRAVFASGSPFNPVEYDGKTFVPGQANNAYIFPGLGLGLIMAGATRVHEDMLLAASEALADQANQENFEKGSIFPPFSSIRKISAHIAAAVAAKAYELGLATRLPAPRDLVQYAETCMYNPIYRNYR >KQL04776 pep chromosome:Setaria_italica_v2.0:V:11687298:11691250:-1 gene:SETIT_000645mg transcript:KQL04776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNAGWKTITLSNKYIFVRLVQKYADVSYYYNSGYTLLRDPHHNKGLAFTEKERDAHYLRGLLPPAVVSQEVQIKKFMHNLRQYQLPLQRYMAMMDLQERNERLFYRLLIDNVEELLPFVYTPTVGEACQKYGSIFRQPQGLYVSLRDKGRVLEVLRNWPQRDIQVICVTDGGRILGLGDLGAQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEELLNDEFYIGLRQKRATGKEYHELIEEFMSAVVQIYGEKVLIQFEDFANHNAFDLLEKYSKSHLVFNDDIQGTASVVLAGLLASLKVVGGTLAEHTYLFLGAGEAGTGIAELIALQISKQTKAPIEECRQKVWLVDSKGLIVSSRKESLPSFKQPWAHEHEPVSTLFDAVQSIKPTVLIGTSGVGRAFTKEVVEAMASFNERPVIFSLSNPTSHSECTAEQAYNWTKGRAVFASGSPFNPVEYDGKTFVPGQANNAYIFPGLGLGLIMAGATRVHEDMLLAASEALADQANQENFEKGSIFPPFSSIRKISAHIAAAVAAKAYELGLATRLPAPRDLVQYAETCMYNPIYRNYR >KQL05227 pep chromosome:Setaria_italica_v2.0:V:18373972:18375320:-1 gene:SETIT_0020391mg transcript:KQL05227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEMTSFGCEYKRRRWYVRYVGESNGVGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPMSAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRRWVPPPPNPPRMTEEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKYTPFFRCSLKTHDGWPLCDFNKYIYGPIAMWPTEEQVREFESGKAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYW >KQL05604 pep chromosome:Setaria_italica_v2.0:V:26305738:26307594:-1 gene:SETIT_004217mg transcript:KQL05604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSSPSLRRLLFSRRHPFATHHHRCRHSLSASAAATPAPSRDRAARLAAAVHGAAAAKNFAHAIRLTKSLVQASSSPGQSRGSTAPTAGGAAFAALASTSSTPAPALGVLVIALCQMRLLDEALSVFRRLRTLPELPACNAILDGLVKARRFGCAWELFDEMHSRGMVPSVVTYNTLINACRHQGAVAKAQEVWDQMVARRIDPNVVTYTTMICALCEEGCIGDAEQRFDAMKESGMQPNLYTYNVLMSSHCQRHDVNRAFALYQELLKSGLVPNAVIFTTLIDGFCKVKRFSEAKEMFLGMPRFGVAPTVPVYNSLMDGAFKSGNAREALAVYQEMTHLGLCPDEFTCSIVVRGLCDGGQIEVAARFLEGVRQSGANLNAAAYNALIDEYCKNGNLEEALATCTRMTEVGIEPNVVSYSSLIDGHSEVGNMQIAMAIYTEMVAKGIEPNVVTYTALIHGHAKNGGIDAAFRLHKEMLEKGISPNAITVSVLVDGLCRENRVQDAVRFVMEYSGMKYNDLHSFFSNSTTEEDHLIPNGVIYMTLIYGLYIDSQHYEAGKLFSYMRKSGMVPDSFTYTLLIRGQCMLGYVLNAMMLYADMMKIGVKPMRHKIVCPEIW >KQL06602 pep chromosome:Setaria_italica_v2.0:V:34554659:34557362:-1 gene:SETIT_000276mg transcript:KQL06602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFIRSLFDFVFGPFTELFSRTFQYVLSCESFIRSMSREMKELGSRRDDVERRVSAAVEQGMKPTSQLQWWMESVAVLERDAAMIQDDFRSRPSSWSLWFAYQISKRADEMRSLARSLKSKGDFETVVSQVCSNLFMEMPSNECIGREPILEELYALMQDDSVSMVGIHGMAGVGKSTLLHAFHNGVISRMTRLDIIVCAEMRDDDGCDYEEELQISVMNQLRLPLQEKATKKDRAAVLFSTLSKKKFLLIVDNLRAPINLGAVGLPCSSAALRRSNSKIVLATRNEEVCDRMDVRKKMRVECLPWESSWALFQGRAAGAELVLSSSEVRANAEELAKNLASKRTVQEWKHATMALSTRPWQVAGMVDDVLSPLKSSYDRFCDDDLKTCLLYCAMFPPGFSISKTWVIDYCIGEEAIDGFSNPEEAYNRGYSLLGNLEAASLLERGDDADKIRVHPVVRLLIAWLVSACGRETSRWLVRPGAGLAEAPDSEGWKRARRMSLMHNQISVLPSAPSCPDLLTLLLCENRKLAEVPDTFFQCMPRLRVLDLSNTAIERLPVGISALSDLQHLDVSHTNLVALPQEVGTLGELRFLQLSWTPRLRVVPSGTISSLLQLRALHMYSSYADWEAAGSANFDELESLWQLRSLDLTLQTVEALRRLATSAQLGASTRRLHLSRCQGLGGIRLPAGALWANMNALQWLRISNCANLEDVTISVDCSSRRASLLSLQELVLHRLPKVKIMWRGDLPFLSLRRLYVWSCTGIEALVVRDGPAASDATAPAAFPNVTHVQLVNLPELKSLVAGGGASLALPALEVLEVRRCPKLGSLSVAKPKAAAAVRCAAEWWAGLDWVDDAAKTSFKPVFI >KQL07568 pep chromosome:Setaria_italica_v2.0:V:40561955:40562668:1 gene:SETIT_0040992mg transcript:KQL07568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAQLRWRLASCGEFGSALDPSTDFSSAHEYATRQAGPPPERLSPSKVPSLSRSSPTHNSSGGPSYPRRTRRSQQQHTTSTPSRPSSVPLCHRPSSIVPHLARIQSRVYLRQTSPQIAKSYSHPPATQHQLLPPLPCPNPHRLPPLLLREGRHPGGRPATLRKSHAPPNLFPHPVAFAFSAVGRSPRRAIKEGVADEAGMMSSRSSGGRDAEGEWEVRPGGMLVQRRDGEAAGPAIRIR >KQL06438 pep chromosome:Setaria_italica_v2.0:V:33395621:33402603:1 gene:SETIT_001134mg transcript:KQL06438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVLEATRAAHEDLERLERLAVRELQRDPANARDRLFQSHRVRHMLDLVVSTSDKLVEIYEDNDNARKDEINTHLTAPTQSLLFSKYYERLKEIRDYHRRNPSARFVSTTDDYEELLKEEPVIEFTGEEAFGRYLDLHELYNEFINSKFGAPMEYSAYVGSFSQMEKISQSHKATRQYREYLEHILEYLTSFLYRTEPLQDIEKIFAKLESEFEERWANEEVPGWGNKSTEESEIDLDYYSTVEELVELGPEKLKHALAARGLKSGGTVQQRAERLFLLKHTPLEQLDRKHFAKVPRTKDVSDASSNGNHFKDDLKKEIALMEVKMRRLCELLDEVFVRTKENAEKKLTLTYEEMEAEREEEEVQADTESDDDEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEARGLWEKIQARQGLNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >KQL03526 pep chromosome:Setaria_italica_v2.0:V:2956018:2956412:1 gene:SETIT_003712mg transcript:KQL03526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLKFSIELQFPPCHNVAIYKIIINQLLQQIASSLIKRVNTILAMAPLSLS >KQL08419 pep chromosome:Setaria_italica_v2.0:V:45333167:45333904:1 gene:SETIT_005214mg transcript:KQL08419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHILRIQHIIPINLNKIVQIFLIFLHKCLADIRRIFS >KQL06202 pep chromosome:Setaria_italica_v2.0:V:31624813:31627925:-1 gene:SETIT_004385mg transcript:KQL06202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHEPWLSYPACAFLSLGVYVHGSHGTPLLPPTYDGSMCTTSFACGGVKIHYPFYLSNTTQVTADYTSYTCGYTDLNVSCGRGEGEAWTPTIRLGGDGYTVKNIFYNNQTIILADSDVLGGGGCPSVRHNVSVGQGWQRNTSSYDNLTFFYGCYAAPRESDPLPRGFDTDKYQINCSGFSDPSGGGVSFVFTCEELNRSLDRSDLAGHCSEIVIAPVRSQSLMASSQSMLMTKGGYGEVLKQGFELGWGGIRTDTCHACEQSGGRCSYSQNKDFLGCLCSDGMVGIQDCTSSGARPSTASASNIVASTSCLLLLCLIVLAFFLAYKYGLLPFRMKDEPRIQIFLQNNENLHPRRYSYAEVKKKMTKSFSANGRQVPVTMLKDTKGDGEEFMNEVASISRTSHVNVVTLLGFCLQGSKRALIYEYMSNGSLERYAFNSNINNENALSWEKLFDIAIGIARGLEYLHQGCSTRIVHFDIKPHNILLDQGLCRKISDFGLAKLCLNKDSAISIVGARGTIDYIAPEVFSKQFGTVSSKSDVYSYGMMVLEMVGARDRNISANTESSSQYFPQWLYEHLDEYCISGSEINGETTELVRKMIVVGLWCIQVIPTDRPTMTRVVEMLEGSTSSLELPPKVSLN >KQL03482 pep chromosome:Setaria_italica_v2.0:V:2614321:2615391:1 gene:SETIT_003106mg transcript:KQL03482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLDTRTLKDELTNMDRRPLLDLGHPLLNRVADSFIRAAGVGAARAVSREAYFVTVEGLSGDSAGLDPNGGKRSHFSSIRGDDSQKSLDAVVKTAGKEAFQWGLAAGVYSGLTYGLREARGCHDWKNSAIAGAIAGAAVALTGDAGGHSDKLVHFAITGAALSSAASLLSGVF >KQL04781 pep chromosome:Setaria_italica_v2.0:V:11730953:11731869:1 gene:SETIT_002618mg transcript:KQL04781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGRLGETADSGLELSLGLPAYFAKTSSGLDAGEDPGDAAAFALQATKGSDGSKARVRPAAAAPVVGWPPVRSFRRNLASTRQSPQSSSAHHQDGGVKGGRGAKGGGAGEGGHKGGGLFVKINMDGVPIGRKVDLTAYGGYAELSAAVGKLFRGLLAAQRDPPAAAVGRRCGEEAAGEEAEEPVIGGEYTLVYEDEEGDRVLVGDVPWE >KQL04782 pep chromosome:Setaria_italica_v2.0:V:11730125:11732588:1 gene:SETIT_002618mg transcript:KQL04782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRGRLGETADSGLELSLGLPAYFAKTSSGLDAGEDPGDAAAFALQATKGSDGSKARVRPAAAAPVVGWPPVRSFRRNLASTRQSPQSSSAHHQDGGVKGGRGAKGGGAGEGGHKGGGLFVKINMDGVPIGRKVDLTAYGGYAELSAAVGKLFRGLLAAQRDPPAAAVGRRCGEEAAGEEAEEPVIGGEYTLVYEDEEGDRVLVGDVPWEMFVATAKRLRVLKSSDLPASSLRAGGGRKRAAADCRTAEPCSAPRIT >KQL08237 pep chromosome:Setaria_italica_v2.0:V:44343489:44348357:-1 gene:SETIT_001344mg transcript:KQL08237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPSRSSPRDGSGHGRYGHSRSFPQPSGAPPQYGGYYGQDPNAGYYGAPAPQQGGGYAAPSAAPAYHTPAAAPAPQAAKPRQLDRRYSRIADDYNSVDQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGRSLHHISSTPNPYEQAISIIGQTMSKFDEDNLIPCFGFGDASTHDQDVFCFYPDERPCNGFSEALHRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVKASELPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFSEIMSKNMPQPRKEAAFALSALMEIPQQYKATVELGILGRRSFKSHDRVALPPPAGIDDAYSYSSNKSFSKPTTYPQSSSSASPYPHYENPHSATPVAPTSTYDNQVCPICLVNPKDMAFGCGHQVNLSPFLC >KQL08238 pep chromosome:Setaria_italica_v2.0:V:44343489:44348357:-1 gene:SETIT_001344mg transcript:KQL08238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPSRSSPRDGSGHGRYGHSRSFPQPSGAPPQYGGYYGQDPNAGYYGAPAPQQGGGYAAPSAAPAYHTPAAAPAPQAAKPRQLDRRYSRIADDYNSVDQVTDALAQAGLESSNLIVGIDFTKSNEWTGKFSFHGRSLHHISSTPNPYEQAISIIGQTMSKFDEDNLIPCFGFGDASTHDQDVFCFYPDERPCNGFSEALHRYREIVPHLRLAGPTSFAPIIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTASGQLSSQEQKTVDAIVKASELPLSIVLVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFSEIMSKNMPQPRKEAAFALSALMEIPQQYKATVELGILGRRSFKSHDRVALPPPAGIDDAYSYSSNKSFSKPTTYPQSSSSASPYPHYENPHSATPVAPTSTYDNQVCPICLVNPKDMAFGCGHQTCCDCGQSLGSCPICRTPITTRIKLY >KQL03619 pep chromosome:Setaria_italica_v2.0:V:3442820:3445604:1 gene:SETIT_001599mg transcript:KQL03619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQILLGKHGNKIQLDSETRLFLYQMVGTWGQLLFPKPWKEFRLWYDEHKAKGLKPILQGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNIYTNFLKERALSVSHRDAGVNYGKSVGPDSTLLDGKNLDFNLWELQPLKKLKWYDFCFDEVRPGRVVRKFSELVSVLKSVQLKSTVVLVSLYSIEERFARNLICHLDKADMQNYIFLGDKSEFLDDIAHRGYPVIDGIGFLQSIKMSSFMGSDYFAKETLVKSYVIKACLDLGYNLWLQNGNMISLGGKLIEPSDQSVDFFSADVGLMFIRSSVESKKAWNEHTISRVKAMCTSSDFSASLDQKNFVPILTEVLANGAGAKLGKLGEEMRVIELGPNTSNKSTSEGQSNVLFWSHSMASDSVQKQLEKMDLWLIDSDSSCSAVVCHQKH >KQL03618 pep chromosome:Setaria_italica_v2.0:V:3442820:3445252:1 gene:SETIT_001599mg transcript:KQL03618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQILLGKHGNKIQLDSETRLFLYQMVGTWGQLLFPKPWKEFRLWYDEHKAKGLKPILQGMKTTGWYKKMGERIWTPWFIKFVHSRGYFNIYTNFLKERALSVSHRDAGVNYGKSVGPDSTLLDGKNLDFNLWELQPLKKLKWYDFCFDEVRPGRVVRKFSELVSVLKSVQLKSTVVLVSLYSIEERFARNLICHLDKADMQNYIFLGDKSEFLDDIAHRGYPVIDGIGFLQSIKMSSFMGSDYFAKETLVKSYVIKACLDLGYNLWLQNGNMISLGGKLIEPSDQSVDFFSADVGLMFIRSSVESKKAWNEHTISRVKAMCTSSDFSASLDQKNFVPILTEVLANGAGAKLGKLGEEMRVIELGPNTSNKSTSEGQSNVLFWSHSMASDSVQKQLEKMDLWLIDSDSSCSAVVCHQKH >KQL06014 pep chromosome:Setaria_italica_v2.0:V:30110979:30111743:-1 gene:SETIT_004804mg transcript:KQL06014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSDARAAVPRVAWRVVRAVEFLFLAAFTIYYGFLNLPRAAAAASSFLRVAASFAVQRYIFVVANAIVIVLFALFLRDDDALSSSAAALFSRWWPSDGDAQDRYLPSPDAPLMLPPPATDTEAGELEEEKPVFVDKKAVHVTTVRAQAPRRSRSEKTAGGGRASCRRRAAAPELRRVESENGRQRQRKRAEPEVSPGIDDEEAFRRYIDAYISKQQARFQCEESAAAAAASGKGGACGRPSRGGGEVISGCVA >KQL04670 pep chromosome:Setaria_italica_v2.0:V:10676223:10677167:-1 gene:SETIT_005439mg transcript:KQL04670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSRNWPCMSPRTLMGASSSRRFGCRRNTALAPAQSCQISPSASSCCSESPAPPEEASVEEVVLLRRMLHAASREMQIGVRIDRIGAVERGAGVGRRKGAVGDQIRREDDKVVPDA >KQL03307 pep chromosome:Setaria_italica_v2.0:V:835136:837493:1 gene:SETIT_000594mg transcript:KQL03307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDESAAPQRSAHVHPDAVAVHIPSSLSAEEPASRERHGDMIAAMAAAPSPGYSPFEAPVLAAARPVSISLPASPTGFGASRTEAELHRHAVTDAPPRMVLPPRAPAAVALAQPDKVVFRSQPIAAAPLGAKAAEGHGDPSRSAPHAGRGKPPRRDTSYDSFKTWSGKLEKQITTHLLGGRAPPQQQEEEEPEEDDTATRSRRNSSSMPEVQRFFAALEGPELDKLRVLLLTTCLVAANVVVTTRRVVSSSSSLMVLTWLLLADRRVDVAVVAWQSSEELILPSDKTWPFLLRFPVSAFGVCMGMSSQAILWKRIAISASTRFLHITAKVNLVLWCVSVALMAAVWALYACKVVFYFEAVRREYYHPIRVNFFFAPWIACLFLAIGVPDAVAASLPHWLWYVLMAPIVCLELKIYGQWISGGQRRLSRVANPSNHLSIVGNFVGALLGGIMGLKEGPMFFFAVGLAHYTVLFVTLYQRLPTSETLPRDLHPVFFLFVAAPSVACLAWARITGEFGYGSRVAYFIAMFLYASLAVRINLFRGFSFSLAWWAYTFPMTSAAIASIRYASEVKNAFTQCMCIGLTAAATLTVTALFLTTLLHAVVHRDLFPNDISIAITERRRKPFFAKELMRSRKQAAALDTTPSDAADLEAARAATTSYT >KQL06009 pep chromosome:Setaria_italica_v2.0:V:30069230:30070819:1 gene:SETIT_002346mg transcript:KQL06009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHKPFKRLGLIFVLVAVCGGTEQRRAEAADRATPHRMLTTVSVSKPSYPTVTTPMSASADPGWAPGSPSSTFPSLAAGNGGVDAGGGGAGAGAGGGAGAGGGAGAGGGGGGGGAGAGGGGGGGGGGTWCVASQSANPTALQVALDYACGYGADCSPIQQGGSCFNPDTVHDHASYAFNSYYQKNPAPTSCDFGGTATITNTDPSSGSCQYPSSSGGGQTMMPPPSPTTMPPTVPTTPMTPTPTTPDTGTPVYGLSPPDYGSMSPPGYGSTSPPDYNDVGAAATAGQGRAAALTLLCVLVAMISLHASK >KQL05085 pep chromosome:Setaria_italica_v2.0:V:15606518:15607048:1 gene:SETIT_005563mg transcript:KQL05085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKQKKTYVYPKIQIKNHSQVRDGVRQLWRRRRRRGYAGRRSLIISTPSMGL >KQL08131 pep chromosome:Setaria_italica_v2.0:V:43714525:43716704:1 gene:SETIT_001226mg transcript:KQL08131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFWAEFSRAGLCIFGPFRSLQLSPSFDTVRDRQISTVIFSYPPPLPLSFLLDYAPAASPSPVPRTRWILSSSELVCCCSILILDAKFYHRLCSPTPVNYLRFVSFFLWKCWVPRGANFFWLPLPQSAPPEDKGVLSSMLILSQDFVVGQGRIPMASGVSNGKSLTQWLRENGFDEETVARMARRCKNLHSLDAGEASGVWDYLLTSVKIERRRLRHVVAKCPKVLTLPVDGKLVPTVQCLATLQAKPGEVAQAIAKFPQILFHSVEEKLCPLLAFFQTLGVSEKQLAKLLMVNPRLISYSIEAKFSQMVDFLVDLDMDKEGMIGKILTKEPYIMGYSVDKRLRPTAEFLKSEVGLQGLDLKRVIMSFPDILSRDVDKILRPNLAFLRSCGFSKGQVMALVAGYPPVLIKSVKHCLEPRIKFLVKEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQKNSSCSLSEMLDCNQKKFAMKFGLVAAV >KQL06342 pep chromosome:Setaria_italica_v2.0:V:32705180:32706023:1 gene:SETIT_005503mg transcript:KQL06342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRGASRQRRLPCAWSPRPTASRRITRRPHPRRCPWHA >KQL05706 pep chromosome:Setaria_italica_v2.0:V:27158129:27158605:-1 gene:SETIT_004324mg transcript:KQL05706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQDAVGPAALPGAPFHEKQDGVGQAALPDHAFHEKQDGVGPAALPDHAFHEKQDGVGPAALPDHPFHEKQDAVWPAALPDHPFHEKQDGVGPAALPDHPFHEKQDAVWPAALPDHLFHEKQDGVGPAAPFHEKQEAVQPTGEPDPDDASHQAWGKVLP >KQL05709 pep chromosome:Setaria_italica_v2.0:V:27164963:27165843:-1 gene:SETIT_003329mg transcript:KQL05709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRAVGVLLAAAALLAAVASGAADDEHMYHLKCFKSCTKSCHGEEDALDAGKCKTGCLHECFEELPALCYQQCVVKTCLCLPPYSKEKVTCMKKCCGKCFHHGPKPGPSPKPPGSKSKPCPCPPPGSKP >KQL04488 pep chromosome:Setaria_italica_v2.0:V:9367627:9368020:1 gene:SETIT_0027672mg transcript:KQL04488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAATNHEEESSPLLPAAVGAAVPADEKPPRAPAPEATKLYADGVPVVMGEPVAAHAIPRESWNSGILSCLGRNDEFCSSDLEV >KQL03994 pep chromosome:Setaria_italica_v2.0:V:5774855:5779664:1 gene:SETIT_000471mg transcript:KQL03994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPLVRAQSGRSLTWAHLFTVGPGRSGKARPFFSRPRTILAPKDPSFCRQNPPPPLVLPPSLPPLLSSPSVSQPHPDADTRGARARAEADTMSGHRIPAAARLGGITSRRHAELLLHSGVGDVSVKDLRLRRVVPPASGSLDSSPVCAAPVKPGSVESTPPEAASAVAVAEDLDRKPVLPRSKLVRDPGSFGYRRLLPFLNEMAKNDSSIGRSDSPLVDAPLGEIRCESDAMDSVEPVVAKTGGDPEVKDGCNNVTEEANTVPHDLASSKPWLARCTRSRFVRHPSSFSYKRMLPFLMENEISSQEGERVKIRRVADERILASDENGVSASGQQHLAVSDDSSQECNRAQVDRMEEEETPKADENHVLDDRQPQPAVTKASPPECNAAEGQNVMQQEALTTSQDPLTSSEGDLTSDGDVVQASGHHHIVVSEDSPEECQRDEVKRSVQDEAVKSDWSHVLDSREFQPAVSEVFPSKNSVAEVQKATQEESLPSDGDEENSNKGFSPKKLSPKKGILKKHTRGCKGICMCLDCCTFRLRADRAFEFSRKQMQEADDIICNLLKEVASLRSLVEKPAGQQESTQAACKRASQVEEVARERCRQMFVDLNSHCRIPSVVMCTPMAMRKTAARAKKMMAWTKMEAPLVWKLPNSTKRPPLAGSCSSSPGESSTNSTTATTTGPQSDISLTLFFFFPSPAGLSFSPSLSADAGEEEMRASPRV >KQL07376 pep chromosome:Setaria_italica_v2.0:V:39404497:39406032:1 gene:SETIT_001108mg transcript:KQL07376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSLTSSPLLVLLLTLLPVLYLLRLRPDPRIQPRADGLKSYPLLGVLPHLVKNQHRLLERSTDVVRQCPTHTMSFKVPGLAGAAITANPTNVEHIARANFANYPKGNATVSTIEDFLGHGIFNSDGDQWLWQRKAASYEFSKRSLRNFVVDTVRFEVVERLLPLLGRAATDGRTLDVQDVLERFSFDSICRVAFGEDPACLAEEGMAAPQSAEFMRAFNDVQDGVMARFMLPISSLWRVQRMLNLESERRLRSALGTVHGYADRIVRERRERGEAGLASRDDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWLVSGRPEVEDRIVREIRSVRASSHGSAAATFSFDELREMHYLHAAITESMRLYPPVAMNTHCCQQDDFLPDGTFVGKGWQATYSAYAMARVEEIWGKDCEEFRPERWLGEDGLFQPESTFRYPVFHAGPRMCLGKEMAYIQMKSIVACVFERFSFRFVGGEERPGLVLSLTLRMEGGLAMQVNKRS >KQL05511 pep chromosome:Setaria_italica_v2.0:V:25304163:25304559:-1 gene:SETIT_003609mg transcript:KQL05511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSIPLPLLCLHPNRVVTASCSLPATSPFLDGPLPATTHPGALPLRAERCQAVWGAGWMTMDPGARSALPVDTR >KQL04859 pep chromosome:Setaria_italica_v2.0:V:12487343:12491658:-1 gene:SETIT_000061mg transcript:KQL04859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSNIIHLRCFPSNMLCTHQRSGFIPGRNRRSRMTPYCLDLRHLCQLPTQSVNVPNIRPLPTSTAAVSRGINTPLVEESVSEPFLQENEYEELLRKVQEKVACNIVGIRTEQCFNVELEKALTPEKLATLKWLLAETYEPEKLQTCRFLEGEVCGSPYSVIVEVGPRMAFSTAFSTNAVSICKALSLTEVARLERSRRYHLSLQPGTLLHDRMTEYVHPGKLTSFQSDLVPEPVSAIPVIERGREALEEINVKMGLAFDEQDIKYYTHLFRDDIKHNPTTVELFDIAQSNSEHSRHWFFNGKLVIDGETMARTMFQLVKSPLKANPNNSVIGFKDNSSAIKGYQVNQLHPALPGSTSPLSLMMRELDILFTAETHNFPCAVAPYPGAETGAGGHIRDTHATGRGSFVVASTAESNAPWENPSFSYPSNLASPLQILIDASDGASDYGNRFGEPLIQGFTRNFGTRLPNGERREWLKPIMFSGAIGQIDHAHILKGDPEIGMLVVKIGGPAYRIGMGGELDFNAVQRGDAEMAQKLYRIIRACAEMGEKNPIISIHVQGAGENCNVVKEIIYPKGAEIDIRSIVVGDHTLSVLEIWGAEYQEQDVLLVKPESRRLLESFCERERVSMAVFGEIDGSGKIVLTDSAAVEQAKLTGLPSPPPVVDLELEKVLGDMPQKTFEFNRVPRLGKPLDIAPEVMVMDVLKRILKLPSICSKRFLTTKVDRCVTGLVAQQQTVGPLQLPLADVAVIAQTYTDLTGGACAIGEQPIKGLLNPKAMGRLAVGEALTNLVWAKVSSLADVKASGNWMYAAKLDGEGADMYDAGVALADCMIELGIAIDGGKDSLSMAAQCDGEVVKAPGNLVISAYVACPDITLTVTPDLKLVFDQIGNDCPDIEDIPYLKKVFDAVQELLSERLISAGHDISDGGPIVTVLEMAFAGNCGVNLNIGLGDYDLLPVLFAEELGLILEVHSNDLNVVKQKLHAAGVSTNVIGKVTTAQQTDLVVDGEVRLKEKTSDLRDLWEETSFQLEELPPLKSCVKLEKEGLKSRTSPSWRLSFTPKFTEKKLLTTAIKPKVAIIREEGSNSDREMSAAFHAAGFEPWDITMSDLLTQKISLTEFRGLAFVGGFSYADVLDSAKRWAASIRFNQPLIQQFQEFYNRPDTFSLGVCNGCQLMALLGWVPGPDVGGSLGVGGDISQPRFIHNESGRFECRFTSVAIGDSPAIMFKGMEGSTLGIWSAHGEGRAFFPDENILSGIVKSNLAPLRYCDDSNNVTEVYPFNPNGSPLGIAALCSPDGMHLAMMPHPERSFMMWQYLWYPKEWQVEKSGPSPWLRMFQNAREWCS >KQL07403 pep chromosome:Setaria_italica_v2.0:V:39570237:39570797:1 gene:SETIT_004625mg transcript:KQL07403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEQRRQRQQRSPRGNAPGSGGGSASSGKHKGAGGKGGGKKPIKVLYISNPMRVKTSAAGFRALVQELTGRDADPSKYSPDELAGVGGAGAENPTAAADIDCGAAHGFSPGGAAASCDTVVASPSPAAADHHPDDASAVAAPYGGDYDDDEEDEDGFGSSELLEHNYAVFSPPTLLYDHHPHSKV >KQL08427 pep chromosome:Setaria_italica_v2.0:V:45420447:45422938:1 gene:SETIT_002679mg transcript:KQL08427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGGGAAGEGEWLKVAELRAVVQAQDPRAKEVDNLTLRRFLRARDHSVDKAAAMFIKFLKWRREAVPDGFVPEERVRRELAQDKAWMGGVDRAGRPILVGFLARHYSAERDMAEYKSFVVYFFDKICARIPRGQEKFLAIIDLKGWGYANCDVRAYIASIEIMQNYYPERLGKALMINVPYIFMKVWKTMIYPFIDTNTRDKFVFVEDKDQHETLRKEIDESQLPEFLGGKMPLVSLKDYVQQPESV >KQL03600 pep chromosome:Setaria_italica_v2.0:V:3356180:3358063:1 gene:SETIT_005001mg transcript:KQL03600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQAQLMEEGKFFSGVAYNISIFSLASLSELDVGWVLNLSEIDESAGSVLIWRQFQYFADSSWILALYKISESISSCFDAWCSRRMKLVEAAVLKMLTFVDAIIAAAARTNDRSGAMALADEKLWVLIEVHDALSMALEHIMSPSLSSPFGESTEGTMRNLLSADLAKLDEAIWDTIVEIRNSILAWMDDDVTRCTNILSSNDKSVNRILHDAFLRVKYVPENENVSLLSSLIIELARSLEEKLAIMSRSWFSDQSLRFLFLINNSDFIMQQLHRHLCFPLLTLSHKTDGYINSYLQVSWAPVLKCLQDPATPHCFTRCSPLTKFKSKFHKTYTVQKLWKVPDPDMRKRLRKAIIEKVIPVFTQFLEDNSISTLKVTTPRKVEEMLADLFEG >KQL04986 pep chromosome:Setaria_italica_v2.0:V:13859182:13860401:1 gene:SETIT_004059mg transcript:KQL04986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHREKCLVRELHEDAWRAAIDGGRLLRAELLPRSLGGIFVDFNMLGRTEFFSSPYCGSGGAAATVSGDLDYAPDTSVEGHCNGLVLLFSCVANPTTRQWAHLPEQPPPRTATEDAYYELPYLVFLIPVVLCWADLDPVAQESEWPPPLLETHVFSSRTGRWEERSFVREGEAAGTMAEMQLARATQKRNGVYGRGALYVHCQSDFFCRISTSDGNNKYQVIKHPMGIEPNEHPELHLGRSENGVYCAVVDLNFRLKHQNNLKHLLASPEYTQQDYYDGDRNNEEPVHCNNKVKDHSYVTLLGFHRFKETVFLNSTAYDLNSSKVEDLGNMLPEYYHCIAGQHGYIRASFPYTPCWMEDPLPAVLQSRCSSEQ >KQL06917 pep chromosome:Setaria_italica_v2.0:V:36396125:36399391:1 gene:SETIT_001894mg transcript:KQL06917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEGRETLPRLLDLIPDGKEWKVREAQGEGRSRNTGFVSEEDRKLELKLSLPGLVEEETATVSRHEGIQRERPALSLCCFHEPSKPTTNTTTTGTKRVFLDTIEVKTEGCDEQKQQARAGCGNELALEQKIVVVSERKKGCCPPPSHAPPAASVRNRPKAQGRGASAPVVGWPPIGSFRKNLANGSSSKQSTGSQKGEASMEEKLTCIKNHLVKINMDGIPIGRKVNLAAYDSYERLSLAVKELFQGFLAAQKNLSSAENAQLGADEKIFSQLLNGSGEYTLVYEDNEGDKMLVGDVPWNVFVSTAKRLRVLRSSELSHGLVSTRPPQVACADFSYRFDYPKLSMTFVSLPPLFYRLEWLLEECQIAESQQ >KQL06916 pep chromosome:Setaria_italica_v2.0:V:36396125:36399391:1 gene:SETIT_001894mg transcript:KQL06916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEGRETLPRLLDLIPDGKEWKVREAQGEGRSRNTGFVSEEDRKLELKLSLPGLVEEETATVSRHEGIQRERPALSLCCFHEPSKPTTNTTTTGTKRVFLDTIEVKTEGCDEQKQQARAGCGNELALEQKIVVVSERKKGCCPPPSHAPPAASVRNRPKAQGRGASAPVVGWPPIGSFRKNLANGSSSKQSTGSQKGEASMEEKLTCIKNHLVKINMDGIPIGRKVNLAAYDSYERLSLAVKELFQGFLAAQKNLSSAENAQLGADEKIFSQLLNGSGEYTLVYEDNEGDKMLVGDVPWNVFVSTAKRLRVLRSSELSHGLIGVAPRRVPNC >KQL06918 pep chromosome:Setaria_italica_v2.0:V:36396125:36399446:1 gene:SETIT_001894mg transcript:KQL06918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSEGRETLPRLLDLIPDGKEWKVREAQGEGRSRNTGFVSEEDRKLELKLSLPGLVEEETATVSRHEGIQRERPALSLCCFHEPSKPTTNTTTTGTKRVFLDTIEVKTEGCDEQKQQARAGCGNELALEQKIVVVSERKKGCCPPPSHAPPAASVRNRPKAQGRGASAPVVGWPPIGSFRKNLANGSSSKQSTGSQKGEASMEEKLTCIKNHLVKINMDGIPIGRKVNLAAYDSYERLSLAVKELFQGFLAAQKNLSSAENAQLGADEKIFSQLLNGSGEYTLVYEDNEGDKMLVGDVPWNVFVSTAKRLRVLRSSELSHGLIGVAPRRVPNC >KQL05927 pep chromosome:Setaria_italica_v2.0:V:29136284:29137127:1 gene:SETIT_003297mg transcript:KQL05927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKRHDDLGRAESSGTGTRRGGNSGRAVWDTGSSLYDSYELAAVRRLLDRRLLAGAGVLPLRDEPPAAAETRGKNKQVIVAARARRKVTLRALFRAVATWAARPRQAPLACACAGMVHGRGQGGAAVEPDVPSHGEI >KQL03609 pep chromosome:Setaria_italica_v2.0:V:3379012:3379807:1 gene:SETIT_002778mg transcript:KQL03609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWDNLFLSSFYHLNEVHLFSNMTTLLWMGGPLETYMGSAEFAFMVAILLGLSEGIAALLSQCLFFLGDDIAYFDHHVVGFSGVLFGMKAVLGTWPDSFMWIPGMVIPAKYAVWAELFLTRALIPKSSFLGHLGGLLAGYVYIWLKRAFKGRDPFTLLISGGARVVTSQVRFAQKLLRSVLPQGHKTDVCEMCSTMREDRAFPQGQHHQAWRNGELSVEEMRRRRLDRLDR >KQL04559 pep chromosome:Setaria_italica_v2.0:V:9881994:9882603:-1 gene:SETIT_003372mg transcript:KQL04559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGAGNSIQLMSRGRVFFMLSSFVFDYSLGLVMLMLFQPSLSVERLLGKRGFLSKSKRGLLCSNIVTLLLVFALGSANSWYDMPFAPFSFSVVVCTMYFENIWGKFKGWWKKLREKF >KQL07256 pep chromosome:Setaria_italica_v2.0:V:38708032:38712482:1 gene:SETIT_001229mg transcript:KQL07256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNVDRNNMLKEFYIPTYIFVPESPVEHVSQIPTCPVIVFINTKSGGQLGRNLIVTYRKLLNHAQVFDLLDEAPDKVLHKLYSNLERLKHGGDALASEIHRRLRLIVAGGDGTAGWLLGVISDLKLVHPPPVATVPLGTGNNLPYSFGWGKRNPGTDQDSVISFLQLVREAREMNIDSWHIVMRMQSPKGSPCDPIAPPDLPHSLHAFRRVPKTDPQDMEYSYTYRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFQNQLSNQKTYLKLACTQGWFCASLFHPMSRNIACLSKVKIMKKSGKWETLEIPQSIRSIVCLNLPSFSGGLNPWGTPSKRKQRKRDLVMPPLVDDGLLEIVGFKDAWHGLVLLSPKGHGTRLAQAHRVRFEFLRGAADHAYMRMDGEPWKQPLPTDDGKVVVEISHAGQVKMLATRGCIAKGIHESCPAISTVHPESSSSDDTDDDFEEERRNFGAALSFRYTGDVNKQ >KQL08763 pep chromosome:Setaria_italica_v2.0:V:46996526:47000020:1 gene:SETIT_000803mg transcript:KQL08763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLRPWAFLLFLVLVSYDESGLQSRRNGVAQATQRVFLYPQSPKVSSIVSTKYRTAYHFQPPKNWINGPMYYNGIYHQFYQYNPNGSLWGNIVWGHSVSTDLINWIRLEPAIERTIPSDINGCWTGSATILKGNRPAIIYTGADTEKRQVQNIVFPKNVSDPYLREWIKPDNNPLIQPIGQGLNSGQFRDPTTGWIGPDGLWRIAVGAELNGYSAALLYKSEDFVNWTRVDHSLYSSNASTMWECPDFFAVLPGNNSGLDLSAAIPNGAKHVLKMSLDNCDKYMVGVYDLKSDTFVPDTILDDRRLWSRIDYGNYYASKSFFDSKRGRRIIWGWTNETDSSLDDVAKGWAGIHAIPRTIWLDSNSKQLLQWPVEEVESLRSEEVSHQGLELKQGDLFDIKGIDTLQADVEIDFELTSIDSADPFDPSWLLDIEKHCREADASVHGGVGPFGLVVLASDNMEEHTSVHFRVYKSQEKYMVLMCSDLRKSSLRPELYTPAYGGFFEFDLEKESTISLRTLIDRSAVESFGGGGRLCIMARVYPVTLINGGTRMYAFNNGTSTVKVPQLKAWSMRRAQVNVKKG >KQL07051 pep chromosome:Setaria_italica_v2.0:V:37330911:37331165:1 gene:SETIT_004440mg transcript:KQL07051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVREQIQMLAFQDIENFPKAQHHEFYFLHERKHGNKIKWKMSKQSIIGEGAGRSCGRRYSPSRSPLVHEGSVRLYSMQRCRAL >KQL07246 pep chromosome:Setaria_italica_v2.0:V:38640207:38644027:-1 gene:SETIT_002762mg transcript:KQL07246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANGGAGAALFSEEELREVSGVRRGEDFVEMTCGCTSHRYGDAVGRLRVFASGDLEVSCECTPGCHEDKLTPAAFEKHSGKETAGKWRNTIWVMVQGEKVPLSKTALLKYYYLAHKSGNGSHKGRNGRPSHRDEFIRCTKCGKERRFRLRSKEECRVYHDALAKINWTCTDLTTDRVTCDDEEERASRKVLRGCSRATSCSGCMKCVCFGCETCRFKDCDCQTCVDFYRNSKE >KQL03736 pep chromosome:Setaria_italica_v2.0:V:4367422:4368118:-1 gene:SETIT_0004547mg transcript:KQL03736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPLHDPIAYRKELDGITVDVSLQWCSDSYSDTVLGYANSIRTIDGGTHIEGLKASLTRTINNLAKKSKTIK >KQL03203 pep chromosome:Setaria_italica_v2.0:V:318347:321738:-1 gene:SETIT_002303mg transcript:KQL03203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAMSPSSVSSHIYDAASITDDMSLQEGLLFSDTLKDLRNLRSQLYSAAEYFEVFYRNNSHKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVSEKADEVNETEFLVSSVEQRVRICQQTIDQEGRSQQALLIKAPKYHRRYILPGVDLLESSIHPVSEPPRYNRQYTSRKMHKSQSSISTPGCRQTTMRRARSPSPTPNDAYHRSRSLSPSRKARAKSPSPRIMNSNTKDTRAGSPIPNSNPLARSSTVARRPPANSKHLRQTSMQLHTDWDHHKEQEKSSSKGRGFLKSLLTRRRWRNDESLYSYLDEY >KQL03204 pep chromosome:Setaria_italica_v2.0:V:318734:321738:-1 gene:SETIT_002303mg transcript:KQL03204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAMSPSSVSSHIYDAASITDDMSLQEGLLFSDTLKDLRNLRSQLYSAAEYFEVFYRNNSHKSTVMTSLKDYTVEALVSTVDHLGFVSYKVDNLVSEKADEVNETEFLVSSVEQRVRICQQTIDQEGRSQQALLIKAPKYHRRYILPGVDLLESSIHPVSEPPRYNRQYTSRKMHKSQSSISTPGCRQTTMRRARSPSPTPNDAYHRSRSLSPSRKARAKSPSPRIMNSNTKDTRAGSPIPNSNPLARSSTVARRPPANSKHLVCPEHSSDLFMSVWDNTTGRLLE >KQL08654 pep chromosome:Setaria_italica_v2.0:V:46506724:46508687:1 gene:SETIT_002528mg transcript:KQL08654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAALSSSEGIVGVPAALPLDKAAAASASGSGGDRVVDCGVCAICLDKIALQETALVKGCDHAYCVTCILRWASYKQTPLCPQCKHPFEFLSVHRSLDGCLHDYLFEESVCLLLRAAWFEPLIVEPHEEALEEDEFYHQYQYDDDEDDLDEETYYMSRSPSFRIGNRRWGDNGYIRGGRREARPVNNDAAAGPSRTPKKKEKAASTSGSGSGSVSKDVAGRRAKRAQKREAADKAAAEKHLKHLQRLGLAKAPAPEVPAEAGPQVNE >KQL07605 pep chromosome:Setaria_italica_v2.0:V:40782227:40782765:1 gene:SETIT_003681mg transcript:KQL07605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRWIIKLSMCIKLVQREKREGQPSRNEVPENEHRLITDLYGNHHYLRLGLLHYIYAS >KQL03642 pep chromosome:Setaria_italica_v2.0:V:3601670:3609442:1 gene:SETIT_004832mg transcript:KQL03642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFMLKALPIQSLFPYLYFMVLGLKVAKEEQDIGFYAGFVGATYFVGRTISAVPWGMFADKYGRKPCIVISILSVVVFNTLFGLSTTYWMAIVTRGLLGLLCGVLGPIKAYASEVCRKDHQALGISSIALVVGPAIGGFLAQPTKKYPNLFSEVSIFGRFPYFLPCFVISVLAAGSCIACIWLPETLHFHNDDKVEAIDELEAQSGESTKNLLKNWQLMSAVFLYCIFYFHDTAYLEIFSLWAVSSRKFRGLSLTSQDVGTVLAVSGFGVLVYQLAIYPFLAKYFGPIKPFHLVAVLSTLLLATYPFMANLHGLELTFEEHATITISCNILQNTAVTQEQRGVANGSDSDVNVQSCDQILFLMLNMVSVIGLVLTFKPFFSLPNAIRRP >KQL05069 pep chromosome:Setaria_italica_v2.0:V:15245857:15249345:1 gene:SETIT_000989mg transcript:KQL05069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSERTELRRSSFKPSADLDERRRRRVGFTVDIRKRSRDSALQKTRRAAAGGEAAPLARSQQPSPVPETRLGSIPAQLAEGLLSGDISVQVEAVREFRKLLSIENPPTEEVVSSGLVPVFIQLLSREGCPELQFEVEQVLTTIALRTADDTIAMLIFVKLLSSPSEDVREQVVWALGKMASNTTICRGLILAHGALFPVLQQFCGHAKLSMLHKASWALLNICHGLSQADFEHVKPALPVLRQLIHSQDVEVLSNACRALSYLSDGGNDNIQAVIEAGACPQLVELLNHSSPSVLIPVLHVIGNIVSRDDAQIQCIIDLQALPYLLNLLTTNRNKGIKPEVCRIISNIMAGNKEQIQSVINGNMVGPLVHLMHTAEFGVRYEAAWAIANAASGGTHDQKRYLVSQGCIKAFCDLLSYSDTSILMVCLEGLDDILKAGEADKSPWGCNVNMYAQMIEDNEWLDKIENLQNHDNSRIVEMAACLLESYWSNEDKAMPMPWDDPVSWLAEDNTPNFSFFDGPGDCDFG >KQL04707 pep chromosome:Setaria_italica_v2.0:V:11116307:11116800:1 gene:SETIT_005581mg transcript:KQL04707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITTYEGRHVHSPSRDEDDDAARANAEMSFIW >KQL06999 pep chromosome:Setaria_italica_v2.0:V:36967643:36970488:-1 gene:SETIT_001609mg transcript:KQL06999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAAAMPIDLSPAPTASAAELKAVEEGEEEVVVVEVEGGGCGGGAVVVAAADAEAEGHPYDFHVSGPRNLPPPNWKEIIRSSWKDPNYKRMVMACFIQAVYLLELDRQDQKGEEDGLAPKWWKPFKYKVTQTLVDERDGSIYGAVLEWDRSSALSDFILIRPSGAPRAVLALRGTLLQKPTMKRDLQDDLRFLVWESLKGSVRYVGALAALKTAVEKFGSANVCVTGHSLGAGFALQVCKELAKQGVYVECHLFNPPSVSLAMGVRSMSEKASYLWKKVKASLPMKEEATTPLESAKEEASDKKRLRTEKKWVPHLYVNNSDYICCYYNAPSCPSTTADDASDEQQQQHKASEIAGDVVAKLFVTSKGPQKFLEAHGLQQWWSDGMELQLALYDSKLINRQLKSIYTAAAVPSPVKS >KQL07799 pep chromosome:Setaria_italica_v2.0:V:41834691:41836583:-1 gene:SETIT_001312mg transcript:KQL07799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKLSPVSPVRRDDKPCAQSPSSSSSAVLRVQDASAAEAYEQYLRLPELSALWKPRCFPDWAGEGLVKPALQALEITFRFASLALSDPRGYASRRELARRLESLAAREVELVAALCEGDRSAPLAELTASGGVLPRERSGSEVWQLPGSAAAVVCQASEASLLPRLAAWDKSEALAARIMYAVEGQMQGCAFTLGLGEPNLAGKPVLEYDRVVRPHDLHALKPKPAPEPRSGYRNRENETLVTMYQILESWLCAASQLLARLNERIEAKDWEAAASDCWILERVWKLLADVEDLHLLMDPDDFLRLKSQLAVRAAPGSEASFCFRSRALLHVANTTRDLKKRVPWVLGVEVDPNGGPRVQEAAMRLYHSRRRGEGEEAGKVELLQAFQAVEAAVRRFFFAYRQLVAAVMGTAEASGNRALFVPAEGMDPLAQMFLEPPYYPSLDAAKTFLADYWAQQMAGVSAQSQQS >KQL07016 pep chromosome:Setaria_italica_v2.0:V:37071866:37073507:1 gene:SETIT_003838mg transcript:KQL07016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRKIQEARRVKMLHCPSKIQVLHELAEKSSDSVHFLKEENDMPSYELQGLETLGSMLHIVIRDNASIDFSNGSIQWFRIQPEGSKKEIISGATKVVYAPEPHDVGWYIQAEITFGGQILIAKIAGPVDHAAGLADYAEALMRNPETEYNVSVLQVNVVAQPADSLHVLCIGRLRMRLAKGAPVIAKEFYSSSMQLCGVRGGGDAAPQAIFWQPRKELSFVLGFETRECNSALMLARRFAMDRNIILAGPGDKTPW >KQL08734 pep chromosome:Setaria_italica_v2.0:V:46892606:46895903:-1 gene:SETIT_003090mg transcript:KQL08734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADELEKTISVASRDPAYYGLDEVELSRRRNWTGSARNQVGTVRRAIEKGKSNSATSRYQDTSRTNLYSAQDNDDFISSESDRQQLLMRQQDEELDELSASVQRIGGVGLTIHEELSGQERILNDLSLEMETTSNRLDFVQKRVAMVMKKAGIKGQIMLIAFLVVLFIILFVLVFLT >KQL07124 pep chromosome:Setaria_italica_v2.0:V:37793787:37796554:-1 gene:SETIT_000528mg transcript:KQL07124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGAATEADENASPKRPVAAWAASPQRKKVLGERNDGGGASMETVASPPPPQPKPAPSPPTLTGRGAGAYDPKTNYTTPRPEFLRYDPERRREILLRVARAAEVDDCSSSASGTGASEDDGGSVSSDAAAASPVSFARRSDSEAELDDSDDDDDEEEVAQPRRGRWERRLFLLLVAVACSFCYMHCMNPAAFYVPSGDRMDFIGPIGGMYDAGDHEVDSLRLLGPVYMMGPEDVLEETTNQLMQGETEDAVHRHDQRASRNLVAVTMLGLADMCLNVPPGELTCQLGGESSENVADSKEDPELDDEHKTESTIKSLKKNEQSYEDPELDDEHKTESTIESFKKNEQSYEVGCLDGNIALDSIGTNSAHIADMEEGSSGLVHQEEGEDHPNQFAIQLVSMEKAIESSSDKLNLDAELWQYENAAEAAKEICSAVKFLWSAMEPHLLQILACLSVAGFVAAMFRYFQRSREMVLPARLHMLSKSPAEVPVLVPNQIVQLPVYSSEQPTQLTVPRQGLSGSLEVPMGLPLPKPDPFVSLNVPVQEPWPKTDPFVSVKVPADHGKHDQKLQQQDANNMEASNSKFLNHRNVDSSKPPVVELLGEFTFANSARGRAIKSLNQYAGDAAVQELPEKDVDKMQMNSSIDQTPSVRRGRKEENSVKGDKTDATSAPLTPTPLTPTPLRRSNRLRNKVISP >KQL03788 pep chromosome:Setaria_italica_v2.0:V:4608172:4608462:-1 gene:SETIT_003503mg transcript:KQL03788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSFIFLKKRKELRETRNELESCHGNLHYTGPRSRVLADGLPVDKSPYIRIISSLCIAQKDGKLKFSKESTKYNELSSAVQISFFLEGTLVT >KQL04239 pep chromosome:Setaria_italica_v2.0:V:7497904:7499307:1 gene:SETIT_003465mg transcript:KQL04239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRALGWSEGEVMRPESKPCSRLMRQTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAVSVSATTALLVRLFSPECEPQNIAAFDIPELKPE >KQL06442 pep chromosome:Setaria_italica_v2.0:V:33445940:33452422:1 gene:SETIT_001045mg transcript:KQL06442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPLSGLQDHLKLARDYALEGLYDTSIIFFDGAIAQINKHLTTLDDALVRTKWMNCKKAISEEVEIVKQLDAQLKSLKEAPGARRSSSPPIRSNKSFHFQPLDEYPTSSPAPFDDPDVWAPPRDAPNRRPARGQSSARKSSQDGAWARGSSRTGTPSRSAKPNGSKGSSAVRSSTASSTGGRKGKASSSKADSASSDAEEGKSKKGQYEGPDMDLAAMLERDVLDSTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCTSRGASGEHESSRRVKSELLVQIDGVNNSSTTEDGQPKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPDFESRKALININLRTVQIAADVNIDEVARKTEGYSGDDLTNVCRDASMNGMRRKIAGKTRDEIKNMAKDEIAKDPVAMCDFVEALSKVQKSVSPADIEKHEKWMAEFGSA >KQL06477 pep chromosome:Setaria_italica_v2.0:V:33719176:33722292:1 gene:SETIT_000480mg transcript:KQL06477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDCPAKRFGEKEFQILSGQSDLGLPFELIKARVSESLMLGVSNAGASTENTHQFSSKTCGITHNVCQGVECKNVDRVGTPFESSMMQKNMNLYAANTVVSERYSFHKLSDISVNSRKVLSSDNLSMEWDHFPMFEINRKIDSILNPRRTAFGISSDKSFAPQKDLKVNMCTSNVMAFSSKEYQFHSHRIADENMSKCRSTGGILSHQDKLIGLNFDQAGKKLKGHLSIEESSSCSKNETNSSCSLTDKLCSSNLVVNSKEAPCCSSENFMFSASRKENENAEGTLLEMQLGALGGCPKQQDLEEVANHGLVLGRECEMRPVNASTISKGSDVGTSDRGMVFANLLQSEHENLHTHRVNSAMKSTKSYNLPGNIESTLAMKSKVETLAPRKPPKDILTDGKPKAPCLFEMLTLPSKSHVTYFNDPISSGRSCGNMGCCSLGAQKQFATKTDTLYSNTHHASGFASTSTQKDFGCPNTAQSEQIATSSIRGVSSCSGGNETLNVTAGNHRSSLKETCTNKQEWSISKTSSMNLDLVLFRISRMRNPISSLLIESPVCLEPSDRWLKRLQNDVLDPRLPCSKRSKIGDGPPHGGACTIFGQELNFDMGKVDMINQAKEVQLSFQALLQWR >KQL06476 pep chromosome:Setaria_italica_v2.0:V:33719176:33722292:1 gene:SETIT_000480mg transcript:KQL06476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDCPAKRFGEKEFQILSGQSDLGLPFELIKARVSESLMLGVSNAGASTENTHQFSSKTCGITHNVCQGVECKNVDRVGTPFESSMMQKNMNLYAANTVVSERYSFHKLSDISVNSRKVLSSDNLSMEWDHFPMFEINRKIDSILNPRRTAFGISSDKSFAPQKDLKVNMCTSNVMAFSSKEYQFHSHRIADENMSKCRSTGGILSHQDKLIGLNFDQAGKKLKGHLSIEESSSCSKNETNSSCSLTDKLCSSNLVVNSKEAPCCSSENFMFSASRKENENAEGTLLEMQLGALGGCPKQQDLEEVANHGLVLGRECEMRPVNASTISKGSDVGTSDRGMVFANLLQSEHENLHTHRVNSAMKSTKSYNLPGNIESTLAMKSKVETLAPRKPPKDILTDGKPKAPCLFEMLTLPSKSHVTYFNDPISSGRSCGNMGCCSLGAQKQFATKTDTLYSNTHHASGFASTSTQKDFGCPNTAQSEQIATSSIRGVSSCSGGNETLNVTAGNHRSSLKETCTNKQEWSISKTSSMNLDLVLFRISRMRNPISSLLIESPVCLEPSDRWLKRLQNDVLDPRLPCSKRSKIGDGPPHGGACTIFGQELNFDMGKVDMINQAKEVQLRYGRLVNQQNLEGSLISAKSLNSWIGRWCQGGTPIYHGTSNVEKQTPKFNVPPDDLEGQFPSIAAMAMMGRAMNKLRPCELQKRGPSVVWKTQGL >KQL07194 pep chromosome:Setaria_italica_v2.0:V:38225798:38229517:-1 gene:SETIT_004229mg transcript:KQL07194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWTAAELEAHGADLQDALWSAKCLANAPHLTRKVHPDYLEAGANIITSASYQATIEGFRSKGFSRDEGEALLRRGVHVAQEARRSFVEGDTRGRSAPPVLVAASVGSYGAYLGDGSEYSGDYGKSVTKEALKSFHRRRLQVLADAGPDLIAFETIPNKLEAQESGIRVPAWFSFTFKDGVNAASGDPIAECAAVAESCERVAAVGVNCTAPRLIHGLILSIKKDEPATVRAIARALREADADEYDDVPAVAVL >KQL06695 pep chromosome:Setaria_italica_v2.0:V:35041389:35062062:-1 gene:SETIT_000003mg transcript:KQL06695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTGNRQMINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGERTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDLKKYKLGDPSSFHYLNQSACIKVDGINDAEEYLATRNAMYTVGITEQEQEAIFRVVAAVLHLGNINFAKGREVDSSVIKDDKSRFHLNTAGELLMCDCGKLENALINREINTPEGVITTTVGPNSATISRDGLAKQIYSRLFDWLVNRINASIGQDPDSNKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVTYQSDQFLDKNKDYVVAEHQELLNASKCSFVSVLFPPATEENTKSSKSSIASRFKMQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRVLAPEILKEKNDEKVACQKILDKIGLQGYQIGRTKVFLRAGQMAELDARRTEMRNNAARGVQSQYRTHVAREQFLVLRDASICLQSFVRARLACKQHEFLRQQAAALRIQKTTRWYFAWKTYCQLRLSAVTLQAGLRAMSARNEFNFRKRNKASVHIQSQWRCHRDYSNYMKLKRAALTYQCAWRRRVARKELRKLKMAARDTQALKVAKEKLEERVEELTSRLGLEKKLRADLEKSKEEEVSKLKVALHEMEQRVEEVKAMQEQESAKKAVEEALAQEREKISLLTTEIEGLKALLVAEREENDVAKKAHANALEMNEELNKKVSDADEKIKQFNDTVQRLEGTIREGETLLLTERQQNEAASATLAESQARNGALVSKLEDAVKQNDLLQETVQRFEEAMKNLESSLTFEKQQHEASLVELAEAREKIEELQREVGDTDEKSTLLQTAIQSLEERLREKEALLATERQESEATKKSLSESEDRNQELLMKTEVTEKEIAHFQETIQRHEENMAALETSLRSERQQNDAIMKQQADSQAEIGELQRKLEDADGRNKLLQDSLQRLEQEATAKEALLLTEKQAHEATRKTLSEVQERNEELLKNIHDNDKHILQLQFTIQRLEETTVANENLLLREREQNDATSKAHVESQEKYEELLKKFVDVDRKIDLLQGTIERLGENTTTKDALLLSERHEKDAVKKALTEADEKNEELLMKVEDANEKIEHLQTMIIKLEDNVAAKDVSLEAAMKENDTIRKSLTEAQERNDELLKKISDSEYRIHLLQDTVQKLQVDAISRLSSFVMEKQESDAAKRAVTEAHERNEDLLKRNEDLLKRNDDLIKKIEESSKIVIQLQEALQRIEGKAANLEAENQALRQQATATPPSTAKSPASRSKITRIHRSPENGHILNGDMRQTEMKPSTSTSEAITSAGNVPDSGDQKEFEHGEKLQRIPRQKYQPSHHQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLLHWKSFEAMKTGVFDSILHAINSATEAQNDMRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPRRRFSSERIFHGNQAPNAGLAYLSGQSAVGSAGLLQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSLAKGHLNGMGQQNQLTHWLGIVKILTSYLDVLKANHVPSILVHKLFTQIFSLIDVQLFNRLLLRRECCSFSNGEYVRAGLAELKHWSDNATREFAGSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPALSIQQLERIVSMYWDDVNGTNTISAEFTSSLKSAVREESNMATSFSILLDDDSSIPFSLDDITKTLPAIEVADDDLLPFVHENPSFAFLLQRGE >KQL06694 pep chromosome:Setaria_italica_v2.0:V:35041910:35059564:-1 gene:SETIT_000003mg transcript:KQL06694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTGNRQMINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGERTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDLKKYKLGDPSSFHYLNQSACIKVDGINDAEEYLATRNAMYTVGITEQEQEAIFRVVAAVLHLGNINFAKGREVDSSVIKDDKSRFHLNTAGELLMCDCGKLENALINREINTPEGVITTTVGPNSATISRDGLAKQIYSRLFDWLVNRINASIGQDPDSNKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVTYQSDQFLDKNKDYVVAEHQELLNASKCSFVSVLFPPATEENTKSSKSSIASRFKMQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRVLAPEILKEKNDEKVACQKILDKIGLQGYQIGRTKVFLRAGQMAELDARRTEMRNNAARGVQSQYRTHVAREQFLVLRDASICLQSFVRARLACKQHEFLRQQAAALRIQKTTRWYFAWKTYCQLRLSAVTLQAGLRAMSARNEFNFRKRNKASVHIQSQWRCHRDYSNYMKLKRAALTYQCAWRRRVARKELRKLKMAARDTQALKVAKEKLEERVEELTSRLGLEKKLRADLEKSKEEEVSKLKVALHEMEQRVEEVKAMQEQESAKKAVEEALAQEREKISLLTTEIEGLKALLVAEREENDVAKKAHANALEMNEELNKKVSDADEKIKQFNDTVQRLEGTIREGETLLLTERQQNEAASATLAESQARNGALVSKLEDAVKQNDLLQETVQRFEEAMKNLESSLTFEKQQHEASLVELAEAREKIEELQREVGDTDEKSTLLQTAIQSLEERLREKEALLATERQESEATKKSLSESEDRNQELLMKTEVTEKEIAHFQETIQRHEENMAALETSLRSERQQNDAIMKQQADSQAEIGELQRKLEDADGRNKLLQDSLQRLEEDATAREALMVTERQENEVTKKTLTEALDQIEELVKEVECANHSVHQLQDSIQRLEQSAVAREATLLTERQEKDAISKALAEAQGRIEGLLKEIYSASRKTDQLQNTIERLEEGATTTDALYLEEKQEHDQTKKSLSEAQEINKELLTKIEEAEKNIDQLLENVERLEKDTTARESILLTTKQSYDETAKLLLESQERNQELMHIVEDSASKIVLLEDSVKRLEESTADKDSLLAIERHENSETKKELAGSQKKIEELLTEVQDTRTCIAELEESVRRLEGNLGVTEALLLTEKEQNASTLKLLSEAQLRIEDLIKKLEGADRKSDSLQDTITRLEQEATAKEALLLTEKQAHEATRKTLSEVQERNEELLKNIHDNDKHILQLQFTIQRLEETTVANENLLLREREQNDATSKAHVESQEKYEELLKKFVDVDRKIDLLQGTIERLGENTTTKDALLLSERHEKDAVKKALTEADEKNEELLMKVEDANEKIEHLQTMIIKLEDNVAAKDVSLEAAMKENDTIRKSLTEAQERNDELLKKISDSEYRIHLLQDTVQKLQVDAISRLSSFVMEKQESDAAKRAVTEAHERNEDLLKRNEDLLKRNDDLIKKIEESSKIVIQLQEALQRIEGKAANLEAENQALRQQATATPPSTAKSPASRSKITRIHRSPENGHILNGDMRQTEMKPSTSTSEAITSAGNVPDSGDQKEFEHGEKLQRIPRQKYQPSHHQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLLHWKSFEAMKTGVFDSILHAINSATEAQNDMRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPRRRFSSERIFHGNQAPNAGLAYLSGQSAVGSAGLLQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSLAKGHLNGMGQQNQLTHWLGIVKILTSYLDVLKANHVPSILVHKLFTQIFSLIDVQLFNRLLLRRECCSFSNGEYVRAGLAELKHWSDNATREFAGSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPALSIQQLERIVSMYWDDVNGTNTISAEFTSSLKSAVREESNMATSFSILLDDDSSIPFSLDDITKTLPAIEVADDDLLPFVHENPSFAFLLQRGE >KQL06696 pep chromosome:Setaria_italica_v2.0:V:35041910:35062062:-1 gene:SETIT_000003mg transcript:KQL06696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNIVIGSHVWVEDKDLAWVDGEVFRIDGQNAHVRTTKGKTVIANISDIHPKDTEAPPGGVDDMTRLSYLHEPGVLDNLAVRYAKNIIYTYTGNILIAINPFQRLPNLVDSRTMEKYKGANLGDLDPHVFAIADVSYRQMINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGERTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDLKKYKLGDPSSFHYLNQSACIKVDGINDAEEYLATRNAMYTVGITEQEQEAIFRVVAAVLHLGNINFAKGREVDSSVIKDDKSRFHLNTAGELLMCDCGKLENALINREINTPEGVITTTVGPNSATISRDGLAKQIYSRLFDWLVNRINASIGQDPDSNKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVTYQSDQFLDKNKDYVVAEHQELLNASKCSFVSVLFPPATEENTKSSKSSIASRFKMQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRVLAPEILKEKNDEKVACQKILDKIGLQGYQIGRTKVFLRAGQMAELDARRTEMRNNAARGVQSQYRTHVAREQFLVLRDASICLQSFVRARLACKQHEFLRQQAAALRIQKTTRWYFAWKTYCQLRLSAVTLQAGLRAMSARNEFNFRKRNKASVHIQSQWRCHRDYSNYMKLKRAALTYQCAWRRRVARKELRKLKMAARDTQALKVAKEKLEERVEELTSRLGLEKKLRADLEKSKEEEVSKLKVALHEMEQRVEEVKAMQEQESAKKAVEEALAQEREKISLLTTEIEGLKALLVAEREENDVAKKAHANALEMNEELNKKVSDADEKIKQFNDTVQRLEGTIREGETLLLTERQQNEAASATLAESQARNGALVSKLEDAVKQNDLLQETVQRFEEAMKNLESSLTFEKQQHEASLVELAEAREKIEELQREVGDTDEKSTLLQTAIQSLEERLREKEALLATERQESEATKKSLSESEDRNQELLMKTEVTEKEIAHFQETIQRHEENMAALETSLRSERQQNDAIMKQQADSQAEIGELQRKLEDADGRNKLLQDSLQRLEEDATAREALMVTERQENEVTKKTLTEALDQIEELVKEVECANHSVHQLQDSIQRLEQSAVAREATLLTERQEKDAISKALAEAQGRIEGLLKEIYSASRKTDQLQNTIERLEEGATTTDALYLEEKQEHDQTKKSLSEAQEINKELLTKIEEAEKNIDQLLENVERLEKDTTARESILLTTKQSYDETAKLLLESQERNQELMHIVEDSASKIVLLEDSVKRLEESTADKDSLLAIERHENSETKKELAGSQKKIEELLTEVQDTRTCIAELEESVRRLEGNLGVTEALLLTEKEQNASTLKLLSEAQLRIEDLIKKLEGADRKSDSLQDTITRLEQEATAKEALLLTEKQAHEATRKTLSEVQERNEELLKNIHDNDKHILQLQFTIQRLEETTVANENLLLREREQNDATSKAHVESQEKYEELLKKFVDVDRKIDLLQGTIERLGENTTTKDALLLSERHEKDAVKKALTEADEKNEELLMKVEDANEKIEHLQTMIIKLEDNVAAKDVSLEAAMKENDTIRKSLTEAQERNDELLKKISDSEYRIHLLQDTVQKLQVDAISRLSSFVMEKQESDAAKRAVTEAHERNEDLLKRNEDLLKRNDDLIKKIEESSKIVIQLQEALQRIEGKAANLEAENQALRQQATATPPSTAKSPASRSKITRIHRSPENGHILNGDMRQTEMKPSTSTSEAITSAGNVPDSGDQKEFEHGEKLQRIPRQKYQPSHHQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLLHWKSFEAMKTGVFDSILHAINSATEAQNDMRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPRRRFSSERIFHGNQAPNAGLAYLSGQSAVGSAGLLQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSLAKGHLNGMGQQNQLTHWLGIVKILTSYLDVLKANHVSAKLLLTYLCFETRLLLRRECCSFSNGEYVRAGLAELKHWSDNATREFAGSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPALSIQQLERIVSMYWDDVNGTNTISAEFTSSLKSAVREESNMATSFSILLDDDSSIPFSLDDITKTLPAIEVADDDLLPFVHENPSFAFLLQRGE >KQL06693 pep chromosome:Setaria_italica_v2.0:V:35041389:35062062:-1 gene:SETIT_000003mg transcript:KQL06693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTGNRQMINEGKSNSILVSGESGAGKTETTKLLMRYLAFLGGRSGTGERTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGKISGAAIRTYLLERSRVCQINSPERNYHCFYFLCAAPSEDLKKYKLGDPSSFHYLNQSACIKVDGINDAEEYLATRNAMYTVGITEQEQEAIFRVVAAVLHLGNINFAKGREVDSSVIKDDKSRFHLNTAGELLMCDCGKLENALINREINTPEGVITTTVGPNSATISRDGLAKQIYSRLFDWLVNRINASIGQDPDSNKLIGVLDIYGFESFKTNSFEQLCINFTNEKLQQHFNQNVFKMEQEEYTREQINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETLSQKLYEKFKNHKRFTKPKLSRTAFTIQHYAGDVTYQSDQFLDKNKDYVVAEHQELLNASKCSFVSVLFPPATEENTKSSKSSIASRFKMQLHELMETLSSTEPHYIRCIKPNSVLKPAIFENTNVLQQLRCSGVLEAIRISCAGYPTRKLFHDFLHRFRVLAPEILKEKNDEKVACQKILDKIGLQGYQIGRTKVFLRAGQMAELDARRTEMRNNAARGVQSQYRTHVAREQFLVLRDASICLQSFVRARLACKQHEFLRQQAAALRIQKTTRWYFAWKTYCQLRLSAVTLQAGLRAMSARNEFNFRKRNKASVHIQSQWRCHRDYSNYMKLKRAALTYQCAWRRRVARKELRKLKMAARDTQALKVAKEKLEERVEELTSRLGLEKKLRADLEKSKEEEVSKLKVALHEMEQRVEEVKAMQEQESAKKAVEEALAQEREKISLLTTEIEGLKALLVAEREENDVAKKAHANALEMNEELNKKVSDADEKIKQFNDTVQRLEGTIREGETLLLTERQQNEAASATLAESQARNGALVSKLEDAVKQNDLLQETVQRFEEAMKNLESSLTFEKQQHEASLVELAEAREKIEELQREVGDTDEKSTLLQTAIQSLEERLREKEALLATERQESEATKKSLSESEDRNQELLMKTEVTEKEIAHFQETIQRHEENMAALETSLRSERQQNDAIMKQQADSQAEIGELQRKLEDADGRNKLLQDSLQRLEEDATAREALMVTERQENEVTKKTLTEALDQIEELVKEVECANHSVHQLQDSIQRLEQSAVAREATLLTERQEKDAISKALAEAQGRIEGLLKEIYSASRKTDQLQNTIERLEEGATTTDALYLEEKQEHDQTKKSLSEAQEINKELLTKIEEAEKNIDQLLENVERLEKDTTARESILLTTKQSYDETAKLLLESQERNQELMHIVEDSASKIVLLEDSVKRLEESTADKDSLLAIERHENSETKKELAGSQKKIEELLTEVQDTRTCIAELEESVRRLEGNLGVTEALLLTEKEQNASTLKLLSEAQLRIEDLIKKLEGADRKSDSLQDTITRLEQEATAKEALLLTEKQAHEATRKTLSEVQERNEELLKNIHDNDKHILQLQFTIQRLEETTVANENLLLREREQNDATSKAHVESQEKYEELLKKFVDVDRKIDLLQGTIERLGENTTTKDALLLSERHEKDAVKKALTEADEKNEELLMKVEDANEKIEHLQTMIIKLEDNVAAKDVSLEAAMKENDTIRKSLTEAQERNDELLKKISDSEYRIHLLQDTVQKLQVDAISRLSSFVMEKQESDAAKRAVTEAHERNEDLLKRNEDLLKRNDDLIKKIEESSKIVIQLQEALQRIEGKAANLEAENQALRQQATATPPSTAKSPASRSKITRIHRSPENGHILNGDMRQTEMKPSTSTSEAITSAGNVPDSGDQKEFEHGEKLQRIPRQKYQPSHHQQPQDDQQWLLTCISQYLGFSGSKPVAALLIYQCLLHWKSFEAMKTGVFDSILHAINSATEAQNDMRTLAYWLSNLSTLTVLLQRSFKTTRTAISTPRRRFSSERIFHGNQAPNAGLAYLSGQSAVGSAGLLQVEAKYPALLFKQQLVDLIEKVYGMISDSVKKELNPLLELCIQDPRTSHSSLAKGHLNGMGQQNQLTHWLGIVKILTSYLDVLKANHVPSILVHKLFTQIFSLIDVQLFNRLLLRRECCSFSNGEYVRAGLAELKHWSDNATREFAGSAWEALRHIRQAVDFLVISLKPMRTLREIRTDVCPHTTARANS >KQL05916 pep chromosome:Setaria_italica_v2.0:V:29039638:29046642:-1 gene:SETIT_000384mg transcript:KQL05916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALQASLLLRPLPSPLPPRRRLPLPSASASFPRAAPAHPRAPLCLRALAPDAPQPAAPDPPAAAASSAAAAAAEPEPEPEAEAEATSAGAAAQPAVMSGKEELEDMVDKAKAWVVAVAAAVVAAVRRFVDWVVSGDWMSFWPFWRPDRRLQGLIDDADANPNDAAKQSALLHELNKFSPEDVIKRFEQRSHAVDSKGVAEYLRALVLTNAIADYLPDEQSGRSASLPALLQELKQRVSGNEDKPFMNPGISEKQPLHVVMVDPKTTGRSTRFAQEIFSTILFTIAVGVMWVMGAAALQKYIGSLGGIGASGVGSSSSYSPKEINKDIMPEKNVKTFKDVKGCDDAKRELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIVFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIIVMAATNLPDILDPALTRPGRFDRHIVVPSPDVRGRQEILELYLQDKPVANDVDINAIARSTPGFNGADLANLVNIAAIKAAVEGADKLTAGQLEFAKDRIIMGTERKSMFISDESRKLTAYHESGHAIVALNTQGAHPIHKATILPRGSALGMVTQLPSQDETSISKKQLLARLDVCMGGRVAEELIFGEDNVTTGARNDLHTATELAQYMVSNCGMSDAIGPVHVKERPSVEMQSRIDAEVVKLLREAYGRVKRLLKKHEKQLHALANALLEHETLTADEINKVVHPYQEEPQFSFQDEEFALT >KQL03971 pep chromosome:Setaria_italica_v2.0:V:5646729:5648314:1 gene:SETIT_002978mg transcript:KQL03971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEQPQQEVKLFNRWTFDDVQVNDISLNDYLAVSAMKHATYLPHTAGRYSKKRFRKAQCPIVERLTNSLMMHGRNNGKKVMAVRIMKHTLEIIHLLTDANPIQVVVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >KQL04347 pep chromosome:Setaria_italica_v2.0:V:8376502:8376753:1 gene:SETIT_004517mg transcript:KQL04347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPPPHVLLVSTPLQGHVNPLLVLGWRLAFRGLPVTFSTVPHASLKFSYRDGEAVNIRHGMLCFEHLHGGGDLRPPDNPLYR >KQL06457 pep chromosome:Setaria_italica_v2.0:V:33632426:33637460:1 gene:SETIT_000682mg transcript:KQL06457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVLKLAKDVKSGPPLHFFPGYPFSLLPLSPPAINEGAIESFPTKGAEPPLPTPAHHSEENLDPDPSPPAMATRRALSSILRSASRLRAASPSPVPRAPLHHRPSPAGFLLNRAASYASSAAAQAAPAPPPPSTGKTTGGGKITDEFTGAGAIGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDITTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >KQL07578 pep chromosome:Setaria_italica_v2.0:V:40607081:40613792:1 gene:SETIT_000940mg transcript:KQL07578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCRSPAAVAREDVSSSHFPASNAKKKPHQPRSGAAGGGGGGGGQKRLAVLGEEGCEVTGIDDKYVLDRELGRGEFGVTYLCMDRDTKELLACKSISKRKLRTAVDVEDVRREVAIMRHLPKSPSIVSLREACEDEGAVHLVMELCEGGELFDRIVARGHYTERAAANVTRTIVEVVQLCHRHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAETEQGVAQAILRGNIDFKREPWPNVSENAKDLVRHMLEPDPKLRLTAKQVLEHPWLQNAKKAPNVPLGDIVKSRLKQFSRMNRFKRRALRVIADHLSAEEVEDIKEMFKTMDTDNDGIVSYEELKTGIAKLGSHLAESEVQMLIEAVDTNGRGALDYGEFLAVSLHLQRMADDEHLRRAFLFFDKDGNGFIEPEELREALVDDGAADSMEVVNDILQEVDTDKDGKISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLIKDGSVKLGVE >KQL06330 pep chromosome:Setaria_italica_v2.0:V:32651744:32652223:-1 gene:SETIT_005460mg transcript:KQL06330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQIVQDLRFLTNLTTFLDIKQFNHFMQSAGQ >KQL05904 pep chromosome:Setaria_italica_v2.0:V:28955123:28959185:-1 gene:SETIT_004636mg transcript:KQL05904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITEVASRSAALIAAAAAGCRCGCCSAAAAAAAAGCACGKLQRRAIAAAKPEKSLNRFVRVLATGELVGNALGTLASLWATVVLLAGYRSSLDPVDFRIATVMVFVEAFRVFIRNFKFDNQSLFGTTKALRSMSSSFARMLARPREGSEVLLIIGGVITAFEPSLLMHGRFFRVVLLTFMSKTWISETPRLMRRLQQSRPLLLWAILIIPFVVAAAVASAFSGFTALPDILTQLVALVLLNLRPPRIGNLTSGLWGRRLLYVSKVISFISLASLGGVLLGFPISIVALLAVLLIGNLQIPAAVAQIVLSSWRIIQSLQLYSQNMVASVVVFYVLALCQGSLYIMACILAMFSFFPRRSLVRSSGFRSKWGKKAVDLYYERAYTTRMEIGVFAEDRISLASFVADSLNPAASSSPEMQLAGVRVLHSFLQRRDSNEELISVITRSEKAVPTLISMLGWTFEQDRDIRLFAARVIAELSSNLRISEIPGAVKLVSLLLDAENQPASEIDGGNGDDGSIGAQGLGDNEIGDSARNEQGRQDIVPEAADDNGDNVVSDQTRCQECTGNGGNASNPPAYEQLGHRGGNNGGCCSWVCRCWHRIEEKWSIPEEPPLTYQDSLPILGTVILERLACDVDNCEEIVKTTNLVPKIVGLISYTSSSESSNDNALIRSSLDLVRRLATTGRNIVRHELWESPFLLSNLACVLEDSRSSPEVWKPAIDIIATMALDEGERQGIGRVQVIIRFLVGVFIIGRDGPTTYCQSLRAAAGEALSNLAIESPANCLAILEARPEYELVERLKDMLGNDEYRCAAASLLGNCSMIWGRLSDPGVSNHLSSALPVVLENIMTAEGKQLEALIGLASKICSVLPPERSAQGLESHIIGAAFVQKLVDTLNSNKKPSPEYPRMRRAIIELVISFLERHPRYMLIIFGEGMLNALSKVEMTPSKVENYRVFLGNGGVVLEHGDPLRDLVGRAKRLIHPANQT >KQL07964 pep chromosome:Setaria_italica_v2.0:V:42840833:42851417:1 gene:SETIT_000109mg transcript:KQL07964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRTPPQRKRRADTDNDADLVVVKSGGGASAAGRSPVSDRRMVLYDRPTALVPAGAPGEQFDDMVCTYHCRQMVKSEFVVALDTAEKQVQEYQTKIDALEEQLSKSEDERMQFLDKLNYVEQELAATKGRESALQERLLKELSGYQERYHDQVQLNKEIDSRISAESSASSAKESIKDLEGNLQRLLESSEREKKTLKKELSYMKEDLTLSASRLNVELEKTRLKAENYESEAELLNEQLVDLKKQLEECLRERNEMELKLLNSSALPGQHAPTDDQKLIKLLREELRNYEKEVHEARRLKSSHTNVELMKEKLLEEQGRRERAEQELSKLQEVEAKAHKLELELASCTALLSNIPDVSSYADIPQKIADLQKQALTNLNKVGEVTSRLKELEVALEFADLSKQRAEGEANLAKERAESAAKEVKRLELMLAAISEERDKLRKEHAVELDQSGMEKTIRELESTIHEQKELVSHKDTELNIMNERLNLEAKKVKSLEREGDQLRSQVALLESKLGHGDYSASSTKVLRMVNTLAVDNEAKQTIEALQAELKKTKERLQAVEELKGQADAGTVVDANIAEKLAQLKNQIATLEKREERYKAVFAERISVFRKACCSLFGYKIVMNDQQQSNGIPVTRFILQSVYAQSDDEKLEFDYESGSTNIVVNDYTSQQEIAQQALTERQPQLEKTKALRARAPLSRKAVAALCVTSFVAGLLLSGRVSLMSADASRDDGAKESVRASGCAGNKRKLGESHPKDLLNEVSRTHQAIQSLDKAVSTLEMELAVERARSGAAGAGTAVPSKPPQKAFVVIGINTAFSSRKRRDSLRETWVPRGEKLRKLETEKGVVIRFVIGHSGAPGGGALDRALDAEEAETRDFLRLDHAEGYHELSSKTRIYFTTAVATWDADFYVKVDDDVHLNLGMLSSRLAKHRTRPRVYVGCMKSGPVLSQKGVKYHEPEYWKFGDEGNKYFRHATGQIYAISKDLAAYISINQPILHRFANEDVSLGAWLIGLEVEHVDDRSMCCATPPAGFCCNGEPSSVSSVGQIASGRSGPGTCAWRPSTGRAVASASRWTGCGTSTRPAAKAKGPSGTSPYDDWPSQLSSKSSLFVWMDLHLLGVQFGPLFSMKHSALRSLLHLASMAVSFETAML >KQL08822 pep chromosome:Setaria_italica_v2.0:V:47232672:47234433:1 gene:SETIT_003302mg transcript:KQL08822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADSGARRQPTFTKVDQLRPGTHGHNLIVKVVDSKMVVQRGREGGPQGRQMRIAECLVGDETGIIVFTARNDQVDVMKPGTTVELRNAKIDMFKGSMRLAVDKWGIVKAAESPAEFTAKEDNNMSLIEFELVTVVE >KQL03212 pep chromosome:Setaria_italica_v2.0:V:374178:374682:-1 gene:SETIT_005243mg transcript:KQL03212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSTRILMSILLSTRIWYIDVLCWVIFCTSYCSISLTTNCIHYFVLNALAIYICLG >KQL04292 pep chromosome:Setaria_italica_v2.0:V:7928014:7932700:-1 gene:SETIT_000609mg transcript:KQL04292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLLLLLASFLLPPPAASAGTAQPSSCWPKTCGGLNITYPFWLEERDRPPCGPPAFQLRCNSSGAFMVKSIYQAYRVVSIFAENQSLHVVDINLPLDTGCPAPMFNVSLVPRPLAFSKANKELLFIGKCTAGSQPEDSTGFHSLPCDRSSFVRLGDGRNFSRSHIQGGIPPGCFFAFVPILEVPKGNGDEYVVSLKKGFLLEWTTVPGHCPECMESGGECVYGDTGLNFACKCSGTLLPEKCGGSNRKIMIVSITCGTVGGILAVGILIFVWHKRKRRKQVGAPNGFMRSESSMQSYSKDLELGGSLHIFTYEELEEATDGFSDSRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYKRVEQFINEVDILSRLLHQNLVILYGCTSRSSRDLMLVYEYIPNGTVADHLHGPRASERGLTWPVRMNIAIETAEALAYLHAVEIIHRDVKTNNILLDNSFHVKVADFGLSRLYPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMGRSHSEINLANMALNRIQNHEVEQLVDPELGYETDDETKRMVDLVAELAFQCLQLERELRPSIKQVVEALNCIKNGDSPEKRMDLKSSPKEDSHLLKNSVQYSPDSVIHKFHSQSTTHSVASNASG >KQL04293 pep chromosome:Setaria_italica_v2.0:V:7928014:7933668:-1 gene:SETIT_000609mg transcript:KQL04293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLEDLRNLTHEDCQQYRFPTANTSSKIALPFSIDPIVNQNLILYNCTKPSAPAAAAAEGLAERSCGNNTLYARVGDERGNSSSDDSIMKGCTAIFVPVRGGYGKASASNYAQLLSDGFLLTWQLPPLQPRAGGSNRKIMIVSITCGTVGGILAVGILIFVWHKRKRRKQVGAPNGFMRSESSMQSYSKDLELGGSLHIFTYEELEEATDGFSDSRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYKRVEQFINEVDILSRLLHQNLVILYGCTSRSSRDLMLVYEYIPNGTVADHLHGPRASERGLTWPVRMNIAIETAEALAYLHAVEIIHRDVKTNNILLDNSFHVKVADFGLSRLYPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMGRSHSEINLANMALNRIQNHEVEQLVDPELGYETDDETKRMVDLVAELAFQCLQLERELRPSIKQVVEALNCIKNGDSPEKRMDLKSSPKEDSHLLKNSVQYSPDSVIHKFHSQSTTHSVASNASG >KQL04291 pep chromosome:Setaria_italica_v2.0:V:7928014:7930693:-1 gene:SETIT_000609mg transcript:KQL04291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVSITCGTVGGILAVGILIFVWHKRKRRKQVGAPNGFMRSESSMQSYSKDLELGGSLHIFTYEELEEATDGFSDSRELGDGGFGTVYKGKLRDGRVVAVKRLYKNNYKRVEQFINEVDILSRLLHQNLVILYGCTSRSSRDLMLVYEYIPNGTVADHLHGPRASERGLTWPVRMNIAIETAEALAYLHAVEIIHRDVKTNNILLDNSFHVKVADFGLSRLYPLEVTHVSTVPQGTPGYVDPVYHQCYKLTDKSDVYSFGVVLVELISSKPAVDMGRSHSEINLANMALNRIQNHEVEQLVDPELGYETDDETKRMVDLVAELAFQCLQLERELRPSIKQVVEALNCIKNGDSPEKRMDLKSSPKEDSHLLKNSVQYSPDSVIHKFHSQSTTHSVASNASG >KQL06145 pep chromosome:Setaria_italica_v2.0:V:30956835:30957288:1 gene:SETIT_003606mg transcript:KQL06145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNLPCVRSFSGMERIIFASASLKTSLTTTLSHDPCYCRMSSLTLYLNKRVASYRTILHHHFSKLYPFSGVLCP >KQL03154 pep chromosome:Setaria_italica_v2.0:V:111335:112188:1 gene:SETIT_003134mg transcript:KQL03154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENLKPVALLLLLLNLSLYTILAIIGGWALNVSIERGFIIGPELRLPAHFHPIFFPIGNFATGFFVLFSLIAGVVGIASAMVGFNHLRSWNYHSQQPAAAFGLVAWALTVLAMGLACQEISLDRRNAKLGTMEAFTIVLTVTQFFYVLAIRGGNHGPVPVERHGNLA >KQL06962 pep chromosome:Setaria_italica_v2.0:V:36745724:36748645:1 gene:SETIT_005175mg transcript:KQL06962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAATTTTTVRAHPHMKPPSGVGLLPPAASSSPRQLPRFSVPEPEGDRHGSHVAAGGGGANHHASPTTGTSTARHFGRAIIMPNLKPPVTTTAHALEYREEIMKALPPGSGPENTVWWLISRSFEPLMTLYLTDNTSPEEIKLGRKSGVVCAVKLYPAGATTNSQDGVTDIGKCLPVLKEMVRQEMPLLVHGEVTDPHVDTFDREKVFIDRILAPLVKKLPQLKIVMEHITTMDEVNFIESCEEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPGLKKRDSLHFLSNYENHFLNRHDDNHFGSKYDQIFHHQYGTFLALTVLPHDKRNKERSCGCAGIYSAPIALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKIVPRKNAWKVPATYTYSSGEIVPMFTGSTLEWLPSDQTEE >KQL07495 pep chromosome:Setaria_italica_v2.0:V:40040055:40042252:1 gene:SETIT_003782mg transcript:KQL07495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFDPVAKQLSEVLAGGYHRNTQLQALLSRPLDSRGQEVAMEFSQELSRVFAVSMAMLNSNAAAPEVRTGNSFGVSTPVKDQRARSDIGEVVAPSKKEITPSPHKDGYQWRKYGQKKIQNCNFSRYYYRCNRHRRCLAKKKVQQQDGSLLPPMFEVTYVNEHTCHVLRDTDDAAATMAPPGTTSRHRAGAARSSNGGDLFDLFPHVIGGGGGGGGSAEEENDAIVSCLATVVSGAAPSSWWTPAAEATAGDPAAAFVAPAGRPASVDGSVADDGGMMTTMVIDDTGFSSFCPVEEACQHQLVANHHRDVHMDVARLADTVWPQHAGGAWRRA >KQL08106 pep chromosome:Setaria_italica_v2.0:V:43584126:43586377:-1 gene:SETIT_002902mg transcript:KQL08106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAEKVAGDGFSGGEGHVEVEVGLGADVKGVIECRICQEEGEESAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQVYSPNYVLPPPKCCSDEMDMDLRQNWVGRIDTHDSHFLAIAIAEQQLLQAEFEDCVSANSSGVTCCRTIALILMFLLLVRHVIVIVRDISMLQDVTVLFSVSSTCLLNYRILLLICPLT >KQL08105 pep chromosome:Setaria_italica_v2.0:V:43582499:43586377:-1 gene:SETIT_002902mg transcript:KQL08105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAEKVAGDGFSGGEGHVEVEVGLGADVKGVIECRICQEEGEESAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQVYSPNYVLPPPKCCSDEMDMDLRQNWVGRIDTHDSHFLAIAIAEQQLLQAEFEDCVSANSSGVTCCRTIALILMFLLLVRHVIVIVRDISMLQDVTVLFSATLQFAGFFLPCYVIARSCYALQHRRRRQV >KQL08104 pep chromosome:Setaria_italica_v2.0:V:43583221:43586377:-1 gene:SETIT_002902mg transcript:KQL08104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAEKVAGDGFSGGEGHVEVEVGLGADVKGVIECRICQEEGEESAMDSPCACTGTLKFAHRKCIQRWCNKKGNITCEICNQVYSPNYVLPPPKCCSDEMDMDLRQNWVGRIDTHDSHFLAIAIAEQQLLQAEFEDCVSANSSGVTCCRTIALILMFLLLVRHVIVIVRDISMLQDVTVLFSATLQFAGFFLPCYVIARSCYALQHRRRRQV >KQL04763 pep chromosome:Setaria_italica_v2.0:V:11459884:11460660:1 gene:SETIT_002872mg transcript:KQL04763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIAGMFRPALEKIKSSIPSAADYARVLTGSFNDRTSLLLGAELAGRPAQAEEEPAPPAQEQEAAPTSITTVVHAFDIEAATPLQAAASAGEGGRDQEPADQLDAESRRVAKSVQTVCLFAASASLVLFVNRPSRDDSPSQQSKQPAGAAALYSAGLAFISLGFFSSLVLSMFSIVARPGEAAVARVQKWGMMVAVASVVVAFTLRMCMVMLPAASL >KQL05053 pep chromosome:Setaria_italica_v2.0:V:14897780:14900381:1 gene:SETIT_003011mg transcript:KQL05053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLWDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDDSLANVPFLILGNKIDIPYAASEEELRYHLGLSNFTTGKGKVNLGDSNVRPLEVFMCSVVRKMGYGDGFKWVSQYIK >KQL04153 pep chromosome:Setaria_italica_v2.0:V:6877407:6879877:-1 gene:SETIT_001540mg transcript:KQL04153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDDGLWSSLLPPPPQRPQRITSAAAAWIALSVAAALALLLLLLLVAGFGLPSASRSTSRRGHPGSSPPDPVELTLLAAAHDKGAVCLDGSPPGYHLQPGSGAGSRSWLIHLEGGGWCDTVRSCAGRTLTDFGSSKFMQRQINFTGILSNDPVLNPDFYSWNRVFVRYCDGASFAGDSQHEDGNGTLFFRGRRIWEAALLTGCSAGGLATLLHCNNFRARFPQEVSVKCLPDAGFFLDAKDLSGQRHMRSVYNGVVHLQNVTKVLPKDCLLANKDPTECFFPAEIIKSISTPTFIVNSAYDTWQVQNVVATDSSSPDESWRRCRADIRSCNSSQIQVLNEFRKEMVDGLTAVEDNTNCSWFIDSCFTHCQAWFDNSPWNHPVSPRLGNKTLVEAVGDWYFGRSQNQVVREIGCEYPCNPTCNSDKFPT >KQL05650 pep chromosome:Setaria_italica_v2.0:V:26677712:26683454:-1 gene:SETIT_002281mg transcript:KQL05650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLRAAQTLAFRSLLSARALHGAASPAAAAAAGARWSAPLVPPPRSPPPSSRAVPAGVAGAVSFSLTFATVAAAEAKAKERPPADLLPQNVVLYQYQACPFCNKVRAFLDYHDIPYKVVEVNPLSKKEIKWSDYKKVPILTVDGEQLVDSSDIINILQGRIRPDDELTNEDEAKWRRWVDEHLVHVLSPNIYRTTSEALESFDYIAKHGNFSFTERFAVKYAGAAAMYMVSKKLKKKYNITDERASLYDAANTWVEALNGRDFLGGSKPNLADLAVFGVLRPIRYLRAGKDMVENTQIGEWYQRMEDAVGEPSRIEE >KQL04381 pep chromosome:Setaria_italica_v2.0:V:8639812:8640219:1 gene:SETIT_004310mg transcript:KQL04381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFELDNSNNGAAAIDINTTELFTEDARSELVTSSQLARSLFSVGFITLVMDVATALYRPPRGVVFQGHRMAYYLTLAGIFAAGLAEVSTAFWLSCSGQEHGRRRAFARAVLYASVAPVVVIFALGGSAVVTNV >KQL07811 pep chromosome:Setaria_italica_v2.0:V:41933686:41936621:-1 gene:SETIT_000529mg transcript:KQL07811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTAMTVDFLRARLLSERSVSRAAKERADELAKRVAELEEQVRAVTAQRRQAERAAAEVVAILESQGFGGHLSDDVADDSDSDQDGERQEDDAKGRGDTPSAPGEEEPVAVKGEAEDALSGTAQPGGLSWKGRSVSPRKATQLKHKHGRRYFYLLSSSDSSPKYRMGQSCRKNKRRIELMSNGSRSAAPEDNGGRAGSQKRRQDGSDCTEDGQADMGGEVGGDERSSGDGGGGQYVIRYEKDGEMERVLERQAELIGQYEEEEKAQWEWEKQYNENRNANKVDVEVKNKAYQTDAEANSSKNDLPITINPSAECLPNGSLSESPQNASQENGAQRREARDEPDNGRAQTPSVSAQESSTTSTVTKQDQDRGDLISDGDSGYNANTKHYAIKAPSEGSPSSDTLNSKVSDWSSSQFHDNTDSQADTHPYRPASTNIEDIESVLQALQRARISLSAKLSKPVPPNQVTLALPAPGDEHKEYDDTQTIDDSSNSFREELGTSSPARQEILALPAPEDYHERVGLLVHDTGISVAERLSSSSPRREEILALPAPGDDCRREIEDYRNIPVGAPGLFRLPTDSFPVDEKMFSASISFGTPVAVHGAARSAPSVPGDGSGIPAKQRYDLQAPALLSVPTPGRCNVPTPDFTVGSAPFLPGIPGLEQDLRRAGPLGNADLFMQRGIAYTISNKWML >KQL05433 pep chromosome:Setaria_italica_v2.0:V:24375209:24377424:-1 gene:SETIT_005042mg transcript:KQL05433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTATATLCCRLIRLPSARRPPPSRARCFATQSPDAVDKEYADLNLRPLYTNRGQHLRIRQHVNPLSSSFSEPTEPPDWKEVFEDPLLPLMVDIGCGSGRFLIWHAKNSGQRRNYLGLEIREKLVERTQFWVNELGLRNIYFMFANATVSFEKIISSYPGPLSLVSILCPDPHFKKRHHKRRVLQPPLVDSITKNLCLGGQVLIQSDVLEVAADMRERFDGYSDILEHVDCVDKDLHCDNEGWLLDNPMGIRTEREIHAELEGATIYRRMYQKIRDASH >KQL05567 pep chromosome:Setaria_italica_v2.0:V:25858384:25860322:-1 gene:SETIT_003737mg transcript:KQL05567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLLAPKRRPEDDAPAPSVPSAKRSKPAASSTTAPGATTNVLDNIPIGRVDSYKILDKIGAGAFGDVWKARHRSTGQKVAIKSVRAGGKAALLREAALLTACAGNPGVVELHEVVRGGGGTEMDDKLYLVMEYAGPSLHSVVGARRHAGRPLVEDEARRVMRWLLRGVRMMHERGVVHCDLKPGNVLVGKEDGRGRGRAVKICDLGLASSATVPPPDTSGPVQGTLWYMAPEQLTGDTECSAPVDLWSLGCVMAELVAGKPIFQGSDVFEQLGEIVHLLGIPDEVSLMSLGVSPSTPSHLRDAVPEERLSPAGFDVLRGLLEFDPRDRLTSAAALQMPWFTGKDDDDAPSPAGA >KQL05330 pep chromosome:Setaria_italica_v2.0:V:22556866:22558293:1 gene:SETIT_003420mg transcript:KQL05330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGSGVVKHIVLARFKEEVTPERLDQLIQGYAALVDAVPSMKSFHWGTNVSIENTHEGFTHVFESTFESTEGIKEYVKHPAHVEYANEFLSVSEKILIIDYKPTSVN >KQL07935 pep chromosome:Setaria_italica_v2.0:V:42635450:42640039:-1 gene:SETIT_001083mg transcript:KQL07935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLLPRAASVLYAAAAAPLLLSSPRMPALCLAGSPFATSRPVANPSRIRSPPWLRCGGGRRGLCSAEAARRGGDAEEEKEKGGGGRAVPERRQRGRSDALGESGELLAIPGVGPRNLRKLVDKGFDGVAQLKQLYRDKFFGKSNEKMVEFLQNSVGIIHKNHAESITLFIKESVDEELKGTDTSKLPKNRRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPERYAYTFQNYVFVTRVMQEKESACGMKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGGASGVLSVSQLPVHLEGSLPADIRDRVFYLEGDHMHSSIQKVPALVLDCEHDIDFNKDIEAKRQYARQVAEFFEFVKKKKESSTAETIDGDKSINKQIMLPQSGGLWVPGSSRLPESALKSFDFRRTMSSFLST >KQL07936 pep chromosome:Setaria_italica_v2.0:V:42637437:42640039:-1 gene:SETIT_001083mg transcript:KQL07936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLLPRAASVLYAAAAAPLLLSSPRMPALCLAGSPFATSRPVANPSRIRSPPWLRCGGGRRGLCSAEAARRGGDAEEEKEKGGGGRAVPERRQRGRSDALGESGELLAIPGVGPRNLRKLVDKGFDGVAQLKQLYRDKFFGKSNEKMVEFLQNSVGIIHKNHAESITLFIKESVDEELKGTDTSKLPKNRRLTFCVEGNISVGKTTFLQRIANETIELRDLVEIVPEPIAKWQDVGPEHFNILDAFYAEPERYAYTFQNYVFVTRVMQEKESACGMKPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSSLPGLIPDGFIYLRASPDTCHKRMMVRKRSEEGGVTLDYLRGLHEKHESWLLPSKGGASGVLSVSQLPVHLEGSLPADIRDRVFYLEGDHMHSSIQKVPALVLDCEHDIDFNKDIEAKRQ >KQL08081 pep chromosome:Setaria_italica_v2.0:V:43494564:43495136:1 gene:SETIT_005641mg transcript:KQL08081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPSNFAGNQGLCGAPLAACDRRSKWISDESSIGAAVGLVVGFVVAFYFPHCFIFSQRLRPYFFRIF >KQL07562 pep chromosome:Setaria_italica_v2.0:V:40495350:40497262:-1 gene:SETIT_001739mg transcript:KQL07562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSTAAATTTSRTTAGGSALPGPPPPTPSNHHAGPSSSAAAGGTDAALSAFLHRLLLSSPAPQLRSPLAARSQASPSFPPLVSLEDPDQRALRDAADAGYFHLAGHGLPSELPSSALAELSQIDASSRRDSNLRTLGFSEEEDEEEGDDQDADGGADDPAVVFDACEGDMDALPAAAEYARRMRDVGMRVVALLSGCPDAGIREEPFAEGRRKARCLVWASKVSAGDTAPPAAGKAKAYPYVVGLQCQWEASGQEAAPASWVMNEGGEWTAVGARDGALLVTIGDIAQVWSNGKLRKVRGMARPTSAPLGTQHGETDRLSLTVLVTLSLDNIISPLVPLSSDAAGEGDEDRDEEADGAGADGDGWRFHSFLLEDYAWRVYHQRLQFKDPLVRYRI >KQL07211 pep chromosome:Setaria_italica_v2.0:V:38391028:38392033:1 gene:SETIT_002986mg transcript:KQL07211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKTTIRYPCRADPLRGPGGARRTGRAAAANSVGPHADAVPPVERRGRTRKPRREDCARDGDGFDPRLRARPRRRAAAAGRREGARAPPILLALPRSAVARRLAQPLRLVVSVSTHLPARPEPALALRDRANAGARAFRTRTWLVAAAGGEEWCPWTGCALVRRRKEHGVPPPIRRGGVRCSPSRRRHSALEETRA >KQL03630 pep chromosome:Setaria_italica_v2.0:V:3531341:3534469:1 gene:SETIT_002367mg transcript:KQL03630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMAWYPLPQSGAALSAGDEFLENQSAGWSLWSFTSSDDHDAAAAYPETHGSGAAPSEEGSVSFSVSFPIVILFSIYCFSRSRIASRRSQFSDEEMRRMDAPFEALDMFPDSMHRLLSYEDMLSGVLTGSSSGDGEVKLERDGVDAMDTCGFPLFSHDLQNAEPNGSEEMLADTLSMDKDGMGTVKRSRSFADDESAGGFNFESLVLEELEDVVFQLTKKTRICFRDAFFRMAETSSNARCGSRIPAAADCCPERATNAIDRTVADLTMRPPSPAPLQDHGSCFDGGGSGAEAQSTTGWTATA >KQL03628 pep chromosome:Setaria_italica_v2.0:V:3532234:3533608:1 gene:SETIT_002367mg transcript:KQL03628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMAWYPLPQSGAALSAGDEFLENQSAGWSLWSFTSSDDHDAAAAYPETHGSGAAPSEEGSVSFSVSFPIVILFSIYCFSRSRIASRRSQFSDEEMRRMDAPFEALDMFPDSMHRLLSYEDMLSGVLTGSSSGDGEVKLERDGVDAMDTCGFPLFSHDLQNAEPNGSEEMLADTLSMDKDGMGTVKRSRSFADDESAGGFNFESLVLEELEDVVFQVRSFSEEARE >KQL03629 pep chromosome:Setaria_italica_v2.0:V:3531341:3534469:1 gene:SETIT_002367mg transcript:KQL03629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMAWYPLPQSGAALSAGDEFLENQSAGWSLWSFTSSDDHDAAAAYPETHGSGAAPSEEGSVSFSVSFPIVILFSIYCFSRSRIASRRSQFSDEEMRRMDAPFEALDMFPDSMHRLLSYEDMLSGVLTGSSSGDGEVKLERDGVDAMDTCGFPLFSHDLQNAEPNGSEEMLADTLSMDKDGMGTVKRSRSFADDESAGGFNFESLVLEELEDVVFQLTKKTRICFRDAFFRMAETSSNARIPAAADCCPERATNAIDRTVADLTMRPPSPAPLQDHGSCFDGGGSGAEAQSTTGWTATA >KQL05474 pep chromosome:Setaria_italica_v2.0:V:24910734:24911144:1 gene:SETIT_004886mg transcript:KQL05474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMFLFPTLYLMGSARKGVKKKRHTSVEIREVKEHVKNCQVTFRMEPHIFKEVETYLIRKRLIVDTRITVKEKLDFFLYMLSRNASYEDLVVTFGHNNDTFHRHINHFFKKVIPTLSHHFLQSPILIKCI >KQL07219 pep chromosome:Setaria_italica_v2.0:V:38416804:38419111:1 gene:SETIT_002288mg transcript:KQL07219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARASMAALLFLHILLSPFAEETTAAAAGKPNIVHDDLNPVQVTNPITTVPSTNPTPTIITVPSTNPTITIPSLNPLPTPITDNPPSTAPPVPVIYPLPTPSTTSPPTVPVTNPTVTTPSTFPPSAPFTNLNPTPPPAQIITAPAVSGQQFWCVVKAAGSSEAALQNALDYACGIGKADCSAIQPSGSCYYPNTLQAHASYAFNSYYQRNPAPSSCDFGGTAMLVTANPSSGSCVFPSLSSSTVGYNPATTTTPLPSSSGSDSGSPVLNASGTGFSEPSEFGPDIPGAVDTGDAWRSIKPLHWLWAALVWILHFVRT >KQL07742 pep chromosome:Setaria_italica_v2.0:V:41481162:41482371:-1 gene:SETIT_003192mg transcript:KQL07742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRIGVAMDFSASSKKALRWAADNLVRKGDTLVLLHVRHHGREEAKNVLWSHTGSPLIPFEELMEPPVRQRYDMPEDPEVFDMLNALALQKELCVVVKMYWGDPREKVCDAVGELNLQSLVMGSRGLGQIQRILLGSVTNYVLSNASCPVTVVKSK >KQL03949 pep chromosome:Setaria_italica_v2.0:V:5560113:5561312:-1 gene:SETIT_004859mg transcript:KQL03949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLDSDDDRPVGEMTESDIEMFRHIFPGRRDPIVHEFSDLTLSDQAFAEGRDDELLEAPEASPSMVIEEGREQCPWRVCARKQNIIGKWKITKVVGPHNCADHELTVRHPQLTSTLMAKRLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWKMIYGDWESGYEQLPVLFNAIKAVNPGMHYEYILKPNAWKDGRQIFGRAFWCFPQSVEAFRHCCSVFSIDAISCDANNMEVGVISDRHQGILHAVQEQIEGYAPLHHRWCTPHLAENLLRKDIATRQLEDYYFQRKLE >KQL05635 pep chromosome:Setaria_italica_v2.0:V:26574125:26577511:1 gene:SETIT_002100mg transcript:KQL05635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSQESTFSSASGAAQVNASTLDLLPVYAKELTAGGAAGAFAKTAVAPLERVKILLQTRTEGFQSLGILQSLRKLWQYEGVRGFYKGNGASVLRIVPYAALHYMTYEQYRCWILNNFAPSVGTGPVVDLLAGSAAGGTAVLCTYPLDLARTKLAYQVSNVGQSGNALGNSGRQQTYNGIKDVFKTVYKEGGARSLYRGVGPTLIGILPYAGLKFYIYEDLKSRVPEDYKKSVILKLSCGALAGLFGQTLTYPLDVVRRQMQVQSKLPQNSSDGFRIRGTFQGLLLIIRCQGWRQLFAGLSLNYVKVVPSVAIGFTTYDMMKTLLGVPPRERVHASSGANK >KQL07125 pep chromosome:Setaria_italica_v2.0:V:37806690:37807340:-1 gene:SETIT_004028mg transcript:KQL07125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIEVSKPSKRLSPKRSGSFKLSLPGLFCGQCKATSVSSPPDSPTGAGARSLSSSSASASASSSGTSRGRDRTAELREIFRHFDRDMDGRISGRELREFFASMGDGGLALGQGLALGGLEDGGGGDLMLGFDDFVRIVESKGGEEEEREDLRRAFEAFEAVKGSGRITPRGLQRVLSQLGDEPSVAECEAMIRAYDDDGDGELDFHDFHRMMSQD >KQL07843 pep chromosome:Setaria_italica_v2.0:V:42111891:42113369:1 gene:SETIT_003030mg transcript:KQL07843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTRLSSSSCSSTALQRHACTTGKPPFRGAAGCWKKREKLPGFRARVAVKPPCAAPGKGGIVPAGNDDDGVSLGTVKLPGNIDVARFESLLFQWGNSLCQGANLPLPVPLKVDKVEGGIRLGFIAVDDGATQTLVYIDCLVSQAPDGSGPVFRAIRNGPMKDKEPPGEPRIMRSLLQALQKSIQIAQV >KQL08311 pep chromosome:Setaria_italica_v2.0:V:44712857:44715897:1 gene:SETIT_002976mg transcript:KQL08311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNSGGGPISTQAAAALAEGINLVFGRWTALQMAVENQWGGRDSRAKADQFGESLHSWFCRSRGPHYFEDLVDMMYEKISDSFNADFEDNSVEEVAEQLLIMHEECLQSNYSSIEVLRNSHVQGSAVSQSRQISADGDDSDSSDDDDGDASMMEDEAAAAPEEMAVDRPRPSKPAPDADGWTVVPPRHGGRSRGGKN >KQL03743 pep chromosome:Setaria_italica_v2.0:V:4377310:4382378:1 gene:SETIT_002816mg transcript:KQL03743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAQLPNIAPQLEHERQVSRGTHEAGRSVRLGLLSSRLPRGRGILSPLPPFALRLSAPRLPPSLPPSVRSLARVAMADQLTDDQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >KQL05652 pep chromosome:Setaria_italica_v2.0:V:26723799:26726717:-1 gene:SETIT_002092mg transcript:KQL05652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAFLCARAAAPALLPPSRRLPRRLLASRAVASSSSGEGGGGVGFQGKVGFLGLGIMGSPMASNLIKAGCDVTVWNRTKSKCDPLLSLGAKYEPSPAEVASSCDVTFAMLADPQSAAEVACGANGAAEGLAPGKGYVDVSTVDGATSKLIGERIISTGASFLEAPVSGSKKPAEDGLLIFLTAGDESLYKRVAPLLDVMGKSRFYLGDVGNGAAMKLVVNMVMGSMMVSFSEGLLLSEKVGLDPNTLVEVISQGAISAPMFSLKGPSMVKAAYPTAFPLKHQQKDLRLALALAESVAQPIPTVAAANELYKAAKSLGFADHDFSAVIEALKAKVQSSQQQ >KQL06081 pep chromosome:Setaria_italica_v2.0:V:30510629:30512569:-1 gene:SETIT_002050mg transcript:KQL06081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEALLSPANAGSLRSAFELKPFAFGDQRLASPRYLNLACGGTGGDDALFRCSSPFSPSFGFSSPSPLATSSVSLSPSSSASLVDDCDDAAATDAATGHRLQLARLALQYQEVADRYELCLARLADAADEAAALRRENAELRAANGDLTRRLALLSGIGKQAAAAAIADEVRRLRFSEQKAVPAAKERAQPEKLAVLPKSISVRSNDYLKMNQPNQAPATPAANNRKPRASNPTKPSSQRVYIGDGGDKKGEEAKQQHAAGAMELEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTSAERLLLPRP >KQL06080 pep chromosome:Setaria_italica_v2.0:V:30510629:30512126:-1 gene:SETIT_002050mg transcript:KQL06080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEALLSPANAGSLRSAFELKPFAFGDQRLASPRYLNLACGGTGGDDALFRCSSPFSPSFGFSSPSPLATSSVSLSPSSSASLVDDCDDAAATDAATGHRLQLARLALQYQEVADRYELCLARLADAADEAAALRRENAELRAANGDLTRRLALLSGIGKQAAAAAIADEVRRLRFSEQKAVPAAKERAQPEKLAVLPKSISVRSNDYLKMNQPNQAPATPAANNRKPRASNPTKPSSRVYIGDGGDKKGEEAKQQHAAGAMELEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTSAERLLLPRP >KQL06082 pep chromosome:Setaria_italica_v2.0:V:30510883:30512010:-1 gene:SETIT_002050mg transcript:KQL06082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEALLSPANAGSLRSAFELKPFAFGDQRLASPRYLNLACGGTGGDDALFRCSSPFSPSFGFSSPSPLATSSVSLSPSSSASLVDDCDDAAATDAATGHRLQLARLALQYQEVADRYELCLARLADAADEAAALRRENAELRAANGDLTRRLALLSGIGKQAAAAAIADEVRRLRFSEQKAVPAAKERAQPEKLAVLPKSISVRSNDYLKMNQPNQAPATPAANNRKPRASNPTKPSSQRVYIGDGGDKKGEEAKQQHAAGAMELEVYNQGMFKTELCNKWEETGACPYGDQCQFAHGVAELRPVIRHPRYKTQVCRMVLAGEVCPYGHRCHFRHSLTSAERLLLPRP >KQL04445 pep chromosome:Setaria_italica_v2.0:V:9079645:9080409:-1 gene:SETIT_003238mg transcript:KQL04445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAAKKPAEEEPAAEKAPAGKKPKAEKRLPAGKSAGKEGGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAGEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KQL04726 pep chromosome:Setaria_italica_v2.0:V:11220229:11220474:-1 gene:SETIT_005062mg transcript:KQL04726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARMFGLETPLMAALQHLLDVPDGDAGAGGDKAGAAAGGGPTRTYVRDARAMAATPADVKELPSSYAFVVDMPGLGTGDIK >KQL05843 pep chromosome:Setaria_italica_v2.0:V:28387197:28388095:1 gene:SETIT_005404mg transcript:KQL05843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLQEEPAVIESYYNKRIVGCPGGEGEDEHDVVWFWLKKDEPHECPVCSQYFVLKVIGDGGDPDGHDDDDEGHH >KQL07055 pep chromosome:Setaria_italica_v2.0:V:37355750:37359492:-1 gene:SETIT_002131mg transcript:KQL07055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHQVSAVIFDLDGTLLDTERATRDVLNEFLASYEKVPDPEKEEKRLGQMYMESTTGIIRDYGLPLTVEEYSKAMHPLYLKRWQKAKPLPGVKRLVKHLYKNGVPLALASNSIRRNIDHKLPKLEDWGECFSVILGGDQVPNGKPSPDIFLEAAKRLGVNPSSCLVIEDSVVGVKGAKASGAKAVAVPSLQSQRKHYYIADIILYSLLDFDPELWGLPPFEDHVHTYECIPDQISGIYMGWARSKVHGFSKVVIGTGWDFSQQTVERVMVVEFLDSTGKIETEPVKLLVIGYIRKLQSTDDMLQALSVTDEDRSIARDGLDLPTFSEYAHDLHFP >KQL05614 pep chromosome:Setaria_italica_v2.0:V:26367770:26368015:-1 gene:SETIT_004989mg transcript:KQL05614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANGDAPARGSAAAAASLRRRRTTSGAAGGGGGASSMLQFYTDEAAGAKMSPNTVLIMSIGFIAVVAMLHVFGKLYRTAN >KQL07482 pep chromosome:Setaria_italica_v2.0:V:39962499:39962639:-1 gene:SETIT_005392mg transcript:KQL07482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLLQGCHKHYSLCNLNAAVDCYSLCKQTINMT >KQL03161 pep chromosome:Setaria_italica_v2.0:V:152271:153197:1 gene:SETIT_003126mg transcript:KQL03161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCLGRKAETRVCGFDWGRAPRLIDLSPPFILPPQLQPPATKMGIRGGRGAQPSASLLLVSLTLVGLVLLSFTSSSSVEAQKKTWCVAKPSASNDILSLNLNYACSQVSCAVIQKGGPCYYPDNFVSRAAVAMNLYNAANGRHPWNCYFNNSALVVQSDPSYGSCTYY >KQL07066 pep chromosome:Setaria_italica_v2.0:V:37440866:37443085:1 gene:SETIT_002684mg transcript:KQL07066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDAERAPNPSSHHHQPLRPAKSAAFKREERRKRKDRKRQERLADELARWEPLGAPPSRPAATGSVSPSSPQPDIPWPCDSPPPPDPAEWSWGPPAVPPPQPTVEVAAAVPLHPQAAAVRSCRAFFEARIEDDEEEEDAEGSAARFFGELLGGDAALRGFYEAEREKGQFLCLVCEGSGARVGKRFAGCAALVQHAGSVARTKRRLAHRAFAGAVGRLLGWSASQAAPPAAGSDSDGASQSVDMEVS >KQL07065 pep chromosome:Setaria_italica_v2.0:V:37440866:37442007:1 gene:SETIT_002684mg transcript:KQL07065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESDAERAPNPSSHHHQPLRPAKSAAFKREERRKRKDRKRQERLADELARWEPLGAPPSRPAATGSVSPSSPQPDIPWPCDSPPPPDPAEWSWGPPAVPPPQPTVEVAAAVPLHPQAAAVRSCRAFFEARIEDDEEEEDAEGSAARFFGELLGGDAALRGFYEAEREKGQFLCLVCEGSGARVGKRFAGCAALVQHAGSVARTKRRLAHRAFAGAVGRLLGWSASQAAPPAAGSDSDGASQSVDMEVS >KQL03279 pep chromosome:Setaria_italica_v2.0:V:691467:691805:1 gene:SETIT_004148mg transcript:KQL03279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNLSEKANLESHAKSLYLKSRRMVLMPVNDNTNYMGVGGGKHWSLLVIHIAEDHSSCHFVHHDSVSSDLNYTAAVKYANVLQQVLPKAPPVIEAHTPKQLNGSDCGLCVLL >KQL05196 pep chromosome:Setaria_italica_v2.0:V:17389262:17389729:-1 gene:SETIT_002864mg transcript:KQL05196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPESRAISKYILRKYKSAETDLLREGNLREAAMVDVWTEVEAHQYNPALSPVVYECLINPTMRGVPTNQKVVDESLEKLRKVLHVYEARLSKSKYLAGDFLSFADLNHFPYTFYFMATPHASLFDSYPHVKAWWERLMARPSMKKLGASMAPKP >KQL05197 pep chromosome:Setaria_italica_v2.0:V:17389045:17390428:-1 gene:SETIT_002864mg transcript:KQL05197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVKVFGPAMSTNVARVLVCLEEVGAEYEVVNIDFQAKEHKGPEHLARNPFGQIPAFQDGDVVLFESRAISKYILRKYKSAETDLLREGNLREAAMVDVWTEVEAHQYNPALSPVVYECLINPTMRGVPTNQKVVDESLEKLRKVLHVYEARLSKSKYLAGDFLSFADLNHFPYTFYFMATPHASLFDSYPHVKAWWERLMARPSMKKLGASMAPKP >KQL08271 pep chromosome:Setaria_italica_v2.0:V:44511319:44512278:1 gene:SETIT_004941mg transcript:KQL08271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGKVGPTRATASPHDLLAKFARRKDVVRKKADELARRCAIDVAVICTGPGGVGDLDCWPSKEAASEVLRRYSALAPAHRARHTEDLAALVARQLAEERDKLVRAREGGVALALGSRDGSLEGLSEDKLRELLAAIEGSQVDAKNRILMLQAPLGGAVDHATLSRGLVHEDEATQEDSVSATDNGVPPRGEAAAGGGELGPPPGKNPNSPNAAMPVGKEIVAENIVTAKYDGGEVQILQPPGDADAEWMRGLVDDLKKRPQPYDPAAYAANVGWFVMERDAYDSIRFDLGMPPPCIAPMDDDGEPLKLWSWENTMPPP >KQL08350 pep chromosome:Setaria_italica_v2.0:V:44938545:44939999:1 gene:SETIT_001867mg transcript:KQL08350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGAGGKLPQSGSHAVSFLLGAALPTALLFLLASDRLGEGLSTISASWRGNGTTTTVLPQIAPANNKPPAAGTLAADDDRAAPTQDHEVEFAGLAKLLRKVAMEDRTVIMTSVNEVWTRPNSLLDIFLGGFRNGEDTAHLVDHVLIVTVDASSYRGCKAVHPHCYLLEVKSMDMNRARTFGTREYVEMIWLKLSIQQRVLELGYNFLFTDADILWLRNPFQHISVYADMSCSLDNSKMAPALLDCENNVGFYYMKSTNRSVAMIKYWRAARARFDGDPIEQVVFNTIKHELISELGARIQPLETEYISGFCDFQDRLDKVCTVHANCCMGLENKVSDLKNVAADWKNYTSLPPEERKKVDIKVTAPSNCRKSMGWT >KQL04421 pep chromosome:Setaria_italica_v2.0:V:8830404:8830802:-1 gene:SETIT_004011mg transcript:KQL04421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPFPSLGGFLARRPLLVYAATWTAVAVTAVAVAAFAPELAFVWAVGPGTPLSRACQGGDGFSVGLPLDGPPWDVVCVPAGMFGRAKPDVVVPLVFAVVVVTGAVWFTTAVGVWEDDDDHDEEPASPASVEH >KQL05520 pep chromosome:Setaria_italica_v2.0:V:25339857:25343367:-1 gene:SETIT_000710mg transcript:KQL05520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGCGATWGGGVGVPSPARPTLPQVKCRTHWLLMPTTASYGTQHEMKYCTLPQNKCILLGGRFGRHVTRSTLFQFQNYSRNTAMATLEMSGQFQQPMLESSRDYLTRAFHGASMKRRVVSRVECFLSSDPINSGWLKPRRWENFNSLESACVQPEYKLPIRTRADCKAEQYEITGSPLSPSDVPAEAVRIGDTNEVSPWWQEFPKRWTIVLLCFFAFLLCNMDRVNMSIAILPMSSEFNWNPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSLATILTPIAAKIGLPCLLTVRAFMGIGEGVAMPSMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPMLISKFGWPSVFYAFGSLGSVWFALWQSKAHSSPDDDPNLSNAEKRHILGGGTFKQPVTSIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGVSITNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQV >KQL05521 pep chromosome:Setaria_italica_v2.0:V:25338709:25343678:-1 gene:SETIT_000710mg transcript:KQL05521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGCGATWGGGVGVPSPARPTLPQVKCRTHWLLMPTTASYGTQHEMKYCTLPQNKCILLGGRFGRHVTRSTLFQFQNYSRNTAMATLEMSGQFQQPMLESSRDYLTRAFHGASMKRRVVSRVECFLSSDPINSGWLKPRRWENFNSLESACVQPEYKLPIRTRADCKAEQYEITGSPLSPSDVPAEAVRIGDTNEVSPWWQEFPKRWTIVLLCFFAFLLCNMDRVNMSIAILPMSSEFNWNPATVGLIQSSFFWGYLLTQILGGIWADRFGGKVVLGFGVVWWSLATILTPIAAKIGLPCLLTVRAFMGIGEGVAMPSMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPMLISKFGWPSVFYAFGSLGSVWFALWQSKAHSSPDDDPNLSNAEKRHILGGGTFKQPVTSIPWRLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVQRGVSITNVRKIMQSIGFLGPALFLTLLSKVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDSVFKVAVVLYIIGTVVWNVFSTGEKILE >KQL07381 pep chromosome:Setaria_italica_v2.0:V:39426024:39428628:1 gene:SETIT_004951mg transcript:KQL07381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGDEPRVRGCHIVAMPFPGRGHVNAMMNLSRLLAARGAAVTFVVTEEWLGLIRSSSSSTAVGVRLRSIPNVIPSEHGRAADHSGFLDAVATEMEAPFERLLDGLEGPPPAALVADAYVPWVVGVGNRRGVPVWPLFPMAASFFFAYYHYDRLPTWLTGDGKHAPDSGEVVDNSDQRLMHYISSQASSSIRLSDLEPLIHNKRTVKHILSAISSIRNAQCLLFTTMYELEASVIDSLRSVLSCPVYPIGPCVPYMTLGDHSSMSNGDTSQGDYFTWLNSQPVSSVLYVSLGSFVSVSTSQLDEIALGLAASEVRFLWILREQSPQVRDLIGDTDKGMILPWCEQLKVLCHSSVGGFLTHCGMNSTLEAIFAGVPMLALPLFFDQPIDGRLIAEEWKIGLNLRDWANKDGLIGREDIARAAKRLMSSDEAEAKAVRRRALEWKEASRRAVDMGGSSYCNLSSLMEMVCASE >KQL07839 pep chromosome:Setaria_italica_v2.0:V:42093532:42093921:-1 gene:SETIT_004817mg transcript:KQL07839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGNGETTAPPQQQEPPAAPAAGATSGGGVIAALEEQWRKTKEHAETYPYVWGSYILVYGGLGAYLAWRWGKLRRTEDRVRVLQERLRKLAAAEESQAASGSASTAPTPPPPPPQPAARPPKPVSGP >KQL08210 pep chromosome:Setaria_italica_v2.0:V:44201787:44204120:1 gene:SETIT_000784mg transcript:KQL08210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAVGRPAFGLAVAPRTTHAEERLAVAEHALLQWARSPGADTGVWDADASYTNRGLLAAVDDVLLLAEEDPFPLHPAASPARRRLDSAVGAAASRMVEEFQRVRVWDASPLRTAVDRLSLASSGVSLLVFPSSGDRTSSASSGGEVDASDGTRSRASSGVPDKVAALLESEVWDELDLVRPAGVSVLHEIALRMVRAGCTKELFRAFANAPCDVLDRFLSIIRVECSQRTTEAVIKRWTTVTKIIGKAIVAMRRQLHAQTPGAFDSFRDEYLLAIAENRTLILLEFADGFTTIMSHEKLVYMLGMYEALSDAAPSLLLLFSGARKELVSERTQEILTKLGDAMKVMVSGVMAKIQGDCPRKPSAPGGVHPLARDTMACVELLARHRTTLDLILADGDGRGTPAVAGSLAAGFVAELIACLERNLQGKLALACANAGGSRHLFLANNIGFILSRAADAGDVASLLGDAWAARRRGRLARHVASYAESCWGTAIALLETPVCGRGKPAKVLAEFDAAFTRARDSEACREVPDPALRAALRNAVSEMVVPAYSAFLQKHPNLGTSVRYTTDDVAESLSELFEGESVDSRKS >KQL07007 pep chromosome:Setaria_italica_v2.0:V:37032114:37036753:1 gene:SETIT_001952mg transcript:KQL07007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWRAVRARRRIAGARPASTAAAAAEKSCRAVVVPRFGGPEVLEVRQGVPVPDLKPREVLVRTRAVSINPLDLRMRSGYGRSIFEPLLPLIIGRDISGEVAATGTYASSFSIGQEVFGALHPTALRGTYADYAILSLDELTPKPSTLSHVEASAIPFAALTAWRALHGTARISEGQRVLVIGGGGAVGLAAVQLAVAAGCGVSATCGSQSIERVMGAGAEQAIDYTAEETEAAVKGKFDAVLDTIGVPETERIGINVLRRGGHYMTLQGEAAALADRYGLAVGLPVATAALLKKQMQYRSSHGVEYWWTYMRADAEGLHEIQRLSGAGKLQIPVEKTFPINQVREAHMAKEKKLVHGKVVLEFD >KQL05653 pep chromosome:Setaria_italica_v2.0:V:26741750:26747462:1 gene:SETIT_004528mg transcript:KQL05653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFEGPPSFSDLVDRVMRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVAVMNHNGTQESEMLHHVLGETERDFNLAIANDDFPNNVFERDEANIDVDYVSMGSEDCELEEDGDISMVHKGICESSMVNAEGTSGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTSKYLGRRILGIIRKDSETSVPSLVESIFAFSGYRVKYSNAWRAKQHAVALLWSDWKESYGMVPRVLSAITYYNPGVKWCIDSCGMMHPDNGVLKHILQRVFWCFPQCSEAFQYCRPVILVDGTFLTGKYKGTLIMAVGVDPEQQLVPLAFALTESENNESWSWFMKLVWLHVLGPSRIVCMISDRHHGLLNCAKDHMDGFPPLVHRWCTRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFSEKLEDLAFDEGGMRWGIMTTNYSESLNAVFKGIRSRPVSGIIEYSFKKCNAYFVDRWQKARAMLDEGYRIGKVVDDYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLNEVSCTYNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTVKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNTVGRRQKKCFTGIARVAIENLKAQNLGRTVIGRVLRTIGMAAPAYPLLESAYDLQHHAHHLVDLNERAGFLDLAVQVVGGLPPMDGPLLTLMVDRWRSETHTFHLLFGEMTITMQDVAMILGLPLDGQPPWHRDNAHPTFYHVWKHVRPIRGNPNRRYRAYTNEFDVLTQHQVEWKPYDHRELWRCTTPMILYYVVEFHMLHRVMRQFGRMQPCPPLELSTSQQLHRIDRRKRYKENDWRVKHGQYLIMWQNKQGCDPEGGPYWRPGPNNEYIRWYCTSTRTKVKPSWSNVPIEDAPSDSDANIADAYDTGQQLARLSNEVGVIMEHAIGEGDGLLRPSRRSRGKEPAFPQASEDSEGEQSEDDDPTYGEELEISGMIDAPPVTQMQGESSQCDALDFWNRHVCKRLSWLLGLFLSRV >KQL07995 pep chromosome:Setaria_italica_v2.0:V:43031518:43033204:1 gene:SETIT_002578mg transcript:KQL07995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRYGLPFVSPNNILVTTINGTGSLIEAIYVVIFLIFAERRIRLRMLGLLGVVTSIFAAVVLISLLALHGNGRKIFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIIIPNGCGSFLGLTQLVLYAMYRKNKGPAARPGKGEAAAAAAEVEDAKKVAAAVELADATTNKVADTVADGKVASQV >KQL07993 pep chromosome:Setaria_italica_v2.0:V:43029645:43033204:1 gene:SETIT_002578mg transcript:KQL07993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLNCLLSAWYGLPFVSPNNILVTTINGTGSLIEAIYVVIFLIFAERRIRLRMLGLLGVVTSIFAAVVLISLLALHGNGRKIFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIIIPNGCGSFLGLTQLVLYAMYRKNKGPAARPGKGEAAAAAAEVEDAKKVAAAVELADATTNKVADTVADGKVASQV >KQL07994 pep chromosome:Setaria_italica_v2.0:V:43029645:43033204:1 gene:SETIT_002578mg transcript:KQL07994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHIARFFFGVSGNVIALFLFLSPVVTFWRIIRKRSTEDFSGVPYNMTLLNCLLSAWYGLPFVSPNNILVTTINGTGSLIEAIYVVIFLIFAERRIRLRMLGLLGVVTSIFAAVVLISLLALHGNGRKIFCGLAATIFSICMYASPLSIMRLVIKTKSVEFMPFLLSLSVFLCGTSWFIYGLLGRDPFIIIPNGCGSFLGLTQLVLYAMYRKNKGPAARPGKGEAAAAAAEVEDAKKVAAAVELADATTNKVADTVADGKVASQV >KQL07830 pep chromosome:Setaria_italica_v2.0:V:42052877:42054047:1 gene:SETIT_002395mg transcript:KQL07830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRALAPFLLAATILAALLATCHAGGIAVYWGQNDGEASLSETCASSNYKFVILAFVYKFGKGQTPQLDLASHCDPSSGGCRGLSKDIQSCQRRGIKVLLSIGGGDGSYGLTSEGDARNVAAYLWNNYLGGTSSSRPLGDAVLDGIDFDIELGAAKYWDRLARDLKNMGKKQQGGNGVLLSAAPQCPFPDEWDSGAIKTGLFDFVWVQFYNNPECQFSSGRSAFLAAWKQWESVPAGKIFLGLPASKDAAGTGFVPAGELNSRVLPLIRGSPKYGGVMLWSKYYDDRTGYSSAIKSHV >KQL03779 pep chromosome:Setaria_italica_v2.0:V:4569291:4569833:-1 gene:SETIT_005589mg transcript:KQL03779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVLLLSELLGGESASVLAADRYIMGARLSPREFRPAVTEASATKQNERPATGTGRAREERGKESFEDLASSRIEVDVMWP >KQL04227 pep chromosome:Setaria_italica_v2.0:V:7385673:7388769:1 gene:SETIT_002380mg transcript:KQL04227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPHQRALLLFPLIFLLLLLLAPPRADAWGKEGHIMVCKIAEKYLSEKAAAAVQALLPESAGGELSTVCPWADEVRWHYHWSSPLHYANTPQVCNFKYSRDCHNSRGEKGMCVVGAINNYTEQLYSYGQKTSYNLTESLMFLAHFVGDVHQPLHVGYEEDEGGNTIIVHWYRRKTNLHHVWDVSIIDTAIKDFYNRSMDTMVEALKMNLTGGWSDDITHWENCKNKWATCANE >KQL04228 pep chromosome:Setaria_italica_v2.0:V:7385612:7389479:1 gene:SETIT_002380mg transcript:KQL04228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPHQRALLLFPLIFLLLLLLAPPRADAWGKEGHIMVCKIAEKYLSEKAAAAVQALLPESAGGELSTVCPWADEVRWHYHWSSPLHYANTPQVCNFKYSRDCHNSRGEKGMCVVGAINNYTEQLYSYGQKTSYNLTESLMFLAHFVGDVHQPLHVGYEEDEGGNTIIVHWYRRKTNLHHVWDVSIIDTAIKDFYNRSMDTMVEALKMNLTGGWSDDITHWENCKNKWATCANDYAIESIHYSCNYAYKDVEQDITLGDDYFFTRYPVVEKRLAQAGIRLALILNRIFDGDKADDRLLQVQ >KQL06576 pep chromosome:Setaria_italica_v2.0:V:34385910:34386958:-1 gene:SETIT_003823mg transcript:KQL06576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSDAKRLRRVRTLGRGASGAVVWLASDDASGQLLAVKSAAAAGGAAQLRREEQVLEGLCSPHIVPCLGSHAAAGGEYHLFLEFAPGGSLADEAARSGGRLDEPAIRAYARDVARGLAYLHGRSLVHGDVKPRNVVIGGDGRARLTDFGCARPVRPSPSQPIGGTPAFMAPEVARGDEQGPAADVWALACTVIEMATGRAPWSDVDDVFAAVHRIGYTDAVPEPPAWLSAQAKDFLRVCLARNPRSRLTAAQLLEHPFLASACCDAEPAKHDWPSPNSTLNAALFWESDDEEEEASERAVQKISSLASSSSGLPDWDSEEGWIEVTSDHGDERCRFCP >KQL06571 pep chromosome:Setaria_italica_v2.0:V:34329189:34333600:1 gene:SETIT_000460mg transcript:KQL06571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERLPIAFQCMTKVGDRVRGKMLEPVAMEVPIAGPVSNVVVPAPAVHNPRARKLRSAVWQDFTKERRADGNCVAVCNHCKKQLTATSRSGTTHLRNHLAICMTTSTRRAGKRRKLVVRRIHHNKSSADGRSGEGHASGEDNDNEGAHFDQELSRRDLVHMIVQHGYRFSMVDDVGFQKFVKNLQPQFRMVSYDMVRADSMEIYEGEKLKLQDSLLKIPCRVSISVDMWQSNTQMEYMCLTCHYIDHANDEWKIRKKILNFVHMEAPFAVDQIANLIVEKLHSWGIDRKLAAVVLDNCSGGDLVARELLRVLQPRRLLLNGDLFQVRSCAHILNLTVQESWEHASDITDRVRKMINYVKFERFQKFQDISKLLHMDQKLLVVDSPDSWSSLYIMFESACYYHDVLVRLAEQEGHYDVFLAASDWADVKALTEILDVVYHAMEKFPVENPTANLYFNEMCEIHVLLRTWRNSPSPVVAKVAGQMLSKFEGYWDLTRPVMAFASILDPRYKMKSLEYFFRLIYADEQFTAKTMLDVIQNTFNNLYNDYKHQSSDSWKNPSVLCYSRNSSSGMGSMYSNGDDSKTFSRITLSDARRGLDQYIQETSSGQSLKSDLDMYLEEAVYRQKEGNQDNFDILGWWKTFAAKYPVLSQMARDILAIPVSIIPLDSEARTLNEYLSTMDPSTVQGLVCAQDWLQEDPEVAGLSGQTNDGAPHGDELIVVPSQNR >KQL07867 pep chromosome:Setaria_italica_v2.0:V:42249835:42251024:-1 gene:SETIT_002230mg transcript:KQL07867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSHHEAMLPYAPRPPSLLVDRRYKQGGETAPNCPRCDSPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSSARSAAESMASGRDAAAFGHRFPGPVRPDLVLEGMVGNPANPGQAIPDMPAAADGSTIDLAMLYAKFLNHPAAEAGAGAVTPESAGQVDEAFDTFSASSDLSPGVLAPAQFDPCHDGFGEWLGPVSSTDPTSTAASTSSATTMLCSDVSVQAALGELNFAMDQSCFDSLGLPTDVGNLSSWCSIVPSLSTLEDTKYDSLDSFPDDALSLHEGMISGPDNHDWSVDCQGLEALYMP >KQL07804 pep chromosome:Setaria_italica_v2.0:V:41893008:41893337:-1 gene:SETIT_005481mg transcript:KQL07804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLRLTCLGWMDTSKLRTCCGLNNGCKF >KQL03198 pep chromosome:Setaria_italica_v2.0:V:294493:296871:1 gene:SETIT_003740mg transcript:KQL03198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLVLSNSSATTRILVIGGTGIIGQHLVAASLNAAHPTAVLVRPATVAADAAKASLRFMPSEYGCDVEVAEHMLEPARSILGAKVRVREALTAAGVPRTIVCSYWCQGFLLPRAGNPEADGPPHTTATIFGDGQDMSRVAIKAVQDPRTLNRILHPPRAVRLWEEKTSRALEELLRRIQDSPMPLSFQLAMVHATMAVGGGGVCEQTVNASAGVEATELYPDVHFATVQDHLNALG >KQL05671 pep chromosome:Setaria_italica_v2.0:V:26925251:26925739:-1 gene:SETIT_005473mg transcript:KQL05671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPIPLPWRNSSSISSRLLTKLASACFEKATLQASAVDTKGNRGDLLDINLAWSCAVLSAGEQALVIVAESVLLCAGEEEDDGGVEL >KQL06447 pep chromosome:Setaria_italica_v2.0:V:33492969:33493924:1 gene:SETIT_002722mg transcript:KQL06447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVREERLDLVLVPLALAALLGYHLWLLYAILRHPARTVIGLNAIARKRWVAAMMANTERNGVLAVQTLRNNIMASTVLATTAITLVSVISVFIGVMSPASSSSSSKAPPRLVYGSKAGEVFAAKYLAVSLCFMLAFVCNVQAIRLYAHASFLLGGLPPGDETREEFAAYVARTVNRGSYAWSLGLRAFYVSLALFLWTFGPIPMLACSVLMCGLLYFLDTTSDHGHAHRQRGSSGKDSSAAA >KQL03848 pep chromosome:Setaria_italica_v2.0:V:4999517:5005238:1 gene:SETIT_001115mg transcript:KQL03848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVKNCPLRTIYFESPCAFPEINSFTYETVSTYGLPLETIQSDFKSGLEGLLKEKPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLICKVKYCSLYDQGYTSIGSIHDTVPNALLSDSSTEKSFRPAYMLTDGRLERAGRTKKTNHKIEMNSVASNGMSNIEGEHMVSRAASIIIVGDEILFGTTEDKLGTALCKKLHAIGWRVSHVAVVRNEIDSVAEEVERCKSTDDMVFIFGGLGPLHSDVSLAGVAKAFGVRLAPDEEFEDYLSQLMGNNYTGDRNEMALLPEGITELLHHKTLPLPLIKCRNVITLAATNVDELDTEWDCLLDTQESGLVQAKPFVSKHLSTTLSDVQIAPVLAKLCLEFSDVYIGCHRISRAGPLVVNLTGKDNQRVEAAAEKLTSSFEGQFSQVDSCK >KQL03847 pep chromosome:Setaria_italica_v2.0:V:4998956:5005238:1 gene:SETIT_001115mg transcript:KQL03847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIDEAVRGCSDRRLRTKYANAVYVVQRAFALYPFEEVAFSFNGGKDSTVLLHLIRAGYYLYKKDSVDVAQMDAVKNCPLRTIYFESPCAFPEINSFTYETVSTYGLPLETIQSDFKSGLEGLLKEKPTKAIFIGTRIGDPNAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWSFLLICKVKYCSLYDQGYTSIGSIHDTVPNALLSDSSTEKSFRPAYMLTDGRLERAGRTKKTNHKIEMNSVASNGMSNIEGEHMVSRAASIIIVGDEILFGTTEDKLGTALCKKLHAIGWRVSHVAVVRNEIDSVAEEVERCKSTDDMVFIFGGLGPLHSDVSLAGVAKAFGVRLAPDEEFEDYLSQLMGNNYTGDRNEMALLPEGITELLHHKTLPLPLIKCRNVITLAATNVDELDTEWDCLLDTQESGLVQAKPFVSKHLSTTLSDVQIAPVLAKLCLEFSDVYIGCHRISRAGPLVVNLTGKDNQRVEAAAEKLTSSFEGQFSQVDSCK >KQL08173 pep chromosome:Setaria_italica_v2.0:V:44001259:44001517:1 gene:SETIT_005338mg transcript:KQL08173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRNVNRKNVESIPCESSHYAHHPCRQDVSMPPSTTTTWCDYLSPSSFAVDLTLRCSSVW >KQL04484 pep chromosome:Setaria_italica_v2.0:V:9357713:9358336:-1 gene:SETIT_003997mg transcript:KQL04484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMEMEMEVESTWKSLFQRRAILTAKHCDRVHGLLSGAIEVVDVDVRNRRRHEGSCAEETQRALEGASTELGLALSSMGAARHLALRGGAPCPSAPLDSVDDLAGDPAVWCALERLEKAAELAARVHDGLECARGHLRAAALLAVLDGVGVGGLEGGNSAVPWEHSPCFSEQLNGAMELGDAMLKAADLVAEAEGAREAALGFISDV >KQL05159 pep chromosome:Setaria_italica_v2.0:V:16677381:16678081:1 gene:SETIT_003455mg transcript:KQL05159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVTKLASQRAVVIFSMSSCCMCHTVTRLFRELGVNPTVVELDEDPRGKEMEKALARLLGRSPAVPAVFIGGRLAGSTDKVMSLHLSGNLVPLLRNAGALWV >KQL06645 pep chromosome:Setaria_italica_v2.0:V:34798282:34803635:1 gene:SETIT_001311mg transcript:KQL06645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKIYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATAPPRAVGHGAGPSGLAPALQNDRQSGLEEARTSGWSSMDRRRTPPPITSVGTLSKQKAPVGNDVTASKDPVISGPNFLGRSSGSSRRPVVSSSRDVVATDSSEPSRTRTTDASPGAFRRASGPQRSSPVHSAEQKRSSSGRHPSNVKNYDSALKGIEGLNFDGDERVQF >KQL06646 pep chromosome:Setaria_italica_v2.0:V:34798955:34803635:1 gene:SETIT_001311mg transcript:KQL06646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASVNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVATSIEALCRGYPTEFASYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIATAPPRAVGHGAGPSGLAPALQNDRQSGLEEARTSGWSSMDRRRTPPPITSVGTLSKQKAPVGNDVTASKDPVISGPNFLGRSSGSSRRPVVSSSRDVVATDSSEPSRTRTTDASPGAFRRASGPQRSSPVHSAEQKRSSSGRHPSNVKNYDSALKGIEGLNFDGDERVQF >KQL03298 pep chromosome:Setaria_italica_v2.0:V:779999:784081:-1 gene:SETIT_001298mg transcript:KQL03298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGSCDQADAGRGDFQELDQIAATKPFAKFAVKATTIADIPRLVFQALAAATSGRPGGCYLDIPSDVLHQTIPESEAADLIASAAAESAASNPSPPKQKSLDEGIEKAADLLRRAERPLVVIGKGAAYARAEEAIQKLVDTTGIPFLPTPMGKGVVPDSHPLSATAARSLAIGQCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVCEEEIELRKPHVGIVGDAKRVVELINREIKDNPFCLARSHPWVEAITKKAKDNVLKMEAQLAKDVVPFNFMTPLRIIRDAILAEGSPAPVVVSEGANTMDVGRAVLVQNEPRTRLDAGTWGTMGVGLGYCIAAAVAEPERLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNNGVYGGDRRGPDEITGPYKDDPAPTSFVPAAGYDKMMEAFGGKGYLVKTPDELKSALSESFRARKPAVINCIIDPYAGAESGRMQHKN >KQL07912 pep chromosome:Setaria_italica_v2.0:V:42471698:42471828:-1 gene:SETIT_005319mg transcript:KQL07912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLFLYPDVGTYIMYLHAQIVKK >KQL07350 pep chromosome:Setaria_italica_v2.0:V:39256533:39260471:1 gene:SETIT_000620mg transcript:KQL07350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLGSGVALLVLLGVCAAAVSCSTPPPHDLPPPEMLHESFAGKSEFRTVNRKLLGSCLNPSPYLAISISTGGAPLPDEAFLSVTVSGVLRPDGDDWVAMITPSNSSVSGCPFGGMNYVQTGDLAHLPLLCHYPVKAQHLTRDPGYLGCKNAACQKRRGASGACRVRTCAATLTFHVVNFRTDVEFVLFSGGFRTPCVLKRSGPLRFANPARPLYGHLSSTDSVATSMRLTWVSGDGRPQQVQYGAGKSAASQVATFAQKDMCSIPGLASPAKDFGWHDPGYIHSAVMTGLQPSQSYTYRYGSDSVGWSDTNKFRTPPAGGSDETSFVIYGDMGKAPLDSSVEHYIQPGSISVTKAVAKEIETGKVDSIFHIGDISYATGFLVEWDFFLHLIKPLASQVSYMTAIGNHERDYAQSGSVYGTPDSGGECGVAYESYFRMPVVSKDKPWYSIEQGSVHFVVMSTEHDWSEKSEQYKWMNQDLSSVNRSRTPWVIFIGHRPMYSSYIGIPVNVDLSFVTSVEPLLLKYQVDLVFFGHVHNYERTCAVYKNNCKGMPKKDASGIDTYDNSNYTAPVHAIVGAGGFNLDKFPKIVLNKWSSSRVSEFGYARVHATRTDVLVQFVNSGTMEVRDQFRIVKRAPARKLQTVTIQE >KQL04438 pep chromosome:Setaria_italica_v2.0:V:9035539:9038800:1 gene:SETIT_005096mg transcript:KQL04438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRSTLLLLLLLSAFAFISALAVGEADDDVAALLAFKAAAIGSGDDDPLPSWNGSGVGGICGLEGVSCGRKHRRVVALTLPSYGLAGTLSPAIGNLSFLRALNLSSNWFHGSIPSSIGRLARLQRLDLSYNTLTGELPANLSSCASLLNLRIRSNHLHGRIPAELGHKLTSLKELSLPNNSFLTRTIAGSIANMSSLLLLDLSRNKLEGSIPPELGSMAGLMSLGLFCNEFSGVLPNSLYNLSLLKAFQVGWNMLSGTIPADIGDRFPAIEILHYSDNWFSGTIPPSVSNLSATLTWLLLDVNSFSGYVPPGLGRLQSLTHLCLDVNKLEADDRQGWEFITFLRNCSQLQYLVLSNNSFTGKLPGSITNLSASLQSLYLGGSRISGVIPPNIGNLVGLEILDIGNCFVSGQIPDSIASLGNLKNLYVFDLSKNGLNGSIPREVLKLPALSYYLDLAYNSLSGSLPTEVGGLAYLSILLLSGNQLSGNMPDSIGNCISLEWLMLDQNSFEGGIPQSLKNVKGLYLLDLTMNKLSGNIPDALCSIGMIPSCLQNLTSLNKLDISFNDLHGDVPKGGLCGGIPQLHLAPCSVPVHTIKRKLSKSLMVTLTSISASMFLVLVALIWLIHKKLRKKHESQHTPTTEEQYEKISYHALSNGTNGFSEANLLGQGSYGAVYKCTLHDQDTIVAVKVFNIQQSRSTRSFVAECEALRRVRHRGLIKIITCCSSINHQGMEFKALVFEFMPNGSLNGWLHLESDMHTRTNTLSLEQRLHIAVDIMDALDYLHNYCQPPIIHCDIKPSNILLALDMSARVGDFGISRILPESVSKTLRNLNSTFGVKGSIGYVAPEYGEGSSVSSQGDVFSLGILLLEMFTGRSPVDDMFSGSFVLHNGSFVLHKFSENALPERIWEIVDPTIWMHIDAHNNTTRSGIQNCLVSAIDLGVSCSKKQPRERILMRDAAIKMRAIRDSYLKFA >KQL07835 pep chromosome:Setaria_italica_v2.0:V:42074405:42075235:1 gene:SETIT_003262mg transcript:KQL07835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALATTPTMYSVPAPGAMRGQTLSPRVASPARPRARAVSGRFRASAAAVHKVKLVGPDGSESEVEVAEDTYILDAAEGAGLELPFSCRAGSCSTCAGKLASGEVDQSEGSFLDDAQMAEGYVLTCVAYPKADCVIYTHKEEEVH >KQL08676 pep chromosome:Setaria_italica_v2.0:V:46640406:46643850:1 gene:SETIT_000153mg transcript:KQL08676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSPALLLLQQLFPLMLLLLLFIGAAPAARAADMPMPVNEEVLGLVVFKSALSDPTGALATWTESDATPCGWRRVECDPATSRVLRLSLDGLALSGPMPRGLDRLPALQELTLARNNLSGPLPPGLSLLTSLRSLDLSYNAFSGPLPDDVALLASLRYLDLTGNAFSGPLPPAFPPTIRFLMLSCNQFSGPIPEGLSKSPLLLHLNVSGNQLSGSPDFAGALWPLERLRTLDLSRNQFSGPVTDGIARLHNLKTVSLAGNRFFGAVPQDLGLCPHLSTLDLSSNAFDGHLPGSIAQLSSLVYLSASGNRLSGDVPAWLGKLAAVQHLDLSDNALTGSLPDSLGDLKALKYLSLSRNQLSGSVPASLSGCAKLAELRLRGNSLSGGIPDALFDVGLETLDMSSNALTGVLPSGSTRMAETLQWLDLSGNQLTGGIPTEMLLFFKLRYLNLSSNDLRTQLPPELGLLRNLTVLDLRSTGLYGAVPADLCESGSLAVLQLDGNSLAGPIPDSIGNCSSLYLLSLGHNGLTGPIPAGISELKKLEILRLEYNNLSGEIPQQLGALESLLAVNISHNRLVGRLPASGVFQSLDASALEGNLGICSPLVTQPCRMNVPKPLVLDPNEYTHGGGGGDNNLETNGAGAGMPRKRRFLSVSAMVAICAALVIVLGVIVITLLNMSARRRAEAAAPEQKELESIVTGSSTTKSSSGNGGKQLAAGKMVTFGPGTSLRSEDLVAGADALLSKATEIGRGAFGTVYRASVGEGRVVAIKKLAAASAVASRDDFDREVRVLGKAKHPNLLPLKGYYWTPQLQLLITDYAPHGSLEARLHGGAADGRPPMTWEERFRVVSGTARGLAHLHQAFRPPLIHYDVKPSNIFLDERCNPAVGDFGLARLLPRPEQQRRYALGSGYVAPELACQSLRVNEKCDIYGLGVVILEVVTGRRAVEYGDDDVVVLQDQVRVLLEHGNALECVDPGMGGAFPEEEVLPVLKLGMVCTSQIPSNRPSMAEVVQILQVIKAPVGGRMEAAARF >KQL08753 pep chromosome:Setaria_italica_v2.0:V:46943998:46946234:1 gene:SETIT_005113mg transcript:KQL08753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCRDGELGVAGPRALRSATPLSLSACAVGGGGGPDSSTNLRNQTSQMPPFSFTLDEGSSRLKKPCKWQRVLLKVSGEALAGDHRENIDPKITMAIAREVASVTKLGVEVQIVFRMSEVAEPYIRRRALRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEIVVFNLQKPGNVAKAIVGEKVGTFIGCTRNQEQNGNALGEERRLVNEV >KQL04641 pep chromosome:Setaria_italica_v2.0:V:10391408:10392661:1 gene:SETIT_002789mg transcript:KQL04641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWYMASWKLSVSIIRIRSCRALSSVSTPNLRAAAPARSGWPDHPSSGAHHLAPPPSPPARILAPPAAAVAAAAHGRAACAGAGGRTEKAAIPDDTAICKLQTFPLEGEACSSLRIGWDGGVPFLLSGQRGRHGTGWLGGSTAVGFGGFPPFLPFHWRVYPVGAGLGWVTFSFGGKRRKRRAVYLEREVVGVNGKCSTRFAQRLRAWIFSSNSVELLSCLPTSGGANML >KQL05249 pep chromosome:Setaria_italica_v2.0:V:19136626:19138766:-1 gene:SETIT_000581mg transcript:KQL05249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLICARGLGAAAAEAEFVALLTAAAAAGDLLSGASLHTRYAKAHIPPTTFLANHLLLFCSRLALPALARRLFDEMPLPNVFSHNALLAAHARNPRLALELFGRIPDPDLHAYAVVSGLGSYVSVRNSLMSGYGKGRLLEEVERVFADMGGSVHNHVSWNCMIAVYGQHGHGRKAMELFQGMARQGFTADACTLASVLSAFATAKDMVAGTGLHCRLIKSKFTRDPHVASGLVDLYAKCGSVQDTWKAFSEVDKPDLVLWNTLISGYSLHEDFSEEALLSFRAMQRAGFCPDDCSFVCVTSACSNISSPSQGQQQHGLVIKSDIRSNKISVHNAMITLYSRCGNVNEAKMLFDRMTERNTVSYNSIIAGLAQHGHTAEALKFFEGMLNSEFEPTGITFISVLSACAHTGKVDEGWDYFNSMKQKYDIEPCEEHYSCMIDLLARAKKFEEAEELIMKMPFSLSSVGWTSLLGACRTHGNMELGARAAKEILHLSPSNASAPVMLSNMYASAGKWEETAKIRKLMRDQGIRKKPGCSWIELGRAVHIFVANEVSHPRIKEVHRFLEVMSGKMRLAGYVPDVRWALAKDQAAEGEMRLRHHSEKLAVAFGLMKTKEGEPILVMKNLRICGDCHNAIKIISAITRREITVRDAHRFHCFSDGSCCCGEYW >KQL03728 pep chromosome:Setaria_italica_v2.0:V:4327449:4328144:1 gene:SETIT_004966mg transcript:KQL03728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQKHGKHRSRPGRLIRSVRAAFRSLPTIQAPSCRGMPSLHHLPGLYGPGGAVRSHFHGATHATGTLYGYRRARITVAFHESPGSPPCLLLDIAVPTAKFIQDVSAAGMVRVTLECDKQQHHHQHHAAEAAPPRRLLDEPVWAAEVNGESVGYASRREMAERDERVMQMLHATSMGAGVLPADMSHPSDGELTYMRAHFDRVVGSKDAETYYMHNPEGGAAGPELTIFFIRT >KQL05259 pep chromosome:Setaria_italica_v2.0:V:19443655:19447074:1 gene:SETIT_004738mg transcript:KQL05259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQLDQKTSKPIHLATGRGASLLDWNLISLINMIIFFAIRFVAPTRGFHTWRLYLLLWCTIIYSVLAILAQVTFHIIWCIEGMGWSVAHSWWVKLVGLARDQPSESLSVIYFLALQLSATFIALVEVLGSRLHRDSCWLNFSFGFEQIGYHLRVACCVLLPAAQLVVSISHPSWISLPFFVFSCIGLVDWSLTSNFLGLFRWWRLLEIYSVFSILLLYIYQLPVKFPYFVLAFADFVGLFKVSSKSEWPELSSGISLLVYYLMVSN >KQL08538 pep chromosome:Setaria_italica_v2.0:V:45893635:45894783:-1 gene:SETIT_005024mg transcript:KQL08538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPTALFKRRRGRETSARYSVRRAGGGSSLMARSSARTGSGSAAGRFLLQLLSARPEDRISALPDDLLLLVLRRLDTRTALGTGLLSRRWAHLPRELPALDLRIGDLLPPRYHRWVLLYRDIWKKRSLLHYRIGAIREELLPNIRRYERRAMRAFISSADRFLEGPHRRVNKLRLEFFITGNTGCMNRLITEAIDAWGVDDLEAVAKPVFKQRKDVHTFPSHGLCREPRAARLRSLKLGGCVPPPLHEYGALTMLVLQDIPESTPASAYEGIFNSCLQLQTFHLISCGCSRAKGRSSTVVVDAPNSEIRELVVQDCTFEWLRLMALPCLQSLASLRSSVLFDSSSFPCLKQWLSK >KQL03160 pep chromosome:Setaria_italica_v2.0:V:148329:149667:1 gene:SETIT_002505mg transcript:KQL03160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDAQAQQPKPTRVSLSYEEISKLFSLPIAEAASILGVGTSVLKRICRTHGIVRWPYRKLVSAKTGDDTKGPEREKAKELPELSKIAKQKASNSSASPIVSSTTSQGAAKSQQGSSKAGQVSVSPPAGNQNMSPSLAHGSQAKAIPSYMDDFKYGFPSSGLSCGTMKWWGGSSDADCAPTKDGSREAHGEASKGMTEDDELDWGADEAETEADGAVTAEASAQLCSLRRKAVDDGRKLLNGDTRRGQEFSRLNKRQKLALAQVFGASLPDVVLLVFSE >KQL08227 pep chromosome:Setaria_italica_v2.0:V:44308969:44311932:-1 gene:SETIT_001939mg transcript:KQL08227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPRSPPPAPPPEFEITRQSRVFAALSKKVIDLDELRMLAAQGVPDAAGVRSTVWKLLLGYLPNDRSLWEQELAKKRSQYAAFQDEFLSNPVEIARQGEKEGHYHDNAEHVDNGLLHRSEVTQEEHPLSLGKTSVWNQFFEYSEIMEQIDRDVKRTHPDMHFFCGDSSFAKSNQESLKNILLIFAKLNAGIRYVQGMNEILAPLFFVFRSDPDDKNAKFAEADSFFCFVELLSGFRDNFCQKLDNSAVGIRGTLAKLSQLVAKYDAELQHHLEITTEVNPQFYAFRWITLLLTQEFNFADTIHIWDTLLSDPDGPQETLLRICCAMLILVRKRLLAGDFTSNLKLLQNYPPTNISHLLYVANKLH >KQL06689 pep chromosome:Setaria_italica_v2.0:V:35012636:35014008:-1 gene:SETIT_002312mg transcript:KQL06689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEQVSPRPEAAAAAVELTGFVMSAEEAKRAAAAAGVATVQDLLPLLVPSAMKRAIAPISRFPVGAVGLGASGRVYVGVNLEFRGLPLCHSVHAEQFLVANAAAAGESALSAVAVSHMPCGHCRQFLQEIRGASGIQILVTSDAEEGCAPEWRTVASLLLRPFGPHDLLPEDVPLVLEKHGNPLGDPVPAVANGFAAGDLEARLREAAEAAARAAHAPYSECPSGFAVADGDGRIYAGGCLESAAYNPTLGPVQAAIIAMVAAGGGPAGDVVAAALVEKERAAVAQEATARIFLDAVSPHASLHVYNYRPSDA >KQL04136 pep chromosome:Setaria_italica_v2.0:V:6759389:6761535:1 gene:SETIT_001859mg transcript:KQL04136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDQAAAAVMAPEKLKLFMGVLALQFLLAGFHIVTRAALNMGISKIVFIVYRNIISLALLAPFAYFLEKKDRPPLTFSLLVEFFLLALCGITANQGFYLLGLYHLSPTYASAIQNTVPAITFAMAAVLRLEQVDLSRRYGVAKVVGTVVSIGGATVITLYKGLPLFHHNLTIKSLLTLSSSSPILNWTLGCVFILGHCLSWSGWMVLQVPVLKRYPARLSVLSLTCIFGLLQFLVIAAFTEEDLSRWKVRSGGELFTILYAGLVASGVAFALQIWCIDRGGPLFTAVFQPVQTVAVAVMAAAILGDQLYTGGIIGAVLIVIGLYFVLWGKSAEKKKAAMNHQDQEQGGGGGDMTRHLLGGDGDASAKEEEAPAIDMLA >KQL04541 pep chromosome:Setaria_italica_v2.0:V:9636884:9640150:1 gene:SETIT_003306mg transcript:KQL04541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVPGRWWCGSNLCWRKAKMLEKLWDDVVAGPHPETGLEKLRKAANPRPIVIDKDAVAAAGSYKRTQSMPSTPTTPGTPSSSTTPRGGNNVWRSVFHPGSNLATKSMGANLFDRPQPNSPTVYDWLYSDETRSNHR >KQL06094 pep chromosome:Setaria_italica_v2.0:V:30619084:30624353:-1 gene:SETIT_000664mg transcript:KQL06094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLALHHARLAALPPRAGLRLPLPRPRAASFSPAAAKPAALHPPLLLSRGPFLPGRDAVLGNVFLKRRSGAGSGGVPRGAPQAAAAAAAVPAPQPEEATKKFLGIDVKTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSRDALFYTVIFPFIAFFGAFAFVLYPLRDVIHPTALADKLLAALGPSFLGPVAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKEFYPLFGLGANIALIFSGRTVKYFSNLRKTLGPGIDGWEVSLKGMMSIVVLLGLVITSIYWGVNKFVLNDPSLPKSDRKKKKEKPKLGMKESLKVLLSSRYVRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLGRIILRKFGWGVAAMITPTVLLLTGVGFFSLILFGQPLTPMLATMGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDMKVKGKAAIDVVCNPLGKSGGALIQQFMILAFGSLANSTPYLGGILLVIVLAWLGAASSLDKQFSSLAKEDLKEKAAQEKVEPSLLKAPAEDTDVLVEQTNGSLKGETESSPSNSSPIQ >KQL07365 pep chromosome:Setaria_italica_v2.0:V:39323773:39325402:1 gene:SETIT_002408mg transcript:KQL07365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGARNTRRFFGASSSGAGESGTGSAGYRDGGGGIVVSRSSDVNTGIQDENVLALVFRSINFDPKAVCTVACVSRRLRAVAERVLWRELCISRAPRMVASLTGVPVGGPPPPGRIVGGWPALAKLLLFCCGAASASVPGHSTGVSRFSKTSGRSFLARRCRGDLLYVSDPCEHAAPGADDDVGAYRGVFRGFMRSRTRACLVGRQAALEARVRCPYCGARVWSMVAAGMVPRIAWRRLGAYEGRLEYYVCVSGHLHGNCWLARLTSSDGEHGGGDSEDDDDDASTESGSDDGRAAS >KQL03501 pep chromosome:Setaria_italica_v2.0:V:2747482:2749393:1 gene:SETIT_002020mg transcript:KQL03501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHANAGGHLEVPNVQVLAQTWNGSGEQVPPRYVRTDEADAVVAAGHALPVVDLGRLLDPRSSEEELANLGSACQQGFFQLINHGVPDDVIRDVKRDIAEFFKLPLEAKNAHAKLPDGLEGYGQVFVFSETQKLDWSDMLYLMLRPVESRDMRFWPVDPPSLRSSVDRYSAEAAKVVSCLLRFMAVDMGVEPDRLLEMFGGQPQTMKVTYYPPCRQAGDVIGLSPHTDACAMTVLLHVNDVQGLQIRRDDDGKWLAIEPLDGALIVSVGDIIEILSNGKYRSVEHRAVVNPDKERIAAAMFHQPRHSIMVEPLPELVKKDGSGARYKSVGYAEFMRHFFSAKLDGRKSHLDHFRI >KQL03687 pep chromosome:Setaria_italica_v2.0:V:4080182:4089289:-1 gene:SETIT_000159mg transcript:KQL03687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPDPLAISASAPFPTIPAAASSPRPRAARPRRHAAASATIRRPGIDLSRRPGAAASAQQEGSPSVGSWGASRDANFVFGGGGAGAPELRRTFSSGSGEASLTELRSAVDKLVLDGGSGRRSDVDAPGGDDPVPVMNASDPSGIHGSNSSLHEGLFPDSLHDQTEKLDEGRGAPSQSIQCENAETRSLASQTSTNHNAPMELARSGDGLPIRNSVDEGSLPKDGSNISAHGGNVQQNVFVFGGHAGYRDFTANATQTSISKEDSSDKDGAIYNSEQLNASVAKDRTCTKFILQDAKDAFGSTNKNPLHSEPCEISPTVKFGSSFGSEDGSAKVSFVKVPYDIKAVEASELTECRPFDEKSFTVQDHNVASRNKGVKGMITNRRTVMPKKFSSAHQVSSFESVSRRNDHCSEKVSSETKVNLKDALLDSGINCVQGNSYSSALQITESSRDGTEFTSAANTEHSGQSDFIFSASTFNQSTLHLQRRHNKKKGGGMCNHANSTQSLPSSAIGLARSEVSATQQCGDSAAQWTEYIKMEPNRVTISGGAECTKTENFEHHEDCETWRLRGNQAYAEGQLTKAEECYTHGIDSFSPNEASRKALMLCYSNRAATRMSLGKMREALSDCREAIGIDSSFLKAQVRAANCLLALGDVEEAQKAFEMCLKSSHLSSLDHKILEEASDGLQKAQKISGFIHQSKEYLMKKAFDKIPSALQMISDALSISIYSDNLMAMKAEALLLLWRYHLIAKSYFFLGKLEEAHLFLKKYDQIKVMECRCGKQSQESISSFSMAISELLQLKAAGNEAFQSGKYLEAVEHYTAALLSNSESLRFLAVCFCNRAAAYQAMGQILDAIADCSLAIALDADYAKAISRRSSLYELIRDYEQAENDLRRLITLLEKQLQENMSMPSEKVESIRSNLNRANLRFSSLERDARKGAPLNVYMILGIEPSSSTVDIKKAYRKAALRHHPDKAGKFLVRSENISDAIWREITNEIRRDADYLFKIIGKAYSMLSDPTMRRE >KQL03922 pep chromosome:Setaria_italica_v2.0:V:5363304:5365598:-1 gene:SETIT_005166mg transcript:KQL03922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYTRGARLYVPSPSHPRAAAVHHSSSGNLGLQSSHSEPRTRVRRIAPALAAPRQHAPHRERRPRPRMGEAMDVLLRRMPEFGCTPNVVNYNTLLKGFRNEKRAQEALELLRMMADDGGGSCPPDVVAYNTVINGFFREGQVDKAYGLFHEMLDRGILPDVVTYTTVVDGLCKAGAVSRAKGVLQEMIHKGVKPNMNTYNCLINGYCTSGQWKEVVRILKEMSTQGQRPNVVTCNLLLDYLCKNRNSTEARKIFDSMIGKGIQPDVTTYTILLNGYASKGDLADMHDILDLMVADSISPNHQTFNIVFCAYAKGGMIDEAMHIFDQMMQQGLSPDVVNYGALIDVLCKLGKVDEAMLKFNQMTKEGVTPNIIVLNSLVYGLCTVNRWEMAEELFSEMLKQGIHPDATFFTTIMRNLCNEGRVMEAQSLVDLMVRVDVRPDVISYTTLIDGYCLAGRMEEAVKLLDVMVSAGLKPNVVAYNTLLCGYCRAGRIDDAFRFFREIRVARGV >KQL04080 pep chromosome:Setaria_italica_v2.0:V:6344674:6345365:-1 gene:SETIT_005248mg transcript:KQL04080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAHVLSWAFGWADWAYWARFIRVRVAQGSIKPLYIRRRDVSIESSKKQIKVNRRSLTLSLPCGAAVADRRGCSAALLGFRAIQIHVHEVL >KQL08509 pep chromosome:Setaria_italica_v2.0:V:45757400:45758224:-1 gene:SETIT_004211mg transcript:KQL08509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERASTSRDGNSGAVGHDNLLPIANVGRIMKEALPPQAKISKRAKETIQECATEFVGFVTGEASERCRRERRKTINGDDICHAMRSLGLDHYADAMRRYLQRYRESEELAAALNSGSGSGSGGGIQIDVRAELSIFRGHEQQDRN >KQL05502 pep chromosome:Setaria_italica_v2.0:V:25201862:25205862:-1 gene:SETIT_001589mg transcript:KQL05502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSPRVPRLPPSTFTAGAGAATAAVGIRCCGRGARCQATAAGGVAAAGPPSSELEAIKWGSAKLQGARDEMEDEVVLRTGCLLDGFSFAAVFDGHAGFSAVKFLRHAPNPLPYYFFTQLNAVPSWLLCSRSWRFRDELYKECAAALDGGKVLSTKNLEAITDSIQRAFAAVDAKLSTWLEQMEKDDDSGATATAMFLRNDVLVVSHIGDSCLVISRDGRPEALTGSHRPYGNNKTSLEEVKRIRAAGGWIVDGRICGDISVSRAFGDIRFKTRKNEMLMKGVKEGRWTEKFISRIKFKEDIIISSPDVSLIELGPDVEFVLLATDGLWDYIKSSEAVAFVRDQLRQHGDVQLACEALGQKALDERSQDNISIVIADLGRTNWKALPVERPNLFLELSQAVVTLGFVSIGIWFSSFLALQ >KQL05501 pep chromosome:Setaria_italica_v2.0:V:25202531:25205862:-1 gene:SETIT_001589mg transcript:KQL05501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSPRVPRLPPSTFTAGAGAATAAVGIRCCGRGARCQATAAGGVAAAGPPSSELEAIKWGSAKLQGARDEMEDEVVLRTGCLLDGFSFAAVFDGHAGFSAVKFLRHAPNPLPYYFFTQLNAVPSWLLCSRSWRFRDELYKECAAALDGGKVLSTKNLEAITDSIQRAFAAVDAKLSTWLEQMEKDDDSGATATAMFLRNDVLVVSHIGDSCLVISRDGRPEALTGSHRPYGNNKTSLEEVKRIRAAGGWIVDGRICGDISVSRAFGDIRFKTRKNEMLMKGVKEGRWTEKFISRIKFKEDIIISSPDVSLIELGPDVEFVLLATDGLWDYIKSSEAVAFVRDQLRQHGDVQLACEALGQKALVLMPATFIFSVVLSYMS >KQL06678 pep chromosome:Setaria_italica_v2.0:V:34955560:34957942:1 gene:SETIT_004176mg transcript:KQL06678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVYAVLRLLLCLAVSVAPRAASQQPPGCRRQCGNVTIPYPFGIGVGCHRGSAAGGFRLRCDDARRPPRLTVAGYGHEVAAISLPTAEATVLLNASRACYDRPGDPDGRVVSLREQPMALNGSAFLFSSMKSKFVSIGCPGLAYFNDGDGYYVTGCMSVCRPSERALPGSCRGDDGCCQSNIPLGLDSYRPYLGSFGRRRRGNRGRDQEATFLANSTACSYAFMVDAWWFWFAGSHFNRTGDFAVPVVLDWAIRDAPSCAAARRDPGTYACRSARSVCLESSNGPGYVCNCTNGYQGNPYVINGCADVDECQHRDEFPCYGLCVNIPGSFICTCPSGSSGNATIQGGCRPDNKFSSALKAAIGASAGVFLLLLACFSAHLWLQKRRLLQAKRRFFEQNGGLLLQQQLGSLAGAGVAFKIFSEEEIGRATDGFAETRVLGSGGHGVVYKGVLADGCEVAVKKSRVVDAKQVKEFAREMLILSQINHRNVVKLLGCCLEVEVPMLVYEYVPNGSLHSHIHGDGGESKLPPGARLRVAAESADALAYMHSSASPPILHRDVKSANILLDGNLAAKVSDFGASRLAPTGEAAVATLVQGTLGYLDPEYLLTSQLTSKSDVYSLAVVVLELLTGRKAFVPVEDEDEEEEGGLAFVFITAAQAGRHREIMDPQVREEVGVEVLDEAAELVMQCLSMVGEERPTMKEVADKLHRLRNRASCSRGSAEN >KQL04874 pep chromosome:Setaria_italica_v2.0:V:12587252:12587677:1 gene:SETIT_005220mg transcript:KQL04874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPCKNQPHSFVLWRVACKYVAHFHQGRRKTDVQHMF >KQL03801 pep chromosome:Setaria_italica_v2.0:V:4656208:4659655:1 gene:SETIT_000689mg transcript:KQL03801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMYLQFLTNFFVRLLSRRFGSAEAAAACVPVGPAGRTRMSDQKDPGIKLFGRVIPLAPEPAPEATETEDPLGHDQPPEELQPRAPEEEAAAVADEGQHNEKEDTEDSEMEVDTPQEKGSEMKVDTPLEKGNEMKVDTPLEKGNEMKIDTPQEEKGNEMKFDASKKEQDGEMKVDAQQEKKDEQMKVDAPPRAENIQPGTSPRSDHKKEDQGQMNSTEDKAASDPKGESEKANDESGQDKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKSKNAPLHYRQLLMAPDCMLGSRVDISKSVLPEALVSPPSAPVQPTSRNETVLKFGPEVPLCESLVSALNIDEQNAKNPGSAPRGENREDNSCASAVTSYNGLPENTVHVDKNGAPVYCNGVAPVPQYYLGTPFMYPWSVGWNNLPVMVPGKSMPEAASASESCSTSSAPWMTSPMMPASTSPAFPYPLVPPALWGCLSGWPATTWNIPWVRTNGCVSPSSSSNSSCSGNGSPTLGKHSRDSNPLKDEKQEKSLWVPKTLRIDDPDEAAKSSIWATLGIKPGDPGTFKPFQSKVESKGQKADAAQVLQANPAALSRSQSFQESS >KQL03976 pep chromosome:Setaria_italica_v2.0:V:5680899:5683345:-1 gene:SETIT_002910mg transcript:KQL03976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLAATPSTSSAAAAAATVPAALPTGSGCVAFRRPWTIPRPVALRLRLRAQGVRRESGGVRTEEQEQETTARTFYDLLGISAEGSPDEVRAAYRRLALKYHPDVSPPGAAAENTRRFIEVQEAYETLSDPSRRASYDRALARGVCRLAFSGSRAQRAYYHHQDHEEKSGWRRSWEDQITELKRRSMTKDSEENLSWGARMRRRAEASSAE >KQL08527 pep chromosome:Setaria_italica_v2.0:V:45845533:45846041:-1 gene:SETIT_003605mg transcript:KQL08527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVHAPAVQWLPMQHACNLPILWSLPQPLFFDYSFHSFVATLFPNAWYCDLEKQGILVLVNTINICTGGNIIST >KQL08525 pep chromosome:Setaria_italica_v2.0:V:45839572:45839814:-1 gene:SETIT_005176mg transcript:KQL08525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKNISVLKFLTNNIIEDQFYLPLSEQAFQEFQSLQVLIQGIQVHEGNKDSWQSIWGSNNYSSKKFYNFSYIHVRPPEPFL >KQL06270 pep chromosome:Setaria_italica_v2.0:V:32280434:32281892:-1 gene:SETIT_003539mg transcript:KQL06270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRSSSSHNVNMASTWSKREEKLFEEALAYYGEGTPDRWHKVSRAMGGSKTADEVRRQYEILEDDVKLIESGRVPFPRYNTQGAWN >KQL04078 pep chromosome:Setaria_italica_v2.0:V:6330901:6338544:-1 gene:SETIT_000051mg transcript:KQL04078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPGSASAAAPPPQAGAAPPAQSQQAAGAASANQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNAFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEQVYKAFLDILNMYRKDNKSIQDVYQEVAQLFAEHKDLLEEFQHFLPDTSVAPQAVASSRGGLVKREDRGSLVPPANRTLHGDKRDRAYLSHADRDFSVDRPDVEHDRQRRRLDKEKERKVERDRRDYEREDKDGEHDSRELEIGQRKRKPFPRKMEDTAGAETHQGGPSENHGIHSISASSYDDKDALKSVYTHEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPELMEGFNEFLEHCENIDGFLAGVFNKRPTARIKTEDKEKDRERDREDRDRDREKEREKERERLDKGSTFNSKEGASHKPSMFSGKEKYNLSKPISELDLSNCQRCTPSYRLLPKNYPMPPASNRTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSVKPESPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNISFEYVDSEIHEDLHKIIKYSCGEVCNSSDQLDKVMRIWTTFLEPILGVQPRTHGSEDPDLVKAKSRTAKTGLASVGESNTGAGIVAKQGNGDESEQGPSSRARLANGVATDTQNGFHDADRTARRGEEPSNSALNGRLHSAAPAADETPSISAQNMASTERSAENLPAVRIEQQKANLELTPGVNASKSSHDAVETAGEGGRGGNETLPSAEGGETGRPGSSVNGTNEGNKGRLNNEGSAAHNTSKVEREEGELSPNGDFEEDHFVPFEDGASKAKEGSTSKPFQGRPGEVVPSSEAAGENDADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGLSLPHSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSFTAEKKWRTSKDTNPPNLYAKFMTALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSSGRFFDLVYHENARVLLHDESIYRFESCSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLFSEYLCSMSDKKLSEGVYLGRNKRKHSNNDEPSDSLKAMDGIKVVNGLECKISCKTSKVSYVLDTEDFLFRLRKRRKVLRGGNVPDRLQISKISAAKVQRFNRFLSKP >KQL04077 pep chromosome:Setaria_italica_v2.0:V:6330078:6338563:-1 gene:SETIT_000051mg transcript:KQL04077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPGSASAAAPPPQAGAAPPAQSQQAAGAASANQKLTTNDALVYLKAVKDKFQDKREKYEEFLEVMRDFKSERIDTNGVIIRVKTLFNGYPELILGFNAFLPKGYAIKLQEEKKPVDFVEAINFVNKIKNRFQHDEQVYKAFLDILNMYRKDNKSIQDVYQEVAQLFAEHKDLLEEFQHFLPDTSVAPQAVASSRGGLVKREDRGSLVPPANRTLHGDKRDRAYLSHADRDFSVDRPDVEHDRQRRRLDKEKERKVERDRRDYEREDKDGEHDSRELEIGQRKRKPFPRKMEDTAGAETHQGGPSENHGIHSISASSYDDKDALKSVYTHEFHFCEKVKEKLEHDAYQEFLKCLHIYSQEIITRSELKNLVNDILQHYPELMEGFNEFLEHCENIDGFLAGVFNKRPTARIKTEDKEKDRERDREDRDRDREKEREKERERLDKGSTFNSKEGASHKPSMFSGKEKYNLSKPISELDLSNCQRCTPSYRLLPKNYPMPPASNRTDLGASVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNAATKRVEELIEKMQDNSVKPESPIRIDEHLTPLNLRCIERLYGDHGLDVMDVLRKNASVALPVILTRLKQKQEEWSRCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKNLSTKSLLTEIKEINEKKRKEDDVLLAIAAGNRRPIVPNISFEYVDSEIHEDLHKIIKYSCGEVCNSSDQLDKVMRIWTTFLEPILGVQPRTHGSEDPDLVKAKSRTAKTGLASVGESNTGAGIVAKQGNGDESEQGPSSRARLANGVATDTQNGFHDADRTARRGEEPSNSALNGRLHSAAPAADETPSISAQNMASTERSAENLPAVRIEQQKANLELTPVETAGEGGRGGNETLPSAEGGETGRPGSSVNGTNEGNKGRLNNEGSAAHNTSKVEREEGELSPNGDFEEDHFVPFEDGASKAKEGSTSKPFQGRPGEVVPSSEAAGENDADADDEGEESAQRSTEDSENASEAGEDASGSESGDGEECSREDHDEEEEDMDHDDQDAKAESEGEAEGTTETHDVEGGLSLPHSERFLHSVKPLAKHVPTALHDRDEKSSRIFYGNDSFYVLFRLHQILYERLLSAKTNSFTAEKKWRTSKDTNPPNLYAKFMTALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKVVKQLQAIATDEMDNKLLQLYLYEKSRSSGRFFDLVYHENARVLLHDESIYRFESCSNPTRLSIQLMEYGHEKPEVTAVSIDPNFSSYLFSEYLCSMSDKKLSEGVYLGRNKRKHSNNDEPSDSLKAMDGIKVVNGLECKISCKTSKVSYVLDTEDFLFRLRKRRKVLRGGNVPDRLQISKISAAKVQRFNRFLSKP >KQL03895 pep chromosome:Setaria_italica_v2.0:V:5237674:5241206:-1 gene:SETIT_000501mg transcript:KQL03895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRFVRGAAPPPQPPVAPASVPPPMPAQQRRHQHQPPLQHPPRAALHAPLLRLWPRGGGGGGERPAAAGGAVRGAERRSPPPEEERKAEAGGQGQGNSNWVLQMLRVQPRWVEAADAEATGGGGGGREPEEEAGGGVDECASCGGGGEGEGCAVGYDEGEVFDRASFSRLLRKASLGEAKEYSMMSYLCNIAYMIPKIQPKCLRRYNLQFVTSSFEDKARSSPDQKQEHSNAKDESQDQVSEAVDNAALASKEERGGLGINPFGAYHVVSSAASYLHSRAMGIMPFGSRNDVKDDPASIMAFVNGENGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFVCDDDQNSTRYFVVQGSETISAWQANLLFEPVKFEELDVLVHRGIYEAAKGIYHQMLPYVKSHLKSCGKSARLRFTGHSLGGSLALLVNLMLLMRGEAPASALLPVITFGAPCIMCGGDLLLRRLGLPRSHVQSITMHRDIVPRVFSCHYPDHVANILKLANGNFRSHPCLTNQLLYAPMGEVLILQPEERLSPHHHLLPPDSGIYHLGGVGASLSSGADDDSLPQLRSALSAFFNSPHPLEILKDGGAYGPRGTVYRDHDVNSYLRSVRGVVRKEARRAREAERWRWRLLLWWPFGVHGVSPGSGLGGFVDAVTDAARRAHLLAVVLLPAELLALGALLVVARLR >KQL03894 pep chromosome:Setaria_italica_v2.0:V:5237852:5240915:-1 gene:SETIT_000501mg transcript:KQL03894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALRFVRGAAPPPQPPVAPASVPPPMPAQQRRHQHQPPLQHPPRAALHAPLLRLWPRGGGGGGERPAAAGGAVRGAERRSPPPEEERKAEAGGQGQGNSNWVLQMLRVQPRWVEAADAEATGGGGGGREPEEEAGGGVDECASCGGGGEGEGCAVGYDEGEVFDRASFSRLLRKASLGEAKEYSMMSYLCNIAYMIPKIQPKCLRRYNLQFVTSSFEDKARSSPDQKQEHSNAKDESQDQVSEAVDNAALASKEERGGLGINPFGAYHVVSSAASYLHSRAMGIMPFGSRNDVKDDPASIMAFVNGENGEGLTMDEASFVATTNSVTSMVAAKEETRQAVADDLNSSRSCPCEWFVCDDDQNSTRYFVVQGSETISAWQANLLFEPVKFEELDVLVHRGIYEAAKGIYHQMLPYVKSHLKSCGKSARLRFTGHSLGGSLALLVNLMLLMRGEAPASALLPVITFGAPCIMCGGDLLLRRLGLPRSHVQSITMHRDIVPRVFSCHYPDHVANILKLANGNFRSHPCLTNQKLLYAPMGEVLILQPEERLSPHHHLLPPDSGIYHLGGVGASLSSGADDDSLPQLRSALSAFFNSPHPLEILKDGGAYGPRGTVYRDHDVNSYLRSVRGVVRKEARRAREAERWRWRLLLWWPFGVHGVSPGSGLGGFVDAVTDAARRAHLLAVVLLPAELLALGALLVVARLR >KQL07686 pep chromosome:Setaria_italica_v2.0:V:41223470:41223628:1 gene:SETIT_003940mg transcript:KQL07686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGYPYNGCGSNKEKRPPLKRGQLKLQITKTLLGGLVVPAGAKNRDRSFGR >KQL08011 pep chromosome:Setaria_italica_v2.0:V:43124145:43126091:1 gene:SETIT_000617mg transcript:KQL08011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAARKHLAILFVVLMLRPPAAEADDVANSTAAGGNSTAAAACPLDLGYVATLPWDRTPCVPPVANTTACCMTLLSVLAVGLAARLRATGRFRLPSAPASASCLRAFAGALAAPPLSLPASLVPGCFPVPSQFAITPDYCAGVTTAAEYAAVAGDASVAGLNASCGADITSMSTCTRCLNAGVGASARLTAAAGNSSKSQNCFYLTVLYAAGISSSAGPDSPATASCALGLALSTPSPPASPASSSTNHTNIAVAATIPIASVLLVSLVALLLWRKRRGDTKMRSIQISESSRGSRPRPNTGSVMFDVRELAKATGGFAERNLIGRGGFGVVYRGVLADGTVVAVKKMLDPDVEGGDEEFTNEVEIISLLRHRNLVPLRGCCIADDDPDEGKQMFLVYDYMPKGSLDQYIFEDGGDGRRRPALSWAQRRAVILDVARGLEYLHYGVKPGIYHRDIKATNILLDADMRARVADFGLARRSREGQSHLTTRVAGTHGYLSPEYALYGQLTEKSDVYSFGVLVLEAMSGRRAIDLSDPSGVVLITDWAWTHVKAGRPREVLAGALRKEPSAVVAAMERFMLVGILCAHVTVACRPTMPEALRMLEGDMDVPDLPDRPQAFGQRIAFDEGESNFSASSILSGPFVDFGDMLR >KQL07363 pep chromosome:Setaria_italica_v2.0:V:39314565:39315078:1 gene:SETIT_005250mg transcript:KQL07363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIVSTVCSVFLSVKLYVTVIADQLTHKKWLSTKYLPVCIYFNCSCSRDYPC >KQL07831 pep chromosome:Setaria_italica_v2.0:V:42059470:42060592:1 gene:SETIT_002402mg transcript:KQL07831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQALTSFQLMATLLVAFLATCHAGSIAVYWGQNDGEASLSETCSSGNYQFVILAFVYKFGKGQTPQLDLASHCDPSSGGCTGLSEDIRSCQRAGVKVLLSIGGGDGSYGLTSQGDARDVAAYLWNNYLGGTSSSRPLGDAVLDGIDFDIELGGAKYWGNLARDLKNMGKNQGGKGVLLSAAPQCPFPDEWDNGAISTGLFDFVWVQFYNNPPCQVSAGRGAFLDAWKQWESVPAGKIFLGLPASKDAAGTGFVPAGELNSNVLPLIRGSPKYGGVMLWSKYYDDRTGYSSDIKNHV >KQL04933 pep chromosome:Setaria_italica_v2.0:V:13168610:13170720:-1 gene:SETIT_003112mg transcript:KQL04933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQAIEEHRAGAEVYHGAALCAEKSTELLSEVHLPLGLLPLADMEEVGYNRSTGFVWLRQKKALTHTFKQIGRQVSYASEVTAFVEDRRMKRMTGVKTKELLIWVTLSDMFLDKDDPSKITFKTPTGLGRTYPASAFAKEGGDDGGKPVGKEAPAAAANGGNEAAAAVSGK >KQL05145 pep chromosome:Setaria_italica_v2.0:V:16522493:16523510:-1 gene:SETIT_003589mg transcript:KQL05145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRLRGRIFVGCDNEPLSRQEIMDRVNRSGKFDTKFQGFTGTDGPLGKRMENSKTRAEIGWQPKYPSFTEFLGLSNL >KQL03294 pep chromosome:Setaria_italica_v2.0:V:750427:752493:-1 gene:SETIT_004923mg transcript:KQL03294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGVPRPRDQPPTPEAEPGLVRSTAAGEVTPPPGGCMHCTADGAQGAAASNPCALGPPLHRSRAAGLLRDPIRRRIEGGRVDAAAPGVTSTRPSGKRGIPFVAAGGSARRRRGTVAVASASAGPWTPPRSRSTAAGTQRTGSGLASLADELALAMDHGGSGGGRSSSRLRDRLARMFRPASLLRSTCNTTASTCSSSSSSSTAVPGAAGSSTKAPPPASACSSSRALLAADAAVARDGRDSFLASSRRDFASLVARTESFSTAVDRLHRRAGVAPAPPSRFSVDAPPTKEKEKSPREYRLGVGGGGGVKSTSDKMKTKLLSNPYGFSSSDDAHSDVFTSDAEDLAARGGPASSKKLGESAETFFCSSRSFSSDSSEFYTNTKKKKKTKNRKMNNKSPAATAACSKPPPKPQKRGQVQTAGRRHHRRAASSACDTCGVRDGFRPVVCAAEEQVRKGFAVVKRSRDPYADFRSSMVEMIVGRQLFGAPDMERLLRSYLSLNAPRHHPVILQAFSDIWIVVHGG >KQL04462 pep chromosome:Setaria_italica_v2.0:V:9191444:9192250:1 gene:SETIT_004599mg transcript:KQL04462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTSTLKMKLLIDTKANRVLFAEVDKDVVDFLFSLLALPVATIVKMLGKGSMFGSFGNLYRSVEKLDDTYVLPGADKEAVLQPKVVPSAARSLLLPAPSSAQAMSFFRCSYPAFTSCYNNVTDARGTKCPSCCSNKMNTALKFVAPVAEGSSTGAGKGLVKGVVTYTVRDDLTVTPMSTISSMTMLNTAAVTDFTALQEKTVRLGYTEGLQIVKASLQSKTVLTDVFLGNKRPRGAA >KQL08580 pep chromosome:Setaria_italica_v2.0:V:46155568:46156074:-1 gene:SETIT_005410mg transcript:KQL08580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRPRSSERSSRSSQGGVGAAAAAGWSGMAEKLWSGDDVTDDEEPSSWTISLGNFIEQQAEQRFGLCWCFLWIYTTEMVWLRNI >KQL03990 pep chromosome:Setaria_italica_v2.0:V:5752455:5753642:-1 gene:SETIT_002481mg transcript:KQL03990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMVGAMVAGARFAARPTDVVLASLPKTGTTWTEALLYATVRRREHPPDAADHPFHSFGPHECVQNLEYQIYYTCGRVPDIGDLPDPRLFSTHVPFAALPGSVAGGGCKVVYVCRDPKDTLVSLWHFINKFRAKEGMALLSAEVAADMFCAGESSFGPYWEHVLGYWRAHLARPDWVLFFRYEEMVRDPAAHVRRLAEFVGLPFGGAGEDGTADAIVRLCAFEHMCGLEATKSGRTVMGAISLENSIFFRRGVVGDWVNHLSPETARRIDDITRSKFEGSGLTV >KQL03614 pep chromosome:Setaria_italica_v2.0:V:3416127:3416876:-1 gene:SETIT_003917mg transcript:KQL03614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIAIQRIDNRTNRQVTFSKRRSGLMKKARELAILCDADVGLIVFSCTGRLYEFTSSSMKPIIERYQEAREDNNCRLLNPISEAKVRYAY >KQL06332 pep chromosome:Setaria_italica_v2.0:V:32655952:32656416:-1 gene:SETIT_005493mg transcript:KQL06332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGILGSTQHYCRKPESSLLIKKVRRGYIVSNGPYANAHSFNPRTSNFSKRARRQRWPEQKPARPMGTNS >KQL08461 pep chromosome:Setaria_italica_v2.0:V:45553297:45558869:1 gene:SETIT_000392mg transcript:KQL08461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPQHTSSNGAAHSDADSEYASEKMPPKRLQRFDSLHMEAGKIPGGSTHAAKVGWATTLHLAFQSIGVVYGDMGTSPLYVFSSTFTNGINNTDDLLGVMSLIIYTVILLPLIKYCFIVLRANDNGDGGTFALYSLISRYARISLIPNQQAEDAMVSRYKLESPTNRIKRAHWIKNKMENSPKFKVMLFLVTVLATSMVIGDGVLTPCISVLSAVGGIKQKATTLTQGQIAGITIAILIVLFLVQRFGTDKVGYTFAPIILTWFILIAGIGVYNLSKHDTSVLKAFNPKYIVDYFKRNGKQGWISLGGVILCITGTEAMFADLGHFNVRAVQIGFSVVLFPSVLLAYIGQAAYLRIHPENVANTFYKSIPGPLYWPTFVVAVAAAIIASQAMISGAFAIIAQSQVLGCFPRVRVTHTSTKYEGQVYIPEINYALMILCVAVTAIFQTTEKIGNAYGIAVVFVMFITTLLVTLVMVMIWKTSLLWIALFPVIFGGAELIYLSSAFYKFTQGGYLPLVFAAILMFIMATWHYVHVHRYNYELQNKVSSNYVAELASRRNLARLPGIGFLYSELVQGIPPILPHLVEKVPSIHTVLVIISIKYLPISKIETSERFLFRYVEPTDYRVFRCVVRYGYNDKVEDPREFEGLLIEHLKQFIHEESFYSQGGDHLTEESGDAIEPYAGVQEARLSKSFSDRIATFPPNGSIDEIQTIQREMEDGVVHMLGETNVVAEPNADFFKKIIVDYAYNFMRKNFRQPEKITCIPHNRLLRVGMTYEI >KQL08051 pep chromosome:Setaria_italica_v2.0:V:43324891:43325882:-1 gene:SETIT_005076mg transcript:KQL08051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRALGSLLTRRFAPRARGVPSAQAESSLGIGIKKAPAGSVAGRPARSLHTHRDLAARDGAYGAAATAALAGVVALLYFNKDTKKPAGEVTGVEARKEVPPHVLQELKDEEDLKVNFVGKDGKVNWREYVEYLDNRRYRGGKHHHHATEAVSGKEAMDEVTGRDDAVEPEDLEVDEEAMRARFEDWVKEYGRSYRSKKEKARRYEIFRKRQIDNDRRNKRNASKPNGARFGTSEFSDWTKEEWNSRMSGRCGAFDWEEYFAH >KQL07586 pep chromosome:Setaria_italica_v2.0:V:40671695:40673195:1 gene:SETIT_003113mg transcript:KQL07586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQRSEQQRRLGLAMERPLDSYSSVKDVTYSCGYCGYALNLSSSARDTAGIGSKYRKQIKKGVVAFVAVDEGRFTLADEVACMPYFRSSRAWGLFRRRSRLLCRKCGGRIGDAYEDEDRDSGLSDGDAFSDDLRASSGSGGSSASSQRNYVIKISALQPSSEDSDAVSFTL >KQL07040 pep chromosome:Setaria_italica_v2.0:V:37237968:37238473:1 gene:SETIT_003632mg transcript:KQL07040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPRLPKVAGSPPHSTGAAEHFAAWVNLSLRFSSRRRRLDPVGASPAAVGFGSCRWNGSITSRVETAA >KQL07599 pep chromosome:Setaria_italica_v2.0:V:40721406:40725611:-1 gene:SETIT_001078mg transcript:KQL07599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAARARNGSVEHPTRTSSQGSNKAGRTGRLAESPTGLSLKVDRRSPMSAEREKRRPPTTKLSELESQLSQLQDELKKAKEQLHSSEVSRKRALQEADDARAQAAAASAQVRNSEAQLAELSSAEEARLLELRRLSQERDRSWQSELEALQKQHAADSAALAAAMGEVHRLRVQLAAAARADHRQDVVEALATIDELRVKLKASEEAEAQARAMHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKAKALEEEIAEASSRAASERCNCSGSEAAELRSELEAAEARYQEEKILSTVETQCAYELMDQIKTESDLRHGKLAAALESAKSEVIFLKASLFDKESELRRALDANQKLQAEARADTSADALKEQLQGALQENGQLKQELRQYESEKGSATARTPEADAAEAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVERSESWEGGKYAGLCEDLEDDAAARKNGNVLRRISGMWKK >KQL07598 pep chromosome:Setaria_italica_v2.0:V:40721406:40724637:-1 gene:SETIT_001078mg transcript:KQL07598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLNKMHFLKLYTSSNSSRNGSVEHPTRTSSQGSNKAGRTGRLAESPTGLSLKVDRRSPMSAEREKRRPPTTKLSELESQLSQLQDELKKAKEQLHSSEVSRKRALQEADDARAQAAAASAQVRNSEAQLAELSSAEEARLLELRRLSQERDRSWQSELEALQKQHAADSAALAAAMGEVHRLRVQLAAAARADHRQDVVEALATIDELRVKLKASEEAEAQARAMHEECKQQLEASRATIDSLLTDGSKLMDSFSLVVKELEESRAKAKALEEEIAEASSRAASERCNCSGSEAAELRSELEAAEARYQEEKILSTVETQCAYELMDQIKTESDLRHGKLAAALESAKSEVIFLKASLFDKESELRRALDANQKLQAEARADTSADALKEQLQGALQENGQLKQELRQYESEKGSATARTPEADAAEAAKKGEMETELRRLRVQAEQWRKAAETAMALLTVGKGGNGKVVERSESWEGGKYAGLCEDLEDDAAARKNGNVLRRISGMWKK >KQL04925 pep chromosome:Setaria_italica_v2.0:V:13088206:13091455:-1 gene:SETIT_002337mg transcript:KQL04925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAEGSASAAAAAAAKEVEYQAGVQKLVDLLSKLNPAAKEFVPSSAAAGSPPKKALSADAPVFDYHSIGAGNGGTKDSATDASFYIGNQQRKRGNGYINQGRRRAIDRARRADREDSIRRTVYVSELDHTVTEERLADIFATCGQVVDCRICGDPHSVLRFAFIEFSDEEGARTALNLGGTVFGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVMRTVYCTNIDKMVTLLDVKNFFEGLCGEFPLQVSRLRLLGDNVHSTRIAFVEFVHAEGAILALNCSGMILGTLPVRVSPSKTPVKPRVNRVGSN >KQL04926 pep chromosome:Setaria_italica_v2.0:V:13087694:13091690:-1 gene:SETIT_002337mg transcript:KQL04926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAEGSASAAAAAAAKEVEYQAGVQKLVDLLSKLNPAAKEFVPSSAAAGSPPKKALSADAPVFDYHSIGAGNGGTKDSATDASFYIGNQQRKRGNGYINQGRRRAIDRARRADREDSIRRTVYVSELDHTVTEERLADIFATCGQVVDCRICGDPHSVLRFAFIEFSDEEGARTALNLGGTVFGFYPVRVLPSKTAILPVNPKFLPRTEDEKEMVMRTVYCTNIDKMVTLLDVKNFFEGLCGEVSRLRLLGDNVHSTRIAFVEFVHAEGAILALNCSGMILGTLPVRVSPSKTPVKPRVNRVGSN >KQL05510 pep chromosome:Setaria_italica_v2.0:V:25258409:25261945:-1 gene:SETIT_000280mg transcript:KQL05510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADYTLPLAPASSSSAISMHRAAGYCVGFSCALAALGAQGRIAGGCDLGARRRGCRWSRARELALLEKLAALERQLEELRHRRAEDAKANEKVAGIFASHEQRWFTERKSLRRQVNAVVAAARAREAKREEEAAELRRQLEEQRDAAALKDRAMEQEIQRREGAEERLRAAERAAEELRERAAKEAAEHAAEVRKHKVAFVELASAQRQLEADLARAARLADTAEAELREALERRDEAASAAADLSAEAARLRRDAEHKDKILSAMLRKSKIDMEDREMLVREVKMCKARRKQAELEAERWRKMWESRGHRRGSSRSSSARCVTDPPPPSGCSDKVLAPDAAARAANLDTKILFVDQVEGDGKKDHRQAPAKELTTIECVDRYASHVDDKPAVEEYQGLQEWFQMETEKYTAMMKHRHTAEIEAFTEQLRLKDEKLEAFRWRAVSMDVEESRLRCRIQELEARLAQQEKHGAGLEALLLERENESRALKEQLEALQAQALGVEICVPAGGQDTDADDRCIPCSPVKIQRTASGEADKLSSGSSRHQEGTDEVSKYHRAETKVDELISPDDDKEKAFDLEATEAHVVPVRDLACAAAATTWMEHERHDAPPRQSFRSEIEEEKEVYTDPGNAQAQASTSSSQEQEATSELALVVVPPGQKSSAWKTDIHALAVSYKIKRLKQQLLVLEKLAAEGKEEAAAATRSSGSEASCSSRQHPRTRYQTMMSFLSKHVKRYQSLDDKIDDLCARMEESKRSVGRERRHGTSGEQSAALGQFLEETFQLQRFMVATGQKLLETQSRIASGLVSRGGGEDGADMKRLMDVAGALLRDVQRGLEVRIARIIGDLEGTLTFHGILRTTR >KQL06717 pep chromosome:Setaria_italica_v2.0:V:35192269:35192679:-1 gene:SETIT_005478mg transcript:KQL06717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLPFLLILSKILLIWGLLFNAAWFSFFEISAVGI >KQL06601 pep chromosome:Setaria_italica_v2.0:V:34548924:34554132:1 gene:SETIT_002022mg transcript:KQL06601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGAVVANGSGGPDTRAAFKEIYSKLKEEMLEDPAFEFTDESLQWIDRMVDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEETFLACTLGWCIEWLQAYFLVLDDIMDNSQTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLSKYNLTVHRRIVQYKTAYYSFYLPVACALLLAGENLDNFGDVKNILVEMGTYFQVQDDYLDCFGDPEFIGKIGTDIEDFKCSWLVVQALERADEDQKKILFENYGKSDPACVAKVKDLYKELNLEEVFHEYEKESYNKLIADIEAQPSKAVQTVLKSFLHKIYKRDK >KQL06600 pep chromosome:Setaria_italica_v2.0:V:34548924:34554132:1 gene:SETIT_002022mg transcript:KQL06600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGAVVANGSGGPDTRAAFKEIYSKLKEEMLEDPAFEFTDESLQWIDRMVDYNVLGGKCNRGLSVIDSYKILKGVDVLSKEETFLACTLGWCIEWTRRGQPCWFRVPQVGLIAVNDGIILRNHISRILQRHFKGKPYYVDLIDLFNEVEFKTASGQLLDLITTHEGEKDLSKYNLTVHRRIVQYKTAYYSFYLPVACALLLAGENLDNFGDVKNILVEMGTYFQVQDDYLDCFGDPEFIGKIGTDIEDFKCSWLVVQALERADEDQKKILFENYGKSDPACVAKVKDLYKELNLEEVFHEYEKESYNKLIADIEAQPSKAVQTVLKSFLHKIYKRDK >KQL04648 pep chromosome:Setaria_italica_v2.0:V:10414568:10416439:-1 gene:SETIT_004775mg transcript:KQL04648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARGFRPDAYTFPPLLKAVARRGGPAAASSSAPAAAVHVHVVKFGMGRSAHAASALVAAYAAGGDGAAARAALLDARGCGATPVVWNALISGHSRGKRFAESCRSFADMVRAGATPTPVTYVSVLSACGKGGDLLLGVQVHKRVLESGVLPDLKVENALVDMYAECAEMDSARRLFDGMQVRNVVSWTSLVSGLARLGQVDHARELFDSMPERDTVSWTAMIDGYVQAARFREALEMFREMQYSNVRADEFTMVSVITACTKLGALEMGEWVRVYMSRQGIKLDVFVGNALIDMYSKCGSVERALGVFKEMHSRDKFTWTAIILGLAVNGHGEEAIDMFHRMIRVWEAPDEVTFIGVLTACTHAGLVDKGREFFRSMIHSYKIAPNVVHYGCMIDLLGRAGKITEALETIDQMPVTPNSTILGTLLAACRVHGNLDIGELVAKRLLELDPENSTVYILLSNMYAKSNRWEDVRRLRQSIMEKGIKKEPGCSLIEMNGMIHEFVAGDRSHPMSNEIYSKLENIITDLENLGYSPDITEVFVEVAEKEKQKIIYWHSEKLAISFALLSSEPNTVIRIVKNLRMCLDCHSAIKLISRLYGREVVVRDRTRFHHFRHGFCSCKDYW >KQL07664 pep chromosome:Setaria_italica_v2.0:V:41083261:41083964:-1 gene:SETIT_003299mg transcript:KQL07664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEDGWPLGLGALNVGAGVLRGVDLSGSASFDTTFTSSHPTSSLPSTDFDTESAWSLPRHGGGGMTLASLIGLVDAMESRRRPSARAGRSSGRLRALLLSLCLRSHLENGSGAPSLREFLEMERRAGGASSHVHEL >KQL07035 pep chromosome:Setaria_italica_v2.0:V:37185026:37186017:1 gene:SETIT_004293mg transcript:KQL07035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRIVRSAAGRKDRHSKVCTARGLRDRRVRLAAHTAIRFYDVQDRLGYDRPSKAVDWLIRNAKNAIDELPDRAEAPPAAEAADAAAEPAEQVTSTSYGFGNPGGAIGGVSAGSFVPHSVGADGVSGNVKSLFPSSSTASTTPAHDEYRGSPPDLLSRTTSSQPQELCLTLQSNQHQIFSHQGMISGAGVPGWPEHGQRMPPWHASESGAGDGRGAGNGDGYMFGAQPRQGLEHQSQLFSQGEPLQSSGGWASSARTWLDPLAAIHQPAAMAGQIGFSHLVGAGGGFMGFLAPAAAQRLQSEEEQGSEAMRE >KQL06433 pep chromosome:Setaria_italica_v2.0:V:33348545:33354756:-1 gene:SETIT_001248mg transcript:KQL06433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLAGGKGGAVGRPVPRFRCQECHGALAVVGVADRLPPCGMHASAVIMGTIRMDNSYVVLSKKDRPKGLGIPPRPPTSASTHIEPNQRTRVIEDSYIMLPPSTASIYKTSSSEEDGAQLLPPSVNSSSSSLGNNSGFFSSATVLKRAFEIATSQTQVEQPLCLECMRVLSDKMDFEIEDINYDIKAYEACLQNLEQESYSILSETDFQNEKQKIDKEEKKLKADIEEAEKQYSEVISEMKNLETKSKQFEELEERYWQEFNSVQFLLTSHQEERNAISAKIEDYQTHLEMLKSTNVLNDAFNISQYGVFGTINNLRLGHTHVVEWDEINAAWGQAALLLHTMAQYYTPKFQYRIKIHAMGSYPRITDINNKTHKLFGPANVLFSTQYDEAMKWFLMCLQEFVDFAVSLDKENNVPPDELLKLPYKIDGDKVGGYRVVLGDFNTRENSTKALKNMLRDLNWVLFWFIGTTSFALPSGALHTQI >KQL05461 pep chromosome:Setaria_italica_v2.0:V:24736486:24744029:1 gene:SETIT_000105mg transcript:KQL05461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKTPYAAAAIASCNGAVLPLSIPHTKQFHARLILAPPSAAHGPADLRLLLLRSYAARGDLASARRLLDEAPRPASPLLHNALIRAHARRLDLPAALALFSRMRRSATPPDAHTFACVLRACADCLRPGAVKVVHGVASSRGMCLHPIVGSALVSAYAKLGLVDDARRVFDGLREPDLVLWNSMISGYGYRGMWHDGLQMFSAMRRAGEQPDGYSMVGLVSCLWNPEVLVFGQAVHGVCVKGGYDSGHHVRSALVSMYMRCGCMESGQSLFHNFPDADLVTWSSLITGLLQTGKYMESFDLFRQMCFTGRRPDSVLISSVLSACASTTAINHTREVHCYVLRLGADKDVTVSSSLMDAYAKCGFAELGYWVFCQIPNKNSVIYNTVISNLGTHGFATKAIEVLDEMVNDGLRPDSATFSALLAACCHVGNLEEGWKLFRRMRDEFQIVIEMEHYVYMVRLLATFGQLKEAYDLIQTMQIQPDCGVWGALLWGCCVHCDSNLGRIVAEKLFQFNPDKAAYRVMLSNLYTSQEMWWDAEEVREELSKEEMYKNRGISWVGKQAEKLDESAGISTTKFRCRKIKDQMCQVNTQDNNRCWAVSCAWSHNGQAAGCCSPLLGSRSVTFFFKILSILRACLARGIWVQILGLKWQPMHCNAVSINPSCNSFLYVTPEGRNLSETISVFNGNASLIQPIKRLSGSEDLLMGVPCMCEAINNTLTAFFHDTQYKVEEHDTPDTVKINKFSGLAMDVGDGKVLIANDMDTITVHLPCGCSSTASDGVLSYAVQEEDTLSTIASLFRSSSQDILNLNPSVKNPDFIKPGWILFIPMGSSGSSKKNIDPFQTERPVIFSLKIVGDATANFDEKRKIGEGGYGSVYLGFIGAHEIAIKKMKASKSKEFFAELKVLCKVHHINVVELIGYAAGDDHLYLVYEYVQNGSLNDHLHDPLLKGHQPLSWTARTQIALDSARGIEYIHDHTKDCYVHRDIKTSNILLDNGLRAKVADFGLVKLVQRSDEEECVATRLVGTPGYLPPESVLELHMTTKSDVYAFGVVLAELITGLRALMRDNKEVNKMKSLISIMRKAFKSDDLESSMETIIDPNLKDNYPIEEVCKMANISMWCLSEDPLNRPEMRDIMPTLSQIHLTSIEWEASLGGDAEVFSGVSTGR >KQL05807 pep chromosome:Setaria_italica_v2.0:V:28058016:28058789:1 gene:SETIT_004587mg transcript:KQL05807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASVPSGGDVRKQIAQPSAGAATTTGADVIRESSAAPSPLRGTTTTPPRQLKAPPPPPPATAAPAAIDKTLASVANLAKLLPTGTALAFHSLSPSFTNRGACLPSNRYLTAALLYLCFLSCIFFSFTDSFVGGDGKLYYGLATAKGFLVLNYTGDSGDDEEEDAERRRQVFRNLHRLRMRCVDFAHAGLSAAVFLTVAFSDPAVQSCYFPDASGNVKQVLTNLPLGAGFLSSMVFLVFPTTRKGLDYTGRSTNA >KQL07763 pep chromosome:Setaria_italica_v2.0:V:41598429:41602263:-1 gene:SETIT_002183mg transcript:KQL07763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASNGGLLYHEVQEGKLCAVHCVNTALQGPFFSEFDLAALAADLDQRERLVMLEGSQSPGVANAAAGDFLAEGSHNVSLGGDFSIQVLQKALEVWDLQVIPLDSPAAGSSLFDPEQEVAFICHLQDHWFCIRKVNGEWYNFNSLYPAPEHLSKFYLSAFIDTLKGSGWSIFAVRGNFPKECPMATEGSNGFGQWLTPDDAQKITASCNQVQTPPTHQVQSPLLGQQSGGMSEMDMIAAQQEEADLNAAIAASLMDAGGPFPSYDAAQEYSKQQDAPVIEASSSKDNNQEEVDKSEPSAPPCEDTQEPASGSDTKGEVSSIEVKESPKEE >KQL06651 pep chromosome:Setaria_italica_v2.0:V:34810475:34812879:-1 gene:SETIT_001543mg transcript:KQL06651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGKWIRALVGLPGGAGAGKGRKWSRLWRSSSSQRGSSAPPSEAPSEADALISSSLVAAVVRAPPRDFRVIRQEWAAVRIQTAFRAFLARRALRALRGIVRLQALVRGRRVRKQLAVTLKCMNALVRVQERARDRRARISADGRDSQDVLDERTGRADPVKEAEAGWCNSQGTVDDLKSKMHMRHEGAVKRERAIAYALSHQRSSSHSGRPSSPAASLRNHGTNRNNHNWSYFEGWMATKPWESRLMEQNHTEQSTNSRCSESIEDMNAVSSKLADVSSVKIRRNNMTTRVAARPPSTISASSSDFVCDASSPSTSSVTPVSGTNFLTSERRSDCGHGGGPNYMNWTKSAKAKLNGSGTHKPPLQRQRSSDLPCNSRTALSSVDVQSTAGSEVSVTSKRLNSLTLRGRGTRRSLDKENDGQPVALF >KQL03735 pep chromosome:Setaria_italica_v2.0:V:4366400:4367163:-1 gene:SETIT_0004546mg transcript:KQL03735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HVREGMTCVISVKVPSPEFEGQTKTRLGNPEVRRIVEQSVQENLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRTKSVLKSSSLPGKLADCASTNPEESEIFIVEGDSAGGSAKQGRDRRFQ >KQL08162 pep chromosome:Setaria_italica_v2.0:V:43899880:43901193:1 gene:SETIT_005136mg transcript:KQL08162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATSTRRRKPCRARVAAAATVCTATMAAAAERTCAPAAAVARTSTRRTASVASMWRQVQGSHDWDGLLQPLHPVVRDEVARYGELVGACYKVLDVDPSSPRHMCCKHDKESVLEEAGAAGAGYEVTRYIYATPDVAGPPSTSGRSSWVGYVAVSTDEMTLRLGRRDVLVSLRGTVTQAEWAANLMSALEPARLDDARGAHPGVKVESGFLNLYTSSADKAACRSSVGGMGSCRDQLLREVSRLVTSFSKDHPDEDMSVTLAGHSMGSALAMLLGYDLAELGLNRDASGRRVPVTVFSYGGPRVGNAAFKDRCDELGVKVLRVANVRDPVTMLPGAILNEGTRGFLAGWAGDCYTHVGVELAMDFLRLRDPASVHDLGAYVSSIKAETCGKAPNAAADGGRGGVFARAMEFVEGQRAAASAWKEAALQMGGLVQTLRMI >KQL06690 pep chromosome:Setaria_italica_v2.0:V:35020983:35022295:1 gene:SETIT_003773mg transcript:KQL06690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPLAWGALCFLAVSTVLCTVDLRSDATRSAAVLSLDDYEEDSDDGSPSFSFTFPETRPRGLVFGFYDETCPDAEEIVSSTVRGLYHTDPNVAAALVRLFFHDCFIHGCDASVLLDRVGGRKSERDAAPNQSLRGFGAVDAIKRRVEAACPGTVSCADILALAARDSLVLVGGPTYPVLTGRRDSAQSSYYDVGGNIPGPNATYAMTLDAFARRGFTERETVALLGAHSIGKVRCRFFTDRIYNFAGTGVPDDSIDPDMVGEMRAVCGGDGAAPMEMGYYRQGREVGFGAHYYAKLLEGRGILRADQQLTAGSTVRWVRVYASGARGEEVFREDFAHAMVKLSALAPLTGLDGQVRISCSKPVEDN >KQL05368 pep chromosome:Setaria_italica_v2.0:V:23505988:23506512:-1 gene:SETIT_005259mg transcript:KQL05368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDVRALPPLAPLPDGFLHLSFTFPMVGSRRASVVGGAGGTDAQGVGPADAAALVVGAPPPTSVVPALPASSRGSSCTSSHHSATSSVWRRRAAAEEEERLEEAAAAGGGREVRGPAAHGDVARRQVAGGG >KQL07131 pep chromosome:Setaria_italica_v2.0:V:37832834:37834571:-1 gene:SETIT_003462mg transcript:KQL07131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASFPPASSSGGWDFTCNFEVDYGSEEQASIIYKTLAVDKELQPDKVKREMTLSGSKLAVHFAAVEARFLRASFSAFVDLMGLVTKLVEEYGVAKEGHS >KQL06893 pep chromosome:Setaria_italica_v2.0:V:36284284:36290400:-1 gene:SETIT_0001281mg transcript:KQL06893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVTGSHLVASLKGHGSSRFASTSVIKQSSGGSFGGKSSQLPPLDVPLRGITLPPPLPDYVEPAKIKITSLPNGIKIASETSPSPAASVGLYINCGSIYEAPESSGATHLLDLMAFKSTTNRSHLRLVREVDAIGGNVYMSASREQMCYTYDAFKAYVPEMVEVLIDSVRNPAFFDWEVKEQLQKMQADIAEVSDNPQYLLLEALHSAGYSGALAKPLVAPVSAVHRLDSSILEKFIYENYIAPRMVLVATGVEHDELVSIAEPLLSDLPGVKPPEDPKSVYVGGDYRCQADSAKTHVALAFEVPGGFYEEKTAIIAMILKMLMGGGCFCSSGGLGNGIYSRLYLRILTNYQQIEFFSAFNSIYDRSGLFGIQAITVVDLVAGELLEVATPGKVLMILESRALASEELGKQILTFGESKPIESFLKYLEEITLDDISSTAKKIISSPLTMASMGDVVHVPSYESVSRKFHSNIPCMYRKFDVMRNQNFLHSAKVRNLRVTKHGLSSTEGKKNPPLAAQTTCKKCAPGNCLLPAATYLCTVQCSVRTVHFEKSRTTMFEKENHVLQPEAFGKAKGSERGVIRSCAEMRKSGEPTAQCTRPLVNSSAACPSGAPVGSCLVCPCLLARTTDMRALAL >KQL06895 pep chromosome:Setaria_italica_v2.0:V:36284284:36290400:-1 gene:SETIT_0001281mg transcript:KQL06895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVTGSHLVASLKGHGSSRFASTSVIKQSSGGSFGGKSSQLPPLDVPLRGITLPPPLPDYVEPAKIKITSLPNGIKIASETSPSPAASVGLYINCGSIYEAPESSGATHLLDLMAFKSTTNRSHLRLVREVDAIGGNVYMSASREQMCYTYDAFKAYVPEMVEVLIDSVRNPAFFDWEVKEQLQKMQADIAEVSDNPQYLLLEALHSAGYSGALAKPLVAPVSAVHRLDSSILEKFIYENYIAPRMVLVATGVEHDELVSIAEPLLSDLPGVKPPEDPKSVYVGGDYRCQADSAKTHVALAFEVPGGFYEEKTAIIAMILKMLMGGGCFCSSGGLGNGIYSRLYLRILTNYQQIEFFSAFNSIYDRSGLFGIQAITVVDLVAGELLEVATPGKVLMILESRALASEELGKQILTFGESKPIESFLKYLEEITLDDISSTAKKIISSPLTMASMGDVVHVPSYESVSRKFHSNIPCMYRKFDVMRNQNFLHSAKVRNLRVTKHGLSSTEGKKNPPLAAQTTCKKCAPGNCLLPAATYLCTVQCSVRTVHFEKSRTTMFEKENHVLQPEAFGKAKGSERGVIRSCAEMRKSGEPTAQCTRPLVNSSAACPSGAPVGSCLVCPCLLARTTDMRALAL >KQL06894 pep chromosome:Setaria_italica_v2.0:V:36284284:36290400:-1 gene:SETIT_0001281mg transcript:KQL06894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVTGSHLVASLKGHGSSRFASTSVIKQSSGGSFGGKSSQLPPLDVPLRGITLPPPLPDYVEPAKIKITSLPNGIKIASETSPSPAASVGLYINCGSIYEAPESSGATHLLDLMAFKSTTNRSHLRLVREVDAIGGNVYMSASREQMCYTYDAFKAYVPEMVEVLIDSVRNPAFFDWEVKEQLQKMQADIAEVSDNPQYLLLEALHSAGYSGALAKPLVAPVSAVHRLDSSILEKFIYENYIAPRMVLVATGVEHDELVSIAEPLLSDLPGVKPPEDPKSVYVGGDYRCQADSAKTHVALAFEVPGGFYEEKTAIIAMILKMLMGGGCFCSSGGLGNGIYSRLYLRILTNYQQIEFFSAFNSIYDRSGLFGIQAITVVDLVAGELLEVATPGKVLMILESRALASEELGKQILTFGESKPIESFLKYLEEITLDDISSTAKKIISSPLTMASMGDVVHVPSYESVSRKFHSNIPCMYRKFDVMRNQNFLHSAKVRNLRVTKHGLSSTEGKKNPPLAAQTTCKKCAPGNCLLPAATYLCTVQCSVRTVHFEKSRTTMFEKENHVLQPEAFGKAKGSERGVIRSCAEMRKSGEPTAQCTRPLVNSSAACPSGAPVGSCLVCPCLLARTTDMRALAL >KQL05032 pep chromosome:Setaria_italica_v2.0:V:14343456:14347637:1 gene:SETIT_001792mg transcript:KQL05032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEAGAGAGGSALRWRFCGVLPAVVAALPLLIALALPTAAAAAAAGSGFRCRPGSRPVLFNFGDSNSDTGGMAAAKGWHLTRPEGRAFFPRPTGRFCDGRLTVDFLCESLNISYLSPFLKALGSNYSNGANFASAGAATQPRDVPFALHIQVQEFLYFRDRSLELIDQGLSGPIDAQGFQNALYMIDIGQNDVNALLSNLPYDQVVAKFPPILAEIKDAVQTLYSNGSRNFWIHGTGALGCLPQKLAIPRKNDSDLDQYGCLKTYNRAAVAFNSALGSLCDQLSAQMNDATIVYTDLFPIKYDLVANHTKYGFDKPLLTCCGYGGPPYNYDFNKGCQSKDVTACDDGSKFVSWDGVHLTEAANAVVAKAILSSQYSKPSLKFNQFCRA >KQL06090 pep chromosome:Setaria_italica_v2.0:V:30574003:30576021:1 gene:SETIT_004577mg transcript:KQL06090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYLYFRPAAGHARPHRHPLLAHLDACASRAHLAELHGRLIRAHLAPDPAVAGRLVALLASPAPGHDMRCARRVFDGMSRPNAPAWNSMIRGYTSRGAPGDALATLRNMVRRGVVPDSYTMAAAVTASAAGDGWKWEWRATGDAVHAMVRKIGCAADLYVMSGLVNLYGTFRSAEDARKVFEEMRERDVVSWTSMISAFAQRGMWDDALRFLSQMQADGIFPNKVTIISLLSACGHGQAVDRGQWVYDQLSEYGIEADVDIGNALISMYMKCGCMSDALEAFKVMPSRNTKSWNTLIDGFVQNQKHKEALTMFEEMLSNDFNPDAVTLVSVLSACTQLGDLQQGRNLHSYIKSRRISCDTILTNSLINMYAKCGDMVAAEVVFQAMKQRDVVSWTTMVCGYVQGRQFTAAFIFFEEMKAAGIVASEMALYKQTLTWNSMIGGLASNGHGKEAVQLFDQRLKFGDPKPDGITFKTVLGACAHVGMVSEGLRYFHSMSTSGIAPDIEHYGCIVDLLSRAGLLEEAFEFIMKMPMEPNPVIWGSLLSACRFHQKIDLVRRVGQHIIKLAPNDVGTHVLIANLHAEGGQWDDVQQIREQMGKRGIEKSPGHSSIQV >KQL07470 pep chromosome:Setaria_italica_v2.0:V:39902480:39903648:1 gene:SETIT_002994mg transcript:KQL07470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVHPNVLPSPAERAAAAGEEEPTSLTVWRKSLLFNCRGFTVFDAKGDLAYRVDSYDDAEAEVVLMDPAGRPAFTVRRKRLSLSGEQWLIFAGEEARRPVYAVKRGGGGKSMARVAPQCAAGAPFEVEGSYGRRRCVVYDGERRAVAEVQPKEAVGTDVFRLVVQPGVDVSLAMAVVVALDQMFGRPSLLRSWSS >KQL04005 pep chromosome:Setaria_italica_v2.0:V:5818441:5821027:-1 gene:SETIT_002796mg transcript:KQL04005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIFGAKKDKGPPPSIQDATERINKRGETVDEKIKKLDEELARYKEQIRKTRPGPSQEAIKARAIRLLKHKRMYEEQRNMLYNQTYNLDQVAFAADGLKDAQQTMNAMKAANKELKGMMKTVKIEDIDNMQDEMTDLMDVSNEIQETLGRSYNIPDDVDEEELMGELDALESDMEFESAAVPSYLQPETDFDADLNLPAAPTRPAAVPAGGQEDELGLPAVPRASLRS >KQL05383 pep chromosome:Setaria_italica_v2.0:V:23697010:23698254:-1 gene:SETIT_004399mg transcript:KQL05383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPPQALSMEELPEETQRLILRHIPCSVDHGRMSLVCRAWRNMIRRQRNQLRRLLPWLLLRAPFPVGSTRVACVLSGCRVHHFLNITPLEARCFGSHDGAWLLLDTRELRPHKALNIRTGNVCDLPRKLRRRTDPYVHRMVIHVAALSSSPEHTNYVGAAIVTSWRNPAPSVVAALPPRHRCVALWRKNWRWVFDFVPPGDGDVALDVEDVLYLYSGAFAFVTQGEHLRLCKPFRLQENMLTTKWETLRFRPRGRLHDQYVRARYLVVSREELLMVVRFTPHPNQPTSKFKVFRGIERNIADADANFPVDLYPFEWSELDTLGDQMLFVGHGCSRSYKADEYLQGGIYFLDDGKFYDDAVIFGNGNVNHYPCSDNGMWSEGGHVQRCFPRPDPLDQSAPVWLLP >KQL05401 pep chromosome:Setaria_italica_v2.0:V:23871084:23873087:1 gene:SETIT_004526mg transcript:KQL05401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGRVWTLLFALLVADLVAPIHAAAPPHGGGGDKNTGTVIGIDLGTTYSCVGVYRNGHVEIIANDQGNRITPSWVAFTDGGERLIGEAAKNQAAANPERTIYDAKRLIGRQFDDAVVQRDMKLLPYAVVDRNGKPHMRVQVRDGDVREFSPEEVSAMVLTKMKETAEAYLGEKVTHAVVTVPAYFNDAQRQATKDAGVIAGLTVLRIVNEPTAAAIAYGIDKKGAEKNVLVFDLGGGTFDVSVLAIDNGVFEVLATNGDTHLGGEDFDQRVMDYFIKLIKRKHGRDIAGDARALGKLRRECERAKRTLSNQHQVRVEIEALFDGVDFSEQLTRARFEELNNDLFRKTMVPVKKAMADAGLQKGDIDEIVLVGGSTRIPKVQQLLKDYFNGKEPNKGVNPDEAVAYGAAVQGSILSGHVDEKTQGMILLDVAPLTLGMETVGGVMTKLITRNTVVPTKKTQVFTTYQDRQTTVSIKVFEGERSMTKDNRLLGKFDLAGIPPAPRGTPQIEVTFEVDANGILHVQAADKGTGKSEKITITSDDRRLSQEEIDRMVREAEEFAEEDKKVRERVDARNKLETYIYQVKSAVDDTKMTEKMDVEDKEKMEEAVREANEWLEGNSLDADKEDYEEKLKELEDVCNPVFSAVYQRSSGGASEGNNDDDDHDEL >KQL06838 pep chromosome:Setaria_italica_v2.0:V:35980677:35983716:-1 gene:SETIT_003401mg transcript:KQL06838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSTPKTDDNGKKLKKPKPWKHTQAITLAQLRQMRDEFWDTAPHYGGQKEIWDALRAAADSDLALAQTIVDSAGIIVSNSDMTLCYDERGAKYELPKYVLSEPTNLIREG >KQL07380 pep chromosome:Setaria_italica_v2.0:V:39418760:39421415:1 gene:SETIT_001326mg transcript:KQL07380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAASRHVVAVPFPGRGHINPMLVVCRQLAAADTALAVTVVVTEEWHELLAAAGVLAALPARVRLATIPNVIPSERGRGADHVGFIEAVHARMGEPVERLLDRLALERRPDAVVVDTYLTWGVAAGAARGIPVCSLWTMPATFFLAFYHMDQWPAADGPEGEEGQSCKSLDQYFPFPALSSVKCSDIKVFRSWELPMKRVVQVFSNVRKAQCVIFTSFYELESGAINGISQVLPCPVYTFGPSIPHMPPEGNSDKIQHEKYSDWLDAQPKKSVMYVSFGSYVSMPSSQLEEVAMGLHDSAVRFFWVARDKATTTTLQQISGDKGLVVPWCDQLKVLSHPSVGGFLSHCGWNSTLEAVFTGVPVLAFPVAWDQLVNARLVADEWKIGINLREQRREDGAVSRAAMSAAVTKLMDLGDGDSLEMRRRAEGLREASHSAIQEGGSSGRSLKSFVQDFIKAKLNVAETSR >KQL08736 pep chromosome:Setaria_italica_v2.0:V:46899286:46899698:1 gene:SETIT_005605mg transcript:KQL08736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSAIQLFSMLTFMMQLFLQAETTFSFGKLRM >KQL04651 pep chromosome:Setaria_italica_v2.0:V:10434642:10435908:-1 gene:SETIT_004074mg transcript:KQL04651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLNKKDDKVRDPIEKAIANVLLEDDENEWITGIVPNVEQGHEAEQAEASSKGESSQGAATTQSKRKRESEDNLDIDMQSLSTSDEDDDKSISRSSDDEDI >KQL03963 pep chromosome:Setaria_italica_v2.0:V:5601918:5605045:-1 gene:SETIT_002233mg transcript:KQL03963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEGTRKKVIIDTDPGIDDAMAIFVALRSPELEVLGLTTTFGNVHTALATRNALHLLEAVGRTDIPVAEGSHVTIKKASKLRIASFVHGSDGLGNQDFPAPATKPVDQTAAAFMVEQANLYPGQVTIVALGPLTNLALAIELDPLFPKKIGQIIILGGAYSVNGNVNPAAEANIFGDPDAADIVFTCGADILAVGLNVTHQVVLTDADREKLEQCDSKYARYLCKIMGIYFDYHKDAYFIKVNPSLLTYTEGVVRVQTVGITKGLTVFDNTKKRYGEITAWTGMPTVKVAVTVDAPAVVELMMQRLMTDD >KQL03962 pep chromosome:Setaria_italica_v2.0:V:5602463:5604951:-1 gene:SETIT_002233mg transcript:KQL03962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEGTRKKVIIDTDPGIDDAMAIFVALRSPELEVLGLTTTFGNVHTALATRNALHLLEAVGRTDIPVAEGSHVTIKKASKLRIASFVHGSDGLGNQDFPAPATKPVDQTAAAFMVEQANLYPGQVTIVALGPLTNLALAIELDPLFPKKIGQIIILGGAYSVNGNVNPAAEANIFGDPDAADIVFTCGADILAVGLNVTHQVVLTDADREKLEQCDSKYARYLCKIMGIYFDYHKDAYFIKGVYLHDPTTLIAAVNPSLLTYTEGVVRVQTVGITKGLTVFDNTKKRYGEITAWTGMPTVKVAVTVDAPAVVELMMQRLMTDD >KQL08819 pep chromosome:Setaria_italica_v2.0:V:47219785:47221013:1 gene:SETIT_004234mg transcript:KQL08819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGCFACFKPAGEEEAPLPSAASRRRGRSLRLSCSSTRNQQAGGGDAAAAPASGNIAGSSSRARAFTYGELAAATDNFQAECLLGEGGFGRVYRGRLESGQVVAVKQLDREGAQGNREFVVEVMMLSLLHHPNLVNLVGYCADGEQRLLVYEYMALGSLADHLLLMPGGGSPGGDERRALSWETRMRVALGAARGLEYLHETANPPVIYRDLKSSNVLLDDALCPKLSDFGLAKLGPVGDRSPRVMGTYGYCAPEYVRAGNLTVKTDVYSFGVLLLELVTGRRAIDSSRPPAEQLLVAWARPMLRDGKRYRELADPLLRGAFPERDLKQAVAVAAMCLQDEASARPLMSDAAVTLEYLAEAAAAADAAPPSTS >KQL05109 pep chromosome:Setaria_italica_v2.0:V:16004783:16009325:-1 gene:SETIT_004056mg transcript:KQL05109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWRTIRTMDVMMHSSCFLLPKLHQPANKPVMNYALVVLNQQLPRFMPRLWAQANLRICADGGANRIFDEMFHMTNDEDEKSTRNRYVPEIIEGDMDSIRPEVKLFYSSQGSKISDKSHNQETTDLHKCISRIHLRTPDHEKPNLCVLVTGALGGRFDHEAANINVLYLFSDMRIVLLSDDCLIRLLPKTHHHELYIESSVEGPHCGLFPVGAPSTSTTTTGLKWNLSESKMRFGSMISTSNIVQSEKVTVQSDADLLWTISLRNLT >KQL03944 pep chromosome:Setaria_italica_v2.0:V:5494520:5495617:-1 gene:SETIT_004002mg transcript:KQL03944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLASCFVQHGAPSQSFKPYAPQRSTTQISPGRSRSVPVVRCAAAHAATVTAPKVTSVDVANVGSGSFDFERYLSATAKAVHDALDAALQGLRCPESLSESMRYSVLAGGKRLRPALAIAACELVGGPAAAATPVACAVEMIHTSSLIHDDMPCMDNDALRRGRPSNHVAFGEPTALLAGDALLALAFEHVARGCAAAGVPADRALRAVVELGSAAGVGGVAAGQVADMEGEGSAPVGLAALEYIHVHKTARLVEAAAASGAVVGGGGDAEVEHVRRYAHFLGLLLQVVDDVLDVTGTSEQLGKTAGKDAAAGKATYPRLLGLEGARAYAGELLAKAEAELDGFDAARAAPLRHLARFVAYRQH >KQL07619 pep chromosome:Setaria_italica_v2.0:V:40878222:40880809:1 gene:SETIT_000414mg transcript:KQL07619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAVHDRLPFLASPPVPAPPPARERGRNPSLAEMLRLVGAATVDPAAAAADDDGSASVFSLPLPLPTTPDRAAAAGVGVGDGDGECAPIGRSVQFRLSFTGLIYSVRAKQPGGGRPGLLPLQRRPDRVNAVCTRALLDGVSGEAREGEILAVMGASGSGKSTLIDALANRISRGALKGAVTLNGEPITGNILKSISAYVMQDDLLFPMLTVTETLSFAAEFRLPRVLSPAKKRARVQALIDQLGLRAAANTIIGDEGHRGVSGGERRRVSIGTDIIHDPILLFLDEPTSGLDSTSAFMVVKVLRRIAESGSIVITSIHQPSQRILGLLDRLILLSGGRTVFSGPPSALPSYFAEFGFPVPDDENRAEFALDLIREFESSPTGTKPLVDFHRTWQRTHAPSPGLPGADPSSMSLKEAISASISRGKLVSGSDVAGEAASVRTYANPFWVEMKVLTRRSAINTRRMPELFLIRLGAVVVTGAILATVFFRLDQSPKGAQERLGFFAFAMSTMFYTCADALPVFLQERYVFLRETAYGAYRRASYVLSNSIVSFPPLVVLSLSFALTTFFAVGLAGGLPGFAFYTLAILASFWAGSGFVTFLSGVIPHVMIGYTVVVAILAYFLLFSGFFINRDRIPGYWIWFHYLSLVKYPFEGVLQNEFGRSGECFVRGAQIFDNSPLAALPDAVKARVLASISSALGVGIGVDTCVVTGRGVLQQAAVTQLGKWECLLVTAAWGFLFRLFFYFSLVLGSKNKRR >KQL07096 pep chromosome:Setaria_italica_v2.0:V:37645570:37651977:1 gene:SETIT_000875mg transcript:KQL07096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRSSRMVAGHLSRYSSAFGSALRYSGEAQGPVISHGFLRNLRRPWNSDLPAPAAEEAAEAIEVGAVVGSTELGGESGNGGDRPMRREHAGNRDGLGCCWAENHGAWSRAVSSSAPLKLRSSLPCSLAYSGESPGGTGGKWSKKSVGKRGEGALLQTCTMRPSRCCVPLFLLLLSHLAFARPLFPLPSKTKNEEKRPIQTFRPYNIAHRGSNGEIPEETAVAYLRAIEEGADFIETDILASKDGTLICFHDVTLDETTDVAEHKEFANRRRTYEVEWSNVTGWFVVDFTLEELKTLKVKQRYSFRDQQYNGMFSIITFEEFISIALDADRTVGIYPEIKDPVFINKHVKWADGKKFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSIIYVSKLIDSPKVFLIDDITVRTQDTNQSYWEITSDDYLAYIGKYVVGLGPWKDTIVPAAGNYLMPPSDLVARAHAHNLQHSVVVQVHPYTYRNENQFLHFNFHQDPYAEYDFWIKNVGVDGLFTDFTGTLHQYQELTSPHRKDETANSLLVKISQMISAYEGL >KQL07095 pep chromosome:Setaria_italica_v2.0:V:37645570:37651977:1 gene:SETIT_000875mg transcript:KQL07095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSRSSRMVAGHLSRYSSAFGSALRYSGEAQGPVISHGFLRNLRRPWNSDLPAPAAEEAAEAIEVGAVVGSTELGGESGNGGDRPMRREHAGNRDGLGCCWAENHGAWSRAVSSSAPLKLRSSLPCSLAYSGESPGGTGGKWSKKSVGKRGEGALLQTCTMRPSRCCVPLFLLLLSHLAFARPLFPLPSKTKNEEKRPIQTFRPYNIAHRGSNGEIPEETAVAYLRAIEEGADFIETDILASKDGTLICFHDVTLDETTDVAEHKEFANRRRTYEVEWSNVTGWFVVDFTLEELKTLKVKQRYSFRDQQYNGMFSIITFEEFISIALDADRTVGIYPEIKDPVFINKHVKWADGKKFEDKFVDTLLKYGYKGQYMSENWLKQPLFIQSFAPTSIIYVSKLIDSPKVFLIDDITVRTQDTNQSYWEITSDDYLAYIGKYVVGLGPWKDTIVPAAGNYLMPPSDLVARAHAHNLQVHPYTYRNENQFLHFNFHQDPYAEYDFWIKNVGVDGLFTDFTGTLHQYQELTSPHRKDETANSLLVKISQMISAYEGL >KQL07984 pep chromosome:Setaria_italica_v2.0:V:42985531:42986918:1 gene:SETIT_001818mg transcript:KQL07984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAHGMAVRARAPVTAAAAATPARSRGQCRVSAAAAVAAPAARAARVTHSMPPEKAEVFRSLEGWAARSLLPLLKPVEECWQPTDFLPDSSSEMFEHEVRELRARAAGLPDEYFVVLVGDMITEEALPTYQTMINTLDGVRDETGASACPWAVWTRTWTAEENRHGDILGKYMYLSGRVDMRMVEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATAVSHGNTARLAKAHGDDVLARTCGTIAADEKRHETAYGRIVEQLLQLDPDGAVLAIADMMRKRITMPAHLMHDGRDMGLFEHFAAVAQRLGVYTARDYADIVEFVVKRWKLETLEGGLSGEGRRARDFVCGLAPRMRRAAERAEDRAKKDEPRKVKFSWIFDREVVV >KQL08821 pep chromosome:Setaria_italica_v2.0:V:47227800:47231999:1 gene:SETIT_001030mg transcript:KQL08821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSSPLIHGPQVILTKFLGSCVQIGSRTERGGRREGEAEGAPKLETEIMVRAWGRAALPPLLILCLLHLQYSPLVVAQEGQEKDPATLFARASEMMNLRKYDGALGLLNAALEVDPNHSEAYRQRASVLRHKCRYKEAESDYNKYLELNPATASVEKELSQLLQAQNSLQSAYGQFDSGDFSKVLEYINKIVLVFSPGCLKAKLLKAKALLALKDYSSVISETGFILKEDEDNLDALLLRGRAYYYLADHDVASRHYQKGLRLDPEHSDLKKAYFGLKNLLKKTKSAEDNAARGKLRVSAEDYKAALAMDPDHTAYNVHLYLGLCKTLVKLGRGKEAISSCTEALNIDSDLVDALTQRGEAKLLSEDWEGAVQDLKEAAQKSPQDMGIREALMRAEKQLKLSKRKDWYKILGISKTASAAEIKRAYKKLALQWHPDKNVDNREEAENMFREIAAAYEVLGDEDKRVRYDRGEDIDEMNMGGGGGGGFNPFGGGGQQYTFHFDGGFPGGGFPGGGGFQFNF >KQL05270 pep chromosome:Setaria_italica_v2.0:V:20005818:20009415:-1 gene:SETIT_000761mg transcript:KQL05270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAAEYDSCTRQWHRHQWVKNIKRPQHDQAMHSDRLIFWRYEDASLLLLDMATVEFSILGLPFTFFQPSMYAIGDTDDGVCCLVGLVGSINNLHLQVWLLKEDGAVKTWEPEKKVPVSQVLGRDAQLHQVHVVTNGLALLCWDRCHQFAINLRKMCINAEFECSALGYPLQMPWPPAVLVETGSETINYLVDVVTQNLLVIEETEMNMMVGVQCDKMVRRSKKIPISKLGHVGDIIHYNQMVIHGCEMVQGIQKTHGNDTTESVIRTKSRCGSEMASSDHGGQMINCNQTVIYDSEMVQGIERNHDNGTAEMTPPTPSRCSNEMDHSDQMIHCNQMVIEGREMVQGIEATHGTYTVEAAPTSSRHGCKIVPGSRMDHGCSVIRCNQMAIHDRQMAQGIEMPHGNDTAEAAPDAPPTTARRRRKNSIIWEHFTTETDSDGCTRACCNYCKRIFACSKTAGTSHLKRHITLGSCPVMKGQVLPLSGRTGNHGSGAVEKPSKRLCLYAGPGNDAFNQNSNTSYLGNIDILTEPLTTKQGNEYSISKCLKVLHDMDDMSDEIKHLAYHVLKDATNREIFMSYESRLRGLWLKKEVNKLGI >KQL03557 pep chromosome:Setaria_italica_v2.0:V:3145309:3157600:-1 gene:SETIT_001493mg transcript:KQL03557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKWSECSSNSYRELSSHENGECKSTLTEKVPLPKSCFDLPTANTMCSLSAQKKDGNVYKRRKMDKDSNSLTTYEEAKETMTQNCATSDDHSSLVLPVVSSEAMPFNSTANTTGPILDCEEATEVSLEPNSATNGRSLVSSMSPSSMVLDKKDAAECSSSNISPTEPITEHMSPRDLCIAILKKDGLITESRARIKDDFTDSDANPMLACNTCGCLEHSLKMLICDSCEAAFHLSCCAPPIKELPTDEWYCAPCLCKKPKSVYGKLSEGKVLPSRNTNQRPHGMSHIDYMLKDAEPYVTGVRIGRDFQAEVPEWSGSTSSDGYFDEPSEFDPAELTNFNLCKTSNQSQSSIGNWIQCRETLNPGDSDKQVVCGKWRRAPLYVVQTDDWECFCCLLWDPAHADCAVPQELKTSEVQKQLRFVNMVKKQLVDQNQNPA >KQL03558 pep chromosome:Setaria_italica_v2.0:V:3145695:3153374:-1 gene:SETIT_001493mg transcript:KQL03558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKWSECSSNSYRELSSHENGECKSTLTEKVPLPKSCFDLPTANTMCSLSAQKKDGNVYKRRKMDKDSNSLTTYEEAKETMTQNCATSDDHSSLVLPVVSSEAMPFNSTANTTGPILDCEEATEVSLEPNSATNGRSLVSSMSPSSMVLDKKDAAECSSSNISPTEPITEHMSPRDLCIAILKKDGLITESRARIKDDFTDSDANPMLACNTCGCLEHSLKMLICDSCEAAFHLSCCAPPIKELPTDEWYCAPCLCKKPKSVYGKLSEGKVLPSRNTNQRPHGMSHIDYMLKDAEPYVTGVRIGRDFQAEVPEWSGSTSSSDGYFDEPSEFDPAELTNFNLCKTSNQSQSSIGNWIQCRETLNPGDSDKQVVCGKWRRAPLYVVQTDDWECFCCLLWDPAHADCAVPQELKTSEVQKQLRFVNMVKKQLVDQNQNPA >KQL06310 pep chromosome:Setaria_italica_v2.0:V:32505861:32508638:1 gene:SETIT_004305mg transcript:KQL06310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEEFIQQKNTPEAKALSKENTKKAKKAAKNPITWRIAGLPDLFEGLDERSRNWVLVRIPTFTPNGKVKFKYPITDKIYVRLEQLTEMQKKGLFKPDRERDQLTAAIGTAEHLEHGRGISSTLPWGKPFNNDQASYRKRDHYKKDLEEKMREITKADLTVSDGQRQAEPTMQLAHTRFVAPSSTSSIANVRYPVHDIQVDTPCRLVTPYGRKKNKFREVTTGMAVTGHVFPKEPPPEYAWVEVVTVLDDSCEIDIPTDEEIEILGDVMNQYILWHCRDIVLNNASLETSRSSQDTMKAMKQGIRAITSCVPKKVFLGILDYQIVIDFEDLHRPYCRQHLDVNLITVWCLDHWICIINLPKHGEAMVLDSARFSRDSYKKFIGIIQNAYKLYIIKGGECPEKKKKAMKIIYHRFCHRQPLGTALWQILCVRVPQKQWEMPRIDTRDAALEDKGIDNICRDMVRFIQREICLEDGAFFDQDGVLMADECKGFRRWT >KQL07869 pep chromosome:Setaria_italica_v2.0:V:42273165:42276255:1 gene:SETIT_001873mg transcript:KQL07869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVGNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETARTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPSSMKVKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >KQL07870 pep chromosome:Setaria_italica_v2.0:V:42273165:42276255:1 gene:SETIT_001873mg transcript:KQL07870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVGNWDDMEKIWHHTFYNELRVAPEEHPILLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYTLPHAILRLDLAGRDLTDNLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETARTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHEATYNSIMKCDVDIRKDLYGNVVLSGGSTMFPGIGDRMSKEITALAPSSMKVKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPGIVHMKCF >KQL08288 pep chromosome:Setaria_italica_v2.0:V:44591884:44594697:1 gene:SETIT_004739mg transcript:KQL08288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPTSSPPRRGRLVLLRLLSALLLARTAAGAASEFDDGTSPKFPGCDNTLQKVKVMYWVNGDEQNSLTGISARFGAVLPDTAPSDSKKDPAVLPDPKGSCAKSSKPLAGSVAVAERGECTFIEKAKTAEAGAAAALLIVNDEDGLQKMVCTDKDPPPNINIPVVMVSNSSGDKIHSAIFDGNKVDILMYAPQKPSFDGAIPFLWLMAVGTVACASVWTVAVVGEEPNKNAAPLGGEENPDAEVVELQTKTALVFIVTSSLVLLFLFFFKSTWSAWLLVFLFCVGAIQGMNYVASTLAIRACSRCGEAKVKLPGIGNVKVLTLITLPLAFIFACTWVAHQNSPSGWVGQNFMVASALLIAAFLYDIFWVFVSPLIFKKSVMITVARGSDDGPSLPMVLKMPKEFDSWNGYDMIGFGDILFPGLLVAFSFRFDRSHGKDLTDGYFLCLMIGYAFGLSCTYVGLYLMNSGQPALLYLVPSTLGVITLLGAKRGELSQLWNAKV >KQL04201 pep chromosome:Setaria_italica_v2.0:V:7157366:7158019:1 gene:SETIT_004756mg transcript:KQL04201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFILLWQALPNPKKCHYCSRESDYNRCHGIEKIIGSIQVPCSNTKYGCSIKTSYYEREDHETTCPHAPCFCPDTGCSFSGSTGMLQEHFIAVHQWPSTKFRYDWCFYANIQEGIRVISGEDKQLFLLNVVSESFGCVISVFCVWPHDTDPKFRCAMSFNYWKNSLYHARSSEFQVPSTTLSDGLPRDCFLFIMPKFYLDEDSKICATEEGFSMKNLRP >KQL06865 pep chromosome:Setaria_italica_v2.0:V:36164171:36165595:1 gene:SETIT_001290mg transcript:KQL06865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQKSVILYPSLGVGHLNPMVELAKLFLRRGLAVVIAVVDSPDKDSVSADAIARLAAANPDIAFRLLPVPSCGREDYPHAVMRIMDVMRVANPALREFLMGALPDVEALVLDMFCADALDVAAELGIPSYFFFASALSYLAIMLRLPEYYPTVPSSFKDMPETALHFPGVPPIRALDMAATLQDRDSDMAKARLAQCTRMLEARGILVNSFDWLESRALEALRCGLCTPGRSTPPVHCIGPLVLPGNTGGISERHACLEWLDTQPDRSVVFLSFGSLGRFSTAQLREMARGLENSGQRFLWVVRNPPEHQSNSAEPDLGSLLPEDFLERTRERGFVVKNWAPQSEVLRHPSIGGFATHCGWNSALEGIASGVPMICWPLYAEQRMNKVLMVEEMKVGVAMEGYEEELVKAEEVETKVRLVMASDEGEELRQRVMVAKEMAVDALKTGASSDVAFDEFLTDLQKNRTSTEKLAT >KQL05444 pep chromosome:Setaria_italica_v2.0:V:24545161:24545735:1 gene:SETIT_004224mg transcript:KQL05444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGKVNHPLLGIRYQLQQLLFDYKFICFSSAMNISLRDRVRKRREEEDDDMMMFLFPALYLMGSAREGGVKKKRHTSEETGGVKVRRLLEGHIKNCQVTFRMEPHIFKELATYLRRKRLGVDTRITMEEKLGFFLYMLSRNASYEDLEVTFGHRCNNST >KQL08542 pep chromosome:Setaria_italica_v2.0:V:45906799:45909489:1 gene:SETIT_003738mg transcript:KQL08542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPISSARRPPVVLAARESSHHAPADNAHHLLDETPRSRAGAIVRALTASSSTGDANVVASLHCASLKTGAVLDPPVRTSLLTAYARVRDASAAQALFGEATVAPDVILWNAAISALTLSRRYDDAAALFRRMARELGEFDSTTVVVMLSGASRAGNLEHGMALHGMAAKRCLDADHLSLWNALVDMYAKCGDFFSSEVVFQRMPCRDTTSWNSVISGSIFNGLSEVSAWYFKEMTRSIFQPDEVSVSSVLSACSRLDDLFSFGESVHSCAVKLGYEDTASCSVANSLMTFYSDFGLPDAAEKVFASTSNRNSVSWNAMIKGLVNNGRVNGALTVFREMRLENQPDVATLVTIISCCGDQGLVSEGRAIHGYIMRKGLLHEEPSVGNSLLDLYLKCDESSTASLLFRTMPRRDLISWNTMISGYSRNDSLREEAQSTFKGLLSEGLLCSLTTMLAVIPSCSSPEELSFGRSLHSFSLKYGLASEVSAVNALMHMYISCGDPLAAISLIERIIPVSDIVSWNTIIVGCTQNGLYKDALEAFQFMHSSLAINPDSITLVSILSACGNLNLQSLGKSIHCTILKQLLASNLRVKNALLAMYFRYGDTKSAELVFYSMGDKNLCSWNCMISGFAQNNKGWRALQFYQKMEDHVPNEISIVGIICACTQLGDYRQGKNIHGHVVRSGLQNNVFISASLVDMYCKCGRLDIAVRVFEASAEKSIAGWNSMISAFGFHGHGLKSIELFSKMIDSGTKATRSTFIALLSACSHSGLIDEGWKYYRLMSENFGIIPTQEHHVCIVDMLGRAGRLQEAQKFVESLPSQQAHGVWGALLNACSSKSELKRGESVAKHLLHLEPENSGYYVTISNLYAHRDMWNGAIQVRSILQDKGLVKPHGHSIVG >KQL07250 pep chromosome:Setaria_italica_v2.0:V:38676502:38681096:1 gene:SETIT_003744mg transcript:KQL07250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGLSLVRRAAEAVRRTPRWQKGLVVFAVGAGTLTYACQDNQVLQVCDGTGNKKKVVILGTGWAGASFLRNIDTSLYDVHVVSPRNYFTFTPLLPSVTCGTVEARSIVEPVRNIVRKRNGAFRFWEAECFQIDPTNKKVHCRSDVGTNIDGNGEFSVDYDYLIVSVGARPNTFNTPGVTENCHFLKEVEDAQNIRKSVLKCFERASLPNLSEEERKKNLHFVVIGGGPTGVEFAAELHDFVNEDLAKLYPDVNKYVNISVIEAGGHILTMFDKRITQFAEEKFKRDGIDLKTNFKVVKVDHKDITMTNPATGEVAVPYGMAVWTTGIGTRPIIMDFMKQVGQENRRALATDEWLRVQGCEDVYALGDCATIAQRKVMEDVAAIFRVADKDNSGTLTVKEIKDVLGDICERYPQVELYLKSNQMKGFHDLLKNSDGNSKELKELDIEQFKKALAQVDSQVKMLPATAQVAAQEGSYLANCFNRMKICEENPEGPVRIRGAGRHRFKPFRYRHLGQFAPLGGEQTAYQLPGDWVHVGHSTQWLWYSAYASMQFSWRTRMLVVSDWGRRFIFGRDSSSL >KQL07331 pep chromosome:Setaria_italica_v2.0:V:39167496:39171342:1 gene:SETIT_001398mg transcript:KQL07331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSGAAGGGGDHARSKEAAGMMALHEALRNVCLSSDWTYSVFWTIRPRPRCRGGNGCKVGDDNGSLMLMWEDGFCRPRVAECLEDIDGEDPVRKAFIKMSIQLYNYGEGLMGKVASDKCHKWVFKEPSECEPNISNYWQSSFDALPPEWTDQFASGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHMFESLGYQSGFFLSQLFSSSRGASPTPPFPLKQPAPAAARPPPQLFNWPGHQPPQLPPAAGASPLFPPGPAAAFHPSAARPMPPFPGGKQDEGHMFHLPPAHHGGGKPPHMDEQHQQQAMGPGGGEAPDGDLRWPNGLSFFTALTGRADDAKLLFGGPGGGGGGADEEKAAPDAAQGGAENVEEYLSLESHSNKARRVESAAQSTKFKRSFTLPARMSSPASTSPSVSASTAPPPPQQQQQGMEYRGPHEGGVYSDLMETFLE >KQL08273 pep chromosome:Setaria_italica_v2.0:V:44524306:44526310:1 gene:SETIT_002034mg transcript:KQL08273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTGSPRPSVGRGGGTALAVGPATRGGAAARRPQDRAGKREAGARRGLIRSNHPRASSRRATPSAPWPPMATPTATALSCSCRPSPSSSSSSTAAFRRLNIASVSSGPPPRRRRLRLAPLHVVDDSKEVQAEASAAADLPEERSQTDKMVDGMDFGKLCNDFECISSPYVESTARQIARDILELREDNRAFNCYAVSVKYKDPFRTFVGRDKYMRPLWITKALENPVVTVQEMSMQSTSNLTIKWAFRGKPKNPFFATIGGDVIVHVESQFVLNQISGQVLEQVDSWDLSASSLPAQTYFWLSRRVYSTVESGKDTIEAAKSTASGLSTKEDQNLEAYPDPSGDPTKVNQSF >KQL03253 pep chromosome:Setaria_italica_v2.0:V:550462:551031:-1 gene:SETIT_004918mg transcript:KQL03253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMDSGRRRGGKKAAADHQKANKQPQRGLGVAQLEKIRLHNQMMAAYRHHQDAGTRQVPFAAAPVAGASSSFQQPAAYLTPFNLQNCFEETERGIVAVHYYDGHLPPYGSSPPPPSLFAHDVRDSSGHRLGQPQHQQHYWICSPSEGSRSGGSGEELDLELRL >KQL07341 pep chromosome:Setaria_italica_v2.0:V:39226822:39227022:-1 gene:SETIT_004988mg transcript:KQL07341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDSLRVFLVLLLSQVCLLVAMAASAVQGRSGPRPLMMESTPECCLYHPDCCQVQANAVAAAPHPG >KQL08382 pep chromosome:Setaria_italica_v2.0:V:45147847:45150216:1 gene:SETIT_000366mg transcript:KQL08382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNRDDAARSKDIAERKFRENDLAGAKKFALKARALFKPLEGIDQMIVALDVHIRAQKKIGGENDWYDLLEVSPLADEEEIKKRYKKLAFQTHPDKNRSICAEAAFNLISDAWSVLSDTAKRMVYDRKRCMCGLQNNNKASARNTSNSSTSSVNGFCDKPRKMAPHQVPDTFWTNCGSCLNTFQYSREYVNQLLRCTTCYDVFLAVEVPHPTSSTKMYPTGPTPMATNNNIGGNTVPGMATPVQEGVSCGNQNGYPAVLKSTTCANSTRYTVQEDAGEANTAVNEEADSRKIMQDVRKHAHAVSSVKRANAKTREHEAAKRKRVNSGKKATWQSASSCPDGDGCKPMRPAKRRPRSTAETSGAKKRKVSSVDLNCESSGDAGGTSFGRVLMQLDVRSILIESGKLHIQKKLQEFNNKKANVKSKEKMQNSKKSSTKSVCNTAIGVNKIEMKPSINSVDPKDDDVMKLVSKRVDSEEKQREKCSKHVGLEEKLKTWQWRSREVRIVYTRRNRKAHKKGLGDGATGSNPATEHHVVDKYGCLDQESTPDKGSGEMSVPDADFFNFGDYSESSFQSDQVWAMYDEEDGMPRYYALIRKVLSARPFKARLAYLKANDCNEFGSSNWISYGYSKTCGEFKVGASKGIDQVNMFSHKVKCEKGPGGIIRIFPQKGDIWALYQNWSPDWNEFTPDDTMYKYELVKVIDSYNPDEGISVIPIMKVPGFVSVFKPFYDSTKGRRIPKEEMLRFSHQVPFHVLTGEEAPNAPKGCYELDPGSTPQELLQVVPPSGHAK >KQL08061 pep chromosome:Setaria_italica_v2.0:V:43380685:43381465:-1 gene:SETIT_003443mg transcript:KQL08061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIPFIYRAIKERRTRSYSRCSSTGSAHAGRFGARVEDSEQWEAAAPRGGGGGKVSAESGMAHRRHRSLEELAGEVGASPAWRQPGALPRGRSVRIFSCIGGM >KQL08500 pep chromosome:Setaria_italica_v2.0:V:45725299:45726516:-1 gene:SETIT_002399mg transcript:KQL08500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAKKQQQQRHHFVLVHGACHGAWSWYKVATALSSAGHRVTALDMAGCGARPERAEEVSSFEEYSRPLLDAVAALPAGEKAVLVGHSFGGRSLALAMERFPDRIAVAVFVSAAIPAAGKPMTLVFQQFSQEQRAADFYMDCKIETSGDPQHPVETIRYGPRYLEQRLYQLSPPEVDDLVTIELLPLMACVMQDLTLAKAATRPSRRFLNDATMNGAILTAERYGAVRRVCVVAEDDAMLSAEFQRRMASWNPGTEVRGLPGADHMPMLSKPEELSEMLMEVADKYRMAFKYEC >KQL08499 pep chromosome:Setaria_italica_v2.0:V:45725257:45726468:-1 gene:SETIT_002399mg transcript:KQL08499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAKKQQQQRHHFVLVHGACHGAWSWYKVATALSSAGHRVTALDMAGCGARPERAEEVSSFEEYSRPLLDAVAALPAGEKAVLVGHSFGGRSLALAMERFPDRIAVAVFVSAAIPAAGKPMTLVFQQFSQEQRAADFYMDCKIETSGDPQHPVETIRYGPRYLEQRLYQLSPPEVDDLVTIELLPLMACVMQDLTLAKAATRPSRRFLNDATMNGAILTAERYGAVRRVCVVAEDDAMLSAEFQRRMASWNPGTEVRGLPGADHMPMLSKPEELSEMLMEVADKYRHCWSTGNFRHGR >KQL06633 pep chromosome:Setaria_italica_v2.0:V:34718030:34718512:1 gene:SETIT_003677mg transcript:KQL06633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVFVEKECNGQHGTQASIVEKDVWRCLVENIFRLIPKLMVSIGSVNLAKITPTYDFFM >KQL03639 pep chromosome:Setaria_italica_v2.0:V:3577002:3580605:-1 gene:SETIT_002195mg transcript:KQL03639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVSPRTETSTDDTDDNHGLEPGPGALVAASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQSHSMSGNGALAFDMEYARWLEEHNRQISELRAGVTAHASDSDLRSVVDKIMSHYDEIFRLKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGIGNLQQSSQQAEDALSQGMEALQQSLAETLAGSLSSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQAARALLVISDYSSRLRALSSLWLARPKE >KQL03637 pep chromosome:Setaria_italica_v2.0:V:3576665:3581349:-1 gene:SETIT_002195mg transcript:KQL03637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVSPRTETSTDDTDDNHGLEPGPGALVAASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQSHSMSGNGALAFDMEYARWLEEHNRQISELRAGVTAHASDSDLRSVVDKIMSHYDEIFRLKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGIGNLQQSSQQAEDALSQGMEALQQSLAETLAGSLSSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQAARALLVISDYSSRLRALSSLWLARPKE >KQL03638 pep chromosome:Setaria_italica_v2.0:V:3576665:3581966:-1 gene:SETIT_002195mg transcript:KQL03638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVSPRTETSTDDTDDNHGLEPGPGALVAASDSSDRSKDKHEDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGIFISSSVDQSHSMSGNGALAFDMEYARWLEEHNRQISELRAGVTAHASDSDLRSVVDKIMSHYDEIFRLKGNAAKADVFHVLSGMWKTPAERCFLWLGGFRPSEVLKLLSTQLEPLTEQQLSGIGNLQQSSQQAEDALSQGMEALQQSLAETLAGSLSSSGSTGNVANYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQAARALLVISDYSSRLRALSSLWLARPKE >KQL07674 pep chromosome:Setaria_italica_v2.0:V:41120954:41127205:-1 gene:SETIT_000379mg transcript:KQL07674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSPMAARAAGGCSSAALAFFGFRPLRRAVRPAAAFAWGQSSLYGGCRSRLVHSLVDSVLDELRSRRRVRVSAKIGLQGTKELSDNKIDKRALQKGLLLEFQKDSERSLLAVVERPDGKKNWIVTDQNGTLSSIKPQQITYVVPGVMNFDSSRIDEFLEKAEDLLDPTVLECAWMELSEKDKSITVEEFAEIVYGNKESLESYCAHFLLSRDIVYFVKVESRDYSMYQPRSPPQVEELIRRKLAKEEAEKELEEFVHLLKSAKALPMESKPPKSSWLVDEKVRQKIEALQAYAVDACDDEQRRLAGNILKAMGFTRTSSAALKLLINVGYFPVHVNLDLFKYDVRIRYTEEVLSAAEELLVDRPDSDMHIRKDLSTLKVYAIDVDEADELDDALSATRLPDGRIKVWIHVADPTCLIKPRSIIDREAMHRGTSIFLPTATFPMFPERLAMNAMSLQQGKQCKSVSVSVILHPDGSIAEYTLENSVIKPTYMLTYESATELLYMNLEEEEELRILQEAASIRAQWRRSQGSIDTAMIEPRIKVSNPDDPEPNINLYVEDQANPAMQLVSEMMILCGEAVAAFGADNNLPLPYRGHPQSNTAVSAFSHLPDGPARSFANISVLRAAEMDFQKPVPHGVLGIPGYVQFTSPIRRYVDLLAHYQVKAFLRGDSPPYSAGDLEGMTFIASMHVKVARRLHSNSLRYWLLEYLRRQPKGRQYKALILKFVKDRMAALLLVEVGMQVTTIVSRGKVGDEVSVAVETAHPRDDILSIREVIEDTDDTEE >KQL04982 pep chromosome:Setaria_italica_v2.0:V:13761140:13767037:1 gene:SETIT_000641mg transcript:KQL04982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAPAPAMDHAEDEGGGGARNQSSMVVVKTEAVCTNGGGPLVASPDLVNEDEGDETTECSSSFGDTCSGSEGEADGGEPEVNSGISARANGGRPSKPPRRKKVTAEWRNSVRPIMWRCQWLELRMKELSCQVSKYDRELALIKKEKEQQVLSKANGSMSESVQIHKGHGNSIMKRRKRKIHEENVDASLYINKHQILSYYHDKQNKGAETDAVLIDDECGSTVDGSIRGGLDTVTLLDSENYDMIFEQLTLKDTLMTIDGLQSRVHLLQDRLSNAHSGGENLALSEDGTHVMVTRKRQHTQKRSFSYTKCRYTKPQKRKNLNILLKDEDGSDLAGRPALPDRETSVRIKYENRNAEERSGECNYSMEKAVTVDLLLGTDNSIPNDHIGDLCKENTDDILIDNQVANEECQQLDKAKHLPSGTSSKGQNISGPAEMEDISAPAEVKNTCAPVETDSTSAPAVEPVSPQIKQELKPKKRRKKCSFFTKKQKKDASKTPAAKEKTEGTPSAAKNKTGSTPSAAAAVKTESTPSAGTGLGTMTFRSAGKMRKAGNEPADMKKRESGSESAAPKKHKTGRPSSAAKKQKTENPSSATKNQETENKPSTIKEAESAPLNMKIEKAVLVAVNSRRSQRVRKPKVFDE >KQL04983 pep chromosome:Setaria_italica_v2.0:V:13769746:13770336:1 gene:SETIT_005311mg transcript:KQL04983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGALQARREQGQDAPRVPWDVAPDHRRARGERREGVRRNHRMAQGTLQRQR >KQL05445 pep chromosome:Setaria_italica_v2.0:V:24564776:24566128:1 gene:SETIT_003936mg transcript:KQL05445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKGSPKLNVIARGSPKLSRFLPTTITTKKKPSPKCSGAKRNGGSPRGKQRADWNPTLKKSLVEILHEYKDSGYRSDNGWNTEGWNKMVKEFHLRNKSVSYTKAQIQDKECQLKRDYKMLKAARMQSGSKWNEQRNMVEGSTAMWENLMVTFSKIKKFQNNKASFPLFDALGALYDGHLAEGTYNFTSIESQRVEEPLQQIDVVEEEALQEIHKVHDEEDEEKDARDKEEEARSGQRRMAASRKKPEKEGQRPRKSAKIEAMMERFLEMRTKQTEDEAQQLARENEPKDKEAAKGDEYSIKRCISIINTMEVTKQEKAKAYAIFTKSKENRETFICASEEDEESALIWLRNVMHLYY >KQL06339 pep chromosome:Setaria_italica_v2.0:V:32690142:32692815:-1 gene:SETIT_000341mg transcript:KQL06339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRYGTCLAFILLLATVAPCPSASAQHTLGAGSSLSVEDHERPFLTSPDGTFSCGFREAGENAFSFSVWYTGAVEKTAVWTANPGAPVNGRGSRISFRRDGGLALDDANGTTVWESKTSGGGGSALAVSLLDTGNLVISDPSNNSGRAAWQSFDWPTDTLVPSQPLTKHMKLVAGYFSLYYDNDNVLRLLYDGPEISSIYWPNPDKDPFKNGRTTYNSSRIGVLDDNGLFLSSDNLGVNASDLGPGVKRRLTIEQDGNVRIYSMKASTGGWTVTWAAMAQPCYVHGLCGRNAICEYEPSLRCSCAPGYEMVDRQDSRKGCKPMFTVTNCSLQASPSPSPEQRYKFVKMPHTDFYGYDLWFYQSVTFEYCKKICLETCLCVGFSYKLEGQGVCYPKSVLFNGFTSSSFTGTIYLKLPIDFNASAPTATARSTAGLACDPNVSVIVQGSPGTFGRPGNDAKWPYLFAFAGVLGVLDLIFIATGCWFLSSKQSIPSSLEAGYRMVTGQFRRFTYRELKDATGNFKEELGRGGSGVVYRGVLDKGKIVAVKKLTNVAGGDEEFWAEMTVIGRINHINLVRIWGFCSQGKHKLLVYEYVENESLDRHLFATDRTLPWRERYRIALGTARGLAYLHHECLEWVIHCDVKPENILLTREFDAKIADFGLAKLSKRDGAAGDSMQLSHMRGTTGYMAPEWALNVPINAKVDVYSYGIVLLEIVIGSRISEQRTAGGERLEKSQIAEVLRQVVASGDIEPLVDGRLQGQFNPPQALEMVRISLSCMEERSSRPTMDDIAQALAACDDEDEHPAYRS >KQL07991 pep chromosome:Setaria_italica_v2.0:V:43009247:43012974:1 gene:SETIT_004553mg transcript:KQL07991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLVAAALEEVCARLSRGLPVTALWTAISGSSAAAGLPLDAAVKRVLLARLTALPVINLVEGGREGAPFHPAEEDLVEEAERRGARLVASAALRDNFLGIYDDQKMSDDQKTTLERIGASRLSAAYIF >KQL03415 pep chromosome:Setaria_italica_v2.0:V:2230943:2231813:1 gene:SETIT_003491mg transcript:KQL03415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIASSFAAGLLFSQRRGYSVAAAVVKGPGRKAVEKVAKRVMGKKEVNTTAASPENTPWVPDPVTGYYRPAGGTMEVDAAELRAMLLPQRVAIAS >KQL05464 pep chromosome:Setaria_italica_v2.0:V:24766338:24766648:-1 gene:SETIT_004640mg transcript:KQL05464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMPITLLVTIVLSSLLGEAVTLGSILGGVVMVGGLYCVLWAKKTEQVDVSKEQMAAAVQETEV >KQL08196 pep chromosome:Setaria_italica_v2.0:V:44111058:44114836:-1 gene:SETIT_0001421mg transcript:KQL08196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDTLEARVDALLSSVSYRNHRDSWVSSAAASTKNLHQLPGIQMTDSSVYHDVVAPGFTNLPARARDVPTHTMFTSQRYLPHNYNVVAANFPLTVRPESFRTTIVSPCVSVPPKCSSGLGGTASDGLPNGHVKDHFPAVCDPTTSPSAMIRSSMDSVSKASGQKLSAGSDVYSTGEGQSFQQHREYERELDGAWSQPVELSIQSNRTTERHELYLKGECHLDRCMEVEGKYCRVSDCEDLCREKYSSLSTPRAQYQCCFMTDCDPCDPERERVGRSEQTSNSTVSKPSSTVSDESYGKRPAKRLKADVPSLVNVNQAESPKEQKPVVNENHAYGETVQSEITELPTKSPCSSSGDINADTNNTLEQGSEDVHNMDVVAEEELHCVKGDIEMKDSKTVALDQTANQVNISSRRKRGASILYALTAEELRDHLSSLINQHTCLSKVISQEIQLIEGLPDQNTCSFCGMERLLFEPPPRFCALCFKIINSTGCYYAEVENGKDKTSICSKCHHLSSSRAKYVKRFNYAETDAEAEWWVQCDKCKAWQHEICALFNRKCEGAKAEYTCAKCFLKEKDSGDIHALESSTVLGARELPRTKLSDHIEQRLSERLEQDRQQRANASAKGAEEVPRVEGLAVRVVSSADRVLQVQPRFHDFFKQEKYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSDCPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEILV >KQL08197 pep chromosome:Setaria_italica_v2.0:V:44111148:44114836:-1 gene:SETIT_0001421mg transcript:KQL08197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDTLEARVDALLSSVSYRNHRDSWVSSAAASTKNLHQLPGIQMTDSSVYHDVVAPGFTNLPARARDVPTHTMFTSQRYLPHNYNVVAANFPLTVRPESFRTTIVSPCVSVPPKCSSGLGGTASDGLPNGHVKDHFPGDAHPVDSPISSMSGSSSPLSAVCDPTTSPSAMIRSSMDSVSKASGQKLSAGSDVYSTGEGQSFQQHREYERELDGAWSQPVELSIQSNRTTERHELYLKGECHLDRCMEVEGKYCRVSDCEDLCREKYSSLSTPRAQYQCCFMTDCDPCDPERERVGRSEQTSNSTVSKPSSTVSDESYGKRPAKRLKADVPSLVNVNQAESPKEQKPVVNENHAYGETVQSEITELPTKSPCSSSGDINADTNNTLEQGSEDVHNMDVVAEEELHCVKGDIEMKDSKTVALDQTANQVNISSRRKRGASILYALTAEELRDHLSSLINQHTCLSKVISQEIQLIEGLPDQNTCSFCGMERLLFEPPPRFCALCFKIINSTGCYYAEVENGKDKTSICSKCHHLSSSRAKYVKRFNYAETDAEAEWWVQCDKCKAWQHEICALFNRKCEGAKAEYTCAKCFLKEKDSGDIHALESSTVLGARELPRTKLSDHIEQRLSERLEQDRQQRANASAKGAEEVPRVEGLAVRVVSSADRVLQVQPRFHDFFKQEKYPGEFPYKSKAILLFQKIEGVDVCLFAMYVQEYGSDCPSPNQRHVYLAYIDSVKYFRPEIKSASGEALRTFVYHEIL >KQL06892 pep chromosome:Setaria_italica_v2.0:V:36282936:36283572:-1 gene:SETIT_0001282mg transcript:KQL06892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFESEEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDVFGIQV >KQL06891 pep chromosome:Setaria_italica_v2.0:V:36279307:36283572:-1 gene:SETIT_0001282mg transcript:KQL06891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFESEEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDVFGIQNGYKGFYSSNYLSMIPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRKRGLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIDRRLKENNHMVIVVAEGAGQDLIAQSIPAADQQDASGNKLLLDVGLWLTHKIKDYCKSKKMEMTIKYIDPTYMIRAIPSNASDNVYCTLLAHSAIHGAMAGYSFTVGMVNGRHAYIPFHRVTSTRNKVRITDRMWARLLSSTNQPSFLSQKDINAAREADKAANSRKNGENAKKQPASVLANGEK >KQL06890 pep chromosome:Setaria_italica_v2.0:V:36280521:36283572:-1 gene:SETIT_0001282mg transcript:KQL06890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFPNPLQDHPAYSTVKQYFVNADDTVPEKVVVQKNSPRGVHFRRAGPRQRVYFESEEVKACIVTCGGLCPGLNTVIRELVCGLSHMYNVNDVFGIQNGYKGFYSSNYLSMIPKSVNDIHKRGGTVLGTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAYEIYKEIRKRGLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEASSAENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLEGEGGLFEYIDRRLKENNHMVIVVAEGAGQDLIAQSIPAADQQDASGNKLLLDVGLWLTHKIKDYCKSKKMEMTIKYIGNFLVFTPMRC >KQL03170 pep chromosome:Setaria_italica_v2.0:V:177628:182521:-1 gene:SETIT_004136mg transcript:KQL03170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEPPRVSFRDGRLASRKAEEAAWRRHQAASWLQTMVGSFGSSPCPSEQEFVASLRNGIVLCNAINKLQPGAVPKVITNAPCDSQPLTAFQYFENIRNFLVAVQDLKLPSFEASDLDKDNLDAGTVAKIVDCVISLKSYHEWKQAGGANGPIKYMKSPLAVRFSQIQSENVALGPSPSQKRLDLTDFVADTQPSQNVDSNTQDCAFAEVVDKLQKAIVDCMLSYKENFDQDILKKDPTKLIGAIFANQLGKEQSKHLQLFSPEGLTMENEPVHCIEHSNSQIENKQWLLQAHETELLELKKMFQDVKVEFRSLQTQFQDDMTILGNNIQELSKAAFGYNQAVQENRNLYNMLQELRGNIRVFCRIRPPLHSESISSIEHVGNDGSVMVCDPVKLQNTRKIFQFNKVFGPTTTQDEVYKETQPLIRSVMDGYNVCIFAYGQTGSGKTHTMCGPSGGLPKDFGINYMALNDLFNISTSRADVKYDIRVQMVEIYNEQVRDLLSEDTTSTKYPFTPYKTSSNKGLLNLPDAKICQVQSPSDVINLMQLGEKHRASSSTAMNHRSSRSHSILTVLVNGKDIAGNVSRSSLHLVDLAGSERVDRSEATGERLKEAQHINKSLSCLGDVINALAQKNSHIPYRNSKLTQLLQSSLGGNAKTLIFAHISPEAESYTETLSTLKFAQRASTVELGTAHANKESSEIRELKEQVDTLKKALASKEFEKTSLKLKENAITTERTKQVLDRTPPRSRRLSLENASSGKKAMMPERKIPKSPRSTMSFTRDRSVTHDKECSTDGFHRGNHHNHGC >KQL06653 pep chromosome:Setaria_italica_v2.0:V:34821050:34822462:-1 gene:SETIT_0038302mg transcript:KQL06653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ERTDAILLFPAQYGPMRFADSVIREDHELTESLNMLCVKILSSDVGYPISVYGTVIIKDSLDLKRNYYIFRRDRDNCQHITSPGESLILTGPSRGVVLTKSLVQRKTVDSWLSEVELVFAYVEKALEGTIKIKILSGPGSFHGKITACTTDVSSHMLLYDSGAITVSDGRVLRLLCYVVSVPLDKMLEFNIWASSSDQNATTSHRQLTFTPFMKGAETDEITCGLYNLQVKVVWSTMIK >KQL04158 pep chromosome:Setaria_italica_v2.0:V:6911866:6913056:-1 gene:SETIT_004430mg transcript:KQL04158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YCAGRNEGPVLCDFSSSRSDVCELKGDVRVLPNATIVHHHPLARRRSWRMKPHARKNDRHALARVTEVTVASSHAADHPAPRCTTNHTAPAVVFSAGGYAGNMFHDLTDVLVPLFITTRRFGGDVHLLVSDAQPWWLDRFRPLLRRLSFHDVVDMGRGAGAGGRGVLCYPHVVLGLEFHKEMSVDAARTAGGYSMADFARLARRSYGLARDTAIRLRGRGDGGRRPRLLLISRKSTRAFTNAGAIARAATALGYEVVVSEPSRQHAAGLASFARLVNSCDVLVGVHGAGLANLVFLPAGAVVVQVVPLGGLDAMAAEDFGAPARDAGLRYVHYAIAVAESTLAGRYPRDHRVLRDPAAVRRDGWAALREAYLVGQNVTLDVRRFEGALRRAMELLR >KQL06125 pep chromosome:Setaria_italica_v2.0:V:30831706:30835618:-1 gene:SETIT_001780mg transcript:KQL06125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRRLRLLPLLAAAVACSLLRACGAAAASTGTLGLRHHKPAKKHNSTRHGGGRPRGGGGGGAGSPGTGMAACNLFQGSWVYDDSLPMYDTAGCPFVEPEFDCQKYGRPDKMYLKYRWRPASCELPRFNGQDFLSRWKGKKILFVGDSLSLNQWESLACMLHAAAPASKVAYSRGNPVSTITFQDYGLSVAYCRSTYLVDIVEESVGRVLKLDSITGGAWLGADVLVFNTWHWWTHTGKDQPWDYVQDGGQVMKDMDRLTAFSKGMTTWARWVDSNVDTSKTKVYFQGISPTHYNGAEWGEGSRNCAQQTQPIAGSAYPAGPVPAQAAVRAALSGMSKPVYLLDVTLLSQLRRDGHPSAYSGGHPGNDCSHWCLAGVPDTWNQILYASLLA >KQL06495 pep chromosome:Setaria_italica_v2.0:V:33811888:33823194:-1 gene:SETIT_000080mg transcript:KQL06495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPTPMPGGEGTLAAVMPRSPSPTPAEAGTSAAEAPVLIFLYFHKAIRAELEALHGAAVRLATERSGDVAVLAERCRFFFNIYKHHCDAEDAVIFPALDIRVKNVAGTYSLEHKGESDLFRQLFALLQLDIQNDDGLRRELASCTGAIETCLSQHMSKEEEQVFPLLTKKFSCEEQADLVWQFLCSIPVNMMAEFLPWLSTSVSPDEHQDIRNCLCKVVPDEKLLQQVIFTWIEGKAAKEVAESFGDGNSAEDLPDQGEKHICSHQGSKLGSTNCAESNDGQVYRHPIDDILHWHNAIRKDLHDIAEETRRVQQSGDFSDISAFNEMLQFIADVCIYHSIAEDQVIFPAVDSELSFVQEHAEEERRFNNFRCLIQQMQIAGAKSTAVDFCSKLCSHADEILETIEKHFCNEETKVLPQARMLFSPEKQRELSYKSLCVMPLKLLERVLPWLVSKLSDEQASSFLQNISLAASPSETALVTLFSGWACKARDKSNSGEYLCSTSGTVRCLLDDIDNLGKCRSFCPCTSRNSPDLPVQIQTENGSRPGKRGKDESFPGANGIYCSQTADIEASPCSKKPCCIPGLRVECSNLGIGPLASAKSFRSLSYNFTAPSLYSSLFSWENDASLSCSDGISRPIDTIFKFHKAIRKDLEYLDVESGKLIDGDESCLRQFIGRFRLLWGLYRAHSNAEDEIVFPALESRETLHNVSHSYTLDHQQEEQLFEDISGVLFELSHLYDSKSHAHTEVNEVERNCSDSSNLVDWTRKYNELATKLQGMCKSIRVALTNHVHREELELWPLFDKHFSVEEQDKLVGRIIGSTGAEVLQSMLPWVTSALSQEEQNKMLDMWKQATKNTMFGEWLNEWWKGAPTSSDSPAEASSAPDSHSQDKLEQNDQMFKPGWKDIFRMNQSELEAEVRKVSRDPTLDPRRKAYLIQNLMTSRWIAAQQKMPEPNSEECTDGASIPGCVPSYRDEEKQIYGCEHYKRNCKLVAACCNKLFTCRFCHDKVSDHTMERKATQEMMCMVCLKIQPVGPICQTPSCNGLSMAKYYCNICKFFDDERTVYHCPFCNLCRLGKGLGVDFFHCMKCNCCLGMKLAEHKCREKGLETNCPICCDFLFTSSAAVRALPCGHFMHSACFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCEKKGRCRFHWLYHKCSSCGSYNTRVIKTDTADCSTPN >KQL03746 pep chromosome:Setaria_italica_v2.0:V:4404952:4407842:1 gene:SETIT_001716mg transcript:KQL03746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPPAISPARLHKLVTSQPNPLLALELVTITSPTTTPHPATLHSLLLRLARRRDHLPHALALLRRLPSPPSPRLLLPLILAVLRLRRQPQLFLSTFNSLFVSGRSPLPLHPQVLLRLLGVLSSTVSHFPSALHLLRLVSSRLPLPTPLVLASHNLLIEAAARSGYVAVSLSLFHRLRSLHVSPDADTYRILTQSLCRKAQVRTAATLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKVVGDMVDSGCTPNAATYATLVNGLCVSGLYEKAEAYLVDMVGKGIVPHFSLFHSVIKGYCGVGKVEEAAQIMTWMLDLGVTPHVESWSSVIRCVCNDEDCVEAVLLQLVTGRRHGLSTSSTLK >KQL03745 pep chromosome:Setaria_italica_v2.0:V:4404859:4407842:1 gene:SETIT_001716mg transcript:KQL03745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPPAISPARLHKLVTSQPNPLLALELVTITSPTTTPHPATLHSLLLRLARRRDHLPHALALLRRLPSPPSPRLLLPLILAVLRLRRQPQLFLSTFNSLFVSGRSPLPLHPQVLLRLLGVLSSTVSHFPSALHLLRLVSSRLPLPTPLVLASHNLLIEAAARSGYVAVSLSLFHRLRSLHVSPDADTYRILTQSLCRKAQVRTAATLLDEMLHRGIPADPLAYTTVLNALCRKKQLREAYRLLCLMRGRGVSPDIVHYNTVIVGMCREGRPLDACKVVGDMVDSGCTPNAATYATLVNGLCVSGLYEKAEAYLVDMVGKGIVPHFSLFHSVIKGYCGVGKVEEAAQIMTWMLDLGVTPHVESWSSVIRCVCNDEDCVEAVLLQLVTGRRHGLSTSSTLK >KQL06551 pep chromosome:Setaria_italica_v2.0:V:34104289:34117879:-1 gene:SETIT_000067mg transcript:KQL06551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGADGTRWSQPRGEAAEALPPPPPGDRGEVASPRFDSSRAFRLLRELGTNVTEDLVVLMPNLLSFLKHDDPAVVKQSIASGTNLFAAVLEEMALQINKCGKLEAWLEDMWAWMKQFKDAVRGVMHEPGPIATKLLAVKFIETWILCCTSQAISDQIQSIEGKNRRFDVSRFSQFHPCLDPVVLEADAHRALLLLLDILQSAYAHRGSFLVGTINSLAAVVKNRPIYYDRVLPVLLDFDPSLETAKGAHSASLRYALRAAFLGFLRSSHQAMIESKDILVRRLRALSPGEAMEQNIRQAEKMSRNIERASRTTKDESPAWEMPYGDNRKKSAARSSDVLAMSDGIAKRARFDTSATSNLPVMGSSDYSDMQADNDANGGHSSDPAILNSDVSPVEKMIEMIGALLAEGERGAESLGILVSTVEADVMADIVIETMKHLPGASFPLATNNNLQKPNFKYSSGLLTENLPVNSDSSLFAAQSTPTADGVSISTSDPFVMPGVHDAKRDPRRDPRRLDPRRTVSPAAVNSVQVKVETNIVPQTDNLPSTLCSNSSKAENYSDYSRDLQKNEDEHHSASQPNQTIAKDKLELLDVATEPEPTFEAEAPVDVGVHSSIVDEEMPNPISSEITSIDESDSLDSEVDPFLPVPEASTPEDTNQNLPVITSHLELSDKEKSLLNKLAIGRIIDDYKKNSLNARFSLLAHLIAQSADDDNIMDLIQRHIIFHYHDQKGHELAMHVLYLLQTMNVANSPESSTSTFKHYEKFFISLARSLIDSMPASDKSFSKLLCDAPYLPESSFRLLEGLCMSEDNSQQVKDGDGDRVTQGLGTVWNLILGRPPLRDLCLDIVLKCAVHSQDEIRGKAVRLVAKRLYDLTYATEKIEQFATESLVGVANEHYVDTEINLKSSKESTAESEVSSQETSVSGQIPDAGCSESGSFKTSLVSRKQSAISLSEAKRHISLFFALCTKRPSLLQHLFNVYGRSPKVVKQCIHWHMPSLVSNLGSSCPEMLNIIHNPPEGSVHLITLILQTLTDESTPSAELVGAVKQLYNTLKDASILIPLLPSFPKEEVLPIFPRLVDLPLEKFQDALARILQGTAHTGPALTPAEVLIAIHDINPEKDKVALKKITDACKACFEQRTVFTQQVLEKALNQMVDRIPIPLLFMRTVIQALDAFPALVDFVMGLLSRLINKQIWKMQKLWVGFLKLVSQTQPHSFDVLLQLPPPQFEHVLNKYPNLRGPLSSFVNQRNMHNTLPRQMLISLGFISEPQQAPMSFVPATLQTADATSSLPGATLM >KQL04592 pep chromosome:Setaria_italica_v2.0:V:10136305:10137452:1 gene:SETIT_003193mg transcript:KQL04592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRIIGVAVDFSSCSKAALRWASTNLTRSGDKLILIHVNSSYQNEQGAVHLWEQSGSPLIPLAEFSDVTKTYGVSPDKETIEILTQVAHQRGIEVFAKVFYGDPAKKLYEAVDLVPLSCMVIGSRGLSTLKRALMGSVSTYIVNHAACPVTVVKENM >KQL05688 pep chromosome:Setaria_italica_v2.0:V:27004189:27004371:-1 gene:SETIT_004360mg transcript:KQL05688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKAYVLLFTAFFSGLMQLSMAAQDKPAAARVVDPKAIDQAIAYLLMFAALFVTYFAH >KQL05080 pep chromosome:Setaria_italica_v2.0:V:15545065:15550700:-1 gene:SETIT_000335mg transcript:KQL05080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKLPGDAPPSAAGAAGGGHGSSASAQSEADLAQLSAAIAAGEDLGPFVRRAFACGRPEPLLASLRAAARDREAEIEELCRAHFHDFIRAVDDLRSLLADADALKGSLSASHSALLSSAAPLLASLESFLAARSLAGNLSSALASSRRCVRLLALAARANAHLQAGNHGLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSVVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDLDDFAAAAATADAADGAAAASFDLTPLYRAMHIHQTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDAAVGKMVSVMEDNFSRMQTANHLLLITDYAALLSATMRRYGYPVGMLLDVLAKHRDKYHDLLLADCRRQVAEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGSGLSVSQAMQVAANMTVMERACEFFTRHAAQLCGVPLRAVERGRRDFPLRRSRDAAEALLLRLLRAKADEFMRQSDGVNWMADDPPPGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLAHVSERIVELFLNDSVKRFNANAVTGIDTDLKMFEAFADGMSSLFADSDQESVKNEMKAALVEARQLVNLLMSNSPETFLNPVIREKSYNKLDYRKVAIISEKFRDTSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >KQL05081 pep chromosome:Setaria_italica_v2.0:V:15544543:15550700:-1 gene:SETIT_000335mg transcript:KQL05081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKLPGDAPPSAAGAAGGGHGSSASAQSEADLAQLSAAIAAGEDLGPFVRRAFACGRPEPLLASLRAAARDREAEIEELCRAHFHDFIRAVDDLRSLLADADALKGSLSASHSALLSSAAPLLASLESFLAARSLAGNLSSALASSRRCVRLLALAARANAHLQAGNHGLYLALRAVDAIDRDLASGPEPLPLPTLRRMLLSVVPAVRAHAEREISREFSDWMVSIRAASRHLGQVAIGRSAAARQRQEELRSKHRPLEECITLDDDGVGDLDDFAAAAATADAADGAAAASFDLTPLYRAMHIHQTLALGERFKKYYLENRKLQLTSDFDVIAATPFLESHQVFFSQIAGFFIVEDRVFRTGGGLTSRPDVDALWDAAVGKMVSVMEDNFSRMQTANHLLLITDYAALLSATMRRYGYPVGMLLDVLAKHRDKYHDLLLADCRRQVAEALAADKFDQMLMRKEYEYSMNVLAFGIQSSDITPAFPYVAPFSCTVPDICRIVRSFIEDSVSFMAHGGGGDTYAAVKKYLGRILSEVVDASIQKLVDSGSGLSVSQAMQVAANMTVMERACEFFTRHAAQLCGVPLRAVERGRRDFPLRRSRDAAEALLLRLLRAKADEFMRQSDGVNWMADDPPPGGNEYANEVIIYLETLTSTAQQILPLPVLRRVLVAVLAHVSERIVELFLNDSVKRFNANAVTGIDTDLKMFEAFADGMSSLFADSDQESVKNEMKAALVEARQLVNLLMSNSPETFLNPVIREKSYNKLDYRKVAIISEKFRDTSESYFSTFGTRGARQNPKKKSLDTLIKRLREAS >KQL07945 pep chromosome:Setaria_italica_v2.0:V:42729303:42729601:-1 gene:SETIT_005545mg transcript:KQL07945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHFIMPWFMACKILGDQGGRSPTACSLLHL >KQL04579 pep chromosome:Setaria_italica_v2.0:V:10023961:10027467:-1 gene:SETIT_001052mg transcript:KQL04579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPPPPSLIAPNGTAAAPRSSAPPSRREEGAAAANGGPDLPAPRSVSKPPPGKKFSTRAAGGNKPRKPAPPLWQWQAAFFVSFALNVGLFLRTHPYVNSTVPPHHEEHQQACLMHLDAADNSGRRTARQQEEPVAAGAPSTGKPAVTPESVINLDHGDPTMFEEFWRGTGSAAEIVIPGWQTMSYFSDVGNVCWFLEPGFDHEVRRLHRLVGNAAVDGYHVLVGTGSTQLFMAALYALSPPAAAGAGAPMSVVSTAPYYSSYPAVTDFLQSGLFRWAGDANSFKGDAYIELVCSPNNPDGTIREAVLSSESGNGIAVHDLAYYWPQYTPITKRADHDIMLFTVSKSTGHAGTRIGWALVKDRDVAKKMTKFIELNTIGVSKDSQLRAAKVLRAVSDAYELPEAREEHRLFDYGRRKMAERWSMLREAAAASGIFSLPDETSGHCNFAKETASTNPAFAWLRCDREDVEDCASFLRGHKILTRSGSQFGADPRYVRVSMLDRDDAYDIFVRRLASLK >KQL05720 pep chromosome:Setaria_italica_v2.0:V:27208461:27209131:1 gene:SETIT_003365mg transcript:KQL05720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNPRIVGNGKRTVVLSHGYGASQAIWDMVLPHLSRRNKVLLFDWDFSSAPVAGEEGAAEHSCYTFSRYADELVALMDEMKLSGAVYVGHSMAGMFGCIASIKRPDLFAHLVLVGASPR >KQL08099 pep chromosome:Setaria_italica_v2.0:V:43545420:43551331:1 gene:SETIT_000845mg transcript:KQL08099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPASPVQEELQPESQLRNHLAAAVRSINWTYALFWSISSTQPGFLTWTDGFYNGEVKTRKIVNSAELTADQLVMQRSEQLRELYEALLSGECDRRAARPVASLSPEDLGDTELYYVVCMTYAFRPGQGLPGRSFASNERVWMWNSHLADSKAFPRALLAKTIVCIPLMSGVLELGTTDAVVEDPSLVSRATASFCEMQFPACSQEPSSSPSANETGKPADIIVFEDLDHIAMEAMIAGGQELGEAESLSDGSLEQITKEIDEFYSLCEEMDVQPLEDTWIMDGSFEVPSSQQPAPGPATTNAAATSSALVDGSRATSFTAWARPESDSNEVAVPVVEEPQKLLKKAVAGGAWAANNGGGGTTRMAQESGVKNHVMSERKRREKLNEMFLVLKSLVPSIHKVDKASILAETIAYLKELQRRVQELESSREPIISRPSETTRATRRHDDEAVRKKVCAAGSKRKGSELGGDVEREHHPRALSKDSTSNVTVTVSDKEVLVEVQCRWEELLMTRVFDAIKSLQLDVLSVQASAPDGFMGLKIRAQFAGSAAVVPWMISEALRKAIGKR >KQL06453 pep chromosome:Setaria_italica_v2.0:V:33600048:33601706:1 gene:SETIT_002350mg transcript:KQL06453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKTSVKRGPWSPEEDELLRSYVQNHGTGGNWIALPHKAGLNRCGKSCRLRWLNYLRPDIKHGGYTEQEDRIICSLYNSIGSRWSIIASKLPGRTDNDVKNYWNTKLKKKAIAMNQQQQDYYHHQRGSGGRGRRGAPQTQCASMHPSPASASSAVTTASPGDAACSFGPMFSSPTPLQAQAVLARYDGAATAPLPPLQQQHQASSLAEFSPAPPPQPAGASIINSWAGGLAIDDMFLPELLGVGDFPPGDLFGGGFAPLLQDRASSLQELAACYFPNAQAAEVWAAADHVKPPAAGLCHSLT >KQL03339 pep chromosome:Setaria_italica_v2.0:V:1824692:1830519:-1 gene:SETIT_000745mg transcript:KQL03339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAVLRAAVRRGRPAAAALLHHRLIPSARSLPPSPPLGPALPPIFRLPFSAGYWFSTATEDLVPPVRPKGKARKNPMKQSRFDFTKVDAALLPTVILVGRPNVGKSALFNRFIRRREALVYNTPGDHVTRDIREGIAKLGDLRFRVLDSAGLETAATSGSILARTADMTGNVLSRSQFAIFLIDVRDGLQPLDLEVGQWLRKHASGIHTLVAMNKSESLDEHGVLTAAAGEAHKLGFGDPVAISAETGLGMAELYEVLRPLFEEYMSQLPNNGLNQEDPTAGFETEAHEDEGDESKLPLQLAIVGRPNVGKSTLLNTLLQEQRVLVGPEAGLTRDSIRAQFQFDNRTVYLVDTAGWMERSGKEKGPASLSVVQSRKNLMRAHIVALVLDAEKIAKSKSSMNHPEVVIARQAIEEGRGLVVIVNKMDLLRDNQRLFEKVLDAVPTEIQTVIPQVTGIPVVFVSALEGRGRIAVMRQVIDTYETWCLRLSTSRLNRWLRKVMSRHSWKDSATQPKVKYFTQVKARPPTFVAFMSGKAQLSDTDIRFLTKSLKEDFNIGGIPIRIVQRSIPRKASAKSKARNVGPRIARMRTDKRTTVSDPTIS >KQL06708 pep chromosome:Setaria_italica_v2.0:V:35153196:35155067:1 gene:SETIT_004501mg transcript:KQL06708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLGEATLLPSLTAAAPHHVLRALHAHLLVSGRLASPPHLAAFLASLASSGHLAYARLVLPRRPATLLAHNALLRALARGPRPGLAFAAFRDLPLPPDHYSLSFLVRAAAALAAAPAAAGERPVRTEASARRLLVAVSAHGAAVRRGHAADPHVQSGVVSMYAALGDAAGARAAFAEIACPDVVCVTAMVGALSAGGDVDAARDLFDEMPLRDHVAWNAMIAGYVHVGRTREALRLFDEMQSAGAAIGEATLVSVLTACAQMGALDRGKWVHWYMRSHGMRMSVMLGTALVDMYSKCGVVATAMEVFESMGERNVYTWTSAVSGLAMNGMGLECLELFKRMESSGVQPNGVTFVAVLRGCSMAGLVDEGRTCFDSMKDKHGIDPWLEHYGCMVDLYGRAGRLDDAINFINGMPVEPHEGVWGALVNASRIHNNVELGKHALDKLLQIESENDAAHVLLSNIYAVTQNWKGVSRVRGMMKAKGVKKVPGWSAIEVDGKVHEFFVGGKSHPRYKEIEMMLAEMSRRLRLQGYAANTKEVLFDIEEEEKEDAISLHSEKLALAFGLIALPEDVEIRIVKNLRVCKDCHDYTKLISKVFDREIITRDRNRFHHFKDGTCSCKDYW >KQL05986 pep chromosome:Setaria_italica_v2.0:V:29802692:29806492:1 gene:SETIT_000679mg transcript:KQL05986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVRVSKLYVCSGFENHKSPFPLLFPGISWYGHTLSTGPLYGYKQPTVPTFGVHSVVCTLPSAHGSPIPCAQKNPVPRSLATASQPAMGASGGVIGLLLGAVAGGDARAPFPWKQVLLCAALAWCAVRALEWAWWRPRRLARALRAQGLRGTAYRSLAGDAPLTERLNREARSRPMPLGCHDVVPRAMPLFHQTMKEHGKTSITWFGPVPRVTITKPELVREVLSNKFGHFEKIKFGRLQRLLHNGLGSHEGEKWAKHRRIVNPAFHVEKLKRMLPAFAACCTDLVMRWEGLVADGQPCEVDVWPEMQNLTGDVISRAAFGSSYLEGRRIFQLQGEQVKLVVQAMQKLHIPGYLYLPTRTNRRMKQIASEIEALLKGIIAKRENALRTGSATSDDLLGLLLESNMEHCRGDGGNSRRAGITTDDVIGECKLFYFAGMETTSVLLTWTMIVLSMHPEWQDRAREEVLHVFGERTPDYDGLSRLRIGTMVLYEVLRLYTPLAALQRQTYKPMELGGVRYPAGVMLMLPLLCVHHDKDVWGPDASEFRPQRFAEGISRASLDAPAFFPFGWGPRTCIGQNFALLEAKMGLAMILQRFAFELSPAYTHAPFPLGLLQPEHGAQVMLRRLP >KQL07137 pep chromosome:Setaria_italica_v2.0:V:37857323:37857883:1 gene:SETIT_005422mg transcript:KQL07137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLSQISHREHPPCGPRPSADAEPFRACAAAAVLSSAAAASSRSRAAATTYFCSCSMMLVLLM >KQL04152 pep chromosome:Setaria_italica_v2.0:V:6873105:6874262:-1 gene:SETIT_003993mg transcript:KQL04152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENNGKVECKMEGRSDTCEVDGDVRTNGTALSVTLVPATRSSERREWMIRPYSRRFASLRKVAVTQLQDPGAAPPCTVTHDTPAVLFAIGGYAGNYWHDYADILVPLFVASRRYGGEVMFLISNIQFQPRWLVKYRDFLRGLSKYDYVDMDADEQVRCFPHVTVGLRLDKEFSIVPELVPGDHRLAMPDFTRFLRDTYALPRGAAVSLAQEPGRKPRLMLIHRGHYRRILNEPEVARAAEAAGFEVAVAELRGDTPEAEQARLVNSFDVVVGLHGAGLTNAVFLPPGGVLVQVVPYGKMEHIARAEFAEPVADMGLRYLDYSVSAEESSLMETLGSEHPAVKDPDSVHRSGWTQVFELYLAKQNVRINVTRFAPTLQQALNHLRQQ >KQL05818 pep chromosome:Setaria_italica_v2.0:V:28211398:28215369:1 gene:SETIT_002552mg transcript:KQL05818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGHRTLTPARCRLPLPRLKKDRTDHAVSTPCPTTSGPVQTTHAPVDGLSHRFPSIRPGSSSPIPLLPRSSPASTPRQRERARRETTRSRAEMSSDSSSWARALVQISPYTFSAIGIAVSIGVSVLGAAWGIFITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPSAQMYAPESLRAGYAIFASGLIVGFANLVCGVCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAKA >KQL04069 pep chromosome:Setaria_italica_v2.0:V:6286876:6289032:1 gene:SETIT_002881mg transcript:KQL04069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKKSRSSDTATAGRRSSTSNSSGASAVARKEIERRRRQHMKSLCVKLASLIPREHFPRDAMTQVGSLNEAAAYIKKLKERVDDLQQKRSSAQLMAAAMRGGGGGSAASTSAATVMSGGGGARSEEAAEEAPVVEVLHRHDDGSSLDVVLVSGVERSFKLHEVVTVLEEEGAEIINANLSVADRKVFHTIHCRAFSPRIGIEVSRVSERLRALI >KQL06953 pep chromosome:Setaria_italica_v2.0:V:36649984:36657142:1 gene:SETIT_000402mg transcript:KQL06953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQSSTAASGGGGRLRDAFGEVLCTFTLLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLSKNGIYEFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTIWWLLNSLNIPLSVETACVFTAPIFSANASWATYLLTKEAKGSGAGLMAAAILAVVPSYISRSVAGSYDNEAVAIFALIFTFYLYVKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPIHVLLCIVTGRYSSRLYIAFAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIILHVVALVYYIKGLLTPRLFKVAMTFVITVGLAVCFAVAAILIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIISCFLPLSDASSFLVLYLVTSVYFSGVMVRLMLVLAPAACILSGIALSEAFGVLTRSMKFQRPTSNDGSSTAGDGSSNTPADSEAVSKEKPAKKNRKKGKDSEGSSPVDPRTEEKLLVLPCGPSAVGIMLLIILSGFYVVHCVWAAAEAYSAPSIVLTSRSHDGLHVFDDFREAYGWLRHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMASPEKAAWEILNSLDVKYVLVVFGGLIGYPSDDINKFLWMVRIGGGVFPHIKEADYLRDGNYRVDAHGTPTMLNSLMYKLCYYRFADTDGKGFDRVRRYEIGKKHFKLTHFEEVFTTHHWMVRIYKLKPQKNRVRGKLKLKSSSKTSSMRKTGGKKSPWQ >KQL07971 pep chromosome:Setaria_italica_v2.0:V:42894061:42897169:-1 gene:SETIT_002287mg transcript:KQL07971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNQARAAAARPKPGARHATREDEGQKRGSIGRPGDATTTAAGAEEGAPGPAMDTFFLSHGSPALAIDDAIPARHFFKSWLPERVAGDRPPRAILVVSGHWETATPAVNVIRGSNDTIYDFGGFPKPLYQLKYPAPGAPDLALRTKDLLEQAGFGPVKEEHSRGLDHGAWVPLILMYPDADIPVCQLSVQTDRDGTYHYNLGKALAPLREEGVLIVGSGNATHNLRKMGPKGSPVPQWAAEFDTWLKDSLLNGRYEDVNRYEEKAPHGKVAHPWPDHFYPLHVALGAAGDGAKAEQIHESWTNASISYASYRFTTNN >KQL04023 pep chromosome:Setaria_italica_v2.0:V:5935333:5936977:-1 gene:SETIT_003434mg transcript:KQL04023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNHPNILSTTTGIDLPCVEHGQSSTPAASESRCSYYKRISFGVSHASHKTISNMLNNHRCEKQSMYQAKVHTSFFTLCKQRSVRTIQSLPLGVIWRITNYSVQVESQ >KQL06309 pep chromosome:Setaria_italica_v2.0:V:32493045:32496019:1 gene:SETIT_002268mg transcript:KQL06309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPTPSMALCFFGITADSVSHPSDSILRSLEAPCCSGGGGCGCVCVAPEEDGAVAAGDDIAAAVAVSGEKDRWGLGKERTAGRSAWALGWASGFWEGSLDEPLSTPFGVRPFLSTFAATYLRLRASIDPFVSMACSFSPSSATRLQAVDAAAAAVKNGRVPIKASVAPAQRSLGCCRATARQEGATKEPSVAVSSARTQLDLLEQLTSPTLDGIAGLENGTLPEPRQGATIREQLSALANGKVDEFTLPLGKKLKEGLKQLNRLTVSQRRNIKRQAMLTQVSGRNDSVFFATVGAFVLVPPFAILAIAVLTGYIQLLP >KQL06231 pep chromosome:Setaria_italica_v2.0:V:31896578:31899539:-1 gene:SETIT_001585mg transcript:KQL06231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLFDEYERILEMVLFIGAFGRNGIQILWLHRLCAFIVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLVVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFFVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRQVMYILLQALFTVATMALTVPIFLSYRMHIVFQILKVCASTWNGGSFILEVMPRQVVQKQQKKKLNMKPIKQVNSTQHVESDDTSGNHHEHTTEEQNQ >KQL06233 pep chromosome:Setaria_italica_v2.0:V:31896043:31900149:-1 gene:SETIT_001585mg transcript:KQL06233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEVEDEAAAAGSPLVANGTADVRRRRDQAKEMLSKQAVKIATKAEEHERFIFKSAGNSGERCVMHLFDEYERILEMVLFIGAFGRNGIQILWLHRLCAFIVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLVVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFFVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRQVMYILLQALFTVATMALTVPIFLSYRMHIVFQILKVCASTWNGGSFILEVMPRQVVQKQQKKKLNMKPIKQVNSTQHVESDDTSGNHHEHTTEEQNQ >KQL06230 pep chromosome:Setaria_italica_v2.0:V:31896578:31899411:-1 gene:SETIT_001585mg transcript:KQL06230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIGCRECSHMVLHVLNVQVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLVVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFFVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRQVMYILLQALFTVATMALTVPIFLSYRMHIVFQILKVCASTWNGGSFILEVMPRQVVQKQQKKKLNMKPIKQVNSTQHVESDDTSGNHHEHTTEEQNQ >KQL06232 pep chromosome:Setaria_italica_v2.0:V:31896043:31900149:-1 gene:SETIT_001585mg transcript:KQL06232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEVEDEAAAAGSPLVANGTADVRRRRDQAKEMLSKQAVKIATKAEEHERFIFKVTHLLGVLGFGGFCYLLGARPQDVPYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTFLVVMILFYPKDEKLFMVCFSFAEGPLAWALIVWRCSLVFSSFDKLVSVLIHLLPGIVLFTIRWWNPQTFAAMHPEGRAARVTWPYVEDKSYLWTWLFFVPLAAYTLWQLMYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWRLSGLLGDRNRQVMYILLQALFTVATMALTVPIFLSYRMHIVFQILKVCASTWNGGSFILEVMPRQVVQKQQKKKLNMKPIKQVNSTQHVESDDTSGNHHEHTTEEQNQ >KQL04904 pep chromosome:Setaria_italica_v2.0:V:12931653:12932953:1 gene:SETIT_002252mg transcript:KQL04904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWWLSRALWLSALAVVAAGAQAQQLSPGFYNATCPALQAVVRRGVARAVRREPRMGASILRLFFHDCFVNGCDASVLLDDVPGNFTGEKNSGPNANSLRGYEVIDAIKAQVEASCNATVSCADILALAARDAVNLLGGPSWTVPLGRRDARNTSMNAANTNLPPPDASLSTLLSMFRAKGLDARDLTALSGAHTVGQARCAVFRSHIYNDTATNATFAADLRANVCPFTGGDANLAPLDPQAPNAFDNGYFRDLVARRVLLRSDQALYGSGGGNGTTDALVRAYAANGTAFAADFAAAMVRMGSLVGSGGEVRLNCRRVN >KQL04119 pep chromosome:Setaria_italica_v2.0:V:6623179:6625468:-1 gene:SETIT_000551mg transcript:KQL04119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRGFLLCVALQALAMASTLSVLPINTEAIDVQGQTFCPSSSCGFLQDIRYPFRKQGDPPGCGFSEYELVCIDNKAIIHINTGRYFVTSISYTDSTFWVVDANLYNSSCPIPERNRRPYADGLQSKSFSLLRPDAFTWAAFVSCSELIASDIIPSSTGFLSDVMYKPVDCRSTKNSLVYVFITTITPRVGNIKPSCRYLSMIPLGSWDVMAPNNASFEDVVKFMRNGFAVRFPVKIEDRPYRVIINHCLNDSVSYFYTQISSSSILNQTSAIVGIDLNFLRCVNDETYRSRLFWIAVAIISVMDIVKFVIVLAILSRFVFSPLAVLTFLAYKYWKTRISIDAVEKFLQMQQALSPTRYAYTDITAITGHFREKLGQGGYGSVYKGVLPGNVFVAVKMLGNSFCNGDEFISEVSTIGSIHHVNVVRLVGFCAEEMRRALVYEHMPRGSLDKYIFSSERSFSWDKINEIALGIARGINYLHGGCDMQILHFDIKPHNILLDNNFTPKIADFGLAKLYPRDNSFVPVSAARGTIGYIAPEMISRNFGVVSCKSDVYSFGMLLLEMAGGRRNSDQHAARRSQVYYPAWVYNHLTRQEIGEICEAFNIHEVERKLCIVGLWCIQMKSHDRPTMSEVIEMLEAGVDGLQIPPEPFFCGSEQNSVTGTFHLSSELSAISENEVTDSTR >KQL03800 pep chromosome:Setaria_italica_v2.0:V:4647514:4649348:-1 gene:SETIT_003356mg transcript:KQL03800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAANVTARQRGRGSGGALRLAAVVLLVALLALCLARADAAASLSPAGRAPSSEKVVESASLENARGQEVERGHYTTLPAAATAEEEEDDDDGPAVPERVELEVIEDYTPSGPNNRHQPHP >KQL04958 pep chromosome:Setaria_italica_v2.0:V:13404117:13408559:1 gene:SETIT_001862mg transcript:KQL04958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRRPACFVAVLLVAVAAALAAGPRAAAAKEYAAIFNFGDSLVDAGNLVVDGIPDYLATARLPYGMTYFGYPTGRCSDGRLVVDFIAQELGLPLLPPSKAKNATFHHGANFAITGATSLDTPFFQARGLGQTVWNSGSLHTQIKWFQDMKPKICSSPQECRDLFRRSLFIVGEFGGNDYNSPLFAFRPLSEAHDFIPHVVDSIGEGVEKLIAEGAVDLVVPGVLPIGCFPVYLSIFRKQPEGYGPRSGCIKDMNTLSWVHNAALQRKIAELRAKHPGVRIIYADYYTPAIQFVLHAKKYGFLEQTPRACCGAPGVGEYNFNLTSKCGDPGAYACDDPSNHWSWDGIHLTEASYGHIAKGWLYGPFADPPILDNKHLG >KQL05500 pep chromosome:Setaria_italica_v2.0:V:25199928:25200422:1 gene:SETIT_003700mg transcript:KQL05500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIYSCVYICSDPFLVTDTKGERSCNDEVGLLHVETAVMEIFANHGWMSSNRFSV >KQL05535 pep chromosome:Setaria_italica_v2.0:V:25540869:25543419:1 gene:SETIT_001231mg transcript:KQL05535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTMGKKKLADEETCWYGAGARADAVGGVDDQGRATELRPLALSSPHTQAFHLAWLSLFACFFAAFAAPPILPALRPSLVLAPSDAPAAAVGSLSATLVGRLAMGPACDLLGPRRASGFASLIAALAVAATAVTASSPAGFILLRFVSGLSLANFVANQHWMSGIFAPSAVGLANAVAAGWANAGSAAAQVVMPLAYRLVLRLGAPVTVAWRVTYLILPCALLVTTGLAVLAFPYDLPRGAGVGGGAKSRKSFWKVVRGGVGDYRAWVLALTYGYCYGVELIMENVAADFFRKRFHLPMEAAGAAAACFGAMNAVARPAGGVASDAVARLFGMRGRLWVLWGVQTAGAALCVLVGRMGAAEAPSLAATVAVMVACAAFVQAASGLTFGIVPFVSKRSLGVVSGMTASGGAVGAIITNRLFFSGSRYTIEEAISLTGAASLVCTLPLALVHFPRHGGMLCGPTATGDDDDHDDDKDASSHGDYTLLK >KQL06555 pep chromosome:Setaria_italica_v2.0:V:34149509:34153806:1 gene:SETIT_001151mg transcript:KQL06555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAATAVSVNGKMEVEERQAQKSYWEEHSRDLTVEAMMLDSRAADLDKEERPEVLSLLPPYEGKSVLELGAGIGRFTGELAKTAGNVLALDFIESAIKKNESINGHFKNASFMCADVTSQDLVIQANSIDMIFSNWLLMYLSDEEVEQLVQRMVKWLKVGGYIFFRESCFHQSGDSKRKVNPTHYREPRFYTKVFKECHAFNQDGNSFELSLVTYKCIGAYVKNKKNQNQICWLWQKVKSTEDRGFQRFLDNVQYKTSGILRYERIFGEGYVSTGGIETTKEFVDKLDLKPGHKVLDVGCGIGGGDFYMAEKYDAHVIGIDLSINMISFALERAIGRSCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPSLFKTFFKWLKPGGKVLISDYCRNPGKPSEEFAAYIKQRGYDLHDVEAYGQMLKDAGFHDVIAEDRTDQFLCVLEKELAKFEKNKDDFLSDFSQEDYDDIVNGWKAKLQRSSAGEQRWGLFIATK >KQL07133 pep chromosome:Setaria_italica_v2.0:V:37846062:37847272:1 gene:SETIT_001865mg transcript:KQL07133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKQFVPSAIPSEELMQAQAEIWCISYGYIKSMALRCAVKLEIPDAIHRSGGATTLPGLLAALSLPEAKQAHLSRLMRLLAALGIFTEDEGGEAAAGVYRLTPVSLLLVRNAEANGGACLSQFAASATALSSNLAAYLRLDEWFRSEDGGAASSAAETTPFMMAYGTDYWAADGRDAERGARFREIMTSDSRLVAKVVVRECGWVFEGVASLVDVGGGSGEMASAIARAFPHVRCSVLELPHVVEGVRAGGGAVEFVAGDMMDSVPPADAVLLKNVLHDWSDGDCVRILRRCREAVVSANGPEGKVVIIDMVVGGSSSTTKEAFEAQLLMDMCMMVLSTGKERGEETWSKIFMDAGFTRYKIGHVLGARSVIEVYP >KQL03975 pep chromosome:Setaria_italica_v2.0:V:5674627:5676249:-1 gene:SETIT_004375mg transcript:KQL03975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKVSGGLKVAMDDVKAKGRWALAAQFSFLVVSAVTLCVLLYAPRFSSAPAPYGATVGFFAPKPSSTSRTSSAAVQLGPPEHGGGGGGGGDAEREGGGAPEQVLDNQMGSPCSSLPNHAICCDRTDYHSDVCFMAGDVRTDAASLSLLLFPPLAAPGGGGGAAADTATVEERIRPYTRKWDGYITKTIHEVTLRSARPEEATAGHHRCDVRHDAPAFVMTAGGYSHNLFHVFNDGFLPLWLTAQHLRRRVVLAALAYNPRWAGTYGEIISGLSAYPVVDLLRDRRTHCFPGAIVGTRYHDYLAVNSTRLRDNKTVVDFHRFLADAYDEHQDDSSGGGKPEATLPPPQRRPRLGIVSRKGRRVIENQAAVAELAASVGFDVDVMETATGAPLSATYASVSSYDALVGVHGADLTTFLFLRPGRAALAQVAPLGISLLSRNLFGVPAARMGLRYEQYDVSAGESSLSRRYPAGHVVVADPARARREQGGKEWDLVEHVYLRGQNVSLDLGRFRETLARLHSRWKEQQQSQVSGADALPQQ >KQL04618 pep chromosome:Setaria_italica_v2.0:V:10228379:10229752:-1 gene:SETIT_002990mg transcript:KQL04618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAQCSSWYYASPTLPLHRRHGLLPRRQPPQAAARGGRWPRLQVAAAAAGARAEDSMKVATDAEFFQPSDTRPIMLFDGVCNLCNGGVRFVREHDHNRSIRYVPLQSESGRKLLQRSGRSPDDISSVVLVEKDRSYIKSEAVLRIMEYLNLPFPQLAIFLKFVPLFLRDFAYDNVANNRYIVFGRSKTEACEIL >KQL06454 pep chromosome:Setaria_italica_v2.0:V:33613671:33616065:-1 gene:SETIT_004489mg transcript:KQL06454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEVVELFDARPSFKDLVDRAMRKYGCGVDEMTLRGRFDCWKVRPHCVRMNLAFESNWKQYKEVVEHANVVCLEVVVDICPRPSANVALRDEVQLVVENGTQESTISQHGLGESQSDFGLAIANDEFSNDIFEREEANIDGDDISLGSKDDDFEEKDGAEDVQANTHEDVGVRDRPESVEGMSCRTPIRSLSMIHKAICESTMVNSEGIPYSENLVIKKGMKFNSFEKLKFLLADYAVRLHRLFSVIHSDKNLRYDVMCKQGCTGQWRISKIVQPHTCRSSQPKRVHAQCTATGYRVKYLKAWRAKQHAIALLWGDWKESYGMVPRVLIVMAYYNPGIKWFPHTSGMMQPDNGIFKHVLQRVFCCFPQCRVSFQHCHPVILVNTTLLTGKYKGTLMMVVAVDPEQQLVPLTFALAERENNDSWSWFMKLVCRYVLRPSRQVVHEALCANMWRRQKNKEVIGKLKVLCMVHTEKEFDKKLEDFVKDLNDEAKQWLKGEMEDKDKWLQAFDEGGMRYGIMTTNYSESLNNVFKCIRSRPAARIIEYSFQTCNAYFVDRWQKARDLLNEGHRNGKVADDYISDAELRSVNQLPESYELERMVYCVRGTGSTNIGCESHGGRNYRVDLNEGSCSCKVPQLLHLPCSHLITTCKARGLNFESPMYMSPLYSRKHTIKIWESSFESYLDPSQ >KQL07752 pep chromosome:Setaria_italica_v2.0:V:41563371:41563860:1 gene:SETIT_005331mg transcript:KQL07752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNKHKPFIYYAKIALQQDHESLTVSTLNSVHNNVTILKIIIVPVTQFILIQS >KQL05723 pep chromosome:Setaria_italica_v2.0:V:27221528:27223555:1 gene:SETIT_003220mg transcript:KQL05723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTLRTLALKVQFGVEDQVKLVPTLLKCFPCLETLYIMSVPSEAPLNVDIEFWDQVGFTECVYSHLKKLVLEAVRGEDSELAFAKFVMERAQMLEDMRVLVDGSCSRDVVLSRLSSEGCVSADATVLVERHDGTAWTFQRAIDLLQSDPFGC >KQL04810 pep chromosome:Setaria_italica_v2.0:V:11994418:12003120:1 gene:SETIT_000260mg transcript:KQL04810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAKGSRTISKTIIKGYEDQQQHDGPPSSSKAKQKKRKISDLDPEWSKDELTCFYEAYRRHGKDWKKISLAVGGKSSDMVRSLYSVHRTFLSLPERQATAMGFIALVTGHRNASDKSRNLIGDDQMVRASGKARRRGEATQQKTTERHDLHDCHEGTILGFSSSFRKRYYGEFVRNGRNHAVRRRTPRIPVIAPADRNTIDEDTPGTENIINTTKRKYEAANKDCAIVPTNDCSPDRSSGITETNKAGQGHTFLETKGTGDTVICQQQLKKARIQQPKEEGQTGKVKNETVMASDEGNKLVDSLKPHDMLSNIISEDDMLVLDVLNSLVNAPSKTSKLEMNAPSGSHGKTDSALSDRREEGHPTIDLSKQGKPVGKSSASKTRKKMRKKLLGAEVLAEAQNISVTNLVLPEAQKVGITDDSSLRTDSASVGIPEASEDISAKVPSATLEIKPEIRMSRRTRRKHQMHCKTKHMSCNEDSDNLQAKKLLHCLSSESLRRWCTYEWFYSAVDYPWFSNNEFVHYLDHAKLSHLSRLTRSEWSAIRSSLGKPRRFSDNFLAVEKEKLEDYREKVRKIYAQLRDGSRDSLPADLARPFSIGQEVIVRHPSSRELCDGKVVMMGPDCYKVHFINPDLGVNIVKDTDCMPVNWLYNRPDNMRRSYLSNNVYNILETEHIPDLTPSENWDRAVNGVTVPEQPKSLRLTSDKQLKVESVVNGERLSTSDGPTKSRGGPDNTAGHNDELESYIAAFVQRSLSQARQMVDKAMKANSEGSDERVWASNQATDSVGPESESVVCGAQLPSNLISNCIATVLSIKHLSDSRHPPANIAGVLERVSTMLRPSCPENLAIYKDIETYLSIIANQILALVPTALGNCGPPMSPM >KQL06864 pep chromosome:Setaria_italica_v2.0:V:36161487:36163299:1 gene:SETIT_001259mg transcript:KQL06864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQTVVLYPSAGVGHVVPMAELAKVFLSHGYDVTMVIVPPPFKSPALGASQAERIAAANPSVSFHVLPPIPAPDFAGSAKHPFLLMLQMQRQYNHELESFLRSIPRKRLHSLVIDMFCADAIDVAAKLGVPAYTFVPSGASPLAVLTQLPAVLGSRKTGLKELGDTPLDFLGVPPMPASHLVAEMLVHPEDELCRTMASIFKRGMDTRGVLVNTFESLESRAVQALRDPLCVPGKVLPPIYCVGPLVGNGARDGEKTESHECLAWLDAQPEHSVVFLCFGSMGTFSEEQLEEIAIGLDKSGQRFLWVVRVPSNIDDPKRLLEKQCEPDLDALLPEGFLERTKDRGLVVKSWAPQVDVLNHPSTGAFVTHCGWNSTMEGVMAGVPMLCWPLYAEQKMNKVFMTEDMGVGVEMQGYTAGFIKAEEVEAKVRQVMESKQGRELKTRVAARKKEAEAALEEGGSSRAAFVQFLLDVENLGEQPAE >KQL08225 pep chromosome:Setaria_italica_v2.0:V:44282431:44283946:1 gene:SETIT_001896mg transcript:KQL08225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVAKRLLLVALLVVSAVELCRAMPFDKKDLESDEALWDLYERWQAHHRVHRHHGEKGRRFGTFKENVRFIHAHNTRGDRPHYRLRLNRFGDMGREEFRSAFADSRINDLRREPHAAAAVPGFMYEDVAYLPSSVDWRQEGAVTAVKNQGKCGSCWAFSTVVAVEGINAIRTGRLVSLSEQELIDCDKEENGCQGGLMENAFEFIKAHGGITTEATYPYRARNGTCDSYRSRRGQLVSIDGHQMVPAGNEEALAKAVANQPVSVAIDAGGQAFQFYSEGVFTGDCGTDLDHGVAAVGYGVGDDGTPYWVVKNSWGPGWGEGGYIRMQRGAGDGGLCGIAMEASFPIKTSPNPVRKPRRALLSGDASVSTSSQ >KQL03561 pep chromosome:Setaria_italica_v2.0:V:3178745:3179905:1 gene:SETIT_003158mg transcript:KQL03561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHAACPPDLASPTPLPLPLPVVMPLFSGQRLHINLPLRHTDQPVVCLIWPGQWRRPARHRVRLALSYNLSPFRQSPDRFCACVYMGSWVRTITTPFRKACTIFVPHKDGKKPQQPSSAMVQHVEAERAKLHGEVMACAYEDVQVMWSMLDQARIRDLSGSS >KQL04587 pep chromosome:Setaria_italica_v2.0:V:10079746:10081270:1 gene:SETIT_004072mg transcript:KQL04587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPRRNRNHDAGFTGRGPMIPPAGGRRGGRHDVDDAARREADLALRQGPPSPPEDCLVPGRILVTSRRYCAQHGRSTRLRSEHHHRTPSPTPRRTLTPTYSPMYSPLMTSTPAPRHTIAARRGALPLYINTTPLGAPVVFDEPELAPPPPPPTNSSCPGRATATVAANRRLGLRWNMIPTGHVPAPVGTNASGGSRCPPPPGTTPLPEVHANVKKNGPKENTHTGNSSSKGKSKRARKPRGNFKKEKGISKPKNDNSNKITCYRCGCYNHVAKKCRTPKHLVDLYMKSIGQGQNSQKYEAHFTSQLLETGSMDPIPHGAGPSDTKIPPTEEDNFLDVDNMLMEYAFNDMFGDII >KQL07416 pep chromosome:Setaria_italica_v2.0:V:39649060:39654555:1 gene:SETIT_000081mg transcript:KQL07416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAKQAALCIKKVLRLSIRKGYRFVSEHPILFGFGVLLYLLYRSSPGFFAFLLSSSPVIICTTILLGALLSYGEINLPEASEDHKGTPEISAFKVGNSSSDIHFEANQRLSVPEFREDTSNFKERGIQTVSFGERASEHIDLDDDVPLLRKADEEDERGDLRNIPRTLTPFPSMVNLRQESVIREDLIFNKKREPEGSFFIQDRADGQTSLFDVAHLSVLNDKDTSFGLFSSSENAHKHVDMEENLNQDRVTAAASKERDVSEKNQTEELAGTSKSAFSISIHQWEKTDRLNVDTSNAVEDNLLDSSLGSPWARVSSQDGSSGFDSDGAESSSPDASMTDIAPVLDEIDPLLGADSARPDPIPKDDSDTDSHASEDHQIDDDSNDEGGDNDAKDNVEGKKDDGREAAFLWTADDEKNLMDLGYSEMERNRRLEILMARRRSRKSIRFEIDNNLIDVDSNGGRSLDDLSRFRAQVPPIAVPRRNPFDLPYDSEEAAIPGSAPSILHARKNPFDLPLEQPQDSGVPVHDNLNAGESGTSPRRDMIFRRHESFNFGRTDAIQERCFSRLRPYFVPETVEWNASNFQRQFSDKSESKLSSVTESDVASSVADQEDHKDHDEKDLHMEHESPALVRQDSDLADVGSDCSDGINSIDVELDNSDIDDREIALHHFVFERSQEREAHLASTKGKGHEEDYSKMPFHPVPDLLSWEDGDGDSILGAKPSFQLNTEEKCSEWISSSRPTVEGESHSRDLPKYLDTDVASSSNTVVLGASNTAEKDGNVDLMSYSNNEMPLDNLIHGSVELPSELVTETLPVISRDLHPIPEERVVENFSMQEKHETAIFTESDASLTGLHVIEEHFDVGFDKSLSSVSSYPRASDMNDETTVGYLLDSDDEAGKIYPEPMEESGIDESFLSELDTVGDFGVEPMRLDQRVPDQGSHDENLTNGVAADSMISPQTSDNVSLTMSEPSAEDSREQSPVVDDLNGPEFSWSFGASHGDPEQTVYNPRRRILEASPSEAINMELKPPCNESEVPSDDTPSAAILAAASSEPEIATNELVTTTTNPEMTILDAKSLEDIETAFKLVSDGVVSEPTMDTEILHTSGVNVDSEPKESGELHVIDAKSVDDIHAAFKEHCDSVVNRSAESTKQDALTEALHPESPHNVGDAGDALAVESKSNMVSNEAKTHDDIDAVFSKVSDSSAKSTAQAVESEDYRERGEENEHQ >KQL06501 pep chromosome:Setaria_italica_v2.0:V:33856898:33858174:-1 gene:SETIT_004024mg transcript:KQL06501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLPRFLPVILFPFLSLVAHCPASHGTPLPPTYDVSMCVDSPIWCGGVEIHYPFYLANATAYHYGAPFSCGYTDLKIACKDDGETQTPVIHLGRADYTVQNIFYNNNSFLLADADVLRGGDCPRVRHNVSFDEAWLQLRNTSSHDNLTFFFGCFSKQPGGGDPRPLGFDTDKYRINCTGLGNAPGGGASFVFAAEELDNAQEYELAAHCGEIVTVPVRSEVLDLMASDQSMLARGGYGGVLRQGFELAWTRSTKDQCYRCENSGGRCAYSYAKAFLGCLCSGKVGDPYCKNSSASTVQPPNSSGGPAYSTAFRRAENQTVLPFSLNSETRV >KQL03680 pep chromosome:Setaria_italica_v2.0:V:3968644:3969796:1 gene:SETIT_005038mg transcript:KQL03680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFSGRAPPAAALYPFRFHHHQEQEQAVVSEEVYHGHVEDPEEISCGRGQGKLCARGHWRPAEDAKLKELVAQHGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLLAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLAARRQREQSGALRRRKPSSCSLSSLATAPTHAVAVAVHHHYSSPPPPFHAGGARIQHDIHTEAAAAATRAHSGGESEESASTCTTDLSLGSVGAAAVPCFYQSSYDGCDMAPCAAAPTPAALAPSARSAFSVCSPARHRAAASDNGCGKLARPFFDFLGVGAT >KQL08228 pep chromosome:Setaria_italica_v2.0:V:44318850:44319293:-1 gene:SETIT_005343mg transcript:KQL08228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDLPWIVSLSRRGIKINQLFRCIRSLAKSYRRFGL >KQL05661 pep chromosome:Setaria_italica_v2.0:V:26852938:26853246:1 gene:SETIT_004732mg transcript:KQL05661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWTQNASAKALPSCISSVIREDKRIPKGYLPIVLVHDEEGGAETRVLVRVKDLKEPCMAGLLEMAEQQFGYGQQGVLRVPCDAQRFEHVVNMARKSKAAR >KQL08458 pep chromosome:Setaria_italica_v2.0:V:45541274:45541924:-1 gene:SETIT_003281mg transcript:KQL08458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSAQHSSSAPSHFSAIDLEERACTMKAAAATLLMALVVAAAVTVVPSGAARPDDSATVGTFGHGHGHVGGRTWRDHHGGNRSPLAGLTECVTVCGSGVTKCMLDCYKPAISFDPLQLPVCLLKCTNDAMVCGSSCATNL >KQL06982 pep chromosome:Setaria_italica_v2.0:V:36850543:36852954:1 gene:SETIT_002794mg transcript:KQL06982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVAASAFLSSSFAPRHHHRRLARSVTRRAAPAGLAVRCEQSDKQKRQPLAALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDAADKILGRLASTIAVHIRGKNEPTYTPSVDMGAFVIVVNAEKVAVSGKKRSQKLYRRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGAEHPHVAQKPVPLPIRDKRIQKTD >KQL06981 pep chromosome:Setaria_italica_v2.0:V:36850543:36853806:1 gene:SETIT_002794mg transcript:KQL06981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVAASAFLSSSFAPRHHHRRLARSVTRRAAPAGLAVRCEQSDKQKRQPLAALVPREQRFMFEGDELCGPDIWNTTWYPKAADHVTTEKTWYVVDAADKILGRLASTIAVHIRGKNEPTYTPSVDMGAFVIVVNAEKVAVSGKKRSQKLYRRHSGRPGGMKEETFDQLQKRIPERIIEHAVRGMLPKGRLGRRLFTHLKVYKGAEHPHVAQKPVPLPIRDKRIQKTD >KQL05187 pep chromosome:Setaria_italica_v2.0:V:17131591:17134824:-1 gene:SETIT_003084mg transcript:KQL05187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRGLPKNLVLGSCTVLEVAGQGALGKLYEVLGSAISDREEGSHIIWIHFGVSGGLPRFALENQAVNDATFACPDELGWKPQRVPIVPSDGDISRVRKTTLPVNELTKMLRKIGHDVMRSDDADRFVCNYVYYHSLRFAEEHGIKSLFVHVPLFSTIDEAVQMHFVASVLEAVASLN >KQL06950 pep chromosome:Setaria_italica_v2.0:V:36626529:36626853:1 gene:SETIT_003509mg transcript:KQL06950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIQLFMAGSDLDASTLMEVRTAVIIRARAPRHRNSVSHKRLRRQLRSAFRLSQNFVAWIKRKGGLQGKKVCDVGNGAVSAIAFALLASNGGS >KQL05362 pep chromosome:Setaria_italica_v2.0:V:23404820:23405254:-1 gene:SETIT_005258mg transcript:KQL05362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMILYHKTIYLRERYINGVIEVLSLVLRKQNTPYLAVAFG >KQL06228 pep chromosome:Setaria_italica_v2.0:V:31895035:31895451:-1 gene:SETIT_003891mg transcript:KQL06228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVGTVTPSAALTALAFALLLLNSGVAARRALARGDAGAAAFVAAAAVLVAALLAAVRAHERCREEEEEDGRRGRRLRAVAWALSAALTAMFARRVAGFAPDRAVAALVWAMGGVTVAGGFCCLFVHDVVGGRDARPA >KQL07391 pep chromosome:Setaria_italica_v2.0:V:39468466:39468934:-1 gene:SETIT_003400mg transcript:KQL07391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTPDGRACMCLRRHRMGEYSTVRGCGVWSGVYGHGDDGGAFMAVNLMVSCLMALSLPWSCTSSRNSCKSTCMHTPSVTLVVWWHYPPIRASLRNFAPRLYQRENFGKLCALV >KQL07407 pep chromosome:Setaria_italica_v2.0:V:39599429:39602219:-1 gene:SETIT_002795mg transcript:KQL07407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLRNAIPRRAHKERAQPEARKKFGILEKHKDYVVRATAYHRKEKIIAKLREKAAFRNPDEFYFKMINNRTVGGVHRPKPEDNKYTEEELLLLKNKDMGYIFQSIQSEKKKIEKLSSTLHELDTKRPNKHVYFAEDREEAKEIRSRIGESSNMPSFDNIPSRIKKKTASSYRELDERKQRLQKLEKLYGDMALQKELKKPGHKRKLREDETVNPTSQPVYKWRAQRKR >KQL03917 pep chromosome:Setaria_italica_v2.0:V:5334660:5337344:-1 gene:SETIT_003156mg transcript:KQL03917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGKYYCDYCDKQFQDTPAARKRHLQGVQHQRARALWYDTVRHQDQHGGASSLLLPDGTLAKGVCHHFVRTGTCKYGDSCRYFHPKPDGANPSLAAPGPGPGAMVHQSNFLVSQPNLVGYQAAERNSFSGNVLGAHSSWGNLPPSLQPPPEGGYPPLPFVDWG >KQL06514 pep chromosome:Setaria_italica_v2.0:V:33924154:33925083:-1 gene:SETIT_005576mg transcript:KQL06514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQEEFLQILSACNVMKSSGSVCQFVMDGLCYMSEGMFRDDDFWDSWRGSAMKIWLVENCFLCLCVTFEFR >KQL07834 pep chromosome:Setaria_italica_v2.0:V:42072322:42073587:1 gene:SETIT_002396mg transcript:KQL07834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLPAMVLVAALALAGLAAGARAGDIAIYWGQNGNEGTLAQTCATGNYKFVNVAFLPTFGKGQTPVLNLAGHCNPATNGCTGVGADIKSCQSRGIKVFLSIGGGVGSYGLSSPADARKVAKYLWDNYLGGNSKSRPLGDAVLDGIDFDIESGGSLYWDDLARELKSYSRWGRKPVYLAAAPQCPFPDASLGAALATGRFDYVWVQFYNNPPCQYSASAGVGGLASAWARWTSIRAGRVFLGLPAAPQAAGSGFVPTSDLVAQVLPVVKNATKYGGIMLWSRFYDGLTGYSDAVKSQV >KQL07962 pep chromosome:Setaria_italica_v2.0:V:42831995:42834635:-1 gene:SETIT_003045mg transcript:KQL07962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGALARHLLRRAPTPRLARPFAAKARASRRPQEPELPSEEENDFAGGEVAAPTEGISKPLAEVLKELGKRVPDSLVKTRVEDNGFAIKYIPWHIVNKILNIHAPEWSGEVRSIVYSSDGKSVSVVYRVTLHGIDAEIYREATGTASVDDTGYGDPVQKAEAMAFRRACARLGLGLHLYHEDMS >KQL08747 pep chromosome:Setaria_italica_v2.0:V:46928796:46932742:1 gene:SETIT_000230mg transcript:KQL08747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKGASSDAIRVSTSSAPSTSSHGSAQDDYDSSGDVYVWGEVICDNTVRVGSDTVIRSTGKTDVLLPKPLESKLVLDVYHVDCGVKHAALVTKNGEVFTWGEESGGRLGHGSREDSVHPRLVESLAISNVDIVACGEFHTCAVTTAGELYTWGDGTHNIGLLGNGTDVSHWIPKRILGALDGLQVAYVSCGTWHTALITSRGQLFTFGDGTFGVLGHGNRESISCPREIESLSGLKTIAVACGAWHTAAVVEVIVTQSSSSISSGKLFTWGDGDKHRLGHGDKEPRLKPTCVASLIDYDFYRIACGHSLTVGLTTSGQVLSMGNTVYGQLGNPRSDGKLPCLVEDIMSEHVVQVACGSYHVAVLTNKSEVFTWGKGANGRLGHGDIEDRKIPTLVEALRDRAVRHIACGSNFTAAICQHKWVSGAEQSQCASCRQPFGFTRKRHNCHNCGLVHCNACTSRKALRAALAPNPAKPYRVCDSCFMKLNSAAYSSAINQNKRKEAVPRHSGESNPDTKLAKAIVPSNLDMIRSLDSKAAKQGKKTDALSFLRNPQMTSLLQLRDIALSGGIDLNKSVPRAVRTSAVRSLNSSRAVSPFSRKPSPPRSTTPVPTTHGLSIAKTAADSLAKTNEMLNQEVERLRAQVDNLRHRCELQELELQKSAKKVQEAMTLVSEESAKSKAAKEVIKSLTAQLKDMAESLPPDQGAYDGSEAKQVHVPNGIEMYASIYTSMNGIHQPRNESVSAVSTPSLNMGRALHPNGISSQHKSPGSISENSEVSAHSHRVSGPPDAEKLNRRGHISSDEMLSASSRADDSSSQDGRSLFNGEDGYKSRSVVSIPSNQVQAEWIEQYEPGVYITLTTLRDGTRDLKRVRFSRRRFGEHQAESWWNENRDKVYEKYNVRSSERVSSASSIRSAR >KQL04359 pep chromosome:Setaria_italica_v2.0:V:8450284:8451757:1 gene:SETIT_004320mg transcript:KQL04359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPTLLLRLLPLLLLLLPSGLREYLSPAAIINRRPEDATTAPGAADEVALHPIVLVPGISCSELEARLTDAYRPSLPRCGAMKGKGWFGLWANSTDLAAHHYVPCFTEQMSLAYDPAAGDYLNLPGVETRVRNFGSSRGFQRNPKHSDWCFEVLRRALERVGYRDGDTLFGAPYDLRHAPPPPAPGQPSEVFSRYFRRLTRLIEDASRRNQGKKVILFGHSFGGTVALDFVRSTPMSWRRGHIKHLVLAAPLPAAAGFVRPLRNFASGSALLYVPGTAALPLTLRPMWRSFESAILNFPSPAVFGRRPVVVTRERNYSAHDMEEFLAAVGAGAAVEPFRRRAVPRMRYFQAPMVPTTCINGVGNETPEQLVYWDGDFDKVPEVVNGDGDEDINLISMLEFDEQMRRQPEQKKMFKSIKLHGASHGTIVTEEWTLKRVMQEILEANRM >KQL05039 pep chromosome:Setaria_italica_v2.0:V:14590493:14593149:1 gene:SETIT_005137mg transcript:KQL05039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISKLSDCGCLSVLDFSPDLEIQCLALDWYGSTVSPPPSSTSPTFFLVASFGRSAIRLNKYLDFNVLHLADSTFRFFVQSKVISLMVYKLRSYKCVSFAVFFALWGAGGPNWVKEQASWISEQAAEWTYVQSKSSKKSYVQAVSTSSHVNHDSRNDRPLNNHCANNKSRPSIFYIITMANFTVDPQAQAPMGFEVLPHDPNVPPLRLFAYLGGCMDPYNDDVAIAMLILAMAKEEFDLMASALTDYFIHTYNICLAEVQPCALGDAYVRSMCSLERERFPVRHLQLTPDYQLHFIKHDEFENSRMHDLDREAWVMLMGYPLDARNNIVVAKVVAGFEFFLMMKIPHDVTVSVSLPPCVRYWTCPMFSLKKKNVTLLADEDHVPPEGPLHPLPMEAPHWMGSNPAAPSSMEQGPGHNAEGNVNFDHQEDAMSGVAVDFEIILPSSWMQRFLSLLDIDLGTLILSYTNDRDVLSYLAQISDPLSIAQPSRMIIGPALSPNGLPLVPYDDDEDDDATTAKCHCHKLKELLDDVFLRRSKRLNPNLGGFRTEESAAAAAEYPAIYATPIEVIHTIYAGSAAAASSAPLAPHLPVETIQSMATGFLQMQPSVVSTSALLELHVNDNYVIGLAS >KQL06995 pep chromosome:Setaria_italica_v2.0:V:36945410:36948937:-1 gene:SETIT_000519mg transcript:KQL06995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPTPTPPVAPAPQAPTTPVAPTTPVTPPVTAPVPPTAQPPTTPPTALPPTTPPTVQPPVSPPTSTPSPPPPPPPVTPSPPPPVIVPTPSPPPPATVPTPSPPPPAAIVPTPSPPPPVPRPPPPSGVPASPPPPDDVPTSPPPPTGVPASPAAPPPPSHLSLSPPPPSTSPGTPATSSPPASKSSSSGVAVGIGVAVAAVVLLGLAAGLIYFFVGRKRRRRPPPSHQGFPGEFYDPRRPVTPPHMSHAPSTTPSSSTPPLMHSWQSSRGPSESPLPPLNPSPAIMGGTFGYDDLAAATDGFSDANLLGQGGFGHVYRGTLGGQEVAIKKLRAGSGQGDREFRAEVEIISRVHHKNLVSLVGYCLYGEQRLLVYEYVPNKTLEFHLHGSSRPTLDWPRRWKIAVGSAKGLAYLHEDCHPKIIHRDIKAANILLDYNYEPKVADFGLARYQAAEVTAVSTRIMGTFGYLAPEYAATGKVSDRSDVFSFGVMLLELITGRKPIMTSSAYQPETLVSWARPLLTRAVEEENYDELIDPRLETNYDAYDMARLIACAAAAVRQTARSRPRMTQIVRYLEGELSVEDLNAGVRPGQSAMQRSGGGTTDQIGRLRRMAFGPGAGGSTTGTGTISEYASSEFSNPTSEYGLNPSSEYTASSGGDTGEVPPAAAAQGGQQWSGRRAAGDTERMSRRTTGRRGQT >KQL03855 pep chromosome:Setaria_italica_v2.0:V:5067219:5072779:1 gene:SETIT_001422mg transcript:KQL03855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYGAAKGGGGDAGTGLEESMRRLGLGGGDGDGDAKLPERPGEVDCAYYIRTGSCGYGERCRYNHPRDRDRAAPVNGVGRTAGTVEYPERPGQPLCEYYAKNGTCKFGSNCKFDHPREGGFVPVALNSSGFPIRQGEKECSYYMKTGHCKFGATCKFHHPELGFLSEAPTMYPPVQPSPLSSPHPYPHLANWQMGRPPVVPGSFLPGSYPPMMLPPTLMPMQGWNPYISPMNQVAPAGGQQTVQAGPPYGMSHQGPSSAVTYGSHYAQLYSSTGTSSSNIQEYTLPERPGQPECEHYMKTGTCKYGAACKYHHPQYFSGPKSNCVLSPLGLPLRPGSQPCSYYAHHGFCKFGPTCKFDHPMGTLNYSPSVSSLTDVPVAPYPPSLPLTPMAPYPSPSDLRPQYTLTKDSSANPPAVPGTTYGPVGTISKVYAPHTLIRSPTSAAAGMQA >KQL07298 pep chromosome:Setaria_italica_v2.0:V:38996597:38998304:1 gene:SETIT_001392mg transcript:KQL07298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLSSAAKRCSNGKNLLRSAAACCGTSSASGPACIVRGNEETSTSAPASTSTSSAPDSKKKRWRKRRFWRKRKPKTKEGGDGGELADLVNNISAKSDVSKNVNAAEEILRGGNQNMPSRALMFSQLDAATSGFSEKNLLGEGGFGRVYKGRLEDTKEVIAVKQLDRDGFQGNREFLVEVLMLSLLHHPNLVKLLGYCTDSNQRILVYEYMPKGSLEDHLLDLPPNWKPLPWHTRMQIAVGAAKGIEYLHEVANPPVIYRDLKASNILLDRDFNAKLSDFGLAKLGPMGDQSHVSTRVMGTYGYCAPEYAMTGKLTKMSDIYSFGVVLLELITGRRAIDISRPSEEQVLVHWASPLLRDKKRFMKLADPLLGRRYPVKGLYQALAVASMCLQEDAASRPGISDVVAALSFLADPAYYPPEGMQTEQPREKSSRPPVASVGRVVSEVRADDETKER >KQL08087 pep chromosome:Setaria_italica_v2.0:V:43509070:43511854:-1 gene:SETIT_002361mg transcript:KQL08087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIELLLRQRRRGIAVAAAAPLLLILTVATFSCSPVAATASSPELVELTLLAGAREKGAVIRYCDGASFAGDAEGEDLAMLTGCSAGSLAALLHCDNFRERFPKDVSVKCLSDAGFFIDEKDLSGERSLRSLINGIVHLQNVREALPKGCLANKDPTEIRNALAPNGSYPGQAWSSCKADIRNCSSTQIDVLHGFRKKLVSELKVAEHNRDWGLFIDSCFTHCQTPFRISWISRISPRLGNKTIAEAVGDWYFGRREEVKYIDCEYPCNPTCSSRLPSA >KQL08086 pep chromosome:Setaria_italica_v2.0:V:43509437:43511854:-1 gene:SETIT_002361mg transcript:KQL08086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIELLLRQRRRGIAVAAAAPLLLILTVATFSCSPVAATASSPELVELTLLAGAREKGAVIRYCDGASFAGDAEGEDLAMLTGCSAGSLAALLHCDNFRERFPKDVSVKCLSDAGFFIDEKDLSGERSLRSLINGIVHLQNVREALPKGCLANKDPTECFFPPELIKSISTPTFILNSDYDSWQIRNALAPNGSYPGQAWSSCKADIRNCSSTQIDVLHGFRKKLVSELKVAEHNRDWGLFIDSCFTHCQTPFRISWISRISPRLGNKTIAEAVGDWYFGRREEVKYIDCEYPCNPTCSSRLPSA >KQL05279 pep chromosome:Setaria_italica_v2.0:V:20497797:20498266:-1 gene:SETIT_003812mg transcript:KQL05279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIPPTSTPTMPSTTTPTQVFKGPITRSRAKELQQEVNALLYEVYLNINENYILPKSSTLLLLSFTKEDDKNTQGNEYKEEPRSNSSNSAKQNRYGTRPVLMHTGQKLNSPHEAKVAV >KQL06985 pep chromosome:Setaria_italica_v2.0:V:36879279:36880286:1 gene:SETIT_004041mg transcript:KQL06985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWGLRSSKQQQREALQPVEQERQQESKAKNKAIFSSFWPLAWLSKLTAKNGAGAASARPGHATSAAKSTAQGAATFPSCFHKPASPSPASASRSSLADSSSSAAETADDIANRRDDTGAKTAEIAPRRRSVGNDETGSEAAAARQLCRRRHYSVGGDRDLPPIGHHVSLSRSASPKALPTPAPVRTLAPTLPPLPSDTDEEKRPRSRRRRHRRVVSGRRSFSSSKAPGARLASGVRVRSPRPSSAAAAVSELERFAVVRRTRDPQREFRASMVEMIASKRMVGRPEELETLLACYLSLNADEHHDCIVKVFRQVWFELNNNSTTSRAAAPLQRT >KQL07768 pep chromosome:Setaria_italica_v2.0:V:41638456:41639580:-1 gene:SETIT_003898mg transcript:KQL07768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NVSSVRAGAAFFSLVRLRLLCTTTTTASPPARFVAESYLVANCGLTPSQAVKASKLLSHLETPHQPDAVRAFLAGLSLSKADVAAAIARRPRVLCFSVESTLAPRVSQLRGIGLSTPEIARLVPLVPYVFASPVYVSRLAFFISFFGSFTKLHATIRRDAQLLRRSVEKIEPQAALLRECGLGARDIAQVASIAPRLFSGSEERLKAVIARAEELGVPRGTPMFRHALVVAYSIGRQNATAKMELLRSLGWSSSQVAVAVAKMPSILASSEDRLRRAVDFLTKEAGMEVEAVARGPSLLKFSIERRLVPRLKVLKLFKEKGLPLGGRSFYGVACMSRENFFNTFVLPHAKILPRGLIGAFATARAGKAAAGPAR >KQL07367 pep chromosome:Setaria_italica_v2.0:V:39355167:39357281:-1 gene:SETIT_001983mg transcript:KQL07367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEGAGSGGGGDGGMSESVIRKVLVSYMYVAVWIFLSFSVIVYNKYILDPKMYNWPFPISLTMVHMAFCSSLAVALVRVLRVVDLPSSPAMTPQLYVASVLPIGALYSLSLWFSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKENFKSSAMLNMLSISFGVAIAAYGEARFDVRGVALQLAAVAFEATRLVLIQILLTSKGISLNPITSLYYVAPCCLAFLVVPWVFVELPRLRAVGTFQPDLFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIRDTVTPINLFGYGIAFLGVGYYNHVKLQALKAKEAQKKAAQADEEAGSLLQERDSHGDRKTDNQA >KQL04505 pep chromosome:Setaria_italica_v2.0:V:9423400:9424624:-1 gene:SETIT_002509mg transcript:KQL04505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQIDNQLQNNGNGLTVFAPTDNAFTSLPSGTLNSLSDQEKNALVQYHVVSTAIPKSQFDTVSNPLRTQAGSASPGEYPLNITSDGNQQANISTGVVNATVDNDLYSGDNLVVYQVNKVLLPAKLFGPAVAPAPAPLAPAKKKGKTPASVADSPEAAEASPDATASLAAARVTRGGLAAALLALAGVWWGL >KQL06709 pep chromosome:Setaria_italica_v2.0:V:35155976:35156999:-1 gene:SETIT_002966mg transcript:KQL06709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEADRAAAPAPAAAAEASDDAIQEESAATAPARDGKAGSGAAAASAPEVEVQLFRRGRPVSVFRSPLGGWSQDQLEVGDILEQHGLKSVFAFDPATRKRGVAIRFNPRNGRSLLTYAPDSTIFLDGEPKDSLLKPITKMVIGVAAMTVVAAVLFKEAKMPEWLASRLGTVNFPPWVLACMVIVFVRLRKRTKNVMKKFGWAS >KQL05224 pep chromosome:Setaria_italica_v2.0:V:18358808:18360103:-1 gene:SETIT_003458mg transcript:KQL05224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPWWDSDWKERSEREYRCYVSNLSYGTSEASLKDAFSNYGPLNSEIVVDRETGRSRGFGFVQFEDKKSMDNAIQDMNGQEVGGRNVRVSEAKQRPRRWRA >KQL05326 pep chromosome:Setaria_italica_v2.0:V:22534965:22536409:1 gene:SETIT_003520mg transcript:KQL05326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAISGNLPSPTPAQLRAHQQAAAASRAGTDVSIENMHQGFTHVFESTFESTEGIKEYIEHPAHVEFANEFLPVLEKALIIDYKPTSVN >KQL07147 pep chromosome:Setaria_italica_v2.0:V:37929355:37935372:1 gene:SETIT_000338mg transcript:KQL07147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVEPDGGGRGGGTSSSAAENFDAGQYAFFGKEPLEGFELGGLEDASGDGNGSGFVGPEEGLYRLSSVGEEMDDQSNLSDIDDLASTFAKLNRSISGIRNPGVIGDRRSISRGSSLTVDWTEDVEFSNWVDQDVFENEEFQESKRWWSSNSSVQQGDSNSRPLSRTSSYPQQPLQHRSSEPIVLPKTSSFTSFPPPAAGGGRSPYPAQGLTRHGSIPSIGAGLQMGSPSMSLSASPYHMVGPSHGLPYTGGMPYGALNLPVNNPMQNDWSNQANPFTGEHLNLLPNLLHKQLSLPNSPMSSLLFSQHQQRLAQIQSSHQNYPNIPPHLLYPHHSAEITGRFDSVGSSHSSRDKRSRSGRGKHNIRFSQPTSDTGGQNGDSGGIKFRSKYMSSEEIESILRMQHSASHSSDPYVVDYYHQACMAKRGATSKQKNNFSPASMKDLPSKSRSSSDQHTYLQVDALGRVSFSSIRRPRSLLEVDHPSSGDGSHDQKSTMRPLEKEPMLAARVTVEDALCLLLEVDDIDRLLQSSQSQDNNFQLKRRRQVLLEGLAASLQLVDPLGPSKSGHSSGLAMKDDVVFLRIVSLPKGRKFLARYLRLLVPGSELTRIVCMAIFRHVRFLFGGLPSDSSAAETTVALAKTVTSCVHHMELGALSACLAAVVCSSEQPPLRPLGSSAGDGASLIIKSVLDRATELLTDHHAAASYTVPNRTLWQASFDAFFGLLTKYCVSKFESIQQMFVMQAPSPVIGPEASKATSKEMPVELLRASLPHTNEQQRQRLLDFAQRSMPVTGFNPQGARGGHITSESVPG >KQL03268 pep chromosome:Setaria_italica_v2.0:V:650498:651011:1 gene:SETIT_005516mg transcript:KQL03268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISILLSKNLATPRHSYNIKHLQLINSEHFSNGLFASNQTYGVQICLLRPGLPYLMLIRRTTLR >KQL06486 pep chromosome:Setaria_italica_v2.0:V:33755976:33757028:1 gene:SETIT_004945mg transcript:KQL06486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVAGDGPRKRPRRPSSSVRMEDRGRGGDGGCCCSSSGKAALEPATTRLVVIVGATGTGKTKLSIDAAVALGGEVVNADKIQLYAGLDVTTNKVPLADRRGVPHHLLGAVRADAGELPATSYRALAAEAAASVAARGRVPVVAGGSNSLIHALLADRPDAAPSDPFAAADWYRPALRFPCCLLWVDVDGPVLDDYLDRRVDDMVREGMVEELREYFASTSPAERAAHAPGLGKAIGVPELGDHFAGRKSLAAAIDEIKANTRVLAAAQARKIRRMAGAWGWPVRRLDATPTVLARLAGADRAAEVAAWERDVRGPGFAAMRQFLDSHRHQEQQRWPLARRQCRGMVG >KQL08342 pep chromosome:Setaria_italica_v2.0:V:44903685:44904544:1 gene:SETIT_005348mg transcript:KQL08342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVLQTFFETTVLQISNAGPEEYFHWCSEEFYTLQTNQLSDL >KQL06848 pep chromosome:Setaria_italica_v2.0:V:36038826:36040101:1 gene:SETIT_002580mg transcript:KQL06848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSECKEHLQLHAGFGAGGGGLSPPQQQLQAGAIAPFVAKTFHMVSDSATDAVVRWGGASNTFLVLDPAAFSDYLLPSYFKHRNFASFVRQLNTYGFRKVDTDRWEFAHESFLRGQAHLLPLIVRKKKKAGGGGRELCEEGEEVRGTIRDVRRLREEQRGMEEELQAMDRRLRAAESRPGQMMAFLAKLADDPGVVLRAMLAKKEELAAGKGLPPTPLPVEAPGKRRRIGGAEAAGGAGEAAAAELAQGRGAVPFPFSVLGQVFY >KQL06979 pep chromosome:Setaria_italica_v2.0:V:36846442:36846739:1 gene:SETIT_0013502mg transcript:KQL06979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTIRSISITVSDEDGAAAAPTRRPRAGRRKAAARSLGQRAVRLVARWWPVLLLLPAVALLLFEASRLRGSPPAPATHASSLGRLDPTTRLVHGVRE >KQL07697 pep chromosome:Setaria_italica_v2.0:V:41308021:41308537:-1 gene:SETIT_004200mg transcript:KQL07697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLCFVAASLRIERSLELFSIRYTEVTISQVTTLQESRMPELEPPFRPREKLLELQRYFQNVHKHTYLKGRYDAVTSVGIPLALAASSLFLIGRGVYNMSHGIGKKD >KQL04190 pep chromosome:Setaria_italica_v2.0:V:7095338:7096257:1 gene:SETIT_004146mg transcript:KQL04190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQSKRGSQMENGDHGHSGKKARAQAMSNGVVKQEQQEVGEIEQEEEEEEEPEEGEVSQGSGGGAGAMVAVEAVETEPQINLSFGLTLFHCRACLLPLKPPTKSCLSMCSCFWLQCEAGHVVCAACCNNHGQACGGATIFSPCVEVDAFVRGAKQPRSYEEFGCKDTVVYFEAAAHRRACPWAPCSCPDPGCGFFTSPAGLVVHFAFAHSWPVTEVRYGKPHRLALPPPQGWHVLVGKGGDRCVFLLSPCALGEAAAVALVCVRANGGEAEGAP >KQL04199 pep chromosome:Setaria_italica_v2.0:V:7143140:7144530:1 gene:SETIT_002091mg transcript:KQL04199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPTSVGSRMAGGEGAKEETPQLSGPVAANHGRQESKPREAGVVVSFGARSPAAAAPRPRRLTTVLVVDKARLCCSLCSLALKRPIYQCAVGHLACGSCRTKLQVNACRTCGDRGAASAYALCPGLDVFYGELHLPCPYEPYGCKSYIPYYKAATHQGACEHAPCLCPEPGCAFAAPPQALLAHLAAAHSWPVHKIPRFGAIHALRVPAAGPDRLLVVAEEEEVKEGEEDDGDDDKDDDMEGPEVFVLSVRARGEATAVSVACVRANARAGPQYKCVLWAKAPATRGAAGRAARRLCMETDVPSCAQPGEAAVEDGMWLGVAPVMVLGASREIHLSVLIDKI >KQL07419 pep chromosome:Setaria_italica_v2.0:V:39663386:39665721:1 gene:SETIT_002026mg transcript:KQL07419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKARIHADPVLEFDRFDCLPDSLVLLILNKLEDVRSLGRCSAVSRRFSCLVPLVHDVCVKIDRVVTVDGDSDDALNLSSPKPQNILSHLFKLMLFAITKPFHDIRNPNGVGRPLFPQLSQHSPAQVLKNFSDVRNLRVELPSGDVGIEEGVLLNWRAEYGSTLQNCVILGGTLLNHKAVGNEHEPSSDDNGSMPESFYTNGGLKLRIVWTISCLIAASTRHYLLRSIINHHPTLRSLVLADADGQGALCMGAEQLKDFREHQLSASACSNRTQVPACNMKLNYAPYLELPGGLALQGATLLVIKPAGDGSSGGHGSRKEAEAFVSGAFDGPLRFAAKALMKRRTYLLDMNGF >KQL06945 pep chromosome:Setaria_italica_v2.0:V:36593759:36594237:-1 gene:SETIT_005596mg transcript:KQL06945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMEKWGSLSFFGELSLCGKEGQGHKGEGRRQMTARKRRGWA >KQL03787 pep chromosome:Setaria_italica_v2.0:V:4604035:4608279:1 gene:SETIT_002721mg transcript:KQL03787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETQPCNGDHMAEDHPKQAAAGAEDAAMDTEAGAGEGRGAAAVAGLLRGFLAVQQRRAEAYSTLRRGFSEYMANGGELAYQQLCGNITAEFNDCSKQVLEVISLLSMPDFCRSDLADLLKGVQAHEKEKLHLTAKIQVLKKAGRPSERLVNHADCRSRSVTQHVCVHVKEITEAAGTEDAEADAEYDAALKEAIQGVQEAVTSINEHMEEVRYEIDALEAETAGSRLTEVEEAFPATLSIK >KQL04701 pep chromosome:Setaria_italica_v2.0:V:11072843:11080903:-1 gene:SETIT_000107mg transcript:KQL04701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASNEKWIDGLQFTSLFCPPPQDVAQKQAQILAYVEYFGQFTADSEQFPEDVAQLIQSCYPSKEKRLVDEVLATFVLHHPEHGHAVVHPILSRIIDGTLCYDRHGAPFSSFISLFSHTSEKEYSEQWALACGEILRVLTHYNRPIFKVERQHSEAECSSTSDQATSSDSTDKKSDDSPGTEPDRKPLRPLTPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGGELKPPTTACSRGSGKHPQLMPSTPRWAVANGAGVILSVCDEEVARYETANLTAAAVPALLLPPPTPALDEHLVAGLPPLEPFARLFHRYYAIATPSATQRLLFGLLEAPPSWAPDALDAAVQLVELLRAAEDYATGMRLPKNWMHLHFLRAIGTAMSMRAGIAADTAAALLFRILSQPTLLFPPLGHAEGVEVHHEPLGGYVSSYKRQLEVPASEATIDATAQGIASLLCAHGPDVEWRICTIWEAAYGLLPLSSSAVDLPEIVVAAPLQPPTLSWSLYLPLLKVFEYLPRGSPSEACLMRIFVATVEAILRRTFPSETLEQSRKPRSQSKNLAVAELRTMIHSLFVESCASMDLASRLLFVVLTVCVSHQALPGGSKRPTGSDNHSSEEVTEDSRLTNGRNRCKKRQGPVATFDSYVLAAVCALSCELQLFPFISKNGSHSNLKDSMKIIVPGKTNGINKELHNSISSAILHTRRILGILEALFSLKPSSVGTSWSYSSNEIVAAAMVAAHVSELFRRSRPCLNALSSLMRCKWDTGISTRASSLYHLIDLHGKTVSSIVNKAEPLEAHLTLTPVKKDNQQDSEENSTSSSDSGKLENKNGSTSHKKNGFSRPLLKCAEEVRNGNVASTSGKAIASLQVEASDLANFLTMDRNGGYRGSQTLLRSVLSEKQELCFSVVSLLWQKLIASPEMQMSAESTSAHQGWRKVVDALCDVVSASPTKASAAIVLQADKDLQPWIARDDEQGQKMWRVNQRIVKLIAELMRNHDSPEALVILASASDLLLRATDGMLVDGEACTLPQLELLEVTARAVHLIIEWGDSGLSVADGLSNLLKCRLSPTIRCLSHPSAHVRALSMSVLRDILNNGHITPSKIIQGEQQRNGIQNSSYRCLAASINWQADVERCIEWEAHSRRATGLTLAFLSAAAKELGCPLPC >KQL06126 pep chromosome:Setaria_italica_v2.0:V:30844436:30848892:1 gene:SETIT_000752mg transcript:KQL06126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGHPHAVTLHPSPSLPSPKMAAATSSTTYLAITRKTLNPAASGAPGAAAASVSFPTAQAPCPLAASAGRRRAMTAKVSSPSVVGTAMPSLDFETSVFKKEKVSLAGHEEYIVRGGRDLFPLLPTAFKGIKQIGVIGWGSQGPAQAQNLRDSLVEAKSDIVVKIGLRKGSKSFEEARAAGFTEESGTLGDIWETVSGSDLVLLLISDSAQADNYGKIFSHMKPNSILGLSHGFLLGHLQSLGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGMVEALFRRYTEQGMDEDSAYKNTVESITGIISKTISKKGMLEVYNSLTEEGKKQFLEAYSAAYYPCMDILYECYEDVSSGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRSTRPQGDLGPLHPFTAGVYVALMMAQIEVLRKKGHSYSEIINESLIESVDSLNPFMHARGVAFMVDNCSTTARLGSRKWAPRFDYILTQQAFVTIDKKAPINQDLISNFMSDPVHGAIEVCAQLRPTVDISVTADADFVRPELRQSS >KQL08071 pep chromosome:Setaria_italica_v2.0:V:43426045:43426443:-1 gene:SETIT_005091mg transcript:KQL08071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSFQLNPCCDALLMLKPLVRKGPFVGCTFQSTMLYSEQALRIVELVKKLRIMVPVRL >KQL07728 pep chromosome:Setaria_italica_v2.0:V:41412213:41414464:-1 gene:SETIT_001102mg transcript:KQL07728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKALALADHGEEALARLADMGRLGVPVDSYSYAHGLKACIAGSASHAPASARVREMHAHAIRRGYGLHTHVATTLIDCYAKLGIVTYAERVFAWMPERNVVSWSAMIGCYAKNERPGDAIELFQEMIASDADLVPNSITIVSVLHACAVVNALGQGKVLHAYILRRGFDSLVSVLNALMAMYMKCGCLQIGRYIFDWIGRRRDVVSWNSLISGYGMHGFGRESLQVFEEMIKEGISPSIITFVSVLGACSHAGFVEEGKKLFESMVEYNVTPRAEHYACMVDLLGRAGRLDEAVELIQSMRIGPSPQVWGSLLGACRIHGHVEYAEMACSHLFDLEPRNAGNYILLADIYAQAKLQNQVDVLKELLEEHALEKVPGCSWIEVKKKLYSFGSVDDKNPQVEELQALIGEFVTQMKNEGYVPDTRTVLYDIEEEEKERILLGHSEKLAVAFGLINTSRGEPIRITKNLRLCEDCHSVTKFISKFTEREIIVRDVNRFHHFRDGVCSCRDYW >KQL05929 pep chromosome:Setaria_italica_v2.0:V:29150834:29151678:-1 gene:SETIT_005515mg transcript:KQL05929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAWIHRFDCIKKHWQLLVPRLPIPIYHLPRSLLSPPRFTISYHITSINLLNSSDNCSNFHVGLFLQYSHQPLRN >KQL05793 pep chromosome:Setaria_italica_v2.0:V:27895055:27899990:1 gene:SETIT_003184mg transcript:KQL05793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESFLDRMVSQLRSTCKFYTGYPKDLGPSRIIPFTSERQFVQLLHEGRCTYTQHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQKNEYPFVEVFYNPEQAAGHGKSVDPNITKYSVKVLPFNYDQSVYGFREYFKKHGFKYSETN >KQL05791 pep chromosome:Setaria_italica_v2.0:V:27895055:27898543:1 gene:SETIT_003184mg transcript:KQL05791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESFLDRMVSQLRSTCKFYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQKNEYPFVEVFYNPEQVIIIYFMYIGSNVSVSSFWFFIAISIPALVIISHFFYLFLSNS >KQL05792 pep chromosome:Setaria_italica_v2.0:V:27895055:27899990:1 gene:SETIT_003184mg transcript:KQL05792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESFLDRMVSQLRSTCKFYTGYPKDLGPSRIIPFTSERQFVQLLHEGRPVVVAFTIKCTYTQHLDKVLEEAAATFYPHIKFVRVECPKYPGFCLTRQKNEYPFVEVFYNPEQAAGHGKSVDPNITKYSVKVLPFNYDQSVYGFREYFKKHGFKYSETN >KQL08301 pep chromosome:Setaria_italica_v2.0:V:44687946:44692044:-1 gene:SETIT_001189mg transcript:KQL08301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQNQPLPPGVGTWSQAPPNHPPQFNSDPQSYHSPFDTRHDTASGNNSDSAAGIESAVQEAVLHAQDVETQQVIQNQRYANTTSEPTAYGEDLLSNRRDPNALKEHLLKMTADHRAEMANKRGKPVHPNNGNVEIGNGYGVPGGGAYYAANLPSTQMNKPRDESDKAKCANDLPDFLKQRLRARGILKDETTNKNSMNTQNVDSQEIQNKSGQELPPGWVEAKDPTTGAPYFYNQSTGVSQWNRPGGAVNTMHHQVSPSLPENWEEAIDKLTGHRYYYNTRTQATQWEPPTPVNAIATPPAPTKTAVEPVAQTADIWNSQMQRCLGCGGWGVGLVQPWGYCNHCTRVQNLPFQQYPSYPNNTMHSGGNNAPKTQGNVGAKDRSSSKPPFGKQNRKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVATHGKKRGGMAPITKRGDGSDGLGEAD >KQL08302 pep chromosome:Setaria_italica_v2.0:V:44687607:44692661:-1 gene:SETIT_001189mg transcript:KQL08302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSQNQPLPPGVGTWSQAPPNHPPQFNSDPQSYHSPFDTRHDTASGNNSDSAAGIESAVQEAVLHAQDVETQQVIQNQRYANTTSEPTAYGEDLLSNRRDPNALKEHLLKMTADHRAEMANKRGKPVHPNNGNVEIGNGYGVPGGGAYYAANLPNKPRDESDKAKCANDLPDFLKQRLRARGILKDETTNKNSMNTQNVDSQEIQNKSGQELPPGWVEAKDPTTGAPYFYNQSTGVSQWNRPGGAVNTMHHQVSPSLPENWEEAIDKLTGHRYYYNTRTQATQWEPPTPVNAIATPPAPTKTAVEPVAQTADIWNSQMQRCLGCGGWGVGLVQPWGYCNHCTRVQNLPFQQYPSYPNNTMHSGGNNAPKTQGNVGAKDRSSSKPPFGKQNRKDHRKRNRPEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTAAGPLFQQRPYPSPGAVLRKNAEVATHGKKRGGMAPITKRGDGSDGLGEAD >KQL05225 pep chromosome:Setaria_italica_v2.0:V:18361229:18366122:1 gene:SETIT_005083mg transcript:KQL05225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFDGPPSFSDLVDRVMRKYGCRVDEISLRGRFDCGKTRAHYVLMKLAFDANWKHYKDVVHEANVACLEVIVKIVRMPGPNVVMREEVAVVNHNGTQESEMLHHVLGETERDFDLAIANDDFSNNIFEREANIDVDNVSMGSEDCELEEDGVDISMVHKAICESSMVNAEGKSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWQAKQHAVALLWGDWKESYGMVPRVLSAITYYNSGVKWCIDSCGMMLPDNGVLKHILQRVFWCFPQCSEVFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQLVPLAFALAESENNESWSWFMKLVRLHVVGPSRIVCMISDRYHRLLNCAKDHIDGFPPLVHRWCTRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFCEKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMHWGIMTTNYSESLNGVFKGIRSRPVAGIIDYSFEKCNAYFVNRWRKAREMLDQGYRIGQVADDYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLNEVSCTYNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKHFTGDMDVMAAPGYPLLEAAYDLQHRAHHLADLNENLTPLRARVHSPLRWDERYAQYLQRAGFLDLAVQVVGGLPPMDGPLLTAMVDRWCPETHTFHLPFGEMTTTMQDVAMILGLPLDGPPEPEGGDSSKKTSGVSSAWLREHFDVCPPGANDEVVQRYARVWLWHFVSTILLPDAAGNTVSWMVLPILGQVWENIDVYSWGSAALAWLYRQLCEACRCTARDSNVGGCTYMLQIWIWERMPMGRPSRLCVDPWHRDDARPTFYHVWKHVRPVRSNPDRRYKAYTNEFDVLTQHQVEWKPYDREQLSHIVFSPTCYRDRELWRCTTPPILYYVVEFYMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRLKHAQYLLLWQNKQGCDPEGGPYWRPNNEYIRWYCTSMRTKVKPSWTNVPIEDAPSESNADIANAYDTVTRYGTQPERAPLHDYMGQQLARLSNETGVIMEHTVGEGDGLLCQFAE >KQL07097 pep chromosome:Setaria_italica_v2.0:V:37652353:37655152:1 gene:SETIT_003251mg transcript:KQL07097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAATVARPPECGSTGGLLSGRRRSLLPHRRSGAVSFSTASLKRPPRIQHHGSQVCVARRRRADIQSDTYVLIEPGMEEEFVSREELEARLKGWLEKWPRDALPPDLAKFNTVDDAVSYLVRAVCVLEIDGEVGSVQWYQVELE >KQL03499 pep chromosome:Setaria_italica_v2.0:V:2738621:2739820:1 gene:SETIT_002761mg transcript:KQL03499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRLALRVPHLSEPLSLARKTSVHDRRCGHDRAPNQFFEKKNEVPDIIVMTPSSLQSIFALHATQAPEYFANRAVWLRIIIHDSKHAGHKTVHSTRHLRLNSFLDPLLTVASLVSPLPALSPAAPTSPPAFLCQIRLLGSWIWSSVVQISQSIEFFPPSLLQLHLPLLAPPFAAAVSPFVPRPPPPGSSTTSTNTTACGRSCRRHRRSLLSPATRKTPNNTLPTTSTSSVRSR >KQL06973 pep chromosome:Setaria_italica_v2.0:V:36812914:36814998:1 gene:SETIT_001771mg transcript:KQL06973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRPAERSAIIFNPPRPIKEKAQLAKRMGRTAAMRWMQPALLLVLATVAVVVVRAEPQVPCYFVFGDSLVDNGNNNDIASLARANYPPYGIDFPGGATGRFSNGLTTVDAISRLLGFDDYIPAYAGASNDQLLTGVNFASAAAGIRDETGQQLGQRISFGGQLQNYQAAVQQLVSILGDEDSAANHLSQCIFTVGMGSNDYLNNYFMPAVYSTSQQYTPEQYADALAGQYSQQLRTLYNYGARKVALMGVGQVGCSPNELAQRSPDGATCVSEINAAIDIFNRRLVALVDQFNALPGAHFTYINAYGIFEDILRSPGSHGLTVTNRGCCGVGRNNGQVTCLPFQTPCTNRNEYLFWDAFHPTEAANILVGRRAYSAALPSDVHPVDLRTLAQL >KQL07955 pep chromosome:Setaria_italica_v2.0:V:42797531:42800881:1 gene:SETIT_003991mg transcript:KQL07955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATKENGLGGAESSTSTPVATPMATPVSTRSVKWEKDVQEAAGTLDRPLLHKRCANTTSQMAVVGANTCPIESLDYEVVENEVYNQDWRSRGKLQIFQYQVLKWTLALIVGLVVALVGFFNNIAVENIAGFKLLLTSNLMLHNRYMAAFVVYISCNTMLAAAAAALCAYIAPAAAGSGIPEVKAYLNGIDAHSILAPSTLLVKIFGSVLGVSAGFVLGKEGPMVHTGACVASLLAQGGSRKYGLTWNWIRYFKNDLDRRDLITCGAAAGVAAAFRAPVGGVLFALEEVTSWWRSSLLWRTFSTTAVVAMVLRGLISYCRGGHCGLFGKGGLIMFDLSSRQATYTATDLGAVMLLGILGGLLGALFNYLVDRILHIYNLLNEKGPRSKIILTITISVITSCCTFGLPWLTSCTPCPPELAGKCPTIGRSGNFKSFQCPAGHYNALASLFFNTNDDAIRNLFSAGTDREFGVSTLLTFFTTVYTLGILTYGVAVPSGLFIPVILAGASFGRLLGSLLGSISGLDTGLFALLGAASLLGGTMRMTVSVCVILLELTNDLHLLPLIMLVLLIAKTLADCFNKGVYEQMVRMKGLPYLEVHAEPCMRSLVAGDVVSGPLITFSSVERVGAIVDTLRHTGHNGFPVIEDQPFAPAPELCGLVLRSHLLVLLQGRIFTRRCVTTGATEVFRTLAPFDFAKAGSGKGLKVDDLDLTEEEMDMYVDLHPITNRSPYTVVENMSLAKAAVLFRGLGLRHMCVVPRTQGRPPVVGILTRHDFMPQYIRGLYPNTMPR >KQL08021 pep chromosome:Setaria_italica_v2.0:V:43202924:43206761:1 gene:SETIT_000346mg transcript:KQL08021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGESVPMSIINSISNFRALFSSNAVETELVKRYGRKIDEILDLLKMVIDGVLTQVTPDDKLLDVLEELDVTINEALKLVGSWDWMMSKIYFATQVESLITKMQKYVLEVCQALNSLMLPSETNCISVYLEKAKQFHCDKMTAVVGEASRDLVGKSMPKSEQLTNIQVSLSLSTNQELLMEAVALSKIRMRVSADDSAELDGVNDISKLVNYMLDKHVEEKQMHSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVFIKKWLDLGYNVCPKTRQTLGHTNLIPNYTVKQLIENWSEIHGIVLPDPVKLLSLSFPVFLNLTNDSTSDRSRLSDNSPRSNMCGSPEHKISSEDSRYHNLTHDDSDSDDQISKASSFEDTDDSESDALRLQSAATEANKSICNETIDGCEALKQLRKDSLHVSDVEQHLPRSSSSSDIDTGTSSSSNHLEAVAKHKEEQVSSNSSASETARNDQMVTSSMVEPNRLPRFGGIRSRSQLVWRQLSDKAVPADSRSDSPGVDVQVRRLIEDLKNESTELQRSAIGELLILSRHSMENRIAIANHGAIPFLVSLLYSADPSTQESAVTVLLNLSINDNNKIAIACANAIEPLIHVLETGNPEAKANSAATLFSLSVNEENKAKIGRSGAIKPLVDLLEDGNAQGKKDAATALFNLSIFHENKARIIEAGAVKPLVELMDPAAGMVDKAVSVLSILATVQEGRDGIAQAGGIPVLVEVVELGSARAKENAAAALLQLCTNNSKFCSLVLQEGAMPPLVALSQSGTARAREKAQVLLSFFRNQRQVGKVIRR >KQL08022 pep chromosome:Setaria_italica_v2.0:V:43204066:43206555:1 gene:SETIT_000346mg transcript:KQL08022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILANYYMKATQVESLITKMQKYVLEVCQALNSLMLPSETNCISVYLEKAKQFHCDKMTAVVGEASRDLVGKSMPKSEQLTNIQVSLSLSTNQELLMEAVALSKIRMRVSADDSAELDGVNDISKLVNYMLDKHVEEKQMHSINGVPIPADFCCPLSLELMSDPVIVASGQTYERVFIKKWLDLGYNVCPKTRQTLGHTNLIPNYTVKQLIENWSEIHGIVLPDPVKLLSLSFPVFLNLTNDSTSDRSRLSDNSPRSNMCGSPEHKISSEDSRYHNLTHDDSDSDDQISKASSFEDTDDSESDALRLQSAATEANKSICNETIDGCEALKQLRKDSLHVSDVEQHLPRSSSSSDIDTGTSSSSNHLEAVAKHKEEQVSSNSSASETARNDQMVTSSMVEPNRLPRFGGIRSRSQLVWRQLSDKAVPADSRSDSPGVDVQVRRLIEDLKNESTELQRSAIGELLILSRHSMENRIAIANHGAIPFLVSLLYSADPSTQESAVTVLLNLSINDNNKIAIACANAIEPLIHVLETGNPEAKANSAATLFSLSVNEENKAKIGRSGAIKPLVDLLEDGNAQGKKDAATALFNLSIFHENKARIIEAGAVKPLVELMDPAAGMVDKAVSVLSILATVQEGRDGIAQAGGIPVLVEVVELGSARAKENAAAALLQLCTNNSKFCSLVLQEGAMPPLVALSQSGTARAREKAQVLLSFFRNQRQVGKVIRR >KQL08730 pep chromosome:Setaria_italica_v2.0:V:46869287:46870527:-1 gene:SETIT_002264mg transcript:KQL08730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMEGSATNSHGTDDPLSKLPTREGWSEPLVLYKNYWLRPRFAATIMRLQNTFEARHDDIVLATNPKCGTTWIKALAFAITNRSRYEFGNHPLLFRHPQEVVPFLEIPRDGDMTYVETLPSPRLLATHMPLSLFPNFLATCGCRIVYVCRDPKDAFVSRWHFEKKLHREHSIDFEAAFNMFSEGFSPYGPFWEHCLEYWRESIACPNKILFLKYEDMMSEPVKHVIRLATFLGVPFSIKEEEDGIPEEVVRLCSFDKLSSLDINQTGDMVRRGNIIVEKSVFFRKGKVGDWVNHMSQEMGRKLDCIVEEKLKGTGFVL >KQL03789 pep chromosome:Setaria_italica_v2.0:V:4613122:4616559:1 gene:SETIT_001727mg transcript:KQL03789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRRVEACDSWRPPRSHAVLLRAGPRVPAFASLSVREGGGDAAAAVAKAVEEAVVVRAGEGRERRAAVGDEKEEEEAKGKKKERRLPPAAQLVRHPLALLALVPHSAALFAAGAAAGAAAKTVTAPLDRVKILMQTHSVRVAGETAKKGVGFLEAIADIGKEEGLKGYWKGNLPQVIRIIPYSAVQLFSYEVYKKIFRRKDGELTVFGRLAAGACAGMTSTLVTYPLDVLRLRLAVQSGHSTMSQVALNMLREEGLASFYGGLGPSLIGIAPYIAVNFCVFDLMKKSVPEKYKNRTETSLATALLSATFATLMCYPLDTVRRQMQMKGTPYNTIFDAIPGIVERDGLIGLYRGFVPNALKNLPNSSIKLTAFDTVKTLLATGQKELDKLIQENQEKTI >KQL08358 pep chromosome:Setaria_italica_v2.0:V:44961622:44963236:-1 gene:SETIT_004143mg transcript:KQL08358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVRTRPEAAAVQASPLASARSWSPEAEIGMRVEDIWGSLDVEQQHISHGDKLNSCFDSINVASFPHTFDGAQLVEIPSDATLAEAVDILSRNRIISAPVRNVDAPEDASWIDRYIGIVEFAGIAVWLLHQSEAAAKADVGADELAAKLGTVTLEGAAAAAANVREPKTAESEGAIAEVFGALPSSDLFNKTKVKDISGSFRWAPFLALQSSDTFLTMLLLLSKYRMKSLPVVDIGEGTISNVITQGAVVHMLSECVGLHWFEEWGTKTLSEIGLPIMRLSKIVKVREDEPALKAFRLMRRKGVGGIPVVDDSGRAVGSIMIKDVKHLLTASENRDYRTLTAKEFIANARQSSGERQMSIITCSRGDSVKDIIMKLDAEKRQRIYVINEEGNLDGLITLRDIIAKLVYEPPGYFGDFFNGVIPLPQNSRV >KQL08497 pep chromosome:Setaria_italica_v2.0:V:45719180:45722682:1 gene:SETIT_002456mg transcript:KQL08497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAVARHTHHHHHRGAPWRGRGQGERWRLRRGGIRCSSPAQEFAALAAVFRRRLVVGATTAAAAAVGANFGGVTSFLLGLSPELGRSLRLDVLYPVGGFSRCLDSDNGFEFIYPSSWVGDQTLLYREAKKAELQRSLDPPPLKNGRLSRPRNISEPVAAFGPPGSNGELNVSVIVSPVPQDFSIEAFGGPKDVGEVVLRRIARTRRSPDINATLIDAALREDAENVKYYKLEFRVESPSFRRHNVAVCCAKDGKLYTMNAQAPESAWKAVQEEFFAMADSFSLVNYV >KQL04788 pep chromosome:Setaria_italica_v2.0:V:11782786:11788370:-1 gene:SETIT_001527mg transcript:KQL04788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGHSSSSSKLINEKLEEHRISTAKHCPHCGEKIDSKPDWVGLPAGVKFDPTDQELIEHLESKVKDEGSRSHPLIDEFIPTIDGEDGICYTHPEKLPGVTRDGLSKHFFHRPSKAYTTGTRKRRKIQTECDVHKGETRWHKTGKTRPVMVNGRQKGCKKILVLYTNFGKHRKPEKTNWVMHQYHLGDLEEEKEGELVVCKIFYQTQPRQCSWSSERGAAAAAAVAAATAQEQRRKDSGSGSCSSRDHEVSATSFPAGYTVTTAVEMQQQPADHFSFVPFRKTFDQEVGIGGDQVPSNQLGRSEQHHAGQEQQPHRPVLATTAVPATAFLISRPSNPVSTIVPPAMQHASVVLDHDQFHVPAILLHHHDKFQHQHQQQQPQQKLDRRSAGLEELIMGCTSSTSTKGETSLPQSQETEWPYPYWPPDNQDHHG >KQL07181 pep chromosome:Setaria_italica_v2.0:V:38152353:38156470:1 gene:SETIT_000575mg transcript:KQL07181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSNTSWACLLLLCFASIGNAEYMKYKDPKQPINTRIKDLIGRMTLAEKIGQMTQIERQVASADVMKKYFIGSILSGGGSVPAPQASPSIWVSMVNEFQKGALSTRLGIPMIYGIDAVHGNNNVYNATLFPHNVGLGATRDPDLIKRIGEATALEVRATGIQYTFAPCIAVCRDPRWGRCYESYSEDHTVVQQMTDIILGLQGEIPVNHTKGVPYVAGKDKVAACAKHFVGDGGTHNGINENNTIIDEHGLLSIHMPPYYDSIIKGVATIMVSYSSLNGVKMHANHDLVTGYLKSKLHFRGFVISDWLGIDRITSPPGENYTYSVQAGINAGIDMVMVPYNYTDYIDDLTSLVHKGIVNMNRIDDAVRRILRVKFTMGLFENPLADLSFADQLGKKEHRELAREAVRKSLVLLKNGNPPNQQFLPLPKKARRILVAGSHASNLGYQCGGWSIQWMGSSGDITTGTTILDAIKSTVADSTPVVYSENPDESFMKHNDFSFAIVVVGEPPYSETVGDSTDLTILDPGPDTIRTVCSAVKCVVVIVSGRPVVIEPYVPLMEALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKSVDQLPMNVGDPHYDPLYPFGFGLAINSSLPGFSGVDSLGHAKQRVIYVVLGSLLSLVLINDLSTGLFQHPAALL >KQL08643 pep chromosome:Setaria_italica_v2.0:V:46447433:46456574:1 gene:SETIT_000118mg transcript:KQL08643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAYASAVVPPSNRSYKAWEDPSFFKWRKRDAHVPLRSQDTLEGALRYWHERRNVNYLNADTAVWNDDAVRGALESAALWSKGLPYTKSLSGYWKFLLAPSAESVPEKFFDAHFDDSNWEALPVPSNWQMHGFDRPIYTNTTYPFPINPPFVSTDNPTGCYRTVFHIPKEWKGRRILLHFEAVDSAFFAWVNGVPIGYSQDSRLPAEFEVTDCCHPCDSDKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQIFITDYFFKATMDENFSLADIEVEVEIDSHKQDREHVSTLSIEATLYDNSGPSISLDGDLSFANVVNLKPKPKTSRGPCLGFHGYVLGGKIENPKLWSSEHPNLYTLVVLLKDANGKLIECESCQVGIRNVVRAHKQMLVNGCPVVLRGVNRHEHHPRLGKTNIEACMIKDLILMRQNNINAVRNSHYPQHSRWYELCDIFGLYVIDEANIETHGFDENSHFKHPTLEPIWANAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHASMSGWIRERDPTRLLHYEGGGSRTSSTDIVCPMYMRVWDIIKIAKDPSETRPLILCEYSHAMGNSNGNIDAYWMAIDNTFGLQGGFIWDWVDQGLLKEDSDGSKFWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKISSADNMLKIENGHFFDTTEALDFSWVLQGDGCILGSGSLNVPTLAPQTSHLINMESSPWFALWSTCAVKEVFLSVNVKQRYHTRWAKDGHLLASAQLCLPQKNGFVPHAVAFSSSPLVCERTGDSVIISKNDAWKIKVNSQLGTIDSWKVSNVELMSKGIFPCFWRAPTDNDKGGFYTKPYVSQWREASLDNVSFYSSQFSVKELPDNTVELSTVYYGLPGNLPKPDDAALSQAPESTLFQVNMLCRIYESGDVVLEYEVNPKADLPPLPRVGVVFNAEKSLSHVMWYGRGPFECYPDRKAAAHVGVYESSVEDLHVPYIVPGECGGRADVRWVALRNADGLGLQASVHGESPPMQMSASYYGTEELDRATHVHKLVKGDDIEVHLDHRHMGLGGDDSWTPCVHEQYLLPPTRYAFSMRLCPLLPSSSCHDIYKSQLP >KQL08644 pep chromosome:Setaria_italica_v2.0:V:46447685:46457034:1 gene:SETIT_000118mg transcript:KQL08644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAYASAVVPPSNRSYKAWEDPSFFKWRKRDAHVPLRSQDTLEGALRYWHERRNVNYLNADTAVWNDDAVRGALESAALWSKGLPYTKSLSGYWKFLLAPSAESVPEKFFDAHFDDSNWEALPVPSNWQMHGFDRPIYTNTTYPFPINPPFVSTDNPTGCYRTVFHIPKEWKGRRILLHFEAVDSAFFAWVNGVPIGYSQDSRLPAEFEVTDCCHPCDSDKENVLAVQVMRWSDGSYLEDQDHWWLSGIHRDVLLLSKPQIFITDYFFKATMDENFSLADIEVEVEIDSHKQDREHVSTLSIEATLYDNSGPSISLDGDLSFANVVNLKPKPKTSRGPCLGFHGYVLGGKIENPKLWSSEHPNLYTLVVLLKDANGKLIECESCQVGIRNVVRAHKQMLVNGCPVVLRGVNRHEHHPRLGKTNIEACMIKDLILMRQNNINAVRNSHYPQHSRWYELCDIFGLYVIDEANIETHGFDENSHFKHPTLEPIWANAMLDRVVGMVERDKNHACIIVWSLGNESSYGPNHASMSGWIRERDPTRLLHYEGGGSRTSSTDIVCPMYMRVWDIIKIAKDPSETRPLILCEYSHAMGNSNGNIDAYWMAIDNTFGLQGGFIWDWVDQGLLKEDSDGSKFWAYGGDFGDTPNDLNFCLNGIVWPDRTIHPAVHEVKYLYQPIKISSADNMLKIENGHFFDTTEALDFSWVLQGDGCILGSGSLNVPTLAPQTSHLINMESSPWFALWSTCAVKEVFLSVNVKQRYHTRWAKDGHLLASAQLCLPQKNGFVPHAVAFSSSPLVCERTGDSVIISKNDAWKIKVNSQLGTIDSWKVSNVELMSKGIFPCFWRAPTDNDKGGFYTKPYVSQWREASLDNVSFYSSQFSVKELPDNTVELSTVYYGLPGNLPKPDDAALSQAPESTLFQVNMLCRIYESGDVVLEYEVNPKADLPPLPRVGVVFNAEKSLSHVMWYGRGPFECYPDRKAAAHVGVYESSVEDLHVPYIVPGECGGRADVRWVALRNADGLGLQASVHGESPPMQMSASYYGTEELDRATHVHKLVKGDDIEVHLDHRHMGLGGDDSWTPCVHEQYLLPPTRYAFSMRLCPLLPSSSCHDIYKSQLP >KQL07806 pep chromosome:Setaria_italica_v2.0:V:41894716:41897121:1 gene:SETIT_002103mg transcript:KQL07806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAVARRAGLLARARPGSRGRARAWERGVGSAPPAARRRLLAASVGVGEPLPAQSLGEVAVALEVREDEYEANSIVSSENLPPPDADEIGPVPEAKTVRVKFVLKKQCAFGEQFLVVGDDPALGLWDPSKATALDWSEHHVWTAKTDLPANKLIEFKFLLREASGHVRWLHGANRTLRITETTNTLVVHEDWDHAKKQSVYEEEELSIGEEDVISSEDLAGSNGTMLADNNIITDEYLGSESEAVVADAPLQGEMMVANETDQPQLALHKDETVLEELLEEVKAVAQNGSNSNDTTFCQEGALLANRPSILENDLAWAGKAMQQVLRILGFQIGTTKT >KQL04893 pep chromosome:Setaria_italica_v2.0:V:12885518:12886232:-1 gene:SETIT_003515mg transcript:KQL04893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSIAESSPKASRRTRKPPPDPFEEVDKRKQSYGMDGDGGADDFSEEQRRMVNLEDMKVADLRELARARRMRGYSKLKKGELIDRLKGVVM >KQL06558 pep chromosome:Setaria_italica_v2.0:V:34175575:34184159:1 gene:SETIT_000069mg transcript:KQL06558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVARDEGEDGKTTTEESSRNNAGAAATATATTRVPSSSLGMFRYADRTDAALMVVGTVAAVANGMSEPLMTLVFSAVIECFGAGDDATVLHRVTKVVMYYIYLGIGTAVASFLQVSCWTVAGERQSTRLRSLYLEAVLRQDIAFFDVEMTTAEAASRMSADTVLIQDALGEKVGKYIQLLTTFVGGFIIGFVRGWMLALVVLACIPPSILSFATVSRLRAQISGKRQESYGDAGNVVEQTIGAIRTVVSFNGEKKAVAMYNNHIKKAYKATLTEGIVTGLGIGCIFFVVFCSYSLAFWYGAKLIIGKGYTGGQVINVVFAILTGSMAIGNASPSISAIAEGKSAAQRLLEIINRKPNIDTTDTSGIVLEDIKGDVELKDVFFRYPARPEQLILDGLCLQVPSGTTMAIVGQSGSGKSTVISLVERFYDPQAGEVLVDGVNIKSLQLHWLRGKISLVSQEPLLFMTSIKDNITYGKADATLEEIKRAAELANAANFIEKLPNAYETMVGQRGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDVESERVVQEALNRIMVGRTTLIVAHRLSTIRSADCIAVVHQGKVVERGVHDELIKDPDGAYSQLIRLQQAHTKEMHGVPNTEGSGSIYKSRSLSLEQSIARDSPRNRGQHSFKNSNGLSGSDEPNRQVITDRQEHEESGDSKVPKKAPIRRLFKLNKPEAPVLLLAVIAAFVHGLLFPSFSIMMSGGIRTFYYPPHQLRKDSRFWALMCLLFAVISLISIQLEYFLFGMAGGKLIQRVRSLTFQSIVHQEVAWFDDPANSSGALGARLYIDALNIRRLVGDNLAILVQCIVTVIAGFSIAFASDWKLTLIVICVIPVVGSQNYIQVKFLKGFSEDAKVVSEDASQVVTEAIGSIRTVASFCAEKRVITSYTQKCEASMKQGIRSGTVGGLGFSFSNLMMYLAYALCFYVGALFVHEGKSTFKDVFRVYFALIFTAFGVSQTSGMATDSTKAQESTVSILAIIDRKPKINSISDEGVMLEKVDGNLDFRHVNFKYPFRPDVQVLSDFTLGIPARKTVALVGESGSGKSTIIALLERFYDPDSGTISLDGAELKKLKLSWLRDQMGLVSQEPVLFNDTIHANIAYGKQGEVNEEEIIAAAKAANAHEFISSLPQGYSTTVGERGTQLSGGQKQRVAIARAILKDPRILLLDEATSALDAEAERIVQDALDQVMVSRTTIVVAHRLSTVKGADTIAVIKDGKVAEKGKHESLVGIKGGVYASLVELHSKSA >KQL07255 pep chromosome:Setaria_italica_v2.0:V:38702819:38703502:-1 gene:SETIT_003384mg transcript:KQL07255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANHGAAAALLIASLLVSVALAGAAGARRPLNARIAVNRDASRAYVVKQQEDAAAAVPSLSCSKVHGVQEEETCFSVAQGAGLTQDDFLGFNPNINCAKVFVGQWVCLAATAGGA >KQL08649 pep chromosome:Setaria_italica_v2.0:V:46480622:46483220:-1 gene:SETIT_002999mg transcript:KQL08649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAKPVLRVAAICGSLRKTSFNRGLLRAAAEVCEDSIPGLRVDQLDISDLPIINTDLETDGGFPPAVEAFRAKVCQADCFLFGAPEYNYSIATPLKNALDWASRGKNCWADKPAAIVSAGGGFGGGRSQYHLRQVGVFLDLHFINKPELCVQAFQQPPKFDNDGNLIDDQIRERLKQVLLSLQAFTLRLQKD >KQL07174 pep chromosome:Setaria_italica_v2.0:V:38100405:38102111:1 gene:SETIT_004696mg transcript:KQL07174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFILGLSICRLSLTYKKNHLNLLLSSQPTSSLSGSLTPQATVPPMVTGEVWCRRCLLLQIARPRLSNVAHPSSSLPTIMPLQAKRAAAPQGASPSSAQASKATASSIATNPTWLSMPPAFVPNCSSPIVNSDPNATNAELGSHPPGGFLSYFQPSDYSHLQEVSAWLKNSNDPIKGNWKRNDQYWGAVTDMFNSTTPIDRIREVKQLKEQWHCVNRTVNAFQSSWIKAQHLRASGESDEQVMDKAMAFYEEDFEEGQFKLIACWKVLRDQPKWHAYNEDLNGSNKTKNSESEVVDLTSSPDVLNDLLRPVECKKAKDESKGKGKGKGSSSTLDEIDKLREGQAKSKEDRIEVLERYQQIAADKKESARPNHLAAQEKEEAKLLEKEGKMHDKESKLLETYKSLLTFDTRHMLEDLKAEHMIAVKSTRERIFTNFAS >KQL06723 pep chromosome:Setaria_italica_v2.0:V:35203511:35204318:-1 gene:SETIT_003595mg transcript:KQL06723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLCLRMSSVSRKLSPLKTLHDRVALVRSSGAKKSHVTGANKIVFLTYRYTSGQLDDLQMIVVLTVAASDRQQLIPR >KQL06948 pep chromosome:Setaria_italica_v2.0:V:36604706:36610701:-1 gene:SETIT_000500mg transcript:KQL06948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWLKVAEDLLEVVDRRAKIVATELSDEQSTSQPSGSNSQEVQAKKGKPREKGPLKLTSAAQRERKSRQPPRERMKIEKIRPSAPADSSSVDASASEPVIAPIDVKEMNIEGTLEKGEKVTNDLKTDGAGTVADTVVEVQPMEVNSEDAAPAADVVAHSRNSEIAVESSSSVLDEKSESSSSNQTAEIGPVVNLEERDSDVAVVQDRNVSELSNTEGTVKLQESKKENVSDSPESIENQQGQKSDSVSVKEQDQLEEAQGLLKSAVKTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVQEREKSSSYEAHIKQLQQEISMSRVEGSRAESNMFDALTAKNAEIESLVKSLDSWKKRAAASEEKLASLEEDMDGLKRNRELTETRVIQALREELATTERRAEEERIAHNATKMAAVEREVELEHRAVEASNALARIQRAADQSSSRALELEHKVAVLEVECASLQQELQEMEARNRRAQKKPSEEANQVLQMQAWQEEVERARQSQREAEAKISSLEAELQKMRVEMAGMRRDAEHYSRQEHVELEKRYRELTDLLYHKQTQLESMASEKAALEFQLEKSLKQFHEVQIEAERSKSTRRSASSWEEDSDIKALEPLPLHHRHMATANQQLQKAAKLLDTGAVRATRFLWRHPVARVSLLFYLVFVHLFLMHLLHRLQDFASREGTSGMGDLALANGNLP >KQL08568 pep chromosome:Setaria_italica_v2.0:V:46098861:46103067:-1 gene:SETIT_001029mg transcript:KQL08568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPRRSLQELPVADAAAADPDRARLHQLGYKQELKRGLSVLSNFALSFSIISVMMGVTTTYNTGLRYGGPASMTLGWLVVSALNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWAGTTSVDFSLAQLVQVIILLGTGGLNGGGYMASKFVVLAIYGAVLVIHGLMNGLPIQWLARFGHLGAFWNTAGVFVLVILIPAVAKERASSEFIFTHFNTDNGMGIHNKAYILAVGLLMSQYSVIGYDASAHMTEETKNAAWSGPMGLVTAVALSSVFGWIYLLALTSLVTDIPYLLDPGNDAGGYAVAQALHGAFHRRYGSGVGGLLCLGVIAVTTFLCGSACVTSNSRMGYAFSRDGAMPFSRVWYRVNKQEVPLNVVWLSVAVAFVMALTSLGSQVAFQAMLSVATVGNYIAYGLPIFFRVTAARKSFVPGPFHLGRYGLLIGWVAVAWVALVTVLFSLPVAYPVAEDNFNYTPVLVGGVLLLSVGSWVLHARFWFQGPITNVS >KQL08136 pep chromosome:Setaria_italica_v2.0:V:43728070:43731142:1 gene:SETIT_000794mg transcript:KQL08136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSRARALLLLRSSIPRSPPQQPPPHPARSLTRGPPPRLLSRFLSSSPDPIPDANSSSADPFPEASSPTAATPDDGTEAGEDSLSSMWEEAGDADDIFASPGGADAVADEEEVARVRDVVESTPEDKIASTLADMVVDFNEPLLAAVLLAADQCSCKKLISLFNYAAKNNPATKSLSNLEILVGKVADSDEIDKMDAYLLWDSVKEIGSVPGSLEKSKAALEVFAKFDEFGCTPDSNSYYLAIEAARKKSMFRSACEVCEKMIGSGCFPNCEKVGRILTFLCDGKKVKVAHSLYLAAKEKKIQIPKSALDFLVSALARNDETVGTALELLEEYQGESLKHAGKSFATVVHALCRTNKLEDANNLLTRMVQLEEYQGESLKDAGKTFATVIHGLCRKKKLEDAKKLLLRMVDLGPAPGKAVFNFVITALSKQGEMEDAKGLLRLMENEGVSPDIYTYSVLMSGYAKGGMIDEAHALLREAKKIHLKLNRVSYHILIRGYCKMEEFEKAIECLKEMRKDGLLPNVDEYDKLIQSLCLKALDWRRAEKLLEEMEDSGLCLKGISRSLIAAVKELEGEEMQSKSSLEA >KQL08135 pep chromosome:Setaria_italica_v2.0:V:43728070:43732544:1 gene:SETIT_000794mg transcript:KQL08135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRSRARALLLLRSSIPRSPPQQPPPHPARSLTRGPPPRLLSRFLSSSPDPIPDANSSSADPFPEASSPTAATPDDGTEAGEDSLSSMWEEAGDADDIFASPGGADAVADEEEVARVRDVVESTPEDKIASTLADMVVDFNEPLLAAVLLAADQCSCKKLISLFNYAAKNNPATKSLSNLEILVGKVADSDEIDKMDAYLLWDSVKEIGSVPGSLEKSKAALEVFAKFDEFGCTPDSNSYYLAIEAARKKSMFRSACEVCEKMIGSGCFPNCEKVGRILTFLCDGKKVKVAHSLYLAAKEKKIQIPKSALDFLVSALARNDETVGTALELLEEYQGESLKHAGKSFATVVHALCRTNKLEDANNLLTRMVQLEEYQGESLKDAGKTFATVIHGLCRKKKLEDAKKLLLRMVDLGPAPGKAVFNFVITALSKQGEMEDAKGLLRLMENEGVSPDIYTYSVLMSGYAKGGMIDEAHALLREAKKIHLKLNRVSYHILIRGYCKMEEFEKAIECLKEMRKDGLLPNVDEYDKLIQSLCLKALDWRRAEKLLEEMEDSGLCLKGISRSLIAAVKELEGEEMQSKSSLEA >KQL05457 pep chromosome:Setaria_italica_v2.0:V:24687954:24693057:1 gene:SETIT_000286mg transcript:KQL05457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGGGSSPPSASSPDARPSSPFPVTNSSPTQSTRRSGGRRRRGSASPYPSSPSLGGFETPPHPGRRTPSGAGAGAARQQRQNWTGRFPPTPSTPMSTDDIPPSSDAGDDETDGAGAGVDATPVFVWGTNISVQDVNAAILRFLRHFRDPRDAGRVDPVMDEGKYMRAIHRILELEGGESLDVDAHDVFDHDPDLYSKMVRYPLEVLAIFDIVLMDLVARIEPLFEKHIQTRIYNLKSSICLRNLNPSDIEKMVSIKGMIIRCSSVIPELREAVFRCLVCGFYSEPIMVDRGRVTEPHICQKEQCKATNSMTLVHNRCRFADKQIIKLQETPDEIAEGGTPHTVSVLMHDKLVDAGKPGDRVEITGIYRAMSIRVGPAQRTVKSIFKTYIDCLHVKKTDKSRLHVDTMDIDNSNANNSTEEDFLSDKVEKLKELSKLPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNPLKLPSGASFRGDINILLVGDPGTSKSQLLQYMHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDKGVCCIDEFDKMSDNARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPTESRYNPRLSVIDNIHLAPTLLSRFDLIYLILDKADEQTDRRLAKHIVSLHFENPNLEELEVLDLPTLVAYISYARKYIQPQLSDEAAEELTRGYVEMRKRGNSPGSRKKVITATARQIESLIRLSEALARMRFSEVVEVRDVVEAFRLLEVAMQQSATDHATGTIDMDLIMTGISASERQRRENLVAATRNLIMEKMQLGGPSMRMTELLEEMRKQSSMEIQLHDLRGALGTLMTEGAVVIHGDNVKRV >KQL06513 pep chromosome:Setaria_italica_v2.0:V:33923119:33923717:-1 gene:SETIT_003492mg transcript:KQL06513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPAVFLLVAVVVSLLASHAAADFSALAPCDVMQLNPCASAFAGKGSPTPACCARLKSHGPNCLCRFKDDANLKRLVDTRHKRRVFTACKVPVPSC >KQL03267 pep chromosome:Setaria_italica_v2.0:V:639445:646046:-1 gene:SETIT_000349mg transcript:KQL03267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVCTGGKRWHNHLNPAINKEAWTQEEEITLIHAHRMYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKVDSYISSGLLSQVPCLPLIECPSQCNSLSTMNLQNNGDSGCNAQNTNLALSCDLQENMDANKSEAQDSHSSMCQGTCYTSTEAVGSALPDGHHHLSSSFSQRLDLQMDVHEGSGNSMFVDEQTLCSTSNQENSMVPFGVAQEMPVSVLSSVSDAEQKLHLISDADSLKSELWKDVSLQTLISGDTVDAASSGLNQLPDTSKTDTNFLAQPYPLHTSNPSSVMETVYERSTLVTVSSSFLCLDSLPGVPGNRSEPMDMLDSEAEMITCSNNSFCDAEQSAKPGSSDDRPGDFTMIENTTNCRSQQSTDAEEAVASTAKEQLPKDIETVPDEKKGEGALFYEPPRFPGVDVPFISCDLVTSADLQEFSPLGIRQWMRSTMNVPTPLRLWGSPTHDESPDALKTAAESFPCTPSIMKKRHRGLLSPTPDKRIEKKSGIAKEMTDISYMITATCSVNTTKDEATVTESLVCAEQSSFKHLDKKLEFSDGNKENSDGAPEQARDAQNAGNKQLVDEGGQRCSSPNVANPNADLPDNSQPVGILVEHEHNCNDLIATDHGAKPESLSFCKEAVNSKSKPADLVVEKSSPCINADYEYVNLLADTPGVKRGLESPSAWKSPWYIDMHMSFQGFVSPADRTYDALGLVKQISKHSAAAAVEACEVLASGSRPSDAENKENTDDKEPGTRKSQTKIMAEARVLDFDEYSTPARTADKRLSSCLGRSVSSPILSSPNLRSFR >KQL05177 pep chromosome:Setaria_italica_v2.0:V:16944801:16945520:1 gene:SETIT_003488mg transcript:KQL05177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGKTGEPRAPTGDRGLCPPAGRRSGASAPLGGLALSGWRARLQGARDPQVTTSRARRAAARARGDKPTTSQPANQRTEIIGLCKLQNEEEKAD >KQL07791 pep chromosome:Setaria_italica_v2.0:V:41781910:41785855:-1 gene:SETIT_001160mg transcript:KQL07791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASRRFKPIEECCSEGRSEQTVAADLDGTLLISRSAFPYYLLVALEAGSVLRAVLLLLSVPFVYVTYIFFSESLAISTLVYISVAGLKVRSIEMVARSVLPKFYAGDVHPESWRVFNSFGKRYIITASPRIMVEPFARAFLGADKVVGTELEVGKNGKATGFMVKPGVLVGDHKKQAVVKELGDAVPDVGMGDRETDFDFMSICKEAYLVTSRKYSPVAKNQLLSPLILHDGRLVQRPTPLVALVTFLWMPFGFALALMRVYINLPLPERIVYYTYKLMGIRLIVKGNPPPPPKKGHPGVLFVCNHRTVLDPVEVAVALRRKVSCVTYSISKFSELISPIKAVALSREREKDAENIRRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKESMFHGSTVRGFKIMDPYFFFMNPRPTYEVTFLNQLPKELTCSGGKSPIEVANYIQKTLSGQLGFECTTITRKEKYSILAGTDGRVPSKNKEKEKN >KQL06456 pep chromosome:Setaria_italica_v2.0:V:33620000:33628348:-1 gene:SETIT_0000391mg transcript:KQL06456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSSPDASKSLSINTSELFLSSLASLPSPHAHPILTSASTPLPPVPAAVPPTALIPGSRFLIDAFRHAGDFSVAYFLSHFHSDHYGGLAPSWRRGLIFCSAPTARLVSSVLSVPPQLVVALDLGVRVTVDGWGVTAVDANHCPGAVQFLFSSPGPNTERYVHTGDFRYTESMTHDPYLLEFVRADAVFLDTTYCNPKFTFPSQEEAVDYVVNAIKHVKDESSAAGKRVLCLIATYVVGKERILLEVARRCGCSIHVDSRKMEILTVLGFGGENGVFTEDASVTDVHVIGWNVLGETWPYFRPNFVKMKEIMVERGYMKAVGFVPTGWMYETKKEGFAVRVKDSLEIHLVPYSEHSSYDELRDYVKFLHPKRVIPTVGVDAGKLDSKEAIAMQKHFSGLVDETANKQEFLMAFHRRSTDATHSCKDVAKCSILHDGEDAALLPAITSASEQLDTLRENITEEMKKELSDFLPSWVSEEQIMDLLISSGGDVVKAASDFFERERDFFEEANISCSETLKSEKKHTSDHGSSADGSSQQECPLFSQKPVEHSTKLVNLTPTGKKPNTPKKEKKRGSGTTNKPKKKGRLTSSTESGGRKQSTITNYFIRATAATSKSGTADKVTVKAHQNNVESDDQLTDIAKTQDQSVDQLLQIVDGGMSREYAVSLLEKAKGDVTVAVDIFYSSSENNVTAIDKNIALQNTQNETTDKCSNTDLACDSSQATPKVSNLHVQTSLAESDSANVSLPIEKYLPIEHACWAAGQPAPYLHLARTFNLVEKEKGKIKTTAAFCNMFRSLLALSPDDVLPAVYLCTNKISPDHENMELNIGGSLVVSALEESLGTSRSKIHEMYKTYGDLGNVAQECRQNQTLLAPPRPLSIRDVYSTLRKLSAISGSGSAGRRKILVLHLIRSCREMEMKFLVRTLVRNLRIGAMMKTILPALAHAVVFDRKCAGDPVVSLEGIQSQLQSLSTGVAEAYNVIPNLDLLIPSLLSEGTAFSASSLAMVPGTPIPPMLARITNGLTQSLKAFNGKSFMCEYKYDGQRAQIHRLLDGSVRIFSRQMKESTPRFPDLVNMIKELCRPEVSSFILDAEVVGVDRKKGNKLMSFQELSSRERGNKHSSIAIDNIKVDICVFVFDIMFCDGERVLQYSIVYALNPQFPFVLKLEDNFVIAPTVVFALDTLPWKCPLNAIKAVAYYLLRLQCRILLSHSKYKGFYTVPLLQAAGLSSSAKKKVYVSVLEDFCTYIHDLFQEKPGYFELAQQLT >KQL08050 pep chromosome:Setaria_italica_v2.0:V:43322443:43324397:-1 gene:SETIT_004737mg transcript:KQL08050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARALLLRIARCYPPLPTSSALSQPRPLPPPLLRRQAPSPLRFVPASSVSTSSDAPRDGGGGRKGEEDGAEGGGYVDYLGMSDEELMGQCEMGTFKASGPGGQHRNKRESAVRLKHRPTGIIAQAVEDRSQHMNRASALSRLRTLIALKVRRPINLEDYTPPVELLQILPLKSTIRGKDVGPQIGPNNSKFSPGMQALLDLLFAVEGSVSDAAKILGLSTGALSRLILSDDSLRTAANELRASKGLKPLR >KQL08641 pep chromosome:Setaria_italica_v2.0:V:46409929:46415390:-1 gene:SETIT_005064mg transcript:KQL08641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIIPGQRTAIFQRYPRPEKECQSFSLISHDRSLDIICKDKDEAEVWFAGLKTLISRSHQRKWRTESRSDILSSGATSPRTYTRRSSPLSSPFSSNDSIHKDGSDNYRLRTPYGSPPKNGLEKAFSDVMLYAVPPKGFLPSDSNAGSVHSMSSGHSDNTNGHPRSIPMDAFRVSYSSAVSSSSHGSGHDDGDALGDVFIWGEGTGEGTLGGGSSRVGSSSGAKMDCLVPKPLEFAVRLDVQNISCGGRHSALVTKQGEIYSWGEESGGRLGHGVDCDVSQPKLIDALSHMNIELVACGEYHTCAVTLSGDLYTWGDGTFKFGLLGHGNDVSHWVPKRVNGPLEGIHVSSISCGPWHTALVTSAGQLFTFGDGSFGVLGHGDRESISVPREVESLKGLRTVRVACGVWHTAAVVEVMAGNSSSSNCSSGKIFTWGDGDKGRLGHGDKEPRYVPTCVAALVEPNFCQVACGHCLTVALTTSGHVYTMGSAVYGQLGNPQADGILPVRVEGKLHKNFVEEISCGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNTPTLVEALKDKQVRSVVCGINFTAAICIHKWVSGVDQSMCSGCRQPFNLRRKRHNCYNCALVFCHSCSSKKSLKASLAPNPNKPYRVCDSCYSKLTKGLETDMHSSAKRAASVPGLSDTIEEDLETRSNAQLSRLSSMESFKHVDSRYSKKNKKFEFNSTRVSPVPNGSSHWSGLNISRSFNPVFGSSKKFFSASVPGSRIVSRATSPISRRASPPRSTTPTPTLGGLASPRVVANDGKPTNDALSQEVLNLRSQVESLTRKSQLLEVELERTTKQLKEAISIAGEETAKCKAAKEVIKSLTAQLKGMAERLPGGAAKNTKLPPLPGISIPNDISSVGTESLGSPSSSGEQITNGHNGLLASNGPSSVRNKTSHAEVGKNGSRLPDAESCHEAEWVEQDEPGVYITLTALPGGARDLKRVRFSRKRFSETQAEQWWQENRARVYQQYNVRVVDKSTASVDNDIPSN >KQL07015 pep chromosome:Setaria_italica_v2.0:V:37067104:37069897:1 gene:SETIT_000304mg transcript:KQL07015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKKHLDRGGGGGGGGGTELFICFTSRPSAASAASVAAGGAPSSLRPSNSSKLLSPGRGSAGAGAEAVPAPPLHPSLSRRLRNSGSLKGGQSPMFPSGSTGGGRRGRGGFEPAEPSSPKVTCIGQVRVKGGKRKAKHASAAALRSRSRRGGVGGGGSAEASFRRAGDDRDGPQGKNQGWVYQIPVNICEALKTFGSCGGRSLCSPSRPGGASERGALSADTHGGKKRRQRAPAGGSWLCGAAVARCLMAIQEEEDDEVGKGAAVVPAEEMRTSEVGLVMEGWDVEEEEKAVMIGEVDVEKKDEILVVGKEEEGRVSVCIPPRNALLLMRCRSDPVRMAALATRFWGSPAAATVEQMDNEVAGGVNDDEEEEEDEEDEAEAAEPEECRDEARRSAVSAQEVDCGECGGGEAGETNQAQAEAEESSKCGDLVEEEKDGSRTVVVEEAQIVRKDAALEVSLGEDTVAENQGPGVVELVVNKEEDAPAQEKVEEEVKGRRSISNYSPSAALKEDRNKLRRLSSRRRVSTSSRASSASDRVGRRHSFSAETEARRSSFSSLKDSRRASFSIDRDGRRWSFSIEQEHLVAEPKVLMASRKGKKNSSEQESEKDCAVVVAPNSAEEGQESCDDVKEEETTKNGEEGETRDGETNQEVEKVETRAEDGEAGQVVQRRKKSGELPDCLLLMMYEPKLSMEVSKETWVCSTDFVHWKSYQGKNNRNRRPQKASACSNDATTATGEPEDKENAEGSTVADDTQESKDPSAVNLSAAPMPPPVAQKAPLKPATTEQKMNLELPLVTNAAAYAPFVLKRCKSEPMRSSARLAPDACFWKDRHRPLNATGVGF >KQL08556 pep chromosome:Setaria_italica_v2.0:V:46029044:46030915:1 gene:SETIT_002779mg transcript:KQL08556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEFLVRHPQLPPDTRTSGNATDKANAGTALRETSRRSGRAPLRPWRGASSLLLSGRQRASARARHRRIPASLFGSPPGRWEVAGGGVASWSCGPAGRRAPTSSSWPSCCRSPSSSAPSSPPPASPTTSTSASCGRRSRSRQIIQEDACVNSEQNINAYICTNAQMEINKAIMEENEASDEEEDDDREYDEEDDEAGEDDEQAPVEEKEPVVVGAAAAATRTRNRPRRQV >KQL04682 pep chromosome:Setaria_italica_v2.0:V:10841721:10842745:1 gene:SETIT_0017262mg transcript:KQL04682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGLGDAVEGVMRIQLGMLVAMGRGNAAAAVVMRIEQDLWVARGRGKMAAAMDALACTVAVGAEWGMEGNVEQAGVAVGGELAREEAVNAEAVMAVEMAAVVMVAVAMVAVAMVEVVMAEEEMAMAGVVTAEAGTAAAVMVEVAKAGARMEAASGAD >KQL04924 pep chromosome:Setaria_italica_v2.0:V:13086416:13087471:1 gene:SETIT_005004mg transcript:KQL04924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSASADFSTSGHGTPVRRRRRGVRLRLRRRRSPTPSSIDATTQELARSFLPYPDPDDAIGFYVQVAPLPVFRGHPSECPDAHVARFDRVRRANGGVTPAAAARIFPASLDGDAALWYDLTTSGAGDDSPPPPWHAVRAAFLDFFRPPGAADRARAELVALRQGPGEAINRYHLRMQGILRRCSDLGADIPDALLKAAFVGGLRTEFQDWVAPQRPAALDEAVALALSWERAESVREARRAATSARAAVERCSFCGMEGHEEAQCEVRMRMRELWRRCSGGGGGRGGAIVTAEDGERDEEGGGSMALARLGSAVSTRSTQCQCRKHQCGKKPVAASEVAGGGDVDGMASDK >KQL08600 pep chromosome:Setaria_italica_v2.0:V:46273379:46275499:-1 gene:SETIT_000512mg transcript:KQL08600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHDLARHVAGDELSCTNGVANSTTKAGKLICHYQLLMNSNESSSSKSLPPKVRAMHFRECNKLHLPKQAFSHALYLRVLDLSGCHVSELPGSVCKLKLLRYLDASNLPIPNFPKSLNRLLNLQTLILSNTSLKALPTNVGCLQKLQYFDLSGCVNLHELPTSFGNLSALLFLNLASCHELPTLPESFGKLHKLQFLNLSDCYKLHSLPESCCQLHDLTHLELSDCHNLEKVPDCIDQLSKLEYLNMTSCSKVQMLPESLCKLMMLKHLNLSFCVKLRHLPASIGVLRLQSLDFQGCFFLVGLPDSIFNMSTLVHVERGFFTFDIRSEVDKLRKQLNLERSCELDGRGDLWSQILDLEKTPCLELQIKDLQNVKNLEGADQAKLFNNSNLRRLSLSWQHGQSSMVEHADASVDKSVLEKLVPPRNLRHLGLDGYMSVDFSRWMLDLPSYPPHLTTIVLLNLKGCSHLPPLGRLPNLRALCLASMPNLKREFYGDHGSCWKLRMILLEGMDNLAGWWTTRTSNEDEEFLIPNLHLLFASNCPKLKFLPYPPRSVTWVVQNSDHVFAEHGFGNLSSITSPCFLLIAAWHRARYLCSIDNLALGPLTGLTTLPEVIRCFISLREFNVEDCYDLETLPEWLGDFTSLREIQIVSCPMLSSLPESIQRLTELKKLRITDCPALSEKCQGEDKHKIAHIPEVEFE >KQL08755 pep chromosome:Setaria_italica_v2.0:V:46951255:46953823:-1 gene:SETIT_001963mg transcript:KQL08755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPRWTEEVDDLVDAGDVDGAVALLESVVSNLSTSAAAPSAADLRLATALGDLAGLHASRGDTLRADELRARAIALRSRAAAPGALGDQEPTEKCSSQEGAMGSKDSEVSANTEQNNEAEEDDWEAIADSGALDGTLVHSLEQEARVPSCSSSEKSSSTSSGPKRRGRGSFLYDKSVLYSDQCGSERDLDDKESSPQSGSKDHVNEQENSAVTAAAQLGTRHVLVLYDFSPSTRTIDLERIFEKFGDHGVAIRWVNDTSALAVFRTPSAATEAQSCIPPRYKVRSMKENDDLLTKIDGRDLEPPKPRPKTSARTAQRLIAHGMGLKQFTNFGSDELKKQEEERKNRIAARQAMRDEAWGSD >KQL05004 pep chromosome:Setaria_italica_v2.0:V:13980844:13981220:1 gene:SETIT_005550mg transcript:KQL05004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCFLGLLQLMMMLFDSAAFYFFLYIVMYRILLADLKLTCSSISM >KQL05942 pep chromosome:Setaria_italica_v2.0:V:29367009:29368517:-1 gene:SETIT_004437mg transcript:KQL05942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAALRAWSSIMVVVVVLAVGARWPSRCRAEFTVVVPDTSAAALVDAPQTGFSDRARTDPAEQRAVQEVMAATGNGWVWGIPDVCRGRWHGIECVPDRHDVYHVVSLAFGALSDDTAFPACDAATATLSPSVLALPHLRSLFFYRCFTGNPQPIAALLGRLGPAFRSLVLRQNGHVGPIPAELGNLRALRVLDLHGNQLTSAIPATLQSLSHLQMLDLSYNRLAGPVPHFKFQRLSVLDLSHNAVQGPVPASLGQCRSLLKIDLSQNRLEGTIPDTLGDLSELMLLDLSHNALSGPIPAALSRLSSLRSLILSDNRMQFTTVPGDFFSGLKALTTLVLSGMGLSGTIPESIGELSELRVLRLDNNQFTGVIPATFRRLERASELRVDGNRLVGPIPFGKEMLWRLGKKLRVGGNEGMCYDTKQEGLEGVVSLAGVADCDSVRSRTTQHLVWINGTVGGRPRSVDRVATSAASGSRYPGAARVGSGFVFLSLHLAWFAAFVL >KQL07077 pep chromosome:Setaria_italica_v2.0:V:37527334:37530790:-1 gene:SETIT_004904mg transcript:KQL07077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSRPPPRTQDFFPAPALSLSLAVAFGRNEPAASGGEGVEAGDEGDGGIRLRQGEAAEISSENTGPGSQSGGAWSGGEEAAGHGDGGGNKRRKSYHRHTAEQIKAMEAVFRESPHPDEKQRQQLSQELGLSARQVKFWFQNRRTQIKATQERHENSLLKSEMEKLQEENRAMRELVKKSPRCPGCGAAAASTEEQQLRLENAKLKAEVRMRHQVTNSFASAVSVCAWMQTDIDTVQTIQIERLRGTPGNTTTDAVASPASPSCSAQAIQIKSRSSVEGDVHGGGLLGRDKTSILELAGRALHELATMCSSGEPLWVRSAETGRDVLDYDEYARLFQRGDVPGDQRPGWSVEASRETGVVYLDTTRLVNAFMDVNQWMMLFPTMISKAATLGVIQVIENDDQDGVVQLMFAEVQTLTPLVPTREFNFLRHCKKLTADKWAMVDVSVDDVEPEAQTTSSTACKCLKKPSGCVVEEQSNGRCKVTWVEHATCRNAAVPSMYRSAAASGLAFGARRWVAALQLQCERMVFSVATNIPARDSSGVATPAGRRSVLKLAHRMTSSLCRVIGGSRDLAWSRPASNRGGGQGDGVRMTSRRNIGDPGEPRGLIACAVLSAWLPVNPAALFDFLRDESRRHEWDVMLPPGRPVQSCASVAKGKDRRNCVTAYAARSPAGEQGSEWILQDSSTNPCECTVAYAPVDAAALRPVIDGHDSSGVALLPCGFAVMPDGLEPRPSVITSRKGEEESRAAAEAGGSLVTVAFQALASSSPTADDALPPDSVEAVAGLASCALGNIKKAMRCEDC >KQL03385 pep chromosome:Setaria_italica_v2.0:V:2104850:2105753:1 gene:SETIT_003494mg transcript:KQL03385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQQQEVYFVFMNFDPVYERLRADRSKEGSATLDAYLSHKHDKLLAKLLQPDSYRKRSSLAIVDGFAVEITEDQANVLRSAKEVRVVEKNQELA >KQL06298 pep chromosome:Setaria_italica_v2.0:V:32426336:32431331:1 gene:SETIT_001978mg transcript:KQL06298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQARVRLPPAGPTPATAFLSGSNPRPAHLPFSSKRAPASLSAVAATNAPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLALGPTYLVARVGDDANGRLLEGALADAGGVRTDRVARAPDAPSGHAVVMLMPDGQNSIIIVGGANMEGWAAGIGAEDLELIQKAGVLLLQREIPDWVNVQAAQAAKSAGVPVIMDAGGMDAPVPGELLRLVDIFSPNETELARLTGLPTESFEQINQAAGQCHKMGVKEVLVKLGSQGSALFVEGEEPIRQPIIPATEVIDTTGAGDTFTSAFAVALVEGKPKKECMRFAAAAASLCVRVKGAIPSMPDRKSVMKLLESVQVE >KQL06299 pep chromosome:Setaria_italica_v2.0:V:32426336:32431721:1 gene:SETIT_001978mg transcript:KQL06299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQARVRLPPAGPTPATAFLSGSNPRPAHLPFSSKRAPASLSAVAATNAPIVVVGSANADIYVEVDRLPLVGETVAARAGRSLAGGKGANQAACGGRLALGPTYLVARVGDDANGRLLEGALADAGGVRTDRVARAPDAPSGHAVVMLMPDGQNSIIIVGGANMEGWAAGIGAEDLELIQKAGVLLLQREIPDWVNVQAAQAAKSAGVPVIMDAGGMDAPVPGELLRLVDIFSPNETELARLTGLPTESFEQINQAAGQCHKMGVKEVLVKLGSQGSALFVEGEEPIRQPIIPATEVIDTTGAGDTFTSAFAVALVEGKPKKECMRFAAAAASLCVRVKGAIPSMPDRKSVMKLLESVQVE >KQL03826 pep chromosome:Setaria_italica_v2.0:V:4830180:4832633:-1 gene:SETIT_005579mg transcript:KQL03826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVILKSFPTHAIFGEENGWRCVENSADYVWVLDPIDGTKSFITGKPLFGTLIALLHNGKPVIGVIDQPILRERWIGVDGKQTTLNGQEISVRSCNSLAQVYLYTTSPHLFEGDAEDAFIRVRDKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGSITDWRGGKLYWPVTADSRPTSFNVVAAGDARVHKQALDALQWR >KQL03825 pep chromosome:Setaria_italica_v2.0:V:4829863:4834114:-1 gene:SETIT_005579mg transcript:KQL03825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSTNRLLMRCSGASLLLMQSLQFTGDKTNNKLHLYACPQSRPCSNNPQHGCKL >KQL07265 pep chromosome:Setaria_italica_v2.0:V:38779778:38785684:-1 gene:SETIT_000299mg transcript:KQL07265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPQPRLLCVLLCLLSHLAAGHAIARDTITPGSPLAANETLVSGGDGNFAMGFFTPPGANSTYLGVWYNKVSLRTVVWVANREAPIAGTVEDNPGATLSVSAGGTLAVAAGNRTVVWSVQPAVRLASPTARILDNGNLVLANGVGGAVAWEGFDYPTDTLLPEMKLGIDYVKRKNRTLTSWKSPSDPSPGPVAMVMDTNGDPQVFIWNGGEKVWRSGPWDGFQFTGVPDTATYSGFTFSFINNAQEVTYSFQVHNASIISHLGVVSTGNYGLLQRSTWVEAARTWNLYWYAPKDQCDAVSPCGPNGVCDTNNLPVCSCLHGFTPKTPSAWALRDGRDGCVRSTPLDCRNGTDRFLTVRHAKVPDTERSVVDMSLTLDQCRLACLRNCSCTAYASANVSGGGRGAGAGNGCVMWTTGLTDLRVYPDFGQDLFVRLAAADLEESASKSRKARIIIAVGASVAALVFLLAVAGLLIWSRRKRLRRTAGSSKWSGSRSTGRRYEGSSHDDDLELPIFDLGTIAAATDGFSINNKLGEGGFGPVYKGKLEDGMEIAVKTLSKTSAQGLDEFKNEVLLIAKLQHRNLVRLLGCSISGQERMLVYEYMANKSLDYFLFEKANVVLDWQVRYRIIEGITRGLLYLHQDSRYRIIHRDLKAANVLLDKEMTPKISDFGMARIFGNEETEINTRKVVGTYGYMSPEYAMDGIFSVKSDVFSYGVLLLEIVSGRRNRGAYSCSNNQSLLGHAWSLWNEEKSIELADEKMEGSFNPDEVLKCVRVGLLCVQENPDDRPLMCQVLLMLASPDAASLPTPKQPGFAARRVLMETDTSSTKDCSVFDSATITMLEGR >KQL08624 pep chromosome:Setaria_italica_v2.0:V:46339223:46344238:1 gene:SETIT_004027mg transcript:KQL08624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEGLLASAAINLVLALVALSLFSLLKKQPGTAPVYRPRRMAAGDGGAGLLPLGHGRLTPSFRWIGAAFRLSEEDVLRRHGLDALVVIRLFKFGIKCFTVCSIVGVLILAPTNYTSEGRADIKRSNSMELFTVTNVTRGSDRLWVHFSCLCFISFYVVYLLHKEYNEITRRRIEHLKYHCKRPDQYTILVRGIPTCPDHGTYGCYVDHFFSKHYQTYQSYQIVHDIGNIEALQKLAYSIDKQIKRKRETRKCNIWQWIWFKFTSEAINIQRQEQKLKDVLLAIRLLQCENTLKQKEVPVAFVTFKSRVDAVHAAEMQLLGNPLSLVTTYAPEPNDMIWKNLAIPFWRMAMYKLGVFVAAFLLTVFFTIPVTAVQGIVQFNKIKRWFPPARALQLIPGLNSVVTGYLPGMILNGFIYLVPFAMLGMASFEGCIANSQKEIKACNMVFYFLLGNVFFLSILSGSLLDQLGESFTHPKDIPSRLASAVSAQSDLFITYILTNGMSGFSLEVLQFGLLTWQFLKAHSLGHGKEPYLYGFPYYRVVPIVSLAILIGVVYAVVAPLLLPFLLIYFLLGYAVYINQMEDVYEITYDTCGQYWPNIHHYIFLSVTLMQITMIGLFGLKSKPGASFATIPLLVFNILFNEYCKVRFLPTFHHRPVQVAKQSDELDEAEGTTGNLDGAISAYKPPWMRPTNLESGSVRPLTV >KQL04019 pep chromosome:Setaria_italica_v2.0:V:5886762:5887453:1 gene:SETIT_0016581mg transcript:KQL04019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIDLATSLAKVADVERNLGNESAAVEGFEEAIQCLEKLKLDSEQANLEQRRLSVLDFLHNQLADK >KQL04020 pep chromosome:Setaria_italica_v2.0:V:5886762:5887453:1 gene:SETIT_0016581mg transcript:KQL04020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIDLATSLAKVADVERNLGNESAAVEGFEEAIQCLEKLKLDSEQANLEQRVAIIDPHYFSSHPCASICCSTVTFTCILQRLSVLDFLHNQLADK >KQL07963 pep chromosome:Setaria_italica_v2.0:V:42838389:42838919:-1 gene:SETIT_003815mg transcript:KQL07963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKKMKDQLEQQFPIKLPYNKVWEGRQCALEGLHGTWEDSFKTLWSFKVELEATYLGSIVEIDCKKKKDGKDYVHEYYSLEHFKTTYQFEVNPMVDMTQWPVVDPGFEMLPPKLERAASRCKVKRINSRSEPG >KQL06461 pep chromosome:Setaria_italica_v2.0:V:33659427:33660122:1 gene:SETIT_002956mg transcript:KQL06461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFASCTLATAAGTGRGARVVLPDGRVRQVPLPATAAELMLEAPGHFLADARALRPGRRIEALPADEALVRGALYAALPMKRLGAPVAPADVARLAAAVVASGEKARAARRRMRPASSPAATAKVAAVVAPPEVLEVAAAAAASLLETDAPKTRAPRLEEMAVDDAEAAAEIEELKQRLSGGGRRSRRPTLETIQEESYAAARC >KQL03653 pep chromosome:Setaria_italica_v2.0:V:3692875:3701372:-1 gene:SETIT_000748mg transcript:KQL03653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRDGRVVCGCGSYRAARPRALLFGQACAATPLLYRGGISGCGLAHASLDRSAFNLMQMPDFYVSSDPGSSGTVASPEPGPSACAASYRTDLTSSRPEGERGCSYGLGMAGGEDGTAAAPLLAAAGERRCREGCPGCRVEEANKARAGIPYRNFLYIWVVCLVAALPIQSLFPYLYFMIRDLKVAKEEQDIGFYAGFVGATYFLGRTISAVPWGMFADKYGRKPCIVISILSVVVFNTLFGLSTTYWMAIVTRGLLGLLCGILGPIKAYASEVCRKEHQALGISLVTSSRAIALVIGPAIGGFLAQPAKKYPNLFSEESIFGRFPYFLPCFVISVLAAGSCIACIWLPETLHFHNDDKVEAIDELEAQAGDSKLEAGNAKESRGESTKSLLKNWQLMSAVTLYCIFSLHDTAYLEIFSLWSVSSRKFRGLSLTSQDVGTVLAISGFGILVYQLAIYPFLAKYFGPIKPFRPAAVLSILLLATYPFMANLQGLELKILLNIASLLKNMFAATITVACNILQNTAVTQEQRGVANGISVTLMSMFKAVAPAAAGILFSWAQKHLSGLFLPGDQILFLMLNMVSVIGLVLTFKPFLSLSNVMRRS >KQL04233 pep chromosome:Setaria_italica_v2.0:V:7422885:7428513:1 gene:SETIT_000329mg transcript:KQL04233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQRRLKNRKPKLIDKIELLEFSLGSCPPTLGDEGMRWITSGDQQVMCLGFDWNSHEMSVMFLAKLAKPLIGTCRIVINSIHIKGDLLLSPILDGEAILYSFESTPEVRIGVAFGSGGSQAIPGMELPGVSTWLVKLLTETIGKTMVEPRRLCFSLPAVDLRKEAVGGVLSVTVVSASNLCKSTANRQSSNGGTMPGIADNKVSQTFVEVEVGNLMRKTSTSKGLNPTWNSTFNMVLHGETGIVKFLLYELDSGGVKFNFLTSCEIKVKYVHDGSTIFWAIGHNSGVVAKHTQHCGQEVGMVVPFEDINGELTVSLVLKEWQFSDGSVTLSNSPSNGHQSPFDGSPNLQSVTGRRLRVRVVEGRALTANSKSGKCDPYVKLQYGKALYRTKTLSHTVRPVWNDKFEFDEIAGGECLKIKCYNADIFGDESIGSARVNLEGLLDGANRDVWVPLEKIDSGEIRLEIEPIKNDHNNSMQSSSSNAGAGWIELVIIEARDLVAADLRGTSDPYVRVQYGNKKKRTKVIYKTLSPQWNQTFEFPETGEPLTLHVKDHNAVLPTASIGHCTVEYSMLSPNQSAEKWIPLQGVKSGEIRVKIARRVPMSDSEKKTTLGTDPSGKGHKIATQMRDSLKKFTGLIDEGGDPEALSLAVTEMEGIQGEQEEYIEVLEREKAMLLHKIHELGSEIIRTSSGPPRTPY >KQL04232 pep chromosome:Setaria_italica_v2.0:V:7420703:7428513:1 gene:SETIT_000329mg transcript:KQL04232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKKKLKKLYGKDAREFFNQVMVEQPLLPFLIPLGLFAWFVERWVVPFSNWVPLAAAVWATIQYGRFKRRITVEDLNKRWKHLILNTTPTTPIEPCEWLNKLLTEVWPNYMEPKLSRRFQSTVERRLKNRKPKLIDKIELLEFSLGSCPPTLGDEGMRWITSGDQQVMCLGFDWNSHEMSVMFLAKLAKPLIGTCRIVINSIHIKGDLLLSPILDGEAILYSFESTPEVRIGVAFGSGGSQAIPGMELPGVSTWLVKLLTETIGKTMVEPRRLCFSLPAVDLRKEAVGGVLSVTVVSASNLCKSTANRQSSNGGTMPGIADNKVSQTFVEVEVGNLMRKTSTSKGLNPTWNSTFNMVLHGETGIVKFLLYELDSGGVKFNFLTSCEIKVKYVHDGSTIFWAIGHNSGVVAKHTQHCGQEVGMVVPFEDINGELTVSLVLKEWQFSDGSVTLSNSPSNGHQSPFDGSPNLQSVTGRRLRVRVVEGRALTANSKSGKCDPYVKLQYGKALYRTKTLSHTVRPVWNDKFEFDEIAGGECLKIKCYNADIFGDESIGSARVNLEGLLDGANRDVWVPLEKIDSGEIRLEIEPIKNDHNNSMQSSSSNAGAGWIELVIIEARDLVAADLRGTSDPYVRVQYGNKKKRTKVIYKTLSPQWNQTFEFPETGEPLTLHVKDHNAVLPTASIGHCTVEYSMLSPNQSAEKWIPLQGVKSGEIRVKIARRVPMSDSEKKTTLGTDPSGKGHKIATQMRDSLKKFTGLIDEGGDPEALSLAVTEMEGIQGEQEEYIEVLEREKAMLLHKIHELGSEIIRTSSGPPRTPY >KQL05936 pep chromosome:Setaria_italica_v2.0:V:29237472:29244057:1 gene:SETIT_004258mg transcript:KQL05936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPISASLGAIGSLIRKLDLLLESSEEVNGCRPRSHKGAKYGMHLLRDDLEEISSYLQDLSELEDPPLIAKCWMKEARELSYDIEDYIDRFVFSGHVASIKTRCRRISHIKIARLVETNKKLIRRKRVAAMISDFRIYTQEAIERHKRYELDCSNFRRRFEPAGRLPTTYEEKADIIIHCGMTKFMDSLDNGRDEQLKVVSVVGSGGIGKTTFVTVLYKKLKGHFDCGAFVRLTRKPDIKTMLRDILTQVQRQQPHHDHGEDPDLIGKIREHLQHKRYLIIIDDLWSASIWDVISHAFPGGGMCSRIIATTQIEDVALACCCYHSDYVFEMRPLDDDLSRKLFFNSLFCSESDFPHKVKEVSNKIIKLCGGSPLATTIIARLLASHPVMLMEQWTYIYDSLSFNLRTCSTSEGLLKLIVNFSYNNLTHHLKTCLLYLSMYPDGCMVCKDDLVKQWVAEGFIDATGGREVGIAESYFHELIARRFLQPADIRYNSEVVSCSVHDVVRDLVVQKSLEENFIMVLDCYRRNVSLSEKVHRLSLHFPHAKYARTNIRSSQVRSLAYFGLSKCMPSIREFKLIRVLNLGLSGHEGGDDTIDLTGISELLQLRYLKVASDVCIELPKHMRRLHYLETLDIGTKITHVPWDIIHLPCLLHLHLPFETNLMDWISAIPASVWSHGKLTNLQYLHLTCSIPVPDHLQRNMEVLGSLLGGHGNLKTLALILGSAHKNVVHSPSEVTFSWDEFAPPLLERFEWLPHIFTFSRVPKWMGKLGNLRILKISVRELLRDGVNILGELSALTALSLYLQMAPVKRIVFDKAGFSALKYFKLICSAVPCLKFEMDTMPNLKRLKLGFSAHRVDQHGTTPISIEHLPGLEEISAKVGNATSSDAETALTAAVSNHPRNPRIRVQLVDWNFCGDGVSYMISKQKEPWTLEEDEILEEGLDKILDHI >KQL08098 pep chromosome:Setaria_italica_v2.0:V:43538255:43538905:-1 gene:SETIT_003110mg transcript:KQL08098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGIIGGLTGNKNGRLNGSVVLMRKSVLGFDVTSMGATVIDNIGEFLGRGITCQLISSPVVDPNNGNRGKVCAEASLEQWLTSLPSLTSSESKFGVTFEWEVEKLGVPGAIIVKNNHASEFFLKTITLDDVPGRGTIVFVANSWVYPQSKYRKDGSAMAMRSRAGRGHTGSD >KQL06843 pep chromosome:Setaria_italica_v2.0:V:36000531:36000890:1 gene:SETIT_005380mg transcript:KQL06843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILAEPDLVTIFLALCLQCVWMLSVCLVLVTQS >KQL05989 pep chromosome:Setaria_italica_v2.0:V:29834272:29836497:1 gene:SETIT_001027mg transcript:KQL05989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGAVLQPWSLLCSAGALVALWWAWRVLESTWIVPRRLGRALQSQGLPGTAYHFPFGDLKEFARLAAAARAKPMPLSHDITPRVHRLYYNIIREHGKISVTWLGPTPRVIVDDPKLVREILANKFGHFRKRKHNGLVKRLANGLVSHDGDKWAAHRKIINPAFHMEKLKKMLPAFAACANELVTRWEGYVESDGSKEIDVWPEFQNLTGDVISRSAFGSSFSEGRRIFQLQSEQAQNLVKMMNTLYLPGFRFLPTQLNRRIKANAREVQELLRGIVGKRERAMKKGHANNDDLLGVLMESNIAETKQAGNSKPIMTMDDIIGELKLFYFAGMDTTAVLLTWTMVALSIHPEWQDRAREEVLRVFGKNQPDLDGINQLKTVTMILYEVLRLYPPVVQLDRQTYKEMELGGVTYPPGVILSLPIVFIHHDKDVWGEDADEFRPERFADGISRASRDSPAFFPFGWGPRICVGQSFALVEAKMALSSILQHFYFGLSPSYTHAPFPVSTLQPDHGAQIMIKRL >KQL06779 pep chromosome:Setaria_italica_v2.0:V:35558129:35559105:-1 gene:SETIT_002464mg transcript:KQL06779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEISTLQFGLGRSRLISDSELQSRSQTINLLTTKRSLFTEATHIHIPKNTSRFRLTPETQKASHRSPYSSCWQCGHAHRRNLTTEVELLHRIEEGVVGALDLVLEVVHLDLVVLELAPAPSGSGLPLADEALVRAADGGLGVVGGLARRLDEPAVGRGGALDLHGARAGLALRVHQAAVDGEHPPVLATLATHRAGLPWLLWGIAQCATARARPVPWRSRVRVRVRVSNLASKSLTAVPRTDAGERERRLKLGLLYEILLGQAEVWMLGLQNRYFLVSASVRTKLH >KQL04401 pep chromosome:Setaria_italica_v2.0:V:8756746:8761705:-1 gene:SETIT_000432mg transcript:KQL04401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHHQDSAFYGKELHGCRWGILQFFGFRGRLRSMKMLADKKHGQRKSSGGGRRRSCYAPLKNEDSGTMDDEKNTEIRKKQKASKKNSGKASLRSFILKKLYGKEGQKEKMLPVAPKLLRTISIHYLESNEYVIDGESASSGDGSSHSTKLSLQNATDTNLRHSTSSIPDGCVSDLSSSLLLKRDDSRVKRKSHRSISMDGVLHKVPYGKKVCGDIISEGLPRSASATYDRDGLKPYIGTAAKRHVNQGFRRSRSLNESLEDYSRLLDAISSSESKRILTSSKSTRDHSLDAPGVMTSLQRASEVELRSQGLGRLDENPVTAEDALAPHVQEKTDADGDAEVTMDDSSGDVVAGDPEKPAFLEEHMNEEKGDVAVSAQEDSYIVPSPSEVVDTSEEQAATCDNNDQVPSSAEFELCAAHSMSEEVGILEEHVETCHDAQIHSSVQADSCTTLLSEYTNIAEEQTPTSQDNQMHAFQIQKSIKGTSCVPDPSHEFEADISLSCKQESESPISVLDVAFSDDPASPMKYTLLDDTSLKPRILHLNDADVSVDTDIVQESDFGNLNGFEVDPIHEAEFNYVKDIFKKSSFSNEILLDEWYAQNIGALQEEDCQHYEAAAAVCDFTDISTDQLLLFDLTNEALLDIYKKYSVSKSRFSWFSSSGRPKPVGHRGLKELWSRVSCRLDERPWSSIEVDSILSKDLAKSDHWMNFEKDADNMGNKVADFVFDKLLTELVLQLAEF >KQL03337 pep chromosome:Setaria_italica_v2.0:V:1818809:1819189:1 gene:SETIT_005650mg transcript:KQL03337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRSDQNGSLHASFLWMCIMSFCKFIQQK >KQL08232 pep chromosome:Setaria_italica_v2.0:V:44332057:44332524:-1 gene:SETIT_005476mg transcript:KQL08232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFYCKCCHLCLYFEYYHLELLYNLLYGKSHLAPSRA >KQL06288 pep chromosome:Setaria_italica_v2.0:V:32365099:32365323:-1 gene:SETIT_005415mg transcript:KQL06288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSDIMVNLRTFEATLMNYCILTIFMVNEMMNYIWCCQQRR >KQL04558 pep chromosome:Setaria_italica_v2.0:V:9879138:9881464:-1 gene:SETIT_004376mg transcript:KQL04558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAATTTSRVALYLRRARLIDSLRLRLRSSPPSSPPPPPPDDPVVALHAIRAAPTPSSALSLFRALPSAPPPPLPLYHALAARLASLAALPDLRAHLASFPLPAPPLARLRLLAAAGDRASALEAFGSLPDAPRRPTEAHNVVIELHARGGDHGAAVEAFRGMVREGALPNARTYTVVIAHLASAGFVDQALEVFRILPSLRVRRTTRQYNVLAEALAAAERFDELRWLVREMAAVDGVMPGPQMRAAIAAMREGGHIDGTEDFVAELSPNARIGYAVDDVEGEGDSEEEDDDNVGVNHDDRDRGSSANQTLKPWLDPRELARALDGWDPREVAELEAAGLVWTPRLVCKLLRAFKKAETAWEFFCWVACRPGGFAHDRHTVARMVAILARAGHVELVERLLAKVRSDGILLPCATVRLVIDFYGLSKKADAATRVFREGESICGPISRPNLALLCSSLLRTMAKCRRGLDAMELLEEMMARGVLPDLQTFSGLMEHLAGAGDLKGVHRLLGLVRQCELRPDGYMYSVLVRAYCKRERAALALRVFDEMRAAGVAPDAPTKALLVKSLWREGKLREAALVEERCEDVTGGLPEASPGHVWTASAADLNKVLDIYSGCFAQLAAQAAGTGICSMSSQKKHPGPTAFLHCPAGGGGALPRRPRAHRQFGLPPAVFPPWPGGKDPPPQNPNPESLSTNFAGDEEDDPADS >KQL07405 pep chromosome:Setaria_italica_v2.0:V:39590385:39594295:1 gene:SETIT_002883mg transcript:KQL07405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAGAGVRAAGALALALVLALAGANSEGDALSALRRSLRDPGGVLQSWDPTLVNPCTWFHVTCDSGNRVTRLDLGNLNLSGHLVPELGKLEHLQYLELYKNNIQGTIPSELGNLKNLISLDLYKNNISGTIPPALGNLKSLVFLRLNGNRLTGPIPRELAGISSLKVVDVSSNDLCGTIPTSGPFEHIPLSNFEKNPRLEGPELQGLAVYDTNC >KQL08553 pep chromosome:Setaria_italica_v2.0:V:45981402:45986830:1 gene:SETIT_001977mg transcript:KQL08553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLDGIEIVMLGQLVSAPSDGALVISSVYGLLNLFVPVTLPQSSLSAQRQSPLPSPHPHRTGRRRPAVDRAMAATPPPPAAALEQLSSNKMFGGRNLRFRHQSATLGCPMTFSVYLPPSPASKIPVLYWLSGLTCTDENFIIKAGAQRAAAAHGIALVAPDTSPRGLNIEGESDSYDFGVGAGFYLNATNEKWKNWRMYDYVVKELPKVLSDHFEQLNTTQASIFGHSMGGHGALTIYLKNTDKYKSVSAFAPIVNPINCPWGQKAFSNYLGSTKSDWEEYDATCLIKKKNKVSAPILIDQGEDDKFLAEQLLPRNFEEACKAVGVPLILRMQPGYDHSYFFIATFVDDHIAHHAQFLKSA >KQL08205 pep chromosome:Setaria_italica_v2.0:V:44187424:44187882:-1 gene:SETIT_005540mg transcript:KQL08205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFLLQFLLLIIHHTFNQIIVHAYVHVYNFLS >KQL07188 pep chromosome:Setaria_italica_v2.0:V:38178593:38185520:1 gene:SETIT_000515mg transcript:KQL07188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGVRQSRLRAKKVEDLVAQDPADNLVAVAPTVAGRRGRGRGGRGGGRGTARGRGGRGRGVPVIDLDPDQPCEVLPGAAVGGRAAGGAQPIEKFADKALKMDGGSAEKIAGAEDEGTSPVPEKVQVGHSPQYKVERKLGKGGFGQVYVGRRISGGTERTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGVPWVHYKGRQGDYYVLVMDILGPSLWDVWNSFGQTMTANMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKEGGPSGQHVEYDQKPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLETVTNMRFDEEPNYSKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNEDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICFGRTVS >KQL07187 pep chromosome:Setaria_italica_v2.0:V:38178593:38185520:1 gene:SETIT_000515mg transcript:KQL07187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPELRSGVRQSRLRAKKVEDLVAQDPADNLVAVAPTVAGRRGRGRGGRGGGRGTARGRGGRGRGVPVIDLDPDQPCEVLPGAAVGGRAAGGAQPIEKFADKALKMDGGSAEKIAGAEDEGTSPVPEKVQVGHSPQYKVERKLGKGGFGQVYVGRRISGGTERTGPDAYEVALKFEHRNSKGCNYGPPYEWQVYSALNGCYGVPWVHYKGRQGDYYVLVMDILGPSLWDVWNSFGQTMTANMVACIAVEAISILEKLHAKGFVHGDVKPENFLLGQPGSPDEKKLFLIDLGLASRWKEGGPSGQHVEYDQKPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKSFLVCKKKMATSPDLLCCFCPPPFKLFLETVTNMRFDEEPNYSKLISLFDELIEPQHLRPIRIDGALKAGQKRGRLLVNLEEDEQPKKKVRLGSPANQWISVYNARRPMKQRYHYNVADARLHQHIEKGNEDGLFISSVASSANLWALIMDAGTGFTSQVYELSPIFLHKDWIMEQWENNYYISAIAGATNGSSLVVMSKGTPYTQQSYKVSESFPYKWINKKWKEGFHVTSMTTAGSRWGVVMSRNSGYSEQVVELDFLYPSEGIHRRWENGYRITSTAATGDQAAFILSIPKRKLMDETQETLRTSAFPSNHVKEKWAKNLYIASICFGRTVS >KQL04434 pep chromosome:Setaria_italica_v2.0:V:8961614:8964871:1 gene:SETIT_004977mg transcript:KQL04434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAMRVMSLLPATIAVMIAAASWGAHGGASDEASALLAFKAELAGSGSGMLASWNGTAGVCSWEGVACTSGQVVALSLPSYGFAGALSPAIGNLTFLRTLNLSSNWFQGEVPASIGRLARLQTLDLSYNAFSGTLPSNLSSCVSLLLLDLSSNRFHGRIPVELGDKLTSLQKFSLGNNSLTGAMPGLLGNLSSLNYLDLRKNHLEGPIPHELGSMGGLQVLLLHDNRLSGVLPHSLYNLSSLKKLGVGDNMLSGTIPADIGDRFPGMEALDFSSNRFSGAIPPSLGNLSALTKLVLQENGFIGYVPSALGKLQSLTALFLGVNRLGANDSQGWEFITSLANSSQLQVLVLGNNSFSGQLPNSVTNLSSTLQGLYLGDNMISGNIPINIGNLVGLTVFVMGNTSVSGQIPESIGQLRNLGVLGLYNTSLSGLIPSSLGNLTQLNRLYAYYGNLEGPIPSNLGNLKNVFVFDLSTNRLNGSIPIEVLKLPQLSWYLDLSYNSLSGPLPIEVGTMVNLNELILSGNKLSGTIPASVGNCISLVRLLLDNNLLEGSIPQSLKNIKGLALLNLTMNKLSGSIPDALASIGHLQQLYLAHNNLSGSIPKVLQNLTLLAKLDLSFNDLQGEVPKGGPFANATHLSIDGNDELCGGNPQLHLAPCSTAAAEKNRRRMSRSLMVTVASICALLFLGLVVCLIHLIHKRLRQGKENQLIPTVIDEQHERVSYQALANGTDHFSQVNLLGQGSYGAVYKCTLQDKGITAAVKVFNVWQSGSTRSFVAECEALRRVRHRCLIKIITCCLSIDHQGQEFKALVFEFMPNGNLNGWLHRASKIQSLSNTLSLAQRLDIAVDIMDALDYLHNQCQPPIIHCDLKPSNILLAESMSARVGDFGISKILPDDTSKTMLNSVSFTGLRGSIGYVAPEYGEGRAVSTLGDVYSLGILLLEMFTGMSPTDDMFKGSLDLHKFAEAALPDRALKVADPAIWLHEEAKGEDPATVRSRSERCLASVVGLGVSCSKQLPRERTAMRDAAAEMRAIRDAFL >KQL05625 pep chromosome:Setaria_italica_v2.0:V:26437002:26441603:1 gene:SETIT_004760mg transcript:KQL05625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSLSTPSQLRPSPSQAVRRGHLLVPYPRVSVARRPVPRRAGALSVRASADGGDAVTVRRFPAAPTKAGRLEGVKKIMILGAGPIVIGQACEFDYSGTQACKALAEEGYEVVLINSNPATIMTDPDLAHRTYIGPMTPPLVERIIEAERPDALLPTMGGQTALNLAVSLAESGALDRLGVRLIGASLPAIRAAEDRQLFKLAMDRIGLKTPPSGIGTTLEECLAIAVEIGEFPLIVRPAFTLGGTGGGIAYNRAEFEEICRAGLAASHTQQVLIEKSLLGWKEYELEVMRDMADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSVAIIREIGVECGGSNVQFAVNPADGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYTLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSEPILTTQMKSVGEAMALGRTFQESFQKAVRSLETGFAGWGCGPIKELDWDWEKIKYSLRVPNPDRIHAIYAAFKKGMGVEDIHEISFIDKWFLTELKELVDVEQYLLSRSLDQLSKDDLYQVKRRGFSDKQIAFATSSSESDVRSRRLALGVTPTYKRVDTCAAEFEANTPYMYSSYEYECESAPTNRKKVLILGGGPNRIGQGIEFDYCCCHASFALREAGFETIMMNSNPETVSTDYDTSDRLYFEPLTRHALGNVKDMGNHHQNSIDAAEDRKRFNAILEELGIEQPKGGIARSESDALAIASEIGYPVVVRPSYVLGGRAMEIVYNDEKLIKYLATAVQVDPERPVLVDKYLIDAVEIDVDALADSAGNVVIGGIMEHIEQAGIHSGDSACSLPTRTISAQCLEVIRSWTANLAKRLNVCGLMNCQYAISTTGEVFLLEANPRASRTVPFVSKAIGHPLAKYASLVMSGVTLHELGFTKEVVPKHVSVKEAVLPFEKFQGCDILLGPEMRSTGEVMGIDYEFSGAFAKAQIAAGQKLTLSGTVFLSLNDLTKRHLAEIGRGFQDLGFNIIATSGTAKVLQLEGIPVEPVLKIHEGRPNARDMLKNGQIQVMVITSSGDALDSKDGLQLRRLALAYKVPIITTVDGARATMDAIKSLKNKSIETLALQDYFQIADASPDLQAAAQTAP >KQL07616 pep chromosome:Setaria_italica_v2.0:V:40850150:40850677:1 gene:SETIT_003734mg transcript:KQL07616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKPSSLGGELILRTEMMWIIEAEYYSVEGIREWDVYKQHLPRITAHFEAINAALRRYKAHRIERGLCLVLLQAYGETGRVLDSWSLERSPGASSRTKALEKRRLLLEKRKAVAANVVLNRATDVGRVAQMATKPMRLVRFCTVWYFAYLVFVLVLCCGMQRCGREDTSRIREHA >KQL04285 pep chromosome:Setaria_italica_v2.0:V:7909024:7909807:-1 gene:SETIT_003242mg transcript:KQL04285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRRSNVFDPFADFWDPFDVFRSVVPAASPDRDAAAFAAARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGERSKEKEDKNDKWHRVERSSGKFLRRFRLPENAKTDQVKAGLENGVLTVTVPKAEVKKPEVKAIEISG >KQL05006 pep chromosome:Setaria_italica_v2.0:V:14005201:14007722:1 gene:SETIT_001913mg transcript:KQL05006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVRSKGMDGAAVVAAVMAAAVALLCFQLPAVAQGQLQVGFYNTSCPNAESLVRQAVANAFANDSGIAAGLIRLHFHDCFVRGCDASVLLTSPNNTAERDAAPNNPSLRGFQVIDAAKAAVEQSCPRTVSCADIVAFAARDSINLTGNLPYQVPSGRRDGNVSTNTDAVNNLPQPTFNASQLVANFAAKNLTAEEMVILSGAHTVGRSFCTSFLTRIYNQSTSAPIVDSGLSSGYAALLQALCPSNASSSTPTTTAVDPSTPAVLDNNYYKLLPLNMGLFFSDNQLRVNSTLNASVNSFAANETLWKEKFVAAMIKMGSIEVLTGSQGQIRLNCSIVNNGSSSASVAAPRIETAIYSGSTAYLDEIATS >KQL05731 pep chromosome:Setaria_italica_v2.0:V:27323318:27326120:1 gene:SETIT_003104mg transcript:KQL05731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTWLVWSGSNSPTHPPPPFSPPHLARRPPPPRSPRARTSPRRFTKGLGPAAMAARLAQLRAQAARAAELASKHGGSYYKELMEKNKQYVVQPPTVEKCQELSKQLFYTRLASLPGRYEAFWKELDGVKQVWKNRKDLKVEDLGIATLFGVELYAWFCVGEIVGRGFTITGYKV >KQL06452 pep chromosome:Setaria_italica_v2.0:V:33591704:33594096:-1 gene:SETIT_001172mg transcript:KQL06452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAREEAAAPLLLPPSPLQAGGSDDDEKEESCGRQWAREAGRLGYLALPMVVVSLSQYAVQVSSNMMVGHLPGVLPLSSAAIATSLANVSGFSLLYGMASALETLCGQAYGAKQYRKVGADTYRAVVTLLFVCIPLSLLWVFMDKILVLIGQDPMISHGAGRYLIWLIPGLFASAVIQPVTKFLQSQSLIYPLLLSSVATMAIHVPLCYVMVFKTGLGYTGAALAVSISFWLNVAMLVGYVMFSSSCKETRTPPTIEAFKGVDVFLRLALPTALMICLEWWSFELLILASGLLPNPQLQTSVLSICLTSVTVFSTLPFGVGAAESTRIANELGAENPGGARKAVRVAMTITVTGAVIVGGALLVGRRLLGRAYSNEEEVISFVSDMVPLVCITVATDALQQGVLAGVARGCGWQHLGAYVNLGSFYLLGIPVAILLGFVLRMGARGLWLGIVCGSLMQIALMGAITFFIDWPKMAEDARERVFNEKQAEHGSML >KQL07575 pep chromosome:Setaria_italica_v2.0:V:40582243:40586818:1 gene:SETIT_004734mg transcript:KQL07575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLDSDDDRPVGEMTEIVCDKERCPWRVCARKQNITGKWKITKVVGPHNCADHELTVRHPQLTSTLIAKRLMGILKEQSNMKVRTIIRTVEEIYGGYVITYAVNPGMHYEYIPKPNAWKDGRQIFERVFWCFPQSVEAFRHCRPVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHVVGLGREVGIISDRHQGILHAVQEHIEGYAPLHHRWCTQHLAENLLRKDGVKDNFDLFQVATRQLEDYYFQRKLEQVRTATNAEGRQWLAGLMRDLDKWTRSRDAGGWRYEFQLVAWFSERHAKAEALIAGERWAEKPKRHLIIANERASTHEVQCFDLGSGTYQVEHRGGTTSDGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAARHRNFDIESMIPHEFSVHTLVRTWSPRFMPFRDPREWPSYDGPKYVADPAYHWNKRGTRKRMRHNMTMDQVSGRTRRGRATPFLANPEQNECGKCGRLGHNSRTCRWQISEDGALPPSRPGVRPDPPRSSHSGRAVTCNVTCSVDRKKKRKVSEWAVFHQAYIDDWEDFDENVDENDEPHTNSEYRQYQTWYQGATRHRLRAAWTEDDYADIHSSDDEDTVYDQSTRARRQVEAGPILDRMEEDDDDEQRAEEVGPSQLQEAPLTQPTQVVGTRLRRPRSPYTPGTDALGHKGKGKTRRQ >KQL05505 pep chromosome:Setaria_italica_v2.0:V:25228730:25230605:-1 gene:SETIT_002250mg transcript:KQL05505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEAVGSFGNRGVGVAGMSSTLEEVQMQETLIFSDTIKDLKTLRSQLYSAAEYFELAYMREEGKQAVMNNLKEYAVKALVNTVDHLGSISFKVSSLIDQRFDEVADANLRVSCIQQRTQDGQACMDREGLSQQSLVITAPKYHKRYILPAGDKSMPSAVPNFREMNKVTNRTAQMHQVFSAAQPKAKEKQASFSKLRSIARAPSQRARSASPAQRSHSVPPSEAAIPSNRDRRSDSPIPSATPLTRSGSLSKKASLLKTSSVRVQTTSQPKKLAPLRSQADRSNDSKDGEHTPKKSRKFLKSILSRRKSRKDEPLPSYFDDY >KQL06850 pep chromosome:Setaria_italica_v2.0:V:36058998:36063871:-1 gene:SETIT_000652mg transcript:KQL06850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSAAEAESAASASGRLLVLYASQTGNAMDAAERVGREAERGGCPAVDVLSMDSFDPSRLPSERFVVFVVSTTGQGDPPDSMKGFWRYLLRKDLGAQWLEGVRHAVFGLGDSGYQKYNFAAKKLDRRLSHLGAEQVVEIGLGDDQHSSGYEGALDPWLLSMWKSLNETNPALLPRVSDINDPNLSTLGDPKVHVIYYSSNEVPQDSILSEPNKIINSARSMSPALQFHDDGGPPYMLQMVTNQRLTKEGSDRDVRHFELEDPSSAISYKTGDALEILPSQNPSAVDAFIERCNLDPDCYITIQAKSGDKISKGSVVSSLMDRIKLKTFVALTMDIASASPRRYFFEVMSFFATAEHEKKRLQEFASPEGRDDLYQYNQKESRTVLEVLNDFPSVQMPFEWLVQLTPPLKKRAFSISSSPLAHPNEIHLTVSIVSWLTPFGRTRRGLCSNWLAGLDPSKDNLIPCWIHQGSLPPPHPSVPLVLIGPGTGCAPFRAFVEERAAQTAAEPTAPVLFFFGCRNQDNDFLYKDFWLTHAQDEGVLSSKKGGGLFVAFSRDQPQKVYVQHKIKEQSARVWNLLLTGAAVYIAGSSTKMPADVTAALEDIICKEHGVIKEEASKWLRDLERVGRFNIETWS >KQL05293 pep chromosome:Setaria_italica_v2.0:V:20920424:20921438:1 gene:SETIT_003232mg transcript:KQL05293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILDHFSDLCSITETKEALKLRKKRPLQTVNIKVKMDCEGCERRVKSAVKSMRGVTRVVVNPKQSKCTVTGYVEPAKVLERVKSTGKAAEMWPYVPYTMTTYPYVGGAYDKKAPAGFVRSAPQAMADPSAPEVRYMTMFSDENVNSCTIM >KQL06256 pep chromosome:Setaria_italica_v2.0:V:32109858:32114433:1 gene:SETIT_000386mg transcript:KQL06256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTTCAYQSPRPSSTIHKGSQYVCDPAKLHYTQGQLTYVPIGPAPPPQPPQGLCFGAPSWPWGIGEQGQSSPSASWTDAMVEGGDGGQAGLRFLLQFDPGRPGFDRTLLGVSLSALLSRLLGWSKDGGGQGRLSVAIAGEEGGGGGGGSGNAAMSAAALAAAVSFCLAAMYASDQRPRRAPPLPRQRRRLPAPDSAARLRALPAPDDGLRILSSNDESLENVIHGASIGAGDDEPDIVARVQMDTTTAEIVGANADDETKQDPSEQEERQELERQEHERLRELWLSLLEREQRLELRLQELEGLRAQVATLRELEGRVAAATVEERRLQLKVSTLQDENGRLRAQVEELDTARAELARAKEKLRAIKARVQAEQEEARREAAVLRAKVADLERGGEETAGALAAEIAELRKANAALEEENLELALRLQDAQQAASSVDPVLEEDMAEEARYLRESNERLTRQIEQLHNDHCAHVEELVYLKWVNACLRHELRDHDGHPTTEQQDHHHDDNAGAGDLSALELSKSMSFRSSERAKQLMLRYGHPGLEGFDPALFSPLHESVDGDGDERSPARNYYEPERSPYARSEKTMAASAAAGSAAAAPGKKAGPRKLKFLGNIKKLLPGGKRGHSSHGHGHAGRDSSRKAPAPSDEYLEKAMQWLSAHDAFDGGDHSYESTPLSSCARTPLSSVTTATTADSRARGGGGHSERGETTTRAEAGPTMARSRSDAGRSYGREASRYHALRPDHPAGGGIEPDGSRATEKREPRRRSEELRSPAVA >KQL08796 pep chromosome:Setaria_italica_v2.0:V:47113736:47116629:1 gene:SETIT_001289mg transcript:KQL08796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVADAKPRLNVPPSMAAALRLDPVPLSPPTSRRLAEAPKTPSPSKTTYSDRFIPCRSSSRLQNFALLDSPSSNSKDETTYSRLLRAELFGPDSPGPAPATSPGPASPNTNLFRFKKDHSAATSPFAAAHQDCTAGSGEPTASPQKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNMLAVGLGTCVYLWSASNSKVTKLCDLGPRDSVCAVHWSREGSYLAIGTGLGDVQIWDSSRCKRIRNMGGHQTRAGVLAWSSCILSSGSRDKNILQHDIRVPSDYISSFSGHRSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQPVLRLTEHTAAVKAIAWSPHQQGLLASGGGTADRCIRFWNTANGNLLNSIDTGSQVCNLAWCKNVNELVSTHGYSQNQIMVWKYPSMSKVATLTGHTMRVLYLASSPDGQTIVTGAGDETLRFWNIFPSVKTQTPVRDIGLWSFSRSHIR >KQL07827 pep chromosome:Setaria_italica_v2.0:V:42033820:42041021:-1 gene:SETIT_000955mg transcript:KQL07827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHGEESSWRMERAALPLNQALAYGVQAHAAAAAAPPSCFLDFQPAAAAAAYFGFGELEEALIHGGGGASANAGGGVDPGVIIKSDAPAQTKPAAAGYLAGAGGGRPPTLEIFPSWPMRHQQQLHSGNSQSVGSTTDSSSAQNTMSQMELVSPASPAPRQEVMMVTTDDYSYKPGLAAAPAAAPAPAPPSFQQHHPALPLHLHGGGGGGGDHDKRKQGSTRKDGKLVDAKTERRLAQNREAARKSRLRKKAYVQQLETSRIRLQQVEHELQRARSQGLFVGGCSAAGDMSSGAAAFDMEYARWLDDDGKRLAELRGGLQAHLDGNLGLIVEECVQHYDELFQLKAALAHEDVFHLLTGGWATPAERCFFWMGGFRPSELLKILIPQLDPLTEQQLLGICNLQQSSEQAEEALAQGLHQLHQSLADTVAAGTLNDGAAAPNYMSLMAVALEKLASLESFYQQADNLRQQTLHQMRRILTTRQAARCFLSIGEYYRRLRALSNLWASRPRENFIGTESLSPTATELQVMHQQQQNQFSGF >KQL08369 pep chromosome:Setaria_italica_v2.0:V:45070349:45071054:-1 gene:SETIT_003602mg transcript:KQL08369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMTKTSARGAKGKVAMVYSKYVKPQGNSAVSVTHQLKPSPVGAAASASYVDDIDERASAFILAVRERFKNEHNM >KQL08446 pep chromosome:Setaria_italica_v2.0:V:45499651:45503442:1 gene:SETIT_001704mg transcript:KQL08446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRKGTATPLGAVFSPEETKRAVARVAESIADRRAELGRLQGFVADNAALVSLVNRLPDELSHEIMVPFGGAAFFPGRLIHTNELMVLLGEGYYAERSAKQTTDILHRRGMELEAQVEVMKATISDLEAEAKFFESTAAEASEGLVEIREEYDEDTESNSSKSEASVATGGMSDKEKEHARIMARLDELEMEEMEAGSTSEEEEDDDEDDGGAVTSEDGEENEESGNALSDGNEHHSSSFGASFSGNGGNDRSHGNIQLKSALKKPGGEELLRGISHAPSAHTSHSVFPGQSSITNSEVRVRKAVSFEDDKHVVGSSKSPSLPPDPSHPAPGFKGSSDPAPSRERKIISSGRQQAFTGSIIERDDNLLPIQPPVGSSSAKPGTSASSRPMSRFKMQKGER >KQL08445 pep chromosome:Setaria_italica_v2.0:V:45499651:45503442:1 gene:SETIT_001704mg transcript:KQL08445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRKGTATPLGAVFSPEETKRAVARVAESIADRRAELGRLQGFVADNAALVSLVNRLPDELSHEIMVPFGGAAFFPGRLIHTNELMVLLGEGYYAERSAKQTTDILHRRGMELEAQVEVMKATISDLEAEAKFFESTAAEASEGLVEIREEYDEDTESNSSKSEASVATGGMSDKEKEHARIMARLDELEMEEMEAGSTSEEEEDDDEDDGGAVTSEDGEENEESGNALSDGNEHHSSSFGASFSGNGGNDRSHGNIQLKSALKKPGGEELLRGISHAPSAHTSHSVFPGQSSITNSEVRVRKAVSFEDDKHVVGSSKSPSLPPDPSHPAPGFKGSSDPAPSRERKIISSGRQAFTGSIIERDDNLLPIQPPVGSSSAKPGTSASSRPMSRFKMQKGER >KQL05760 pep chromosome:Setaria_italica_v2.0:V:27667704:27670363:-1 gene:SETIT_001282mg transcript:KQL05760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVQNNGEWSLFCPNEAPGLADCWGDEFQNLYKKYEREGKAKKVVPAQSLWFDILKAQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEFTSPTETAVCNLASIALPRFVREKGVPLESHPSKLVGSSDSKNRYFDFEKLAEVTSTVTYNLNKIIDINYYPVETAKRSNMRHRPIGIGVQGLADTFILLGMPFDSTEAQQLNKDIFETIYYHSLKASAELAAKEGPYETYEGSPVSKGILQPDMWNVVPSNRWNWPSLRETISKVGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGIWTPALKNQMIYEDGSVQKMAEIPDDLKAIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNVHMEQPNFGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTLLKENGVANGKPAEDDVEAKMAQMICSLNNREDCLACGS >KQL04991 pep chromosome:Setaria_italica_v2.0:V:13887083:13891625:-1 gene:SETIT_000273mg transcript:KQL04991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETPPGQRPQPAHASPPFPAAPFTPPPRVFSPALAARGPASPSPGSGPGGHHAPAHLSTPPGPPVFSSPLRPAAVPFRATPASPHPVPFAPAGSGYSSSSSATTASLPTSSAPHFLNGAATLHGDLAPAPSPLQGDGLNNPYVQFSANKVLKQKKLLNAPSLGFGALVSPGKEVSPGPEVVERDPRRCLNCGAYVNLYCDISIGSGQWQCVICQKINGSEGEFVVSSKQDLLQWPELASTTVDYVQVGNRRPGFVPVSDSRVSGPIFILIDECLDEAHLQHLQGSLHAFVDSLPPTAKIGIITYGRTVSVYDFSEGAAVSADVLPGNKSPTHESLKALIYGTGVYLSPIHASLPVAHTIFSSLRPYQLSVPEVSRDRCLGAAVEVALGIIQGPSVELSRGIIKRSGGNCRILLCAGGPCTFGPGSVPYSVKHPNYAYLEKTAMKWMESLGHEAQRHSTVVDIFCAGQCPVRVPVLQPLAKCSGGVLLLHDDFGEAFGVNLQRASTRAAGSHGLFEIRCSDNMLVTQVIGPGEEASPDSHETFKHDSSFCIQMHSVEETQSFSVSMETKGDIKSDFVFFQFAVHYSNVYQAEITRVITMRLQTVDGLSAYLASVQEDVASVIIGKRTVLRARTASDAIDMRQSIDERVKDIALKFGTQAPKSKLYRFPKELASLPECLFHLKRGPLLGSIIGHEDERSVLRNLFLNASFDLSLRMMAPRCIMHREGGTFEELPAYDLVMQSNAAVVLDHGTDIFIWLGAELAAQEGQSAAALAACRTLAEELSEQRFPAPRILSFKQGSSQARYFVSRLIPAHKDPTYEQESRFPQLRTLTPEQRARLKSSFIHFDDHSFCEWMRSLKLVPPEPS >KQL07711 pep chromosome:Setaria_italica_v2.0:V:41359294:41361567:1 gene:SETIT_001333mg transcript:KQL07711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCVQQGLRKEIRCFTMKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPFAELIEFHKSHGGEATIMVTKVDEPSKYGVVVMEEATGRVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPQIAADQKLHAMVLPGFWMDVGQPRDYITGLRLYLDSLRKKSAAKLASGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >KQL07712 pep chromosome:Setaria_italica_v2.0:V:41359856:41361567:1 gene:SETIT_001333mg transcript:KQL07712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPFAELIEFHKSHGGEATIMVTKVDEPSKYGVVVMEEATGRVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPQIAADQKLHAMVLPGFWMDVGQPRDYITGLRLYLDSLRKKSAAKLASGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >KQL07710 pep chromosome:Setaria_italica_v2.0:V:41358665:41361567:1 gene:SETIT_001333mg transcript:KQL07710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRRKSRAEWQPRPTGGRQSDSVARGSDSNRQNPGAAGQPLARSGLVWLRCPSSLGLGRPEGERGRTRGEATGRRRECAARAPQISPCRPSPAGLRKEIRCFTMKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPFAELIEFHKSHGGEATIMVTKVDEPSKYGVVVMEEATGRVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPQIAADQKLHAMVLPGFWMDVGQPRDYITGLRLYLDSLRKKSAAKLASGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >KQL07709 pep chromosome:Setaria_italica_v2.0:V:41358433:41361567:1 gene:SETIT_001333mg transcript:KQL07709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQIEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPFAELIEFHKSHGGEATIMVTKVDEPSKYGVVVMEEATGRVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPQIAADQKLHAMVLPGFWMDVGQPRDYITGLRLYLDSLRKKSAAKLASGAHVVGNVLVHESAKIGEGCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >KQL03539 pep chromosome:Setaria_italica_v2.0:V:3008380:3009763:1 gene:SETIT_005051mg transcript:KQL03539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTVRRTSRSYVRPAADTPSGSLELSAVDRIVEMRHMVRSLHRSPARVVREALAKALVDYYPFAGRLVDGAGGPATARVECTGEGAWFVEAVAGCSLEDVAFLDHHPFAIPADDLLPDAAPGVQPVGIPLMMQVTEFTCGGFVVGLSSSHTLTDGLGAGQFINAIADYARGLPKPRVSPIWARELVPSPRKLLHLGPSPGPPTSQFRYLTVDLTPDSVDRVRSQFHAATGQRCSTFDVAVAKVWQARTRSLRLPDPSVPVTLCFFANARHVLPKDDGAGAAGFYGNCFYPVTVTAEAGAVERADVAGVVGIVRDAKAQLATEFARWAAGELAAEEAPYELWWRHEPLFVSDWRRLGFLEADYGWGTPLHVAPLAALQFMPVALIVAPPTPRRGVRITTQCVEEEHMPAFREEMKAPRE >KQL08216 pep chromosome:Setaria_italica_v2.0:V:44220817:44223854:-1 gene:SETIT_005058mg transcript:KQL08216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAEGKFGLGSRVERREPWARVHVCGSQDSGSGGRAKPRLPAASRNGDSWPPGLVPTPPETTCHRRFRAPPPPPPCPCGRRQNRPSKGCRVHVTESRARRIFACTGDGWLRGVRAAACALVPRAAQTRGARRRPRLRGSRRSVSFLGVGDVNALDRLGTGASPRASTMSAYCGKYKDELIKNAAYIGTPGKGILAADESTGTIGKRLSSINVENIEENRRALRELLFCCPGALPCLSGVILFEETLYQKTKDGKPFVDVLKEGGVLPGIKVDKGTIEVAGTDKETTTQGHDDLGKRCAKYYEAGARFAKWRAVLKIGPNEPSQLAIDLNAQGLARYAIICQENGLVPIVEPEILVDGSHDIERCAYVSEVVLAACYKALNEHHVLLEGTLLKPNMVTPGSDSKKVTPEVIAEYTVRTLQRTVPAAVPAIVFLSGGQSEEEATLNLNAMNKLNTKKPWSLSFSFGRALQASTLKAWAGKEENVEKARAAFLARCKANSEATLGTYKGDAAAGEGVSESLHVKDYKY >KQL04200 pep chromosome:Setaria_italica_v2.0:V:7149367:7150251:1 gene:SETIT_004657mg transcript:KQL04200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVQPAASRSPPRPPPPPLPLPPPPPHHDTTLTLALALPPPAFACALSPRPLQARRPRPDGAASVRSSPTGDTLPCTECGKRFASWKALFGHMRCHPERQWRGITPPPHLRHDHHHQQQQQHAVGAPPLAVAAAAAGQQFTVQEREIAASLLMLAGARTPGVGKGKKGVLASSSAKKESCSTPASSPTAAAPPKCDDHKCSVCARGFATGQALGGHKRCHWEKACAEVMAVATPSSCSPLLATSEEVVAATTLDLNLPPPGTMLALPWKSDEDGSLNAALDLKLGY >KQL06350 pep chromosome:Setaria_italica_v2.0:V:32782212:32782527:-1 gene:SETIT_005465mg transcript:KQL06350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKLMTTIHLEQKGTWNCFSFAYDHRDPNLIITIQ >KQL04044 pep chromosome:Setaria_italica_v2.0:V:6091896:6098624:1 gene:SETIT_004963mg transcript:KQL04044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVATARDVEEVIQKLHSDRARVRDEGVKLLGTWLQGDRAASFCRLLGRNTARVKPGHGHLTGATTWPLLILALLNCVKEDISGKKRGATKSTAARMLRVAIQCAEDVKLSGHSLLLISVARQLFSHVWEVVKDGPSFQLEYNMILRQLLAVKEYRYQMKPRTYSSFVALYMKKVATVFNAKFSNQASSKDESFRCTLTLHVLLENPPGDYPDIMREESLFITYAKVQLKLARAIPEILEKLVDIIIKELDKNVNTGPGFLWCEAPRDEKAGSLRCFQEECMDLSATVFYLAHKCTLKTQNREKRLKTEHIMNLIVDGLLKGSLFWSGSVCFLIHKYGYRVEKSLLISWFEASCKALRRALKEFSMVLMFNPREESQFCLTKSEMSIVESCWQDIWNSLIHALPVFSSTALVADSALILLGGMIVRDQVHTSFLSEDTWNLQIFKQMPS >KQL05757 pep chromosome:Setaria_italica_v2.0:V:27609159:27611281:-1 gene:SETIT_004784mg transcript:KQL05757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKGYQVAYVNGDTGDKTILYSIYFFRKTSSRRAEVLANAVRLIQRRIKTHLMRKEFIKLRKASIKSQKFWRGDLENTYMGPDYSGKMILLLDILSKSSELGDKLQIKGKEGKHWKRGKDWYRLDGSTPSSDRQNLVEMFNDPENARVKCTLISTRAGSLGINLHAANRVVLLDGSWNPTHNLQAIYQVWRPRSFLADSMTARTSLQFELRCG >KQL07795 pep chromosome:Setaria_italica_v2.0:V:41818173:41818541:1 gene:SETIT_005322mg transcript:KQL07795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFAHVHLSRVHVTVYAHLTNPYIHFHILLLIGSK >KQL03838 pep chromosome:Setaria_italica_v2.0:V:4890559:4896048:1 gene:SETIT_000296mg transcript:KQL03838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQSGKTMQSLPDTLSSLMGFNKYLTPSWIESVSHIIKELSPTDAKAKVMVQMTQNIGPDDAESDTKVVKVQDELVSLNAQLKQITVQRRQSLNNYLDLKGNIRVFCRVRPFHHEESYQSKTLFTLDESNVFLKVAETKIKQYKFDKVFNQCSTQGDVFAEIEPVIKSALDGYNVCIFAYGQTGSGKTYTMEGKPTATDLGVIPRGIQALFDRASESHRRFLFTFSMLEIYMGNLRDLLVPGSKTHGFKKVPSLSIKTDPDGGIEIENLVAVTVNSFQEVKRLYEVGTRLRSTAYTMANSTSSRSHCLIRLSLTSFDAPERKKARNKLWMIDLGGSERLVKTKATGKRLKEGKAINLSLSALGDVIDALQTKKAHVPYRNSKLTQVLRDSLGCDSKMLMLVHIRPNENDLCETICTLGFATRVRSIRLENEEPPDEKARKEHLLTELEREISDLEQECEDITRKIKKLEETMEHLKGPQTSVSTIFVISQPLSEDLKTDMSKNTKNSKNRREVSSRLPSFMKPTASSQQRIGLTKHIPVSNRTKPPIPPKRRPSSVYAESVRLPVNRTTWQSECSSECSISMTSDMNWMPSVQDGTECSQQDTSEYETKQVIFSEDEELLQGQLILLAESGKMQNKTEEMGIIDIDSWIHQQIIENTSICQSENVLDIPEITEYGTYNSSTASPIQECIKGGKQAQDEDSNLKLQSSMQNVEGIKQAKAINQFPSAELCTPPSKELCNNEKMKEHKSERLAYNGNSRRTLQEKLDKCMPEQPDKESLEKKPEKESKTDAIIQPEIRLQDEEHYIDKSTKFFRALRTAWVGALLGLGIMSLGLEQDFFQSLTL >KQL07036 pep chromosome:Setaria_italica_v2.0:V:37195807:37197623:1 gene:SETIT_002743mg transcript:KQL07036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMSSMKASDPGSAWFGSGARTPPAGMGGGHNVRLITTAVAAFVSVLGLALFLHLYVCHVRRRNRRRAAAAAAVLPTTTAAAAKCGLDPAAIAALPTAVYGGEAGGEPGACTECAICLGNVQEGEVVRALPACGHVFHVPCVDTWLASSSSCPVCRAEVEPPPMEEGAARLVQEKLQDAVKEEAGSCSSTPERGISACASLMKMLSRERPAPRRPQSAVHADDGELDDLERQLQAVNN >KQL04082 pep chromosome:Setaria_italica_v2.0:V:6365034:6369978:1 gene:SETIT_000294mg transcript:KQL04082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKFSKQFEGQLVPEWKHAFVDYCLLKKDLKRVQHALLLIGRCEAAAAGLTAAAQQQQTSDDRHAERVVASLSQWLHAAGLFGSSSTPKDHGVIHVHRRKLAGPAASRGGGDEYETELLEPLAAADDNEAAATREFFARLDAQLNKVNRFYKGKEEEFLERGRSLRKQMDILADLKAAAREDTTTNPSDSSVASGGCSEDESTRYAMTSETDTDQLSEQEATTPTKDPGVTAQEDRQMDLDGSGTFGRSASGCGRKSLKINIPLTNPSRTIAALADILRDELAAQSGSKKCNPDGGMGKHGVSKTKLRHAEKMIRGGFVELYKGLGYLATYRNLNMMAFVKILKKFEKISGKQVLSVYLKVVESSYFNSSDEARTRKLAELAILNGTDDETTFSLQALKLMDEVEEIFVRHFAGGNRRKAMRYLKPAQRKESHSVTFFTGLATGCFAALFVGYCVMAHMAGLYLYTSTPRGRGGGEAARYMETAYPVLSMFTLLFLHLLLYGCNMVAWRRCRVNYGFIFASSPAAAGGELRPRDVFLTCAASMAAVAGAMFAHLALVLRGYHASPHVQAIPGFLLLVFLLLLFCPLNVAYRSSRFRFLRIMRNIILSPLYKVVMVDFFMADQLCSQVPMLRSLEYLACYYISGSYMTQDHGYCINTKQIRDLAYAVSFLPYYWRAMQCARRWFDEWDTSHLVNLGKYVSAMLAAGAKVAYEKDKGLASLSLLVAVSSTATVYQLYWDFVKDWGLLQPNSKNPWLRNDLILKRKSVYYLSMGLNLVLRLAWLQTVIHPNFGSLDSRVTSFFLAALEVIRRGHWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDED >KQL07524 pep chromosome:Setaria_italica_v2.0:V:40268623:40269510:1 gene:SETIT_004575mg transcript:KQL07524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTLGDNSASWTSACRERLDEIGKKIKREPDTATLALAAASASTAPADSRVPRRVGLGLGGALNTVTPCAACKLLRRRCAQECPFAPYFSPHEPHKFAAVHKVFGASNVSKMLLEVAEGERADAASSLVYEANLRLRDPVYGCMGAISVLQQQVNALEAELEAVRAEILKHRYQQAGAAGASLVDDDTHATGSFVAPAPAPVHARDVVSVVETAGQGVAATAPGMSAVYVAEAEQRPSSNHYGALNNPSEHAAYFG >KQL07714 pep chromosome:Setaria_italica_v2.0:V:41367224:41368557:1 gene:SETIT_004252mg transcript:KQL07714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPLFFMIMNVSNTIPQPKDKDTPQLDSGAISVYYGIEVASDVYGFPSHKDEQSGVFVQVNNIGDGRESIRNSINFGWHVNPRLYGDSKAHFYVYWTRDGYKIIGCYNLQCPDYVPEPNVPTVLGIAIDAVSDPNGVKRTIIFKIFKDNAGDWLMHIGFDSEPYCFPKSLFTSLGDKVDNIRLGGFVATRTTQLARMGSGFLPNNAKSASFSNIQLIDQNGETRRVPRDQPIYMNVE >KQL08345 pep chromosome:Setaria_italica_v2.0:V:44916251:44919949:1 gene:SETIT_001490mg transcript:KQL08345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNPRLGAQIPGGYLAPEAGTFGRVERLGVGFGYCWRKTNRRILDQAVSLHGTPLSAPLLCSWASLGGVGQQLDLAMGSQQNALHQLVSFILGASAAAVLLFFLTSASSGARFTGISSWANGTAEFDAPAQEANPAREPPATAQAEAKGSPAPEQQDDELERLLRAVADEDRTLIMTSVNEAWAAEDSLLDLFLESFRTGERIAHFAEHLLVVALDGGALERCRAVHPHCYLLPAAAGRNLSDEKVFMSKDYIDLVWSKVQLQQRILELGYNFLFTDVDILWFRNPFERMSVAAHMVTSSDFFFGDPYSPMNLPNTGFLYAKSSRRTVGAFEAWHAARESFPGKHEQQVLNEIKHELVATRGLRIQFLDTEHNAGFCNNTRDFNTLYTMHANCCVGLGAKLHDLGNLLREWRAYRQMDEEERRRGPVRWKVPGICIH >KQL06808 pep chromosome:Setaria_italica_v2.0:V:35753689:35753874:1 gene:SETIT_004527mg transcript:KQL06808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTKGRKPTSAWSTRVWNPISSWRTTSFQRTTCSWSTTGDWTDRCSTTDVKSTINWSAAAD >KQL05297 pep chromosome:Setaria_italica_v2.0:V:21032796:21033217:-1 gene:SETIT_005525mg transcript:KQL05297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCSLLDAVFYWSQKIERGRWKWWSSSARSVVLDARQQAGGGGKWQPERREEKLSVEGKPQTLLKFIGR >KQL08698 pep chromosome:Setaria_italica_v2.0:V:46741598:46747889:1 gene:SETIT_000462mg transcript:KQL08698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDDPSPRAGGCGADPPRIRRLEESVVNRIAAGEVIQRPSSAVKELVENSLDAGASTVSVTVKDGGLKLIQVSDDGHGIRPEDLPILCERHTTSKLSAYEDLQTIKSMGFRGEALASMTYVGHVTVTTITEGQLHGYRVSYKDGVMENEPKPCAAVKGTQIMVENLFYNMVARRKTLQNSNDDYPKIVDFISRFAVHHINVNFSCRKHGANRADVHSASTSSRLDAIRNVYGASVVRDLMEIEVSDENAGDAVFKMDGYISNANYVAKKITMILFINDRLVDCTSLKRAIEFVYSATLPQASKPFIYMSINLPPEHVDVNIHPTKKEVSLLNQERIIEIIKNTIEEKLRSSNTTRIFQTQAINPSALTQANTQKEKGTEVKMASGMKSQKTPVSQMVRTDPRDPSGRLHTYWHGQSSNLEKKSDLVSVRNVVRSRRNPKDACDLSSRHELLTEVDSQCHPGLLEVVKNCTYVGLTDEVFALIQHNTRLYLVNVVNVSKELMYQQALCRFGNFNAIQLSEPAPLRELLLMALKDDELMGDENDEEKMEIAEVNSEILKENAEMINEYFSIHVDKDGNLTRLPVVLDQYTPDMDHLPEFVLTMANDVTWDDEKECFRTAAAAIGNFYALHPPILPNPYGSGIQLYKKNKDCMASGKCVDNTDEDDIDQELLAEAETAWSQREWTIQHVLFPSMRLFLKPPKSMATDGTFVQVASLEKLYKIFERC >KQL03592 pep chromosome:Setaria_italica_v2.0:V:3345094:3345516:1 gene:SETIT_005097mg transcript:KQL03592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLARLASSVRNAAKYFPQLAGSSATLAEVEVSGVLVESVAAIASASDAVFSAVKSISSAATIAVASSSKKPSASLISLVTRSSKAAAASSDEDKEMAALDRLEGLDECIANMEAGSDKVFRSILQTRVALLNIHTQTLLI >KQL08259 pep chromosome:Setaria_italica_v2.0:V:44469439:44471845:-1 gene:SETIT_002168mg transcript:KQL08259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAAAEANGERKAEILVRDDAPRLLAALKEMKDGLDLVRGKVEDITRKVKENKLPTANGIGYLEAKNHLLLGYCQDIVYYLLRKAKGLSVDGHPVVRSLVEIRLFLEKIRPIDKKAEYQIQKLTNAADGATAREKAGNTEVKGNGEHSDEEDLLKYRPNPDMMDTKSAPDGQDNDGVYHPPKFMPAIMEEEDKRRKQDSRKDKAIARMAKENPYIKEMIDDAADRPEEWKETVGDESKEFVRYMRQREEQEKQEEELFTRAPVTKRDKQIEKRIRRQLHGLGGLADGFDLGMNMLFDGDKEDDGGSSKSHGKSGKRKKHLKSSSKKRKRH >KQL03523 pep chromosome:Setaria_italica_v2.0:V:2926473:2927785:1 gene:SETIT_004106mg transcript:KQL03523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPQCELLMAHETRDLDAGQPHLTASAGVATIPSELSFHLLHSLDAAAAVAPQPTIDYFFGGAADPHQPAVQYEPLAAGHQHTMNMLRDYCNGHYTAAAEPFLRGARTGALVFGATDDESAAYMPMPFESSPPPRATSGRKRSRALLGGGFHGGPAGGVEKKEKQRRQRLTEKYNALMLLIPNRTKDDRATVISDAIEYIQELGRTVEELTLLVEKKRRRMELQGDVVDAAPAVAVAAAAAGEAESSEGEVAPPPPAVQRQPIRSTYIQRRSKDTSVDVRIVEEDVNIKLTKRRRDGCLAAASRALDDLRLELVHLSGGKIGDCHIYMFNTKIHPSSPVFASAVASRLMEVVDDY >KQL03965 pep chromosome:Setaria_italica_v2.0:V:5615009:5616493:1 gene:SETIT_002017mg transcript:KQL03965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAANRRVILKDYVEGYPREEHMEVLPGAEVPLRLAGDDPPGSVLVRNLYLSCDPYMRPKMSRPLRESYTAAFTPGSVITGYGVARVLDSSDPRLAPGDLVWGITGWEDYSVVKPPATAFLAKISHGGEGGGVPLSYYTGILGMPGLTAYVGFHEICAPKKGETVFVSAASGAVGQLVGQFARLAGCHVVGSAGSKEKVELLKTKFGFHDAFNYKEEADLAAALKRCFPEGIDIYFENVGGAMLDAVLLNMRVHGRIAVCGFISQYNLREGEKDAVRNLSAVIGKRLRMQGFIEPDHKHLYPQYEAWVLPYIRDGTIAYVEDVAEGLESAPKALIGLFHGRNVGKQLVRIADDE >KQL06445 pep chromosome:Setaria_italica_v2.0:V:33490336:33492721:1 gene:SETIT_003403mg transcript:KQL06445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGSGFADDVPIIHAENLVSNVKSINYSRTFLSIISGVVAGIWGFTGLMGFVFYFLVMMVASLGILVKAKFSVHTYFDSWNRIIIEGVLGGLMSFVLFWTFAYDIVHIF >KQL05082 pep chromosome:Setaria_italica_v2.0:V:15547016:15547267:-1 gene:SETIT_005412mg transcript:KQL05082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMLSKTTAPHINYLPFSGCILGLWSPIYLAVWMY >KQL07540 pep chromosome:Setaria_italica_v2.0:V:40399296:40401133:1 gene:SETIT_000978mg transcript:KQL07540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDTLQALDDLISQFLSLNRSLWSSSDDANAFLEAVDELTSTIHGLENTSEDHVLLESFDLLLERCSMRLKDEFQHLIATSGFDDNHGDHNIKKSQDVDDSHTFVALPIRDFGIIVDALPEGVSTEANRIARRMIAAGFGDICVETYASARRNFIDESIARLGVHAKLAERFKSASWEELETQIMRWIPAIRVVFHILIPSERNLCNCIFDGFTSYSDLAFATACKPFLQLLSFANFIAAAGQNPESLFRIVDMYDALTCILPVLDETFDHEIAALRECLGLSIKGIFVALEKLIRGDSSESAPPDGGVHPITRYVMNYLMAACASRHTLEEVMHLEFGCAETCPINPDRPTSSLAVCFAWIVDVLIKNLESKSRIYGHVPLSRVFLINSGIYIIKKVNGCELKVLLGEDWIRVISAKVHQWVLEYRRATWGRAIMILETDRRSGSSSSVVVEKLNHFHDFVQAICQVQSRWVLVEKQQAMDLSTMVEELVIPVYRDTIEMLNATEAVGASYVRPEDVKLQIQHLFKAMAKL >KQL05399 pep chromosome:Setaria_italica_v2.0:V:23858943:23860336:1 gene:SETIT_005065mg transcript:KQL05399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRGAAAGQGAAEAIREGAARRRSVGRPWWSKEQWRGKEQRSSSGRARWRSIGRAMEQGARGSWISGHLSLRSGGGGNQRAKERQRRRIPQQCECNLFCIECEARPTALCYYCHLDHYSGHRIRRSSYRDVIKVSELEDILDISDVQTYVINSAKVVFSNKRPQMRTCGPLSSSSYKYVDAGNSSDSNTRCEKGICNDNNKGEPPSKRVVHHHRKGKRAPLF >KQL04288 pep chromosome:Setaria_italica_v2.0:V:7922632:7923087:-1 gene:SETIT_003151mg transcript:KQL04288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRRSNVFDPFSLDLWDPFDNMFRSIVPSAGSDSDTAAFAAARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGQRSKEKEDKNDRWHRVERSSGQFMRRFRLPENAKTEQVKAGLENGVLTVTVPKAEEKKPEVKAIEISG >KQL04287 pep chromosome:Setaria_italica_v2.0:V:7922444:7923176:-1 gene:SETIT_003151mg transcript:KQL04287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRRSNVFDPFSLDLWDPFDNMFRSIVPSAGSDSDTAAFAAARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGQRSKEKEDKNDRWHRVERSSGQFMRLSRSLVKHRIWNYDGRKWWWCDVMLRVVLVCSVHELVLWTMCTSLAVYQSCVSLRKK >KQL05070 pep chromosome:Setaria_italica_v2.0:V:15249633:15250119:1 gene:SETIT_003574mg transcript:KQL05070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGYTFHVVKTRGRLVCFCKRLCSLAEVIDRKNASGFCEPDHLWQSIIFLSTCDSSSRQFLALVWRSTVAAGWSKTVHVEV >KQL04055 pep chromosome:Setaria_italica_v2.0:V:6165676:6166128:-1 gene:SETIT_005401mg transcript:KQL04055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPVEYLRRRGFGACGVGGIRQLGTAAAGWRE >KQL08018 pep chromosome:Setaria_italica_v2.0:V:43197173:43198245:-1 gene:SETIT_0024132mg transcript:KQL08018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDDWVLCRIYNKKGGLEKPPVAAGDRKPALLAPASAGSPPEQKPFVAAPGGLPPAFADLAAYYDRPSDSMPRLHADSSCSEQVLSPEQQFAGCDREVQSQPKISEWERTFASDPVNPAGSLLDPVGHGGDGIGGDPLLQDILMYWGKPF >KQL05534 pep chromosome:Setaria_italica_v2.0:V:25529784:25534426:1 gene:SETIT_004309mg transcript:KQL05534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIACLSSWTTSTTLADPRATVVREFCNKTRDDGPEAVWANNFVVALENLNSDLEQKGWGGNFRWARSYYVDCTLCYSEIRSLLPKCYPEIGGRIYLDGCFMRYANYSFFDEVMDSLDTSVCSSSKHSSDQQGFSSSVNDVLSNATYLAVKRNKGFAVSSVSRSPKVAAYALAQCWENLNTSSCAACLSAAAASVANCAPVEEGPPFWNSEDSASRFSSKKRVVLWTVLSSSVGVTLVLIISVLAWQKKKAHQARETSLRGFYGSDLPARISQSSLNFSYKDLKKATCSFSLANKIRQGSNGTVYKAVLPGGNEVAAKRLFLNTKQCIDQFFNEVDVISQVRHKNLVKLLGCSVDGPESFLVYEYHFHRSLDLFIFADDQNSRLDCKQRFDIICGIAEGLCYLHEESETRIIHRDIKASNILLDQKLKPKITDFGLARVLCGDSDRTHLTTGIAGTVGYMAPEYVVHGHLTEKVDVYSFGVLVIEVVTGKRCCALTGSHSGHSLLAEVWQSYKANAMEKIVDARLQRQVGASAFEETTRVVQVGLLCTQANPDKWPAMDGARGGAEFVLGDPPFFEVDVAVVGGGGSNEASTLLPGNSASDEVSGLYYEFTGR >KQL06344 pep chromosome:Setaria_italica_v2.0:V:32737065:32741456:-1 gene:SETIT_004598mg transcript:KQL06344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIDLNTVEEEDEEAETPLGLREGGTVCLDLWHACAGPVAPLPRKGSAVVYLPQGHLEHIGGDAAPAAVPPHVFCRVVDVNLHADDATDEVCARVSLLPEDEEAERRARARAREDEDAARYGEGGGAMRPLARTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYSLQRPSQELVAKDLHGTEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGVLRLGVRRAAQLKNVNLIPAPHNQCSNHRNLGNVTEAVATRTVFHIYYNPRLSQSEFIIPYWKFMRSFNQPFSVGMRCRMRYESDDASERRCTGIIIGSREAEPMWHGSKWKSLVDLKLICAPPNSKRLKPCLPQVNPEIVLPYGSVSSDFAGSARFHKVLQGQEFLGFKTHDVTAISASHATEARNLQDSDERSSYINMSNNIFGVPRFGVRSPAGIPRFPYHCSGFGESQRFQKVLQGQEVFRPFRGGALADVHIRTVGMYQPDGTHASSAAYKWPTPQGCDIRHPTKPVLLQASSPSSVLMFPQTSSKIPHLEYEYSCLDEDEDGRRDRAVPTQDMGRSNQALSLWPHLVSGEAIDECTVTEKMHSPVSGAEHESNNESTVENGCKIFGISLAEQVRSCDEADSCNANCNSRLQPSQSQMQSLGTCWATVSAS >KQL07660 pep chromosome:Setaria_italica_v2.0:V:41068802:41069355:-1 gene:SETIT_0044272mg transcript:KQL07660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEWINASFLAAVMYRSPFYMPAAPQAPAANALYYHPQHQHQVPASVMYPRMEVAQDKMIPAAAQHNHHHHRHHPAAGAMYHAAAAGNNASTTPMHVLHFPPAAAVDAGPSRETLQLFPLQPTFLLPADKGRAAGGGASMAPAPSTASASFSGESESLEESPDSYSEALAVPFYDFFGLQSGGR >KQL04485 pep chromosome:Setaria_italica_v2.0:V:9358762:9359819:1 gene:SETIT_002351mg transcript:KQL04485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEEERLLDLARMVPATLLLVGTSEKVITSIKGARELLAGDKWGFDDSDDPESPPSNPAPGGDRSASDPVETAGERGEHSVGGHVETTGGGDHSVGGVPLKTTCGSPASLRCGVPVNNDGGEGTVGVRDGTPRVFDLWADAADLLASALTPEGHLLVAYGEITRLVSLHAEAGHVFVVCAARLGLLPDDDSARLGGQTGEDDDAPIGLRPDNDAPWKRWMDLREAAVRHAHDALLRLSSTASAAAAAEDFLRWRSTESPRREGWRSAARQLVEDARRSLGEAKDAVRLMRDAVLCEFFETWMILKRA >KQL07903 pep chromosome:Setaria_italica_v2.0:V:42427001:42429767:1 gene:SETIT_005450mg transcript:KQL07903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHRAGGAAGGGDTSAFFAATLVLWAVSVGFEIGVRGRRELAPVAAGFAFFQAANAAVRASVSRDPLFVNTAVSLLHSSLTSASVIFVLVNQWRNKGLENMFEHEELFGGSWIGAYSALCFSCGYFAYDQLDMLRYRLYSGWIPGILMHHLILLICFTLALYRNVTINYLILSLVCELHSIFLHIRKVRRMAGFRDSNRKVVKLEWVLNWTTFVTARVVCHILITYKLIADAHKFGKGIELPLALLGMAGMNLLNIFLGLDLFKAYARERNQQRHQD >KQL08137 pep chromosome:Setaria_italica_v2.0:V:43734217:43738914:1 gene:SETIT_001247mg transcript:KQL08137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGGEAEVARADFPDGFVFGVATSAYQIEGARREGGKGDSIWDVFTEDKERVLDRSNGDVAVDHYHRYKEDIELMASLGFAAYRFSISWARIFPDGLGGKVNEQGVAFYNNLINFMIAKGIEPYATLYHWDLPNHLQKTLGGWLSDKIVDYFALYAEACFANFGDRVKRWITINEPLQTAFNGYGIGVFAPGGCEGETARCYLAAHHQILAHAAAVDVYRRKFKVAQGGEVGLVVDCEWAEPFSEKVEDQIAAQRRVDFQLGWYLDPIYFGDYPESMRQRLGSDLPTFSEKDKEFIRNKIDFVGLNHYTSRFIAHHQNPEDIYFYQVQQVERIEKWNSGEKIGERVRTQN >KQL08138 pep chromosome:Setaria_italica_v2.0:V:43733848:43740633:1 gene:SETIT_001247mg transcript:KQL08138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGGEAEVARADFPDGFVFGVATSAYQIEGARREGGKGDSIWDVFTEDKERVLDRSNGDVAVDHYHRYKEDIELMASLGFAAYRFSISWARIFPDGLGGKVNEQGVAFYNNLINFMIAKGIEPYATLYHWDLPNHLQKTLGGWLSDKIVDYFALYAEACFANFGDRVKRWITINEPLQTAFNGYGIGVFAPGGCEGETARCYLAAHHQILAHAAAVDVYRRKFKVAQGGEVGLVVDCEWAEPFSEKVEDQIAAQRRVDFQLGWYLDPIYFGDYPESMRQRLGSDLPTFSEKDKEFIRNKIDFVGLNHYTSRFIAHHQNPEDIYFYQVQQVERIEKWNSGEKIGERAASEWLFIVPWGLRKILNYIAKKYNNPTIYVTENGMDEEDDQSATLDQVLNDTTRVGYFKGYLASVAQAIKDGADVRGYFAWSFLDNFEWAMGYTKRFGIVYVDYKNGLSRHPKASALWFSRFLKGEAAENKADTN >KQL08139 pep chromosome:Setaria_italica_v2.0:V:43733848:43740633:1 gene:SETIT_001247mg transcript:KQL08139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGGEAEVARADFPDGFVFGVATSAYQIEGARREGGKGDSIWDVFTEDKERVLDRSNGDVAVDHYHRYKEDIELMASLGFAAYRFSISWARIFPDGLGGKVNEQGVAFYNNLINFMIAKGIEPYATLYHWDLPNHLQKTLGGWLSDKIVDYFALYAEACFANFGDRVKRWITINEPLQTAFNGYGIGVFAPGGCEGETARCYLAAHHQILAHAAAVDVYRRKFKVAQGGEVGLVVDCEWAEPFSEKVEDQIAAQRRVDFQLGWYLDPIYFGDYPESMRQRLGSDLPTFSEKDKEFIRNKIDFVGLNHYTSRFIAHHQNPEDIYFYQVQQVERIEKWNSGEKIGERAASEWLFIVPWGLRKILNYIAKKYNNPTIYVTENGMDEEDDQSATLDQVLNDTTRVGYFKGYLASVAQAIK >KQL03171 pep chromosome:Setaria_italica_v2.0:V:183399:185024:-1 gene:SETIT_003283mg transcript:KQL03171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEEAADKGGGYGTFQGPPSYPPPRPPPLGFPQPVPPPALSAAHHRAAYHKAAAPPAQDYESGVRGHGHDRLPCCGIGFGWFLFVIGFFLGAIPWYVGAILLCCSTVDHREKPAYVACTIAAALATIAVIIGATAGAHVY >KQL07104 pep chromosome:Setaria_italica_v2.0:V:37668491:37670779:-1 gene:SETIT_002907mg transcript:KQL07104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMKLYGATMSWNVTRCAVALEEAGAEYEIVPINFATAEHKSPEHLARNPFGQVPALQDGDLCIWESRAICKYAARKNKPELLKEGNLVESAMVDVWMEVEANQYTCVLDAILFQCLISPMLGGTTDQKVVEDNLEKLKKVLEVYEARLTKYKYLAGDFLSLADLNHVSATLCLFATPHASVLDGYPHVKAWWSSLMERPSVQKVAALMKP >KQL07103 pep chromosome:Setaria_italica_v2.0:V:37667889:37671001:-1 gene:SETIT_002907mg transcript:KQL07103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMKLYGATMSWNVTRCAVALEEAGAEYEIVPINFATAEHKSPEHLARNPFGQVPALQDGDLCIWESRAICKYAARKNKPELLKEGNLVESAMVDVWMEVEANQYTCVLDAILFQCLISPMLGGTTDQKVVEDNLEKLKKVLEVYEARLTKYKYLAGDFLSLADLNHVSATLCLFATPHASVLDGYPHVKAWWSSLMERPSVQKVAALMKP >KQL06252 pep chromosome:Setaria_italica_v2.0:V:32073111:32074253:1 gene:SETIT_003750mg transcript:KQL06252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWTRLPANALRFVSDRLADPQDFVSFRATCPEWRSAVPPDAHWRFQPWVVESDPNDDSGNVLFYSPASGEYYLIHLAALEGRRVAGYGAGLLLGIDSEDELSAVLVNPLTAESTLAPRLPECFRGTPTYGFATDPGVTGENEIVVAVYNRPAGQARGRVALWRRRDAGGWATVPAETFWMRMPMLRARLLAHGPHVLEGEEAAIAAVNGHAHGHVEWLPGMRGAHVVEHEGQVRVLVRLEQPPVVIFQGQDSSCYVLPASERFAGLSGNFVYFLSWQRQEEVDEDGGVGDGNPFGYFLCKWDMIGRVATVVEKVPGTWEQQKPGRWFLPTFKY >KQL06964 pep chromosome:Setaria_italica_v2.0:V:36762032:36763259:-1 gene:SETIT_002727mg transcript:KQL06964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSTKQLLPVPHRQDPNSPSSSTSSSSSSSTSPSHPHHHRAQPHNLPPSPRPIPRTIDTTPFPTTFVQADTTSFKQVVQMLTGAEQPAKNDATTAAAAAGSSGSAGTSGGGQAAGGPCRPKKPSFKLYERRSSMKNLKMIAPLAMGPPPSPRRATPEILSPSVLDFPSLRLSSPVTPLTGDPFNRSPASTSSSEEAERAAIAERGFFLHPSPRGAEPPRLLPLFPVTSPRMAAPAAAPSE >KQL06928 pep chromosome:Setaria_italica_v2.0:V:36482835:36486109:1 gene:SETIT_003922mg transcript:KQL06928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPWRSPHLLGLVLALLPSCCLLPRAAAQDDARLLLEIKRAWGDPPVLAGWNASAAGAHCAWPYVACDTAGRVVNLTLASANVAGPFPDAVGGLTGLTYLDVSSNNITGVFPTALYRCSALQYLDLSWNHLGGELPADTGSRLGANLTTLVLSGNRFNGTIPASLSSLRNLQNLKLDDNKLIGTVPAELGKLTRLQTLWLAYNPFDAGDLPASFKNLTNMTSLWAAQCKLVGDFPRFVADMPELEVLDLSINTLTGSIPPWVWSLKKLQSFAVYRNNLTGDLVVNDFAAMGLTLIDVSENYKLTGVIPEVFGHLENLTRLSLFRNNFSGEIPASIGRLPSLSVLRLYSNRFTGILPPELGKHSTFTYMEADDNELIGTIPEGLCARGQFMTLTAKGNHLNGSIPEALANCTSLRSLQLDSNQLSGEVPQALWTIGIKTLFLRNNRLTGRLPATMDSNLTTLHIENNQLFGSIPATAAALQVFTAENNQFSGDMPASLGDGMPRLLTLNLSGNQLSGGIPRSVAELTQLTQLDMSRNKLTGAIPAELGAMPVLSVLDLSSNKLSGNVPQALAMLTLTSLNLSSNQLSGQVPAGLATAANGNSFLDNPGLCTAALGRPGYLAGVRSCAGGSQDGSSSGGVSHALRTGLLVAGTVFLLIAAAISFFAVLVMKRRRRVAEQDDWKITPFVKDLGFGEASILRGLTEENLIGRGGSGRVYRVAYTHRRSGNAGTVAVKHIRTAGTLDENLEREFESEASILGSVRHNNIVRLLCCLTGTEARLLVYDYMDNGSLDKWLHGEGPGAVGGHLTARARRAPMDWPTRLGVAVGAAQGLCYMHHECEPPVVHRDVKTSNILLDSEFRAKVADFGLARMLAQDGAPETMSAVAGSFGYMPPECAYTKKVSEKVDVYSFGVVLLELTTGKEANDGGDHGSLAEWARHHYRSGGSIPDATDRSIRYAEYSEEVEVVFRLGVACTGDLPSSRPAMKDVLQSLLKCSDQTHQKSKTERELEYEEAPLLVPQRGSRRKQLSNGTGIDIEEKSDFDSII >KQL07471 pep chromosome:Setaria_italica_v2.0:V:39905397:39910688:1 gene:SETIT_000789mg transcript:KQL07471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPHPDSHLTLVLLVRQGKSRSEKVSLVMASSGISWTLPDHPKLPKGKPVAVVVLDGWGEADADQYNCIHVAQTPVMDSLKNGAPEKWRLVKAHGTAVGLPSDDDMGNSEVGHNALGAGRIFAQGAKLVDKALASGKIYEGDGFNYIKESFENGTLHLIGLLSDGGVHSRLDQLQLLLKGASERGAKRIRVHILTDGRDVLDGSSVGFVETLENDLSQLRGKGIDAQIASGGGRMYVTMDRYENDWTVVKRGWDAQVLGEAPYKFKSALEAVKTLRAEPKANDQYLPPFVIVDDSGKAVGPVLDGDAVVTINFRADRMVMLAKALEYADFDKFDRVRVPKIRYAGMLQYDGELKLPNRYLVSPPEIERTSGEYLVKNGIRTFACSETVKFGHVTFFWNGNRSGYFDETKEEYVEIPSDSGITFNVAPKMKALEIAEKARDALLSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADEAVKMILDAVEQVGGIYLVTADHGNAEDMVKRNKAGKPLLDKSGGIQILTSHTLQPVPVAIGGPGLHPGVKFRTDIQTPGLANVAATVMNLHGFEAPADYETTLIEVADN >KQL03274 pep chromosome:Setaria_italica_v2.0:V:674498:676003:1 gene:SETIT_002265mg transcript:KQL03274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLIFLQFSGKRVAILGLGRIGLAVAKRAEAFGCSISYHSRSEKPFPFPNYKFYANAVDLAANCDVLVVACSLNAETYHIVNREVIDALGPEGVLINIGRGAHVDEPELVSALVEKRLGGAGLDVYENEPFAPEQLFSLDNVVLVPHFSGKRVGIIGLGRIGLAVAKRVEAFDCPVNYYQRTKQDYPNYTYYPSVVELAANSDLLVVACPLNAQTRHIVNREVMDALGPKGVLINIGRGPHVDEPELVAALVEGRLGGAGLDVFEDEPNVPEALFTMDNVVVVPHIGSGTNETRKGMADLVLGNLEAHVLKKPLLTPVL >KQL03273 pep chromosome:Setaria_italica_v2.0:V:673054:676324:1 gene:SETIT_002265mg transcript:KQL03273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLGVLLLHPMNAYLEQELDRRCRLHRFWEAPPGPPRDEFLRAHAGSIRAVVGNASYGADAALIDALPALEIVASFSVGIDRVDLAKCRERGIRVTNTPDVLTDDVADLAVGLAIAVLRRIPQADRFVRAGLWKAKGDYALTTRFSGKRVGIIGLGRIGLAVAKRVEAFDCPVNYYQRTKQDYPNYTYYPSVVELAANSDLLVVACPLNAQTRHIVNREVMDALGPKGVLINIGRGPHVDEPELVAALVEGRLGGAGLDVFEDEPNVPEALFTMDNVVVVPHIGSGTNETRKGMADLVLGNLEAHVLKKPLLTPVL >KQL03476 pep chromosome:Setaria_italica_v2.0:V:2587762:2588959:1 gene:SETIT_005429mg transcript:KQL03476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARLNLLDGEITNDDSRRTAEARRMKDELEKKYNAMRIQRRGFKMQY >KQL04219 pep chromosome:Setaria_italica_v2.0:V:7316467:7317293:1 gene:SETIT_002826mg transcript:KQL04219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGVASNPLMLSLEAAVLLLVAAGVPAAALRDDMDAIRVPTHVRGVADLVTAVARATKGDDEKRPWKCCDLAMCMRSWPPICRCLDEVERCSGACRNCEETGDSRRTCVDWYKGQPGPQCHHKDAAERQAAAAADAEKKGGDEKRPWKCCSLPICTRSQPPICHCWDEVKRCSSACVHCEVVEGSSGGPRRYRCLDTHHGDPGPRCREKQWAPTVATSYRF >KQL06403 pep chromosome:Setaria_italica_v2.0:V:33119297:33120772:1 gene:SETIT_004037mg transcript:KQL06403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFAPFARIRGHGLTCIFGCALLFDETTETFKWLFSTFLHSMGEKEPQSIMADQDNAMKSANAQISHMQIIEFQTINKHEIQRIKYFQDIYDSRERWAPVWFKQDFYPFVSTTTRSEGINERYKRIVGPQYSITSFLKDMEAYNLKIFHKFQWQLRHATKLQADEIEKNRMYEVYTCEDQLVQECRRRRYIVIIDLQNEDLSCICAKFQKDGMLCCHILKVMMKNDISKIPENYIIDRWRKKETMIQRTNECLPVEATTLRFNNLSLMAAEMNSKGAKSDDKYNYLSKEIERPTKSLKRCTQMMSGASNRNITGASIHLIDPDVAATKGKPTKLKSNKRMVPKAEEMRKKKEQKYNCQKCKSSCHNIATCPLDLTIGGLPTRIADHNLYKNLCKPY >KQL08405 pep chromosome:Setaria_italica_v2.0:V:45244085:45250174:-1 gene:SETIT_005455mg transcript:KQL08405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVIPAALNEVLTIRDDLGRNVVKRLIDIWEDRKIFDTQGQSLKDEFFRRLKDIRNKLKNPAGELLEKVVSSYKHVLNAPMDEDTLMQKCQAALIDFDELNKAYRNNSFLGSSNQSGFEELQQQHSILRNSIEQLKMSESLRATLIHHLKEALNEQELKVEQVRSQLQAAQSRYKKAGELCRGLGIDVERHQPSNQGLKSSLSETPASIAPDSANTKSFQKGQSSAVLYSQEGNGAEHNAIAANVLTKLAVGAASDKIRDGVLPSRANGGNTAVKIDEHSSGNKRQKLEDDIHTSQPQSESPPPPPPFPHPDAFQPPPPPEYPPDAFQPPPPPPEYPPSPEPSPPPPPTSTPPHIIPPPLPTTMPPQIISPLPPTAGTYAPFPAGPPGPMYGTFPFTPVVNFPMNIPPGFPSPPTPPPAFQGLAGTFYGPPPFPTATPPTDKK >KQL04873 pep chromosome:Setaria_italica_v2.0:V:12585119:12586826:1 gene:SETIT_001923mg transcript:KQL04873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARKTARALLASFVLAALAAQAFVGVVEARTSPMEKASQGDVKKPDCVPGVDPHTFPGIPGHGGGITPVPSGGTTPSHGSGYVPTPSHGGGTGGALPSPSHGGTGGALPSPSHGGTGGELPSPSHGGSGSSPSTGGGYGGSPSTPSHGGSGSSPSTGGGYGSSPSTPSHGGGGYGSSPSTGGGYGGSPSTPSGGAYGGGSPSPSHGGGAYGGSSSPTPSYGGSPSHGGIGTSSPTPFLPVDPHSLGSLPGSCDYWRSHPMEIWSALGGRFPSSMGHFFGGAGGLGGAGGLGGAADLSIQDALANTRSDGAGALLREGAAALLNSMTRAGFPYTTEQVRDAFGAAAAGGSDSAAAAQAAAFKKANEGKA >KQL06291 pep chromosome:Setaria_italica_v2.0:V:32401401:32404022:1 gene:SETIT_000788mg transcript:KQL06291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVNLKYVILDWQEWHSMTLLQQYSGRYVDFGRLDSCSTLFNRLHSYSVSQIFQDYVATRWYRAPELCGSFFSKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTVSRIRNEKARRYLTSMRKKQPVPFSERFPKADPSALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERKKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTSFLYPSAVDNFRRQFANLEENGGKSGAHIPPSSDRKHVSLPRTTTVHSNPIPPNGTSQIPQRIPTARPGRVVGPVIPYENLAVVDPYSQRRVARNPVLPPVTSNLAAYTYHRKSDNSDRELQQELEKDRMQYQPAQRFMDAKVVPQMSPDLRSSYYIPKGVPKADVMERAALHSSMIHGIAPFNGITAVGGGGGYNKVNAVQYGVSRMY >KQL06292 pep chromosome:Setaria_italica_v2.0:V:32398562:32404773:1 gene:SETIT_000788mg transcript:KQL06292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMDHHQQQQRRKGSSEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDQHTGDKVAIKKIHNIFEHLSDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMDTDLHQVIKANDDLTREHHQFFLYQMLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTVFWTDYVATRWYRAPELCGSFFSKYSPAIDIWSIGCIFAEILTGKPLFPGKNVVHQLDLMTDLLGTPSLDTVSRIRNEKARRYLTSMRKKQPVPFSERFPKADPSALKLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKMEFEFERKKVTKEDVKELIFREILEYHPQLLKDYMNGTEKTSFLYPSAVDNFRRQFANLEENGGKSGAHIPPSSDRKHVSLPRTTTVHSNPIPPNGTSQIPQRIPTARPGRVVGPVIPYENLAVVDPYSQRRVARNPVLPPVTSNLAAYTYHRKSDNSDRELQQELEKDRMQYQPAQRFMDAKVVPQMSPDLRSSYYIPKGVPKADVMERAALHSSMIHGIAPFNGITAVGGGGGYNKVNAVQYGVSRMY >KQL07738 pep chromosome:Setaria_italica_v2.0:V:41469000:41472491:1 gene:SETIT_003101mg transcript:KQL07738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKSSAQHQPHAMEPKKSSPRGAGAAAAAANDAESPLSSLFYPPAPGANGKDQDLYSILYKGQSGSAQPGMTDGKPQWTPSKSRSTYTKDSKHSLPHDSVDTSCFGSSVHYGGRDYFYGSSTTKQGTESSTNYKADKKDPAADSHGDWWQGSFYY >KQL07736 pep chromosome:Setaria_italica_v2.0:V:41469105:41470567:1 gene:SETIT_003101mg transcript:KQL07736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKSSAQHQPHAMEPKKSSPRGAGAAAAAANDAESPLSSLFYPPAPGANGKDQDLYSILYKGQSGSAQPGMTDGKPQWTPSKSRSTYTKDSKHSLPHDSVDTSCFGSSVHYGGRDYFYGSSTTKQGTESSTNYKADKKDPAADSHGDWWQGSFYY >KQL07737 pep chromosome:Setaria_italica_v2.0:V:41469000:41472491:1 gene:SETIT_003101mg transcript:KQL07737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKSSAQHQPHAMEPKKSSPRGAGAAAAAANDAESPLSSLFYPPAPGANGKDQDLYSILYKGQSGSAQPGMTDGKPQWTPSKSRSTYTKDSKHSLPHDSVDTSCFGSSVHYGGRDYFYGSSTTKQGTESSTNYKADKKDPAADSHGDWWQGSFYY >KQL07739 pep chromosome:Setaria_italica_v2.0:V:41469000:41472491:1 gene:SETIT_003101mg transcript:KQL07739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPKKSSAQHQPHAMEPKKSSPRGAGAAAAAANDAESPLSSLFYPPAPGANGKDQDLYSILYKGQSGSAQPGMTDGKPQWTPSKSRSTYTKDSKHSLPHDSVDTSCFGSSVHYGGRDYFYGSSTTKQGTESSTNYKADKKDPAADSHGDWWQGDLGASPLENKELKGGDSEVRFP >KQL04692 pep chromosome:Setaria_italica_v2.0:V:11001434:11003025:1 gene:SETIT_004902mg transcript:KQL04692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGVIVASVVGACRVRPLCEAVSPTAADHANITVHLAKLPCLRRLMCVLAATSVLSASSRLRRLTDELVYALTPTSRLLVGFKSLSHHHHDTPLGERQTFWEMADHDKAFNALINDGLASESRFIMDIAINECGGEVFQGITSLVDVAGGLGEAAHDIARAFPNLKCSVLDLDHVVARAPYNTDVQYIAGDMFVSVPPANAVFLKECVKILKNCKYAIPPRDAGGKVIIMDMVVGAGPPSSKHREMQAQEWRKIFLEAGFSDYKIMPVLGIRSIIELYR >KQL07758 pep chromosome:Setaria_italica_v2.0:V:41577258:41582762:1 gene:SETIT_000991mg transcript:KQL07758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATPAFLLPALFPKPNFLAAPLHPSLPRGRQLRCSPNGAAVPESPKPASRRGRKKSPSPSPSPSAPKAKATRRRTKKEGQESDSEGQEEPAKRTSRRTRKSKEETKQEEIVAQMASDGTEETSQESEEEDGLEVGGDYDDAEDFANDWPPLVCCFGAPRWEFVPTVRVSDRQMHPDQYSTWLHLQWEPPEFARAPGSAASNVAIAHTRLGGRAAVLGKVGDDDFGRELVYRMNCERVQTRAIKFDGKAATAMARMKVSFRDREDGKGGTKLVAETVKSAAEDSLRKNEINVDVLKEARMFHFSSEVLLNPSMHDTLFRAIEMSKKFGSKVFFDLNLPLPLWTSRDETKEVINRAWKEADIIELSRDELEFLLDHEYYEYKRRTPPQYYLEGFHFTRNWPQYYHYSPEEIAPIWHDGIKVLLVTYGTLRIHYYTPKFHGCVVGTEDALITPYTTDRTGSGDAVVAAAIRKLITCPEMYEDQDTLERQLRFAVAAGIISQWTIGAVRGFPTESAAQNLKEQVYVPSMW >KQL08372 pep chromosome:Setaria_italica_v2.0:V:45094910:45096471:1 gene:SETIT_003025mg transcript:KQL08372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVQYRPACPRGFYKDLFCSSSCFPRLPLSASRRLLRFLFLPPTRSIDRSLLAEARVRILASPSKNMTGTAGGDGCGEQYSRMIRELCALLLTIVSPSPAALGRPAPGMSPAAAAAMLLGASMALMLCGSVTFAIGLLLMPWVAGLALLFGFAGAVSTLSSGFFGKDAALPCKDETRGRICSPIGSDMLVA >KQL05861 pep chromosome:Setaria_italica_v2.0:V:28501536:28502523:1 gene:SETIT_0051851mg transcript:KQL05861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DYNCSVEFFWSPFLVKLETKINRTRALKLDQLPAMLQRTLGADVLVFNTGHWWTHTGKLRAWDHLERNGKMVEMEGEEAFNIALRAWAKWVDHSIDPSRTRVFFRSVSPEHKSVNWCYNQTFPISAGTVAPGFPKSLVTIVERNIKSMRTPVTYLNITHLSELRIDAHPSVYTITREGKPLTTEQQRQPLTYADCSHWCLPGLPDTWNVLLLDSLMRPPSNNSI >KQL05966 pep chromosome:Setaria_italica_v2.0:V:29531961:29535087:1 gene:SETIT_000503mg transcript:KQL05966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGGGRRRYSSEQLLFDVPANAGAGRWAPQQRGEVRRGDGEIFVSVEPATPARLRGGDAAAGGSPGQRQQLSPGLLDLHAFDTELIPDFQVPGMYDGAQKFGYGGSLDDSDMSFPANKHMSKSTIFPESNYLKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEERSNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLNDRSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGSATRSTGTTGANEESSRSHAILQLAIKRRVDGSDSKPPRPVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNVKKDVPLAAPLRESSPSPLPSLVPSFSASEVMNDITERSNFGWPKQQQYVKEQPAPTFADRMPKVKEGVEFSSLNGIYFKEQRSKGGMAPNIAEVPDIMYQQGRQPTRKAKDSGLEINMRNSIAYPVRSAEPDEEDDHLNDLLQVMQLPLQDYLYTT >KQL05967 pep chromosome:Setaria_italica_v2.0:V:29531893:29536016:1 gene:SETIT_000503mg transcript:KQL05967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGGGRRRYSSEQLLFDVPANAGAGRWAPQQRGEVRRGDGEIFVSVEPATPARLRGGDAAAGGSPGQRQQLSPGLLDLHAFDTELIPDFQVPGMYDGAQKFGYGGSLDDSDMSFPANKHMSKSTIFPESNYLKAFPEKEKAAPVAKIKVVVRKRPLNKKEISKKEEDIIDIEERSNSLTVHETKLKVDLTEYVEKHEFVFDAVLDEDVSNDEVYRETVEPVVPAIFNRTKATCFAYGQTGSGKTYTMRPLPLKASQDILRLMHHTYRNQGFQLFVSFFEIYGGKLFDLLNDRSKLCMREDGKQKVCIVGLQEYRVSDVETIKELIEKGSATRSTGTTGANEESSRSHAILQLAIKRRVDGSDSKPPRPVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQTHIPFRGSKLTEVLRDSFIGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNVKKDVPLAAPLRESSPSPLPSLVPSFSASEVMNDITERSNFGWPKQQQYVKEQPAPTFADRMPKVKEGVEFSSLNGIYFKEQRSKGGMAPNIAEVPDIMYQQGRQPTRKAKDSGLEINMRNSIAYPVRSAEPDEEDDHLNDLLQEEEDLVSAHRKQVEETLDILREEMNILGEADQPGNQLDDYISRLSSILSQKAAGIVDLQSRLEQFQRRLNENNVLLYAQCP >KQL03524 pep chromosome:Setaria_italica_v2.0:V:2933484:2935586:-1 gene:SETIT_000584mg transcript:KQL03524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDNGNLVLLDGGNSSNVLWQSFDHPTDTLVPEGWLGENKLTGEYQALTSWRNAEDPAPGMFTDTVDRNGSSEFFFLWNSSRVYWRSGVWTGRVFANLPEAVNNVLFNQTYIETPAYRRVTSVLYDNATITRMVLDLTGQTKQYIWVAESQSWQFFWAAPTVQCDVYALCGAFGICNQRTQPPCQCPPGFAPATERDWGLKDWSGGCHRSAPLRCGGNGSTDGFLELPDMKLPDDSFSVSAQSRAECESACLKNCSCQAYTFSSGGGCAVWHDGFQNLEQLYGDAGGSSSSLYVRLSESELQHLRGVKGKNRRLLWLVLGIVLACLAALGASALVAWMLLSRRKRLEKMDNQKGSSLHVYSYRELRAATKNFSERLGGGGFGTVYRGVVNGHTEVAVKKLEGLRQGDKQFRTEVNTLGLIQHVNLVRLVGFCSSGDEKMLVYDYMPNGSLDSYLFGSKPCPSWRDRYGIMLGIARGLAYLHEGCRECIIHCDIKPENILLDKDLCPKIADFGMAKLVGRDFSRVLTTMRGTIGYLAPEWISGLPISAKADVYSFGMVLFELISGLRNAEGYDGDGEAGQRRSTFFPVWAAARVVEGDTAAVADPRLRGDVGKGELERACRVACWCIQDQDDHRPTMAQVVQALEGVVDVYVPPVPRALQHLATLT >KQL06387 pep chromosome:Setaria_italica_v2.0:V:32993266:32994201:-1 gene:SETIT_003770mg transcript:KQL06387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFSGSSNKRPLDMVVYDAAAANKRARLEEAAAASTSSSSSSSSASATALSLHEASAPPPFGDFEPISAVPISAVPPQLLPLRRAHPPVAGREEPPCLRKHFLRGLGLRADLAVHFIDDKHLTGTDLDPHQNRFRLPRDGAVHRLRPLLTLAELHAANLLFLPDPKPRTPKNKKQRTEPPQDGAAAEGKKIKKPKAKGKVHGGLRVNLVGLAAGAKELLLSRWDSNHATVVKGEGYLDFIRRCGFREGDAVEIWAFVQRRVRLFGKDVCGDSLLHVLVVKKDQQPAGCRHCSCPPTPAVNPCLRELLMA >KQL08768 pep chromosome:Setaria_italica_v2.0:V:47012290:47013497:-1 gene:SETIT_002218mg transcript:KQL08768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRTTSLVVVAALVAVCAAAASVAAQQTDPPPPPLPSNYHIMTPGKYKRDQQLACKDDKTNKPSCSAKCNKRCPNQCIVLCPGCKTFCMCDFYPGVSCGDPRFTGADGNNFYFHGKKDQDFCILSDPNLHINAHFIGKRNPAMSRDFTWIQALGIRFADHRLYMGAAKTAKWSNDVDRLELALDDEAVSIPAEAGARWVSAAVPGLSVTRTTAANGVRVQLAGVLDIIASVVPITEEDSRVHNYGVTDDDSLAHLDLGFKFYDLTDNVHGVLGQTYRSDYVNQLSVSANMPIMGGAPKYVSSDIFATDCAVARFAGISMVTAKAY >KQL08370 pep chromosome:Setaria_italica_v2.0:V:45082401:45082700:1 gene:SETIT_004582mg transcript:KQL08370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGRVELRRIEDKASRQVRFSKRRSGLFKKAFELALLCDAEVALLVFSPAGKLYEYSSTRIPLGRRSNYTKAGEIAPAQSSGRA >KQL08711 pep chromosome:Setaria_italica_v2.0:V:46804180:46806276:-1 gene:SETIT_004378mg transcript:KQL08711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPVRKKGGGWITLPFLAGSVIGLGMAMSATSSNLIVYLIRKYNVKSIDAAQISNIVRGCMQLAPVIGAAVSDAYFGCYPVVAAGVAISLLSFVVFTLTAAFPSLRPPACAPGAAASSSPPSCQPPSAIQAAVLYAAVCLLAVGNGGTRYNAAALGADQFVGGEEERRRGRDASFFSWYFVCLYASYVAGDTALVYVQDSVSWALGFGVCVVTTGLGLIALLAGSRYYRRPVQKGSPFTALARVVVAAARKATLSSTSLARMQFLNRAAMVAEGEVSVGKPWRLCTVREVEDLKSLLRVLPLWSSGILVSTTVNAQVSLTVLQALTMDRALGPRFAVPAASMTVTVLIAFVLSAALYDRLVAPLWERLAGGRKPTPLQRVGLGHALNVASMAVAALVERRRIRVAHAHGSAATVPMSALWLVAQLALTGAEEALHLPGNTALFYQEFPATLRSTATAMPPLFIAVGSYLSTAFVDAVRRATGWLPDDLNRSRLDMVYWTLALVAAVNLGYFLVCAITYQYKNVVDGDGKDNQIMDEK >KQL05332 pep chromosome:Setaria_italica_v2.0:V:22566871:22567517:-1 gene:SETIT_004983mg transcript:KQL05332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSVAHFAHPAHELNLISYTLPCWCDLCGDRISTGTGYSCRRCCFDMREDCAKYPETLRFFFAHPWHDLTLSRAAAADIAAGAFLYRCVPCGFAVHPRCSRLPQTARSDLHPGHALTAVPAVGTCAACRRPCYVWIYRCGPCKVDLHIVCVRPSCGSSDAGDGAGDQRGARKEKGSLRGAIENRLQEMGAETIIMTLIENL >KQL05645 pep chromosome:Setaria_italica_v2.0:V:26650699:26654203:-1 gene:SETIT_001748mg transcript:KQL05645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAATAAAGRKSPVTVLLLYVACAFILLFLLTSYSPRLQPHSHGRSLHRRLKLHPKSFPSSPAASGGSEGHQQQQRNHHHHAASFDPAIAELERRLDDKEWEREHYRLLHGDEDGDGPDDHMKEWEEFLKEEEDFINDDDRFNLADRIHALFPKIDVSPTDGFVSLDELTRWNLEQARADQHHRSAREMELYDKKGNGIVSFATFNTLRQESHGDGNMLGFPWWKEEHFNASDANGDGFLDKSEFNDFLNPSDSDNPKIINLLSKQEIRGTKMVMES >KQL05646 pep chromosome:Setaria_italica_v2.0:V:26651309:26654172:-1 gene:SETIT_001748mg transcript:KQL05646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAATAAAGRKSPVTVLLLYVACAFILLFLLTSYSPRLQPHSHGRSLHRRLKLHPKSFPSSPAASGGSEGHQQQQRNHHHHAASFDPAIAELERRLDDKEWEREHYRLLHGDEDGDGPDDHMKEWEEFLKEEEDFINDDDRFNLADRIHALFPKIDVSPTDGFVSLDELTRWNLEQARADQHHRSAREMELYDKKGNGIVSFATFNTLRQESHGDGNMLGFPWWKEEHFNASDANGDGFLDKSEFNDFLNPSDSDNPKIINLLSKQEIRQRDKDGDGKLNFDEYFNGLHDHIHGYDDENADISHIGNITVAKDRFSKLDKDNDGFISEQELEPVLDKLYLSERYYARQQAIHAISEV >KQL05644 pep chromosome:Setaria_italica_v2.0:V:26649592:26654203:-1 gene:SETIT_001748mg transcript:KQL05644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAATAAAGRKSPVTVLLLYVACAFILLFLLTSYSPRLQPHSHGRSLHRRLKLHPKSFPSSPAASGGSEGHQQQQRNHHHHAASFDPAIAELERRLDDKEWEREHYRLLHGDEDGDGPDDHMKEWEEFLKEEEDFINDDDRFNLADRIHALFPKIDVSPTDGFVSLDELTRWNLEQARADQHHRSAREMELYDKKGNGIVSFATFNTLRQESHGDGNMLGFPWWKEEHFNASDANGDGFLDKSEFNDFLNPSDSDNPKIINLLSKQEIRQRDKDGDGKLNFDEYFNGLHDHIHGYDDENADISHIGNITVAKDRFSKLDKDNDGFISEQELEPVLDKLYLSERYYARQQAIHAISEADKDHDGRLALDEMIENPYVFYGSVYLSDDDDYFHDEFR >KQL05643 pep chromosome:Setaria_italica_v2.0:V:26649545:26654203:-1 gene:SETIT_001748mg transcript:KQL05643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAATAAAGRKSPVTVLLLYVACAFILLFLLTSYSPRLQPHSHGRSLHRRLKLHPKSFPSSPAASGGSEGHQQQQRNHHHHAASFDPAIAELERRLDDKEWEREHYRLLHGDEDGDGPDDHMKEWEEFLKEEEDFINDDDRFNLADRIHALFPKIDVSPTDGFVSLDELTRWNLEQARADQHHRSAREMELYDKKGNGIVSFATFNTLRQESHGDGNMLGFPWWKEEHFNASDANGDGFLDKSEFNDFLNPSDSDNPKIINLLSKQEIRQRDKDGDGKLNFDEYFNGLHDHIHGYDDENADISHIGNITVAKDRFSKLDKDNDGFISEQELEPVLDKLYLSERYYARQQAIHAISEADKDHDGRLALDEMIENPYVFYGSVYLSDDDDYFHDEFR >KQL05675 pep chromosome:Setaria_italica_v2.0:V:26939202:26940297:1 gene:SETIT_003004mg transcript:KQL05675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAPSIPSIKVKVGGVSVAPPHRACRSSFAVIRSSKAEGPIRRPAAPPLSPPPPMPPKTPALSTPPTLSQPPTPVKPAAPPASSEQPQTPLEQKPVQAAAPSAAVQKPVAGAVTLEYQRKVAKDLQDYFKQKKLEEADQGPPFGFLPKNEISNGRWAMFGFAVGMLTEYATGSDFVQQMKILLSNFGIVDLD >KQL05714 pep chromosome:Setaria_italica_v2.0:V:27184548:27191240:1 gene:SETIT_000486mg transcript:KQL05714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPPAPDAQPEQPPAASAASAPAAAAAADADAAPVEKPPAAPLTPEPDTAPGADGAGEVEEDEEYVSDPDDALLPTMRRREASDDEGSEDGRPRARIGPDQDDDGQGAPEAYDDEVDEEDEEYYDEEEEDVGEGFEEYEGRAAPPMEDGGGGGQESRGEDGVAGEEGLAEGEAKGEGEEKEQEPFAVPTSGAFYMHDDRFQEENRGRRRRMFGGRKLWDAKDDQAWVHDRFEEMNLQDDRYEDQRMSRGRFRGRGGRGKARGGGRGFPRGGKNRSYQEDGNTQNRPPKVVRGRGPRRYEAVARNSREVVGSQRKQAARFREPAPNTAAARDSGQVSHTQPEAAPPKKNVINSSLNSASPPFYPSGASNQDFPVAAQRRDIQTGGSNKVLPSSMKMDDNSKLQSGPMVRGRTTMDYGGRDRFHADGPVRSSPGRAPTTSLNSGFTSSSVNPGQSPVVRASGGSSNTGVSSNNQSTSSFHQMQRISTQMQSHAPVMHPKSGQVPNQSAARIPPQPLNHRTNNLSPAQHQPVKSTESGENGSYPSQNNSKAPSAVVKANNHETGMGSFMYGGAQVIGAAGLSQGDQNFPGTPALLPVMQFGGQHPGGPGVPTIGMALPGYVAQQQMGMGNNEMTWLPLLAGAAGAFGGSYPPYIALDPSFYSRPSGQTSSSVPSREPTANRGSKSPPRNDIGNEELDQRQNKPRRYSEMNFSQ >KQL08429 pep chromosome:Setaria_italica_v2.0:V:45425242:45428535:1 gene:SETIT_002677mg transcript:KQL08429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGGGPAGEGEWLKVAELRAMVQAQDPHAKEVDNLTLRRFLRARDHNVDKASAMFLKFLQWRREAAPGGFVPEEQVRRELSQDKICMGGVDRTGRPILVGLPARHYSASRDMPEFKSFVVYFFDKICARIPRGQEKFLCIVDLKGWGYSNCDIRAYIAAIEIMQNYYPERLGKALLIHVPYMFMKAWKMIYPFIDNNTRDKFVFVDDKSLQETLRREIDENQLPEFLGGKMPLIPLKDYVQHPQAV >KQL04365 pep chromosome:Setaria_italica_v2.0:V:8476649:8477800:-1 gene:SETIT_003921mg transcript:KQL04365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQEYLNLQRRTFVQYYRCLSTSLLNKENLDEDSNFLIMPPSALDRLTGLNIEFPMLFQIKNPSTELATHCGVLEFIADEGFIHMPSRLMAHLGIQENEVVLVRNTRLPTATFVKLRPHTTDFLGVSHHKELLEYNIRNKFQCLTAGETIAVAEGDRRFYLDLLETRPADAVCTLNTDCEVDFAPPLDYVEPPRAPAPAPVASSQGSGEPPQFTGAAARMDGKPVEQPPPAPVPAGGRHGDQPRQPAQFTGVAMRMDGKPVELPPPPTPSPAAASAGALGAPKRKIRFDAPAAGSGVSKGKEGAGAGKEQEKRFSGTQYSLKD >KQL05636 pep chromosome:Setaria_italica_v2.0:V:26577838:26582870:-1 gene:SETIT_002592mg transcript:KQL05636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFQRKNSKKVKDSEGSQKKGKDSRGKNDLFDRAKGGLDALAGSLQSAKNDAETATEKLQGDVKSGIETILHKGSGLIEKAKEELGGHSEASHSKELEQGSEEQGNKDMDALSAVMDKVKSHPEVVEKVKDEVKSLAHALHLRRHGSKDKEPEAEEKAEEGEAAQNADVAASADKTEESNVEQAVEEIQAVVAAVQQEQTAPTTETETPTKTDATAETSAEGEKPEETNREVEKDDPKKRLDFLGFFAMLFERFCSPANKKKD >KQL06287 pep chromosome:Setaria_italica_v2.0:V:32362714:32366579:1 gene:SETIT_000874mg transcript:KQL06287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGAEEEQPPQVSNMSKMQDTAPKPSLGKEPIPGSELWTDGLICAFELVKGHRKTVHHKSWPTIDQMQEKGSTMYTRKHSRRNGHHIIAPKPDESIVLENPHQTEISNDPKVLKDRPLYAGEILDHKWVPIGWTRIAELVQRVQSDSSWENELTEISDSEDDYTVADLAAPYWQRPVGPTWWCHVTAGHPFIDAWLNSAHWMHPAIRTALRDESKLISDRMKYLLYEVPVRVAGGLLFELLGQSVGDPNHEEEDIPIVLRSWQAQNFLVTAMHVKGPSSNINVLGVTEVQELLLAGGSQTPRSVHEVIAHLVSRLSRWDDRLFRKYIFGEADEIELKFVNRRNREDLNLLSIILDQEIRRLATQVIRVKWSLHAREEILHELVRHLRGNNTRVILDSVRKCTRNMLEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAIFGGGGMVLSIITGLFGINVDGIPGAQNTPYAFGLFTGLLFFLGIVLVVVGMLYLGLQNPVSSEKVKVRKLELQQLVSMFQHEAEQHGKVREGLSRHGLSPRSSADSDEGYILIS >KQL04186 pep chromosome:Setaria_italica_v2.0:V:7064512:7065823:1 gene:SETIT_0025241mg transcript:KQL04186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIHVFSSVPRHLNFIDHTSDIGWKESQRVQPIIVDAGIYLAGRNQFFQATEKRDTPDGFKFFTGSPWVILNRRFIEYCVFGWENLPRTLLMYFTNVMLPLEGYFHSVACNSDFRNFTVNNDLRYMEWDDPPQMEPHFLNVTHYDELVGSGVPFARKFKENEPLLDKIDDKVLRRWRHRPVPGAWCTGRRRWFSDPCSQWSNVNIVRPGPQAEKFRRYINQILEESKSSNNSCKQ >KQL04185 pep chromosome:Setaria_italica_v2.0:V:7064512:7066058:1 gene:SETIT_0025241mg transcript:KQL04185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LIHVFSSVPRHLNFIDHTSDIGWKESQRVQPIIVDAGIYLAGRNQFFQATEKRDTPDGFKFFTGSPWVILNRRFIEYCVFGWENLPRTLLMYFTNVMLPLEGYFHSVACNSDFRNFTVNNDLRYMEWDDPPQMEPHFLNVTHYDELVGSGVPFARKFKENEPLLDKIDDKVLRRWRHRPVPGAWCTGRRRWFSDPCSQWSNVNIVRPGPQAEKFRRYINQILEESKSSNNSCKQ >KQL05216 pep chromosome:Setaria_italica_v2.0:V:18058913:18060282:-1 gene:SETIT_002174mg transcript:KQL05216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLQAAATLMQPAKIGGRASASLPSRQASHVARAFGVDAGAGRISCSLQSDVREVASKCIDAAKLAGFALATSALLVSGASAEGTPKRLTYDEIQSKTYMEVKGTGTANQCPTIDGGVDSFPFKAGKYQMKKFCLEPTSFTVKAEGIAKNAPPEFQKTKLMTRLTYTLDEIEGPLEVGSDGTLKFEEKDGIDYAAVTVQLPGGERVPFLFTVKQLVATGKPESFGGPFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELVKENIKNAASSTGNITLSVTKSNPETGEVIGVFESVQPSDTDLGAKAPKDVKIQGIWYAQLESN >KQL07561 pep chromosome:Setaria_italica_v2.0:V:40490351:40494168:-1 gene:SETIT_002916mg transcript:KQL07561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSCSVCKEAPSKYKCPSCRTPYCSVTCFKKHKEESCQKILLQEDVSKSPLQEEVTRSSGLVGDGTKCPNDKDQHPSLSPNTTCPAKSPNTVCPTKALEVEDPSWLVDNNRLRSLAELKEIKDALRDPELQKMILKIDGSSEPEKELEKVMEGQAFRQFTDKEKIEASGQTRKSRAAHLCFSVPGWGYLVSGERNLWLLQKISVTKDIS >KQL07560 pep chromosome:Setaria_italica_v2.0:V:40490663:40494025:-1 gene:SETIT_002916mg transcript:KQL07560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGSCSVCKEAPSKYKCPSCRTPYCSVTCFKKHKEESCQKILLQEDVSKSPLQEEVTRSSGLVGDGTKCPNDKDQHPSLSPNTTCPAKSPNTVCPTKALEVEDPSWLVDNNRLRSLAELKEIKDALRDPELQKMILKIDGSSEPEKELEKVMEGQAFRQFTDKILDIVSPQE >KQL08791 pep chromosome:Setaria_italica_v2.0:V:47088145:47089148:-1 gene:SETIT_004759mg transcript:KQL08791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCCCASSSHGRLLTFSSSSRSPARLRLICPSAPLRLRLSSSSSSSSSSSRAPHPQRLSCSSSQEPAPVDVADDDCSAADQRIGFEIQVSKIGKRNRRLVRARVRVDAPLEAVWATLTDYEGLADFIPGLSECRLLDQAQGFARLYQVGEQDLALGFKFNAKGTIDCYEGDLESLPDAQGNARRREIAFNMIDGDFKVFQGKWSVQEVACYFLNPMHSIQSICLLMSVSGATTYSTYNQSYKRLCFPSCRVWSKSKVEEIQMRVRNPRRRHCPTWLSWSPSCGFRSGYSKEGSAVRSRTTLFP >KQL04415 pep chromosome:Setaria_italica_v2.0:V:8811551:8813301:-1 gene:SETIT_003745mg transcript:KQL04415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPGSAAAAVTLGDTCQPSDPRRRYCVLRRRPPPLLADHCVLDSPDGLLLLQRDADTAVRLLHPFTGDLHELPPLTSLIPQLDRRTDHRPRLDANEHKVQSFRRISAAVSVAPTAGTVTVLLALEHICRFAHASTGDRRWTLTSWSENRVARTLGFHGSLYLTCWGHEESSILRLDPPPPLVDNDGVELLPPRTIATLVECDSEILVAPTHLPFTPRSLTDLLSGEPAAPMASIGEHCLLFGMRSLAVSSGGLPSVAGNFIVLCDRIPDRLMQYNLGDDTLSPACDGDIVKSPPPSPRSIVHHLVACCYRYFWNKGLIYCSRTKPSWGTKRKWRLGGLSSHQSLVA >KQL05762 pep chromosome:Setaria_italica_v2.0:V:27678840:27679191:1 gene:SETIT_003675mg transcript:KQL05762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHRNVTLSHTLWRCITYAFLQTRKRPETRLVITISKGRNNCRMYTFQCLALQLSLCYC >KQL03338 pep chromosome:Setaria_italica_v2.0:V:1817861:1819263:-1 gene:SETIT_005578mg transcript:KQL03338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNSTKVPLYYLLLLNEFAEGHDAHPKETCM >KQL06065 pep chromosome:Setaria_italica_v2.0:V:30404744:30405347:-1 gene:SETIT_003662mg transcript:KQL06065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMKAAKVTGAGAQAKEPAPKLSETATKPSAAKNGVKKKAEQKPREPKKKVKSSKPAASKK >KQL08268 pep chromosome:Setaria_italica_v2.0:V:44492206:44495762:-1 gene:SETIT_000497mg transcript:KQL08268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASAGSPPPHSQENPPEHGGDLGEAPAEEIGGEAGDDFLFAEDTFPSLPDFPCLSSPSSSTFSSSSSSNSSSAYTNAAGGAGGGADGAAGERSGPASAGEGFDALDDIDQLLDFASLSMPWDSEPTFPEVSMMLEDAMFAPPHPVGDGRREGKAVLEGTGGEEACMDAAAAPGEDLPRFFMEWLTSNRENISAEDLRSIRLRRSTIEAAAARLGGGRHGTMQLLKLILTWVQNHHLQRKRPRDAMEEAAVLHGHGQSQLSSPGVNTGYEFPAGGQDMAAGSGASWMPYQQPFTPPAYGGDAVYPGAAGQYPFHQSSSTSSVVVSSQPFSPPAVGDMHAAGGGNMAWPQQYVPFPGASAGSYPMPPVVAQPFSPGFGGQYGGAAHPMGPQRMAGGEASATKEARKKRMARQRRLSCLQQQRSQQLNLAQIQVPVHPQEPSPRSVHSAPVTPSGGGWGFWSPGSQQQVQNPLSKSNSSRAPMQQVPRSPEAPAEGAPPVNPAPGARQEESPQRSTASDKRQGAKSDKNLRYLLQKVLKQSDVGSLGRIVLPKKEAEVHLPELKTRDGISIPMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGEFVRSNELQEGDFIVIYSDVKSGKYLIRGVKVRPAHQDQGNGSSAVGKNKHGSPGPEKAGGSSDDGIVIADGTNKPDGACKGRSQQGARRARHQGAASMAVSI >KQL08334 pep chromosome:Setaria_italica_v2.0:V:44863475:44868470:-1 gene:SETIT_001955mg transcript:KQL08334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGWGDVYKVVAATVPLYFALFLGYGSVRWWRIFTREQCDAVNRLVAFFALPFFTFEFTLHTDPFQVNYRAVAADVISKAVIVAVIAVWARFAAAKGAGSAAGWSITSFSLSTLTNSLVVGVPLARAMYGEWAQQLVVQLSVFQAIVWLTLLLFVLEVRKAAIGMYVAAEPPVKDVEAASADAAPAAAAVVVVPVASGKPSLWALVKVVAHKLSRNPNTYASFVGITWACVANRLHLELPSAFEGSVLIMSKSGTGMAMFSMGLFMAQQEKVLACGPCFAALGLVLKFALGPAAMAIGSIAVGLRGDVLRVAIIQAAVPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPLLVGFYIVLELIR >KQL08462 pep chromosome:Setaria_italica_v2.0:V:45561755:45562459:-1 gene:SETIT_004125mg transcript:KQL08462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHSVPAVGKRMWSYLHALFFMVRKGLLSNKRKLLLGMHLLMKRRNRAVSRTVAALLSHHNGHGHGHGSGSNALRRREYEFSCSNSPDPASASRRHHLAYFPCLGAVAEDDDRRYGGFPLADADASPAPLARIEYYAAASPAPSSPGLLLRELAPGELEESCCASPALQLGGASGSGAFSVRVSNYSSDDEGAATGGSEAVDDEAEEFIRRFYEQLRRQNTVALLPYMQESAA >KQL08449 pep chromosome:Setaria_italica_v2.0:V:45516468:45519503:-1 gene:SETIT_001208mg transcript:KQL08449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDARKPSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDCNKTLHRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHNELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVISVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIHHLKHTCPAHIYATSMSPPAVQQVISAIKVILGEDGTNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHSREDLIKGLGVKHTILSVLLTRWWLVQQWCFCCKP >KQL08450 pep chromosome:Setaria_italica_v2.0:V:45515998:45519691:-1 gene:SETIT_001208mg transcript:KQL08450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLPYVTALTTLFSYGLLFAFGQLRDFFRRILDARKPSNLKGYAPICLGLEDFYTRRLYLRIQDCFGRPIASAPDAWFDVVERYSNDCNKTLHRTTKTSKCLNLGSYNYLGFAAADEYCTPRVIESLKKYSASTCSVRVDGGNTKLHNELEELVARFVGKPAAILFGMGYVTNSAIIPALVGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNNPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEVISVCKKYKAYTYLDEAHSIGAVGKTGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIHHLKHTCPAHIYATSMSPPAVQQVISAIKVILGEDGTNRGAKKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQNVAVVTVAFPATPLLLARARICISASHSREDLIKGLGVISKVGDLVGIKYFPVEQEKTAAVEKLKKIQ >KQL03598 pep chromosome:Setaria_italica_v2.0:V:3345979:3349261:-1 gene:SETIT_005340mg transcript:KQL03598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRPIRSVAGEGSSRPRALPPIHLPSPSAAGRLEPVLRRFEPVRADSSSSTGPPPQGCQAGGPAGPLRSSLTQARSLDLARIRQGLVRQLPATTFLSGGFPLEAVVRLKP >KQL07091 pep chromosome:Setaria_italica_v2.0:V:37605193:37605900:-1 gene:SETIT_003425mg transcript:KQL07091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSKLGELMWEHRLQAAAAVAFVAAAAVSISAVGPRLGAVVSFFWPLLVSTGFLLVAITVLLRISPPPAGADESGKELIDFVAGCRPEHLVPEAAAAASVEAPPEPEI >KQL04722 pep chromosome:Setaria_italica_v2.0:V:11205589:11209566:1 gene:SETIT_002068mg transcript:KQL04722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGAWVVRLASLLALGLVLGSVEASLGDIDPRYRTCVKECQTTGIIGENIISHCQNKENDTSVGGSWYNQEQIYIQWKQLSCRTDCRYFCMMQREGERQSLGLNPVKYHGKWPFLRISVFQEPLSAALSAINLLMHFTGWLSFFLLVKYKLPLRPQTKRTYYEYTSLWHIYAILSMNAWFWSSIFHTRDIDLTEKLDYSSAVALLGYSLILSLLRAFNVKDEATRVMFAAPILAFVTTHILYLNFYELDYGWNMKVCVVMAVVQLLTWAIWAGVTRHPSRLKLWTVVFGGALAMLLELYDFPPYMGYADAHSLWHASTIPLTYLWWSFIKDDAEFRTSTLIKKAK >KQL06176 pep chromosome:Setaria_italica_v2.0:V:31381133:31383138:1 gene:SETIT_002567mg transcript:KQL06176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMSGEELVLTLAPVVVYWVYAGVYEALLEHTTVLDRYRLHSRRDEETKNIASRKDVVRGVLLQQAIQAAISVAVLKLEAHGAAGGRAASSQPEPFLVVAARFGVAMLVLDAWQYFMHRLMHSVPFMYRRFHSWHHRVAAPYAYAAQYGHPVDGVLTETLSGAAAYLASGMSPRAAAAFFAFATAKGVDDHCGVAAPWNPLHAAFRNNTAYHEVHHQRGGGRRNFSQPFFVVWDRLLGTYAPYALCQSRGGGLEVRAFKDQTTTR >KQL08194 pep chromosome:Setaria_italica_v2.0:V:44106034:44108227:-1 gene:SETIT_003847mg transcript:KQL08194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADDCDGLPMYFEDDAEEAAAEKKKRRQQSQKPRGKLPWEDDTPEEKARGELRLAMMEKLFEYDPKMGSGSYTRVWFVDFSTLDIDEENVGYPINVYGTVIVRYRLDMKCIYIFRRNRDNCQLVQSETLIFHIYARSSDQNANVNHYIREFTPLRKGADKLEIMCGVYKLQVEVTWSTFLL >KQL05026 pep chromosome:Setaria_italica_v2.0:V:14192370:14195444:1 gene:SETIT_004128mg transcript:KQL05026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLERKKVATPALLILILEEPEPCKRPWNQLEAGSIGAAAISHDWFHHCNLKFSPHIRAKDHIQVHWSVYCTPYCSSMLCLPVAADASRALHYHRKHRRHHRRHRASENSSHISVPPAALPPGVDGDSPAEPPIAPAPAPAKPPPAFSSAKPPSAERAKAPTHSHAKPPSLPPAKPPNFSLAKPPSPSPAKAPSRSHAKPPSSLSPARPPSPSPRKAPAPTFFPSKPPSLPPSHAKPTPCTPAKAPLAQPPRLAPARPPMPSPARPPPFSPASPPAHPPAKPSKRAPAQTPRLAPAKPPTPSLSPAQSPRPSPANPPAASTVPAKPPAFPPAMSKPIPPPPPPAKNSSSACANVFDVRAFGASGNGSSDDTRAFRAAWKAACSSNASTTATLLVPPDGVFTITSTIFAGPCKSGLIFQIDGVLMPPDGPASWPATDSRRQWIVFYKADGMTLAGKGTIEGNGEEWWDLPCKPHRGPNGSTLPGPCDSPAVSSPKTTTWTWISLFLFVEFPGLTLFVCISQLIRFFLSNGVTVSGLRIENSPQFHLKFDDCVGVLVDGLFVSSPAFSPNTDGVHVENTTAVQIINSRIYNGDDCVSIGAGCSDVHIENITCGHGHGISIGSLGVHNTRACVSNVTVRNARILDSDNGLRIKTWQGGAGAVSGVEFDGVRVQNVKNCIVIDQYYCLGSGCANQTSAVRVAGVAYRDIRGTYNPRGGAPIRLACSDAVACTGITMSGVELLPAGSGAGAGAGARLADPYCWNAYGLMETLTLPPVYCLQEGRPESLQDQLTSC >KQL06174 pep chromosome:Setaria_italica_v2.0:V:31365280:31368961:-1 gene:SETIT_003246mg transcript:KQL06174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRIQKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPCDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRHKYENTARTWTQRYAM >KQL06400 pep chromosome:Setaria_italica_v2.0:V:33099441:33101714:1 gene:SETIT_001287mg transcript:KQL06400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQELLQDRAEATDQDSSMSNLTSSASGGGPTAPPPPPASGNKRKRSLPGNPDPDAEVVALSPATLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLKQRGAGKDAPRKKVYVCPEASCVHHDPGRALGDLTGIKKHFFRKHGEKKWKCDKCSKKYAVHSDWKAHSKICGTREYKCDCGTVFSRRDSFITHRAFCDALTEESAKAIGLNAMAPATAHHHPLLFSPPAPQVMQHQVQDLAAPQEQHHQEVMQPPQAQQQHCNNYAMKTEMPPWPAMPYDHPLLQPLCNAAATQSQSSATSALPPHLPAASAHLSATALLLKAAQMGATIGGAGAAGAGAHYTQMAGPATSAPGSAAFGLVLPGLNAQQPAGGVMGGLARTASHGRSSEGGGGDGGGGGGVDAMTRDFLGLRALSHRDILGLAGFDSSCMGAVAANANMNCYEPQQHTQAHAQQHQQQSSNEPSHGMGSHRP >KQL07234 pep chromosome:Setaria_italica_v2.0:V:38542021:38542955:-1 gene:SETIT_003577mg transcript:KQL07234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRRRPRLGMGWLRSVLSPLRRLWCRVNAVQRKKRGIYILYDDVKSCQCEDVHVLWSILVESHGLPPPTPMPTPPALSLKR >KQL04508 pep chromosome:Setaria_italica_v2.0:V:9448904:9450419:1 gene:SETIT_002566mg transcript:KQL04508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQHPDATPRPHRRSHPADPTPWRRALCSTRRVPPCASLRLGRTDQRRIVVAGINIAKMVQASAPGFVFAIPRVVQEGALNIRGQRSKKRKSLCAIMPPFPSSRMMLSPRACFRSPSVRQETSPSAIFHTPLDQGQRVTNEIDLNFAPEDYRDDSIGQENGDDPVGQANGTCDDTLGQENGGGDDPVGQEDGTGDDPLGEGNARKNLLVPNEKRRAIFEALLGRARSGNLKGSETKEVSVQFSVPIRTVQRIWKKRQRLSRPRSWS >KQL06349 pep chromosome:Setaria_italica_v2.0:V:32774156:32775485:1 gene:SETIT_004333mg transcript:KQL06349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATGAGGDGDGAGKAAPEAPAEAMTPPPAPAAPAPAPASASGAGVETSGSAEKPVKRMMKTPYQLEVLEKTYAVEQYPSEAMRLELSEKIGLSDRQLQMWFCHRRLKDRKPPSKRQRREEEAALAPVMPPLPVLPTPAIPLASSDLMVGTPGMYNEPLHPAHSRRGAGRSSAVPRISMPDIGRRYYEPTPIMMAQPVVPSVQLTPSELHVIHSVESQLGEPLREDGPVLGTDFDPVPPGAFGAPIDVSSMVGEMRSKVENPAGLLFVRDHRLIKNETSILSKDEEFDIISKCEGS >KQL06564 pep chromosome:Setaria_italica_v2.0:V:34233280:34239419:1 gene:SETIT_000076mg transcript:KQL06564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGGRDGEEKKEEKKGNGGGAAAADKRVSFTGLFRYADGTDVLLMLLGTVGALGNGVTQPIMTVIFGQVIDGFGGAVALDDVLHRVNKAVLNFVYLGIATAVVSFLQVSCWTMTGERQATRIRSLYLKSVLRQEIAFFDVEMTTGQVVSRMSGDTVLVQDAIGEKVGKFQQLIATFIGGFVVAFVKGWLLSLVMLACIPPVVLAGGMVSKMLSKISSKGQTSYGEAGNVVEQTLGAIKTVVSFNGEKQAIAAYNKLIHKAYKAAVEEGITNGFGMGSVFCIFFSSYGLAIWSLGNATPCMAAFAEGRSAAYRLFATIKRKPEIDPDDPSGKQLEDIKGDVDLKDVYFSYPARPDQLIFDGFSLHVSSGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKSLRLDWIRGKIGLVNQEPLLFMTSIKDNISYGKEDATIEEIKRAAELANAANFIDKLPNGYDTMVGQRGAQLSGGQKQRIAIARAIIKDPRILLLDEATSALDVESERIVQEALNRIMVNRTTIVVAHRLSTVRNADCISVVQQGKIVEQGPHDELIMNPDGAYSQLIRLQESQEEEEQKLERRMSDKRSKSRSLSLKRSIGRGSAGNSSRHSSTVPFGMPGSVELLEENDTNGENQKEQADDSEAPKKAPMGRLAALNKPEVPILLLGALAAGVHGVLFPMFGLLISNAIKTFYEPPDKLRKDSSFWGLMCVVLGIVSIISVPVEFFLFGVAGGKLIERIRALSFRSIVHQEVAWFDDPKNSSGALGAKLSVDALNVRRLVGDNLALLAQVTSSLITGLVIAFVADWKLTLIILCAMPLSGAQGYAQVKFLKGFSQDAKMLYEDASQVATDAISSIRTVASFCAEKRVMAIYDHKCEASKNQGVRTGMVGGLGFGFSFMMMYLTYGLCFYVGGQFVRHNKSTFADVFKVFFALMLATIGISQTSALASDSTKAKDSSVSIFALLDRKSKVDSSSDEGLTLDEVKGDIDFRHVSFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTVISLLERFYNPDSGTISLDGVEIKSLKVDWLRDQIGLVGQEPVLFNDTIRANIAYGKHGEVTEEELLKVAKAANAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTVIVAHRLSTIKSADIIAVLKDGVIVEKGRHETLMNIKDGFYASLVELRSASS >KQL08360 pep chromosome:Setaria_italica_v2.0:V:44969140:44974350:1 gene:SETIT_004411mg transcript:KQL08360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVSASHGALGPLLGKLTSLLADECARLKGVRREIRSLRSELISMQAAVQKYTNLQDPDAKQHISHHDHGGFKEFFRKTARRLKTLGSRRGIANQIDDLKARVKEVKELKSSYKLDDVACSSTSEHPAKDPRLSALFVEEAHLVGIDGPRDDLAHWMVEEESSSTKHRKVLSIVGLGGLGKTTLAREPNVKKIMKDLISQVPCKEEDFTKDIDTWDEKKFIEKLRELLQDKRYLIVIDDIWSASTWDTIKYAFPENNLSSRIIATTRIVDVAKSCCPHGNDRIYEMEALSDLHSKRLFFKRIFGSEDSCPDVLKQASDKILKKCGGLPLAIISISSLLANRPAVKDEWERVARSIGSALDKNQSLEGMNSILLSLSYNALPPNLKTCLLYLSIFPEDYVIDREILVRRWIAEGFVSEERGPSKQQHGPTSACQVHDMMLELIISKSVEDNFIALAGHGQTDLANRRGLIRRLSVHYIDQELASVLVNEDLTSACVKHLPSLAEFEALRVLDFQGCQSLQEYDMNGIDKLFQLKYLSLRGTDISKLPSGISRLYGLETLDISDTHIEELPSEIVQLIKKIPNGIRNMRNLRVISGFNVIKSSLSAVEELGNLTALQELHLQMDGQGSQEYKRHEEMLLSSLCKLGRCKLQSLWIRSLRFLDPWSPLPSSLQRFRMTTNCFFRRMPKWMAPQLTALAYLGIKLHDATEEDLRILEEMRALLSPELTFKGVQKERLIVRSHVFPCLKEFWYGFNLGINHLPCLKHAKVRLRNRHATSSESKAAAAAIRSEANAIGEMEEDAEENDTVEELRL >KQL03645 pep chromosome:Setaria_italica_v2.0:V:3612441:3612886:1 gene:SETIT_003587mg transcript:KQL03645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEIMVASAWFFLCKLFFWLSGGTLTEIMAARKCMSCLRLMHGSNKLLVHVYCNPFLARLRTKISVFVIWSSNLLQFQI >KQL03804 pep chromosome:Setaria_italica_v2.0:V:4669542:4671734:-1 gene:SETIT_002156mg transcript:KQL03804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSMGCLVLLCLVSPLLFANAVRSHPWGGLFPQFYDHSCPKAKEIVQSIVAQAVAKETRMAASLVRLHFHDCFVKGCDASVLLDNSSSIVSEKGSNPNRNSLRGFEVVDQIKAALEAACPGTVSCADILAIAARDSTVLVGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIITKFKLQGLNVVDVVALSGGHTIGMSRCTSFRQRLYNQTGNGMADSTLDVSYAAQLRQGCPRSGGDNNLFPLDFVTPAKFDNFYYKNILAGKGLLSSDEVLLTKSAETAALVKAYAADVNLFFQHFAQSMVNMGNISPLTGSQGEIRKNCRRLNNDH >KQL04846 pep chromosome:Setaria_italica_v2.0:V:12364046:12365404:-1 gene:SETIT_002685mg transcript:KQL04846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPGFGKRLMSVLRAVYHMLRRGLCRKRLMMDLHLLFGRGKLAGKALRNLVAAAHHHPHAAAHHLQVASRAGAGAGSAAASSASAADLPFEPNPRDVEFSCTTTPSYSYAGPGRSLFPFSRMIRGRGAGAASRGCDGLDFAQVARALEMMSAAEDAGAGAGAGGETPPAPVAAGATPSPLLSLSLGRSPAGARQLRVTDSPFPIEPEGVVDERANSNFDAFIKKFYENLRLQAANATPDNHVGCRRG >KQL07442 pep chromosome:Setaria_italica_v2.0:V:39754129:39757201:-1 gene:SETIT_002201mg transcript:KQL07442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPLADFSAECVSSSSCLCCNTSEKPGCEHSYACWKDGQDDLVVEEIGMALTEVMQAYGNEDNDEGSDLDEDSSDADNDDPVLSMESDSTDDLVDIDSELVISPASPSGDASESSISKSVDDKSSIHGIPRLVSAMKGTRAKQGIMTKLSVSWAPDVYDPPVTSASHTVRGHQRSSRKGHYKYKPSKSSSSSSRSSSGSKKDKKHSRHSSSISSSSKKDRTHSHRSASSSGSNRTDTSSSHYRKAHSGGGINSRTVTCVPESAKVSPLVLAESAALPEIVPVLKTMEPIKYATSCGKEKPFALLSRQFSPARYKGMFSFWSQNQLAS >KQL04101 pep chromosome:Setaria_italica_v2.0:V:6477447:6479593:1 gene:SETIT_004700mg transcript:KQL04101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFVEPLVVGRVIGEVVDLFVPSISMTVAYGPKDISNGRLLKPSATAAPPLVRISGRRNDLYTLIMTDPDAPSPSDPTMREYLHWIVTNIPGGTDATKGEEVVEYMGPRPPVGIHRYVLVLFEQKTRVNAEAPGERANFNTRAFAAAHELGLPTAVVYFNAQKEPANRRR >KQL05895 pep chromosome:Setaria_italica_v2.0:V:28901117:28901845:1 gene:SETIT_005300mg transcript:KQL05895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMLTYPVLMLSTNLYLAPAMTTVDQRFMILEGRTCGMLIERRSNCTGHFFSEI >KQL08175 pep chromosome:Setaria_italica_v2.0:V:44027428:44031894:-1 gene:SETIT_001546mg transcript:KQL08175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLLRRVSLRRAIAAASYSSSHPESYKQGICGSTFHCREFSSKAKKKSKSSGTDSGEENMSKKDLALHQAIDQITSAFGKGAIMWLGRSQGHRDVPVISTGSFALDMALGTGGFPKGRVIEVYGPEASGKTTLALHVIAEAQKNGGYCAFVDAEHALDPALAESIGVDTNNLLLSQPDCAEQALSLVDTLIRSGSVDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSLSQTILLFINQTRAKVATFGFGGPTEVTSGGNALKFYASVRLNIKRIGLVKKGEETIGSQVAVKIVKNKHAPPFKTAQFEIEFGKGICRSSELVELGLKRKLIKKISGAYYSFNDITFHGKDNLKSYLTENESVAKDLEMQLKKLMETEAPKEQEAEGGSPSDLPEEIVTPETSSEEDLAAVVEA >KQL08808 pep chromosome:Setaria_italica_v2.0:V:47172042:47172640:-1 gene:SETIT_003679mg transcript:KQL08808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDLSKLVKEKRFWVASFLVGWAAALQGHMMWMQRQDAFKHKFGADASDAASSSSD >KQL08007 pep chromosome:Setaria_italica_v2.0:V:43093802:43098225:1 gene:SETIT_001935mg transcript:KQL08007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLLSWSHHLVHLASSLDIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQERAIESVAEVWDLATEPAFLSYAAIVLAATFVLIYYFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWLFTIVVVACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMADDEDDYATEGIPLRSAADGIPLRSPRAAESFRSTSL >KQL08006 pep chromosome:Setaria_italica_v2.0:V:43093802:43098225:1 gene:SETIT_001935mg transcript:KQL08006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLLSWSHHLVHLASSLDIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQERAIESVAEVWDLATEPAFLSYAAIVLAATFVLIYYFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWLFTIVVVACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMADGLPPTLPVRIPKHTDEDDYATEGIPLRSAADGIPLRSPRAAESFRSTSL >KQL08008 pep chromosome:Setaria_italica_v2.0:V:43093802:43098225:1 gene:SETIT_001935mg transcript:KQL08008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEASTSAAGAGRGSWVEGMSADNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGVRAGVGGYSYLYEPLWWAGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLADIMLKEKLHIFGILGCVLCVVGSTTIVLHAPQERAIESVAEVWDLATEPAFLSYAAIVLAATFVLIYYFIPQYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTFSGMNQLIYPQTWLFTIVVVACIVTQMNYLNKALDTFNTAVVSPIYYTMFTSLTILASVIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMADGLPPTLPVRIPKHTDEDDYATEGIPLRSAADGIPLRSPRAAESFRSTSL >KQL03928 pep chromosome:Setaria_italica_v2.0:V:5397689:5406578:-1 gene:SETIT_000654mg transcript:KQL03928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAELVRLLRLRAAPLPSPPQRSGPSSRPQRLLRALPRRRPPLSLRCRALDASRPAAVEGERGEEDVFEDEEESYFSVTSSGLSQVDYLGQSTRGDLNVRRERLEALGGNGESTLHGPIEEIAWKEAGEAETLLHDLGIADPFSVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMDNLKSMGFPVDDLKFDPDLVIRGLVIDKEKGNLVKADRFGYIKRAMHGTQMLSTSSVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSKALFRAHVEGQLKREIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTNKMMNHAFNRFLPNDVGWRDLFEMVIVSARKPEFFQLSHPLYEVVTDDGLMRPCFKANSGGLYSGGSAQMVEKSLEIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALVQSYDQKEKLVTLIQQKEIVGDLFNQLRLAQQRRSNSRPAQTLAATCMDDHELTESMQKLLIVMQRLDEKIGPMLESDGELFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQTLAHDGHSYARGENIKVQ >KQL03927 pep chromosome:Setaria_italica_v2.0:V:5398205:5406536:-1 gene:SETIT_000654mg transcript:KQL03927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAELVRLLRLRAAPLPSPPQRSGPSSRPQRLLRALPRRRPPLSLRCRALDASRPAAVEGERGEEDVFEDEEESYFSVTSSGLSQVDYLGQSTRGDLNVRRERLEALGGNGESTLHGPIEEIAWKEAGEAETLLHDLGIADPFSVRHSPRGIFCTRTLNLRSISVIGYDMDYTLIHYNVMAWEGRAYDYGMDNLKSMGFPVDDLKFDPDLVIRGLVIDKEKGNLVKADRFGYIKRAMHGTQMLSTSSVSEIYGRELVDLRKESRWEFLNTLFSVSEAVMFMQMVDKLDQGLVPAELGPLDYKGLYNAVSKALFRAHVEGQLKREIMAEPERFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTNKMMNHAFNRFLPNDVGWRDLFEMVIVSARKPEFFQLSHPLYEVVTDDGLMRPCFKANSGGLYSGGSAQMVEKSLEIHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEDEFDALVQSYDQKEKLVTLIQQKEIVGDLFNQLRLAQQRRSNSRPAQTLAATCMDDHELTESMQKLLIVMQRLDEKIGPMLESDGELFNKRWGWLSRAGLWDKSHLTRQIEKYADIYTSRVSNFLHYTPFMYFQSQEQDNHLLFIGH >KQL04561 pep chromosome:Setaria_italica_v2.0:V:9891155:9891685:-1 gene:SETIT_004840mg transcript:KQL04561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AMDKYVRVYSGGELVKGPNGVEFGNLPEEGIWFETAPTFSDLIDAVHKKLGWQSETQSIRAQGRMNVGGGAHRHFIMVPINDDMSWSSYVKAVFNGTEWNCLEIFVQAETRSSEEANSSEPALMAFEPAGVQNARPQYPEQGSCPIPSVVTISPNHHSRLQKPRKSTRTCANNGGWV >KQL05935 pep chromosome:Setaria_italica_v2.0:V:29239919:29240447:1 gene:SETIT_005487mg transcript:KQL05935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLCHKPKEADTPTRILTNRLSSDLSSLHVFRGKKDKFQTMHKHFLKKKA >KQL04446 pep chromosome:Setaria_italica_v2.0:V:9082748:9085578:-1 gene:SETIT_000279mg transcript:KQL04446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLLGDILHHTAPSTWSSALAAPLLRSRLAPAHVSSLLLLPASLRRPDLSRRFLLLLPPELVSPVSLSLLALSFLSSSPSPSSSASSPHAASLLLSLASSTPSASSSFSSLSHANSLARFRPGAATAATTLLASSYLRLRRARDAAAVLRLSLSSGIAVKQYTASQILFALIKIRQFALARDLFDQMLGTGVRVDEYIYTAGIRAYCETNNLDGARGLLARMECKDIKGSAVPYNVLMYGLCKNNRVHEAVEVKNGMVERGVTADEVTYRTLVYGFCRTEVLEMALEMTDDMLRLGFVPSEASCSFMLDGLRKRGCVEEAFRLACHLGELGMVPNLFACNALIDKLCKDGRFSEAERLFTGMGSRGLEPNEVTYAILIHSLCKRGMMDDALCMSDRMRENGIRVTAYPYNSLINGYCQCDDFDQARKLMNEMVKEGLTLSAASYSPLIAGLCRKGDLASAMELHNEMARNGVSGNVYTFTALINGFCKDRNMDEAARLFDKMIDCSLVPNEVTFNVMIDGYCRVGNVRKAFQLYDQMVDRGLTPDNYTYRSLISVLCLTLGASKAKEFVDDLENSCAMLNSFSLTALLHGLCKEGRLTEAYHVWNEMGARGFNLDLISFTVIVYAALKQHDKEKATMLIRQLKEKGVKPDNVFHTCMIDVHSKEANIVQALNCWDKMIADGCSPNVVTYTVLINNLCKSGYLSSAEILCKEMLAGRFLPNSFTYNCFLNYMATEGELEKAKVLHAAMLEGCLANTVTFNTLIKGFCKVGQIQEAIDLMQKITDSGFFPDCISYSTIINELCKMGDTNKAFELWNEMLYKGLKPDIVAYNILIRWCSIHGEFCKGLGIYSDMLKNGMDSGS >KQL05552 pep chromosome:Setaria_italica_v2.0:V:25633911:25634762:1 gene:SETIT_004665mg transcript:KQL05552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSSRSARATAAAATKQKKKKQKNGRPAVPPRDVVPFDRVRGGISGALRDRLAALGATEPSYLAGKTLMMSDVLKNQARLLFSCKGESLPRCPLTACLTEREERYVWDDEGGLLVTALDRGGRSYDLTCRYLVSNSGYRFITGWKKLVGENGLRQGMRVELWAFRSPQLPNRYETRDGGKKVAVREEIGHPDGSLGMVVLQYDDESDPERGDDELGEVVPVQETGTTGQMKSEAAAPEEKLIASGGAACAEPAVTTEGMVERFEVRIFLAAIGLVMLKRRYNE >KQL07913 pep chromosome:Setaria_italica_v2.0:V:42476734:42483522:1 gene:SETIT_004487mg transcript:KQL07913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSEAVAGGESAGELLLRAAAMVPAERYALAAAAVVSVFLYRFLELHVIGDILRGCRGGRVELTFHPASEIYHHVASKCRSLHGRYLATPWLASPHLQTLFLGISGRPPSFTYKRQLYTVRDGGTIALDWLLVSDLEDGDGFISENSSTPLVVVVPGLTSDSAAAYVKHLVYSIASKGWNVVVSNHRGLGGVSITSDCFYNGGWTEDVREVIKYLHHRYPKTPLFCVGTSIGANIVVKYLGEEGENTPVAGAAAICSPWDLLIGDRFISRKLVQRIYDKALAIGLKGYAKLHQPVLARLANWEGIKKSRSIREFDHHATCVVSRYETVDTYYRRCSSASYVGNVSVPLLCINALDDPLCTREAIPWDECRANKNIVLATTPNGGHLAFFQGLTARRLWWVGAASEFLFALHDSSYMHWQKANDHVLHSSLESSIDKSPYVNIMEDGMVAPVTKDGPGNNDGSPSKHEVDGVQLSNGVGGRQQLEVSGEKHDEHANGTGNDSTAGSANRQGEDVYNNKLHEIIAPVKRSINQLTRYQGRSVWLLAYIAFVTSWPLLGSLAFITFRKKFRNLLRAK >KQL06348 pep chromosome:Setaria_italica_v2.0:V:32767298:32768734:-1 gene:SETIT_001272mg transcript:KQL06348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPANPRPNKWPKNLTSDHLHRLVRAERDPRRALSLFDAATAASTSAPDPITPSPATVSLLTSRLASAGHLHLAASLLSRLRGLFPSSAALEGPFLTLLRAFSRTHRPLAALHLFRSAPSDLALPHSARSYTAVLAALVAHSQLHLARSLLADMRAAGFAPTAATYNVLLKAHCSDATAPIEDALRIFRNIPKPDACSYNTIIDGLCRRGRLDEALELFSEMVGKCIAPTVVTYTTVIHWLAREGCFDDALKIFDEMGRRGISPNLVTYSSLIDGLCKGGRSASALELLDRMTKEKKLPNTITYSSVIDGLCKECRLSEAMEVLDRMRLQGRKPDAGLFGKLIVGLCNAGRAVEAANYLDEMVLAGIEPNRLTWSLHARINNTVLTALCTKGELGRAFRVYQSTRTRSISTEPATFHLLVKSFSEKNNLEKAAHVVLDMLSERCIPESETWDVIISGYWGRKNVRQEAKEIWNQLTVS >KQL07156 pep chromosome:Setaria_italica_v2.0:V:37981402:37985015:-1 gene:SETIT_001646mg transcript:KQL07156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRQQQEEAEEGCPCGGAEAEAGDQFDRLHDAVLLDVLNRIGDVKALGRCALVSRRFHALVPLVDSVFVRVDCVIPDDPPHPAPPAPGSPQHQQQQHQAPAARGRGALAHIARVLLGGIARPIHALGQILSPAAAAVSRRSEPQPASPPAPAADVSHHSPSEVLRSFKELRRLHIELPSGELGIDEGVLLKWKADFGSTLGSCVILGASSVSSKTTSPSAQPETDAADSTDTSPDPNRETEDLGSVPESLYTNGGLKLRVVWTISSLIAASARHYLLQPIISNHATLESLNLTDADGQGELTMDKRQLQELRVRPVSASASSHRTLMPALSMRLHYAPLIELPGGMLLKGATLVAIRPSEDALRGEGQGVGTAGSVGTCWVSEAFEEPYRTAAKVLLKRRPYCLEMNSF >KQL06990 pep chromosome:Setaria_italica_v2.0:V:36916446:36921913:1 gene:SETIT_000179mg transcript:KQL06990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPAAATQPCAACGDDACAACRACSYALCRACLDEDAAEGRTTCARCGGEYAAATDPAHGNEGAEAEEVEDHHAAGGLRERVTMGSHLNDRQDEVSHARTMSSLSGIGSELNDESGKPIWKNRVESWKEKKNEKKASAKKAAAKAQPPPVEEQIMDEKDLTDAYEPLSRVIPISKNKLTPYRAVIIMRLVVLGLFFHYRITNPVYSAFGLWMTSVICEIWFAFSWILDQFPKWYPINRETYVDRLTARYGDGEDSGLAPVDFFVSTVDPLKEPPLITANTVLSILAVDYPVEKISCYVSDDGSAMLTFESLAETAEFARKWVPFCKKYAIEPRAPEFYFSQKIDYLKDKIHPSFVKERRAMKRDYEEYKVRINALVAKAQKTPEEGWIMQDGTPWPGNNPRDHPGMIQVFLGETGARDFDGNELPRLVYVSREKRPGYQHHKKAGAMNALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCFMMDPTVGRDVCYVQFPQRFDGIDRSDRYANRNVVFFDVNMKGLDGLQGPVYVGTGCCFYRQALYGYGPPSLPALPKSSICSWCCCCCPKKKIERSEREINRDSRREDLESAIFNLREIDNYDEYERSMLISQMSFEKSFGLSSVFIESTLMENGGVPESANPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILSGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGYGGGRLKWLQRLSYINTIVYPFTSLPLIAYCCLPAICLLTGKFIIPTLSNAATIWFLGLFISIIVTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGILKMIAGLDTNFTVTAKATDDTEFGELYVFKWTTVLIPPTTILVLNLVGVVAGFSDALNSGYESWGPLFGKVFFAMWVIMHLYPFLKGLMGKQNRTPTIVVLWSVLLASVFSLLWVKIDPFVGGTEPVSSTNCNTVIC >KQL03749 pep chromosome:Setaria_italica_v2.0:V:4417958:4421845:1 gene:SETIT_003043mg transcript:KQL03749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGSYVPPQYIPLYGLDTEEDRVPAVEENHATSHNNKLSRDPTQWSSGICACFDDPQSCCIGATCPCFLFGKNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAVACYACGYRSALRTKYNLPEAPCGDLTTHLFCHLCAICQEYREIRERTGSSSSSAPNVTPPPVQTMDEL >KQL03748 pep chromosome:Setaria_italica_v2.0:V:4417958:4421845:1 gene:SETIT_003043mg transcript:KQL03748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKGSYVPPQYIPLYGLDTEEDRVPAVEENHATSHNNKLSRDPTQWSSGICACFDDPQSCCIGATCPCFLFGKNAQFLGSGTLAGSCTTHCMLWGLLTSLCCVFTGGLVLAVPGSAVACYACGYRSALRTKYNLPEYREIRERTGSSSSSAPNVTPPPVQTMDEL >KQL08518 pep chromosome:Setaria_italica_v2.0:V:45802616:45802680:-1 gene:SETIT_004629mg transcript:KQL08518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAEAIEAPARSEVPR >KQL05471 pep chromosome:Setaria_italica_v2.0:V:24875131:24875962:-1 gene:SETIT_002242mg transcript:KQL05471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQIPARSGALRRLLLLGGVGGLPRLYSTGDRRRRVIREAQKDEEDEAFLRTLNFGADPENNPLPPPPRRGRGGPDASASAGRQPQPQQERPERSAQKAVGETLLEKLKLGDGPSGAAATGAGAERKQPEDEPAPAQPVDVDEIFRKMKETGLIPNAVSMLDGLCKNGLVQDAMKLFGLMREKGAIPEVVIYTAVVEAFCKVANLDDAVRIFRKMQGNGVIPNAFSYWLLIQGLYKGGRLDDAIGFCVEMFEAGLAPNAETFVGLVDA >KQL05686 pep chromosome:Setaria_italica_v2.0:V:26998993:26999404:-1 gene:SETIT_003654mg transcript:KQL05686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVKVYVLLFTAFFFSGLMQLSMAQDKPASAATAARAIDAKAIDQAIAYLLMFAALFVTYFSH >KQL05489 pep chromosome:Setaria_italica_v2.0:V:25064071:25065951:-1 gene:SETIT_004600mg transcript:KQL05489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGKKVQERAAWGAGGDGGGNLVQDAVDYRGCRADKSSTGGWVAAALVLGIELCERLSTMGIAVNLVTYLTGTMHLPSATAANVVTDFMGTSFLLCLLGGFLADSFLGRYLTIAIFALVQAIGTGLLAVSTEVRQLRPPPCGPGAAGPCEQATGLQMSVLYVCLYLIALGTGGLKSSVSGFGTDQFDERDARERAAMGLFFNRFFFFISVGTLLAVTILVYVQDHVGRSWAYGICTGAMLVAIAVFLSGTRRYRYKRGSGSPIVQILQVLVAAAQKRSIKQPLTASALYEDRPEHARIPHTAQFPCLDRAAVMAGDDDNEVGRDGRPVPNPWKLCSVSRVEEVKMVARLMPVWATTILFWTIYAQMITFSVEQATTMDRRMGRFEIPAASLTVFFVGAIMLTLAVYDRVFVPLCRSLMTGRQGLTNLEKIGMGLVLSIAGMAAAAICEKKRLAVAATAAGQHESLPISVFMLTPQFLLVGAGEAFIYTGQLDFFITRSPKGMKTMSTGLFLTTLSLGFFLSSALVSLVKGCTTWLGDTINHSRLDYFYWLLAVLSVVNLVAYLVCAMWTTPPASSQAEQPQIAMTADEKC >KQL03391 pep chromosome:Setaria_italica_v2.0:V:2126458:2129401:1 gene:SETIT_000642mg transcript:KQL03391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRGGGGARGVVGRMAVLVLALALAAASEVARVAAAGHDYRQALSKSILYFEAQRSGPLPSGQRIAWRANSGLLDGKANGADLVGGYYDAGDNVKFGLPMAFTVTMMSWSVLEYGEQMEAAGELGHAMDAVKWGTDYFVKAHPEPNVLYGEVGDGDSDHDCWQRPEDMTTSRQAYRLDPQHPGSELAAETAAAMAGASLVFRSSNPGYANQLLQHSKQLFDFADKYRGRYDSSITVARKYYASSSGYGDELLWAAAWLYEATGDRRYLDYLANNADALGGTGWSINQFGWDVKYPGVQILAAKFLLQGKAGGAHADVLRRYKQKADLFACSCLGKGGSNNVRRTPGGMIYHQSWNNVQFVTSASFLLAAYADHLAAARQEAQCPSGGVRPSELLAFAKSQVDYILGSNPRATSYMVGYGATYPRQAHHRGASIVSIKADPAFVSCQAGYNSWYHRRGSNPNLLVGATVGGPDEYDNFADERDNYQQTEATTYNNAPLMGVLARLAAGHGGGRFGHSLADEVDATSMKSDNKTSTPSPSPAVEHYSPIEIEQNATASWTERSKTYHRYSVTVTNRSLKTVHELHIGISKLYGRVWGVDKARYGYVFPSWLQSLPAGKSAAFVYIQAAPPADVWVTGYKLL >KQL07272 pep chromosome:Setaria_italica_v2.0:V:38856750:38858147:1 gene:SETIT_005072mg transcript:KQL07272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAASRAPRLSSIFSSTTPRAQTPKPAPAPAPAPAPAPAPKVAAGEAEAKPNAGRSHEKSLGRIVKAIFQERDPEKLVSKFIAASAASQRFREKHRVYEVAVARLTSFGRHDAVAAILDSQKPFLEASTEGFATRLVRLYGRASMPSHAATTFLDLPPKHKSVMTFNALLSAYVNARDFDALATAFQQIPASHPTIVPSVYSYNILINALCQKPDLSAALDVIPLMEKCGLTPNEISFNTLLNGFYNNGCFDDAKKVWEMMKERNVEPDAKSYNAKLRGLVSKGSTEDAIALIERMQKDGPKPDTVSYNELIRGYCKEGRLDEAKKCCHEIFSGKCRVQSSLLQSVVTALVAASRVEEAKRIVELGRKNYYPRKGLRMSPRTGDNMGLKMPPPTGEDIDAEAKTDLEDSAPDEEGEGCEEGEDSKNA >KQL04156 pep chromosome:Setaria_italica_v2.0:V:6896845:6900769:-1 gene:SETIT_000544mg transcript:KQL04156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDGGGGGKLPYSYASVGHSDGKLVKSFSRVEPRKFGMGLVAGFLLVTCAYFSTAKFDAIHIAMISPISKDAAGIGSLVSGGADTSKQQLDLGVQDPDALSKEGSKAEVLEKDDGDASPSGPDSGRNAPLEDTRRDETFVGDSGDAARGGASPAAANPAAVGGRDEVPAKDGDATAALLPPVSSEEAANSTQESGVLEDEELQVQDAVAKTPSKKSNVSAAAAATTTTASSNGGSPSTVHSDPAVLPAPVQQIPPTTQEVKALVADQQIPAAPVVKQADSETPAVREWKPLCDVTSNRRIDWCELDGDVRVLGANASVTLVAPPGADERTFREESWSIKPYPRKADPNAMRHIRVLTVRSVSGEPPAPACTDRHDGVPALVFSDRGYAGNYFHAYTDVILPLFLTARQYAGEVLLLVTDFQMWWLGKYLPVFKSLSNYEPIDLDHDPRVHCFSHVQVGLTNHDDFSIDPRRAPNGYSMLDFTKFMRTTYGLPRDVAWPAAANGTAGRSRPRLLLIARARTRRFVNTDEIVRGAEKVGFEVVVSEGEHEVAPFAELANSCDAIMGVHGAGLTNMVFVPGGGVVIQVVPLGGLEFVAGYFRGPSRDMGLRYLEYRITPEESTLIDQYPRDHVIFTDPEGVKKKGWESLKGAYLDKQDVRLDMKRFRPTLKKAIAHLKKAKAAGGSN >KQL03780 pep chromosome:Setaria_italica_v2.0:V:4574006:4574269:-1 gene:SETIT_004911mg transcript:KQL03780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVLLLSELLGGESASVLAADRYIMGGRLSLGREFRPAVTEASAAKQSERPAAGAERAREEEKQRKEESFEDLAVSRIAVDVMWP >KQL03883 pep chromosome:Setaria_italica_v2.0:V:5208978:5211670:1 gene:SETIT_003659mg transcript:KQL03883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIKPKALLAQSKVKKGPSQISVTTIFTYLVLGVVVVSSVYAAYKYWVGKGPAVTAAGVEGN >KQL04740 pep chromosome:Setaria_italica_v2.0:V:11296682:11299756:1 gene:SETIT_002294mg transcript:KQL04740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGHLRRSLRALHGLPITGRAACRPVPLHQFWSSHIQPISGSGWCNKHAARDFSTSKNMTSGGVYLQKELESTKPMKDTDIIINRIQKSTRELEQGPIGKNLSSEEKRKFLFNTLLGLEDSREAVYSTLDAWVAFEQDFPLASLKQALSVLEKEGQWHRIIQVIKWMLSKGQGNTMNTYELLVRALEKDNRAEEAHIMWQKKTSHDLHSVPWRFCHHMLSIYYRNNMLDRLVKLFKDLEACGRKPRSKDIIRKVGDAYEMQGKLEEKIVLLENYKDLYSKPSRDYRKKGSKSKKTQMNKTDGVLRVLGQSCDEPNDG >KQL05930 pep chromosome:Setaria_italica_v2.0:V:29158236:29160612:-1 gene:SETIT_000543mg transcript:KQL05930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRQLGEAVGSINALMAFEPELRVNPRQCRLLADACAHALAAVTGEVRASLRFEERGTKWRAIEAPLRELHRAFRDAEGYVRQCLDLRGDGSWWARAAAVAHGTECVEQHLHAILWCVAVAVEAVEAAAEIAGSDADEIARRRMVLAKKYDRDMVEPRLFQNAHGKVYLVPQELVARMDMAWKEDRWLLSQLLEEMKSPTAPKPLTKSEQRLADVLAAPRGKLHPASILLSGDYSVRRRLGGRLKEAQWMGESFAVKHFIGDAEAEAALLSSVAHPNVAHAAYCFRDEDRKEYFVVMDQLMAKDLGSYVKEVSCPRRRVPFPLVVAVDIMLQIARGMEHLHAKKIYHGELNPSNVLVKPRQPDGYVHVKVAGFEWSGTVTTGGKASANGSANANATGGGDYTCIWYAPEVLEKESGDPSARRTEKADVYSFGMICFELLTGKVPFEDNHLQGDKTSKNIRAGERPLFPFQAPKYLVSLTKRCWHADPEQRPPFASVCRVLRYVKRFLVMNPDQQQGQTDAPPAAPPADYLDIEAQLLRRIPAWQRGEGAAAAARVADVPFQMFAYRAVEREKAAGAHAGGRDRASDSGSEGNSLCGEENGTGAATPDDASTVSGGTVRSRPESSDGKKTPVRKADGKVPPRQTGSRQKVKPASAVKPPTTARKTLGLKPEVPAQRPTSGHASD >KQL04887 pep chromosome:Setaria_italica_v2.0:V:12815855:12818521:1 gene:SETIT_000270mg transcript:KQL04887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSTFLCLLLAAAPLLAPAGRVHAQGGFFPTPASTKVSTSWTISLKADGHGAQQHFGYMDGMSVSVFLLQSVHGPTQEGLCFAACFYCTDPCTDFYFGVCILQVDSSGFLSWPNAGTLQVVWSANRDRAVRENATLSFTASGDLLLRDTHGSFVWSTNTSNQSVAGMTVTKSGNLVLFDGKNLPVWQSFSHPTDCLLPGQQLVEGMRLTPNASATNWTSNSQLYVTVRADGLYALVESSPPQLYYQKTVPKSGNRKTYMTLTNDSVAIFTSSSSDNVSTLETGSTINITAGGMGYIRLESDGHLKLYKHKGIDGWVMVQDILKGQVDDCAYPTVCGEYGICNNGQCTCPIVNSSTYFKQIDDRRINLGCAPVTPISCASMQDHQLLALSNASYFNYVDSRAALPQMIDEESCKKACLQNCSCKAAFFQYGGNDTSQGSCYLPTQIFSLQVNQWEVTHYSSSAYLKVQITQPPPSPSPSKSNGTANRSTPKGSTSITAGAIAGFTVAGVVSLLSVIIITLVILRRRYQLRDDEDEFGEVPGMTTRYKFEQLKVATEQFSKLLGKGGFGSVFEGQVGEQKVAVKQLDQAGQGKKEFLAEVETIGNIHHINLVRLIGFCAEKSHRLLVYEYMSKGSLDQWIYFQDANRPLDWHTRCRIITDIAKGLAYLHEECRQRIAHLDIKPQNILLDDNFNAKLSDFGLSKMIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADIYSFGVVVMEIISGRKNLDYSQPQESIHLISILQDKVRNDQLEDLIGMNGNEMQIHKEEMIQMMKLAMWCLQIDYNKRPQMSVVVKVLEGTMNVETNIEFNFVATVPGNLGNDGKLASSAPLLASHLSGPR >KQL04826 pep chromosome:Setaria_italica_v2.0:V:12135572:12138545:-1 gene:SETIT_003017mg transcript:KQL04826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYGTIPTSSSAGGGAPLGGASPLDFISRAKARGASALATRRPWRELGDVHAIGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWHPVSLIVFLVCMLAWLVLYFLRDEPLVLFGRVVADGYVLAVLAVVTLGLLLFTGATANILSSLLIGLVLVVLHAALHKAEDNADDEVGRWYAPVPQQPSH >KQL04827 pep chromosome:Setaria_italica_v2.0:V:12137937:12138515:-1 gene:SETIT_003017mg transcript:KQL04827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKYGTIPTSSSAGGGAPLGGASPLDFISRAKARGASALATRRPWRELGDVHAIGLPPSLGDAYLRVRANLAHFAMNYAIVVLVVVFLSLLWHPVSLIVFLVCMLAWLVLYFLRDEPLVLFGRVVADGYVLAVLAVVTLGLLLFTGATANILSSLLIGLVLVVLHAALHKAEDNADDEVGRWYAPVPQQPSH >KQL05005 pep chromosome:Setaria_italica_v2.0:V:13994381:13997741:1 gene:SETIT_001988mg transcript:KQL05005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAARQGKPAAGGVALLVALAVWLLPAVARAQLRVGFYDTTCPNAEALVRQAVAAAFARDAGTAAGLIRLHFHDCFGCDASVLLTVNPGGGQTERDAAPNNPSLRGFDVIDAAKAAVEQSCPRTVSCADIVAFAARDSAALTGSVSYQVPAGRRDGHVSNASDTVDLPPPTSTAKELTDLFAAKNLSVEDMVVLSGAHTVGRSFCESFVDRVWNRSAKPPAAIVDAGLSSSYASLLRALCPSNTTRATPITTAMDPGTPNVLDNNYYKLLPRGMGLFFSDNQLRVDTAMAALVSSFAANETLWKEKFAAAMVKMGRIQVQTGTCGEVRLNCSVVNPSSSTIELGSSAPAADEDGGVATS >KQL05532 pep chromosome:Setaria_italica_v2.0:V:25487755:25489167:-1 gene:SETIT_003981mg transcript:KQL05532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVKNAAAGMDDVADKKAARPEKRYVTSAEIAKHSAEGDVWVAVQGKVYDVTSWLPLHPGGDLPLLSLAGQDVTDAFVAYHPASAWRVLDRYRVATLSDYTVSEVSRDYRRLVAEFARAGLFDRKGHGCAASLCAMAALLAGALWLVLATASVAAHMAAAVMLGFLWMQSGFLGHDSGHYVVMRSKLLNRAVQVVAGNCVAGISIGWWKRNHNAHHIACNSLDHDPDVQHMPLFAVSPRLFASLTSAFYRRVMRFDAAARLLVSYQHWTFYPVMCVARANLFAQSLLLLTDTRTRVPGRLAEVAGVAVFWLWYPWLVSRLPGGAGERAAFVLVSFAVTGIQHVQFCLNHFSAGTYVGRPRGDDWFQKQTRGTLDVACPPWMDWFHGGLQFQVEHHLFPRLPRCHLRRVAPLVRELCRKHGLPYERCGFWEANALTLRTLRDAAMQARAVAAAGGPAPKNLLWEAVNTHG >KQL05982 pep chromosome:Setaria_italica_v2.0:V:29738643:29740888:-1 gene:SETIT_000993mg transcript:KQL05982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAALASEVASTPWSFLIYGLLGALLLWQAARLLERLWLGPRRLERALRAQGLRGTPYRFLTGDLKEYARFNKAAWSRPLPLRCHDIAGHVAPFIHGAVREHGKMCFTWFGPMPRVTISDPDLARDVMSNKFGHFEKPKFPALSKLFAEGVANYEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVSRWAQSLGPDGSFELDVDPELQTLTGDVISRTAFGSSYLEGRKIFQLQAEQAERLMSIMQKFAIPGYMSLPTQNNRRMRQIKNEIETILRGLIGKRMQAMKEGEATKDDLLGLLLESNTRDTYENGQSSLGMTMEDVMEECKLFYFAGMETTSVLLTWTMILLSMHPEWQDRAREEVLSLFGKNRPGYDGLSRLKIVAMILHEVLRLYPPAIAFSRKTYKEMEIGDATYPAGVILELPVLFIHHDPDIWGSDVHEFRPERFAEGIAKASKDRLAFFPFGWGPRICIGQNFALLEAKMALSMILQSFEFELAPSYTHAPHTVIMLRPMHGAQIKLRAI >KQL08183 pep chromosome:Setaria_italica_v2.0:V:44060238:44062611:1 gene:SETIT_001802mg transcript:KQL08183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKREKPSSDVLLGILKVGSEGTFFSTNLPEGGFSFVEAGKSQNTTRTMLTYFSLRVEEVLAKKWYTEKTVQANVVMKELLAECTDPSEEYFPNFVSRKRLVRLFTLFNKDIVTALTRTGRKIAKRLLNYILKAHKAGHCWDGEWNILDIRVRGDGATLIIDKMPVNATKDGIVADLQKFIVLLRPYYKIEGIKGPAYFDEFHSDVMSLPELESEKFEIFQKFLADHMAFLPPASTSNLLERLFKLCDDIRRQNADFDYRPLQRLDCIGWTKIRDTMPYSKVFHYDQSLSHPYNDNYWDLLRFVRNFINRGLKYTQIDGVQSIEDPVILDIMVAYDLGKFITKLVLHVLYVFEKPKRLVSTWNAYKTSDDATEEIDEDGTEENEGI >KQL08184 pep chromosome:Setaria_italica_v2.0:V:44060238:44062611:1 gene:SETIT_001802mg transcript:KQL08184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKREKPSSDVLLGILKVGSEGTFFSTNLPEGGFSFVEAGKSQNTTRTMLTYFSLRVEEVLDIVTALTRTGRKIAKRLLNYILKAHKAGHCWDGEWNILDIRVRGDGATLIIDKMPVNATKDGIVADLQKFIVLLRPYYKIEGIKGPAYFDEFHSDVMSLPELESEKFEIFQKFLADHMAFLPPASTSNLLERLFKLCDDIRRQNADFDYRPLQRLDCIGWTKIRDTMPYSKVFHYDQSLSHPYNDNYWDLLRFVRNFINRGLKYTQIDGVQSIEDPVILDIMVAYDLGKFITKLVLHVLYVFEKPKRLVSTWNAYKTSE >KQL05594 pep chromosome:Setaria_italica_v2.0:V:26214162:26216865:1 gene:SETIT_000941mg transcript:KQL05594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARFLLVLVLGATLLFSSAEAAGVGVSYGRVADNLPDPGSVVQLLQHNGITMVRIYDTNPQVLTSLANTGIKVMVMVPNENLADAARNPSYALEWVRTNVAAFLPATKIHGISVGNEVFKWRSDLNLQLVPAMTNVHDALVKLGLTDAVKVTTPVAFDALKDPTFPPSAGRFKDEIAQSVMKPMLQFLQKTSSYLTMNIYPFLAYANQQPGTISLDYALGNPNTGVSEVDAGPTYTSLLDAQLDATYYAMEGLGFPDVSLYVTESGWPSRGVIHRGGRRLLDTGDDGSEAASVANAQAYNDNLIKRVLSGKTGTPHRPDADMDVYIFALFNEDNKGSPDDVEAYFGLFDHNMKKVYEFSFQGAPAASWCVANAAVGDARLQAALDYACGNGADCDAIQPGETCFQPNTKVAHASYAFNSYYQNKGRAAGTCDFNGAASVVYQAPTDTCWSWCVANASVGDAWLQSALEYACGHGADCSAIQPGAACFEPNTKVAHASYAFNSYYQYNGRANGTCDFNGAGSVVYQPQKIGNCVLPPPNA >KQL08467 pep chromosome:Setaria_italica_v2.0:V:45607238:45607821:-1 gene:SETIT_003236mg transcript:KQL08467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIYQCCSAMPLRYCQWCAWRMSQHQGTTWRYPYAPGYPCHYHHRAQASSSSCQESFASAALESSAGSGAAQLPWYSIDIAWVIEQLTKLQATRGYQVAHLVTQLLNFTGVIEKSKSDYGNDWFFRLADNVKEILTLAYGIIRVWMNIPA >KQL08324 pep chromosome:Setaria_italica_v2.0:V:44799725:44801523:-1 gene:SETIT_004741mg transcript:KQL08324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGEEDKKPAEGGAHINLKVKGQQQLELYKVRCLGLTCNLPYPGLHFTALLTLLIGSSVQLLILEVLICVGGLIRFDMHNSTDYFLFFLRSTLHNAIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGYVPSA >KQL07659 pep chromosome:Setaria_italica_v2.0:V:41053564:41055837:-1 gene:SETIT_001056mg transcript:KQL07659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDLVTTLSMENGVGGGHHGPCTLLSMDPSGHLAAPDDRAVGVMVQALIGGAGGVGARAHAVSPSGAPPPDINQPWQTDLCDMLGVGLGHQVYSAEAVLSCVAPKAGSRKAAKRGDSIWGAWFFFTFYFKPLLSDKCKDKVVRDTSGVSGFDKSDLRLDMFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRPGEPQFPFSVDRGFVRSHRMQRKHYRGLSNPQCIHGIEVVRSPNLAGLTEADLRRWAELTGREVNFMIPQEASDFGTWRTIPNSELELERPHPVMKSNGTQNPKKSGLNLSSPSNHSGEDGMDLSPVSSKRRKELSPQAMDEEVFLLVNSCNQKTQQDVEMHSAVQPSWLHGFAGVMRNARGPVTAAKSIYEDEQGYLIMVSLPFVDQQRVKVSWRNTLTQGIVKIVCVSTARMQQIRRHGRIFKLSDPSPEHCPPGDFIREIPLATRIPEDAKLEAYFDEAASVLEIMVPKRGNEPEEHEVRVSLRPPHFGANDLLLT >KQL03227 pep chromosome:Setaria_italica_v2.0:V:423701:424365:1 gene:SETIT_002658mg transcript:KQL03227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYWKTKVLPGINKIFDKDGKKAAAVEFLKSFNKEELGKEIEDKKTDLEPKVAETYEASPPEVKALFKDKKPVKISKKNSAAATKFLDELAKIGNNKHRSQLEIICYSLVWSIVASTCHSKGFSSRTSSLISHKTPYYPRSKLYLFPCIFLQ >KQL03229 pep chromosome:Setaria_italica_v2.0:V:423482:425617:1 gene:SETIT_002658mg transcript:KQL03229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYWKTKVLPGINKIFDKDGKKAAAVEFLKSFNKEELGKEIEDKKTDLEPKVAETYEASPPEVKALFKDKKPVKISKKNSAAATKFLDELAKIEFPGAKLVSDAVAKSGTTPLSPAITFILDKAAPFIPKEEPKAAEPEPAAAVEATSREVAVEEKKEEAEPAAAAATEEAAATSEAVEEKKEEEKKEEEEKPAEAAAEAAAAPPAAEEKK >KQL03226 pep chromosome:Setaria_italica_v2.0:V:423457:425617:1 gene:SETIT_002658mg transcript:KQL03226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWLLYRCPFITTPPLHVCILGFFLLKTIDSSFEETRQKMATYWKTKVLPGINKIFDKDGKKAAAVEFLKSFNKEELGKEIEDKKTDLEPKVAETYEASPPEVKALFKDKKPVKISKKNSAAATKFLDELAKIEFPGAKLVSDAVAKSGTTPLSPAITFILDKAAPFIPKEEPKAAEPEPAAAVEATSREVAVEEKKEEAEPAAAAATEEAAATSEAVEEKKEEEKKEEEEKPAEAAAEAAAAPPAAEEKK >KQL03228 pep chromosome:Setaria_italica_v2.0:V:423482:425617:1 gene:SETIT_002658mg transcript:KQL03228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYWKTKVLPGINKIFDKDGKKAAAVEFLKSFNKEELGKEIEDKKTDLEPKVAETYEASPPEVKALFKDKKPVKISKKNSAAATKFLDELAKIEFPGAKLVSDAVAKSGTTPLSPAITFILDKAAPFIPKEEPKAAEPEPAAAVEATSREVAVEEKKEEAEPAAAAATEEAAATSEAVEEKKEEEKKEEEEKPAEAAAEAAAAPPAAEEKK >KQL03225 pep chromosome:Setaria_italica_v2.0:V:423457:425617:1 gene:SETIT_002658mg transcript:KQL03225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWLLYRCPFITTPPLHVCILGFFLLKTIDSSFEETRQKMATYWKTKVLPGINKIFDKDGKKAAAVEFLKSFNKELGKEIEDKKTDLEPKVAETYEASPPEVKALFKDKKPVKISKKNSAAATKFLDELAKIEFPGAKLVSDAVAKSGTTPLSPAITFILDKAAPFIPKEEPKAAEPEPAAAVEATSREVAVEEKKEEAEPAAAAATEEAAATSEAVEEKKEEEKKEEEEKPAEAAAEAAAAPPAAEEKK >KQL04295 pep chromosome:Setaria_italica_v2.0:V:7942540:7943843:-1 gene:SETIT_002477mg transcript:KQL04295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPALVLFPLAASLLLLQDHASADCEPATCGNLTLRYPFWLGSGNQTSSPCGHPSFEIWCSDDHRRSVASLKGSSIHVLSIDYANYSFVASHTRVAAADGVCRTDFNMSVSIALSPFTISPRNRALCFLYNCTGGAAPIGPDEYVNATSSCRAPIYAYLGGAYYWDKPPAIASDGCTYTYIPVLGREAETMTAANYSRLLKDGFVLKWEAAGIGDCAACNARGGKCRYDNATAAFRCLCPDGRRAAGSTCSGELSLSHFPPYTCSSQRYIITCLKLQLDPYLYCY >KQL08290 pep chromosome:Setaria_italica_v2.0:V:44600608:44603484:1 gene:SETIT_001032mg transcript:KQL08290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLISGGGAMPMSLPGRKAPAGGAGVRRWVVTIVVSVAALLLTLLLISLSVGSSLPRTSLQDYLPVSVTGLGKLSSPDHADGNTNGTAIGEESLQGGREPLVEQNGQGGDVDSGQPSAVTGKIESKEPDPVAPGDTTSTPDEDSSNESQKEDSSNESQKAEQGTCDLYHGEWVLDSSGPLYTNNSCPIITQMQNCQGNGRPDKEYENWRWKPEQCNLPRFDARKFLELMRGKTLAFVGDSVARNQMESLVCLLWQVDVPQNRGNKRMHKWLFKSTSTTIARVWSSWLVHRSTEAVGIAPKGIDKVFLDVPDETFMEFLPRFDVIVLSSGHWFAKRSAYVLNGNVVGGQLWWPRQAGKMQMNNVDAFGVSVETCLTAVATNPNFTGLAIVRTWSPDHYEGGAWNTGGSCTGKVKPLDEVVRNGFTDAMYGKQVEGFRKAVKNAGEHGSRLKLMDITEPFAFRADGHPGPYRSPDPNKKTQRGPDGKPPPQDCLHWCMPGPVDTWNEMLLETIRREFERDSS >KQL06730 pep chromosome:Setaria_italica_v2.0:V:35246713:35247876:-1 gene:SETIT_004248mg transcript:KQL06730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGKIPDDKRMFTNVYLENTHIPARFQYTQRHEAELCEEEKMHRRAVAFKYVSHEMVEGLHCYLEIIQNDEKEDYHRWKDFNIKTWDIDMLGGLPQQEDRTSSGLFMLKYMEHWNGGRRAGKGAYLALPVESWTLVRSRLRSSAWSAPAAELLLMLGVGLPGERVEGDRREPFPGMRGCGAMRGEGAARSRMERGRRE >KQL08663 pep chromosome:Setaria_italica_v2.0:V:46560214:46560672:-1 gene:SETIT_004282mg transcript:KQL08663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASGELRRVFASFDQDGDGKISAAELRLCMKAATGEDMSAEDVRALMASADADGDGLLDEEEFVRLAGEVVEADADDDEEEEERRRWLREAFGMYEMEGRGCITALSLKLMLGKLGAHRDIADCQAMICRFDLDGDGVLSFEEFRTMMMG >KQL05239 pep chromosome:Setaria_italica_v2.0:V:18696543:18697117:1 gene:SETIT_005543mg transcript:KQL05239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPMFCCCCPGPDSNILPASGQLFDASRIMRFPCPRCQMFQVRKGLLKDDMPH >KQL07873 pep chromosome:Setaria_italica_v2.0:V:42283911:42286975:1 gene:SETIT_002088mg transcript:KQL07873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEADAHRTDLMTITRHVLNEQSRYPESRGDFTILLSHIVLGCKFVASAVSKAGLAHLIGLAGETNVQGEEQKKLDVLSNEVFVKALVSSGRTCVLVSEEDEEATFVDPKLRGKYCVCFDPLDGSSNIDCGVSIGTIFGIYMIKDKDNVTLEDVLQPGKDMLAAGYCMYGSSCMLVLSTGSGINGFTLDPSLGEFILTHPDIKMPKKGKIYSVNEGNARNWDAPTAKFVEKCKYPTDGSSPRSLRYIGSMVADVHRTLLYGGVFLYPADEKNPNGKLRVLYEVFPMSFLMEQAGGQSFTGKERALDLVPTKIHERSPVFLGSYDDVEEIKALYAEQAKSSSA >KQL07253 pep chromosome:Setaria_italica_v2.0:V:38692862:38693896:-1 gene:SETIT_002598mg transcript:KQL07253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRGDAHRPCQRSSRPHSSADAVPLWAEARILNHAIRCSCSVILLPRQICSARWERRVFRCGELHPQPPDELAARLPRAAPASGPKMMRSSPLAPLRRRARSGPPRVQAASPAIAGTATNGGGRSSSKLPVMVAFHGGGFCTNSYATRCDSLGERGELRDGARVVWCGGGRQTAAEREADSLGERRRSLSGEAKAAANSGREPKCSERGRRKRSGGAGEAAQVDACATAERRGGGKSEGRGVGTVNDMDPPVGVVYNN >KQL08309 pep chromosome:Setaria_italica_v2.0:V:44706708:44707934:1 gene:SETIT_002842mg transcript:KQL08309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAWSGRALRLQWTEGGGPAADRREGRFSPGEEAASHQKHIGPNQGPLHKWTPGSRRHGERRGGAAACGRRDAFRRLEPCVPGVTPPAELGAAVILAAAAGWHGAEGKSCTNAFPGLRSHTERAAAQLRSPPVSEPGHHGHGHERGHEQHLTPTHDFRKLAGLTAPGTPFGGWEAPNVQLRGHFHISGTYFSAFERQWESLNEETGGMNDVLYQLYHDNE >KQL04872 pep chromosome:Setaria_italica_v2.0:V:12575757:12576164:-1 gene:SETIT_004371mg transcript:KQL04872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRLVLFTLVVAAAAALLAVRPASAGVPDMGALDALAAGKKQCSGAVGECGVDEAEELGLSGVGAGEEIVRRTLAARQPRNRYISYAALRADQVPCNQRGRSYYSNCGAGAQKAANPYRRGCSVITRCARNTN >KQL07371 pep chromosome:Setaria_italica_v2.0:V:39369424:39369934:-1 gene:SETIT_003644mg transcript:KQL07371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLYPNQLLILKVCFTLQAAIGRGSLERSCNCKIQYGSKKKILSEEKLLDEWNRHYSATNSTDGE >KQL04187 pep chromosome:Setaria_italica_v2.0:V:7067427:7068086:-1 gene:SETIT_005200mg transcript:KQL04187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CTKGHISCSDCCTDVALDDESECLMCREPETATRCRAMERVLAGLSVPCAFRQHGCAEMIPYGGKQAHEASCRYAPCHCPIPGCAGYAGKSLAVHVGVDHPDVHRTRVRPDCLTPLRMRAFEQARVVLLGHGCAEFLLVVGMDVPSGRSLSLVGLMDEQFDEFKYRIEVVGKDGVLALFGQAARVDRLARPYHASAFLFVPNAIWDSYPEDIPVFIHLK >KQL06181 pep chromosome:Setaria_italica_v2.0:V:31431279:31432499:1 gene:SETIT_005187mg transcript:KQL06181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLMEDHSPWDYLSVDQIRTPAFNSGVVSEASNGGKEFSVSLDAVVPDDILERIFTFLPIASMIRATAVCKRWYGIIYSSRFLWTHMMPQRPWYFMFTSNETAAGYAFDPILRKWYDLELPYIDKSSCFVSSSCGLVCFMDNDNRNSISVSNPITKNSKRLLEPPGETLPDYSTIAMKVDRLSHKYSITVAKSKQIPEDFVRWEFSVYKYDSWSGTWVTSASEVFIGWRGGDDSVICDGVLYCLIHSTGVLGNVNPRHGLIMYDLVGGPSKTSLVQTSIPVPCSLTCGRLVNLKEKLVLVGGIAKHNRPDIIKGIGIWELHEKQWHEVTRMPHKFFQGFGEFDDVFACSGTDDLVYIQSYGATALLVFDMMHKQWKWSVKCPVSKRFPLQLFTGFCFEPRLDITA >KQL05658 pep chromosome:Setaria_italica_v2.0:V:26767356:26771588:1 gene:SETIT_001494mg transcript:KQL05658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVWSCLQDLQQLQDGDLAGLHPSPDLHDHDPFWPALADCAASFLAGDDTACFGVAGIDLSGGSATGNAVDGMDTASFFAENDDNHHLMHQQEQQQPVYSSSSLSSKRSLSIDSGGSSSTFFSLDAAAAGIFSSSHHSEAPAATQDPFAGGEDEALMRAMVAIISSASPSSSESSSPPLSQDTTAALAAVAQPRPCGGNASNVTVRSTSLAVGPEGTTSLSSAAGGGRQQEEDTRAAAGSNNSSQVYHMMSERKRREKLNDSFLTLRSLLPPCSKKDKTTVLINAASFLKTLEAQVSELEEKNARLERYVPREGATAVAAHHRRAKVHISRAASGDRQVISLVVMVMVECDIVDLVLHVLECLRWMSGVSVLSVDADTYSPQAPLKARANIKLHITDGDCWNEALFHETMTKTVHDATSFPSSSSCAAPAPLVAAA >KQL07655 pep chromosome:Setaria_italica_v2.0:V:41028614:41032942:-1 gene:SETIT_003048mg transcript:KQL07655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSQASLLLQKQLRDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYDGGYFNAIMSFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKEWREKREDFKKKVRRIVRKSQEML >KQL07654 pep chromosome:Setaria_italica_v2.0:V:41029018:41032745:-1 gene:SETIT_003048mg transcript:KQL07654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTSQASLLLQKQLRDLAKHPVDGFSAGLVDDSNVFEWQVTIIGPPDTLYTVLYWPNGLASSGAISDGGYFNAIMSFPQNYPNSPPSVRFTSEMWHPNVYPDGRVCISILHPPGEDPNGYELASERWTPVHTVESIVLSIISMLSSPNDESPANIEAAKEWREKREDFKKKVRRIVRKSQEML >KQL08552 pep chromosome:Setaria_italica_v2.0:V:45973240:45976629:1 gene:SETIT_001390mg transcript:KQL08552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVHMNGSVHGANGTEERLDELRRLLGKSEGDLLKIVSVGAGAWGSVFAALLQDAYGHFREKVQIRIWRRPGRTVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIETPLCPLKVVTNLQEAVWDADIVVNGVPSTETREVFEEISKYWKERISVPVIISLAKGIEASLDPIPHIITPTQMISSATGVPTENILYLGGPNIASEIYNKEYANARICGSNKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLTEQPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGELSPDMGDSIKGKGMIQGISAVGAFFELLSQPSLSVQHPEENKQVAPAELCPILKRLYRILIKRELPARDILQALRDETMNDPRERIEMAQSHAFYRPSLLGKP >KQL06149 pep chromosome:Setaria_italica_v2.0:V:30980249:30986281:-1 gene:SETIT_000072mg transcript:KQL06149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSRHRSHRSHRRGGSADRSESEGEESAPAVGAREEAAAAARVSRDPEPERRRSSSGKEAVRSGNGYAEHGKKRKERVEEAVVDVVSDRWNSGVCDDHLVDKRSKSETFGHADTEKLPDKSRGSGDESKRSSRRAVVVDDRAEEVVSKSDSGKRRSEKEKDLGRRESTGHYKDDRYRDRERDREREKEWERQKERDREKSRDREREKEREREKEREREREREREKDRDRERDRERERERERQKERERDRKDYDSKHERYEDGGSRKTGSKTSRAEEEAYSYRRDTEINEISAKEKYNNPEMQPDKHSRRKDDSEDTDKWPADNRDSDDRKTLSRYEHGKSRSSKEQRFDDDKYKEKYKDDYGRDKRQQDDKFLDERVTRGHESDRADYKSAKDGHRSSESHYRKEAVQDGDHYEDYSNRYKESRGKKRPEENDDQYDSKPPSTCDQRVNLEKSSGSGRLDSLIERARPDRSSSPSKIHSRSSPSPSSYHDKDQSRHGSKAMDHGKREMPYDERNSRPRTSSGRERTPASRLRDRDAENWSSERLKQKDDHQPRDVPLEISASSQYDRTPRKDKHTSPKQFSEKSPSSGDQRFSGRLSGGRSLDSKGERNSLTKYRDRDGDLSQERSHHQDRTPAKVPFREPTPSGSSMSRGGHFSGTSPNHPLPPSRHRNDDSFLGSHDDDRRPQSGDRRFHGHQKRNDMNSVRGHGHAWNNPPNWPSPVSNGFVPIQHGAPGFHPPVHQFPGPPMFNLRPQMKLNQPGVSYPMHDGVDRFSTHMRPFGWPNPLDESCPPHMQVWNGGSGVFPSEPYLYSRQEWDQNRPHAGSRGWELTGDTSKGLNEVPDAELPVAKKEPDSAATAISDSSSGQHNLQPLVEQKEIEHLTSEHFEAKDDSKSALKSLEAPRGAQLVTSMLSKNGAVFCKSYLSRISVSHDLVESELYKRCISLLGDLGVAKAPIVVRNELSQRDDGNIRKITENYGSPNPFSSLYLKSNSAIFQRALALHKNQTGKGLVPTFASVKMEEKMDAPEGSQDTEMLDCTSKESMVSSPALQHHTDVMEERSPSKQELGDRIGVATAAATESGGVEAPPAITQPDEEMEVVAPPAITSPGKDMEDVIPSENEVPADGLEDGEPQATLEHAGDLLEVISADGLEDVAQPSADGESGDNMEIMPPAMTDPSLGKEEVAPVAASPPGSQERPFIMHADAETGMEDQIGKVIDDNPGDGEVSSILATKLDVAASDDQDSEALLVESRVNLSRIPNSPESTH >KQL06414 pep chromosome:Setaria_italica_v2.0:V:33206633:33211275:1 gene:SETIT_001124mg transcript:KQL06414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRLLLPLVLAAAFLAAAAARAGSKPSAAVFELIHRERATWAEMARNDRARMAFICSRGRRRAAETGVTRATATEKHKAAPAAEAFAMPLSSGAYTGTGQYFVRFRVGTPAQPFLLVADTGSDLTWVKCRGPAPDAGYGYGAPLPAPSSSSPPPHGRVFRPEKSRTWAPIPCSSDTCIASLPFSLAACPTPGSPCAYDYRYKDGSAARGTVGTDSATIELSGPGAEAAATSTSRKQRRAKLRGVVLGCTTSYNGDSFLASDGVLSLGYSNFSFASRAADRFGGRFSYCLVDHLAPRNATSYLTFGPNPALSSPAPKARTACAGSPPAAPGPRQTPLLLDHRMRPFYAVTVNGISVDGELLKIPRRVWDIEKGGGAILDSGTSLTVLVRPAYRAVVAALSKKLAGLPRVTMDPFDYCYNWTSPSTGEDLTVSVPELFVHFAGSARLQPPAKSYVIDAAPGVKCIGLQEGEWPGVSVIGNILQQEHLWEFDIKNQRLRFQRSRCT >KQL07492 pep chromosome:Setaria_italica_v2.0:V:40011231:40011776:1 gene:SETIT_003684mg transcript:KQL07492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCSSIIVQDCTLTTHERLYWLVRTLVLKVMGCQGRCVNVHCYPLQKKQVLLSELQG >KQL07986 pep chromosome:Setaria_italica_v2.0:V:42989706:42999495:-1 gene:SETIT_004693mg transcript:KQL07986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPARSSARGGRRGRGRGGRGRGAAAAGTRRKRAVDSEVIVLDEDFLLTAASDCADAGEPTPTRNLWRLRKQTMESSAADTKEHVVDVAVDVNDNDTKDPGNLAPCGSLKACLLKDISNGLAESEAKVVKEIRQSGQKLDQHRRSKRLQAKPTGIQDLGGGDSDSYIFEDSLSSSEDEKGRIVPKRSKRLRRKFTSEYANGEVSEDKNSWKNSSDDDNDSAAPRRRSKHHKLRTRSSPNDDGDDSDAVNCPGKAIPCRRMSKRLQEKQKVDHISNESSTKASSSMLSTSSSSDDELLCNSVKSSKRFSHGPMCSIYPPLQDGIRTSECSFCKINQTSLAMATEENFVKKIQRYVGHRMLVIQDSGFQYQFLVKWQSLSHHHDCWVPLEWLQVFDRIRVQSYLKKSILLKEAYLEDQRKPEWFEVDRAIACRRKSGSDSTCDILTAIQDNEDLQEYEFLVKWKGLDYCEATWESCCTEGVQVAISKLVERHQIASKRIDCVSPICLEGVITEDVHNGALYSYQHQGLQWIFDNFKARRNVILADEMGLGKTVQVVCFLNHIIKERFTLFPALVLAPKSILLQWEKEFGRWGSDLNVIVYQGDKDSRKCIQAHEMYLSEGRVLFDALVTSYEFVQIDKSVLHKFKWSAIISDEAHRMKKLDCNLASCLKRYSSEFRLLLTGTPLQNNMLELFSLLHYIDPDEFSDPDADGLFTNIDSGEELTMEEKIARIHDILKPRMLRRLKSDVLTDSMPTKKWVEVPCALTDTQRELYIDILEKNYSKLNGAIRNGKKLALNNILMQLRKCCNHPFLFQGLETKQQAEDVFLSLVSASGKLQLLHKLLPKLKERGNRVLIFSQMTMMLDILEDFLFYLGYKYARIDGQTSLSNRQESIKEYNRAGSETFIFLMSTRAGGLGVDLPGADRVIIYDPDFNPFMDLQAQSRAHRIGQTRPVVVYQLITKCSVEEKILQKSKQKLAIENMIMNSSKKLDADELQSILLHGAKTIIDRKKVSATSIYYDDEAIENLLKLDPNSEDKCSKEDNGYLGSIVSFAHSVEDQEPGSPKVEDLEVLKPATPKVDLGRGKRQRRAVNYNDAVENSDSDDMYTPEGSSTSSSSSSDDDNDYDADCVVSAQVVPAHEAQTPNVTSAIEASGAVPALEVLKGPSRSGSSSSVDDKGDDKPSVADI >KQL03266 pep chromosome:Setaria_italica_v2.0:V:635429:638917:1 gene:SETIT_001033mg transcript:KQL03266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPYDREDGLAPAPPQHAPDAYDPNYVPDSVKTFVVHLYRHIRDKNVYEIHQMYEGGFQRLSDRLFRDAPWPSAEAVAPYCDGDHVFLLLYRELWYRHAHARLSPLTAAHRAESWTNYCDLFSVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKLKNKTEDELQQLKQFDKAWNVYGVLNYLQALVEKSMITQILEREKEGLEQFTATDGYDYEGGSNVLKVLGYYSMIGLLRIHCLLGDYHTGLKCLAPIDLNQQGVYTIVIGSHISTIYHYGFANLMMRRYVDATREFNKILLYILKYKQYHQKSPQYDQILKKNEQMYALLAICLSLCPQNKLIDENVSSQLKEKYNDKMTKMQRYDDEAYAAYDELFSYACPKFITPSPPVLDQPLTNYNQDAYRLQLKLFLYEVKQQQLLSGIRSYLKLYSTITIGKLAQYMEVDEATLKSILMTYKHKMHAVDSDGKIVSSADFDFYIVEDIIHVVESKPTKSHGDYFLRQILKFEEMMGELEKVQLD >KQL06449 pep chromosome:Setaria_italica_v2.0:V:33521080:33521706:1 gene:SETIT_003219mg transcript:KQL06449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRPRMKPPFPEKYIGNCVGPAFGMAPRGELAAAGVGGLFTACAAVASAIDEAVRDIGTYSMDAWMDRVRESVAALSVAGSPRFHVYELDFGFGRPVKVDIVSVARTGAVAVGESRSSTGGMEFGVSLQPAGMERYRKCFADAIAWLHERSR >KQL05453 pep chromosome:Setaria_italica_v2.0:V:24647806:24649388:-1 gene:SETIT_005324mg transcript:KQL05453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKTAGVASAPWCSTPTSAPTRAAPYPRLVHGRRGHPRMFFRIDTLHHLSSILVMCFFAVGIS >KQL05452 pep chromosome:Setaria_italica_v2.0:V:24649135:24649329:-1 gene:SETIT_005324mg transcript:KQL05452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKTAGVASAPWCSTPTSAPTRAAPYPRLVHGRRGHPRMFFRIDTLHHLSSILVMCFFAVGIS >KQL08095 pep chromosome:Setaria_italica_v2.0:V:43527544:43530873:1 gene:SETIT_001548mg transcript:KQL08095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLELDSSDFFLDGDPIDSPLEIHLESNSLASTKAVPDHRRYAQLHCSNAPDPPPLPGTSYGARRSSRKTTACSRVPGGILDSWDKLFLEGYQADLRVSTDDGSEILSHSCVLGVKSPVLRAMLEDAELTNGFRCIRISGAPSGAVHVFIRFLYSSRFEQEQIKKHVLHLLVLSHVFSVPSLKTVCIDQLERNFLAPDNVVDILQLAGLCDAPRLSLICTRMIIGDFKTISLTDGWKVMRRVNPNLEQELLESLVEADTKRQERAKKMEEKKVYLQLYEAMEALIHICREGCRTIGPRDQALKGSRAGVCKFPACKGIELLVRHFSGCSVRVPGGCANCKRMWQLLELHSRMCFSPDTCKVPLCRHFKEKMQHLGRKEETKWNLLVCKVLESRGAMSFISERRKFSALKTAGPGSRHVAPCLVGPI >KQL08172 pep chromosome:Setaria_italica_v2.0:V:43995810:44000912:1 gene:SETIT_000408mg transcript:KQL08172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYTAREMEFMGGSTKVLVCNDRAASALTSLSNWLVLNNEANFDSREPKFTVAQFMERLISGKILNWHKNNVLPTPESQRIVVEYFDITKRLCTGIKVNPVAGTAKFEDSREYDLFRILGVPLLHAPAFNEQVFPSLQEQLEPGQIGILYLNGEFNTICKHNGTVYMLVEDEALHKKNPSASWKTMVDEKEIFVAGDFSYTRAHINQKVYKCSKCLEEFCTEINYERHLEAHVPTSKAALNRSWNKDAFAKYWDQISDEDAYSILSDGDQTVEKSHGLPVDPIFKKWILGPEFLVTPPEVRDALKQLKQLKHLMEMKREKPSSDVLLGILKVGSEGTFFSTNLPEGGFSFVEAGKSQNTTRTMLTYFSLRVEEVLAKKWYTEKMVQANVVMEELLDEITDPSQELASANIENVEDLEGKDIASIVSETSTSSHVSDDVVHTEEKDEERKLLPADIAHLPELLGEEYFPNFVSRKRLVRLFTLFNKDIVTVLTRTGRKIAKRLLNYILKVHKAGHCWNGEWSILDIRVRGDGATLIIDKMAVNATKDGIVADLQKFIVLLRPYYKIEGIKGPAYFDEFHSDVMSLPELESEKFEIFQKFLADHMAFLPPASTSNLLERLFRLCDDARRQNADFEYRPLRRLDRVGWTKIGAIMPYNKVFHYDEASSSIETYKDHYWDLLRFVRNFSNHGLKYTQIDGVQSIEDPVILDIMVAYDLGRFITKLVLHVLYVFEKPERLVSTWNAYKTSDDATEEIDEDGTEENEGI >KQL07544 pep chromosome:Setaria_italica_v2.0:V:40423752:40425505:1 gene:SETIT_002597mg transcript:KQL07544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLDPASSMRPAIEGARGVFHLASPLALQTRDPEKELLEPAVKGTLSVLRAAKDCGVARVVLMSSKSAMVPNPSWPAGKAVAEDDCWADVELLKKRQLWYNVSKTLAEKAAWEFTEKEGLQLVVLNPGTTLGPFFTPSVNTSLNILLQLLKGQELELDAVYTGWVDVRDVARSAIVLYENPSAQGRHLCLASMERLVDFTDKLADMYPEFPVHRIKEDKQGWLMRTKDPSKKLIDLGVGFIPFDQTVRETVDCFRNKGLI >KQL03416 pep chromosome:Setaria_italica_v2.0:V:2234999:2236285:1 gene:SETIT_004597mg transcript:KQL03416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLQLQLQDLQDFPPVHDWSQLPADLLIRIFVDLDVLNLFSEARRLGHGPYCRNKSPCLLYSSNDNDPNTATLCRLTNKKLYRVMLPDPPPLRSCFVVGSCHGLLTIADEQSKLHLVNPLSRAQIALPPPLTIKNVRGCYTTDEVLDSYHLLKLDLVNHECDAQAEPDDLTLEQGCFYFYLRVAMSADPSSGRCIVDARWTWIDVDQRCYSYNDFFYNDSNGLFYVVRGNGEVHTIDLNGPSPVVKIILRPMAPCIDNNKYIAQAPWGGMLQVWRYDDIVEEGEGRAVQLEVYMVDLAEQKLVEIKNLQEHVLFIGFNTPFFLLAEDYNMLTPDCIYLTNDYMDYIYSKKFGPRQVLVFNMKDGSLTDLFPDSDSDFWLSWPLPIWITPYYSQDNKG >KQL05188 pep chromosome:Setaria_italica_v2.0:V:17147332:17150945:1 gene:SETIT_003323mg transcript:KQL05188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTMRAVKVPPNSASLEEARHRVFDFFKQACRSIPTVMEIYNLDDVVTPSQLRSTIAKEIRKNQNVTNPKVIDMLLFKGTEELNNIVEHAKQRHHVIGQYVIGQEGLVHELGSKDQGSSDFLKKFYTSNYF >KQL07897 pep chromosome:Setaria_italica_v2.0:V:42398063:42399948:1 gene:SETIT_001647mg transcript:KQL07897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQPPPAPVARRKGAAASRKKWLVVPAAGEPREAELGKHRTMEMTGLPTRDLRVLDPDLSSPSTILVRERAVVVNLEHVKAIVTATQALVLDSSNPLLGLFLKDLHARVASPDVSSTGSATDRSNETDQGEGNGPTVALCRAGSAKILPFELKVLEVCLEHTCKCLESETLALEKEAYPALDELTSKVSRLNLEHVRHIKNRLVALSGRVQKVRDELEHLLDDDMDMSEMYLTRKLAFQGFTETLSRVDSNKDAPTDHDEKEEEDSDDEIETGHESSAYVKPDIEELEMLVEAYFVQIDGTLNKLYNVCSISLYSQLGLTQHLHDRLL >KQL07898 pep chromosome:Setaria_italica_v2.0:V:42398063:42400659:1 gene:SETIT_001647mg transcript:KQL07898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKQPPPAPVARRKGAAASRKKWLVVPAAGEPREAELGKHRTMEMTGLPTRDLRVLDPDLSSPSTILVRERAVVVNLEHVKAIVTATQALVLDSSNPLLGLFLKDLHARVASPDVSSTGSATDRSNETDQGEGNGPTVALCRAGSAKILPFELKVLEVCLEHTCKCLESETLALEKEAYPALDELTSKVSRLNLEHVRHIKNRLVALSGRVQKVRDELEHLLDDDMDMSEMYLTRKLAFQGFTETLSRVDSNKDAPTDHDEKEEEDSDDEIETGHESSAYVKPDIEELEMLVEAYFVQIDGTLNKLYNLREYVDDTEDYINIMLDEKQNQLLQMGVLLTTATVVVTAGIVVVSLFGMNIHIELMKDPETDEEARMKNLKFWETTCGTVAGCLAIYLLAIYAGKKSKILH >KQL03480 pep chromosome:Setaria_italica_v2.0:V:2609909:2613084:1 gene:SETIT_002772mg transcript:KQL03480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQEEACSSSSSLTLRQCRICHDEEDGRRSSMESPCACSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTVPPKKPADVAVTIRESLEVPRLNYEPEEQEVEDAAVVGAGDPEYAECARAAGRSASWCRSVAVTFTVVLLLRHLVTVATVGAANQFAFSLLTVYLLRASGILLPFYVVMRLISVIQHGQRQYRLQLLQEQRRQALRLVRRQGQGQGQQQQQHVVLVR >KQL03481 pep chromosome:Setaria_italica_v2.0:V:2609909:2613084:1 gene:SETIT_002772mg transcript:KQL03481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQEEACSSSSSLTLRQCRICHDEEDGRRSSMESPCACSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTVPPKKPADVAVTIRESLEVPRLNYEPEEQEVEDAAVVGAGDPEYAECARAAGRSASWCRSVAVTFTVVLLLRHLVTVATVGAANQFAFSLLTVYLLRASGILLPFYVVMRLISVIQHGQRQYRLQLLQRRQALRLVRRQGQGQGQQQQQHVVLVR >KQL03479 pep chromosome:Setaria_italica_v2.0:V:2609999:2612419:1 gene:SETIT_002772mg transcript:KQL03479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEQEEACSSSSSLTLRQCRICHDEEDGRRSSMESPCACSGSLKYAHRGCVQRWCDEKGSTLCEICLQNFEPGYTVPPKKPADVAVTIRESLEVPRLNYEPEEQEVEDAAVVGAGDPEYAECARAAGRSASWCRSVAVTFTVVLLLRHLVTVATVGAANQFAFSLLTVYLLRASGILLPFYVVMRLISVIQHGQRQYRLQLLQVG >KQL07243 pep chromosome:Setaria_italica_v2.0:V:38620968:38627536:1 gene:SETIT_000309mg transcript:KQL07243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEKRGYRLQEFVAHDADVRSLAIGKKSSRVFITGGNDRKVNLWAIGKQTPLLSLSGHTSAVETVQFDSAEVLVLAGSSNGSIKLWDLEEAKVVRSLTGHRLSCTAVEFHPFGEFFASGSSDTDLKIWDIKKKGCIHTYKGHRGAIKKIRFTPDGRWVVTGGEDSIVKVWDLTAGKLLHDFKFHSGQINCIDFHPQEFLLATGSADRTVKFWDLETFELIGSAGPEGTGVRSMVFHPDGKTLFCGLDQSLKVFSWEPVRCHDVVDMGWSNLADLSIYEGKLLGCSYHERRVGLWAADISLIGPYALGVLPKANFFAELVQSMDDNPVKPIDSTTNSSPALAMTQPKNSYKVKEAGSAESRVRGSHLTPASTDKIKKDRSSIPRRPDSSFRSSIQSSNPMRRMKLADSPFTNPKTSDRNFGQKDISLASRTRIPNNSSTTKKGNLTESASVKDIYTTPQAVSAPVVVPRDILEDKAVSSVRRGTGGTTAAPDAVRVPVHRRKPSLSGTAADSDSSVGSTITDPDVCSEGLSSLKFSFGLSPYYKKEENSEVDREDIAHIAKEMDRTVLLEHPLQSNDDKSFESPCSTTETARVKYVRGVAVPLGKTKSLVERWEKRESSSNDYSPQTDSCGDRALKNDSPPAHSAEPSETYEKDLSTVDEVMTPVNLVLNHDEFINAVKLRLTKLEMMRHVFEQSGIKGAIAAVGKLPDNAVQADVVSALKGKLDLFNLEIFSSFLPILAGLLCSKTERHATVSLEMLLDLIKIFGPVIHSTLSANSGVGVDLQAEQRLQRCTRCFNHLQKIQQVLHPLIMRGGQSAQLAQELSLSLQDLVVI >KQL06765 pep chromosome:Setaria_italica_v2.0:V:35493494:35493694:-1 gene:SETIT_005468mg transcript:KQL06765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLKIASLLPCGRAHDSIGTMNKENGGRSNH >KQL06976 pep chromosome:Setaria_italica_v2.0:V:36833736:36838790:1 gene:SETIT_000873mg transcript:KQL06976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAGSALEAALQAVGRGLDAAGDHRLLYCKGAGRLVDLDEDRARDIPLISGGVLSSVPPDVEVEQCPSNPVRIRPPAPHGAPPAAADEPFVCSYPQMAEFFNRKSCLLETVPLGSFNSLFSFTGSWKNDAAATKALAIDGYSLPLFRVIITSQELTLLESVKRAIPNVWDPSALASFIENYGTHIITSVTVGGKDEVYIKQHSSSQLSEMEFKNYVREIGRERFSDVENKSNAIPINYSEKDMTVIFRRRGGCDLVQSFSDWKGTVASAPDVIGMTFRSIVSLVDDVPGKKHLARAVELYLTYKPPIEELQYFLDFQVPLVWAPAPPGIAGHHRKEPVCPSLQFSLMGPKLFISTEQISVGRRPVVGLKLLLEGAKQNRLAIHLQHLGSLPKIFLPHWDSHITIGPPKWQGPEEQDSRWFEPIKWKNFAHVSTAPIEYTETNITDLSGVYIVTGAQLGVWDFGAKSVLHLKLLFSRVPGCTIRRSVWDHSPSSSSTQRTDESSSSSSDNAKLVKIVDMTETLKGPQDAPGHWLVTGAKLGVEKGRIVVRAKYSLLNY >KQL06261 pep chromosome:Setaria_italica_v2.0:V:32184503:32186515:-1 gene:SETIT_004638mg transcript:KQL06261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGKPDPAAPPQAPSAAKGVFMRRIFPFLLATNVFIGVYVFAKTYKRDQDKKNAEAAAASAAVAALSSPETATAKAADPAPAPAPAPAPTPKRVLPPLSEDEQRQVFKWMLEEKRKIKPRDSSEKNKINEEKALLKEFIRAKSLPRL >KQL07876 pep chromosome:Setaria_italica_v2.0:V:42291811:42293979:-1 gene:SETIT_003474mg transcript:KQL07876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEEEIAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEVVTTVEIDDETYEEIVRTTKRTIPFLFVRGDGVILVSPPLRTA >KQL06859 pep chromosome:Setaria_italica_v2.0:V:36134235:36136340:1 gene:SETIT_001302mg transcript:KQL06859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKTVVLYPGLGVGHLTPMVELAKLFLQHGVAVTVALVEPPVKAPDFSAAVARAAASNPSITFHVLPPLAADAPPAGSSSDDTPKHHVIQMFDHLGAMNAPLRDFLRSLPAVDALVIDMFCADALDVAAELKLPAYFFYASGAGDLAVFLNMPSVRANMTMSLEEFRDSVLSLPGAPPFKASDLPAAILTDSEAAKAVLRMFQRMPESNGILINTFESLETRAARALRDGLCVPYRATPPVYCVGPLVSGGGEKEHECIRWLDAQPDRSVVFLTFGSLGTFPKKQLEEIAAGLEKSGQRFLWVVRSPRSADQNLNEPLGEPDLDALLPDGFLERTKGRGLVLKSWAPQVDVLRHRATGAFVTHCGWNSTLEGIVAGLQLLCWPLYAEQRMNMVFIVEEMKLGVEMRGYDEEMVTAEEVETKLRWVMESEDGRALRERVLVVKDRAADALKEGGSSYAAFVEFLEDLETVNRS >KQL07144 pep chromosome:Setaria_italica_v2.0:V:37912544:37914513:-1 gene:SETIT_004944mg transcript:KQL07144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTPTPTPSATTATAAQAPGPEPEPEPAPVAGLPDAIAAALPPDPYEQLEVARKITAVAVAARASRLELEASRLRLMLADRDRAAAELADRAARLELALRDADARLRAALDDNAKLAKERDSLAQTSKKLARDHAKLETFKRHLMQSLGDDNSPIQETVDIRTCEQSVAKANSWKDGLANSHPVSSLSDGSTEAESVNQEVTRPFEQRLTITHITPRLTSDPAPKLRTAATSPRRYSTAVSPKLTSGATSPRLEGHMAMSPWLPSSKMSSAASSPPRGHSISGRTSRVDGKEFFRQARSRLSYEQFAGFLANIKELNAHRQSREETLRKADEIFGAENKDLFMSFQGLLSRSLS >KQL08514 pep chromosome:Setaria_italica_v2.0:V:45788939:45794968:-1 gene:SETIT_000326mg transcript:KQL08514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAASAPVFFSHLPRPLLAPPPMLSTLLLRHRLTAAAAAAASSTRSPLRILASSSAMSSSASSSSPHGGRKPNRLAAEHSPYLLQHAHNPVDWYPWGDEAFEKARAKDVPIFLSIGYSTCHWCHVMEGESFENEEVAKLLNDWFVSIKVDREECPDVDKVYMTYVSALHGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTVLRKVKEAWETKRDALERTGNLVIEQLRDALSAKANSQDLPNDLAVVSVDECVEKLTSSYDPKFGGFGSAPKFPRPVEDYIMLYKFRKHMEAGKESEAHNIKKMVTHTLDCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIVNVYLDTFLITGDEYYSTVARDILDYLRRDMIGKEGEIFSAEDADSAEYEGAPRKKEGAFYVWTSNEIEDTLGENAELFKNHYYVKSSGNCDLSPMSDPHNEFKGKNVLIERKPTSLMASKSGKSLDEYSQILGVCRQKLFDIRSKRPRPHLDDKVIVSWNGLAISAFARASKILKSGPTGTRFNFPVTGCNPVEYLEVAEKAANFIKVKLYDASSKRLHHSYRNGPSKAPGFLDDYAFLINGLLDLYEFGGKIEWLLWAVQLQVTQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSTGYKLNVEHLLAVFETRLRQLSIALPLMCCAADMLSVPSRKQVVLVGEKGSAEFHDMVIQIDPRNAEEMEFWDCNNANIAQMARSGPPEKPAVAHVCQDFKCSPPVTSPEALRELLNKTLAAASSAA >KQL08515 pep chromosome:Setaria_italica_v2.0:V:45789080:45794968:-1 gene:SETIT_000326mg transcript:KQL08515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAASAPVFFSHLPRPLLAPPPMLSTLLLRHRLTAAAAAAASSTRSPLRILASSSAMSSSASSSSPHGGRKPNRLAAEHSPYLLQHAHNPVDWYPWGDEAFEKARAKDVPIFLSIGYSTCHWCHVMEGESFENEEVAKLLNDWFVSIKVDREECPDVDKVYMTYVSALHGGGGWPLSVFLSPNLKPLMGGTYFPPDDKYGRPGFKTVLRKVKEAWETKRDALERTGNLVIEQLRDALSAKANSQDLPNDLAVVSVDECVEKLTSSYDPKFGGFGSAPKFPRPVEDYIMLYKFRKHMEAGKESEAHNIKKMVTHTLDCMARGGVHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQIVNVYLDTFLITGDEYYSTVARDILDYLRRDMIGKEGEIFSAEDADSAEYEGAPRKKEGAFYVWTSNEIEDTLGENAELFKNHYYVKSSGNCDLSPMSDPHNEFKGKNVLIERKPTSLMASKSGKSLDEYSQILGVCRQKLFDIRSKRPRPHLDDKVIVSWNGLAISAFARASKILKSGPTGTRFNFPVTGCNPVEYLEVAEKAANFIKVKLYDASSKRLHHSYRNGPSKAPGFLDDYAFLINGLLDLYEFGGKIEWLLWAVQLQVTQDELFLDKQGGGYFNTPGEDPSVLLRVKEDYDGAEPSGNSVAAINLIRLSSIFDAAKSTGYKLNVEHLLAVFETRLRQLSIALPLMCCAADMLSVPSRKQVVLVGEKGSAEFHDMVAAAFSSYDPNRTVIQIDPRNAEEMEFWDCNNANIAQMARSGPPEKPAVAHVCQDFKCSPPVTSPEALRELLNKTLAAASSAA >KQL07269 pep chromosome:Setaria_italica_v2.0:V:38847897:38849548:1 gene:SETIT_001526mg transcript:KQL07269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAASRARRLFSIFSSTTPRAQAPKPALAPAPSPAPAPAAAPDQNAAAGEAEAEAKPNAGHNRRKRLAKILRVIFEERNPDKLVSQFIAASTASPRFRDNHRVYEVAVSRLTSFGRNDAVAALLDSQKPFLKASSEDFAARLVRLYGRASMPSHAAATFLDLPQKHKSVTAFNALLAAYVDSGNFDMLVAAFQKPGLSTALDVIPLMEKCGLTPDGMSFNSLLNGFYNNDRFDDAEKVWEMMKDRNVEPNTKSYNAKLRGLVANGRIEDAVALIEAMQKDGPKPDSVSYNELIRGYCKEGRLGEAKKVYDDLVKNECAPNKGTFETLVPHFVEAGELDLALDCCHEIFSRKCRVKCSLLQGVVTALVAASRVEEATRIVKLGWKNNYPPRGLKMPELIEKDKAVEAETDCDNFLPYEEGSEEELESKTA >KQL08810 pep chromosome:Setaria_italica_v2.0:V:47175699:47180368:-1 gene:SETIT_004601mg transcript:KQL08810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFAGRGGRGTGGEGREDRGTGTASGGAEGSFAAMDPPNPNPNPNPTDAQPQAAAGARLAALSLRGGRDLPPDFHTAEIHEHRTDDDDDDDDDEGYLTAASRGGSSTCAWKEAPEGLRDDDKDGDDVSPPSPSSSGYAGERGSSLDDDPEPEPDPEPAQDWPRDKKHLDEDDASSSWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENSGDHIKFVRAGKHQIVFLVKGPIYLVCISCTEESYEGLRGQLELMYGQLLLILTKSVNRCFEKNPKFDMAPLLGGTDAVFLSLIHAFSWNPATFLHAYTCLPLAQSTRQAASAVLQDVADSGVLFALLMCDHKVISLVGAQKATLHPDDILLLANFILSSESFRTSESFSPICLPRYNPMAFLYAYVHFFDEHTYLTLLTTRSDAFYDLKDPRARIQNVLMKSNVLIEVQRSLRESALHVEDLPTDPSSQSASQPPQSSRDMSSQSLSFEMIGGPAGLWHFIYKSIYLDQYVSSEFPSCISNQKQQKRLYKAYQKLYASMHDKATGPQKTQFRRGEDYVLFCWITQDFELYAAFNPLADKTQAIKMCNRVCQWVRDLENEIFVYGESALSCTIIVGEVYEGLGFVTFSQKRYSFSFPP >KQL07890 pep chromosome:Setaria_italica_v2.0:V:42343555:42344004:1 gene:SETIT_005305mg transcript:KQL07890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKPFMIMALSLSASVKRIHREGISSSMHVAMY >KQL04422 pep chromosome:Setaria_italica_v2.0:V:8831236:8833015:1 gene:SETIT_000922mg transcript:KQL04422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRLASAILAAACRGLTALRMPVAVRHTLGVFGSCTPAVRHNASEMLPFLGLPPCLHQSALPSGHLLGSLRFCHASASESSSEVHASEILRILKSTGSSDNADLGGALRQFAENMDEDVVLKVLQKQRSNWQAALAFFNWAAGLPGYTHGSRAYTEMLDILGRMKKVRLMRQLFDEIPNERWEVVVTNRMFAVLLNRYAGAHKVQEAIEVFYLRKDYGFELDLVGFQILLMSLCRYKHVEEAEVLFRKKKDEFPHVIKSWNIILNGWCVKGSMRDAQRIWNDIIASKVERDLFTYGTFIKALTKDGRIGSAVKLFNSMWEKGINPDVAICNCIIDQLCFKKRIPEALEIFGEMNDRRCQADVATYNTLIKYLCNIKRMEKVYELLDEMEAKGCSPNNRTYSYILKTTEKPKDVIALMQRMEQTGCKLDSDTYNLILNLYVDWKYEKGVHQIWDEMERNGSGPDQRSFTIMVHGLHSQGKLDEALQYYTTMKSRGLIPEPRTKILVKAIHMKKDGAVTEDQSANMTGKNLKLDPRSRLFHVHK >KQL07299 pep chromosome:Setaria_italica_v2.0:V:38999371:39001275:-1 gene:SETIT_000772mg transcript:KQL07299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMSQLIARPATFSLSSPRTRSGLRPRRATVHRVPCASSRGEERSEPDAPKHDRRDVLLGLGALGASATLMSARRAGADPVATPDISTCGPADLPPGANVVTCCPPPSAALPVDFTPPDAASSPLRRRPAAHSVTADYVARLNAGIAAMKALPAGDPRSFAAQASVHCAYCDGSYSPNGFPRVELQVHNSWLFFPFHRCYLYFFERILGSLIGDPSFAIPFWNWDAPDGMRMPAMYADQSSQLFDPRRDGRHAPPKLIDLDYNGSERRFTDNQQIDRNLRVMYRQMVSLSPTPSLFFGGAYRAGDDPNQGPGPVENIPHGPVHIWCGDPSQPSREDMGNFYSAGSDPLFYAHHANIDRMWTVWKGLDDVRRRRTDLADPDWLDASFLFYDETPKLVRIRVRDVLDTGALGYQYQDVPMPWRAARPTVTAAAATRRADSLLTPAAQAASAAKKARKFPVTLDEAMSVTVKRPVAARRSEAEKASEEEVLVVDGIEVDRDVAAKFDVFVNAEDHGAVGSGGRELAGSFVNVPHRHAHGHGRGKKGGGIKTTLRLALNEQLEDLEAEDDESVEVTLVPRQGRGKVKVGSVRIELVR >KQL04351 pep chromosome:Setaria_italica_v2.0:V:8428533:8429339:1 gene:SETIT_004645mg transcript:KQL04351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLTRSMAARRASLAHALPHDMAVEIAGCVAATSPRPVDDLRSLRASCRAMRAACSDRAVGRRVALEREAGAMRWSDHERYLAVVGSLSGAGNPEACFLSGIALVFAHRCEGAGVQLLAQAAAAGHKVAGYVLAVLLYSKDDGATAGDVARRHIREVEEEEAADGSGDVVGGCAKTAKKRSNNECVRCRGHAVEAVRQATWNMADLPAPAVVMVQPKDDGYRCTAKGCGVPDAWCDSAVFCSEDCRIRHERMMFFSQLPLTVANFVT >KQL03620 pep chromosome:Setaria_italica_v2.0:V:3450601:3454371:1 gene:SETIT_001456mg transcript:KQL03620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEAIQDE >KQL08404 pep chromosome:Setaria_italica_v2.0:V:45235424:45236397:-1 gene:SETIT_003199mg transcript:KQL08404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVVTTTSTSKVGRKSLISRTLQRCKSGLSNGGGSGRASPAGCFSVYVGTERERFVVRAECANHPLFRRLLDDAEREYGYAAQGPLALPGCDVDAFLDVLWQMENDDDDTDGGGGQQFAAAASSPICGLHSGSKGRAAGYRMLSPRSSSPVVSRRR >KQL07588 pep chromosome:Setaria_italica_v2.0:V:40674484:40676952:-1 gene:SETIT_001383mg transcript:KQL07588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAHALVPLLVVSVVVAAAVATADQERESDRIRELPGQPPNVEFSQYSGYVTVNPARGRALFYWLVEAVPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGQTLYLNPNSWNKAANLLFLESPAGVGFSYSNSSLDLYTAGDAKTALDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYEKNKGIQNPTINLKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDKTYHNLKATCLLESSQHPSPDCVKNLNLASAEEGNIDPYSLNTKPCNYTASLKVSLGGRYPWLSRAYDPCTERYASIYYNRPEVQMALHANTTGIHYPWQTCSDIVGTYWADSPRSMLPIYQELIAAGMKIWVFR >KQL07587 pep chromosome:Setaria_italica_v2.0:V:40673622:40676952:-1 gene:SETIT_001383mg transcript:KQL07587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAHALVPLLVVSVVVAAAVATADQERESDRIRELPGQPPNVEFSQYSGYVTVNPARGRALFYWLVEAVPAAGPIAPLVLWLNGGPGCSSVGYGASEEVGPFRIRPDGQTLYLNPNSWNKAANLLFLESPAGVGFSYSNSSLDLYTAGDAKTALDAYAFLVNWLERFPQYKYREFYIAGESYAGHYVPQLAQLIYEKNKGIQNPTINLKGFMVGNAVTDDYHDYLGTFEYWWTHGLISDKTYHNLKATCLLESSQHPSPDCVKNLNLASAEEGNIDPYSLNTKPCNYTASLKVSLGGRYPWLSRAYDPCTERYASIYYNRPEVQMALHANTTGIHYPWQTCSDIVGTYWADSPRSMLPIYQELIAAGMKIWVFSGDTDAVVPVTATRYSIDALKLPTLVNWYPWYDHGKVGGWSQVYKGLTLVTIAGAGHEVPLHRPRQALIMFRHFLKNKPMPTQ >KQL06658 pep chromosome:Setaria_italica_v2.0:V:34841493:34841941:1 gene:SETIT_003513mg transcript:KQL06658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSRGTYTYKPKKATQITDRQSHGKHRSIDHISSHATGRHRIDETNERASEWCRRVAAVDIDELMDQCSMCVSSGVSGSTSQVGMPSLGSLG >KQL06027 pep chromosome:Setaria_italica_v2.0:V:30190209:30191484:1 gene:SETIT_003521mg transcript:KQL06027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSTWGSSSSSDQWTRQEDKMFERALAVYDTDTPDRWHNVARYMGGTRSIEELRRRYQLLVRDVAQIESDGVPFHWYAAAPPPSRLQRG >KQL07084 pep chromosome:Setaria_italica_v2.0:V:37559547:37568375:1 gene:SETIT_000673mg transcript:KQL07084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRAWGRGAVARLMTPFNRRAAHASSPHLLRPCCCSSSSSYSTVLSRLRRHFASSSSSPAYHTRQNTHTFMEVVQEVLKHGSANGVRTAIRADKKSYSLLQLIAASLDVHNILCSKNMTQNGIQDSSKGKIGTGFLHGARVGIVAKPSPEFVAGVFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMVLSTKEHHENMKNLSIKCSAYCSLLPSITSIPSEINPQEPSSNEVTSSVSSLITEINSSKKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQVQILSEAWGYQSEDQFLHCLPLVHVHGLFNALFAPLYSGSVVEFIPKFSVSGIWQRWRESYPKDASKNDEAITVFTGVPTMYTRLLQGYDSMDPDQQSASSYSAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGIRKEGTVGKPLPRVEAKIIMEDGIETTTGVGELCIRSPSLFKEYWKRPEVTAESFIDGGFFKTGDTVTFDEEGYFIILGRTNADIMKVGGYKLSALEIEAVLLEHDTVLECAVLGLPDEAYGEVVCAIIVPKEDAKKMAEQDSKPALTLEALTSWSKDKLAPYKIPTRLYLWDSLPRNAMGKVNKKELKKLLGA >KQL07083 pep chromosome:Setaria_italica_v2.0:V:37559017:37567305:1 gene:SETIT_000673mg transcript:KQL07083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRAWGRGAVARLMTPFNRRAAHASSPHLLRPCCCSSSSSYSTVLSRLRRHFASSSSSPAYHTRQNTHTFMEVVQEVLKHGSANGVRTAIRADKKSYSLLQLIAASLDVHNILCSKNMTQNGIQDSSKGKIGTGFLHGARVGIVAKPSPEFVAGVFGTWLSGGVAVPLALSYPEAELLHVMNDSDISMVLSTKEHHENMKNLSIKCSAYCSLLPSITSIPSEINPQEPSSNEVTSSVSSLITEINSSKKIKGDDPALILYTSGTTGKPKGVVHTHKGILSQVQILSEAWGYQSEDQFLHCLPLHHVHGLFNALFAPLYSGSVVEFIPKFSVSGIWQRWRESYPKDASKNDEAITVFTGVPTMYTRLLQGYDSMDPDQQSASSYSAKQLRLMMCGSSALPSPLMKRWEEVTGHRLLERYGMTEFVMALSNPLHGIRKEGTVGKPLPRVEAKIIMEDGIETTTGVGELCIRSPSLFKEYWKRPEVTAESFIDGGFFKTGDTVTFDEEGYFIILGRTNADIMKVGGYKLSALEIEAVLLEHDTVLECAVLGLPDEAYGEVVCAIIVPKEDAKKMAEQDSKPALTLEALTSWSKDKLAPYKIPTRLYLWDSLPRNAMGKVNKKELKKLLGA >KQL05116 pep chromosome:Setaria_italica_v2.0:V:16061091:16062190:1 gene:SETIT_004472mg transcript:KQL05116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKTVLSTMVKSRSSLSMKSGNGIGVKPSSEAKRANQSNGVKPSRLSPKHNSSNWNWQRKNFHSAASYPPFWPSMPVSYAPCPTSFHPYSSWEWNDPWAHTPSYFRQYHVEYVAPREPSCARHPYVENGRFEHKDRSRVQNKKKVVKQVYRVKRDGRKDKSLNLNSINEKPTNVLKISSTNGKEKEKLDVDPPSAKSEQKELKNHPLGLSNWQKKKLQKLSAQQLRKKGMARVSKRSIQIQNKDNAQEKGATQLKEKKRYERRSPKLRFAPNHQIYWSLHHPFALQMPHMPLSWNSSLDIS >KQL08648 pep chromosome:Setaria_italica_v2.0:V:46474880:46477097:-1 gene:SETIT_001712mg transcript:KQL08648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASARSRYERPDEGGGAEEGSSSQVAEQEEVPCVLDIYMHHARGIHNICIYANQDVYARFALTSSPDDDASLSTRVAAGGGASPRFDERLPPLRVRPGRVAVDVLKCEVWMRSCARRMLDDQLLGFALVPLAAVAAAAGARLNDRDFELSSTDLFHSPAGTIRLSLALRSGVPGDACPPPDRGAEPSIASEVVILQPPPPPPVDYSRIEFDDLKVDRENQAMAVQYLPFLHLGETPRPPVVAMEDAEMSTSPRGEIKAASSDASKNASTTSTVSDDRAVSASAGAVEKPVDEATTVPMSCRSPDTPTSCSGERADDVFKSPLGGIDMEAEQSAMQRQIMEMYVKSMRQFSESLPKVQLPLELDGVVVQKEEKPDDKVIHIQKQQVKKDGARVFYGSRAFF >KQL08566 pep chromosome:Setaria_italica_v2.0:V:46087780:46091414:-1 gene:SETIT_001031mg transcript:KQL08566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRRSMELAAADAAAADPDRARLQQLGYKQELKRGLSVLSNFALSFSIISVMMGVTITYNTGLRYGGPVSMTLGWFAVTLFNGCVALSMAEICSAYPTSGGLYYWSAKLAGKEWAPLASWVTGWFNIVGQWAATTSIDFSLAQLVQVMVLLGTGGLNGGGYMASKYVVLAIYGAILVIHGLINSLPIQYMAWLGQLGAFWNAAGIIVLVILIPSVAKERASAGFIFTHFNVDDSAGIHDKAYILAVGLLMSQYSVIGYDASAHMTEETKNADWSGPMGIITSVALSSVFGWIYLVALASLVTDIPYLLDPGNDAGGYAVAQALYGAFHRRFGTGVGGLVCLGIIAIATFLCGCACITSNSRMGYAFSRDRAMPFSHVWYRVNKQEVPFNVVWLSVAVAFIMALTSLGSQVAFQAMLSIATVGQYIAYALPIVFRVTTARKSFVPGPFHLGKHGVLVGWVAVAWVALVTVLFSLPVAYPVAEDNFNYTPVLVGGVVLLSVGAWVLHARFWFQGPITNVDV >KQL08362 pep chromosome:Setaria_italica_v2.0:V:45007677:45008709:1 gene:SETIT_004130mg transcript:KQL08362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKTHHTLAWPYAVRLLAFADEITKLQLSPDKLFIVLRLHKVLSSDFFTILQWHPEEFSIAKYNHTMQKLRQAVFHVLQELKVLIQKRASRSVPEAEGVHEVTCYVMNYIRLLFDDKSMDSLDHIVQDLITCLEAMLNRVAEAYDSEALKCFFLMNNLQFIVKQVEDLGAEDFVDQYMETYLDLSWGPILSCLSTRKTMLGCCFRRSSNIVRFCLKFDSTYYNQEHWKVEDPLFREMVQQTVCNKVASAYQAHIEKSRKVQRQYEWPQDIFPAPDILENLCVFSSFHFGS >KQL04215 pep chromosome:Setaria_italica_v2.0:V:7301969:7303149:1 gene:SETIT_003168mg transcript:KQL04215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAGFHDNSEPPARHGYVYRYPDEDGLAGEAGDRGGETRTRRWRPLRSASDNSKEPCCSKLSVGSKQRGEKMKISSTVLAILVLQAVLVSAAMAESNGLGASAKKSCCNSCTSWSGVYTCDDLLTKCAATCQNCAAVPTDKGTRYRCRDFLPEGCPCKAN >KQL03683 pep chromosome:Setaria_italica_v2.0:V:4022695:4024033:-1 gene:SETIT_002709mg transcript:KQL03683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLGLLVLALAAVLKLAGADSWNSGRATFYGGMDASGTMGGACGYGNLYSTGYGTNTAALSTALFNNGRSCGACFEIRCSGSGSCLSGSALVTATNFCPPNYALPNNDGGWCNPPQSHFDLAEPVFTKIARPSAGVVPVQYRRVACGRQGGIRFTISGHSYFVLVLITNVGGAGDVMAVSLKGSQTGWQSMSHNWGANWQSNALLDRQALSFQVTASDGRTVTCPNAAPSGWSYGQTFSGNQF >KQL05050 pep chromosome:Setaria_italica_v2.0:V:14848771:14863287:-1 gene:SETIT_000247mg transcript:KQL05050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHERRDAEAGGDAAAATHTSNMQRVKVYRLADGGKWDDQGTGHVSIEYIEGSKELGLTVLDEEDNETLLVHNITSDDIYRKQEETIISWRDHEAATDLALSFQEAAGCSYIWENICDIQRNLQFSNLGALEVGPRQSSESLEASRIMHSNDDSFRSANGEFRELPPVELSNLPFILKTVLEGGITDQIRVAELITQDRDFFPKLVDIFRTCEDLESLDDLHMIFKLVKGIILLNSPSIFDKIFSDELILDIIGALEYDPEVPRVQKHRAFLKDHVVFKEAIHIENVSVVSKIHQTYRIGYLKDVILPRILDDATLASLNTMIHTNNASVISLLKDDALFIRQLFARMRSSDISMESKRELVLFLHEFCTLSKSLPLVQQLRLFRDLSGEGVFEIISDVLQSHDRRIVSAGIDILILFLNQDPNLLRSYIVQQEGNSLLGLLVKGMVTELGEEMHCQFLEILRILMDSFTMSGAHRDVIIEIFYERHLDYLVDVIASSCPPRSTANSVGAGRNAEGHRIKPEILLNVCELLCFCVVHHPYKIKCNFLMNNAIEKILALTRRREKFLVVAAVRFMRTIISRNDEHLIRHVVKFNLLKPIIDAFVENGDKYNMLHSGVLELLEYIRKENIKALVIYVIESFWDQLAKFEHFGSIQAFKLKYQQYLESAEPRLSASVPDMRKKAEQRGLEKEEEDYFNEDSDEEDSGSGRRAKHAQNQHSKAKVPNGSEADNVEGASRPKSAGLVDYDDDDDEEFNPPPKEPARPSEDDVPLNIATVKRRPVNAVDGKHADGEVRKRQKIETRISCAKIAAVTSTSSKHTDLQNKHAPHSPASSTPSTEANGVLREHGTNSEEHQHSVENAEASCQAGGDCIKDVGSMSTEKAVNTTNPSDSEPYSVR >KQL06987 pep chromosome:Setaria_italica_v2.0:V:36882981:36887638:1 gene:SETIT_001318mg transcript:KQL06987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCAVEDGHGNPHPKEEEGEAPVAAVELDERAGEPREEASGQRKKAGGIRREPSFSRWCRDPSAATPSNGLAAAATSDGDDSEEFELPLLPNAPGGGGSSSLPMDIEAGAAARSEDLPMSPWLIAKVIGLIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRAIVWFQHRGLEGGASKMTWKDYSLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFMFRLEKPSFSLLGIMLIVSFGVLLTVAKETEFNLWGFIFIMLAAVMSGFRWSMTQILLQKEEYGLKNPFTLMSHVTPVMAIVTAIISIAMDPWHDFRASHFFDSSAHIIRSSLLLLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDPFTWLKGLGLAIIIFGVSLFNLYKYKRFKKGHHNEEAGTNIQSSNGTSKYVILDDTEDQDDTG >KQL06986 pep chromosome:Setaria_italica_v2.0:V:36882981:36887638:1 gene:SETIT_001318mg transcript:KQL06986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDCAVEDGHGNPHPKEEEGEAPVAAVELDERAGEPREEASGQRKKAGGIRREPSFSRWCRDPSAATPSNGLAAAATSDGDDSEEFELPLLPNAPGGGGSSSLPMDIEAGAAARSEDLPMSPWLIAKVIGLIASWYTLSTCLTLYNKEMLGKHMWKFPAPFLMNTVHFTMQAVASRAIVWFQHRGLEGGASKMTWKDYSLRVVPTALATALDINLSNISLVFITVTFATMCKSAAPIFILLFAFMFRLEKPSFSLLGIMLIVSFGVLLTVAKETEFNLWGFIFIMLAAVMSGFRWSMTQILLQNPFTLMSHVTPVMAIVTAIISIAMDPWHDFRASHFFDSSAHIIRSSLLLLLGGALAFFMVLTEYVLVSVTSAVTVTVAGIVKEAVTILVAVLFFNDPFTWLKGLGLAIIIFGVSLFNLYKYKRFKKGHHNEEAGTNIQSSNGTSKYVILDDTEDQDDTG >KQL04580 pep chromosome:Setaria_italica_v2.0:V:10047478:10051593:-1 gene:SETIT_000836mg transcript:KQL04580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSQFMDKQILGLAASASPSGGGGGGGGVDLSDLMIPIPQEDGEDRLRRRRSSSSANGIADDVLPSYDFQPIRTTAAAAAPAPHASWGSLDSKAPSASASASASYNLKTAGILEPHVLKKVSHEEDRSNFTAVTMADIDRTMKKYSDNILHALEGVSSRLSQMESRTHQLENSVDELKLTIGNYNGGTDGKLRHLENMLREVQAGVQILRDKQDIVETQLHLAKLQTPKTDTQSSENSGSGQAASQQQLVAPPQVSIQPQHQVPTPSQPPALPTLPAPNAPPPPPTLQSQAPSQFPSHLQHSQVPSVPSVAPVPSVPALPRDAYYAPSSQPTETMHQQYQVLPVPQPQAPPAPPQQYQSPSQFPQYSQPPQVSNVNPSTPLAPPAPQQPEETMPYAPPQNYPPNARPPSPYMQPPSGPAPPYYGQQSPSMYEPPAGRPNSGPPSSYGSGVYGQQGGSGFSESYGYTGSPSHHGNAGMKPSSPFAPSSGGSGSYGSGRLPTAQILPQAAPISSSSNSGSSGNRVPLDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNGR >KQL04581 pep chromosome:Setaria_italica_v2.0:V:10047838:10051516:-1 gene:SETIT_000836mg transcript:KQL04581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSQFMDKQILGLAASASPSGGGGGGGGVDLSDLMIPIPQEDGEDRLRRRRSSSSANGIADDVLPSYDFQPIRTTAAAAAPAPHASWGSLDSKAPSASASASASYNLKTAGILEPHVLKKVSHEEDRSNFTAVTMADIDRTMKKYSDNILHALEGVSSRLSQMESRTHQLENSVDELKLTIGNYNGGTDGKLRHLENMLREVQAGVQILRDKQDIVETQLHLAKLQTPKTDTQSSENSGSGQAASQQQLVAPPQVSIQPQHQVPTPSQPPALPTLPAPNAPPPPPTLQSQAPSQFPSHLQHSQVPSVPSVAPVPSVPALPRDAYYAPSSQPTETMHQQYQVLPVPQPQAPPAPPQQYQSPSQFPQYSQPPQVSNVNPSTPLAPPAPQQPEETMPYAPPQNYPPNARPPSPYMQPPSGPAPPYYGQQSPSMYEPPAGRPNSGPPSSYGSGVYGQQGGSGFSESYGYTGSPSHHGNAGMKPSSPFAPSSGGSGSYGSGRLPTAQILPQAAPISSSSNSGSSGNRVPLDDVVEKVATMGFSREQVRATVRRLTENGQNVDLNVVLDKLMNGR >KQL03869 pep chromosome:Setaria_italica_v2.0:V:5141745:5142362:-1 gene:SETIT_005350mg transcript:KQL03869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHVDVSATDCPPCSSSVRSDCVSVLRLIYLGVVRTLSYCNMIAQKIGRTLRSV >KQL06775 pep chromosome:Setaria_italica_v2.0:V:35524793:35528694:1 gene:SETIT_002132mg transcript:KQL06775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATAAARAARRLLPCRLQSTTTRLLHASASSQGAAREASGSFIHPAAVVHPDASIGQGVSIGPFCTVGPSAKIGDACQLHAGSHVVGDTELGEGCVVQTGAILGADIPGQTIIGENNVIGHYAIVGSKCQDLKYKTGDECFLHIGRNNEIREYCSIHRSSKSSDCTVIGDKNLIMGSCHIAHDCTIGNNNIFANNTLFAGHVVVEDFTHTAGAVVVHQFCHIGSYSFLGGGSVVTQDVPRYTMVAGDRAELRGLNLEGLRRNGFSNEEVRRLRKAYQKVFMPTIASEISFEDRLADLEREIELSESPAVSCMVESIRMSFDQGRRGICKFRSWNSS >KQL08312 pep chromosome:Setaria_italica_v2.0:V:44722540:44724520:1 gene:SETIT_003127mg transcript:KQL08312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFNKLGSLLRHSALTSGAPASSAPALFNAARLMSTKLFVGGLSWGTDDQTLRQAFSTFGEVTEARIITDRETGRSRGFGFVNFSNSDEAKEAVSSMDGQELDGRSVRVNFANERPPAGNRGGGGYGGGGYSGGSGGYGGGGGYGGSGGYGGGNQSYGSGGGGQDAF >KQL08313 pep chromosome:Setaria_italica_v2.0:V:44723236:44724520:1 gene:SETIT_003127mg transcript:KQL08313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFNKLGSLLRHSALTSGAPASSAPALFNAARLMSTKLFVGGLSWGTDDQTLRQAFSTFGEVTEARIITDRETGRSRGFGFVNFSNSDEAKEAVSSMDGQELDGRSVRVNFANERPPAGNRGGGGYGGGGYSGGSGGYGGGGGYGGSGGYGGGNQSYGSGGGGQDAF >KQL05589 pep chromosome:Setaria_italica_v2.0:V:26123580:26128369:1 gene:SETIT_000556mg transcript:KQL05589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRFGTRSFPTACTMGLHHHLLTVIAAVVAIALLPGAASYPWPFCGTDNFRANSRYQANLNLLAATLPGKASTSPSNLFATAAAGAGRDRVWAAGLCRGDVNASNCFACLAQAFHDLPNDCSYNKDATIYYDPCMLRYSNTSVLSAADADLSGQLAWYAIPTNVTANPAQFNRAVAALINATADRAALNSTRRFATGEAGFDQEVPTVYAVAQCTPDQTPAQCRRCLAGIVAEYMGDFENAVGGRILWINCSFRYDSKPFFRGPAMVQLASPFPAAPAPAPAPPVQPTVQPSPLGGGVELKGRKYSLPVLVPAVLLPVLAALNLAICLCFWRRRQRRSMAEGKKPYPKYSADEAEDGEMVDSMMIELSTLRAATGDFDDSNKLGEGGFGAVYKGVLPDGEEVAVKRLSSSSTQGLEQLKNELALVAKLKHKNLVRLVGVCLEQQERLLVYEYVPNRSLDIVLFGAENQLDWEQRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPRISDFGLARIFSHDQTQAVTKSFVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRNNNDSYNSKQSGDLLNTVWEHWEARTVMELVDPSMNGGFPEGDMLRCIHIGLLCVQGDPAVRPVMSSVVMMLGSDTVALQAPSKPAFVTRKGVANATDSTVSLQG >KQL04184 pep chromosome:Setaria_italica_v2.0:V:7062144:7062607:-1 gene:SETIT_004583mg transcript:KQL04184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSILLPTTPRRSVTGPLPARRRSPAALTTRCAAFRRSASGGRGQYGGALVDEGMSVLRRGIWEARMVESNYEAPAGWAAWEKRYYSAYVADVSGIVGVLQLALMGTRPSVAIAVAPLDSVPVSTAAALHHLGMVAEAVLQSIHHVS >KQL08321 pep chromosome:Setaria_italica_v2.0:V:44778380:44782456:1 gene:SETIT_000066mg transcript:KQL08321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSHGFFTLILLVCFISSSALAGHSDISTLVNLRDAITEGKGFLSNWFDSETPPCSWSGITCVGHAVVKIDLSSVAIYAPFPSCVGSFQSLVHLNFSGCGFSGELPDAWGNLHHLRYLDLSHNQLTGALPVSLYGLSRLEELKLDNNFFSGQLSPAIAQLQYLKKLSVSMNSISGTLPPELGSLQNLEFLDLHMNAFNGSIPASLGNLSRLLHLDASQNNLGGSIFPGITAMANLVTVDLSSNALVGPLPREIGQLQNLQLLILGHNGFSGSIPEEIGELKLLEELILPGCKLTGIPWTVGGLRSLKLLDISGNNFDTELPASIGNLGNLSRLLAKGAGLSGNIPRALGSCKKLVHVDLSTNSFSGSIPEELAGLEAIANFNVGQNNLSGQIPEWIRNWVNLRSISLGQNMFYGPLPVLPLQHLVAFSAETNMLSGSIPVEICKGKSLQSLILHNNNLTGNIMEAFKECKKLTELNLQGNHLHGEIPQYLSELPLVSVELSQNNLTGKLPESLWESSTILEIALSYNQLTGPIPESIGRLSSLQRLQIDSNYLEGSIPRSIGALRNLTTLSLHGNRLSGNIPLELFNCTNLVTLDLSSNNLSGHIPRAISQLTFLNTLNLSSNQLSGAIPAEICVGFGNAAHPDSEFTQHHGLLDLSYNRLTSHIPSAIKNCAMVTVLNLQGNMLSGTIPPELGELANVTAIYLSDNTLVGSMLPWSAPLLQLQGLFVSNNHLGGYIPTNIDQILPNIAKLDLSSNAFTGTLPESLLCVDDLTYLDVSNNSLSGQIPFSCPKEKESSSSLIFFNGSSNHFSGNLDESISNFTKLSSLDIHNNSLTGSLPFSLSGLSYLNYLDLSSNNFHGAIPCGICNIFGLTFANFSGNHIGMHTLADCAAEGICTGNGFDHKMLHPSDRRVPRGAIVCVSIIIAIVVLVVLVVLVRWKLLRNRPLALVPASKAKATVEPTSSDELLGKKFREPLSINLATFEHALLRVTADDILRATENFSKVHIIGDGGFGTVYRAALPEGRRVAIKRLHGGHQFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGSLEMWLRNRADAIEALGWPDRLKICLGSARGLSFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTDIAGTFGYIPPEYGMTMKSSTKGDVYSFGVVMLELLTGRPPTGQEEGEGGGNLVGWVRWMIAHGKEHELFDPCLPISSLWREQMACVLAIARDCTADEPWKRPTMLEVVKGLKMAQTMECGPLVVTVTRDV >KQL06327 pep chromosome:Setaria_italica_v2.0:V:32636336:32645627:1 gene:SETIT_005050mg transcript:KQL06327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSKPKRRRGGASSRGRKKQKRLDAIRDVAPPLPPPPAPLGGGGGDDDSDAEGIRRSTRVRRAPVLLDTSPLPSPRRKRPRRGGGVSGSGSSRRGSRGRARHEVDAREMEEEEEEDDDEGSVVWRSRLRDRVKGKAKLQGRARSLWFEDEEYGEEEEEAAEEEDETEDEEEEARTVVVDVRERADDEESSEESGGLQSQGRELTDREINLTIDLNVDAHDAVEGVNVVEKEDGEKGEKVGEEVVPAEQEEEEEGPIVGGTNDLEEGKGEELVAEEGLQREEKTEELELPVLGGNGSDELPCDESNEEVRASNSGETEQVDMQTEQIAEESNLPSEQHMELDPSGPAEQEEEVQQDEQTGHVPDVVLAEDGPKERMRKSPIADEKRGVKVVKEGRRCGLCGGGTDGRPPKIALHDSVDSENEAYEGALPSEEPNYDMWDGFGDDPGWLGRLLGPIHDRFGIARVWVHQNCAVWSPEVYFAGLGCLRNVRAALCRGRLLKCSRCGRPGATIGCRVDRCPKTYHLPCSRSEACIFDHRKFLIACNDHRHLFQPQGDKYVELLRKLKVKKMKADVRKLSQDAWRKDREAEEKWLENCGEDEEFLKREGKRLNRDLLRIAPVYIGGSSENEKSYRGWESVAGLNDVIQSMKEVVILPLLYPEFFSSLGLTPPRGVLLHGHPGTGKTLVVRALIGACSQGNRRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRSRQQDQTHNSVVATLLSLLDGLKSRGSVIVIGATNRPDAIDPALRRPGRFDREIYFPLPTFEDRSAILSLHTKNWPSPISGAFLSLIASQTVGYAGADLQAICTQAAINALKRTCPLHEILLSAEKGIEQGRVPLPSVLVEERDWLAALAAAPPPCSQREAGIAANDLVSSPLDSGLVPSLLKPLVHLLISLYLDERVWLPLSLLKASGSIKEVVFSSMEKNSVPRSFWSTYLNSLIQQKGIANRIKMVLSSCGLASAQLGSHDSMLPSHVETQENFCGNRSNSTGSHMKGGLPHKLSGFRVLVAGAPRSGQQHLIRCLLHGFMGQIVIHKLDLATMVQEGNGDILSGLTQILLKCLNLGRCIIYMPRIDLWAVDKVHNQIEDNMLNMGTSNLASSTTNHIRKCSEVWNALVEQMDSLLASVSISVLSTSDLRFQDLPSGVRGFFSTHVVDQCLASSEHTIPRFSVNIDSHSSWDEMIDSCAFRLSHDLIQHHVQFLHDKSHKNNHHEQKEVFTSMEISAQGEPKSSENDQPMCGVASRENPTQLAASRAQQEPPPSNVKDNVKNVQKPLEDTVQRYPSSRIVKGNETLAIAAFGIQILQHPQFSKLCWVTSKLREGPCTDINGPWKGWPFNSCLLHSSASPDKSVNGGNNVIKGKEKTLYVRGLVAVGLLAYRGVYESVIEVCAEVRKVLELLVGQIRTKILEKRNRFRYFHILTQVAYLDDIVNSWAYTFQRLHADSRTGISGTKSSCSEVCQSTRHLAETIVQVAPFGNPAEVEDIPAQHTEDCEVVPGPNKMQDNPVHYTAEQLGTHTMVCDLDDDDVTSISSKDAVEHNLIQSASTEVHRRNLTHADTARNDGERSGANNDGKVSNLTYDEGNCRPDIQRSENRTESAECLNDLQKAGNSVGSSASIDNTEIPRNVVSSEAYGDDNELKKNNPLNDVESSHLIDGQLQYDMNNLSVPKALCLYKCCSACFRAVYKMVHDTLSNSLMPNLHCLTVDDMHDILSSWCMNLLATVRKCYSSQDEVNCEENFETTHNKETCLEHCACQSDLRHLSRECICHSENNDETGTANTDCLSGQSLSFCFKDGVWMPSNLTAETELHCSFRRFCICSILGTISML >KQL04028 pep chromosome:Setaria_italica_v2.0:V:5980518:5984716:-1 gene:SETIT_001883mg transcript:KQL04028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAEHPQQPPSSLRPGWEAAAAAAPAVLGFQLSALIDHVARVDWSLLDRVPGDRGGSQQVSIEELNHILTEVNAHILPSRNDLTPITTMAGGSVANTIRGLSAGFGISTGIIGACGDDNQAILFVDNMSFSGVDLTRLRPKKGHTAQCACLVDASGNRTMRPCLSSAVKLQANEFREEDFKGSKWLVVRYAQQNMEQIIEAIRIAKQEGLSVSLDLASFEMVRDSRLNLINLLETGNIDLCFANEDEARELIEGGPTSDPEEALAFMGKYCKWAVVTLASKGCMAKHGKQVVKVPAIGESNAVDTTGAGDLFASGFLYGLVKGLPLEDCCKVGACSGGSVTRALGGEVRPENWQWMYKQMHARGLLLPELKN >KQL04223 pep chromosome:Setaria_italica_v2.0:V:7354305:7354799:1 gene:SETIT_005206mg transcript:KQL04223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNKIFPPFLDKGKNRPTISTSWISTTNCYKSS >KQL06568 pep chromosome:Setaria_italica_v2.0:V:34302250:34303965:-1 gene:SETIT_001232mg transcript:KQL06568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAGAAAPTITAAATGRRPVVLYPSPGMGHLVSMIELGKILGARGLAVIIVVIDPPYNTGATGPFLAGVSAANPSISFHRLPKVELLPPAVRTKHHEALTFEVIRVSNPHLRDFLAAASPAVLIVDFFCSIALGVARELGVPGYFFFTSGAEVLAFFLYLPVLHARTTANFKDMGEELVHVPGVPPFPATHAILPLMERDDAAYDGFLDASPELCRSHGVIVNTFRQLERRAVEAVVAGRCTPPELPTPPVHCIGPLIKSEEVLGNGGEECLAWLDAQPKASVVFLCFGSIGRFSAEQIREVAAGLEASGQRFLWVVRAPPSDDPAKKFDRPPEPDLGALLPEGFLARTEGRGLVVKSWAPQRDVLAHAAVGGFVTHCGWNSVLEAVMAGVPMVAWPLYAEQRMNRVFLEKEMQLAVAVEGYDGERELVAAEEVAAKVRWLMESDGGRVLRERTLAAMRQAHDALREGGESEAALAALVDQWRRA >KQL03406 pep chromosome:Setaria_italica_v2.0:V:2186425:2187858:1 gene:SETIT_001641mg transcript:KQL03406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAEAPETAAAAAGRRFDYDVIVVGAGIMGSCAAHEAASRGARALLLERFDLLHHLGSSHGESRTIRDAYPKAQYPPMVRLARRLWADAEAESGYRVLTPAPQLSMGPRDSAALAAAVGNAGAEEVDLARRWGGAFRVPDGWLAAASEHGGGVINATKAVAMFQALAVKKGAVVRDNAEVVGIEKGPEGGVVVRTSAGEEFRGAKCVVTVGAWASKLLRSVAGVELPIQPLHTMVLYWRIKPGRELELAAGSGFPTFSSYGDPHVYSTPSLELPGLIKINYDGGPPCDPDSRDWACGGGDVAERVARWIEEFMPDHVEAAGGPVIRQSCMYSMTPDKDFVIDFLGGEFGEDVVVGAGFSGHGFKMGPSVGRILAEMAIDGKASTAAEAGVELGHFRIKRFEDNPMGNAKD >KQL04627 pep chromosome:Setaria_italica_v2.0:V:10284206:10284452:-1 gene:SETIT_004607mg transcript:KQL04627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLQRSSQTFRRSGSSGLVWDERLMSSQDDGNQVEPEDGAMEFKELRHSRSVGSAGLQRRRDDGEERRRRSDDGNQGFHTR >KQL05674 pep chromosome:Setaria_italica_v2.0:V:26935805:26938294:1 gene:SETIT_002947mg transcript:KQL05674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAVEGGGFFSSSASGYSHGLALLLLGRKAEEKPVKVSPWNQYRLVDREAEQVCHLASGKDEAPGKCAPFVCFGCTANGLEVASPAKAGSSNALGSTQEDEASCPANKKLTTSGSITGNERRGCLKSNSKRDSLEHCIVVSEGEEPRESLEEVQTLRASMERRKVQWTDTCGKDLFEIREFETSDEGLSDDDAENEGFRKCECVIQ >KQL08824 pep chromosome:Setaria_italica_v2.0:V:47249737:47250985:1 gene:SETIT_004909mg transcript:KQL08824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPAGTRRPRQATVPRPVIAPYVASSLPMAERDGPPASTAASTRSRNLPTMRSCAHELRPRLGIPDRARTQPCRWCRTRTRIEEATTSTDYHIQSLRSDPFLLLGTTKIPTTYMLLHFPFLPKILLDICHALFPPPTPPPPEERVTCRAPLAKRFVPVCGSFLTNSSVSSPSSECCYRVSSFIATPTQFCLCHIANGDARRVGVLLACTDLTEGMIYQFCDVDGDIYSKFLRVGSSNNIRDPPYIICF >KQL05837 pep chromosome:Setaria_italica_v2.0:V:28349776:28349973:-1 gene:SETIT_004006mg transcript:KQL05837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDHLPTYDPRSDAAKKEALDASRADLARTLVHLVPVVVLLCGLLLWSLSNTNVPGNTSQQCAN >KQL04210 pep chromosome:Setaria_italica_v2.0:V:7254776:7256375:1 gene:SETIT_004996mg transcript:KQL04210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVFPFLLLKQLRRRGRGGVRLPPGPWRLPVIGSLHHLIGKPLVHRALADLARSLDAPLMYLELGEVPVVVASSPIAAGEIMRAHDATFASRPAWNTTVRMANADGYGLWLAPYGDLWRQLRRIRVSELLGARRVQSFRRVREEEAARLAAAVAATPPGEPVNVGERVAAVIADSTVRAMIGDRFERREKFFEAVEELNKLTAGFSLGEVFPSSWFVSFIGGGVARRAYASHLKTFELIEHAIRQHEERRAAIVLLRIQREGGLSVPLTMGSIKSLIFDLFGAGSETSATTLQWAMSELMRNPKTMKRAQAELRSVLNGKPKVTEDDLTPIKYLKLVSKETLRLHPSAPLLVPIESRESCKILGYDVPKGTTMFANAWALGRDPKHWKDPEEFKPERFEDSTIDFNGTNFEYIPFGSGRRICPDILFAHSNMELTLAALLYHFDWTLTIGVKLSELDMTEEMGLSVRRKNDLHLHSVVFNYQ >KQL08816 pep chromosome:Setaria_italica_v2.0:V:47207836:47211766:-1 gene:SETIT_000663mg transcript:KQL08816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLLRRDRGVRGARDAQVQALHPGPAGREQAHRGHRRERAGEVPALRARLLEHLAALRALLRVPQDQRFVQGPHPQRLRDIQVHPHRARLPGCSRHGEPASRYPLADWAMEGGDPCLPSPWSWVKCTSEAQPRVISINLSGKNLTGNVPPEVGALPCLAEIGLANNMLTGPIPDLSGSSNLSIIYSGNMYLGIAKQEKKHVIIIISALLGASLLLAAALCCYMLTRKTIKKDSSPEDRLIIPVHAGPDDDDFTRKNKALPAQKNLQNPSTRSREIATETAHPYRLSELEVATNNFASRIGSGGFGIVYYGKLSDGKEIAVKVPTNDSYQGKKQFTNEVSLLSRIHHRNLVAFLGYCHEDGRNILVYEFMHNGTLKEHLHGRDKHISWIKRLEIAEDAAKGIEYLHTGCTPSIIHRDIKTSNILLDKQMRAKVSDFGLSKFAAEESHASTNVRGTLGYLDPQYYISQQLTEKSDMYSFGIILLELISGRPPISTLSFGDHFRNIGPWAKFYYEGGDIEAIIDPSISGAGGYQDVQSVWKIAEAAVRCIDAEPRKRPCMPEVVKEIQDAMALERTSSEAARCGPFSPAAASVRSGGTMRSHDMVMDNLLLMDDEDDSSFSGSVSKLKYPELR >KQL03556 pep chromosome:Setaria_italica_v2.0:V:3128175:3133163:-1 gene:SETIT_000824mg transcript:KQL03556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKKPERHCHDHVHDEEESQEGEDAPAMEHGALSLHRGATLFGQRHHEEAAAGRRGEIREVDFFSRDSGARQQDDGGGGRRVTGGGRDDLNIGLDLLTTATAATASAGEEVTAAKNHKMEASAVEAELRRVVDENRRLRGMLEELTRSYGTLYQQLLQVTQHHPHQHQHPDLMNNRSSLPHTHLNTMGAPNTSTRQLLEARASSTAQTQPDAGVEDEASDGAGEASPSLSNNAGNNDSDGKRKLSQDGTAPSRENGEQASSAELPGRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVACPVRKQVQRCAEDKTILVTTYEGHHNHPLPPAATTMANTTSAAASMLLSGPATSRDGAAALLGHPAALFHHHASIPYASTMATLSASAPFPTITLDLTQAPGSGGGVGGLLGHGLQRPPVGMIHPAAAPAMPFPVPSPLAMFLPQRAPPTAAAVPAGLVARQPQQQQSVMETVTAAIAADPNFTTALAAAISSVMAGAGGAHHQAQPTPRGSNNTGIAGEADGSAAGAAPAAPTTTAAGAHAASGGSPRFATQSCTTSTT >KQL06177 pep chromosome:Setaria_italica_v2.0:V:31383575:31387611:-1 gene:SETIT_002304mg transcript:KQL06177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMGHCSEQRPGFEVTKDWNGADQVAIRSPRGASVRVCLHGGQVVSWKNDRGEELLFTSSKAIFKPPKATRGGIPICFPQFGNCGTLEQHGFARNKIWTIDEEAPPLNYGDNNNKASVDLLLKPSEDDLKCWPHCFELRLRVSLSMDGDLSLISRVRNVNGKPFSFAFAYHTYLSVSDISEVRIEGLETLDYLDNLSQRERFTEQGDAITFESEVDRVYVGSPGVIAVLDHEKKRTFIIRKEGLPDIVVWNPWEKKSKTMADFGDDEYKQMLCVDAAAVERAITLKPGEEWTGKLELSAVPSTNCSDHLDHPGRL >KQL04041 pep chromosome:Setaria_italica_v2.0:V:6076081:6077069:-1 gene:SETIT_002493mg transcript:KQL04041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAITGAVVSSKPCSLSKAFRILDLFYNSAASDLPSADCATYLSTATEATREHELFRRGLRGNQQQGAANLQAHDYEGEIKHQDRERKGDMAVPTGGSQRDSAAEVEPDVAAGEKKSKKKKNKEDRQQGIAAAGVESHIPSSAGIGSEKRKKEKHSHKEIIVHVKQEPDLVDEELLSEKKSKKKKEKGRVKLEDEARDVNKVGGKIVNDGGLEQNVAGGEKKRKKKKHEEEEVNFKDVKQEEKMVSDGGPDSEKKRKKKRGRGDNDDNALEQVEHTKKKQRK >KQL05738 pep chromosome:Setaria_italica_v2.0:V:27376019:27377257:1 gene:SETIT_004892mg transcript:KQL05738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDQKTCLGEAPLPVSTLSEPLLSGNGADLLTSDDLEAQLPSYRPTGASSPGREAAVHRALAAAAVLPTTWLKNLGVLAYVSAVGLVASAVLTASLVWAGVAETGLHRNNTSVLNLSGLPISLGLYFVCFTGHAVFPTIYSSMKNNKQFSKVLLISSVLCSVNYGLTALLAYMIYGDDVQSQGRHPEDADQPAAKYALLAASAAIEEKFSLPAGGRVARVAISRVVVVSTAAVASTVPFFGYLMSFIGSFLSVMATVIFPCLCFLKIYKAEGICRIEIAAITDY >KQL04564 pep chromosome:Setaria_italica_v2.0:V:9900893:9903159:-1 gene:SETIT_000964mg transcript:KQL04564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRMQRMPTRKSHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLKLIEDEGDSFAKKAEMYYQRRPVLVTHVENFYRMYRALAERYDNVTGELRKNLPSSLQSQGSGISETDSETQSTSPSPEPNMEQKTPKQKHKTRAAGFDVFLGSGGSSDISKKGSDGSSSSSSDSDSEVDEPSEENGNGFSYIMNGRVSELEEELQEARQQIEALEEKSRHCQCEKLEVSLKQVSSEKEDLVATVLENKNEIEGLKGDLAKVTGEKLQLEAQVKELEQASHSLDESSAEIMKLQEIIKDLQARLENDANEKGVLEERAMEFEQVRRQLEDSRTEVMELQATIKNLKDGLGKSLQEKALLQDRVKDLEQATSDLNDSVASLVGKLTATEEQLKQLHAEKEEASLKSEEQISELNETIADLKKKLELLSSEKSAVENKVSILLIDVTTRDEKLKEMDSHLHQLHLEHVKLLEVADAARKTVSDLRARVCELEEEVEKQKLLISDSAEGKREAIRQLCFSLDHYRHGYQQLRQLLQGHKRPMVMAT >KQL04882 pep chromosome:Setaria_italica_v2.0:V:12717122:12721503:-1 gene:SETIT_000892mg transcript:KQL04882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIEGGSTRALLPRREDRRRGSGQGDGDGTRREPPHGAPARRPPSPHRTPVTQPRLSPPVVTHPALDGVVTGSHHGTTPISRGLRPPDETPAGIGFARFARTLACSARWQARGYPGNRGALSSASAGREPFKAHATAPLTPPTPRSQRALPFRVIPSRLASRFPPLLGSSLSSSSRFLPTPTRRGPGQSRPVPFPSSASGDHSARLGMASGGGGGGGGGALGVAGAGRMPTWRERENNKRRERRRRAIAAKIFAGLRAHGGYKLPKHCDNNEVLKALCNEAGWVVEPDGTTYRKGSKPQERVDAIGCSVSPSPCSSYQLSPRASYNASPTSSSFPSGASSPFLPPNEMANGIDGNPILPWLKTLSNGTPSKKHPLLPPLLIHGGSISAPVTPPLSSPSARTPRMKTDWDESAVQPPWHGANSPSIVNSTPPSPGRSMAPDPAWLASIQISSTSPNSPTFSLVSTNPFSVFKESISVGGNSSRMCTPGQSGTCSPAIPGMPRPSDVHMMDAVSDEFAFGSSTNGAQQAAGLVRAWEGERIHEDSGSDDLELTLKL >KQL04202 pep chromosome:Setaria_italica_v2.0:V:7159325:7161149:1 gene:SETIT_003736mg transcript:KQL04202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLQDEVHQHGLLHDLLHESPPRAALVYYSLLLACPAILLLLLRRLTTSSATARARERLLSRLPSPPRRLPVIGHLHLIGSLPHVSLRDLAAKHGRDGLMLLRLGAVPTLVVSSPRAAQAVLRTHDEVFASRACSSVNDILYYGSSDVASAPYGENWRQVKKIATTHLLTSKRVRAYRHAREQEVRLVIAKIRKAAAAGMAVDLSSLLNFFTNDIVCHAVSGKFFREEGRNELFRELIESNSSLTGGFNIEDYFPSLVKLDTIKRIVCAKAQKVNKRWDELLEKLIDDHERRSASQHGDEDSNFIDVLLSVQEEYKLTRDHIKAQLEVMFAAGTDTSFIVLEYAMVKLMTKLQTKVRMAIPKGKDMITEDDLNANDIAFLKAVIKETLRLHAPAPLLAPHLSMADCDIEGYTIPSGTRVIVNAFALARDPSHWERAEEFMPERFLEGGSAFAMDYRGNDFAYLPFGSGRRICPGIGFAISAIEVMLANLMYHFNWELPPESKDRGIDMSESFGVTVHRTEKLLLVPVLPQE >KQL07361 pep chromosome:Setaria_italica_v2.0:V:39302399:39303241:1 gene:SETIT_002893mg transcript:KQL07361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGVDLPIPSHLPLSHPEISHGLDDFLKSTTACTHTHPCNPPGPAAAMHTHTCLHTHTQVIASGEEQRKPQKPLGNREAVRKYREKKKAHAAFLEEEVKKLRATNQQLLRRLQGHAALEAEVVRLRVLLFDVRGKIDAEIDSFPFQKHSSVDSVICTDPPLCFNTNAEVAARETSSGGPTILDFEIDESGSVSRELDIPEVVNSMDAAASYF >KQL06615 pep chromosome:Setaria_italica_v2.0:V:34633477:34633674:1 gene:SETIT_005098mg transcript:KQL06615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNPTGVPVRIDSKTIFGSWFALGQNALNSGNTNFAQNMLCNKHMRKK >KQL06055 pep chromosome:Setaria_italica_v2.0:V:30356882:30359196:-1 gene:SETIT_001331mg transcript:KQL06055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTPRTPAPERPPPPPGEEYHTPPPSLDASPREQASFPSDGRGGGTPTRSPSFDASPREEACLPSDGKGWAPARSPPLDASPREQASFPSDGRGGGTPTRSPSLDASPREQASFPSDGRGGGMPTRSPSLDASPREQASLPRDGRGGAPAKSPPLSPVRLPTPHLLPPPASPSAKNGQEGGAAGAAAPARPQLRLATGLLRTPSQGSLATKSPSPSPSPTPPSPLTPAPAANINNKSGQSTPKQRAETWKPPLSPAAAPAIAVPHFDPAEEAVTSPLRLGKPQLDHRQQQRQQHAAAENGGSVPPDVAAVAAVGERRPLSVTLRLATAALSLAAFSVIASARTSGWAGDSYARHQQYRYAVAVNVIVCAYSIAQSFGEIRRLISPTFIFRSRPSYYCSLFLDQVLAYLLMSASSAAASRNDLWVSRFGTDAFNRKISSALWLSFIGFLMLALNALISTANLFSMV >KQL05434 pep chromosome:Setaria_italica_v2.0:V:24431278:24436661:1 gene:SETIT_001125mg transcript:KQL05434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVNAPTGGIHMWGLRASARSSPQRRRAEPPPPDLKPPCLLVSPSPRVGRRAHRGGEPATPAAASPRWGSELARGKPALGPRARRRQRARAAENPLRGRGSASAALLPACGKTNPLSSSTPVLQNKSKKTMAMRGVDFKWYDGFFLSMLATSVIIVSINWKRYRLCAHPLHIWIVVDYTTVFIFRLLMFLDNGLAAGMGLDLGWQQRYARFCGRIVVLSVLVLLLYPFLWVWTVIGTLWFSTARSCLPEEGQKWGFLIWLLFSYCGLACIACVAVGKWINRRHALQQRAQQGIPVSEYGVLVDMIRVPDWAFEAVGLEMRVGQDTAYHPGLYLTAAQREAVEALIQELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDQWLRLNVKCPRCRCSVFPNLDLSALNNLRSTSEPDHPSASASDVTTATSATRYVRSQPVGQSYLVRLQGLLLRPVRHESLESGGEPAVANSRSVGPEELPSIVVDDGHQLPDR >KQL06988 pep chromosome:Setaria_italica_v2.0:V:36888416:36889981:-1 gene:SETIT_001448mg transcript:KQL06988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVQSSRAVRPDYGSDGAAAGSAAGDVVTLSVFDKVNFDTYVSVIYVFRPPMPPNAALEAGLARALAEYREWAGRLGVDADGNRAILLTDEGARFVEATADVTLHSVLPLRPTKEVRSLHPSSDGAKELMLVQVTRFACGSVAVGFTAQHHVSDGRATSNFFVAWSQATRGAAVDPAPVHDRASFFAPRDPPQVEFEHRGVEYKQKQPARVKEYAISADDDGADDEVAVHKVHFSREFISKLKSQASPPGTHRPCSTLRCIVAHLWRCVTAARGLPPGASTSVRIAVDGRVRMTPPVPEGYTGNVVLWAWPAATARDLVTRPLQHAVELINRGLARVNESYFRSFVDFASSGAVEEEGLVPTADAAETVLSPNIEVDSWLRMPFYELDFGGGRPFFFMPSYLAVEGLLIVLPSYFGDGSIDAYVPLFSRHIDAFKNLCYSMSLN >KQL03796 pep chromosome:Setaria_italica_v2.0:V:4629179:4634976:1 gene:SETIT_002286mg transcript:KQL03796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFKSSNGGTTEKGLSLEEQQEKVNELRKELGESSSAAIQDFLSDASLSRFLRARNWNVQKASKMMKAAVKWRLAFKPENIFWEDIAQEAETGKIYRADYKDKHGRTVLVLRPGLENTTSATGQIKYLVYSLEKAIMNLTEDQEKMVWLTDFQCWTLGSTPLKVTRETVNVLQECYPERLGLAILYNPPRIFESFWKIVKPFLDHETRKKVKFVYSNDTESQKIMAEVFDIEELDSAFGGKNPATFEYNSYAERMQEDDKKMGSLHSSTDSLLESAGKDTHGAASDASSEASFYSGTDSPKHEDGEHGAPTRHTII >KQL04871 pep chromosome:Setaria_italica_v2.0:V:12570630:12571331:-1 gene:SETIT_004264mg transcript:KQL04871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPAKPAAKGDIFLMDAGAATSAQERPAPPSPVAAALPMPMPLPQASADPSNPFPTTFVQADTTSFKQVVQILTGTPETAAAAAAGGAQSSPPAPQKPAPAPTGPKKPAFKLYERRSSMKSLKMLCPLLPAAAAFAAGGSAGNGFSPRGFSPRGLEVLSPSMLDFPSLALGSPVTPLPPLPGSEEAAAAEDRAIAEKGFYLHPSPRGNAGPGGDLQAPPRLLPLFPVQSPTSRP >KQL07713 pep chromosome:Setaria_italica_v2.0:V:41362646:41364824:-1 gene:SETIT_004642mg transcript:KQL07713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMAGRPGTSGGLVLWACQFVFAATCICAMSSAPGFTNYTAFCLFIVGDWVTAILSFAAACSAGVAYFCRRDPQLPCGRFEVATAFAFLSCACSAASALVVFWLLASL >KQL06222 pep chromosome:Setaria_italica_v2.0:V:31832614:31833075:1 gene:SETIT_004627mg transcript:KQL06222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAAKKPAEEEPAAEKAEKAPAGKKPKAEKRLPAGKSSAGKDGEGKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KQL04857 pep chromosome:Setaria_italica_v2.0:V:12469426:12472896:1 gene:SETIT_002246mg transcript:KQL04857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAARPDHRRYHPPFLRDLSSPISSSLRLPPASVRRETQASTPPPPPPLLSLDDLSHLSPSPQPATPPQATMTPSPTPPRGAGLFASPLRSNGSPAPSAWWSPSREEKPREGSPMDGVMQNQQQSPTMPSGQQSQQQQKVALITLPPPREVARPEMPRDSAPAAGRVDEEEWVTVFGFSPGDTNLVLREFEKCGIVLRHVPGPRDANWMHILYQSRHDAQKALVKHGQQLNSVLIIGVKPVDARQQQYLNESMNENYQGSATVPFLSPPVAPSGFATRNALAPLPSNSMPNGSCNESSRGASGAIASPSKSILSKVMDLMFGL >KQL05412 pep chromosome:Setaria_italica_v2.0:V:24047790:24048591:1 gene:SETIT_004580mg transcript:KQL05412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEPESYVRMAGDGEGEDRASLVLKRASDTCGEYRRLARLASSVRNAAKYFPQLAGSSATLAEVEVSGVLAESVAAITSAFDAVFSAVASISSAATIAVASSSKKPSASLISLVTRSSKAAAASSDEDKEMAALDRLEGLDECIANMEAGSDKVFRSILQTRVALLNIHTQTLLI >KQL07143 pep chromosome:Setaria_italica_v2.0:V:37907336:37912140:1 gene:SETIT_002525mg transcript:KQL07143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLSTTSVLAAKAYKYKAESLVKEYLLADSYVSYTAVLGGILMCKMAYDITSLISSLYYKGYGSLTKIQKLEWNNRGMSTVHAIFITVMSVYLVFFSNLFSDQLDGPVTFRSSNLSNFTLGVSVGYFITDLAMIFWAYPSLGGMEYVLHHMLSLISIFYAMYSGEGQLYTYMVLISETTTPGINLRWFLDTAGMKKSKAYLVNGVAMFVAWLVARIILFVYLFYHIYFHYDQVKQMHTFSCILIFAVPTILLVMNTMWFAKILRGLKKTLAKRQ >KQL05052 pep chromosome:Setaria_italica_v2.0:V:14875699:14876778:1 gene:SETIT_003793mg transcript:KQL05052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHPNTNGPQVFHPAEMADAFVQNDEANVEEVPVPPAPAPTGKGRRKKVVNRTKLGNFNPNEDVNIVKSWLEISCDPITSTAQKKRSHTTHVAANFAGILKYNFAYMHCWEITKDEPKWQDPKPRGFGKSTGGVSFGEDSSHEPDTNDFGDDNSCPTGSAGRRSMGRDSAKAAKKKENSSADEKRFEEMQSHNQSLLGIEQEKIQIMREKHDMDRQEKEKQEDERILGIDLNACTPA >KQL06643 pep chromosome:Setaria_italica_v2.0:V:34792110:34792326:1 gene:SETIT_0022971mg transcript:KQL06643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFIITGVILLVSSTRLIARLPRPVQKFLKSNMERSHSIRRPGSARGKDPIPTTTIHASSLHLLTSPTKEKA >KQL06822 pep chromosome:Setaria_italica_v2.0:V:35882540:35883211:-1 gene:SETIT_003464mg transcript:KQL06822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRSTALLALLFLVCAGAFSAAAAAAAGRSKGKGGSGRNGLPPCRDLATRGECAARGGCRWCRSEALDDMCFGATEAWRLPDQVFSCDSPSGAANARK >KQL08823 pep chromosome:Setaria_italica_v2.0:V:47241624:47243977:1 gene:SETIT_003790mg transcript:KQL08823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRLLPRITPLPRRRRNPSSPPITPALAASLEHILTTRSTNPAWPRALAALLPSPSRTRASPPPSPPSPTPTSRSRSSPGPAATPLAHSALLRFLARAGRFDAAEATLQSMSSRAGDGVVAAAPTLACLGELAAAYADAGMDGKAAEMCQRARELYGALPRAADCNRLLRLLVQRRRWEDARKLYDEMLAKEGGADDYSTCVMLRGMCLEGRVEEGRKLIEARWGAGCIPHPVFYNVLIDGYCQRGEIRRGMLLLGDMEMKGFLPTEVTYGVIITWLGQKGDLERIGGLLGEMKVRGLSPNVQIYKTVIDAVCKRHSASQAMVVLKQMFASGCDPDVVTFNTLISAFCREGRAHEAEKLLREAIRRELEPNQNSYTPLIHAFCIRGDVTVASDFLVEMMEGGHTPDVITFGALVHGLVVSGKVTEALSVRDKMMERQVMPDVNIYNVLISGLCKKQMLPAAKNLLAEMLEHNVQPDKYVYTTLIDGFIRSGNLSDAKKIFEFMEQKGFCPDVVGYNAMVKGYCQFGMTDEAILCMSSMKKVGCIPDEFTYTTLIDGCAKQGNISGALSLLCDMMKRRCKPNVVTYSSLISGYCKIGDTDTAEFVFENMQSEVNGLTNSVTWIINSNCSNSVKLHDKNALLDVFKGLVSDGWDPRISAYNAIIFSLCRHNMFGKALDLKDKMVSKGYSSDPITFLSLPYGFCFVGKPRNWGRILPNEFQKNEFETIF >KQL07157 pep chromosome:Setaria_italica_v2.0:V:37988354:37988923:1 gene:SETIT_004801mg transcript:KQL07157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAASTASKLGLPPGFRFVPTDEEVVVHYLLRRIQNQPLPVGDILDDDPLSAPPWLLLAKHGRKGDAFFFAEGQAMKGKGSRQKRSCAGGGTWEGQGQRKAAKGREGEKLRVRVDGEEIEWRKYALNFQGEVIKGSTGWVMHEYSITAPPELAASPVRVYRIRFSGHGKNAQKRKRNEIDWASDEEEE >KQL07653 pep chromosome:Setaria_italica_v2.0:V:41031003:41031260:1 gene:SETIT_005384mg transcript:KQL07653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLDNHPTTWSGFIKENILHDNYSSLIFMFI >KQL03615 pep chromosome:Setaria_italica_v2.0:V:3431051:3431952:-1 gene:SETIT_003343mg transcript:KQL03615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVASLSTTATFAAAADVLPMRRKAAAGRVWFPAAARRGFAVRSSGPATPPGISDQVSESIKKAEQTCEGEEGSGECAAAWDEVEELSAAASHARDKLKEDNDPLEKYCKENPETDECRTYDN >KQL05819 pep chromosome:Setaria_italica_v2.0:V:28219420:28225388:1 gene:SETIT_000947mg transcript:KQL05819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGNSENIQFSWGKRRAKGGAKMDTQFYESFTLDNVKYSLYDCVYLFKHGDPEPYIGKIVKIWEQNQAKKVKILWFFLPDEIQKYLKSPVKEKEIFLASGNGIGLADINPLEAVAGKCTVICISKDERNRQPSPQEQAMADYIFYRFFDVNTCTLSEQLPDKIAGLEVNVLLNPKDELVISSPNAANVLLNANVDEDLAATVPPSRSVVKEEESPDAAVPLSQPAVKEVDANPPATVPLVQSVVKKEDQKPVAAIPLSPSMVKKEDKKSVAAIPLSHSAVAGDEKPVALTPPPRSAAAENIPKQRQSQNAHTGERPTKKLKLTQEATVKGTTPATTEKRPLELPSRQADRSKWFKIPWDDRLRIADEQGTLVYIQNLDIQFAAADIEELVREALQLSCIAKPINHPTYDDPNNGKAYAIFKTKNAADVAISKINSGLVVGGRPLYCSKGLLKVPKSSGTLVGHLSLYNFKIGQKQREEQKKAVSTSHCSQPNTIEYDLALDWMLLREKQEKKFEILHKRHKDDRRGFASIGGRIAKAGK >KQL07100 pep chromosome:Setaria_italica_v2.0:V:37658270:37660096:1 gene:SETIT_004807mg transcript:KQL07100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAELVKQYSNITLGEDSEICDIKHALKALRKKILSLDFDNSMHVHDPQDSFEYLEVLYKIRRLSERLRNLDPCGEAKQLDELTVYADDLSEMAMARLEEEFVYLLTHYKQPLEQEVLSFRSTEDGSVEDFSSSSFSEEQSEGKATPNDVAGGPEYLVPDLIQPGALSAVKSIAKFMFLNGYDKECCQAYINSRQNAIDEYFASLRLDKLSIEELMNTSWTKLNILIRRWNRAMRVFIRVYLASEKRLSNHIFGELTDSTADLCFYEISFNSVIQLLSFYVSVAIGPPKTEKLFRLLDMYEVLNDLLPEAESLFESGYDDMILNEYHEALLQLGESARKTFAEFKYAIQSYTSSNAVARGEVHPLTKYVMNYIRALTAYSKTLDSILKDTDRRHLHLASDIQLMANAYPNFTATALHLQSVAAILEANLEAGSRLYRDDRLQNIFMMNNIHYMVQKVKNSDLKSFLGDDWIRVHNRKFQQHAIRYERASWNSVLSYLSDDGLCAAGDAASRKTIREKIKNFNLSFEEVYRVQTAWSVPDDQLRDDVRISISLKVIQAYRTFVGRYGSVLDGSRHRDRYIKYRPEDLETLLLDLFEGAQKSLLHSCRA >KQL06772 pep chromosome:Setaria_italica_v2.0:V:35514634:35516136:1 gene:SETIT_003732mg transcript:KQL06772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPLLSAIISDLLSRALSMLIQRYRRSKAEETEHKLQRLQRVLLRVDAMVEEAEERHITNQAMLRQLDMLRQGMYGGHCMLDTFRYRVHGHGDDDEVSGDGDVVLSRFSSAKRFLSFPGSSSKEQSTVIDAENLKNLEKMLDGLETLMGDMVEFAVFLEGYPRICRQPYSEYLVLDRVMFGRQMEKETVINFLLRPEATGDGNPGVLRIVGAARVGKSTLVEHVCLDERVRGYFSSIVFFTGDDLGADNMAALGRSGVIKHQDLTASSSCGRSLVVIELTGAMEEETWRNLYCSAARSMGNGDKIIITSRSEKIAALGTTQALRLKLLPQEAYWYFFKVLAFGSANPDDHPKLASLGMEIATLMNGSFLGANIVGSLIRANLNVQFWRRVLHCVRDFLSKHLLTFGMDPTDLLENGYPLYAWSMARSRNVVVIHKIYQKRTTQNGVPKLTAHDIIAGRATHKGKFRAVGWRSSVPPYYTYVFNCVSQTAGCSTARKKRPRQ >KQL06966 pep chromosome:Setaria_italica_v2.0:V:36779863:36780225:-1 gene:SETIT_005645mg transcript:KQL06966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYVLKIFSMIVWQIEHILNFGYILAAFHVPHLSKMIYAMSCVVPHRSHSTMHFFNLINNF >KQL07057 pep chromosome:Setaria_italica_v2.0:V:37391533:37391976:-1 gene:SETIT_005354mg transcript:KQL07057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTCSSRAFVRKVASNNASFFLFDYVIL >KQL04388 pep chromosome:Setaria_italica_v2.0:V:8710288:8714310:-1 gene:SETIT_000510mg transcript:KQL04388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDMDDYIDPYEEAEAEAAAEAAGLADPKSEDSDDDSEDDSDAESDYEEQSFRLLTSGKHRVRNPDGTFRCPFCPGKKKQGYKIKDLLQHADGIGVSSKHCRHGRERASHRAFARFVRTDPSFAEDLVGITGILGAIKPAPANANGSGSATAEAKANVGPTGSSSAPAENVGPPREVERYAWPWACVLAAGAGFNAEEFAGRVAMFSFVEVVPLFIDEIEGMETFAIVRFTNDWSGFNDALTLENHFSVNKLGKKEFETRNSGLGAAEGEGGEGEVKVYGWVAREGDYNGGTVVGRFLRKRTILKTIDEVSKTELEKSGEMVARLASQIEEKNRYLQDLETKKNATELSISRLEEDNRKLHEAYNEEMRNLHRRARENALRIFQENDNLRQELENKRRELNSRAKQLEKLSAENANDWKTLDDEKQKAKDDNSELELASIEQQRADFDVLKLLDDQKREKEDVFARMLQLEKELHEKQQLELEVARLNGTLQVMKHLEGDDDGDIHEKMEKLSERLEREKKRLEDLSGDLVRKERESNDELQQARKELIMGLEDELNGRAAIGIKRMGELDEKPFQNACRRKFGNDDYQVKAAELITNWQEELKKPSWHPFKVVQVNGEDKEVLDDDDAKLKFLWIEYGDDVCNAVKTALMEINEYNPSGRYVVPELWNFRKGRKATTKEVLKYLFGQMETTTKRRRG >KQL03685 pep chromosome:Setaria_italica_v2.0:V:4058054:4058457:-1 gene:SETIT_003703mg transcript:KQL03685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARPYPEHWKGENGLYCAGLARRGIYGSYSDAELIAGDISELLRPQQTHSNGSK >KQL07388 pep chromosome:Setaria_italica_v2.0:V:39458621:39461403:-1 gene:SETIT_001654mg transcript:KQL07388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLLISTVPAFTLLLVAPATKPCCKLARELGLLALLLATELLNHATAAGRRFLGRDRGARMMLSPPRPKPAALAAPPAAAGLPLLDLPELALDRVLEELSPASLAAMACVCAALRGRCSADALWERHLRAKWGRVLGGTARKEWEAELGARATRASAPRPARRRSWADSLACAWPFSWIAGRWLKGDATPAPAAVAAEPAPATAAPPTDTVAAWYRALECGDFWFPAQVYNREDGHVGFVLSCYDAHLRYDRRTDTFTARYPPHGRKPAKEEDGVQWSRIRAPPVSTPAHDLHGSGCLGALRPGDHFEIQWRKNKDFPYGWWYGVVGHQASCNANEHLWCRCHEDDMIVLEFQHYAPGSRWRQTTVSRKDHRETGDETDGFYGGIRKLQTKDEIATWRRFWPVDVLN >KQL07985 pep chromosome:Setaria_italica_v2.0:V:42987452:42989110:-1 gene:SETIT_000904mg transcript:KQL07985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRARQLHAIYLTSGSHDPDKWAHLLRAYAAHSSLREAALIYARNLPRRTHHQPLLPVLLKAAAAASRAEHGLGRSLHAEALKSAFARDLLVGTTLVSMYCKCGALADARRAFDEVPDRNVVTCNALLAGYATAGDMDGALALFGGMRSRTHVTWATLIRGFAEKGDMEEARRWFDATPPGMRTVVTWTVFVQGYVDAGDMETAREVLDRMPARNAFVWSSMVTGYFKAGDAEAAQAVFDIIPRPNLVNWNALIAGYAQIGCGEKALQAFHSMLEDRIEPDEFTMASVLSACAQLGALEQGKKVHEFINQKHIRKNHFVMNGLIDMYAKCGDLGYARDIFDSMRWKNTECWNTMISALASHGRSEEALQLFFMMECSEQKPNVITLLAVLGACTHGGFVDQGLRIFNKFEVYGVEAGVEHYGCLVDLLGRAGKLKEAYEIVKNIPEEPNEVIWGSLLGACRVHGDAEMSRLVSDEINRLHSGRASSNDAAYIMLSNIMAASERWEQAEQLRRKMACLGIEKTPGCSSLELVIPECQVYAGSRQSFLPTTLL >KQL07443 pep chromosome:Setaria_italica_v2.0:V:39758897:39760935:-1 gene:SETIT_002706mg transcript:KQL07443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDLPDELWARVLELGAASSALGFRDLCCLAIASRRLGRLSLHPALWSALFSRDFPSQSQPSSSSSSSTSQQQQQQQQLHPKSLYKTKFERHKVRIAEARRRAVFEAEARVLACRRRLAELEESMRAEGEKMKAAAQELDNLERVRRASVALNVWQPQVVHGRQKQLVQQCTVPVDSRLSDLNMELKVCKQQIATYKNSYVCDHLFHFI >KQL07444 pep chromosome:Setaria_italica_v2.0:V:39757869:39761082:-1 gene:SETIT_002706mg transcript:KQL07444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASDLPDELWARVLELGAASSALGFRDLCCLAIASRRLGRLSLHPALWSALFSRDFPSQSQPSSSSSSSTSQQQQQQQQLHPKSLYKTKFERHKVRIAEARRRAVFEAEARVLACRRRLAELEESMRAEGEKMKAAAQELDNLERVRRASVALNVWQPQVVHGRQKQLVQQCTVPVDSRLSDLNMELKVCKQQIATYKNSYSKEKHKLNEYEEALKRAKYHPLQDSHTSGIIKEPRAKRKKLK >KQL06989 pep chromosome:Setaria_italica_v2.0:V:36906008:36908073:-1 gene:SETIT_002364mg transcript:KQL06989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAEDGYCSSDSPRAESPEESQAAAAADAESPRANKRERDLRDLHDLPASPSSPLPAAKRSRRSVEKRVVSVPLAECGDRPRAGSGEGPPPSDSWTWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPTVLLVTYTFEHNHESPQPKSSSCHQQGKPSPRPPAPKPEPVVEQAELGPEHELPEPEAPEQEQEQELEPEAEQEEQKVVVSLVAPETASTATVSPVAEEDESFDFGWFDQYPTWHRSAAYAPLLPPEEWERELQGEDALFAGLGELPECAVVFGRRRELSLEATAPCS >KQL05702 pep chromosome:Setaria_italica_v2.0:V:27105469:27106041:-1 gene:SETIT_0022912mg transcript:KQL05702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKFWRRGRWQIPSGTSPTRRLWPRSSCSTLRSPASSPGMAPMSLLKLRSSTVSPLSAPISGGMHDLSPVFMRMIWSSVPDMLATDAGRHPPKSLLASTSTDAGELPTLSGIPEAESVGVEEDGVELAVEELWRHGALEVVVAEVQIPEPRHPEHDVGERPHEAVVAEVELVERAELIERIGARGRRSGWS >KQL04007 pep chromosome:Setaria_italica_v2.0:V:5829374:5832116:1 gene:SETIT_000601mg transcript:KQL04007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASAMELEAPPPVTASAKEIRSPEVLSSLQRKKLGAHFMESDERRFSSGGGGARTPLGGGYEPPPPSSSSAAGGTTPVDIRGHPIADLSRTGGWVAAFFIFGNEMAERMAYFGLSVNMVIFMFKVMHRPFTSSANAVNNFLGISQASSVLGGFLADAYLGRYWTIAIFTTMYLLGLIALTVSASVPALVPSQEGCDTLAMLLGACAPAKSWQMAYLQTALYVTALGAAGIRPCVSSFGADQFDERSPGYKRRLDRFFNLFYLAVTLGAIAAFTAVVYIQMHRGWAAAFGTLALAMGASNALFFVGTPLYRHRLPGGSPLTRVAQVLVAAFRKRNAAFDSGGFVGLYEVAGAKSAVRGSAKIEHTDDFRWLDKAALQLEGDLAGGGEDPWRLCTVTQVEEVKILLRLLPVPACTVMLSVVLTEFLTLSVQQAYTLNTRVAALHLPVACMPVFPCLAIFLILALYYQTFAPLARRLTGHPHGASQLQRVGLGLFFSILSVAWAGLFERYRRGYAIRHGYLALFLTPMPDLSAYWLLIQYCLIGVAEVFCLVALLEFLYQEAPDAMRSVGSAYAAVAGGLGCFLASALNTAVDAATRDDAAGRPSWLAQNINVGRFDYLYWLLAVLSTVNLLVFVYVAKRYKYRVRIDAQAAVVNKQ >KQL03926 pep chromosome:Setaria_italica_v2.0:V:5392150:5395782:-1 gene:SETIT_001342mg transcript:KQL03926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRMRWMSEGDGRWELDAETPVTMEGTARPVPGDPLPLGLSRGPRVTRPKQLDFFHRFMASPLVPTFSAAGDGLFVHHAHLLHLTDNWSFTILEKIHVQKLVSVVKEKLANRHEEGSCTNDLKKHLHDIMSLGVGTELLITPDTTLLLELYDIKKGDRGKAIFHHKLPHQNVTFQASWPGLFVDKKGVYWDLPLSLSADLASVGSSSGLSYHLLLQQNSGEPKCFGGDETSDVPIALLPGLCAKAAVSIKKSIDAWRKKEDKLKNVQPYDVFLSDSHVSFTGIVGAVASGYLGDCSRRVSIRDETQRSNAFRMFNERNKFAAFADLFASVTFTAQYGNFQRLFLDLTKASARFDIASGSLFLCGASRLAQDFFFSRRPDIETFCDVCPDVTVSLQQQIVGPFSFRVESSVAIDPRSQDHFVRVDDSIFAIDWALKVLGSAKATAWYSPKHQEAMVELRFFEA >KQL03312 pep chromosome:Setaria_italica_v2.0:V:1070148:1071816:1 gene:SETIT_003780mg transcript:KQL03312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKYPAALLAQVLAKLRGAEPLTARDVSEIGDLLTAPRQWPPYPPVRHRPTSSSPAVQMVSLVRAPTMTPCSSSRASGKRRASSPESRSPSCPAALQAPASSALSSSPDAGHCYGPMDPVSNIILSSVWYDAAFPHAEAAGLPDGILDTRPMPRMGLRSLAGLVAIVCDTGCCRSEHERRWSCAAKAAKHPQHAAFGSFLMSLSSEKLNRLRCLLTAAGGISDAHWDELNAILVEESESVPSYRAVSVEEQEVKCLKYSPLTCSGNAGSYSESKRRGLIRSPVEPKKNLDNPD >KQL05463 pep chromosome:Setaria_italica_v2.0:V:24761091:24763813:-1 gene:SETIT_004662mg transcript:KQL05463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAAFVVALILRSIYGGMNIVAKGAFDEGMSTSVFVLYRHAIAIPFLLPIAYMLERKTSPALTFKASLKLFVHALYGISGSINVYSIGLSYASATSSSAISNVLPVVAFFLAVLLRQGIESLNMKRFQGIVKVTGIVFCFAGVIVLAFYQGPEMKSFNHHRLLHQTSNSHATVIVHSVRTWLLGIFLMTLGTICWAFWTVLQGPILDAYPSKLLNTSLQIVFATIQSFFIALVVERDFSRWKLKLDISLIAVLYCVSSICVFLMCRPVS >KQL06527 pep chromosome:Setaria_italica_v2.0:V:33957664:33963883:1 gene:SETIT_000747mg transcript:KQL06527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPHASDLRAFLAAAAVAHLSSSPASRASHRAPPPPPQPLRLRAFASSRASPPPSSPSPSPTGAVASAGAAAAAAAAACEQGAAKPAICTADELHYAPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAVGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAAANTKSDMPPDPSMDESSTAKASVVVPAKNMSTSQPQISEVPVIADKNMVGTITSEEPQLVTKLSNALARLGETFSSYVKDSRLKTIADSFFDRVSELAPDASLASLEEVSEKILGLLELPQTSVISDQISNLSQRLVKILGEGQQTVSPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMDYIRKQSVPKDGKLLAIGHSMGGILLYAMVSKCGFEGAEPELAAIVTLASSVDYTTSNSSLKMLLPLADPAEILRVPAIPLGVLLSTTYPISSRAPYILSLLRSQISAKEMMDPELLSKLILNNFCTVPAKVLLQLTTAFRDGGLCNRTGTFFFKEHLHKIKVPVLALAGDEDLICPPEAVYETVKLIPQHLVTYKVFGEPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDKVSS >KQL06528 pep chromosome:Setaria_italica_v2.0:V:33957664:33963883:1 gene:SETIT_000747mg transcript:KQL06528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLPHASDLRAFLAAAAVAHLSSSPASRASHRAPPPPPQPLRLRAFASSRASPPPSSPSPSPTGAVASAGAAAAAAAAACEQGAAKPAICTADELHYAPVPGTEWRLALWRYRPPPEAPKRNHPLMLLSGVATNAVGFDLSPGASFARHMSMQGFDTWIVEVRGAGLSMRGSELAAANTKSDMPPDPSMDESSTAKASVVVPAKNMSTSQPQISEVPVIADKNMVGTITSEEPQLVTKLSNALARLGETFSSYVKDSRLKTIADSFFDRVSELAPDASLASLEEVSEKILGLLELPQTSVISDQISNLSQRLVKILGEGQQTVSPRLFGWQERLSATIEDLQKQLELIISYDWDFDHYLEEDVPAAMDYIRKQSVPKDGKLLAIGHSMGGILLYAMVSKCGFEGAEPELAAIVTLASSVDYTTSNSSLKMLLPLADPAEILRVPAIPLGVLLSTTYPISSRAPYILSLLRSQISAKEMMDPELLSKLILNNFCTVPAKVLLQLTTAFRDGGLCNRTGTFFFKEHLHKIKVPVLALAGDEDLICPPEAVYETVKLIPQHLVTYKVFGEPEGPHYAHYDLVGGRKAVHEVYPCIIEFLSQHDKVSS >KQL04457 pep chromosome:Setaria_italica_v2.0:V:9158834:9159117:1 gene:SETIT_003674mg transcript:KQL04457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASTAILIVTYNVLLFFFFFPMLLQIIVQVSHCLYLVMWMCCQIVNPAIAMANYGWMDC >KQL04160 pep chromosome:Setaria_italica_v2.0:V:6914330:6922224:-1 gene:SETIT_000198mg transcript:KQL04160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMALALQWQLQLPVPLQARPPALGADHRRQRRLLVPVRRVPPLRARCCAGAAAADDAGTAQAAARRAYPYDEIEPRWQRHWEEHRTFRTPDIGEGLDTSKPKCYILDMFPYPSGAGLHVGHPLGYTATDILSRFKRMKGFNVLHPMGWDAFGLPAEQYAIETGTHPKITTERNIERFRTQLKSLGFSYDWDREISTTEPTYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGLSERGGYPVIRKPMRQWMLRITSYADRLLEDLNDLDWPESIKEMQRNWIGRSKGAELEFSAVDQEGHDLGAKLLVYTTRPDTIFGATYLVVAPEHVLLSSLTSEEQREHVEKYKELAARKSELERTDLQKEKTGVFSGSYAKNPATGEIIPIWVADYVLGSYGTGAIMAVPAHDSRDHEFAVQYELPIIKVVSPPNGSCDPAEAYADDGIMINSCNSSSGLDINGMLSKDAAQKVIEWLESNGYGKKKVNYKLRDWLFARQRYWGEPFPVVYRDDTNEMVPLSENELPLTLPELDDFTPTGTGEPPLTKATDWVKTIDPLSKKPSKRETSTMPQWAGSCWYYLRFMDPKNSSILVDKAKERYWGPVDVYVGGAEHSVLHLLYARFWHKVLYDMGVVSTKEPFKCLINQGLILGEVEYTAYRDNEGRLVSADSDSSLIDCYQEKVPADKVTKVGDHYVLKDDTNIRLNARAYKMSKSRGNVINPDDVVLEYGADSLRLYEMFMGPLRDSKTWSTGGIEGVHRFLGRTWRLVVGPPLPDGSYKDGTVTYENEPTLDQLRVLHKCIARVTEEIHETRFNTAISAMMEFVNAAYKWETQPKSVIESFVLLLSPFAPHLAEELWFRLGHPQSLAYEQFPEAKSEYLEESKLVLPVQINGKTRGTILIDKSCSEDDAFQIAASDEKLSKYLAGKGIRKRIYVPGRILNVILDQQKAKA >KQL06710 pep chromosome:Setaria_italica_v2.0:V:35157352:35159163:-1 gene:SETIT_004100mg transcript:KQL06710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGLLRSLLPTNLAHLLKTRPLHALLSDASTSRAARHLFDAVPHPTAALCSAFLSGLSKLSLHLELIEAHLLAALQGAPASRPGCFPLVLKSCALSQRRARAGRRIATPLVRGMLGDVFVQTALVDFYAKNGDMVSAVKVFEEMPVKDPIPMNCLITGYSKSGDVEKARRLFDGMPRRTSASWNSMIACYAHGGEFHEALALFDRMLSEGASPNDITITTVFSICAKTGDIDTGRRARALIGERDLQNMIVHTALMEMYVKCRAIDEARQEFDQMPRRDVVAWSTMIAGYAQNGRPHESLELFERMKATNCKPNEVTLVGVLSACAQLGSDELGEQIGNYVESQRLPLTSYLGSALIDMYIKCGHVGRARNVFTRMEQKVVISWNSMIRGLALNGFADDAITLYEKMVSDGVQPNEITFVALLTACTHAGLVDKGMAFFEEMKKKHHVSPQVEHCACIVDLLCKSGRLWEAYKFICDMKVEPNAVIWSTLLSACRTHADVELAKLAAGKLLALEPDNSSIYVLLSNIYADAGLWGDVREIRDLMRSKNVQKLSAYSWIELDGEVHKFLVQDTYHPRSAEIYSAVDGLGLQLDQTNPDPELISE >KQL07368 pep chromosome:Setaria_italica_v2.0:V:39364659:39366880:1 gene:SETIT_004453mg transcript:KQL07368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRSQSRSLADSSRRSSSRDGAAPMEREEIAWRVCTADPRAEGGLDSRFRPRNWIAMSHCAPPSRRTLLNVATGAHVDFPELSTRRHFGSAEGRLVLCDKTSNAIGLLNPLTGVLVEFPAISDVRALTVPSGAYLITFRASYNLELDSTKVNVPNPPVIKGAGINDSTFPSTLVLCLRKQLSHVVCVKPGDGHWVSVHHGEQRVDSVTALGRILFQSLLSFRGRCYLTTPRGDIMTPRMVYLHREMALGDGVKGMSCLVRSHDDRMLMVRYSFSRHGDLTVTDGDGNPAKTFISCGAPSRMEESEMYIDGRRLIPLRGIGNNAVFVGDMHSIMLPTGKFPKIAAKTVYMNYLWQRRMETINFC >KQL05722 pep chromosome:Setaria_italica_v2.0:V:27214478:27215431:1 gene:SETIT_003026mg transcript:KQL05722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSEDYEGGFDESDIEAMLASISSDFRRWAEGFVPLIVGSADPSAVERVARSFFAMDPRAAHALARMIFLGDQREVLDDVAVPCTLVHVSRDFAAPPYVGRYMQARMLVARCAAAMVTIDSFGHFPQLVAPDELLGILDLVLGAAGTADGDEEETAEAAAAALAEEKTNEVPSGGLAEAAPEVKGDIDVAS >KQL05203 pep chromosome:Setaria_italica_v2.0:V:17573345:17575576:-1 gene:SETIT_000425mg transcript:KQL05203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAPPALRMSHTQFIEHLRRAAASTRAPRAGEALHGWAVKSGAASHAPVSNSLITFYCSLSRPLLAAAFAVFDDIPAAARDVASWNSLLNPLSRHHPLAALSQFRLMLSSYDRAVLPTPHSFAAAFTAAARAPSASAGAAAHALACKLPSAATGSDNVFVSTALLNMYCKLGITSDARSVFDGMPHRNAVSWTAMVSGYALGKCSEEAFEIFQLMLQECPLEKNEFVTTAVLSAVSVPSGLLMGVQVHGLVVKDGLVGFMSVENSLVTLYAKAECMEAARQVFGSSKERNSITWSAMITGYAQNGEADSAARMFLQMHAAGFSPTEFTFVGVLNACSDMGALAVGKQAHGLMMKLGFEMQVYVKSALVDMYAKCGCIGDAKGGFQQLCDVDDVVLWTAMIAGHVQNGELEEALVLYAKMDKEGIMPSNLTITSVLRACACLAALEPGKQLHAQIIKCGFGLGGSVGSALSTMYSKCGNLEDGMVVFRSMPDKDVIAWNSIISGFSQNGCGNGALDLFEEMKLEGTAPDHITFINVLCACSHMGLVDRGWFYFRAMTKDYDLIPRLDHYACMVDILSRAGQLKEAKDFIESITVDHGTCLWRIVLGACRSLRDFNVGAYAGEKLMELGSEDSSAYILLSNIYAAQSKWIDVERVRRLMRLRGVSKDLGCSWVELNCQVHVFVVGEQQHPEATNINVELMRLAKHMKDEGYHQTCKFPFDEELDALGESPEEDQLELISAAYS >KQL03444 pep chromosome:Setaria_italica_v2.0:V:2413458:2415238:-1 gene:SETIT_002130mg transcript:KQL03444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKTPGPAVWCRRAASDPSAPAAAARVQLTPRHVPCCGLRLRALVVSALASRGGSVWSTLRCPLLATPQLRSLDADPTCGAASPGADQRSTAPRPVDGGLGHGDQQQPRRNVWVCLPCLPRHSTPHSHSRPNHLSLSLLPHHHLSPSTMAAAAAAPLLLLLLLPLLLLGANANADPAPATIVLKDGTTCTLCASCDNPCNPSYYPPPSPPPAPVTTPCPPPPSYPSPSGGGGGGGPIVYSSPPPPASSGVGGGFYYPPPTGGGGNNGASQQGGGGGGGGGGGAYPTPPPPNPFLPYFPFYYYSPPPPHYSGAWAVTATSSPVATLLAVILSGLLLLQW >KQL06511 pep chromosome:Setaria_italica_v2.0:V:33918653:33921001:1 gene:SETIT_003958mg transcript:KQL06511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKLRFYASLLFAVSSVLAAAASDDVKIAVYWGQNASEGTLRDTCSTGLYAYVNIAFLSTFGDGRAPVLNLADHCDPPSGGCASLATDIASCQSVGVKVLLSIGGGALGGYNLSSPSDAQGVAAYLWDNFLGGTGVAGAPRPLGGAVLDGIDFDIEAPSQYYDDLARNLTSLYRGDARGRAYMLTAAPQCPFPDASLATALGTGLFDHVWVQFYNNPPCQYAPGDDAALRSSWRQWTAGLPSATVFLGLPASLDAAGSGFVDADTLASQVLPAVEGAPNYGGIMLWSRSYDKDTGFSVKLQGILQNRNDQTDIIVGVAVAGVLLLFLLICSCFLCHRKYRGTPPPVEGSTTPPAPKTEPSQPKQRPQRLKRYTYSEVERMTKAYAHKLGHGSNGDVYRGNLRDGCQVAVKVLKNSKGDDKEFMSEVASIGRISHVNVVPLLGFCLQGPTRALIYEYMHNGSLESYAFSNNDSVEDNYSLWLYWEKLFDIAIGVARGLEYLHGQGNANILHLNVKPCNVMLDQELCPKISDVGVANLCHGKENKRSTGDARGRDGYDAPEVVSRKFGAISSKSDVYSYGVMVLEMVRAKRNISVGADTTSKYFAQWLYEHLDQFCNSISDISTETRDLVKKMIIVGLWCIQTVQTNRPSMCRVVEMLESSSTDLELPVRIS >KQL05049 pep chromosome:Setaria_italica_v2.0:V:14844114:14848497:1 gene:SETIT_000395mg transcript:KQL05049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAAADVVIPACAAVGIAFALWQWFLVSKVKVSAYAAPSNGHHHGGPVFRMEDDEEDVGMAGDRDDEEEGEGERTIAVARCAEIQSAIAVGANSFLFTQYKYLAAFTAIFAVVIFLFLGSVHRFSTDSRPCQYTIGRTCKPALANAVFSAIAFLLGAATSVVSGYLGMRIATYANARTTLEARRGIGAAFATAFRSGAVMGFLLASLGLLVLYATIKVFGLYYGDDWEGLYESVTGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESTCAALFVASISSFGADHDFAAVAYPLLISAAGLLVCLVTTLLATDLFKVKTVRGVAPALKLQLIVSTALMTVAALVVSFAALPARFTMFDFGEVKQVKNWHLFFCVAIGLWAGLAIGFTTEYFTSNAYSPVRDVADSCKTGAATNIIFGLALGYKSVIVPVFAIAVSIYVGFTLASIYGIAVAALGMLSTVATGLAIDAYGPISDNAGGIAEMAGMSRRIRQRTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGVADVNVLNPKVFVGLLVGAMLPYWFSAMTMKSVGSAALRMVEEVRRQFATIPGLMEGRAAPDYARCVRISTDASLREMMPPGALVLLAPLVAGTFFGVRTLAGLLAGALVSGVQIAISASNSGGAWDNAKKYIEAGASDHAKALGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLIFK >KQL04123 pep chromosome:Setaria_italica_v2.0:V:6638657:6640633:1 gene:SETIT_004228mg transcript:KQL04123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKCFSGALHLLPLFLLLPVTTKGATLSITNQCSYTVWPAAVPVGGGVQLNPGKSWTIDMESATRGSVWPRTGCSFDFESTGKGSCKTGDCGGMLTCTSKGQPPLTLAEFNFGGFGPGGTDVFDISLVDGFNVPMDLLPVPAKGQGEQGCSKGPHCAANITPQCPQELKAPGGCNNACTVFKQDKYCCNGSSTCEPTNYSGFFKRMCPEAFSYALDTSDTTFTCPSGTNYQLIDRDKSQVITRMRGTPGYLAPEWLTSQITEKADIYSFGVVVMEMISGRKNLDTSQSEESIHLITLLEEKVKSDELADLIEKDNTDMRAHREEILEMMKLAMWCLQIDSKRRPQMSEVIKVLEGDLDAESKIEHNFVATSQTMFGIAGNTDSSDPPQASNLSAPR >KQL06507 pep chromosome:Setaria_italica_v2.0:V:33893464:33897673:1 gene:SETIT_000662mg transcript:KQL06507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLRHRLLLPPLLLLAILVAASHGAPSSGGDSYDTSMCVRQPYNCGNVNISYPFYSKMETLLGNDSSYCGYPGMEIQCEEGRAFLELDSGKYTVSRIDYEPPGVWLADPDVLNEGSCPRANHNVTLGNVSWLDYPNDTVDYLLFFINCNFLSAPDITRPPSNTTSTCKFDDEPAYGMSFVFREEDVPYQDTNWWQVCGKVIEVPVLKSGLPPDPQNDPRWRNGGYGSSLREGFQLAWHQERKASACDQCERSKGQCGYNQKGGHVACLCSGGRVGAPNCSSGIVASTSSLLFLSLLILAFFLTCKYGSLPFKSNNKPRIESFLQKNGNLHPKRYNYADVKSMTKSFAVKLGQGGFGAVYKGNLSNGGQVAVKMLKDVKGDGEEFMNEVASISRTSHVNVVTLLGFCLQGSKRALIYEYMPNGSLERYTFGTNINSENILSWEKLFEIAIGIARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLAKLCLNKESAISIVGARGTIGYIAPEVYSKRFGTVSSKSDVYSYGMMVLELVGARDKNINADSESSSQYFPQWIYEHVDEYCISASEINGETTELVRKMILVGLWCIQVIPTDRPTMTRVMEMLEGSTSNLELPPKVLLG >KQL08058 pep chromosome:Setaria_italica_v2.0:V:43367026:43370446:-1 gene:SETIT_001205mg transcript:KQL08058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLDVNALRYLTKDDFRVLTAVEMGMRNHEIVPAELVDRIAGLKHGGTYKVLRNLLKNKLVHHDATKYDGYRLTYLGYDFLAIKTLVNRGVFASVGRQIGVGKESDIFEVATEDGTVLAMKLHRLGRTSFRAVKSKRDYLRHRRSFNWLYLSRLAALKEFAFMKALGDHGFPVPTAVDCNRHCVIMSLVQGYPLVQVKELQNPDDVFDTILGLIVRLAEHGLIHCDFNEFNIMIDDDEKITVIDFPQMVSVSHRNAQMFFDRDIECIYKFFNKRFNLKSEKNEEEVGSESDGEGTSRPSFLSVNKAAGSLDKELAASGFTRKAQVDMEKYIEEDAEGQDSSSDDDDEIGDAVPIYSLKIDQDRSDELDCNLTSRNSGGPGTFSEEHGTSCSGESRLESPPSDSNGDAKEPLESEGKVLPQEDDDNDDESSDSDDDDEEDAELTKKLNKQRKKAIAAAHGRRRPVSSRNAYKDKGKGTMNSKIQRQACKW >KQL05136 pep chromosome:Setaria_italica_v2.0:V:16396995:16403706:1 gene:SETIT_000505mg transcript:KQL05136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAALHPLPAVVGAGRRGFRRQARFGLRASSLESPSAAVTVLNGVAGAPVERMEKVKEDKADVPVVKDEDEKKGLDVLYDDGFGSVTVKDYFAAAKAVSRDDGGPPRWFCPVECGRPAVDDAPLLLFLPGTDGVGMGLILHHKSLGKVFEVRCLHIPINDRTPFEGLLQTVENTIEHEHDLSPNRPIYLVGDSFGGCLAVAVAARNPQIDLVLILVNPATSFARTPLQPILPLLESMPSEFHVTVPYLLSFVMGDPLKMASVSIENNLSPPETLQKLSDSLTSMLPLLSELADIIPRDTLLWKLKLLKTGAAYANSRLHAVQAEVLLLASGNDNLLPSGDEADRLFKALKNCRVRYFKDNGHTLLLEDGVNLLSVIKGVNMYRRRRQRDFVTDYLPPTLSEFKKTFDEDHKLFHLALSPVMMSTLKNGKIVRGLSGIPDQGPVLFVGYHALMGIELSPLYEEFLREKKTIVRGLAHPFLFGTKFESSRQELSRLDTVSMYGGLPVTPINMYRLFERNEFVLLYPGGVREALHRKGEEYKLFWPDQPEFVRMAARFGVTVIPFGCVGEDDVLELVLDYNDQKNIPGLREWIESVNKEADRVRDSVKGEDGNQDMYLPALLPKVPGRFYYLFGKPIEMKGMNSLVRDRKSANDVYLRIKSEVEEIMSYLKRKREEDPYRSIAQRALYQATWGTSGQVPTFEP >KQL03531 pep chromosome:Setaria_italica_v2.0:V:2963519:2966002:1 gene:SETIT_001961mg transcript:KQL03531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLACCGGEDTQRAPDSGGPYSGGYPARNDAYRTADPTPRGAQPVKAQPIAVPTIPVEEIREVTKGFGDEVLIGEGSFGRVYLGVLKNGRSAAIKKLDSSKQPEQEFLAQVSMVSRLKHDNVVELLGYCVDGNTRILAYEFATMGSLHDILHGRKGVKGAQPGPVLSWTQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNNPG >KQL03528 pep chromosome:Setaria_italica_v2.0:V:2961045:2966002:1 gene:SETIT_001961mg transcript:KQL03528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLACCGGEDTQRAPDSGGPYSGGYPARNDAYRTADPTPRGAQPVKAQPIAVPTIPVEEIREVTKGFGDEVLIGEGSFGRVYLGVLKNGRSAAIKKLDSSKQPEQEFLAQVSMVSRLKHDNVVELLGYCVDGNTRILAYEFATMGSLHDILHGRKGVKGAQPGPVLSWTQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNNPG >KQL03530 pep chromosome:Setaria_italica_v2.0:V:2961045:2966002:1 gene:SETIT_001961mg transcript:KQL03530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLACCGGEDTQRAPDSGGPYSGGYPARNDAYRTADPTPRGAQPVKAQPIAVPTIPVEEIREVTKGFGDEVLIGEGSFGRVYLGVLKNGRSAAIKKLDSSKQPEQEFLAQVSMVSRLKHDNVVELLGYCVDGNTRILAYEFATMGSLHDILHGRKGVKGAQPGPVLSWTQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNNPGEHSGS >KQL03529 pep chromosome:Setaria_italica_v2.0:V:2961045:2966002:1 gene:SETIT_001961mg transcript:KQL03529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLACCGGEDTQRAPDSGGPYSGGYPARNDAYRTADPTPRGAQPVKAQPIAVPTIPVEEIREVTKGFGDEVLIGEGSFGRVYLGVLKNGRSAAIKKLDSSKQPEQEFLAQVSMVSRLKHDNVVELLGYCVDGNTRILAYEFATMGSLHDILHGRKGVKGAQPGPVLSWTQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVSKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDSRLGGEYPPKSVAKFAAVAALCVQYEADFRPNMSIVVKALQPLLNARASNNPG >KQL05100 pep chromosome:Setaria_italica_v2.0:V:15925633:15925974:-1 gene:SETIT_003459mg transcript:KQL05100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWLVASGHLCGTGVAETAAEGAGVAAHVAVVTEGCGVATMIDDDSEWMWWLPCMRLVGSSSVLAVLSLRRLWDEDSSTQKSCQPWSGLATTMFCGHRVPS >KQL03837 pep chromosome:Setaria_italica_v2.0:V:4884337:4885305:1 gene:SETIT_004779mg transcript:KQL03837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRRGLRSFCHGVASTSTVQQLHGKEQVAAAGSGAGAGAASSSFLTVPPSVVGSCVAETEVSGTGADGGGGGPAVTLEQMILQLDLEEEAARRARRAAGEGEEGWCPRRMSCVDGAAAGGPADHVLRSARDALSQYPRFSLDGRDAMYRASFSGFYEGMGRRDAAGGYSRQRASACCAAGAGCGALACAAAGGYEMDLERTLRMPATVAGESVVWCKPGVVAKLMGLEAVPVPVRGGLRRRKASGHPAAACGAGGGVRKQRLRRTGQEELALNKEKLFMALHGYDVVAAGERHAGALRSGVGPDVSGMANDGGGWEFRLRR >KQL04935 pep chromosome:Setaria_italica_v2.0:V:13177263:13177670:1 gene:SETIT_005472mg transcript:KQL04935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDLADICCTFYFITCNYLTSFVPCVTLSFANS >KQL05556 pep chromosome:Setaria_italica_v2.0:V:25677935:25678144:-1 gene:SETIT_004129mg transcript:KQL05556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAYIILSCTCMFRETCALMCLLFIYLSVCFSVCIVAHRLRIHCFAVDQGYFRISFLIVILNCENLL >KQL07810 pep chromosome:Setaria_italica_v2.0:V:41927169:41931672:1 gene:SETIT_000516mg transcript:KQL07810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASGALPQPRRGRPGPWPPAPPPQPQAQPLSWAKRTGFHSRVSGESLPSASAPNSGQVPLPRPAEAPADLESGPPARPTSALPPPPAATGNGERRQPPPAPPQTRTRRRDSDGVRPNGQAAAPSLPQLQEEEETPERPAHVKYELRDTPGICKYSIKEEKHARAKYFPLVIYGFQHYISMVGSIILIPLVMIPAMGGSADDTAAVVSTVLLVTGMTTLLHMFVGTRLPLVQGPSFVYLAPALAIINSPEFFGLNDNNFKHIMKHLQGAIIIGGAFQVVLGYTGLMSLFLRVINPVVVSPTVAAVGLSFFSYGFTKLGTCIEMGILQLLMVVIFALYLRKIKLFGYRVFLIYAVPLGLGITWAIAFVLTATGVYSYKGCDANIPASNNVSAFCRKHVLRMKSCRVDTSHALRSSPWFRFPYPLQWGTPVFSWKMGLVMCVVSIIASVDSVGSYHASSLFVATRPPTSGVVSRGIGVEGVSTILAGLWGTGVGSATITENVHTIAVTKMGSRRAVGFGAILLLLLSIVGKVGAFIASIPDVMVAALLCFMWAMLCALGLSNLRYSATGSSRNSIIVGLSLFLSLSVPSYFQQYGVHPSTNSSVPTYFQPYIVASHGPVHTGSGGVNYVLNTLLSLNMVIAFLVALILDNTVPGGRQERGLYVWSEAEAAKRESAFMKDYELPFKIGRAFRWVKCVGL >KQL03659 pep chromosome:Setaria_italica_v2.0:V:3771028:3771423:1 gene:SETIT_005637mg transcript:KQL03659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKQLSEDLAMKYLQDKIKSMFQNFCWICLVYELGVLEL >KQL05079 pep chromosome:Setaria_italica_v2.0:V:15513540:15513908:1 gene:SETIT_004107mg transcript:KQL05079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGATFSTLAPSSSSRKLTLTVTLPANVFNSDSKSQIETDLSDDRRHGFHMPFPQGDALLVFCHADNTFVCPVYPSMRHRWRILNEVKDHILGMAKSAPLIGENKKKWNYHHVVARNKAWME >KQL05038 pep chromosome:Setaria_italica_v2.0:V:14584083:14586937:-1 gene:SETIT_004591mg transcript:KQL05038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKDKWAQASDEGGMRWGIMTMNYSESLNAVFKGIRSRSISGIIEYSFEKCNAYFVDRWQKAYAMLDEGHRPGKVADEFISEAELMSVYHLAEMYRLERMVYSIRGCGTTNVGDESHGGRHYRVDVNKVLCTCNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYSRKHTIRIWESSFQPYLDPSQWLPHEGMAAPAYPLLEATYVLQHCTYHLTDLHEDLKPLRARVHSPFRWDERYAHYLQRAGFLDIAVQIVAGVPPMDGPLLTAMVDRWCPKTHTFHLPFGEMTIMMQDIAMILGLSLEGHPVMGIIQNKNWLDMIAMHIGIRPLELEDRDNSKKTFGVSSAWLRGHFNVCPEGANDEVVQRYTHVWLWHFVSTFLLPDAVENTVSWMVLPLLCQDWDNIRLYSWSSAVLAWLYKQLCEACRRTPRDSNVGGCTYMLQIWIWERMPVGRPCRLRVDHVQPVRGNPDRRYRAYTNELDVVTQHQSF >KQL03585 pep chromosome:Setaria_italica_v2.0:V:3298513:3300764:1 gene:SETIT_001565mg transcript:KQL03585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKHSCCYKQKLRKGLWSPEEDEKLMNHITKHGHGCWSTVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQEEEDLIIELHAVLGNRWSQIATRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKPLAEVDRKIAPTISTERTSESSDIDPSSGGALGNLSHLLSETAQSPELLPVLGKHRKETTSLAHLRVPSKELFLDQLVSGNDNLPSCRSTGPIPNFPFQQLMCYSNEFGGKHGGSTNPLWFNQNESSCSTISTVMPPVSPSTLSTSTGLNRSPDNPHSGGTGIQSNQFYWDTTNPSSSSSKGSSGSNSLGFELQSTSSILENSIFPWTDLSPDKNSHLEEELKWPDLLHGTFTDTPATMQNLSQSLYEDVVKAENQFNMEGLCAAWSQNLQPQQHLQVASDLYDKDLQRMSLSFENI >KQL06869 pep chromosome:Setaria_italica_v2.0:V:36187873:36189312:-1 gene:SETIT_001269mg transcript:KQL06869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSHDDKAKKRVVIYAPPPVMGSHLVSLVELGELLAAHGLEVTVVLGGRTDDDQAAAGSFAEGAAAAHPELSFHRLPCVTLPRDVPAHDPVSQAFELARASNSDLREFLRAASPPPAALVLDFFCGSAVDVGAELGIPTYFFFTTSISGLAELIYHPLIHERTTQSLRDLGGTLLHAPGIPPIPVDHLPASYLDRDSLGNRLFLALCEQMCRSQGLIVNSFRSLEPRATDAIVNGLCTPPGRRTPPLHCIGPLVKQVEEPGANRHECLAWLDAQPEASVVFLCFGTMGRFSAEQTRRVACGLETSGQRFLWVVRRPLGEDNGHKPTDLDFDLDALLPDGFLARTKGKGLVVKSWAPQSEVLSHAAIGGFVTHCGWNSVLEAVTGGVPMLAWPMYAEQRMNKVFLVEELRLAVALEGYDKGMVGDEEVAAKVRWLMESDGGRELRERTRAAMRWAKEAISDAGESTTWLLELVRQWKR >KQL07517 pep chromosome:Setaria_italica_v2.0:V:40198810:40201344:-1 gene:SETIT_000518mg transcript:KQL07517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRTVLTSAPSPPGCSSSSSSSSSSSSSSAFAPPAPPSPSDGELLRSLHRLARDLSAAAETPAPFLRAALASITRRSKLLAAAFDDLVMCAAAGDLPRSASLCLREMLLVLQRFKALAADCAVRSRMRLLLQSDEIEEEVRELHQELATLLDLLPVAELGLAEDVVDLLALASRQCRRFAPAAGAEQALKARVLSVIQEVEREIVPERERLEEILDEVGINDAATCSDEIESLEREIGDRASERWADAMIALVGLLRYAKCVLFSATPRPSDSKPDPEVDEEGEPPAPPPDFRCPITLDIMREPVVVASGQTYDRESIARWFDSGKSTCPKTGQVLTVLELVPNKALKNLIAKWCRENGVAMESSQASKSEPAQAVSANKAALEAARMTASFLVKKLSISFSPDAANRVVHEIRLLSKSGSDCRAFVGEAGAVPLLVPLLYSEDAGLQLNAVTALLNLSILEANKKRIMHAEGAVEAVAHIMSSGATWRAKENAAAAVLSLASVHTYRRRLGRNLSIVEKLVHLVRTGPASTKKDALAALLSLAGERENVGKLVDAGVAQAALSAISEEETAAAVLAALAKRGGAEAIVGIDGAVARLVAEMRRGTEWARENATAALVLLCRRLGARAVTQVMAVPGVEWAIWELMGTGTERARRKAASLGRICRRWAAASAADGERGNGCPAASVVPPAMMAS >KQL03806 pep chromosome:Setaria_italica_v2.0:V:4677305:4678941:-1 gene:SETIT_002126mg transcript:KQL03806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKRGCLVLLCLAVVAPLLLAGGVHGHPWGGLFPQFYDHSCPKAKEIVKSIVAQAVARETRMAASLVRLHFHDCFVKGCDASVLLDNSTGIVSEKGSNPNKNSLRGFEVVDEIKAALEAACPGTVSCADVLALAARDSTVLAGGPYWDVPLGRRDSLGASIQGSNNDIPAPNNTLPTIVTKFRRQGLDVADVVALSGAHTIGLSRCTSFRQRLYNQTGNGLADATLDASFAARLRQGCPRSGGDNNLFPLDLATPARFDNLYFRNILAGKGLLSSDEVLLTKSAETAALVKAYAADVDLFFQHFARSMVRMGNISPLTGAQGEVRKNCRRLNGNRY >KQL06941 pep chromosome:Setaria_italica_v2.0:V:36555150:36557395:-1 gene:SETIT_004842mg transcript:KQL06941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKQCLACFQGEWLLMFDGGTNECCLVSLASLSRISLPPLLTPLENLYMCALSSPTLPDCTIMFTTERTKDGGDDDDDDDEERYLVYYRPGDEEWWELLDETDGTYDAISNEIVGCQGTMYVRTNMYTFITVNALLSSFIGASIERRGIPHPSTMRWGHNEHLVESDGDVFLLQFYTHGTTQRSSTWTFIDWTPRDTYVWEKVESIGDRTIFVISSDCVVLSSASRAGIQPGRIHLLHGDCYDGIRLYTIRLDDRTMSCSLLPRSFNSMSWVVPSSLPVELVEELVPRISFIDYLNVRQVCQGWSLISRPTQYGRRYQIYPTLLSICSSSVGVFKLFDPIVEKEYTMKSSSLVPYTMARPEFAGISFSSPPNSPNCIVCSIHKERASNPAYSNTIYVVVWRTGDKELTEKEIGAKQPYFLHGEFYCPGTRGSLGIFNPNNMTWRVLDKPDPILVGDPMPGEHYCHLLEFRDDLIVIFRPHDKGPIDLYKFDKSQMV >KQL06860 pep chromosome:Setaria_italica_v2.0:V:36143275:36147910:1 gene:SETIT_003974mg transcript:KQL06860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGITPRDVCVVGVARTPMGGFLGALSSLPATKLGSIAIEAALKRANVDPVLVQEVYFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATVFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDAMLKDGLWDVYNDCAMGMCAELCADNHALTREDQDAFAIQSNERGIAARDSGAFSWEIVPIEVPVGRGKLPVRIEKDESLDKFDPVKLKKLHPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIRGYADAAQAPELFTTTPALAIPKAIANAGLESSNVDFYEINEAFSAVVLANQKLLGIPSEKTNVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >KQL04478 pep chromosome:Setaria_italica_v2.0:V:9302393:9304214:-1 gene:SETIT_002704mg transcript:KQL04478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGSDEVPGKKARKPYTTTKPREPWRAEEHGRFLDALLMFGRDWKKIEEHVRTKTAVQIRSHAQKYFLKVQKLGLAAGLPPMHPRRRFSMEQQSSAPAAGSSAAAVPLLHEELQRAPVAVPPGGSQFIGAPSFSSTSMEWAGSSTSGGSATGSVQEELIELPLSPDDLHFAQVYRFVGDVFDPNAPIPVEAHLQKLKDMDDITVKTILLVLRNLEDNLSAPQFEPIRRLLSTYDPRRGLSGQL >KQL03868 pep chromosome:Setaria_italica_v2.0:V:5140118:5140581:-1 gene:SETIT_005432mg transcript:KQL03868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLVSVSPRSRPIAGAREILGAAGVGGGRLECNGDHRALKQYQSAISWISRVLCDKIARLCASGEAVLERSYRHEAYS >KQL05138 pep chromosome:Setaria_italica_v2.0:V:16438025:16438823:-1 gene:SETIT_003296mg transcript:KQL05138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYQGQHGHATNQANEYGNPVAAGHGATGVGAAGDQVQPMRDDHKTDGLLRRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGNKDSTGQQHTTTGGAVGQQGHAGATGTGAHGTEGTGEKKGLMNKIKEKLPGQH >KQL04619 pep chromosome:Setaria_italica_v2.0:V:10230252:10232284:-1 gene:SETIT_003222mg transcript:KQL04619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEEITEGVKNLSVAGDAAASGAGNGGEGQKRGGSGGSSNRIQVSNTKKPLFFYVNLAKRYMQQHGDVELSALGMAIATVVTVAEILKNNGFAIEKKIRTSTVEINDESRGRPFQKAKIEIVLGKSDKFDELMAAAAEERGEVKDGEEQQA >KQL07633 pep chromosome:Setaria_italica_v2.0:V:40931483:40936379:1 gene:SETIT_001393mg transcript:KQL07633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIEEVQSTSKKQRIAIHTHIKGLGLDANGAALPLGSGFVGQAGAREGSGLIVDMIRQKRMAGRAVLFAGPPATGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMEHFRRAIGLRIKENKEVYEGEVTELSPEESESTTGGYAKSISHVIIGLKTVKGTKQLKLDPSIYDALIKEKVAVGDVIYIEANSGAVKRVGRCDSFATEYDLEAEEYVPIPKGEVHKKKEIVQDVTLHDLDAANAQPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYIDEGIAELVPGVLFIDEVHMLDIECFSYLNRALESPLSPIVILATNRGICNVRGTDMTSPHGIPVDLLDRLVIIRTETYGPTEMIQILAIRAQVEEIDIDEESLAYLGEIGQQTSLRHAIQLLSPASVIAKTNGREKICKADLEEVSGLYLDAKSSARLLQEQQERYIT >KQL03262 pep chromosome:Setaria_italica_v2.0:V:597883:600074:-1 gene:SETIT_003161mg transcript:KQL03262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPTEGPPAWMAAAARRWLEDAGAKVEGGQDRAFNALPLAGVRVSLAERGRAVCSLRVPAHLTDAEGNWHTGAIAAAADDVCAAAIMSVEGIIKVSVHYDISYFAPAKLHDEVEMDGRVVERKGRMTAVAVEIRRKESGELVAIGRQWMTASRPSGSRSKI >KQL06956 pep chromosome:Setaria_italica_v2.0:V:36676131:36679624:-1 gene:SETIT_004869mg transcript:KQL06956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYLSTLRAAPMPSLFSSQHTRPGHISLSSAVARRNPALSAKTTGSSAAEAAGDRATKLSAWTSVRQERWEGDLAVEGHLPLWLNGTYLRNGPGVWEVSGDGALDHLFDGYAMLVNVSFRRGRATGAHRQLESDAYKAAKEHGRPLLREFGHCPKPANLLDRLRSVVGLVSGRALTDNANVTVLPLGDGRVMCLTETTRGSFLINPYTLGTVGRFRYVDVLGGMNMLQSGHPIVTGSEFWTLLPDLVRPGYLLVRMAAGSNERKVVGRVGCRGGPTPGWVHSFAVTENYVVVPEMPLRYSASSMIRSEPAQFYLFDWLPASGSYMHVVRKSTGKTVASVEVPPFMAIHFINAYEENSENGQAAAVIVDCCEYYADPAMLDALALHRLRSPTNNNAFPDGRVGRFRIPLDGRPFGELESALDPDEHGRGMDMCSINPAYLGKEYRYAYGCSARRPCNFLNALAKIDLVEKTVRNWHDEDAVPSEPLFVERPGATNEDDGVLISIVSDVHGGGYVLVLDGETFQEIARVRLPHGLPYGFHGCWIPGKIRRMSLLSSPDAQQGSGERSLVGTGGGARAVATSHAVEGAA >KQL04532 pep chromosome:Setaria_italica_v2.0:V:9569519:9572484:-1 gene:SETIT_002723mg transcript:KQL04532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSSNFLNDEEVNRDPQTLLMKAHAATSSIGSATVIIAMLEKTGTLKIASVGDCGLKVIRKGQVMFSISPQEHYFDCPYQISSESAGQTYQDALVCSVNLMDGDMIVSGSDGLFDNIFDQEIISIISESPGVDEAAKALAELARKHSVDVTFDSPYSMEARSRGFDVPWWKKLLGAKLIGGKMDDITVVVAKVKKVLVPEDEGGVIEERKVNEQGIAVAEEQKGNEPGIAVAVASAEQSEE >KQL03996 pep chromosome:Setaria_italica_v2.0:V:5788028:5788666:1 gene:SETIT_003667mg transcript:KQL03996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALVWKLPNSMIRHLPGIWNSSPGDSRMKSTSEMNTGAQSCIFNNSLFSPSLSLKRPG >KQL06301 pep chromosome:Setaria_italica_v2.0:V:32435756:32438557:-1 gene:SETIT_001816mg transcript:KQL06301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGEFHFHDELASLFAQRPAPGPGEMMTQQQQAPPASWFADYLHAGAPGAGGMDYDLLCRALDLPVPGDDVVKREMLVVDTGGGGFGAPTPTPSGGGTAPVTPNTTSSMSSSSSEAAGGGGGGAGGFGAGEEDSLKKEEGEGEESKELGKGEDDADKSKKGAAAGKAKGKGEKRQRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCPVKKRVERSFQDPAVVITTYEGKHTHPIPATLRGSTHLLAAQLHHHHHAGAGGHHLGGVFPPPPLPQMGAPPFGRAGVLDVLGLLPPRGAHHGHTVPPAIGLASSRGMSGPMSTVAGATTANASSSPPSLQMQHFMAQDYGLLQDMLPPPPFVHSNGGNIQP >KQL07769 pep chromosome:Setaria_italica_v2.0:V:41642784:41643474:1 gene:SETIT_004275mg transcript:KQL07769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSSPANAEPVVAEEARGTGTTPTVKAEPGGDGALILIKVQSQTAEDVFFRVKRNVKLRRLIDMYCGKHSLHPKAVKFVGPDGRYIRAEQTPEKVGLEDGDEISVVLDQEGGGGAPVHASQILRVLSPIGP >KQL04252 pep chromosome:Setaria_italica_v2.0:V:7666260:7667707:-1 gene:SETIT_005095mg transcript:KQL04252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDARAVADHPEVPVPGGVVGAEGDLAREAASSGAGNAGGHGAAGSESDEEEDIEVIFGCSGDASDGSEFRNAPFLISFLGSLEQEAQTEESERVVAPVGNAGQEDAPGSAQNEDHEVPENPAAIHFELRGFRPARRAIAHAMPPSSYRRLPLRGRKRPNPTRSIVVGDAASGVSFSNAYDPGTSEAASGPPPSASLGSPSATSRSAGVSAMANAGNGDSNEALGSTARRSEMDAAVGKSSPGDRKSGIDAKDVAAAEASSSRRLPSRGRKQRRPDQFISDSEEAASADRAKAHRSNTAPDRFLTSSVRAAPTEQRPEWVRKNITEGSVRDQGQSGNDVLRTASGGVPEEPDGSARILAVAAILGAALALSVVSGVLFYIVGQQTASGPRDSHKEK >KQL07120 pep chromosome:Setaria_italica_v2.0:V:37763765:37772094:1 gene:SETIT_000110mg transcript:KQL07120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPAAEPPPKKRKLVEAQDPSPSPTSVPATPPSPAPPPPPETLAAAAPSTSSQPSAETASLPSPSEEEKLQKRRNREELSKALMHYRRIRDYIGQRKDCGLTPELEQDYLDLISASRGCESVQCFLSLPIPRFASHCPTALEAATKVTINMYKCNMATVTGGKGSSGIAYKTVRACIVGLTDICSAASSEAPKSPVIKGICSAVYRTVLSFFISTFEGKDIYRMDSKKCLMLQDPVKLLETLKLELNNAKQPVFDSLFELGALCLLCIFLLFPENILEACFTLLASAESDDVKGEGLYFLNQLTCHLNSNAANDSLDDKIDGQSSGTEGNLPYTKKIVRSNNSSDDNVDLENSMVESNECYITMAISRHPSLRHWILSRYKKLCDSCKPAVVSEVSSCLKVLGSLSEPVEDKSDIGNGSSVLEKLDKNVRENMQPDELVSSSGQGALSKTEKKDSYGDKTSQDKNMDMVHADNQKSDRLADAKMDYCKGVSVVADTAHQGTRSDSVTPKSVYDSAGGSTSLTSPGQHYGKAKHIYSEPFDIYGPSVKRDVISVSKELWVGLLGNRAAEALVRSKFEEFGPLANFLFYPSKDFSLVEYRNIMHALRACGYMQGSSIWGGFLQIRYLDRLIGSKGFIHGIAIGESRHIYVAKVQNQKDKDEVFDELKAAGLKRPCGVTDLSGENALLLEFETAVDAATAKVYIRSQAPADVCSRDKNAPGHQLLVQNIDNSVPDMELINAFSRFGEVIRCQFNRSDGNCFIVYRSQDAAACAKSHLHGARFGMKSIVIESRTWSAGSVHDKTVLPVAPLLGQSFPDNSIHQDIRHPRVSGYHAGYAAPGGRPIYGPPPPNTNRAPQGILPCPPVSTHRGSVIPPPPIQTSFVRPVYHGPGSPWENTTPNPPPFSHVSPRMMPGSNFRANPTLPFIPSSVTPIVQLPGGSAQHSEKMPPPPPLPNVAPPQFTPPPPLPISQPPSVPPPPNSPPPVQPIADSSDFQNPCPHPRWQGFLAKSSMNYCRVYASRVELDACRYENAVSEPAEWPEKLDMTKRTDFQHVKTTFSNTPPSKREVCRLLPCSDGDQKGFQDFISYLINRECAGVIRVPAVNSMWTRLLFILPPTPDACGMLALPPHPSDCIIVVILPKETTAEAA >KQL05274 pep chromosome:Setaria_italica_v2.0:V:20435340:20436694:-1 gene:SETIT_004040mg transcript:KQL05274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKGSPKLNVIARGSPKLSRFLPTTSAIKKKPSPTCSGAKRSGGKQRADWNPTLEKSLVEILHEYKDSGYRSDNSWNTEGWNKMVKEFHLRNKSVSYTKAQIQDKECQLKRDYKMLKAARMQNGSKWNEQRNMVEGSASMWENLIVTFPKIKKFQNNKASFPLFDALGELYDGHLAEGTYNFTSIESEHVEEPLQQIDVVEEEAEEEALQEIHEIRDEEDEEKDARDKEEEARSGQRRMTASRKKPEKEGQRPRKSAKIEAMMERFLEMRTKQAEDEAQQLARENETREKEARDKEAAKGDEYSIKRCISIINKMEVTKQETAKAYAIFTKSKENRETFICASEEDEESALIWLRNEMV >KQL04656 pep chromosome:Setaria_italica_v2.0:V:10480751:10481710:-1 gene:SETIT_003305mg transcript:KQL04656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIINKHAGSSSYGGEGKRILKQDHKAQVSGESTHDRPPGSFYTTRATGLSSGRGSGHMYRSAMHHTLRPPQGMPSPRARSEYYGERGTGIAFSPPGNFEHQLEGQNYHPRIGPEDCRDQIQDTVLWPGEEPPTKG >KQL07245 pep chromosome:Setaria_italica_v2.0:V:38634864:38637449:-1 gene:SETIT_004151mg transcript:KQL07245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMKLGSKPEIFVLEDLTWRCTTELESDVVVEVGEMSFYLHKFPLLSRSGVLQRMISEYQPPSDGGGMCTLQLDDIPGGAKAFELAAKFCYDVKIELNALNVVCLRCAAEYLRMTDDYAEGNLITQAESFLADVLANWKDSIKALETCEGVLPTAEDLHLVSRCITALASKACASDTAAPLLRNASVDKDALWNGIRSGDTASSAAAASGMDWWYEDVSFLSLPMFKRLIQAMEAKGMRPESIAGAIMFYAGRFLPGLKRNTSFSNALASYGADGGGGGGGMSSRNITPRAASVSAPSEGDQRYFLEEIVALLPTKKGVASTKFLLGMLRTAMLLHASPLCRENLERRIGAQLEDASLDDLLVPNLGYHVETLYDIDCVQRILDYFMSSTDGIGTGYTSPALAEDGGGSLGVPHGGTPSTSLSPITMVAKLMDGYLAEVAPDTNLKLPKFQALAAVVPDYARPVDDGIYRAIDIYLKSHPWLSESEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTSIAGWFFVSENAAGGDGARPHPGGAIVPKGATAAVAASAQVEVDSDAEDDAPEGKETITDVKERVSELEKECKSMKQEIRRLGKPRRSWSLLTRKCGFGAKVQQAQPAMSGK >KQL07528 pep chromosome:Setaria_italica_v2.0:V:40330695:40334978:1 gene:SETIT_002804mg transcript:KQL07528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAALRSSAARRLLRLAPAASSALSAASRPAARLAPLSRPICALSGGNNPISWNLRRFFSSNEKHLPAISDPEIECAFKDLLAASWNELPVSLVEEAKKAVSKATDDKAGQEALKNVFCAAEACEEFGGTLVTLRMALDDLCGLTGENVGPLPGYIEDAVKAAYNRYMTYLESFGPEENYLRKKVETELGTKMIHLKMRCSGIGSEWGKISLIGTSGISGSYVELRA >KQL04775 pep chromosome:Setaria_italica_v2.0:V:11673153:11677129:1 gene:SETIT_002049mg transcript:KQL04775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDQSLMERVDRPSMESPISRTDLNCISLADPDIQNSVTLLKQACLDSGFFYVVDHGISQDFMDEVFAESKKFFDLPHSEKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPADNPEANKPFYGPNQWPSEEVLPKWREVMEKYHSEALRVAKSVARIIALALDLDVDFFDRPEMLAKPIATLRLLHYEGGQKTGRVSNPAKGVYGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGGFIVNLGDMLERWSNCIFRSTLHRVVLDGRERYSIAYFVEPSHDCIVECLPTCKSEANPPKFPPITCSAYLSQRYKDTHADLSAYSDGKA >KQL04774 pep chromosome:Setaria_italica_v2.0:V:11673153:11677129:1 gene:SETIT_002049mg transcript:KQL04774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDQSLMERVDRPSMESPISRTDLNCISLADPDIQNSVTLLKQACLDSGFFYVVDHGISQDFMDEVFAESKKFFDLPHSEKMKLLRDEKNRGYTPMLDEILDPENQVNGDYKEGYYIGVEVPADNPEANKPFYGPNQWPSEEVLPKWREVMEKYHSEALRVAKSVARIIALALDLDVDFFDRPEMLAKPIATLRLLHYEGRVSNPAKGVYGAGAHSDYGLITLLATDDVVGLQICKDRNAQPQVWEYVAPVKGGFIVNLGDMLERWSNCIFRSTLHRVVLDGRERYSIAYFVEPSHDCIVECLPTCKSEANPPKFPPITCSAYLSQRYKDTHADLSAYSDGKA >KQL03551 pep chromosome:Setaria_italica_v2.0:V:3095939:3096308:-1 gene:SETIT_005234mg transcript:KQL03551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRRKTTCQGIIVTYTERQIQHMSVPEGTLHSSTGLAMGGGITSRCGAGCVDPTHT >KQL06784 pep chromosome:Setaria_italica_v2.0:V:35579317:35581113:-1 gene:SETIT_003304mg transcript:KQL06784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSKASTAVTLRTRKFMTNRLLSRKQFVLEVIHPGRANVSKAELKERLAKVYEVKDPNTIFVFKFRTHFGGGKSTGFGLIYDNLEAAKKFEPKYRLIRNGLATKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >KQL03478 pep chromosome:Setaria_italica_v2.0:V:2603812:2604531:1 gene:SETIT_003137mg transcript:KQL03478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPRSNPGPAAVLPPPLGRRMATRRDGDGGDGCVSPPRPALLIAAGTAAVAVSPSLAAASPRKRGEALRLGASSARLVCVMDQPFGESASRRRRLASVGFFQAGSGWRCRWSCRRRRHRSARRNPSMVSLGGAVARDGRQQQRGAAQLLQLYLLDARFPGTQTRRW >KQL07529 pep chromosome:Setaria_italica_v2.0:V:40333961:40338882:-1 gene:SETIT_001364mg transcript:KQL07529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKNPPFSAMPNAGESVTPEEAPLLPEHAGSPGAQAGGSPASVLGAVFNVSTSVVGAGIMSIPAAMRVLGVAPAVALIAGAAALADAAAGFMLRYTRGAPSYAALMGDAFGRAGAGLLNVFVAANALGTLTVYLIVVGDVMSGAAGGGDAHAGVLQEWFGRHRWTDREVVLVAVAAILLPLVLRKRVDSLRFTSAISIMLAVVFMLISLGIAVYALLKGTATMPRMLPDFSRLSSPFELFTAVPVIVVAFTFHFNVHPIRAELSKTSDMKAAVRISLVLCAAIYAAVGFFGFLLFGDATMADVLANFDRSSGAGVPQALNDAARLSYALHLVLVFPLLFFSLRVNVDELLFPGRRPLATDTRRFVSLTAVLMAVLYALAIAIPSIWTLFEYSGSTFAVTISLIFPGAIVLRDVHGIAKRKDKALAATMIILAVVTSSIAIASNIMSSISDKVREGHDS >KQL03693 pep chromosome:Setaria_italica_v2.0:V:4118988:4123886:1 gene:SETIT_001063mg transcript:KQL03693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRHLCFLIGETGRNPNAAAQLAPQPSVRGGSHSQPAPLLFFLVPSPSESSKASRTQRAAAMASPDAEQPAPTEPARWRDLDMLLSRPGNLVEASFDPSPTLRDLLGSLVEVLVVGAGGLGCELLKDLALSGFKKLHVIDMDTIDVSNLNRQFLFRVQDVGKSKAEVAAKRVMERVSGVNIVPHFCRIEDKELEFYSQFHIIVLGLDSIEARSYINSVACGFLEYDSNDNPLPETVKPMVDGGTEGFKGHARVIIPGTTPCFECNIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHSGKPFDADDAEHMQWIYSEALKRAELFGISGVTYSLTQGVVKNIIPAIASTNAIISAACALEAFKLISGCSKSVSNYLTYNGLEGTHIKVTDFVRDKDCLVCGPGTLIELDTSSTLAEFIKMLEEHPKLRISKASVTHEGNNLYMQSPEVLEQMTRPNLSVPMFELLKGVPCATVHATGMAENNGKKVSSLRKLRVAFKGVEASNMDTTESS >KQL03849 pep chromosome:Setaria_italica_v2.0:V:5006695:5013866:1 gene:SETIT_000060mg transcript:KQL03849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNFHVYEAIGRGKHSTVYKGRKKKTIEYFAVKSVDKSQRSKVLNEVRMLHSLDHANVLKFYSWYETSAHFWLVLEYCVGGDLKGLLEQDKKLPESSIHDLAYDLVKALQFLHSQGIIYCDLKPSNILLDEFGCMKLCDFGLARRLKDIEKTNPGDVPQPMKGTPCYMAPELFREGGVHSYASDFWALGCVLYECYTGRPPFVGREFTQLVKSIISDPTPPLPDNPSRSLQNLIDCLLMKDPAERLQWSELCEHNFWRTSIAMIPLPPQPAFDNMIELSATPYLAERNGDKPSRQLTPPKHREHNGLRKKDENSTKGFVTPVKNVQSGKRNSAKPKADGFKGVNILRMSRIAKLNLQREKDKENYRRPPAETSENETEVKIENNDMELDFGENPEGDAPDDTDGSDHPGPAAHEKPQATNGNEENCMANQVDMLTDEGLVKPDIMTKTEQNSCSDNLDVVATPPSICMRKAQRAKVTPCSATGSEPTNIFEAFWHPTDLAVKPVMPSRKADKAVDTVPTLPFEALTACDYIKLPQEQLNAFNSQILQSLSGTFQVSEKQNTIRYLEMLSMNSDAANKITNGPIMLLLIKMLRLSKTAVLRVQIASLMGLLIRYSTALDVELASSGIVNALSDGLRDKHDKLRRFCMATLGELLFYISTQSDQDTKEINTQESPLKDNRPATSWQVPSAVIALVSSILRKGEDDLAQLYALRTIDNICSQGTEWTSRFASQDAIGHLCYIYKATGKQESTRLIAGSCLARLSRFSPSCIHLILEKISFKDIASTLIKGNPREQQISLNILNSALVNSQTVTNMNRYILSLSDDKQLVPGLISLIEQGTDVLRGKALLFVALLCKNSRRWLPHFFCNAKLISAVDRLGKEKEGFIHQCTEAFVQLVASLVPAILDTVCSDIQQVLAGKRHGPVTALAGRAHPKSTIHLFPVILHLLGSASFRHRVMTSHVLLQLANLIKILEAPFQARDDFQMTLLRVLEAATEEPSVILNEHKIFTSRIIPSLSILYKGNKDGDARFLCLKILSDVMIVIFSDSSLTADEQTISDLKLISQKHFLPLYPSFAEDEDPIPIYAQKLLVMLMEHDCVKVCDILHKATVSQCFEFLLGDLSNTNVSNVKLCFALASAPEMDTHILSQLQVVRRIGNLLEFVAAKDMDDFLEPTLELCRAFIIRGIGSNRSAALSQNPALLVDSAFSMSIAVDQQTCVMDICDFGGNMGIFLELVGSSDPQISDLASDCMVLLLKAAPREATVGLLTNLPKLSAVMDLLKHDSSLRLTRLLYGLGFSCRQYLAQGMILSISVSALMRVEALVSAFKGSNDNFLADAASYLGAELQRLPRCG >KQL06381 pep chromosome:Setaria_italica_v2.0:V:32936430:32940120:-1 gene:SETIT_002004mg transcript:KQL06381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRQASTLPAPPPERGEMAEVVEADPDAEDQEERWARLLPELLSDVVRRVETSGAERWPARKDVVSCACVCRRWREAAVAVVRPPAESGKITFPSSLKQPGPRELPMQCFIKRNKRNSTFLLYLGYANSPMDKGKFLMAARRFRRGPHTEYIISLDAEDLSQGSNAYMGKLRSDFWGTNFKVYDSKPPYDGAKASSSRSSRRFGSRRISPQVSAGNYEVGQVSYKYNLLKSRGPRRMYCALECPSAQETWENSLKTKFRKPMGPTALRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAAANPSDPAGTVDEETVLLQFGKVDDDMFTMDYRQPLSAFQAFAISLSSFGTKLACE >KQL06074 pep chromosome:Setaria_italica_v2.0:V:30483011:30485810:-1 gene:SETIT_000252mg transcript:KQL06074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNLPKAAKRIGVKFLSVASAECFGRDISPMHFASLLKECRSLNIVRQIHQKIIALDLLSCPASLLSVSLSPLPSHSYILPKSLGTGVVASYLACGATSDALSVLERVTPSPAVWWNLLIREHIKEGRLDRALGVSCRMLRAGTRPDHFTLPFTLKACGELPSYRCGSTFHGLICCNGFESNVFVCNALVAMYARCGSLDDASLVFDEMTWRGIDDVISWNSIVAAHVKSNHPWTALDLFSKMALIVHEKATNERSDIISIVNVLPACASLKALPQTKEIHGYAIRNGTFPDAFVCNALIDTYAKCGSLEDAVKVFNAMELKDVVSWNAMVTGYCQSGDFEAAFELFKNMHKENIPLDVITWSAVISGYAQRGCGQEALDALRQMFLYGSEPNSVTIISVLSACASLGALSQGMETHAYSLKKCLLLLDNHFGGDGDGEDLMVHNALIDMYSKCRCLKPARSIFDCIPRKERNVVTWTVMIGGYAQYGDSNDALKLFSEMISKPYAVSPNAYTISCILMACAHLSALRVGKQIHAYVTRHHHYEASVYFVANCLIDMYSKCGDVDTARNVFDSMPKRNEVSWTSMMSGYGMHGRGNEVLDIFDKMQTAGFAPDDISFLVLLYACSHSGMVDKGLDYFDSMRRDYGVVASAEHYACVIDLLARSGRLDKAWKTVQEMPMEPTAVIWVALLSACRVHSNVELAEYALNKLVDMKAENDGSYTLISNIYATARRWKDVARIRLLMKKSGIKKRPGCSWVQGKKGTASFFVGDRSHPLSPEIYALLERLINRIKAMGYVPETNFALHDVDDEEKNNLLTEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHIAFTYISKIVDHEIIVRDSSRFHHFKKGSCSCGGYW >KQL06835 pep chromosome:Setaria_italica_v2.0:V:35957675:35958961:-1 gene:SETIT_003665mg transcript:KQL06835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCLRPGDWSLNQGVYYVGELQELDGSSLQSRSGIEGRALYQGSSYAEDAFRPNLRDRRL >KQL03241 pep chromosome:Setaria_italica_v2.0:V:484657:486142:1 gene:SETIT_004998mg transcript:KQL03241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCLELLQVRDAISTRPARERYANRVTGLVSRMPFDGVDDDVGHGIHGAVAVPAGDVGAEEPWAAGRQRGERPDVVGVDGVEQALLRGQYVRARGGVVVHRRRSPLWCRDGRRLPEAAGQVVLHVCAVEREVEDAPELLLVILCGCRWWYRDCGRTAVAGAGRDHQRAIVAARRSVPSSPLVAAGTGGAVRPAPELHAHRRRGRRPLAGQEVHDRRHIAGGERLRPLQLGHDGSEQAGGVLRRHEPRHDAGRGVLRLDRGDPEGVAEVAAVGEQTPPPPSGREAVVADEGDGEGEVLGGDVEVAAALGAAEVAEVGGHEAAEAVEGSVGDVPPPLAAPAHPELPLRRRELQLLLLVVEEQADGVEDDVGDGVGEAHAEPAGAEAAVQPRHLLEGQTPRRLRVEAVDEPPLDLVGARDRGLVDGRPLALLAVEARQAAATGEAFRFRGRRRHGADGWIDFGIGVSALAGFGVGCGMKKRRQ >KQL04987 pep chromosome:Setaria_italica_v2.0:V:13863211:13864306:-1 gene:SETIT_004026mg transcript:KQL04987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQQQEEISESHVQLLPDEMLEDVLRRLAPRGVAVSHCVCKAWRAAIDAHKLLRPELLPRSLGGIFVDFNMLVRPEFLSSSSSSRVSGDLSYTPRPKTPVVDHCNGLLLRSSDVVVNPATWQWAYLPPRPPPHMSTAGAGVFLMPFVAPRARLDDAMAGSEWPPSSCETHVFSSRTGRWEERSFAREGEPAGTVAAMKRAFAMEKRYSVYWCGALYVHSQNDFICRVSVADGKYQVIKPPAENDDDHPQAPYLGTSERRGVLRRTRSQVLTAPGSSGEMQWVLKHQSNNLQQVLASQDSYHQQDDGACWCFKDINHYAEYPEDDGDEEEEPVLTDHSY >KQL06471 pep chromosome:Setaria_italica_v2.0:V:33700878:33703521:-1 gene:SETIT_002559mg transcript:KQL06471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHFALMTGRLITESTLRSAVHESSADAAVPSTSDGYEHTDPSVVAEDVQLCVGKAKSGVMVECRICQEEGDEAYMETPCSCKGSLKYAHHRCVQRWCNEKGDTICEICLQQLTPNYTAPLKLFRHGRNLINFRRAVERRENHGASYGHTLDQSDGASSFDSQSSNPKNVIYCRVFAVALMALLVLRDAIFLILRSHKVCSIELITLLMFRTAGIVIPVYIILISITALLHRCNQRQVVHETPVSEPRGAGGLQPMPPQQHIINIR >KQL06472 pep chromosome:Setaria_italica_v2.0:V:33700878:33703875:-1 gene:SETIT_002559mg transcript:KQL06472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHFALMTGRLITESTLRSAVHESSADAAVPSTSDGYEHTDPSVVAEDVQLCVGKAKSGVMVECRICQEEGDEAYMETPCSCKGSLKYAHHRCVQRWCNEKGDTICEICLQQLTPNYTAPLKLFRHGRNLINFRRAVERRENHGASYGHTLDQSDGASSFDSQSSNPKNVIYCRVFAVALMALLVLRDAIFLILRSHKVCSIELITLLMFRTAGIVIPVYIILISITALLHRCNQRQVVHETPVSEPRGAGGLQPMPPQQHIINIR >KQL06473 pep chromosome:Setaria_italica_v2.0:V:33701371:33703875:-1 gene:SETIT_002559mg transcript:KQL06473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHFALMTGRLITESTLRSAVHESSADAAVPSTSDGYEHTDPSVVAEDVQLCVGKAKSGVMVECRICQEEGDEAYMETPCSCKGSLKYAHHRCVQRWCNEKGDTICEICLQQLTPNYTAPLKLFRHGRNLINFRRAVERRENHGASYGHTLDQSDGASSFDSQSSNPKNVIYCRVFAVALMALLVLRDAIFLILRSHKVCSIELITVRHWNLISHFLLNPNLYAN >KQL06114 pep chromosome:Setaria_italica_v2.0:V:30768411:30772035:1 gene:SETIT_004792mg transcript:KQL06114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIREICTLPTGTKGQFSTQSCWPVQMSTHGRTAHESRPHPHTDFSKIQFSFFYGRLEQRGRGSPGKEAMASSAPGRGGRRPISPAAAAAAVVVMVLVGAEPAAACYQRLFSFGDSLADTGNFRFYYGNSSGEPALRPPYGETFFRRPTGRFSNGRLVLDFIADTMGLPFVRPYLSGRRAEDFACGANFAVGGATALGPDFFRDRGFNIGDGRVHLDTEMKWFRDLLDLLCPGGRSDCSDMMGQSLFLVGEIGGNDYNLPLLSRLPIEKIRSFTPSVVAKISSTITELIGLGAKTLVVPGNLPIGCVPRYLSIFRSDNKEDYEPESGCLRWMNEFSKYHNKLLVEELEKLRKLHPGVSIIYADYYGAAMEIFLFPERFGIEEPLVACCGGEGTHGVSPAAACGYGEYKVCDNPDKYGSWDGFHPSEAAYKAIAMGLLRGTYTQPSIASTTSSCPKLTELVSSVEYKRRGTVLHFTAVAQVIGWVLFYLFFF >KQL05125 pep chromosome:Setaria_italica_v2.0:V:16208928:16209852:1 gene:SETIT_0025041mg transcript:KQL05125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GADSSHESVDALEAAKALALSSGSVVAVSGAVDFITNGEQVVGASNGVPMMQKITATGCAVTALIAAFVAIEPSDALVAAACALAIFGLAGEIGMESSKGPASLRMHLIDTLYCLDEETVTSRVKIALRP >KQL04586 pep chromosome:Setaria_italica_v2.0:V:10075363:10078718:-1 gene:SETIT_003843mg transcript:KQL04586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGATSTPTPEVSPVLLMPQSPEPEVNGARVTPLQHVSPETMATPDPERTYLHPGEERVREKMSMRCGCKAFVKIKWNQKKDYWFFERIRLEHNHPLHPSPTVTQFLRIQKDKDPIVMGIVDQMHRCDASHNTTVNVLAELYGGRQNFTFTEMDLRNRKAATARDERENDIPKLLEFFREMKAHNEYFYYELQDEQANTYEWLFGAFKNCMSGGRDPRCILTDQDSSMAAAIKKVFKQTQHRLCRWHMLKKYKAELKKLYKIHDGLKIKLVTVINHPLTPTEFEFAWNELVDEYGIREDDTIQGLWDSRKLWVAAYFKPLCCGRMTSTQRSESVNKMIKGSGFTGHMTCMSKFARRMLDFIQHTNHTAGGETHWSQAGNWRLTLQPFDGHLSRVYTRAVYKKYRETYIYSTAFRIDPHPNEVDVYLVTHTDQSWQYAWFQHSFRVEADVRSEYIMKRYTRGARTMVPWDRHDIVTSVPGCESDQYKTKKLVEIAMAAVRACRKTSLGFEKGCEQLSALVEWGESIAKGTGASHVGDHTEEQSDVIPHTIGEPAASLAEQDSAVDTAVQISECAPREARTKGRKRGGRQVVNEHASSSKAQGQRTCGYCGSLGHYSTGCDLNPDNINKKRGAGGSLRGKMGRKRGRPPTKRQLEDEFNGVA >KQL05527 pep chromosome:Setaria_italica_v2.0:V:25394005:25398346:-1 gene:SETIT_000345mg transcript:KQL05527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERASRDSVRAFSTSPCVRKSTRCGHTLFIQRAGFASPTPIQAQSWPIAMQSQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNSTRNGPTVLVLAPTRELATQILDEAVKFGRSARISCTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRVSLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPHRRQTLMYTATWPKEVRRIADDLLVHPVQVTIGSVDELVANSAITQHVEVITPSEKLRRLEQILRSQDSGSKVLIFCTTKKMCDQLARTLTRQFGASAIHGDKSQSEREKVLNHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYAADLIKILEGANQKVPRDLEDMASRGGGRGRKRNRWASRPDRGGSRSELDSRYSGRDASGRSPRGGRGRDDYGGRGRHDYGETDGRSRRSARGRSRSRSRSDSRSRSPSPKRARRHEATRSRTKSRSRSRTKSRSRSRSRSYTRNRRASRSRSRSPVGSRRYETAAAVSGSARPNSGHSEHKSPPRSQSGNDHHVNHSEDDHHMEDGKMEKVDLDRSPSPQDDKSAPYSPVYNGKASGSKSPNGQPDGNAKSVEASEKPNPASPVRHSKSREDEEEGVIDEDGEIAEDDPRSSAAATQNGDN >KQL05528 pep chromosome:Setaria_italica_v2.0:V:25394005:25398962:-1 gene:SETIT_000345mg transcript:KQL05528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSSGRAAPRYAPDDPSLPKPWRGLVDGTTGYLYYWNPETNVTQYEKPMPPEDQLPPPPPPLPPPPPRSRDRRDRSRSRSRTPPRRDHRDRDRDRDRDRRHDEHASSKSASSHHHPAPAAVADDPSTEAYRRRHEITVTGDNVPAPMTSFEAGGFPPEILKEIQRAGFASPTPIQAQSWPIAMQSQDVVAIAKTGSGKTLGYLLPGFMHIKRLQNSTRNGPTVLVLAPTRELATQILDEAVKFGRSARISCTCLYGGAPKGPQLRDLDRGVDVVVATPGRLNDILEMRRVSLKQVSYLVLDEADRMLDMGFEPQIRKIVKEIPHRRQTLMYTATWPKEVRRIADDLLVHPVQVTIGSVDELVANSAITQHVEVITPSEKLRRLEQILRSQDSGSKVLIFCTTKKMCDQLARTLTRQFGASAIHGDKSQSEREKVLNHFRSGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFCDQDSKYAADLIKILEGANQKVPRDLEDMASRGGGRGRKRNRWASRPDRGGSRSELDSRYSGRDASGRSPRGGRGRDDYGGRGRHDYGETDGRSRRSARGRSRSRSRSDSRSRSPSPKRARRHEATRSRTKSRSRSRTKSRSRSRSRSYTRNRRASRSRSRSPVGSRRYETAAAVSGSARPNSGHSEHKSPPRSQSGNDHHVNHSEDDHHMEDGKMEKVDLDRSPSPQDDKSAPYSPVYNGKASGSKSPNGQPDGNAKSVEASEKPNPASPVRHSKSREDEEEGVIDEDGEIAEDDPRSSAAATQNGDN >KQL06814 pep chromosome:Setaria_italica_v2.0:V:35801411:35803586:1 gene:SETIT_001968mg transcript:KQL06814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRQLACLVAAVSLALAAVARCDPQVPCYFIFGDSLVDNGNNNYIVSLARANYPPYGIDFAGGPSGRFTNGLTTVDVIAQLLGFDNFIPPFAATSGDQLLGGANFASAAAGIRAETGQQLGGRIPFAGQVQNYQTAVQTLVSILGDQDTASDHLSRCIFSVGMGSNDYLNNYFMPAFYNTGSRYSPEQFADALIADYRRYLQVLYNYGARKVVLIGVGQVGCSPNELARYSADGVTCVDRIDGAIQIFNRRLVGLVDEFNALPGAHFTFINAYNIFDDLLANAASYGFTVTNAGCCGVGRNNGQVTCLPYQAPCANRDQHIFWDAFHPSEAANIIVGRRSYRAESPNDVYPMDISTLASI >KQL08636 pep chromosome:Setaria_italica_v2.0:V:46400168:46400774:1 gene:SETIT_005480mg transcript:KQL08636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGTQTAAAALFGAAPAVPTDVLARAFQTDGGVVESIKSKFPDAV >KQL08023 pep chromosome:Setaria_italica_v2.0:V:43212131:43212680:-1 gene:SETIT_005286mg transcript:KQL08023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLILASNKLAASRQVVIAFSREGDYVGCKASQRKKKNVRFKDWARAGVFKPVLHNLHKPDCGENKLIVNTMQFTFPPIWFGLVCASPV >KQL06655 pep chromosome:Setaria_italica_v2.0:V:34826014:34828215:-1 gene:SETIT_005149mg transcript:KQL06655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSRGGSGEVIFTREDHGTEEQEEEEEQDEEERCLAKKSGLNGDEELLVVLKRFRRNWTRSMSPYVGPVDATTTEDSGPMLYTDSGPPRIGGIPYDAMEIFSLRLTQIEGGLEWPLHVYGFVAVRDSMDYKRNILFHRSKNNCQVLTAEDPFLVLTGPSRAIALIDPPEFQVELYVIGRMLPEEKVRTLRRTTKRRSTIELKFAHMCIPLEATIEIYHCGGRSNFHGRFFAQMDYMDKDEIVLLDSQESKVTILPDGRILLSRRVVPDEEGDELRLGARASQSRVGRNSVEDVAKCHAKILGKSDGEFNVGFCQMSVSVAWSVLV >KQL06183 pep chromosome:Setaria_italica_v2.0:V:31460840:31467692:-1 gene:SETIT_000796mg transcript:KQL06183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQVVAASGTAAVAAARPLGGGSGADGLRPSPRLQLGACASRERWSGGAVAARGRRESQMVSVISRAPRTEPEVLPVSPDDDAAVKEEANFQHLKAIQQLATAANGVWSKPNVRRKTKIVCTIGPSTNTREMIWKLAETGMNVARLNMSHGDHASHQKVIDLVKEYNASHTDNVIAIMLDTKGPEVRSGDLPQPIFLESGQEFTFTIKRGVGTDTCVSVNYDDFVNDVEVGDMLLVDGGMMSFLVKSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVDNQVDYYAVSFVKDAQVVHELKDYLRSRNADIHVTVKIESADSIPNLHSIISASDGAMVARGDLGAELPIEEVPLLQEEIIRMCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVALRTEATIPGGETPADLGQVFKNHMSEMFAYHATMMSNTLRTSIVVFTRTGFMAILLSHYRPSGTIFAFTDQERVRQRLALYQGVCPVQMEFSDDAEKTFGDALSYLLKHGMVRDGEEVALVQSGKQPIWRSQSTHNIQVRKV >KQL04141 pep chromosome:Setaria_italica_v2.0:V:6806616:6808992:1 gene:SETIT_000714mg transcript:KQL04141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSASFHHSVTFQAFTVLCVLAALVAEVGGRHHPRHQCPPFSCSGLTKVSPPFRRQGDPAWCGVPSYELNCSDTMATIQINTGTYHVVHVDYTNTPETLRQPSFRVVDANLDLHSSCPLPRGDQLPYLYGRQWSDGHGVDLVLAPAESVVWATFLNCSQDVRNGNDSYIPVDCLTTNSSFVYVLLRNTWPSYVRDLEASCGYLATIPLGDRPENASFADFVRLMRYGFDVQFPVVRDSEYITWGTIILDETYGFVLAPLVALVFLAYKFWKTRITIDAVEKFLLMLQMLGPIRYAYTDITAITSHFKDKLGQGGYGSVYKGVLLPGDVRVAVKMLGASNCNGEDFISEVATIGRIHHVNIVRLMGFCSEEMRRALVYEYMPRGSLDKYIFSSEKCFSWDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKLYPRDSNFVPKSAIGGTMGYIAPEIVCRGFGVISSKSDVYSFGMLLLEMAGGRRNADPNAANSSQAYYPSWVYSRLTQQEVGEISDTIDMHDLEKKLCIVGLWCIQMRPHDRPAMNQVIEMLDGGVDDLEMPPRPFFWDDDERTPAAGSYYLSSELNTIEEDE >KQL05558 pep chromosome:Setaria_italica_v2.0:V:25691311:25692304:1 gene:SETIT_004137mg transcript:KQL05558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSRKKCWKKASSGGQLIGRRIFARIKLLCSLNPDQNTTSHDGQIRFLVYVLENAILSLHEGQEKIVWLIDFTGWTMAHATPIKTARECTSILQNYYPKRLATAFLFNPPKVFETFYKAVKYFLDPRSIEKLNFVYLKDEEGVKVLYKCTDPEVLPVEFGGRKNVVFWADDAKPVNHVARGTLVADITPQSSLIVAKAS >KQL08615 pep chromosome:Setaria_italica_v2.0:V:46316142:46317213:1 gene:SETIT_002801mg transcript:KQL08615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPVKVIGHIASPFSHRVEAALCLKGVPYELIQEDLFNKSELLLTHNPVHKKVPVLLHGDRAICESLVIVEYVDEAFEGPPLLPIDSYDRAMARFWADFMDNKLLKLFWLAHWTEGEVQKGITKEAKESLALLEDKLRGNRFFGGDTVSYLDLVYCWLAPWLSVVEEVTGVTVVDESEFPAIRQWEKEYNSCEALKPCMPDRDQIVAYFIESKESYKMFANAWAAQQ >KQL03429 pep chromosome:Setaria_italica_v2.0:V:2316957:2317496:-1 gene:SETIT_004722mg transcript:KQL03429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTCLDGFAYQNGGRMRRYIEPTLYHVSRMVSNSLAMAKKLPGAPSPSPSSAQAETKTEATAAPRQPFVGYGQMVKGFPRWVRPGDRRLLQAPASGIKADAVVAKDGSGNFTTVAAAVAAAPTNSRRRYVIYIKAGAYIENVDVGKKHVNLMFVGDGIGKTVIKGSRNVIDGSTTFRSATV >KQL06935 pep chromosome:Setaria_italica_v2.0:V:36532227:36537041:1 gene:SETIT_000463mg transcript:KQL06935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQDGADEPSARAPLLPPPAPRRAAARLHPLPLIVAAAFVASFRFVFLAPAPSYYQSLFLSLGSNDTAATHLRALTQRPHVAGTRANSLTAAYVHDALSSHSFPTRLTPYSVLLSYPAHRSLSLTASGRDTVHFALEQEIYPGDPYAAVSAEAVPTFLAYAASGSVTAEVVYANYGRAEDFAYLAARGVNITGKVAVARYGKVFRGDIVRNARDAGAAAAVIYNDAKDYAAGNAFPDGPWMPPTGVQVGSTFKGVGDPTTPMWASSEGCQRLSIAEAMASDDMPGIPALPVSGMDGEAILQLIGGDVAPEDWQGGAGAPAYRLGPGPAVLNLTYIGNETMATIQNVISVIEGKEEPERYVILGNHRDAWTFGGVDPNSGTAALLELAQRLSELQKKGWRPRRTIILCNWDAEEYALIGSTEWVEENRAMLTSRTVAYLNVDSAVFGRGFYASATPQLDELLKEASKQVQNPDNRTESLYDLWMASNTSPLIGRLGGGGSDHSAFVQHIGIPSVDMSIGSDYPVYHSLYDDFIWMEKFGDPLFQRHVAAASMWGLVALRLSDEEILPFNYSYYATELENGVMGINERVLGMPVSLSPLHKSIKEFRRAVLKVDSELKALRTWKIWAQWRSCPLKVRDINDRLMMTERAFTEREGLSGRPWYKHLIYGPSLHNDYGAEIYPGIDDAIQTAKRTSTLESWQAVQNEIHRVARVINQAALVLTGGLT >KQL04567 pep chromosome:Setaria_italica_v2.0:V:9924191:9928238:1 gene:SETIT_001010mg transcript:KQL04567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLCLVRMGRPQKYPISLPKIPPTTTATTTATSTGTLHRLRLRLAACGLRASAPTSSPPPSPSSAPDAAPPALRHRRRRGGILLWKLHPSRAPSRPMDAPPPPHQQQQAHPPRAPSPPPPPQPPAPSRRYGVHFSASSFIQAPLTALLEYSGILRPDPGGGAQQAGAGAGPGEVSIRIVAPGEAGTSSERAEEVIVEEEEEEGHATRARAEEPAPAAGAGEGGRESSSSYQRYDIQQVARWVEQILPFSLLLLVVFIRQHLQGFFVTIWIAAVMFKSNDILRKQTALKRERKIPVLVGITVLFVVHVSGFYWCYKNGDLIRPLLMLPPKEIPPFWHAIFIILVNDTMVRQTAMIVKCLLLMYYKNSRGRSYRRQGQMLTIVEYFLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSVVEKVQSFLTALRALSHKDFHYGSYATSEQVLVAGDMCVICQEKMHVPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLFFQLF >KQL03649 pep chromosome:Setaria_italica_v2.0:V:3662833:3665589:1 gene:SETIT_001632mg transcript:KQL03649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAMELSLLNPAAMHHHRGVSAKTSAGLPLARRSVVRFRVSASAATPAAPPKASGPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQAEFDALLQEFKTDYNQTHFVRNPEFKAAADKMDGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIEQGSSLLFWTSRTLNSRGSLTGWLRSTRRSLLSENLMKCP >KQL03650 pep chromosome:Setaria_italica_v2.0:V:3662833:3665589:1 gene:SETIT_001632mg transcript:KQL03650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAMELSLLNPAAMHHHRGVSAKTSAGLPLARRSVVRFRVSASAATPAAPPKASGPKKRGKTEIQETLLTPRFYTTDFDEMERLFNAEINKQLNQAEFDALLQEFKTDYNQTHFVRNPEFKAAADKMDGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIFRHLKANPEYQVYPIFKYFENWCQDENRHGDFFSALLKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLDTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINQKIIAIGESDEMPLVKNLKRIPLVAALVSEIIAAYLMPPIESGSVDFAEFEPQLVY >KQL06936 pep chromosome:Setaria_italica_v2.0:V:36539692:36540202:-1 gene:SETIT_0017094mg transcript:KQL06936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDENVGVALLTYPVLMASDILLYQSDLVPVGEDQTQHLELTREIAERVNNLYGGRKWKKLGGRGGSLFKVPEA >KQL07856 pep chromosome:Setaria_italica_v2.0:V:42193543:42194235:-1 gene:SETIT_003950mg transcript:KQL07856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNEHKIDHLDQPIPPPAAPDAGSGGVVPPARRRDAYALACRALTLVLIALGVVALLLWLVYQPSSLKAYADSAQLTRFDLAGDNNGARLRFDLTVGVSIRNPNRRQAVLYRRLEAVALYGGERLGRAADLPRMRQPRKSTVEVRTGFRGEGAAAVSPAAAALFRREKEEWFFGIGVKLHSRVRLKVAVVDSVEYRPDVDCYIRVPDPSNTTAVAQGFTPTQCHVDDFS >KQL07783 pep chromosome:Setaria_italica_v2.0:V:41744302:41746425:1 gene:SETIT_001194mg transcript:KQL07783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMISTMPMVDAAPAVSAIMSKLPQAACLDAPASGITVVSRQHVRPDAASAIGDLTLSVSDLPMLSCHYIQKGLFFPAPDLPMASLVSLLVSSLSRALAAVPALAGRLVTLPDDRIVIRCNDAGVDFLHAVAPGLSLDDFLVPDADVPTKLTKDLFPMDRTVSYEGHRRPLTSFQVTVLGDGAVFIGIVANHAVVDGTSFWHFFNTWAAICRGEAPRLLDFRRNFFGESTAVLRFPGGVGPAVTFDVDAPLRERVFHFSADAIREMKAIANRRPSGGHDAEVYGKMAHDPKNPEARREISSFQSLCAQIWLAVTRARKRLAPDATTTFRMAVNCRHRLRPAISPTYFGNAIQSAVTTATVSELARYDLRCAAGKLNASLAAYGDGAIRRAAAAWQAKPGCFPLGNPDGSVITMGSSNRFPMYEGNDFGWGRPLAVRSGRANKFDGKMSAFPGRAGDGSVDIEVCLPPETMAALLRDAEFMQYVSCPSHLL >KQL03431 pep chromosome:Setaria_italica_v2.0:V:2329722:2331375:1 gene:SETIT_0009421mg transcript:KQL03431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSKGSKPPRHGHGRGLFDATDDEMVRRMALDGAAAAVPVVGEVTVDQGGAGNFTTVGAAVAAAPSNLGGSSGYFVIRVAAGVYEENVVVPKNKKYVMMIGDGIGQSVITGNRSVVDGWTTFNSATFAVLGTGFVAVNMTFRNTAGPAKHQAVALRSGADLSTFYRCSFEAYQDTLYTHSLRQFYRACDIYGTVDYVFGNAAVVFQGCNLYSRLPMQGQSNTVTAQGRTDPNQNTGTTLQGCSLAAAPDLAANTAFAVATYLGRPWKPYSRTVIMQSEVDALVDPAGWMPWDGDFALATLFYAEYNNSGPGADTSRRVAWPGFHVLSSAADAGNFTVGNMVLGDFWLPQTGVPFTSGLN >KQL04060 pep chromosome:Setaria_italica_v2.0:V:6194333:6194739:1 gene:SETIT_003629mg transcript:KQL04060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFKSENRGNIFLLDLTSTYYCIGSNDYFFPSQVNMACNKSRNSYSLVLPRAILGIIFVHVIILQTTGDM >KQL04565 pep chromosome:Setaria_italica_v2.0:V:9911828:9914724:-1 gene:SETIT_003271mg transcript:KQL04565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKRLMRCCCIAKHTDDEDKIDFGGGNVHVITSKENWDQKVEEANKDGKIVVANFSASWCGPCRVISTVYAEMSQTYPQLMFLTVDVDDLMEFSSSWDIRATPTFFFLKNGQQVDKLVGANKPELEKKVAALAGGSVAPPDRP >KQL04854 pep chromosome:Setaria_italica_v2.0:V:12453925:12458241:-1 gene:SETIT_000283mg transcript:KQL04854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAKEAAAAAMDASKYVRYTPEQVEALERLYYECPKPSSLRRQQLVRDCPVLANVDPKQIKVWFQNRRCREKQRKESSRLQALNRKLTAMNKLLMEENDRLQKQVSQLVYENGYYRQQTQSAGLATTDTSCESVVTSGGQQNVAAAAAQPQAQPRDASPAGLMSIAEETLTEFLSKATGTAVEWIQMPGMKPGPDSIGIIAISHGCAGVAARACGLVGMEPAKVAEILKDLPLWLRDCRSMEVVNVLPAGNNGTIELLYMQLYAPTTLAPARDFWLLRYTSILDDGSLVVCERSLSSKQGGPSMPLVQPFIRGEMLPSGFLIRPSDGGGSIIHIVDHMNLEPWSVPEVVRPLYESSAMVAQKISMVALCYLRQVAHEDNHSVITGWGRQPAALRALSQKLTRGFNEALNGLADDGWSVIESDGVDDVCISVNSSPSKVINCNATFNNGLPIVSSSVLCAKASMLLQDVSPPALLRFMREQRSQWADSNLDAFFASAMKPNFCNLPMSRLGGFGGQVILPLAHTFDPEEFLEVIKLGNASTYQDALMHRDLFLLQMYNGVDENTVGTCSELIFAPIDASFSDDSPLLPSGFRIIPIDSPLDTSSPNCTLDLASTLEVGTPRSRMPVGGSGNAACAGSKAVMTIAFQFAFESHLQESVATMARQYMRSIIASVQRIALALSSSRLVPQVGVGVGHAPAAAATASPEAATLSRWICQSYRFHFGAELIKSADAGGCEAGLKALWNHASAILCCSLKAMPVFTFANQSGLDMLETTLVALQDITLEKVFDDQGRKNLCAELPGVMEQGFACIPGGLCVSGLGRPVSYDKALAWKVLDDDSGAHCICFMFVNWSFVSSM >KQL08040 pep chromosome:Setaria_italica_v2.0:V:43278078:43278437:1 gene:SETIT_004660mg transcript:KQL08040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSDSCSVGQCVLWKAHQSPWRQGWHMVCFAMADTRQTCMGARHTPPIQHSRSVRLHGRIMQSNTVHTDRLFFFFCLESLVSICFCLLDMIFCSNLKQTVGYFCKI >KQL07357 pep chromosome:Setaria_italica_v2.0:V:39282515:39284105:-1 gene:SETIT_002435mg transcript:KQL07357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYTNVVDWEDSAALEAFNDAKARFCAVYHGQHCDIPLPDPDMFIDIVNPDEYVDPELVADLEKSRRCAPRKDNGIPDVWDSFIFSDKPVPVTGWGDTETSNTAGQQLSVNWDSHLEQPIEANCKQTSGNWDCYVEQPAQTIVQQSSGNWDVFEEQQGQTSRWREETNPCIANWNMRDGYQDTWKHDSGWGSAATHTDPWDNHQDSYDVPDSQGVSYGHWTHWRRRNNESGRRNIKNRERGGPISAKPMKSKYQADEHSGTNNGWRHCRVRNEMHYYSYEQAGYAKQSLAM >KQL08101 pep chromosome:Setaria_italica_v2.0:V:43561900:43565293:-1 gene:SETIT_000274mg transcript:KQL08101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGVKIPIIDDLTGGNKHGHLNGNVVLMRKNVLDVNSIAGSLIDGISEFLGRGVTCQLISSTVVDPNNGNRGKVGAEASLEQWLLNPPPLLAGENQFSVTFDWEVEKHGVPGAIIVTNNHASEFFLKTITLDNVPGRGTVVFVANSWVYPQSKYRYNRVFFANDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQAHDRVYRYDVYNDLGNPDGGNPRPTLGGSKHHPYPRRGRTGRRPTETDHDCESRLTLLDDDVYVPRDERFGHIKSADFLGYSIKALVDGIVPALKGYVGVEFNSFKDIIRLYEGGIKVPDVPALEEIRKQFPLQLIKDLMPVGGDFLLKLPMPKIIKEDKKAWMSDDEFAREILAGVNPMIIKRLTEFPPKSTLDPSKYGDHTSTITAAHIERNLEGLTVQQALESNRLYVVDHHDHYMPFLVEINNLDDNFIYATRTLLFLRGDGTLAPVAIELSLPELRDGITAAKSTVYTPTSTTGAEAWVWHLAKAYVNVNDYCWHQGISHWLNTHAVMEPFIIATNRQLSVTHPVHKLLLPHYRDTMNINALARQKLINAGGIFEMTVFPRKYAIEISSKVYGSWSFADQALPNDLVKRGMAVEDPSSPYKVRLLIEDYPYASDGLAIWHAIEQWVAEYLAIYYPDDGVLQADAELQAWWKEAREVGHADLKDEPWWPRMTTVAELTRACATIIWIASALHAAVNFGQYPYCGYHPNRPSVSRRPMPAPGTEEYAELERDPERFFVRSITCQFQAVVGISLLEILSSHSSDEVYLGQRDTPGWTSDARAQEAFKRFGARLAEIEKRVEAMNADPRLKNRNSPAKFPYTLLSPNVSDRENAGVTARGIPNSISI >KQL05402 pep chromosome:Setaria_italica_v2.0:V:23905275:23906738:1 gene:SETIT_005174mg transcript:KQL05402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NAMGKLLKASYHLVVSNFLAIATVAFATAVVQRGWPFSIDGLAGWLHAQRPVHLITAAILMAAVAKLRRARRQRDVYIVEYGCFRPRPCFRAPFATCLEHARLLPYLVADKESLNFAIRLLERSGLGEETCVPYSYHYMPPDRSLDAAREETELVIFSAIDDVLAKTAVKPEEIDVLIVNCSIFTPTPVFADMVVNRYKLRPGVQTLNLSGMGCGAGLISVGLAKNLLQVAAPGTHVLTVSTEILSSQYYVGSERAMLLPNCLFRMGAAATILSNSPERARFKLGRIVRTMTAARDADYRCIFQEEDDKGMRGVRLSKDLTTTAGGALKRNIVAFGPLVLPISEQLLVALSLLKRKLLSRLGSKVRLYRPDFRTAFEHFCIHAGGRAVIDEVQRGLGLSDEDVEASRMTLHRFGNTSSSLVMYELAYTEAKGRMRKGDRVWMISFGAGFECSCVALECVRTAAAADGPWVDCIHRYPVQLPDVAKDI >KQL06073 pep chromosome:Setaria_italica_v2.0:V:30478813:30479365:-1 gene:SETIT_003180mg transcript:KQL06073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAMSTFLSSLARRLVPLRRRRSITSSGFVASRRPFFPCGAGLRDVVSGSPFVVVKRGRTLRKVVKLPRRVGEQRKKRWRGGGKGDDDHHLLDGDEPCVWRRTILLGRRCQPLEFTGAIHYDCEGQRLWQPRTPPQSSSPLPMSPVRLHPSGLGYMDRA >KQL05164 pep chromosome:Setaria_italica_v2.0:V:16829745:16834269:-1 gene:SETIT_003935mg transcript:KQL05164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGFEDCELEEDGDISMVHKPICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRCILGIIRKDSETSVPLLVESIFIFSGYRVKYSNAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMLPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQLVPLAFALVESENNESWSWFMKLVRVHVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMQWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVSEGRLSADYDTGIAHAAVENQKALNLDRAVIGRVLRTIGMAAPGYPLLEAAYDLHHRAHHLADLNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQIVGGLPPMDGPLLTAMVDRWRPETHTFHMPFREMTITMQDAAMILGLPLHGQPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTYGFGSECPWPWHRDDALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQVEWKPYDREQLSHIVFSPTCYRDRELWRCMTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKWYKENDWRVKHDRYIHLW >KQL04936 pep chromosome:Setaria_italica_v2.0:V:13178923:13184080:1 gene:SETIT_004428mg transcript:KQL04936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAHPSTHRPRFCFRRPLRAPPPPRLPLPSFYSRRPSEVQVSQFRSITSRTARRRNPVQIITTAFDDDPGDFSLAHDDGEEDFGVVPYSSESEWSDEDVVLIAFGDVELPVTGKSRAEGALTVVAHRFATIDKGHKKTVLSALAGFLFAPVADSLKIQHFRRRGKSVSPSSRKPTPAMGGLFFVPIGIFVARREVGSNSNGVNGAAMITLIFAMLGLLDDISSLATDRNRKIPQWIRFLVQIVAGTYFSIWLGSADILTPYSMKFLVPLPPPLGLAFMGKVYLVLAATCSLSMGTAVTLVDGLDGLAGGIAALALIGLSVAALPICSELSVFGASMSGACTGFLFHNRYRASIVMSRVGSFALGGAVAAIAACSGMFLPMLIACSIFFLELLFATLQVPFRMATNSFRGTKIYPLRIRPSHYYLRLWGIKEPYIVAGAYMISCFLTLVAGYLGLLSA >KQL03450 pep chromosome:Setaria_italica_v2.0:V:2455948:2457358:1 gene:SETIT_005013mg transcript:KQL03450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKEKVLPCPREPAAVGSDAAPTVSGMPEGGRPRASARASDGGNGGVRGQPAAPPLPAFLRQIRRLGEHAWLARTAAAVEMNEMWAGLGYYRRARFLLEFVQSTFRNVVSDEFLFQKKGSQLNSHLTNCWLYAAVFLQHRHLASLLSVACL >KQL06093 pep chromosome:Setaria_italica_v2.0:V:30612619:30614527:1 gene:SETIT_001571mg transcript:KQL06093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHPLTGEHHPSPASSAATALGTLLLLPSELLHEILLRLAVPELLRVRSVARPLSSLISSPDFRRLYHLSSASSGPGPAAAWLLLFKKLPPRDAAIRGFHGPSGRWFRIPVSAILAPAVPPGEDLYFLAASGSSFLFAANGRRELVVVDLTARAARRLPPSPLGPRGTSSWRRFGLKLVADPPGSNRFRFLFAELVNNTPFLFEYRSETDTWQQSEAVLAEGAAPAAPDGTFLCAAHVGPDCVMVYSGPGADGDRPVFFRPRFPNAAAAAGHGDRLHVYGDGSAAVVRSVAVDDPMSRTRVKVVTGVELYGFGSVGGDWELVASVPGELVEGFRKPYAVMTGLLAEREGVVRLVLISNCRGAWDLVWLSYDRSRREWRWVPVPDWGGSKGLNMAGMAVSSTFSRLWPLAAPPPPPSSSSSSHQ >KQL03512 pep chromosome:Setaria_italica_v2.0:V:2840693:2846735:1 gene:SETIT_001295mg transcript:KQL03512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVALLPTLSPPVSRAAAAFLLRRAPAKPFSSLRPPQTLRRFLASTSSSSPATPPPPLRPLSTVAASSTAARAAPARRDLLILGIETSCDDTAAAVVRGDGEILSQVVSSQADLLARYGGVAPKMAEEAHALVIDQVVQKALDDAKLSGSDLSAVAVTVGPGLSLCLRVGVHKARQVAKSFGLPIVGVHHMEAHALVSRLVNKDLDFPFLALLISGGHNLLVLAHSLGQYVQLGTTIDDAIGEAYDKSARWLGLDMRKGGGPALEELALDGDPNAVNFRVPMRQHKDCNFSYAGLKTQVRLAIESKNLCTDDIPISSASEEDRQTRADIAASFQRVAVLHLEERCQRAVEWALKIEPSIKYFVVSGGVASNKYVRTRLNQVAENNGLQLVTPPPSLCTDNGVMIAWTGIEHFVAGRFEDPPAADEPDDMQYELRPRWPLGEEYSEGRSVARSLKTARVHPSLTSMIQGSLQK >KQL07230 pep chromosome:Setaria_italica_v2.0:V:38509662:38512764:-1 gene:SETIT_001703mg transcript:KQL07230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAALGAAAAPEEGRGEEEAAEWAWSWGAGTDGQLGNGGFDDHHLPQPLLLPIRCRGRVSLVAGGGAHAIALTSAGEVFTWGRGTHGQLGHGNLDNVPHPKFVKFLENHIVTCVSAGWNHSGFATDSGQLFMCGDGSFGQLGTGDNHSRSLPFEVAYFTSRHVEKLALGMRHSLVLLKDNSVCGFGSARRGQVGKCTSRNEKSHNVPRIIDGFGDVKIVNIYANGDHSAALDEYGHLYIWGRALIGQHDNDQPWSVFPSLSISQVALGWHHALLLSGGELFTIGVYRHQKCDHPVPGNVVGQQSKTSATSSSHDGSSSVSTLEKVPCIDGEEVVQIASGTEHSALVTGSGSVFTWGWGEHGQLGLGDTSDQVVPQRVNLTVSPGSRGIYCGSGFTIAVDLA >KQL04677 pep chromosome:Setaria_italica_v2.0:V:10759229:10772765:1 gene:SETIT_000007mg transcript:KQL04677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHCPRASAFLAPPPPLLFPRLSFPRRRRRPRFHPTSRPLLTLARFDPPPLLRLKVSDSSDSPADAPHAHAHHHSNHAHHTPAPPLLPGPRALIGSLAPVWREGLFLVRCSVFAAVVSVAAALSWVAQLRARSFVEARLLPAACAALGEYLQREVRLGKVRSVSPLGITLQTCSIGPHAEEFSCAEVPVMKIRVRPFASLRRGRVVVDAVLSEPSALVAQKKDFSWLGLPAPSEGTVKRHSGEEGIDIRTKTRRLAREKAAEQWNEERDKAAREAAEKGYTIPSGQSVSQSTDEMMEVDGPTEIGKSSPPLCADEMHKKDHHLATGIDSGSKHADLEKSFGVKSRIPGINLWSRMISGPSRLRYRRKAHSKVVPDADNSSQQRILRRSADAAVAYFQSTGHSNIDDSSPGPGKSSSDGGRANVGGSEFTSNDKTVGSSEIASTSLAESPLDNQQSSQCRSCNLDNNVLLCHHSEGLQIGQVTQANFPQGPVLERFENPFENKFVPHRETIFGNFGSCTHAHNWVPFWPFQLKGFLVRFNAPCASLDVQIQKLKSQFAIGPGDISAELTEGVSQIPSGGVQHALPITLDSVYFNGGNLMLLGYGDQEPREMKHASGHVKFKNSYNRVHVHVTGNCMEWRQDRTSQGGGYLSTDVFVDIAEETWHANLNVVDAFAPLFERILEIPVVWHKGRATGEVHICMSKGDSFPSIHGQIDVKGLAFQILDAPSSFSDIVAKLSFRGQRVFLHNASGWFGDAPVEASGDFGLNPEDGEFHLMCQVPSVEVNALMRTMKMKPLMFPLAGAVTAVFNCQGPLDAPVFVGSGIVSRKSLSISGMPPSAASEAVMQNKEAGAVAAFDHIPFSHVSANFTFNLDNCVADLYGIRACLLDGGEIRGAGNAWICPEGEGDDSAMDINLSGTILLDKVLHRYIPGGIQLLPLKIGELNGETRLSGSLIRPKFDIKWAAPNAEDSFSDARGNIVIAHDYIMVTSSSVAFDLNTRVQTSYIDDYLLNKGTYQMNKIMPLIVEGVDLDLRMRGFEFAHIASSIPFDSPRPLHLKASGRVKFQGKVMKSSNIADDKIKGVLESNIDQNKVETDVSKLVGNISLSGIKLNQLMLAPQSTGFLSVSRDSVMYCICMDFTVVPVHFPPYNLYSLVKQLNATGRPDENFSIEVNGPLFSTTNEAIQDVRLLSVFLQKGQLRSNICYHPENLSSLEVRNLPLDELEFASLRGFVQKAELQLNFQKRRGHGLLSVIRPKFSGMLGEALDIAARWSGDVITMEKSILEQAKSKYELQGEYVFPGTRDRFPVESQSNGFIEKAMGGHLGSIMSSMGRWRMRLEVPGAEVAEMLPLARLLSRSTDPVIRSRSKELFMQCLQSVGFNAESLRDQLKALEMYHDWLDDDTMEDITLPGLAELTGYWRGSLDASGGGNGDTMADFDFSGEDWEWGTYKTQRVLASGSFSNNDGLRLDKLFIQKDNATLHADGSILGPLTNLHFAVLNFPVGLIPALVQAIESSTTDSIHFLRQWLTPIKGILHMEGDLKGTLAKPECDVRIRLLDGTIGGIDLGRAEVLASVTPTSRFVFDANFEPTIQNGHVNIQGSIPVTYVDSSSTEESLEEEDGKQGIIRIPVWAKDRGTPNEISETRIVRDKTEEGWEFQLAESLKGLSWNMLEPGEVRVNADIKDGGMMLITALSPYANWLQGYADVLLQVKGTVDQPVVDGSATFNRAIVDSPFLRTPLTNFAGTIHVISNRLCISSMESRVGRKGRLSMKGTLPLKNSEPSANDKIDLKCEVLDIRAKNILSGQVDSQLQVTGSILRPDVSGMIRLSHGEAYLPHDKGNGAAATRLASNKSSYLVSGFEQSTTSQDVSRILGSLSTSPDREQSDTERTLEHGSFKPNIDARLNDLKLTLGPELRIVYPLILNFAVSGDLELSGMVHPKYIRPKGILTFENGEVNLVATQVRLKNDHLNVAKFEPDLGLDPVLDLVLVGSEWQFKIQSRASMWQDNLVVTSTRSVDQDVLSPSEAAKVFESQLAESLLEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPSLLSVDPTVDPLKSLANNISFATEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLFQSTPSNRLLFEYSATSQD >KQL07069 pep chromosome:Setaria_italica_v2.0:V:37488061:37489582:-1 gene:SETIT_001288mg transcript:KQL07069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKNQDTQLIMGRYRLGRLLGRGNFAKVYKAHKVATGEVVAIKVFNKDAVRQSGMSEKVKTEVDVMRRVHHPNVVRLHEVMATRSRIYFVMEYAAGGELLARLAQSARLPEPVARRYFQQLITAVEFCHSRGVYHRDLKPENLLLDARGDLKVSDFGLSALQDAGARLRGDGLLHTACGTPAYVAPEVLLKCGYDGAKADIWSCGVILFVLMAGYLPFNDTNLVLLYRKITQSNYRCPPWFSVDARKLLARLLDPNPRTRITMTKLKAHPWLQKGPCPLTDKPLVTSETSVLLGKEACKCHHHRDEEDEEDARERKRSKVTVSSPTIAVRPSSMNAFDIISRSSGLDLSKMFDEEHGAEARFASRESTAAIVSKLEEIAEARKLSVKLKEKGRVEMVGSQDGGRGALAIEAEIFEVAPSVHVVEMRKTGGDSLEFREFYRQDLKPSLGDIVWSWQGGDLPPPALVPAAPRRTTT >KQL03219 pep chromosome:Setaria_italica_v2.0:V:403315:406417:-1 gene:SETIT_005087mg transcript:KQL03219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKRGKPPGSESAKSKMEQKMALVKQRLALLDSASSSSSSSGETDKDDDLVPMDDELAIVAAYQPIEIVCGDTGNKDEVIPLKILASKGVESEKRGLPGQKISASYGSAMDRAEEVQAKLPAEHPSFVKRMLQSHVVRGFWLGLPTYFCNKHLPKDDTGIVLEDENGQDHQTLYLGAKQGLSAGWRGFAIKHGIKVFIIRANEFTTTDGAISLLNLEAHKKGKLSKEECSSDANSKEAEKASAVDHKVPRSDDDNVVFNEAIDGLRISDSDMDFGDITSFSNFNIVVDSLVIDCKFHDHLRRTYYELCCSQNSFLHKNLLKQLNLTLVVGVIMETISIAEGIRACKAQASSREDLLIWKKTLVSLELLGMNVGFLLKRINGLLGLTAESRDLSECQKYRELKSERARAGEKVKALELMLSNVKGVLQKMDAEMEEMESSVKRSGLTLQQLAAAPW >KQL04387 pep chromosome:Setaria_italica_v2.0:V:8707958:8708486:-1 gene:SETIT_003532mg transcript:KQL04387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRNGELHAENAGLTKRNEGLQVKNEGLTETNEKLQAKNDRLTKWIGELEDKNDDLEDLNGTLVSKERQRNDELRQARKELTMGLETS >KQL05077 pep chromosome:Setaria_italica_v2.0:V:15490415:15496989:1 gene:SETIT_000465mg transcript:KQL05077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGRTELEVGADGVAVITIYNPPVNSLSIDVLYSLKESYEEALRRSDVKAIVVTGKGGKFSGGFDISSFGGVQGGQMMQPKVGYISIDILTDTLEAAAKPSVAAVDGLALGGGLEVAMACHARIATPTAQLGLPELQLGIIPGFGGTQRLPRLVGLTKSLEMMLLSKPIKGGEAHELGLVDALVSPNDLVNTARQWALDIYELRRPWIKSLYKTDKLEPLGEAREILKFARAQAQKQAANLHHPLVCIDVVEEGIVAGPRAGLWKEATAFQDLLFSDTCKSLVHVFFSQRATSKIPGATDLGLMPRKISKIAILGGGLMGSGIATAMILSNYPVILKEVNEKFLNAGIDRIKANLQSRVRKGKMTDERYEKAMSLVTGVLDYEHFKDVDLVIEAVIENVKLKQQIFSDLEKYCPSHCVLATNTSTIDLNLIGEKTKSQDRIVGAHFFSPAHIMPLLEIVRTQRTSPQVVVDLLDVGKKIKKTPIVVGNCTGFAVNRMFFPYTQSALFYVDLGMDVYKIDRACTKFGMPMGPFRLADLVGFGVAVATGMQYLENFPERVYKSMLLPLMMEDNRAGEATQKGFYKYEGKRKATPDPEIMKYIEKSRSMAGVTPDPELLKLSEKDIVEMVFFPVINEACRVLDEGIAVKASDLDIASIFGMGFPPYRGGVMYWADSIGAKYIHGKLEEWTKRYGSFFKPCSYLAERAAKGIPLSAPAKKVQARL >KQL06575 pep chromosome:Setaria_italica_v2.0:V:34376644:34378884:-1 gene:SETIT_004745mg transcript:KQL06575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKQLRRVRTLGRGASGAVVWLASDEASGELLAVKSARAAAAAAQLRREGRVLEGLSSPHIVPCLGARAAAGGEYQLLLEFAPGGSLADEAARSAGGRLDERDIRAYAGDVARGLAYLHARALVHGDVKARNVVIGADGRARLTDFGCARPVESARPIGGTPAFMAPEVARGEEQGPAADVWALGCTVVEMATGRAPWSDMDDLLAAVHRIGYTDAVPEVPAWLSAEAKDFLASCFKRRASARPTAAQLLAHPFLASAAAVRDLPAKQEFPSPKSTLHDAFWDSDTEDEADEMSTGAAERIGALACAASALPDWDSDEGWIDLQGDDRSETVDDAPPATAEASAAAEDYFVWAEPSDAAEFEQFFATAATDVSDLLHLPRIAGVTVSTAAISQASYLPMYLGVHENKIPHPFDDDGIETAESRGACNRNRLRHRFGAGETDRPCR >KQL08691 pep chromosome:Setaria_italica_v2.0:V:46708348:46709714:1 gene:SETIT_001643mg transcript:KQL08691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSVARAALLRLNPAGFGPSYAFGFFCTNHKTTTPCADFLLAVAVVYCNSGALMTSVVAGIPQVVWSANRARPVGEGATAELTAAGDLVLKAADGTVVWSAGSAGRSVAGISINSDGNLVMLDGSNRTVWQSFDHPTDTLLVGQSLRQGARLTANTSAANWSPSRLYLAVADDSLSAYVDAKPPQRYYHLGFTRTAGAYAAYANGSLTVLASPAGAPLTTIQLPAVAAGTVQYMRLEYDGHLRLYEWRPAGWAPVFDVLRLFPDDCAFPTVCGAYGVCTEMQCSCPDTANFRPVDFRRPNRGCVPAAPPVSCATPRRAQRLVSLPGLAYFNDHATSMRTLERVSQDACKKACLDDCKCVAAQFIYGNNAGDGFCYLQSEVFSLETSLPEVVHYNSTMHLKVQAARTSAGL >KQL08192 pep chromosome:Setaria_italica_v2.0:V:44091102:44097557:1 gene:SETIT_000522mg transcript:KQL08192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRRERRVIAVAGAAALVAVGLNIAFSAVAAHRRRKRRELPGFTARVNLSAAEIKRLADRIIAKAKETYDSVAAVPLDKVSFANVIAPLAELDALQFPLVQACVLPRMVSPSEDVRKASAEAENRLDSHFVMCRQREDVYRVIKAFAVKGERIGPEATRFLQCLVKEFERNGVKLSQHKRKEMEKLKSHIDELNLKYLQNLNDFTKFLLLSEDELAGMPFEFLKDLEKADGKLKVPLSSYHVTPILEHCKVGSTRKQIAVAYGQKGGKDNLGILENLVQLRHKFARLLGYGNYADFAIEPRMPRTSRKVLEFLEEMSEQLSDVANRELSILKDLKMKEEGNAQFGMEDLLYYVKRAEEFKVDLDIGEIKKYFPVSLVVSGMLKMYQDLFALRFDEIKDAEVWHDTVRVFSVWDASSSDLLGYFFLDIFAREGKYAHTCVVTLQNGCLCSNGTRKVPAAVLLSQCPKEFDGNSALLRFPEVVRLFHEFSHVVHHISNRATFSRFSALRLEGDFAEIPSLLLENWCFESISLKMMSGFHQDITRSVTSEACQSLKRRRDLFAGLKMKQEILLCLVDQIIHSSENVDIDDLIKELHPKVMLGIPLLEGTSPASCFPRIAVGYDAVCYSYIWSEVFAADLFVSKFKDDLLNQHAGLRFRNKVLAPGGSKDPLEIITDYLGREPSLQPFIQSRTGNSL >KQL06644 pep chromosome:Setaria_italica_v2.0:V:34793884:34794442:-1 gene:SETIT_005291mg transcript:KQL06644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCMRFHQCGQTPAGERPCAGETEPGKGANRLGVEAWPPGRHPATARGRGRAGTRRRRRTRRRPVAAGSAWRTRRWIAAGGVGVGGVKDGGEQSGRRWDREIFTGYFSG >KQL08719 pep chromosome:Setaria_italica_v2.0:V:46826904:46830528:-1 gene:SETIT_000937mg transcript:KQL08719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVASLSLAPHLLIKPAFTCFSRKVVEVLNPLAREFKSIGTLRKELDELQEELAKAHNQVHLSEARVSSALDKLAHMETLVNDRLLPDVASSSSTAEATSLAPSTSSTTQVRAKKQPRRSLDVSGPVKPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKKIDVRIQSLPCFEQEGMVWIWPGDEPPKATIPSLLPPSGFMVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCSTHLHQLHVCLPSSRNKTRLLYRMSLDFAPWLKHIPYMHLLWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAVERGSDRLPFSNQADTGS >KQL08720 pep chromosome:Setaria_italica_v2.0:V:46827310:46830442:-1 gene:SETIT_000937mg transcript:KQL08720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTVASLSLAPHLLIKPAFTCFSRKGVGRYGGIKVYAVLREDGAEFAKNNNLEALFHVDDPGPRVPIKKGKFLDVNEALEVVRFDIQYCDWRARQDLLTIMVLHNKVVEVLNPLAREFKSIGTLRKELDELQEELAKAHNQVHLSEARVSSALDKLAHMETLVNDRLLPDVASSSSTAEATSLAPSTSSTTQVRAKKQPRRSLDVSGPVKPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKKIDVRIQSLPCFEQEGMVWIWPGDEPPKATIPSLLPPSGFMVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCSTHLHQLHVCLPSSRNKTRLLYRMSLDFAPWLKHIPYMHLLWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAVERGSDRLPFSNQADTGS >KQL08718 pep chromosome:Setaria_italica_v2.0:V:46826904:46830248:-1 gene:SETIT_000937mg transcript:KQL08718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHNKVVEVLNPLAREFKSIGTLRKELDELQEELAKAHNQVHLSEARVSSALDKLAHMETLVNDRLLPDVASSSSTAEATSLAPSTSSTTQVRAKKQPRRSLDVSGPVKPYNPSLKNFWYPVAFSSDLKDDTMVPIDCFEEQWVIFRGKDGRPGCVQNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTKKIDVRIQSLPCFEQEGMVWIWPGDEPPKATIPSLLPPSGFMVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPSSGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGKSTQQCSTHLHQLHVCLPSSRNKTRLLYRMSLDFAPWLKHIPYMHLLWSHFAEKVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGIRYRLWRDAVERGSDRLPFSNQADTGS >KQL03350 pep chromosome:Setaria_italica_v2.0:V:1892985:1895284:1 gene:SETIT_002584mg transcript:KQL03350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPEEVEAVLETIWDLHDKVSDAIHALSRAHFLRAVRRRASAGDNKPAGLVYVKGGGLAAVGDGEEAAALADLAEEARSLHAIRAALEDLEDQFECFLAVQSQQQAERDFAVARLEQSRIMLAIRLKEHNGKNHEVIDEASDFVRNVYQDVWPSLSVNKPEKCADSSNDMVKGPNFFARMVSSSLSIAGSNFSMKDLGGALWNSAAFTIGIIALLQLRGLASGAHGPAVGNYPYRRINEKNSSRLGTSRGGSTMSHLDVSLAKG >KQL04298 pep chromosome:Setaria_italica_v2.0:V:7955020:7955829:-1 gene:SETIT_004785mg transcript:KQL04298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPLERSPLHVLLFIFSSLAATAAATERIPNATTPSPCPSYRCGHAVDIRYPFWIDGGNASSGSHCGYPSLRLECRRETPVLRLPSGDYAVTHILYSDRTVSLFDLGVFSLSNTCPLVGRNLSLPSDSPLSLTARDANLTFFVHCSFVGIPAHLVACLEGDGRHHSYVFRDGDELTPHGYAGLCQDVIGMPVLRRSLLGAGGSSSPLDAVVPALNMGFELSWRPETEGECGQCERAGGLCGRRRGSEHGPWTFACFRAAATPAWIAPKSP >KQL03466 pep chromosome:Setaria_italica_v2.0:V:2546928:2551433:1 gene:SETIT_000797mg transcript:KQL03466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGGGGGGAGRRLPKSSLALSALDATPALDSSAIPIRNLDSAFSRRDSDAASLCSSRPASSVGVGVGAGPNFSDRATQAAALRIVNGYLSPAVTLRGPLPAARDIQAALRLLLERIDFPPNEATFEDDLIQALRLLGCPHKITRSALKAPGTPHSWPPLLSVLHWLTLFAQYSDADASSAAEAPPNDLLLYTTQGYCHFLSGDDDAVEALDEEYLSKARMDGEAAVAKVRALEKDAQELEAEVNKLTSGPLRREALEAQKEALTADVHKFEAVVKTWKTKIDERDEALVDLEKELEAKVLDAQRTAAENQELLKKVDAQAVNVRDMERMHREMLAIERDIANAENGKAALEDKAWELDAKLVTKLEELEGLAEQCNQALKRLKPGIDFQYMINSKGSSPAEMLGPGYKTVLKPALMAHAEENKRITVSNLAESVDLQKQLQGSAKILEEEKSNISTLQAKHDKMVARLNLLDGEITNDGSRCTAEARRMKDELEKKYNAIRSVEKEADEFLKNSEKRLQDAILKNDEETQAAATELLQLLESIAEHKEFMEATIAQRRKELYEAADYIASLASKTSSPSPHI >KQL04059 pep chromosome:Setaria_italica_v2.0:V:6193604:6199258:-1 gene:SETIT_000678mg transcript:KQL04059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAATACATPAAARPPLNTVPARRSPPSAVRPPSATPARRAAGYCFCTASSSASSPSTWDWTRWSRHFDEVEQDESYASLLQFQLEEAVENEDFAEAAKLKRAILEATGNDAVAHVMAELKSAIEEQRYQDASRLTRLAGTSLVGWWVGYAKDTDDSIGRIVRISPGVGRYVAKSYSPRQLVTASSGTPLFEIFLVRENDETYTMKVVHLRPTKGTSSASSISSTATEGPAKVENESSSESSAISDSVTEEASTDTTVKGNEDVEEKEQDVGSSKDSSVEGLKSVLNFFKSRIPEFKVQVINVDVSEETELAANSSEELVQDDVKSSSENSLEEPTTEELEQEEDVSEEDMDDESKSTEVKLFISGVVHNKEDAGAKSYVRVPAEINNMEKDSFELYIPGKGSDRDLAETKAAKQKVADMAAKLASELMPSDVAKALWGTTKSSSKINKEVQELLRLTLSKAQVKLTENTIFNRIVMDTNSTDPFSGLYVGAFSPYGPEIVQLRRKFGHWNSTDDVEFFEYVEAVKLTGDLSVPAGQITFRAKIGKGKRLENRGAYPEEFGVIASFKGQGRIAQPGFKNPRWVDGELLVLNGKSTIPHLGGAELGFLYSVPEQSFLVLFDRLNLPE >KQL03603 pep chromosome:Setaria_italica_v2.0:V:3368750:3369511:1 gene:SETIT_0042231mg transcript:KQL03603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRNFTILTLESAPGRGRSTPSPHPTSFPSGRRCRACGPGSPARAAPRRTALMLYAGGVSKPSRPNIRGAILAECANRTASCIVVDCSAGACALDPGVYMRPMLRARFCLEPPGDTPSRRNTFDAIVAGCVPVFFEHDAARLQYGWHLPPGGYDQFSVTIPKESVVMGGVRIADVLAAVPEAQVARMRARLLEMAPRVLYRRHGSTPELKEASKDAVDLAVEGALRRIRNRVRALDGGDPDAIYSLEDDVVDMV >KQL05985 pep chromosome:Setaria_italica_v2.0:V:29793243:29795498:1 gene:SETIT_000986mg transcript:KQL05985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGALFAAVASVPRSLLVYGVPAGFVLLWQGGRLLNKLWWQPRRLERALRAQGLRGTTYRFLTGDVKEFGRLNEEAWASPLPLGCHDIVPRVTPFLYNNVRENGKTCFSWFGPIPNVTITDPALVKDLLSNKFGHFEKPQFPALTKLISDGLTSHEGEKWVKHRRILNPAFHLEKLKLMLPAFSACCEELVDKWEQSLDSDGSCELDVWSELQALTGDVISRTAFGSSYHEGRRLFQLQSEQAERFVAAIQKIAIPGYMNLPTKNNRRMRQIQKEVNSILRGLIGKRIQAMKEGEPTKDDLLGILLESNMRDTDENGQSSLGMTIEDVIEECKVFYLAGMETTSVLMTWTMVLLSMHREWQDRAREEVIGLFGRTKPEYEGLSRLKTVNMILYEVLRLYPPAVVFSRRTYKEMKIGNVTYPASAFIEIPILFIHHDPDIWGSDAHDFKPERFAEGISKASKDPSAFLPFGWGPRTCIGQNFALLEAKMALCMILQRFEFELAQSYTHAPHTVMTLRPMHGAQIKLIRVI >KQL06955 pep chromosome:Setaria_italica_v2.0:V:36666051:36670301:-1 gene:SETIT_000867mg transcript:KQL06955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHLPTLCAAPSTFLLVSSPHSRQTNSIPATARRHRRRNPARPVKATTLAEEASTDCDDTKLSAWTSVRQERWEGELAVEGRLPDWLNGTYLRNGPGLWDVGGGDFHHLFDGYATLVRISFRQGRATGAHRQIESDAYKAAVASGRPVLREFSQCPKPRNLLDRVTNAVGIASGAVLTDNPNSAVLPLGDGRVLCVTETTKSSILIDPDTLATVGKFEYADGLGGMMIQSAHPIVTESELLTVLPDLARPGHLVVRMAVGSDERKVVGRVGCRGGPTPGWMHSFAVTENYVVVPEMPLRYSASSLVKSELAPYYAFEWLPASGSYMHVMCRSTGKTVASVEVPPFMAIHYINAYEEKDEDGRAAAVIVDCCEHYGDPAIIETLVLHRLRSLRDEDVLPNARVGRFTIPLDGSPYGELETALGPEEHGRGMDMCSINPAYLGKRYRYAYACGARRPCNFPNTVTKIDLVEKTAKNWHDEGAVPSEPFFVARPGATDEDDGVVISIVSAVGGDGYALVLDATTFQEIARVRFPYGLPYGFHGCWIHDKDLTRRFDSSVQVN >KQL06154 pep chromosome:Setaria_italica_v2.0:V:31049817:31050900:1 gene:SETIT_003215mg transcript:KQL06154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEALYCGSPERPDELARIESVGGQVIYWQGPRVLGVLAMSRSIGDYFMKPSISAEPEVTVTDRTGTDEFIILGSDGLWDAMSNEYACRVVRYCLSGRLAAKYPATVHGSSATDAAKLLADMAMARGSEDNVSVVVVQLRRMVWRRKAARQNGRT >KQL06626 pep chromosome:Setaria_italica_v2.0:V:34692419:34697975:1 gene:SETIT_000104mg transcript:KQL06626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKTKGKLSGVLHKGFKPDKCKTSLRMAVARIKLLRNRKEVQVRQMRREVAQLLEANQDMTARIRVEHVIREEKFMQAYDLIEVYCELIVARLSIIDSQKACPIDLKEAIASVIFASMRCSDVTELADVRKHFTSKYGKEFAAAALEVRPDSGVNRLVIEKLSAGAPDVQTKIKTLTSIAEEHNIKWEPKAFEEKLQKPNEDRLHGSATYSGGNISTMGSSTSSMSTPQPTYSGVPAATVSATSHVPAGPYSPVDVSANRNSNAFSQENLRNGSSASVPPSSQHGASAYYSTQIPGSNSISHGNTEAPPYTQYGTAVPDTVSRNEEMNQYRERKPSVSGANWNVEFKDATSAAQAAAESAEMASIAARAAAELASRGNYSGDQSTGAYEAAAYSHENTPRKQLAKHLVKDEKRSFHDHSSGVNDPRGMPYARKSSGRAETNRVESQNMSTVHAPSQSQNISTIHAPAQQFHSYSPESPVHEMPTEPPRADSPEPPHFDDLYERESNIGRSEVHPFDFPGEKLQETGPCGRNVKDVEIRKPSFDQESTNDYYGNFSSSQNTFTYGSSTFWDKQNDKTQDSSSVVFDQYDSDVEEENLLDTFSSKHTEQPPGVQDHMATVDWSQEHRSESPNRRTSMLFSRTETQKSDNLGSNRRDVPSPRTYGNLPPTFDSGGGSSDEEIATATRTESLKSASSGLNKEANRISGKIDPDVKENIEDYESSSSKKYVASSGLNLSYKEQHTGGTGGSPISDYLGTEAQRHLNRVQSRDSDLSDEETEADKFESASSPGANKNQSLPFAIRTSATSNDKDGDLGLNFGRLTPGLRNRPRQPPPYTKRSRESLPKASASTAEPVASQENTTPFEQNRSSPKSSLSTRTSSGGNYNSELYDRNQIVGTRGEARSTVARNFFDSDDNEKLYEQSVSPSPLTTKSSDRVNSNQELYNEKSRGEARSTMARNFFDSDADEKLYEQSVNPSPPTTKSSERVNSNQELYNEKPGTGARREMRSRMARNYFDSDDSEDELEQQQTTQPKQSGVQIQSRRTRDVTSDTKRDGHVRTGARYADETVSLPKETKAPQLNNSSTEQRRVAPVYPRVAVQRSSPKDENVESPMVARGKSQEAEMSRTSVPGNVGDTETSAGTLKESTPKTPPAHVHPKLPTDYDSFAAHFMSLRTNRR >KQL07743 pep chromosome:Setaria_italica_v2.0:V:41512574:41514866:1 gene:SETIT_001991mg transcript:KQL07743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEECPVAGGVAEFWLPDEFLDDDFFSEEEKAAVAAKSESDEEEGLDGLSRRMAGILVGGGKGDDDSSPAKAEVMAGSPQSILCGLAASGEDSPNGVASQVSSPPSSPLEQQPADPWDVLHEAAGQVACLRSNSIPVPKNAAAHRGHAVVPPAKKPSAPAPAPKAACADHYQPNILLEQRRKVAQFNALKQQQMLKHQQQQELAAATAAAWGTRICGPKRTAGSGFGAAPHALNPSAWPPLQKAQQPAPSAAGMRAVFLTPPGAKRECTGTGVFIPRQAGAPTEPRKKPACSTVLLPARVVQALNLNVEDLGARPIYPGGFALDHDALVSRSNALLASRSSQLPGGAAREVNLPQEWTY >KQL03248 pep chromosome:Setaria_italica_v2.0:V:527851:532126:-1 gene:SETIT_001696mg transcript:KQL03248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEACYQMKQAGIKKDKAFFLLGHGQRPLAGDLDPLSSLLLASPTITDLDESNVYETYSFSIEVDVPLMRFVKGKGGSMQKKIEEDTGVKIIFPSSREETSVVLEGTSSESIRKASQMIANVLEEAVQSRMLDYSHFISLPLAIHPDLVHKLNYFQSSILGASASNEESDQDKRRSEGSIDEMDHDHKQADGSSVSINLQVQEESVQVKMDSKGSRSDFGIDKTIFIKPKTFHLTVVMLKLWNKDRIAKASEVLQSISSQVNEALENRPISIQLRGLTCMKGSPAKARVVYAPVLEVGGEGRLARACKVIIDAFVKSGLVLERDARQELKLHATIMNVRHRKSKQRNRWNDSFDARDIFRKYGKEEWGEYHIPEVHLSQRFKFDESGYYHCCSSIPLPAEMRAK >KQL05229 pep chromosome:Setaria_italica_v2.0:V:18425829:18426428:-1 gene:SETIT_004357mg transcript:KQL05229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRESVPSWLELLHATQFFTTCTSHLLASRNEWSMSYIEEFYDLSLRSSYHNVVRISEIEDIIDISDVQTYIINSARVVFLNERPQQRGCDVFICKAPTS >KQL04129 pep chromosome:Setaria_italica_v2.0:V:6718460:6731396:1 gene:SETIT_000186mg transcript:KQL04129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSRFFYKRPPDGLLEFIDRIYVFDSCFSTEVLPQGMYPVYLNEILTELHEEHVESSFLAINFRDGDKRSQLADILHEYNIPVIDYPRHFEGCPVLPLSLIQHFLRVCEHWLSSGNNKNIILLHCERGTWPLLAFLLSCLLIYKKLHGAEHKTLDIIYREAPKGFLQLFSALNPMPSQLRYMQYVARRNISPEWPPMERALSLDCLILRAIPSFDSDNGCRPLVRIFGQNLLGKNASMVNMIFSMPKKKSLRHYRQEDCDVIKIDIQCLVQGDIVLECVHLDLDPEKEVMMFRIMFNTAFIRSNVLMLNSDDVDILWGSKERYPKNFRAEVLFCEIGGMSPTRAPTATLNGDMKGGLPIEAFSAVQELFNGVDWIESNDDAAYWLLKEFSANSLQEKFQKLILNDMKELSKMQAKVGLQMPLMSPLDSDEEKYSVASDSVGSADHEKVQHGGNSSDSENIDRDPTTEDSESSATLSMNSSSPPQPPLPPPPHGVPSSLLDSSVPTTGPSGPLTKPPQELPSNRLPFSPPTPPPPPPPPPPSGSKHAFSSPPPPPPPPPRLSSQSNPPKKASLKPLHWVKVTRAMQGSLWADAQKQGNQARAPDIDLSELESLFSTASVTSTSEKGATKRGSAISKPEIVHLVDMRRANNCEIMLTKIKMPLPDMISAILALDSSVLDNDQVENLIKFCPTKEEIEMLKGYNGNKEMLGKCEQFFLELMKVPRVEAKLRVFAFRITFSTQVDDLRTNLTTINDATKEVKESLKLRQIMQTILTLGNALNQGTARGSAVGFRLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLIHLEAASKIQLKLLAEEMQAINKGLEKVEQELAASENDGAISIGFRKALKSFLDAAEAEVRSLISLYAEVGRNADSLAQYFGEDPARCPFEQVTSILVIFVNMFKKSRDENARNAEAEKKKLEKEKEKASVSAK >KQL05113 pep chromosome:Setaria_italica_v2.0:V:16042142:16042770:-1 gene:SETIT_003610mg transcript:KQL05113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFYIVETREWCDQLIDMLLTEVKPNGDAEQRRQHITNSLSNGNSSGTPSFEKETHLKLRCGMWTGQTAKETTI >KQL08019 pep chromosome:Setaria_italica_v2.0:V:43199114:43199772:-1 gene:SETIT_0024131mg transcript:KQL08019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGQDLQLPPGFRFHPTDEELVTHYLCRRCAGLPIAVPIIAEIDLYKFDPWQLPRMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGTPKPLAIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLR >KQL05019 pep chromosome:Setaria_italica_v2.0:V:14118282:14120408:1 gene:SETIT_002773mg transcript:KQL05019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALYYHPAAGKAGLEAVAASSPSLALRPSQSKVLCVGSSRWWMRRRRWEGKASGISISSSSSRARARARPALFSPVAMEWQECTTELEVDVPCSVAYQCYSERESIPQWMPFISSVKVLEDKPDLSRWSLKYEVFGRNVEFSWLARNMTPTKNQKIHWRSLEGLPNRGAVRFFPKSPSSCRVQLTVAYEIPEILAPVGSVSA >KQL05020 pep chromosome:Setaria_italica_v2.0:V:14118188:14120786:1 gene:SETIT_002773mg transcript:KQL05020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALYYHPAAGKAGLEAVAASSPSLALRPSQSKVLCVGSSRWWMRRRRWEGKASGISISSSSSRARARARPALFSPVAMEWQECTTELEVDVPCSVAYQCYSERESIPQWMPFISSVKVLEDKPDLSRWSLKYEVFGRNVEFSWLARNMTPTKNQKIHWRSLEGLPNRGAVRFFPKSPSSCRVQLTVAYEIPEILAPVGSALKPFMEGLLLKGLERFAAYAKERNSKIPQP >KQL06329 pep chromosome:Setaria_italica_v2.0:V:32650271:32652932:1 gene:SETIT_003617mg transcript:KQL06329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTCLKSDQNHRSHHEFFGSFISYPGGQLHLKPCPESEAVILNLSLDRNMPSLSRTAILLLLNLVLPFCSAL >KQL04847 pep chromosome:Setaria_italica_v2.0:V:12376456:12377320:1 gene:SETIT_004671mg transcript:KQL04847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRTCTAPSAEDVPDALAVRAESPAMATTTESSPAVVGGGANNARAWEWEGKVVSAVPAATADEAWALLSDFLAFHRWHPRVAACRLASGTPRAPGCVRYCEGTPTAGDGAPPPDWAHETLLEYDADRRFFRYEMNDNNMGFGLFFATFRVVPAPAAGAGCELRWEFECEPVRGTPREALVARLQAGLDGMAARVRDHVLAARTGSTTAAAVVAGPEAAAGELKLDNSIAV >KQL05487 pep chromosome:Setaria_italica_v2.0:V:25039132:25041439:-1 gene:SETIT_004707mg transcript:KQL05487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSVITSSVGLIFFLCVCFLPAAPVAGLFFNYSTFSSDDTKDFKVEGEAYINRGWIDVSAFNKGIDNSRGRVSYAQPMPLWDRETGEVASFTTRFAFVIDPPPPLGINNKGTGMAFFLAAYPSSLPPGSEPYDMSLTNQKPDAVATGDARFVAVEFDTFNDTAALDPDRTYDHVGIDVNSIRSVATRSLPNFSLVGNMTAEIRYDNISSILEMTLRLGDRRNESYHLSHKVDLKSALPENISVGFSASTSTSIELHQLHSWYFSSSLEPKAALIPAPPPPLPPPPTPNTPAGSGRGGLIAGATVGAALFLVLLLSTAALLARRRRSKRRELAEELGEVGGSDDEDDGAEPIMEIEMGTGPRRFPYRDLVAATKSFAPEEKLGQGGFGSVYRGHLREHGLGAVAIKRFAKGSSMQGRKEYKSEIKVISRLRHRNLVQLVGWCHGRDELLLVYELVPNRSLDIHLHGDGDGTFLTWPMRLNIILGLGSALLYLHEEWEQCVVHRDVKPSNVMLDVSFNAKLGDFGLARFIDHAVGVQTMTAVSGTPGYVDPESLITGKASAESDVYSFGVVVLEITCGRRPMSLQGGSQKNAVFRLVEWVWDLYGQGFALAVADERLKGEYDAAEVERAVSVGLWCAHPDPRARPSIRAAMAALQSTGPVPALPAKMPVPTYAAPVIPPEGLFSYSAASSSGVMSSSLTQSSSTTATTHTSSSDTSTSTGLKDSSSLLKHQY >KQL07197 pep chromosome:Setaria_italica_v2.0:V:38237445:38242585:-1 gene:SETIT_000929mg transcript:KQL07197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGMQEAAEEGEYVGARLEAGLRAARFASPPSADEFAADVEPKNVPAVFRGVAKEWAASTRWDPLRGGLDYLLEKVGRDVALEAMMSKTGHVFYGDLRSHERVSVPFSTFIQSCKSYLSQMNAASDKLVDQGILEKPTCSREMCSSSSENSEQVYLAQVSIMKTENREKCSLQVLKEDIQEPIFLRGKSFSSINFWMNGAHMRSSTHYDPHHNLLCVVAGCKKVTLWPPSASPFLYPMPVYGEASNHSSVSIEEPDYSSYTRARYMKEYSERVLLNCGDVLFIPEGWYHQVDSDDLTIAVNFWWKSRIMTQMLEHMDAYYLRRIVSRLVDKEMNIMVQKSPFCRLEDCTNIQPMDKALTGFQLFNLQKDSPLQTLEPSTLQALYELISLVHDSAEVVKQNNQTESTSQDTSSNQRDKTKIAAEDDSSLLDKDPVAKIILPVEPLELRSMMLAMVHTFPRTLEALVLNMLGPVGAEILTRKFDEIDQQTTKEEQAEFYKTFYSVFEDQHAAMDVLLNGKELFSFQVFQNVLDKYLRVHIDRPG >KQL06474 pep chromosome:Setaria_italica_v2.0:V:33707704:33709779:1 gene:SETIT_002164mg transcript:KQL06474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAQETLVLAGVMRGHNDVVTAIAAPIDNSPFIVSSSRDKSLLVWDLTNPVHVAGDGTTTADYGVPFRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLSTGLTTRRFVGHEKDVLSVAFSIDNRQIVSASRDKTIKLWNTLGECKYTIGGDHGASEGHSGWVSCVRFSPNTIQPTIVSGSWDRSVKVWNLTNCKLRSNLQGHGGYVNAVAVSPDGSLCASGGKDNVTLLWDLTEGKRLYALDAGSIIHSLCFSPNRYWLCAATQDSIKIWDLESKHIVQDLRPEVSAGKNQILYCTSLSWSADGSTLYAGYTDGTIRIFKISGFSYSV >KQL03256 pep chromosome:Setaria_italica_v2.0:V:565136:566274:-1 gene:SETIT_004402mg transcript:KQL03256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSAGPGGGASTELPRRSSRLRQARVGPRVASPPPPPPAANMALVFYHENNTGDHYQFTHLRLNDVYDFMDGMVSYLHMNFTATNAATGSEKTFFAELALEGDVLDKHGGYRTTTCSIVADDCPSYTILYACFNPSLS >KQL05348 pep chromosome:Setaria_italica_v2.0:V:23255046:23257778:1 gene:SETIT_000405mg transcript:KQL05348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIPAALLLLMLQLISSADAQLGGAGPAPGCPTTCGNVTVPYPFGIRDGCFLPGFNLTCDQTRRPPRLLLGDDGALEVVEISLVNSTVRAMDTAGAVNISNFVGPGPEGNGTWRGLGSASNSPFILSERRNQFVVTGCNVQGTLLGDSGNIITGCSSFCSIRDTWINPVVSSPASGGGCSGIGCCETPIPIGRPSYAVKLKALDPNHENDGMLPMAVRVAEQGWFDGVAAQMLNGSVASSSVLQTAVPVMLEWAVASIMIVPPHANGIGNSSCPVDAARSACRSSHSTCRNVTDNYRTGYVCQCQDGYAGNPYLAGDGGCQDIDECALPGKCFGVCTNIAGGYECRCPRGARGNPFMANGCIKSSLGLSIGLGVGSGAGLLVLVLGAAFMTRRIKHQRARMLKQKFFKQNRGHLLKQLVSQNADIAERMIIPLVELEKATNNFDKDRELGGGGHGTVYKGILSDLHVVAIKKSKVAIQREIDEFINEVAILSQINHRNVVRLFGCCLETEVPLLVYEFISNGTLYDHLHVQGPTSLPWQNRLRIATETARALAYLHMAVSVPIVHRDVKSHNILLDDSLTAKVSDFGASRCIPPDQTGVATAIQGTLGYLDPMYYYTGRLTEKSDVYSFGVVLIELLTRKKPYLYRSPEDDSLIAQFTSLVTHGNLSDVLDPQIMEEGGKEVSEVASLATVCVKLKAEDRPTMRQVEMTLESIQESLQVKLHSVGTKISEDKQMAVTLPTMEGRSSKESSRQYSLEEEYLLSSRYPR >KQL03517 pep chromosome:Setaria_italica_v2.0:V:2880530:2881627:1 gene:SETIT_004255mg transcript:KQL03517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCGAGVTLLLVSLYALLPAITDAGLQEGFYKSNTNCTADVEATVASVVQQYVSADRGVGAGLIRLHFHDCFVKGCDGSVLIDPSPVNPDPEKGSPSNGGLRGLEVIQDAKRQLEGACPGTVSCADILAFAARDASNILSAGAINYGVPSGRRDGLASAASDATQSLPPPFAELGRLTELFAAKGFTQDELVTLSGAHSVGRAHCGSFAERIRPNVSETMDAEYGAGLQRRCAEDAGDGAAVFVDQDQGTPADLDNQYYGNVLAGKVLFNSDWALISDNTTRQMVEGNAANQAQWAAKFIDAMRKMGALDVLTGDQGEIRRFCNVTNSG >KQL04779 pep chromosome:Setaria_italica_v2.0:V:11710494:11712745:1 gene:SETIT_002202mg transcript:KQL04779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFQDARLPQQRVVEGVAFPAVLVPSAPASLATGGVDEFLAAVRSERASRLEPLVRDAGALLLRGFPARTAADFDRAVDAFGYEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPTFPSKLFFFCEVEPKSGGETPIVLSHYVYKRMKEKFPEFVEKLEKDGLIYTRVLGEGDDPSSPIGRGWQSTFLTKDKAVAEERAAQLGMKLEWTDDGVKTIMGPIPAVKWDESRGRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGSPLPADVIAECGKILEEECVAVPWQHGDILLIDNWAVLHSRRSFEPPRRVLASLCK >KQL08222 pep chromosome:Setaria_italica_v2.0:V:44258123:44262851:1 gene:SETIT_000708mg transcript:KQL08222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIAGDEVPAPPPPAPSTSGEASGGQSTSNPTSTGSRNPPSRTTKPGVKRLVLTASVLLSFLLGLPFLLKSTEIHRSPLPSDAITALAHRLHSNPPSFPCGLHAVFLRSGSDPSDASLASRLERAISAQLQLLPAASTAGNVSVSVTVESVGGCSSSGSVGSRWECGVVTTADLVLGDEVFDELLHSALGSGRGDGSRVYTVVVVETGDAEGMRVVIGKHRHAWVAGKVDEAKAVSVIGKVFVKYFMNGGVEEGETGIGKGEFMPVGSDGNVVLSFSLLNADPSDWVYDWEFEKIGERMLNPVIEALRPIAEINIESQVLYHTPKSSYSYSDDKLGGNVLSMGDIPFFVNSNEWHLDTSISATGQSKVLQFVVYIPSAKECPLYLQLPDGGLSKTNAFISPMWGGVLIWNPPDCSLGSQKTHGTRKKMSSQELMETLEIFIGQLRQLFGLKPSYLSQDMDMPTKFAVSEKGFTEWELDLLYRHHASSNLLSCLTTLESLSSLVHSLPRMIVMDEIGRQVELSLEAANLAQRNATLGISDSSAVSATRARALAEEAFFHPSIMSISYASIEHYFAIYMPFFAPVSLHVLLAAIKELKRYKAERAKYSAVLASRSTSS >KQL03182 pep chromosome:Setaria_italica_v2.0:V:251795:254016:1 gene:SETIT_001693mg transcript:KQL03182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALGALSGGAAGVAGLLSLRRRAAAPPPPSALASSSHLPPLKCAAVPDAGHLVWGRQLRPALALLPFPSQAARKQTPRPPAAASAGEAKPAGFLSKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSAIHLLVGVVYCLISWSLGLPKRAPVNANLLKLLFPVALCHALGHVTSNVSFAAVAVSFAHTIKALEPFFNAAATQFILGQQVPLSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIISLIVCIPPALMFEGPKLMQHGFNDAIAKVGLQKFVTDLFLVGLFYHLYNQVTGSSISCKS >KQL03183 pep chromosome:Setaria_italica_v2.0:V:251679:255050:1 gene:SETIT_001693mg transcript:KQL03183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALGALSGGAAGVAGLLSLRRRAAAPPPPSALASSSHLPPLKCAAVPDAGHLVWGRQLRPALALLPFPSQAARKQTPRPPAAASAGEAKPAGFLSKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSAIHLLVGVVYCLISWSLGLPKRAPVNANLLKLLFPVALCHALGHVTSNVSFAAVAVSFAHTIKALEPFFNAAATQFILGQQVPLSLWLSLAPVVIGVSMASLTELSFNWTGFINAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIISLIVCIPPALMFEGPKLMQHGFNDAIAKVGLQKFVTDLFLVGLFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIIVFGNRITTQTGIGTSIAIAGVAMYSYIKAKIEEEKRKKKSA >KQL03989 pep chromosome:Setaria_italica_v2.0:V:5732898:5733920:-1 gene:SETIT_004608mg transcript:KQL03989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSVQTVPQQEADAQAEETNQQLYQRFTDLVSSLPSSDGLSHQRLYLHGQGWRTGQMPMVGAMVAGARFAARPTDVILASLPKTGTTWTQALLYATVHRREHPPDAADHPFHSFGPHECVQNLEFQIYTCGRVPDIGDLPDPRLFSTHVPFAALPGSVAGGGCKVVYVCRDPKDTLVSLWHFMNKLRAKEGMGLLSAEVAADMFCAGESPFGPYWEHVLGYWRAHLARPDRVLFFRYEEMMRDPAAHVRRLAEFVGLPFGGAGEDGTADAIVRLCAFEHMCGLEATKSGRTVVGTRSVENSIFFRRGVVGDWVNHLSPETARRIDDITRSKFEGSGLTV >KQL04939 pep chromosome:Setaria_italica_v2.0:V:13206793:13208676:-1 gene:SETIT_002311mg transcript:KQL04939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLPPKIPTMAPAWPEFGGGHHHHAHHHHQRSPSVGTFLAAPMPPLPPPQQQHQQPSWVDEFLDFSAAKRGAHRRSVSDSVAFLEPGPDDGNAGVGAHDFDRLDDDQLLSMFSDDMPPPPSQQQAAPAPAPVASSSSPSDHNSINDEKTDRGETEEAQSKCHGDDAAPGQPASAAAVDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSALSPRVAFLDHQRSLLTLGNSHLKQRITALAQDKIFKDAHQEALKKEIERLRQIYHQQSLKKAESPPAPDAAPIRSDKDLIASEGTAAPAPCPPS >KQL05278 pep chromosome:Setaria_italica_v2.0:V:20453996:20455416:-1 gene:SETIT_003279mg transcript:KQL05278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAAAMAALPAPPCTSSSPPSVFLTPSSSADRRKAPRAVAVRASAATAAAARRSLSAGWDPSGRSAARPAARKARLEELDTTNMLLRQRIVFLGSPVDDTSADLIISQLLLLDAEDQTKDIKLFINSPGGSITAGTMEVYK >KQL06089 pep chromosome:Setaria_italica_v2.0:V:30570103:30572066:-1 gene:SETIT_001356mg transcript:KQL06089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAGDPLLPPGGSGRRLGFLPSSVHLKTSVWSELGGAVGDLGTYIPIVLALSLASHLDLGTTLIFTALYNFSTGLLFGIPMPVQPMKSIAAVALSSAHLTIPQIMSAGLSVAAVLLFLGATGLMTCLYRLLPLPVVRGIQLSQGLSFAFTAVKYIRYVQDFSHSSSASTAVARPLLGLDGLVLALAALLFIILATGSGDDEEVSSDGTIRRRRSCSRVPAALIVFALGLLLCFVRDPSIVQGLRFGPAPLRIVKITWDDFKIGFWEGAVPQLPLSVLNSVIAVCKLSSDLFPERAELSPARVSVSVGLMNFVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVVFLAIGKLALGLVFGNSFVTILGQFPIGILGVMLLFSGVELAMASRDMGTKEESFVMLICAGVSLTGSSAALGFISGIVLYLLLRLRDVDYRGLLGRWGAGRRQTGNKAGGDGDEDA >KQL08424 pep chromosome:Setaria_italica_v2.0:V:45400604:45404351:-1 gene:SETIT_001579mg transcript:KQL08424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDRRPWPAAAAAAAVLLVVSCVAAAAPPQRQQHERARISGAAGGVLDDNPVGKLKVFVYEMPRKYNLMLLAKDSRCLHHMFAAEIFMHQFLLNSAVRTLDPEEADWFYTPAYTTCDLTPQGFPLPFRAPRIMRSAIKYVATTWPYWNRTEGADHFFLTPHDFGACFHYQEERAMERGILPLLRRATLVQTFGQRNHVCLQDGSITIPPYANPHKMQAHLINPGTPRSIFVYFRGLFYDMGNDPEGGYYARGARASVWENFKDNPLFDISTEHPSTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEISVFVAERDVPRLDNILTSIPLEDILRKQRLLAGASVKQALLFHQPARPGDAFDQILNGLARKLPHGKGVFLEPGEKVLDWDAGLENDLKPW >KQL04370 pep chromosome:Setaria_italica_v2.0:V:8530165:8530651:1 gene:SETIT_004805mg transcript:KQL04370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGTFSSGPEAGAAGGAPKLHHQAAFGRGLLGLAAASTAITMAVSEPPPWLDRNAYLVALTGAFFAGMAQVAASVWASDGSRGHRVVVFCSVCFACSVGPLLCVRELVALCAKSWFLVRWMNKKIVA >KQL05496 pep chromosome:Setaria_italica_v2.0:V:25175358:25176105:1 gene:SETIT_004663mg transcript:KQL05496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEFDKVYGPTWHCIVGTSYGSFVTHSRGCFLYFSMDKIIVMLFKTKIRKVLAS >KQL07092 pep chromosome:Setaria_italica_v2.0:V:37607143:37609683:-1 gene:SETIT_005299mg transcript:KQL07092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRQGPPKHQNSYAWKPHLGQKINETEPGGRFRPLSEITGVCQRCRDQIDWKRRYGKYKQIVEPAKCQKCGKRAVRQAYHNVCRDCSKNLGICAKCCTRVNDLVGRDAIEEDSERKALEEAIRGARERERRTLLRIMNKSKGEDSGPSVPKIADRSREGDIFPAASLDEYAEQSREQDDSDEEAGDFVED >KQL04616 pep chromosome:Setaria_italica_v2.0:V:10224398:10225489:1 gene:SETIT_002950mg transcript:KQL04616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRCRRSQSPALLALAVLSCLQLALPAASQLSPTTTRPLPRPTATTTTTTPLEAGSGEVAGSGDIGGVAGRACTYTVQIKTSCSSPRRSPDAVSLAFGDTYRNEVYAARVTPARGFERCAKDTFRVTGPCGYGVCYLYLRRTGGVGWTPEWVRVYEPTSSTPSTFYYGDPLSNGVWYGTNRCLRRGAGGGASSEPVVAAAQALRL >KQL04451 pep chromosome:Setaria_italica_v2.0:V:9108020:9111285:1 gene:SETIT_004382mg transcript:KQL04451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAEEMQSMSSGNIEGGNIGDVYKMSISGMYQLATPAEIKHGDMAVEEDEEKEWRLDERDKALMVPNLTWEKKVLRVLDMVRCRELIEFNHKLNYFTPTRFCEFNIAFFDLDKESEWRLDDSINVISITVAESELSGTINIYGTVLARDQYDYRCVHLFKRGREDSQPISPKVYNTLTLTGPNQALAGLAGMYFEFHLKVKGEGAVDQDFSKGEPRTLSVESYLSKVDMVYTPVSYAVEASLGINILNGKSNFIGKVIAQTTNDENEIILYDSGVAGTETELGYGGFVKLARSVVAVPRDEKLMLRFTVSGGDHKSLSLLLPRWNSVEALITKLGPYKMQLKIIYKGVLMQRPNLFGDFGDSLVLR >KQL05023 pep chromosome:Setaria_italica_v2.0:V:14131419:14136698:-1 gene:SETIT_000775mg transcript:KQL05023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKCSGVLLIFTLAVVLLLLSPSPSPAPPPTTAATGPVAHLLPSLPGLSDLYPPPANSTAQLSWGLLRPLLCRSDALPGTATGVLEAADAWRNLTLAVAAAAADEEGRAQGPSCPSSVEGDLGAGRAGIPCGLAEGAAVTVVGVPREGAARFMVEMVGAGGEVVLHVNVSLGAAGMLVEQNSWTPQEGWGEWERCPQDGDVGSSNSSLQRSLVDGLVRCNEKMGSSIIQENNSTMINVTGNQPGDGQRPKERSQLSGSFSIVEGEPFTITVWAGVEGFHITVNGQHETSFAYREWSEPWLIAEVKVSGDLELLSFLANGLPVSEEIDMASVALLKAPPLPKKRAFLLVGVFSTGNNFKRRMALRRTWMQYEAVRSGDVVVRFFAGLHKSEQVNMELWREAQLYGDIQLMPFVDYYSLITFKTISICIFGTKIVPAKYIMKTDDDAFVRIDEVISSLKKSNSHGLLYGLISFQSSPHRDKDSKWFISRKEWPYDMYPPWAHGPGYIISRDIAKFVVRGHQELTLQLFKLEDVAMGIWIQQYKSSGQQVNIVTDDRFYSEGCDADYVLAHYQSPRLMMCLWEKLKTEYQAICCE >KQL08253 pep chromosome:Setaria_italica_v2.0:V:44437770:44438343:-1 gene:SETIT_005268mg transcript:KQL08253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRLRRRSAFHGSGQPQPNMDDHGTHSERVTKVAGNPCHAGGGGGAAAAVQQHTAVHTESFKEVDGDGYDPRRGHNNHALQQQAHLQ >KQL03707 pep chromosome:Setaria_italica_v2.0:V:4168085:4173907:1 gene:SETIT_000476mg transcript:KQL03707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEARLAFAQDSPPLAIIAAAKIAGVSLTIDPSLAPGSVPTLYLGSGDFIHGINTILRYIARVTTVPSFYGQDAIQAADVDQWLEYAPVILSGSEFEAACSFLDGFLASRTFLVGYCLSIADMVVWSNIAGSGQRWESLRRSKKYQNLTRWFNSIAVDYAGKLDEVAAAYVGKRGIGKSPAPCLKEKVQDLKLNTSIPEIDLPGAKVGEVCVRFAPEPSGYLHIGHAKAGLLNKYFAERYNGRLIVRFDDTNPSKENNEFVENIMEDIDTMGIKYDRVTYTSDYFPKLMEMVESLIKQGKAYVDDTPREQMRAERMAGVESKCRNNTVEENLSLWREMVNGTEIGMQCYVRGKLDMLDPNKSLRDPVYYRCNTVPHHRVGSKYKVYPTYDFACPFVDALEGVTHALRSSEYHDRNAQYYRILQDMGLRRVEIFEFSRLNMVYTLLSKRKLLGFVQNKKVDGWTDPRFPTIQGIVRRGLKIEALTQFILEQGASKNLNLMEWDKLWTINKKIIDPVCARHTAVLKDQRVLLTLTNGPEEPFVRILPRHKKYEGAGNKATTFTNRIWLEYADVMSTGQEVTLMDWGNAILKEIKTENGIITQLVGELQLEGSVKSTKLKLTWLPDIENLVSLSLVEFDYLITKKKLEENEEISTANLNPCTRREISALGDPNMQNLKQGEIIQLERKGYYRCDVPFIRPAKPIVLFSIPDGRQQSVANK >KQL04973 pep chromosome:Setaria_italica_v2.0:V:13562344:13566703:1 gene:SETIT_000561mg transcript:KQL04973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRPPAPMPDTLSDAFAAAVLLSSTDKPDTLPPGRLSPVSPLPYSSSKHPTPSSSSGSSGSVSRAPPAHASGLASRRSHSGEIPLPSDAPPRGAARPGHRRTGSGPLIFTSGASACSSSATSPLTNALPAGNICPSGRIAKPLPSCSAATPPPPPPPRASRHDVLGSGTANYGHGSIVRSRSGGAAPASEDDAMVRRAMAAADPEEVKRAGNEQYRKGCFEEALKLYDRALALCPDNAACRGNRAAALIGLRRLGDAVKECEEALRIDPSYGRAHHRLASLHIRLGHIEDALKHLSLAAPQPDLLELHKLQTVEKHLGRCLDARKAGDWKSALRESDAAIAAGADSSALLLATRAEALLRLNLLDEADLAIASASKLDYSSSSSSDTKFCGFLANAYLFYVHAQVDMALGRFDHAVSSIDKARIIDPGNSEVVAMHNKVKSVARARSLGNELFNSGKFSEACLAYGEGLKQHPVNKVLYCNRAACRFKLGQWEKSIEDCNEALKIHPNYTKALLRRAASYGKMEQWAESVKDYEVLRKELPNDTEVAEAYFHAQVALKSSRGEEVSNMKFGGEVEAITGMEQFQMATSLPGVSVVHFMTPSNQQCCKISPFVNTLCTRYPSVNFLKVDVNESPAVARAENVRTIPTFKIYKNGMRVKEMICPSQQLLEYSVRHYGI >KQL07701 pep chromosome:Setaria_italica_v2.0:V:41319942:41320418:-1 gene:SETIT_004225mg transcript:KQL07701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPFITTRSSSCKRSELEFAVALLVKSVEATIRVKVVRGSWPDHYRGQVVSRTTSISHGGVVLLDTRYGKMSVNRYGVVELSRNVVSVESGGQLKVGVVASQFEDDENAVAEGLVDFTPKTTGVSHGNCDLGFYLVRTSIHWSLPCFVDDHVGLQNKPV >KQL03332 pep chromosome:Setaria_italica_v2.0:V:1771549:1774369:-1 gene:SETIT_000799mg transcript:KQL03332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMSSFNEFQMHMPAQSDAPLSQFPRGGTPPESLFGGNLGHMVSRGFPTNSNSSGPKNHMLNNGQIPGEIASIMPNLVPNHLQRPNAFMPPQMLPMRKQHGMLPIQQSPQHLSRTQAHMFGPQHPPQLMNRFDNFGMPEFSDPRAISYMHHGRQGPHFPLQGPEFGIMRMGNGRPRFRSKYMSTEELENISRIQHAATHINDPYIDDYYHQACLARRSVDARLKHHFCPTLILDPSSRARGKDEPHAYLKVDALGRLPFSSIRRPRPLLDVEPASTTDDNTLVSKSLDQEPMLAARITIEDGLSLLLDIDDIDRLLQINQQQDGGLQLRNRRQSLLGQLAESLQLVDPLGPNKNTHLSANDDLVFLRIVSLPKGRKLLSRYINLMVPGSDLARIACMAVFRHLRFVFGNLPSDDGSAETVTKLANAVAACIRGMDLSGLSACLAAIVCSSDHPPLRPLGYAAGDGATVIIKSVLDRATELLTEQLAASNYSVPNRGLWQASFNAFFGLLTKYCISKFDSLVHTMHTASAIGRELPVELLRASLPHTDEQQRRILLEFAQRTVPATGHNSQRAPGGTMASETIPS >KQL06435 pep chromosome:Setaria_italica_v2.0:V:33362444:33362668:-1 gene:SETIT_005002mg transcript:KQL06435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTGWRRSKNDVVTEAAASRRAVAKPRKQRRDDDSADEEEQTTRAWRRRRSGGVLSRAGGGDGAALAAVGTVVT >KQL07427 pep chromosome:Setaria_italica_v2.0:V:39711114:39715178:-1 gene:SETIT_001092mg transcript:KQL07427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIAAFSLLLFLSVQGASPVLGFTRSDFPEDFVFGSATSAYQYEGAVAEDGRSPSIWDTFTHAGRMRDKSNGDVAADGYHKYKDDVKLVADTNLEAYRFSISWSRLIPKGRGDVNPQGLEYYNNLIDELVRHGVQVHVMMCHLDLPQVLEDEYSGWLSPRIVEDFTAYADVCFREFGDRVSYWTTLDEANVATVASYDNGQFAPGRCSDPFGVTKCTVGNSSVEPYIAQHNTLLAHASAARLYRGKYQAMQKGVIGINIFTMWSYPLTNSTADLKASQRFRDFYFGWVLEPLVFGDYPQAMKKNVGSRLPPFTKIQSEAIRDTLDFIGINYYYSLYVNDHPLEKGIHDFALDISVECRGSRIDPPIGQGRPTSIPADPEGLQLLVEYLNEAYGNLPIYIQETGYETKNGSLHDTDRVGYMKNHIRSTLTALRNGANVKGYFAWSFTDMFEFGSGFRSQYGLYRVDFEDEALPRQARLSARWYSEFLKNKEIQISEENELDGAGSHAQQ >KQL07428 pep chromosome:Setaria_italica_v2.0:V:39712526:39715178:-1 gene:SETIT_001092mg transcript:KQL07428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIAAFSLLLFLSVQGASPVLGFTRSDFPEDFVFGSATSAYQYEGAVAEDGRSPSIWDTFTHAGRMRDKSNGDVAADGYHKYKDDVKLVADTNLEAYRFSISWSRLIPKGRGDVNPQGLEYYNNLIDELVRHGVQVHVMMCHLDLPQVLEDEYSGWLSPRIVEDFTAYADVCFREFGDRVSYWTTLDEANVATVASYDNGQFAPGRCSDPFGVTKCTVGNSSVEPYIAQHNTLLAHASAARLYRGKYQAMQKGVIGINIFTMWSYPLTNSTADLKASQRFRDFYFGWVLEPLVFGDYPQAMKKNVGSRLPPFTKIQSEAIRDTLDFIGINYYYSLYVNDHPLEKGIHDFALDISVECRGSRIDPPIGQVKLLINNYSKH >KQL03980 pep chromosome:Setaria_italica_v2.0:V:5693791:5694042:-1 gene:SETIT_0018412mg transcript:KQL03980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEVLISIRPGTRHSLKTTGFRQSLHLLISYELRICNLPYAQMCYLQKGRT >KQL04844 pep chromosome:Setaria_italica_v2.0:V:12330756:12335265:-1 gene:SETIT_001239mg transcript:KQL04844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMDMLGPSLWDVWNNNSHSMSVEMVACIGIEAISILEKMHSKGYVHGDVKPENFLLGPPGTPDEKKLFLVDLGLATKWKDAGTGSHVDYDQRPDIFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMSTPPESLCGICPQPFRHFVEYVVNLKFDEEPNYAKCISLFDGIVGPNPDIRPINTDGAQKLIYQVGQKRGRLIVDENDDEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADNRLLQHIQKGNEDGLFISSVASCSNLWALIMDAGTGFTSQVYELSHHFLHKEWIMEQWERNFYITALAGANNGSSLVIMSRGTQYAQQSYKVSDSFPFKWINKKWKEGFYVTAMATAGSRWAVVMSRNAGFSAQVVELDFLYPSEGIHMRWDNGYRITATAATWDQAAFILSVPRRKPTDETQETLRTSAFPSQHVKDKWSKNLYLASICYGRSVS >KQL05306 pep chromosome:Setaria_italica_v2.0:V:21855463:21860627:-1 gene:SETIT_000202mg transcript:KQL05306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASRPPSTPASKGERTPMSTPGGSSRAKEEKIFVTVRVRPLSKKELAVKDEVAWECADSQTILYKGPPQDRAAPTSYTFDKVFGPACQTDVVYEEGAKDVAMSALTGINATIFAYGQTSSGKTFTMRGVTESAVNDIYRHIDNTPEREFVIKISAMEIYNEIVKDLLRPDSAPLRLLDDPEKGTIVEKLQEEIAKDSQHLRHLISICEEQRQVGETALNDTSSRSHQIIRLTLESRLREVSGCVKSFVANLNFVDLAGSERAAQTHAIGARLKEGCHINRSLLTLTTVIRKLSSEKRSGHIPYRDSKLTRILQLSLGGNARTAIICTMSPALTHVEQSRNTLFFATCAKEVTNTAKVNMVVSDKQLVKHLQTEVARLEAELRTPDRASSSDILIMEKDRKIRQMEVEIEELRKQRDNAQSELEELRKKKGDHQPGWNPFDSPQKARKCLTFSGSLEPSNKIKMRSSIRQSSTAPFVLKHEIRKLEQLQQQLEVEANRAIEVLHKEVQCHKHGNQDAAETIAKLQAEIREMQAVRSENGDVEMITDEANGSDLKDEISRLHMQDNDIAKLEAKLENVQRSIDRLVMSLPNVAMPCNETTPKSNRSKKKKRMLLPLGVSNNINRANLLRAPCSPHSSSRPSESEVENRAPEGDTVSVEGSEKATPTKSEDGDISSRDETPRYRRSSSVNMKKMQRMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELESNEGKSNDDLEEDNSGPLQDTPDSWDRLFKEQMQHIIQLWDLCHVSIIHRTQFYLLFRGDRADQIYIEVEVRRLTWLQQHFAEVGDASPAAGDDTAVSLVSSIKALRNEREFLARRMGSRLTDEERERLFIKWQVPLDAKQRKLQLVNKLWTDPNDQVHIEESADLVARLVGFCEGGNISKEMFELNFAVPTSRKPWLVGWQPISNMIREKTQLW >KQL07885 pep chromosome:Setaria_italica_v2.0:V:42331531:42333278:1 gene:SETIT_004576mg transcript:KQL07885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKASVNQQTAGDTTAAPAAIAAVGRPFEPCVWGDFFVTYTPSTLAGMQADIYIHISARIHSEGLDVGMSNDLHIVALRFCLLRQHGFWVPSVHGFARVRSPVNTCDDMQLGKSKRDVASSLECYMKEHGMAVEDAMAALAAMVEQAGRRINQACMELGRGLLPAAQLVVNMTRMLEVYYLHGRDGLTYGRELKELITFLFLKQVPV >KQL07060 pep chromosome:Setaria_italica_v2.0:V:37415978:37417917:-1 gene:SETIT_005092mg transcript:KQL07060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQPVTGPCVSDGWRARVAAFLGREVEEQGTRTSGVLISWLREHFGQCPQDADAETVGHYCRAWILYLFACVLFPDATGDTASWMWIHCLTDWHQAGSYSWGSAVLCFLYRQLCEACRRSSGSPSVGGCIYLLQLWMWSRLPVGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDCINEIDALTAHSPYDGDDPLPFPLSFVCATDDDIYRMVCPLICFYAVEQIWPPQATSTSIELHNVDRKKKRKVSEWPAFHHAYIQEWEQFEQNLDENNEPHTNSAYRQYQSWYQGATRHRLREAWTEDDYADIHSSDDEDTVYDQSTRAGRQVEAGPILDRMGRTLQSSVRDIEQFRPRVRDPETRSFLERLSNRLRRAAARCGCRTATTRDVHIPSLREGGVGTSSQGPSGSKSIASEEVDDDDDDDDDDDDDEQRAEEIGPSQLQEAPLTQPTQVVGGTRLRRPRSPYTPGTDALGHKGKGKTRRQ >KQL07173 pep chromosome:Setaria_italica_v2.0:V:38077711:38092390:-1 gene:SETIT_000115mg transcript:KQL07173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVPHQDVIEGAQQAVVEGPQQDVVEGPQQDVVEGPQPMEESASTVENQTVPDPCTSRFTWTIENFFKRNVRKHYSDDFVVGGYKWRVLVFPKGNNVDNLSMYLDVADANFLPPGWSRYAQFSLAVVNQIDSKMSLRKEATHQFNARESDWGFTSFMPLMDLYDSSKGYVVNDKCIIEAEVAVRKIVDFWNYDSKKMTGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTSENDTPSGSIPLALQSLFYKLQHNDNSVATKELTKSFGWDSYDSFMQHDVQELNRVLCEKLENKMKGTTVEGAIQKLFEGHHMNYIECINVDHKSTRKESFYDLALDVKGCSDVYASFDKYVAVERLEGDNKYQSEEHGLQDAKKGMLFVDFPPVLQLQLKRFEYDFVRDTMVKINDRYEFPLQLDLDRDDGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDTKRALEEQYGGEEELPHTNPGLNTTPLRFTKHSNAYMLVYIRESDKEKIICDLDEEDISEHLKVRLRKEQEEKEYKKREKAEAHMFTALKVAWDSDLKEQIGRHVHFDLVDFDKVHSFRAPKNMSINEVKMELSKEFGIPVESQRFWVWAKRKNSTYRPSRPLTLQEEKTAIGLLKDATVTKFQTSEVRLFLEVHFGQENQPIAPPVKTKEDILLFFKLYDPEKEDLRYVGKFFVKASGKPFDIVERLNQIAGFPSDEDIDLYEEIMYDPSVMCEPIESNVSFHSSQIENGDIICYQKRCLPDKMDQYRYPTVSSFFEYIHNKQIVRFRLLEKPKEDYFSLELSKRSTYDDVVEKVAHQLGLDEPSKIRLTQHHPLSHQPKPYYIKYRGLDYLSDMLQHHNQMCDILYYETLDIPLPELEGLKTLKVAFQNAKNCEASFHIMRSPKSNALFDLIEDLKSKVELSCNDAEFRFFNVHLHKICKVYQPGDKIDSVSDQSGPLRIEEVPEEEKNAGPNDRLVHVYHFFKDIHHIQYFGEPFFFLIRDGEALSDIKVRIQKRLQVPDEQFLKWKFAYVTYGRPEYLQDSDIVLSRFQKQKPIYGAWEHILGLEHTATTPKRSFLASQNRHSFEKPVKIYN >KQL03810 pep chromosome:Setaria_italica_v2.0:V:4715816:4721448:-1 gene:SETIT_002657mg transcript:KQL03810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRVLVELAIPCSKSGPRVFKGFTVGFHPRSSEIVYNGLTQLGFEQLHHGFSFQTEQIHVSLYLSAMSSLAGLVGKPTLKVNPAKGLSVTLTGSGLNGAMPTTLSPTVLNVDWRCEIARSSPYEVNILIPVEGYDPIEFTLTKECGYAQEKESDLMKGWATFGIISCIFVILSTLLCCGGFIYKTRVEHLYGLDALPGMAFLSAFLDAVGRPRGYLQADNPSENHASQASWEHTGGTIQAAQRANDRAYGSI >KQL06702 pep chromosome:Setaria_italica_v2.0:V:35114843:35117593:1 gene:SETIT_004017mg transcript:KQL06702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQQPTPPAKKVKPSPPRTDAEAGAAGDGEDRFSALDDDALREILARLPLRDAAVTTALSRRWPRVFATLPRLRLDSTTFNARASLGIDYCDDNCRWVDSLDCVLAGREAPVVAFEVDVDIDLLEGYGDWFYSSFREVCRRGALRELRVVNDHVHERYLLPSPVYACETLTSLDLDSCWLRVPGKLTGLRAVRSLRLRNVVAEDADLRRVISRCRAVERLVLDDCHRARNVVIRGPSLKELEIRSYRPLRVKVTKAPGLESAKLILFYDFPDCFWNSYDNSDGEIARKRPDGNKVQELFDFEAMQKREHKKTDEATNIMTFLSGLGCAKELFLNLSYEFAKLSLGLNHNDRGLAKVVSCLLNSSPNLSDLEIHDPYNYDDRRVSSLAPEFWEEHVSTECVQNHLSNITFYRASESFQDCPYIVFSKFLVMRARALKRMSIKYQRSKDHHLYADELETVRGELQQCPRASPGALVEISAVDRLPWY >KQL03424 pep chromosome:Setaria_italica_v2.0:V:2272633:2273825:-1 gene:SETIT_002845mg transcript:KQL03424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGAIIFDYIPARRRVSAADFWPDDSEADAEDSHAPRASDERAPRAKRGRKNQYRGIRQRPWGKWAAEIRDPVKGVRVWLGTYPTAEAAARAYDRAARRIRGAKAKVNFPNDTSSSPVVASAVGARAAPPATAAQAPAVLPPPKTEAGVSEEVKELSEELMAYENYMNFLGIPYMEGGSAAAAAAPVAVEEPQAAVPTGLWSFEDYTYYPASLSLFTE >KQL08583 pep chromosome:Setaria_italica_v2.0:V:46167525:46168163:-1 gene:SETIT_004532mg transcript:KQL08583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCSFRPLVLLLTIFLAAFAVSTRATADGKAPAPPPADSMFLRACCANTTNASACYDSLIPLAGSFHGNRVRVARAAAVLAFARLRGFHDELRRLQPRAGAGRVVDMALQFCATSAEVCLGSEGDSLAELRRLETAAGRRRGEQAEWDLYNARLYVGGIGPCATLCVDDLASIGDAVLASPVGKKVVAWAAPVLLYGDIAADLVDSIKLGM >KQL06492 pep chromosome:Setaria_italica_v2.0:V:33790083:33791275:-1 gene:SETIT_002912mg transcript:KQL06492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFMAAVENDHHRGVKFAPPPSNSLAHAARPPPQPSSSRTRLHDFSFPTVSWGTHRLLRCSKNGPGSSPPPSAPDTPSPDKEKLHRPDGGAGAGAGGSLQRRRSSAQRPWNLRTRRSATAAPARPARSDGAEEEAVLAERAPAPAAEAKKRGFSVVLSKEEIAEDFMAIRGSRPPRRPKKRPRTVQRQLDLLYPGLSLADVTPGSYKIEER >KQL06672 pep chromosome:Setaria_italica_v2.0:V:34932436:34935380:1 gene:SETIT_002730mg transcript:KQL06672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPKVLSSMELEADFDDEGVCSNNGLQELWPLGEIDPKRARFPCCIVWTPLPVVSWLAPYIGHVGICQEDGAVLDFAGSNLVSMDNFAYGSVARYLQLDRKKCCFPANLAAHVCERSYNHSEVGAAISWDDALQSGMRRFQHKYYNLFTCNCHSFVANCLNHLAYNGSVEWNVLNVATLVWFHGQWVDKMSVVRSFLPFLTVTCIGILMAGWSFLVGMAAFSALLIGWFVFTVYCTKGLVC >KQL06537 pep chromosome:Setaria_italica_v2.0:V:34038952:34040848:-1 gene:SETIT_000999mg transcript:KQL06537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAASSSLSLLFSHPRSGHSSAHRRGPQLRLPARASRPRCASSDAPATATKHRRPAEENIREEAARLRGPAQGFSAWYEPFPPAPGGDPNERYSIDEVVYRSSSGGLLDVRHDMEALARYPGSYWRDLFDSRVGRTAWPYGSGVWSKKEFVLPEIDSDHIVSLFEGNSNLFWAERFGREHLGGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRRAPLSRPIAGVGCASTGDTSAALSAYCAAAGIPAIVFLPADRISLQQLIQPIANGATVLSLDTDFDGCMRLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFNWQVPDWVIVPGGNLGNIYAFYKGFEMCRVLGLVDRVPRLVCAQAANANPLYRYYKSGWTEFQPQVAETTFASAIQIGDPVSVDRAVFALKATDGIVEEATEEELMDATTLADRTGMFACPHTGVALAALFKLRDQRIIGPNDRTVVVSTAHGLKFTQSKIDYHDKKIQGMLCQYANPPINVKADFASVMDVLQKNLNGKI >KQL05851 pep chromosome:Setaria_italica_v2.0:V:28423686:28424261:1 gene:SETIT_005537mg transcript:KQL05851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRYALDFSSRDGIVHRFFLGAATSAVVAREIYYFHFC >KQL07366 pep chromosome:Setaria_italica_v2.0:V:39347134:39349467:-1 gene:SETIT_003879mg transcript:KQL07366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPGSAVYHVVEAMAPLYTAAVLGYASVRWLKAFSDEQCAGINHFVALYAVPVLIFHMVSSNDPYHMNERLIAADTLQKAVMLLALTAWAFWAHFRRRRGGDGKAAAAASPIKWVVTNFSVASLPNTIIMGVPLLDGMYGSVSGGLMKQIVVMQFCIWYNVVIFLYEFMAARDGSAKISPVSAAAAPAEKRDRIGENGGSIHAERSQNQVVVNIEITEVAAAADASPTAPPESGAEEAAAAAAAAEEVAAVPPPAPAQQVPPVMHVVWMAVKKLLQIPNTYASFLGLIWSLMAFKIGFSMPKIVDDSLFTIHTTAVGLSMFASGTFIARQSRFVPCGYTIASLSMVLKFLIGPVIMLLVSLAIGMHGTLLHIAVVQAALPLAVTSFVYAEEYKVHADIMSTGVILGIFISLPVTIVYYILLGL >KQL04192 pep chromosome:Setaria_italica_v2.0:V:7104576:7106803:1 gene:SETIT_002144mg transcript:KQL04192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTPQKRVSTPENGHGGKRPRALASPDGGVKQERRGQAEASQGGEGEGAVVAVQAMDDPQISVRISVARLHCNACLVPLKPPTFKCEAGHVVCSTCRGRHGQGCAGAGAYAACVELDDIVRDAKVPCAYEEYGCTSWVVYHKVVDHHRTCRWGPCFCPDSSCELYASPARLAEHFAAHHAWPVAKIAYGKPCKLAVPGPQGRQVLVGEGDGCVFLVSPCALGAATAVSLVCVRAGGDAVAGAPQFRCKLWVEVEGNKENLALVTSMVASSNLSGGFVAAEQGMFLAVPPELLHDESGEAPALMVRIDRAGAAAAAASARSPSATPPSKLPKRLQ >KQL08082 pep chromosome:Setaria_italica_v2.0:V:43496746:43498264:-1 gene:SETIT_002805mg transcript:KQL08082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAKQALLAGCSAGGLATLLHCDNFRAKFPQEVSVKCVPDGGFFLDAKDLSGERSMRSVFNGVVQLQNVSEVLPKDCLAKKDPTDCFFPAELIKSISTPTFIVNSEYDSWQIQNVVAPVGSYPGDTWSNCRDNIGNCSSKQIDVLHGFRRKLIHELKAAEGKREWGLFIDSCFTHCQTQWNGSWHSPTSPRLDNKTIAEAVGDWYFGRRKGVKQIDCKYPCNPTCGS >KQL03305 pep chromosome:Setaria_italica_v2.0:V:816266:822493:-1 gene:SETIT_000285mg transcript:KQL03305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSVVMSALGIGIGVGVGLGLASAPWAGGGRSGSSSRDGVTVERVEQELRRLLVDGAESKATFDEFPYYLSEQTRVVLTSAAYVHLKQADISRYTRNLAPASRAILLSGPAELYQQMLAKALAHYFQAKLLLLDPTDFLIKIHSKYGTGGSTEQPFKRSISETTFERVTGLLGSLSILPQKEQPKETIRRQSSMTDVKLRSSESMSNLPKLRRNASTSSDMSSLASQGPSTNTAPLRRASSWTFDEKILVQALYKVLHSVSKKYPIVLYIRDVEKFLHKSPKMYLLFEKLLNKLEGPVLVLGSRIVEMDVDEELDDRLTVLFPYNIEIKPPENENHLVSWNSQLEEDMKMIQFQDNRNHILEVLAENDLECDDLGSICLSDTIGLSKYIEEIVVSAVSYHLMNNREPEYRNGKLVISAKSLSHALEIFQENKMSDKDSLKLEVTADALKAAEKGTAPTAAKSETKPATLLPPVRPPAAASAAPAAAAAAAAPAVESKPAPEKKDSPPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVSFDDIGALDDIKESLHELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQRILVLAATNRPFDLDEAIIRRFERRIMVGLPSMESRELIMRRLLSKEKVDEGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKLKREKGGAPSDSTKKKEKEEPIILRPLNMTDLKEAKNQVAASFAAEGAIMGELRQWNELYGEGGSRKKQQLTYFL >KQL03497 pep chromosome:Setaria_italica_v2.0:V:2729087:2729590:1 gene:SETIT_004827mg transcript:KQL03497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLVSQGVGRGAGMRPLVLVRDGSRTRVEEHTGVAELMIDTSGHVVACAFDVIRERRVRAMAADELLHGGEVYLLVHANRESGARLSDREVLGHRVVGLKEEEIKEEDAHRQQGQQGLPVDLPDGQRRKGGSICQKWAQDHYGIEPRQWRSALDTMYET >KQL05889 pep chromosome:Setaria_italica_v2.0:V:28829369:28835947:-1 gene:SETIT_000968mg transcript:KQL05889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPLYPYSRGALPGGDGALPPPRYSDLEVDLIAARYTGTPPPYPSSADVSAFDSHVGAFDYGVGAFDSHVGAFDSGVGAFDSHVGAFDSHVGAFDSHIGAFDSRVGAFDSRFGARRSAEALYHQSFMGSHSTVAQNEALYSPNTMAKRPRLESSLPIYPQRPREKDCAFYMRTRTCKYGEACKFDHPQWVPEGGVPNWKEVPNVEDYYPERPGEPDCPYLVKSNTCRFKSRCKFNHPKEKVNALEAGTDNEHSLIADSAILPVRPSEPVCSFYAKTGKCKFGANCKFNHPMPSVIAKETIYTATTDAAAHIGAADDLVPAKMHAPTAPSEAHNPKGLPIRPGEVDCSFYMKTGSCKYGSICRFNHPYRPVVDIALIAPLVQTTLPTPAPIISAPMLNPATNVLQSFDFHVTHVPIEPVPIYPQRPGETVCDVFLHEDRILQVFSEMQVSPSYEPL >KQL05888 pep chromosome:Setaria_italica_v2.0:V:28828741:28836060:-1 gene:SETIT_000968mg transcript:KQL05888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPSTRTSALSTLAWAPSTRTLAPSTRTLAPSTRTSAPSTRALVLSIRASVRGDPRKVPNVEDYYPERPGEPDCPYLVKSNTCRFKSRCKFNHPKEKVNALEAGTDNEHSLIADSAILPVRPSEPVCSFYAKTGKCKFGANCKFNHPMPSVIAKETIYTATTDAAAHIGAADDLVPAKMHAPTAPSEAHNPKGLPIRPGEVDCSFYMKTGSCKYGSICRFNHPYRPVVDIALIAPLVQTTLPTPAPIISAPMLNPATNVLQSFDFHVTHVPIEPVPIYPQRPGETVCDFYMKTGFCKYSQKCKFHHPMNRSEPGANGNWDPEQPVTLTLAGLPRREGAEACAFYMRSGTCRYGAHCKFDHPPPQEAIAKLQAAGKEDEEKKEGEAKEGSVVP >KQL05887 pep chromosome:Setaria_italica_v2.0:V:28828741:28836060:-1 gene:SETIT_000968mg transcript:KQL05887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPANLTIHNGFQRVGFQTGKRSQTLKIIILSDQGNLIVLCKFNHPKEKVNALEAGTDNEHSLIADSAILPVRPSEPVCSFYAKTGKCKFGANCKFNHPMPSVIAKETIYTATTDAAAHIGAADDLVPAKMHAPTAPSEAHNPKGLPIRPGEVDCSFYMKTGSCKYGSICRFNHPYRPVVDIALIAPLVQTTLPTPAPIISAPMLNPATNVLQSFDFHVTHVPIEPVPIYPQRPGETVCDFYMKTGFCKYSQKCKFHHPMNRSEPGANGNWDPEQPVTLTLAGLPRREGAEACAFYMRSGTCRYGAHCKFDHPPPQEAIAKLQAAGKEDEEKKEGEAKEGSVVP >KQL05890 pep chromosome:Setaria_italica_v2.0:V:28828978:28835947:-1 gene:SETIT_000968mg transcript:KQL05890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPLYPYSRGALPGGDGALPPPRYSDLEVDLIAARYTGTPPPYPSSADVSAFDSHVGAFDYGVGAFDSHVGAFDSGVGAFDSHVGAFDSHVGAFDSHIGAFDSRVGAFDSRFGARRSAEALYHQSFMGSHSTVAQNEALYSPNTMAKRPRLESSLPIYPQRPREKDCAFYMRTRTCKYGEACKFDHPQWVPEGGVPNWKEVPNVEDYYPERPGEPDCPYLVKSNTCRFKSRCKFNHPKEKVNALEAGTDNEHSLIADSAILPVRPSEPVCSFYAKTGKCKFGANCKFNHPMPSVIAKETIYTATTDAAAHIGAADDLVPAKMHAPTAPSEAHNPKGLPIRPGEVDCSFYMKTGSCKYGSICRFNHPYRPVVDIALIAPLVQTTLPTPAPIISAPMLNPATNVLQSFDFHVTHVPIEPVPIYPQRPGETVCDFYMKTGFCKYSQKCKFHHPMNRSEPGANGNWDPEQPVTLTLAGLPRREGAEACAFYMRSGTCRYGAHCKFDHPPPQEAIAKLQAAGKEDEEKKEGEAKEGSVVP >KQL08009 pep chromosome:Setaria_italica_v2.0:V:43098509:43099174:1 gene:SETIT_004954mg transcript:KQL08009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEECKVLIEQSSKDAADPPRPDEDDDEDDNSSFIVLLNLVLGGTARLNVLLPTATILAFAIFAPLLTDDGKCARPNRILTGAFVALCAASCVFFTLTDSFRSPSGRLRYGVATPSGIRTFCGGGGGGRRKGPREPELYRLRWSDLFHTSLALVAFVTFAASHHDIVLCYYPGVPRKVVNTVPLVIGFVVSLLFVLFPSKRRGIGYPFLLRTDLVYLRR >KQL03184 pep chromosome:Setaria_italica_v2.0:V:258848:262029:-1 gene:SETIT_002113mg transcript:KQL03184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDYYKVLGVDRGAGDDDLKKAYRKLAMRWHPDKNSTNKKEAEAKFKQISEAYEVLSDPQKRTIYDQLGEEGLKGQPPPGAGGPGASPFFPGGAHSTSFHFNPRSADDIFKEFFGFSGMGGMGSMRGEPGFQRSMFGNDFFPSRYGGEGSTSMQQPLHKAAPIENRLPVSLADLYKGVTKKMKISRETIDAHGRVSHVEEILTIDVKPGWKKGTKITFPEKGNEAPNMRPADIVFIIDEKPHDVFTRDGNDLVMTEKISLVEALTGYTARVTTLDGRSLSLPINSIIHPSYEEVIPGEGMPIPKDPTKKGNLRIKFNIKFPSRLTSDQKAGIKKLLGS >KQL08630 pep chromosome:Setaria_italica_v2.0:V:46363782:46373299:1 gene:SETIT_000180mg transcript:KQL08630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAKVLDPAFQGAGQKVGTEIWRIEDFKPVALPKSDHGKFYCGDSYIVLQTSCTKGGAYLYDIHFWIGKDSSQDESGTAAIKTVELDAILGGRAIQHRELQGYESDKFLSYFKPCIIPLEGGFASGFKKPEEEKFETRLYICKGKRAIRVKQVPFARSSLNHDDVFVLDTESKIYQFNGANSNIQERAKALEVIQHLKEKYHGGVCDVAIVDDGKLQAESDSGEFWVLFGGFAPIGKKTVSDDDIVLETTAPKLYSINDGQLKLEETALTKAVLENTKCFLVDCGAEIYVWVGRVTQMEDRKSATKAVEEFLINQKRPKTTRVTQVIQGYESHAFKSKFESWPVGNAAGSPGAEEGRGKVAALLKQQGVDVKGAAKSTTPVNEEVPPLLEGSGKLEVWCVDGNAKTALPKEDIGKFYSGDCYIILYTYHSGDKKEEYYLSYWIGKDSLADDQVMASQLANTMWNSLKGRPVLGRIYQGKEPPQFVALFQPMVILKGGIGSGYKKLIEEKGVTGETYSSEGIALIRVSGTSLHNNKTLQVDAVATSLSSSECFVLQSGNAMFTWFGNSSTYEQQQWAAKVAEFLKPGVAVKHCKEGTESSAFWFALGGKQSYTNRNATLDIIAREPHLYAFSFKNGRLEVTEIFNFSQDDLLTEDMMILDTHGEVFIWIGQCVESKEKQKAFDIGQKYIEHANSIEDLSPYVPLYKVMEGNEPCFFKTYFSWDNTKSVVHGNSFQKKLALLFGLRSEGVPRSSGNGGPTQRASALAALSSAFNPSSQQKLTNERPKSTGDGPTQRASALAALSNAFKPSSKPKTPTPPPSRSGQGSQRAAAVAALSSVLTAEQSGSSENLRAKASSTADKTDVDRVVITPSGASSPQSEAGESSVFHQEKDAAVDGAPSEADGAEAEAPEEETTENVGEATFSYDRLISKSTDPVRGIDYKRREAYLSDSEFQTVFGMTKDAFYQQPNWKQELQKRKADLF >KQL07610 pep chromosome:Setaria_italica_v2.0:V:40806104:40806713:-1 gene:SETIT_003469mg transcript:KQL07610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHAPSSSKQSSSRLPEHQQLRPPAAHSLTERPAPSGRARKQSRGAMEYGYPYNGCGGNKEKRPPLKRGQLKLQIARTLLGGLVVPAGSKNRDRSFGR >KQL03742 pep chromosome:Setaria_italica_v2.0:V:4375795:4376185:-1 gene:SETIT_0004541mg transcript:KQL03742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLRPPPPPPHLRALLRRLLSTGAGPVPSRMLPSLRSTAAAPRFLFGPRVVVAGAAPRRNGVPVRAFMASTAASEAMQEKRVAGEYTAANVQ >KQL06286 pep chromosome:Setaria_italica_v2.0:V:32354698:32359616:1 gene:SETIT_001380mg transcript:KQL06286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLSCAPPAPQSRASPGPGRRPRQPPCALPFPDALRAAAAAAAVSLSLLTGNAVGAVVEAAQPTEVCRDGVAAVVEEVRAEAVTNEQLVEEAWEVVNESFLPDAGSRPWSPEMWMQRKQDILQGTIKSRARAHDIIQKMLASLGDPYTRFLSPSEFSKMSKYDMTGIGLNLREIPDDNGSFKLMVLGLLLDGPAYSAGVRQGDELLSVNGIDVRGKSAFDASSMLQGPKETFVTIKVKHGDCGPVESMKVQRQLVARTPVFYHLEKRENDDSSVGYIRIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIETAKLFLNKGDTVIYTAGRDRQVQNTIVAESGPMIATPLMLLVNNRTASASEIVASALHDNCKAVLVGERTFGKGLIQSVFELHDGSGIVVTVGKYVTPDHKDINGNGIEPDYNRLPGSI >KQL06284 pep chromosome:Setaria_italica_v2.0:V:32354698:32359458:1 gene:SETIT_001380mg transcript:KQL06284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLSCAPPAPQSRASPGPGRRPRQPPCALPFPDALRAAAAAAAVSLSLLTGNAVGAVVEAAQPTEVCRDGVAAVVEEVRAEAVTNEQLVEEAWEVVNESFLPDAGSRPWSPEMWMQRKQDILQGTIKSRARAHDIIQKMLASLGDPYTRFLSPSEFSKMSKYDMTGIGLNLREIPDDNGSFKLMVLGLLLDGPAYSAGVRQGDELLSVNGIDVRGKSAFDASSMLQGPKETFVTIKVKHGDCGPVESMKVQRQLVARTPVFYHLEKRENDDSSVGYIRIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIETAKLFLNKGDTVIYTAGRDRQVQNTIVAESGPMIATPLMLLVNNRTASASEIVASALHDNCKAVLVGERTFGKV >KQL06285 pep chromosome:Setaria_italica_v2.0:V:32354698:32360410:1 gene:SETIT_001380mg transcript:KQL06285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLSCAPPAPQSRASPGPGRRPRQPPCALPFPDALRAAAAAAAVSLSLLTGNAVGAVVEAAQPTEVCRDGVAAVVEEVRAEAVTNEQLVEEAWEVVNESFLPDAGSRPWSPEMWMQRKQDILQGTIKSRARAHDIIQKMLASLGDPYTRFLSPSEFSKMSKYDMTGIGLNLREIPDDNGSFKLMVLGLLLDGPAYSAGVRQGDELLSVNGIDVRGKSAFDASSMLQGPKETFVTIKVKHGDCGPVESMKVQRQLVARTPVFYHLEKRENDDSSVGYIRIKEFNAVAKKDLVSALKRLQNSGASYFVLDLRDNLGGLVQAGIETAKLFLNKGDTVIYTAGRDRQVQNTIVAESGPMIATPLMLLVNNRTASASEIVASALHDNCKAVLVGERTFGKGLIQSVFELHDGSGIVVTVGKYVTPDHKDINGNGIEPDYNRLPDFNEARDYLSRCRLKELS >KQL04638 pep chromosome:Setaria_italica_v2.0:V:10365953:10366226:-1 gene:SETIT_0051611mg transcript:KQL04638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWCWAAAAVLAAAYMAAKLMEVLWWRPRRVEEHFARQGIRGPRYRFFVGCVREMVALMVAASANPMPRPYRSHNVLPRVLAFYHHWRKIY >KQL07067 pep chromosome:Setaria_italica_v2.0:V:37442507:37445836:-1 gene:SETIT_001914mg transcript:KQL07067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWRRASASPGPSAAAAASSSEPARPLAGGNARVSPEVPAEHEGGVEDGRWSALVPELLADILRRVDAGAERWPGRRDVVACACVCRRWREAAVAMVRPPLQCGGITFLASLKQPGPRDAPMQCFIKRNKKKSTFHLYLSLTQALTGQGKFLLAARRNRCGFRMEYIISTQGDLSHGSHVGKLKSNFTRTKFTVYDWQPQYEGAKVSSSRCRRWLASKQINPLASSGIVDIGEVSYEYNLLKSRGPRRIHCSIQCPADEGTAIDPEEANQPSSPSSLVLYNKLPRWHEHLQCWCLNFHGRVMVASVKNFQLIAPAGTGEPWGVQDDETVILQFGKVEDDVFTMDYRQPLSAFQAFAICLTSFGSKLACE >KQL08610 pep chromosome:Setaria_italica_v2.0:V:46304849:46305213:1 gene:SETIT_003724mg transcript:KQL08610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVDTKLTTFSLRVVTIILLPFIPDCNMFIRSSNLDLSSSHKSSKTIR >KQL07905 pep chromosome:Setaria_italica_v2.0:V:42441258:42441749:-1 gene:SETIT_005218mg transcript:KQL07905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLVWPEKIAAYYDLTNNPEDRIFPKILPWLCRIP >KQL08193 pep chromosome:Setaria_italica_v2.0:V:44104187:44105672:-1 gene:SETIT_004765mg transcript:KQL08193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPGRVVPAWPRTLPLPDGVILEILVRLPACSIGRFRAVCKAWRAATTHPSFDRALAERPPAVAKVTWEHAFFGIDSRYGPALNFDLFRGRWHRSSNAPRNVALHGSWDGVLCLQPYTRFLLPPPHHASPTATADAYVLWNPLTDACATVPAPAGTGRVIGGYAHPVTRRFHLLHSSDEAVPGRVDLLAPVIFRILRVGGDAGWREFPPLQNNTLIFMRSDRDRPTGRVALLVFDAAHEKFRLMAAPDRPGLLLETARAVVSGGELCVLALANGASAGAMEMWVLDDYSDPRACCWRLRERIRTVRLDGADLSPAFVAATAVEVVEGAAEGEEIVLRLEDRIDAYNVREDAWRKVSVAKNASLLMHRVSVLNPLLMFLKKKTLCYHTYPIFRCIEKLYI >KQL04193 pep chromosome:Setaria_italica_v2.0:V:7107300:7108460:1 gene:SETIT_003987mg transcript:KQL04193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEQQATKRGSAPADGEHSRSGKKPRAPPQAIAPRAVVKQEREEEGDTTQGSSEGEASQGGSEGGEAVASVGLEAMDEPQINLTMGVSLLHCQACILPLKPPTFEVRIFFYPTGHGRAATYAACRKLDAVLLDAKLPCQHHEFGCKSMVVYYQAADHHAACPWAPCSCPGGCGFLTSPARLVEHFHTDHRWPVTSVRYGPACKFPVPAPAEGCHVLVGEGDRSVFLVSPCALGGAVTAVSLVCVRAGGAAAAAGAAQFKCTLWVELPSNKDKLVLIMSAVRSSDLFGGFPAADKYMFLAVPPVLPHDASGEAPDLM >KQL04582 pep chromosome:Setaria_italica_v2.0:V:10052226:10055818:-1 gene:SETIT_000380mg transcript:KQL04582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPNLSKATPDLLSDAAAPVDGLKAPSRFTLRGKDLAVDGHPFLLDLPANIRLTPASTMVPAAASAVGGSFLGFDAPAAESRHVVPVGRLRGTRFMSIFRFKVWWTTHWVGDSGRDVENETQMMLLDRSAGGRPYVLLLPIVEGPFRACLESGKADDYVDMVVESGSSAVRAAAFRSSLYLHAGDDPFELVREAVRVVRAHLGTFRTMDEKSPPPIVDKFGWCTWDAFYLKVHPEGVWEGVRRLADGGCPPGMVLIDDGWQSICHDDDDPASGAEGMNRTSAGEQMPCRLIKFQENHKFREYKQGGMGAFVREMKAAFPTVEQVYVWHALCGYWGGLRPGTSGLPPAKVVPPRLSPGLQRTMEDLAVDKIVNNGVGLVDPDRAHELYEGLHSHLEASGIDGVKVDVIHLLEMLCEEYGGRVELAKAYFSGLTASVRRHFGGNGVIASMEHCNDFMLLGTEAVALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAAFHAASRAVSGGPIYVSDSVGQHDFALLRRLALPDGTILRCEGYALPSRDCLFADPLHDGRTVLKIWNVNRFAGVVGAFNCQGGGWSPEARRNKCFSECSVPLATRASPADVEWRSGKGPGVSVKGVSQFAVYLVEARRLELLRPDEGVDLTLAPFTYELLVVAPVRVISPERAVKFAPIGLANMLNTAGAVQAFETKKDANGVIAEVAVKGAGEMVSYSSARPRLCRVNGEEAEFAYKDGMVTVDVPWSGSSSKLCRVEYVY >KQL08475 pep chromosome:Setaria_italica_v2.0:V:45629032:45629640:-1 gene:SETIT_005182mg transcript:KQL08475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIQPLPVASSSPSCSPSGDESQILQKKAAYTVWMKSLVFNGNGCTVYGADGSVAFRVDNYGCRGGREVFFMDRAGKTLIKIQRRSFGMFRRWEACRYFDAGEGSGEETRPWFRVQKAGKNEAAVTIHGSGRTYTVDGCARKSDYKITGADGAVAAAIGRKQTASGVVLGEDVLTLTVGSGTDHLLALGLVVVCGLMNRCL >KQL08223 pep chromosome:Setaria_italica_v2.0:V:44269164:44271522:1 gene:SETIT_003313mg transcript:KQL08223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEYQNVVGGRLKLKGKALDVKEGGVKKKKKKKQHREESSQIGHDELHEGGSSELPTDPNNELTEADKLGEEEGNPHPDYDHLTPAERRYMEQKQKIDMQKLAKVSNKSHRDRIQDFNQYLANLSEHYDIPKVGPG >KQL04733 pep chromosome:Setaria_italica_v2.0:V:11244501:11245024:-1 gene:SETIT_005210mg transcript:KQL04733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHATLAHTTIPGDCGGRKMIGWMKFVMVTDVFCLYW >KQL04203 pep chromosome:Setaria_italica_v2.0:V:7183962:7186068:1 gene:SETIT_000928mg transcript:KQL04203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQMLQDDAHHHGVHELLRESPPPRAALIYYSLLLACPVILLLLLRRRRLTASSAAARDREQLLGRLPSPPRRLPVIGHLHLVGRLPHISLRDLAAKHSRDGLMLLRLGAVPTLVISSPRAAQAVLRTHDQVFASRAYSPVADILFYGSSDVAFAPYGEHWRQVKKIATTHLLTNKKVWAYRHAREQEVRLVMAKIRKAAAAAPGMAIDLSGLLNSFANDIVCHAVSGKFFREEGRNELFRELIEANSALMGGFNIEDYFPGLVKVGMIKRMVCAKAQKVNEGWNELLDKLIDDHERRWESQLGDEESDFIDVLLSVQKEYNLTRDHVKAQLAIMFEAGTDTSFIVLEYAMIKLMQNPHLMTKLQTKVRMAIPKGKDMITEDDLNANDIAFLKAVIKETLRLHAPAPLLAPHLSMADCDIEGYTIPSGTRVIVNAWALARDPNYWESAEEFMPERFMEGGSAFAMEYRGNDFIYLPFGTGRRICPGISFAISVVEIMLANLVYHFNWELPPESKDRGIDMSESFGLTVHRTEKLLLVPVLPQE >KQL05154 pep chromosome:Setaria_italica_v2.0:V:16565208:16572836:-1 gene:SETIT_000122mg transcript:KQL05154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVKYDDEEISSSAEEEEDQSDAAGSGSGDEGDEDEEDAAAPPAGDVEEEEAEGEGQQQEEEEVDEEEIEAVTTGGGAEEEEDAGADAPAEGDDESQSTEDDEAVAGDDTDETDAVVGKREKARLKELQKRKKEKIQEILDTQNAAIDADMNNKGKGRLKYLLQQTEIFAHFAKGSQSKEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGAGGTRLLSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPVLRAVKFLGNPEERNHIRDNLLQPGKFDVCVTSFEMAIKEKTSLKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDNAELYDFDDEKDENKVDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEDQLEPLTAEEQEEKEQLLEEGFASWTRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVQRYAKVFKERYKELSDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKEKRLAKNMTPTKRAALRNSEGETTPSNSFKRRRQSLMDDYVGSGRRKRG >KQL05153 pep chromosome:Setaria_italica_v2.0:V:16565844:16572701:-1 gene:SETIT_000122mg transcript:KQL05153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPVKYDDEEISSSAEEEEDQSDAAGSGSGDEGDEDEEDAAAPPAGDVEEEEAEGEGQQQEEEEVDEEEIEAVTTGGGAEEEEDAGADAPAEGDDESQSTEDDEAVAGDDTDETDAVVGKREKARLKELQKRKKEKIQEILDTQNAAIDADMNNKGKGRLKYLLQQTEIFAHFAKGSQSKEKKPRGRGRHASKMTEEEEDEEYLKEEEDALAGAGGTRLLSQPSCIKGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMKEIQRFCPVLRAVKFLGNPEERNHIRDNLLQPGKFDVCVTSFEMAIKEKTSLKRFSWRYIIIDEAHRIKNENSLLSKTMRIYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVINAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLIENAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMYRGYQYCRIDGNTGGEDRDASIEAFNKPGSEKFVFLLSTRAGGLGINLATADVVVLYDSDWNPQADLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDDLLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEETTAELDAKMKKFTEDAIKFKMDDNAELYDFDDEKDENKVDFKKLVSDNWIEPPRRERKRNYSESEYFKQALRQGAPAKPREPRIPRMPHLHDFQFFNNQRLNELYEKEVRYLMQANQKKDTIDGEDEDQLEPLTAEEQEEKEQLLEEGFASWTRRDFNTFIRACEKYGRNDIKSIASEMEGKTEEEVQRYAKVFKERYKELSDYDRIIKNIERGEARISRKDEIMRAIGKKLDRYKNPWLELKIQYGQNKGKFYNEECDRFMLCMVHKLGYGNWDELKAAFRMSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDEQERQARKEKRLAKVNSKRCINLLIFCNSALSFVMYGWL >KQL06879 pep chromosome:Setaria_italica_v2.0:V:36223571:36224306:-1 gene:SETIT_005014mg transcript:KQL06879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEIEGYYRGRGTYVVSFDGDHLFDATLTKSGGVVESWLDETYRIHRRCRHPLVVGLDVEWRPAREPGPVAVLQLCVDRRCLVFQILRADYVPDALADFLADRRFSFVGVGIRDDAARLRDGYGLEVPHAVDLRRLAARALGRPELRRAGLAALVREVMGVRMEKPHHVRVSAWDKRMLTEDQFKYACADAFVSMEVAWSLNE >KQL08516 pep chromosome:Setaria_italica_v2.0:V:45796513:45799594:1 gene:SETIT_002520mg transcript:KQL08516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIGFIGSRALSILGVGASGLLSFATIASASDEAEHGLEAPNYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARYANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLMDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSIFKSRKLVLDVVN >KQL05046 pep chromosome:Setaria_italica_v2.0:V:14719086:14721889:-1 gene:SETIT_004203mg transcript:KQL05046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPDVVNGGIIAAAVLSVSLVALSTYGRRRCRHPAVRFLVWGFSVVFLPLTSSIISFLLSSASKKKPCDKSLPKAELEECKPEIKDMWTVLLWTVLILTIKCNADVAAAAVTAAAASPAAGDVSIDGQRINSSPWELAFRYGWVGWLIVMCFPLAGWVESNRAVFVAFCALGPAKVALKLAAFWSASGSFALGKNARLIAGYMAQLVGDGDEQVPRYIVMGETKKLVEETAQGYRVKNDVLEDKLSSLVTLDRVWRLAEHGDGILAQRQELRDLCLSYSLFKLLRRRLSGYPLADAGSGEALDFVLRGMDSVGAGVNTDRVFRVLVDELWFASDFYYSPIPLCIFGGWCAALNYLCSVLIIVGAVAVGWIYLVKDNLISSTPYKVITVSLLLAVVLVEAWEIVAGVCSNWTKMALLGHYMRHEKSWRRSGCVHAALTAVLRLRPARRWRDKIGQNSVLEPRRFRRRTAGFLSEKSYGKAGLMRSIEVSPDVKDAVIRSLLNSYGRLSKDSGAATRRVGATVDWALYGTQKSLPWDSDGSSTTELILAWHVATRLFEMKSTSATPDMIAASHLSNYFAYLVAAAPELLPDCAEWSNKRYKEVSEDARAALGADSGGESAEGRYGRLVAALSEASRDTVLRRGAELGRHLVAQYTDDEASACRILADFWSEMALYVAPSENVKGHVQAMARGGEFITLVWALLLHAGVTTRPEPDMPGGGGAIP >KQL04784 pep chromosome:Setaria_italica_v2.0:V:11736427:11738734:-1 gene:SETIT_0013232mg transcript:KQL04784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REEGLFYGLDLGGTNFRVLRVQLGGIEKHVVNRESREVSIPPQLMSGSSSELFGFIASELAKFVADEEKCANVLSNGKKRELGFTFSFPVKQRSVASGTLVKWTKAFSIDDAVGEDVVAELQTAMEKQGLDMHVAALINDAVGTLAGARYYDRDVVAGVIFGTGTNAAYVEKANSIPKWEGELPNSGDMVINMEWGNFCSSHLPVTEYDRELDKESLNPGEQIYEKLMSGMYLGEIVRRVLLKMSLQSSIFGNIDHTKLKTHFLLRTPHISAMHHDETPDLKIVAEKLEENLEITGTSLETRKLVVEICDIVARRAARLAAAGLAGILKKLGRDGNAQEQRSVIAIDGGLFEHYTKFRECLEITLGELLAEYASKSVAVKHADDGSGIGAALIAASQSQYRNVE >KQL04262 pep chromosome:Setaria_italica_v2.0:V:7749166:7750208:-1 gene:SETIT_002915mg transcript:KQL04262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSSSTSTPGSGDKPTLGRAMATILALPLTPISKAKGGLLLFKKRASAAARRRCSYKPFRHYNYAYVGEYQFSPSRSPLLPGPPPGVTAWRRAAAKKRRSRARMILASLFCGGDEVDVAVLDGLARRADSGDVRSDREQLVLAPALEWGRERGDDDDDDAYNYDDEEQEQEVDYGEDGDEEVDGRAERFIERFYAEMRLQRQRSLVQRLL >KQL08319 pep chromosome:Setaria_italica_v2.0:V:44761669:44767627:-1 gene:SETIT_001558mg transcript:KQL08319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAGRASAPAAVTVSATSAPALPPPSATTAAADTSSPDPSALYGEGMWQQMAMNSGATMQPGPYPERPGEPDCTYYLRTGLCRFGMSCRFNHPPDRNLAIASARMKGEYPERVGQPECQYYLKTGTCKFGPTCKFHHPREKAGIAGRVQLNTLGYPLRPNEKECAYYLKTGQCKYANTCKFHHPELFNAVPSSRGSPIYPSVHSSASAGPQSYTGTMASWAFPRASYIPSPRWQSPSNYAPMIVPQGLVQVPSWNSYPGQLQSPGAQQTYGTSQQGEPSAGNQGMLSPYRSSSFPVPQYALQRENVFPERPDQPECQYYMKTGDCKFGAVCKFHHPRVRSLPPPDCVLSPMGLPIRPGEELCKFYSRYGICKFGANCKFDHPMTAPMGVYAYGFSASASPASASTNVPMARRLLGSPSGSGYAS >KQL07198 pep chromosome:Setaria_italica_v2.0:V:38253605:38256504:1 gene:SETIT_000400mg transcript:KQL07198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNNNVIGQQVSEETANRVDKTIEHSSDSPGLNSTNNNEKDGTEDVNLSKSTKDPIIINAEDKQVASYQNISSDKMEGLDEKNRGSIQDNTSTQDDQSSNSPKDDEPLRKEAIETTIFSTEIIALVSTTIEDVTRNKDSSVSSEEIKQLLHGTRDASEANIESSYKSLLQENIEGSLEDQEETSSHENAPMDGNLTGEDTTENLEQGYIEVPTVEVAMQGETTSSTESIVSTYLDADDSEIKEVVIEDKPGQRDSPPDVQPLDGINIEASTNDNIQTQISHDKEEISAISKIAAVKLMIESDQALEDDKLIHGLGNQDEDSTEWTSCDNLYNGEVVAKCQSSLRNPTAISDQELGNEQNERIVASRQISASVTSKVEHTDIKTIYKEEEIVERTVAVWNFDDNFAAEKEPEVYDDDLISIAEVNGKDFTGLHSSSLNRHLIMNEVKVQREVNGVNGTVEFNKETVKENLEEDDKVNTAEGLGLHADAHVVAKEEGDPSNLLMTLPSTPLQLLEDIDKEVYITRDTQETTTSTQGDQSQLILLEEYEVVKLENGEISSKCMQLAAKNSNVGIISIDGVNHEKVGTSTRASEFTFEANQKEVTASTAATGFTAECNQAKVTSSVDIATEEQHPLQTSTPGREAGEETPLLQAVQSIGFFSSTIQHIQVDVEIPMTDIAVMQFKAEAEEESEKSPLLSPRETSGDFRIPNHSARNKKPFQSLLTEGEVGMWSPLKETEPNPKSNIMISSPRNEEKKKPRSSLFTSCMCCATTTS >KQL05147 pep chromosome:Setaria_italica_v2.0:V:16529206:16530015:1 gene:SETIT_003699mg transcript:KQL05147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQHVPSLNMDPFSCSIVHKFRYEFRMLLLGILAESNTVSSPLLKKKEEIVLHPQR >KQL03377 pep chromosome:Setaria_italica_v2.0:V:2055869:2058179:1 gene:SETIT_001143mg transcript:KQL03377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGAAAKSRALDAELAAKSSRVAEPEARVSLLEAENARLRKALASRAAGNEDPKSGRLASLLLRGSKRESAEKPGGSVACDVIEVSDDEEGFITVDASSKGRSPEEEGVVAVPTPRKRAVRAVAGESEDGDDAGGGRGSSRGNSVGVGLEDDDVLVSPRGKKRAAARVVTSDSEDEAVNDGELGSGKDGVDDQEEGGKPSKKRGFCGICDSDDEDTAEGVEVVTSKAASPAQIESGEDEDETIPICQVLKKMRKERANHDGGGDDELGEAKGCSTPPTRRSARLVKNQSKGGRAARRVLNFVEPKEYEGSEDDMEEDDDMEDFIINDEDSSENASESVEESCDEPEVSGTSVLNEESSPGPEESDSEVDYADVMARIGRKNKAKDWKFEGDMLAAFDEHPELCLKAVCALYRKQTEEEQMHKATLVHNKQGFSQIHAPRASRIAEFLLDGDLYGPVKKNISDLVEYDRYALEFCRRMASHYSKQLFAIYQNKEDPYFHP >KQL04730 pep chromosome:Setaria_italica_v2.0:V:11238893:11239159:-1 gene:SETIT_003801mg transcript:KQL04730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRRRKKEIIMPQREVDYALSFEPMAPLEPELLASLEAMGLGLDPDLVAFREKQNRWMLSVQEDFMQQIADKGYVSTAVEVNDDEDGEED >KQL05498 pep chromosome:Setaria_italica_v2.0:V:25183019:25184904:1 gene:SETIT_002223mg transcript:KQL05498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQISLQRSVAISPRCSLSESLLRAGVARRRWASCRSQSSRFAYSARPSPIVLKTDSAIDPSEPKNLDASSSASRSGPYSATCHPSGTAGVMGASSTSSLRFLEKFVCWSTRDGEEAPPFVICNDPFIKKELLSSGNLLTSDSSITLGKLRQKRLFLEQSGACCIVMPCQFLHAWHDEISQGCSVPFLHIGDCVAKELKAANLKPVEYGSNVRVGVLATNDTLATKCYLDKLESQGFEVLCPDKASMEHTVLPSVDAFRKGDIEGARNLLRVSLQVLLVRAVNTVILASDDLVGILPDDDPLLKKCIDPLDALVREAILCARIPRP >KQL07770 pep chromosome:Setaria_italica_v2.0:V:41645063:41648459:1 gene:SETIT_001775mg transcript:KQL07770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METVAAASYAGRATSATRSPTCCAAMSFSQSYRPKASRPPTTFYGESVRVSTARPLSARQSKAASRAALNTRCEIGDSLEEFLTKATPDKNLIRLLTCMGEAMRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANKLLFDALEYSHVCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGVTGGDQVAAAMGIYGPRTTYVIALKDCPGTHEFLLLDEGKWQHVKDTTSIGEGKMFSPGNLRATFDNPDYDKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPSAKAKLRLLFEVAPLGFLMEKAGGHSSDGKQSVLDKVINELDERTQVAYGSKNEIIRFEETLYGSSRLTAGATVGAAA >KQL06791 pep chromosome:Setaria_italica_v2.0:V:35604265:35610439:-1 gene:SETIT_000078mg transcript:KQL06791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKASAPAGTGGGGERPMSIRGMFRFADRVDVLLMVLGTLGAIGDGCSTNLLLIFASDVMNALGYGRGGGAATVDFMHEVEKSCLNFVYLAFAVLAVAFMEGYCWSRTSERQVLRIRYLYLQAILRQEVGFFDSQEATTSEIINSISKDASLIQEVLSEKVPLFLMHSTVFVSGLAFATYFCWRLALISFPLVLLLIIPGLIYGKYLLYLSRQSRHEYANANSLVEQALGSIKTVYSFTAEKRIIQKYTAILDKTIELGIKQGIAKGLAVGFTGLSFAIWAFLAWYGGRLVMHHQASGGRIYAAGISFVLGGLSLGMALPELKHFTEASVAATRILDRINRVPQINADDPKGLVLDQIRGELQFESVRFVYPSRPNMPVLKDFNLQIPAGQTIALVGSSGSGKSTAIALVQRFYDASEGTVKIDGFDIKELQLKWIRSKMGLVSQDHALFGTSIKENILFGKPDATMDEVYAAAMTANAHNFIRGLPEEYETKIGERGALLSGGQKQRIAIARAIIKNPAILLLDEATSALDSESEKLVQHALDQASMGRTTLVVAHKLSTVKNADQIAVVDGGAIAEIGTHDELINKGGTYSRLVKLQKMVSYIDQENEQFRASSVARTSTSRHSVSRASPMPLTPAVLKEISSDVSPPAPSFSRLLAMNAPEWRQAVIGSLSALVYGSLQPIYAITIGGMIAAFFVQDHNEMNAIIRRYALIFCSLSMVSIVVNLLQHYNFAYMGEHLVRRIRVQVLEKILTFEAAWFDEETNSSGALCSRLSNEASLVKTLVADRMSLLLQTASGIIIAVTMGLIVAWKLALVMIAVQPTTMICYYAKKIVLSNVSRDLAKAQYQSTQIAIEAVYNHRMVTSFGCSSKVLQLFEHAQEEPLKRARKKSWVAGLTTGLSPCLSFLSWALDFWYGGKLAQSGEISAGDVFKTFFVLVSTGKLIADAGSMTSDLAKGANAVASVFEVLDRKSISPQNSQVEKEDQKKKIQGRIEFKKVDFSYPTRPECLILQDFSLDVKAGTSVGLVGRSGCGKSTIIGLIQRFYDVDRGAVRIDGMDVREMNILWFRGFTALVSQEPAMFSGSVRDNIAFGKPEADEDEIVEAAKAANAHEFISSLKDGYDTDCGEHGIQLSGGQKQRIAIARAIIRNPAILLLDEATSALDAQSEQVVQEALDRIMSGRTTIVVAHRLNTIKNVDSIAFLGEGKVVERGSYPQLMNKKGAFYNLATLQK >KQL05647 pep chromosome:Setaria_italica_v2.0:V:26654356:26660741:-1 gene:SETIT_001736mg transcript:KQL05647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILERIKEIEAEMARTQKNKATEYHLGQLKAKLAKLRTQLLEPPKGSSGGGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVAKSSDLVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKRKKTGGISFNTTAPLTHIDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYIKCVYVYNKIDVVGIDDVDNLARQPNSLVISCNLKLNLDRLLARMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCTVEDFCNHIHRSLLKDVKYVLVWGTSARHYPQHCGLSHGLQDEDVVQIVKKKEKEEGGRGRFKSHTNAPDRISDRVKKAPLKT >KQL06119 pep chromosome:Setaria_italica_v2.0:V:30799288:30802514:1 gene:SETIT_001670mg transcript:KQL06119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSDMAGRWRELQGSDHWEGLLDPLDAGLRRCLIAYGEMITATYEAFIGERRSPNAGMCRYRRADLLRRVDVSHPGWYAATRYIYATASAEVRGEALLSPLCRHGRARECNWMGYVAVATDEGAAALGRRDIVVAWRGTQRALEWVADLKLALASAAGILGPEGADGSDPSVHRGYLSLYTSADEGSKLSKKSARMQVLTEIARLMDKYKDEETSITVVGHSLGATLATLNAADIVANACNKSSGFDDGRRAPVTAVVFGSPRTGDRAFRDVFHRLRGLRMLRVRNKPDRIPHYPPVGYADVGVELLIDTRRSPFLKPHGNESQSHDLEVHLHGVAGWQGDGGGFELVVERDVALVNKFDDCLADEYPVPVGWKVHHNKNMVKGPDGRWVLEDHEPDYGDEEDINL >KQL03768 pep chromosome:Setaria_italica_v2.0:V:4471500:4478388:-1 gene:SETIT_000998mg transcript:KQL03768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARVNAENDAEDELEMKVEKYARGKGADLKALKDKKLKGQLVVKEKLYGQSAKAAAKAEQWLMPSEEGFLEPDGLEKTYRFPQESIVKEVDLLSSRKPFDMILPVLGPYTIEYTSNGRYMLVGGRKGHLAMMDMLHIDLIKEFQVRETVRDVAFLHNEQLYAVAQKKYPYIYNRHGTEIHCLKEHGKALKLQFLTKQFLLASINSFGQLHYQDVSTGEMVANYRTGLGRTDVMRVNSYNAVIGLGHAGGKVTMWKPTSVKPLVTMLCHHGPVTAVAFDRGGHLMATAGVDRKIKIWDLRKYEVVNSYAARAQSLDFSQKGLLACSNGSLVEIYRDFGGHDYRLYMKHRMMKGYQVGKVLFRPYEDILGIGHSMGLSSILVPGSGEPNFDTFVANPMETTKQKREKEVHALMDKLLPDTIMLNPNLIATVRAPKKKEKKTKKEIEEEMEEAIEAAKNTERKKKTKGRSKPSKRTRKKEEDVFRAKRPFLDQSKEVDGRPDKKQRIGEDMELPKALQRFAKKPQS >KQL07494 pep chromosome:Setaria_italica_v2.0:V:40033441:40037891:1 gene:SETIT_005138mg transcript:KQL07494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEQSFEFGELSAQDAVGSASESSYSPPGAVFGVSPPESSPRGGRNNRRRDRPSWVKVTYTPYFDGHLWRKYGQKKIKDAEYPRLYFRCSYRGDRQCLASKLLQQKNGDDPPLYEVTYTYEHTCGAPPVPFPDIVAEPPPASREGLVLRFDSPGGHGDAQMQQQQQGQYQPTSRSPFMMLSFGSSSQAHDQQPAFHSDMEAGSSSLPNEGMPAAAANGDGGMFSTWDSFTYDFDSHMHFGDHTHLPYNSNYDYDDY >KQL08441 pep chromosome:Setaria_italica_v2.0:V:45479675:45482445:-1 gene:SETIT_001874mg transcript:KQL08441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSAPGKLDEIEGGGGAAGDWAYLSSDGMASGSFPLFPFPRDALSTPTPASLLLSMDPAALFDLHGAFAPPSSSTPGGGGGSALPAFHDFTSNNPFDDAGQFLAAPPPAQAEHQQVQKGGFLAPAAAPAFVHGGMDWDDDEEIEHSVDASSMAISASMENAAGAATGGGGGGGGSGRGKNKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNEIESAPSSSVVGPTSASFHPSTPTLQTFPGHVKEELCPAAFPSPNGQQATVEVRMREGHAVNIHMFCARRPGILLSTMTALDGLGLDIEQAVISCFNGFAMDVFRAEQCGDGPGLVPEEIKAVLLHTAGLQNAM >KQL08536 pep chromosome:Setaria_italica_v2.0:V:45879411:45879631:-1 gene:SETIT_0041851mg transcript:KQL08536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKPGARRQAEGQRSEEHWERALKSVAGEVEVLERNAAVELRRDRAPELVRRQVERQDHVHLAELDREMPLQVA >KQL08647 pep chromosome:Setaria_italica_v2.0:V:46467283:46471029:-1 gene:SETIT_002720mg transcript:KQL08647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQLFDDPFASSISSLEADIFSAGGQLPSPPWPDLDLDDDDIHDLSAPAANATSSGGYGSGGGSGSHRKLSHNAYERDRRKQLNELYSSLRSLLPDADHTKKLSIPTTVSRVLKYIPELQKQVDNLERRKKELTNANCKPGVLNASEIITPIVSATCLNDTEIMVQVSLQSNMAATTLPLSKCIKVLENEGLHLISSSTYSTLDNKTFYSLHLQRSQRTMKEECPGFCDELERIVRKKAGA >KQL05703 pep chromosome:Setaria_italica_v2.0:V:27106453:27106885:-1 gene:SETIT_0022911mg transcript:KQL05703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCMTDHKIRKKEFPERIKFFTASTRPPAGAGTSSRTPAAWAAPVVAAGVTGTAPRATPPSTAGRKAVAATSSRTWGRWPVAAAARGTGPPATPRSRAAVADRGGLEVAVVATRGGLEVVARGSGPP >KQL05736 pep chromosome:Setaria_italica_v2.0:V:27352594:27356146:-1 gene:SETIT_002829mg transcript:KQL05736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIPTKHAGQSPDSLDRREAVALAAETSFTVSEVEALHDLFRKISNTVVKDNLIHKEEFHVALFRNKKKQNLFVDRLFDLFDQKGNGVIEFGEFVRSLSVFHPGAPEEQKAAFAFKLYDLRQTGFIERNELKEMVCALLDESELDITSDAVEMIVDRTFDQADTKGDGRIDQEEWTAFAKDNPYVLRNMTLPYLKDITMVFPSFVVHSEISEADMAAKPEM >KQL03701 pep chromosome:Setaria_italica_v2.0:V:4153579:4155972:1 gene:SETIT_003766mg transcript:KQL03701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTYIFIPVSFCILIIATSLCSAADTISAGQTLAGRGGKLVSKNGKFALGFFQRSTGSRSSTPKWYLGIWFNTVPKLTPAWVANRENPLLDGTSSELIISDDGNLAIFNRPNRSILWSSQANTTTNNTIAVLLNSRDLVLSDASNSTTIFWRSFDHMTDTFLPGARVSRNKVTGWTHGLVSNKNSHDLSPGIYSGRPSPESANFELLLSWNSSVTYWSSGQWEGQYFSNMPEMSARYLFFSEFVSNDREEYYTYWLKNETMVTRYVLDVDGQAKMMLWSDASAEWIFFYPKPGAQCEAYAVCGPFTVCREDVLPFCNCMKGFSIRSQEDWELGDRTGGCNRNIPLNCASSNSGISGDLTDMFYAMRNVIYPDNAKHIETGSAEECEKSCLGDCSCYAYSYSVKRLDGARQGEKEFRAEDHESRRLTMGEVVRILEGLIKVDVPPMPKVLEAISGGTDSTIA >KQL08178 pep chromosome:Setaria_italica_v2.0:V:44046766:44050216:1 gene:SETIT_004950mg transcript:KQL08178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHECTSFQQTDEGILVGASVNNGGRIREKKLHCGLLIGTDGARSTVRELAGISMEGERDLQKLVSVHFLSRDLGRYLSSQRPGMLFFIFNPGAIGVLVAHDLENGEFVLQIPFYPPQQMFEDFSAKVCEQIIVKLVGWEPADVQVLDIKPWAMHAEVAEKYIGCNNRVILVGDAAHRFPPAGGFGMNTGVQDAHNLAWKLCLLLNGVASPSIMQTYESERRPVAIFNTGLSVENFKAAMSIPAALGLDPTVANSVHQVINRSLGSVIPRNLQKAVLEGLFSIGRAQVSDYILNERNPLGSSRLARLRSILDEGKSLQLQFPAEDLGFCYEGGALVTEYCAENTQKAEKVKHFKRSSEYVPSAKVGSRLPHMLVRARSASSEDVFSTLDLVSGDNLEFVLIIAPMKESYEIARATLKIADEFKLKAKVCVMWPQGSADVEMEESRSELAPWTNCVDVEEVPRAPVNSWWEMCQISRKSVILVRPDEHITWRTESDMVRDADSEVRRVFSHILCLNSHLV >KQL04906 pep chromosome:Setaria_italica_v2.0:V:12942045:12943601:1 gene:SETIT_001041mg transcript:KQL04906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKVGSNGGSGGREPKKPALLLGRYEVGKLLGQGNFAKVYHARNVRTGEEVAIKVMEKEKIFRSGLTAHIKREIAVLRRVRHPHIVQLYEVMATKLRIYFVMEYVRGGELFARVAEGRLREDDARRYFQQLVSSVAFCHARGVFHRDIKPENLLVDDAGDLKVSDFGLSAVADQMRHDGLFHTFCGTPAYVAPEVLSRRGYDAAKADLWSCGVVLYVLMAGYLPFQDRNLVGMYRKIHRGEFRCPKWFSPALKRLLRRVLDTNPQRRATADEIMDNEWFKVGFRRFSFRIEDDRSFTCFDLDDDVDGAADASTSPPNETETPRTDDAGDDAAKRSIRKAGGGMTSCGSAPSLLHLEARSRLGGSSRRRSSLNAFDIISFSRGFDLSGLFEDGEAAGSGGGPEPQHHPAAARFVSAAPVEQILVALEGAASAAGLVVRELDDGSISMEGTREGEHGALVVGAEIYELTPELVVVEVRRKSGGAAEYEEFFGAQLKPSLHDLVCDEPTLLPPDERSRSL >KQL04683 pep chromosome:Setaria_italica_v2.0:V:10842941:10843669:1 gene:SETIT_0017261mg transcript:KQL04683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKVKFLRRGSSQIPGGIAPVSRLCATSSCSSFLMRATSGGSGPASSLKLTSSTVSSRSRPISGGKQELSPLLMSRISLSVPDMLATLAGRHPPKLLCARTTTEAGELPKLSGSWKRRRLWLRKMASSGRSKRRGGTAPSKSLNRRSRKRSAGRSRTTPGNGPTKRLLLRSSSWRRRRRRSVGGRTPQKRLELRWSSARSARRPSSGGRNPAMSPWLRSTPATASSPRRPRKAEGSGAQKTPV >KQL07579 pep chromosome:Setaria_italica_v2.0:V:40625148:40629047:1 gene:SETIT_001876mg transcript:KQL07579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCMQEWPEPVVRVQALAESGLSAIPRCYVKPPCDRPVAPPPAAALEKEHFLDDVSIPVIDLGELLAAEGGGAGLGDVTEAVAAACREWGFFQVVNHGVRPELMRAVREAWRGFFRRPLAEKQRYGNSPRTYEGYGSRLGVQKGAVLDWGDYFFLHLAPEAAKSPAKFWPANPGNCKEVSEEYGREVVRLCELLMRVLSVSLGLDEAHFQRAFGGAACGATLRANYYPRCPQPDLTLGLSAHSDPGVLTVLLADEHVRGLQVRRGAGQWVTLQPVRDAFIVNVGDQVQILSNSTYKSVEHRVIVNAKQERISLALFFNPKGNIPISPAPELVTAADGPPLYPPMTFDEYRLFIRKKGAKGKAQIEALKGQAPPEN >KQL07356 pep chromosome:Setaria_italica_v2.0:V:39278072:39281996:1 gene:SETIT_002719mg transcript:KQL07356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTASQRGYHGWSSEPWTRLSHRERKPQWFAYNPRTMRPPPLSSDTNSMKILSYNVNGLQTVVKPISVQYGIGIPAHDQEGRVITLEFDGFYLINAYIPNSGRGLKRLNYRVNDWDLCFSDFIKKLECSKPVIVAGDLNCARQSIDIHNPQAKTEAAGFTIEERESFEENLTSKGLVDTFRKQHPNAVAYTFWGENQRISNKGWRLDYFLASESIVDKVHDSYILPDVSFSDHSPIGLVLKL >KQL06134 pep chromosome:Setaria_italica_v2.0:V:30908334:30909041:1 gene:SETIT_004952mg transcript:KQL06134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIGALRSSVSDSRSPPRSTAPPRLANVLNAHRHISRGRGRPAHIGVGPYARRASAGRDKVTYPREVAQADTCMRRRPPAVGRGSRVRWPCAGAAAGWPAGSRVIPAPPSPVPCSSCSVVALHRCPTKQKLLGRSREPGSFSADLAKETRARVLCTRQLTVHQLQKKIEVSRETCSVKLRRAVLVSAG >KQL04374 pep chromosome:Setaria_italica_v2.0:V:8548974:8549314:-1 gene:SETIT_005266mg transcript:KQL04374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYKTTVKNSFPFHEWGKKDLYITLRRIYDRRTSEHKITK >KQL07389 pep chromosome:Setaria_italica_v2.0:V:39461059:39462193:1 gene:SETIT_003008mg transcript:KQL07389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQCARRGHGHGGETQVTGMERVGARGPDRLRKAGAVARAAALQRNDSCFPPFLLRRAALLDAAASPSAFEEPHPKGAHRGQHYDGRRRATASTAPRASAPALVILWTWTARARSALDAHVCHCSVPPERGAPAPLLPLPTPTCRRHVRSDPAFLFTLQSIRLVRCRFAFGGWKWNGMASEGEPEKGGSGGLT >KQL04305 pep chromosome:Setaria_italica_v2.0:V:8012571:8013323:1 gene:SETIT_004112mg transcript:KQL04305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPALVLFPLAASLLLLQDHASADCEPATCGNLTLRYPFWLGSGNQTSSPCGHPSFEVWCSDRRRSVASLKGSSMHILSIDYTNYYFVASHTRVAAADGVCRTDFNMSISIALSPFTISPRNRALCFLYNCTGGAAPIGPDEYVNATSISCRDPIYAYLGGAYYWDKPPAIASDGCTYTYIPVLGREAETMTAANYSRLLKDGFVLEWEAAGIGDCAACNARGGKCRYDKATAAFRCLCPDGSRAAGSTCS >KQL06170 pep chromosome:Setaria_italica_v2.0:V:31289861:31291660:-1 gene:SETIT_004288mg transcript:KQL06170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPAAGPNLAVLDALDSARTQMYHMKAIVIAGMGFFTDAYDLFCISTVSKLLGRLYYPFDNLNDSDASKSKPGTLPMSVNNMVVGVALVGTLVGQLVFGYFGDKLGRKRVYGITLVLMAACAIASGLSFGSSPSAVIGTLCFFRFWLGFGIGGDYPLSATIMSEYSNKKTRGAFIAAVFAMQGVGIIFAGLVSMIVSGLLLHYHPAPSFEEAIQQNPKDPRASNQWPAADYMWRIVLMIGAVPAVVTFYWRMKMPETARYTALIEGNAKQAASDMEKVMDVEIQAEQEKLARYKAANDYPLLSVEFARRHGMHLLGTATTWFLLDIAFYSQNLTQKDIFPAIHLTSPAKEINALTEVFQISKAMFLVALLGTFPGYWVTVALIDKMGRYLIQLIGFFMMSAFMLAMGIMYESLRNKRTTLFAFLYALTFFFANFGPNSTTFVLPAELFPTRVRSTCHAISAASGKAGAIVAAYGVQRLTLTDDVKYIKMALIILSITNMLGFAFTFLVPETMGRSLEEISGEDGNVGTGSGAPAGPGMGAADVSRDDKMPVSSTEWQSSMHA >KQL04629 pep chromosome:Setaria_italica_v2.0:V:10292706:10292883:1 gene:SETIT_005277mg transcript:KQL04629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWEESQSNKTQNYPRTQLDIQIKSQTKRGSSKLYFLTRVK >KQL03863 pep chromosome:Setaria_italica_v2.0:V:5130362:5132447:1 gene:SETIT_000792mg transcript:KQL03863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATPAVASPASEQAKSKKKKHKSKDDPAAAAAADPPSLAEAEEKTDGYLIKPQALVPSLDASTWPLLLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEHLRYGIINLDKPSNPSSHEVVAWIKRILRAEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARFHAAVPDTARVARALEALTGAVFQRPPLISAVKRQLRVRTIYESKLLEHDPERHLAVFWISCEAGTYVRTLCVHLGLLLGVGAHMQELRRVRSGILGEQDNMVTMHDVMDSMWSLDNHKDESYLRRVVMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIENGEEVVLMTTKGEAIAIGIAEMTTAVMATCDHGAVAKIKRVVMDRDTYPRKWGLGPVALKKKKLISEGLLDKHGKPNEKTPAEWLRNVVLPTGGDVAIASIAAAPEPEKVKVELEAAVTDEVKEKKKKRQKDEEDNDADASVPSKKIKVEEAAETVEGEKSEKKKKKKKDKGESGSAEAVEVKEEVDVADEKGSGKKKKKKKSKEGSDAADPESAQNGDGAEAEKSEKKKEKKKKSRDTEEAQ >KQL05565 pep chromosome:Setaria_italica_v2.0:V:25789674:25789856:1 gene:SETIT_003771mg transcript:KQL05565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIPRSLRELLLAQAPRKKNGKIRLREVHKRHYILEEGSFLIRIMPTRDSPPEQHLSLLF >KQL06755 pep chromosome:Setaria_italica_v2.0:V:35443907:35448737:1 gene:SETIT_000126mg transcript:KQL06755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSENTWLCPRCVSTEVKHAADVILKQNLGGECVIDSDRTSADASFSGRVSVSVADEGETALVVSMVGVQSETRSDLSEASLDLKTAHEAFNYNPYPSHSKDAFKHDSIADASTLRSTDSFSRSQNKSSEMNIVRTLYSEPTEMSFQFSPIREPAATIFSSERGNMSNEQLEVPKLVSSCPVIDNSKEAKNTGEDNAVQKSNNERSPAIKSPQPFSPDAVQQMKSAQNMQSPLRHDGHESNGMKDEKDMESGSEVSHPAKKAKLEVHEQDTNVIGNSVFSSTCGHTTNSAKDTVDDMSEFLPQHESIPDIMSIVEGEAYIRDPGRALAKPVGRRAGDKPGLRVKKIFRKEEGKQSTAMVQKLQKEIREVVRDTGTNILEKDGSFDEQLLTAFRAAIGKSVDGTAKSTNQLIRTRRSLLQKGKKRENLTKKLYGTSTGRRRSDWHRDWEVEFWKYRCSPGTNPEKIETLQSVLQLLKRSSEMDKESAQGKRTENNNSILSRLYLADASVVPRKDDIKPLSALAGCAPLDKSSQIKANNSKSPNIPVAGTDATKISSPSNTGKISSASTLNKEASSRRENRNNQPSLDQKNHSSGDIKEDKRKWALEILARKNGSSVTSKDQTGDDDALKRKFPLLAQLPVDMRPKLAAGRNNKVPVSVRQVQLHRIAEHYLQKANLDVIRRCADTELAIADAVNVEKDIYERSSSKSIYVNLCSQASRQPAKEKSDKDTSTLTKKTELGSDLISQKVASENNVSGSDMEDALHRAVVSDLKSEIGDAITSEQTVQKHIVSFSNAEEALRKAGLFDSPPNSPEREITSVEGECRLEEQSKNLESNHDYRVKDVSSVKDDKSPLPNDHDAANCQNLNTVLCQQQKPNSEEQQKLTARGETEDVIANETNATNLAEDDRCSEQCEKSSGPGKEISIDSNMPDKVTGNVETSRDMEKAASILPSQSHKDGLARDGEVISKPKNLEPTKEKSSSDKPSLNSKHPKGDKPSHIAEGGDDPKKQAPDQAGKSTPDASSSTYKKVEMFVKEHIRPLCKSGVITVDQYRWAVTKTTDKVMSFHHDAKNASFLIKEGDKVKKLALQYVEAAQQKIN >KQL06752 pep chromosome:Setaria_italica_v2.0:V:35427881:35430289:1 gene:SETIT_003226mg transcript:KQL06752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKLGLDRVLDCFSLSLCANTCACVHSVEEEEDEDEADERRALVSSQLEELVKLRDLVDGAARTLAFHLEPKTVELKVSMHCYGCAKKVQKHISKMDGVTWFEVDLEKKKVVVTGDITPYEVLESISKVMKFAELWVAPQQQPQAASRG >KQL04778 pep chromosome:Setaria_italica_v2.0:V:11707988:11708593:1 gene:SETIT_003833mg transcript:KQL04778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAAAAGDVLPALPPIRTAASQASASAPKPACSLAASSSTASVPDAESVVLPAPGKGKAEKEAEAGGQDQVEEPTTPTLEASRLRALAECPPATRKPAWAPEATPPAAKVAQLSAAEISGWAWVPCRRLLFQCVVGGI >KQL08276 pep chromosome:Setaria_italica_v2.0:V:44537582:44538843:-1 gene:SETIT_003083mg transcript:KQL08276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRALLLAAAAAVVLLASGARGAAGRTAAAEAANAVLRAHQLPGGLLPAGITAFRHDAATGAFEADLAAPCTTRFEVELRYNATVAGVISRGRIAAISGVAAQDLFIWFPVHDITVDIPSSGVIYFNVGVVKKHFPLALFDAPPACTPDRLLRTTPQRLEDVDLDGLLISGSASQ >KQL08714 pep chromosome:Setaria_italica_v2.0:V:46814963:46816486:1 gene:SETIT_002753mg transcript:KQL08714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEELAQIDISKEEKDKLVAEVMRYMLFKTHQTSGCPIKREELTGIVTKNYRQRALPALVINEARDRLAATFGYEMRELQRTRAPSTRSGRPSQPQPNAEAKSYVLVSQLDHEVYSKYVEDKEAAPLSGFAFTIISLIHLAGGKIPEEDLWHQLKRLGLKNDNDEKHPALGNNKQALELLAQQRYLLKEKLAGPEGHVVMYELAERALDESISGKLKDYIAQKQSAAASRGIFHS >KQL08715 pep chromosome:Setaria_italica_v2.0:V:46814813:46817100:1 gene:SETIT_002753mg transcript:KQL08715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSEELAQIDISKEEKDKLVAEVMRYMLFKTHQTSGCPIKREELTGIVTKNYRQRALPALVINEARDRLAATFGYEMRELQRTRAPSTRSGRPSQPQPNAEAKSYVLVSQLDHEVYSKYVEDKEAAPLSGFAFTIISLIHLAGGKIPEEDLWHQLKRLGLKNDNDEKHPALGNNKQALELLAQQRYLLKEKLAGPEGHVVMYELAERALDESISGKLKDYIAQIVGTSTVAEENSS >KQL08733 pep chromosome:Setaria_italica_v2.0:V:46891045:46892374:1 gene:SETIT_001960mg transcript:KQL08733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGTSRAAAAVALVVAVLCASAAAEAGITRPPPVARGLSFNFYKKTCPNAEALVRGFVHDAIQRDVGLAAGLLRLHFHDCFVQGCDASLLLDDEHGEQKALPNLTLRREAITAINDIRDRLDKACGGPVVSCADILTLAARDAVVERGGPRYKVPLGRRDNVNFASHDEVNKGLPSPDANVGAMLEVLRKGNLGLDATDLVALSGAHTIGLSHCTSFENRLFPRQDSTMDAAFAAQLKQTCPAKGVSRTTPLDVRTSDVFDKMYFVNLVNRQGLLTSDQDLFTEARTRPAVQRFARSQNAFFRQFRVSMVKMGQIKVLTGDQGQVRRNCSATNNLMRTTSTAAGLPWWFVVPEAETSLVF >KQL05012 pep chromosome:Setaria_italica_v2.0:V:14088440:14092079:1 gene:SETIT_004770mg transcript:KQL05012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVAVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDIDNVSMGSEDCELEEDGVVGVEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTEQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHVVALLWGDWKESYGMVPRVLSAITYYNPGVKWCIDSCGMMLPDNGVLKHILQRVFWCFPHCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQLVPLAFALVESENNESWSWFMKLVRVHVLGPSRIVCMILDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFCEKLEDLVKDLNSDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMITNFSESLNRVFKGIRSRPVVGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNYESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGDMDVMAAPGYPLLEAAYDLHHRAHHLADLNEVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDEPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLDGQPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTAMLECGYGTLSVHSSFQMQLATQYRG >KQL06122 pep chromosome:Setaria_italica_v2.0:V:30817140:30819761:1 gene:SETIT_001566mg transcript:KQL06122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRFLLLVGALVATALSADTAIAKGQRPWAELSGRDNWDGLLDPLDADLRRVVIRYGELAQATSDAFISDPASPHAGASRYAPGAFLRRAQAGADVPDLYRVTRFLYATSGARVPDGIIMRPAPPGAWSLESNWMGYVAVATDAGAARLGRRDVVVAWRGTKRAVEWANDLDITLVPAAGVVGPGPGWSQPAVHRGFLSVYASSNSTSRFNKQSAREQVLAEIKRLLDAYKGENCSITITGHSLGAALSTLTAIDIVSNGVNVRGSTNDTVPVAAIVFGSPRVGDEQLKKAFDSTPGARLLRVRNAPDIVPTILPAAFYKDVGVELLVDTRKSPHLKQPGPGPAAWHNLECYLHGVAGTQGAGDGAGFGLVVDRDLALVNKEVDALDDEYPVPAGWWVEGNKGMVKDGSGRWVLQDHEEGNLAM >KQL05557 pep chromosome:Setaria_italica_v2.0:V:25680111:25683266:1 gene:SETIT_004065mg transcript:KQL05557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVPPCQPGDISGGSQDAAPLFELDKLPEDILCHILSLLPLPDAARAACVSHRCLRSWRCFPNLTFNQKTFGLKLNEGTSYARAKKLVDRIDHIIQNHSGIGVKTLKLDVRCCAKVITAGHLDSWLQATIRSGILDISVELPQHLMLDYNPPCSLLSCAGSSLQSISLFSCAFHPTLRIGCLKSLKSVCLNLVHTTGEEIQCLFSSAISLEYIELTNCNETTFLIIPSHLQELSTLKTFKMPVLPGKFLHLKRLKIYFCGTGYDYFSLVFFLEACPALETFFLSTGEYVFGRQDTIPQDFNADSLHIRRIPGFHHASLKKVSINQFFSSKSLIELTCQIVENTSSLRYLVLDTTSGFDTRGTSSWQAQSYRLQSSL >KQL05475 pep chromosome:Setaria_italica_v2.0:V:24917027:24917884:-1 gene:SETIT_004362mg transcript:KQL05475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFFRGAALAAAAAGGLSSAVVSWNLSSPLPLSASPSSSPSTSVGPAAAATGHLALVRAHPGLRELGAMLTPSSFFVDATQALLAGALRCAPLYPSTLRQGRDYLTAQILSAESEGHAASEEAAMDRINMALLDARDGHLDDASDAIARLAAERPGDTTSRLYAAALCHVLGRHEEGTRWLHDAAVPDLSRLEHKMPFVEGVLVSTVGSAPRAVAGSEELVLRTTLGLVELTMWSIFQHGDLPERLQVLALMVFLRGAVARKLGRDDGPAPPEGSQDASSPQAS >KQL07852 pep chromosome:Setaria_italica_v2.0:V:42179334:42180023:-1 gene:SETIT_004124mg transcript:KQL07852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRSSRRGRSGSFTLRQPPVVDIGCNCRRPKLFSVLSSSTSSLFRGGGKPKSPNASSTSTTTAFTATTAGGRSGTTATSTDSSSWGPASFVATNSLYEDPVAAAAAVAPQEREQQEARRRRRQQRRRRRRAAAAPARHGVGEEVDDGRRVARESVAVAVESAEPYEDFRESMVQMVVEKEIYAWDDLNDLLHQFLSLNSPRHHPLILHAFADLWTRNGLFCPPSPCQF >KQL08352 pep chromosome:Setaria_italica_v2.0:V:44951488:44951713:-1 gene:SETIT_005594mg transcript:KQL08352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGSIIAYPVKNFFHDRFNHSQILTTLAGNR >KQL06144 pep chromosome:Setaria_italica_v2.0:V:30953661:30954599:1 gene:SETIT_002744mg transcript:KQL06144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCIVLGPAAGPNSAGIGLARARWASGPIVPKMSVQCLEGVKVELKPDGPLTCYISYCHLAQALVAYYTERPHTLVNRASVQLSRACARAHTVVQQSARSMAPRSRAGAGARGPGVGVGVAALLLGAEGPLIHSTPRGVVDLVLHLLDLDGALVLPRPQVRARAVLPAAHRLVRRRRRPADRVRALRSRHPDPRCAQASVCLLATLELTRALLDFRFALSTPYAVELLLTVSLPAAK >KQL08230 pep chromosome:Setaria_italica_v2.0:V:44323034:44324619:-1 gene:SETIT_004287mg transcript:KQL08230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTSSQKTPQPSPNSPIRRGEPERSEKRKKMVRVATFFAMTFGAFLFWQSMDRVHVWIALHQDEKKERMERDLEIKRMQAELMAQAKESES >KQL04741 pep chromosome:Setaria_italica_v2.0:V:11299979:11300822:1 gene:SETIT_005307mg transcript:KQL04741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTYEKAPSADVDKFLNVLKKKASSSGEKSVTRSVSRQKEKDQNLNFFASDDVPMDYEHGKPFLYRWDLLEGPWELNKLHVWIMNALKQGI >KQL05928 pep chromosome:Setaria_italica_v2.0:V:29137130:29143170:-1 gene:SETIT_000805mg transcript:KQL05928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTICSSDEIIDEFELLTRDAGRVQQDTLRKILELNADAEYLNRFNLERRTDSKSFKSCIPLCVHSDIESYIQRIVDGDNSLVLTGKPITSLSVSSGTTQGKPKFLPFNDELLESTIQIFRTSYAFRNRKYPIGNGKALQFVYGSKQVFTQGGILATTATTNLYRSWRFKEAMKDIMSQCCSPDEVIFGPDFHQSLYCHLLCGLIYSDEVQFVFSPFAHSLVHAFHTLEEVWEDLCADIRDGVLSKQVTAPSIRQAVSKILRPNPELASSIYSKCHNLSNWYGVIPALWPNAKYIYGIMTGSMEPYLKKLRHYAGHLPLMSADYGASEGWVGSNVNPTLPPEEVTYAVLPNIAYFEFIPLEKPKGEEMENSSSIHYIESEPVGLTEVQVGKIYEVVITNFAGLYRYRLGDIVKIAGFHNSTPELKFICRRSLVLSINIDKNTEKDLQLAVEEAEKLLAEEKLEVVDFTSLVDRSSDPGHYVIFWELSSGNASEEVLSSCANSMDLAFVDAGYVGSRKIKTIGALELRVLRKGTFGQVMNHYLSLGGAVSQFKTPRFVSQSNSKVLQILNRNVTQSYFSSAYGI >KQL04196 pep chromosome:Setaria_italica_v2.0:V:7124216:7124704:-1 gene:SETIT_004995mg transcript:KQL04196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEKHEKTCVHAPCFCPEDGCSFKGSTGSLLDHFVTEHKWLPTNFHYDKAQRICIPRHRRFTTLVGEDRSMFLVVNTSTDIGNALTTICIRPHESFGSCYSSKISAVRRAESDKGRYVFQMDSHVRSNSLHDGVQLGRFFLLVPPELVDELADEFTINICIGKI >KQL06026 pep chromosome:Setaria_italica_v2.0:V:30174926:30176287:1 gene:SETIT_004035mg transcript:KQL06026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGITKALRLHGRQLRHAVLQHMNKGIFSWATLISRIQSESPTVIIPHIGLENITVSEILQAKGEAEAGAVYWCDTSNSVHEAVKHMTVHNVGALVVLKSGDMKQLAGIVTERDFARKILLPGRPSEETRVEDIMTEEDKLITVSSNTNILRAMEVMTDKHIRHVPVFDEKVVGMISIGDVVRAIVDQQHQEVKQLKKYIRGDYY >KQL05255 pep chromosome:Setaria_italica_v2.0:V:19324698:19326608:1 gene:SETIT_004281mg transcript:KQL05255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPHLLPLLLFALLLRPIPLRSQPAAPSPSPPQCALNFTALRPFLAPPLPADDASRCGLATQSVTFLLSLHLAATSSFVLPSGASSCLGPLRTALPIPGLETVLASPGCGNVSTLADLDARVPPSARQDIGASCNRDLSAVPDCTACTTALSKAAAAYLLPGSPGAGNNSVTGCVQYPPIYAGAKASPRGPADLATAYCLFLLKANPPQSQSSGAAPWIYGVAFGSLAAVLLLAAAAGSFFIVRRRRARAAAASLAADTRSKRSQAMESISASTTLVKFSYDEIKAATDGFSRESIIGRGGFGNVYKGVLRDGAEVAVKRFKNCSAAGDAAFAHEVEVVASVRHVNLVALRGYCIATTQREGHQRMIVCDLMHNGSLHDHLFGAGECQMAWPVRQRIAVGMARGLAYLHRGAQPAIIHRDIKASNILLDDEFEAKVADFGLAKFAPEGMTHVSTRVAGTLGYVAPEYALYGQLTEKSDVYSFGVVLLELLSGKRAFISLSEGQSFVLTDWAWSLVRRGKTVEVIQEGMIEPGPTEVMEKYVLVGALCTHPQLHARPTMEQALKILEADSAPSPLIIPERPIPVVANLAEIERSASSSGSGQLFSPSGFRSFIHANEDAALASPNET >KQL03190 pep chromosome:Setaria_italica_v2.0:V:282306:284104:-1 gene:SETIT_003260mg transcript:KQL03190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAAQGGDKPALRKPVFTKVDQLRPGTNGHTLTVKVVSATPVPGRARPGAPAPASSRAPRIAECLVGDDTGAIVFTARNDQVDLLKPDATVILRNAKIDMFKGSMRLAVDKWGRIEATEPASFTVKEDNNLSLVEYELVNVAE >KQL03242 pep chromosome:Setaria_italica_v2.0:V:487575:492904:-1 gene:SETIT_000525mg transcript:KQL03242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQSRRAVESYWRSRMVDGVTADDDKVAPVYKLEEICELLRASDASIVKEVADFVLKRLDNKSPLVKQKALRLIKYSVGKSGTDFKREMQRHSAAMRQLVHYRGHPDPLRGDALNKAVRETANEAIAAIFSTEDPKPAVATESLGKRIQGFGNTNYEPSRMDDKKSFLSELSEVVGIGSASIKQGLSNFAAAHAMMTNDNGGTYKSPNLRRSLTTESERYGRYDPSEIQSESRASSGASKNVASGSWGPTPSSSAPTDDTSSSQPGIKTREERLLETIVTASGVRLQPTRDALQIFLTEASKLDAVALSRALENKLNSPLWQVRMKAICVLEAIVRKQDTDPYSIIASYFIENTASVVKCSELPQVSLRERASKVLNMLIGEQPTGTTNLSATKAAMPPPVQMPDLIDTGNQDDLGTSSGQESNGQNTGNSAYVSSVDDLLGGEPIADTSVPADSNGSDPFADVSFHEAETKENNDLFSGLTVEEKSSAAMPDSSSSNKNELPDIFGSNPDPFIQGSVTDQGTVNDLMAGLNLNGTDQVQHAVKAEPNSNLNGSQFFDTNNQTSNMAGAAALNGILGQNSFYQQQQVPLQYSLPQHMMLNQSFPGQQLNYGAMGILLAQQQQLLQNFGNFNAGVGNSPFDPMNSGNASVLPDIFNSSNQPQNHVAVTSNSKKDDTRAFDFVSDHLAAARGSRK >KQL04531 pep chromosome:Setaria_italica_v2.0:V:9565689:9569349:-1 gene:SETIT_000633mg transcript:KQL04531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTPAAAAAARGALTDQKFSEMSPALSAEVVEALHRGGFRRCTPVQAATIPHLLSHKDVAVDAATGSGKTLAFIVPVVEILRRRSSPPKSHEVLAVIVSPTRELSSQICNVAQPFFATLKGVSSMLLVGGLNINAELKRLEEEGANILVGTPGKLFDIMHREGTLDYKNVEILILDEADRLLDMGFQKHINFILSKLPKLRRTGLFSATQTKAVADLSKAGLRNPMRVEVKTETKLTSEDAGQQELGPSKTPLGLRLEYMMCEASKKSSQLVDFLVQNSGKKIMVYFATCACVDYWAVVLPLLNSLKGSPIIPYHGKMKQGPREKALASFSALSSGILVCTDVAARGLDIPSVDLIVQYDPPQDPNVFIHRAGRTARYDQEGDAIVFLLPKEDTYVEFLKLRGVPLTERECPSNTEDVVPQIRSAALEDRNVMEKGLRAFVSFIRAYKEHHCSYIFRWKDLEIGKLAMEYGLLQIPFMPEVKHHSLSLEGFIPVDDVDVTQIKYKDKVREKQRKKALKRKAEEEAQNPKPEKRRAREKPEKPKRKKTGKQRQSVQTKEDLDELAHEYRLLKKLKRGDIDEDEYEKLTGFGDSDGEASDGKASDLDKGKERGSKVQKKLKQKGKARGGSRKFEGKSKMRSKRR >KQL07484 pep chromosome:Setaria_italica_v2.0:V:39974870:39976783:1 gene:SETIT_001429mg transcript:KQL07484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAEEVKSRFGRCPYCRAMIYQDLTAIIFYCSKCRTPIRGKNPRPTDETEYALAQLEILSADTASVFSDDVEPSNPRSAWAVDDDDDGRPSLASRSTTGRSSVPTRQDTGAASSSSAYRGFGSVRDGARSGPLSSSMNRDDRTEAARRGSPLHGRVTELRPSSRRTRRSSSGDVDVRSDGGSGTDSESDVPAMPYRRRASPLSSQELEVASVLSGLEPTVNLEKSPLSDPAFQKDLLHALDNLRKLIAAVDHPRSIEGHWQGMMPRLSASCHDGGGGKRTITRRSSRLMRRLESQLTRALPGERPRRDASASSSSSASSVSSSRRGGPRARAHHCRPLLGGTPFVVCDECKEILQLPAALPVGRASRLKCGGCGEAFELTLPASGSTDHPKKIFSAPQPAVGGGEDTEYARAWSNLSGEQPGQVGPLHRVLGYSSVSSVLRSQRYGEHS >KQL07535 pep chromosome:Setaria_italica_v2.0:V:40383042:40387255:-1 gene:SETIT_001060mg transcript:KQL07535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPLSPGKSPADDDLETLPLDSSSSSVAVTTDPLLRPPPSPSSATSSPPAGANHDPFVEEEEDEDEADDVTPAPAPHRAAAASTSREASPVFAEITVSEPRKHAEPATGAVGVIPGSASYVSYLIATRASDGGEFRVRRRFRDVVALADRLAEAHRGLFVPARPDKSIVEGQVMQRHDFVNQRCVTLQRYLRRLAAHPVVGRSPDLHAFLTEPSGIPTSDGESPRWSPTMSAASATSMAAAAPATPTKSGRDFFGVFKDLKQTVTNGWVTVRPPPVEEEIDTKYLAHKAKLEDLEQHLVTASQQAEALVKSYDDLRATTGLLGMSFIKLAKFEKEQAMCASQKIRAADINNFANAVVRVSRSQTKLNAEIVKHLGIIHEYMETMAAVHNAFTDRSNALLRVQNLSADLYFLHTRAGKLESVSSRGMDQERSRYQKIEELKETIRTTEDAKTHALKELELIKENNMNEIKRFNKERRHDLVEMLKGFVSDQAAYSDHFASIWTKVAEDTKGYANRS >KQL07536 pep chromosome:Setaria_italica_v2.0:V:40383972:40387255:-1 gene:SETIT_001060mg transcript:KQL07536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEPLSPGKSPADDDLETLPLDSSSSSVAVTTDPLLRPPPSPSSATSSPPAGANHDPFVEEEEDEDEADDVTPAPAPHRAAAASTSREASPVFAEITVSEPRKHAEPATGAVGVIPGSASYVSYLIATRASDGGEFRVRRRFRDVVALADRLAEAHRGLFVPARPDKSIVEGQVMQRHDFVNQRCVTLQRYLRRLAAHPVVGRSPDLHAFLTEPSGIPTSDGESPRWSPTMSAASATSMAAAAPATPTKSGRDFFGVFKDLKQTVTNGWVTVRPPPVEEEIDTKYLAHKAKLEDLEQHLVTASQQAEALVKSYDDLRATTGLLGMSFIKLAKFEKEQAMCASQKIRAADINNFANAVVRVSRSQTKLNAEIVKHLGIIHEYMETMAAVHNAFTDRSNALLRVQNLSADLYFLHTRAGKLESVSSRGMDQERSRYQKIEELKETIRTTEDAKTHALKELELIKENNMNEIKRFNKERRHDLVEMLKGFVSDQFLPVILPKRKSMGAKC >KQL06191 pep chromosome:Setaria_italica_v2.0:V:31558056:31558704:-1 gene:SETIT_002975mg transcript:KQL06191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETKVYTVRFEGDAITTTVTSSCEAVEDWLDEVRSVHRRRLHKLVVGLDVEWRPLFGPGYSPTALLQLCVGRRCLIFQLLHADYIPEALAEFLADPDFRFVGVGVEADAERLSNDYNMQVTNTVDLAELAAEEMGRPDLRNAGMKAIASAVMGANVDKPQWVRLGPWDAYDLSHEQVEYACVDAFVSFKVGRMLLTGDY >KQL03857 pep chromosome:Setaria_italica_v2.0:V:5084836:5086115:1 gene:SETIT_002695mg transcript:KQL03857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPCAPLAPSVPPSLRYPTLTDKLPLSPSTWVLVSLAATLVIRCARARTNRTPAPPATVRPSRSRNHAAAPPPHPSLSHTTKRASTSSCSITSAAAAAKQASRLALRALLAMARLLRAAAFLLLAVLAVALAALPAPASAGAGDLASELLVVRRGSSGGRACRGTVGECMEYADVDAEGEGDVAGMATGGSKRRVLQGGSGYIGYDALRRDNVPCSQRGASYYNCQPGAEANPYSRGCNAITQCRG >KQL06769 pep chromosome:Setaria_italica_v2.0:V:35499373:35500851:1 gene:SETIT_004087mg transcript:KQL06769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFVSAVLGDLLSRTVSFIIDRCYRQHMGVEEYLPWLRRVLLRIQATVEDADGRHITNEAMLRQLQMLREAMYKGFYLLDAFTYRMLQQQRDNDDQVGDHPLSIFCPVKRLCFSARRMNMVFQGDGVKEVQETLGNLHNIIHDMAEFTVFLKCYPHISREPYNKYLFLEKCMFGRQAEMDKIMGFLLKPEPPGAQGLQVLPIIGQPRVGKSTLVEHVCYDERVHNHFSSIILYSGDPTAAPEGSGVVKKQTDGSNGRSLVVMELPDGLAIEERQFRKLYSSRSHMPPGKAYWYFFKVMAFGSTDPDEHPELASIAMDIAAGLEGSFLAANVICGFLRANMHNRFWRKILELERNCLEKNILLFGKRPQTLLRKNQIAYVWSLSNTSSIWVKVLLYETHSPANEVPKTMLHDVQIQTISAKAYRKLDVLVWRSRIPPYHNYTMRCEIEAAQEMMSKKKRPHSMV >KQL06508 pep chromosome:Setaria_italica_v2.0:V:33898818:33900671:1 gene:SETIT_002876mg transcript:KQL06508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKEENKEASVSISNNDTDTNDFEDDDYDDDDGKHTVALGPQVPLKDHLELDKDDDSLRRWKEQLLGDVDTTKLGETAEPEVTILNLTILSPERPDLVLPIPLVLDDKGYAFSLKDGSTYSFRFSFTVSNNIVSGLRYTHNVWKTGVRVENQKVMLGTYSPQQEPYNYEAEEDTTPSGIFARGSYSAKLKFVDDDGKVYLDMSYCFEIRKDWPASA >KQL05078 pep chromosome:Setaria_italica_v2.0:V:15497170:15501837:-1 gene:SETIT_002227mg transcript:KQL05078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTRASMGAMDAAAVDEVVRRLVEGGRGGRQVQLSEAEIRKLCVEAKQVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYIFLGDYVDRGKQSLETICLLLAYKLKYPDNIYLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFCDCFNCFPMAALIDDKVLCMHGGLSPELNSLDQIKDIERPTEVPDYGLLCDLLWSDPSPDTEGWGESDRGVSCTFGADKLVEFLEKNDLDLVCRAHQVVEDGYEFFAERRLVTIFSAPNYCGEFDNAGALLSIDESLMCSFQILKPNETGAPHSRKPLPNKTPKGENV >KQL05358 pep chromosome:Setaria_italica_v2.0:V:23392255:23392733:1 gene:SETIT_005251mg transcript:KQL05358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVGSGSKRRGGLGRALKEHKARLYIIRRCVVMLLRWDD >KQL04660 pep chromosome:Setaria_italica_v2.0:V:10562122:10563979:-1 gene:SETIT_001832mg transcript:KQL04660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSHLKNPLYFDFRAARRVPESHAWPGLDDHPVVDGGGAPGSPDAVPVVDLREPGAAAVARVARAAEQWGAFLLTGHGVPAELLARVEDRVACMFALPAADKMRAVRGPGDACGYGSPPISSFFSKCMWSEGYTFSPASLRRDLRKLWPKAGDDYDSFCDVMEEFHKEMRALADRLLELFLRALGLTGEQVGAVEAERRIGETMTATMHLNWYPRCPDPRRALGLIAHTDSGFFTFVLQSLVPGLQLFRHGPNRWVAVPAVPGAFVVNVGDLFHILTNGRFHSVYHRAVVNRDLDRISLGYFLGPPPHAKVAPLREVVPPGRAPAYRAVTWPEYMGVRKKAFTTGASALKMVAAAAAATESDDTDAAAAAVHQPPVVVSS >KQL03420 pep chromosome:Setaria_italica_v2.0:V:2248051:2252593:1 gene:SETIT_003070mg transcript:KQL03420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKFSSEDISGQNQVKASVQRRIRQSIADEYPSLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPEIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGVLDDEVEEETPVAIMAEGKQHALAIGFTKMSAKDIRTINKGIGVDNMHYLNDGLWKMEKLE >KQL03419 pep chromosome:Setaria_italica_v2.0:V:2248051:2251200:1 gene:SETIT_003070mg transcript:KQL03419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKKFSSEDISGQNQVKASVQRRIRQSIADEYPSLEPLLDDLLPKKSPMIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPEIMKKFQVDRGAIKFVLSGANIMCPGLTSPGGVLDDEVEEETPVVMTNKLLVHS >KQL03730 pep chromosome:Setaria_italica_v2.0:V:4348507:4349017:-1 gene:SETIT_005313mg transcript:KQL03730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDKLKLSQSVQFMVDKSARVRRPVSRSDRRTRRRRRRRRRAERKRCILRGPLG >KQL06083 pep chromosome:Setaria_italica_v2.0:V:30519387:30520345:-1 gene:SETIT_004529mg transcript:KQL06083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTYSNYALYNWAQMATSRANWDEETKKTFLNLCIAEKNQLNWSNKCLTKLGWQHIYRNFKQQTGLTLGSKQLQNKLSTMQRSFMNRRDLQVQSDLGRDRHTGGIAADSTFWATDEGETSVDAIQTSTAKPPPFLDKLYTLFGHTTQDRGTLLTAGGVREATPSMGIEDTASDMYLDPMAATSARNMSKRPTREEVVDSPPKKKTTRSQKRLNREQEELDLAMRILEEDGIEEGSDLYCMAIYLCKNAVYRRVFTKMKTREGRLHWIQFNWERENK >KQL06766 pep chromosome:Setaria_italica_v2.0:V:35498505:35499054:-1 gene:SETIT_003611mg transcript:KQL06766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICSMQLYYATEHVFCCFIPRSALFAEFIKKKSIEYRCGILYYTIVLLIRLTRTITNSRSVNKRFLVEQHMGDR >KQL03536 pep chromosome:Setaria_italica_v2.0:V:2983159:2989001:-1 gene:SETIT_001346mg transcript:KQL03536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGEAEAECTRASLLGRYEIGRTLGEGNFGKVKHARHHASGAHFAIKILDRSKILSLRFADQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAIKGKLSENEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGSLPFDDRNLVVLYQKIFKGDCQIPRWLSPAAQDLLRKILEPNPMKRIDITGIKQHEWFQKDYIPAVPYDDDEDVLPGLVLPIKEQIDEPAQEKRTHINAFQLIGMASSLDLSGFFEEEDVAQRKIRFTSMHPPKDLFDKIENVVTEMGFKVHRGPSKLKVLKNCKGSKNSRNPSSFLVCTEVFELGPSLYVVELKKSHGDSTLYRQLCERLSDELGVCKTEEIMRTESLGDSDMSSVDGEALPLSGF >KQL03537 pep chromosome:Setaria_italica_v2.0:V:2984372:2988804:-1 gene:SETIT_001346mg transcript:KQL03537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGEAEAECTRASLLGRYEIGRTLGEGNFGKVKHARHHASGAHFAIKILDRSKILSLRFADQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAIKGKLSENEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGSLPFDDRNLVVLYQKIFKGDCQIPRWLSPAAQDLLRKILEPNPMKRIDITGIKQHEWFQKDYIPAVPYDDDEDVLPGLVLPIKEQIDEPAQEKRTHINAFQLIGMASSLDLSGFFEEEDVAQRKIRFTSMHPPKDLFDKIENVVTEMGFKVHRGPSKLKVLKNCKGSKNSRNPSSFLVCTEVK >KQL03535 pep chromosome:Setaria_italica_v2.0:V:2984140:2988804:-1 gene:SETIT_001346mg transcript:KQL03535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGEAEAECTRASLLGRYEIGRTLGEGNFGKVKHARHHASGAHFAIKILDRSKILSLRFADQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAIKGKLSENEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGSLPFDDRNLVVLYQKIFKGDCQIPRWLSPAAQDLLRKILEPNPMKRIDITGIKQHEWFQKDYIPAVPYDDDEDVLPGLVLPIKEQIDEPAQEKRTHINAFQLIGMASSLDLSGFFEEEDVAQRKIRFTSMHPPKDLFDKIENVVTEMGFKVHRGPSKLKVLKNCKGSKNSRNPSSFLVCTEVFELGPSLYVVELKKSHGDSTLYRQVTMHTSTYHHCLSDKLVELYVHCCI >KQL03538 pep chromosome:Setaria_italica_v2.0:V:2983159:2989001:-1 gene:SETIT_001346mg transcript:KQL03538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGEAEAECTRASLLGRYEIGRTLGEGNFGKVKHARHHASGAHFAIKILDRSKILSLRFADQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAIKGKLSENEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGSLPFDDRNLVVLYQKIFKGDCQIPRWLSPAAQDLLRKILEPNPMKRIDITGIKQHEWFQKDYIPAVPYDDDEDVLPGLVLPIKEQIDEPAQEKRTHINAFQLIGMASSLDLSGFFEEEDVAQRKIRFTSMHPPKDLFDKIENVVTEMGFKVHRGPSKLKVLKNCKGSKNSRNPSSFLVCTEGHLCMS >KQL03534 pep chromosome:Setaria_italica_v2.0:V:2983159:2988482:-1 gene:SETIT_001346mg transcript:KQL03534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKQQIRREIGTLKLLKHPNVVRLHEVAASKTKIYMVLEFVNGGELFDKIAIKGKLSENEGRRLFQQLIDGVSYCHDKGVYHRDLKPENVLVDRKGNIKISDFGLSALPQHLGNDGLLHTTCGSPNYIAPEVLQNRGYDGSLSDIWSCGVILYVMLVGSLPFDDRNLVVLYQKIFKGDCQIPRWLSPAAQDLLRKILEPNPMKRIDITGIKQHEWFQKDYIPAVPYDDDEDVLPGLVLPIKEQIDEPAQEKRTHINAFQLIGMASSLDLSGFFEEEDVAQRKIRFTSMHPPKDLFDKIENVVTEMGFKVHRGPSKLKVLKNCKGSKNSRNPSSFLVCTEVFELGPSLYVVELKKSHGDSTLYRQLCERLSDELGVCKTEEIMRTESLGDSDMSSVDGEALPLSGF >KQL06726 pep chromosome:Setaria_italica_v2.0:V:35227310:35231723:1 gene:SETIT_003999mg transcript:KQL06726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAGGGQQARRRPAGLIGLHALLCLSLLLNALLLAHHFLPPSRLLGHDGGGSSGCGLSWALRAAREAEAAAAVDCSGHGQVFLDGVAGEDGRPGCECNACFAGPDCSLRTPDCTADANSGNPLFLEPYWRRHASAGAVVVSGWHRMSYTTTDGHGLFQSVELERQIRRLHSAVGNAVVDDKHVVFAAGSIQLINALVHALSPDADAASPPARVVATAPYYPTYRTQTKMFDGREYIWGGTTALWGNASRNSTDGFIEFVTSPNNPDAQLYKPVLGGSAAVIVDHAYYWPHFTHIPAPADEDVMMFTMSKPSGHAGSRFGWALIRDQDVAKRANKYVQDSIMGASRDTQLRMLGIVKVMLANLHGEEDIFAFGHGVMRTRWRRLNAVVSRSRRISLQKMAPAYCTYFKRIRDPSPAYAWVKCEREEDEDCHEAMLKAKINTRPGVLNEASSRYTRISLLKSDDDFEALMERVTDLVNAERYDAPGFSSM >KQL04379 pep chromosome:Setaria_italica_v2.0:V:8612306:8612683:1 gene:SETIT_004401mg transcript:KQL04379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EPRMVTLMSLQARREGLVQGNDDGVVDRNATSWFPAALGLAGLATASSAITAAMSKRPAALQGTNGSYYLLALSGLFYAGVAELGGAVWVMADPRARAAVGRRLVYASLAPLTAAAGLAAATLLW >KQL07849 pep chromosome:Setaria_italica_v2.0:V:42136253:42138926:-1 gene:SETIT_002207mg transcript:KQL07849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGAGTRRRRLVERGSDRLAFITGQTRSLSCDPIPGSPSSYDSASPLQSEQQQNEGAFGGEKFSDRTQLQKSVPRASIHQEPRARTLSYDDLVPEFKRADTPQEIKAKPLSYEDELFHKFKTGSASAVPEIQPVNETPSVRGKNETPSHRPDQETLSKKTSHEEAPSVQPIREVEIRPRSAPPSQPNQAHDAGWSVETLKELMNFTPQEITKAISATESNRLLASIAIAFLVVLSNWGLDIGGAITRVLVGTRPLLFLIITNITIVLTLLMENKDPNARGRPVGPNLGSADSLGQMLEIGLLLQKALGALLIDCSVCAVIMICFLGF >KQL03553 pep chromosome:Setaria_italica_v2.0:V:3097766:3102006:1 gene:SETIT_001142mg transcript:KQL03553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKHLVLLTCFWILSCALLLHASSDGLLRININKKSLDKEALTAAKLARQESHLRRFGGSRQSLGSSNDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSSRCYFSIACYLHHRYRSAKSRTYKRNGETCTITYGSGQIAGFFSEDNVLVGNLVVKNQKFIETTRETSPTFIIGKFDGILGLGFPEISVGGAPPIWQSMKEQKLIAKDVFSFWLNRDPDASVGGELVFGGFDPKHYKGRHTYVPVTRKGYWQFDMGDLLIGGHSTGYCAGGCAAIVDSGTSLLAGPTTIVAQINHAIGAEGIISTECKEVVREYGEMILELLIAQTSPQKVCTQIGLCVFDGTHSVSNPIESVVEKQKSSDLFCTACEMAVIWIQNQLRENKTKELILNYANQLCERLPSPNGESTVDCHQISKMPNLAFTIANKTFTLTPEQYIVKLEQAGQTICISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGENRIGFAKSA >KQL03552 pep chromosome:Setaria_italica_v2.0:V:3097766:3102006:1 gene:SETIT_001142mg transcript:KQL03552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQKHLVLLTCFWILSCALLLHASSDGLLRININKKSLDKEALTAAKLARQESHLRRFGGSRQSLGSSNDDIVPLDNYLDTQYFGEIGIGTPPQNFTVIFDTGSSNLWVPSSRCYFSIACYLHHRYRSAKSRTYKRNGETCTITYGSGQIAGFFSEDNVLVGNLVVKNQKFIETTRETSPTFIIGKFDGILGLGFPEISVGGAPPIWQSMKEQKLIAKDVFSFWLNRDPDASVGGELVFGGFDPKHYKGRHTYVPVTRKGYWQFDMGDLLIGGHSTGYCAGGCAAIVDSGTSLLAGPTTIVAQINHAIGAEGIISTECKEVVREYGEMILELLIAQTSPQKVCTQIGLCVFDGTHSVSNPIESVVEKQKSSDLFCTACEMAVIWIQNQLRENKTKELILNYANQLCERLPSPNGESTVDCHQISKMPNLAFTIANKTFTLTPEQYIVKLEQAGQTICISGFMAFDIPPPRGPLWILGDVFMGAYHTVFDFGENRIGFAKSA >KQL04290 pep chromosome:Setaria_italica_v2.0:V:7932786:7933132:1 gene:SETIT_005567mg transcript:KQL04290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTTGRQVAAANTPTAVGDDGRLTTLSNHQRG >KQL06739 pep chromosome:Setaria_italica_v2.0:V:35362303:35365805:-1 gene:SETIT_002033mg transcript:KQL06739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDAAHELEALAAAMVDDAAAAASPSPSPASSSGGSPSPSSPRAKRRRTDQYALGFEFAPRLAPYEVEVLAPRAAPKWTERSTFALLDAWGDRFVRPGAGRSGIRADEWLEVARLTSAAAGQPAGYYSETHCRNRVDTLRKQFKKEMDKARLAARRDLPSPFGPVKWVYYDKMVSILRPSPPAPPPHPPLPPFQPPVVKRRRDTQPSPRLGWGMKAPECLLGGGGEPRPGLSRPGAELGEPEPQKVCAVEGDRNGFMALTESIQKFGEVFARMESSKRRHMAEVEQMRRDLQRDLDAKWREILEKAKAEIACLSDEDGDGSDVEEDEDGGDDKRLEDGGGEVQNNGAMDASP >KQL06266 pep chromosome:Setaria_italica_v2.0:V:32231885:32235777:1 gene:SETIT_002490mg transcript:KQL06266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVYGAQNAWDMLSDEQSQKHITTGSGDLNHILGGGIHCKEVTEIGGVPGVGKTQLGIQLAINVQIPVEYGGLGGKAVYIDTEGSFMVERVYQIAEGCISDILEHFPHSHDKSSSGQKQLQPEHFLADIYYFRICSYTEQIAAINYLEKFLGEHKDVRIVIIDSVTFHFRQDFDDLALRTRVLSGLSLKLMKIAKAYNLAVVLLNQVTTKFTEGSFQLALALGDSWSHSCTNRLILYWNGNDRYAHLDKSPSLPVASAPYAVTGKGVRDAVSPNHKRVRVA >KQL04764 pep chromosome:Setaria_italica_v2.0:V:11486183:11486936:-1 gene:SETIT_004346mg transcript:KQL04764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSSGEEGFRFRHETSDVVTIGGEPGTVAFVDMWRGILLFDVLHGDPTLRYIRMPPQLISPCTGYSSPVHTRDSAVVNGHFKVVEFLDKIMTVTGSHSGYINDGWVAATWSKEVFSPHEGSWCPGHKLESRDIHGNPQMMLERLYTGHPTLSLHDGDIFYLMTKVELRDNKAWVIAVDMSDGTLRGVAEFGAERALDVSLTYTQSRISEHLIMAPG >KQL04861 pep chromosome:Setaria_italica_v2.0:V:12528024:12529089:-1 gene:SETIT_004051mg transcript:KQL04861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFHNEVPALAHGGEDLVVLEHGDDVCEVPDDIDVDESYEVGDDEEECEELEEDDDEHRVLREEEREDREERRREPPPCSGHDGEHPRDGECVVLGGVDGGDGEDGGGGGEEEEGEEVGVLEEEEGEPVGCEAGEEAALGIGARGGGVEGGVVGVDVSEEGVGDGDMEEEEGGEESGRTKAVATRGKEGPVWATEDRYGAHDASAPSSIPTDQSIVARCPSSARAPLASPSATADPLAIH >KQL03767 pep chromosome:Setaria_italica_v2.0:V:4465981:4469380:1 gene:SETIT_001659mg transcript:KQL03767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRSMLRKLGLKSFGAEAIFIKSELMDVLGGNLPLHELYSLSITKLGIFARCHQISRENCHRCRQFLLSKFWWGCSCAHGHGCWGAKLKGISSAGAAAAAGHSPGKGSPFGRMAKKPIQYVVVDAFTAEPFKGNPASVCVLDDAERAADARWMQAVATEFNLSQTAFLLRDSSSAAAAAPRFGLRWFTPNTEVALCGHATLASAHYLFTSVLAEHEALIEFATKSGILTAKKVPAPASAGVSGEGKLFIELDLPAIDFVECDEAELPSLPETLNGVPVVSVHKSTTVSDFIVELSSGKEVADIIPNIHEIKRCSGRGVIFTGPAPDESGYDFFTRFFCPKFNIDEDPVCGSAHCVLAPYWARKLGKQKLTAFQVSPRSGTLYLELEAAARRVRIQGEAVTVMTGTLLT >KQL07349 pep chromosome:Setaria_italica_v2.0:V:39251167:39255692:1 gene:SETIT_000622mg transcript:KQL07349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRFFSSGVVPLRLALLLALHCAAVSCSAPPPEMLHGSYAGKSEFRTVNRKELESCLNPSPYLAINVSTAGGGAANLPDEAFLQVTVAGVLKPSASDWVAMITPSNSSVSGCPLSVVNYVETGDLANLPLLCHYPVKAQYLTSDPGYMGCKNAGCGERGASGACTARTCAATLTFHVVNFRTDVEFVLFSGGFETPCLLKRSGALRFANPASPLYGHLSSTDSKATSMRLTWVSGDGNPQQVQYGDGKSSTSEVATFTQDDMCSISLLPSPAKDFGWHDPGYIHSAVMTGLQPSQSYTYRYGSDSVGWSDTIKFRTPPAAGSDELSFVIYGDMGKAPFDPSVEHYIQPGSISVAKAVAKEIQNGKVDSIFHIGDISYATGFLVEWDFFLHLITPLASQVSYMTAIGNHERDYANSASVYVTPDSGGECGVAYESYFPMPAVGKDKPWYSIEQGSVHFIVMSTEHEWSEKSEQYNWMDEDLSSIDRSRTPWVIFIGHRPMYSSHGGILPNVDSNFVASVEPLLLNYQVDLVFFGHVHNYERTCAVYQENCNGMPMKDANGVDVYDNSNYTAPVHAIVGAGGFSLDNFPNNGETWSLSRVSEFGYARVHATRTDMLVQFVNSSTMEVRDQFKIVKGSTSKKSSNLTIQQ >KQL03304 pep chromosome:Setaria_italica_v2.0:V:813288:813461:-1 gene:SETIT_004207mg transcript:KQL03304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMCCMHPQSRSFLDGLANCPCCNSNTKSKEYICVCSEPWFHDVVLGDWGEGETDL >KQL06969 pep chromosome:Setaria_italica_v2.0:V:36784523:36785377:-1 gene:SETIT_002770mg transcript:KQL06969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKAWSSAGAWLAIAVGLAAVVSSSEAYVFYAGGRDGWVLDPTESYNHWAGRNRFQVNDTIVFTHEEGVSSVLLVTEEDFDTCNTRSPVQRLEAVGGSGSGSSSVFRFDRSGPFFFISSDEDRCQKGQKLYIIVMAPRPPVAMAPALGPSQWTAFPPAGATAPPPLWASAPEYAHAPGMSPLGTSGHDGTSLSSLLGAPPPTAGASRSVGDAIVGSVVGVVGALVLCTML >KQL07201 pep chromosome:Setaria_italica_v2.0:V:38283179:38284426:-1 gene:SETIT_003335mg transcript:KQL07201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATISAAVATTVVARAAIARPNALGLPQLRARSERVRCGYSKDGRNAAAVSAKGAGASLLAAAGAVTASAGPALALVDERMSTEGTGLSLGLSNNLLGWILLGVFGLIWSLYTVYTSDLDEDEESGGLSL >KQL03783 pep chromosome:Setaria_italica_v2.0:V:4594054:4598206:1 gene:SETIT_002363mg transcript:KQL03783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLRFAHLRLFSFLSMAAPQQPGKTLLRPNPSPSPAGSVPKRSRTMATDAAAAADPPASKGCPAMKAEFAKHAEYLNALNDKRERLVKASRDITMNSKKVIFQVHRISKVNKEEVLCKAENDLAAVVNQYIGKLVKELQGTDFWKLRRAYTFGVQEYVEAATLCRFCKTGTLLTLAEINDSLLALSDKSVEPLQINVLDYLLGVADLSGELMRLAIGRISDGEVEYAKKICAFVRDIYRELTLVVPLMDDNNEMKKKMEVMLQSVVKIENACFSVHVRGSEYIPLLGSSADPDYSFFGASDFDQ >KQL06251 pep chromosome:Setaria_italica_v2.0:V:32070425:32071509:1 gene:SETIT_004570mg transcript:KQL06251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWAGLHADILESISARLTEPKDFISFRAVCPQWRETITRTAHARFLPWILKSDEVGVSVNVLFYSLSSEKYQEIHVPALKGRRTRLAGFGAGHLIGIDVDDDLSAVLVNPLTGESTALPRLPERFRGTITYGFAADHEMLREDDVSVAIYNWWPTGHVRIQVALWRRRGGHGWAVLPSERFWTRMPKQRSRLAAHGPEVLEGEIAGDGEEGEMEMQWVHDMDGAHLMEHGGQLRDKVIFQSADTCCHVLPAGDNFVGLSKNSIYFLDRRRRRGDAEAYCLCKWDLLQRVATVVEEIPGDWDWNLGRWFLPTLKY >KQL04177 pep chromosome:Setaria_italica_v2.0:V:6986228:6990047:-1 gene:SETIT_001238mg transcript:KQL04177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAAGPAAMAPLAKYPLVLAEDRTRRPDVLRHLRMYEGGWNVTSKHYWASVSFTGVSGFLLAALWFIFFGMAAVGRCYFRSRMAKEKVSHADVVRPVLLVVFALTLIAGCIVLLYGQSKFHEETTRTVDFVVNQSDFTIQSLRNVTEYLSFAKTITVAALYLPPDVQGQIDNLKGDLNKAADTISQKTAENYIRIRKVLHIMSVILICIAVLLPVLAFLGYVLELYGPRYTVYIFVTFCCIMVAALFILLGIFLILNSAAKDTCEAMDQWAQHPQAETALSNILPCVDESTTNRTLYQSKEVVVMLVRIVNRAISALSNRRPHHKHPGQLMPYLCSPYDSNFNDRKCKPREVTFENATTAWQNYTCLTQDTDLCSGNKTLTPEIYGQLVLAANVSYALYHYAPVLLNFQDCKFVRATFSTIASQYCPPVERDLGLVSTGLALIASGLVLHLVWMLFADRPQREEVSDLASGSRITPVDSSPLQ >KQL08631 pep chromosome:Setaria_italica_v2.0:V:46374098:46375285:-1 gene:SETIT_004319mg transcript:KQL08631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGKLSAANLPDDVFVDILSRLPVRQLCSCKCVSRAWRDLISHPDHRRRLAQTVSGFFYHLHVDASCPPIPYWRFSSAASPPGGAHVVDPAFPFLPSSFSRTETELLDSCNGLLLLRCCRASPSPSSSLYVVCNPATGKWVELPAPTHAPGTFGLRSDYLHGRRRTRLAALAFDPAVSSTRFHVFQLVERDHYQPFLSQYRFVVEAVEIYSSETSRWVPSSSTEWSCQVTCTGQQATYHNGSLHFAVDDGGVLSVDTNASWRITYVFPHSQETFGGGFVGRSQGRLLYVHKGSRYAALSVYSLERRRWSAEQWTLKHYASPLDQFRKTLFKEHDGVVAVHPDGDVIFLFDASRGMLMAYDMVRRTVRDIQPLAQASPYYPFFPYVPLHSSEALA >KQL03369 pep chromosome:Setaria_italica_v2.0:V:2023388:2025653:1 gene:SETIT_001976mg transcript:KQL03369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGELDVFNAGLCADGYALGLAVGRRFADAIGSRMRQDLVLREQLLPFASTAEARRLLAALQAANSERYPRYWDELVGTADGSGVPLLHVILVNFRKEILPFVPKAGGDHDREKEEEEPDGDCSDVLLVSDSTAIAAHNEDGNVALLGHTYLVRATLPDGLSFTAYTYAGELPSCAFGFNSNGVAFTLDSVPPVNDEIVAGAIARNFVSRDLLEAKNLDDAMHRICSPSVSVGHSYNLMNVRGRRIVNVETASRNRSAVLEAGAAPFFHANMYRHLQVKQVQDENSISREKRAARCSVDSKETALSLLGDTADDKYPIYMTGPTLHTLCTVLVDLDEEKMTIYRGNPKNGDVALVLPMS >KQL05395 pep chromosome:Setaria_italica_v2.0:V:23812796:23814233:-1 gene:SETIT_004410mg transcript:KQL05395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFLRRAASRVLGRPFSGLFLASASPPAAGHVGLVRARPGLGRMLTPEALLLDATHALGAAELRDLPHTGRANRELHDVITPADLARAEARGDAKAATVHRLLIVLLDVEDGLFDDALPVLARLAGESYSPRLCYAAICDLIGRTDEADRCLACFPEDQRPRQQISFQMGIVSVTLGGIQVAKVAGSEGRVASAALQIINESVTKMSAFQIIVAAMLKRARGGRGGTWRRSTAMTELECSARSSRSSPAAAAPTPRASQALLSAVVLRAPPLSGERVRARLRATERELARAVRKREDPAAVADLRLLVAFLATRDGRFHDALERYVEMERADPSDPRPHYLAHIVCQFDGRPEESDKWLATYNRLATGSSVDEQAALIALTDELVVALALGGSLLAFDAERYPVEVGKVVGAAASRVDVALVSALRDKKMSMVERLEIKAVRALLHAGVWSLLKKLKSNDGGNGSTTSTE >KQL05830 pep chromosome:Setaria_italica_v2.0:V:28304010:28307888:-1 gene:SETIT_002571mg transcript:KQL05830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGAAALPRLCALLLPFLAVATCLDVPSHGCYWTGCQSKWFGVCAARYYLNSQSDDCDGLCMGSKSPPCLPLHTHFYCCKPGIPKVTNKCGHCKIKLDFGKEFICCSDCSEPTIMDKNTKLGYCKSGADLTMQLKPQETFHWVAGPWMMCSSPCDGGIRYRDVACYGSLDDNTIKHYPVDDASCSADEMPAKQEGCNQQSCGDPETTQAMNPKRSGMSGWLVALIVFLGLGAIGGIAFTSYTYYRRRASGSSGFVYVMMEAYY >KQL07580 pep chromosome:Setaria_italica_v2.0:V:40644517:40649362:1 gene:SETIT_000468mg transcript:KQL07580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGLDQVMAFLTDHGFAGAASALRDDVLARTAAGDDAPDAALDPRLPPLRMPGSASASGGGAGTPAPASPGSSSGSASSSAFVSMRSTPSGLLNPYGLWSPRNSQSDASSSEMEFGTARQYDTTDLFFQEGWLYDDHLFPSMLDDEDDEGKEEDKFVLGAHEGSERVEIGKLGAGHNHRHEHIGGDRCEGCAEVYTCSSPLCGCCGGGLKIDGLEVARSSSSTVYGRYQIMDDQTEILDDCAQGGFQLKQSRDVVFECDMLRDPGRGDDDSELSVVEKELQMLSSFDTDAVANHGVHDFTDNVELDDSSNKNLKSSSDKEYLKEGHRMQPFPESGDPDDAYEFQNVGSLNADVQHSTALKAEEDPETNIDLALSNFHREYEVFELRIIHRKNRTGFEENKDFPIVLSSVIAGRYYVTEYLGSAAFSKVVQAHDLQTGMDVCLKIIKNDKDFFDQSLDEIKLLKFVNKYDPLDEHHLLRLYDYFYHQEHLFIVTELLRANLYEFQKYNQESGGEVYFTLPRIQAIARQCLEALVYLHHLRIIHCDLKPENILIKSYSRCEIKVIDLGSSCFLTDNLCLYVQSRSYRAPEVILGLPYDQRIDIWSLGCILAELYTGEVLFPNEPVSMMLAQMIGIIGPIDMEMLELGQETQKYFTDDYDLFTKNEETDQLEYLILEKSSLRRHLQCHDSEFLDFLSYLLQINPRKRPTADEALQHPWLSFAY >KQL03393 pep chromosome:Setaria_italica_v2.0:V:2133574:2135311:1 gene:SETIT_004813mg transcript:KQL03393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPQIILFNHLLQHQYNLMKYPAHQSVPLAPIQNAIHHMPGNVHVYNFDILPLPSTQGMHIFCQMISFSFSVSPIGATLAHMVVDIGVTKAPHAGATGSAMSSEMPSSAASSNHVPFTPSEIPGKHLGTTLYFADAMNVSQSDEEKP >KQL05858 pep chromosome:Setaria_italica_v2.0:V:28485491:28487812:1 gene:SETIT_003001mg transcript:KQL05858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASAAPFTGGFLCPTKPRTPPLPSSTSRSSHSRLHFRIRSPKPKNPAAAPVSSRMEASLPQARDAQGGAESAMKLLFVEMGVGYDQHGQDITAAAVRACKDAISSNSIPAFRGGSIPGVNTDQMKLQIKLGVPRSTQHLLDAERVKAVFPYGEIISFEVVDGGMICSSGVCLEAMGDKNDDCYIVNAAVYVGY >KQL07649 pep chromosome:Setaria_italica_v2.0:V:41011020:41013500:1 gene:SETIT_003172mg transcript:KQL07649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFRKLGRPASHRVSMLRTMVSQLVKHERIETTVAKAKEVRRKADQMVQLGKEGTLDAARRASAFVRGDDAVHKLFTELAYRYKNRAGGYTRLLRTRIRVGDAAEMAYIEFVDRENELREAKPATPQPPQRAPMDPWAKSRASQQWAGPKLTKSSGSDGL >KQL03411 pep chromosome:Setaria_italica_v2.0:V:2214217:2220156:-1 gene:SETIT_000918mg transcript:KQL03411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHHSALVSAHPAICSPTRLACSSICYKANNRTTASPSTNRAKLLLLRRWPSTRRGHTTPLASGQSPPGYSTDDFGQSLKPKDNVSFAAAKEAVIGISSGLNSVGARSELILLALPAVLGQAIDPMAQLMETAYIGRLGALELASAGIGVSIFNIVSKIFNIPLLSIATSFVAEDISKSAIKHPSSGKLELTSVSSALILAAGIGIIEALALFLGSGLFLKLMGVSPASPMYKPAKVFLSMRALGAPANVLMLAVQGVFRGFKDTKTPVFYIGLGNLSAVILLPLLIYGFQLGITGAAISTVASQYIITILLLRSLSKRAVLLPPRIDQLEFGVYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQAMIASSYALVDYKRVQKIAMFALQVGMVSGLALAVGLYASFGNIARLFTSDPEVLMVVKSCALFVCASQPINALAFIFDGLHYGVSDFNYVGQASIVVGVMSSLILLWAPSLFGLAGVWVGLTTLMGLRMAAGILRLLWKWGPWSFLHEAP >KQL03412 pep chromosome:Setaria_italica_v2.0:V:2215194:2220156:-1 gene:SETIT_000918mg transcript:KQL03412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHHSALVSAHPAICSPTRLACSSICYKANNRTTASPSTNRAKLLLLRRWPSTRRGHTTPLASGQSPPGYSTDDFGQSLKPKDNVSFAAAKEAVIGISSGLNSVGARSELILLALPAVLGQAIDPMAQLMETAYIGRLGALELASAGIGVSIFNIVSKIFNIPLLSIATSFVAEDISKSAIKHPSSGKLELTSVSSALILAAGIGIIEALALFLGSGLFLKLMGVSPASPMYKPAKVFLSMRALGAPANVLMLAVQGVFRGFKDTKTPVFYIGLGNLSAVILLPLLIYGFQLGITGAAISTVASQYIITILLLRSLSKRAVLLPPRIDQLEFGVYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQAMIASSYALVDYKRVQKIAMFALQVGMVSGLALAVGLYASFGNIARLFTSDPEVLMVVKSCALVNLTTLLLVSM >KQL03413 pep chromosome:Setaria_italica_v2.0:V:2213590:2220682:-1 gene:SETIT_000918mg transcript:KQL03413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHHSALVSAHPAICSPTRLACSSICYKANNRTTASPSTNRAKLLLLRRWPSTRRGHTTPLASGQSPPGYSTDDFGQSLKPKDNVSFAAAKEAVIGISSGLNSVGARSELILLALPAVLGQAIDPMAQLMETAYIGRLGALELASAGIGVSIFNIVSKIFNIPLLSIATSFVAEDISKSAIKHPSSGKLELTSVSSALILAAGIGIIEALALFLGSGLFLKLMGVSPASPMYKPAKVFLSMRALGAPANVLMLAVQGVFRGFKDTKTPVFYIGLGNLSAVILLPLLIYGFQLGITGAAISTVASQYIITILLLRSLSKRAVLLPPRIDQLEFGVYLKSGGMLLGRTLSILLTMTIGTSMAARQGPTAMAAHQICLQVWLAVSLLADALAVSAQAMIASSYALVDYKRVQKIAMFALQVGMVSGLALAVGLYASFGNIARLFTSDPEVLMVVKSCALFVCASQPINALAFIFDGLHYGVSDFNYVGQASIVVGVMSSLILLWAPSLFGLAGVWVGLTTLMGLRMAAGILRLLWKWGPWSFLHEAP >KQL03912 pep chromosome:Setaria_italica_v2.0:V:5318443:5318628:1 gene:SETIT_003878mg transcript:KQL03912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICMWHSSFVIGITYMLSEFSSLCLLPSYFTVACMQAIHRSYLGIAAAQQQSKGLSSHRNL >KQL03318 pep chromosome:Setaria_italica_v2.0:V:1391835:1393658:-1 gene:SETIT_004971mg transcript:KQL03318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGKMLPSKRGADDVIRFSADNKRTCCGEEATDFLDFWRDLGVEVASKVSRSVVSIALSDGQNVLYASSGVVIECQSSFTKFVTSASLVRALHDSETNGHKIVVQHEEEVAIGFLEEYDLDYEIAFVKVTDVLDGDHLVQFDPHSRKVVAVGRDISDRLLTTSGTCTDSRGSQYSRYVMFSTCKLSEVMQGGALFDFYGNFFGINLFWDMERPIFLPRSIILERVVHFRTSLKKSVFLNLVKPVRIKKDEEDDRCETSPPS >KQL06394 pep chromosome:Setaria_italica_v2.0:V:33030599:33032196:1 gene:SETIT_001938mg transcript:KQL06394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPLRLHRCRCNQAVALAWLGSAAAIALFLCCTARKPLLPRRPRRPSPSSLGQAPARRGGGIRPSPRRVQGYLKKGLSSRRPIAECHAAFNGSRLISKPICRVKISQPSASVVRALIASSAMAASFLRPLLPPNPFLSARRPHLLAAPATITTATVRCTAAPKPATSTPKSIQEEASSNREQQLPQADPNGAATPDEASANPNSIPDDETPPSATATTSFAVARRVPSAISPDRRPRTALTQEEPPNYEIGWKRTKQLPLEKPRGWAIADFLEKLDGLMARGRYGSGQLLGTVAGVVTERAREEAEVLVAEGGVEERVVTELFRVLRLVEMDVEMVKAAVKEETVKERVETARARCRQAILVALSL >KQL03544 pep chromosome:Setaria_italica_v2.0:V:3064458:3064930:-1 gene:SETIT_005613mg transcript:KQL03544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVIAGARRGWDLVVVTWRQSLPPAAPSMRFLLCFVPQLSPAVS >KQL07232 pep chromosome:Setaria_italica_v2.0:V:38524417:38526901:1 gene:SETIT_001584mg transcript:KQL07232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAHKGAEKGAAGEVRRINVVYFLSRGGRTDHPHLFRVNHLNRAGVRLRDVKRWLSELRGKDMPDNYSWSYKRKYKAGYVWQDLKDDDLITPISDNEYVLKGCDVRGTPPPCVQAPRRTPSQAEKKREEEEETPRNQDHPVEVVLTPDSGESSPKPPPPADQDSPGGCESARRGTAPFKVEEPQGLREQRQHQQQEVVSKIEVSRSQELRELKQQEEEEAATEKAVARAAPREEQQQPQGAGGVRSHALGYQPARRMRVARALHNMLTCGAADADDAALRPVARRQRRSAAEAAAGGGDDWPHTPTCPGMDGCGLRVSRKARSRRGGKDKQGKRDGRERDAHKPAPLPRCSQCGKEFKPQELHAHMQSCRGFKERMRSSTSSRPSVDRRRNSTAGGHRGKPDDHCSSERPSSASAVFLLTES >KQL07583 pep chromosome:Setaria_italica_v2.0:V:40659971:40661266:1 gene:SETIT_004726mg transcript:KQL07583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGKKEEVAAVRVVTLRAVEATPESFAPFGQVVSAGSDRAKFGPDDAQLDLSRGIPRFYIMKLENQPLKFSSITHHANPSLVDGASELSGQETQDQDGSSRRSPCHWEEPVPSRAGHYYLPPDPAEVCAFRVSGLKFLKLHKGTWHAGPLFRADAMDFYNLELSNTNIVDQTIHRFRKLDGVTFVVED >KQL05841 pep chromosome:Setaria_italica_v2.0:V:28361974:28362475:1 gene:SETIT_003516mg transcript:KQL05841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKAMQKLLLWQVRYARVAVIHVAPLRVAAPYSKCSHQLTEPNIPKPNKSHCSVRIIQMSMVDPKQSGSESGELETNTCKHAEKWRRTYHT >KQL03696 pep chromosome:Setaria_italica_v2.0:V:4132418:4133351:1 gene:SETIT_004841mg transcript:KQL03696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFHLDQLNMYFMDPRLVEHYVGIIEVLGKSGHLNEALEYIEKLPFEPNAMVWESLLNLARMNGDVDLEDRAEELLVSLDPSMANPKKFPTPPPKRRLGINMLDGRNKLAEYRLPPKVEKKVVNEPRYVPDTRYVLHDIDQEAKEQALLICGDCHNAIKIMSRIVGRELIVRDNKRFHHFNDGKCSCGDYW >KQL05978 pep chromosome:Setaria_italica_v2.0:V:29691232:29695168:-1 gene:SETIT_003904mg transcript:KQL05978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRALLTLREASPWTLAGAAAAAALLWLVAWTLEWAWWTPRRLERALRAQGLRGTRYRLFTGDVRENARRNREARTKPLPLGCHDIIPRVQPLFHDLAKENGKLSFSWFGPTPRMMIPDPELVREVLSNKFGHFGKPKTIRVVKLISNGLFNHEGEKWAKHRRILNPAFHHEKIKRMLPVFSTCCIEMITRLENAMSPEGSSEIDVWPEFQNLTGDAISRTAFGSSFHEGRRIFQLQEELAERVIQSFRTIFIPGYWFLPTKNNRRIGQIDQEISKLLRGIIEKRDKVIKDRETNNDDLLGLLVESNMRESNGKVNLGMTTEDIIEECKLFYFAGMETTSVLLTWTIVVLSMHPKWQELAREEVLNYFGRARPDFDSLSRLKIVTMILYEVLRLYPPVIFLTRRTYKEIELGGIKYPAGVNLLLPLLFIHHDPIIWGKDASEFNPERFADGISNATKHQTAFFPFGWGPRICIGQNFALLEAKMALCTILQHFSFELSPSYTHAPYTVIILHPEHGAQIKLKKL >KQL04542 pep chromosome:Setaria_italica_v2.0:V:9647373:9651656:1 gene:SETIT_001762mg transcript:KQL04542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLRSAPPAPAAAVTVPRRHLLTSHSRLKAPSTRPPLASLRLRPAAPLRGIPSRTWCRADAADADAAPSQGPGDDTGARSALVLLGEALSLGFPVWVASACAIALWRPPAFLWVGPTAQMLGLSFTMLGMGMTLTLDDLKTALLMPRELAAGFILQYTVMPLSGFFVSKLLKLPAHYAAGLILVSCCPGGTASNIVTYLARANVALSVLMTAASTFAAAFMTPLLTSKLAGQYVAVDPLGLFVSTSQVVLAPVLLGALLNQYCNGLVQIVSPLMPFVAVATVAVLCGNAIAQNASAILSSGVQVVLSVGCLHGSGFFFGYVLSRILGIDTASARTISIEVGMQNSVLGLVLATKHFGNPLTAVPCAVSSICHSVYGSILAGIWRSMPPTEKRE >KQL06207 pep chromosome:Setaria_italica_v2.0:V:31705597:31710678:1 gene:SETIT_000734mg transcript:KQL06207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHAIFEVYKHPPVAVISQGNTETLEGQSSPVPFSPCQIPTPLPGLPESSPFSPTRSPLHTRFHSIPSPPRSARRAAQCLPTRSPPGAAGGGFPFRRADERAYLGVGGRCGLRVFAWFWRRGSEAMGRQQEGKRRGEKDGKRKGTGKAKAKAAAVVVEDAAPVTGCWIRFPRLRGCMSSRAKVDSSTSGGGGESKPAIDGCKDCQDQSVPPASCSTTTSNTGSISPSSIVGEELKLAFQLRRFTFNELKCATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWVAEVDFLGNLQHPHLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRKSLPLPWAIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPYLGERRRFYKLVDPHLDGNFSIKGAQKTAQLAHACLSRDPKARPLMSQVVEVLKPLQNLKDMASTSYFFQSMQHERRTALASPQGSQSMKAQSTFARNGQQPLRSLSYGPHASPYRQSPRPDGKRQ >KQL06386 pep chromosome:Setaria_italica_v2.0:V:32968669:32972442:-1 gene:SETIT_003163mg transcript:KQL06386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPIAVGDSLPDGQLGWFDESDQLQQVSVHALAAGKKVILFGVPGAFTPTCSMQHVPGFITQSEQLKAKGVDEILLISVNDPFVMKAWAKTYPENKHVKFLADGSGAYTKALGLELDLTEKGLGIRSRRFALLADNLKVTVANIEEGGQFTISGAEEILKAL >KQL03997 pep chromosome:Setaria_italica_v2.0:V:5797708:5799525:-1 gene:SETIT_005131mg transcript:KQL03997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPLLLLLFFLVAGSGEANAAVRGADDGARPSPIKNVVVLALENRSFDHMLGWTRRLLGLPVDGLTGAECNPNSANSTTTSSSSSICVSADADLVVPDDPGHSFEDVLEQVFGNISSGAGAAAAQPSMSGFVRSALSVNALLSSAVMRAFRPSLLPTFSALAPAFAVFDRWFSSIPGPTQPNRLFLYSATSRGAVAHDKLDLLLGYPQRTIFDSLAADGRGFGVYFKTIPTVLFYRRLRALRYAARSFHRYDAAFRDHARRGVLPALSVIEPRYFDLTGTPADDDHPAHDVANGQRLVKDVYEALRASPQWNQTLLIVTYDEHGGFYDHVSTPTAGVPSPDGIRGPPPFFFKFDRLGVRVPTIMVSPWIKKGTVVGRPAGPTDTSEFEHSSIPATLKKIFNLSSDFLTKRDAWAGTFEHIFTELDQPRTDCPETLPEVPFERPTPPNEHGWLSDFQRELVELASFLNGDYMLTSLAQETRRKKMTVKQADAYVRRAITGFLQASKQAVRLGANESAIVTMRSSLTSKTTTSSSP >KQL07503 pep chromosome:Setaria_italica_v2.0:V:40079680:40083320:-1 gene:SETIT_000210mg transcript:KQL07503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAACPPLLLAALLLAAAAATSALTDDVLALVVFKTGVSDPSGRLATWTEDDDRPCSWPGVGCDARTGRVTSLSLPAASLSGRLPPALLRLDALLSLALPRNNLSGPVLPNLLAALPRLRSLDLSSNRLAAPVPAQLFAQCRYIRAISLAHNQLSGYIPPAVTSCASLVSLNLSSNRLAGPIPDGLWSLPSLRSLDLSGNELSGSVPGGFPRSSSLRQVDLSRNLLAGEIPADVGEAALLKSLDFGHNLFTGGLPESLRRLTGLQFLGAGGNALAGELPAWIGEMRALERLDLSGNRFAGDISYTIANCKNLVEVDLSRNALTGELPWWVFGLPLQRVSVAGNQLHGWVKVPEDAAMALRVLDLSRNAFSGEIPSRITAFAGLQSLNLSSNSLSGQLPAGIGGMRLLEVLDVSANRLDGTVPPEIGGAVALRDLRMGRNSLTGGIPAQIGNCSSLVALDLSHNSLTGPIPSTMGNLTSLQVVNLSQNKLNGTLPVELSNLPSLHIFDVSHNMLTGDLPNSRFFNNIPDSFVMDNSGLCSSRKNDSCSAVMPKPIVLNPNSSSNPSSQATSSAPSNKHHKKIILSISTLIAIAGGAAIAIGVITISVLNRRVRARAAASRSAPATALSDDYLSQSPENDASSGKLVMFGKGSPEFSAGGHALLNKDCELGRGGFGAVYKTVLRDGQPVAIKKLTVSSLVKSKDDFERQVKMLSKVRHHNIVALRGFYWTSSLQLLIYDYLPGGNLHKQLHECTEDNSLSWMERFDIILGVARGLTYLHQHGIIHYNLKSSNVLLDSNGEPKVGDYGLAKLLPMLDRYVLSSKIQSSLGYMAPEFACKTVKITEKCDVYGFGVLVLEVLTGRRPVEYLEDDVVVLCDLVRSTLEEGRPEDCIDPRLCGEFPMDEALPIIKLGLVCTSQVPSNRPDMGEVVSILELVRSPQDSAEEELV >KQL08697 pep chromosome:Setaria_italica_v2.0:V:46736095:46741219:1 gene:SETIT_001851mg transcript:KQL08697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLLAASTSSSSSAAFRPPLRLRSPTHPPHPRLIRTGRRPFPVVRAAETDATKDAKPKAPEKAPAADGSSFNQLLGIKGAKQETDIWKIRLQLTKPVTWPPLVWGVLCGAAASGNFHWTVEDVAKSIVCMIMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISENEVITQIWVLLLAGLGLGALLDVWAGHDFPIVFYLAVGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIVVLTTLYSIAGLGIAIVNDFKSIEGDRALGLQSLPVAFGMETAKWICVGAIDITQLSVAGYLLSTGKLYYALALLGLTIPQVVFQFQYFLKDPVKYDVKYQASAQPFFVLGLLVTALATSH >KQL04919 pep chromosome:Setaria_italica_v2.0:V:13052669:13060245:1 gene:SETIT_000056mg transcript:KQL04919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRFEVRNEVGLGDPDLYGGGGGGGGGVGGAAVGTGAAGKRGAAAAGEEEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVIATSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACLKRYSDPSYFKKAWDMMRADKTTNHQREKRSQKIKRKGSRLREPYHGQATSRHRSGELQRSLTAGQPVNRPFASPSTDGQSFSEHRSTPDARCNPENISRSSSFSSKTRLSSVDQALDTKPSTVPHENGHGKSSNTKLHKPSDLPLRIQHSSTSVDDAGDDLKQGSLPDDVVARSPYVKWDEKAAIIMSTSSVYCDDVVMDKAEDAEPTCISSAQKEIDHKGMDTLEQQDALLKKTKSPLVLNHHDEIPGETDNYMDALNTLESETETEAESQTKNQVMPLPSFNAEAPQVGATDDIVSQHPDSSVADFTDTCQDSNISCTSERAVDFPHLSNADSPEISQLEFSEYTSVTTYKESSVVTKIHESSMEGACGDPYEISEPELQVHTAIPPNGRSPVHNQIPESKAEDGLGDTPEIPEPEVTYMVIPSNEESAVANQNLESNVENTGDFTDDATDDVVSGPTISNVVIDEEAFEMAPAAKSSPGDIANESWVVSESRSQDYPGEKHEELGDCGVSEVSQSWSEPRNEPSENRSATQDVPTNTSTTSTGASEVSNSQGEPLKEPLENRFATQGIPTNTSTASTVVPSVKLWTNAGLFGLEPSKPPVFGAQDTPREDTPPGFEVPQPSHSTEFTELHCSKPIESAVVDVPNGNTSITSSFVGKLVGIRPGSTNLNGTGANQSAARIPDQVHSRTDGPSDFSSSSFEHNNMIGKQTSISELLESEESAENCTEMYSTNMRNDMHMVSASSFSSIAQRFLANTLQRRTSPKYTDLPMSSERPNADASVNDESTLNPIAEPSGTVFTDESQFENRSENGMNGLSKSSIFSSRQYSEKSSPPLEYMKISFHPMSAFEMSKLNLDFCDGNLHENSDDMMLPTFQLLSESSIPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSEVWDQEDGVGLEEHELYGDSNQIGSSTVPLSSYAGFEQMNLSGVKSTISLADIGDQNGLGTLESHSVEELPNFDTLMSRNDDQNDEASIPHNPLNLPPAEDQLPPPPPLPPMQWRMTRQTTPLEEERGITAKDMFRKSSCLPHVHTSAQEEHPLPAALQDPQGHAKEVDVQKTDGVKEIINPSSIIDIKSSLLQQIRDKSEQLKPNGHERSKTVGSDIKSLDEREELLQQIRSKTFNLRRTNASKTDTSSQSTANSNVVAILEKANAIRQ >KQL04920 pep chromosome:Setaria_italica_v2.0:V:13052669:13060245:1 gene:SETIT_000056mg transcript:KQL04920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVRFEVRNEVGLGDPDLYGGGGGGGGGVGGAAVGTGAAGKRGAAAAGEEEPKALLEGVAVAGLVGILRQLGDLAEFAADVFHDLHEQVIATSARGRKVLTRVQNIEAALPSLEKAVKNQKSHIHFAYVPGSDWHTQLQNEQNHLLSSDLPRFMMDSYEECRDPPRLYLLDKFDNAGAGACLKRYSDPSYFKKAWDMMRADKTTNHQREKRSQKIKRKGSRLREPYHGQATSRHRSGELQRSLTAGQPVNRPFASPSTDGQSFSEHRSTPDARCNPENISRSSSFSSKTRLSSVDQALDTKPSTVPHENGHGKSSNTKLHKPSDLPLRIQHSSTSVDDAGDDLKQGSLPDDVVARSPYVKWDEKAAIIMSTSSVYCDDVVMDKAEDAEPTCISSAQKEIDHKGMDTLEQQDALLKKTKSPLVLNHHDEIPGETDNYMDALNTLESETETEAESQTKNQVMPLPSFNAEAPQVGATDDIVSQHPDSSVADFTDTCQDSNISCTSERAVDFPHLSNADSPEISQLEFSEYTSVTTYKESSVVTKIHESSMEGACGDPYEISEPELQVHTAIPPNGRSPVHNQIPESKAEDGLGDTPEIPEPEVTYMVIPSNEESAVANQNLESNVENTGDFTDDATDDVVSGPTISNVVIDEEAFEMAPAAKSSPGDIANESWVVSESRSQDYPGEKHEELGDCGVSEVSQSWSEPRNEPSENRSATQDVPTNTSTTSTGASEVSNSQGEPLKEPLENRFATQGIPTNTSTASTVVPSVKLWTNAGLFGLEPSKPPVFGAQDTPREDTPPGFEVPQPSHSTEFTELHCSKPIESAVVDVPNGNTSITSSFVGKLVGIRPGSTNLNGTGANQSAARIPDQVHSRTDGPSDFSSSSFEHNNMIGKQTSISELLESEESAENCTEMYSTNMRNDMHMVSASSFSSIAQRFLANTLQRRTSPKYTDLPMSSERPNADASVNDESTLNPIAEPSGTVFTDESQFENRSENGMNGLSKSSIFSSRQYSEKSSPPLEYMKISFHPMSAFEMSKLNLDFCDGNLHENSDDMMLPTFQLLSESSIPQPGSGSESEDDTFGRSYSYSSYDDLSPRLYSNSEVWDQEDGVGLEEHELYGDSNQIGSSTVPLSSYAGFEQMNLSGVKSTISLADIGDQNGLGTLESHSVEELPNFDTLMSRNDDQNDEASIPHNPLNLPPAEDQLPPPPPLPPMQWRMTRQTTPLEEERGITAKDMFRKSSCLPHVHTSAQEEHPLPAALQDPQGHAKEVDVQKTDGVKEIINPSSIIDIKSSLLQQIRDKSEQLKPNGHERSKTVGSDIKSLDEREELLQQIRSKTFNLRRTNASKTDTSSQSTANSNVVAILEKANAIRQAVASDEGGDDDNWSDI >KQL07685 pep chromosome:Setaria_italica_v2.0:V:41216846:41220214:-1 gene:SETIT_002510mg transcript:KQL07685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPGYVTVPILSVLAAIGYVYYTTVFLAIPAWLGLTTAAGVANAAAFTALAAACVATYAVAVLRDPGRVPASFVPDVEDAESPIHEIKRKGGDLRYCQKCSHYKPPRAHHCRVCKRCVLRMDHHCIWINNCVGHENYKVFLIFVLYAVIACFYSMVLIIGGAVHLPKDEQPGSDSPRTSIIICGVLLCPLALALMVLLGWHVYLILHNKTTIEYHEGVRAMWLAEKAGNLYHHPYNLGVYENLISVLGPNVFCWLCPISRNIGSGVRFRTSYDIPLS >KQL03631 pep chromosome:Setaria_italica_v2.0:V:3535501:3539568:-1 gene:SETIT_001691mg transcript:KQL03631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALLTAFVVACLLFLSKPCARDMRLCLASLCQQLALSLLGFLAGLRLIGGVAAASAASETMPLMPSFKRKRAVATVENVEAAGGGGGEPSVLDLPELAIDCILERLPPAELRNMAAVCRSMRERCRGDHLWERHMSEKWGRVLGHAARDEWRAHLASASESGGAGSATGGGGKRRRWLAALSCVCPVVSWMRPKADGAKSSGPVLDDSIMSWYLSMESGKFWFPAQVYNREHGHVGFMMSCYDAELSYDFHTDTFRARYPPHGRRTVVLEDGVQWDRVRAPPVDTLAHDLHASDCLHELRPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGNEHFCRCHLSDTVLLEFNQYTPGSRWRQALVNRKDHREEGNEGDGFYGGIRKLRSKDDISKWRQLWPTDILE >KQL06774 pep chromosome:Setaria_italica_v2.0:V:35519326:35522652:-1 gene:SETIT_001879mg transcript:KQL06774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLNPFDLLGADDGDDPSQLIAAAAAAAQKAEAKKAATAPAGKAAQPAAAAKFPTKPAPPSQAVRDARGGGAPARGGFGRGERGRGRGGRGYGQNRDFGGDNANGFQGGYGGGGFGDGAVTGGAEGERERGPRPPYRGGGRRGGYRNGEFGDDSERPPRRNYERHSGTGRGYEMKRDGAGRGNWGTATDEVLAQETEEALKVEEGAPIAEKQGEQNDAPAADENKDNKDAAADEEEKEEDKEMTLEEFEKIREEKRKALLALKAEERRVEVDKDLQSLQPLSNKKENDEVFIKLGSDKDKKKESAERDERAKKSVSINEFLKPAEGERYYGGRGRGRGRGERGGRGGYFGGYHRGPAAAPAIEDQAQFPSLGGK >KQL03255 pep chromosome:Setaria_italica_v2.0:V:564009:564441:1 gene:SETIT_003498mg transcript:KQL03255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEFVTLWDLVQNVQFTDHQVDENTWVDYFRLLTCNNSAYLLLTYREHFLLLTGNPSGVVMHKENKLLTVDKLASRIFCKGITELARQLDVYTV >KQL05127 pep chromosome:Setaria_italica_v2.0:V:16213428:16213896:1 gene:SETIT_003597mg transcript:KQL05127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGCVFSEEDMHVPVHARNRGGHVWNTMIGGESPRALMLVCGVYALALIWNLLRPYIRLSLYLYNCSCISRSFSRN >KQL05374 pep chromosome:Setaria_italica_v2.0:V:23568742:23569337:-1 gene:SETIT_004516mg transcript:KQL05374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMVSTDYTKANGLLYHMHDMLCIDRARDVASRVAKSFQERGKISCGLLHGHREVGHSLQSS >KQL05060 pep chromosome:Setaria_italica_v2.0:V:15032130:15033420:-1 gene:SETIT_004763mg transcript:KQL05060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPTERSSLPDWTSLPKELLESIGKRLASGHDAASFRAACSLWRAAVPFAAFGPLLLLPLDPDSDTVSFYSVPEKKVFSLTLPDVRGKVPCGSSCGWLALMDEAASVTLLNPFTGARVELPPADEHVAAAATSSERVSKVSGRWVLRSGNGSGNAPAANAVKLELKDMRGVFFHEIVLSAPPDAGGECVAMAVLASFTEIAICRVGVDSAWTLLDTKLEFSVASIVHCQGKFLAIDVTGEISVLSINADAVANPTAAPLPSLSPPAGLCHRSYLESDGELHLVGAMVSMFHETQQFTYRSMIYKCNLLDGAPEWSRVKDVGDLTLFVSKCFSESFSGTSVSKYKKNSIYFSEPLYGDPYDFAHRFEIVDIAAGTSKVKTFHENMQGSEALGWIRPNLWERGLHIRASSWMFLAHGHKY >KQL08121 pep chromosome:Setaria_italica_v2.0:V:43663994:43668202:1 gene:SETIT_0051672mg transcript:KQL08121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDVARPEEAPDRNEAGPHDDLGQSQMQVDGPVVLNRSAELESSDSMAIDGAPAQAPSSQPVAATQQSPATLTDAIVEVQKQLKRKRASSGPAIATADKDALVAGCRQELEGLFQYYKEVSDRKMRFDGGNLSGNALVGCLLEESSLGLTKLVDEIYEKMKGLDGVSTASVRSSVLLVGQRMMYGNSSPDADVLEDESESALWCWEIRDLKLMPVKARSILSTRRSVRKKIHERITAIYSTLSVLENPGVETQVNDLRKASLKLNKSLNLEGIRSMVERAIQKNNTERGVRDAGSTVKGLMQDTEKNDQNASRHDDASVSEPQNGNLPANEKDIQKVQKEVEKEMKRQEKEEAQMRKLQKKQQEEALREQKRREKEEAEAKKQQKKQEEEALKEQKRREKEEAEMKKQQKKQQEEAEKEQKRREKEAAQLKKQQAIQKQASMMERFFKSKKDSGKLQKSGENDSADDPIDNKGAVPATTSKIDSSLSQQESWVLEDLRRLQVIGWKKLSSYNRSSRWGIRSKPKVEAFKELKLQKSSDDMVDEILSTPNEDNCHNSSQENEHDKLESEIDMLPASEMQCHDTSNAKPLQSRLIRRKLLQFDKSNRPAYYGTWRKKSAFVGPRCPLKMDPDLDYEVDSDDEWEEEDPGESLSDCEKDGDEVMEEDSKITDEEDEDSFVVPDGYLSDNEGIQIESLLDDKDEEAISSPTGQCTEVEEFRSLLRQQKVLNTLTEQALRKSQPLVISNLNHEKAELLTAEDIKGTAKVEQLCLQVLSMRICPGGVIVDVPTIDNSSASAEEINQCNVKNGSPGSASASAIPETDLPEIVQVIRSCREGIYKVVELLQQKFPN >KQL04632 pep chromosome:Setaria_italica_v2.0:V:10336283:10338284:-1 gene:SETIT_001204mg transcript:KQL04632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIREESDYDSSRSSLTAPGSRRSWISDIGSSSSVSVRSFGGGWDTPASSCRHKPHKANQAEWEAIRRIRAAAGRIGLEHFRLVRRLGSGDLGNVYLCQLREPWSTGCLYAMKVVDKDALAFRKKLRRAEVEREILRTLDHPFLPTLYADFEAAHYACLVMEFCPGGDLHVARQRQPGRRFSISSARFYVAETVLALEYLHMMGVVYRDLKPENVLVRGDGHIMLSDFDLSLKCDVVPRLLRHNSHPHNGSAAGRADAAKPSCVPPIQPVLSCLFKGVHKCQAKEEAAPSRPGGDGETSSEPAADTTNPEVVVEPVSARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFMYEMIYGRTPFKGESNDKTLANIIKQPLAFPRVAAAASGREWDEHLRAQDLMSQLLAKNPKKRLGGCTGSAEVKRHQFFKGVNWALVRSVRPPEVPKPPPPVAPAAAAQKKKVMMMSRKERQEPYNPVRPDERFEYF >KQL05810 pep chromosome:Setaria_italica_v2.0:V:28071498:28076127:1 gene:SETIT_004491mg transcript:KQL05810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSQGAWEHPKARVSICSRRFMEEIRCALEEALEEPDLKLKIIERPVEEEDGDEEGENGGGDEDEKNDGGEEEKNGGGGDNVEEDSEDDAEGWDENGDPCLPFIGSWDTPVLVCTAAAPGHPYFKPCEMMQVFSLRLSSPLAHPVNIYGTFSVRDSWEPLRNYLFKCSRDDPAMIPQSSPCRGIYVLQCFLIDIDLWIKEEGDGSADKQLFCGTIPGDCHGLDMHFAFLPDGIETVIEVLAEAEHPSDVKFSASTSGFDDKISLYDGNFCKVGPIFKHFMAVNKQEELHIILKMNGGSQCKWTFKAGVGSCCSTSRPGPGLFSVFCRECIF >KQL07454 pep chromosome:Setaria_italica_v2.0:V:39807684:39811779:1 gene:SETIT_000207mg transcript:KQL07454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGASKLLSSLLLTSSPLRLRPATAAAALFLTPPCVASRRLLLLSSRSPLRTLCTSSAAAASSSFPPPPRAPFPEWSRLVDRLAAAGYASRVPSLGDELALASGCDLSDSAEAAVSTCLAFARDRPDLLRSLPRKDVEVLVANAAPVLFKDGESSAQRLRRYLAGEETDVIVSERAETVDIIRYLLSYTYSSSDSFSEAKELIDSAVRNIMAELVSFTVESTPKPSSLSQPERFSRPPGQNIEMKRGDWICTRCSFMNFARNVRCLECNEQRPKKLLTGGEWECPQCDFYNYGRNMSCLKCECKRPATIPPTPSFAGSGLGGVAQLLNATNGGKSEIDRKLAENDEKAERWLSKVSQLDDSADLSSLAEDEDFPEIMPMRKGVNKFVVSTRKTPLERRLANAQYSNNSPQAASSDDSKISQTLDRILGRSKPTAASNNQPGTGDALKKSTDHLSSIDPVPFVPLPADMFAQPQSNNGQGNLDGQINAEVDSSMENSTVPLAERQDGMESSDTAEKWSKKVGELDVNDVPSVTSDEYLPEIMPMRKGENRFVVSKKKDRSLTSPQFKRRSLLEHADNSDFVPFVPFPPDYFAKKDKPVENTAATGIVSEGSPTSEKRLETNASSGKYGNSSNTSQVVGSQPKGNVDNENWNRNNSQQNSSSGGYGQSNNYQHHSQSHGAQSWPSDTANTGTWNTGYSHGNFKESRGDSTYNGASSAQQPYNSGYNSNSNSWSSENNNSNTAWTGNSNYNNDSAWSGNNHYNNNNAWSGNTSYSNSSSTWNGNGSYNNNTWRSSHSYNNNATWSNSSHSAWSSSNSNNQRDSFADNSNAASISDSMNPNQTIHNSGYGGSSNRDYTGKSLEGSAVKDPDPLDMSEEAKAERWFRRAAQIKDISELANIPDEDFPEIMPMRKGVNRFVVSKRKTPLERRLTSPQYRRNLPIVSSEPEKDAS >KQL08435 pep chromosome:Setaria_italica_v2.0:V:45454157:45457371:1 gene:SETIT_001631mg transcript:KQL08435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKNPTKTPPLLATLLLLLAFLALCAPAASQPLHSEPMATQSPPPSPAPPQSRIPRAQVGGAARLRRIALGVLFGSLSGFLLALAFLYAIRVAILHAKSAPAIVKGPVSFTPQISPKNLLAALPSAQPLAHGPHGKYYKLTLDNDLTVAVKRLEAASRPEASPSMSPSTSKSDMRRVQRQLEALARVRHQNVMTLKAYVREADRLSLVYDFIPGGSLEDVMKRVRSQQVSLNWDARSRIAVGIAKGLRHLHFDCSPRILHCNLKPSNVMLDEGFEPILADCGVARLIAAGSGDPELCSGLYAAPECYQSSRYTDKSDVYALGMILGVLLTGRDPTDPFFSGETGQGGLARWLRHIQQSSDPKEALDSSVVGDEGEEEEMLMAIRVAIVCLSDSPVDRPSSDELVAMLTQLHSL >KQL05290 pep chromosome:Setaria_italica_v2.0:V:20865011:20865497:-1 gene:SETIT_005633mg transcript:KQL05290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALLEAERGWNPLNFGVMPEYEEILMSDGGEDTERFMTR >KQL07612 pep chromosome:Setaria_italica_v2.0:V:40810594:40818871:-1 gene:SETIT_000456mg transcript:KQL07612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNARVQKQQSSSVKEGYTGDELHPGNPEESKPSEDSDDEFYDVEKVDPSQEVSAADIANADSGTNRGADQEDYYPWKEELECLVRDGLPMALRGELWQAFIGIGARRVKGYYEGLLAAEGEREDSKCSDSPTTEGGDGKPKASQPFSSEKWKGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPSVGYCQAMNFFAGLLLLLMSEENAFWALTGIMDDYFDGYFSEEMIESQVDQLVLEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQAVGEVRLQELRNKHRPSVISSMEQRARGLRVWRDTNSLASKLYNFKRDTEPLVSLSEEQSNDSTDGDKNQETSSGNMNDMYRGLTVNSEIDSLPDPKDQVIWLKGELCQLLEERRSAVLRADELETALMEMVKQDNRRELSAKVEQLEQELSELRQALSDKQEQEQAMLQVLMRVEQEQKVTEDARICAEQDAAAQKYASHVLQEKYEEAMASLAQMENRAVMAETMLEATLQYQSSQQKAQLPSPSPSPRTPTRDGTPGQVSQDSSQEFQPRRISLLAPFSLGWRDKNKGKQNGTDESTNGKLNINTERVETPKKDDEKQGGSPKEGEQRVETPKRDSEPRLETPKMDGDIPSAERSANDMDGQEDQLEEIKLD >KQL05137 pep chromosome:Setaria_italica_v2.0:V:16410600:16414522:1 gene:SETIT_000589mg transcript:KQL05137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSRPLHTQAAALWRPRRQWNRSHERTSCQLKHAGRLQARYRGLEPLYDDGYQTVKNLDYYYESLGELVEHDSGPPRWFCPVDAGSPIEDAPLMLYLPGVDGMGMGLFMHHKALGRIFELRCMHVPFHDRTPFEDLVTIVEDVVRREHATSPNKPIYLLGNSFGGCLALAVAARNPHIDLILVLVNPATSYEKSSIQQLLSFFSLFSDQACMAITALLNYNIDNEAHMAVSSMINGKHPLEELNRLTSNMSSFLKHSNILDKIPKDTMKWKMKLIKRAASYANYRLQSVQAEVLLLASCADKLLPSKAEADRLQKLLPKCTIYFFDKHGHSLLLEYGVHVASIIKCTDLYRHSRRYHRVFDYIPPSATELKEVDKATRDLRFRTCPAMYSTLQDGTVVRGLAGVPQDGPVLLVGNHMLLGIELISLAAEFLRLKGVVVRGIAHPQLFPDKKRAWSEGHDFFDFLNLWGGVPMMYKSIYELLAAGEFVLLYPGGHREALHCKGEEHRLFWPSQAEFVRLAAQFNATIVPFGVVGEDDLLELLCTFDDIRNAPFGKEMMQAYSDHLKLRDDVDHEVFFPGLYMKIPGRFYYQFGKPIPTKDKQDILTDKQAANDLYMHVKSEVERIISNLLEKRVEDKYRSLIPRMLYQAARGPTCEVPAFDP >KQL05446 pep chromosome:Setaria_italica_v2.0:V:24569084:24569850:1 gene:SETIT_003272mg transcript:KQL05446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELGCPGAADVAELLRLRIRFAGGLLLEFPFDFGLIKETTTNPMRLLFLLLAAVGGGARWSGLLGVFELCLDVIFVVWVWILGCRLSLYFCFSCALWHLGSGGLLPSWRQEGVSPDRRDQRLMVFYRGSLAAFQCLQSVLWFG >KQL06388 pep chromosome:Setaria_italica_v2.0:V:32996254:32997822:1 gene:SETIT_001953mg transcript:KQL06388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVGLGVRLLGAAAPAHPVPAPPGKVPLPLSSLRRRCSSWWFSNGNAHERDSATASTSSRAARKWWSDPEPSKQEYGYSSLEDEEEDDYEDEDEPGFPGLGGAGELFDEPWFSKVFKTYGFLLPVMLVSMLAATGPKAFLMAMAIPLGQSAISFLLDAIWGRRRSNRDDRRRPFQEEDEEEDDYPEDTTGFASGGRGNRYSSSSSYYEGRRRQQRYQSWVSNDFADAASGAAADGDNSTKSSSSEGGEGNKSSANFGGWDELLNYDNVTTQEKSSSSSFSAGNADYSKRQRPAVTGEEDTDTAAAGRGAGQGLGAPPARMRMRRRRGMPRTTGLGSTRYKQAPILMRLLVAVFPFLGSWFRLL >KQL07006 pep chromosome:Setaria_italica_v2.0:V:37025707:37030664:-1 gene:SETIT_000864mg transcript:KQL07006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGPSSRAASRHQQFRARAKTRVDDLQEMFSGLQSARKDSRPADAAVLEAQLQQMLREWRSELSAPSPASSLQDNTREVSDPPSETLRLLQLATAEEEDDATSKLVEQQQQRQQQQPPPPPPPPPPPANQNQGHALGCQDMKPEPREESVDVAVAQPQLLGQGVLPNGAPAAAAVFHDQMYYVNQELTVEDFLYDDDYKINLSGSNPDVLNNLEGIGQLEYPQFNFPQELPPNVYLDMSNCGQSTGDVFLHMSDLLTTMTPAPSAFLRPKCALWDCPRPAIGSERWHDYCSMYHADLAVKEEGPPGTMPVIRPRGIDLKDGPLFAALSAKIQGKHVGIPICEGAATSKSPWNAPELFDLYIFEGESIREWLFFDKPRRAFDSGNRKQRSLPDYNGRGWHESRKQVMKDFGGLKRSYYMDPQPSNTYEWHLFEYEINDCDAFALYRLEFKSSDAKKSAKSKIACNPLNEIQQQMVRLSADSPVDIKRTARGRTKANPIDVNSNIYSVPSTTVHANIPNAYQPVSQVDQMTYLNGSVVYGPHLPYGYSTERSDFYWNSNDGT >KQL04175 pep chromosome:Setaria_italica_v2.0:V:6974145:6977070:1 gene:SETIT_002189mg transcript:KQL04175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIRWPPEDPEIFPSRMVGSGVWVPVGPPGEMASDDDRSVAADSWSIKSDYGSTLDDEQRYADTAEVLLASSSASAAAAPSASVAANPSSDFSFDKDVPDSGDVEPPLLAMQNFQDGAYAEDLANFHERSHADDWFGTEVMDVRVGWTKNLCSSKDLPSCSVLDIGTGSGRLLQQLAKQGFSDLTGIDYSEGAIELARNLAIRDGFEHINFLVDDVLESKVERRFELVMDEGTLDAIGLHPDGPVKRMMYWQSVASLVSPGGILVITSCSRTKDELVQEVENFNQRKLGAMGSEGLPASDTAVFSYLDHVRSYPNVDSSCITTVAFLHK >KQL06269 pep chromosome:Setaria_italica_v2.0:V:32278513:32279037:-1 gene:SETIT_004302mg transcript:KQL06269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDPAGDGVDRISALPGDLLHIILARLRRALEVTRTAALSRRWRRVWIHARDLSLVDDEPEYRLREVARDSAFIGGFLDWVLSQRGDADMDSLLISMKTGDCPRPDQIDAWIRYGTQRVVGDFYLRVAMGSEEPYLTAVKLPEHGRPSSISLHLSCHGIQFPPRQLQHKEKALE >KQL08716 pep chromosome:Setaria_italica_v2.0:V:46819214:46823803:1 gene:SETIT_000674mg transcript:KQL08716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQNAAAAVHRGNGTRKSLAALAHIQLGPLANQTNCSAAPLQHATTFLFSCPAVAQVLPSTPSISNPPAASIATSPCCRLPILLLLRGSPQLAEAMSTRSASRTRRPPRRAPSPPPPAAANGKAAAASKPTSPVHPSLERTVKKLRLTRALTLPEATAVSEACRRMAAKRVDAALLTDANGMLSGILTAEDIAGRVIAEGLNPEETSVVKVMTRNPVFVMSNSSAIEALQKMVQGKFRHLPVVEHGEVIAMLDITKFLYDAISRMEMAAEQGSLIATAIEGVERQWGNDFPGPHAFMESLREQMFKPSLSTIITENSSVPVVSPSDPVILAAKKMREHRVNSVVVMTGNMLLGIFTSKDLALRVVAQNLPPETTLVEKAMTANPECATLDTSILDALHSMQDGKFLHIPVIDKNGHIIACLDALQLTHATISMVEGASGANDVANSMMQKFWDSALAMHPAEESDARSDESRMVASDSADGKHILPPHVNSSFCFKIEDRKGRMHRFSCVSESLDELVSAVACRIGTDNDKSNIKLLYNDDEGDRVILTTDSDLTAAIEHAKSAGWKVLRLHMDDPEEARTEFTASPAYLSAAHRGRPAPLRFGIVAGAAAVAAGVVVIVYLKRSQL >KQL04147 pep chromosome:Setaria_italica_v2.0:V:6834274:6836567:1 gene:SETIT_000850mg transcript:KQL04147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRGPAVIKGGGGGGDRPVGSRLVSVAVGGFLFFLVLLRSSRHDVAVVLDARKCSCFISDHLLWIAMDYHIQLVKRCCEGRNSPAFSSGVSDAVIGGDRNDDDVETDAAPEEAERQSNAAAATINSDEQAQPEPVAEDAPQDKSLTTAQPAVQTTSPPHGLPGGIAFSTRAGPVDQQRQPLCDTSDSRADVCDLTGDVRMDASASAFVVVVGSAGGADGQTYKVRPYPRKGDATSMGRVTEITVRTAAGAGAAPRCTASHAEPAVVFSIGGYTGNLFHDFTDVIVPMYNAAQRYGGDVRLVVTDAAPRWLAKYGALLRGLSHHAPLDLAKAAAAGEVHCFGHAVVGLRAHRELMIERERSPDGVGMPDFTRFLRRALSLPRDAPTSPGGATGCKPLLLIVSRRGTRLILNTEAVVRTAEEVGFEAVVSELDVADDDVGRVGRLINSFDAMVGVHGADLTNMVFLPTGAAMVQIVPWGGLQWIARMDFGDPAEAMGLRYIQYEIAVHESSLKDKYPRDHEIFTNPTALHKKGFKFLRNTFLKSQDIIVDVDRFRAVLLQALENLSQ >KQL08418 pep chromosome:Setaria_italica_v2.0:V:45330907:45331644:-1 gene:SETIT_004613mg transcript:KQL08418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASLQHLGDSFSRGWLKRSAQAMPPFADADLGYSFGSSRSFIDMDPAELFSMRWTTTAPPPESDFEFGLPGGGSVSSDPPSPVLVSASQIIRDGRLLPSEPVCRHSGAREHHGDRVADLPSAPRSSPSSPLYRSAQRTPASLSSCSSGRSGVAGGKNASIGPPPLFAAGRRGRSSSWKILVQYLRFLMPLYRKVRALRRFSAPRPRVAPASPARASTSSMEWCHGNADTAVRDAISITLLDRSI >KQL03231 pep chromosome:Setaria_italica_v2.0:V:432136:433188:1 gene:SETIT_004237mg transcript:KQL03231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PESPVVFCSPSPAALPPTSPPSKTPALPPPPPPPLRRSHSPLPPPPPPLPPGFFPPGFHPPFLPPPLPPPCAGATASAAPARALPSSGGGSLSAEGGRFLENLPHALGVSVTAHALGDLAGVPPELLPLKKRVVRYHPYEAAAAIQEMASHHNYGGGFLLDVAPMPTTGARDDDGLRAELLRLRILRPALVLTKQLTFSDRSRDKARLLLPDGLVAPSPLLGMLTAAERRLVFGPGLPVPALDRLGRAYRMSLRRDRKARTYRLTGQWSLFVSRHDMRAGDAVEVRAFRPSAWQARLDKHGEGGLGMALLHRGDAGTDAQGYRWCNRERDAADGLLRIAGSPRLATVAGA >KQL04799 pep chromosome:Setaria_italica_v2.0:V:11891525:11896246:1 gene:SETIT_001825mg transcript:KQL04799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGEGGAAAPPRDPLVYLPQWRRLYDRLLKMLREEHALAEELSVERAHLIAELEFQRNGRREREEIFRTRIEQIWRDEERRKRVEKAERAVLIGGKDLESRCYQKLAELADSDTEDLRSFISTLTAENSELKAKVKEAESQAQLNENNVDHQHSGKDLRVELRKLKQAYKTLSSEKDRQISALTAEKDFVWNQFKTMEQEYIVTIKNKNIEAKQANEAAQKLQHNVDELQEAAQEKDDEIARLQAEVTNAKEKMLILEDELQQMHSLVKARDAEAGKNKDDQPETSRKSKKDIKGTNRKSKSEGPLSKEKSRTSQVTPDRRDVKTSRTRASESSQKRKRGSSISCGSRRCSTRPLQVKAAVSPMLLPPSFTVPKLKTPTPP >KQL06863 pep chromosome:Setaria_italica_v2.0:V:36159079:36160521:1 gene:SETIT_005201mg transcript:KQL06863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESASVKQIVVLYPVGGIGHVGPMTQLAKVFLDHGYDVTMVLIEPPIKSTDSGADFIERVAAPYPSITFHVLPPIPPPDLTSSTKHPFLLILELMRRYNDKLESFLRSIPRERLHSLVIDLFCTHAIDIATKVGVPVYKFFASGAGTLAVFTQLPALLSCRQTGLKELGDTPLEFLGVPPMPASHLVKSLLENPEDELCRTMMKILKRNADTHGVLVNTFESLESRALQALRDPLCVPGQILPPVYPIGPLVGKGGTDKEKHECLAWLDAQQERSVVFLCWGSKGALPKEQLMEIAVGLENSGQRFLWVVRTPAGSDEPKRYWEQRAEADLGALLPEGFLERIKGRGLVIKSWAPQVDVLGHRATGAFVTHCGWNSTLEAVAAGVPMLCWPLAAAEQKMNKVFITDDMGIGVEMEGYKAGFIRAEEIEAKVRLVLECKEGRELRKRAIELKKAAQEAMEDGGSSRAAFLQFLSDVKNLRE >KQL07044 pep chromosome:Setaria_italica_v2.0:V:37258076:37262917:1 gene:SETIT_001717mg transcript:KQL07044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDSPRGRCALLALCSALLLLAAPARPAWAAPVEDGLLSNGDFETAPAGGFVKSASVAEGASSIPGWTINGTVELISSGQHQGGMILIVPQGDHAVRLGNDASVGQVVEVEKGSEYAITFSAARTCAQLESLNVSVLGGVSQTVDLQTLYNIEGWDAYALAFQATDEQAHIQFMNPGMEDDPTCGPILDNVAIKKLFTPDKPKDNVVLNGDFEEGPWMFPNTSFGVLLPTNLDEQTSAIPGWMIESNRAVRFIDSDEYKVPQGKRAIELLSGKEGIISQMVETTPQKEYSLTFTLGTAGDSCQPPMAIMAFAGDQAQNFHYSPMGNATSQAANVTFMARAERTRVAFYSVYYNTRSDDHSSLCGPVIDDVRVWGLNAAAGLKASVGLVLGIVCMVSMVLL >KQL04729 pep chromosome:Setaria_italica_v2.0:V:11225002:11228909:-1 gene:SETIT_000707mg transcript:KQL04729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGAAAAGRCLLLSRPSPLRLRLLRAALSTAAPTLTPTSAPAPPPRHELLLERLRLRHLKDSSSPGSPNLPPRGKAARGGERTSQHPQQGRRVEAAESFEELGLGEEVMAALGEMGISKPTEIQCVGVPAVLDGTSVVLGSHTGSGKTLAYLLPLVQLLRRDEAMLGMSMKPRRPRAVVLCPTRELTEQVYRVAKSISHHARFRSTMVSGGTRLRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRATKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTSTFQKRVATARHDFIKLTGSENKLEALLQVLEPSLAKGNKIMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGNDSQL >KQL04728 pep chromosome:Setaria_italica_v2.0:V:11223873:11228909:-1 gene:SETIT_000707mg transcript:KQL04728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGAAAAGRCLLLSRPSPLRLRLLRAALSTAAPTLTPTSAPAPPPRHELLLERLRLRHLKDSSSPGSPNLPPRGKAARGGERTSQHPQQGRRVEAAESFEELGLGEEVMAALGEMGISKPTEIQCVGVPAVLDGTSVVLGSHTGSGKTLAYLLPLVQLLRRDEAMLGMSMKPRRPRAVVLCPTRELTEQVYRVAKSISHHARFRSTMVSGGTRLRPQEDSLNMPVDMVVGTPGRILDHIKDGNMVYGDIKYLVLDEADTMFDQGFGPDIRKFLAPLKNRATKPGDQGFQTVLVTATMTKAVQKLIDEEFEGIVHLRTSTFQKRVATARHDFIKLTGSENKLEALLQVLEPSLAKGNKIMVFCNTLNSSRAVDHFLTENQISTVNYHGEVPAEERVENLNKFRNEEGDCPTLVCTDLAARGLDLDVDHVIMFDFPSNSIDYLHRTGRTARMGAKGKVTSLVAKKNVALATKIEEAMKKNESLEALTTNNVRRAANPQSASTKGRTSRSAKTSSALKVVNQKGRRGVVLSSKSSRTPKDTTSTRRRLPPKIQPKAKKSAAPQKAKLVKPANNSVKVSKSKAKPAGRKAKGDILNKLGTKLSVVGFRGRSSGKSAQSS >KQL04667 pep chromosome:Setaria_italica_v2.0:V:10612027:10614834:-1 gene:SETIT_001179mg transcript:KQL04667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKQFIGKLSWKSMKSSSGGGGGAGSPPAKPPPPLPSPRENGAAGKPSASPPSPLAGAGAEVRSREEAFIQKVNICCVVYDFSDRGKDSPEKERKRQMLMSLVDCIGAAEEPLTETMIAACVRMFAANLFRVFPPKVRSGTTASETEEDEPFFDPSWYHLQVVYEFLLRFVTSPLVDAKVARKYVDSAFVSKLLDLFDSDDPRERDCLKTILHRIYGKFMGNRPFIRKAVSNIFYRFVFETDHHNGIAELLEVFGSVISGFAKPLKEEHKLFLWKALIPLHKPKSVGVYLPQLTYCITQFIEKEPKLAGTVIRGLLKYWPVTNSQKEVMFLGELEEVLELTDMAEFQKCMIPLFRRIACCLNNSHFQIAERALFLWNNEHLFDMISQNRQVILPIVYPALERNTRWHWNQSVLNVTMNVRKMFHDMDERLLLACQNNFEEEEETRAATEERRRLMWEQLERSAARGYHQPVIAADVSFPPPPSSARLVAPTVT >KQL08704 pep chromosome:Setaria_italica_v2.0:V:46769430:46771339:1 gene:SETIT_005066mg transcript:KQL08704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNHRTCISDLLISWPVLVSWHLLYRFDPKLDICFSVGKMPECQRGGVDPTRSWKRNEIADDLTGSSTENKTICKREETTGLSISGEKKFFFFACNGFFIKWNGRTTILTSASLIRDPDDNAKILENLRVIYVEDFCSHEPAIIQDGWYDNCKLLAVGCCFKSGMLMASTGYQFVGPFIFDCEYLEHTKCRITKAGIEGPLLKFDGTFVGMNFYDQMGNTCHRVNVSEGGHDRKKSRVLDWTMDDDDSYRPNSWPVPKPFWCHTGDLKKHKAKIWRRSAKYIWW >KQL04353 pep chromosome:Setaria_italica_v2.0:V:8435467:8437964:-1 gene:SETIT_002533mg transcript:KQL04353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFVSATASAFSTLLISVPSAARAGAGAGSCPQPGRAILAPLHAAKGPNSEPVVLESKVKGKKKKGSGAGNLPGALDVEIREAQEYLDSDEQEPVPENFPFEILDEEGMSVVILKRDYKDEKIEVIVSMPNLEGGPEFDDEEGEGNGESAGKDDEEEQDDDESAGDSSISLKVVVSKASDPKLEFTCTAFREEITIDDMLIVEKTDEDGEKFPYEGPEFTELPVNVQKGLFKYLEQRGITLSATNFMHDYMVSKQAQEYIRWMRKLKDFVKE >KQL05011 pep chromosome:Setaria_italica_v2.0:V:14086787:14087409:-1 gene:SETIT_003683mg transcript:KQL05011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPSDQHVPNGYRGCERPGVRKRMKSYELNRSFGLANTVLGGHSEMGDKEDIGSARH >KQL08368 pep chromosome:Setaria_italica_v2.0:V:45065849:45070022:1 gene:SETIT_001804mg transcript:KQL08368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDAKMLPAWDLGTVVGPSSGGGGGVVAAAGGGGGGGGALDLKLGGPTSWRAASTTTAAAAPLPSPPAPPPRASSSSSAPAKRARPGQAQQAVPACSVEGCAADLSKGRDYHRRHKVCEAHSKTPVVTVAGQQQRFCQQCSRFHSLGEFDDTKRSCRKRLDGHNRRRRKPQPDPLNPGGLFANHHGVTRFAAYPQLFASSLADPKWPVVKTEADVFQDQYYPAVHLNGAGSLFHGKDRKHFPFLTNHHHGGESAGAFGSQPFTITTASSESCSKQSNGNCALSLLSDNPTPAQTAMIPTAQPLGATLQYGGAARVPDGGDVSLAGMSYVRLGDSKQASILTTSTSHTTVASPGPATQLQYYYHVSGGDQGNSPDGAAIQAIPYSSW >KQL08112 pep chromosome:Setaria_italica_v2.0:V:43626202:43626615:-1 gene:SETIT_003461mg transcript:KQL08112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLYLLDSFIHKTRHAEIYINKKIQLKRGYKGKGSPKNRFFHKAESAAATAYTTYSRRVADWPDLQSASSSAFPVASTLKTNNNLKPVTYQSSMAILEFLL >KQL04368 pep chromosome:Setaria_italica_v2.0:V:8494057:8496947:1 gene:SETIT_003523mg transcript:KQL04368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVAAVASAVERLQAAAQDAANSSSRSAAAFSEQAQQVLVPRAAGRVVSLSTCTKVSVISFAVGVVVGFTLKKRVRRWAARLLKRIKDDN >KQL04615 pep chromosome:Setaria_italica_v2.0:V:10221936:10223596:1 gene:SETIT_002216mg transcript:KQL04615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASEMAALLFLFTSLLLRGSFVHSQGLQIGFYDSYCPDAEDIVRSTVEQYYDKDATIAPGLLRLHFHDCFVQGCDGSVLISGASSERSAPQNFGLRGFEVIDDAKSQLEAVCPGVVSCADILALAARDAVDLTGGPSWSVPLGRRDGRISLASGAKALPSPADPVSVQRQKFADQGLSDHDLVTLVGAHTIGQTDCQFFSYRLFNFTATGNADPTISPAFLAQLRALCPPNGDPGRRVALDRDSPGAFDVAFFKNVRDGNAVLESDQRLWSDAATQGAVQKYAGNVRGLLGLRFAYEFPKAMVRMSSVAVKTGGQGEIRRRCSRIN >KQL05009 pep chromosome:Setaria_italica_v2.0:V:14065747:14066979:1 gene:SETIT_004169mg transcript:KQL05009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPPQPDAPLPLPLPLPPLPADLQVEILSRVGNAVSVVRCAATCKAWRCLIQEPSFLPLFYRRRGSGGFDPFSLLGFFFRDTSQRLPRRRLYRRRPTRFLLLGPSQSRPSSPVVLPLSRFLATAGDLDGFVPVASGGGGLVALCRTLGSRDTARICVCNPLAGTSTFLPPLPPLFVPEKTIFLEAGGSSFRLLTVMDDQRVLVLRVFSSQTGWWDAAVAAELPDNMVMIVSSPAVVHRGAVHWICGTHALPNAVHTVAVRLTQAVASVSRINLPPRAGLNRLTEASRAVHLTNSVQDTLSLVLVDELVLSIWNLEESSPDGKQWSCCKAIHLMPMLPPINFSCRKVELSIQGLCEKSGSLFLHLVGEGLFMFNVETKKLVKVCKDHFANYLCPYVADIGSCLAAMKKF >KQL08185 pep chromosome:Setaria_italica_v2.0:V:44069318:44072146:-1 gene:SETIT_001524mg transcript:KQL08185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATPVPASCALEEVLLDGYAYIGNKPNHTTAVSLTRNTEIIVASFWSERPPLPSRLYVHCPELESSAFSQLPRILCVVEGLILFRVAIRCREPILYEECDYFIYHVDSRSLKEIPNPSPFSIRDDDMGLLPRGNHYTVAALVPTSDDKVFTLHLFQSEIRRWTSKDVSLEAPQSEFPIEIPRDTDACRLLSHTTSTVITLGGEDGTMGWVDLWRGILLCDVLLPAPKLRGVPLPWPRAMYLPNGESRINFGSPKLYRGIAFSKEKRCLRFVDLDTIFNRLPASDKEGGIPTYRFEGWEITKWSNCNLTNSFEDWQADYLPIHANDIKLNEQIQKQMLEYQLLWPKAPSQGNSVAADPGRNLENVTVFLPTPSMDDSNVVFLIAKAEFRQPKAYVLEVDMGNSQLKGVTEFGTAREPCARVICCHGSVSKV >KQL08212 pep chromosome:Setaria_italica_v2.0:V:44217410:44218472:1 gene:SETIT_005490mg transcript:KQL08212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSSFFGHAHYFQPPFSQMLKLHFLECLKILHFVLYCSSAMPLIVITSCAAQLAFICFVSPWCLGALRFSYSKFFILLFVN >KQL04373 pep chromosome:Setaria_italica_v2.0:V:8546213:8547394:1 gene:SETIT_005188mg transcript:KQL04373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLLRRHLLPFLRAPTPLPSPMHHRARLLATSPAPFSLEEYLVAACGLAPAQARKTAKKAFDESSKPRKKAFEDLSWSRLNSASNPQAILALLSGVGLSHADITAVVAADPLLLRSSPKNIGPRLLDLRDRLGLSTPQIVSFLLVGSRSLRNCDVGPRLEFFISLHGSFEKFIHVMKKGSSILWSDLERVIKPNIVLLHQCGLSVGDIAQLCSVRRSVLTFSPERVKEVVLRAEELGVPRSSRMFWRAVLVVANNTKENIAARLNFLKSTLGCCESKVSTTVSKLPSIVGLSEECLQRKIAFLINEVGLKPQYIVERPVLFTLSLEKRMIPRHCVMKVLQEKGLLNSNMSFFSLAKLGEKAFKLRFIDCHKDSLPGLADFYAAACAGVVPSKI >KQL04367 pep chromosome:Setaria_italica_v2.0:V:8486990:8487799:1 gene:SETIT_003899mg transcript:KQL04367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPLMPIGPTVPKVIEPNPTHSKRTVIYIDCSNIIDSTNWSVEMAASKKTPREVEVELELPDALPAIVSSCNKTRVHLTNDAYKKMVGQPLCSWLDFLPGARPSRRINGEVVLYVQTFSTMSCLPSTRCAFPYVAKVSWQHEDTTASLIVPCGVEHLIRNSNYSFI >KQL06868 pep chromosome:Setaria_italica_v2.0:V:36184509:36185261:-1 gene:SETIT_004477mg transcript:KQL06868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFQKCASLVVVSSLLLLLITAAAGSSSSSLGAERHYSTERMGPDDCSEEDVVVYQSSANPLPSGIPAYTVQIVNVCGGCTVSDVHVSCGDFASTELVDPSKFQRVSFNDCIVKGGGPMEPSETVSFQYSNSFSYQLNVASVACE >KQL07604 pep chromosome:Setaria_italica_v2.0:V:40780041:40780245:1 gene:SETIT_0003271mg transcript:KQL07604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YDSLIVKLVGLVVFAFATAFILLMMETLSAFLHALRLHWVEFMNKFYHGDGYKFKPFSFALLADDED >KQL04116 pep chromosome:Setaria_italica_v2.0:V:6612890:6613109:-1 gene:SETIT_005449mg transcript:KQL04116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYSCVPNTNSYVFFMFSLSLFCHCIQTLFLRFPLFLYFPFLRSKHILK >KQL08130 pep chromosome:Setaria_italica_v2.0:V:43701656:43704832:-1 gene:SETIT_002450mg transcript:KQL08130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRRHRRGRKRLLLPLSLLCLAVLLPSALLAPSASASSSPEAAAAGGSRKRRWAGFDYYVLALQWPGTICRQTSNCCDTNGCCRSKPLKWFTIHGLWPQYNYGGWPSCCRPARFNINKILMLMPILEKYWPSLYCGSSSTCFGGRGPFWVHEWETHGTCAYPEIQDEYDYFSTALYLYSKYNVTKALRKAHIRPASSRRYAVGHIVAVIEYAFGAMPSLVCKNGSVQELRLCFHKDYQPRDCTFETDKATNSRSYCPRYVTFPSYKPSVLANATEGIIDQASGELHAYG >KQL08645 pep chromosome:Setaria_italica_v2.0:V:46457400:46461194:1 gene:SETIT_000828mg transcript:KQL08645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAQSAALLAAAVAIAAAAFLLPPDSRLSWTPRGRFADMILANATIYTADPARPFAAAMAVRAGRVLRVGTYESVRELKGRHTYELNLSGNVVLPGFIDSHVHFIEGGLQLARMPLRGVRSKDDFISRVKEATRDKHPGQWILGGGWNNDVWGGDFPAAAWLDDISPDNPVWLSRVDGHVGVANSVAMKIAGIDRSTNDPIGGTIMRTAEGEPTGLLVDTAMKLMFDVIEKVSIHERREALLRASRHALMRGVTTVVDLGSYFPGASSEKAWQDFSDVYEWAHSMQKMMIRVCLFFPMPTWSRVSDLIGENGRSLSQWIHLGGVKAFLDGSLGSSSALFHEPYEGDPDNYGLQVTDMDNLLNRTLESDRSGLQVAVHAIGDKANDMLLDMVEKVVDLNGMKDRRFRIEHAQHLAPGAANRFGKHGTIASVQPDHLLDDADSAAKKIGLERAERSSYTFRSLLAGGAQLAFGSDWPVSDINPLQAIQTAMSRKPPGWEAPWIPAERLSLDESLKAHTIHAAYACFLDHAVGSLFQGKYADFVVLPSTSWDDFARDLPAHVLATYVSGKLAYP >KQL05251 pep chromosome:Setaria_italica_v2.0:V:19281809:19288228:1 gene:SETIT_001291mg transcript:KQL05251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKRKIHHGGSSQQQLISPTLADEVCAIDKDVSHLSRIKSEPSQRTRAAIYAGQKRPISTFKLLSGRESNCSGMGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRVYNSEKNWKVHKDITCKRLRWTVSDIALSPDQRFLAYSSLSPTVHIVNVQNAVRESHANVTEIHEGLEFSDEDDGFSFGIFSVKFSKDGRELVVGNNNESICIYDLGANKVTERINAHAADVNVVTFADETSDVLYSGSDDSLCKVWDRRCHKKQKPVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDLRKMSSTKKDCTPKAYEWDYRWMTYPSEARYLKHPYDQSLATFRGHSVLRTLIRCYFSPMHSTGQRYIYTGSSDQCVYIYDVVWTLDASIGPLFH >KQL05253 pep chromosome:Setaria_italica_v2.0:V:19281809:19289179:1 gene:SETIT_001291mg transcript:KQL05253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKRKIHHGGSSQQQLISPTLADEVCAIDKDVSHLSRIKSEPSQRTRAAIYAGQKRPISTFKLLSGRESNCSGMGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRVYNSEKNWKVHKDITCKRLRWTVSDIALSPDQRFLAYSSLSPTVHIVNVQNAVRESHANVTEIHEGLEFSDEDDGFSFGIFSVKFSKDGRELVVGNNNESICIYDLGANKVTERINAHAADVNVVTFADETSDVLYSGSDDSLCKVWDRRCHKKQKPVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDLRKMSSTKKDCTPKAYEWDYRWMTYPSEARYLKHPYDQSLATFRGHSVLRTLIRCYFSPMHSTGQRYIYTGSSDQCVYIYDVATGKVVEKLRWHGSIIRDCSWHPYLPTLVSSSWDGYLVRWEATEDDRDPSMLKTGNKRMHPEGYTMSFVL >KQL05252 pep chromosome:Setaria_italica_v2.0:V:19282061:19288057:1 gene:SETIT_001291mg transcript:KQL05252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWKRKIHHGGSSQQQLISPTLADEVCAIDKDVSHLSRIKSEPSQRTRAAIYAGQKRPISTFKLLSGRESNCSGMGRFSSADCSYALRKHLPVKGPWCVDDMDSEAYISQFSADGSLLIGGFRGSHIRVYNSEKNWKVHKDITCKRLRWTVSDIALSPDQRFLAYSSLSPTVHIVNVQNAVRESHANVTEIHEGLEFSDEDDGFSFGIFSVKFSKDGRELVVGNNNESICIYDLGANKVTERINAHAADVNVVTFADETSDVLYSGSDDSLCKVWDRRCHKKQKPVGVLTGHLDGITFIDSRGDGHYFISNCKDQTIKLWDLRKMSSTKKDCTPKAYEWDYRWMTYPSEARYLKHPYDQSLATFRGHSVLRTLIRCYFSPMHSFVR >KQL05042 pep chromosome:Setaria_italica_v2.0:V:14624391:14628825:-1 gene:SETIT_001262mg transcript:KQL05042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSPAANQRRRPLLTILVALSLLPVAVLAATRGEQEGDRVRFLPGQPASPPVSQFAGYVTVNERNGRALFYWFFEAQTSPEKKPLLLWLNGGPGCSSVGYGAASEMGPLLVNGNGTGLEFNKFAWTREANLLFLESPVGVGFSYTNTTSDLDYLDDGFVAEDTYTFLVNWFNKFTQYKGHDFYIAGESYAGHYVPQLAELVYERNRHLEMNKRLNLKGFIVGNAVTDDYYDEKGLVEFAWSHSVISDQLYKHVTNVCNFRTIFFTGECARAMNLVYTQYNKIDIYNVYAPKCNTDHSAFSSSSDSTTEKTAKKKYKRLRMYSGYDPCYSIHIEDYLNSIDVQKSLHANISGWIKDRRWSICSYSIFNNYDDNIFTVRPLYSKLVKTGLRVWVYSGDMDGRVPVIGTRYWVEALDLPIKSQWQPWYLNNQVAGRFIEYEGLTLATVRGAGHDVPQDKPAEALVLISSFLSDRKLPTKNN >KQL07258 pep chromosome:Setaria_italica_v2.0:V:38716958:38719328:-1 gene:SETIT_001522mg transcript:KQL07258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGLVSVDKFSAGSQAYFLTHLHQDHTRGLASAGGWRHGPLYCSPTTARLLPTRFPGIDASLLRPLAPGASATLSLSSPTSDRPLSLRVTAIPALHCPGSLMYLFRGDLGCMLYTGDFRWELGCGKARRAKQALLDALGGDTVDLLYLDNTYCHPSLNFPPRPVVAEQMVNIIRAHPNHEVIIGVDTLGKEDLLLHISRELQTKIWVWPQRLLTIHLLGIDENHEIFTTQTKLTRIRAVPRYSVTIETLEALNEVCPTIGIMPSGIPWLLKSSEGKAMPKGRSPAKSVRCKGRDKGMDYDPLSPPKLFDKDSYTLPYSEHACFSELENFMQTVRPSTVVGIVRTSFCYVNPLHHFSHLCSDSGVNDDGTPIKNKGRDTDNLTPKRRRNGSATPEETKVRISSSSLYRSKVTRKRKEGCGARIDDAEELIGVA >KQL06927 pep chromosome:Setaria_italica_v2.0:V:36476308:36479498:1 gene:SETIT_000164mg transcript:KQL06927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPRRASVYPRILGLVLVLLRAAAQPPPAGEARLLLEIKRAWGDPPVLAGWNATAAAALCSWPHVGCDASGRVVNLTLANANVAGAFPDAVGNLSGLTYLDVSNNSIRSVFPSALYRCASLQYLNLSQNYFGGVLPAEIGSGLAASLTTLDLDGNEFNGTIPASLSRLRNLEYLALNSNRFTGIIPAELGELASLQVLYLDNNPFNAGQLPASFKNLTNLVSLTASQCNLVGDFPNFLWSLKKLQQLYLYTNNITGDMVVDGFAARSLTEIDVSENKISGVIPEVFGGLENLTLLNLFMNNFSGEVPASIGQLPLLRILRLHTNRLNGTLPPELGKQSPGLYYVEVDYNEFTGVIPEGLCTGGNLQYLTAKSNRLNGSIPAGLANCTTLETLSLDNNQLSSDVPEALWTATQLYFVTLQGNQLTGSLPATILLNISTLRIGNNQFSGNIPAAVAALQVFTAENNRFSGAIPTSLGDGMPLLQRLSLSGNQLSGGIPRSVAKLSQLTQMDLSRNQLTGGIPAELGAMPVLSVLDLSSNKLSGNVPQALAKPQLTSLNLSSNQLSGKVPAGFATAVYDTSFLDNPGLCTAAAGSGYLTGVRSCAGGSQDGGSSGGVSHALRTGLLVAGAALLLIASAFAFFVVRDVKKRRRVAEQDDWKITPFVKDLGFGEAPILRGLTEENLVGRGGSGRVYRVAYTNRLNGRAGAVAVKQIRTAGKLDQKLEREFSSEAGILGSLRHNNIVRLLCCLSNAESKLLVYDYMDNGGLDRWLHGDALVAGGRPMARARSARREPLDWPARLGVAVGAAQGLCYMHHECEPPIVHRDVKASNILLDSEFRAKIADFGLATMLLQAGAPETMSAVAGSFGYMAPECAYTKKVSEKVDVYSFGVVLLELTTGKEANYGGEHGSLAEWARHHYQSGGSIPDATDKSIRYAGYSDDIEVVFRLGVLCTAEMPSSRPTMNDVLQILVKCSERTHQKGKTERGPEYEAAPLLSNGSGIEIEEKIDFDRIV >KQL07667 pep chromosome:Setaria_italica_v2.0:V:41091209:41092495:-1 gene:SETIT_003976mg transcript:KQL07667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGSGAAPVSPRLALEVAVLALLAAAAALPSPAAGVNVTAVLSAFPNFADFARLLASTPVAGELAGRSSLTLLAVPNANLPRSPSAFAAGAGADIADVLRYHVLLEYLSPFDLAHLPASGKLVTTLFQTTGRAPSDLGAVNLTAGANSTVVVRSPAPSPGSNATVLGAVTAVPYNLSVLAVGGLIVPSGFDLAASESRPPPPVNITRVLTDARGFNVAASMLQASGVVSEFEGDEHGAGITVFVPTDDAFAGLPATDHLQSLPAERKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEYTEAGRFTLNITRVNGSIAIDTGVVQASITRTVFDQNPVSVFAVSKVLLPKEMFSRGDSESTAMAPPSATMAPGDAGNERTPPTRLSSPPDLHGEDSESSAALATANGISWCCIGFMYLQLHLLLSLV >KQL03823 pep chromosome:Setaria_italica_v2.0:V:4818581:4819969:1 gene:SETIT_004363mg transcript:KQL03823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNGSNDLHVRVVSRRLVKASDDDSIKPHVLAVSNLDLIPQTIQTSMFCIYPRPPTGDFNAVVAAFEAGLPSFLNHFFPLAGRIATNPSSGLPEFHCHNQGAELVVGEAGVPLASLDFGTMTASVRRVQQPYCEDMALSVQLVSFACGGFAVAWCTNHVLVDGSALSMLVSAWSELARSGTLCASSRPNHDRSVFRPRATPSYSASFNEAFMPLHAERQASDVARLREAASRDGSERATRFQAVSAYLWKALAGVVGTADAHCRMQWWVDGRRRLTDEPELRAAMRNYIGNVTTFAIREASVDEVQRMALPDVAAMVGEAIAAPSYGQHFQELVDWVEERRADRYVETASLGLGSPTVGVTAFTSFPLDTDFGFGHAAMAMPTTSPTARLCSGFVQIAARPDGDGSWISSAFLWPRLAAALESDEPCVFKPVTAEYLGLRAP >KQL07167 pep chromosome:Setaria_italica_v2.0:V:38059327:38060405:1 gene:SETIT_002404mg transcript:KQL07167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCHFSGAMRVHEHAEKKEGKRKQDPQLYTQLNQEASDLQNHRSPDLGVEDVRSGVGSDLVARDLVEHEHDPHGEGRVEAGPHLEHEHVPAHDHPRPLVHLPLDLRHHLRLLPLGPGRRHHAAAPPREGSVDAEQLGGARRRGERREELDDGPRRGAAEDGGPAGREERLLAVGAGAQEALPALVQRRAPPANGEERLPGRSEQRELARRRRAPAVAPAVGVGAEGQPARAAVVGREAVAGGEVRGLAHRQDALLGVAVLGAHDRHRFSLPACLLPLSSSLTPRARACLLASAARVCR >KQL04732 pep chromosome:Setaria_italica_v2.0:V:11243653:11244465:1 gene:SETIT_003122mg transcript:KQL04732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAEGESLAAPLLERSARRLDPFVEVRLYRRGAGPVAIFRSDLAGPRRDRLDVRCIQARHGLRALYAFKPEGSRRGLRIRCDPAAGYSALPFRDGAAIALDGEPKESWTKPVSVIVAGLLVPAVMAAVAVNGVPELLRSSRLINGIFPPWILVSAVIIFARARTRPRAP >KQL07485 pep chromosome:Setaria_italica_v2.0:V:39977951:39979873:1 gene:SETIT_000643mg transcript:KQL07485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDPSTPAAAFAGDANFTEPWSARVRTLTRLGRHREALALLRRGDPSPPPHALALPSAAISCAALSLSSGVAQIHALAAKRGLLPAADAFLLSALLSSYSRLGRLPLAHQLLDEMPLECTPQTTLRTAFNSTISGCALHAMPAACFAVFRRMRAASVRFDAVTLLALVPAAPLSAVPQVHALAARAGLAAETSVANCLISTYARGGAAGAALARRVFDEMPAASRDLVSWNAVLSAHAQNGLAVDALELYRRMHGPDGGGVEPDAVTLVGVLSSCAHLGARGVGFDVERYVRERLPGFRTNVQLCNALINFHARCGSLPRAQQLFDEMPRRSIVSWTALITGYGMHGHGDVAVSLFERMVSEGIRPDNIVMVGLLSACSHAGMYDEGRKYFSTMESAYKLRPTLEHYTCMVDLLGRAGRLEEARELISSMPMPADGAVWGALLGACKIHKNAEVGEEAFEHIVELEPTNAGYYVLMSNIYIDTGQLDGVARVRAMMKERGLKKEPGCSYLEHKGRVHLFMADDHSHPQAKRIYELVMRLEQVVNERPGVSQSGAAEGGAEKAAAQRLVGFHSEKLAVAFGLLNTEAGSEIVVIKNLRVCGDCHSFLKAVSGIANRAFLVRDASRFHRFEGGVCSCKDYW >KQL05432 pep chromosome:Setaria_italica_v2.0:V:24366560:24374116:1 gene:SETIT_001423mg transcript:KQL05432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGGGGGALRRVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSSILKHKMAEQIKREISIMKLVRHPNVVRLHEVLASRKKIFIILEFITGGELFDKIIRHGRLNEADARRYFQQLIDGVDFCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSAWPAQGSSLLRTTCGTPNYVAPEVLSHKGYNGALADTWSCGVILYVLLAGYLPFDEVDLTTLYGKIESAQYSFPPWFSGGAKSLIRRILDPNPDTRIRIEEIRNDEWFQKNYEPIKDIENEEVNLDDVNAAFDDPEEDTEDAFDEAAGPLTLNAFDLIILSQGLNLAALFDRRQDYDKLRNRFLSCKPAKVILSSMEVVAQSMGFKTHIRNYKMRVEGLNANKTSHLSIMVEVFEVAPSIFMVDLQRAAGDTSEYNTFVSNYCSKLDDIIWKFPMEKGKSRISRSSKC >KQL04296 pep chromosome:Setaria_italica_v2.0:V:7946620:7947443:-1 gene:SETIT_004896mg transcript:KQL04296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAAVLALLVLLPLLAAALDADCAPASCGNLSIRYPFWLSGRQPSYCGYPSFGVACDRTGAPPLLNESYLRVLDINYSNSNVVTFHTNLAGDPTGCRGATKFNVSAILALSLLTISRANRELFLCGNCSRRPPAEWLPMNCAGSAGAPWFVYMSHEPGEADQEISSAGCHCTAMPVMPGSELRAPGDYAGLVRRGFLLEWKVPGDCAACDASGGECRFDADKNAFRCLCPDGSRRPATCARGEG >KQL04012 pep chromosome:Setaria_italica_v2.0:V:5865274:5865719:1 gene:SETIT_004265mg transcript:KQL04012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNFTDDNEDDEEESGTPRLVVNNKKRPSGRKQEKERVKKGGYGVVFQSAVQEMITTRKEMEANKKSKESRWMEVKVMEERKLAIEEEKLRMEQEYKIMFMDTSRLNQTQRAYLESMRAQILSRMVGSGSGNGSV >KQL04034 pep chromosome:Setaria_italica_v2.0:V:6040920:6042049:-1 gene:SETIT_004534mg transcript:KQL04034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKSKILVVGATGYLGRHVVAASARLGHPTLALVRDTAPSDPAKAALIKSFQDAGVTLLKGDLYDQASLVSAVKAADVVISTLGSMQIADQTRLIDAIKEAGNVKRFFPSEFGLDVDRTGIVEPGKTILSGKVAIRRATEASGIPYTFAVAGYFAGYALPSVGQLLAQGPPADKVLILGDGNAKAVFVEEGDIGTYTVLAAADPRAENKTLYIKPPANTLSHNELVDLWEKKTGKTFERVYIPEEAVLKQIQESPMPLNIILAIGHAAYVRGEQAGFEIDPAKGVDASELYPDVKYTTVDEYLNRFL >KQL08629 pep chromosome:Setaria_italica_v2.0:V:46358095:46359696:-1 gene:SETIT_005111mg transcript:KQL08629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAVALLLLALAVAVLLLARLRRPARPRNHCPYPNPVLGNLVPLVRNFHRFLDWATDLLAAAPASTIEVRGALGLGNGIATADPRVVDHFLRANFPNYVKGARFAGPFGDLLGRGLFLADGRLWSLQRKLASYSFTSRSLRRFSGRVLRAHLHRRLLPFLAAAADSGEPVDLQDVLKRFTFDNICNVAFGVESSTLLEAAGDDRRGHEAFFSAFDDAVEVSFARMFHPTAVVWKAMRLAGVGSERRLREAIGIIDEYVMAIVESEERLRGADDDEQHLLSRFTAAMEEDNGSELGAMFESPEAKRRFLRDIVVSFVLAGKDSTSSALTWFFWLLAANPRCERRVYEEVAAAARHGDDDHGAGDEELKGMHYLHAAITEAMRLYPPVPISSRVAAAGDVLPDGTAVRAGWFADYSAYAMGRMPRLWGDDCREFRPERWLGGPGGGFVAADAARYPVFHAGPRACLGKEMAYVQMKAVAAAVVRWFAVEPVRAASMEAPPPYEMTVTLKVKGGLPVRIRRREGDAARQKLPS >KQL04346 pep chromosome:Setaria_italica_v2.0:V:8371465:8375066:1 gene:SETIT_004091mg transcript:KQL04346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYSLQNIVAEVLYNQDGTVDMKGNPAVKKGTGNWRASNECCENLVNYMKTRLGQGNAVAANKVTNWIGTCYITTLIGAFLADAYLGRYWTIASFMTIYILGLAMQAMTSSVKGLVPSSCDNGGVCHPTEPQTAAVFVALYLVALGTGGIKPCVSSFGADQFDESDAREQKSKSSFFNWFYFSINLGALVASSVMVYVQTHVGWGWGFGIPAAVMAVAVATFFLGTPLYRHQRPGGSPLTRIAQVLVAAARKWDVAVPADTSQLHETADGESGIAGSRKLEHTDQFRFLDKSAVETAGDKEAPAASAWRLCTVTQVEELKTVARLLPVWATGIVLQAAFSQMSTMFVLQGNTVDLRMGPKFSIPSASLTIFDTLSIVALVPVYDRLVVPAARSVTGRPRGFTQLQRMGVGLAVSVFAMLAAGALEVVRLHAVGRRGLYGARDVVPISIFWQVPQYLTAGAAEVFALAPDAMRSLCTVLALTTHALGNYLSTVLVTVVTRVTTRHGELGLINFLVYLVIARWYKYKKRADYPDAKGDENPVQ >KQL06493 pep chromosome:Setaria_italica_v2.0:V:33794673:33795627:1 gene:SETIT_003929mg transcript:KQL06493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRASWDEGTTKTLLDLCIAQKNQFNWSNRCLTKLGWKNVYSSCRAQTGLHLGSKQLQNKLNNLRRTFLSWMALQKQSGLGRDTQTGGVVADATYWEEDEEPPRFLDELFELFGHEPQDRGTLLTAGGIREATPSVGIEGNAADLDQDPLPASSARAMSNRPAREFSVDSPTKKRSDNLEQYIRELSDSVAKRSQQRANRARGEMVRCMQLLVEDGLQEGSPLYCQALYLCTKNQEYRMAFTEMTTKEGRMNWIQFNWDMLNKK >KQL03278 pep chromosome:Setaria_italica_v2.0:V:688681:689266:1 gene:SETIT_003764mg transcript:KQL03278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPKLTILAAVALAVLALASVASAEQGGGFVVTGRVYCDPCRAGFETNVSKSVPGATVEVVCRQFGASKETLKAEATTDEYGWYKLEIDQDHQDEICEAVLAKSSDPACAEVEEFRDRARVPLTSNNGIKQQGVRYANPIAFFRKDPLKECGQILSKYDLKDATETP >KQL06946 pep chromosome:Setaria_italica_v2.0:V:36595563:36601981:-1 gene:SETIT_000563mg transcript:KQL06946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVLRSAARRAREAQGSPPEAAAEAPEAAAPAPAPPPAETRRRATRAAARAEEREREEIRPAEVVAGEGGGDGDEGERGMDDPDSAARSADKLIADDEGSPPVPDMVQVGNSPKYRVDRKLGKGGFGQVYVGHRMSPTGPGAVEVALKFEHRTSKGCNHAPPYEWAVYNAVGGIHGVPRVHYKGRQGDYYVMVMDMLGPSLWDVWNNNSHTMSVEMVACIAIEAISILEKMHSKGYVHGDVKPENFLLGTPGTPEEKKLFLVDLGLATKWKDSSTGLHVDYDQRPDVFRGTVRYASVHAHLGRIGSRRDDLESLAYTLVFLLRGRLPWQGYQGENKGFLVCKKKMATSPESLCCFCPQPFREFVEYVVNLKFDEEPNYAKCVSLFDSVVGPNPDIRPINTDGAQKLIHQVGQKRGRLLIEEEADEQPKKKIRMGMPATQWISVYNARRPMKQRYHYNVADSRLVQHIEKGNEDGLYISCITSCSNLWALIMDAGTGFTSQVYELTPHFLHKEWIMDQWERNYYITALAGANNGSSLVVMSKGTAYTQQSYKVSDTFPYKWINKKWRDGFYVTSMATAGSRWAVVMSRNAGFSDQVVELDFLYPSEGIHKRWDNGYRITATAATWDQAAFVLSVPRRRPTDETQETLRTSAFPSQHVKEKWSKNLYLASVCYGRTVS >KQL06427 pep chromosome:Setaria_italica_v2.0:V:33309160:33311337:-1 gene:SETIT_001920mg transcript:KQL06427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGRASVVGALLVALAVLAPAVTAQTCNDELPSQLVRNYSGLACAPVWNSFVLRYAQDKDNVLRVVLSTMYSTGWVGMGFSKNGLMVGSSAMVGWMGKTGVAHIKQFSLQGKTPSQVVVDKGILVSNDHDHTVLVQQAKIYLAFQLRFNEPLKRQNVLLAFGSAIPVNDRLSEHQDKTSITFDFTTGSSSSASSFPEGLKRTHGALNLFAWGVLLPIGAIVARYCRRWDPLWFYLHAGIQFVGFILGLAGIVAGVSLYSKIQANVPAHRGLGIFVLVLGILQILAIFLRPNKDSKYRKFWNWYHHWVGRLALFFAAINIVLGIKVGGAGNSWKIGYGFNLAVLLITIITMEVLLWTRWRNNSSSTAAY >KQL08032 pep chromosome:Setaria_italica_v2.0:V:43251534:43257110:-1 gene:SETIT_000738mg transcript:KQL08032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVNQTYFAWSQGESTERDGPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRNEEFGKFNGLVAQKKAYFEEYYKKIRELKASQQQNQQTELTLEYSGDGSDSSQTAEDEQGADLETPTGSGAPLDDYAEEAPQETTSEHGLQYYGDQGNQNFNTEFSSSNLSSSARVLQQTDHDVKGTVCGDNSASKMDVGQQNTSSGHDDNRTAYEATRTPRRTIEKDSRLRHTPKMIPKSIRNLSKSAMDYTFASERPGSVKPSTSMNQKTKPVQRPNAAPQKMAGTPERSKLTGLRRPSSAGAQRPSTGEQHPIAKANSKTPAVVSTPRRPSTAERRPVTRDHAQKQVNVTTPRRPSTSERRPIKRESAAKHADISSVPRPSTGERRASGAITRDVALKTDAKTPSKARPTVAHPRGETTTVANPKKAVTPNAARSSKLETKSNNNRLKASSALDSHSTRSKRMDLQASGKQKSSSVNLPPRKIFSSTVGEPAVETISRTKRKEGIQATVQSRVSTSKRTATLQTGNLKTRAPNPPAPPPPPRRPSRMMSKPTASASTSSVGGRKPNLTRLPRSSLTHPMQSFSTAMALRCSGDQKVSDQVMVCRT >KQL08031 pep chromosome:Setaria_italica_v2.0:V:43251903:43255208:-1 gene:SETIT_000738mg transcript:KQL08031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVNQTYFAWSQGESTERDGPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRNEEFGKFNGLVAQKKAYFEEYYKKIRELKASQQQNQQTELTLEYSGDGSDSSQTAEDEQGADLETPTGSGAPLDDYAEEAPQETTSEHGLQYYGDQGNQNFNTEFSSSNLSSSARVLQQTDHDVKGTVCGDNSASKMDVGQQNTSSGHDDNRTAYEATRTPRRTIEKDSRLRHTPKMIPKSIRNLSKSAMDYTFASERPGSVKPSTSMNQKTKPVQRPNAAPQKMAGTPERSKLTGLRRPSSAGAQRPSTGEQHPIAKANSKTPAVVSTPRRPSTAERRPVTRDHAQKQVNVTTPRRPSTSERRPIKRESAAKHADISSVPRPSTGERRASGAITRDVALKTDAKTPSKARPTVAHPRGETTTVANPKKAVTPNAARSSKLETKSNNNRLKASSALDSHSTRSKRMDLQASGKQKSSSVNLPPRKIFSSTVGEPAVETISRTKRKEGIQATVQSRVSTSKRTATLQTGNLKTRAPNPPAPPPPPRRPSRMMSKPTASASTSSVGGRKPKASAPQWH >KQL08030 pep chromosome:Setaria_italica_v2.0:V:43251527:43257110:-1 gene:SETIT_000738mg transcript:KQL08030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVNQTYFAWSQGESTERDGPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRNEEFGKFNGLVAQKKAYFEEYYKKIRELKASQQQNQQTELTLEYSGDGSDSSQTAEDEQGADLETPTGSGAPLDDYAEEAPQETTSEHGLQYYGDQGNQNFNTEFSSSNLSSSARVLQQTDHDVKGTVCGDNSASKMDVGQQNTSSGHDDNRTAYEATRTPRRTIEKDSRLRHTPKMIPKSIRNLSKSAMDYTFASERPGSVKPSTSMNQKTKPVQRPNAAPQKMAGTPERSKLTGLRRPSSAGAQRPSTGEQHPIAKANSKTPAVVSTPRRPSTAERRPVTRDHAQKQVNVTTPRRPSTSERRPIKRESAAKHADISSVPRPSTGERRASGAITRDVALKTDAKTPSKARPTVAHPRGETTTVANPKKAVTPNAARSSKLETKSNNNRLKASSALDSHSTRSKRMDLQASGKQKSSSVNLPPRKIFSSTVGEPAVETISRTKRKEATVQSRVSTSKRTATLQTGNLKTRAPNPPAPPPPPRRPSRMMSKPTASASTSSVGGRKPKASAPQWH >KQL08033 pep chromosome:Setaria_italica_v2.0:V:43252244:43257110:-1 gene:SETIT_000738mg transcript:KQL08033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEVNQTYFAWSQGESTERDGPQGVSVSQTLDHGSISFGRFELESLSWEKWSVFTNDRRNEEFGKFNGLVAQKKAYFEEYYKKIRELKASQQQNQQTELTLEYSGDGSDSSQTAEDEQGADLETPTGSGAPLDDYAEEAPQETTSEHGLQYYGDQGNQNFNTEFSSSNLSSSARVLQQTDHDVKGTVCGDNSASKMDVGQQNTSSGHDDNRTAYEATRTPRRTIEKDSRLRHTPKMIPKSIRNLSKSAMDYTFASERPGSVKPSTSMNQKTKPVQRPNAAPQKMAGTPERSKLTGLRRPSSAGAQRPSTGEQHPIAKANSKTPAVVSTPRRPSTAERRPVTRDHAQKQVNVTTPRRPSTSERRPIKRESAAKHADISSVPRPSTGERRASGAITRDVALKTDAKTPSKARPTVAHPRGETTTVANPKKAVTPNAARSSKLETKSNNNRLKASSALDSHSTRSKRMDLQASGKQKSRF >KQL08381 pep chromosome:Setaria_italica_v2.0:V:45134912:45135426:1 gene:SETIT_003688mg transcript:KQL08381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMVPCYATKKNNLSTKLHCMNPFSPASFRLQQGGEFHTSFPLCPNTHAPLPPMPIG >KQL04849 pep chromosome:Setaria_italica_v2.0:V:12415565:12416289:1 gene:SETIT_002886mg transcript:KQL04849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKLLCAPRSSNSSSVEVSGNGRDAAGAPGEGAARAVDLPHGLRLHEHGVGAPHAVEAAAHGHVRHQRLLRASTVAAVGLAADGRRAQPAHPTPAVALPDAAHVLGRALLLHAAALAPRVLHLLRDRRQLLLRRRRRRRGPGSVGGFPVPGQLQGQVGHGALEPLHRDPPRLRLPPRRLGRRRRCGRDRCVSVWRHWSIWITHQIKDYSYRKW >KQL07415 pep chromosome:Setaria_italica_v2.0:V:39641837:39644520:1 gene:SETIT_000907mg transcript:KQL07415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRVKSESDCDMMLQDQMDSPVADDVSSGEGSPHRGSGPPLKKGPWTSAEDAILVDYVKKHGEGNWNAVQKNTGLFRCGKSCRLRWANHLRPNLKKGAFTPEEERLIIQLHAKMGNKWARMAANLPGRTDNEIKNYWNTRIKRCQRAGLPIYPASVCNQSSSEDQQVSGDFNCSENISNDLLSGNGLYLPDFTSDNFIANPDALSYAPHLSAVSIGNLLGQSFASKNCSFMDQVDHSGMLKQSGCVLPALSDTIDGVLSSVDQFSNGSEKLKQALGFDYLNEANASSKTIAPFGVALSGSHAFLNGNFSASRPINGPLKRELPSLQDTESDPNSWLKYTLAPAMQPTELVDPYLHSPIATPSVKSECASPRNSGLLEELLHEAQGRSGKNRQPSVRSSSSSANTPCETTTVVSPEFDLCPEYWDDHHSSFINECAPFSGYSFTESTPVSAASPDIFQLSKISPAQSPSMGSGEQAVEPRHESAGSPHPENLRPDALFSGNSADPSTFNNAIAILLGNDMNAECKPVLGGGITFGSSSWSNMPNACEISEFK >KQL04934 pep chromosome:Setaria_italica_v2.0:V:13172062:13176345:-1 gene:SETIT_004674mg transcript:KQL04934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVSNIFHNDLLPSLGARANQSIKLRKFIISPYDPCYRIWETFLLVLVVYSAWICPFELAFLRHLSWELFLVENIIDSFFAIDIILTFFIAYLDQKSYLLVDNPKRIAARMLNMLRLWRLRRLSALFARLEKDIRLDYYWTRCIKLISVTLFAVHCSGCFNYLIADRYPNPARTWIGAAIPNYRSESLWVRYVTSIYWSITTLTTTGYGDLHAENPREMLFSTCYMLFNLGLTAYIIGNMTNLVVQGSCRTRNFRDTIHAASQFAARNQLPKRIRDEMLAHICLRYKTEGLKQKETLDSLPKGIRSSIAYHLFFPIIEKVYLFRGVSFTCMLQLVTAMEAEYFPPRELVILKNEAPTDVYILVSGAVEERIEIDGVEKVQGVLSTGEIFGEIGALCNVPQPFTVCTTKVSQLLRVSTIVLKSILEDNKEDKQIVLNNIFQKTGKSVGMHNQHFRKYNSHFTFNQVSQESESEGKGRLTFHGPIHKTAKYSKFNRNNYLLAEGEGIEKHIPAKMMCVECMDKGKTDVHQQMLTDSSITGSEKAHVIMQNTQEHAKIRQSTTPGKMLAFSVAGNLQEMTDKCTCGGIRSDKDGGSVVSGNKRVTIHMYSQKNTNLRVPAKVINLPGSMDELFTVASQKFAGHCPTKLLNQEFAEIEDITVIRDGDHLFLMES >KQL06788 pep chromosome:Setaria_italica_v2.0:V:35594371:35595780:1 gene:SETIT_002708mg transcript:KQL06788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPYRATPGDRQLQVACSNWTPEPAAGAVKTEVEDADELALCVVIPAPTPPPQPQQDDPAPTRRRGPQPQEDGGAVKTRSIHEHPQAAAAALAAAAENIPASVRRYKGYVSRRRPVTGAERQRAMELAYAFRSSLPYCVVRMSTMHVYYSFMMRFPTGFSRQHLPRERTDVVLRDPGGKAWVVLYIPNTRDRLSRGWCAFARGNCLEEGDYCVFELVGAAEFRVHVFRVAEPPVPAVRLRERLA >KQL03874 pep chromosome:Setaria_italica_v2.0:V:5171195:5174335:-1 gene:SETIT_002229mg transcript:KQL03874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVDGVRHRTVEANGVRLHVAEAGPEGGAAPAVLLLHGFPDLWYGWRHQMAALAARGYRAVAPDLRGYGDSDAPPDASAYTTFHVVGDLVALIADLGQPQVFVVGHDWGAIVAWQLCLLRPDLVRALVNLSVVYHPRRPEGSPLQTIKAACGEDHYMCRFQEPGVAEAEFAQYDAKYIFNKTFGMRKPAPLILPKDKSFFDSLDSDGTCPPWLSEEDISYYAEKFSKTGFTGGFNYYRCMDLNWELSAPWTGAPIKVPTKFIVGDLDITYNTPGVQDYIHKGGFKASVPKLEDVVVMEGVSHFINQEKPSEVSDHICEFFSKF >KQL07829 pep chromosome:Setaria_italica_v2.0:V:42049545:42052105:1 gene:SETIT_002874mg transcript:KQL07829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSDALREAIASVTNDSREKQRKFVETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAGRIGLDSMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVSHQESLESKVNETKATVKFQLKKVLCMGVAVGNCGMDEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKVYRLF >KQL04895 pep chromosome:Setaria_italica_v2.0:V:12894981:12896210:1 gene:SETIT_003117mg transcript:KQL04895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGMLLPHHQVSPNPLRKTTSAAPFALHKDGLIFCTAPRQGFLRPPCALVSVIRSELNGAVLPDAVRKHSKEELIAFFRDMQTSIAESSPKASRRTRKPPPDPFEEVDKRKQSYGMDGDGGADDFSEEQRRMVNLEDMKVADLRELARARRMRGYSKLKKGELIDRLKGVVM >KQL05323 pep chromosome:Setaria_italica_v2.0:V:22500259:22500345:1 gene:SETIT_0007202mg transcript:KQL05323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFEGLVVVSDPYLQRRFTQADLRALQAK >KQL06053 pep chromosome:Setaria_italica_v2.0:V:30350305:30354010:1 gene:SETIT_002669mg transcript:KQL06053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCCCCFLAHKPPRENPMHSSREPLIWSGSGAAGHHHHPAQMVTYSEGLGAAERLRAGFRTFKRTIYDKNPMLFGPLKSAQSPKYMVFACSDSRVCPSVILDLKPGDAFTVRNIAGLVPAYHQNMHSSVASAIEFAVTILKVKCIVVIGHSCCGGIRELLSLKEDRPQTYHFIDNWVKIGLAIKKKVEREHALLSFDDQCTMLEIEAVNLSLRNLTTYPFVKDKLGKGTLKLIGARYDFVHGSFQTWHA >KQL06325 pep chromosome:Setaria_italica_v2.0:V:32621258:32622309:-1 gene:SETIT_003817mg transcript:KQL06325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRYATEEDYDRNWSVHLAHRNTQPTVGSHLAPPREIIVPAVRGRPGSPPLQYQDRAASHHESPTATRARVRVCLSSSCRHGAGVPEPRLTPLHASAERERDSRVPQQSVRANRSKATPASRRGEVMYQAIPYSSARPWLVPAAEAAGVVAVKPEPAAEEPAARTDAAGDDGGGRAEVERAVAESPVLVVGRRGCCLSHVVKRLLQGLGVNPAVHEVADEAALAGVVPAGAGAEAAALPAVFVGGKLLGGLDRLMAVHISGELVPILKKAGALWL >KQL03382 pep chromosome:Setaria_italica_v2.0:V:2088919:2091685:-1 gene:SETIT_003893mg transcript:KQL03382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKKDEIKMEEKKDEFSAEKVIAEFERLSGDAAAVQRETLRRILADNAAAEYLQVRGLAGCTDPDSFRSCVPLSTHADVEPYIARIADGDTSAVLTATPVTSISLSSGTTQAKRKYLLFNDEIFRSAMQTYQTSFAFRNRAFPVEDGKSLQFIYASRQFTTKGGLTATTATTNLYNKEEFRSNMRSIQLDCCSPREVLFCPDFRESLYCHLLCGLLFAAEVRTVSATFAHSLVLAFETLETVWEELYADIRRGAPSPARVTDPAVRRAVSALLAAPNPALADEVARRCAGLSDWYGVIPALWPNAKYVSTIVTGSMEHYVKKLRHYAGGLPLVATEYGASEGMIGPNVEPEMPPESATFAVLPNIGYFEFIPLKTSDAGAAAADACYADAKPVGLTDVTVGESYEVVMTTFTGLYRYRLGDVVRVAGFYNNTPKLKYMGRRSLTLSINVDKNTEQDVQHAVDGAAKILAAERLEVVDYTSYADLSSNPGHYVVFWELNDGEVVSDDGKLLLQSCCDELDRGFVDAGYLGSRITRAIGPLELRVLRRGTFEEVLRHYLSLGAPVNQFKLPRCVPRSNSAVLQILSSNTLKAFFSTAYDGSKPAAVR >KQL05488 pep chromosome:Setaria_italica_v2.0:V:25059626:25063558:1 gene:SETIT_005123mg transcript:KQL05488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATIIKMVGSVNYVVSYGNREDSTEVLHSCFIQPQPVFDKTKFENELEPSAEVEVYQDGIWSLGVIEDVCICEPRRYKVRVKHHGNAYDYFLVSSTSLRPYSKGDSQEWKPCSTKGAERRFGEGRTALDTHFKSQATCSQIITKDVMDMETIPEKEATVVKQLESEGKCLGFRVAKGKDQILATSCHTSVPEKLIDTQCDGKGRRFLPKVHPALFRILVSYHCNSIALLSSSVLKKCWHSKRLVHFLGTLFIKFNDFDVVVISDDSGYENFIEISDTSYTLFPLESSQDPSHNDGGLLRISETKEPALSDNLHLETAMMSLGDTAAGDEPDEMCQKVAEVKSWMAGACKGADISLINSRNCINPKEDAALPIGVLEPSSTGQQDAKADLLCIQAPNEDLFPAAEMIADEPHPQHNVSSQNSFGQLEVSISGKSSGPSSFVHSGMTVDLSTLMPLPVPSSSNLASAIKNMRIQDEARLYQEKMNSLLDLEENGFQVGPLKVRLNNLLCTRNRQISLKNRKAKGINCRLEQQIKFVDMCTMGVEEKKYQEMKAFVDMQKVANCLSISKLQVDLLQVEESLASVEADFGSIAAAPWESDVGFNTINFFRYQGR >KQL05352 pep chromosome:Setaria_italica_v2.0:V:23307524:23309259:1 gene:SETIT_002433mg transcript:KQL05352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVATTTAAAWTREEDKAFENAVAAAAAPPADGPPDEGWFAALAASVPARTAEEVRRHYEALVEDVAAIEAGRVPLPSYAGEDPSAATPDSAAGAASAPKDGGGGGGGGHRREERKSGVDVGKSCSKAEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSVTAGEVAAAGAPITGGPAAAGAMPMGPAGMKHHHPGPPMGMYGHAPMGHPVAGHMVAPAAVGTPVMFPPGHSPYVVPVGYPAPPAKMHQ >KQL07661 pep chromosome:Setaria_italica_v2.0:V:41070925:41071402:-1 gene:SETIT_0044271mg transcript:KQL07661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAPQHGGAGVIDLEGSGSGGAPLSPPLSPASAAAAALANARWNPTKEQLAALEGLYEHGLRTPSAEQIKQITARLREHGHIEGKNVFYWFQNHKARQRQKQKQDSFAYFTRLLRRPPPLPMLVRPPGPLPYPHGRLPVPAPPAAAAAACSSSNGGTH >KQL06446 pep chromosome:Setaria_italica_v2.0:V:33492058:33492724:-1 gene:SETIT_003651mg transcript:KQL06446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPLHGSEKNEHHACTELLIGATSASLVRIRVYSLVGNFLNYKVWLVQQKGTTEDRDPLNIVS >KQL04137 pep chromosome:Setaria_italica_v2.0:V:6769221:6772950:1 gene:SETIT_001803mg transcript:KQL04137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATVKLSSPATGLLAGGRTRRSAPARRATVIRAAAGSYSDELVSTAKSVASPGRGILAIDESNATCGKRLASIGLDNTEANRQAYRQLLLTTAGLGEYISGAILFEETLYQSTTDGKKFVDCLKDQNIMPGIKVDKGLVPLPGSNNESWCQGLDGLASRCAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAGIAQDNGLVPIVEPEILLDGDHGIERTLEVAEKVWSEVFFYLAQNNVLFEGILLKPSMVTPGAEHKEKASPEAIAKNTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNSVLKTWQGRPENVEAAQKALLARAKANSQAQLGRYTGEGESDEAKKGMFQKGYTY >KQL04576 pep chromosome:Setaria_italica_v2.0:V:9999686:9999962:1 gene:SETIT_003575mg transcript:KQL04576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNAPTVRSIKRSGTYKNSARNTAFPMPLQFTNMDGKNVCERNDVMLAINNCFNLPPRLLSISHDHISGAYMQAASIGHWCL >KQL07168 pep chromosome:Setaria_italica_v2.0:V:38069291:38072472:-1 gene:SETIT_002715mg transcript:KQL07168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAAPTAAALCRRAVSTSASPSPTAEATAAASSSAVNSILLRSLKEHYLEVSKMAPPPKTSPPKPFTIVKGALDQQSGPVLRREYGDAGEEISISVARLANILPAGADSDSDAAGGDGGLSASISQLFLHVDISKPGTGKSLQFLCGLYPDAVGIHSVCLRSKSAESWEGNMASKGGGEYRGRIFQELDEKVRDALHLYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVATFISEPKP >KQL07169 pep chromosome:Setaria_italica_v2.0:V:38069780:38072472:-1 gene:SETIT_002715mg transcript:KQL07169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRAAPTAAALCRRAVSTSASPSPTAEATAAASSSAVNSILLRSLKEHYLEVSKMAPPPKTSPPKPFTIVKGALDQQSGPVLRREYGDAGEEISISVARLANILPAGADSDSDAAGGDGGLSASISQLFLHVDISKPGTGKSLQFLCGLYPDAVGIHSVCLRSKSAESWEGNMASKGGGEYRGRIFQELDEKVRDALHLYIEARGINEKLFPFLQAWLYVKDHRNLIRWFKSVATFISEPKP >KQL08341 pep chromosome:Setaria_italica_v2.0:V:44898953:44903661:1 gene:SETIT_004170mg transcript:KQL08341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAGLLPWPSPSTTRLTTPTPTRPPPRTTRVRPPPPPPPYTRVRLPPPPPPTTPPPPRLEPAAPKPTTASTPLPPSTASTASSSSTCLDCVHFGKCSGCTHEVDLDKPPVLQEVENFFKGHGVGDFTFSRGRLSQWRCRAKLAVRGTPENPLIGLYQEGTHVVTDIPECRAHHPSINAAVKLLRQGISELNIQPFDEDAGTGELRYVQMAVTTYNTSIPVDKRYEQGRVQISLVWNSRDERSQNAEKLALLIEFLWRNGGPKSSVHLIHSIWANFQTSTSNIIFGHKWRHLKGERDLWERYGGVDISLDPCSFGQANTLSFNSLLHKLNKYVPRGSTVVDLYSGAGVIGLSVAASRKCRSVKCVEINKQSKMSFEKSASRLPTNLGCTITWHNTDASVEPVHWLEGSSVVIVDPPRKGLHPSVIIALQKVALSERKAYKAKSSLAKVKDEKRPWILRAREAAVHVDNTTTEDSSETWPETLIYISCGWESFKKDCKSLISSKAWQLENAHAFNFFPGTDSIEILAIFKRESEA >KQL03991 pep chromosome:Setaria_italica_v2.0:V:5759067:5760059:-1 gene:SETIT_003865mg transcript:KQL03991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QEADAQAEETNQQLYQRFTDLVSSLPSSDGLSHQRLYLHGQGWRTDQMPMVGAMVAGARFAARPTDVVLASLPKTGTTWTEALLYAIVHRREHPPDAADHPFHSFGPHECVQNLEFQIYTCGRVPDIGDLPDPRLFATHVPFAALPGSVAGGGCMVVYVCCDPKDTLVSLWHFINKFRAKEGIGLLSAEVAADMFCAGESPFGPYWEHVLGYWRAHLARPDRVIFFRYEEMMRDPAAHVRRLAEFVGLPFGGAGEDGTADAIVRLCAFEHMCGLEATKSGRTVAGTSSVENSIFFRRGVVGDWVNHLSPETARRIDDITRSKFEGSGLIV >KQL07745 pep chromosome:Setaria_italica_v2.0:V:41531813:41532282:1 gene:SETIT_003646mg transcript:KQL07745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTQSLQNNLRSIFFCGMCHRTLTKLTPPFTTPKQLHSNLQFCSCPSSLRSDTRPYFISLGSGAFI >KQL07520 pep chromosome:Setaria_italica_v2.0:V:40229470:40230906:1 gene:SETIT_005356mg transcript:KQL07520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSKIYFVLEYAKGGELFNKIAKGGKLSEDAARKYFHQLISAVDYCHSRGVYHRDLKPENLLLDENENLKVSDFGLSALTESKRQDGLLHTTCGTPAYVAPEVLSRKGYDGAKADIWSCGVILFVLVAGYLPFHDTNLIEMYRKISRADFRCPRGFSTELKDLLHRILDPDPSTRISISRIKRSAWYRKPFEVNAKKNETETTENACTGEATTSGSTECSTSEGNQGSLSLPNLNAFDIISLSTGFNLSGFFEDKYRREERFTTRQPVMTVLAKLKELSKRLKLKVKKKENGILKLASPKEGKKGVLELNAEIFEVAPSLLLVELRKTNGDTMEYQKLVKEEIRPALKDIVWVWQSDQHQHSQPTLQEQQLQPPFSPQQPHDQLQASLTQQEQKDLLEAPLHPQEPLDELQSPPQEPLEQLPLPVTLE >KQL03475 pep chromosome:Setaria_italica_v2.0:V:2585757:2586653:1 gene:SETIT_004372mg transcript:KQL03475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNKARTGACEVACVGRILGAVARVTSKKPPTNSPLSHARPPAARPRPSLPAARPQSPRAPTMAANLRRLASASASALSRRPQPPDPPPALLLRLALFSSAPSATDPPAPEAARKAEGEEAAGDKGAADAGEGKAAAAAEEEDNDSGMHVNKATGEIGGPRGPEPTRYGDWERGGRCSDF >KQL04468 pep chromosome:Setaria_italica_v2.0:V:9248646:9249047:1 gene:SETIT_003709mg transcript:KQL04468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLQTTAGSRPPGRRHEHVDACGSRDLVTLASDPGSARFTFSQSLVSYSHV >KQL05437 pep chromosome:Setaria_italica_v2.0:V:24484338:24485600:1 gene:SETIT_003956mg transcript:KQL05437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLAWASSPPPVTQRGKQQGRQEPHAASPTSGSTATGSAADCSTPWEASSARVDDPAGTKPTTTASSPSRLCAVATNEVALERALVTVVVGIPPDLTLFDVQDYIMGHFGVEPGLFMVHLPYLEIFLVLFRDADVMMRWLMSTAQEILGSSCSIMIAMAPEMEFKANLKKFFVMVGCIHLDLLPIEKFMVAPIPAEWLDVQLYLRYRAVINILEVSDLHSTGRAPPGDSGTGPCWPAAPGGHRGSGPSSIDGSSEWPIGGPVARPSMGLVGRPAGTSSASSGGRCRTNHLVMATFGALAHALSPQPHPRSFLSGAFRVSTAPCHARLIGLVAVGSPPLLSASTPLSSWLLRQPPDLLPGPG >KQL05522 pep chromosome:Setaria_italica_v2.0:V:25344776:25349976:-1 gene:SETIT_001080mg transcript:KQL05522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVEAKDNEVYEEDLVDYEEEVENVADGAPTNGSADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLPELRVAVFYGGVNIKNHKDLLKNECPHIVVGTPGRILALARDKDLPLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVVNYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KQL05523 pep chromosome:Setaria_italica_v2.0:V:25344776:25350177:-1 gene:SETIT_001080mg transcript:KQL05523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGCPHVSVASTASGSSRLSKSSRSVSPASCRLSLRTPDANRPASCRVLFLCLLRLALESATQADSTATRRFSSPPSPRSRGMMVEAKDNEVYEEDLVDYEEEVENVADGAPTNGSADVVKKGYVGIHSSGFRDFLLKPELLRAIQDCGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIDPVAGQVAALVLCHTRELAYQICHEFERFSKYLPELRVAVFYGGVNIKNHKDLLKNECPHIVVGTPGRILALARDKDLPLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSEAEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLCECNFPSICIHSGMTQEERLTRYKNFKEGHKRILVATDLVGRGIDIERVNIVVNYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >KQL05665 pep chromosome:Setaria_italica_v2.0:V:26903555:26906163:-1 gene:SETIT_004882mg transcript:KQL05665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPSRAPAPPCRGLLPPLSKPQHMLSVAKSLASRQAQVQARARAQPVALARSKSIDDALSAGFVRLLNASPGQDEDATGATGGLYDPKPGDFAVGVVVSGTEARLDVAVGADNLATLLAKELLPLNRDGGDLAERAAPPRPGSVGVVAGPAVDEEAARKHSRGSRALVAPGTVVFAEVLGRTLSGRPLLSARRLFRRLAWHRARQIMQLDEPIEVKIHEWNTGGLLTRIEGLRAFLPKFELMDRIGTFTDLKNKVGSNIRVCIARFDEETNDLIISEKKAWEMTYLREGTLLQGSVRKIFPYGAQIRIAGTNRSGLLHISNISRGRVLSVSDILKIDDEVKVLVIKSNVPDKIALSIADLESAPGLFLSDREKVFSEAEAMAKRYREQLPVISQNTILDDSLPGEALPFDDEAKLYANWKWFKFLHHNKPGDNSSGDLP >KQL05273 pep chromosome:Setaria_italica_v2.0:V:20242163:20242952:1 gene:SETIT_005457mg transcript:KQL05273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPARRGGGAVGASARALLLLFLVLLIGSRGAEAIRAVPRRSATVGKARNRSHSHSARVAVLPRKTMPLLGTRPVPVRLAPAGSVEEESKRRIPSCPDPLHNR >KQL06380 pep chromosome:Setaria_italica_v2.0:V:32934715:32935991:1 gene:SETIT_003129mg transcript:KQL06380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVDRRPTFLSHESMHDTADSFVVEQRIYDIDTPSHPTSLFDGAEFQLTNWPLLSRPSSLPGRLGARQLRRTDAMLGRATRGGPSLSTKPPPRAEGAARSTTVVTDAGQEVTVSQFVAQLDEAARRRLDGLHQRLRLLEQQMETLEAEVGKASGGTTMGTYYTPSSSG >KQL04907 pep chromosome:Setaria_italica_v2.0:V:12945400:12946120:1 gene:SETIT_003250mg transcript:KQL04907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKAHKGGLHKTLHSSAHSSPKPVASDQINQCPPQTGPRLTAVERRRPRPAAQLAPGGTCPTPATSPPPTMAAAGEASSKLLRFLYFVGAGVICTKAINTYRDYEHKKEASAAVAAAAAAEAALASAAAPEPVPATAAAAAAAKP >KQL08068 pep chromosome:Setaria_italica_v2.0:V:43417682:43419498:-1 gene:SETIT_002617mg transcript:KQL08068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRLQEQCSLLRISSSHHPNPFKPPRNPRRTQLLPPNAAKNATPSEIPVPRAPAAAPKTAIPARKAAPWREALVPVAAAVASWPLPSLAAEGDGKVSLESIVVAIDDFNNRNPFFVAGVVFVWLVVIPLVQEYVFKKYKPVSAIDAFRTLRDVPEAQLLDIRRAKSVRFMAPPNLKLVEKSAVQVEFDEEDEKGFVKEVLARFPDPANTVVCVLDNFDGNSLRVAELLIENGFKEAYAIQGGLRGPEGWQIQLVTI >KQL03591 pep chromosome:Setaria_italica_v2.0:V:3340363:3341841:-1 gene:SETIT_002866mg transcript:KQL03591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHLYVAAACAVVLALAAPTLAGDPDMLQDVCVADLESPIKLNGFPCKANISADDFFFDGLRNPGNTNNPAGSLVTAANVASFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKTVTKGDVFVFPRGLVHFQQNRGYGPAAVIAAFNSQLQGTQAIAMTLFGATPPVSTDILAKAFRIGNGEVNAIKAKFAPK >KQL03590 pep chromosome:Setaria_italica_v2.0:V:3340363:3341857:-1 gene:SETIT_002866mg transcript:KQL03590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFHLYVAAACAVVLALAAPTLAGDPDMLQDVCVADLESPIKLNGFPCKANISADDFFFDGLRNPGNTNNPAGSLVTAANVASFPGVNTLGVSMARIDYAPGGQNPPHTHPRATEIIFVLEGTLEVGFITTANKLFTKTVTKGDVFVFPRGLVHFQQNRGYGPAAVIAAFNSQLQGTQAIAMTLFGATPPVSTDILAKAFRIGNGEVNAIKAKFAPK >KQL08200 pep chromosome:Setaria_italica_v2.0:V:44137193:44142000:-1 gene:SETIT_001276mg transcript:KQL08200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAVAQLQDLRERISDRLHPWSRSAQFWVRAADIYTSYKVCQLRAGFVKDEEERGAMWEQQHELGAQKMYSLCSELGGLFLKAAQILGKPDLAPMAWVKRLVTLCDKAPATPFDVVRDVVERQFGKSFDDIFEEFDVEPVGSASIAQVHRARLKLSKTDVAVKVQHPGAEHLMKVDIRNMQAMALFLQKYDINFDLFSATKEMEKQICYEFDFVREARAMERIREFLRLNNKKPPVMVPRVIPGMVCREVLVMEFVKGTPIMNLGNEMAKRGVDPGSKIAAMAKQKILSDLTLAYGQMILKDGFFHADPHPGNILICKDTEVALLDYGQVKEMPDDLRLAYANLVVAMADDDFLRAEEGFRELGIKTRTIADNKLEELFQLSLRMFDTRLPPGVTVMSPFADDSSLTKIGVESFPEELFSVLRTIQLLRGLTVGMGLTFSCAQQWRPIAEEALLKAGRLRDSKSKKKRSFLRRLFW >KQL03803 pep chromosome:Setaria_italica_v2.0:V:4665348:4668623:1 gene:SETIT_004306mg transcript:KQL03803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPGGNGEPPPLPSSHPPPLPSLIKLGRAITARHVDRLLAVLLRRRRHRLLAALASQALANAVAPTPRTHLLAASALLDSERPHEAAQRLALASCIASRGRRLWDALLRRACAGRGDPRHALELLSAAVEDQGAVLSPSTYRVMVVGLCARGEVDGALRVFDVMTRRGCQVDDRICSSIVSGFSRIGKAGAGLDFYKRVRRDFSGFEPGLVTLTSVVHSLGLEGSIGEMAELMREMECKGMDADAVFYGSMVHGYMSCGFLMEGLRGHQSMLDKGITADVVNYTTVIDGLCREGCVEKVMGFLDAMERRDAKPNLITYTSLVGGFCKRGRLEDAFSIVRKLERTGVVVDEYVYSILIDSLCKMGDLPRAFSLLTEMENKGIKAGIVTYNAIINGLCKAGDTEKAVEISEGVAADNFTYSTLLLGYISRDDVTGVMTIKGRLENSGISMDVVTCNVLIKALFMVNKVDDAWSLFHKMPEMGLRPNTITYNTMIHALCKVDKIDKALELFEEYKKDSSFSSSVVHDCLIKALCNQGKVEMAGQIFYDLAQKKLSPSFCNCKKLIHANFKELGEHGVLDFICKVGELDVDLFSSVCNYASTFLSNRNCCQAAMDAYKLLRMQAISVTSKTCYRLLQSLHRNGSEEVIQPLLCEFIKIHGMCEPRMINMLSCHLSKKSVSEAIWFSNYMDTVNVPISVLRGAVYTLKKQGEVLDACTFLKEAKQSGFSVDLAMYSIVVDGLCKGGYLEKALDLCESMKKEGLHPNIVIHNSVLNGLCQQGCFTEAFRLFDYLENSKMLPTMITYAILIGALCREGLLDDADQLFDKMSTKGIRPTTRVYNLLISGYCNFGLTEKALELMSHLEELFLLPDSFTLGAIISGLCLKGDTEAALCFFNEYRYKDIEPDFVGFMSLVKGLYAKGRMEESRGILREMFQCKEVVELINSVGDKIQAESLVDLLSSACDQGRIDEILTILNEVGHMLLSSSDSSSYNALAHLKKLQKADDAYDSISDSGQVSLVAYDVSRNSCHENSEAIDGDDSLSKASNDTDIDYRNLLGKSFSDDFDSYYTAIASLCSKGEVLKADKAVEVMIQNSG >KQL07486 pep chromosome:Setaria_italica_v2.0:V:39980437:39990728:-1 gene:SETIT_000315mg transcript:KQL07486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTESEVPDGPAMKHTIYIVTEPVMPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDANNEVSGSPMLQFEWLVGTQYKPMELTKSDWASIRKSPPWAIDSWGLGCLIYELFSGAKLARTEDLRNIASIPKSLLPDYQRLLNSTPSRRLNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASSLEFGSAAAPALTVLLKMGSWLPADQFSIKVLPTIVKLFASNDRAIRACLLQHIDQFGESLSAQTVDEQVFPHVATGFSDTTVSIRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEDPGIRTNTTILLGNIASHMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHQKLTTGDMMVAESTSVQLKPGNASLLGWAMSSITQKGKPSDHGSISTANASNSQVSATSAATPGTQASTVGHAPSTSSSLDHAAPASARSSVDGWGELDDGNIHEENGSDKEGWDDVDPFDDKPSPSLLSNIQAAQKRPVVQPKQAAATSAKSHQLKAPKSEDDPLWGPIAAAPPKSASKSADVKPSTSHNDEDDLWGTIAAPAPKSSGKPLKAAAANSDDIWGSIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >KQL07487 pep chromosome:Setaria_italica_v2.0:V:39980706:39990528:-1 gene:SETIT_000315mg transcript:KQL07487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFLKEVVAGSGSGLKDFPYTIGEPYASAWGSWTHHRGTSKDDGSPVSIFSLSGSNPQDRHLVAGRNGVKRLRTVRHPNILSFLHSTESEVPDGPAMKHTIYIVTEPVMPLSEKLKELNLGGTQRDEYFAWGLHQISKAVSFLNNDCKLVHGNVCLASVVVTQTLDWKLHAFDVLSEFDANNEVSGSPMLQFEWLVGTQYKPMELTKSDWASIRKSPPWAIDSWGLGCLIYELFSGAKLARTEDLRNIASIPKSLLPDYQRLLNSTPSRRLNPSKLIDNSEFFQNKLVETIQFMEVLNLKDSVEKDSFFRKLPNIAEQLPREIVLKKLLPVLASSLEFGSAAAPALTVLLKMGSWLPADQFSIKVLPTIVKLFASNDRAIRACLLQHIDQFGESLSAQTVDEQVFPHVATGFSDTTVSIRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEDPGIRTNTTILLGNIASHMNDGTRKRVLINAFTVRALRDTFPPARAAGIMALSVTSSYYEMTEIATRILPNVVVLTFDPDSDVRTKAFQATDQFLQIAKQHHQKLTTGDMMVAESTSVQLKPGNASLLGWAMSSITQKGKPSDHGSISTANASNSQVSATSAATPGIGTQASTVGHAPSTSSSLDHAAPASARSSVDGWGELDDGNIHEENGSDKEGWDDVDPFDDKPSPSLLSNIQAAQKRPVVQPKQAAATSAKSHQLKAPKSEDDPLWGPIAAAPPKSASKSADVKPSTSHNDEDDLWGTIAAPAPKSSGKPLKAAAANSDDIWGSIAAPPPSTKARPLASSGRGRGTKPAQPKLGAQRIGRTSSTGM >KQL08712 pep chromosome:Setaria_italica_v2.0:V:46810357:46810626:1 gene:SETIT_004446mg transcript:KQL08712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMDCALHVLLRVMMRRSICRLQEVVDMAVDIGTALFVAVRVSGLVSRRRLPSSSSRVPSISASTTTTYYYSPPAAASLIGMSRIDRH >KQL06697 pep chromosome:Setaria_italica_v2.0:V:35067069:35070627:-1 gene:SETIT_000108mg transcript:KQL06697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRFPPGAGAAAGDHRGGDPGDAALARDIVTLHKALSLDPSTRRRRSLPLPSPSPAATEQPRHKPRLKPSFSSSSSRRLLPSSAATNSSAASTSSSSSSSSSFWKKSLTAISHLGRRRLDCAFALHVHSIDGLPAALDGSAVTVQFRRMSLFASTRPVAAALGAAAFEEALTLRSPVYFSRGAKTAVKYEPRAFSVAVSASTLELGKHEVDLTRLLPLSFDDLEDGGDSGFGKWSTSFRLSGPARGARLNVTFSCSLVGSGGAGEQQKPGEVAGLRRGSMARPVSVQAPTPVPARSRDVRVLHEVLPSLRSARALPFDGDGGVDARKEEVAALDSTEEGSPEAKHCTSVEVKKEDLVHPEGHWGAAEFNVVEHGVEVEVASGDPQRPKHVETSNAADQEEDLGFKIDDEGSFKPALVSNDIAEDQTAEVMLEEAASDVAVQRENAEDKHDGIVKAASLPIASLEAENQFGRDAELEDLECMFNDLSIAEPEEFESPVVEDKCSRRLSCTGMTDSYRSASRKGRSRSMDASSDSVATEFLDMLGIEHSPFGQPSDSDSESPRERLWKQFEKEALASGNAILGLDFDDGMEEPTCEDVVEDFDLSAMIHEAELELQNGSQPIDTRFRAKSLEDEETEALMRQFGLNEKSFQSSPPESRSGFGSPIDLPPEQPPELPPLAEGLGPFIQTKDGGFLRSMNPTLFKNAKNNCSLVMQASSPIVLPAEMGAGIMDILHGLASVGIEKLSMQANKLMPLEDVNGKMMQQIAWESAPPLESAERYDLLNNHSIDALVGGVGNATSGRKKKGRCADLSSSLGGENASEYVSLEDLAPLAMEKIEALSIEGLRIQSGMSEEEAPSNISAKPIGEFSSLQGKSAENTRSLGLEGTAGLQLLDVKQSGEEVDGLMGLSITLDEWMRLDSGVVDEEEQHSDRTSKILAAHHAKSMELVAEKWNGDKKSKRSGRRWGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYSTVSYKGNSEKYDEEPKTEEVPNKALVVEQKVEELEDSIPQFKVTEVHVAGFKSEPEKTKPWGNQTQQQSGSRWLLAAGMGKGNKHPLMKSKAIAKPSQEAAGRPGDTLWSISSRVHGAGTRWGELAGAKNHSRNPNILLQKDKRFR >KQL03737 pep chromosome:Setaria_italica_v2.0:V:4368962:4369069:-1 gene:SETIT_0004548mg transcript:KQL03737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTITLTKEEGHTEVQHNEYCYAGGLVEYVKWLNTDK >KQL07289 pep chromosome:Setaria_italica_v2.0:V:38943500:38947969:1 gene:SETIT_000488mg transcript:KQL07289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNTFPAVPSINDEKNLSNHSQELHAKNGHGESHGAGASRINEVDSSILIGNKKAREESLGGGRDGPQPKKRSLHRLTSQQLEILEGFFSVCAHPDENQRRQLAIATGLALHQVKFWFQNKRTQVKHLSGREENYRLKVENEMLREENNRFKLAHSNALCPRCTTDPGQLQILKELERLKVHNQVLQQELQIRINNETSMRTSPTRLFHLESSSENVFVVQDDVQALTEVANSATHELLILGDSDCPLWMAVPGGSFEVLNKMAYTQTFPGQISVGAIGFKTEATRANAVVMLGSKSLVEYLMDAERYGTFFLGLMSGATTTKVYNWPKNSEEGYEGAMQLLTVELVFPSPLVPARKCTFLRYCKRLEHGAIAVVDVSLDGGAKCRKMPSGILIQPMRHNSCKVTVIEHVRVDGGTHNLFQPSLSGLLFGARRWVMSMARQCARLRDVFHVTNCALNVTARGRKAVMKLADNLLADYTSSISGLPVDAWSVQCGEGTEEDVRIVYRRNDDTSNTAVVCASATFLLELPMRRVFDLLKNNLLRIKWDVLVNGGCVKEEVRVANGVGSEDAVSILHVKHGSGTNKEIMMILQNSCYDASGSFMVYSSLDKNVMEMITSPGGEHAINNISLFPAGFSLVPLPDPANLGAPMGEAGRTMMTAGFQILMKLARGTGLCPRSVSSAIKIMSENIATIKDTLLNSHPVFYKRFPSTN >KQL04386 pep chromosome:Setaria_italica_v2.0:V:8661057:8661704:-1 gene:SETIT_003811mg transcript:KQL04386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLDVSSQGEDSSRISMEIENLRFQLAMKKKELQYSQENKKLRAELALRAKDMEHLIKENEELKAQNEENKKLRADLALKAKDMENLIKENEKLKAENEVLLSKIWYFKFQVTPPRITNARSLHFRSCNEHVFHDYRNCPKRRQAASSPEEEDGEDSLY >KQL03995 pep chromosome:Setaria_italica_v2.0:V:5785323:5785535:-1 gene:SETIT_005155mg transcript:KQL03995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWGPVLIAVLFFVLLTPGLICQIPGSGGRLPEFHSMRTSGMAIFVHTLLFFGFCAIFMVAVGVHLYAD >KQL04990 pep chromosome:Setaria_italica_v2.0:V:13877196:13886587:-1 gene:SETIT_000166mg transcript:KQL04990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPHASAAAAAATPALRFPHPLSATGLASPRHAGGCGGFRVQFHPTRRGWGIQGWREGGSHVARVGGLLGGMFGGGGRDDGEATRKKYADTVARINSMEPEVSALSDADLRARTAALQERARSGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYSCDITYVTNSELGFDYLRDNLAMTIDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFERDIHYTVDEKQRNVLLTEQGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLKDVNYIVRSKEVLIVDEFTGRVMVGRRWSDGLHQAIEAKEGVTIQNETITLASISYQNFFLQFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDDSDVVFRATNGKWRAVLVEISRMNKVGRPVLVGTTSVEQSESLSEQLREAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVNPMDGVIVSKKQMPPRKTWKTNESLFPCELSKETSSSVKDAVEVAVKEWGEKSLTELEAEERLSYSCEKGPTRDDVIANLRNAFMKISDEYKVYTEEEKKKVITAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILDANIGRDTPKENWDLSKLIAKLQQYCYLLDDLTPELLESKSSSYEDLQEYLRKRGREAYFQKAVSCLCFGFHQKCCHMVERSSED >KQL04989 pep chromosome:Setaria_italica_v2.0:V:13875445:13886587:-1 gene:SETIT_000166mg transcript:KQL04989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPHASAAAAAATPALRFPHPLSATGLASPRHAGGCGGFRVQFHPTRRGWGIQGWREGGSHVARVGGLLGGMFGGGGRDDGEATRKKYADTVARINSMEPEVSALSDADLRARTAALQERARSGESLDSLLPEAFAVVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMKTGEGKTLVAILPAYLNALSGKGVHVVTVNDYLARRDCEWVGQVPRFLGLQVGLIQQNMTPEQRRENYSCDITYVTNSELGFDYLRDNLAMTIDELVLRNFNYCVIDEVDSILIDEARTPLIISGLAEKPSDRYYKAAKIAEAFERDIHYTVDEKQRNVLLTEQGYADAEEILDINDLYDPREQWASYVLNAIKAKELFLKDVNYIVRSKEVLIVDEFTGRVMVGRRWSDGLHQAIEAKEGVTIQNETITLASISYQNFFLQFPKLCGMTGTAATESQEFESIYKLKVTVVPTNKPMIRKDDSDVVFRATNGKWRAVLVEISRMNKVGRPVLVGTTSVEQSESLSEQLREAGIPHEVLNAKPENVEREAEIVAQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVNPMDGVIVSKKQMPPRKTWKTNESLFPCELSKETSSSVKDAVEVAVKEWGEKSLTELEAEERLSYSCEKGPTRDDVIANLRNAFMKISDEYKVYTEEEKKKVITAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGLMQAFRVEDLPIESKMLTRALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYAERRRALASDSLESLIVEYAELTMDDILDANIGRDTPKENWDLSKLIAKLQQYCYLLDDLTPELLESKSSSYEDLQEYLRKRGREAYFQKAEIVEKQAPGLMKEAERFLILSNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLDMMAQIRRNVIYSVYQFKPVVKNQEEEKPQNKGSKKKLDKGANKLGAAQAAS >KQL04569 pep chromosome:Setaria_italica_v2.0:V:9936299:9939901:1 gene:SETIT_001178mg transcript:KQL04569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVGGGGGRRRRAWRWAMRAAASAVLWTAVVQLASIAGLFRPRVLADCGGGGGGAGGGGGAAAGLAALAGEDSVAARLSPPALVPKRIYKSNGYLRVTCNGGLNQMRAGICDMVTIARHLNLTLVVPELDKRSFWADPSDFGDIFDVDHFINSLRDELMIVKELPLKLQLRTKKRLYSMPPVSWSNETYYLKRILPLAMKHKVIHFEKSDARLANNGLPVQLQMLRCRVNFEALRFTPQIEALGRKLISTLQRSGKFVVLHLRYEMDMLSFSGCTHGCSSKETEELTRMRYAYPWWKEKEIDSEAKRLQGLCPLTPEEITLVLKALGFTKDTLIYIASGEIYGGERRLAALKTAYPKLVRKEKILSPDELRPFQNHSTQMAALDYMVSLASDIFIPSYDGNMARVVEGHRRHMGFRKTIVLDRKKLVELLDLFQGGALSWDEFSDAVKEAHKSRMGQPTERKIMPGQPKEEDYFYANPQECLGSNGGLRDIS >KQL07138 pep chromosome:Setaria_italica_v2.0:V:37857915:37862199:-1 gene:SETIT_000635mg transcript:KQL07138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTARILRRKLGKKKILEAGISDDGGRPSDGTARNTGHVQNQCSIGPIHGPFGSDFRAQLAHVLTRACTHTFERKGHAQRHAHVVQRIPVFSRKRFLCGWVTDMCGRAHAPPLRLVGSDKRSPHFLTSMMLPLRLLLLLVIGLLPAAAWALAPPRFPGPQPRARPGANGMGGYEYETRYFRQRLDHFSFPGVGDEDEETAFFQQRYLVGRGAGWAGPGGPIFFYCGNEGDIAWFAANSGLVWEAAPRFAALVVFAEHRYYGESMPFGSKAKAYNNSKSLAYLTAEQAIADFAVLLTDLKRNLSAEGSPVVLFGGSYGGMLAAWMRLKYPHIAVGALASSAPILQFEDIVPSTIFYDLVSDDFRRESLSCFQTIKDSWKVLDDQGNGQDGLLKLSKTFHLCQTLKTSGDLSDWLSSAYSYLAMVDYPIPSEFLMPLPANPIKEVCRNIDSQPEGTSILERIYAGVNVYYNYTGTVGCFDLNDDPHGMGGWDWQACTEMVMPMSYSEDRSMYPPYKFDYASYAENCIKSYGVRPRPRWITTNFGGHNITTVLEKFGSNIIFFNGLLDPWSGGGVLKNISESVIAIVAPLGAHHIDLRPATSDDPDWLVSLRESELKIISGWLSDYYGARGALFQPVAVKGSSAS >KQL04908 pep chromosome:Setaria_italica_v2.0:V:12947649:12949551:-1 gene:SETIT_001420mg transcript:KQL04908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKPTILMNRYELGRYLGQGTFAKVYHARNLASNQSVAIKVINKEKVLRVGMIDQIKREISIMRLVRHPNIVQLHEVMASKSKIYFAMEYVRGGELFARVARGRLKEDAARKYFHQLIGAVDFCHSRGVYHRDLKPENLLVDDNGNLKVSDFGLSALQECQKQDGLLHTTCGTPAYVAPEIINKKGYDGAKADIWSCGVILFVLLAGYLPFHDANLMEMYRKISRGDVKYPQWFSSDLRRFMSRLLDPNPNTRITIEKLVEYPWFKKGYKPAVMLAQSQGSNSLKDVQVAFSTDHKYNEANKVEQPDSPLKPTSLNAFDIISHSKGFDLSGLFGDREQKANSRFMTQKPASAIVSKLEQIAETERFMVKKQDGLVTLQGSKEGRKGQLAINAEIFEVTPAFYVVEVKKSAGDTLEYERFCNKDLRPSLRDICWSSQSEEKLPSMTESSH >KQL05094 pep chromosome:Setaria_italica_v2.0:V:15794733:15795037:1 gene:SETIT_004592mg transcript:KQL05094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHHTHASRGGVGGLGLAAAVPALLDRATNRPPMTSRIPTSCGSFAITSDWGFGVANFSRGFDFGVQSVAFSILLCVSSAENPYVIY >KQL07259 pep chromosome:Setaria_italica_v2.0:V:38720152:38723124:-1 gene:SETIT_002601mg transcript:KQL07259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPSSGADAPPAPAPVRLSAAAQAAAIQPSSPRFFFSSLAGTNPASPHRRIAIAVDLSDESAFAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVTDEADAAEDAAAAAQGGPTEEELQKKREEDYDAFTSTKAQDLAQPLVDAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGAHRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDAAGAGGDAAGAIDELHTVPEDEPVYHDAPEVQKAEN >KQL07260 pep chromosome:Setaria_italica_v2.0:V:38719602:38723372:-1 gene:SETIT_002601mg transcript:KQL07260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNPSSGADAPPAPAPVRLSAAAQAAAIQPSSPRFFFSSLAGTNPASPHRRIAIAVDLSDESAFAVRWAVQNYLRPGDAVVLLHVRPTSVLYGADWGSIPVSVTDEADAAEDAAAAAQGGPTEEELQKKREEDYDAFTSTKAQDLAQPLVDAQIPFKIHVVKDHDMKERLCLEAERLGLSAMIMGSRGFGAHRKGGKGRLGSVSDYCVHHCVCPVVVVRYPDDAAGAGGDAAGAIDELHTVPEDEPVYHDAPEVQKEN >KQL08815 pep chromosome:Setaria_italica_v2.0:V:47206219:47207168:-1 gene:SETIT_002983mg transcript:KQL08815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEVEVETDPNTSPDEATASGEPKACADCHTTKTPLWRGGPEGPKSLCNACGIRYRKRRRQALSLDAAQQQDQQPSKKAAADPQQDDQQPQPKKKPAADSQEDQQPQPKKKPAADSQEDQQLQPKKPAAAASSTTTNKKDKKKKKDRQVTVELRVVGFGKEVMLKQRRQMRRKKCMSEEERAAVLLMAMSSGVIYAS >KQL07070 pep chromosome:Setaria_italica_v2.0:V:37491888:37495850:-1 gene:SETIT_001185mg transcript:KQL07070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATPPLQAEASPSPSLQAGRPAPSAAAAAKRGPAGAGGLLMGKYELGRLLGHGTFAKVYLARHVGSGESVAIKVLDKEKAVKSGLVSHIKREIAVLRRVRHPNIVHLFEVMATKTKIYFVMELVRGGELFSRVSKGRLREDTARRYFQQLVSAVAFCHARGVFHRDLKPENLLVGEDGNLKVSDFGLSAVADQFRPDGLLHTFCGTPAYVAPEVLGRRGYDGAKADVWSCGVILFVLMAGYLPFHDKNLMAMYKKIYKGEFRCARWFSKDLTSLLMRILDTNPNTRITLPEIMESRWFKKGFKPVKFYIEDDKLHSVIDDEDGLLDMGPADPVPHSLPSPPRPLPPQKVDGDDSGSESDSSISSCPASVLSDESQRSRLFEEKGDEVRFVSAEPMSDILMKLEEIAKLKSFKLRRKDWRICLEGTREGVKGPLTIGAEIFELTPPLVMVEVKKKAGDNEEYEDFCNKELKPGMQHLVHHMVRTPSMPTDAK >KQL04536 pep chromosome:Setaria_italica_v2.0:V:9598245:9600242:-1 gene:SETIT_001144mg transcript:KQL04536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNFAPDAWAWITSLPQFSQWRTNAMSLCICTTPSASSQPTMNLSVVKNLPIPQPSYVTFSIFANYSVPISLWTSKPVHLKTKTQQTLDEQDTIQVFVDVVNSVLRYGPDKKSSFRFPGAQPHGNFKDVFNIVFLSLAFLVCIYEAPRDLRPGCLDSLRAQLTGSKCRDAAKNLVKMLGANLEDQWMQTMNLAVTNWIIELRSSNHSFGVPSPLFSHALSANGLWKVQLYCPVIAMGMEEPAEATQDERLLFSLVYQQVESVIQLAYRTVRRDNWIDVEVKVDNIRCDVDSLVSETLMAERGYGSEEKHFPSRVMLQITPMQQSDVLSVSVGKSNDNPTHEFGIEKGFEGSFDPPNSFGLKASVTESLTLAIRPWKFEQSVHGNTATLNWFLHDGVNGREVYSSKPSKLSLLQPRAWFRDRYSNAYRPFTKQGGVIFARDEYGDSVWWKICGATLGKTMNWEIRGWIWLTYWPNKQRTFHSETRWLEFRECLQLPLTNLS >KQL08233 pep chromosome:Setaria_italica_v2.0:V:44335016:44335508:-1 gene:SETIT_003653mg transcript:KQL08233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRHKIRYVSEKFARMKMVSSRENRKADKHNTCCWVCQNKTVFFMVQHGLGSITVGSSIVYSTC >KQL08748 pep chromosome:Setaria_italica_v2.0:V:46933596:46934248:-1 gene:SETIT_005233mg transcript:KQL08748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICRRTCQERPVAAPDWRRWVMSSLGTMMAEEETLPLAPASGGTRKGGRWGRSRDLASSQVPK >KQL07582 pep chromosome:Setaria_italica_v2.0:V:40652170:40656120:-1 gene:SETIT_002329mg transcript:KQL07582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEVYSPTAAAAAQQQQRGKVASQAWRTVVGWIGFLLQVLLQILRGTPSCAQLLSFVGFRYPLLSGPAASEPSPEVAFMPLRSEIPADVAPAPAAPPEPLGRLTVVLDLDETLVSAYESSSLPVIVRTQAVEAGLHCFDMECISSDKDVDGKQKVNHVTVFERPGLHEFLQKTSEFADLILFTAGLEGYARPLVDRIDVHNRFRLRLYRPSTVTTEFREHVKDLSCVSKDFCRIVIVDNNPFSFILQPLNGIPCVPFSAGQHSDDQLMTVIFPLLKHLSLQKDVRPVLHERFHMPEWFQKHGIPQTNQAV >KQL04890 pep chromosome:Setaria_italica_v2.0:V:12845087:12849924:1 gene:SETIT_001051mg transcript:KQL04890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAVDQSLLDLLPQIHALFSDPLRVISYKWLSRNFSVSSNDAKRLLQEFVDKNGADLQVIYSVSGWLKNNPQNYCVKLTSGPKLEEARQAFKDSCSVQVYSIQASIPKDTAVLWNPEFVQAEELFNQPFDEENCLRDNRFCGVFNSFVKRTSSGKHVSSLPPKPVNGAAVAAQSKPSVTPKEQSVTARQQDLPGVSSLKQGAGNKSEKDSFTVLDKAANAPVSKEPSIVAHANKNKAQNGKAMPGNGGSLANMWGRASAKPKPPATTNPAAVASVAATADAQICAKEEADADSSDDEQGLKYRRGSGNASNRKRRAVFDFSDDEEDDNIVSIASPELPKPQAPDPVTQTAEDTEADQKNMENKEDIPSNVKGCSRGLDFELTSECKSKSVNTINYSGITLKEKSSDPPINDNKQDSTAEPASTSPKRRKVLKTRIDERGREVTEVVWEGEASAGDKTEKNANTTTASRAAPSSKPQPAANADKSKAPSKAAGSKKPAKAGTGTKQGSIMSFFKKA >KQL04941 pep chromosome:Setaria_italica_v2.0:V:13222643:13234189:1 gene:SETIT_000508mg transcript:KQL04941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFWKPGSEKPSTLLVDDEEGGVVFLPSSTSSASSSGFGYASLERQRQRLPVYRYRKAILYLVERHATTIVVGETGSGKSTQIPQYLKEAGWADGGRLIGCTQPRRLAVQTVASRVAEEVGVKLGEEVGYTIRFEDQTNPGMTMIKFLTDGVLIREMMEDPLLTKYSVIMVDEAHERSISTDMLLGLLKKIQRRRPELRLIISSATIEARSMSTFFNIRRKNSLLGSSDDLPNPEPAILSVEGKGYTVEIHYVEEPVSDYLQAAVNTVLLIHEKEPPGDILVFLTGQDDIDAAVKLLNEEIQQLGRHYLDLLILPLYSGLPRGDQDLIFAPTSKGKRKVVLSTNIAETSLTLEGVVYVVDSGFSKQKCYNPISDIESLVVAPISKASARQRAGRAGRVRPGKCFRLYTEEYYLNEMQSEGIPEMQRSNLVSCIIQLKALGIDNILGFDWPASPSPEAMIRALEVLFSLGILDEDAKLTVPIGFQVAEIPLDPMISKMILSANDFGCSDEILTIASFLSVQSVWVSVRGVKKEFDEVKLRFAAAEGDHVTFLNIYKGFHQSGKSSQWCYKNFLNYQALKKVVDIRGQLLRIVKSFGIPLKSCDRDMQAVRKAIIAGSFANACHLEEYSQNGMYKTIRTSQEVYIHPSSVLFRVNPKWVVYQSLVSTDKHYMRNVIAIEPSWLTEAAPHFYQFRTPNPALH >KQL05454 pep chromosome:Setaria_italica_v2.0:V:24655272:24658249:1 gene:SETIT_002134mg transcript:KQL05454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAMAALALVPLAVAVLFSSLPSSAASPVQWGKLDPHFYDHSCPQAQQIVASIVGKAHYQDPRMAASLLRLHFHDCFVKGCDASLLLDSSGSIVSEKRSNPNRDSARGFEVIDEIKAALEAACPGTVSCADILALSARDSTVMTGGPGWIVPLGRRDSRGASVQGSNNDIPAPNNTLPTIITKFKLQGLDIVDLVALLGSHTIGDSRCTSFRQRLYNQTGNGLPDFTLDASYAAALRPRCPRSGGDQNLFFLDPVTPFKFDNQYYKNILANRGLLASDEVLLTGSSATADLVKLYAANQDIFFQHFAQSMVKMGNISPLTGADGEIRKHCRRVNHK >KQL06223 pep chromosome:Setaria_italica_v2.0:V:31836332:31837895:1 gene:SETIT_004835mg transcript:KQL06223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGNSLPSQSCPDGPKRRVCYYYDRGIASVDYGEDHVMVPRRVDMAHALIRSYGLLGDMARLRTRPATADEISGFHDEGYVGLLRDVTPEGFAAGGEMTHAAKGFNVGAFAKDDRSVDNPPIAGLWDYCRRYAGGSLAAARALVSGEAEIAINWSGGLHHACRERASGFCYVNDIVLAIHELLGRFRRVLYVDIDRTKNFFPGREGARGARRVGDGQYRAVNVPLNEGMDDQGYHGLFRPIMAKVMEVFQPEAIVMQCGGDSLSGDRLGNQNLSIAGHAQCVRFMRSYNLPLLLLGGGGYTVNHVAACWCYETAVAIGKEIDDAIPVHCYDSYYRSQGYKLHYPVAKGIKNDNTDFHVTRTMSIVMRNLTHLEAAPSVGFVDPAGGMSIDAEALFCRAPPREDDDPMERLHRLCGEIDERSFLMELGKRHLDLAKDKDGDQLQPHRDRSEPVKRQRSEKLYCKY >KQL04225 pep chromosome:Setaria_italica_v2.0:V:7383193:7385044:-1 gene:SETIT_002462mg transcript:KQL04225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAATLPLLLLLLLAAALPAPSHGWGVDGHLMVCQIAQGRLSGAAAAAVRDLLPSYAGNNLSSLCSWADDVKFRYPWSSPLHYIDTPDGLCNYSYDRDCKDEDGVKGRCVAGAINNYTTQLLTYGRSSAPQYNLTQALLFLSHFIGDIHQPLHVGFTSDRGGNTIDVHWYTRKTVLHHVWDANIIQTAEDDFYGDGVADYVDTLTKNITGEWSEQVPSWEECGKNQTACPDIYASESITAACDWAYKGVDQDSTLEDAYFSTRLPVVNLRLAQGGVRLAATLNRIFG >KQL04226 pep chromosome:Setaria_italica_v2.0:V:7383593:7385044:-1 gene:SETIT_002462mg transcript:KQL04226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAATLPLLLLLLLAAALPAPSHGWGVDGHLMVCQIAQGRLSGAAAAAVRDLLPSYAGNNLSSLCSWADDVKFRYPWSSPLHYIDTPDGLCNYSYDRDCKDEDGVKGRCVAGAINNYTTQLLTYGRSSAPQYNLTQALLFLSHFIGDIHQPLHVGFTSDRGGNTIDVHWYTRKTVLHHVWDANIIQTAEDDFYGDGVADYVDTLTKNITGEWSEQVPSWEECGKNQTACPDMYVCLSSNSEFTWVFSLFLYAVATA >KQL05726 pep chromosome:Setaria_italica_v2.0:V:27251531:27253167:-1 gene:SETIT_005084mg transcript:KQL05726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFFSRSLACLPFPAVTVDGTLSERPTTAWTASAPSPTTSAGVSSPASPSRTPCAPRRSPPAGAASGIPRRSSSTTRTSTPPIPGASPPSTASWRATQVRSTPQPWPTDLVRLPADILRCAELEHLYLGCWRFPDTADLPDGTAVFPCLRELAMVYTFFEDRDLDHMLASSPVLETLALFVSFGKAKHVLLRGQKLKCALVLETMAFELAVVDAPLLERLIMCLGTLELGVHMLQIWKRVIKADTNVSPRSMVPSVKILALKSARAGEPTGNNYIELFKELDPIECVQSHIKMMVTFMKYITQRANEIKKIMLVISDNRRATVGEMIYVVKTLTIPPWASETCTVLLMAPKEKAGLDFHSRASDLSVEDPFLEHGQELFRFIKE >KQL08507 pep chromosome:Setaria_italica_v2.0:V:45753341:45754193:1 gene:SETIT_004790mg transcript:KQL08507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCGDRPGSAEEVRSFEEYDRPLLDAVAMLAPGEKAVLVGHSFGGQILALAMESPSRGDPQHPVETIRYGPRYLEQRLYQLSPPEDLTLAKAATRPSRRFLNDATMNGAILTAERYGAVRRVCVVAEDDAMLSAEFQRRMASWNPGTEVRGLPGADHMPMLSKPEELSEMLMEVADKYR >KQL05101 pep chromosome:Setaria_italica_v2.0:V:15965611:15969113:1 gene:SETIT_005142mg transcript:KQL05101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTTPSATTEVFPVMLTAQSPESTQVRCSAPLAMLMTEGTAARSLPTTSQCPAPVLSASSAVIPGRLAAVTPLQHVSPETMATPHPERTFLHPGKAVREKISMRCECKAFVKIKWNIKKDYWFFERIRLEHNHPLHPLTTVTQFLRIQKDKDPIGMDIVDQMHRCDASHNTIVNMLVELDGSRQNFTFTKMEFKNRKAATAREERENALLQDEQANTSECLFGAFKNCMSGSRDPRCILTDQDSSMAAAIKEVFRQTQHRLCRWHMLRKYKEELKKLYKIHDEFESTWNELVDEYGIQEDDTIQGLWKSRKLWVVAYLKPLYCGFTKNGFIGHLTCISKFAHRMLNFIQHTNHTAAGELHWSQAGNLWLTLQPFDGHLSRVYTRAVYKKYRETYIYSTAFRIDPYPNEVDVYMVMHTDQSWQYAWFQHSFRVEADVRSEYILKRYTRSARTMVMWERHDIVTSGPGYESDQYKTKKLIEIAMAAMRACRKISLGFEKGCAIQISECAPREARTKGRKRGGKQVVNNHASSNKAQGQWTCGYCGSLGHYSTGCDVNPDNVNKKRGASGSLRGKMGRERGRPPTKRQLEDEFDDVA >KQL04957 pep chromosome:Setaria_italica_v2.0:V:13390285:13393357:1 gene:SETIT_004931mg transcript:KQL04957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRAAVLIVFLLSVARHGCSQIYNAIYSFGDSISDTGNLCTGSGGCPSWLTTGQPPYGNTHFGRPTGRCTDGRVVVDFLAEHFRLPLLPPSKASGGDFKKGANMAIIGATTMDFDFFQSHGLGNSIWNNGPLGTQIQWFQQLMPSICGSDCKSYLNNSLFIVGEFGGNDYNAPLFGGKGMAEVRTYVPQIIDKITNSLEALIELGAVDVVVPGVLPIGCFPLYLTLYPSSSKDDYDEIGCLKSFNNLSSYHNDLLKQAVSGLRSKHAGVRLMYADFYAQVADMVRSPETFGLKYGLRVCCGAGGQGSYNYNNRARCGMTGASACADPEKHLVWDGIHLTDAAYHAVADGWLNGTYCTPGILR >KQL06522 pep chromosome:Setaria_italica_v2.0:V:33950906:33953131:-1 gene:SETIT_000605mg transcript:KQL06522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVPGRSSSVRATRSIFGESIGGSGRKLEKDRARDVLGLENLSPEIKQLAKSSMDRLNERKAAVDKERTGAESELSRARAVAKELERQIEQTKARATSKRSEAQAMRATGASKKGTDAPGSQEERDAAEIEEVARELDRAKQELRRLRLDVRSAAEAKAKAESDIVASAINIQLNLRAADEMKRLVEEANEEHVLVELARIEAEREHREIDAQRRAEAERFAGEVAAARAKVEALREEVGRAREMEEKLAATNADVEVLQFEMELVRAMERNNNAKSGDVAEAEARRKKEEAQDMALLQAAEAELDAAKKELESIKAGGFQFMTSMDSARTEIMRVSEEVGRLQAQEKKADAQVQKLNAKLLKARSRLEALTATNERSKAIVSSLTSALQQLQAEKEAARKEEELTEIERRCVRAETENANAEIAVTEARIRQSVKELEAAKAAEVGAMKKLKAAVEGTMQARASQGSGRITISRFEYEYLSGRAALVRVVADKKVSAAQAWVQALKAGEKELEARAEAAERVTAEMRAREAEAAAAAESAAGEQKALEQELYDLNAAAEAESAVGEQKALEQELYDLNAAAERDGLLCAYPRRRSSRVSATRRRARARRSSVSSAAGIRNPRSPSFTIKRKKKVMPSLFKLIKQRKEKRAS >KQL06608 pep chromosome:Setaria_italica_v2.0:V:34605587:34607256:-1 gene:SETIT_001607mg transcript:KQL06608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGVRAHDLKHRRHQDDGCEPDAASLAAAYLYGDVLESVVERVPAPDLAVAARVSREWLRAVRAALRRRPRRLPWLVAHVQGRGGSRRAAAYDPCSGAWLAVPAPPRHATPSHVRLVRGARGDRVCALSLSGLAVAGDPLGAAACVAVAAPRVWRVDPVFAAVGDRVVALGGACRLALAEGEDEAAVEVHEGGGWTACDPMPDALRDSASATWLSAAATDQRVYLVERATGWASWFDPAKRRWGPTRRLGPDPAVTTWGVAPGRAGADERLVLFGANRADKEAECTVVVQAWEVDGETLEPIPSASSDAMPPELSERLFPRDDTDDEDDLDDIDPERLSIGVCGNAAGGYVYNAAEPSHGAVLYELREEEGKATAVARWEWVPCAPAVQAEPLGRAILACSPVGLDELAAAVGARAPR >KQL04499 pep chromosome:Setaria_italica_v2.0:V:9405052:9405841:-1 gene:SETIT_003369mg transcript:KQL04499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINELPTVFEVVSGARQSKERSSMDNGGRAKPSVKIENNEKATDEAYGEDDSDHGETLCGTCGGIYSADEFWIGCDICERWYHGKCVKITPAKAESIKHYKCPSCSSKRARQ >KQL04498 pep chromosome:Setaria_italica_v2.0:V:9405396:9405824:-1 gene:SETIT_003369mg transcript:KQL04498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINELPTVFEVVSGARQSKERSSMDNGGRAKPSVKQTHDPQIENNEKATDEAYGEDDSDHGETLCGTCGGIYSADEFWIGCDICERWYHGKCVKITPAKAESIKHYKCPSCSSKRARQ >KQL07977 pep chromosome:Setaria_italica_v2.0:V:42915472:42916645:1 gene:SETIT_004241mg transcript:KQL07977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHVREMGEERKRSSLKRRLMMRLRKDGYDASLCRSSWVATTEHPGGDYEYIDVAVAGEDGGGAGAATTSGSSRLVVDIDFRAQFQLARPAPWYAHLWSRLPAVFVGPRARLRKAVSLLCAAAQRSLRESGLHVPPWRRSGYMQAKWLPRDVALPGGAPEVAMARWSVAMGKELGDGPRRSGAGGLSMELSGSGADVDGCRGQAGSMWA >KQL04570 pep chromosome:Setaria_italica_v2.0:V:9940451:9942650:-1 gene:SETIT_002163mg transcript:KQL04570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGVVSLLDAAFRRAFTSAGLRPGSAAVDADTTVHFWAHRSLLLPSSTAAATTDQQRPVVVLIHGFGPGPTWQWAAQVGPLSRHFDLVVPTLLFFGASRTRAPARSEASQAAAVAALLTGGRHLPGLVGPGRPALHVVGASYGGIVAYHLTRALLQHGGGVTVGKVVLCDSDVTKGPEDDRALAARGGVEEVTELMVPADTKVMRRLTALSFHRPPKYMPECIARDLLRKSLEDRREEKIELIKGMTTAEGSQLTPLPLDVLIIWGEFDQIFPLEKAYKVKEKLGEKATVKLIPNSGHLPAQEEPKLFNPVLLEFLLQPSNSNGSATVATGVV >KQL04180 pep chromosome:Setaria_italica_v2.0:V:7052494:7052664:-1 gene:SETIT_004806mg transcript:KQL04180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPISLPTTPAGLRHGACHGPALPARRRSPAAPTTRCAAFRRSASGGRGQYGGAL >KQL05979 pep chromosome:Setaria_italica_v2.0:V:29695529:29699155:-1 gene:SETIT_001001mg transcript:KQL05979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRALLLLREAPPWAVAGAAAAVALLWLAAWTLEWAWWTPRRLDRALRAQGLKGTRYRLFTGDVRENARLNREARTKPLPLGCHDIIPRVLPMLHKVVKENGTVSFTWFGPTPRVMIPDPESVKEVLSNKFGHFGKQQFSRAGKLLGDGVANHEGEKWAKHRRILNPAFHHEKIKKMLPVFATCCVDVINRWENSMSSEGSSEIDIWPEFQNLTGDVISRTAFGSSYQEGRRIFQLQGELAERLIQSFQAIFIPGYWFLPTKNNRRMREINREIHKILREIIGKREKAMKNGETNNDDLLGLLLESNMRQSNGNAKLGLTTEHVIEECKLFYFAGMETTSVLLTWTLVVLSMHPEWQEQAREEVLNHFGRARPDFESLNRLKIVTMILYEVLRLYPPVVLLSRRTYKGMELGGIKYPAGVNLLLPIIFIHHDPNIWGKDAGMFNPERFADGISNATKHQAAFFPFGGGPRICIGQNFALLEAKMALCTILQRFSFELSPSYTHAPYTVITLHPQHGAPIRLKKL >KQL07179 pep chromosome:Setaria_italica_v2.0:V:38144972:38150559:1 gene:SETIT_000865mg transcript:KQL07179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKSRLQELCQRRRWAPPVYEHTREGPDHVPLFRATVVVHDEKFSSPDEGARSAKEAYNLAAMAAFEHLTALPAEAPVPVPAAPAPPQPETQIPYKSRLQIYAQKRGKQLPSYRTIYGGSLHAALFKSEVTIDGQTFESPEYCRTLKEAETAAAEVALMSLPKEASPPLQSLVPSVSYKNLLQELAQKEGFPLPVYATTSDVSNHSAAFISTVEIQGTTFQGEPGNTKKQAEMNAARVAFQHFKDRDKGSACSAVPGGSCMQQGTKNLFSGQNIKILSSKQQGTENLFSGQKIKILEPEVPVVSTATHGKDNDFDAINHDARSAGSANRLPVAATTQSIDENAQSVKLEIDKLSLPEPSTEVEAMNSSPEVNKLPLSEPSMGIEVMDSSSEVDKLSLPEQIMDVKVTDSSLKVDKLPLPEPSTELELMYSSLQEYEPPIPEPSTEVEVMDSSLKVSEPPIPKASSEVEATDSSLEHTPTVNGHSPLVAPTSTSSLTVPTATMPVSSDGCGCYMLTNRIQVYPRNTDMAIPEGATMLPFSDDMWVAVSLPYRNNNEDGEAAA >KQL03452 pep chromosome:Setaria_italica_v2.0:V:2465799:2469356:1 gene:SETIT_001234mg transcript:KQL03452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSAVPTPSGLDLIFTSVSATTVSSMVAVEMESFSNSQLLLVTLLMLLGGEVFTSMLGLHFTYTKLKKRETQIPHDLDGNNGLPPPSSSLELRTMGGGAPAAVAALEQMETGFKNNLDFTSIARTRMLMFVVLGYLVVVHLAGYTLMLIYLSAVAGAREVLIGKKINPSTFSIFTVVSTFANCGFVPTNEGMVSFKSYPGMLLLAMPHVLLGNTLFPVFLRLSIGALERVTRRRDLGELLVRGGGPGPAAATSTIGYDHLLPAARTWHLAFTVAALVAAQLVLFCAMEWGSDGLRGLTASQKLVAALFMSVNSRHSGEMAVDLAAVSSAVVVLYVVMMYLPPYTTFLPVPVEDHHQYREAQSDEKSKITSSKNIWQKLLMSPLSCLAIFIIVICITERRQIAHDPINFSVRNIVVEIISAYGNVGFSTGYSCGRQVKPDGSCRDAWVGFSGKWSREGKLTLMAVMFYGRLKKFSMHGGQAWKLG >KQL05083 pep chromosome:Setaria_italica_v2.0:V:15553942:15560141:-1 gene:SETIT_000754mg transcript:KQL05083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDGKGNGGDNKKRKRSRNGEEEGSGDASLEVAKLEGMSYRELQGLAKSRGLAANGNKKDVIERLLSAPANATDGVQDEKRAPKGGVEKVEEEVKKEKMVTATKKGAAVLDQHIPDHIKVNYHVLQVGDDIYDATLNQTNVGNNNNKFYIIQVLESDGGGSFMVYNRWGRVGARGQDKVHGPFSTRDQAIYEFEGKFQDKTNNLWSDRKNFKCYAKKYTWLEMDYGETDKETNKTEKKDSITDQIKETKLETRIAQFISLICNISMMKQQMVEIGYNADKLPLGKLSKSTILKGYDVLKRISNVISKADRRQLEQLTGEFYTVIPHDFGFRKMREFIIDTPQKLKAKLEMVEALGEIEIATKLLEDDSSDQDDPLYARYKQLHCDFTPLEANSEEYSMIKTYLMNTHGKTHSGYTVDIVQIFKVFRHGETERFQKFASTGNRMLLWHGSRLSNWAGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYASEASRSGVLLLCEVALGDMNELLNADYDANNLPKGKLSTKGVGQTAPDLSESKTTNDGVVVPLGKPKQEPSKRGSLLYNEYIVYNVDQIRMRYVLHVSFNFKRR >KQL04173 pep chromosome:Setaria_italica_v2.0:V:6960679:6966626:-1 gene:SETIT_000211mg transcript:KQL04173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKYVELCVDLRKGRFAKDGLIQYRIVCQQVNVSSLEDVIKHFMQLSNERAEQAKSQAEALEDALDVEDLEADKRPEDLMLSFVSGEKGKDRSDKEVVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRVEQLKVATELSLWQEAFRSVEDIHGLMSMVKKMPKPSVLVVYYAKLTEIFWISDSHLYHAYAWLKLFNLQKSYNKNLSQKDLQLIASSVLLAALSVAPYDQKYGASHLETENEKERYMRMANLVNFSLDSKRENRELPSRASLLSELVSKGVLSCASQEVRDLYNLLEHEFLPLDLASKVQPLLSKISKIGGKLSSASSVPEVKLSQYISALEKLTTLRVLQQASQIFQSMKIDMLSRMIPFFDFAVVEKISVDAAKRNFVAIKVNHLSGAVHFGTVDIESDGLSEHLSVLADSLNKARNQICPPVKKPSKLGESLISLAGVVENEHRRLLARKSIIEKRKEDLERQILEKEKEEESKRLSIQKKSADEERNRLLYEQKQREHERIRREIEEKNKAEARKLLEDLTKKGGKKHVVVEGELTKEAIMELALNEQLKERQEMEKKLQKLAKTMDYLERAKRQEEAPLIEQAFQKRLEEEKILHEQEQLREIELSKQHHAGDLQEKNRLSRMLEHKNAFQERIVQRRETEFGRLKKERDERINQLISSRKRERETVRKLMYYLNLEEQRIERLREEEEARKREEEEKRKREEAERKAKLDAIAEKQRQRERELEEKEKARKEQLLRGSEPARVAEAAPVAQPPREPAAAPAAAAAAAAAAAAAPAPGKYVPKFKLRGSDSSSSAGGSQRPADLRTREDDRWGPREERPRPDVRPVRQDGPPARQDAPPAARPDGPPSTERWRGSRFPSNSSSSSSTWGRPRN >KQL04888 pep chromosome:Setaria_italica_v2.0:V:12830769:12831050:1 gene:SETIT_004209mg transcript:KQL04888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWERRYTEVLLFTWQMIADAEAYIAMIEDEVEEEYRRAGKLHSYDPDKERQKRISRIARRWPPPDRFIPEISEYLKLIEEDEQDDGIHQPDQ >KQL05280 pep chromosome:Setaria_italica_v2.0:V:20604926:20608733:1 gene:SETIT_0017672mg transcript:KQL05280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEGPAERRDEVQVAMGNGNGVAPLQVSRPAGRPAAATAPYADRRLRLNPNAEHKPQDYSDVRGEYAPAVYSALERHLPPSLLDADRGVKLHFMRDILARYWPQGERNKVQRHKEYRQRILHLYKPLHEELYNMHPSAFFLPTFLEAVSSNSEESFRSIMTEPIPGVYSFAMLQPAFCEMLLEEVENFEKWVHAMKFKIMRPNTMNKYGAVLDDFGLEAMLNQFMEQFIAPISRVFYAEVGGGTLDSHHAFVVEYGKDRDVEL >KQL06915 pep chromosome:Setaria_italica_v2.0:V:36395443:36396368:-1 gene:SETIT_005347mg transcript:KQL06915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVLRVAGGQGVRRGGLEEGGRSTLIRASGGEVMGGASSCALTHTCVAFLVVVAYGMAPLHSGLTHGTTVADETMAVSFSMLGCWHSTWVSCEALKLCCLQILPVHAYHQE >KQL03720 pep chromosome:Setaria_italica_v2.0:V:4259606:4263193:-1 gene:SETIT_000985mg transcript:KQL03720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFACSGIPLPHGRTRESLTTFVTSAHLVRQFNENRNKDDKLRVAVRLPNNRTTDGFLGLYDKDIAIVTCYGFLGVRPIDLDLMATPSPGDSVQAAGRAFNSGSLMAMRGSLYEKRHLQNLDHLNTWGSDSQDISKAVLGGPLLGCDNKILGINLDICDPGDANLRCTFLSMDLLCKRLKHFQIINPKKLHFRGYTLPKHVSSVVPSGFMQTICRLKSCGYPIPPPLVLEFNGQLLNHFEECFGELLPWKGYPYHQTPRGSGERVWNQLPKEIVTDVSRRVVSLASFNGYVRSFACTGLLIKWHGSKAKHTVILTSASLVRGRCNEDSIDNSLTIEVFLPPNQRAGGTLEFYNLDYNIAIVSLKKNFSAIRPDDIFIESAQNSSKKVVAIGRDAKFGILMAASGEAKRGNRGCKLDCKDVQVSTCKIKKAGIGGPLINLNGSFVGMNFYDGSGVTPFLPRHKIVEVLSGVNSLPSECGYNHPIPVNVGGGTKNGIQKNRWPVPEPYWYHGSLDVNIRDHLGGKHIGRRLQ >KQL05885 pep chromosome:Setaria_italica_v2.0:V:28823935:28827547:1 gene:SETIT_002834mg transcript:KQL05885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMFNRIFGKPKEQANSNALATLDKLNETLDMLEKKEKVLEKKAAAELERAKEFSKAKNKRAAIQSLKRKKLYEQQIEQLGNFQLRIHDQMIMLEAAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQDALSAPLGASADFDEDELEAELEELEGAELESQLLEPVAAPPVHPVHVPTKQPARPAPQKATAEDDELAALQAEMAL >KQL03541 pep chromosome:Setaria_italica_v2.0:V:3027699:3029652:1 gene:SETIT_004231mg transcript:KQL03541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAVRRTSQSYVRPVAATPPGALELSIIDRVVGLRHLVRSLHVFDAQGGAGGRQGRPSSPSPARVVREALGKALVDYYPFAGRLVDGAGGPVSARVECTGEGVWFVEAAADCSLEEAGRLDQYPFVIPEDDLLPNAAPGVEPLDLPLMVQVTEFTCGSFVVGLVSCHAMADGLGAAQFINAVGDYARGLPKPRVSPAWARDVVPNPPKLSSAPAPFPRMFQFRHHAVDLSLDSINRAKSQFLQATGQRCSSFDVAVAKVWQARTRSLRLADPSTRVSVCFFANTRHLVPGGGGAGFYGNCFYPVTVSAESGAVEGADLAGVVAMIRDAKARLPAEFAQWAAGELVEKDPYELTFSYESLFVSDWTRLGFLDADYGWGTPLQVIPFTYHPAMPIAIISAPPAPKAGARIMTRCVEEESLPEFSEEMKAFQK >KQL04235 pep chromosome:Setaria_italica_v2.0:V:7470803:7474056:-1 gene:SETIT_000566mg transcript:KQL04235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNASGSFWCSPVAVLQQVLGTQAAPHGLAGLHLMQRIGAAAASPGGDGVTPRVPPPLLLRALSSLNLLLLLGYLLLVLLAKLFARLHLRAAAAKDQTSWYHGCDDDHRAEAAADAGDDVAAADIVGGPRADTLFWFDEAVFEDTALLLGDEGRDHLYTPTAAARCLQQVESTFPMEEESARISPRSQDHRVDAEAAVPKRQVQEEVKSIAAVDVPTVPEQRNDVQFVTSPENVSIEGKKESGREDDARRDTGDDDGRDEHAAEDDHQQANCVSEGQHDVKLFVNSRAVADTRKLLLEGVVAGGGGGGKGGGGAARLQQEDDKDRNGDSSRFGASTLTSESTSKSSVEWQSSTVTKDSETEYPFSSSSRRSSARWESYTLFRKYDEDMVYFHRVGAQKLTETESFRSIKYQPRSMSERIVQKLAPKPSTPIGLRDPYPDLERAYVAQVCLTWEALNWNYTSFRRLNGGDGNIAARCCPARVAQEFQQFQVLLHRFIENEPYEHGRRPEVYARMKNSTPKLLLVPEFRDEDDEKDDLISAVQFLLILEESIRTFMTFLRADKRSHYEMFREMVKRRSSAVDQTLVITLKKANKRKKSRLKDLTRPRRCLKRTRLREEEELSILLGLIDLKVVARVLRMPEITDQQLHWCEEKMNRVRVDLEGKMQRDPSPLFYPAH >KQL05752 pep chromosome:Setaria_italica_v2.0:V:27551759:27554660:-1 gene:SETIT_004812mg transcript:KQL05752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVRKYGKPDIFLTMTCNLNWEEITRELEFAQTPQDRPDLVVRVFRAKLEEMKNQLLKKHILGKVKAHTYVVEFQKRGLPHTHFLLIMIGKYKYTCPEQYDRIISAELPDKYKYPNLYKMKLPFTKNRASCKNNYPRPFNETTIQGKDSYLLYRRRNGRRTETVRNCKLDNRWVVPYNPYLLCFFNCHINVEVCSSIKAIKYLFKYIYNGHDRASVSVTGADDEGEINEIRQYRNARWVTPPEALWRIYGFELRKINPSKTIKNIINRDGTERSMLTAYFKANRLHEKARGMLYRDFPEHYTWKKQGKFWQQRKQKATYQVGRIVAAHPGEGDRYYLRVLLKHVTGATCYEDLRTIGGKIVPTFQEFAEKREPIEGDNTIDDCMMEAEFNIRTLWNNHLKVMSEDYSRNCKCKHMVQQMVLKNIKNMLQSIGKDIRSFPLPEIYEQQNKTNDVPREIVEESTIEVDLEDVSLHTYLNKEQRAAYEKILAAVNNDSGGLFFVDGLGGIGKSFLYRALLATVHGQGKIALSTTTSGVIPLRIDDRSICSFTRQSGIAKILQTTSLIIWDEASMTKRQAVEDLDKSTRDTMDNPNLSFSGKIVVFGGDFRQVCMYQLTLVHNMRAHKDPWFAEYLLRIGNGTKETNENGEICLPTNIGVQHTLDDNALDTLIDNIYQTDNALLKDPKYITSRAILSTRNDCMDSINPKMIEHFQGDEMVYHSFDLVEDDPRNYYPPEFLNTLTPNGLPPHMLKLKINYPIILLRNINPANVLCNRTRLVVRGFQKNSIDAEIVLGQHSRMRVFLPRIPLCSFEEEMFPFRLKRKQFPFRLSFSMTINKSQGQTIPTVGVYLPEPVFSHGQLYVVLSRATTTKNIKILT >KQL06373 pep chromosome:Setaria_italica_v2.0:V:32898955:32902968:-1 gene:SETIT_002238mg transcript:KQL06373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKLPPPNPNLPYREDCWSEGETAALVDAWGSRYLDLNRGSLRQPQWREVAEAVNSRPGASARRRPPRTDIQCKNRVDTLKKKYKAERARGGSSPWAFYGQLDLLVGPTLSGAAAGGGGGKKPSPPRAALPVFRRRRSPSRSPSPQSPPPLPMALPLTNYHRGSNLPSANLIHKAAAAAAAAATESESEDGYNNDYDDDDGYQQSPSRSVSSRSGGGATAAAPAVGSKRKMSSGSGGFGELARAIETFADMYERMEAAKQRHAEEMERQRIKFLKDLELKRMQAFVDMQLQLARAKHARKGDASSEMLMSLAALPFLSSPSYL >KQL04610 pep chromosome:Setaria_italica_v2.0:V:10207384:10207731:1 gene:SETIT_004236mg transcript:KQL04610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASSSSEKSVACGPSQRKEIDEGLNFFTSDEVPDEYEHGKPFLYRWDLLDGPWELNKLHGWIIAVMKQGIRAITTRIPKKVFLGARDYEIVIDFEDLHKIYRHQHLDVQLITVWCL >KQL08620 pep chromosome:Setaria_italica_v2.0:V:46330564:46332150:1 gene:SETIT_001452mg transcript:KQL08620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRKPARKKPKDPGVVLRGDAVPAGGDPGSRGGGVARAPLPGYMRATSCSDARAGAGVGRAAAPAAPPPPPKREPVRAKVVFTAAAAPRVGRATCSSTMKGPGAGGAHVCSYGYCSLKGHVHASVAPLSSFVASRRRLIKTQQSMKLKGASPFRKPRNCGAGDGFFVEIRAGARAAAPTVGSDASCSDLSAEEVDAMVRRMEYVVFDHLSCGDDAEGRAKDLGASADGSCGSSDVISDASVELLGTTKHHRGREEAALVDHEDEDFGACKSDISEELDAKHERNIPEDTVGNTPKESSADSISSALSGISFEDVSSYCADAASSRRNKLSISRRRITSEEGVKQMRPFKPKPPNFLPAETSPEAEKVDLRHQTVDDRRTAEEWMVDFALRKAVKKLARAQKRKVEMLVQAFETVLPAVANEKKQPQQDNDKASFTLTRPSQACS >KQL06801 pep chromosome:Setaria_italica_v2.0:V:35670981:35671599:-1 gene:SETIT_004623mg transcript:KQL06801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLARSLVVCLPLVVLAAVVCLPSRGDAMGLPQPPPDLNFTIAVEGVVWCKTCRYAGYVRSMDASPLPNATALLRCRRDGDPRALSVSNTTDADGCFLIQADWQSAPFKSKDCKVYVQRSPAAGCAVPVKPAAKKGAPLKFRRFVPLPDELQARYTAGNFTFAPEEPAKC >KQL05755 pep chromosome:Setaria_italica_v2.0:V:27582030:27582506:-1 gene:SETIT_004504mg transcript:KQL05755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPGNLIQVAVILTVCWSVVQPSSSAKSRDALLAFKTSLTDPDNRLSSWRGQGCCQWDGVQCSNQTGHVVKLTMYSPRSGPGQLVGTIGGEVPSSLLELRHLEQLDFSVNNLTGSLPDQLGLLSNLTVIDFINNRLSGEIPSC >KQL04372 pep chromosome:Setaria_italica_v2.0:V:8539192:8539404:1 gene:SETIT_004064mg transcript:KQL04372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVREPPPGLNKTAYLLALSGAFFAGVTQVSASVWASDGPVGRRHGAGRKKIVYASLVVSAGLAVASLLQ >KQL05728 pep chromosome:Setaria_italica_v2.0:V:27265715:27266482:1 gene:SETIT_003915mg transcript:KQL05728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWQAVAQDERERLERGTGSEHVVEVAVLDAGVEEDELPEVGEDASAVGEAAGVRELPESEVEAAELGAAEDVGGEAHVDGRRRVDEEELLHALRSEESRPPGEFILAVEAPEEAEGEAYGAERTGVGGEEVVHGGNGLVHAGDDEVRVVEDERHGAPGAAPPGGERDDALGVLDGRREATMRRSAAESVPAADGEAAHSALAGQVHGGRHRQETRVLVGDGGGGELVGVMGRRRHGCCPAACRAVASGHRHWEVG >KQL04674 pep chromosome:Setaria_italica_v2.0:V:10742339:10743040:-1 gene:SETIT_004388mg transcript:KQL04674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVACHGCYGRSLILQTHLCIFRQVLTFTGVAKVKCVIVISGGEIQATARAFNSKIMCPSLHFIGDHDFAKVHNEELVEAFVDPLVIRHPCGHTIPNLGESISAWRVFHEEKTEVLSTHLTISAVKSKYVGE >KQL05603 pep chromosome:Setaria_italica_v2.0:V:26301936:26302305:-1 gene:SETIT_003576mg transcript:KQL05603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQDMGRFASGKPLLQKLKAVVDSSREASSEGIRQQWSITLMSYIIVASSVQGATLALLQANIPSIVVEGPLYLSAQLMNF >KQL05758 pep chromosome:Setaria_italica_v2.0:V:27651679:27656768:1 gene:SETIT_000426mg transcript:KQL05758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGSSQQQLLQRKGKAVAEKGATTATAAEEKVVVAVRAATREISKTAIIWALTHVVQPGGSILVLVVIPAHTSGRKFWGFPLFAGDCASGHKSMLDQKYDISEQCDQMMKKLDAYHKDKLVSGSPSGVVAAECKRAQASWVVLDKELKHEEKRCVEELQCNIVVMKRSQPKVLRLNLVRSPEKESKSTCTLPPVLDGSTGKTATDIKEAHSSTRGPAVTPNSSPDLETPFGSSTEVGTSSVSSSDPGTSPFSACETNGSLKKEVQITKDQIQHSDVNISDSDSESPSPPATFSVQPWMADIMQASASSRSLGKGPRKTRTATADVLLEKISKLDLLNEISAMRSRSDLNFRGDVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNRETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWARPFLEAYAIDELIDPRIGDRYCENEVHCMLHAANLCIRRDPHARPRMSHVLRILEGDMVVDSGSVTGSSDSGSRSWRMLNEQQHFHEYSSPGQQDSQRTVEGKRSYNALRASWDRDKQSISNRY >KQL05759 pep chromosome:Setaria_italica_v2.0:V:27652666:27655689:1 gene:SETIT_000426mg transcript:KQL05759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGSSQQQLLQRKGKAVAEKGATTATAAEEKVVVAVRAATREISKTAIIWALTHVVQPGGSILVLVVIPAHTSGRKFWGFPLFAGDCASGHKSMLDQKYDISEQCDQMMKKLDAYHKDKLVSGSPSGVVAAECKRAQASWVVLDKELKHEEKRCVEELQCNIVVMKRSQPKVLRLNLVRSPEKESKSTCTLPPVLDGSTGKTATDIKEAHSSTRGPAVTPNSSPDLETPFGSSTEVGTSSVSSSDPGTSPFSACETNGSLKKEVQITKDQIQHSDVNISDSDSESPSPPATFSVQPWMADIMQASASSRSLGKGPRKTRTATADVLLEKISKLDLLNEISAMRSRSDLNFRGDVRDAVSLARSAPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAIAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCVEDKRRLLVYEYICNGSLDSHLYGRNRETLEWAARQKIAVGAARGLRYLHEECRVGCIIHRDMRPNNILVTHDFEPLVGDFGLARWQPDGDMGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDINRPKGQQFLTEWVS >KQL08376 pep chromosome:Setaria_italica_v2.0:V:45112217:45118708:1 gene:SETIT_000282mg transcript:KQL08376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGLDIHKLQQEVKTRWLKPQEVLQILQNHELFMVSHKPPQKPPSGSWFLFNRRVLRYFRNDGFEWQKKKNGKTINEAHERLKVDNVEALNCYYARGDKNPTFQRRIYWMLDPAYEHIVFVHYRDVLEGSISVSARNDSPTSNQNGSASRAEVHSSPGWTSESILPCPNSSSPGSAEEVSSQTVTINNETNSTSRFDLIQHKAALRKLEMQLSLEDKEDSYIIAEEVPTNNEHVVFPGIQNGEPDSCGNLKDIFNIQEFSGHHTKETGTHPYPSVLDVLKNSETWLEDDQLVGILHPASMTPTENQWFHIHEVSPESAFSSEITKVIVLGDFLCNPSHSSWAMLFGDVKVPVEIIQQGAIRCHTPCLNAGKVTMCLIDGNGKPCSETRVFEFHEKPTKSMIDGNGKLCNDAQAIKAHQTPMKSNDELLLLLIYMQILFDGQGCDVFAKFSPQLPNPGCGFLVNQMDIMRKTYEQLDQEKTVNSVMEVLLNDKFRQWLLSKCEQNSNGDHLLPKQYHGVIHTIAALGYDLALKPLLSSGVPINYRDANGWTALHWAARFGREEMVVALLTADAAAGALSHPTSEDPAAKTPASIALAYGFKGLSAFLSEAQLTTHLDSIESKENGKCKGNASGGGIRNAVDRISDKSTHVHGGTDDQLALKDTLGAVRNAVQAAARIQAAYRVFSFKKKKEAALQNSCLSVHETVPVSHGMLEKAALSIQKNFRCWKKRKEFLKLRKNVIKIQARVRAHQGRKKYRELLRSVGVLEKVMLRWHRKGVGLRGFNSGAMPIDEEVEEDVAKVFRKLRVETAIDEAVSRVSCIIGSPKAMQQYRRMLQRYQEAKVNIQKDASEVPASKG >KQL04214 pep chromosome:Setaria_italica_v2.0:V:7298628:7300266:1 gene:SETIT_004802mg transcript:KQL04214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTSTRSHRFAVVDAFTDVAFRGNPAAVCLLDGAGSGARIHDVDRKWMLAVAAESSTRRTRRSSPPPPPTEARRRRARFHIRWFTTVTEVELCGHATLAAAHFLLTGGVVGTGTTGAIEFVTMSGLVKARNRAQDSATHGVDRGGFCIELAFPVASVEECASGEIELSSSEEVARLYPNFIEIQECAKRAIIIAGPAPIGSQVDFITLLFAPNVAINEDQVCGSVHCAFAPYWAKKLGKTRLTAQMASSRGGTLRLELNEHAQRVRIRGEAVTVMVGTLLA >KQL08633 pep chromosome:Setaria_italica_v2.0:V:46384109:46385370:-1 gene:SETIT_003980mg transcript:KQL08633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRKRKRDPAAYLSDDLIVEILARLPERPLRRSKCVCRSWCDLISGPVHRRRLAHTDAASGFFYHANADGSWAPVTDLKFTGLCRPPVEGGVSPTPPLLDQEFAFLPPSYTRTHVELLDSCNGLLLLRYYDASDELAAAVYIVCNPSTQDYVELPPLPLPEASHVHDVIGGGAAAIAAVDHLLQLEQERRQRLPRPAALTFDPAVSSHFHVFELEEERSPVFPEYSPFVTAVRIYSSETRRWVVRRSGWNYHVAYSGEHAYLNGFLHLATTDTENGVVAAVDTEGQTWTPTRPRLLYADAGSGHARELSVYALEDCGGGAGRWVLKHRTRSLDPSGKVWFGKQHRLVAIHPDCNVVFLFDSRRRSLIAYDMDRGTTRVVHTFTVATGKHHFFPYIPLYLQ >KQL03841 pep chromosome:Setaria_italica_v2.0:V:4911964:4912464:1 gene:SETIT_004744mg transcript:KQL03841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVAEDRLSGLPDDLLHSILRGLPLKQGARTSALSRRWAHQWLHALAFSAVIDLTDRDFARGHPPMRAAATVSRCLTLHTEYDAPLHVFGVVLGSGRDASAFGRDVAEWVAATVARGARDVEVDLTRRQEDPADNNHGCSAFMVELPGDLFLARNSLEQLALGG >KQL04006 pep chromosome:Setaria_italica_v2.0:V:5831674:5835412:-1 gene:SETIT_000190mg transcript:KQL04006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPPVLLLLLLLLPIPLASSSAADLAALLALKAAVTHDPGGALSAWSAASATSYCRWRGVTCHPSSLAVAAIDLPAASLSGTLPAALPLPPRLRRLDLAANNFSGPVPDAFLSSTTLGYLDLSFNSLSGPLKIPPPLANSSSPPCAALTHLRLAGNFLVDQIPAEIAQCRSLRVLDLSHNVLEGAIPRGLGRLAALRVLDVSRNSLTDRIPVELVNCRELAVLVLTNLTASAGEQPEFNAFVGVLPTEVLTIPAMEVLWAPRANLDGRLPLSRNGTCGLRAMNLGQNYIAGTLPAWFGECHGLTFLDLSSNRLEGSMAAELAVGCLTYFNISGNSLSGPLLLSTESQCSSRLIGDDIVMQYYDELVGNVLIGNPFGSELGGIANVALHDFSNNGFGGTLPSLTVSLDRNYSYGLWLNGNMFSSTLSARFFGFCKFATGVAVNLSSNQLSGSLDMLSTCASMQNFDAGYNNFRGSIPDGVGGLHFLRSLVLSGNNLTGQIPGQFGDLAALEVLDLSRNSLTGSIPLHLTDASRLEVLRLDHNRLSGSIPPSFSELAQLTVLDVSFNNLSGDIPNLRHPSDCGFFIGNSLLHQCLSTNASLPPTEAISSSKGAKKWGSKFKSLMVILVAASTAAISFLLVILLFFVCERRKRVKISNLRTKVVVTFTDAPPELTYENLIRATSNFSIQNLIGTGGFGATYKAELAPGFLVAVKRLAMGRFQGLQQFDAEIRTLGRIRHRNLVTLIGYHLGESDTFLIYNYLSGGNLETFIHEMGSRNVSWIEVHKIAVDVAQALAFLHCSCTPRIIHRDIKPSNILLDEDLNAYLSDFGLARLIEVTQTHATTDVAGTFGYVAPEYATTCRVSDKADVYSFGVVLLELMSGKRSLDPSFSQFGNGFTIVSWGRMLMQEDNTSEFFSRGLLDAAPKDRLTEMLKIALSCTLESVAVRPSMRQVAAKLKQLGNDR >KQL04770 pep chromosome:Setaria_italica_v2.0:V:11554180:11556248:-1 gene:SETIT_001019mg transcript:KQL04770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVYLLLAALIASCHAQATSGDRPWPASLAKLAKEGKLRTDSNATVPASMDFGNITSALPAAVLYPASPGDLAALLGAAYSTPGWPYTVAFRGRGHSLMGQAFAPGGVVVNMPSLGDPGAAAPRINVSADGRYVDAGGEQMWIDVLHASLARGVAPRSWTDYLYLTVGGTLSNAGVSGQTFRHGPQISNVYEMDVITGHGETVTCSKELNADLFDAVLGGLGQFGVITRARIAVEPAPARARWVRLVYTDFATFTADQERLIAPRPGGAFGPLSYVEGSVFVNQSLASDLKNTGFFSDADAARIVALAKERNVTTVYSIEATLNYDNATAAASVDQVLKSVLDGLRFEPGFSFERDVTYVEFLDRVHSEEVALNKVGLWRVPHPWLNMFVPGSRIADFDRGVFKGILQGADIVGPLIVYPVNKAKWYDGMSAATPAEDVFYVVSLLFSSVANDLARLQTQNQRIVKFCDSTGIQYKSYLARYTNRDDWIRHFGSDKWKWFVDMKNKYDPKKLLSPGQDIFN >KQL03367 pep chromosome:Setaria_italica_v2.0:V:2025211:2027894:-1 gene:SETIT_003120mg transcript:KQL03367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVISVVLGRAFHYVDGIIPFGFGGTDFPVDDIAAACLLVYYGVTTLLDAASGDDEKINEEQEEAELAVSKFSGNGAGVMSAAGTIASTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGSLAGHAVATLIAVLGGSLLGTFLSEKIIAYIGGSLFLAFAAITIVEIVT >KQL03368 pep chromosome:Setaria_italica_v2.0:V:2026634:2027894:-1 gene:SETIT_003120mg transcript:KQL03368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVISVVLGRAFHYVDGIIPFGFGGTDFPVDDIAAACLLVYYGVTTLLDAASGDDEKINEEQEEAELAVSKFSGNGAGVMSAAGTIASTFVLVFVAEWGDKSFFSTIALAAASSPLGVIAGSLAGHAVATLVR >KQL04099 pep chromosome:Setaria_italica_v2.0:V:6467143:6468511:-1 gene:SETIT_004720mg transcript:KQL04099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAASKRGGMQQQLRPTVMYDDEPSAMSLELFGYHGGGVVDGDGAALSLQLAFDDDNFKHGGGCGGASAGGDYYGGWAGYGGSGGASSSSSSSVLSFEQAGSGGGHHHLAYGDDGCALWMDAAAGMVENPAQQHGSACRFGLVSPGSSADDDTGLHFQELGSVQPPAKATNKRARPDGEVQAAVAKKQCGGSGGRKSKAKAAPAPTKDPQSVAAKVRRERIAEKLKVLQDLVPNGTKVDLVTMLEKAITYVKFLQLQVKVLAADEFWPAQGGKAPELSQVKDALDALLSSQQYPNK >KQL04711 pep chromosome:Setaria_italica_v2.0:V:11162518:11164154:1 gene:SETIT_003772mg transcript:KQL04711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPFWSFIQSYPEVFLAIICFFGLSIFRLIRQSQKSSLPLNWPIVRMLPFLVVNRYCIHDKVVDLLREAGCTFMVFGPWLLDMNFLITCDPATVNHCLNTHFDKYPKGREFAEMFDILGDGLLVADSESWEYQRRVATSIFGARAFRSFTMSTIARKVGNVLLPYLDHMANHGSEVELEDVFMRLSLDVSYFMVFAADLDCLSVSSPMPVFGRATKEAEEALLFRHIVPSRLWKLLRWLNVGTEKKLADAKMVINQFIYEEIAKRKAQGSNGSQADLLSMYMKVTLDPSMSEHQKTQFLRDTAVGLILAGKDLIAVTLTWFFYMMCKHPNVEAKILEELKGLKSSTWPGDFSVFECDALRSAIYLQATLLETLRLFPATPFEEKEAHVDDVLPNGTKVTKGTRVIFSLYAMGRINGIWGKDCMEFRPERWVSKSGRLRHEPSYKFLSFNSGPRSCIGKDLSLSNMKITAASIIHNFKVELVKDHAVMPQSSVILHTQNGMMVRLTRRMAA >KQL04744 pep chromosome:Setaria_italica_v2.0:V:11340733:11343260:1 gene:SETIT_005068mg transcript:KQL04744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVKASGGLPELEGSRSASRVGGAIRRRRQRQPRRGAGAPQAMAAPVAAVQRLFEACREVFNGADPGAVPPPAGIERVKSVLDSITAADVGLIPNMSYFRRVDPRGTPKITYLHLYNCEAFSIGIFCLPSRGVIPLHNHPGMTVFSKLLFGTMHVKSYDWAAQQDAPDVPLQGPRLAKVKADGILTAPRETSVLYPEDGGNMHCFTAQNACAVLDVLGPPYDDGRGRHCQYYNVSSSAISVGGSMILPGADRYEWLEESEPPEDFYLVGSTYMGPRILDH >KQL05165 pep chromosome:Setaria_italica_v2.0:V:16840303:16844965:1 gene:SETIT_000608mg transcript:KQL05165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRELVKRWSNHKVMVRWLSRFFHYLDRYFISRRSLPPLREVGLSCFRDLVYQEIKGKVKSAVISLIDREREGEQIDRALLKNVLDIFVEIGLGSMECYENDFEDFLLKDTADYYSIKAQTWILEDSCPDYMLKAEECLKREKERVAHYLHSSSEQKLLEKVQHELLTQYASQLLEKEHSGCHALLRDDKVEDLSRMYRLFSRITRGLEPVSQIFKQHVTNEGTALVKQAEDAASNKKPEKKDMVGLQEQIFVRKIIELHDKYVAYVTECFQGHTLFHKALKEAFEVFCNKGVSGSSNAELLATFCDNILKKGGSEKLSDEAIEDTLEKVVRLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTVARDHQTKFEEFISNHPELNPGIDLAVTVLTTGFWPSYKSFDINLPSEMVKCVEVFKEFYQTRTKHRKLTWIYSLGTCNISAKFEAKTIELIVTTYQAALLLLFNGADRLSYSEIVTQLNLSDDDVVRLLHSLSCAKYKILNKEPSNKSISPNDVFEYNSKFTDKMRRIKIPLPPVDEKKKVVEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKDNPNVYRYLA >KQL06846 pep chromosome:Setaria_italica_v2.0:V:36024354:36027322:1 gene:SETIT_003842mg transcript:KQL06846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPWSESEGKRAHDPIFQCFNQNQNHLQSVENCWKERSVDGVVARSERTCFWVSGPIIVGAGPSGLAVAACLKEKGIGSLILERSNCIASLWQLKTYDRLSLHLPRKFCELPLMPFPADYPIYPSKQQFVAYLESYAARFGINPMYNCTVVHAEYDEQLLLWRVSSQTSGAMGEEVQYVSRWLIIATGENAEAVQPDIDGLQEFPGTVLHTSAYKSGSAFTGKRVLVLGCGNSGMEVCLDLCNHNAEPHIVVHILPREMLGHSTFGLSMWLLKWLPVHVVDRILLCIARAILGDTARLGLKRPTFGPLELKSLSGKTPVLDVGTFAKIKSGDIKVRPAVRKISGRDVEFVDGQLEGFDAIVLATGYKSNVPFWLKDRELFSEKDGLPRKAFPNGWKGENGLYSVGFTRRGLMGTSLDARRIAHDIEQQWKAKGTHPRGG >KQL03982 pep chromosome:Setaria_italica_v2.0:V:5694468:5697365:-1 gene:SETIT_0018411mg transcript:KQL03982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNVWIWRRLVLLENRYKHGHICAPATREKVQRPSTRTEKTTMASPVPPVSQVSGCRACCVPGCASSQLRRRRSLKAAAGLPAAGTSIPRPRQRLDGRRRLRASPASATGPPQLSSIRSVVLDIEGTTSPISFVTDVLFPYARDNVRKHLDATYGSDETNDDIAMLRAQVEQDLSEGIAGVVPVPPDEAGKDPVIDALVANVEAMIKADRKITSLKQLQGHVWRTGFESEEIKGVVFDDVPPALQKWHASGIKTYIYSSGSREAQRLIFGNTTYGDLRKYLCGFFDTTVGTKREARSYYEIWQSVGVDRPSQILFLTDVYQEAAAAKAA >KQL04577 pep chromosome:Setaria_italica_v2.0:V:10000163:10002273:-1 gene:SETIT_002632mg transcript:KQL04577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSSTSNNSALSPAASSGATTPGAGAPCAACKFLRRKCLPGCVFAPYFPPEEPQKFANVHKVFGASNVTKLLNELLPHQREDAVSSLAYEAEARVKDPVYGCVGAISVLQRQVHRLQKELDAAHAELLRYACGDVSGIPTALPVSGGAAPRLSAAMPSPGQFATSATAAAGMYSGRTRLGLVDGIAPPPPPAGCYFMRSNNVVMSSSPGADVAPVLPYASMANWAVNAMSATTTATSGSESIVLDHKEGGDSSM >KQL03967 pep chromosome:Setaria_italica_v2.0:V:5626082:5631292:1 gene:SETIT_000493mg transcript:KQL03967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVRSGPDGAGGDYIKWMCGAGGRAGGAMANLQRGVGSLVRDIGDPCLNPSPVKGNRMLKPEKWHTCFDSEGRVMCFRKALKFIVLGGVDPSIRAEVWEFLLGCYALSSTSEYRGKLRAARREKYHYLIRQCQSMHASIGTGELAYAVGSKLMDVRTLPKETDSGEEVSTSQQTSHQEPCNVVENSNLNCGSGGTPQSQKRKGCSKSAEPVGFNIHNDSSVYDSSNFMVPSTAVNNCLRDSGDYDDIGEPRYDSETFTDFPSLSGTNLFANGGEDSNGVEESHCSFSVPEDRLRLRDERMHSFQINNNIDLIIESNSFSNDLFRPSNSDSAIFHSDAYKQDRWLDDGYSKEIIDSLRISDAPEADLVDGTKSNGSVADKDRVSEWLWTLHRIVVDVVRTDSHLDFYGESRNMARMSDILAVYAWVDPSTGYCQGMSDLLSPFVVIYEDDADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWKILELTDVELFEHLSAIGAESLHFAFRMLLVLFRRELSFEESLIMWEMMWAADFDEEAIRRLEENCLGPLLVDLRTDLSCEVKEVHRMNSSTRRKSKTRKSHRRNGEICGACHPGTRSSTRNHLCGLSGATIWARPQLMLQLSANVLAKSGDDELPIFCVAAILIINRHRIIRGTRSIDDAIKMFNDNMLKINVKRCVRLAIKLRKKYLYKSLKGGSSDDKES >KQL04475 pep chromosome:Setaria_italica_v2.0:V:9293577:9296524:1 gene:SETIT_000277mg transcript:KQL04475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLLLALNLVRIISVLCCFSAAAYTPEDNYLISCGSSAGTMVGQRFFVADDDSGSVTLTSPHSTAVRASPGSVPVSDAAALYQTARVFAAPSSYSFKIGRPGRHFLRLHFFPFVSQSHNLAATSFKVSTQDAVLIDSFTPAKNDMSEPVRDEFLLDVARDTLVVTFVPLAGDLAFVNAVEVVSVPDDLMAGPARTSLGQQLIPAFLPLQMAYRVNVGGPAVAAHNDTLWREWTNDMSYLASSSADIVTRELAYNGSLDHLAGEATRDDAPDVVYASARQLVMSTMVGQMTWQFDVDKRPASYLIRFHWCDIVSRAPHLLSIDVYVGSHTVVTRLDLSTIGGNGTLAVPYFMDFILDSSDPSGKISIYVGSSWSMKGNRSAFPGPILNGIEIMKMHFSSGSVVVVEPTAGSKKQNLAIVLGSICGAFAVVSIAFALVIFLRKKEDKLLPSPSQSPSSTPWMPLLSRFSLRSRGPGASEAGSSSFAVDKDTPGAIPIAGSSSPSYRFPLAMIQEATDNFDERLVIGAGGFGKVYRAVLPDGTKVAAKRASPESRQGAREFRAEVELLSGLRHRHLVSLVGYCDDGGEMVLLYEHMEHGSLRSRLYGGGAATALSWAQRLEACAGAARGLLYLHTALAKPVIHRDVKSSNILLDGGLAGRVADFGLSRAGPEPELDETHVSTAVKGSFGYVDPEYARTRKLTAKSDVYSLGVVLLEALCGRPAVDPRLPKPMVNLVEWALHWQGRGELDKVVDRRIAAAVRPQALAKYGETAARCLAERGADRPAMEDVVWSLQFVMRLQDDSGLDFSDVNSLNFVRELTPPSDPRRHEDEAGGEEEGATATDGEYTDVSMRGVFWQMVNVGGR >KQL07046 pep chromosome:Setaria_italica_v2.0:V:37293622:37294328:1 gene:SETIT_003164mg transcript:KQL07046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPTARPAEFERFRSSSCGPSPSPHPQRLHSSRPRYKSAAPPLPHTTLTNPLERSSSEPPGILGLRSLAACPAPPELAIPSRPLSVSMAASTKTVAALLAAVLLALVASAAASRKLEEDAALLGNLAPAPAPAVGAAAGIAGAAPGAWAVAALVSLVAFLAH >KQL05406 pep chromosome:Setaria_italica_v2.0:V:23928638:23931107:1 gene:SETIT_004043mg transcript:KQL05406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATENPHDLGAGWYITKIAQWRREEEEWRRDGLPDMFVGLDECSRNWVLAQIPTLAEAQKKGLFRPDREKDQLTTAIGTATHSGCVRGLTSTLSWCDHYKRNLEEKMREITKQEFLEFLANHEMSQMMADPTVSDEVATGMAVMGHVFPKAPPPEYAWVQVVTVLDESCEIDIPIDKGIEVLDDAMNQYILWYHRDIILNASLETSWPSQELPLPDSNFDTEQPMLSHKPTIPRMVSTYEKAPSADIDKFLNILKKKASSSSEKSVTRSSSRQKEKDQNLNFFASDDVLMDYEYGKPFLYWWDLLVGPWELNKLHGWIMNAIKQGFRVITTHVPTKVFLGILPYQIVIGFKDLHRLYRQQHLNINLISVWCLVLNLSLITTHHFYICLQCHKQPPGSVLCGYYVCEFIRNNGRYWTNPEDITLLYTPMC >KQL08599 pep chromosome:Setaria_italica_v2.0:V:46272359:46272645:-1 gene:SETIT_004648mg transcript:KQL08599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLHSARRTSSWPRRTTGGSGRNTSGHLIMPLCTASLYSSMAPPGTGSGATDVHAGPTWHHHHIS >KQL06131 pep chromosome:Setaria_italica_v2.0:V:30879468:30881105:1 gene:SETIT_001150mg transcript:KQL06131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLASPPRPRKQRCPPHPMLPISPSLLSLILLVPFLSLLLLHRSSFPGSSCSPGLAGPASRRASAAGFSGDLRDIEFSWNHLPFSAFRPPPAKLKIAVFSRKWPVASAPGGMERHAHTLHTALAARGHRVHVFTSPPPHTEAAPSPSPDGPQLHFLDGEPGQWRCDEAWKLYEAEGENDPFDVIHSESVAVFHRWARGVENLVVSWHGISLEALHSGIFQDLARGEDEPMSPALNQSLGQSVFRVLSEVRFFRSYAHQVAISDSTGEMLRDVYQIPSRRVHVILNGVDEAVFEPDPALGRAFREEAGVPRGADLVLGVSGRLVKDKGHPLLYEAFSKLVLRHPNVYLLIAGKGPWENRYMDLGRNAKVLGAVPPGKLKAFYNALDVFVDPTLRPQGLDLTLMEAMQCGKPVVATRFPSIKGSIVVEEEFGYMFAPNVESLLESLEAVVAEGARRAARRGRACREYARSMFAATKMALAYERLFLCVKNETFCAYPAEFD >KQL05823 pep chromosome:Setaria_italica_v2.0:V:28265988:28266867:-1 gene:SETIT_004449mg transcript:KQL05823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LCIDEKNKLNYNKRGLTKVGWHNLYTNFKQQTGRKYSCKQLQNKFNAFKRQYKDWRKLKDKSGTGWNNSTRTIDCDEEWRTRQTNISVARRFHSMMSGPMLCVGGIGDRTPSCGSEDTPDPMADENVDWLEDTVGRSSVGRVSQRSGKEHVVDSPLPKRTKSMEYYVERISESMIQRTMTERNLISREEEEVTEMLHLVEQDGVPNGSELYFIATELFRSPARRASYRSITASENRIAWL >KQL06828 pep chromosome:Setaria_italica_v2.0:V:35916217:35920623:1 gene:SETIT_000262mg transcript:KQL06828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRSYTNLLDLANGNLSALDYGGGGGGGGGGGGRPPRARRMQRTMTTPGTLVELDEERAGSVASDVQSSLAGDRLIVVANTLPVRGERRPDGRGWTFSWDEDSLLFHLRDGLPDDMEVLYVGSLRADVPPAEQDDVAQALLERFRCVPAFLPKDICDRFYHGFCKQMLWPLFHYMLPFSPDHGGRFDRSQWEAYVLTNKLFSQRVIEVLNPEDDYVWIHDYHLLALPSFLRRRFNRLRIGFFLHSPFPSSELYRSLPVRDEILKSLLNCDLIGFHTFDYARHFLSCCSRMLGIEYQSKRGYIGLDYFGRTVGIKIMPVGINTVQLQSLLQQPDLERQVAELRNQFDRKTVLLGVDDMDIFKGIDLKILAFEQMLKTHPKWQGRAVLVQIANPKGGSRKDLEELQAEIEVSCKRINEQFGRPGYSPVVLVNRTLSSVERMAYYTIAECVVVTAVRDGMNLTPYEYIVCRQGAPGLDGSGDDRPRGKSMLVVSEFIGCSPSLSGAIRVNPWNIESTAEAMNESIALSDNEKQLRHEKHYRYVSSHDVAYWSKSFIHDFERSCRDHFRRRCWGVGLGFGFRVVALDRNFKKLTVDSIVADYKKSKSRVILLDYDGTLIPQTTMNKTPNETVVSMMNTLCADKKNVVFIVSGRGRDSLEKWFYPCPELGIAAEHGYFMRWTRDEQWQIQNPTSEFGWMHMAEPVMKLYTEATDGSYIETKESALVWHHQDADPGFGSSQAKEMLDHLESVLANEPVSVKSGQHIVEVKPQAVSKGFVAEKILSTLMEKGRQADFVLCIGDDRSDEDMFEQISDIMRRSMVDPQTSLYACTVGQKPSKAIYYLDDANDVLNMLEALADASEEAGSGSPEATEEEGPLTLEQA >KQL03679 pep chromosome:Setaria_italica_v2.0:V:3951958:3953055:-1 gene:SETIT_004691mg transcript:KQL03679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANEMAAFFRSALPPASPAPFEEEEVEDGGADHGHGGGGGQGKLCARGHWRPSEDAKLKELVAQHGPQNWNLIAEKLDGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLLAAHRAYGNKWALIARLFPGRTDNAVKNHWHVLAARRQREQSGTLRRRKLSSSPAQPHVVAPAAAAVHSPRVHGKHHSGGESEEESAASCTTDLSPSSAGAAAGVPFFSQSYGMVPRAAAPASAAFAHSARSAFSAPSPARHRAVASDEAALPFFDFLGVGTT >KQL04573 pep chromosome:Setaria_italica_v2.0:V:9958755:9959806:1 gene:SETIT_002550mg transcript:KQL04573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAHPCCSEEKKVRKGLWSPEEDERLASHIARFGVSCWSSIPELAGLQRCGKSCRLRWMNYLRPDLKRGRFSQHEEDLIIALHEALGNSWSQIAARLPGRSDNEIKNFWNARLRKKLRQKEASSTAGSKEPAASHRRRGGGEGAGTHPAAVFNPLLPANADRPCPAGYVAGAAAGRSSYDNDMWATGSAAATGGAVLAAADVGRNAAAAESVTPSPTSTASACTDAQAGCDDEFLKAMVDDASILFGDFYLNSNHDGSISFWEGHVFS >KQL04068 pep chromosome:Setaria_italica_v2.0:V:6273722:6275119:-1 gene:SETIT_002074mg transcript:KQL04068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGQKVQPKGMSIKDGLQLPPGFRFYPSDEEIITFYLKPKVHQSNFSCTAIGEVDLNRIEPWELSGKAKMGDKEWYFFYLKDRKYPTGKRTNRATKGGYWKATGKDREIYRAAKKDELPLLVGMKKTLVFYKGRAPTGKKTDWVMHEFRLEGTNKVPCPASSSTSTTTIKSSSSEDEWVVCRVFDKTTRVKREPALPLFNMAMTGGGIDQSSISMPMPLQFSMLSDFTMDPAASYYSTVDARSLAVPPVMPPLSGMGNIGLQVASTLFGNSMVVAPPMSIYHQMGMGAEGASSFLGASKSGPSLMVSQKDTGVDHDQANADEISQMISANPESVATKDMDGIWKY >KQL03313 pep chromosome:Setaria_italica_v2.0:V:1092560:1093050:-1 gene:SETIT_005235mg transcript:KQL03313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQPGEDSKQDMWSVLYDPCDRGLQRKSYSACFVIIILG >KQL05981 pep chromosome:Setaria_italica_v2.0:V:29732553:29734461:-1 gene:SETIT_001047mg transcript:KQL05981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWEASPWALAGAAAAVALLWLVAWTVEWAWWIPRRLDRALRAQGLKGTAYRLFTGDLRESARINREARAKPLPLGSHEIAARVQPLLYRATKEYGKVSFTWFGPVPRVMIPDPELVKEVLSNKFGHFGKPRSTRIGKLLANGVVNHEGEKWAKHRRILNPAFHHEKIKRMLPVFSTCCDETITRWENSMPFEGSSEIDVWPEFQNLTGDVISKTAFGSSYQEGRKIFQLQGELAERLVQSFQTIFIPGYWFLPTKNNRRMREIDREIRTILHEIIGKREKAMKNGETNNDDLLGLLLESNMKQSNGNAKLGLTTEDVIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLSHFGRAKPDFDSLSRLKTVSTINVALYFIVKI >KQL05980 pep chromosome:Setaria_italica_v2.0:V:29731508:29734523:-1 gene:SETIT_001047mg transcript:KQL05980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWEASPWALAGAAAAVALLWLVAWTVEWAWWIPRRLDRALRAQGLKGTAYRLFTGDLRESARINREARAKPLPLGSHEIAARVQPLLYRATKEYGKVSFTWFGPVPRVMIPDPELVKEVLSNKFGHFGKPRSTRIGKLLANGVVNHEGEKWAKHRRILNPAFHHEKIKRMLPVFSTCCDETITRWENSMPFEGSSEIDVWPEFQNLTGDVISKTAFGSSYQEGRKIFQLQGELAERLVQSFQTIFIPGYWFLPTKNNRRMREIDREIRTILHEIIGKREKAMKNGETNNDDLLGLLLESNMKQSNGNAKLGLTTEDVIEECKLFYFAGMETTSVLLTWTLIVLSMHPEWQERAREEVLSHFGRAKPDFDSLSRLKTVTMILYEVLRLYPPATFLTRRTYKEMELGGIRYPAGVNLLLPILFIHHDPDIWGKDASEFNPARFSDGISNATKHQGAFFPFGGGPRICIGQNFALLEAKMALCTILQRFSFELSPSYTHAPYTVITLHPQHGAPIRLKKL >KQL05202 pep chromosome:Setaria_italica_v2.0:V:17569492:17569822:1 gene:SETIT_003603mg transcript:KQL05202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASLLASTAAARIDLRPYHMWMQAEEGWGRQPASSRAARISSMQVAEGREAREGAEWQKLKLPIFCTISAMTFIN >KQL03660 pep chromosome:Setaria_italica_v2.0:V:3767143:3773086:1 gene:SETIT_000742mg transcript:KQL03660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLLVAALFLCASAAAASKPPLDTLGIPPQDEAYYKGGVIKCRDGSGRFSRDQLNDDFCDCPDGTDEPGTSACPEGKFYCKNAGHTPVTIFSSRVNDGICDCCDGSDEYDSNVTCKNTCWEAGKAAREKLKKKIATYKSGVVIRKQEVEKAKEAVAKDEAELAKLKGEEKLLQGLVDRLKEQKRLIEKAEEEERLRKEKEEKRIKEEAEKKATVEKKAQDASQEVDSKETDPPVQEDESKVAEQHDGHATNHDNHVAESGISVEQHGSESQGDSGTVDESPPKESATTSEQDPSSDNPEGLSREELGRMVASRWTGESVNEVSKEDKGHEDEPEIPEPAEESLEDESEIPEPAEENYVGYHSEIEDDRHKYDDEEFDHESEDEYVDDHDEHVESYKSDDDRKGDHHPDLTASEHSSWMNKIQQTVQNVLQKFNFFKTPVDLSEASRVRKEYDDASSKLSKIQSKISTLSEKLKHDFGKDKEFYSFYDQCFESKEGKYTYKVCAYKKATQAEGHSSTNLGRWDKFEESYRVMQFSNGDRCWNGPDRSLKVRLRCGLSNELNDVDEPSRCEYVAVLSTPALCVEEKLKELQNKFDALSSKQPGHDEL >KQL08410 pep chromosome:Setaria_italica_v2.0:V:45290209:45294870:-1 gene:SETIT_000878mg transcript:KQL08410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCILGKLSTAPGSSLFFPAAAAAAAGGGGEKAAEVQLQAPPQEHIAAVRKDASGWPLWLSEAAGDALRGWAPRGADAFQKLEKIGSGTYSNVYKAIDVESGRVVALKKVRVDGVGEAESARFMAREIALLRRLGDHPHVVRLEGLVTSRLNTAPSLYLVFEYMEHDLTGLTACATASGRRLSLPQVKCYMKQLLSGIEHCHNNGVLHRDIKSSNLLVSSDGILKIADFGLATSYDPDNMQPMTSQVITLWYRPPELLLGATHYGVGVDLWSVGCILAELLLGEPIFPGRTEVEQLHKIFKLCGTPSEDYWEKMKFAHPTFKPYERCLAEKFKDVPPSTLSLLETLLSIDPDMRGTATDALNSEFFRTEPYACEPSSLPRYPPCKERDVKLKYEKHKRKSRVNGSVERHKNRQHASQNPGRRVFTPDVNNKPQANPKVPRLVTSTSTTKLERFPPPHLDASIGYSLDSSADGTTEEFFTSSVVELKKMPSVIFGHMKSYLNSPKKGMQKAKPSLNMAPSTVLIGAFRPYSLGHPMEVRRKNREQFRGKGRNVVGAVK >KQL03205 pep chromosome:Setaria_italica_v2.0:V:322788:326738:-1 gene:SETIT_000540mg transcript:KQL03205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGREPELFAELWRACAGPLVELPQTDERVFYFLQGHLEQLQEPTDPALLAEQIKMFQVPNKILCKVVNVELKAETETDEMFAQITLQPDPDQVNLPTLPDPPLPETPRPVVHSFCKILTPSDTSTHGGFSVLRRHANECLPPLDMSMPTPTQELITKDLHGSEWRFKHIYRGQPRRHLLTTGWSTFVTSKKLIAGDAFVYLRSETGQQRVGVRRLVQKQSAMPASVISSQSMHLGVLASASHAIKTNSIFLVYYRPRLSQSQYIVSLNKYLEASKIGFNVGMRFKMSFEGEDVPVKKFSGTVVDKGDLSPQWQGSEWKTLKVQWDEATNLNGPERVSSWEIEPFDASTPTINIPVQQSTKNKRPRETAESLDIQAMEPTQEFWLSGMPEQHEKAGIGSSEPNCISGHQVVWTSERAGYSAMSSSVCQNSVVLGNWFKDFNSSSKGASPSLSEISQKLFQVTSNDARVPPWPGLSAYQAEEPSSKLSSNTALCSYQTEEVAPNFSNAVEEKKEPGMFRLFGVNLINHTRNAAASEKMTVGVREISTRTAGSLEDSGQLSALSKVTKDHTQNVNESPREIQSHQNCTGRTRIKVQMQGNAVGRAIDLVNLHGYPQLISELDEMFEIKDLSSKEKWKVAFTNDEGDTMEVGDVPWLKFCQTVRKIVIHPIEDESDMDPFLEQDVKTDF >KQL06853 pep chromosome:Setaria_italica_v2.0:V:36094060:36094540:1 gene:SETIT_005271mg transcript:KQL06853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFIHGLPTLHCKVRQGNMHDSLVNYIFCFTHHFPSPADCMMANLIILFS >KQL07543 pep chromosome:Setaria_italica_v2.0:V:40418149:40420387:1 gene:SETIT_003082mg transcript:KQL07543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGDSGGAAPARRDLVCVTGGSGFAGSWLVRLLLDRGYTVHTTVKNLDEAETKHLQALGGTNTRLQLFQMDLLDPASVRPAVEGARGNEFLEPAVKSTLNVLRAVKDCGVGHVVLMSSPAVMVVDEDCWVDVELLKKVQFWYSVSKTLAEKAAWDFAARRDCRWLCLIQGWYWVQY >KQL05460 pep chromosome:Setaria_italica_v2.0:V:24724079:24725897:-1 gene:SETIT_002220mg transcript:KQL05460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREAAATRPKLRRGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRGSFSQQEEDLIISLHKMLGNRWSQIASQLPGRTDNEIKNFWNSCIKKKLRQRGIDPATHKPLDDDDASAAANNAAEAPRDDCNQLPAATDDHCFAMGGARSDPIAPHSPTVSFDPLSVTNVPAMQGSYGAAHSFRSADNLCDYGGVDVASDAATYSAYTGGGADSSSNSNGTWTCGGNVVGGGEPMPHMDMFGRDAAEAAYHQFDPAKYSPWQQHEAARLHDGVGGAASFPIRSMSRDLPDSCFDLARSALEDEFSVDFL >KQL03563 pep chromosome:Setaria_italica_v2.0:V:3188113:3189310:1 gene:SETIT_002491mg transcript:KQL03563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSCATRGTLLIAVVAFVVASGSAGVAEARYGGPGQCSPVAALVSERLYNSLFLHKDDPACPAKGFYTYASFIMAARTFPKFAATGDLNTRKREIAAFFAQISHETTGGWATAPDGEYSWGLCYKEEISPGSNYCDATDRQWPCYPGKSYHGRGPIQLSWNFNYGPAGRALGFDGLRNPEVVANCSETAFRTALWFWMTPRRPKPSCHEVMVGEYRPSAADLAANRTPGFGLVTNIVNGGLECNRTDDARVNNRIGFYQRYCQIFNVDAGLNLDCAHQQPY >KQL08102 pep chromosome:Setaria_italica_v2.0:V:43570166:43571726:-1 gene:SETIT_003596mg transcript:KQL08102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPHGRRLAGEESLRRWSELARAVLQYIFFTERQQVDCETAAAWFKTCSNDKQVLATLMTWTQKLHPAVSRPRAHLK >KQL08788 pep chromosome:Setaria_italica_v2.0:V:47083086:47085967:-1 gene:SETIT_001951mg transcript:KQL08788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHAKYRVAVIGSGNWGSVASRLIASNTAKLPSFYDEVRMWVFEEILPTGKKLSESINEENENCKYLPGIKLGANVIADPDLESAVKDANMLVFVTPHQFVEGICKKLVGKLRPGAEAISLIKGMEVKMEGPCMISKLIADTLGINCCVLMGANIANEIAVEMFSEATIGYRKDKEVANRWANLFTTPYFLVSVAEDIEGVELCGTLKNIVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLFPSVRDNTFFESCGVADLITTCLGGRNRRVAEAFARNGGKRSFDELEAEMLHGQKLQGVSTAREVYEVLTYRGWQELFPLLSTVHEICIGQLPPTSIVEYSEHTPNLSIIGGPTPFY >KQL08789 pep chromosome:Setaria_italica_v2.0:V:47083793:47085771:-1 gene:SETIT_001951mg transcript:KQL08789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHAKYRVAVIGSGNWGSVASRLIASNTAKLPSFYDEVRMWVFEEILPTGKKLSESINEENENCKYLPGIKLGANVIADPDLESAVKDANMLVFVTPHQFVEGICKKLVGKLRPGAEAISLIKGMEVKMEGPCMISKLIADTLGINCCVLMGANIANEIAVEMFSEATIGYRKDKEVANRWANLFTTPYFLVSVAEDIEGVELCGTLKNIVAIAAGLVDGLDMGNNTKAAIMRIGLREMRAFSKLLFPSVRDNTFFESCGVADLITTCRMYLHCNQLTIKQINTEK >KQL05917 pep chromosome:Setaria_italica_v2.0:V:29058500:29061467:1 gene:SETIT_001461mg transcript:KQL05917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCPCGLLLALALAAALSAAGAQETCSGAVPAPPRRGARVSVASFGGAGDGRTLNTGAFARAVASIDRLRAPGGAELYVPPGVWLTGPFNLTSRMTLFLARGAVIRATQDTPSWPLIGPLPSYGRGRELPGGRYISLIHGSGLQDVVITGENGTIDGQGSVWWDMWKKGTLPYTRPHLLELMSSSGIIVSNIVFQDSPFWNIHPVYCSNVVIRNVTVLAPHDSPNTDGIDPDSSSNVCIEDCYISTGDDAVAIKSGWDEYGIAYGRPSSDITVRRITGSSPFAGFAVGSETSGGVQNVLAEHLNFFSSGFGIHIKTNTGRGGFIRNITVSDVTLDNVRYGLRITGDVGDHPDDSYNRSALPVVDTLTIKNVQGQNIREAGLIKGITNSAFSRICLSNVKLSGGTPVRPWKCEAVTGGALDVQPSPCTELTSTSGTSFCTNSL >KQL03586 pep chromosome:Setaria_italica_v2.0:V:3313469:3313959:1 gene:SETIT_005328mg transcript:KQL03586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPSSNFQAATADQQLTHSSCSSGQCVHTSKIETPVISNSNIRSLRISSSSGKNKKKTKQCFCQSFRVPVLQCTNASSIVCDYS >KQL05993 pep chromosome:Setaria_italica_v2.0:V:29844111:29845664:1 gene:SETIT_001435mg transcript:KQL05993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLLSRVATAALLLVLVLTVTTSTSPAAAQRFPARARPTKSGYLNVTSTNSLYFAFYEATDPVTAPPTAAPLLVWLQGGPGCSSLIGNFAELGPYLLLNSTGLSRNRNRWNRRFGVIFIDNPLGSGFSAPASEADIPRDEPTIAAHLLAALQSFMALDQSFRARPLFLAGESYAGKYIPAAAKHILDANDKLPAGRRVNLQGIAIGNGMTHPVAQVTVHADQAYFAGLINAKQKTSVEAMQNRTASLVRAGNWTGARRERNRIISFLRNVTGVATPFNYARERPYPTRPLLNLLNTDEAKAALGARRDVVWVRCSKAVSEALAEDIMRSVKGDVEAVLARDGGATRVLLFQGVFDLHSAPASVEAWVRELEWPGLPAFLDADRAVWRLESGRLAGYVQRSGTLANVVIVGAGHMAAGDNRPAAQAMIEGWVLQTGPFAGAGAQSSTS >KQL04546 pep chromosome:Setaria_italica_v2.0:V:9683000:9686156:1 gene:SETIT_002145mg transcript:KQL04546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIYRFLCGLCAPSSSEQALHGAHPAVAALGRDILSFGANSQVPDELSRHVVSSKKAQANWYKKLLVAWKKARPPPKTPEEAARFVVQTLKNHQKADVEGFLAFYGLPHPNAAAGAPAAPAPPKPQGPPKPQGAKFELHTLPIDPKSVADGDTINVYVDTADPRESGSVPREVQKAAAERAKARAAKNYQKADALQKVIVDAGYRPVPNARGEEVLAKKYRIRLRGIDAPESAMPYGKEAKEALLKLVQGKSLKVYVYDQDRYGRCVGDIYCDGVFVQEQMLKKGFAWHYTAYDQRPELAKWEKQAQTGRKGLWASSKPQKPWEWRKDKRNGTA >KQL06483 pep chromosome:Setaria_italica_v2.0:V:33733152:33736408:-1 gene:SETIT_002080mg transcript:KQL06483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAKAAAYYDELNRKGEGARRFKQGLGFSSSDAASFPSKPAASSSFLSGFVRAGAAPAPAQAQPPNLAKPPPPPPERPSSTRHRSRSPPPSRPRTRSRSRSPSRSSRRRSRSRSRSRERRRRSRSRERDRRASRRRSRSRSRSPSRRSGRSSHSQGRRDRRHDDGRENSKGRGGRDGGKVDYPRLIEGYDRMTPAERVKAKMKLQLSETSAKDSTLGTATVRWERFEFNKDAPLDEDNDDVEVANDDASLVKNIGKSFRLSAVESKHEDRVRDAHEEAIFGVPTTSYVHTEAAEDEPKANDEEEKAEDIEAQPSSSLISDKVLAMQKGSWREWAQKLRQDSNT >KQL06807 pep chromosome:Setaria_italica_v2.0:V:35751227:35752496:-1 gene:SETIT_003078mg transcript:KQL06807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVWRILRHEKKWSAYVKKLSNEKDKSATPNPAQVVNVEVDPKQHPVGHKKAKQERNGKRPAPEAISAIDQKLDKFIEVCNKAEKMAEVQEGLANKKLEAAQLNHKTAQEQTKCKMLDLYKELLSAPTNDLSEEALAERSKAIESMRLALFSKDN >KQL06037 pep chromosome:Setaria_italica_v2.0:V:30255240:30255675:1 gene:SETIT_005530mg transcript:KQL06037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYKVSFISRIYTACLCINICLLCFLEHQLNKRTKQCKNNQYVTWE >KQL07696 pep chromosome:Setaria_italica_v2.0:V:41302741:41307744:1 gene:SETIT_000787mg transcript:KQL07696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDSVHDNIVLRNCVKDILAQIKPVEDDRSKRLSTIQELENCIHSLASLAGTAVKPFGSFVSDLYSKSGDLDLSVQFGNGLNLPINKKKKQNVLREVRRALQIRGVAGYIQFIPHARVPVIQYVSNRFGISCDISVNNFAGRIKSKIFYWVNTLDERFGDMVLLIKEWAKAQNINDPKSGSLNSYSLCLLVLFHFQTSEPPILPPLKDIYEGNITEDITEATLYDEQHLDELCVTNIARYRLQNMHQRNESSLCRLLGTFFKKFAHISALLDNVISTYSGQIERIQDNPSWMTKSYHLFVEDPVERPDNAARAVSMKGLDRIASAFNDACHKFDSLEHIDRKELLALLCTPGVGLKLGGRVTANYYTRTPPQRNNQHARTGGRAESDRRYQVSRFTGSRTVHKNPQANTTAHQAGVQFRNHPQVRQTAVAHPNHSPQNYTTVHQTAPYQNHTVPQVYSAQPQTAGPYQNQYQQVYAAGFQTEGPYLDHNQVHGTGFQTARPYQGHNQVYQAGFQPAGTYQNQNQQVRTPGLQTTGPNQNQQRRKGYTSNHQTNRHAATTARYEPVRGQFNNGSIWDSRSQASNSAASQR >KQL07948 pep chromosome:Setaria_italica_v2.0:V:42737790:42744110:1 gene:SETIT_004751mg transcript:KQL07948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDDATVAVVVVLAALAALAAAGRAWGTEEERGNGTAAGRRVEVTYDGRALILDGARRMLFSGDMHYPRSTPEMWPDLIAKAKKGGLDVIQTYVFWNVHEPLQGQYNFEGRYDLVKFIREIHAQGLYVSLRVGPFIESEWKYGGLPFWLRDIPNITFRCDNEPFKRHMQKFVTKIVNLMKDERLFYPQGGPIIISQIENEYKLVEAAFHSKGPPYVHWAAAMAVNLQTGVPWMMCKQDDAPSPIINTCNGLICGETFLGPNSPNKPALWTENWTSRYPVYGQDQRYRSAADLAFAVALFIARKKGSFVNYYMYHGGTNFGRFASSYVTTSYYDGAPLDEYESECVAFLVNFDKHKISTIQFGEEVFQLAPKSISILSQCRELVFETGKINAQHGLRTAKVVQSLNHVDRWKTFKEPIPTSPSNISHVGNQLYEHLSTTKDETDYLWYLTTYNYRRSGNGQLVLNVEAHAHILHAFINNDYVGIVHGSHDKPGNIVLKAPILLREGRNSISLLCVMVGSPDSGAYMERRIFGIRKVSIKRRQQRPCSMNNEMWKHQVGLSGEINKVYTSGGSSRAEWTAIDRSMHLPLIWYKTTFDTPWGNDPVTLNLSSMGKGEVWINGESIGRYWVSFKTPSGQPSQSLYHIPRRFLKSGDNLLVLMEEIGGDPLQITVNTVSVTRIYGSVSEFSTPSLLSRENHPAVHLRCQKGKRITDIEFASYGNPVEDCRESGRSCHGSCHAEMSEFVVKNACQGRRKCAVPVRAAKFGADPCPGIAKSLSVVAICG >KQL08402 pep chromosome:Setaria_italica_v2.0:V:45213406:45216055:1 gene:SETIT_002239mg transcript:KQL08402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEAFSNGLCFAEIQEEVSAKVLEFLESPCVTRDVVLTDKKKGKKRGRRPKGSGEATPEDASAEKKRKRGRNQAAEAGKENDDEEDGGPAGSEDASTGDEGDGDSEANDHAMSDDEPDEPPAKKKSTDVNQVKKEPGSNARENNARGKKASTKPAKGASKPPQDTKDEPNVEIKKVGRRAKSSKESDVPKDSNKVNKVSKSKKDDGKESQNNKAAKPSSKNKGKGKGGAEAGTAPTTEQLHAVVSSILKEVDFNTATLADILRQLGTHFEMDLMDRKAEVKRIIEEVINSMSDDDDGEEASEDEAEDNGKEEKSKGDPDEEK >KQL08401 pep chromosome:Setaria_italica_v2.0:V:45213529:45214954:1 gene:SETIT_002239mg transcript:KQL08401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEAFSNGLCFAEIQEEVSAKVLEFLESPCVTRDVVLTDKKKGKKRGRRPKGSGEATPEDASAEKKRKRGRNQAAEAGKENDDEEDGGPAGSEDASTGDEGDGDSEANDHAMSDDEPDEPPAKKKSTDVNQVKKEPGSNARENNARGKKASTKPAKGASKPPQDTKDEPNVEIKKVGRRAKSSKESDVPKDSNKVNKVSKSKKDDGKESQNNKAAKPSSKNKGVRQ >KQL04870 pep chromosome:Setaria_italica_v2.0:V:12552867:12557250:-1 gene:SETIT_000760mg transcript:KQL04870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDFQSWNLITCGSVSWLPYFVVMEEQNSWLRRTKFSHTVYTRVDPRRVPVAPVGKDVVVPFAPLGKDVERKLQKFVSMGKSVSMPVHRDDEGTGTALKHCDSLPLVRSSLQLDRDKSNKPKRATLEIPSSPPMNSENCRGPRARSLVKSPSSMMLLSYLNKAPSNQSSSPQKAYGPQQRPRSKSPLPSIAPSEVFREAKSSSQRFSSPPPQRRGSEKSIYGKSFARQVSDMGKSPDWCSTPVVSGKHKSQKDNSWARKYSGGRRVSAVNPADDRRAQMVRMNQAVQTAVDWTLDPSKLLVGHRFASGAYSRLYRGVYDDKPVAIKFIRQPDDDDNGKMAAKLEKQYNSEINSLSHLYHKNVIKLVAAYKCRPVFYIITEFLPGGSLRSYLNSTENHPIPLEKIISIALDVARGLEYIHSQGIVHRDIKPENILFDDNFCVKIADFGIACEETLCDVLVDDEGTYRWMAPEMIKQKAYNRKVDVYSFGLLLWEMVSGRIPYENLTPFQVAYAVANRNLRPTIPPKCPSALRPLIEQCCALQPDKRPDFWQIVKVLEQFHSTLSQGGCLDIPKSGTCQDPKKRLLQWIQKLKPAQSN >KQL08318 pep chromosome:Setaria_italica_v2.0:V:44752406:44753229:-1 gene:SETIT_003396mg transcript:KQL08318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLLPLFVFLLFSSAAASSSSQAQGAAPSRRGLVPVAPAEGELGAMALGLNDTRRRLGGSFQLCAPCTCCGGDRHTCVLAPCCYAINCNIPNRPFGYCSFMPKSCDCLGCNL >KQL08317 pep chromosome:Setaria_italica_v2.0:V:44751811:44753293:-1 gene:SETIT_003396mg transcript:KQL08317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLLPLFVFLLFSSAAASSSSQAQGAAPSRRGLVPVAPAEGELGAMALGLNDTRRRLGGSFQLCAPCTCCGGDRHTCVLAPCCYAINCNIPNRPFGD >KQL08781 pep chromosome:Setaria_italica_v2.0:V:47060666:47062354:-1 gene:SETIT_000860mg transcript:KQL08781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPSLRRLLAHRRLLSTAIATAATPEAVIYNIHNLSTDPSRALAFFRRSAAAGQPVGSAAYNLMLRTLASDPSSAQSHFWPFIREMQEAGYPVDQGTYLAALASFKKASLTADYAALTAHYNKAREEAKGGTASVAAEAVRELDGADLDKKLGTIGLLPLTETAVAKVLRELRDYPTKALAFFRWAGRQQGYKHGSVAYNAMARALGREESVPEFWDLIQEMKAAGVHVDIDTYVKLSRNFQKRHMIREAVELYEHMMDGPFKPAQKDAPLIIRRISLSPSPDLDLAIRVVSKYESVWDKKTKELFDAIHRVLTSNGSFDEAAEVVQRMRAEGHQPDNVTYSQLVYGLCKAGKLDDARKVFDEMEAEGCIPDLKTWTMLVQGHCAAGDVDRALQCLTEMIDKNLDADADLLDVMVKGLCSHEKVDAAYTLFVQMVDKAHLRPWQGTYKHVIDELLRLKKLGEALALLRSMKARSFPPFTEPFPPYIAKHGMVEDARDFLKALTVNTKCPTPATYLHVLKSFFAEGRYSEAQDLLYKCPIHIRKHPHVTKLFESVKVESAS >KQL04146 pep chromosome:Setaria_italica_v2.0:V:6829914:6830613:1 gene:SETIT_005355mg transcript:KQL04146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSHGTGALRRSSRRTRPPRPRDHLTAVRPPRLTARIRQDARVFRRSS >KQL05825 pep chromosome:Setaria_italica_v2.0:V:28285151:28286326:1 gene:SETIT_004932mg transcript:KQL05825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPSLLPLKKRALNDSGGGSSPDDSCSPSPKKRKEDAGGREAPVIPRQHRRRAPDAVAAKAKPLSAAEKWLKKGKWIVTAQEAASPVAQPEPPVKKFMQDGIRSFAETAARIERNLGGVAPRPRDADSPMVYADGDLGEALERRLADLGATRPWFVYQKTLWKSDVCSNQNRLLVSCKRDTGVEGCPITACFSADEWRRVENKDVGLLVTALDRDAVPHKLTCKFLDSNGGYRFISGWKDFLRQNGMGLDSRGRWTRDVDVELRAFRSRALQRQPLLDGNGKVLMVKGEDGKLNKTLEVDDHFHPDGSLGLILLHHEHRRRRAEPEEEEDDGDYDEGMGSPVARDKPKKPREKRVAPVATLIARAGPGAEQSMSKVEMVDKFGEPMSNMV >KQL05338 pep chromosome:Setaria_italica_v2.0:V:22794626:22801052:-1 gene:SETIT_000629mg transcript:KQL05338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGASSPPPPWRRHHLCSEFLVLFLLLWGTSEANEEVAALPPRGWNSYDSFSWTVDESAYLQNAQILAEKLLPHGYQYAVIDFLWYRKYVDGAYTDSYGFDNIDEWGRPFPDLQRFPSSRIDRGFSQIASKVHGMGLKFGIHLMKGISVQAVNANTPILDIKTGKPYLENGRQWTARDIGLTHRTCAWMPHGFMSVNTDTGAGRAFLRSLYRQYADWDVDFVKVDCIFGTDYSPEEIITISELLQEIDRPIILSLSPGTEVTPALAENISDHVNMYRITGDDWDHWNDVSSHFSVASSFAAAKKIGATGLRGRSWPDLDMLPFGWLTDPSVNQGPHRKCNLTLDEQKTQMALWSMAKSPLMYGGDLTHLDDGTLSIITNPTLLKINHYSKNNMEFRYVYSGRTSKEEHSGRFRSPYHVHLTKNDGMFVGLTACSDDTANGWYVFSQDGKPDHICRNYEIQNDKSTSFCLGKTKPLLASDIIIMDSIEHQSKFHLSVRNTGDTCLDASAGRRRSASDIRFPMFSACRWHAKQMWELNANGNLVSSYSGLCATVESRDEGGTIGARAWVATGSKGEIYLAFFNLDSTSRKMAARISDLGKVLRRAFLRKDLCRCTEVWSGKNFSLTKEVISAVVNPHGSMVFEIVC >KQL04037 pep chromosome:Setaria_italica_v2.0:V:6063978:6065289:-1 gene:SETIT_002414mg transcript:KQL04037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKSRILVVGGTGYLGRHVVAASARLGHPTLALVRDTAPSDAAKAALLKSFQDAGVTLLKGDLDDHAGLVSAVKLADVVISTVGIMQIPDQTKLAAAIKEAGNVKTQRFFPSEFGLDADRSDAVEPTRSLINASKAAIRRAVEAAGVPTIGQVLSQSPPVDKALVLGDGDTGVVFVDEADIAAYTVLAASDPRAENRTLHIRPPANTLSHNELLAMWEGKTGRAFERVHVAEDAVLKENPEASFPLNFILSIGHATHIQGEQSKLEVDPSSGVDASELYPDVKYTTVDDYRNRLL >KQL06780 pep chromosome:Setaria_italica_v2.0:V:35559395:35562549:-1 gene:SETIT_002024mg transcript:KQL06780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTVLEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSVGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWSSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAQRMSAAELLNHPFLKKFEDKDLDLRILVESLEPPMNIPE >KQL06781 pep chromosome:Setaria_italica_v2.0:V:35559395:35563839:-1 gene:SETIT_002024mg transcript:KQL06781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGKKPLKELKLSVPAQEIPVDKFLTASGTFKDGELRLNQSGLRLTSEENGDEDESTKLKVEDVQLSMDDLEMIQVIGKGSGGVVQLVRHKWVGTLYALKGIQMNIQESVRKQIVQELKINQATQSPHIVLCHQSFYHNGVIYLVLEYMDRGSLADIIKQVKTVLEPYLAVLCKQVLEGLLYLHHERHVIHRDIKPSNLLVNRKGEVKITDFGVSAVLASSVGQRDTFVGTYNYMAPERISGSSYDYKSDIWSLGLVILECAIGRFPYIPSEGEGWSSFYELLEAIVDQPPPSAPADQFSPEFCSFISSCIQKDPAQRMSAAELLNHPFLKKFEDKDLDLRILVESLEPPMNIPE >KQL08503 pep chromosome:Setaria_italica_v2.0:V:45731758:45732792:-1 gene:SETIT_002577mg transcript:KQL08503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGKKHFILVHGLCHGAWCWYKVATLLRAAGHRVTALDLAASGAHPARLDEVRSFEEYSGPLLDAVAAAAPPGSGGERLVLVGHSHGGLSLALALERFPRKVAAAVFVAAALPCVGKHMGVTTEEFMRRTASGGLLMDCQTVAINSSNSNNGVAIVMGPRFMEEKYYQQSPAEDLTLAKLLVRPGNQFLEDPVMKDEALLTAGNYGSVRKVFVVAKADGSSTEEMQRWMVDMSPGTEVEEIAGADHAVMNSKPTELCDVLLRI >KQL08169 pep chromosome:Setaria_italica_v2.0:V:43950607:43950942:1 gene:SETIT_004166mg transcript:KQL08169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPPSTIPCAIRGNPVNILYNPTVGANIISSECVMQLFGDEPLVPTDKTFQTSSREFLEGVGILQHMSIRHKDIEAVLDFHVFDVQSFDLMIRHPIEKLLIDAPTQDKLDA >KQL03427 pep chromosome:Setaria_italica_v2.0:V:2287706:2288308:1 gene:SETIT_005103mg transcript:KQL03427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTTERGDSFPALIRDVQEQWWVGGKDDWLAVVDACGGARLLNPYTGRQIDLPRIDARPCVIKTERAFDRIVVCATPSDDKGYLVIGMVNDNDDYLLAMARGGDESWTALRNPGGHLAGYKDAVVHKGKVFAVDRLGSIYAWDIQGGACTDPEVLQPRHVDRGELQQLQLESWKLAESADGRRLLLVCTYGEMANCSQSS >KQL04188 pep chromosome:Setaria_italica_v2.0:V:7082229:7082526:-1 gene:SETIT_005369mg transcript:KQL04188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFQDLAEQLGIRALSNKVNGEDTAVRDALFPKDCAKNTRFAINFFTAIGLGGVTEAARKLIV >KQL04384 pep chromosome:Setaria_italica_v2.0:V:8652872:8656349:1 gene:SETIT_001411mg transcript:KQL04384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRGETAVVPIDVTSGGGGGTGGGGQERPKSERHRSHGPGHHGRHGPHHQHRSRPPPPPPPAFRPFRRWFPFLVPLFIVANVVLFVLTMYVNDCPAHAQATGAAIGGSVGESATAQGCWLVPELGRFAFQSFKENPLVGPSSATLLKMGALETSKVTKDHEGWRLITCIWLHAGVIHILANMLSLVLIGIRLEKEFGFMRIGALYVISGVGGSLLSSLFMVSNISVGASGALFGLLGSMLSELITNWTIYENKVDSKMYAIFSQIIPYSMFLILLLFIKNQIAALLTLVMIIVINLAVGILPHVDNFAHLGGFTSGFCLGFVLLMRPQFGYINQKNSPLGYPMGVTKRKFKIYQIILFVIATVILISGFTVGLVLLFQGFDASQHCSWCHYLSCVPTSKWSCKTPNNSCMSSQLGNQLNLTCQSTGKTASYVLNNPNSQEAIRNLCVHLCS >KQL06370 pep chromosome:Setaria_italica_v2.0:V:32890074:32893281:-1 gene:SETIT_004189mg transcript:KQL06370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEVSAHSPQHKRPARQAWTGADREGGGGGVDSLSRQEGAARSLRQPSDVAKKGKEKVGDGESAWAAGPPKLRGESLRDVRGVVGAGTDPWSELVSKRKAGDDGDVGAGCWGGWGDWGDQLRNSVTLLPQGSESKEYHNGSAAAGDRWKGILGARPADPSNTPGYPWDAGKRENGVGMFTEGSLANREVSACDDFIMEDSSSTWLSRIKGLNFPLPDEHQLRTRQIEDDEVFARKLQEQLNQEQPGSQHSESVDMTIAWTLHEQDAEHARFAAREGQSSSSQRDRSMAHLYSFGRHSPVQSSASWAPNRTPIPVSSRSLPRNTNCPQAAQRDMLISQLTRGCFREDMDLETRMAVLDSLAEAFDNCGDTFSPDSDDDDYENLIALDSSNYHRGASDDQINSLPLSLVEGDSCSDEPCPICLDCPAAGDCLRHLPCMHKFHKECIDRWLGMRISCPVCKSTVFSQ >KQL06557 pep chromosome:Setaria_italica_v2.0:V:34164333:34167803:-1 gene:SETIT_001669mg transcript:KQL06557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTPALAAGGRTVANLLSATEWMLPSPASQVHTISVFPSQSQSPTPRHEFAFSNLTTSLGKGGGKGVQVGSSRFHVVRDDLLHPLANGNKARKLDALLPLLRRHGATDIVTCGGCQSAHVAAVAVHCAEWGIRPHILLRGEQLDVPTGYNLISLMFGNVTYASRSVYAQRDEMLYEHATKVAGSNGTVMWADDIVGEDLVVDEDTTDGNCSRRVMIVKEGAGTVQALLGVMRLVDYLSGLTLFGQDEKVHIVVDSGTGTTAMGLALGAVCLGLQWRVTAVMLADTLERYRQQEKSLVSDFEKLYPGFFHGMVEIDTHGSLVQWVERFSPRRFGKVLDGEIAMCRQVAQQTGILLDPMYTLAAWEQAVDLCRRDSEVKVVMIHTGGTLGLFGLAQRYSPQFTTGEQS >KQL04056 pep chromosome:Setaria_italica_v2.0:V:6168525:6172461:-1 gene:SETIT_001885mg transcript:KQL04056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLQCFKQSGGGGNGCGPGKRLERRLSLGDYKKAVSWSKYLIAPPGARIRGGGEELWSADLSKLEIRGKFASGRHSRVYSGRYAGREVAIKMVSQPEEDAALATELERQFASEVALLLRLHHPNIISFVAACKKPPVFCIITEYMAGGSLRKYLHQQEPHSVPIELVLKLSLDIARGMSYLHSQGILHRDLKSENILLGEDMSVKVADFGISCLESQCGSGKGFTGTYRWMAPEMIKEKHHTRKVDVYSFGIVMWEILTALVPFSDMTPEQAAVAVALKNTRPPLPASCPVAISHLIMQCWATNPDKRPQFDDIVAILESYKEALDEDPSFVLSYIPPPPHHNHHHHHHHHNHHQSLLRCFPRSMRRSASMKV >KQL03864 pep chromosome:Setaria_italica_v2.0:V:5135293:5135679:1 gene:SETIT_004341mg transcript:KQL03864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKSPAFLVLLTITMTLIFSVSVHGCEQYSCSSPPPPAVPTPPGATCPINTADLSVCVDLLGYLLKIRLNAPPQPCCTLLKGVANADAALCVCGVIKVLNLISVPVDVNLLLNECGMTCPPGFTCPL >KQL04198 pep chromosome:Setaria_italica_v2.0:V:7140286:7141893:-1 gene:SETIT_003760mg transcript:KQL04198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEEPGEGEAAHGGGGSRAGAAVAEHASPGVERPRIDISIDAQLLHCAITECNRPLKPPIFKCEAGHLLCGACRSDRRDEGHCRRCGGATAFAHCGPELDLYVGDARVPCPFRAYGCRRSVAYHATAAHQDACPYAPCHCAVPGCPFTASPPRLRDHLAFDHAWPLDRLPGYGKPHPLRVPAGEPHHLLVVEGDERRLFALCVRPRGAASLAVSVSCVRTAAAAEAGPRFTCMLWAQTPAPAAQGAPAGGKGRRLMMEADVASCAVPGGTAVEEGMALYVPPPMLNGPAKEMNIRVRIDVLDPAPASLRSANASSRKA >KQL07458 pep chromosome:Setaria_italica_v2.0:V:39830545:39847648:-1 gene:SETIT_000012mg transcript:KQL07458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGTKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGLAKPTRKIRSEQEKELEEEAVVETTGANTSEEAAADAPVEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASAKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLAKGLRAHFSGNARMLLPVLLEKLKEKKPTMTEALSQTLQAMHTSGCFTLIDVIEDVRVAVKNKVPLVRSLTLTWVAFCIETSNKANVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASETVLSSGTAPIPTSGAATSARGAADSLSMKRSAASMLSGKKPVQAAVAAKKSGPSKSTASKKTDGGSQSKTSAAPEIEDVEPAEMSLEEIEERLGSVVKAETISQLKSTVWKERLEAIGMLKQEVESLTELDKSAELLIRLLCAVPGWSEKNVQVQQQVIEVIAHISSTVNKFPKRCVVLCLLGISERVADIKTRAHAMKCLTAFCEAVGPGFVFERLYKITKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDMIDFCKDIGLQSSAAATRNATIKLIGMLHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGAAAPPKRTVRVLDTASSTSAGPSDGLPREDISAKITPTLLKNLGSPDWKVRLESIDSVNKIVEEAHKRIQPTGTVDLFTALRGRLYDSNKNLVMATLSTIGGLASAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYIIVTLGDQKTGSEGRKDLFDWLSKHVSKMGDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQEVVGRNLKDLPSPTLAIVAERLKLTTVHEGFSESVKMVTTSMSLPSKAGLKSSKHGPNDRGSNVGKPVSQRGLPARASVTMVSSQDHLQSQALFNIRDSNKEERERRVLVRKFKFEEPRREQIDELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDLLKDQSYMLTEAEAAIFLPCLMEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYVLEGLRSKNNRTRIECVDIIGYFMDHHGTEVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMEKRREGRPGDARAALRRSVRENGSDVAEQSGEVVSRSVAGSMISRDNFGYADAHMNRHMVPRQMPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESSVLEDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLITLLRPLDPSRWPSPTPTESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRKRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNSPNPSTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFSQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSISGKTESNEDDASAEAQQAFRGDDSRLHSMDQQTDRYQTSGTLDALRERMKSIQAAAVGHFDGSQARPLASMNGSNMLHAGTQLDGEPQQQSNIPPMDERALSGLQARMERLKSGSMEPL >KQL07459 pep chromosome:Setaria_italica_v2.0:V:39830912:39847648:-1 gene:SETIT_000012mg transcript:KQL07459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTEDEKLLKEAKKLPWDERLQHKNWKVRNDANIDLAALCDSITDPKDARLREFGPLFKKTVADSNAPVQEKALDALLAFQRAADADASRYAKEVCDAIVAKCLTGRPKTVEKAQAAFLLWVELEAAEVFLESMEKAVKNKVAKAVVPAIDVMFQALSEFGTKVVPPKKILKMLPELFDHPDQNVRASSKGLTLELCRWIGKEPVKSILFEKMRDTMKKELEAELANVSGLAKPTRKIRSEQEKELEEEAVVETTGANTSEEAAADAPVEIDEYDLVDPVDILTPLEKSGFWDGVKATKWSERRDAVAELTKLASAKKIAPGDFHEICRTLKKLITDVNLAVSVEATQAIGNLAKGLRAHFSGNARMLLPVLLEKLKEKKPTMTEALSQTLQAMHTSGCFTLIDVIEDVRVAVKNKVPLVRSLTLTWVAFCIETSNKANVLKLHKEYVPICMECLNDGTPEVRDASFSVLTAIAKMVGMKPLERSLEKLDDVRKKKLSDMIGSASETVLSSGTAPIPTSGAATSARGAADSLSMKRSAASMLSGKKPVQAAVAAKKSGPSKSTASKKTDGGSQSKTSAAPEIEDVEPAEMSLEEIEERLGSVVKAETISQLKSTVWKERLEAIGMLKQEVESLTELDKSAELLIRLLCAVPGWSEKNVQVQQQVIEVIAHISSTVNKFPKRCVVLCLLGISERVADIKTRAHAMKCLTAFCEAVGPGFVFERLYKITKEHKNPKVLSEGILWMVSAVEDFGISNLKLKDMIDFCKDIGLQSSAAATRNATIKLIGMLHKFVGPDIKGFLSDVKPALLSALDAEYEKNPFEGAAAPPKRTVRVLDTASSTSAGPSDGLPREDISAKITPTLLKNLGSPDWKVRLESIDSVNKIVEEAHKRIQPTGTVDLFTALRGRLYDSNKNLVMATLSTIGGLASAMGPSVEKSSKGILADVLKCLGDNKKHMRECTLTALDSWVAAAQLDKMVPYIIVTLGDQKTGSEGRKDLFDWLSKHVSKMGDPSEALPLLKPSASSLMDKSSEVRKAAESFMNEILKICGQEVVGRNLKDLPSPTLAIVAERLKLTTVHEGFSESVKMVTTSMSLPSKAGLKSSKHGPNDRGSNVGKPVSQRGLPARASVTMVSSQDHLQSQALFNIRDSNKEERERRVLVRKFKFEEPRREQIDELKIDLFKHFREDVSLRLWNSDFKRQIDGIELLQKALPSSGKEVIELLDILLRWFVLRFCESNTTCLLKVLDFLPELFDLLKDQSYMLTEAEAAIFLPCLMEKSGHNIEKVREKMGELIKQMVNIYSLPKLLPYVLEGLRSKNNRTRIECVDIIGYFMDHHGTEVGGLMKNLPSVAALTAERDGEIRKAALNTLATAYKNLGDDVWRYVGKLSDAQRSMLDDRFKWKAREMEKRREGRPGDARAALRRSVRENGSDVAEQSGEVVSRSVAGSMISRDNFGYADAHMNRHMVPRQMPAATGPADWREALEIVALGLPEQSVEGMKVICHELTQAADPESSVLEDLIKEADRLVSCLAVMVPKTFNFSLSGASSRSCKYVLNTLMQTFQIKRLAHAVKEGTLDNLITELLLWLLDERVPLMDDGSQLLKALNVLMLKILDNAERTSSFVVLITLLRPLDPSRWPSPTPTESLAVKNQKFSDLVVKCLIKLTKVLQSTIYEVDLDRILQSIHIYLQELGMEEIRKRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDAEPQPIILAYIDLNLQTLAAARMLTPSGPMGQTHWGDAASNSPNPSTHSADAQLKQELAAVFKKIGDKQTCTIGLYELYRITQLYPKVDIFSQLQNASEAFRTYIRDGLAQVEKNAAAGRTPSSLPLSTPPPIAAIPSPKFAPSPVHTKSISGKTESNEDDASAEAQQAFRGDDSRLHSMDQQTDRYQTSAGTLDALRERMKSIQAAAVGHFDGSQARPLASMNGSNMLHAGTQLDGEPQQQSNIPPMDERALSGLQARMERLKSGSMEPL >KQL07170 pep chromosome:Setaria_italica_v2.0:V:38073078:38074983:1 gene:SETIT_003064mg transcript:KQL07170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWRWHDDDGDSGRGLAGGGGEGAQCATRRVVQSRCHTEEVEPGRFVRKCEKTEQLLRDCVGRPSELVESKTENTEEDVTEEMKSGSLSLGFPTNEPFAFPGLRSDIEALEKGLFGSLGSVLNEAERMTNDFFKSFGFPSTHDRESSPFPRQPAERHIEEGTTTKKAKEGDYSEFSSQISDV >KQL07789 pep chromosome:Setaria_italica_v2.0:V:41768362:41770224:-1 gene:SETIT_004468mg transcript:KQL07789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGGVRKKSGIYKLLVIFLFFGCAIVALLVRGNGGCCVVVQLAVLPRAIPVSLGDAPPAARVSSSTPSGEIPTTSSEAPSSSPHDRQESEAVAASEEGEVFSARPYAADSEWDIQWESVARSLSSSSGNDDTGSGIVRVGLLNFNSSEVARWRSTLPAADVRAVSLAPAADAVTWEALYPNWVDEDSNRSSCPSLPDPDPAVHDFDLVAVKLPCRRQGWSRDVRRLHLQLSAAKLALHGSSSSTPKAGMVLILSEPKCLPLPNLFPCKHLLSRHAHAWLYSPDAAYLRHRLDLPVGSCQLAVPSLRPPPPGQPGATPPRSSIGRQAYVTVLHSADAYVCGAIALAQSIRQSGSTRDLVALVDAENVGAEHRAALATAGWQVRPAPRIRNPRAARDTYNEWNYSKFRLWQLTHYDKVVFLDADLLVLRDMDFLFEEASELSATVNSGVRFNSGVMVLEPCNCTFELLMSGIHDIDSYNGGDQGYLNEVFTWWHRLPRRANFLKYVWAEEVRTAQARVLSAEPAEVHAVHYLGIKPWLCYRDYDCNWNVPALRQFASDEAHARWWAVHDRIEPPELRDRFCALGESQVAMLEQHRRDAATVNASDGHWNRTITDPRRLIKAN >KQL03199 pep chromosome:Setaria_italica_v2.0:V:297156:298942:1 gene:SETIT_003337mg transcript:KQL03199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRILNDALRTMVNADRRGKATALLQPISGVMVSFLNIMKHRGYIKSFEVTDPHRVGKINVELHGRIKDCKALTYRQDLRAKEIEQYRVRMLPTRQWGYVVITTPNGVLDHEEAIRQNVGGQVLGYFH >KQL03729 pep chromosome:Setaria_italica_v2.0:V:4342409:4344502:1 gene:SETIT_004871mg transcript:KQL03729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDNGNLVLVDGGNSSNVLWQSFDHPTDTLVPEAWLGENKLTGEYQTLTSSRNAEDPAPGTFTCTVDPSGSSEFFLLWNGSRAYWRSGVWTGRVFANMPEAVNNVLFNQTYVETPAYRRITSVLYNNATITRMVLDFTGQIKQYIWVPYSQSWQFFWAAPTVQCDVYALCGAFGICSQRSQPPCQCPPGFAPAMAREWGLNDWSGGCHRKASLHCTGNGSTDGFMELPYMNLTDDSLAVSAQSKAECESACLKNCSCQAYRFSGSGGCAVWYGGFRNLQQLYVDGGGSSSSSLHVRLSDSELRRVRGTNRKSGHLWLVLGIVLACLAALGASGLAAWVLLSRRKRQAKMRNQKDSSLVVYSYADLRAATKNFSERLGGGGFGSVYRGALNGGYAADVAVKKLEGLRQGDKQFRTEVNTLGLIQHVNLVRLVGFCSSRQDKLLVYEYMPNGSLDTYLFKSSLCPSWHDRCGIMLGVARGLAYLHEGCRECIIHCDIKPENILLDKDLCPKIADFGMAKLVGRDFSRVLTTMRGTVGYLAPEWISGLPISAKADVYSFGMVVFELISGRRNTERYDGTGRNSEDDAAAKAAGRPPSTAFFPVWAASRLSEGDAVAAVADPRLRGDVSEEEVERACRVACWCIQDQEEHRPTMAQVVQALEGVVDVHVPPVPRALQHLATNYSELFSEMNLELARTRSNV >KQL06657 pep chromosome:Setaria_italica_v2.0:V:34838234:34840217:1 gene:SETIT_002319mg transcript:KQL06657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNKQKVRRGLWSPEEDEKLVKYITTHGHGCWSSVPRQAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEALIVDLHRVLGNRWAQIAKHLPGRTDNEVKNFWNSTIKKKLISQAVGSLHPDLYYNILDGAGQGIAVAGCAPLSGADNASAQAATVSAQSPPPMLHNPAAAWADFVSQPLFLPGNNGVHGGGDGLHEYAVDGEFIKLCRAADAFPPPESGVAGVAANQCKPADLLAQQEGAAAAVRSLPVFLEPKGGTGTLAADPAMGPVMDFMEAILGSSSTSAVSASSVDSFSANTGMQAHCWIP >KQL05689 pep chromosome:Setaria_italica_v2.0:V:27011064:27013394:1 gene:SETIT_001744mg transcript:KQL05689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMASITGVLLLLLVVPSSSSHVKFDLHGNIYPGGCIYVSMRIGDQVYNLDVDTGSVLTWLQCHIPNCEGPCKTQHPLYKLKYDKLVPSMDPLCVELLQHPGNPEGSTCEYSIDYVKGVSHGLLIRDKFTLPIARTAHHIIPFGCGYNQQGFKPCQNLPVDGIIGLGRGSSVNLAFQLKKQNVIKNDVISHCISIRGGGFLFIGDYKHPNNVEWVTMDRNAEHGHYSPVLLGELFFNGKWISEKPMKVVFDSGSTYTYFDRQPYKATEDAVIGSLHKSLTTVRDNEFKLCWKGTKIFNSVDDVKPLFKPIFLTFGRGGAKGHKATLDIPPENYLVIKDGNVCFGISDHPRLGETNLIGAITMQERTVIYDNEAGRIGWFRDSCKGKSGSVIPSRL >KQL03157 pep chromosome:Setaria_italica_v2.0:V:134731:136035:1 gene:SETIT_001901mg transcript:KQL03157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPSLVALYVSICSVLFIASKMLISFLLYKKWARKKRIIDNSLAGGRMVIFRSGSGGNKALQSLSPKSFLGMLMGLSSKDVIGAGGYGTVYRLRVVGKAGGDGKAPCSLFAVKRLNRGSAEMDRGFERELDTMGDIKHRNIVPLCGYYAAPHFNLLIYELMPNGSLDALLHDSSSQERLSSWPSRYRIAVGVARGLSYLHHDCIPAVIHRDIKSSNILLDHAMEARLSDFGLATLLTGGPNATHVTTVVAGTFGYLAPEYFDTGRATTKGDVYSYGVVLLELLTGKRPTDESFLENGTRLVTWVKEAIEDKREDHAVDDALQLLPAHKDQVRLLFSVADKCLDSDPANRPTMAQVVKILEHQQGIDEDPSS >KQL08448 pep chromosome:Setaria_italica_v2.0:V:45514830:45515249:-1 gene:SETIT_005276mg transcript:KQL08448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFDVKQQNSGKWYDLVHAEAENERSIKSCYRSIGLN >KQL08746 pep chromosome:Setaria_italica_v2.0:V:46920713:46920898:1 gene:SETIT_004165mg transcript:KQL08746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQQSTRMVAMLLAALVVAAASLPAATAYGCYDDCYERCANGKDDPVCTKMCNQACGPDDQ >KQL07374 pep chromosome:Setaria_italica_v2.0:V:39391846:39392936:-1 gene:SETIT_004581mg transcript:KQL07374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EFQIFKDKASAINSAGVGDNKLDKMINRCLRPGQKLAVGLPEYNTVIETRLGIHCLFNDVHELMWGLKNLMKFLVPSEELELTDEDHLQMSRGMKSILNCYGFEVEAKIVKSHIINMASAMYECDVCVNKYTELLRYGVEQLEEMLSGAVAQKFVNDSDKYEDKLHMRAWLAIYKDIVGAHKLRSNRVRRLDHWHPWPRRPKKN >KQL03433 pep chromosome:Setaria_italica_v2.0:V:2339392:2346566:-1 gene:SETIT_000225mg transcript:KQL03433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQREATQPEEAAEARGREQPSSILPKENEDKDLKLSSRVVSLFFGGDISTPAQTFEKWLSLVRKRSGAFRPSGFPHRGSRIEVMPSGSFSLFGSGDLSEHLVREESVGKDPLTCDQPPEISLWERLGNASTLDIESSEFSWDVLSSLHHTEHSSGSEHSEDEMNKALEVTVNSGGVVFFALFSSSSNSELPEEAAAVIKFSSSKMATQAERLGYEFARLLGVQTPQARVVYNSSPEWQGIKHAAENARAVAVSNNDEVGEMTCSELMEALELSRCLILMSYIHGSPLLESSKAFNLREAACVTASSLGRVLMLDLILRNEDRLPCRQLGWRGNPANLMISDKSSSPNVDRLQDSISTTESSNRLIREILLREKRSHSTNGRLDSVELNPMSQKLEALKNERENTESTNDTFHIVAIDTGVPRRPPAGRRMKDHERYPKVVELILNCSDYSANILYEISGGKLGHPGPDEFTCTDSCVSLSDEDNAVAIHEFRGSFRAALRDLEGFHLFLLQLYQKLDGLLRVFLSIITKSSEEPDNNDCVLSDFPSPGASYSTPCKQLNNELHSDSEMLKSTTKSSSAGSRGSSDSVSPLSRDSWSNKFFKGSAEAPRNLRMTMKLRDFYKNPKVDPELLKEIEQWNEALKTDVIKFCQENNFHSGFFDGTENNMVADAYELKVRLEHIIERIALISDAANTERPSLVVNNLFIGGALAARSKYTLQHLGITHVLCLCSNEIGQSDSQFPDLFEYKNFSIRDDDDANISDLFEEASDFIDHVNHIGGKVLVHCFEGKSRSATVVLAFLMLRMGFTLAKAWNLLKKVHRRAQPNDGFAKALLALDKKLHGKVSMDWQHKRPEMKVCPICSKNVGLSTSSLKLHLQKAHKRLSAGSVDSAMTMEIQKSIESLRISRGGSLSPSQKLTKAFANELSF >KQL04430 pep chromosome:Setaria_italica_v2.0:V:8924803:8927178:1 gene:SETIT_004922mg transcript:KQL04430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLVQARKSGGVRQGNSRGTISTFICAWVRACLGTHAEVRRWRCPSAATLGLDPLLVDGPSGPSRAINWAGSVQVRQIPSGLQNLTSMCKLDLSFHDLQGEVPKCKKEKKGNLSKTLVITLTSIGAVVFSVSVLTVIVLICKKLRKRHESQLISTTEERYERVSYHALSNGTNGFSEDNLLGQGSYGMVYKCTLHDQGTTALRRVRPRCLVKIITCCSSINHQGQEFKALVFELMPNGSLNGWLHPESDTLTQTNTLSLEQRLHIAVDILDALDYLHNHCQPSIIHCDLKPSNIFLAEDVSEILPESASKTLQNSNSTTGIRGTIGYVAPEYGEGSSVSTQGDVYSLGILLLEMFTGRNPTDEIFNDSLDLHKFSENALPERIWDIVDPTIRMHTDAYNSTIRSGIKNCLVYVVSLGISCSEKQPRERIPILDAAIEMHAIRDSYLKFARSLLVEHGIYGSIK >KQL04540 pep chromosome:Setaria_italica_v2.0:V:9625608:9625835:1 gene:SETIT_003831mg transcript:KQL04540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRGYVPMVLVGDDEGGSEERRIMVRVEMLKEPCMAAVLEMAAQQFGYGQRGVLRIPCGADRFQQMVGAECAAT >KQL06054 pep chromosome:Setaria_italica_v2.0:V:30354797:30356790:1 gene:SETIT_004162mg transcript:KQL06054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPHLAAPSAAAPSSAASHTGPSRRRHRHRGATTTSSVACCRVAALACLLAAGAATALLTLSLPSTPGASTTTTTDFTVRLSVANQPPPPTHLPAPLAPATPPPPPPSPPAVRRRKREPSYWRMAPEEALRYAKREIRDAEPALDDPDLYAPLFKNVSQFKRSYELMERILKVYIYQDGRRPIFHTPPLSGIYASEGWFMKLLKESRRHVVADPSKAHLFYLPYSSQQLRLTLYVPDSHDLRPLSVYLRNFVRGLANKYPFWNRTRGADHFLVACHDWGPYTATSHRDLRKNAIKALCNADSSEGIFTPGKDVSLPETTIRTPRRPLRYVGGLPVSRRGILAFFAGNVHGRVRPELLRHWGDGRDDDMRVYSLLPSRVSRRMSYIQHMKNSRFCLCPMGYEVNSPRIVEALYYECVPVIIADNFVLPLSEVLDWSAFSVVVAEKDIPDLKRILQGIPLRRYVAMHGCVKRLQRHFLWHARPIKYDLFHMILHSIWLSRVNQVELDG >KQL05315 pep chromosome:Setaria_italica_v2.0:V:22421513:22421782:1 gene:SETIT_005344mg transcript:KQL05315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLQTRAQITHPWSRVLTDLMCCSHQKRADH >KQL04823 pep chromosome:Setaria_italica_v2.0:V:12122012:12124869:-1 gene:SETIT_002969mg transcript:KQL04823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKPAPVRVLYCGVCGLPAEYCEFGPDFERCKPWLRAHAPGVYPDDLIAPSSSGGGGDKDVDKLGERLQGVGISDGSTSSAAGDASASKPEEVKRLPGGKVKKKEKQEVVIEKIVRNKRKCVTVVKGLELFGVKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVEFITDTWPDVPESAIFFIEDGRKVPAA >KQL05128 pep chromosome:Setaria_italica_v2.0:V:16229265:16229667:1 gene:SETIT_004957mg transcript:KQL05128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QYKLQLNWMSLKDTVKKKLTMLENVKWFELLEGKDGSRRKRLQLARLLAIDRLIWLLDEPSIVLDAEGTRLLEYIIAEHRKEGGIVFVSTHLPIEIEDSMPHQLPQRFP >KQL04762 pep chromosome:Setaria_italica_v2.0:V:11447742:11453818:-1 gene:SETIT_000161mg transcript:KQL04762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITEKPSWIRHEGLQIFSIDIQPGSLRFATGGGDQKVRIWSMKSVDKDNANHDSSQRLLATMRDHFGSVNCVRWAKHGRYLASGSDDQVILIHERKAGSGTSEFGSGEPPDVENWKVIMTLRGHTADVVDLSWSPDDSTLASGSLDNTVHIWNMTNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHKTEGHWAKSLGSTFFRRLAWSPCGHFITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPVVVVKFNNSTFRKNFSNGQDTKAAPVGWANGASKTSTKEQQPYNVIAIGSQDRTITVWTTVSARPLFVAKHFFSQSVVDLSWSPDGYSLFACSLDGSVANFHFEAKELGYRLSDSEMDELKRSRYGDVRGRQSNLAESPAQLLLEQASAKQSAGKKGSSVAQPFQAPSKVSADVPNPAPVVQSQKAPEASPEDDKKIAGPTSDDVNKVNRLSSPVKQREYRRPDGRKRIIPEAVGFPSNQDNIPNRSQNQVVDFSSLDQRMNGKRPSYGSSGNCNNCEFRDRSGVTARANITESLVIQKASTSAGNDGRLSIEHTGSVVPGSLTSCSALSIHVQNKKDNEDSIPVCLEAKPVERAAGDMIGVGGAFSTKESEIKCTRGTETLWSDRISGEVTVLAGNANFWAVGSEDGCLQVYTKSGRRAMPAMIMGSAAVFIDVDDCWKLLLVTRRGLMYIWDLYNRTCILQDSLASLVASPDEASAKDADTVKVISAKFSRCGSPLVVLASRHAFLYDMGLKCWLRIADDCFPASNFASSFGSTQGGELGKLQIDIGKFMARKPIWSRVTDDGLQTRAHLETQLAASLALKSAQEYRQCLLSYVRFLAREADESRLREVCESFLGPPVGMVGSVSSRDPKNLVWDPDILGMKKHKLLREDILPSMASNRKVQRLLNEFMDLLSEYEAAETKADPMDVTPTPHCTTEASNKVIQPTIEASDKVMQPAAEASDKVMQPAAQARDKVMQPAAQANDEVMTS >KQL03486 pep chromosome:Setaria_italica_v2.0:V:2657173:2660972:1 gene:SETIT_005172mg transcript:KQL03486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNERTHRVRPVFVSLGRTPWPRLFRSRISAAPKPSSPSQPAQPKYLSMLLRAVTAGAVRRCISLAPARSAHELAQAQAQPRPRPQPQAQVAGPELRSLEPSWVPLYVRLSKLGSGRPPGSVAAELDAWVSKRRPISVVQIVAYVRKLRKFKKDACALELMDWMEARGAELGLIHHTLRLDLISKVHGIQAAEEYFWSLPDMFKSKKTYACLLNCYSAHGMEDQGLELYEKMKALNFVPDTLMYNSLMALYQKAGQPEKILTTFEEMRESGIMADKFTYFTLIESYIIMNDLDAAEKVLEELQDVAPVHWSLYTLMANSYIKLEQFGKAEAALKKAEKVMDRAELRSWHSLLSLYACSGNSTELKRIWESLRLAFKKCLNRSYRVMLQALSTVDDFDCLQQIFQEWQSSHEYYDMRIANVMIKAYLDKGMIDEAEAIRQSAMAQGHCNENTVQIFAEFYLDKSNVEAALEILRDAKNMVTAHNWVPSKQLVSRFLKYYEESENVDGVESFCEDLKKLECLDGKAYEGSMRTYMAA >KQL04271 pep chromosome:Setaria_italica_v2.0:V:7778048:7779895:1 gene:SETIT_004312mg transcript:KQL04271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKRRVTLRQETAKKNIDKKLLNLVPTLLFSLGFVLGMISDSNFPNIVAPHFRSTNALFCTVATIISPLPPVPLTPQPLHPAAYAIPTIASTYTIAAPTKNELGDVTLVAAECRLLANALLDLAIERFALLSESCIPICNFTRLYALLIGSSTSFIDSFGNHDSEGALQPQPRAVAQGLPMVRDGRRVHARGRLQRHLLPGVPRVLDVCAAVPVCLMDEHYIPKGAEVMEELVRIREDGGNRCFYNGASNGICNLFARKFAPGHARAPAPLGAQGTVMGLAFG >KQL08531 pep chromosome:Setaria_italica_v2.0:V:45866283:45867560:1 gene:SETIT_004164mg transcript:KQL08531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLAVSLCLAASLSPCTLAAAAQGGKRPLVTAITKDPATSLYTSPLKDSRPLVLDLSGPLIWSTCDRAHPTLQCHHHDCAHAHSYHPPGCPHTGYGKADEEDRFRCKCTAHPYNPVAGRSATGDLTRTKLSANATDGRNPLFPVSFPAVASCAPASLLAKLPAGAVGVAGLARSRVALPAQVARTQDVADKFILCLPRSGDGVAIFGGGPLFLLTSTSPPSEAGVDLTKLTYTPLLSRKGSSSYYLPVKAIAFDKAQVQLPGNPLATGGVVLGTTAPYTELRPDVYRPLVDAFDKALMRRWNISKRVPAVAPFELCYDSKTLPGPTRIGWLVPDIDIVLEGGKNWTLGGLSSMVDVNNFTAACFGFVEMKLGKGGYGGAPAVVIGGFQMEDHVLQFDPEKQQLGFAKLPIFISCRNFNFTLSH >KQL07327 pep chromosome:Setaria_italica_v2.0:V:39124834:39125310:-1 gene:SETIT_003607mg transcript:KQL07327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPCCCEDEDTGQQRCVPVSAAVARRRHGEGYWLVGNGRAGSALHPQAAGTTPTPRPAPASDGRCGRRQRRCIS >KQL06701 pep chromosome:Setaria_italica_v2.0:V:35109781:35112508:-1 gene:SETIT_004533mg transcript:KQL06701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLTCARPAPSAAARWRPPGRARPAPRFRRGRAAASVEGTGAPSSPLPYDPLTDLLGPDVGTSSSRSQNTAPIAEKGKLRSWVGPNGQYYRELPCPSCRGRGYTPCKQCGVDRSSLDCPMCYGKGIRMCLQCGGDCVIWQESIDEQPWEKVRSSSPLKVKEDDEVDKLEIKINTPKRSKRTYPSPSPEVAMKISRSLRSLNAQTGLFTKHMKIIHQDPELHAQRVAAIKRTKGTAAARKHASETQKAFFSNPKNRLKRSIAMKGVKFYCSRCGQEGHRSFYCPTVRKDSARVQFKCRLCGMEGHNSRTCGKPKSEKEQRRQPRHCSRCGERGHNRRNCPRSTDADIGASGNMVNKVTGPNSGTYSCSFCLEKGHNRRTCPKRKASVGK >KQL03469 pep chromosome:Setaria_italica_v2.0:V:2565198:2566545:1 gene:SETIT_004351mg transcript:KQL03469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIYHTPCLPYITSLSWQFAETLAAGPLVALLSFASARFGSKGFEMAKSKNHTAHNQSYKAHKNGIKKAKRHRQTSTKGMDPKFLRNLRYSRKNNKKSGEAEAEE >KQL08589 pep chromosome:Setaria_italica_v2.0:V:46182311:46183436:-1 gene:SETIT_003663mg transcript:KQL08589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENNSNSQPPPPPGYPTASGAEQQGGRKGRRGKTTSRGEKGFIEGCIAALCCCWICEMCCD >KQL03410 pep chromosome:Setaria_italica_v2.0:V:2202797:2207720:-1 gene:SETIT_002073mg transcript:KQL03410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEYHEEYVRSSRGVQLFTCGWLPAAASPKALVFLCHGYGMECSGFMRECGVRLAAAGYGVFGIDYEGHGKSMGSRCYIRSFRRLVDDCHHFFKSICELEEYRSKSRFLYGESMGGAVALLLHRKDPAFWDGAVLVAPMCKISEKVKPHPLVITLLTQVEDVIPKWKIVPTKDVIDAAFKDPAKREKIRRNKLIYQDKPRLKTALEMLRTSMCIEDSLSQVKLPFFVLHGEADTVTDPEVSRALYERAASGDKTIKLYPGMWHGLTAGEPDENVEAIFSDIVAWLNERSRSWTMEGRLRKLMLATGKLVDGENGGGAPAHARPQRQRRGFLCGLAGRTHHHAEM >KQL05620 pep chromosome:Setaria_italica_v2.0:V:26401611:26405328:1 gene:SETIT_001442mg transcript:KQL05620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPPLLACFALAVLAAAVWPSLGAGASLLDDPAGLLRRAKEPAFADWMVAVRRRIHENPELGYEEFETSELVRRELDAMGIPYRHPFAVTGVVATIGTGGPPFVALRADMDALPMQESVEWEHKSKVPGKMHGCGHDANVAMLLGSAKILQEHHDELKGTVVLVFQPAEEGGGGAQKMIEAGALENVDAIFGVHVADSVPIGVLASRPGPIMAGSGCFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLISREADPLDSQVVTIGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEQVIVSQASVQRCNATVDFLTNRIPFFPPTINSPELHSFFVNMAGEMVGSKNVRDRQPLMGAEDFAFYAEVIPSTYYYFVGMYNKTRGPQASHHSTYFTVNEDALPYGAAAQAALAAHYLLEHEQPAATPDKAKAHEEL >KQL04070 pep chromosome:Setaria_italica_v2.0:V:6299384:6302561:1 gene:SETIT_002847mg transcript:KQL04070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRRQSSGGSSAGATAAGEGNTHSSGGGGGCKLERKDVEKNRRLHMKGLCLKLSSLIPPAARHASLLSEAAASASNPNKDTVTQLDQLDSAAAYIKQLKERIEALKRRKEGRGGGKGGAGAAAAPVGGGAGGVRMPVIEVRYQDGTLDVVLISEAERPFKLHEVITVLEQEGAEVVSASFSVVGDKIFYTVHSQALSPRIGLDAARVSERLQDLLLLV >KQL05354 pep chromosome:Setaria_italica_v2.0:V:23354040:23361960:1 gene:SETIT_000313mg transcript:KQL05354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAVAAHLPRHHHHLGRRFLLRRHSLLPATPAKASCGAGRAGRLLLAGAFASGDGPPGQDVEYSASATSSGSAYLGLFVRLLGLDNDARDREHAVCTLYQYSLGGRKSLDEIMQFPGCIVLVISLLKSESTRACEAAAGLLRNITSVSIYRKMAIESGAIEETISLLCKSTITPEMMEQCLCTIWNFSIDENWRYKIMRSDVLTKVVSYLDEEDIKVKEAAGGIISNLALSSSNHGALVEAGVIPKLVHLLQTKEDDYKIIRKEARSSLILLACDDYYHSLIIEEGLVRVPLVGSAAYKAFKPLPHSWPSFPDGSEIQRSSRPSKYGATELLLGLSVNEQDTKPDEAKINAMIGRSNQQFLARVGAIELDDEGNEQSGSEKNDLYTILPWVDGVARLVLILGLEDVSAIKKAARAIGDASTSEHMRASFKEAGAVKPLLQLLKHNDIPVREAAAYALEKLSVSSTICQKIKAEGGLELLVNTVKDPHTPVKQLEKIISVLSRMFDMGISMVAAPESYARENAMSAERNIQGDTASGNSGISHTFVNQEMASEMILDFDAISRLTKVLKEASPSLQAKVCCVLEHLAASEQHATSMTATCTGSVIETILEIGVIHGTRADSEDFDNRSSVATEEVSQAVSAAVRLLTKLLNFDLFVRSINTEKFTSLLRRMLKSSFPLQSKDWLAACLVKLESRAGLSGNHGMSSIDMEITIYQTIPRLVERMMTSFSFENKRSAVIELNKIISSGVLEYTRALADTGGIFPLVKMLEEGDGDALEATLAILYNLSMDPENHPAIIAAGAVPLLKRIILAEAPHWTSAIQLLRTLPV >KQL06618 pep chromosome:Setaria_italica_v2.0:V:34639262:34639738:-1 gene:SETIT_005582mg transcript:KQL06618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFNGRAAGARQLVPSHCGEQSKTSKQDNDQMSW >KQL03290 pep chromosome:Setaria_italica_v2.0:V:736230:736972:-1 gene:SETIT_003066mg transcript:KQL03290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPVWLCDSLTCRTGSGDELAELLWDNGPALRGAPPPFQPFTCSTGGSSRTHELKRHAAAAGLASVPLGTHDADLSIHDDAVPWLHCPVVDDDGDGAAAAGVLRRLAVRVPGAPRQRAARLLPPRRGHPALPRRAAPSEAAAKQAPPSAGEGVMNFTFFSRATAAARTVAGDHLVGLLRQR >KQL04113 pep chromosome:Setaria_italica_v2.0:V:6588720:6589924:-1 gene:SETIT_004510mg transcript:KQL04113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFVGLSSKFSGSQMNRRTSKKTKVTPAAAPSTSSPRKNTATGRKGDDEEMEKNIWLDPDALSVDCGICFMPFEAEAALHELHHYGDVSIIWFCNNGHVACAKCCIRLNRKCCSCDEPIGDPPQPK >KQL05750 pep chromosome:Setaria_italica_v2.0:V:27511792:27512991:1 gene:SETIT_002579mg transcript:KQL05750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATMALTSRALVGKPAASTRDVFGEGRITMRKTGGAKPKPAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLIHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >KQL06603 pep chromosome:Setaria_italica_v2.0:V:34557886:34562029:-1 gene:SETIT_001536mg transcript:KQL06603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAVSALFLLDIKGRVLVWRDYRGDVSALQAERFFTKLLDKEGDAEVHSPVVYDDAGVTYMFIQHNNVFLLTAARQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVSQRPPMAVTNAVSWRSEGIRYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQIEKHSRSRIELMVKARSQFKERSTATNVEIEVPVPSDATNPNIRTSMGSAAYAPERDAMVWKVKSFPGGKEYMCRAEFSLPSITAEEGAPEKKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >KQL05208 pep chromosome:Setaria_italica_v2.0:V:17957275:17957781:1 gene:SETIT_005244mg transcript:KQL05208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTYLTRFSCHFAHLPLVLAPFLARLELKSHHIEVSKRVVSWSSPLQRSSVPGWVPHCRLVDSCFAHK >KQL07343 pep chromosome:Setaria_italica_v2.0:V:39229506:39232254:1 gene:SETIT_001253mg transcript:KQL07343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAPASFSLARPAVRRALASAATATVKFRRAGLAAACPPARSLGSFSLGDGADRRLPIHVPPRCRSESSAGREARAAATMAKKSVGDLAAADLEGKRVLVRADLNVPLDDRQNITDDTRVRAAIPTIKHLVTNGAKVILCSHLGRPKGVTAKFSLAPLVPRLSELLGIQVQKADDVIGPEVEKLVSELPNGSILLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPKPPFAAIVGGSKVSSKIGVIESLLAKCDILLLGGGMIFTFYKAQGFSVGSSLVEADKLELATSLLSKAKEKGVSLMLPTDVVVADKFAPDARSQVVPASAIPDGWMGLDIGPDSISAFSSALETTQTVIWNGPMGVFEFKKFAVGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGRELPGVVALDEA >KQL05711 pep chromosome:Setaria_italica_v2.0:V:27171973:27172344:-1 gene:SETIT_005017mg transcript:KQL05711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGTGTAALFAAAVVVVVAVPSSPAAGSAAAAEDQGGRLPCFHACFDQCVPRDEFWFCQFSCYHRCAGSYGAATVPGHLSCEQPCALSLCGQLRPGSKIMAACRDTCRKSYAVAACRSSARAR >KQL06635 pep chromosome:Setaria_italica_v2.0:V:34731689:34732652:-1 gene:SETIT_004243mg transcript:KQL06635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRATWDDNTTKIFLDLCIDEKNKLNYNKRGLTKVGWHNLYTNFKQQTGRKYSCKQLQNKFNAFKRQYKDWRKLKDKSGTGWNNSTRTIDCDDEWWAARIEENEANKHFRGKAFPFYDELTTLFGTTKTEGGPMLCVGGIGDRTPSCGSEDTPDPMADENVDWLEDTVGRSSVGRVSQRSGKEHVVDSPPPKRTKSMEYYVERISESMIQRTMNERNLISREEEEVTEMLHLVEQDGVPNGSELYFIATELFRSPARRASYRSITASENRIAWLRWTWDNVKRK >KQL05586 pep chromosome:Setaria_italica_v2.0:V:25997945:26000197:1 gene:SETIT_000851mg transcript:KQL05586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRILVFVLGAALPFLLFHAEAGQMGVSYGRVANDLPDPAKVTQLLKDNGITMVRIYDAKQEVLRSLANTGIKVMVMVPNENIAEAARNPSYALQWVRDRVKAYYPATQIHGVAVGNEVFDSRPDLTRQLVPAMTNVQAALAQLGLADAVKVSTPIAFTAVENTFPPSRGRFKDEIAEPVMKPMLQFLKRTGSYLTMNMYPFWAYYNQPQDINLDYALGNSNPGVPDDDTSLKYYNLLDAERDAAHSAMDHLVPGVSLYLTETNWPPRGRPHQGGGHRGGRRLEDDGDGVFTIANAQAYVNNLINRVLAGNTGTPLRPDAALDVYIFALFNENQKGDGPDDIEQNFGLFYPNMQKVYEFDFHGGVAPPAPPAASWCVANAAVGDDRLQAALDYACGHGADCSGIQPGAVCFEPSTLLAHASYAVNSYYQNKGRASGTCDFAGAAHVVLEEPAEICDPNASWCVANAAVGDGRLQAALDYACGHGADCGAIQPGGKCFEPNTRVAHASYAFNSYYQRNHRASGSCDFAGAASVVYMAPKIGNCVLPWRAWIGETTSMSEGSFAAI >KQL05084 pep chromosome:Setaria_italica_v2.0:V:15582841:15583506:-1 gene:SETIT_004654mg transcript:KQL05084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNELLDGDYNANNLPQGKLSTKGIGRMMPDLAESRTTDDGMLVPVGKPKEDTSKRGCLLHNEYIVYDVDQIRMRYALHVNFNFRRR >KQL07828 pep chromosome:Setaria_italica_v2.0:V:42043972:42046960:-1 gene:SETIT_001612mg transcript:KQL07828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLPVEVIGNILSHLGVARDVMVASAVCRKWRDACRRHLRLLSFNSDDFPRDMTTRQLEIVITQTIFQTIGLQCLSIHIDNTHEFSAAPVIAWLMYTRETLRSLSYNVRTTPHVNILEKCGRQKLEVLDLDHNTITGVEPSYQRFTCLKSLSLRHVSISALDLSLLVAACPKIEVLALDVLEVVTSDPQSTMELTSHTLRSLFAKSVGVDKIILDADNLEVLHLNALNLDLFELIGKGTLKHLKIDDVSVTHLDIGDSTEHLEVVDVSNFTIVWPKFYNMISRASSLRMLRFWGVVFDDEDEIVDSETIAVSFPLLRHLSLSYELRDGLLHYSLQGSSPLENVSVLELGWTVISEHFGPWVFGMIERCPNLKKLVIHGVLSEAKTREERQMLASFTSFIVCLMRKYVHVDVQFEYE >KQL06607 pep chromosome:Setaria_italica_v2.0:V:34591366:34594379:1 gene:SETIT_001875mg transcript:KQL06607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVASPALRFHPRAAYPSAPASSGSPRCRFGAVAYSCSKFARPFPIQRVNGSTTQNFGALKGQVLSSISNYDGRLHIKHPICFAATDKQEPVVSLTLDTPVLQESASGNEVAPASESSSYFTGRGAGKPGFISFQGNSFQKTAVEGVPHPGKEASRLVWFIGPTILVSFLVLPSLYLRKVLSAMFEDSLLTDFLILFFTEALFYGGVAIFVFLIDKVWRPLQQVAPKSYIWSKSRFFRISSVTTMVLSLIIPLLTMGMVWPWTGPAASATLAPYLVGLVVQFAFEQYARHRKSPSWPVIPIIFKVYRLHQLNRAAQLVTALTFSVRGTETTNQTLAIMNSLGALLTVLQILGVICVWSLSSFLMRFLPSSDIPDP >KQL06212 pep chromosome:Setaria_italica_v2.0:V:31740031:31740755:1 gene:SETIT_003145mg transcript:KQL06212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGKPQRAAKCGAAPEAVTDIDPKLEWLDGANTYIIRLNLPGFKKEDFKVQVDSGGRLTVRGERPAGYVRFHKAFQLPQTANLDGVAGRFDGTVLSLTVPKQPASGTDMVVARLAEARETCAAAEAEGMTTWAEALGGRGQMVAAAVAGFALGAFLAHRLLSATN >KQL06629 pep chromosome:Setaria_italica_v2.0:V:34701288:34706032:-1 gene:SETIT_000596mg transcript:KQL06629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGGEGAVEEATGFEVGIVVPKLSRAAAAAGAAEDCVVRLVRELESAGLLVERVRGVPAEFIKLAAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERYFCFRYLIYGIVNKTNSEITLKFDDKEFHWKENESLLTRLESEGVVKLVFPLHDEIKRKQLLRNWALNWLDFTWQPIDEIYSYFGTKIATYFAFLGMYTRWLFFPAVFGLATQLIDFGSLQWLVLPAFFIFVISWAVFFLQFWKRKNSALLARWGINCSLSEYKNLDNELGSLGDSLTIEEKKFDDVSAEKRKLQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYEITETEVMRYLLTAVYLVAIQYYTRIGGKVSVNLIKYENNQGEESSSASLVYKVFGLYFMQSYIGLFYHASLYRDILALRQVLIQRLIVSQVLENLIENSIPYLKYSYKKYIAVHKKKHEKESPSGKSVRLSTRVEKEYLKPSYTASIGAELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPVPRAAATIGAWLNIFQVCFLNFLFDS >KQL06630 pep chromosome:Setaria_italica_v2.0:V:34701288:34706193:-1 gene:SETIT_000596mg transcript:KQL06630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGGGEGAVEEATGFEVGIVVPKLSRAAAAAGAAEDCVVRLVRELESAGLLVERVRGVPAEFIKLAAPMGTLGRAAAEMQMKKLTYIGMELQFEWDQVAAFVRQPDGSLFSWRERYFCFRYLIYGIVNKTNSEITLKFDDKEFHWKENESLLTRLESEGVVKLVFPLHDEIKRKQLLRNWALNWLDFTWQPIDEIYSYFGTKIATYFAFLGMYTRWLFFPAVFGLATQLIDFGSLQWLVLPAFFIFVISWAVFFLQFWKRKNSALLARWGINCSLSEYKNLDNELGSLGDSLTIEEKKFDDVSAEKRKLQRNEWFGVLLRIRNNAIIVLAIICLQLPFELAYAHLYEITETEVMRYLLTAVYLVAIQYYTRIGGKVSVNLIKYENNQGEESSSASLVYKVFGLYFMQSYIGLFYHASLYRDILALRQVLIQRLIVSQVLENLIENSIPYLKYSYKKYIAVHKKKHEKESPSGKSVRLSTRVEKEYLKPSYTASIGAELEDGLFDDFLELTLQFGMIMMFACAFPLIFCFAALNNVTEIRADALKLLVMLKRPVPRAAATIGAWLNIFQFLVVMAICTNCLLLVCLYDEEGNWRMEPGLAAILIMEHVLLLIKFGFSHFVPEEPAWVKANRVRYVAQAQNVCSKQLLRSISKFDAKLD >KQL05865 pep chromosome:Setaria_italica_v2.0:V:28524126:28527370:1 gene:SETIT_002455mg transcript:KQL05865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGYGEQAPAPAEAEPLEIVLFQVAECYVYLIPPRKTAASYRADEWNVNKWAWEGALKVVSKGEECIIKLEDKNTGELYARAFLREGEQHPVEPVIDSSRYFVLRVEENIDGRQRHAFIGLGFRERPEAYDFQAALHDHMKYLNKKKAAEEMVQHYEKQSSVDYSLKEGETLVLQLKSKETGTKTKSAFFEQGLNKLSLSEKTNSKEAPVSLKLPPPPPSPVSPTDSGVAASPFKAEFPPQEPAAEPTSTTSGIPAKTELSPEQPAAAEKVEQETVDEDFGDFQAAG >KQL03981 pep chromosome:Setaria_italica_v2.0:V:5691188:5694180:1 gene:SETIT_001629mg transcript:KQL03981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPEPRRPYKRPAISDQQRRRELALQAQSSRRADAQARARALASSLLTTPTPPAAAHRHEEEDEHEEEEQEHTVADVAAVASKLRGSEARRWFARQIMLPEWMVDAPPHLASDWHVFARPSGKRCLVVSSNGMTISRARNGSILHRFPSALPNGSKRDISGPASSYSILDCIFHEPDQTYYIIDMICWRGYSLYDCTAEFRFFWVNSKLTETSAGDPPSTYHRYRFSAVPIYECTLEGLQAAYSGSTPYVKDGLLFYNKHAHYQAGITPLTLVWKDETCSQYVIDTDGKGEIPNEQHLVLELQEDGKLVTSDDPPVVFGSLDNEFIQKSNLRAGNLLRFAVRDESVKLVDGKMQIGELQFVGKPNRARAFADSHSKALFQYAARRAPLRIDDLVASIQSNNMELESTDVEMQD >KQL03633 pep chromosome:Setaria_italica_v2.0:V:3559480:3561264:1 gene:SETIT_002537mg transcript:KQL03633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRVKFENSCEVGVFARLTNAYCLVPAEASESFYRSVSPPCYDCALFFVLTLAWHGMACSVLDAELAGTVPVVRSSIAGTRIVGRLCVGNKRGLLLPHTATDQEIQHLRNSLPDEVVVKCVDERLSALGNCIACNDYVALTHPDLDKETEEVISDVLGVEVFRQTIAGNILVGSYCTFTNKGGLVHPQTSVEDLDELSTLLQVPLVAGTVNRGSDVISAGIAVNDWTAFCGSDTTATEVSVVESVFRLRDPRPGALGSDVKSSMVQDLFKS >KQL06776 pep chromosome:Setaria_italica_v2.0:V:35548407:35549890:1 gene:SETIT_004558mg transcript:KQL06776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKTKVKRGPWSQEEDAVLRSFVERFGNAGNWIALPQKAGLKRCGKSCRLRWLNYLRPELRHGGFTDEEDSLILSLYGDIGSKWSVIASRLPGRTDNDVKNYWNTKLKKRYLASTGEGRPPSPPPPPPPPPASDDDSQSRHDEPPPPTPPPLANLDDTEYTGGGAVDDDALLLKSEQLYAELVGLIEQQSSSSAATTPSSSSGTSSPTASSSGSCTGTTVWAPSMDVHDTTLLSESSSSLFDAYGAGDAFGAVYSFQDLLAASYDEITAVTSDSLFYYS >KQL03527 pep chromosome:Setaria_italica_v2.0:V:2952452:2957505:-1 gene:SETIT_000259mg transcript:KQL03527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGFLWKESGDQAGAARMSGGHNKNKNKSLEWDLNDWRWDANLFLATPAAAAPSECSSRELSRAQGEIDFGVVVDKRRRLSPEEDGSAGCSNDSAVANGDNGHVVSVQRRRSSEEERRRKGASSSTPPSCQVDGCHADLSGARDYHKRHKVCEAHTRTSVVCIKNVEHRFCQQCSRFHLLQEFDEGKKSCRSRLAKHNGRRRKGQPQGAVVGNPTNENKSLSSALFLLLKQLSGLESGSSSGQINHPNYLVNLLKNLAAVASTQAYQDILNNANSNPTSNAGNNAANGSTMHELTIQSIPVGSEPLAEGPPVKKRVQDFDLNDACIEEVERRTDKIVFKLFGKEPKDFPVDLREQILNWLSHCPTDMESYIRPGCVILTVYLRLPNWMWDELDDDPAPWIEKLISMSNDGFWRTGWVYARVQECLTLSCNGRLMLASPWQPVIGDRLQRLCVTPIAVACSSTAKFCVQGFNIVQPTTKLLCVFGEKYLIQEETQTLLEDTTRQQGRQCLTFSCSFPSTSGRGFIEVEDYDQSSLSVPFVVTDKDVCSEIRMLEHELDLSSFDETSERIGDLMASRSRALHFLQEIGWLLQRSHMQATSEQRQYSTEGFSVERFRWLLSFAIDQEWCAVVNKLLNTMFRGDIGLDVPSPVEFALGENLLLTAVNKRSKPLVQFLLRYTITNYAPDIDPVQFLFTPDMTGPSNITPLHIAATISDTTGVLDALTDDPQQLGIKVWKTARDDTGLTPEDYAQKRGHISYIQMVQDKIDRRLPKAHVSVAIPSRPSATDTITKHASHLKSTDQTAFDVEKSARSIINQPLSCGQCVHQLAYRPRTNRFFSTRPAMLSLVAIAAVCVCVGLIMKSPPQVSSMKPFLWEKITWGPN >KQL03610 pep chromosome:Setaria_italica_v2.0:V:3380652:3381050:-1 gene:SETIT_004452mg transcript:KQL03610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGERNGEEGNRDADADGRPPPQPPPPSPPATGSEAKNPRDRVSFPSSSGTRPRPSCWGRIRIHRVGLSRGRSRTGGDGHETAPAVRAVVSSLICAGDRGRGRRGKADVAAAAAGARSLLQRNDFYCDDCNTH >KQL05090 pep chromosome:Setaria_italica_v2.0:V:15729439:15737856:1 gene:SETIT_000351mg transcript:KQL05090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWLQSAGLQHLAASSAAGGGVGAGDLRGGGLGGGAGGLLPSLLMQGYGPQSIEEKQKLYTLLRSLNFNGELASASVSEPYTPTAQSFGSGAPVDGFYSPELRGEFGAGLLDLHAMDDSELLSENVASEPFEPSPFMPKEMDDDEDDVIPESQQGLADNRSSAFTSEKENTTVGARESNVAKIKVVVRKRPLNKKEVSRKEEDIIDVHNSQFLTVHEPKLKVDLTAYVEKHEFCFDAVLDEYVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAHDMVRLLHQPMYRNQHFKLWLSYFEIYGGKLFDLLSERRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIEKGNAARSTGTTGANEESSRSHAILQLAVKKHIPVTDTRRQRDRDAIEAKNTKLVGKMSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGGNTKKEQLTVQSVASGKESTYNSYPLSGEAEENMEQTQETRPVDGSRKGVDNFISNSSMEPERNSFSMIPSYQHRGKDEMSSRSSLNDRERGDLKPSQACFTSKTQSLQDSVNSQEDVKITKVSPPRRKANRDDKSERQSNFVKKESGPEISRTVLKQQHQFKQQQLQRPSSTSAPQVSSKQSEKEDMEINAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVAQLSFLLSRKASGLVSLQARLARFQQRLKEQEILSRQKTSR >KQL05378 pep chromosome:Setaria_italica_v2.0:V:23597259:23597780:1 gene:SETIT_004781mg transcript:KQL05378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGLAVGISVPGVIAGSMRAYAYHSSLKRAWRRLRVRTLGSVTTLERNMDALEVVRTLSCNHVFHCGESDKCKDHIDKWLCNEPTMSCLVCHKTPHLVLSWKAPPPALPVPATAPALPASADQEQPESSSGMEDTAPPDLEDPPPPQSSPVSEEPLLQPWQ >KQL08284 pep chromosome:Setaria_italica_v2.0:V:44568694:44570100:-1 gene:SETIT_003316mg transcript:KQL08284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQDDCKWRQIPAFGDWNLWDDMPVTQYFQAGPFFFTAPVDKDDEDLFKVPQFPAKPYSYKKCVVRVKGEKANAVPARKKGGRRQYVNEQQKWKPKGAVDEDLYKISPQLLCKVKKKKLLRNLLGGCLGLSCIA >KQL08283 pep chromosome:Setaria_italica_v2.0:V:44568458:44570209:-1 gene:SETIT_003316mg transcript:KQL08283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQDDCKWRQIPAFGDWNLWDDMPVTQYFQAGPFFFTAPVDKDDEDLFKVPQFPAKPYSYKKCVVRVKGEKANAVPARKKGGRRQYVNEQQKWKPKGAVDEDLYKISPQLLCKKKLLRNLLGGCLGLSCIA >KQL04637 pep chromosome:Setaria_italica_v2.0:V:10363585:10365185:-1 gene:SETIT_0051612mg transcript:KQL04637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STFLIWFGPTPRLAVADPDLIREILVSRADHFDRYESHPMVRQLEGEGLVSLRGDKWAHRRRVLTPAFHMENLKLLLPFVGRTVVDMVDKWRDMAAAGSGEVEIDVSEWFQVVTEDAITRTAFGRSYEDGKAVFKLQTQLMAFASEAFRKVFIPGYRFLPTRKNTSSWKLDKEIRKNLVTLISRRQEAADDEKLSGCAKDLLGLMINASSNGGKVSPITVNDIVEECKTFFFAGKQTTSNLLTWTTVVLAMHPEWQELARQEVLDVCGAHDIPSREQLVKLKTLGMILNETLRLYPPAVATVRRAKADVELGGCLIPRDTELLIPIMALHHDARLWGPDATQFNPARFARGVAQAAAHPTAFIPFGLGARMCIGQNLALLEAKLTVAIILQRFDFRLSPSYLHAPTVLMLLHPQYGAPVIFRPRSSEPSDHNRGM >KQL08361 pep chromosome:Setaria_italica_v2.0:V:44988061:44990116:-1 gene:SETIT_001786mg transcript:KQL08361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTRSGSLYSNNGGEVAVGQKRKRSAPMLGQSAVAGECAGQGRRKRLAGGPDYLDELPDDLVLSILSKLAASASAPSDLLSVHLTCKRLNELGGHDMVFAKASPASLAVKAAAWSEPAQRFLKRCADAGNLEACYILGMIRFYCLGSRSGGAALLARAAVGGHAAALYSLAVIQFNGSGGAKSDRDLRAGAALCARASALGHVDALRELGHCLQDGYGVRRDPAEGRRLLVAANARELTLALAAAAASRHPFAAAVPLGAAAAAAAGAGGCPLLSDFGWSLPEAEPHAANQFMVDWWASRGAQAAAGKKLGPGAGTGDSDSDGGELRLCSHVRCGRRETRRHEFRRCSVCGAANYCSRACQALDWKRAHKAQCVPMDRWLLAAANAGEAPQ >KQL06194 pep chromosome:Setaria_italica_v2.0:V:31586077:31589476:-1 gene:SETIT_002538mg transcript:KQL06194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRCDINAWRCGTHSTPSKSMYLDAQQAVHCQATDRDAGSCAVKHHFPSPIVSWIEDLSSFGNVSFSPDTEYVDEQARASVGQSSTSSNLHDMQISVRLTDEFMELAKENTSNNLETCGILGASFRDGTYFVTMLIIPKQEGTAHSCQAVNEEEIHAVLSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVIK >KQL06193 pep chromosome:Setaria_italica_v2.0:V:31584656:31589476:-1 gene:SETIT_002538mg transcript:KQL06193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRRCDINAWRCGTHSTPSKSMYLDAQQAVHCQATDRDAGSCAVKHHFPSPIVSWIEDLSSFGNVSFSPDTEYVDEQARASVGQSSTSSNLHDMQISVRLTDEFMELAKENTSNNLETCGILGASFRDGTYFVTMLIIPKQEGTAHSCQAVNEEEIHAVLSEQSLYPAGWIHTHPSQTCFLSSIDLHTQYSYQVMLPEAVAIVVAPTDPTRSYGIFRLTDPGGMEVLRGCDESGFHTHRETIDGSPIYETCSKVHFNPNLRFEIVDLRSGA >KQL08799 pep chromosome:Setaria_italica_v2.0:V:47127550:47130077:1 gene:SETIT_000325mg transcript:KQL08799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAGPLSRAPSSVASSCQARSLAQTLLSCLAGDRLRRLLPAAHARAVVSEGLDDLFLANLLLRGYSKFGLLHDARRLFDGMLHRNLVSWSSAISMYAQHGGDEQALVLFAAFRKSFDEVPNEFLLASVLRACTQSRAVPFGEQVHGTAFKLGLDVNLFVGTALINLYAKLVCMDAAMRVFHALPAKNPVTWTVVITGYSQIGQGGLSLDLFQKMGLQGVRPDRFVLASAVSACSGLAFLQGGRQIHGYAYRSAAGMDASVINALIDLYCKCSRPLVARKLFDCTENHNLVSWTTMIAGYMQNSLDAEAMDMFWQMCRAGWQPDVFAFTSILNSCGSLEAIWQGRQIHAHAIKANLETDEYVKNALIDMYAKCDHLTAARSVFDALAHDDAVSYNAMIEGYARQGDLKEALHIFRRMRYCSLRPNLLTFVSLLGVSSFQSAIELSKQIHGLIIRSGTSVDLYVGSALIDAYSKCSLVDDAKAVFLMMQNRDMAIWNAMIFGHAQNEQGEEAVKLFSQLRASGVTPNEFTFVALVTVASNLASMFHGQQFHAQIIKAGADINPHVSNALIDMYAKCGFIKEGWLLFESTCGKDVICWNSMISTYSQHGHAEEALRVFQLMREAGVEPNYVTFVGVLAACAHAGLVDEGLHHFNSMKTEYGIEPGTEHYASVVNILGRSGKLHSAKEFIERMPIKPAAAVWRSLLSACRLFGNVEIGRYAAEMALLVDPLDSGPYVLLSNIYASKGLWADVQKLRLGMDYAGMMKEPGYSWIEVMMEVHTFIARGTEHPQAESIYAVLDNLTSLLKDFGYLPDTYELPLLGDNG >KQL07229 pep chromosome:Setaria_italica_v2.0:V:38501464:38502024:1 gene:SETIT_004539mg transcript:KQL07229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPKLVELEHDEELSKLVSKWDFSVGKRFQDKVHASLSSSVHHPSSSPHGSFSLLAVFQRYTFRLTEETISLALHACLGGTPAGFHVSYVQDRHFRFVVSSKQVGFMVCDLKRIITDHFDVYFHLWCDGGASWVCEARWYREEAQSWNEVSYKKKKKTSHGKRVTFRDPIVQDSPSKVNTKGDDQN >KQL07324 pep chromosome:Setaria_italica_v2.0:V:39110324:39112943:-1 gene:SETIT_002521mg transcript:KQL07324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPPSAPAPLRPSTPRASPLPPPRAANPNTDSTTTSAAAAGKAANMGAAAWWRRALGQRFNPAGVAAVASVAASEPRLALPHVSVQDIRWLDWAELRRAGFCGVVFDKDNTLTAPYAPALWPPLAAAFDQCRAAFPPGALAIYSNSAGLKEYDPDGVDARAIEAAIEGVHVISHDTKKPGGAAKEIETYFGCSASNLVMVGDRYFTDVVYGNRNGFLTVFTEPLNVSDESFIVKRVRKLEAYLISYWYKKGHKPIKHPLLPDARSIVKFDPYDD >KQL04490 pep chromosome:Setaria_italica_v2.0:V:9372565:9379669:1 gene:SETIT_000891mg transcript:KQL04490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMTEGRRREEKLEDAADELRRRSSEMDDGSASASPSSASPHQHHRDAIKSSVHNSASSRRREHAIAVGKERREALMRAKRVCRAPLSGNDETVMEEGDMVIDEKKADLEAQTAQAVEELKSALSTQGKGAQKKKIEVLRALRRLLSQSEVPPVEAAIKAGAIPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGADLRSKLLAQGALWPLARLMLSNKGSTARTAAWALSNLIKGPDPKAANELISIDGVLNAIIRNLEKADEELATEVAWVVVYLSALSEKATSLIVLRSLGNLVAGDGYMVDSVLTVGNSITDQALSSLIKCLKSDNRVLKKEASWAMSNIAAGSFEHKKLIFASEAMTLLMHLLTTAQFDIRREAAYTLGNMCVVPAGNAAPPNIIVDHLVAIVNGGALPGFINLVRSADIESARLGLQFLELVMRGYPNGQGPKLVESEDGIEAMERFQFHENELMRNMANGLVDKYFGEDYGLE >KQL04491 pep chromosome:Setaria_italica_v2.0:V:9372565:9379669:1 gene:SETIT_000891mg transcript:KQL04491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSMTEGRRREEKLEDAADELRRRSSEMDDGSASASPSSASPHQHHRDAIKSSVHNSASSRRREHAIAVGKERREALMRAKRVCRAPLSGNDETVMEEGDMVIDEKKADLEAQTAQAVEELKSALSTQGKGAQKKKIEVLRALRRLLSQSEVPPVEAAIKAGAIPLLVQYLSFGSSDEQLLEAAWCLTNIAAGEPEETKSLLPALPLLIAHLGEKSSTLVAEQCAWAIGNVAGEGADLRSKLLAQGALWPLARLMLSNKGSTARTAAWALSNLIKGPDPKAANELISIDGVLNAIIRNLEKADEELATEVAWVVVYLSALSEKATSLIVRSSVPQLLIGRLLASENLQLLIPVLRSLGNLVAGDGYMVDSVLTVGNSITDQALSSLIKCLKSDNRVLKKEASWAMSNIAAGSFEHKKLIFASEAMTLLMHLLTTAQFDIRREAAYTLGNMCVVPAGNAAPPNIIVDHLVAIVNGGALPGFINLVRSADIESARLGLQFLELVMRGYPNGQGPKLVESEDGIEAMERFQFHENELMRNMANGLVDKYFGEDYGLE >KQL05526 pep chromosome:Setaria_italica_v2.0:V:25390016:25393720:1 gene:SETIT_000536mg transcript:KQL05526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPRRSAGGAASEASSAAGSDAEDDRYCSANSALGTPSSYATILPSSDFWDHQMDLLLDDHPAVSGFPKNHQLSRLQALAPAQSRPEIGPPPPAAAGGGALAQQGSSPGSPAAPPRPDHNQVGIFDDNDLFDDMVQEMEQILLNSGEPHENGSFTDNRRSNARQAHHFRDGSTTASTSGTDDAYVYPLPQYPSRIDWVEVVGAKQRTGDVSFGERMVGVKEYTVYLLKVRSGEDEWEIERRYREFYALYRQLKDFFYERGLSLPPTWESVEKESSKIFGNASPDVVRERSGLIQDCLRSLLVSSYPFGIPTPLVNFLSPGRPGYEYSFLKTLIPRSLQKRSSDLNSKDSECNEGAHDDSTSMGKTISLIVEDRPRKSTRQLLELQHYNCAGCHRHLDAGRTLLQELAQTIGWNKPRFCSYTGQLFCASCHTNDTAVLPARVLHHWDFSLYPISQLAKAYLDSIYDQPMLCVSAVNPFLFSKVPALLNIMSVRKKIAAMLPCVQCPFRNSILKGLGVRRYLLDGNDFFALRDLVDLSKGAFAALPVKVQTISNRILVHITEQCLVCYDAGVPCAARQACDDPLSLIFPFQEDEATKCGLCGSIFHKQCFRKISVCPCGKASNAGRKVVALEQAGGTGMPSTESVQPPPFSSSSGFFSDILSKARPDKLWRPKNSSPVILMGSLPDTSI >KQL05345 pep chromosome:Setaria_italica_v2.0:V:23060050:23064529:1 gene:SETIT_004677mg transcript:KQL05345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAGDGGAGGWGDREPPPPPPMRKQPSRIASGMKRLASKVSAAVPEMRGLKRTHSSAQSGLRGLRFLDKTSGGKDGWKSVEKRFDEMSTDGRLHSENFAKCIGMADSKDFACEVFAALARRRHINPDDGVTKEQLKEFWEDMTDQNFDSRLRIFFDMCDKNGDGKLTEDEVKEVIVLSASANKLAKLKKHAATYASLIMEELDPDDRGYIEIWQLETLLRKMVSASEPPEKMNMASASLARTMVPSSYRSPMQRRINKTVDFVHENWKRIWVLSLWGIANIALFIFKFAQYRRRAVFEVMGYCVCIAKGAAETLKLNMALILLPVCRNTLTWLRSTALGRVVPFDDNINFHKVIALAIAIASATHTLEHVLCDFPRVVSCPKEKFMEKLGPFFNYVQPTWPILLTSIPGWTGILLILIMSFSFTLATHSFRRSVVKLPSPFHHLAGFNAFWYAHHLLVIAYILLVMHSYFIFLTKQWYKRTTWMYLAVPVLFYASERSIRKFREQSYRVSIIKAAIYPGNVLSIYMKKPLGFKYKSGMYLFVKCPDVSPFEWHPFSITSAPGDDYVSVHIRTLGDWTSELRNLFGKACEAEVTFKKATLARLETTVVADALAEDTRFPKVFIDGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNIKSNENVIEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDIVSGSKIRTHFARPNWRKVFSDLANTHKNSRIGVFYCGSPTLTKTLRDLSVEFSNTTTTRFHFHKENF >KQL04159 pep chromosome:Setaria_italica_v2.0:V:6913091:6913446:-1 gene:SETIT_003558mg transcript:KQL04159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEKKSVSNFTVRVGLVLFAGCILALISLGTMFRHNAVPLQTLSLLFSVGSASSVMWGEERIGSHRSGMSSMSDSDAIQRKIL >KQL03519 pep chromosome:Setaria_italica_v2.0:V:2904858:2905942:1 gene:SETIT_003948mg transcript:KQL03519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRDSTTGVPLLAVLLPVLLSWIAVSSVASSEVARLQVGYYNRTCPRAEDLIRNIVHAAIRRDPGNGPGLVRLYFHDCFVRANNPSLRGFGVIDRAKRVLERRCRRTVSCADIVAFAARDACRIMGGIGFAVPAGRRDGRVSNASEVLGNLPGPFANVTNLVGSFAAKNLTADDMVALSGAHSFGRSHCSAFSFRLYPRIAEDMNATYGARLRKRCPAATGRRDRVVDLDPRTELLLDNQYYRNVQTREVLFTSDVTLLSQNDTAALVDLYARNRTLWAARFAAAMVKMGHLD >KQL05965 pep chromosome:Setaria_italica_v2.0:V:29516900:29518841:-1 gene:SETIT_001863mg transcript:KQL05965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVLLLMAEHFNDWDLQAVVRSCGSVAHPDPPAGRGRPRAAAAPRAEAEAEAAGAAPAEPTTPAAARGGEVPARAAPVAAAVQGHERAPPAVAAKGAALLYDLEYLDLDHKPFLLPVTPSPRGARAGDDGREREVMISFPAAAASTSGMQQQRAVPPGRKAGARTPRPKRSKKSQLKKVVREMPVADGGASSSDPWAWRKYGQKPIKGSPYPRGYYKCSSMKGCMARKLVERSPAKPGVLIVTYMADHCHPVPTQLNALAGTTRHKSSSAGTAAAAEDTATSSPRSHEQQGPSSVGRDGSTADAEDAARCDVVDGNDKSTAMALEFGAEEIAAADDENELWPAGMDLDELLAPADDDFDFEHVVDEEDGVLGRRLSL >KQL05630 pep chromosome:Setaria_italica_v2.0:V:26512961:26514460:-1 gene:SETIT_003855mg transcript:KQL05630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPWRSPHLLGLVLALLPSCCLLTRAAAQDDAWLLLEIKRAWGDPPVLAGWNASAAGAHCAWPYVACDTAGRVVNLTLASANVAGPFPDAVGGLTGLTYLDVSSNNITGVFPTTLYRCSALQYLDLSWNHLGGELPADTGSRLGTNLTTLVLSGNRFNGTIPASLSSLRNLQNLKLDDNKLIGTVPAELGKLTRLQTLWLAYNLFDAGELPASFKNLTNMTSLWATQCKLVGDFPRFVADMPELEVLDLSINTLTGSIPPWIWSLEKLQSFAVYRNNLTGDLVVNDFAAMGLTLIDVSENYKLTGVIPEVFGHLENLTTLSLFSNNFSGEIPASIGRLPSLSVLRLYSNRFTGILPPELGKHSTFTYMEVDDNELIGTIPEGLCARGQFTTLTAQGNHLNGSIPEALANCTSLRGLALNDNQLIGTIPEGICAGGQFRTLHAKGNHLNGSIPEALVKCTSLRGLVLDDNQLSGEVPWALLTTGIITLSLHNNRLTGRL >KQL08606 pep chromosome:Setaria_italica_v2.0:V:46286289:46287928:-1 gene:SETIT_002428mg transcript:KQL08606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRRRANRGEEVSRDSSCRDSHVGKFDEGMSNADFILMEYTEVPGSGGSNWTDQETLLLLEALEIFKGKEWDEIAEHVVTKTKEQICQTGTFDVPEEMDVDDNAEGKESTDGKTFKKADANSSETGTKLADQSVSAKEDTMNPGEKTFKKADANPSCCNSLRAISEISPALQLATGHCFILPDPPSNLEDPTSNFSPCTGGVCQGGAGGTQNVNATDKDRSEREESALALEKERATFTSQKEHMELSNTKESFVEGPQAEVIILSYYVLQRSIIINIYYIYASMSNLCVHNMG >KQL05425 pep chromosome:Setaria_italica_v2.0:V:24273803:24276779:1 gene:SETIT_000843mg transcript:KQL05425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFELRQAREKLEREQRDRMQRAKAKAEREQRAKAEAARRREALEASHRERRLDAARAQEEADQKMEEVMQLGKGVSFSHMFEALRYDGPGDKIKLPPSSFKELSDEGALDKGPMYFRLSKVRDTVPGAAMKQDTDEATCCGVLEFTAREGSAELPLHVWNNLFRSDTPDVPLIEVKYVSLPKGTYAKLKPEGAGFSDLPNHRAVLETALRNHATLSENDIVVVNYGQLQYKLKVLELKPASSVSVLETDIEVDIEGSDSVLDNEENQHVLVPLAIGKVESSVVEEGKFRYYKFTVEESVSEKVASGRANIEVKIDTDASGGDTDIYVSRHPLVFPTQHRHEWSSHEMGSKVLILKPRDSSLVSGVYSIGVYGFKGTSKYQLSVAIKDVNSQRVGEHASSSGSVDADSVLCRNCKRHIASRSAHLHEAYCMRHNVACPHDGCGVVLRKEEAADHVHCNKCGRAFQQREMEKHMKVFHEPLQCPCGVVLEKEDMVQHQSSICPLRLIVCRFCGDTVHAGGEPLDARDRLRNMCEHESICGSRTAPCDSCGRSVMLKEMDIHHIAVHQKS >KQL05124 pep chromosome:Setaria_italica_v2.0:V:16206492:16206986:1 gene:SETIT_0025042mg transcript:KQL05124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAAKAERDPAWWGRRAWSLLSAVRARAPLVQCITNLVSMDIAANALLAAGASPAMVHSLREVPDFTPRCDAVYVNVGTLSEDWLPSMRAAASSGRPWVLDPVAAAASGFRMEACLELLALRPAVVRGNASEILAVADCSVAASSNFK >KQL03314 pep chromosome:Setaria_italica_v2.0:V:1121308:1122548:1 gene:SETIT_004213mg transcript:KQL03314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPIAQLCELPTELWGEIISRIDVLDVMSFSSTCKSLELVCKTLGATIPKSGTAMLVTSQPDPDGWGVDDDLRIGKFGLHDVCNALSFCCVIEDLQRRICLGGKGDWLVTTNTGLDWEILNPMTRSKVPLLSLANNLSGIELPSYRKFSVVFPPFTRDVRRVVLSRTPSHADGYEAIALFSDGLLTYTTQGENVWRVLKNPTDRDDSGNNYYPEVFLDVIVYHGYVIAVEENGDIDDMEKDFIHWEDLVVIPWAKLPFFITSTEFKCNIIYMADVGEYDVAICSLDFPVDKKEHLLKAWTIRTPMWFRPSAHAKGNH >KQL05372 pep chromosome:Setaria_italica_v2.0:V:23543371:23546544:1 gene:SETIT_000862mg transcript:KQL05372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADEETPLIHYLPPQDEGSQYTSDGTVDVNKKPALKRSTGNWRACYLILGSEINESLAFSGIQKNLVTYLTSVLHESNVDAAKNVSTWIGSCFFTPLIGAFLADTYWGRYWTVGMIALTVSAWLPLLLDSSFNSTSIHRAAVYVGLYLIAVGSGGIKPCTSALGADQFDGADPAERVNKGSFFNWFFFSINLGSLLSSTVLVWVQDNVGWGVGFAIPMALTVLGLAVFVAGRKVYRYKKLEGSPLTRVLQVVVAAVRNYSLTLPEDSSALHEMSSPNETNRKTAHTCQFRFFDKAAIVAPSSSGEKGAASTVSPWRLCTVPQVEELKLLLRMFPVWASMVPFFAVTSQASSTFIEQGMAMDNRVGPFTVPAASLATFHTISIIVGIPIYDAALVPLARRVTGNDRGLSQLRRLGVGLALSVAAMAYAALVEARRLAAASEGAMSIVWQAPSFAVLGAAEVFTTSGVLEFFYDQSPGGMKSLGTSLAHIAIAAGSYLNSAVLGAVAWATARGGAAGWIPDDLNEGHLDYFFWLMAALSVVNLLHFVHCSRRYRGNKTASTT >KQL06912 pep chromosome:Setaria_italica_v2.0:V:36374464:36375712:1 gene:SETIT_003123mg transcript:KQL06912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDDARALRLAAIARKWLEDPRVGYSGDLNPAASDSERQALSSMAMTGARVSLAEPGRVVCSLRVRAPLTDAEGRWHAGAIAAAVDNVCSAAVFTVEGAPTATVHYSLSYFSPAHPNEEVEMEGRVVSRKGKLTAAAVEVRKKESGELVAIGRQWMTPAWPTKSNKSSKL >KQL05419 pep chromosome:Setaria_italica_v2.0:V:24093445:24093912:1 gene:SETIT_005020mg transcript:KQL05419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVDLEEQRLPHSPPPSPPPLRKSARKPAADGRCVLATPARALSLVVLVVGVLFAVHLLVHDLRTLVLLAAEWLCIFFVMSCVAACERGAEDAGGGGSRGLGAVAEVALWSLAMALTVTMTFWVAAGLPLPAVAFLYLLSLLAVSACFAVLLDA >KQL07951 pep chromosome:Setaria_italica_v2.0:V:42767500:42767986:-1 gene:SETIT_003567mg transcript:KQL07951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDVKAVTPHTVKKQVLAPYSGKAESDCAGVLFIERYPTVHCSDLGLGGTIQSGMSVAIRPSSEFLPNLKFLSIDSLLILNS >KQL08680 pep chromosome:Setaria_italica_v2.0:V:46654140:46655327:1 gene:SETIT_004861mg transcript:KQL08680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQGWSSLPADLVNRVADCLLATNDLDCYVDLRAVCHGWRSSTADPKTSHDDERFHPTRWIALDNLSSESDTCLFVNASTGRFLRMRLPLLRDYHFVTSTTGGFLVLAEREPPHAARVLNPFTGTLTRFKAPMPDDSPSLVVVKSMSDEFKFFHAHPESEQFHVEQRPVSRSVELAPSLQSGRDTQAESDASEVALGPIASSFLVLRCLAVKLAAGEMLRVYRSPLQGVQLFRMSSRLAERKMERVYSIGGCALIVGERCITIHADSFPSINANSVYYMKEEDDDGSWYMYDLAQDKEERIVESSICIYPASILQLLVEYTMRSPCYQPALDQLHQFFGEMMGFSPTEEKILQIADSLVERMNIFRIADDLQELEDDECDNFVE >KQL06911 pep chromosome:Setaria_italica_v2.0:V:36363042:36366854:-1 gene:SETIT_000436mg transcript:KQL06911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSRGGKQSQPLLHSPTPAADGGGGAAGRRRFVAFLAVTAALVASYHLLAPTNPSRYHALFLSLGSNATAAAHLRALTLRPHVAGTEANAAAARYVLHAFSSLSFPAHITPYSVLLSYPVHRSLTLTPSRGLAAKPFSLVQETYKGDPYAEAAAEVISTYFAYSASGSVAAEVVYANYGHSEDYAYLASRGVDVAGKVALARYGDIHCEDMVRNARAAGAAAAIVYTDAKDYGGGAGKGEKRRWFPDARWLPPTGVQVGTLYYMNGDPTTPLWPSCAAGEDCERLSAEDLAGSAAMPGIPALPVSARDGETILKAMGGDVAPPKWQGGEGAPVYRLGPGPAVLNLTYIGNETLATIENVFAVIQGKEEPDRYVIIGNHRDAWTFGAVDPNSGTAAMLEIAGRLSKLQAKGWRPRRTIILCSWDAEEFALIGSTEWAEENMDTLASRAIAYLNVDISVFGPGGLRPRATPQLDELIKEASKMVPDPDEPSQTLYDTMMSHHPPITRVAGAGTDFAAFLQHIGVPSLDMSYGTIKEYPVYHSLYDDYVWVERFGDPLFHRHVAVASVWGLIALKLADDEIIPFNYVSYASELEECTKDIVDKCKGFPVSFSPLQKSIKQLERAATKIHKEKMLLQAENWSLKTRQYTLKVREMNDRLMMAERAFTNREGLAGRPWYKHMIYASSDQDDWGTKAFPDIVSAMDKAKKSNTTESWRSLQHEIYRVARAVSKASAVLDGGLT >KQL04047 pep chromosome:Setaria_italica_v2.0:V:6145419:6145957:1 gene:SETIT_005437mg transcript:KQL04047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVKYYAYLLKFRFSSELSNLIIDQCQAFISKLLHDSHIKEEPISALPFNTHPSQSN >KQL04090 pep chromosome:Setaria_italica_v2.0:V:6403647:6406527:-1 gene:SETIT_001122mg transcript:KQL04090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPARGRRLLALGLALLVALGACAGQGEEDDGSDSPTAPMEEKERAALYAAIESFVGKGWNGSGIYPDPCGWSPIQGVSCDLFNGLWYPTSISIGPVLDNSLQCAPDAKFSPQLFDLRRLRTLSFYSCFPASNPTAIPAASWEKLSGSLETLEFRTNPGLTGAVPASLGRLASLQSLVLVENNLTGAVPAELGALLKLRRLVLSGNGLSGPIPATLGALRGLLKLDLSNNQLQGGIPPELGGLKSLTLLDLRNNSLTGALPGFVLGMASLQDLLLSNNPLGGTLPASGWERLSGLATLDLSNVGLAGAIPESMAALSGLRFLALDHNRLTGPVPARLAQLPSIGAMYLNGNNLTGALGFAPGFYRRMGRRFASWDNPGLCYDVAAVDAAHAPAGVVVCKDLQEPGARAGAREDGGRKPEASSSLMASSSVGFSAAGPGGFLVHGGGSGHRGCAPWVGAAIAAGSDSSDRWTGSRTWRNL >KQL04091 pep chromosome:Setaria_italica_v2.0:V:6403823:6406445:-1 gene:SETIT_001122mg transcript:KQL04091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPARGRRLLALGLALLVALGACAGQGEEDDGSDSPTAPMEEKERAALYAAIESFVGKGWNGSGIYPDPCGWSPIQGVSCDLFNGLWYPTSISIGPVLDNSLQCAPDAKFSPQLFDLRRLRTLSFYSCFPASNPTAIPAASWEKLSGSLETLEFRTNPGLTGAVPASLGRLASLQSLVLVENNLTGAVPAELGALLKLRRLVLSGNGLSGPIPATLGNPRRHDEQLIVDLSKNHLTGSLPPSLGALRGLLKLDLSNNQLQGGIPPELGGLKSLTLLDLRNNSLTGALPGFVLGMASLQDLLLSNNPLGGTLPASGWERLSGLATLDLSNVGLAGAIPESMAALSGLRFLALDHNRLTGPVPARLAQLPSIGAMYLNGNNLTGALGFAPGFYRRMGRRFASWDNPGLCYDVAAVDAAHAPAGVVVCKDLQEPGARAGAREDGGRKPEASSSLMASSSVGFSAAGPGGFLVHGGGSGHRGCAPWVGAAIAAGSDSSDRWTGSRTWRNL >KQL05404 pep chromosome:Setaria_italica_v2.0:V:23921146:23922992:-1 gene:SETIT_001213mg transcript:KQL05404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTPLHVKLQKIMYRLVVDNFVAIFAVAAAAAALQRTSPEAALAVARAIRPAHWLLALLAAAAAAKLRRMGRPKDVYLVEYGCFRPKPWFRAPFATCLEHANLMPYLIDDESVSFAIRLLERSGLGEETCVPEAYHYMPPNRSLEASRDETELVIFSAVDDVFARTTVKPEEIDVLIVNCSIFTPTPVLADMVVNRYKLRADVQNVNLSGMGCSAGLVSVGLAKNLLQVAPPGTHVLIVSTEILSSQYYVGTERAMLLPNCLFRMGAAAMILSNSSERARFKLTRVVRTVTAARDADYRCVFQEEDDKGNTGIRLSKDLATTAGHALKSNIAAFGPLVLPASEQLLVALSFLKRKLLSGGAKVRLYRPDFRTAFEHICIHAGGRAVIDEVQHGLGLSDEDVEASRMTLHRFGNTSSSSVLYELAYIEAKGTMKKGDRVWMISFGAGFDCNSVAWECIKPAADADGPWVDCIHRYPVQLPEISKDISNS >KQL06120 pep chromosome:Setaria_italica_v2.0:V:30809678:30813110:1 gene:SETIT_001849mg transcript:KQL06120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTGDRSLAVAALAARWSLWVLAVLWSGGSPALGCYTRIFSFGDSLTDTGNYVHLTAKSHSPYGAPPYGRTFFGRPTGRASDGRLVIDFIAEEFGLSNVTAIQTGTAPADFRHGANFAIISATANNGTFFAGNGMNINPFSLDTQMFWFRTHLRQLVQAAAQNNGSGGAVSTSALLGGALVALGEIGGNDYNLAFSGGVPRDNVRKFVPAVVDKLAAAVEELIAMGARAFVVPGNLPFGCAPLYLQRFGASARQWDLDAKTGCLAWFNRFAEYHNQVLTARLDKLRRRHPDVTIVYADWYGAMMSIFQDPGKLGFTNALGSCCGNQTVACGKPGCRVCDDPSTYGSWDGTHPTEAVYKVIADGVLHGPYASPVALVDTC >KQL08354 pep chromosome:Setaria_italica_v2.0:V:44950464:44953738:1 gene:SETIT_001454mg transcript:KQL08354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSSRAVALGAAFLLLLVALPSAFLYLTSFAGPAASRAALLNLKPFSARCPPSAAAPPLRVFMYDLPPRFHVAMMTGAADASNATAGPFPAWPPSAGGIKRQHSVEYWMMASLQDGGGGGGGGGGVGSERREAVRVRDPDDAEAFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVELMDILWKSKYWQRSAGRDHVIPMHHPNAFRFLRNMVNASILIVADFGRYTKELASLRKDVVAPYVHVVASFIDDDAPDPFEARHTLLFFRGRTVRKDEGKIRAKLANILKGKDGVRFENSFATGDGIKISTEGMRSSKFCLHPAGDTPSSCRLFDAILKKL >KQL08355 pep chromosome:Setaria_italica_v2.0:V:44950464:44953738:1 gene:SETIT_001454mg transcript:KQL08355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPSSRAVALGAAFLLLLVALPSAFLYLTSFAGPAASRAALLNLKPFSARCPPSAAAPPLRVFMYDLPPRFHVAMMTGAADASNATAGPFPAWPPSAGGIKRQHSVEYWMMASLQDGGGGGGGGGGVGSERREAVRVRDPDDAEAFFVPFFSSLSFNVHGRNMTDPDTEADRLLQVELMDILWKSKYWQRSAGRDHVIPMHHPNAFRFLRNMVNASILIVADFGRYTKELASLRKDVVAPYVHVVASFIDDDAPDPFEARHTLLFFRGRTVRKDEGKIRAKLANILKGKDGVRFENSFATGDGIKISTEGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSSRIELPFEDEIDYSEFSLFFSVEEALRPDYLLNQLRQIPKKKWMEMWLKLKNVSRHYEFQHPPREGDAVNMIWRQVRHKIPAVNLAIHRNRRLKIPDWWG >KQL08653 pep chromosome:Setaria_italica_v2.0:V:46503991:46504589:-1 gene:SETIT_003531mg transcript:KQL08653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHRRQPSRALPLDFNVDGDDGPAGTKGATSLDGSQNQNPGAGSGGGRRDAGKGQEGNSNKPPPATGSRSSAEGGAGNKSRDDASGGP >KQL03263 pep chromosome:Setaria_italica_v2.0:V:613435:618337:-1 gene:SETIT_000149mg transcript:KQL03263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYVALHQKKDPKLCSLRIFCNQQQYDEHNNNSSPLLVAKYQRWNCSNCLDRVKNSGHRTTSETVPMQQDGTNDDCSISIIRTLPYSVGSRRLFSCTRQSSQGNDADQSNVSKSVQECNSKCSSPSDNKAVTAVNVPATSAENNVPDTFVEKSIPDTKDLQGSPNNLVVQEDILNDVSMDITDLPDDPQMISSKEGNGTQIPCSPKPCEVPTEDENRTVQDVPDVDCNESSVHEPVSGHKGSKSSSGQKSNQVRNQGPRRAAPKRNVGSDDLKFSQRKPKKTRLISEIINTKTESSADDTEADHAKTGDICESDKSKMPLEAGKDNDTPVSNQKVCEIQSTAVKNKAELRGLENVDDGSSLMNWLKKTHKKVRTEKRDSAHKNFGSSAVSNSNPDMPASSDMCHDSIPSVGDLGQENVLSTTSAKHRNENTQNKNMEQNMQKADDLCRNESEKLKRRFLSNGKSPILLKRKVLSPAISCDKNTENSTIKRSMLRTDDLCQVESEGTVQRCLAKVSLGKGKIQNVSGLHKQNIPKNKKKRRLEVHEKQTVIDDIPMDIVELLARNQHERQLMTDTDSTLPKIAAVDCAEIADKYGPTDASTVLDTNFQKSLASESKQKSLQGRASSSTEAANVLLQDLHRQKSSQCHAASSTEIPNSHRPELHMQNSLQVHALPITGSFNVYPPELRMPDILECTQEQQTDICRDEEVTIACTSPIFSHHQHIAEVPTQSWSKKGEKKLMWDSFKTASRNSPTSTYGFQFRNRIREVDSTPIPAYGASNDYATHQPVIAAVDQYTKEAVNQVQPRSAPSTSLTMEVGRPYDHRIAGHSGLYPKEPMPATHLLRLMDSSTSQGFTNYQRANRRQIELRTQNLSSQYAQHNHYNASPSTSHGSHLTEKVPLTLQDLARHQVEKNLHRPLRPHPRVGVLGSLLQQDIANWSESCGTQSGHRLGVSKGTTSFDMNRTGNYETLSSGMFSAGWNALQLGSGTSAANPEHPLPRYGVSQPWAGGTGKTPLDKLVRKDICQTNRNPADFTVISDKNEYMINL >KQL04839 pep chromosome:Setaria_italica_v2.0:V:12190905:12193918:-1 gene:SETIT_002483mg transcript:KQL04839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLAADLPPHRGRGGPGAGPIALASALLRRENRRRRALAGGAVLASALLLVATPRLRHSPALHLFADMRNLLGVPNTLNVLTAYPLLLAGVPGLILCLCGSGCFGVSLRWEALGWFLFYAGNAAAAFGSAYYHLKPDDDRLIWDRLPMMISSSSLLSILVIERVDERVGLSCLISLLSLILVSSACERVLDDMRLWVILNFVPCIAIPAILFLFPPKYTHSRFWFLATGFYLLARFEGLADRKVYSVNRYFISGHSLEHLCFAMVTLILTVMLSFRNIKISRDS >KQL03247 pep chromosome:Setaria_italica_v2.0:V:526300:527185:-1 gene:SETIT_002674mg transcript:KQL03247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFALGHHREAADAGCVRAVLAELILTFLFVFAGVGSAMATGKLGGGADSVAGLTAVALAHTLVVAVMISAGLHVSGGHINPAVTLGLAVTGRITLFRSALYVVAQLLGSVAACLLLAFLAGGAATPVHALAAGVGTLQGVLMEVVLTFSLLFAVYATVVDPRRTVGGMGPLLVGLVVGANVLAGGPFSGASMNPARSFGPALAAGVWADHWVYWVGPLIGGPLAGLVYDGLFMAQGGHEPLPRDENDF >KQL03733 pep chromosome:Setaria_italica_v2.0:V:4363164:4363346:-1 gene:SETIT_0004543mg transcript:KQL03733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RALFDEGCIYVGVPPLYKVERGKQAHYCY >KQL06735 pep chromosome:Setaria_italica_v2.0:V:35289535:35290053:-1 gene:SETIT_004972mg transcript:KQL06735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPRRSTLRPIPNHLLLDETMEPQGSENAQGPLPCANDCGFFGSPATRNLCSKCFRDSLRRAEAVHQAVTAAATVAATASFSSAAAAPVDHEAATAAATEEGKGRAKGKSGRCAACGRKVGLMGFECRCGGVFCGAHRYSDRHDCGFDYRGAGRDAIARANPVVRADKQVDKL >KQL08466 pep chromosome:Setaria_italica_v2.0:V:45605694:45606826:1 gene:SETIT_002515mg transcript:KQL08466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGRSREERWSLAGKTALVTGGSKGIGRAIVEELAGFGVRVHTCARGDAELQECLRRWGADGRLARVTATACDVAARGDRERLVAAAREELGGRLDILVNNAGQTMFRAATETTAEDYARLMATNLESCFHLAQLAHPLLVAAAAASPGGEASSVVNVSSIGGLVSYPALSVYSATKAAMNQLTRSLAVEWAQDKVRVNCVAPGGVRTDIVASSGLKLDPEVERKMWEAEMARVPMRRIGEPEEIASLVAFLCMPAASYITGQVICADGGRTIAA >KQL07382 pep chromosome:Setaria_italica_v2.0:V:39430979:39433250:-1 gene:SETIT_001443mg transcript:KQL07382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNHNAAAAAAAPQLHHNRGGVPALGKQKAVAAGRADAMNRRAPLGDIGNYVSVRATDGKPEPQEQVNRPVTRSFGAQLVKNAQANAAANKQNAAIAPARPAPRLERKAPAKPPPPEHVIEISSDSDQSKTQSESSASSVRSRKKVINTLTSVLSARSKAACGIADKPRQAIEDIDKLDVNNELAVVDYIEDIYAFYKTAQHESRPRDYIDAQVEINSKMRAILADWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILSMEKGILNRLEWNLTVPTSYMFLVRFLKAASPGIKIDKEMENMVFFFAELSLMQYGLVTHLPSMVAASAVYAARLTLKKSPLWTDTLEHHTGFRESELMECTKMLVSAHLTAPESKLRVVYKKYSSEQFGGVALRPPAVEICK >KQL07383 pep chromosome:Setaria_italica_v2.0:V:39430648:39433451:-1 gene:SETIT_001443mg transcript:KQL07383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRNHNAAAAAAAPQLHHNRGGVPALGKQKAVAAGRADAMNRRAPLGDIGNYVSVRATDGKPEPQEQVNRPVTRSFGAQLVKNAQANAAANKNAAIAPARPAPRLERKAPAKPPPPEHVIEISSDSDQSKTQSESSASSVRSRKKVINTLTSVLSARSKAACGIADKPRQAIEDIDKLDVNNELAVVDYIEDIYAFYKTAQHESRPRDYIDAQVEINSKMRAILADWIIEVHHKFELMPETLYLTMYIIDQYLSLQPVLRRELQLVGVSAMLIACKYEEIWAPEVNDFILISDSAYTREQILSMEKGILNRLEWNLTVPTSYMFLVRFLKAASPGIKIDKEMENMVFFFAELSLMQYGLVTHLPSMVAASAVYAARLTLKKSPLWTDTLEHHTGFRESELMECTKMLVSAHLTAPESKLRVVYKKYSSEQFGGVALRPPAVEICK >KQL06563 pep chromosome:Setaria_italica_v2.0:V:34214185:34219153:1 gene:SETIT_002309mg transcript:KQL06563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAVAPPPVVPLLRPPVALVGWRRRPRATPPRFAVVLAASSGVSGERAPPTFGRLREELLQLHAEADLTQSKANSARVRLVRLTEAAENLKKRAATSVRMGKENEAVDLLVQKKKLTKALENIKERIEVLDKLSAKISEAISIKQNMLIEYALRPGMSNSENSDDMIRVFSSTVNDGVNGAESCDSRLKSVEKESFELRNEAHASMTGYHEQSAFRMADGFSFLNDPDPANSIKNTSSYDGFLEHIDLQMKSLEYEIEQFISSQSVDEVGSEKQRNDKWQRLSDIQMLVKETRERIARILDLTVKETESGDLR >KQL04503 pep chromosome:Setaria_italica_v2.0:V:9416111:9417504:-1 gene:SETIT_002340mg transcript:KQL04503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDMGHLYKWAPPIVTSEATVTLTPTTPTTTTTAMSTTTPPPPAPQAHGGRNGSIPSLSPSNESFSRFFESWIAEQSRDLAALRAAASADPPTREADLRRLADRVLGHYEHYYRTKAAAAAVDLLRMFTPSWTSTTENLFLWCGGWRPTAALHLLYTKSGMQLEHQLPAFLNGGSLKADLGDLSAGQLQDADQLQRRTIKREREIEDAAASAQEALATAKILELAGGGGGVDADAMEREMKTKADGMKRVLEMADALRLETMRGVVALLRPAQAVHFLLAAAEIHLAVHDFGCRKDGHAGNAAAPAPQQP >KQL05821 pep chromosome:Setaria_italica_v2.0:V:28250484:28251012:1 gene:SETIT_003525mg transcript:KQL05821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQAAAYAHAVPGSSAGATVAVVPPDAVPYEGGFLFQQQQQPPPSQALTYQMEQSPPPSSSGQSHPEVSRQQNTDGSDEGSGGGGVPPA >KQL07720 pep chromosome:Setaria_italica_v2.0:V:41388219:41388936:-1 gene:SETIT_003378mg transcript:KQL07720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPQVLLVALAVLAVLATLPLCKGSEEEEGGAAFAMDTNARAWPCCDKCGLCLLMYPPQCNCMDFSERGCHPACRKCVRYTADGSSISQEPPVYRCADLLTNFCQRRCTPATVVA >KQL05265 pep chromosome:Setaria_italica_v2.0:V:19678111:19684066:-1 gene:SETIT_000172mg transcript:KQL05265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSFRLSRRGRRIHPPPPPASTHVTGDSRPHAAASLDVPPPPLPPCEPSFALNLFPDGYSVGEPGKGMLLYLIGDDPKKQPYSRASRALLSDIEHGCLPQDILHGIPCKFQNGSTVCEVRDYRSVFSSGDDYSGDDFPRVNRVHLRLGTDCVVKDLSSIADASWTYHDQLTAESSILNALQPRLNLDPTPCLEMLCNSRAKKIDLGLNTERKHGKDTSVLMMSTNPPENCKTKEFNVCKGATLCIENAALEGKPSGLLNSLSINCPSTIHVNNAKSAAKSDTDNTLQCSSTLPNTSALKQDGSITPPDNLLQSNEERPQVTVSQVDRENRQTQKVTVVPQKRKKSLKLLNERHGSKNHSPPNKSARLSYQNSKGQKSTGSSNKEGFHLGSPKVPQVEVKVGQIIGNKDLKVQEKVPLSVDSSCHPHTSLSTSNLCVKKIPENVKSLDIWSNERHEAPVVDLKISDMADPKGSRIPSVTSLSANSSKAACEPGEDKGATEPQLNALNRKVTEISSISLNQEINLNGERQQKFDIHIECENRSIVEPATTVGVNSKPDIEKILSEVILTTQRHGLNEKAAKSDVLETSWLLPPCEFFQFENVDEIPSMRDETMTYNVSNGATSTWKIRRLTFHPSQYSSCLVDKSLYTLCLLESESLDNQITVGAIYGDEQVHITTLSTSCHAEKFVDQFISLMKRDGYNLCNDEQSEDVSHLGFPTGEDADYLLFSPSAVNSLPIITNNKVGCTIQNKLPDFHAPPLQPLTQQLVLTEQPLTLESPEAFFLNPSHLPGGQQYTGQHLQDQGSSFACNPFATDPLQFPSAQPSQEVSVDQYLQCRDDILGFSDIYSASRYNQLHQEALMDQYLQYRHDLPGFIHTYGMRTAARRYSQWRQEVPMGQYLRYRHDIPWFSDAYGASMTTRSYGQWRQVYTQMGSVVYQWDLPAFGRQIHNSPPLHNGWSIPLSELQPIGSPQMSSRSMDFDGSVTSTPVRIPMHHGYQFPSQELW >KQL06470 pep chromosome:Setaria_italica_v2.0:V:33699438:33699932:1 gene:SETIT_005407mg transcript:KQL06470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLQKLSITAVGKREKLLNVVKNPVTRYLPVGAWKIGLSFSADKSVNLFDYVAKSSDDEPLVCGWCHGPWKDRQGIH >KQL06469 pep chromosome:Setaria_italica_v2.0:V:33699300:33700771:1 gene:SETIT_005407mg transcript:KQL06469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLLQKLSITAVGKREKLLNVVKNPVTRYLPVGAWKIALTSQSTYLTMLPNPVMMNPLFVVGAMAHGKIDKEYTDDYIQMLPAV >KQL07702 pep chromosome:Setaria_italica_v2.0:V:41325054:41330588:-1 gene:SETIT_000530mg transcript:KQL07702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALAAHGADLLRGPHLLRAAVLAFAVALAFLVGYHWHDASPRLVFFSSTASSSSATGSAPRSPAVALSPNANISFDPSLIPATTTAPPASPTPNAWPPPSLPPPTTAPPPPPLPPPPPPARLGIVGEDGAMRDDFDVGSIGANDTDLATDEAAPQEPGEAGGGGGGPRVRIGRFPVCPESMREYIPCLDNQEEIKRLPSTERGERFERHCPAKDKALSCLVPAPKGYKAPIPWPQSRDEVWFSNVPHTRLVDDKGGQNWITKAKDKFRFPGGGTQFIHGADQYLDQISQMVPDVAFGSHTRVVLDVGCGVASFGAYLLSRDVLTLSIAPKDVHENQIQFALERGVPAMVAAFATHRLLYPSQAFDIIHCSRCRINWTRDDGILLLEVNRLLRAGGYFAWAAQPVYKHEEAQQEAWKEMEDLTARLCWELVKKEGYIAMWRKPLNNSCYMNRDPAVKPPLCDTDDNPDDVWYVNLKACISRLPEIGEGPTPAQWPARLMDPPKRLQGVEMDAYSSKNELFKAETKFWEDILEGYIRVFKWRKFKLRNVMDMRAGFGGFAAALINRKFDCWVMNVVPVTEPNTLPVIYDRGLLGVAHDWCEPFDTYPRTYDLLHAFGLFSKEQKRCNISSILLEMDRILRPGGRAYIRDRKEVIQEIKEITNAMGWRGTIRDTAEGPYASRKVLMCDKPMVH >KQL04283 pep chromosome:Setaria_italica_v2.0:V:7900175:7900883:1 gene:SETIT_005470mg transcript:KQL04283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILEMVGGRRNVQTNPENSSQIYFPEWLHDHLSNGGTLETFDVTSATEEIATKMALIGLWCIQMMPEARPSITKVIDMLERSVTELEIPPMQFLSCPPESSIHSINTDSGEDTQNLSFLTHFNK >KQL07689 pep chromosome:Setaria_italica_v2.0:V:41253967:41254665:-1 gene:SETIT_004415mg transcript:KQL07689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TYVGLGRWDKRQSFAATSPDNSRLALTTMPGTSGMEASFWCYACSRLRRPCAQGEPVAGCTRCGTPAAALEGIVDVVDAGAFVHACHPEAGLIKPPAPSLPTVTIRAAGRDCAVCMEELKPGVIAAVTPCEHVYHPPCVAPWLQARGTCPLCRAPVAGASDRDGLVTCRFPSGRIGLGRRVAGRIHGVRMLDEGGKLVRPRVLQRGFKGVHLHARMTLGALVSRDLDVVPDV >KQL04134 pep chromosome:Setaria_italica_v2.0:V:6750428:6751133:-1 gene:SETIT_005531mg transcript:KQL04134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHELEKKLCIVGLRCIQMKPQDRPAMSEVIEMLEAGIDGLQIPPRPFFCDDEDGHTVGYSCLSSELDEIDGDDE >KQL08123 pep chromosome:Setaria_italica_v2.0:V:43669319:43669804:-1 gene:SETIT_004710mg transcript:KQL08123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKSHTHKAFLLCNYVLLGAASSCIFLTLSLRLLPSPCGLLLLFLHALTAVFSAAGCSGSFTAPATPAQWHNAHTAGAALTAIFQGAVALLAFTRTSDFLAELQSYVRDEDGAVILKMVGGLGTAIFVLEWAALALAFSLRLDDEDDDDLHAKNWQSYNV >KQL03455 pep chromosome:Setaria_italica_v2.0:V:2482682:2486772:1 gene:SETIT_002941mg transcript:KQL03455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPGVASRAADRFYCPPARRHLLDKQQKQHPPLTAAAVEEPAKPTPELRRDPPPSPALETATAANNLESFIASTAVRVPARRHPRAGTRGRGAGADGHGGAPYYELADLWDAFGEWSAYGAGVPLLLNGTDGVVQYYVPFLSAIQLYGSRPSSKRRFNEDSDDGSSPDTSSDHHEQQLTTSLLKAADDWLCQRQVDHPDYRFFLSH >KQL04875 pep chromosome:Setaria_italica_v2.0:V:12589707:12592545:-1 gene:SETIT_003375mg transcript:KQL04875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAIHTMSLRDLKQLEGRLEKGICKIRARKNELLYAEVEYMQKREMDLQSDNMYLRSKVAENNERGQPPMNMMGAPSTSEYDHMAPYDSRNFHQVNIMQQPQHYSHQLQPTTLQLG >KQL05152 pep chromosome:Setaria_italica_v2.0:V:16557203:16559772:1 gene:SETIT_004240mg transcript:KQL05152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRDRIRKRRGEEDDDMMLCTGVRKKKWRHTSKLTGEERVRELLKGHVRNYRVAFRMEPEIFIALADYLRKERLVHDTRIKVEEKLGFFLYMLSHNASFEDLQEKFGHSGDTYHHHMKYFFDIVGPTLSKRFLKPPNPNQVHHKIARDPRFYPYFKIIIKFHVREDYVYFTKSQIQEKEKELKREYKLLKDAKQQSGTHFDAKAGRIKACPAVWKNILDSYPNAKKFHIKAFPLFEAMGELYDGQSHNKVENMEVLNSDLQKTLADQEDDDVRVLEDDQMPQRRDATGERDATVTRNTKEREPKRQKKATNLEGLMERYIGMRTEQAEDEIAQLARDREEITKEEKAKSFKLFKDPDNRQIFLSACDDNPDFALLWLRSEIAW >KQL07872 pep chromosome:Setaria_italica_v2.0:V:42286416:42288243:-1 gene:SETIT_002889mg transcript:KQL07872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAVRRAGADEPGRAAPRLNERILSSLSRRSVAAHPWHDLEIGPDAPAVFNVVVEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMMDQGEKDDKIIAVCTDDPEYRHYNDLSELSPHRVQEIRRFFEDYKKNENKEVAVDEVQPASTARDAIKYSMDLYAQYILQSLRQ >KQL05530 pep chromosome:Setaria_italica_v2.0:V:25408073:25420441:1 gene:SETIT_000470mg transcript:KQL05530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFQSSAKKRRKPKSSSLLPAQPPEKKGGACFGKRRSLPAPATAMSSGAEVIELSSDDDEGPAPGTTALARRAPSSPPDVKPHLPADADVKPLLLPLPLHPPGYGALVPVKTEDPVPVPVATASPPPRALPPPRLCRQFWKSGDYVVACRNPDANAPGGRNRLRINPKFLHSNATSHKWAFGAIAELLDNAIDEVNNGATYVRINKFTNPRDGSPSLLVQDDGGGMDPEALRRCMSFGFSDKQSDAFIGQYGNGFKTSTMRLGADVIVFTQNQNNWTPTRSIGLLSYTFLMETGCDDVLVPTVDYQYDLTTASYIQMLRHDQKLFSSNLGILLKWSPFASEAELLKQFDDMGEHGTKIIVFNLWFNDDGDMELDFNSDEKDILITGAHKKLKTNKAEKIAKQNYVSSRLRYSLRAYASILYLHVPDNFRIILRGCDVEPHNIVNDLMYRECVLYKPQIAGLTESSVITTIGFVKGAPDIDVQGFNVYHKNRLISPFWKVANNSYGKGRGVVGILEANFIKPTHDKQDFEKSVLYQRLEIRLKEMTYEYWGLHCHRLGYDNKSLPKATRALHRANHMNARSSPISAPPRLLAADIPTSSCGIPRLSASAAREKINSLESHSKSKMGLKRKFDSAMTDSADQDGLDHTNGVDVSQRKRFNEYRTLTLENDKLRKECLQYEESEKQLVMKEQKLRSEIAEAKKKYQELLKELGSLDVKEEKS >KQL03149 pep chromosome:Setaria_italica_v2.0:V:65873:66239:-1 gene:SETIT_004503mg transcript:KQL03149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTFDNLKAKVQMCLVRILAENSYCEEEAVLLRVIIDKETFSYGSKGIYAVVFPSLNNENVAINFTDFTNPVTVVHISESEPLINEDAYTLGYQNPL >KQL06841 pep chromosome:Setaria_italica_v2.0:V:35992100:35993359:-1 gene:SETIT_003850mg transcript:KQL06841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDAVAAGPTAAGALPPIAATPVLPADAVVIDVEGAPLPAGGPGTGCRICHLGPEDCVSAAPGSEVIRLGCGCKDELGAAHRQCAEAWFRIKGDRRCEICGSDAKNITGLEVKKFMEQWHGRRVANIQTTQERESHCWRQQPFCNFLLASILIIFMLPWFLHVNLF >KQL07565 pep chromosome:Setaria_italica_v2.0:V:40510919:40511612:1 gene:SETIT_005538mg transcript:KQL07565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLEWHGPLWLGMDWDMFHEAKNVSRAASPRVPITFVDITTMSERRKDGHTSVHTIRQGKVLGPEEQADPGTYADCIHWCLPGVPDIWNLVLYTRILSRPAIQFG >KQL05065 pep chromosome:Setaria_italica_v2.0:V:15099907:15103437:-1 gene:SETIT_004563mg transcript:KQL05065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGGGGGGGGGGQAAMSPAPRGGKRGRGPEEDVYVDNLHSHKRYLTEIMASSMNGLSVGDSVADNIMVSPVRLENASCFRDEMITQYSPMSEESDDYRYCDTQVNTNGSQTDAMSSPSTSPISSPHRFQKPHTWFSSANPYPLPSCSLSAVVCAHARRSSGTEHEGRIPSSPNDMCHGGDLRRTALLRSVQMRVQSPHPCDLLSSSGHGQEQEGDHVHADELDHDQKRAVGVQLDQRSFSCPKSIQDAEYQSPTNCGLRSDHDVDFVEDQITV >KQL05301 pep chromosome:Setaria_italica_v2.0:V:21269208:21269411:1 gene:SETIT_004409mg transcript:KQL05301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLYLIVRIRSIFIPSKDCHMEHCLNYYVLYAITTWGLISKLKQLQLCWSVLCKSFVPCKSDHVMIK >KQL03438 pep chromosome:Setaria_italica_v2.0:V:2378294:2389303:1 gene:SETIT_000116mg transcript:KQL03438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEEEEEHGGHRYMRMPPEPPEPEWMAAAGASSASFRLPESARVFDELPRARIVGVSRPDAGDITPMLLSYTIEVHYKQFRWLLYKKASQVLYLHFALKRRVFLEEFHEKQEQVKEWLQNLGIGEHMPVVHDDDEADDVHVPSQHDEHSIKNRNVPSSAVLPVIRPALGRQQSISDRAKAAMQEYLNHFLGNLDIVNSREVCKFLEVSLLSFLPEYGPKLKEDYVTVRHLPKIEMGSKGRCCSSFCFNFCSSNWQKVWAVLKPGFLALLQDPFDPKLLDIVIFDVSPCTDRNGEGQTTLANEIKERNPLHFGFEVSSGGRSIKLRTRSSAKVKDWVTAINAARRPPEGWCHPHRFGSFAPPRGLTEDGSVVQWFIDGRAAFDAIASSIEEAKSEIFITDWWLCPELYLRRPFHFHGSSRLDILLESRAKQGVQIYILLYKEVSLALKINSMYSKRRLLNIHENVKVLRYPDHFSTGIYLWSHHEKIVVVDNQVCYIGGLDLCFGRYDSPEHKVADFPPSTWPGKDYYNPREYEPNSWEDTMKDELDRTKYPRMPWHDVQCALYGPACRDVARHFVQRWNYAKRNKAPNEQAIPLLMPHHHMVIPHYMGTSKETNGETESKQNHGMDVKFNRLNSLTTPASCQDIPLLLPHEPDHHAFPNGDFGLNGMNINNGLSDHANKTKRNQPLPNRKAKLDLSLQDLQMKGFVDNVGSPEVSVSKHYDTSKPDTQNIDKEWWETQERGDQVSSVLDVGEVGPRAACRCQVVRSVGPWSAGTTEIEGSIHNAYFSLIEKAEHFVYIENQFFISGLSGDDTIKNRVLEALYRRILRAEKEKKCFRVIIVIPLLPGFQGGIDDGGAASVRAIMHWQYRTICRGPNSILKNLYDVVGSKAHDYISFYGLRAHGRLGNGGPLVTNQIYVHSKLMIIDDRMTLIGSANINDRSLLGSRDSEIGMIIEDKEVVSSLMDGKPWEAGKFSLSLRLSLWAEHLGLLPGEVSCIMDPVDDSAYKNIWMATAKVNTMIYQQVFSCVPNDHIHSRYQFRQSFAHRKEKIGHTTIDLGVALEKQETKQDRDLADADPMEQLQAVRGHIVSFPLEFMCQEDLRPFFSESEYYTSPQVFH >KQL05606 pep chromosome:Setaria_italica_v2.0:V:26313259:26319402:1 gene:SETIT_002136mg transcript:KQL05606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHSEPLDPAPAADVPADNSPELPSPSYHIVTKPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRNGALCIMQLAFPDAVYLVDAIEGGKELIQACKPALESDHITKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIGEQEGKKRTSDDYISFVSLLADPRYCGIPYPEKEEVRTLLRQDPNFWKIRPLSDMMVRAATDDVRFLLNIHEKMMEKLSKVSLWRLAVRSELYCRCFCLNDNQFADWPLLPPVPVDILSVLDVPPGKMGRVIGRKGSTIMSVKESCNVEIHIGGAKGPPDRVFIIGPVKEVRKAEAILRGRMLEF >KQL05605 pep chromosome:Setaria_italica_v2.0:V:26313363:26317914:1 gene:SETIT_002136mg transcript:KQL05605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHSEPLDPAPAADVPADNSPELPSPSYHIVTKPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRNGALCIMQLAFPDAVYLVDAIEGGKELIQACKPALESDHITKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIGEQEGKKRTSDDYISFVSLLADPRYCGIPYPEKEEVRTLLRQDPNFWKIRPLSDMMVRAATDDVRFLLNIHEKMMEKLSKVSLWRLAVRSELYCRCFCLNDNQFADWPLLPPVPDR >KQL05607 pep chromosome:Setaria_italica_v2.0:V:26313259:26319402:1 gene:SETIT_002136mg transcript:KQL05607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHSEPLDPAPAADVPADNSPELPSPSYHIVTKPGQLPVEFLEPSAAQKLVIGFDCEGVDLCRNGALCIMQLAFPDAVYLVDAIEGGKELIQACKPALESDHITKVIHDCKRDSEALYFQFGIKLHNVMDTQIAYSLIGEQEGKKRTSDDYISFVSLLADPRYCGIPYPEKEEVRTLLRQDPNFWKIRPLSDMMVRAATDDVRFLLNIHEKMMEKLSKVSLWRLAVRSELYCRCFCLNDNQFADWPLLPPVPDDIEADVYVPEVDILSVLDVPPGKMGRVIGRKGSTIMSVKESCNVEIHIGGAKGPPDRVFIIGPVKEVRKAEAILRGRMLEF >KQL04303 pep chromosome:Setaria_italica_v2.0:V:8000054:8002321:1 gene:SETIT_003971mg transcript:KQL04303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLLLLLLASFLLPPPAASAGTAQPSSCWPKTCGGLNITYPFWLEERDRPPCGPPAFQLKCNSSGAFMVKSVYQAYRVVSIFAENQSLHVVDINLPLDTGCPAPTFNVSLVPRPLAFSKANKELLFLSKCTAGSQPEDSTGFHSLPCDRSAFVRLGDGRNFSRSHIQGGIPPGCFFAFVPILEVPNGNGDEYVVSLKKGFLLEWTTVPGHCPDCMESGGECVYSDTGLNFACKCSGTLLPEKCAAAAVAVASARPNVQGGDCSASIRCGNLTISKPFRIVPDQATEGSCGLLGFQVVCENHTPYL >KQL04623 pep chromosome:Setaria_italica_v2.0:V:10247746:10248980:-1 gene:SETIT_005297mg transcript:KQL04623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSGSTREENLQGASKRGAHRCNGTGGGEGGGGGDGHLPRRSSEAQTTRTLRSARRKTKSKGRLAGTAAGMEGSGSKSKRKRAEEEGEGSALVGRRGGFILFGLTLILQQLTVAATTRTPATTAPATTAARTVSGTTAGTHPGNTKENYC >KQL07992 pep chromosome:Setaria_italica_v2.0:V:43018885:43022761:1 gene:SETIT_001657mg transcript:KQL07992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGDGMKPVTLSGKFFFDASHSPFPFGSGKKASEFSKWLVGQQKNIVDSTLYLYPDLQCGEIVHLFSLVLSGELLISPSLPNEGVGEANDPNIFSPFIEYTGELDGHTLKRKSVELESSKNKKQKPLPKIDSDFCYRREKGFPGIQVALNQERIQTSNLLQVLHHKECLMFTLAREMGNKDVDSQVNAYDGEQIVDSLHKPKYHITTLAEYSCCSCLQAPAFQIASTGDGRNTQKEKHVMPINLQGTVKKLGDGHTVTVTNAQGKQSSHLRSPGDNERSSIWPWGSGCFHVCESHIYHPILPWINGDGSMNSTLYEGLSRRVIGYVMQYPGIVEEDVIHRMGVLNPQTCRTLLGKLTTDRHLYVGVLDEPVPTAPTMLQSLLAQGHHEEPSKCARRYFANPMSTFML >KQL07369 pep chromosome:Setaria_italica_v2.0:V:39367931:39369329:1 gene:SETIT_003312mg transcript:KQL07369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQRIAGLVAAPALLVLVALAVSSSSRSAHKEPSMADRGTLAGGITDVPQGENDIHLQEIARFAVDENNKKTNALLSYERIVKAKTQVVAGAMYYLTIEVKDGTAKKLYEAKVWEKSWENFKELQEFKPVEESSA >KQL07370 pep chromosome:Setaria_italica_v2.0:V:39367931:39369945:1 gene:SETIT_003312mg transcript:KQL07370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQRIAGLVAAPALLVLVALAVSSSSRSAHKEPSMADRGTLAGGITDVPQGENDIHLQEIARFAVDENNKKTNALLSYERIVKAKTQVVAGAMYYLTIEVKDGTAKKLYEAKVWEKSWENFKELQEFKPVEESSA >KQL08627 pep chromosome:Setaria_italica_v2.0:V:46352589:46354040:1 gene:SETIT_003169mg transcript:KQL08627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYEGGIFRILDWADIVNAHIVPGPGIVDGLKLKGLPKGRALLLLAEMSSAGNLAQGAYTAAAVKIAEQHPDFVIGFISVNPASWSVAPSNPALIHATPGVQMVAGGDALGQQYNTPLAVINNRGSDIIIVGRGIIKASDPGKAALEYRVQGWQAYRTSLL >KQL05648 pep chromosome:Setaria_italica_v2.0:V:26671227:26672102:1 gene:SETIT_004469mg transcript:KQL05648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCATRCCWRRSSRACCPSTSSSRYNALARRPDFAARYWRRAGVIFQPFDQPKEVPPRFLTGGGHARDDQAALVHGADLAFLPGPSAREEAYLRLDGSDPDGGFAVLHSAGGLQLCSRGRTRAVHLYVCNPVTSQWVALPELPLPVCKRHCGHLTVAADGAFTVVVANHASHWTGPGGGQLDIRVFASDTGRWEARRFPATVISDDVDFDDFTFCQPPMLGPSGTSAGAASDSLRVITLPNHLVDGGRCIGERHGGGLRYMESNRRVLQVWDAQD >KQL06347 pep chromosome:Setaria_italica_v2.0:V:32765362:32765985:1 gene:SETIT_005194mg transcript:KQL06347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPNLNAELQHNQSLPLLSNRADGNNMSPMRKAIGQTYQSTGHLAKLLPSGTVLSFQLLVPTLAKQGHCGDMNRVMTGGLVVLCALSCFVLSFTDSFRDVEGKVRYGFATFKGLWVIDGGAPLEPLAAAEYRMRFLDFVHAIVSAMIFVAIALFDQNVVSCFYPIPSEDAKQLLTALPVVIGVIGSMLFVSFPTTRHSIGSTFSSQ >KQL05157 pep chromosome:Setaria_italica_v2.0:V:16654421:16655075:1 gene:SETIT_004161mg transcript:KQL05157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVMSATGFFEAEMSSDSFQLLGMAEMVMIPAIFAHRSKHGTPTFSILCSATGVVILSFMSFQEIIEFLINFLYGLRMLVMFAAFIKLHAKNPDLPRPYRIPVGTAGAAAMCVPPVALITTAGAFLVPVPPPPDSSHGSTTVPEDAADIEDVRAGLLADETADEGGSKVE >KQL06201 pep chromosome:Setaria_italica_v2.0:V:31613685:31616390:-1 gene:SETIT_002133mg transcript:KQL06201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAERRLARVAGHLVPSFPVTHATTPPLVPSPTASSSSSSSSPAGDSYRRVHGDVPSEPPEWRAATDESGKEFVDIIYEKAVGEGIAKITINRPDRRNAFRPLTVKELMRAFNDARDDSSIGVIILTGKGTKAFCSGGDQALRDSDGYVDFDSFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHVLHMVCDMTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKRAREMWFLSRFYTADEADKMGLVNTVVPLAELERETVKWCRQILRNSPMAIRVLKSALNAADDGHAGLQELGGNATLIFYGTEEAKEGKNAYMERRRPDFSKFPRKP >KQL06354 pep chromosome:Setaria_italica_v2.0:V:32799153:32804492:-1 gene:SETIT_000023mg transcript:KQL06354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPRAAQRRAAAPAAAAGGEPYNILPIHDLLADHPSLRFPEVRAAAAALRAVGGLRPPPFSQWRADHDIMDWLGAFFGFQRDNVRNQREHLVLLLANAQMHLTSADFSDTLDPRIARQIRRKLLRNYTSWCGFLGRRPNVHVPDGDPRADLLFTGLYLLVWGEAANLRFVPECLCYIYHHMALELHRILEGYTDVATGRPANPAVHGENAFLTRVVTPIYGVIRAEVLSSRGGTAPHAAWRNYDDINEYFWRRDVFDRLGWPMEQARQFFRTPPDRTRVRKTGFVEVRSFWNIYRSFDRLWVMLVLYLQAAAIVAWEGAKWPWDDLISSQGSRSKDTQVRVLTIFITWAALRFLQSLLDIGTQFRRAFRDGRMLAVRMVLKVIAAAAWVLAFAVLYKGIWDQRRSNGQWSSAANSRIMRFLYAAALFVIPEVLALVLFIVPWVRNALEKTNWKICYALTWWFHSRSFVGRGLRESTIDNVKYSFFWVLLLAVKFAFSYFLQIRPLVKPTKEIYKLSGIKYTWHEFFGQSNRFAVFVLWLPVVLIYLMDIQIWYAIFSSLTGALVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQQVNESFLPNRLRNFWQRVQLRYGFSRSFRKIESNQVEARRFALVWNEIISKFREEDIVSDREVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVKGPDRRLWRKICKNDYRRCAVVEVYDSAKHLLLEIIKEGTEEHGIVTQLFNDFDGSMATEKFTVEYKMTELHNVHTRLVALLSLLLKPTKDFTKIVNALQTLYDVVIRDFQAEKRSMEQLRNEGLAQSRPTSLLFVDAVVLPGEENATFYKQVRRMHTILTSRDSMINVPMNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYNEEVLYSKDQLYKENEDGISILYYLQQIYPDEWEYFVERMKREGMSDIKELYSEKERLRDLRHWVSYRGQTLSRTVRGMMYYYEALKMLTFLDSASEHDLKAGSRELATMGSSRIGSSRHDGVAGGSGYYSRASSSRALSRASSSVSSLFKGSEYGTVLMKYTYVVACQIYGQQKAKNDPRAFEILELMKNYEALRVAYVDERQNNGGETEYFSVLVKYDQLLQREVEIYRVKLPGELKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRYYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRLWFLGRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMMVVLTVYAFVWGRFYLALSGLEDYISKNTSSTNNAALGAVLNQQFVIQLGLFTALPMIIENSLEHGFLTAVWDFMKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFIKAIELGVILTVYASYGSASGNTLVYILLTISSWFLVSSWILAPFIFNPSGLDWLKNFNDFEDFLNWIWFRGGISVKSDQSWETWWEEETDHLRTTGLWGSILEIILDLRFFFFQYAIVYRLHIAGQSRSILVYLLSWACILLAFVALVTVAYFRDRYSAKKHIRYRLVQAIIVGGTVAAIVVLLKFTKFQFVDTFTSLLAFLPTGWGIISIALVFKPYLRRSEIVWKTVVTVARLYDILFGVIVIAPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQIITGKKAHAF >KQL06355 pep chromosome:Setaria_italica_v2.0:V:32797878:32804492:-1 gene:SETIT_000023mg transcript:KQL06355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPRAAQRRAAAPAAAAGGEPYNILPIHDLLADHPSLRFPEVRAAAAALRAVGGLRPPPFSQWRADHDIMDWLGAFFGFQRDNVRNQREHLVLLLANAQMHLTSADFSDTLDPRIARQIRRKLLRNYTSWCGFLGRRPNVHVPDGDPRADLLFTGLYLLVWGEAANLRFVPECLCYIYHHMALELHRILEGYTDVATGRPANPAVHGENAFLTRVVTPIYGVIRAEVLSSRGGTAPHAAWRNYDDINEYFWRRDVFDRLGWPMEQARQFFRTPPDRTRVRKTGFVEVRSFWNIYRSFDRLWVMLVLYLQAAAIVAWEGAKWPWDDLISSQGSRSKDTQVRVLTIFITWAALRFLQSLLDIGTQFRRAFRDGRMLAVRMVLKVIAAAAWVLAFAVLYKGIWDQRRSNGQWSSAANSRIMRFLYAAALFVIPEVLALVLFIVPWVRNALEKTNWKICYALTWWFHSRSFVGRGLRESTIDNVKYSFFWVLLLAVKFAFSYFLQIRPLVKPTKEIYKLSGIKYTWHEFFGQSNRFAVFVLWLPVVLIYLMDIQIWYAIFSSLTGALVGLFAHLGEIRDMKQLRLRFQFFASAMSFNIMPEEQQVNESFLPNRLRNFWQRVQLRYGFSRSFRKIESNQVEARRFALVWNEIISKFREEDIVSDREVELLELPPELWNVRVIRWPCFLLCNELSLALGQAKEVKGPDRRLWRKICKNDYRRCAVVEVYDSAKHLLLEIIKEGTEEHGIVTQLFNDFDGSMATEKFTVEYKMTELHNVHTRLVALLSLLLKPTKDFTKIVNALQTLYDVVIRDFQAEKRSMEQLRNEGLAQSRPTSLLFVDAVVLPGEENATFYKQVRRMHTILTSRDSMINVPMNLEARRRIAFFSNSLFMNIPRATQVEKMMAFSVLTPYYNEEVLYSKDQLYKENEDGISILYYLQQIYPDEWEYFVERMKREGMSDIKELYSEKERLRDLRHWVSYRGQTLSRTVRGMMYYYEALKMLTFLDSASEHDLKAGSRELATMGSSRIGSSRHDGVAGGSGYYSRASSSRALSRASSSVSSLFKGSEYGTVLMKYTYVVACQIYGQQKAKNDPRAFEILELMKNYEALRVAYVDERQNNGGETEYFSVLVKYDQLLQREVEIYRVKLPGELKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFNRYYGIRKPKILGVREHVFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRLWFLGRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQVSMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTVGFYFNTMMVVLTVYAFVWGRFYLALSGLEDYISKNTSSTNNAALGAVLNQQFVIQLGLFTALPMIIENSLEHGFLTAVWDFMKMQLQFASVFYTFSMGTKTHYYGRTILHGGAKYRATGRGFVVEHKKFAENYRLYARSHFIKAIELGVILTVYASYGSASGNTLVYILLTISSWFLVSSWILAPFIFNPSGLDWLKNFNDFEDFLNWIWFRGGISVKSDQSWETWWEEETDHLRTTGLWGSILEIILDLRFFFFQYAIVYRLHIAGQSRSILVYLLSWACILLAFVALVTVAYFRDRYSAKKHIRYRLVQAIIVGGTVAAIVVLLKFTKFQFVDTFTSLLAFLPTGWGIISIALVFKPYLRRSEIVWKTVVTVARLYDILFGVIVIAPVAVLSWLPGLQEMQTRILFNEAFSRGLHISQIITGKKAHAF >KQL05559 pep chromosome:Setaria_italica_v2.0:V:25702081:25703963:1 gene:SETIT_004273mg transcript:KQL05559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRNTTSHDGQIRFLVYVLENAILSLHEGQEKIVWLIDFTGWTMAHATPIKTARECTSILQNYYPKRLATAFLFNPPKVFETFYKAVKYFLDPRSIEKLNFVYLKDEEGVKVLYKCTDPEVLPVEFGGRKNVVFWADDAKPVNHVARGTLVADITPQSSLIVAKAS >KQL08595 pep chromosome:Setaria_italica_v2.0:V:46257673:46260700:-1 gene:SETIT_004296mg transcript:KQL08595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNNRTDNRNCHYQLLMNHEESSSAFKSLPPKVRAMHFRECNKLHLPKQAFSQALYLRVLDLSGCHVSELPGSVCKLKLLRYLDASNLPIPNFPKSLNRLLNLQTLILSNTSLKALPTNVGCLQKLQYFDLSGCVNLHELPTSFGNLSALLFLNLASCHELPTLPESFGKLHKLQFLNLSDCYKLHSLPESCCQLHDLTHLELSDCHNLEKVPDCIDQLSKLEYLNMTSCSKVQMLPESLCKLMMLKHLNLSFCVKLEHLPASIGVLRLQSLDLEGCFFLDGLPDGIFNMSTLVHVERAVFAIHIRSEVDKLREQLNLERSCELDGRGDLWSQILELEKTGCLELQIKDLQNVKNLEGADQAKLLNSSNLTSLWLSWGHGESSMVEHADASVDKSVLEKLVPPRNLRHLHLNGYMSIDFSRWMLDLPSYLPHLSTIVLLNLKGCSHLPPLGRLPNLRALWLGRMPNLKSVGREFYGDHGSCSKLRIILLMEMDNLEGWWTTRTSNEDEEFLIPNLHLLFAADCPKLKFLPYPPRSVTWIVNNSDHVLPEHGFGNLSSITSPYVLCIMGTSPSPEAWHRARYLCSIECLVLLSLTGLTTLPQVIRCFISLREFSVDGCDDLETLPEWLGDFTSLREIEIVSCPMLSSLPESIQRLTELKKLRITDCPALSEKCQGEDKHKIAHIPEVEFDSKAQPPPSGGTLVTPVMFPLHRKENMFMASSNYREEREEHVGPPDRDVVHGVTVLSMAPPATGSGATDVHADHHLIS >KQL06112 pep chromosome:Setaria_italica_v2.0:V:30750000:30751085:1 gene:SETIT_003463mg transcript:KQL06112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFVSPERYGIKYPLVACCGGGDPYGVTPNVSCGRGEYKLCHNPRKHGSWDGMHLSEAVYKAIAMGLLRGSYTQPPFATTAYSCTHLSELGFSIEYKSI >KQL06258 pep chromosome:Setaria_italica_v2.0:V:32128221:32133861:-1 gene:SETIT_000317mg transcript:KQL06258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAFAYAAVAVAVLAAAASAAVTYDRKAVVVNGQRRILLSGSIHYPRSVPEMWPDLIQKAKDGGLDVVQTYVFWNGHEPSPGQYYFEGRYDLVHFIKLVKQAGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGIRFRTDNEPFKSEMQKFTTKIVDMMKSEGLFEWQGGPIILSQIENEFGPLEWDQGEPAKAYASWAANMAVALNTGVPWIMCKEDDAPDPIINTCNGFYCDWFSPNKPHKPTMWTEAWTAWYTGFGIPVPHRPVEDLAYGVAKFIQKGGSFVNYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEYGLLREPKWGHLKELHKAIKLCEPALVAGDPIVTSLGNAQQASVFRSSTGACVAFLENKDKVSYARVAFNGMHYGLPPWSISILPDCKTTVYNTARVGSQISQMKMEWAGGLTWQSYNEDINSLGEESFTTIGLLEQINVTRDKTDYLWYTTYVEIAQDEQFLSNGKNPTLTVMSAGHALHIFINGQLTGTVYGNVEDPRLTYRGSVKLWPGSNTVSCLSIAVGLPNVGEHFETWNAGILGPVTLDGLNEGRRDLTWQKWTYQVGLKGETLSLHSLSGSSSVEWGEPVQKQPLTWYKAFFNAPDGDEPLALDMSSMGKGQIWINGQGIGRYWPGYKASGTCGNCDYRGEYDEKKCQTNCGDSSQRWYHVPRSWLNPTGNLLVIFEEWGGDPTGISMVKRTTGSICADVSEWQPSMKSWHTKDYEKAKVHLQCDHGRKITEIKFASFGTPQGSCGSYSEGTCHAHKSYDIFLKNCIGQERCGVSVVPDVFGGDPCPGTMKRAVVEAICG >KQL07409 pep chromosome:Setaria_italica_v2.0:V:39608002:39609026:1 gene:SETIT_005587mg transcript:KQL07409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVSHNQQLENADVKDKGYGEAIIAADEVGIVASTRSTAHALINMDVQPTFFIGCQDTLINPNSSNTDYKVWKDL >KQL04811 pep chromosome:Setaria_italica_v2.0:V:12001221:12005711:-1 gene:SETIT_000782mg transcript:KQL04811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVARAKKAAPAGAGGAGAGARRSGVRVGPARLEGLPAAWPAGAAAVKVKWPAPGGALSQMLTGRWARGVTAVEPVAAGGTVRWEPRDGNRFSLHVEPAGARGRTERGVFFSLLYGFQEQGRGKDLVRLEEIGTAMISLEECCWEMQLQQQRQQLVVVPIRVRKDGWASDAMLYVNVELVDMMNTRSDIERAVSFREKPRTTSKPPPHAMRDHRKSLEAAAYHDVLDLKQLLDLAEKEGRVAVYGSKRNSDTSSVSSFSSSSSSSTISISSASSSGGASPELASTSKRRFLPWMRRSRDFDKRSTESLSQELPIKCMDDDPAGSWETREFTSRDAETKLRTPVFFASIDQRDGSAGGESACTALVAVLAAALHANHPAMPTRPELDALIRDGSSEWRRLCDDEAHMARFPNRHFDLETVLAARTRPIAVQHDRTFVGFFQPESFASLSGAMSFDDVWREIAGGEREPGRADVYIVSWNDHFFVLKVESDCYYIIDTLGERLHEGCDRAYMLRFDGSSEMHALSTPAVDDGKEEEVIVTGKECCREFIKRFLAAIPLREELEIEKKGAGSVGAPHQRLQIEFHFTVLQDDER >KQL05482 pep chromosome:Setaria_italica_v2.0:V:24997236:25002530:1 gene:SETIT_001372mg transcript:KQL05482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEAGLVRKHPSDAGGLREARYGPHGHSASARTAHSMSASALRKKSDASLVRKVPFAPLRPVLANLQEVFLGTKLAVLFPAVPLAIAARYAHFGQVWVFALSLLGLIPLAERVSFLTEQIAIYTGPTVGGLLNATCGNATELIIALFALMQGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGIVNLNVDQPYDRKQADVSTGLLILGALCQSLPLMLRYAVGAGEHSVAADTTGLELSRACSIVMLLAYAAYLFFQLKTHTQLFEPQEIDDDGEVDQEEAVIGFASGFFWLAFKTVLIAILSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVIVAWIAGIQMDLDFKLLETGTLFVSVIVTAFTLQDGASHYLKGILLLLCYIVIGACFFVTRQPASHANGNGGGLAVPTGTWNAQVA >KQL05483 pep chromosome:Setaria_italica_v2.0:V:24997236:25003033:1 gene:SETIT_001372mg transcript:KQL05483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMEAGLVRKHPSDAGGLREARYGPHGHSASARTAHSMSASALRKKSDASLVRKVPFAPLRPVLANLQEVFLGTKLAVLFPAVPLAIAARYAHFGQVWVFALSLLGLIPLAERVSFLTEQIAIYTGPTVGGLLNATCGNATELIIALFALMQGKIEVVKCSLLGSVLSNLLLVLGTSLFCGGIVNLNVDQPYDRKQADVSTGLLILGALCQSLPLMLRYAVGAGEHSVAADTTGLELSRACSIVMLLAYAAYLFFQLKTHTQLFEPQEIDDDGEVDQEEAVIGFASGFFWLAFKTVLIAILSEYVVGTIEPTSQSWGLSVSFISIILLPIVGNAAEHAGAIIFALKNKLDITLGVALGSATQISMFVVPLSVIVAWIAGIQMDLDFKLLETGTLFVSVIVTAFTLQDGASHYLKGILLLLCYIVIGACFFVTRQPASHANGNGGGLAVPTGTWNAQVA >KQL06597 pep chromosome:Setaria_italica_v2.0:V:34516234:34517493:1 gene:SETIT_002106mg transcript:KQL06597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAFAAAKFLPAHLDSSPRIAPYRAVPTANLSFTPLSSSASLLRLRSPSPSGPGGRLPPPPPPRSYGGGGSGDAADSGGGDGDGRRGGILGVFLAGWAARVAADPQFPFKLLMEELVGVTACVLGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYLLAPTAAASSAASALPSHMFEAGAYTLGSRVATLMSKGATFAMVGFAAGLAGTAISNGLIAMRKRMDPAFETPNKAPPTLLNAATWALHMGVSSNLRYQTLNGIEYLLGKVAPVPVFKVSVLALRCMNNVLGGMSFVLLARLTGAQRSDKPATVAEEKETLIAVSNAAADAISEAKEGEGK >KQL05133 pep chromosome:Setaria_italica_v2.0:V:16275903:16276609:1 gene:SETIT_004269mg transcript:KQL05133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHEEKAHKLMMQAKINETKDVMKKKANELDKMRRHPFCFALAMATAMSVPVEACPDGFSIAPSCNLKGRQYCAGLQHWCAQDGAAVRALDARQRGGQGDPQPKELLLMLQQLAYDTDDALDELVYFRIQDFLDGTFEAADADMLKIAEMDAPRLKAQG >KQL03998 pep chromosome:Setaria_italica_v2.0:V:5800386:5801755:1 gene:SETIT_002757mg transcript:KQL03998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTTPAPARPCPPPAPAAAPIRPQLLSSVAPLALAAALVCGAGPAADAPAARAVPFVRPPPLQGKPFASSTPYAQSQKLQLGLDKLGKIRPCPSTNPGCVSTNALGSSGSFASPLVIPESSAGDKAVASLRQAIEKTQSNVDFKVDQDTPYGHYIEAEMDGGVGRDVMEFLVKKDAGVVAYRCMATKVTFVYPFTTAVGDSKGQKQRIAAISQELGWYAPDIQSSMDFDDAGYPP >KQL04403 pep chromosome:Setaria_italica_v2.0:V:8765643:8769309:-1 gene:SETIT_001779mg transcript:KQL04403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVHTKKLSHITPVGPTPPTCTRAHPAPAPRRAAGSNTPPPSVHSPSPPRLSPPPLHTSSSATPPRLASLLLLLPPAGVGGMKVTVVSRSGREVVKGGIDLKDSAKVADLQEAIHARTKKYYPARQRLTLPLQPGKGGKPVVLNPKASLSEYCEKGSGSLTVVFKDLGPQVYYSTLFFFEYLGPLIIYPMFYYLPVYKFFGYEGERVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSELQMKIGFGFGIICQIANFYCHILLRNLRSPSGSGGYQIPRGFLFNIVTCANYTTEIYQWVGFNIATQTVAGYIFLVVAASIMTNWALGKHSRLKKATV >KQL04402 pep chromosome:Setaria_italica_v2.0:V:8765217:8769309:-1 gene:SETIT_001779mg transcript:KQL04402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVHTKKLSHITPVGPTPPTCTRAHPAPAPRRAAGSNTPPPSVHSPSPPRLSPPPLHTSSSATPPRLASLLLLLPPAGVGGMKVTVVSRSGREVVKGGIDLKDSAKVADLQEAIHARTKKYYPARQRLTLPLQPGKGGKPVVLNPKASLSEYCEKGSGSLTVVFKDLGPQVYYSTLFFFEYLGPLIIYPMFYYLPVYKFFGYEGERVIHPVQTYAMYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGAYIAYYCNHPLYTPVSELQMKIGFGFGIICQIANFYCHILLRNLRSPSGSGGYQIPRGFLFNIVTCANYTTEIYQWVGFNIATQTVAGYIFLVVAASIMTNWALGKHSRLKKLFDGKDGRPKYPRRWVILPPFL >KQL05734 pep chromosome:Setaria_italica_v2.0:V:27341733:27343550:1 gene:SETIT_004451mg transcript:KQL05734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGDEEGPTTYGQIEQFLNSLEGLTAQQRIDCIVAFLISFLPPPLVPAPEVVGDDSDDESFSLTSSDSEASDAGADPAAFHPVALGDGEDHISRLPDVLLSNIISRLATQEAERTVVLSTRWRGVWATTPLLIDDAHLVGAYGPHDIPIVRAVSRCVAAHPGPVRGVRLTGLSFSHEYSLRCLVADLANKDVQDLILFNRPWPLNMPLPEDILRCASLERLYLGLGLFHCMVRDEEVDAMLALCPKLEILSIVMSFGSPSRLRIVSRSLQVVADWESTLDEVVVHDAPCLERLIFQTIDTRRSIKIVGAPRLQVLGFLDLNLHELEIGGIAIKAGMIVRARAMVPSLKVLAVIVRFACNQEAKMLPTLLKCFPCLETLHILLHLKTLMVHGCLVQNNEIGFLQYVIREGKALKAVCLAPSPNNKVAIDMVSAKFGKNNAASGGGSSTDISLAKINGWIFQNAIDMSVDDPFSVDDVVLSCF >KQL08109 pep chromosome:Setaria_italica_v2.0:V:43596807:43597406:1 gene:SETIT_003241mg transcript:KQL08109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLDNRTAIVFGPSGKGYSIKLEMGWTGVFFAVGWSQFLKFHDITEANALLLRYEGNMVFTLKAYGPNGYQREFNQRKTEVDKILKSSRKHHLLPFRSSKKHHLLPFRSSITTICQAVMEKRNNKASQHLGTRHHSRSRHHHR >KQL08110 pep chromosome:Setaria_italica_v2.0:V:43596807:43598132:1 gene:SETIT_003241mg transcript:KQL08110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLDNRTAIVFGPSGKGYSIKLEMGWTGVFFAVGWSQFLKFHDITEANALLLRYEGNMVFTLKAYGPNGYQREFNQRKTEVDKYQHCQILKSSRKHHLLPFRSSKKHHLLPFRSSITTICQAVMEKRNNKASQHLGTRHHSRSRHHHR >KQL07115 pep chromosome:Setaria_italica_v2.0:V:37746660:37751834:1 gene:SETIT_000732mg transcript:KQL07115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTATDAAAAKGRVTALGVAACERDAEKLEIIEEMTKNFDPEQVRVLGEILARNNGAEYLRRHGMEGRTDRAAFKACVPVVTYEDLRPEIERIANGDRSNIISSHPITEFLTSSGTSAGERKLMPTIEDELNRRQMLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETTTPGGLPARPVLTSYYKSDHFKHRPYDPYNVYTSPTAAILCTDSFQSMYSQMLCGLLARTEVLRVGAVFASGLLRAIRFLQLHWKDLTHDIRTGTLSAKVSEPSIRAAVGEVLKPDPDLAGFVEAVCAAADKENKWEGIITRVWPNTKYLDVIVTGAMAQYIPTLKYYSDGLPMACTMYASSECYFGLNLRPMCDPSEVSYTIMPNMGYFELLPHDPDARPLSKDDPPPRLVDLADAEVGKEYELVITTYAGLCRYRVGDILLVTGFHNAAPQFRFVRRKNVLLSIDSDKTDEAELQAAVERASGLLAPYGAGIVEYTSQADAATIPGHYVVYWELMVREGGKMPEAAVFERCCLEMEEALNSVYRQLRNGDAIGPLEIRVVRGGTFEEVMDYAISRGASINQYKAPRCVSFGPIIELLNSRVLSKHFSPACPKFSPHKK >KQL06210 pep chromosome:Setaria_italica_v2.0:V:31717772:31719172:1 gene:SETIT_002482mg transcript:KQL06210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRQAPAAADNVDPVYEWLDDGDSYLLRLNLPEFKKEDFRVHVDGEGRLTVIGHRKPTPGAGGEGSKAVRLHKAFQLPSTANLDAVSGRFDGTLLTLKVPKLQQEQQQPAAAGPLPPPSTQAKEEADGGKPAGHEDKAASSQAGRDAETEKARVEAGKAASLTARGKEEDEKAKPVAAAPPHATEKARPGGHRDDQDEKARAEQREKVAREAARRIEAARARVAEAKAKAERERQCEHWKERAVEEGLKLADAVSKKKEVIATAVAAFTLGVFVSHKLFSRS >KQL05168 pep chromosome:Setaria_italica_v2.0:V:16865586:16866485:1 gene:SETIT_002420mg transcript:KQL05168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRVLEVNLISANDLKKVTLFSRLRVYAIASISGGDPRIPTHSTLADYVNGCNPAWNTTAHFPIPEAADTRGLALHVRLRAKRAYFGDRDVGEVYVPVDDLLAGADKGGDPRPVRRPHSGRAHGVLYFCYKFTDVPAAGLPEPEAKQGQYAKYVQDSEMSKDKTMSPPPTAYPPPQVMSAYPPTQAMPAYPPPQAMPGYPPAQYGYGSPYAAYPPQQPYGYAAPPPYGYNAAPQQPPPMYGYAAAPARQSGGMGMGLGLGLLGGAVGGMMLGEMVGDYEADAAYDSGFNDALEF >KQL07823 pep chromosome:Setaria_italica_v2.0:V:42017571:42019714:1 gene:SETIT_001957mg transcript:KQL07823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALSKVALVSTVALLGWAYQATRPPAPAILGAPGGLPITSPRVRLKDGRHLAYMEAGVHKENARYKVIFVHGFASTKETGFPVSQVLVEELRIYMVFLDRAGYGDSDANPRRCLKSDATDVEELADALRLGDKFYVVGCSMGGYVAWSCLNYIPHRLAGVALVVPAVNYWWPLPDDVRRIAYGKLDARDQRTFWIAHHAPWLFHAWLTQKWLPVSPIIRGERGAFTAMDWEILTELRRKQRESGQVDPAKTTQQGTYESLCRDATTLFGTWEFDPTEVKNPFPDGEGVVSIWQGYKDRIVQVEIQRYVARKLPWVRYHEHPEAGHLLPDMDGVGDEIVRELLLGGAPPGSQLQSEPRQDQDG >KQL07824 pep chromosome:Setaria_italica_v2.0:V:42017300:42019714:1 gene:SETIT_001957mg transcript:KQL07824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALSKVALVSTVALLGWAYQATRPPAPAILGAPGGLPITSPRVRLKDGRHLAYMEAGVHKENARYKVIFVHGFASTKETGFPVSQVLVEELRIYMVFLDRAGYGDSDANPRRCLKSDATDVEELADALRLGDKFYVVGCSMGGYVAWSCLNYIPHRLAGVALVVPAVNYWWPLPDDVRRIAYGKLDARDQRTFWIAHHAPWLFHAWLTQKWLPVSPIIRGERGAFTAMDWEILTELRRKQRESGQVDPAKTTQQGTYESLCRDATTLFGTWEFDPTEVKNPFPDGEGVVSIWQGYKDRIVQVEIQRYVARKLPWVRYHEHPEAGHLLPDMDGVGDEIVRELLLGGAPPGSQLQSEPRQDQDG >KQL07537 pep chromosome:Setaria_italica_v2.0:V:40389273:40392274:1 gene:SETIT_000492mg transcript:KQL07537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANAGAFYRRLNARIDRRKSSEVDADEITEGADSRKLGGSAAPAEACGRRAALCPARGIAAPKGAGQWVHQTICTQLNDHTATTHEISSELQALALRWIGWLQLQATLQPKATSYSRTRLSSIGARKTTSSTLRQHSLGAMASPPSRMLILLSCLALSLLAGAEVHHHEFVVQETPVKRLCKTHNIITVNGQFPGPTLEVREGDTLVINVVNRAQYDVTIHWHGIRQLRTGWADGPEFVTQCPIKPGGSYKYRFTIEGQEGTLWWHAHSSWLRATVYGALIIRPRENKTYPFEKPSREVPVILGEWWNANPVDVIREAQRTGGAPNVSDAFTINGQPGDFLKCSEKETTAIPVKPGETALLRFINAALNHELFVTIAQHKMTVVAADASYTKPFTTSVLMIAPGQTTDVLVTMDQAPTRYYVAARAYVSGQNVAFDNTTTTAVIEYDCGCASDFGPKIQPAFPALPAFNDTAAATAFAAGIKSPDRVKVHENVDEYLFFTVGLGLFNCKPGELCAGPNNNTRFTASMNNVSFVFPKKDSLLHAHYYKVPGVFTTDFPAYPPVQFDYTAKNVSQALWQPVPATKLYPLRFGSVVQLVLQDTSIVTPENHPIHIHGYDFFILAEGFGNFDPKKDVEKFNYVDPPQRNTVAVPVNGWTVIQFVADNPGVWLMHCHLDVHINWGLAMAFLVEDGYGELQSLEPPPVDLPMC >KQL05774 pep chromosome:Setaria_italica_v2.0:V:27782927:27785021:1 gene:SETIT_001222mg transcript:KQL05774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYDKAIESYKKAVTTAASLAASAMLVRGVVNELVPYEVRDLLFSGLGYLRSRMSSQHTVVIEETEGWATNQLYDAARTYLATRINTDMQRLRVSRVDEGKSLMFSMEEGEEMADLHDGAEFRWRLVCRDNPGAGAGNGNGGRSGNGGYRVEVRSFEMSFHKKHKEKAIASYLPYILATAKKIKEQDRTLKIYMNEGESWFAIDLHHPSTFTTLAMDHKMKQSVMDDLERFVKRKEYYKRIGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELTEVNWNSTLRRLLIGMTNRSILVIEDIDCSIDLQQRADEGQDGTKSSPSEDKVTLSGLLNFVDGLWSTSGEERIIIFTTNYKERLDPALLRPGRMDMHIHMGYCCPESFRILASNYHAISDHDRYPEIEELIKEVMVTPAEVAEVLMRNDDTDIALEGLIQFLKAKRSDAKDSKGENVVHEAKEDRKEMMTEQDISGDQNLNDAGKE >KQL07236 pep chromosome:Setaria_italica_v2.0:V:38562502:38566273:1 gene:SETIT_001502mg transcript:KQL07236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHVQEFINYAKFALWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKMAPYDTIQLKVGPSWLDKKCSEFGPPVYPASTHSVRIPVFDLLPQIQLEAVLWGIGTALGELPPYFISRAARLSGSESKAVKELDAATSKEDGRVASTLNRTKLWLLSHAQHLNFFSILLLASVPNPLFDLAGIMCGQFGVPFWEFFFATLIGKAIIKTHIQTLFIVSLCNNQLLYLMEKELIWIFGHIPGFSATLPSVIARLHAAKDKYLSPPATASSSSQMEDKQWNFSFTFVWNSIVWLVLLNFFIKIITSTAQDYLKKQQDMEMELVSDSPVSDHSKAN >KQL07237 pep chromosome:Setaria_italica_v2.0:V:38560337:38566742:1 gene:SETIT_001502mg transcript:KQL07237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRKMSAAAPPSRSWSNVGGSVIPELRAKHKMELENLTLTKQPIRTLHFFMLALLKYLKRLATYILSKGSLFVLLIILVVAPGILLVVSDGLHKKHVQEFINYAKFALWWVSLGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRIDLKMAPYDTIQLKVGPSWLDKKCSEFGPPVYPASTHSVRIPVFDLLPQIQLEAVLWGIGTALGELPPYFISRAARLSGSESKAVKELDAATSKEDGRVASTLNRTKLWLLSHAQHLNFFSILLLASVPNPLFDLAGIMCGQFGVPFWEFFFATLIGKAIIKTHIQTLFIVSLCNNQLLYLMEKELIWIFGHIPGFSATLPSVIARLHAAKDKYLSPPATASSSSQMEDKQWNFSFTFVWNSIVWLVLLNFFIKIITSTAQDYLKKQQDMEMELVSDSPVSDHSKAN >KQL04896 pep chromosome:Setaria_italica_v2.0:V:12897263:12899715:1 gene:SETIT_003072mg transcript:KQL04896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKQANPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQANS >KQL05024 pep chromosome:Setaria_italica_v2.0:V:14169848:14172038:1 gene:SETIT_004291mg transcript:KQL05024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEICEKTKPLSFMDRLKEGCDDIENLEEMIDVERYLERYQRDTLRKIRPQQIYQMGWFENKNGLYRISREVELSVLTEPVQLRITKGYEDFKGTNLLVSIEFVGRLTNRSATKYKVNVNNVIESMQSKGIKFMSPLKISSEERAGEEWNISAVIEPKILKQPKDYVSYENSKGKTSIRFVNYKERSLDDLEVSTSDSNIEEARRHSVCEFMEKLDIDNEIKHYEKKLSKVQDEYNTSMICEWSAIREKELYFRRELYRLNKIKKERELNNKRVNMPIMKHETTLKHVSDKNSKIQKELENNKEKAKEEEEVVISEEDQWEINNKILLESYEEEDEDIIEIHSKQDKDIKIEGERDRPSRKPGNWPPEKEEATYTYIPGQYKHMGSKRREFERTMQFQNYRSDGAILNLATHDPIDWPNIISIWKSLIVQKYIQNQHNIGSRVEDMITYLETFLGESVKVLWDQWIETYPHYYEELKRAGSNPYNFANIISSIVIDEDPELGYTTLQNERLKEIEKLTLTNWKGIKEFSQHYLYNATTAKQGYNKSIVERKEYNISQAITFVFKQLRKICTSIQAQ >KQL08176 pep chromosome:Setaria_italica_v2.0:V:44033753:44035397:1 gene:SETIT_001972mg transcript:KQL08176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKSFDLGCSLGMENDSLSLSPFLNKLFREWEDRKARGLFHHDISSCETKVLPGQHNFVATLIEGRDQKKRPTEFGMNQVLQPFDSGKFNFTKVRPEEVIFRFCEADKDSAQYFNDAPDTVSSSSSAILINVSPIGYCHVLLTPQIQDCLPQRIDHESFLMAMYVAREARNPFFRVGYNSLGGFATINHLHFQAYYLKVQYPVEKATTEKLTTLVNGVSIAQLVGYPVSGFVFEGGASLEDLSCMVSKVCIFLQENNRPFNVLISESGRRVFLLPQCYAEKQLLGKASQEFLEMRINPAIWELSGHLVLKRKKDYDEASELYICRFLVEAAVSETEFQQLKQCVLDFLTASAACNSDIDS >KQL03147 pep chromosome:Setaria_italica_v2.0:V:50267:50627:1 gene:SETIT_004284mg transcript:KQL03147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRGEAVGTRTGSGDLQEFFTTNLSAAKGMSGSPVFLEDNVAGVLFSNDAALITVAKMTIRDMLQ >KQL05485 pep chromosome:Setaria_italica_v2.0:V:25009403:25009727:-1 gene:SETIT_004425mg transcript:KQL05485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVNIHIITLYDTLGVCKIRFLHSQMSLFVTRKLDATLNKLVSSHVHQRQWRDRFPFPCSSMLKIVYLCYSLENLHHGLVPTLVL >KQL04104 pep chromosome:Setaria_italica_v2.0:V:6515799:6516355:-1 gene:SETIT_005491mg transcript:KQL04104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGAAVAELDGGEVVRLMRYLNKWIGKYLKFPDAQACPEAMGMLGLEQCDSVPSFGAVARALGVLLDNHFSHLVLNADVREELRAAEVTVRELTVEAESSGPILDLLRRLQQDK >KQL07456 pep chromosome:Setaria_italica_v2.0:V:39818916:39820469:1 gene:SETIT_001059mg transcript:KQL07456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVLARAFPLSAASTGLPKPLSPVLIGASRLASSSGDLVADGGSGGEDDPFSFPDHHQQQLPPDVARGVDAVVVAAEAKASNAADAARALDRCGAEASEPLVVAALARLRNSCAAAHATFRWAAAQPGYAPGRRASHSMLAILAKHRRFDDARALLDEMRRASTVSPAAVLLLIRRHCAAHDVAGAVASFRALPSFGFHPGVAEFHGLLSALCRYKNMQDAEHLLMSSQKEFPFETRSFNIVLNGWCNIVCSVREAKRFWSAMQNLGIDRDVVSYGSMISCFSKTGSLDSVMKLFNRMKEAGIAPDRKVYNAVVFALAKGRCVDEAKMLVRTMEEKKVAPDTATFNSLIGPLCKARRVQEAMELFDAMLRRGLSPSVRTFHALLNVARDPIEVFDLLDKMKELRCEPEMDTYIMLIRKFCRWRQHESVEKLWSAMPANGLSPDRSAYIVLIHGLFLNGRLEEAAKYYEEMKAKGFAPEQKTEEMIQAWLAGRELAKASALVRSKGGSVSLRLPRK >KQL04853 pep chromosome:Setaria_italica_v2.0:V:12442504:12443067:1 gene:SETIT_004198mg transcript:KQL04853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQDNLRRACSEIANKLEKFVIIGAASNPRPDVAAAAKNADQPAAAVETVRCACCNVGEDCTAAYIRGVRARFCGDWLCGLCAEAVKERVRRDPGGCVAAALGAHEAECRDFNATTRLNPTLSLAGSMRRIARRSLDRRTASCQERGSLGGGAPASRAAALARSASCDPRFLADVVDEASSGDRRR >KQL07658 pep chromosome:Setaria_italica_v2.0:V:41049688:41052923:1 gene:SETIT_000619mg transcript:KQL07658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGSTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLFEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQITVTFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEEVKKKVDAKNSLENYAYNMRNTIKDDKIASKLSPEDKKKIEDAVDGAISWLDSNQLAEVDEFEDKMKELEGICNPIIAKMYQGAGADMGGAAGMDEDAPAGGSGAGPKIEEVD >KQL05377 pep chromosome:Setaria_italica_v2.0:V:23594832:23595347:1 gene:SETIT_004769mg transcript:KQL05377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDDLSLGKAFAVLLGVSSPVIIFAGYQAYRTGRLARGWRRLRVWALGGATTLEEALGYTCALCGGSLDAREEVRTLSCDHVFHRCGSEKCKNAIDDWLLENRMACPACRKVALPVLPWKEPPTSAPTSSDLEDPLVRQAPSPSASSSGSQEPPLPLSTMASGEETPLSSP >KQL07678 pep chromosome:Setaria_italica_v2.0:V:41179804:41184482:-1 gene:SETIT_000339mg transcript:KQL07678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSLSRALARSARSTRPPRQGSLLEGYAGLRAAPTPRPSMPGGDVGGLGFVRSYLTSALGSRAAAPTGQGKLGDWRFLLASSQFRRLFSDGSNKNYEKYHPKEKQEEPKGDGSDKSNPKKDSNSKFQWNFKEDVMKKFQELLAPLLFLGLVLATLPRGNSAQQISFQEFKNKLLEPGLVDHIVVSNKSVAKVYVRSSPSSNQGQDGDIHITTSHLPGRETPSKYKYYFNIGSVDSFEEKLEEAQEALGRDPHVYVPVTYTSEVNWFQELMRFVPTALLVGLIYVVGKRMKGGISIGGPGGGARGIFSIGKVQVTKMDKNSKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSVSGSDFMEMFVGVGPSRVRNLFQEARQCAPSIVFIDEIDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQIAIDKPDINGRDQIFRIYLKKLKLDKEPSFYSQRLAALTPGFAGADIANVCNEAALIAARSEDAQITIQHFEAAIDRVIGGLEKKNKVISKLERQTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPSENLLMTKEQLFDMTCMTLGGRAAEEVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDGFGMSKPYGGETASIIDTEVREWVAKAYEKTLDLIKTHKEQVAQIAELLLEKEVLHQDDLVRVLGERPFKTAEPTNYDRFKQGFQVEESDKSAEVSDANPSSLGNVVPT >KQL04807 pep chromosome:Setaria_italica_v2.0:V:11983123:11983567:-1 gene:SETIT_003550mg transcript:KQL04807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHGGRSFPYMSNLTFDGLWWWEFPVHVQSTVHGGESFQVCTYFHYIFTHFDEHAVLYTDGPEPKKLGLLKKTNLAEAPWIIVYG >KQL04830 pep chromosome:Setaria_italica_v2.0:V:12149590:12153811:1 gene:SETIT_0011302mg transcript:KQL04830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAALWPAPAPALAVAVWTLFVAAAVVGLWTLLSWPGWRRGAGKEQAARLPPGSFGWPLVGETLDFVSCAYSARPEAFVDKRRLRHGSPVFRSHLFGSATVVTSDAEVSRFVLQSDARAFVPWYPRSLTELMGKSSILLINGSLQRRVHGLVGAFFKSPQLKAQVTAGMQRRLAPAIDAWRDQGPGALVRIQDHAKAIVFEILVKGLIGLEPGPETQLLKQQFQEFIVGLMSLPIKLPGTRLYRSLQAKKRMAKLIQGIIQEKRRRRRAVPDGGEGPRAPRDAIDVLISGGGSDELTDELISDNMIDLMIPAEDSVPVLITLAIKYLSECPLALQQLEEENMQLKRRKTDMGEALQWTDYMSLSFTQHVITETLRMGNIINGIMRKAVRDVEVKGHLIPKGWCVFVYFRSVHLDDKLYDEPYRFNPWRWKEKDTSTSSFTPFGGGQRLCPGLDLARLEASIFLHHLVTSF >KQL06036 pep chromosome:Setaria_italica_v2.0:V:30250727:30255026:1 gene:SETIT_000958mg transcript:KQL06036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRAKGLGLLLLLVLLALCSTIDVGEARRGKHWRPRSSPSSSQLKKGKGKKSTSHRQHGSNRPSPKPPVSSTPSPGAGKGNQNPYQPSPTPSAPVSPTPGPANDSRHSSPKPPTPSCGKGHQQTSQPPPLPPASQGEVFNVVDFGAKGDGVTDDTKAFEGAWAAACKQGASTVLVPPELEFLVGPISFSGPYCKPNIVFQLEGTILAPTSAKAWGSGLLQWLEFTKLNGIVIQGNGIINGRGQQWWTYADLEDEDEDDTYDVEFETMPHIKPTALRFYGSFNVLVAGITIVNSSQCHLKFDNCQGVMVHDVTISSPENSLNTDGIHLQNSKDVSIHHTNMACGDDCISIQTGCSNINIHNVNCGPGHGISIGGLGRDNTKACVSNVTVRDVNMLRTMNGVRIKTWQGGVGLVQDIRFSNIQVTEVQTPIIIDQFYCDKSTCRNQTSAVGVSGVQYENIRGTFTIKPVHFACSDSLPCSGISLTGVQLRPVQVPHYHLNNPFCWQAFGELYTPTVPPIACLQLGKPAGNNLQTYNDIC >KQL04014 pep chromosome:Setaria_italica_v2.0:V:5872758:5877159:1 gene:SETIT_003928mg transcript:KQL04014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLCFLLDLRNIPPPLLHRLKQCLLHLANLYAAATPPPHHHASSSSADAGELPDRLALCYVHAPSSKSSSSCSSSWPELKIGYRPDEKFSLREFHRAVNNIPLDGFLPDQPGGSPPTGDVSLTDLFSNRAIYSWATDDISKKVIALCMSAQNPEALRRSLMEAAEQCVAVEFIMLEPEAAFMYGDVPENASSFVTRICDLENCVVRRYNPETQVLHGLVKRWLEELEDDKEETLQAAFLFRCPIIDSVKHIYCNLYASANQITDGFPSCQACKCHGRPIDFITPNKAKWTCPITSRQLAASDITDTAVRIGEQTVLFLPTSEGVIMGRPFVVIPSSNDVEVALIDESSDQNTQIFYGLCETLFKLDQGLVCSSSCNTETMKTGTLQCYYLLQPSEKGPMLLRRLAGSEEILPLPAVSRDGNSKVTMEIKNSIETSLSKIAVKDYNPLQHERGFHSKLNRLVKDSLQFGSIDPASAPKDPHHVDSFSEPQVPTSQGLEGSRFLNQPEENAGGLNDHLHSFSEPQTSTFRTPPKENNLSSQCKKGMASPSISEEWEKLIIIDDLDDDFATPAPPRPAAGKPPRAKPPSPVKPLDEKTSRILERLEAPRAKKQRPANAGKGSTNAAPAPSRVASTQKRKPLQPFEPSASQPLKPTFNRLRRKLPT >KQL05493 pep chromosome:Setaria_italica_v2.0:V:25167614:25171065:-1 gene:SETIT_003148mg transcript:KQL05493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMDMLVSAALEEVCARGSLGLPVADIWTALSGAFEAAGLPLDLVVKRVLLARLIALPVISLVEGEREREALVHPAEMDVEEAERRGARLLANPALRDNFLGIYDHRCSVSELSADQMQTLECLGTSRGCSVLGLALPRLTGAGNEELARLGKPIRLSYFSKATSV >KQL04371 pep chromosome:Setaria_italica_v2.0:V:8535370:8535738:1 gene:SETIT_003813mg transcript:KQL04371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLMSLQARREGLVQGNDDGVVDRNATSWFPAALGLAGLATASSAITAAMSKPPPALQGTNGSYYLLALSGLFYAGIAELGGAVWVMADPRARAAVGRRLVYASLAPLAAAAGLAAATLLW >KQL03892 pep chromosome:Setaria_italica_v2.0:V:5232598:5236409:1 gene:SETIT_003006mg transcript:KQL03892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAVDKERFAESKKELDALLADDSLANVPFLILGNKIDIPYAASEEELRYYLGLSNFTTGKGNVNLSDSNVRPLEIFMCSVVRKMGYGEGFKWMSQYIK >KQL05111 pep chromosome:Setaria_italica_v2.0:V:16036609:16037076:-1 gene:SETIT_003658mg transcript:KQL05111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGMRNYCAFIIATKRHSSNGESHQDAILGEKSWLILPPLRPATPLIRTFIMLQPFTRGTWAH >KQL03397 pep chromosome:Setaria_italica_v2.0:V:2154321:2157486:-1 gene:SETIT_001759mg transcript:KQL03397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAISLLRPPQLPREDEAGMMELRKRPRTPRVDPDFVSSPPPPPPRKRARKQAAPTKPKEAAGPAKRQPPTKRPRRPAVGIGCPVAGLHRVTCSRQPPLRTSTRVLFRPRRPFNWYEPDMWTEVAKHLCGFDLLRLSFTCRWFRRLLADDSIWRYAFFRDLDLSDANPRIHRPFYRSWRRLYFAAFDGTHAYSLCQSGEHRSSWRIGSFLLDSPQMLLMGKLPVPRWLPPDPEDLQLGVAMMGACKLHNARPGIWITDMHVMRCPKCNLNSCRGNMQILDARHSELFLEEAYWDQTWEYEELGEHFQDEEVADAFCAIINAKHLASPSTAVVLSKSWAGKRDDLLTKQCASATGAAIHTNLQSNAGLVSQFEAMRDTARDGQIVSVRISQVLL >KQL04050 pep chromosome:Setaria_italica_v2.0:V:6153829:6154298:1 gene:SETIT_005237mg transcript:KQL04050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPTCACASCWWTAPRPTSQSSIKVATGHRPRGA >KQL06159 pep chromosome:Setaria_italica_v2.0:V:31088755:31091742:1 gene:SETIT_004018mg transcript:KQL06159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVMLQMYANRNYAGEDLLLINSVTITSSVGYWIFMIIFIVMVCCGMGWSVWHPNMIKSSQYRGITGIALFALVNTLPFSDLWAVTRGYRTHFTLLMPMMINTFLGCLENALWALHICFGIANSVAAGLAFVQVLWLLVLRIVHREVVGQITDFESLRSVFLSVSKSLERLAAAPPAAAFWTRVYLLELQGSLDIGWQSLSLMERTFTRLEAELVKKADLLAAAAIEAGGNEASVANQVASSNAVAAEASANASLALCRSFLGTCRSNMIAFDDMKRAIKDRLSNNDPQAQNLQASITVASTTTRGLADNLRSSIQIINASEGIPSTIKIAFNFCHEQILSVKTCADSKLQWLGCGAFSFRRRLGFLQEDRLCAQ >KQL03295 pep chromosome:Setaria_italica_v2.0:V:758886:759615:1 gene:SETIT_003631mg transcript:KQL03295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPELKTPDATEDRSQPTRTVQLPLNPRGKHCAIGNISCVCVVGQNNVGNIFLQLDSDLQNNALNSGVPE >KQL07842 pep chromosome:Setaria_italica_v2.0:V:42108929:42111489:-1 gene:SETIT_004593mg transcript:KQL07842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLRASSSLRSRLLSSSSGYYPWRLLLSSPVHSDAPHQTETLAFDEIQLSPEKPPTATAFVLHGLLGSGRNWRSFSRILASELHSRSPSDEWRMVLVDLRNHGRSAGIKGFDPPHNMSTAAKDLADLVKARGWPWPDVVVGHSMGGKVALDFAESCSRGVYGESAVLPKQLWVLDSVPGQVLTDNSDGEVERVLQTLASLPSSLPSRKWVVDHMLSLGFSKSLSEWIGSNLKKDNDHVTWAFDLQAAIDMFNSYRERSYWELLEHPPKDLEIAIVQAERSDRWDPDDVQRLKALAKRESKPDAGKVSLHVLPNSGHWVHVDNPKGLLEIMAPNFLSAGKN >KQL06750 pep chromosome:Setaria_italica_v2.0:V:35410828:35411731:-1 gene:SETIT_002672mg transcript:KQL06750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTATAISAAVLLCAAAFLATGGAAKASAADVVADACANVTNRYHQYRGPGLTRDSCEIALWSDKRSASAKHPRDLALVAMALVQEGAAVAGAKVAGALSSGDAAKLSKDTKLNLRYCRLDYEAVAHTVAVCREMVQEYNPGVQGHDGDGNMIPYNYLECANRLMNAASGCWAHISHDYETKKAVWKEVNEVASRANLAKAMVEKMLGVVDGYATDHFIDDDDDDDDDEISDDHRSGGGADDDDDDDD >KQL05185 pep chromosome:Setaria_italica_v2.0:V:17108249:17109635:-1 gene:SETIT_002248mg transcript:KQL05185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASIKLCLLVSCALVLATACDGLQVGYYRKTCPRAEALVRAEVKKAVRANTGVGAGLIRMLFHDCFVEGCDASVLLDPTAANPQPEKLGAPNNPSLRGYEVIDAAKAAVEKACPGTVSCADIVAFAGRDASYLLSHAKVSFHMPAGRLDGRKSLASETLTFLPGPSSNLSSLVSAFAAKGLSVEDVVVLSGAHSIGRSHCSSFVQARLSSPSDIAAPLATQLRKQCPASPTAANDPTVTQDVVSPDALDNQYYKNVLARKVLFTSDAALLSAPNTARMVRANARFPGSWEKKFAKAMVKMAAIGVKTGRDGEIRRNCRLVN >KQL06993 pep chromosome:Setaria_italica_v2.0:V:36936423:36936851:-1 gene:SETIT_003582mg transcript:KQL06993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTHPSPFSAQRRCRPFCSTRPQSRRRPIRRSSCHLRRRARRSLTDPPARVLGPHTARTPTHQRMRRLGPAQLANPRTG >KQL08769 pep chromosome:Setaria_italica_v2.0:V:47016324:47017522:1 gene:SETIT_002221mg transcript:KQL08769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAWVVAALVGLCAAAASVAAQQTDPPPRRPLPSNYHIMTPGRYKRDQQLACNDDKTNKPSCSAKCNKRCPNQCIVLCPGCKTYCMCDFYPGVSCGDPRFTGGDGNNFYFHGKKDQDFCILSDAGLHINAHFIGKRNPSMSRDFTWIQALGIRFADHRLYMGAAKTAKWSNDVDRLELAFDGAPIDIPTEIGAVWQSTAVPGLTVARTATTNGVRVHLKGAFDIMANVVPISEEDSRVHNYGVTEDDSLAHFDLGFKFLDLTDDVHGVLGHTYRSDYVNQLNVSSKMPVMGGAPKYLSSDIFATDCAVARFGARRAGISMVTARAY >KQL05724 pep chromosome:Setaria_italica_v2.0:V:27224999:27227059:1 gene:SETIT_001196mg transcript:KQL05724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIFSCIPTQPLPSPTAAAACSDGDGGEDGEDRISRLADALLSDIVSRLPAKDAARTAALSPRWRRVWAATPLVLDDAHLLPDEPDGPLGFGTDWRAIADAVSRILDAHPGPFRSVRLTHVCNYAAIRGGGDLARDWLRVLAAKGVDDLVLVCRPWPIGADLPAKVLRVASLRRLYLGLWDDFAGSTKALRRGNVVFPRLLELGLCRTDIETADIDRLLQCSPLLEKLALVACYNSPPKVRVRSRSLRCVLFWMSGAEEVDVLVAPRLERLILWSECPGARFDDNFHTRLNIGYVQELKVLGYLDTRLHALEISNTVVEAGTKPSPRTIVPSVKILALKVRFGVRKEAKMLPSFLRCFPNVVTLHIMSDKADEPTGKLNFKFWQETGPISCLQSQIKRVVFRNFRGNRSELAFLRFIWERAQLLHKMVIVLADGDDPASMEQMIAKLKPLAASAKRASKDRKLTILVRNGDCAWSFRRASDLSVSDPFDC >KQL08756 pep chromosome:Setaria_italica_v2.0:V:46955911:46960345:1 gene:SETIT_004606mg transcript:KQL08756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSSVPVRPENAAAATPATASNTFHVLNSGSGAGGHASTGGVCARPSQAAALRSPPVRAAIAGYGGYPATGAVAFPARTPSGALGPQMLQQLMILAGWGTTRPPWLQNYAYMSPRGGQSLLPSPSPSARPIRPPSFAPGASGSSVGARGAVAVQSSSAAGGSSRKPPNLAPLQITAAAATGTGPARKKKAPPAGGNADGAVQPLPPVLAMPTTAGAQGKVAAAANGRVRKRASKDKNINQPASSKKPRQRAAGKQRAAGNDAVAVAPGAGDNQPNTEAQSNDLQIVPVSPTPPSNSRKRKQSAAAASASGGRCNVVARRGCAVAAPPVKKHTVLTWLIDAGFLSDREKVFYVPGDGGAEKVVSGAVTRTGVHCSCCDAAVPLPVFAAHAGRDPGQRPWEKLLLISGNSLLRCMQEAWEKERVKTFQWQEKLRAAMEQEKEKSSQQAKRRLLTKQKKGVLERIVSPWMKVRSGEKKDSSDDACGVCADGGELLCCDSCPSTFHPECLAIKVPEGSWACHYCRCMLCMANDDQGLSTCQRCSGKYHQHCRPLLNNGHHNGAYCSETCKKLSAQLSDMIGVTNPTEDGFSWALLKIQKDEPVSSQDMPVVLECNLKLAVALGVLNECFNPVRDRRTKIDMLHQAVYSLGSEFKRLSYEGFYTMILEKDGEIISAALLRFHGRKLAEMPFAATLPTYRKQGMMRRLVNAVEQVLASVQVERLVIPAIATLVDTWKRSFSFRPIEPQFREEIKRLNLVVITGTTLLCKPIALQQQPSPPKAAGSSEPWWRKYTEQAAPLTDDELAFLEMKSFCSFTDLLTGNVSLHKLVAGHSSSVPSASPGSSSAAAPPPPPAGGWRSCGEASAMALQPTFAHGSASNLLHGMK >KQL06263 pep chromosome:Setaria_italica_v2.0:V:32188616:32191273:-1 gene:SETIT_002269mg transcript:KQL06263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSSDPRRANHGRLFAMDPRFGFRFSSSDMQSASSLSLGERLCAVFFPFVAIAEAVFFALTDCLADLRPGSDSSCARRYGTGPSSSGATFVAAEKKRSHYHRHRHYRPFLRRDGWTPPDLHQLAHLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPMEDKIKFAFRLYDLRQTGFIEREEVKQMVIAILLESYVDLSDDLLEAIIDKTFEDADADRDGKINQEEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFIFNTAVEDD >KQL06262 pep chromosome:Setaria_italica_v2.0:V:32188616:32191285:-1 gene:SETIT_002269mg transcript:KQL06262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSSDPRRANHGRLFAMDPRFGFRFSSSDMSASSLSLGERLCAVFFPFVAIAEAVFFALTDCLADLRPGSDSSCARRYGTGPSSSGATFVAAEKKRSHYHRHRHYRPFLRRDGWTPPDLHQLAHLADESRCFSVNEVEALFELYKKISCSIIDDGLIHKEELQLALFKTPSGQNLFLDRVFDLFDEKKNGVIEFDEFIHALSVFHPLAPMEDKIKFAFRLYDLRQTGFIEREEVKQMVIAILLESYVDLSDDLLEAIIDKTFEDADADRDGKINQEEWKEFVLRHPNLLKNMTLPYLRDITTVFPSFIFNTAVEDD >KQL05465 pep chromosome:Setaria_italica_v2.0:V:24820168:24820935:1 gene:SETIT_004361mg transcript:KQL05465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYKLRKAANKPGTPPLDYILTHSLSYELKGKHTDPWVHKQGKTNLTPHLTLCTHIRAHWIASWMKGGAWGDPMGLAEPPLAPLVHILHVSLLPDLPMVVAGSWSKANEPGRQFLHKTPSPPFSTQHNTTH >KQL07039 pep chromosome:Setaria_italica_v2.0:V:37236902:37237367:1 gene:SETIT_005618mg transcript:KQL07039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCPSADVWMPFPLRKAVQQVRRACNRLEHGTKH >KQL05447 pep chromosome:Setaria_italica_v2.0:V:24572770:24573066:-1 gene:SETIT_004631mg transcript:KQL05447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRWNQVDKFDGKGNFNVWKCVAIDVLVQQWLIDALERIKSESTTAEEWKVMEWKAASTIRLYLSDEVKYSVIKENSSKKLWKRLEDLYMAKSLTNR >KQL05770 pep chromosome:Setaria_italica_v2.0:V:27763468:27765143:1 gene:SETIT_001542mg transcript:KQL05770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSVTDPSQPPPARTPSTIHSLGEDLLLDIFLRLPSLAALIRAALTCRAWRRAVASSPAFRRHFRDIHRAPLLGLFFETPSVGQAPAVPAFPSFVPARRSDRDLAAAVRGGDFFLTSLQERPGGPHGWDILDCRGGYILLRNDEEEIMAVLNPLARRSERFFDLAHEDTLQGHRGYPVVCYNACLLCSDEDPLSFRVVLLAHDESRVRATFFSSDTNEWSILPWVNVPASSSRKKFWLLDSSMQSKGFLYWVYKNRKYMITLNTVTMEFSVDELPQLLKNEHCSFVVGETSSGARCIVYAIDFCVGLLLRRTENGIIERWDLQWAARLDTQLDQVLGELISVYDELQVVAVRDGFAYLATSEKSDDTQTPSWFLSFCLQTMELEKLFQRTYDAGVYPYVMTWPPSLVGNYGSFALEDATQNAITGHQM >KQL08407 pep chromosome:Setaria_italica_v2.0:V:45271307:45273160:1 gene:SETIT_002434mg transcript:KQL08407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDRGSSDAEAELRRGFETLAVSQPDPAAAVYEVRLNRPAQRNALSPAAFAEIPRTMSLLDRLPTARAVVLSAAGPHFCAGIELGGPGNPLTASSSGGSDPVAAAEGLRRAILEMQEALTAIERCRKPVIAAVHGACVGGGVDVVAACDIRCCSRDATFVLKEVDMAIVADLGALQRLPRIVGYGNAADLALTGRKITAMEAKEMGLVSRVFDSKKELDAGVAKIAKEIAEKSAWAVMGTKAVLLRSRDVTVEQGLEHVATWNAAMLRSNDLKEAIRAFLEKRKPVFSKL >KQL08658 pep chromosome:Setaria_italica_v2.0:V:46546109:46546603:-1 gene:SETIT_005632mg transcript:KQL08658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAQTLRRPEDVRIFNQLNSTWSIESTFKNLASNATAAMDALSSRNPTSPSHGCFVCMHFPPA >KQL03741 pep chromosome:Setaria_italica_v2.0:V:4372815:4373105:-1 gene:SETIT_00045412mg transcript:KQL03741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLEALDGVRTRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGHASKINVVLHDDNSVSVTDNGRG >KQL06130 pep chromosome:Setaria_italica_v2.0:V:30861478:30862768:-1 gene:SETIT_003890mg transcript:KQL06130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLCFKLLFGPTAAIFLSAVVILSCFTNVPYLQLSYTDDDDLRHSSYLAAPAPPPKCDIFRGEWVPDPDAPHYTNETCAFIQEHQNCMFYGRPDLDFLRWRWKPHGCDLPRFDPHRFLAVVGNKTIAFVGDSLARNHMQSLLCLLSKVVSPKDVSVTDKTDPNKILHYEGYNFTIYLFWSPFLVRSEEVGGDRPGVFRLYLDEPDDRWLSASSRFDYVLLSGANWFTRESYFYERGQLVGGMYVPLNFTSSLTNQYSHRMAFRTALRALAVARFRGKVILRTLSPMSHFEGGAYNAGGDCRRTRPNRDNETAPMGGVELEFYTSQLEEFREAAEARVLDVALMDPTAAMLMRPDGHPSRYGHWPDEKRTLYNDCIHWCLPGPIDAWNDMLLHMLSDSN >KQL08607 pep chromosome:Setaria_italica_v2.0:V:46289531:46290346:-1 gene:SETIT_004690mg transcript:KQL08607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVAEFIATLLFVFAGVGSAITYGQLSHGGALDASGLVAIAFTHALALFVGVSVAANISVGHLNPAVTFGLAVGGHITILTGLFYWVAQLLGASVACLLLKFVTHGKAIPTHGVAGISELEGVVFEIIITFALLYTVYATAADPKKGSLGTIAPISIGFIVGANILAAGPFSGGSVNPARSFDPAVAAGNFAGNWVYWVGPLIGGGLAGLIYGDVFIGGNYQQVADHRLAHPRRRIWEERNG >KQL05121 pep chromosome:Setaria_italica_v2.0:V:16145967:16147581:1 gene:SETIT_002076mg transcript:KQL05121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRQWSNGGVAVAAAVLAVLSVLCSAHPVPGGHGGGGFPLQPHFYDHSCPQMQAIVGAIVAKAHAEDPRMAASLLRMHFHDCFVQGCDASVLLDADGSGRFVTEKRSNPNKDSLRGYEVIDEIKAAVEHACPHVVSCADIVAVAARDSVVLTGGPGWEVPLGRRDSLTASLSGSNNLIPAPNDSLPTIIGKFANQGLDVVDLVALSGGHTIGDSRCVSFRQRLYGQNNNGQVDRTLNPAYAAELRGRCPRSGGDQNLFALDPASQFRFDNQYYHNILAMNGLLSSDEILLTQGRETMELVHRFAANQGLFFEQFAKSMVKMGNISPLTGHAGEIRMNCRRVNH >KQL04261 pep chromosome:Setaria_italica_v2.0:V:7744749:7748536:1 gene:SETIT_000293mg transcript:KQL04261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLAIVEKRPSPFPGGGCAGGVLFHLLDWHRRLARKRRLFSPRRLLPSSLRSAPRRLPCPPPAPPPPLALPRPSPGAADGAAPGVVARLMGLESWPATAAPPRPQKQRKVEAPRPADDRDDSAVVLVLPTSRSRRPPAPAPAPAPTTARSHHGADLPARSPRRTRLVHAAAAKLLEPGARASSRRLALAYACSSPQHRKDDHSGALLQGSGVADDFLSRSESLLTPSTRVQVQPPVVPAETGCDSAAVSRRHEQRSIDNANADISTSTVVLPRMDFADGNISSSFALDAKHKESSRVRNEVMRTCARVRSSGAAVQTGAERLRKRATPTRPDISGSVSSGSLADSMRPVGCSRESASAGRRVAQSGSGPRRESVGRSIAGQGRTTGRDVINRSDLASTSRISSTGSGPKKGSRKVGRDAVANNRDDRNAVAFTSRSAPKPVARASSPSKVLKSGCPSRLAHDTTHARMPAPDIKYMGASHSVMATSEKDDFNRLLKVKMNELGLFDRIEFTSSDEPSGKLTAPVLQELISALTNDMSTSISQSSNYSDASVPSSCNRNIDCIDPSCYVFSNDQSPDFQKRYQSEQDVDSSATSLNNEPNQPSPTSVLEASFSNDASSLGSPVEKNEGKDLFVSTENKMEDLFNLESDIVNLAMSIDTKKTDAEETLYDNDKLSCSQNFLAHDSKFLESRLHSIGEVSISNAELLLGSSLHPFIIEMLENTMDMFGGEYSDLTEDKKYQHTNFLFDCIVESLDSKFCNFGKCGYKAWLKLPLSLSGDLLKCQVLEDISNWRESSGTALRQVSDKEVDQMTARWDANQVEAFDVSIAIENDIIEALVGEFALDLW >KQL08400 pep chromosome:Setaria_italica_v2.0:V:45209800:45211165:1 gene:SETIT_004266mg transcript:KQL08400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRTRKRGGAGVSGARGIVSANPIRPAPPREIHPIAIAAPRGWFLLAMMIKAPFGTSEWF >KQL06509 pep chromosome:Setaria_italica_v2.0:V:33905856:33906755:1 gene:SETIT_005019mg transcript:KQL06509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLPRLPFLLFVFLAVHVPASHGDPLPTTYDGSMCLESSFWCGSVEIRYPFYLANATKATSDYSGNYSCGYTDLEISCLGEGPSASPVIRLGGETYTVQDISYDSDNYKVTLVDRDVLVGGSCPAVRHGVTFDGMWLHNTSSNDDLTFYFGCYSGEPRMPAGLHKYQIDCNFESPVPGGGVAFVLTPDDHDKAQEHDLAADCHKVVSVLVKNEVLEVARTWTNFTSGAYGYVLKQGFELGWSPIETGPCPQCEESGGKCAYRQNKTFLGCLCSDGKVGYPDGTDCSGASTAPAPAHAS >KQL06625 pep chromosome:Setaria_italica_v2.0:V:34668302:34671031:-1 gene:SETIT_003073mg transcript:KQL06625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEATKKKVEETVLGILRGSDMESVTEYKVRSAAAARLGIDLSAPDRKLFVRGVVEGYLTSLSSQEAEEEQQQQGGDGEEAKGDEGEEEEEEEEEEEEGGARKREYDDQGDLILCRLSSKRRVTLSEFKGRTLVSIREFYLKDGKELPSSKGISMTVEQWEAFRNAVPAIEDAIKKLEDSD >KQL05363 pep chromosome:Setaria_italica_v2.0:V:23408665:23412060:-1 gene:SETIT_0009452mg transcript:KQL05363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVYGFASGDPLRFKRAVGHKDLFYLDDREVDFKEIIEAPLPKAPLDTAVVAHWLAIEGVQPAIPENPPIDAIVPPAENKRSEHGKDDGLPVDVKLPVKHVLSRELQMYFDKIAELTLSRSDTSLFKEALVSLAKDSGLHPLVPYFSYFVADEVTRSLGDLPVLFALMRVVRSLLHNPHIHIEPYLHQLMPSMITCIVAKRLGHRLSDNHWELRDFSANLVALVCRRFGHVYHNLQTRLTKTLIHAFLDPAKSLTQHYGAVQGISALGPSAIRLLLLPNLVTYMQLLEPELQLEKQKNEMKRKEAWRVYGALLCAAGKCLYDRLKLFPVLLSPSTRPLLRSDKRVLTNNPNKRKSSTDLSASQPPLKKMATDASVNSMAGNMQGAMDGFSTQLGNPSMMQASSSGQLVESIPSAVIRRDQGSDLAQKVSTVLRQAWKEDQDAGHLLGSLYEVFGEAIFSFVQPPEMSLFV >KQL04622 pep chromosome:Setaria_italica_v2.0:V:10247070:10247615:-1 gene:SETIT_003321mg transcript:KQL04622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGGAVRTVPGCRGEEAATQGRAHVTRCPPSLRSGVRPQAPAVHATTVSAAPVPPSRTAAATMTPGATSVPPYRPIHRGESSATAPPSAVVASGESEPIVGGEACNGSSKGSAVDGKTASSCSGPRKRGVKR >KQL05867 pep chromosome:Setaria_italica_v2.0:V:28527951:28531493:-1 gene:SETIT_001044mg transcript:KQL05867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHGAGPGTSGGTDEVAAQIENLSTEDALSHQRLGCCFCNDAASLFNTIPNGTLPGLTSVASGKAVELFARMLHHPDELHAPGDIAGMETEHQLGLLPHQLQGSLPKCVLSMELGNSSGNCTACSIAVLSEYRRRGLDFVMQAINYPTYLKDLAGISNLKKPDPCPKMLPSISVNSDKISDVRCLLLGAGTLGCDVARILMDSGVRKLTVVDSGRVVVSNLARQSLYTCDDRGAPKATAILRHLVERCPSVDAQGIQMEIPMPGHPVSPSEAAGVLQDCKRLKELVASHDAIFLLTDTRESRWLPTLLCTNENKIAITAALGYDSYLVMRHGAGPGISYEASNVTTVMDKLSTKDSLGRERLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLACIASGRAADLFTRMLHHPDGIHAPGEIAGASSGHPLGLLPHQIRGSLSQYNLLTLLGYSSSNCTACSNVVLSEYRSRGMDFVMQVINEPTYLEDLTGLTDLMKSAAYTEVEWVDEIDEDDFAEI >KQL07607 pep chromosome:Setaria_italica_v2.0:V:40785861:40787686:-1 gene:SETIT_003282mg transcript:KQL07607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTRGMGAGRKLKTHRRNQRWADKAYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLVKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >KQL03358 pep chromosome:Setaria_italica_v2.0:V:1966411:1968288:1 gene:SETIT_001829mg transcript:KQL03358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFTPRAAASLLLLLLLRSPSPSEPVAAAAAALRARRYDSIFGFGDSFTDTGNNPVVFAWYSVPNPVTRPPYGSTFFGHPTGRNCDGRLIIDFIAEGLGLPLVPPFLAHDGSFRRGANFAVGAATAIDAAFFHDGEPPGTDNKFPFNTSLGVQLQWFESLKPSLCATTQECKDFFGRSLFFLGELGFNDYSFSMNKGKNVQQLRSLVPHVIRTISMAIERLIKHGATSLLVSGMVPAGCDPPILTFFPSADPASYEPRTGCLKGMNELSTYHNSMLQESLDKIRSKHLDVEIGYADFFSPVMEMVKSPAKFGFEEDALTVCCGGPGRYHFSGVVVCGDPGSTTCKDPSARLFWDGAHLTEAANRYIADGWLRSISSPATATN >KQL05086 pep chromosome:Setaria_italica_v2.0:V:15608525:15613519:-1 gene:SETIT_001371mg transcript:KQL05086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGAQEDEEETAVVAEGGGGGGEGEQVAEEEQVREGGGGEGGDEKDAAVVSCSICLDAVVAGGEERSTARLQCGHEFHLDCIGSAFNAKGIMQCPNCRKTEMGNWLYANGPRSSQDANNDEWGYDEGPYDVAQSDMATFVPLRIQWCPIGRLPALFEELEAAAPATFNDFMGPNFNSEHVAVPVPGTAHPGPYLAYLQPVPPPAPSSSHVAERTVDGTAYHDHWNPLAGPSDGRSVQTVHPIDFHHNPWAHMPHSYPQSNNNNGVAEQPVLPVGVMRVAGVDNDSQQRGSLPSFYGSGSGTPRIPSVPPMAPQFVRAHGNINDQLQQSSSLFAGSQRSGGMHPLGAGGSAVAPPDNTSFCLFPPASSGPSTMETEDGRGNQFYAWERDRLAPYPLVSVNNEGTWWSSSQQQHPHGPPEPASASRRLPGQWIGGASRLPPQENRSIDNSPFRSMHIPRM >KQL05566 pep chromosome:Setaria_italica_v2.0:V:25806631:25809696:-1 gene:SETIT_000157mg transcript:KQL05566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECADYMIEVGRMATAADPLRWTKQWRKATNVIRTCHRLARLSFSRAILRRTGSYVEIKIHDETDDGCEPGAASTSRSADADATPAEFSVAADDEGFRRLVKDKRHDCFRRLGGAAGIASALASDAEAGIRGDDRDVRRRREAFGGNTYPRRKPKGFWTHVWDALSDVFLLVLLVCAAVSLGFGIKEHGLRDGWYDGVSIFLAVLLVAAVSAVSNHGQARRFDRLATESVNIAVNVVRGGRRQEVSIFDVVVGDVVVLNIGDVVPADGVFLQGHALLVDESSMTGEPHPVDVDAEKSPFLASGVKVIDGYGHMLVTAVGTDTAWGEMMGSITREKTEPTPLQERLEGLTSSIGKVGIAVAVLVFAVLTARHFTGSTRDEQGKPTFDRQHVTFNSVFTALVGIFQQAITIIVVAIPEGLPLAVTLTLAFSMKRMVKEHALVRTLSACETMGSVTAICTDKTGTLTLNQMKVTEFWVGTDRPKEVTGAVVNLLRQGAGLNTTGSVYKPDNASPPEISGSPTEKALLSWAVEELGMDADALKRSCKVLHVEAFNSDKKRSGVMIRDNATGAVIAHWKGAAEMVLANCSAYVGSDGAARVLDAGKRKKLEEIISEIAAASLRCIAFAYKHVDGEHSKIDDERLTLLGFVGLKDPCRPEVRTAIEACTQAGVAVKMVTGDNVLTARAIAMECGIISNSDRDAIVIEGQKFRAMSPEEQLDIVDRIRVMARSLPMDKLVLVQRLKQKGHVVAVTGDGTNDAPALKEADVGLSMGIQGTEVAKESSDIVIMNDNFDTVVTATRWGRCVFNNIQKFIQFQLTVNVAALIINFVSAVTSGKMPLSTVQLLWVNLIMDTMGALALATDTPTKALMRRPPIGRTAPLISNAMWRNLAAQAAFQVAVLLALQYRGRDIFGVSEKANGTMIFNAFVLCQVFNEFNAREIERRNVFAGVLRNKMFLGIIAVTIAMQVLMVELLTRFAGTQRLGLAQWGVCVAIAAVSWSIGWAVKFIPVPDRPLREILANRRKLF >KQL07925 pep chromosome:Setaria_italica_v2.0:V:42563118:42569115:1 gene:SETIT_000910mg transcript:KQL07925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAVEILLPQPATALDHVGRPASRLTTGRWPAAVFIIGVEISERFAFAGISGNLISYLTGPLGQSTASAAAAINAWIGAALMLPLLGAAVADSWLGRYRAIISASLLYILGLGMLTLSSTFVPQQPSEFGDNVDSSVSWMSIHLAFFYVSLYVVACAQGGHKPCVQAFGADQFDENDPEECASRSSFFNWWYFGAYGSNIVTVSILNYIQDNISWQFGFGIPCIAMAVSLTIFWLGTKKYRFYPPMSSGGLFGHIGKSLLAWIRCWCTSWSAKSPDDSHCATASPSKGDKYKAETKLFSDNAVAVLKLLPVGATCLIYAVVFAQWMTLFTKQASTLDRWIGTLQVPAATLQSLINVSIVIFVPIYDRILNPLVKKYSKNTCGITTLQRIGIGMVVSVILMIVAALVEMRRLRIARDYGLVDKPDVTIPMSVWWIVPQFILSGLADVFTMVGLQEFFYDQVPDGLRSLGLALYLSIFGIGSFISSFLVYAIDKVTSRGGDSWFSNNLNRGHLDYFYWLLAVLNVFGLAAYLYFSQVYAHKKKGQGLLVQ >KQL03487 pep chromosome:Setaria_italica_v2.0:V:2664579:2666215:1 gene:SETIT_001315mg transcript:KQL03487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSYLLLLLVFLSFISSSPSLAQSNSSDHETLLTVKKAWGSPSTLTSWNRGLCATASLNMNIPACPYHDRNQMRTSLIILFSVVAVVLLIGAVGCFVILRKTRERDLMTWKVTPFRKVDFTESDILTKLGEENVIGSSGSGKVYRVQLRGGAVVAVKKLWRRGKAEEKVGKEFDSEVRILGDIRHTNIVSLLCYISSDDTKLLVYEYMENGSLDRWLRPAAAGGGVAMAPAPLDWPARLGIAIDAARGLSYMHHESAQPIMHRDVKSSNILLDPGFRAKIADFGLARILVKSGEPESGSGYMAPECVYGEKANEKVDVYSFGVVLLELVTGRAANDGAAECFLVDWARRRYKAGGLLHDAVDGGIRDRAVHVRDAVAVFMLGVMCTGEDAASRPTMKQVLQQLIQYDRTASVAGACRDAHDDDDDNAARAQLGKGKKGDRGVKVALDSGGEFWDGDVEISSGFVAHPV >KQL04469 pep chromosome:Setaria_italica_v2.0:V:9249149:9250585:-1 gene:SETIT_002225mg transcript:KQL04469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGTAPRVVEDFFGVIQLLSDGSVVRGDESTIMPAGGPFPDVPSVQWKDAVYDAARGLKVRVYKPSPPAAGAEGKLPVLVYFHGGGYCVGAYDQPMFHSFCQRFAAELPAVVLSVQYRLAPEHRLPAAIEDAATFFSWLRDGAEPWLAESADLSRTFVSGVSAGANLAHHVVVQIASGQIVLGPVRLAGYILFSAFFGSDEHTATESDPPAGVSLTVEMSDQLWRMALPVGVTRDHPLANPFAPGSARLEPLTLPPALVVAPGRDVLCGHVLRYAARLKEMGKAVELAEFVGEPHGFSVIRPRSEATEELMGVLKRFVHHHAVLN >KQL05356 pep chromosome:Setaria_italica_v2.0:V:23378515:23379026:1 gene:SETIT_005483mg transcript:KQL05356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKQKGSGGGLSKVVREHKARLYIIRRCVVMLLCWHD >KQL07652 pep chromosome:Setaria_italica_v2.0:V:41027581:41028070:1 gene:SETIT_005459mg transcript:KQL07652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPISELGCVGRQQWSYSEVDIALDSESKSRGFESHYDHCLQAFFHVSCIYISFFFRQGHFGGHHQKVYMLSCELVP >KQL08477 pep chromosome:Setaria_italica_v2.0:V:45640654:45641399:1 gene:SETIT_004920mg transcript:KQL08477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITRIQPLSSHLHTCANPADPIPEKQVYTVWMKSLVFNGHGCTIYGQDGRVAYRVDNYACSRRREVYIMDSGGKTLIKLLKKNFGVFKTWKGYSYCNGPAGLEQEESKPWFSVQKSHRILKEEGPYSSCAMVTVCVSGKVYKIEGVSHKSEYRISDPDGEVVAEMKRKQTASGVVLGEDVLSLTVNPTADRLLVVGLVVVCGLLSRCI >KQL04814 pep chromosome:Setaria_italica_v2.0:V:12030616:12034753:-1 gene:SETIT_000814mg transcript:KQL04814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPMDSQVTAVALSDSDSVSVDGADAADADLQALRRLSDNLAAAFRSPDDFAFLSDARIAVPGAPDLCVHRCVLCARSPFLRAFFARRTAAAGAGEEKVKDKDKDKDKDKDKDKVELRELLGEEVEVGYEALRLVIEYLYSGRVGALPKAACLCVDEGGCAHLGCRPAVAFMAQVLFAASTFEVAELTSLFQRQLLDVLDKVEVDNLPLILSVANLCSKSCVKLLERCLEIVVRSNLDMITLEKALPPDVVKQIVDARLSLELVSPEDKGFPNIHVRRVHRALDSDDVELVRMLLKEGKTNLDDAYALHYAVEHCDSKITTELLDLALADVNHRNPRGYTVLHIAAMRMEPKIIVSLLTKGARPSDLTFDHRKAVQISKRLTKHGDYFGPTEDGKPSPKDKLCIEILEQAERRDPQLGEASVSLAMAGDCLRGRLLYLENRVALARILFPMEARVAMDIAQVDGTLEFALTVDLNDTPFKMKEEHLTRMSALSKTVELGKRFFPRCSKVLDQFMDDENELASLGRDTSTSTEKKRRFHDLQDVLQKAFSEDKEENDRSARSSSVSSRTTSIGAVRPRR >KQL04916 pep chromosome:Setaria_italica_v2.0:V:13004245:13004707:-1 gene:SETIT_000855mg transcript:KQL04916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPCVRLAVLLCLMIPATVASPRSLRKSPANASRPTAFFEVDRPLRPPRGSSGRCSTLLLSASFGSTFAKPPATAAYSPPRCLVKAGGRASAISLAVLEWRAACQGAQLDRIFGVWLGGAELLRGSTGAPPPNGIVWSVSKDVTKYASLIAAA >KQL04107 pep chromosome:Setaria_italica_v2.0:V:6521926:6523085:-1 gene:SETIT_002996mg transcript:KQL04107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYNLSTITDNLQAILAVQPIPPLIELLKGGKRSSKTADKCCALLESLLAFDQCRVALTSEEGGVLTIVEVLEEGSLQGREHAVGALLTMCESDRSKYRDLILNEGAIPGLLELTVHGTPKSRMKAHVLLDLLRNSPYSRSKLQPDTLENIVTNIASQIDGEDRGGKAKKMLAEMVKVSMEQSLRHLQRRASFA >KQL03445 pep chromosome:Setaria_italica_v2.0:V:2434133:2434717:1 gene:SETIT_004422mg transcript:KQL03445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSPTSFVHLPNSNRARAGRLSAKNEDMDRTYKGGIKAYWKRRGYYRVDAAAAQRRPPLPTAELGGGVAQPPPGGRLRPAPPPRVARAPGPARASLLRALSPRRWLVRLRDAYVSAMLRLASSPAVGYGAGTPYCATTPHGATFGRPPQLKEYDEKVLVEIYRSILARGGPLPLAVPGDGAGAPAAATLRLPTAV >KQL05971 pep chromosome:Setaria_italica_v2.0:V:29600038:29601852:1 gene:SETIT_005314mg transcript:KQL05971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAILRFQRLQHKLLDNFFSVLSCIVSVPFYTGFLPLLFWSTHNKLARQMTLLMALCDYLGNSVKDMISAPRPCSPPVRRVTATEDENAMEYGLPSSHALNIVCLMGYTPALITAS >KQL07309 pep chromosome:Setaria_italica_v2.0:V:39045986:39049300:1 gene:SETIT_000971mg transcript:KQL07309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPQAPPPGPGRARRRAEMEAHSAVCQIEPEIATKWNHQFMKASRGGYYDYIEHNLRDAGGEIYSVKEELMVAQDRIHELEAECRSTKKQLDHLVKNLAEEKASWKSREHGKVHHILDAVKEELNRERKQRQRAEMMNSKLLNDLSEMKFAAKRYLQDYEKERKARVLMEEVCDELAKEIAEDKAEVEAMRSESMKFRDELEEEKKMLQMAEVWREERVQMKLVDAKLTLEDKYSQLSKLQDELEDFLCSQPGNNLEKGIVREAERLREAICSTKINGIKEFSYKPPPPSEDIFAVFEELKQREDTAEKVIVQCNGNWPKSCASKAHTDSPETDIFLEKQANRYCNQPRTHNEEAEDDSGWETVSQVEENGSSNSPGGSEPSVNGFCGENDASVSGTDWDENCDNDQAHSEISEVCSATAGRSWSKRSFVGLWRSSNSVDQKKMGSNILNGRLSNARMSNVAESPDLKNGEVCDSPQSAGQWRPELLNPDIVRAIKGCIEWPRGVQKHSLKSKLLEAKLDGSKVQLRQALKQKI >KQL04560 pep chromosome:Setaria_italica_v2.0:V:9889117:9890845:-1 gene:SETIT_003966mg transcript:KQL04560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVNICKAGLPSIDRALVSALVDRWRPETHTFHMPCGEVTITLQDVAMILGLPVAGRAVAVNPTESQNELVERYLGRTPPSLDRPRPGLRVSWVRAEFNKCPEDADEETVKQYARAYILSLISGVLFPDASGDLYTFYPFPLIADLENIGSYSWGSATLAYLYRSMCAACRRQSDQSNLTGCLLLLQLWSWERFPIGRPDMVKLKYPNVEELEDERDRPTVGLRWVVGMCTRRAAPARCYEHFTNEFDLLTDDQIVWCPYREERVCPPPLQDTGVELHWCRRGRVHNDWAQKHKSFVDMWEAKEQHVIMEERPYSHANYMDYLRCNSYS >KQL06010 pep chromosome:Setaria_italica_v2.0:V:30077409:30078630:1 gene:SETIT_003277mg transcript:KQL06010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPCPAAANSRPFASRYLRSQHQHRGPPAGAAQNCRQRDEEVIQISRCAVPRWSGGEPSREWWWHGSGTRAELSQPESKSLVGVMLMRPQLLFYYPALSVDQKGTFFSHPSQTLASSSSTMILPPPPVFTAFTPYRAGRLFL >KQL05384 pep chromosome:Setaria_italica_v2.0:V:23703878:23705091:-1 gene:SETIT_005158mg transcript:KQL05384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSVADHDALAVFEFHESRGGVRGLVESGVTTVPPLFLAPVAPVSSAAGNFSIPSVDLSLPRPHAAALVRAAARSCGIFQVTNHGVPAGTVESALSEVRAFNEQPFAARSPLYSVTPIGAVTYATIPIPRPRDVQPAATTPPLMSWRDSLIVRFDHHPGGPDLRILPPACQESLLEYHRSVTRLGKEIAGLLSEGLGVEAERLEPVEGHLMQCHYHPPCPEPERVLGSREHTDASLFTVLAQDGVGGLQVRLDDGEWVDVAPAAGAVLVNIGDVLKVVSNDAYESVEHRVVIKSANEPRVSIALFFNPANHGESDFFGPLPALVTPEKPAQYRSLTWREMLNNRMELGHAKPSSLDHFKVT >KQL07950 pep chromosome:Setaria_italica_v2.0:V:42766389:42768991:1 gene:SETIT_001806mg transcript:KQL07950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLPLLSLAHSISARHLTTHHTSPRFSPLPARLSLRFPLRLARPPPAGATSSSFPSPPMGNPPELYHKILNIPKDTSPQEIRAAYKNLVKKWHPDKHPPSSKPEAEARFKAISEAYEALLDQQENRAVFGLCNDGRAGERAGGAFGGGGLGAGVAPRMDRTRSDDFCTRSAPGTPAREFKKVYSSGNSGGRRAFAEFSSSIMRKAPPLERKLECTLEELCRGCKKEVKFTRDIVTKNGSIVKKEVSQTVMVKPGWKKGKQVVFEGMGDERPGCLPADAIFTVSEKKHPTFKRVGNDLVLKAEVPLVSALTGWSFSFRLLSGKKVSLAFQDEVICPGYEKVIGGEGMPIPEQKGARGDLRVKFEIVFPKELTDEQRTGLAEILKGSC >KQL03173 pep chromosome:Setaria_italica_v2.0:V:191288:193690:1 gene:SETIT_003091mg transcript:KQL03173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVVQRVLSASVEVEGRVVSAIGPGLLVLVGVHEADTDSDADYICRKVLNMRLFPNENTGKAWDQSVMQRNFEVLLVSQFTLYGILKGNKPDFHVAMPPAKAKPFYASLVEKFQRSYSADSVKDGVFGAMMKVSLVNDGPVTMQVDSPSLQGAAQSSNGDDGLLRDGEARVPKETC >KQL04195 pep chromosome:Setaria_italica_v2.0:V:7117151:7117867:1 gene:SETIT_0040771mg transcript:KQL04195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TAPPPASSYAHCPGLDHFFADLRVPCRYAEYGCESFVPYFRSDEHRDACGHAPCHCPEPGCYLICSPTALAAHLAGEHSWPVDEIAYGTPRMLAVPVPPPPAPAPAPARHVRLLRGDDASVFAVAAGPLGGGAAVSVVLVRADSPAHPRLACTFCAKPPPESAAAGLEEGSCCFFATMPVRSSALADGDGVALEKELYFAVPGEMLCEGSRELLVSVRIDRSCGPEPASEDYKMVAQN >KQL07273 pep chromosome:Setaria_italica_v2.0:V:38861721:38864197:-1 gene:SETIT_001165mg transcript:KQL07273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGERRRRGSATAAAAPGAAAAAARAEEEKAASAAAAGKAPPPTVWFALKRSLHCRSEPSDVHVPRAKAGPAAVGGGGGNLSSIVTKRAAPRSGCSRSIANLRDVIHGSKRHPGQPPSCSPRSIGSSEFLNPIAHEVVLSTNSRCELKITGFGGCGGLGAVGTAAAHDADGGGGSDGGVVSSFVGTLRPGTPGPAWAGHGLPYSGSMRGGVRCTPPRSPNVLLERNGSLAVGHRASCEDTAKAGAGKGSGGLSCHRCGEHFGKWEALEAHHLSKHAVTELVEGDSSRKIVEIICRTSLLKSESSCVRIERVFKVHNTQRTLSRFEEYREAVKLKASKLPKKHPRCLADGNELLRFHGATLSCALGAGSGASSSLCASDRCAVCRIIRHGFSARKEGKAGVGVFTTSTSGRAFESIEAPPPASCGDDGADPAAAPRKALLVCRVIAGRVHKPLENLREFAGQTGFDSLAGKVGPYSNIEELYLLNPRALLPCFVVICKP >KQL05103 pep chromosome:Setaria_italica_v2.0:V:15974592:15976764:-1 gene:SETIT_004898mg transcript:KQL05103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKFPILLLFIPANNPVKYAHALTVQCAPSTFNSFVEHLTLLQRRRIMDMGFGGLLSISAERLESRELLKFMFYRLDLKTMVINVTKDKTIHVTPFAVKQVLDLADRDFFFIIACSKLFFPSTDNNIRCKDVYLTRDLSRLSGFNWCKAVVNDLRDAAVTWQVDKANKSLSRCSILLIVSIHFFHLHDLSKLITKNCCLGTTSIYTCYHVTQHPSTNVPAPIEPLSTHFPSMQAELHELVDQIGSRSRKMQAMVALANFDAKAKKALSYMNIGQQMLRDAHEAVHGNNTEEHHGQPHVSDIADDIDMYNGHNRVHNLGFEHASDVPNIQSETMETDMSSEFMLFLIYLLFFQRARVELQQNRTQEQENANLQNEMDVELSPLHEDVTIDHVVPPIAAKGNTATASPDSKCRFPNDTSILL >KQL04248 pep chromosome:Setaria_italica_v2.0:V:7611044:7616050:1 gene:SETIT_002461mg transcript:KQL04248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMELLCSPGGFFFRIGEFVAGSGFTVGLRLLAGSSSASFSFGRLVLVLLEDAPPFLSTVRSPILFQRSKYIFVGGPGWWLMRLYQGLESFGGGSGSLGFDFASAEKNSEKGGKRTRAKAMHSWTQRLLAAATTAVVLLAAVCAAASALDAFHVPAVQAQAHVTKINRFHKQINGNNKVTLTFNLSANLESVFTWNTKQVFVFLTAEYENAKNSLNQISLWDYIIPDKEHANLQVVAKSKYPLIDQGSSLRGKKVQFVLHWYVMPNAGAMIQDRMALSEFKLPDAYTS >KQL03559 pep chromosome:Setaria_italica_v2.0:V:3166710:3167302:1 gene:SETIT_003573mg transcript:KQL03559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSFFASLFGCNKGSGGRQEEEAAAGRPPQRYYPGTRVRPSDDDNYYGHYWYAERNINQKATEYIERVHRGMMASGEQDG >KQL08454 pep chromosome:Setaria_italica_v2.0:V:45532599:45534490:-1 gene:SETIT_004122mg transcript:KQL08454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFICCGFPIPIRPTGFRSADFITAATKIIALFWEDIQ >KQL04207 pep chromosome:Setaria_italica_v2.0:V:7224066:7228922:1 gene:SETIT_000123mg transcript:KQL04207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLPNGSANIAEHNQENEKSIDASEPEEVPDVFVYREDIVSLKSKEDARGLVLEVAGEYDSEGSITDDDESEPEVRERKASCGAENGGADGDDASNGAEVGSQSSLPDNKVRVLWIDGSEKTEDIDDVVVVDRSFLHGDLVASASDPTGQMGLVVDVDLVVDLQGPNGDMIKGVSSKDLRRIREFHVGDYVVSGQWLGRVDEVLDNVNVLFDDGSVCKVNRADPMRLKPVSSPMHPDTSCPFYPGQRVKAVSSSVFKTSRWLNGLWKASRLEGTVTKVESVTVVVYWIASAHFADQESVPPEEQNPKDLTLLSCFSYAGWQLTDWCLPYRYTSCSGDAVTENSETKGPNSDEHTGNKCTCSEIATLLSDIPESQADCQTEQDQRTDTDANCRPTDVDSSADGMSVSDGDNSCVAKESESGTSLSAIPKESSQDYRKKFRKVFLKKDKRTKRRDESFERALLIANTYTKVDVIWQDGTKECGVTSTSLIPIHSPNDHEFFPEQYVVDKVTNDVDDSSEPKRMGLVRSVNAKDRTASVSWFKPSLHPEDPKEIECNEIVSAYELDGHPDYDYCYGDVVVRLPSVSPLIESTNSEDKMELDKKVDSSEGLAASNVAPHDASADEQVSQQEPCSKFTSLSWAGNIVGFQDGEIEVIWGDGSTSKVGPHEIYVVGREDDGASLDDGTASDGASWETVDDNEMDLLDNSAKDDSQNVPENSIERENGSFSSQDGSSVATGPLSVAFGFMTRLASDLFARGRRHLDGSNSDEVESHHSNEVSETGDDINEENHVEMAEHTTDTANDSSAEKSIDVIMADNPEDSECFKHFDVLQCPPDHHYLENTAQGTGGRKWVKKVQQEWGILEKNLPDYIYVRVFEDRMDLMRAVIIGASGTPYQDGLFFFDFHLPPEYPQVPPSAYYHSGGLRVNPNLYVDGKVCLSLLNTWTGRGNEVWDPSSSSILQVLVSLQGLVLNEKPYFNEAGYEKQVGTVEGEKNAVPYNENTYLLSLKSMLYILRRPPMHFEDFVKSHFQKRGHYILKACEAYLQGNVVGTLTDDACTTDRSKEHSSSVGFKLALAKILPRLITALKETGADCDQYEHLGKTETVRES >KQL06276 pep chromosome:Setaria_italica_v2.0:V:32332230:32332670:1 gene:SETIT_005484mg transcript:KQL06276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLQHLSFLGPNLQHRSDAITLERSILYAHSNAHTYIQ >KQL05761 pep chromosome:Setaria_italica_v2.0:V:27674477:27674729:-1 gene:SETIT_005397mg transcript:KQL05761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHRMELFLCYASSMILLVMLIKVAAKERVHLLCTWSLGMLTFLSSLI >KQL04795 pep chromosome:Setaria_italica_v2.0:V:11847801:11849256:-1 gene:SETIT_002629mg transcript:KQL04795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTPCCDRAAVKRGPWSPEEDEALRSYVQRHGSGGNWISMPKKAGLKRCGKSCRLRWLNYLRPDIRHGGFTDEEDAVIVSLYTQLGSKWSLIASQLEGRTDNDVKNYWNTKLKKRLLAAAATADVVSTRSPPPVLRLAAAPGPTPASSLFPSLAIPTVKTETYTCDDFLAPTAFRDDDPFAVAGGSADAAAADGSTSASAASSASNWSADNGAVGDGEGSYLLDFCTGSGIGGADGHLQLPGGYYYPLDPTLSLV >KQL05162 pep chromosome:Setaria_italica_v2.0:V:16816434:16817789:1 gene:SETIT_004712mg transcript:KQL05162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRGLHTELLIHVRQGLKQPEAPMQAAKFASEGGIILRGHIPILTAGRTTRPKMKNISRTTLANWRCERCTTNTGNRGLVRYPQCTRSRSYVAQAYVVRKRQVEGDPTPIDLFKNVHCSKNSYTTPAQAAIIKIFVSTFSPNMEMTNKRLSLKQWLKLSNLGHFGRLLESEMGALRLRAEMQETTMKSRIGELKALNNTTKQLHTLISSLIHFSTSQNQVNPSLN >KQL03484 pep chromosome:Setaria_italica_v2.0:V:2641443:2642297:-1 gene:SETIT_003268mg transcript:KQL03484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSSEDEQCSGDTDVPDIGQEHSSRLADHELKEMLLKKYSGCLSRLRSEFLKKRKKGKLPKDARTVLLEWWNTHYRWPYPTEEDKVRLAAMTGLDPKQINNWFINQRKRHWKPSEDMRFALMEGVAGGSSGTTLYFDTGTIGP >KQL07595 pep chromosome:Setaria_italica_v2.0:V:40703895:40704395:-1 gene:SETIT_003829mg transcript:KQL07595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPEAQKRAAMDASGATGKVKKGAAGRKAGGPRKKSVSRSVKAGLQFPVGRIGRYLKKGRYAQRVGTGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIIPRHVLLAIRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKAAEKAASGGAKEAKSPKKAAKSPKKA >KQL03403 pep chromosome:Setaria_italica_v2.0:V:2179534:2180208:1 gene:SETIT_003909mg transcript:KQL03403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPPPPCPLPNPNPNSDASPPPASMTPRAPHLRHHPPHLLLAEAVASWHPFHKKPCLSDRSTAPASSAHPPDAETPTPAPSGAGSGGSFRWLGLRKRRRRGAGSRSVSGRSSDRRRSGTCSDFHFTCGAGGGGATDSSGEMWASDVGEVRMRDVLMATEFGPAPVGGAAAGTGGSGAAAEAAAADSGYGSEPGYRGDVELGYGDEIDEEEEDGRQQLFWGGVIG >KQL05238 pep chromosome:Setaria_italica_v2.0:V:18675387:18679286:-1 gene:SETIT_003380mg transcript:KQL05238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAAMDEDATTGNSRAAETFDPDLIHAIFKLVWRRRAEKGSGGNEDIDVEPALETSRRNRSTTANASALKVSCELLRIFVAEAIQRSAFIAEAEDATVIEPTHLERVLPQLLLDF >KQL06609 pep chromosome:Setaria_italica_v2.0:V:34609019:34611552:-1 gene:SETIT_003786mg transcript:KQL06609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHQHQHNGRHGGHRLETVIDMAQHAPEKAGGGRFGFTGGLEFTSLTYTVVKKQRGAGGEWEKKDVDLLHEITGYAPKGCVTAVMGPSGAGKSTFLDALAGRIASLDGRVALDGVEMSPSLIKRSSAYVMQDDRLFPMLTVFETLMFAADFRLGSSVSASDKKLRVENLIEQLGLTSTHEHQRYTVQSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPALLFLDEPTSGLDSTSAHSVIEKVHDIACGGSTVVLTIHQPSSRILLLLDHLVILARGQLMYSGGPKEVTAHLARMGRKVPKGENSIEHLLDVIQEYEQSEFGVKALAEFCLTGLKPPKITATYGAEGLSTVSSIAQTPLSGPGDEGFDHSRRSQHSRSPWNGVQLTPSRRPKHKDQHRYTPEIVMGTPTPLSSAYTVNEDDYLTPTHRAAAPNATGAPGVGINTLGHRGKFANSYLREVWVLMRRNFTNIWRTPELFLSRLMVLTVMGFLMATMFTKPKDDPQGITNRLSFFIFTVCVFFFSSNDAVPAFIQERFIFIRETSHNAYRASAYVVAGVITYLPFLLLQSAAYAGIVWFALKLHGQFLYFLVMLYASLLSTNSFVVFISSVVPNFILGYAAVIAFTALFFLFCGYFLSSHSIPLAWKWMNTISTMKYPYEGLLMNEFDGGRVFATAPITLTGDDILQQLGISTVDGRKWWMVLYLLGWAVFYRVLFYLILRFASKNKRK >KQL08695 pep chromosome:Setaria_italica_v2.0:V:46726438:46731303:1 gene:SETIT_000733mg transcript:KQL08695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHKAMPQSAAEAAIRSIGLGFDVVSDIRIKYCKRRGSPDPWLIELDHGEVQDIVLPGGVTVAGVTKSIKCDKGERMRFRSDVLSFQQMSEQFNQELSLSGKIPSGLFNTMFEFTGSWQKDAANTKSLAFDGWFVTLYSVALSKGQIELRDHVKQAVPSTWEPAALARFIKNFGTHIVVGVKMGGKDVIYLKQQHSSSLQAADVQKRLKEMSDTRFLDANGQSDMSFKDTYGNNKSDTREQQLRFVQSSPLNSYSSNEDLVMMPKRRGGKDKEFISHSEWLNTVQAEPDVISMSFIPITSLLNGVPGSGFLNHAINLYLRHKPPIEELHQFLEFQLPRQWAPVYGDLALGPQRKRQSSTSLPVNIIGPKLYVCTNMVDAGKRPVTGLRLFLEGRKSNKLAIHLQHLCSLPQIIQLEDDPYNHQTQEYDRKYYEPIGPWKRFSHVCTAPVESDDLSIVTGAQLEVVNRGFNKILFLRLHFSKACNVALVKHSEWDNSPNLAQKSGLISTLISTHFSSVAQKPPPRPADVNINSAVFPGGPPVPVQTPKLLKFVDPTEMMRGPQDSPGYWVVSGAKLNLERGKISLRVKYSLLTAMMPDDEFTLEDDEC >KQL05017 pep chromosome:Setaria_italica_v2.0:V:14114351:14117806:1 gene:SETIT_002185mg transcript:KQL05017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLLLTCVSLPVGLGLVLLFTKFAFSPLSLSLSRCGDTSASAARADAEANNRRPPGTRGIDSGMASTSSAGDAGGSGSKGVVPDETLTSSANASSSHQESHDVGTKSRVEDIWKKMNSGLPNKMPTPMMTKFSNTAKEKKNKPTNKNWMTVLGLSPSNASTANQSPQNGQQQAQHETSEDAKKLAAAALVAAKNAAAVASGRGKVEITEVRDFAGKDIEIKKLVDANSKEAIEKAKAGGAAPSAVDNILEQIRKKQKLSVLDKTKKDWGEYKEEKGVEEELDAYKKSSNQYLDKQSFLQRADYREFERERDARLSMMAKRKTDMREDNA >KQL05016 pep chromosome:Setaria_italica_v2.0:V:14114351:14117806:1 gene:SETIT_002185mg transcript:KQL05016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFHLLLTCVSLPVGLGLVLLFTKFAFSPLSLSLSRCGDTSASAARADAEANNRRPPGTRGIDSGMASTSSAGDAGGSGSKGVVPDETLTSSANASSSHQESHDVGTKSRVEDIWKKMNSGLPNKMPTPMMTKFSNTAKEKKNKPTNNWMTVLGLSPSNASTANQSPQNGQQQAQHETSEDAKKLAAAALVAAKNAAAVASGRGKVEITEVRDFAGKDIEIKKLVDANSKEAIEKAKAGGAAPSAVDNILEQIRKKQKLSVLDKTKKDWGEYKEEKGVEEELDAYKKSSNQYLDKQSFLQRADYREFERERDARLSMMAKRKTDMREDNA >KQL04970 pep chromosome:Setaria_italica_v2.0:V:13535698:13536568:-1 gene:SETIT_004438mg transcript:KQL04970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHIAVERNRRRQMNEHLKVLRSLTPALYIKRGDQASIIGGAIDFIRELQQVLDSLEARKKRRSSSGSGGFGFTPSPTPSPRSHLLSSSGGATSSSAGSSTPSPPVVGKVAPAVKELAACCNSPVADVEARISGANVLLRTLSRRAPGQAATMVALLEALHLEVLHLNISTMDDTVLHSFVLKARRSAASCIINIIGLECQVSVEDLAYEVQQTFVCGREQEQDHEQLVLVDQQENNLMYSAMPMTI >KQL07972 pep chromosome:Setaria_italica_v2.0:V:42899069:42899856:1 gene:SETIT_002962mg transcript:KQL07972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRAAKSLLLCLMVAFLHHLQFQFPPVAATTSLGKNESRDGGSHGKTLSFTLYQQETINKTAYIVVDGVAGAGVSQTTTPFGTIYVFRDYLTVRADRASPVAGVAEGSSITTTLDGLQSLSLAKITVDHRGHRGSVSVLGGTYNTRPSDYPVVGGTGDFAYALGYVRSSPVDLRGRTVTYKMELHLYWPPYAHYAPVPHKPV >KQL05668 pep chromosome:Setaria_italica_v2.0:V:26911796:26917193:1 gene:SETIT_002403mg transcript:KQL05668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQRWTPEEEAALKAGVAKHGPGKWRTILRDPDFSTLLRLRSNVDLKDKWRNLSVVAGGYGSREKARMALKKGRRVVPKLTAEPMDVDANGLGNVHDAVIDAEPLAMAVEPLAVEESSEKSVARLDDLILEAIKKLKEPSGSNKAAIAVYIEEQYWPPADFPRLLSTKLKALVNSGKLMKVNQKYRIAPSSPSLGGISTKVYSAEEMTGENDTKQLTKPQVDAELEKLKGMTKEEAAAFAAKAVAEAEVAMAQAEEAARAAEVLENDAETAIAFLEALTLSLRDRNANSTMLRAC >KQL05669 pep chromosome:Setaria_italica_v2.0:V:26911796:26916978:1 gene:SETIT_002403mg transcript:KQL05669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQRWTPEEEAALKAGVAKHGPGKWRTILRDPDFSTLLRLRSNVDLKDKWRNLSVVAGGYGSREKARMALKKGRRVVPKLTAEPMDVDANGLGNVHDAVIDAEPLAMAVEPLAVEESSEKSVARLDDLILEAIKKLKEPSGSNKAAIAVYIEEQYWPPADFPRLLSTKLKALVNSGKLMKVNQKYRIAPSSPSLGGISTKVYSAEEMTGENDTKQLTKPQVDAELEKLKGMTKEEAAAFAAKAVAEAEVAMAQAEEAARAAEVLENDAETAIAFLEALTLSLRDRNANSTMLRAC >KQL06809 pep chromosome:Setaria_italica_v2.0:V:35761903:35764226:1 gene:SETIT_001402mg transcript:KQL06809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEWRRSHREATLLDPVVVEAAPDSLDALMAEMGAMLASYDARLDMEAVAIKMMAMLLKMDRKVKSSRVRALFNRHLASLGVPKSVHCLTLRLAEEFAVNSAARSPVPPPEHAPRLTDASYLHVALVTDNVLAAAVAVASAVRSAADPGRLVFHIVTDKKSYVPLHSWFALHPVSPAVVEVRGLHQFDWRDAGVIASVMRTVEEVQRSSLDYHQCDGSAEREHRRLEASKPSTFSLLNYLKIHLPEFFPELGRVMLLDDDVVVRKDLTGLWEQDLDGKVIGAVGAHEGGGICIDKTFGDHLNFSDPAVSGVHSSQCAWSWGINIVDLDAWRRTNVTETYQFWLQKNRESGFRLWQMASLPPALIAFDGRVQAIEPLWHLPGLGWRVPDPKLLEFAAVLHFSGPRKPWLEVAFPELRQRWLGHLNASDSFLQGCGVLEWQELGTAEHRVGREKN >KQL05214 pep chromosome:Setaria_italica_v2.0:V:18047606:18047983:-1 gene:SETIT_005231mg transcript:KQL05214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKKNPNLLLRGFLSGTTRHSRAKNRFQSY >KQL08667 pep chromosome:Setaria_italica_v2.0:V:46567862:46573974:1 gene:SETIT_001458mg transcript:KQL08667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSPITCRPSAARHPLLLRAPAPRVDGAAALGLGRGLPRREPLVAARAHVRGIEAAEPWWWSSSSARVWMNSRSCRREEAARCAAAGQVAGSTGVGRGAGMEVILAAAAVVAMGTGNRVLYKLALVPLREYPFFLAQFATFGYVVIYFSILYLRYQAGIVNDEMLSLPQKPFLAVGLLEAFGAAAGMAAGAVLSGASIPILSQSYLVWQLLLSAIFLKRRYRINEITGCFLVAVGVIITVASGSVTGASLKSTGIVWPLLMIISFFLQAADTVLKEIIFIDASKKLKCGSVDLFVVNSYGSAYQALFMCLLLPFLSKLWGVPFHLLPAYIKDGAACFLNTGSISGCEGAPLLPLLFVLVNMGYNISLLHLIKISSAVVSSLASTFSVPLSIYAFTLPLPYIGVASTLPPGFVAGAAVLTAGLLLYSLPQAQHSGNSFHNKND >KQL06025 pep chromosome:Setaria_italica_v2.0:V:30166595:30167980:-1 gene:SETIT_004149mg transcript:KQL06025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSRQLVLTVDQSGRGDHRRIQDAIDAAPANDDSAGSVVIRIKPGVYREKVVVDKPCITLVGTSASSTVITWNESWVAAESPTVSVLASDFIAKRLTFQNTFGTSGPAVAMRVAGDRAAFYGCRFVSFQDTLLDDTGRHYYRGCYIEGGTDFVFGNGKALFDKCHLRSTSLVGGAFTAHKRSSELEDTGFSFVGCKLTGLGVGTSILGRPWGPYSRVVVALSYMSSTVRPEGWDDWSDPAKQRTAFYGQYQCYGEGSKTDGRVAWSHDLSQAEAAPFITKVWVGGQEWLR >KQL03374 pep chromosome:Setaria_italica_v2.0:V:2036104:2039257:-1 gene:SETIT_002506mg transcript:KQL03374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHLPDLAAARPPARRRGVAVAASGARVKQGEAGKRRVIRVADPVREGRLPVPPTPPLFAAPVTPSESPAASRRREEDEEERQRYYLNMGYAIRTLREELPDVLYKEPSFDIYRDDIVFKDPLNTFKGLENYKRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWDGLGRFDGASVYKLDKNGKIYEHKPRLI >KQL03373 pep chromosome:Setaria_italica_v2.0:V:2036104:2039206:-1 gene:SETIT_002506mg transcript:KQL03373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLLHLPDLAAARPPARRRGVAVAASGARVKQGEAGKRRVIRVADPVREGRLPVPPTPPLFAAPVTPSESPAASRRREEDEEERQRYYLNMGYAIRTLREELPDVLYKEPSFDIYRDDIVFKDPLNTFKGLENYKRIFWALRFTGRIFFKALWVDIVSIWQPAENLIMIRWIAHGIPRVPWDGLGRFDGASVYKLDKNGKIYEHKVHNVAMNPPTKFKVLPVHELIRSLDCPSTAKPTYFEASSQYLCTAPSYLRLAWIKCYISLCRMLSLANLGEG >KQL07235 pep chromosome:Setaria_italica_v2.0:V:38555635:38557341:1 gene:SETIT_004334mg transcript:KQL07235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPVLEALNEDDGTLALEGDERVDDLEVNDPTSASPASPPSQRLAVPRMVSAYEKAPSADVDKFLNVLKKKASSSGEKPITRSASWRKENDQNLNFFASDDIPMDYEHGKLFLYQWDLLEGQWELNKQHGWIINAMNQGIRAITMHVPTKVFLGVLPYQIVIDFENLHILYLHINLFCKMQWREEELTHGRFKVAYLDPTRISEPEHKLKITEMIKAQIEVAETQAEKDAIKKSPQRRNAQSCHKQPPGSVLYGYYVCEYCMCEFIRNNGRYRTKPEDMTTIDSNYSKIEDKQINNICTDMARFILRKIYHEDGAFFDEDGVLMTDECTNLHRWV >KQL07239 pep chromosome:Setaria_italica_v2.0:V:38587263:38589293:1 gene:SETIT_004097mg transcript:KQL07239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISAVGAGAAALLALLLLPPPPAAPFSFTYNFTSPSDTPPPGIAFQGDAFYNKAIRLTRDERLGPITSSAGRAFYSRAVPLADPVTRRPASFATAFAFSIAAPDPAAASGDGLAFFLSPFPSALPGSSAGGLLGLFNSSAPRAGPLVAVEFDTYKNEWDPSGDHVGVDLGGIVSAATADWPTSMKDGRTAHARVAYDGAAKNLTVALSYGAARPNATGDVLLWYAVDLREHLPDSVAVGFSAATGEAAELHQVLYWEFTSTIDPKKETVILWVVLGLCCLLLVLVGAGVVWFVRQWRKAGELDDGDIEVDDVMGGEYDELADEFVVESGPRRFRYAELAAATRNFAEDRKLGQGGFGAVYRGFLRDLGLDVAIKRVSKGSTQGRKEYAAEVRIISQLRHRHLVRLVGWCHEHRGDFLLVYELMPNGSVDHHLYGKGAPLAWPARYDVALGLTSAVLYLHEECAQCVVHRDIKPSNVMLDATFSAKLGDFGLAKLVEHGSQPYTTALAGTLGYLAPECVMTGKASRESDVYSFGVVALEIACGRRPAELSEEPSKARLVPWVWELYGKNALLEAADWRLKGQFDEKQMERLMVVGLWCAHPDYAHRPSIRQALNVLKFEAPLPVLPPKMPVPTYFPVPELVSPISVGGASSTEDAGISDYGSSGKGSSVRDRLLDT >KQL05790 pep chromosome:Setaria_italica_v2.0:V:27892370:27893098:1 gene:SETIT_003135mg transcript:KQL05790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGGRNRPMLPLQTITVLVAMAAVSVYSPAALGIPMDEDCKCFMCVCDLDPHPLPPEVPSHHHPPPEPVPSPPPPSPSPPPPALPAYYPPTEHEPAPGGYYYYPPMPYGYPWQGGYPATYGPPAGEMYPREGKASKSGAARRHGGHGRSRLLVAVAALSLLVLAA >KQL06760 pep chromosome:Setaria_italica_v2.0:V:35468276:35469239:1 gene:SETIT_003872mg transcript:KQL06760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRANWDDNTTKIFLDLCIDEKNKLNYDKRGLTKVGWHNLYTNFKQQTGRKYSCKQLQNKFNAFKRQYKDWRKLKDKSGTGWNNSTRTIDCDDEWWAARIEENEANKHFRGKAFPFYDELTTLFGTTDTEGGPMLCVGGIGDRTPSCGSEDNPDPMADENVDWLEDTVGRSSVGRVSQRSGKEHVVDSPPPKRTKSMEYYVERISESMIQRTMTERNLISREEEEVTEMLHLVEQDGVPNGSELYFIATELFRSPARRASYRSITASENRIAWLRWTWDNVKRK >KQL07441 pep chromosome:Setaria_italica_v2.0:V:39752497:39753018:1 gene:SETIT_004890mg transcript:KQL07441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKNGVCHRGHRLNRSTNHATDVSFVFDAATRLVSPAPPFQSPKKSATFWMVGGTIYALDLNSRDANESQERCLFERLALPPPFKEDCYLELKSHIVHPDSTTVSLSFRMAQTFSFDGERLEWARHGCWALPFDGEAYHVREQFGVTWEGSDSRIYAG >KQL08513 pep chromosome:Setaria_italica_v2.0:V:45783771:45788902:1 gene:SETIT_000375mg transcript:KQL08513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEAGVVGADQLPRRQYYMNLLLLAYQSFGVVYGDLSTSPLYVYKSTFSGKLRQYQDEETVFGVLSLIFWTFTLIPLLKYVTIVLSADDNGEGGPFALYSLLCRHAKLSLLPNQQAADEELSSYYRNGFAPRNGSAPWLRRFLEKHKKVRTVLLLVVLCGASMVIGDGVLTPAISVLSSMSGLQVRATGLEHRSVVLLSCIVLVGLFALQHRGTQKVAFMFAPIVIIWLFSIGGIGLYNILHWNPNIYQALSPYYMVKFFRKTGKDGWISLGGILLSMTGSEAMFADLGHFTSASVRVAFVTVIYPCLILQYMGHAAFLSKNTFHMPTGFYDTIPEPVFWPVFVVATLAAVVGSQAVISATFSIVKQCHALGCFPRVKVVHTSRWIYGQIYIPEINWILMVLCVAVTVSFRDTTLIGNAYGIACMTVMLVTTFLMALIVIFVWQRNIIFALIFLVFFGSIEAVYLSSSLMKVPQGGWVPLVLAFIFMSVMYIWHYGLRRKYQFDLQNKVSMRSILSLGPSLGIVRVPGIGLIYTELVTGVPSIFSHFVTNLPAFHEVLVFLCVKSVPVPYVSPDERYLVGRIGPKEYRMYRCIVRYGYKDVQRDDDNFENMLVMGIAKFIMMEAEDASSSASYDIANEGRMAVITTTDDAGTPLTMRDFNGLADSMTTRSSKSESLRSLQSSYEQESPNVSRRRRVRFEVPEDDDMGQQVKDELMALVEAKHAGVAYIMGHSYIKARRSSSFLKKFAIDVGYSFLRKNCRGPSVTLHIPHISLIEVGMIYHV >KQL03829 pep chromosome:Setaria_italica_v2.0:V:4848635:4849044:-1 gene:SETIT_005434mg transcript:KQL03829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTIQYRHKCTLVRKHMPMFHEVAGLSVTSFASECMGLVGVPTL >KQL04284 pep chromosome:Setaria_italica_v2.0:V:7907179:7908022:1 gene:SETIT_003160mg transcript:KQL04284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRLLDTLALDSWRNPFSIFGTAVAADAWQASDTSAFANTYIESRDTAGAYVFSAALPPGVKKEEVRVEVDEGNVLVITGERSLRREERTDGWHHIERSSATFLGRFHLPGDAAVDGVRAAMDAGVLTVTVPKVGAIAAAADADAEKAAEAPAMAIEAGPC >KQL04486 pep chromosome:Setaria_italica_v2.0:V:9361685:9363040:1 gene:SETIT_005128mg transcript:KQL04486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAERSKKRLQPWIEAAVHFSLCFAVGALAALAPLAATGAPSAAYIRASFNNAQRAVAAAPAPPPVPDLGLLLVVTATRPDGGMAQDASLARLAHTLRHVAPPLLWIVVGAENRTATARAVRVLRGTGVIFRHLTYDASNFTGADADAGDEEDHQRNVALGHIERHRLNGVVHFASASSIYDLRFFQELRQTRGFAAWPVATISPAEQRITVEGPTCNLSQITGWYSRDSSTNETQRTSTSMGAVDTSASNRNSSSEPLKINISGIGFRSSMLWNSERSFTMRNSSAGATQDFIQIVQQMAIEGENKLKGITSECSESRIMLWHLDMQRFTLIPEGQETQQQQSLV >KQL03249 pep chromosome:Setaria_italica_v2.0:V:538572:540457:-1 gene:SETIT_001648mg transcript:KQL03249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQCTEHNAPLPRLEGIEEEGSPAGKWAPTSVRQPETPTETMEFLARSWSLSAAEISKALKVLSCGKAASDSPAVVATTEKRPSTLLDSNDHRQQGADAAMAVAQAGEAGSAMSPPVSPRANLDVKLLRAAGRGKTVGAWIKEQREKKRAEARSRNAQAYAATSVAGVAAAVAALVAGAVFSAPPPPEQRPKSGGASSATKTAAAVASAAALVASHCVEMAQGIGASHDQILAAIHSAVNAQTSGDVMALTAGAATALRGAAMLRARLHKEIQATALPGDGREPERDISPLVFVSRGGELLKRTRQGILHWKLVTVYINSSFQVVLKMQSAHMAGTFIKTKKCVILDVCSEIPAWAGRELEDGSHKRGYFGIRTVERVIEFECRSRYDQHKWVQGITEMLVRRDSMNSAL >KQL07990 pep chromosome:Setaria_italica_v2.0:V:43006845:43008578:-1 gene:SETIT_003911mg transcript:KQL07990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSKLRQAVGAAKDRATIALARAGGADDEVAADVEVAIVRATAHGESLPADDRHVAEILALTRYSRARVAACVASVSRRLERTRAWPVAVKALALVHRLLAEGDPAFEQEVFLATRRGRRVLDMSRFRDRGRARSRDWDFAAFVHAYAVYLDDRLKRRMQGRPGGVASPRNWSIDDGSLFFHDTDGRNEVHEAWELVPGENPAAAIEASTEDLIAKAQQLKHILGRFIDCRPTGKARTNRVVTAALYRLVTESAAAYCELTEVMVVLMGRFAELDTPACVRVHSIFTSLAKLVDEVDDFYAWCKATAVCRPSDVPEVQRVRQKNLDLMYEFIRDRKASASRRSRSPSYTPLEKYDVVRAIDEPAPREQQVTAREENNAGKAAPAEPAGSLVVVDDKMADFLNLDEDTSPPSGEEHGRDLTLALFDGNPAEAAPEWVAFDDPSEDWETALVQSTRWPATRRAELGGGFDTAALNGMYSHAAAANATVTNARLFAAGSASSVAMQPLGGTVLALPPPSGANTTAAAARADPFAASLAVPPPTYVQMTDLQARQRLLVQEQNAWQQYERQRAPWSSNLL >KQL04511 pep chromosome:Setaria_italica_v2.0:V:9456983:9458593:1 gene:SETIT_002655mg transcript:KQL04511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACQPMQEGKELQGLQPYDGCDPSVFVGPLLLPRQASSAPPAPPALSSSSGSGRSATEARALKIHSEAERRRRERINAHLTTLRRMVPDTRQMDKATLLARVVDQVKLLKREASEATQSMALPPETNEVSIELHAGDSGVVVAGTDKMIYMKASISCDDRPDLIAGLIQAFHGLRLRTVRADLTSLGGRVQHVFVLCREEGWGSEGASLRSLKEALRQALAKVASPEMAYGSSPFQSKRQRILESHYSIMSI >KQL06097 pep chromosome:Setaria_italica_v2.0:V:30634949:30635845:-1 gene:SETIT_004979mg transcript:KQL06097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLATRLSREMEVQMEKAALCENPFHMVNPFLSRLYVSPWVSMSTPILPSGLSNSHGRLGLWGAGMRGRQVIEIEFLFSKILTPSDVGKQNRLLIPKKFAEKYFPKISKTKSYREEQILAFEDSSTGLVWHFRFSLWRSSNTYVLTKGWPSFTKEKKLSNGDTVSFYRSADKSKGTNCIFIHIKPHVGISSVPHHSAVPMFTPSGLLNETDKCVCEGLGLGSGYRFEPTWKHLSFGSGGLTPPITLMPQPTMFPESMSLDNSMGRAQKRLRLFGVDIDVPPRASGDDSCNGWTNGVA >KQL05583 pep chromosome:Setaria_italica_v2.0:V:25950407:25955713:-1 gene:SETIT_001453mg transcript:KQL05583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAAPPLLLAGLALAVLAAAGWPSVATAALEDPAGLLRRAKEPDFAGWMVGVRRRIHENPELGYEEFETSALVRRELDAMGIPYKHPFAVTGVVATVGTGGPPFVALRADMDALPMQESVEWEHRSKVPGKMHGCGHDAHVAMLLGSAKILQEHRDELKGTVVLVFQPAEEGGGGAQKMIEAGAVENIDAIFGIHIADSIPIGVLASRPGPIMAGSGFFEAVISGKGGHAALPHHTIDPILAASNVIVSLQQLVSREADPLDSQVVTIGKFQGGGAFNVIPDSVTIGGTFRAFLKESFNQLKQRIEEVIVSQASVQRCTATVDFLTKDRPFFPPTINSPELHDFFVNVASEMVGSKNVRDRQPLMGAEDFAFYAEAIPSTYYYFVGMYNETRGPQAPHHSPYFTINEDALPYGAAAQAAMAARYLHEHQQPASTLDKAKTHDEL >KQL07107 pep chromosome:Setaria_italica_v2.0:V:37687446:37688082:-1 gene:SETIT_004852mg transcript:KQL07107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPRLLVVVLLLLATAPALSVAAKLVVGDRKRWAPNVNYTDWADRHEFYVGDWLDFEYEKDRYDVVQVNETAYARCDGSSPILSYSRGHNFPFQLNRTGRFYFICSRGYCWNGMKVSVLVQPAPLPPAMAPSSHASRARAAAGVWRWVGLAALLLLGSLPFRV >KQL07766 pep chromosome:Setaria_italica_v2.0:V:41620217:41626504:-1 gene:SETIT_000306mg transcript:KQL07766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEKCGAAEKVKPAASPAAEKPADIAGNISYHAQYSPHFSPLAFGPEEAFYATAESVRDHLIERWNDTYVHFHKTDPKQTYYLSMEYLQGRALTNAVGNLGITGAYAEAVKKFGYELEVLAGQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQHIAKEGQEETAEDWLDKFSPWEIPRHDVVFPVRFFGHVEILPDGSRKWVGGEVLKALAYDVPIPGYKTKNAISLRLWEAKATAEDFNLFQFNDGQYESAAQLHARAQQICAVLYPGDATEEGKLLRLKQQFFLCSASLQDMIARFKERKADRASGKWSEFPTKVAVQLNDTHPTLAIPELMRLLMDEEGLGWDEAWDITYRTVSYTNHTVLPEALEKWSQIVMRKLLPRHMEIIEEIDKRFRELVISKHKEMEGKIDSMKVLDSSNPQKPVVRMANLCVVSSHTVNGVAELHSNILKQELFADYVSIWPNKFQNKTNGITPRRWLKFCNPELSEIVTKWLKTDQWTSNLDLLTGLRKFADDEKLHAEWAAAKLACKKRLAKHVLDVTGVTIDPTSLFDIQIKRIHEYKRQLLNILGAVYRYKKLKEMSAEEKQKVTPRTVMLGGKAFATYTNAKRIVKLVNDVGAVVNNDPEVNKYLKVVFIPNYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSLNGCVIIGTLDGANVEIREEVGEDNFFLFGAKADEVAGLRKDRENGLFKPDPRFEEAKQLIRSGAFGSYNYEPLLDSLEGNSGFGRGDYFLVGYDFPGYIDAQDRVDAAYKDKKKWIKMSILNTAGSGKFSSDRTIAQYAKEIWDIKASPVA >KQL04321 pep chromosome:Setaria_italica_v2.0:V:8113198:8116043:-1 gene:SETIT_000567mg transcript:KQL04321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVPPATAAGVGGSGPGPGPGYPESTESSPRSRGGDSWDEPFPSSAAAAAAAAGGGGRLRLMCSFGGRIVPRPTDKSLCYLGGETRIVAVDRHASLADVHARLSRSLLGGRPFTLKYQLPNEDLDSLISVSTDEDLDNLVDEYDRIAASSSGGGSSRTSRIRLFLFPAKPESSSSLGSLLDDSSKSENWFVDALNSAISGSLDGIPRGISTDSASVNCLLGLEDDSSVHSRSGVPNSAPSEEQRGSQHQKLPATAAAAGGRHPHDVQSVPDSPMLDKNSSFGSTSSAPSLSNLPPIRVRPEDRPPDARVMPPTTVEDHFAQMGISEQQLPPAAYMQPPPQVPIPAMAVPVASPSEASSRVFSDDDKSDHGGGSRKPQPPKQEVPPVADPTNRAVYYNDRSPPADLKRDMPVGTEAASYRLPVSAPDAAAAAAAAAVTQPPPGYVFAQMHAPPPPQQQQPPPQPPQQPQQPAPQQIVTAGNQHFIHNPATGTFIPIQSYYHHPVPQQAPHPVPQQAPQPVPRPQQAHAFDPNTGMYYIPMQQNAPQPYSMPPGAQVSLPPPALVDTTPKPTVPIPQMAVKPELQQPGVYRTTAAPTPAQAPNAAPGYAGVGYHHVIQSHHHPAQQPVANMAGNYGYEYADPNRPQVYYSQAAAPPTMPPQYQPIVSPDAGQAEKH >KQL05891 pep chromosome:Setaria_italica_v2.0:V:28837670:28840738:1 gene:SETIT_004084mg transcript:KQL05891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWRVAGARAVLRRLSAASKTVGRRDGGVLTAICSSSENAPLSPFGFCQCANLFRVQAFASRSIPVNLHQLIRNAELLADDAMVPISSPLTPQLGDAEEIDKKGDVVKRLKVQAIKKISNSPKKVNLVAKLIRGMCVEDALLQLQVTVIHSARANAAHNHGLDPDKLIVGKAFVEKGLYLKRLSYHAKGSCGVMVRPRCRLTVVVREATAEEEGKIAKLRVSNYKKLTRKERQLMPHRLIEVSPRWARKRKEETSATA >KQL06216 pep chromosome:Setaria_italica_v2.0:V:31762048:31762856:1 gene:SETIT_004127mg transcript:KQL06216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYFTTSPKDHPKVTYLHFANSPTLLIGVFCFPQSAVIPLHDHPGMTEPLIIVICLVLPPYDWVMASSASNQLNTDAIFDATSKIVVLYPEDGETCTVQRVSPCAVLDVMGPPYCLEEGRDCFYFSSEVAGGDGQYAWLNKVPCTIEMDADRIRAKIRR >KQL07111 pep chromosome:Setaria_italica_v2.0:V:37722109:37723271:-1 gene:SETIT_004976mg transcript:KQL07111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQARRQRIPAFGEWNYDYGGAGDWPVTQYFDSAMQAGLVVAIPPSPKPAKKAVKWSGSATLEAEDEKQRQAVVVGLGEQGAVKIQGKQSRVADAGAHASPAYKACRLAVKAVDQDLYQIPPDMLCHEPRKRLTRRSVWMGCL >KQL05182 pep chromosome:Setaria_italica_v2.0:V:16984847:16986141:-1 gene:SETIT_005028mg transcript:KQL05182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPPPLLTPYKMGEFNLAHRVVLAPLTRCRAYGNVPQPAHMSLYYAQRATPGGLLISEACAVSETARGSPDVPGLWTDEQVEAWKPVVDAVHAKGAVFFAQIWHAGRASLLEWQPNGQAPISSTDKSADIYPVPRRLETGEIPDIVNDFRIAARNAIKAGFDGVEIHAAHGYLFDQFMKDSVNDRDDVYGGSLENRCRFTSEVVAAVADEVGAYHLGIRLSPFADYMDCSDSDPKVLALRVIGHTLNPLGVLYCHMVEPRMRVNPDDGKLTFPHMLMPFRRAFHGTFIVSGGYDREEGNAAIAEGYSDLFAYGRLFLANPDLPARFATNAGLNKYDRSTFYTSDAVVGYTDYPFLDQF >KQL05234 pep chromosome:Setaria_italica_v2.0:V:18480865:18485095:-1 gene:SETIT_001620mg transcript:KQL05234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILRIRSRDGTDRITVPDPASATVADLQRLIESHLTVPVTLQRLSLEPALLLPSPSAVPLLADPAAQLASLRLANGAFVYLAYPPDARSARPPPPKALSSAGSFGKKMTMDDLIARQIRVTRQENALCAAASFDRDAANAFQLYVAESLAFGVKRAGFLYGRVDAETKEVFVDFIYEPPQQGSEDVVHLMRDADEEARVDAIAEGLGMRRVGLVFTQAVGRKASDTGEYTMSNREVVQAAQLQAEGGIPEWITAIVKLEVGDDGTGDVHFEAFQMSEICVKLFKDGVLETEVGDTDDPRLSKMRKEVVAGGKDTMEVDNDFFLVPVKISDHQGPLSVGFPIENRGSPVGMSALRSHLDRTKHLTFVRRISDFHLLLKIATFLDVKADVPTLAACVKTQSRVPEGYQLLIESLASQG >KQL03719 pep chromosome:Setaria_italica_v2.0:V:4231233:4233927:-1 gene:SETIT_002417mg transcript:KQL03719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALRAAALRRSAPHWSTAAASFFSPPFRPRRCRCRRAPAPAPAATTRTPRSRAGAKARAKLLLEAEPRDPWLASLSLLPADDSSGAGAAPNGWAIGVDPDTGGAIAVLSPDGSSQVFDNPLVHIVVSKVIRKRLDTKSIIQLLRGLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIASLVSSGFSVVPVASQTWKAYFGLSRSESPKDNSRQAASILFPDKALSLKLKKHHGRAEALLLAAYGKGLVLPSGKFSKPQLDIDVDC >KQL06656 pep chromosome:Setaria_italica_v2.0:V:34830528:34833199:1 gene:SETIT_005086mg transcript:KQL06656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFAAAAAAMESASNGKGGDDPGSSEEDHGTGKEMEAQHGEEGSVTKKPRVEDEEAELLIILKRFRKYWMEAFSEFYGPFEATTGPEVGPKRYTESGPPFRAMHYDALEVFSLKVTQIKEGLEWPLRVFGLVAVRDSMDYKRNILFQRSKENCQIPPQRYDPYLVLTGPSRAIALIDPPEFEVELRVIGSSPSEEKILSATAWQGKNGQDAVVHHADFPAKFHSKSDERFDVGFCKMAVSVYWSVLC >KQL03884 pep chromosome:Setaria_italica_v2.0:V:5209644:5210270:-1 gene:SETIT_003067mg transcript:KQL03884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMSYNKPTKTLVFFNLYREQCPKVNIHLPPQSTFEANIVHIKKKLEDTLCSMYTSPAYHRRYLPLLNGTMTLLVMSTDQGSAYTPYPCALNQTKITTADLTEGTGIQNSRCTTPNFLWKECYPGAGVWSKSDYRTKTARGQIQYSFLGSRCTPKNFPGKFLLSSDPRSKQTEWSIHPRKK >KQL06274 pep chromosome:Setaria_italica_v2.0:V:32312677:32314062:-1 gene:SETIT_003541mg transcript:KQL06274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRSSSSHNVNMASTWSKREEKLFEEALAYYGEGTPDRWHKVSRAMGGSKTADEVRRQYEILEDDVKLIESGRVPFPRYNTQGAWN >KQL03362 pep chromosome:Setaria_italica_v2.0:V:1993662:1993928:1 gene:SETIT_005115mg transcript:KQL03362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKSRVPEVTIVPAPRPAAGAGSVDAAKAAGKEPISPGTPSSADAGRGRSGKERRAEEGVSLPGWKLDALCQESCPSPAMRARFLYF >KQL05881 pep chromosome:Setaria_italica_v2.0:V:28740372:28740878:1 gene:SETIT_005561mg transcript:KQL05881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGKCWRGWWRASRGGWRWWGWAGRRRRGTPARLGRAWCTSTPSSSAATS >KQL03778 pep chromosome:Setaria_italica_v2.0:V:4558187:4560931:-1 gene:SETIT_002945mg transcript:KQL03778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEGETNRLWRIRRTLMQMLLDRGYMILEKDDIGLKREAFIDRYGDPVRRDDLVINRYKKDDPADQIYVFFPNEPKPGVKTIRNYVEKMKQENVFAGILVVQQALSAFARSAVQEVSQKYHLEVFQEAELLVNIKEHVLVPEHELLTLDQKKKLLEQYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVV >KQL03671 pep chromosome:Setaria_italica_v2.0:V:3829313:3830291:-1 gene:SETIT_002686mg transcript:KQL03671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVVVRNDDESASLLDDDGSPVLLAGVSTPPPPPKLHGKATRTPRHAVMRLICSPFAAVLRMTTCADTDAISPSVEQPSKKTAAGAVVERREARRRPSLEQLIRMETAPLPLPPPSRPGRRRKPDTTSEVSIRTTAKEKHAQELFSPPKSLVVSVSDDERRAAAVPAVKSDADDRRTNAKRLVVVFESLRACSRAPGIGLGMPTKGLSRKVAGAGCAPGKAELFYCRPIPMGRRCRVQHLEESPYK >KQL08055 pep chromosome:Setaria_italica_v2.0:V:43336706:43337648:-1 gene:SETIT_004377mg transcript:KQL08055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLVRFFHGGVVKQNGELENMNESIEIFDGPSSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEVEEESLFESGGHEYENVGPNSSLLMPYALCGSVICAVPSSDTKLTTHTYKSKAKLFACVDGARVGAHTREQYVLCRGLPRTFRPCFDRAKISLCTPSIFF >KQL05998 pep chromosome:Setaria_italica_v2.0:V:29966638:29968935:1 gene:SETIT_000409mg transcript:KQL05998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQIVDDVERGPGAVIPGAGEEDDDEASPIEEVRMTVPATDDPTLPVWTFRMWTLGLLSCVLMSFLNQFFSYRTEPIIVTQVTVQVASLPLGHFLARVLPTRKFKAPALLGGGEWSLNPGPFNMKEHVLISIFANAGCAFGNGSAYAVMIVDIIRAFYRRSISFFAAWLLITTTQVLGYGWAGLMRKYVVEPAHMWWPGTLVQVSLFRALHEKEDDQRVAEGGGPRRTSRAKFFLVALACSFLWYAVPGYLFPTLTSVSWVCWIFSKSVTAQQLGSGMRGLGLGAFTLDWTAVSSFLFSPLVSPFFATANILAGFVLFMYVIVPAAYWGLDLYNARRFPIFSSHLFMFNGTGYDINAIVNDRFEIDMDAYQQRGRINMSTLFALTYGLSFAAIAATVTHVALFHSKEIYRRFRASQKDKPDIHTRLMKKNYEDAPGWWFYSLLVLSVAVSLILCTVLKEEVQLPWWGLLFACAMAFVFTLPVSIITATTNQTPGLNVLSEYVIGLILPGKPIANVCFKVYGYMSMSQAVSFLQDFKLGHYMKIPPKSMFLVQFVGTIVAGTVNLAVAYWLLGSIPNICQDALLPADSPWTCPSDRVFFDSSVIWGLVGPRRIFGALGNYGALNWSFLVGAAGPAIVYGLHKAFPGQRWIRMINLPVLMGATASMPPATAVNYNSWLLIGIAFNFFVFRYRKKWWERYNYILSAALDAGVAFMGVLLYFTLSMENRNISWWGTAGEHCLLASCPTARGVDLGAESVCPVVL >KQL06610 pep chromosome:Setaria_italica_v2.0:V:34612778:34617532:1 gene:SETIT_000453mg transcript:KQL06610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSAAPSSSSARLLLASPQSPPTLLRLRRGGRRTVGLPRRGGGGCARLQLVRRGAADEEAGAAARGQQAVEDPVPGRDLVTLAACLVGLLTGVSVVLFNLSVHEIRDIFWDGIPLRGASWLREEPIGEVWQRVIFVPVSGGVVVGGLNALRSSIKTNSNGHVSKIQSAVRPFLKAVAASFTLGTGNSLGPEGPSVEIGSSIAKGFGNVFDWEGGKRLSLVAAGSAAGISSGFNAAVAGCFFAVESVLWPSSTDSSSLANSTPMVILSSVIASVVSEIGLGSDPAFTVPEYDFRSPTELPLYLLLGVFCGLVSITLSKCTSLAMETVERLQMATGLPKAASPALGGLIVGLLALMYPEVLYWGFENVDILLESRPFTSGLSATILVQLIGVKILATSICRASGLVGGYYAPSLFIGAATGMAYGKFMRFTFTCPEPLLHVPFLDVASPQAYGLVGMAATLAGVCKVPLTSVLLLFELTHDYRIVLPLLGAVGVSSWIASPQRFSKSIRSKLDSLEEKSSIAQQTDSMPTENKQVNSMDIADSSQELCKVESSLCVYDANNDNMFENLTVAEAMKTNYFSVSMTTPLVEALDLMVAEKQPFVMVTESNSSVICLLALKNIQDFCRAAKTTRAQAEVKEFLVCHVYQAGKCKSCSVTPQMPLTAAEKIMDSHGVDHLPVVSEHANLQDSGLLIGFVDRECIAIARRAMAVKEFFISTYEIRKEERPSTEGR >KQL07438 pep chromosome:Setaria_italica_v2.0:V:39745657:39746556:-1 gene:SETIT_003037mg transcript:KQL07438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHQSNRSGEPAGVCRPEQVREHTMPPPRGPTAPPGTPHSISIRLANPSSHRTHVRPANSPAAPVNPASRRPATRRTQADADRMPPAIVAGGAPSLNYSPPCDTCPLPRFKDSTGPRWPILGKEDFGTLLQAKVGTLDAALCVSDAWAFGWRIGDWEIAYRLLFFHDNFLVSMFCLHDPSPLQRGDLL >KQL03853 pep chromosome:Setaria_italica_v2.0:V:5046511:5052537:1 gene:SETIT_001303mg transcript:KQL03853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPHAEEAAAAGGGGGGGEGGRASPGTGLEGPMLRLGLDGGGEGEDGEREADARLPERPGEADCGYYLRTGACGFGERCRYNHPRDRGGTEFGGGTKNGAAPDFPERQGQPVCEYFLKTGTCKFGSNCKYHHPKQDGSVQSVILNNNGFPLRPGEKECSYYMKTGQCKFGSTCKFHHPEFGGIPVTPGIYPPLQSASVPSPHTYAPLANWQMGRSPVVPGSYMPGSYTPMMLSSGMVPLQGWSPYPASVNPVASGGAQQTVQAGPLYGIGHHGSSATIAYGSPYMPYSSSTGQSSNNHQEHGFPERPGQPECQYYMRTGDCKFGATCKYNHPRDWSAAKSNYMFSPLCLPLRPGAQPCAYYAQNGYCRYGVACKYDHPMGTLGYSSSALPLSDMPIAPYPLSFSVATLAPSPSSPEYISTKDPSMNQVASPVAAPEPVGAVLPKGGFPPDTIMRTQTSTTGVGSSSPGGGR >KQL05381 pep chromosome:Setaria_italica_v2.0:V:23663238:23663813:1 gene:SETIT_004368mg transcript:KQL05381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNSVAKIIGLTGLALSSGASAALATGACSWCALQAYREGRLSRGWWWLRVGSLGGVSTLEQALDYDCALCRRGLDQREEVRTLSCDHVFHLRKSAKCENTIDGWLRENRMRCPVCCKIAYPVLPWKPPPASAPPAPARSPSTTDLEAQLPLPSAFVARPRRQPPRPPSEWFEDTLQPPSPSPSPSPSQ >KQL06409 pep chromosome:Setaria_italica_v2.0:V:33159123:33159682:1 gene:SETIT_0028251mg transcript:KQL06409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFRALEKLRKKADAQPEEIIEIEKMIAEAYKAIDKTVQVGALHRNTGNHRKSRLARRKKAIEILRGWYVPNAEPVAAT >KQL06034 pep chromosome:Setaria_italica_v2.0:V:30229508:30233595:-1 gene:SETIT_004317mg transcript:KQL06034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLVEARKPLLHFLVRRAGLRQHTVDVDGAGTVITFWVPKDKVPKEKPTVRDVTSGPASADEATNKLPPPPPPPPVAKNDRPAVVLVHGFAAEGIVTWLFQVGALAKHYDVYVPDLLYFGGSTSPSSDRSPGFQAECLAAALRKLGVGSCAVVGFSYGGMVSFKMAEAHPDLVRSLVVSGSVVAMTDSISETMLERIGVKSWAELLLPESVKGLKALFSIATHRKLWFPDRLHRDYLEVMFSNRKERAELLEGLVVSNNDATVPVLPQKILLLWGENDNIFNIEPAKTLKEQLGEKTILHSIRKAGHLVHLERPCVYNRYIKEFLASVTAPKPPSSDDREFGRIWN >KQL08534 pep chromosome:Setaria_italica_v2.0:V:45875877:45877103:-1 gene:SETIT_004383mg transcript:KQL08534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMPRRRTPLLLLAVSALVLAWPASCAEPVLFPVAKDAATSLYTIPVRDGANHVIDLAGPLLWSTCAADHLPANITCQDPVCKLANAYRQPGCRDAGQTCKHRCTAYPYNPVTGRCAAASLIHTRLVANTTDGKNPLRQVSVRAMAACAPKKLLARLPRDASGVAGLAASGLALPAQRVAGKFTLCLPRQGEGVAIFGGGPLFLLPESAAGDLTTTLAFTPLRSRRDNPSYYLPVKAIAVDKAPVQLPKDALATGGVVLGTTAPYTALRPDVYRQFVDAFDKALTRQWNTTKKVPAVAPFEFCYDSKTLPGPTRIGWLVPDIDLVLEGGKTNWTFGGLSSMVDVNDFTAACLGFVEMKKGGYGGAPAVVIGGFQMENHVLQFDLEKRRLGFARVPIFTSCSNFNFTRN >KQL05008 pep chromosome:Setaria_italica_v2.0:V:14031019:14034165:1 gene:SETIT_001958mg transcript:KQL05008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFFFFFTTSALAILALLPVVAIGAGLKVGFYNKSCPSAESLVQQAVAAAFKNNSGIAAGLIRLHFHDCFVRGCDGSVLIDTTSNNTAEKDAPPNNPSLRGFEVIDAAKKAIEARCPKVVSCADILAFAARDAVALSGNNLTYKVPAGRRDGRISRDTDASNNLPSPLSNATELVGNFTVKNLTAEDMVVLSGAHTVGRSHCSSFTNRLYGFSNASDVDPTISSAYAFLLRGICPSNSSQFFPNTTTEMDLMTPAVLDNKYYLGLANNLGLFTSDQALLTNATLKKSVDEFVKSENRWRSKFAKAMVKMGNIEVLTGTQGEIRLNCRVINSGSSSAGIELQMATGSGGDDSAEEFTDIATN >KQL07305 pep chromosome:Setaria_italica_v2.0:V:39022237:39022611:-1 gene:SETIT_004725mg transcript:KQL07305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCTASQRAVESWADDGEWEEEASSSEEGDHHHHHHDHRHHERPEEHASEVTIRITKRQLHELMEKRASGGHGRRSTEQLLADIMNSGEVHHHREEHWHWKPALQSIPEAVES >KQL04479 pep chromosome:Setaria_italica_v2.0:V:9307084:9307650:-1 gene:SETIT_004695mg transcript:KQL04479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAERPWKAHVLGGVVSVGNLCVNARDKLIYASPRCDEAPMRVANAQDARRRIHLLRDFLTDASGDLARAMGDMVAAEILSLQAAAADPRTPLVDVQDIPDGDGDLRQALDTLRRARVSAERAYNYVQRCRGRLFTAALLLQFPDLPDVDDFIAVEQANAASSCEGATHLAADCTALITEACRFLGRF >KQL07129 pep chromosome:Setaria_italica_v2.0:V:37825513:37826133:-1 gene:SETIT_004870mg transcript:KQL07129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKSGCGGGCLGAPMRALSRACDSACDLYVRGMSGCARRVPSGSSAGVVGRGFGGTGAASLRLRSADDLVRAAAAARRHQRRVAAEPAEEGAGYDGAGKTKAGAAARQGRVAPEPEKAVGYYGAAGKKGGAGAVLVSAAAPAVRGPALKKGGAAAMGTIAEDEPCEFGPDGACAVVPSLKPQRRAGFGAVKAGSGDDAFAR >KQL07780 pep chromosome:Setaria_italica_v2.0:V:41723892:41725835:1 gene:SETIT_005162mg transcript:KQL07780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPALKKLATGPPDAKEEIIRLCSRGRLKDALHHRFREVLWSEPDLFSHLFRACRALPLLRQLHAFAATSGAATDRFTANHLLLAYADLGDFPTARCLFERIPKRNVMSWNILIGGYIKNGDLETARKLFDEMPSRNVATWNAMVAGLTNSGLNEESLGFFLAMRREGMQPDEFGLGSFFRSCAGLRDVVSGRQVHAYVVRSGLDRDMCVGSSLAHMYLRCGFLEEGEAVLRVLPSLNIVACNTIIAGRTQNGDSEGALEYFCMMRGVGVEASAVTYVTAISSCSDLAALAQGQQVHAQAMKAGVDKVVPVMTSLVHMYSRCGCLGDSEGVFSGYSGTDLVLCSAMISAYGFHGHGQKAVDLFKRMMAGGAEPNEITFLTLLYACSHSGLKDEGMDCFELMTKTYRLQPSVKHYTCIVDLLGRSGRLNEAEALILSMPVRPDGIIWKTLLSACKIQKNFDMAERIAERVIELDPHDSASYVLLSNIRATSSRWEDVSTVRETMRKQNVRKEPGVSWVEFKGQIHQFCTGDKSHSRQLEIDECLEEMMAKIRQCGYAPDMSMVLHDMEDEEKEVSLAHHSEKLAIAFAFLSLPEGVPIRIMKNLRVCDDCHVAIKLMSKVTGREIVVRDVSRFHHFKDGKCSCGDYW >KQL05941 pep chromosome:Setaria_italica_v2.0:V:29358499:29361650:-1 gene:SETIT_002391mg transcript:KQL05941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHEPWRPGAETAGAGAGPAPTTVDEASMERSKSFVKALQELKNLRPQLYSASEYCEKSYLHSEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLYEQQASEISTLELKVACLNQQVLTCQTYTDKEGLRQQQMTGAARRHHKHYIVPYAGNKRMQSFSEMQGNADFDSTPRPYSSAKTLQWHLVSEKNSKSNRPDQSESTPGETKTTKPSSSGFRLLGKESSASPLSKHVQSNLTSLDIVSVGMKDQPGTRHLSSFSSFDNPRGRQIQKAPLRTKSMLAAFFVKHRSAKMKNVSVR >KQL08146 pep chromosome:Setaria_italica_v2.0:V:43796013:43799888:1 gene:SETIT_001742mg transcript:KQL08146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVRRCVNLGEQAIESKLRNDPWAIYMELDGSNARLANYFDVIAGTSTGGLITAMLATPSLSNAKQPCCEAKDIVPFYLKHCPHIFPCRTGFFGWFCKILHIIKMIFGPKYNGRYLHKIINDLLGDTRVKETLTNVVIPTYDVKCVKPTIFSTFKARSNTLMDARLADVCIGTSAAPTVLPAHYFETVDYHTGASRSFNIIDGGLVANNPTLVAMSEITKQIQMRSKEFPETKPLDYNRYLVISLGTGLPEQDIKFDALRVSNWGIFRWLGWHYTMPLLHMFLHASSDMTDSHVANLFKAIGCSDQLLRIQEHNIPIAAVSADLSTEKNLQGLIKIGENLLHKLLSKDDCKNSYIEPMPKDRRTRTYADMLTRFAKLLSDERKLRLQNIELDAGQSKS >KQL08036 pep chromosome:Setaria_italica_v2.0:V:43263343:43267291:1 gene:SETIT_001586mg transcript:KQL08036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPRSLSAESRRTALGAVALPALRPGARVRAARPREENFHTHRLLMSSNMNSRSSVCPPCNCAQMALADTGIFYQPDVGKHAGVLAYELVQGSLVQWNSFMDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLVVQLRLAPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIEFLRRMPTQVSSKQEVVNALVKEQFSVDVAQWVATNLRRSSPLGQRSSSSFSWTFDLNGISEMYKSYEDTNLWRIVENVPRGVHINFLKAERSLHRWALEDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRVETTIRGMQD >KQL08035 pep chromosome:Setaria_italica_v2.0:V:43263343:43267291:1 gene:SETIT_001586mg transcript:KQL08035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPRSLSAESRRTALGAVALPALRPGARVRAARPREENFHTHRLLMSSNMNSRSSVCPPCNCAQMALADTGIFYQPDVGKHAGVLAYELVQGSLVQWNSFMDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLVVQLRLAPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIEFLRRMPTQVSSKQEVVNALVKEQFSVDVAQWVATNLRRSSPLGQRSSSSFSWTFDLNGISEMYKSYEDTNLWRIVENVPRGVHINFLKAERSLHRWALEDLQRIHTAEELAADEGGGVEMHVLEDAGHWVHADNPDGLFRILSSTFRVETTIRGMQD >KQL08034 pep chromosome:Setaria_italica_v2.0:V:43263343:43267291:1 gene:SETIT_001586mg transcript:KQL08034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPRSLSAESRRTALGAVALPALRPGARVRAARPREENFHTHRLLMSSNMNSRSSVCPPCNCAQMALADTGIFYQPDVGKHAGVLAYELVQGSLVQWNSFMDKSIPDPPTAVLLHGILGSRKNWGSFAKRLAQEFPMWQFLLVDLRCHGDSASIKKRGPHTVASTALDVLKLVVQLRLAPRVLVGHSFGGKVALSMVEQAAKPLARPVRVWVLDATPGKVRAGGDGEDHPAELIEFLRRMPTQVSSKQEVVNALVKEQFSVDVAQWVATNLRRSSPLGQRSSSSFSWTFDLNGISEMYKSYEDTNLWRIVENVPRGVHINFLKAERSLHRWALEDLQRIHTAEELAADEGGGVEMHVLEDAGHWVFWHLPSVFTKVANRRFDYLHVFFQVHADNPDGLFRILSSTFRVETTIRGMQD >KQL08757 pep chromosome:Setaria_italica_v2.0:V:46963706:46964185:-1 gene:SETIT_004579mg transcript:KQL08757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLEVAELVLLAAAASALAAALILFCVHNRRESRKRRPPAPELPLSQQVPTAVPAKTSRSSHLVVLLMIMLCPWRRQRARIEPAAASDSQADSSPAAAAAEGVASWTERWFGPASRALYTIDEEDGEDGDSEEQQEEQEQAEPPDTPFYTPVASPARPG >KQL08591 pep chromosome:Setaria_italica_v2.0:V:46221502:46226860:-1 gene:SETIT_001807mg transcript:KQL08591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHLSRLLRHRLLLPTASSPSTAAAAAFSTSSKKPYTRRAKPPPAEPPAAPNSGDDAAAASPVADAAVEAEAKAAWQREKLHGDLPRPPTIPFQPKVANTVRLVGTVGAPVHLQRTPDGRFSAVSVLVQDRRIDFPSFWVPIVFKDDLAQVLAHLLSFVDSNVVDTEAVMDEEEGFMEVVEPEKKVEAKRVSSKYPPGTFSGYRSKWDKFNKLWNDVITRPEDWNDHRPQKKNGSKSPKFPDFTNKVSKEALWLDSAQSTVLEKLDDVVFGSGYAACREDKPYSTDTQKGTSTNWSKFRKSPDASASSKQKIEEEELWRDLLDNPANWWDNRTDKRSPKSPDFKHKESGKALWIGFKTPQWAVDALPSLNFKGGSKGTRKQETLLS >KQL05787 pep chromosome:Setaria_italica_v2.0:V:27876625:27877920:-1 gene:SETIT_002211mg transcript:KQL05787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSHLPHLLLLLILAAGAPGPSSARHVITFAPARGVGPASVAWDPTAQHFVVAGGGDAVLSVSDAGVTESIVSSGASAVAVDDRRRRLLVASPGSVSAFDLRSPRPHRLILSTPVPDAAPPGGIAVDPHTGNAFLTVGARIYKLSVDGDLGPLSSATALGSEPLASLTAHVSRGFLLVGQPSTGSLLRVDMEDGATRTVSGALTPPTPVAVAVRTDGAVAVGGAGGLRLVVSNDGWVSCGVRDEAAPAPDGPVAAVAVRERRRVYALVEAGTTDGGKEWRIEEAAWKSESEGEMVVGLVFVGAALAIFMFWRFQMRQLAGNMNKKIR >KQL08617 pep chromosome:Setaria_italica_v2.0:V:46321012:46322107:1 gene:SETIT_002683mg transcript:KQL08617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGLVLVLFGQGNSRVEMSSSSPPVKLIGFFGSPYAHRAEAALCLKGVPYELLLEDLLRTKSDLLLQHNPVHKKVPVLLHGDRTVCESLVVAEYVDEAFDGPPLLPADPYDRAMARFWADFIENKLTKPFFMAHWVEGEAREGFEKEGLELLAILEEQLKGKRFFGGDRPGYLDIAASMLGPWRSVVEEVTGVTVVKEDEHPGLCQWARDYSSHEALKPCIPDRERLLAYFTKNKEMYKAGVKAMLQQ >KQL03756 pep chromosome:Setaria_italica_v2.0:V:4444036:4444421:1 gene:SETIT_003540mg transcript:KQL03756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIEKIQNKLCKEVQRLVNRRKTPVSWGKLLAHVSEFSFLKKNVYHVDNLIMLLNDNRVGSVTTFKETCYTMKVVKLKTKEKQHSSSS >KQL04458 pep chromosome:Setaria_italica_v2.0:V:9168707:9172340:1 gene:SETIT_004384mg transcript:KQL04458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALLGPHEHRLSSALDGHYDEKRKSNVEYSEDEKKAMIASLKKKAMSASQKLRHSMKRGRKSSKVMSISILDERDPEEVQAVDAFRQLLVLEELLPSQHDDYHMMLRFLKARKFDIEKAKQMWSDMLKWRKEFGADTILEEFEFEEADKVAQCYPQGYHGVDKEGRPVYIERLGQIDVNRLMQVTTMDRFVKNHVKEFEKNFAVKFPACSIAAKRHIDQSTTILDVQGVGMKQFSKAARELIGMLQKIDGDNYPETLCRMFIINAGQGFRLLWSTVKSFLDPKTTAKIHVLGNKYQSKLLEVIDASELPEFFGGTCQCEGGCMKADKGPWKDPEIMKMVQSGAGQCVSLISEAEDKVICEDDIIYPKIQASFNGEAQLAGDGQPTLSRKISRSRIEHPQLSPVHEDLIPTSYPTPGSPYSCDVPMVEKAIDAICKSQGTLPDEKLAITKAVVNASNGSNPPLFGGIIALVMSIATMLRVTRNMPGKVLGATIGGDAKSATLTKSKSKVQARQRSKLSPEAVKAAEDVISMKRLAELEEKIKALLTKSATMPADKEEMLQAAVTRISTLEEELAATKKALQKTLECQGEIIAYIEKKKKKKSKRLFRW >KQL07571 pep chromosome:Setaria_italica_v2.0:V:40571226:40572914:-1 gene:SETIT_004390mg transcript:KQL07571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPEPGPYMADLPAVPAWLNKGDNAWQLVAATFVGLQSMPGLVVLYGSIVKKKWAVNSAFMALYAYASTLIVWVLVGFRMAFGERLLPFWAKAGPALTQDFLVRRAVFPATAHYGRGGVLETPRTEPYYAEASLVLFEFEFAAITLVLLAGSLLGRMNIKAWMAFTPLWLLFSYTVGAFSLWGGGFLYQWGVIDYSGGYVIHLSSGIAGFTAAYWVGPRLKSDRDRFSPNNILLMIAGGGLLWLGWAGFNGGAPYAPNVTASVAVLNTNVSAATSLLTWTCLDVIFFGKPSVIGAVQGMMTGLVCITPGAGLVHTWSAILMGMSAGSIPWFTMMILHKKSSLLMKVDDTLAVFHTHAVAGVLGGVLTGLLATPELCALDSPIPGARGVFYGGGVVQIGKQLGGALFITVWNLVVTSAILLCIGLFIPLRMPDDQLMIGDDAAHGEEAYALWGDGEKFDVTRPETTRTGGASGAAREDTVEQRLTSMGARGVTIQL >KQL03776 pep chromosome:Setaria_italica_v2.0:V:4529342:4529530:1 gene:SETIT_003797mg transcript:KQL03776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVSSLVSKRTMGTITLVRPECRCAYSSPQSHGLSNLENRKEETILQVLGNTAIRYFIIFI >KQL06043 pep chromosome:Setaria_italica_v2.0:V:30274870:30281840:-1 gene:SETIT_001854mg transcript:KQL06043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLRSTQSLQAEVDELRAALLGGGGGHGGAAAGWRRSAGHAGAKRAPGADAGGAEARAVCVTGGISFVGFAVVDRLLRHGYTVRLALETQEDVDKLREMEMFGEDGRDGVWTVMANVMDPESLHRAFDGCAGVFHTSAFVDPGGMSGYTKHMARLEAQAAERVIEACVRTESVRKCVFTSSLLACVWRQNYPHDRRFPTIIDENCWSDESFCRDNKLWFALGKTAAEKAAWRAARGRDLKLVTICPALVTGPGFRRRNSTASIAYLKGARAMLSDGLLATANVETVAEAHVRAYEAMGDNTAGGRYICYDHVVRRPEEFTELERQLAMPGGAAAAAAAAQGAGDDRPARFELCKRKLGRLMSARRRCTYDTYYSVAFD >KQL03335 pep chromosome:Setaria_italica_v2.0:V:1808907:1812142:1 gene:SETIT_002048mg transcript:KQL03335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSLIFRQLARRLLSNVPESTVYGGPRPQESSAARRVTVTTLRGKHRRGEPITVVTAYDYPSAVHVDSAGMDVCLVGDSAAMVVHGHDTTLPITLDLMLEHCRAVARGAPRPLLVGDLPFGCYESSAAQAVDSAVRLLKEGGMDAIKLEGGAPSRISAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKTVDSAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALQIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFGNVGNVINRALSEYKKEVETRTFPGPSHTPYPITPTDVDGFANALQKMGLSDAADAAAAAAENSGTDGGPKEK >KQL04155 pep chromosome:Setaria_italica_v2.0:V:6884802:6887193:-1 gene:SETIT_001572mg transcript:KQL04155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWVQSHLHVGLIVGVLLVLLTYLIVSEQAATTSGTREKPTKVLCSTEERLSDYCELDGDVRIRGRAWSVDVVPSTWSERREWKIRPYSRRSATHVDMVNVTQLQGPSAAAPACTVTYDVPAIIFALGGYSGNVFHDHADVLLPLFYLSRQYGREVQLLVINRVQPWWLGKYALALRRMSRYDVVNLDGDTHVRCFRRVTVGLRLHKDFGIVPEQVPGGVRLAMPDFTRFLREAYSLPRGATTSLTDPSKKPRLMLIQRQPHRRFLNEKEIVAAAEEAGFEVAVTELRIDAAVDAQARLVNSFDAILGLHGAGMTNEVFLPPGGVLIQVVPLGKLDLMARVEYGEPAAEMGLKYLCYNITVQESSLLEELGPDDPAITDPDSVHRRGWAALYDIYLTRQDVRLDIAHLKLTLAEAMEHLRRQ >KQL08357 pep chromosome:Setaria_italica_v2.0:V:44956357:44960557:1 gene:SETIT_000521mg transcript:KQL08357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVDRATLSKATALLGAQGVCWKSRLSLPPDPASRQPGMAAAPPDGQEKVIAAAQHIVKSLASSKNAADDMIRILSGFDNRLSLMSDLFPPPPGAAAADSILEEDEGTSQGDGHEEDEPDPDDDAAARAEEEWDAAAEVIERWESPDAGDRMVFGSREDAEEYLAAAACLAGAPGPRAEAALQAAMARLEDEFRHLLIRGGSPLAAEDLQASLLRRLSLTVPSFNSSAVDLDCPSFAIHAGEGGDESGGRSSVSDDEISPYLIAPDTVGALRDIADVMLRAGYAPELCQVYGEVRRDTLMECLAVLGVDKMSLEEVQRVEWGVLDGKMKKWIQALKVVIRGLLAEERRICNQILAADPNAEEECFTEAAKGCVLQLLNFGDAIAIGKRSSEKLFRILGMYEALAEVLPELEGLFSGEAKDFIKEEAVGILVRLGDAVRGTIAEFASAIQGETSRRPLPGGEIHPLTRYVMNYVRLLADYNASLNQLLEYWDTELNGGDNPNMTPLGHCVLMLITHLQSKIDEKSRLYEDEALQNIFLMNNLLYIVQKVKDSELKTLLGDNWIRKRRGQIRQYSTGYLRSSWAKVLACLRDDGLPQTMGSSSALKAALKDRFKNFNLAFEDLYRTQTSWRVVDPQLREELKISISEKVLPAYRSFVGRFRGQLEGGRGSARYIKYNPEDLENQVSDFFEGKKPNA >KQL03365 pep chromosome:Setaria_italica_v2.0:V:2015682:2016099:-1 gene:SETIT_003604mg transcript:KQL03365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSKANREIERRLAVARTERCGFHRFPHSEKASRSVLVHNSAYHLPWITGWKQKHAAVQRCMYGSDPLLRDLHE >KQL06221 pep chromosome:Setaria_italica_v2.0:V:31823458:31823655:1 gene:SETIT_004419mg transcript:KQL06221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCTHSSFSTTTTSCTASSNAAHGQHSAALQIYSFILELLPMLRLTSITFELPLSTLERRNPYGK >KQL06484 pep chromosome:Setaria_italica_v2.0:V:33736694:33739706:-1 gene:SETIT_002277mg transcript:KQL06484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLNSLFNRSTFGTKCKTCLNLVISRIKLLRNRRELQLINMRKEMVQYLQTGQESIARIRVEHIIREQNILAAYEIVELFCEFVLARVPIVEVQKECPLELREAISSIIFASGRCSDLPELMHLRNLFTTKYGKEFVAGAMELRPDSGVNRTIIEKLSVKAPSGESKLKVLKAIAQEYNVEWDSSNTEAEFNKKYEDLLDGSASSVHQVQAPVIESSLVASASRDKPPVLISPVEDAGKPHVHGSPSSPAGSARAYAATKSNPSAQEHHSPAEEISCSSPSSSDALEKARVAIASATRASAAARAAAELAKVKITSQ >KQL05540 pep chromosome:Setaria_italica_v2.0:V:25561357:25566829:1 gene:SETIT_000614mg transcript:KQL05540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEINLLRQAQRQHHHHLMVRGMGEEIDLEIGPGDDPSFSGADLVAVASGHHDTIVPADDHKSLLIPCSQPGAVDGHVQPPPPQPQLAQGEEHEGMLQLPSAHTKKKKKVVKKWREEWADTYKWAYVAVHDNTTRIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKLQPPEIERPVYVKALSKTAASILESVLRRDPHEAEFIQSIQEVVHSLEPVLVKNTQYVQILERLLEPERCFIFRVPWIDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMSLSVAKFLAFEHTLKNALSLYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMDELYRYLGPDQDFPAEDIGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARVLLAEMNKELKGLRCVISGSGKIAMHVLEKLLPCGAIPVTVSDSKGYLLDEDGFDYMKYSLLRDIKAQQKSLKEYLKSYPHAKYIDDAKPWSEQCDLAFPCASHNEIDQGEAVAIINSGCRVLIECSNMPCTVQAVDILRKSKVLVAPAKATAAGGIALGELELNPEFNLMQLSVEDFENKIQDAIKKTYERSIKAAQDYGIMKENPESLVHGANICAFLNIAQAMTDQGCV >KQL05539 pep chromosome:Setaria_italica_v2.0:V:25561357:25566342:1 gene:SETIT_000614mg transcript:KQL05539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEINLLRQAQRQHHHHLMVRGMGEEIDLEIGPGDDPSFSGADLVAVASGHHDTIVPADDHKSLLIPCSQPGAVDGHVQPPPPQPQLAQGEEHEGMLQLPSAHTKKKKKVVKKWREEWADTYKWAYVAVHDNTTRIFCSVCKEYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKLQPPEIERPVYVKALSKTAASILESVLRRDPHEAEFIQSIQEVVHSLEPVLVKNTQYVQILERLLEPERCFIFRVPWIDDRGEAHVNRGFRVQFSQALGPCRGGLRFHPSMSLSVAKFLAFEHTLKNALSLYKLGGAAGGSDFDPKGKSDNEIMRFCQSFMDELYRYLGPDQDFPAEDIGVGPREMGYLFGQYRRLSGHFQGNFTGPKIFWSGSSFRTEATGYGLVFFARVLLAEMNKELKGLRCVISGSGKIAMHVLEKLLPCGAIPVTVSDSKGYLLDEDGFDYMKYSLLRDIKAQQKSLKEYLKSYPHAKYIDDAKPWSEQCDLAFPCASHNEIDQGEAVAIINSGCRVLIECSNMPCTVQAVDILRKSKVLVAPAKATAAGGIALGELELNPEFNLMQLSVEDFENKIQDAIKKTYERSIKAAQDYGIMKENPEFVSRALSL >KQL04972 pep chromosome:Setaria_italica_v2.0:V:13554020:13556540:1 gene:SETIT_002517mg transcript:KQL04972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVPFGDAGGLFDGFYGGFGFGGGGHGYEEYGLGQLGGASTSPVVVDGGTEEVDAAASAELEAPERKGDHRAEKVAMALKSHSEAERRRRERINAHLATLRTMVPCTDKMEKAALLAEVITHVKKLKASAARIRTHCAVPADADDVAVELVVPDAAPPSPSHHGAGGGFLVKATLSCDDGADVFADIKSALRPLRLRVVGSEVTTLGGRVRFTFLVSPPPCGAPGGDVRGVAESVRHALQSVLDKANSALEFAPRASLLNKRRRVSTFESSSSSS >KQL07348 pep chromosome:Setaria_italica_v2.0:V:39247371:39248663:1 gene:SETIT_004380mg transcript:KQL07348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFTTHRHTTRELLNALRGGGAACPSSALHLYSQFRLRLRPSDPSHLARRAAVVTLRPLTAASSLPLISHFHGHLLRSNLLAYPQIASSLLRSYSLLSPPSAHQLFDQIPPATRNTYVLNVMLSSLCRSSDLDSARAFFDDIPDKDIVCWSTMLACYFSRGRLADGFAFFRSVTFTTDIAVDDVMLATLLTACTSAGLLPPFCRAIHGYAIRCAIPPSMHLGTALIDGYTKAGRLDYASRVFALVPHRNVIHWTAMICGMASHLCNNEAVQLFEEMCQRRVQPNEMTFTAALRACVNAGLVEKGRDFFKLMVDRYCLEPNIHHYGCMVDLYAKAGLLEDAYEVIKNMKVEPNVIIWTSLLTACKEFKNFEIAVEGIEKALALGISDENTGLYMLITDLYAMGGRWDGVIKIRRLMQEHNVWKNRGLSSIK >KQL07274 pep chromosome:Setaria_italica_v2.0:V:38879777:38880367:1 gene:SETIT_004457mg transcript:KQL07274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILKRGWVRSYVRFSGLIDPEKARHAVAPRKPTNHSRSTGGDPYKKYDRAKAEKGRHKFRHDEVKTYLRDLLQGDDGGADYE >KQL08800 pep chromosome:Setaria_italica_v2.0:V:47131072:47133579:-1 gene:SETIT_002388mg transcript:KQL08800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPAVDLLRRMQDQRAAIQRRISHAQERATATAAAFSAALLSARSIANQTVSNRAQLNDLKQQLRKLEADLAQALSVQTSKRSKHKLMSESILNTTATNEQLRSLVMDQRARRDEYVNAISNQLQAIESLEAENDTKGDKNLEKAIMWYDKFLGFQVVGGEGVKFVFSKIDVQSPDREYSFCIKLIEERYILVHCVPFVDGSKELVKDLNCNNDLYKFVRTMRERFQVATISGNLSAISFCPDMSSITSSSLSALSLDSRSENSTNQRHTRSRSKNLKIPTKKGLSSQSAASPGSMERC >KQL05934 pep chromosome:Setaria_italica_v2.0:V:29182098:29185734:-1 gene:SETIT_000176mg transcript:KQL05934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METALVSAVLKTLAPKIFAFLQGNHELRRNLEHEIQYIRKELNMIAAAIEDHERSSWGNGRVSDVQRTWIQGVRDLAYSIEDSIDRFLHRVTREPGALSFRQKGQQMKTMSVRTKFAAEIRELRRKSEEASKLRELYTSGSQSSTSEASASTFVASNTLTPADELVGMDAPRDEVLELMREDEGQPNRLKVISIVGFGGLGKTVLARQVYDSVAVGEEYDYNPRVWVRASEKGAGDVLKEILRQVQVGMQVHDGSCDDLVECLKFKRFFIVIDDMRTEYWNTIKNAFPKDMGVSSRVIVTTAIHSIANACSADGGHVYVMRTLNDKQSRELFFKQTSLEDYAPAEQVMKKCDGLPLALVTTAQLLQSKCQMTPAGCANLCRYMGEHVENEETLARLKHVLLQNYSSLPGHALKACLLYLSIFPSGHPIKRKCLIRRWLAEGFVEADHRRSAMDVATDNFKALTDRSIIKPIGISNNTEAKTCQTHGMMLEFILQKSIRENFITSLYDQAGLPDKIRRLSLHRKGATRDKINSNVDFSLVRSLTIFGEAVMHIVEFSKYELLRVLDLEECEALKDEHVKKLSNLLMLRYLSLGGNITALPKEISNLKFLETLDVRRAKTQIIAMPIEAIKLPSLIHLLGVFRLPDVRQEMRKLQSFLSKKSSLETLAGFVADQSTEFPQLMRHMTRLTKLKLWCLCTADGSNSCFSHLSSPIQEFIQRGTDVNDAPSLSLNFEGCSQDFLDFNLEENPCYLSSLKLQGELRSLPVFVTKLGSVTELCLSSSGQLSGNVLAALSNVRSLHYLKLITTELDFVIEQGELKALRRLCVVVRSLSRLENQEGALPHLESLWLLCKDLNGLCGARIERLGRIKEVALDDAVSEETRKEWKEAAKKHPRRPRICLVKTKEEIDQMQMGGQTREISHSLAATSPEAENQMQVDSGSEASVVHRKRKLGDLLFFSSRKANGTVATEISMLEADTTPSPLESKDRDT >KQL04681 pep chromosome:Setaria_italica_v2.0:V:10823248:10826193:-1 gene:SETIT_001569mg transcript:KQL04681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSGGGVADDMEAGGACGGAAAGSSSGEEEARCGGRFVEDWCCACAGLLVGPNPMMARYLYALIFLVTNLLAWTLRDYGDSALAELQRLKVCQGARYCLGAEGVLRISLGCFLFFFVMFLSTVKTRKVQDCRNSWHSEWWPVKVVLWLGLTAVTFLAPSPLVQLYGKVAHFGAGAFLVIQLISVTRFIMWLNDCCRSETTRKRCHLQIQVVSIVTYVGSLLGIVLMYVWYAPSPACKLNILFITVTLVLVQLMTFVSMSSKVKAGYLAPGLMGIYVVFLCWSAIRSEPHTEICNKKAEVATSADWVNIASFVIAVIVIVAATFSTGIDSKCLQFKKAEESEEDDIPYGFGFFHLVFAMGAMYFAMIFVGWNAHQTMEKWTIDVGWASTWVRVGNEWLAAIVYIWMMIAPIIWKTRQVGSSAET >KQL08430 pep chromosome:Setaria_italica_v2.0:V:45431005:45438643:1 gene:SETIT_000507mg transcript:KQL08430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMDSVAVMEVAPVPDPPFIDATPLQAPPPPAPLAALVLRRSARCLNRPTRPSYAEQEPPKQPSGRGRGKRKRDEEKQEPAAEQGAKSPGRKASKAEAGERKPMPVIAAVPVSCAGVAPAAVEDDRMGTGKSAKLRVKETLRAFNSHYLHFVQEEQKRAQAALQEIEAKGSLKRQAKGGKKKGGKQEVEGEEKEKRPSKRPDLKAITKMQETNAVLYPEKRIGHLPGIDVGDQFYSRAEMVVLGVHSHWLNGIDYMGMKYQGKKEYENLIFPLATCIVMSGIYEDDLDKADEIIYTGQGGNDLLGNHRQIGSQQLKRGNLALKNSRDNGNPIRVIRGHISKTSYTGKVYTYDGLYKVVDDWVQNGVQGHVVFKYKLKRLEGQPSLTTSEVRFTRAEAPTTISELPGLVCDDISGGQENLPIPATNLVDDPPVPPSGFTYLKSLKITKGIKMPSNIIGCDCEGDCASNKNCSCAQRNGSDLPYVSYKNIGRLVEPKAVVFECGANCSCNHNCVNRTSQQGLQYRLEVFKTASKGWGVRTWDTILPGAPICEYTGVLRRTEDLDGSQNNYCFDIDCLQTMKGLDGREKRAGSEMHLPNLHPDNDSEAPPAPEYCIDASSIGNFARFINHSCQPNLFVQCVLSSHNDVKLAKVTLFAADTILPLQELSYDYGYRLDSVVGPDGEIVKLPCHCGAPDCRKRLY >KQL04021 pep chromosome:Setaria_italica_v2.0:V:5897419:5898626:1 gene:SETIT_003116mg transcript:KQL04021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDDWVLCRIYKKTTPQLYSSPLQDEPSSMDGGLDLGRQHDDSVSVDDIAATYAPAGRLPRPASISDYLVDYTAVSELFESMPAPETTALLGTDAGSRLYVTDNGQAASSSSAQQQSSHKRRFMEDYSNGDLDMLHASSNKRVMSDQASMAAINNTFSLFEPGQTSLPDRI >KQL04164 pep chromosome:Setaria_italica_v2.0:V:6927238:6929576:-1 gene:SETIT_002459mg transcript:KQL04164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKSSALLLRPRSSIATHPALHLATLLSLDPNPRAKKTMASSAALLQASTAFAPVFCPLPCRPQPAPRLHLRGSPNRRRRGVALAASSAASSEVEKEPSTSPSSSPQESESAVADSVKVLKEAAKTRKVPASEVLSALSKIKKAKLDTSTFFETLGGTESPGRTWMLIFTAKGRLEKGQYFPVTAVQRFDAAGKRIENGIYLGPIGCLTFEGRLSWKKKILAFIFERVRIKVGPFGPLEIGLGSGDDGREPSTKDPFFVWFYVDEEIAVAQGRGGGVAYWCRCQRVP >KQL05938 pep chromosome:Setaria_italica_v2.0:V:29301362:29308763:-1 gene:SETIT_004079mg transcript:KQL05938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLENGAAAAGSYKRGPAPAPQPLRAGGVGGGSRRVRARSRLARFLLFEKVDYLHWIVAAAAFFFVAIVFVAFLPGSGVVERPRLLLPSRRAGPGRGGGELSSLPRVDVFSLEDGPCGNIWRAIGVPVCVLPEDTNLPNSVDWLDYDGVLVNSIEARPVFSSLLHEPFKSIPVIWTVHECSLAHRIKEYNASGMIQIIDAWKEVFSRANVIVFPNYILPVKYAAFDSGNYFVIPGSPSEVFQADNFIAKHYHQDARISLGLSPKDFVIAIVGTPFSYRENLMEETLILQAVGPLLQQYHSDNSTESELKVKFFTRNITEKHRMILESIALSVGFPRGAVEHVADGDKDSLLGTADLVIYGSCLEEQSFPSVLVQAMSLEKLVIAPDLAIIKKHIDDGVNGLLFPRKNIGMLTQVLLRALSNGKVSVSGQKIASVGKAYAKNLMASETIEGYAMLLENVIKFPTDVLSPLTAGEIPLALKQEWKWHLFEDVKHLHHMNESLSGYKILQKLEQEWHSNLMERPPVSTSKISEAFSAIAWEEQRANEVMDIKRKMEEDELKDRNDQLHGTWEEVYRNVKRVERLKNELHERDDKELERTGQPLCIYEPFFGEGTWPFLHQSSLYRGVGLSSKGRRPGADDIDASSRLPLLNNVYYRDILGEFGAFFALANRIDRIHKNPWIGFQSWRVTARKANLSNNAETAILEAIQSQKHGDTFYFWVRMDQDSRNHANKDFWSFCDATNAGNCRLAVLEAFQRMYGVQLDHELDSLLHMPNDGDTWSVMQSWVMPTRSFLEFVMFSRMFVDALDAQMYDKHHQTGHCVLSLHKDRHCYSRLLELIVNVWAFHSARRMVYVNPETGAMQEQHQLSGRRGQMSVQWFSYAILKSMDEELAEEFDSDHPDRRWLWPQTGEVFWQGLYERERTMRQQEKERRKQQSRDKIQRIKKRARQKTLGKYIKPPPEDTGGSNHTMTVDL >KQL07970 pep chromosome:Setaria_italica_v2.0:V:42886608:42888620:-1 gene:SETIT_001577mg transcript:KQL07970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWVLGPIALVGCAYITYYTAVLLSDCYRTPDPVHGKRNRTYMDVVRSCLGPRDVVVCGLAQYVILWGTMVGYTITTATSIMAIARTDCHHYRGHDAACVSSGTMYMVAFGLAEVVLSQFPSLEKLTLISVVAAVMSCTYSSVGLFLSAAKLASNHRAHGTLLGVKIGAAAGVSASTKTWHSLQALGNIALAYTYSMLLIEIQDTVKSPPSENVTMKRASFYGIGVTTIFYVSLGCIGYAAFGNAAPGNVLTGFDEPFWLVDIANVAVVIHLVGAYQVYAQPIFACYEKWLAARWPESSFFHHEYAVRLPFVSGGGGRAARFTMCRLVLRTAFVAATTVVSLILPFFNAVLGLLGAIAFWPLTVYFPVTMYMAQAKVAPGSRKWVALQALNAGALVVSLLAAVGSVADMVQRLGHVTIFQTQL >KQL05869 pep chromosome:Setaria_italica_v2.0:V:28628609:28630310:1 gene:SETIT_003900mg transcript:KQL05869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLADAEGEGHDGRDSFRISDLICDHDDDDLISFVLCCCPLPTVTTAATLADAEGEGRDGRDRTNDLTDDLLRQVVSHLPIRDAVRTTTLSTRWRHIWHSAPLVLFDQHISAATEAQHVAAISSILASHTGPRIRTAHLTGFNFHDRKPELAQWARILAEKDVEDLVLITRPRVGFGNALITSTFGYPGLDLPADILLRCKKLRRLYLGYWAFPKITNLPGGVGVFPDLEELAILNTYTKDNDLDHMLASCPALKKLALVLNYLKPEHVRLRGKELECAIVCSSVADVALEAKCLKRLIMWDMWAPDLAIRIAGDVPALKVLGYLDAGCHQLQFGGVQVQAGTNASPMRRVPSVKILAIRVDFSEFRQVQKLPSFLGCFPNIEILHVEGI >KQL05195 pep chromosome:Setaria_italica_v2.0:V:17333739:17334364:1 gene:SETIT_005461mg transcript:KQL05195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFFLFLCLSLLLLLTDFLARRTSFFLSHLASPQSSAKTTARTGCFFSFVF >KQL05937 pep chromosome:Setaria_italica_v2.0:V:29299482:29301068:1 gene:SETIT_002102mg transcript:KQL05937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRSRRRPDLTLPLPQRDLTSLAVPLPLPPSSAPSSMSSSGSLSGAASLGAPTSAGSAPPNPPPPLCELERVRCIGSGAGGTVWMVRHRTTGCAYALKVLYGKHDDAVRRQIVREVAILRTAEHPAVVRCHGMYELDGELQILLEYMDGGSLEGHRIADERFLAHLARQVLSGIAYLHRRHIVHRDIKPSKLLIDSGRRVKIADFGVGRILNQIMDPCNSSVGSIAYMSPERINTDLNDGAYDGYAGDIWSFGLTILEVYLGRFPLGENLTKQGDWAALMSAICHSDSPQAPRDASPEFKNFISLCLQKNPANRPTALRLLQHPFVALPQPQLHMRVTDE >KQL07838 pep chromosome:Setaria_italica_v2.0:V:42089516:42090616:1 gene:SETIT_002141mg transcript:KQL07838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSIVRRTPIIGSSFNKQALPNRVQNPKQKQSTEIQKTRSSRSVSDRHRPTGAHPSLTVTDDAAASSRRTPRAHLLATTAAVASSHLQRGPAVTISRVQSFPAHASRPNLIYRPQGPLLSVLAVGLRLLLALLGHRRHRRREGLLSPGSGSSPGLEPPHSGNAWLMLVVVLQRHLPGSAAGCSAAAVAAEHGRHAVPPPAAPAPAASVALPVGQDLANARHLGRPRLHLTAVAPAARAAAAAAAGALVCQDPALRRPAAGEGRADLGREAEERGAGRGGRGAGDARGVGPRLGRDGVGHDGGRELGVGAALGGVVPFVYRVQLHERRLVADGDGY >KQL08455 pep chromosome:Setaria_italica_v2.0:V:45530655:45534052:-1 gene:SETIT_005052mg transcript:KQL08455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPRGLGDLQYRRRTSDSSESGSSGQALPELQGARCLTLEELNLATRNFSNANLIGQGMFGEVYKGLLQDGTIVAVKRRHAPPSQEFVQEVNYLSSLQHRNLVKLLGYCQENVVQMLVYEYIPNGSVSTHLHGYSHAPGVRLEFKHRLSIAHGAAKGLSHLHSLTPPAIHMNFKTSNVLVDEDFIPKVADTGIPGLLDRLGVTGLSSRTPNDPFVDPRMRESMNLNFSIQSDVYSFGVFLVELVSGRRAVSDQNIIQWVQNFQESSDISAIADNRMTSSFTSESMKELLRLASWCVNPMSEQRPSMSLVEAEIHRIREQEISLTTVMTGRTPTVTLGSQLFRTSR >KQL04639 pep chromosome:Setaria_italica_v2.0:V:10373465:10373664:1 gene:SETIT_005383mg transcript:KQL04639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDPLSFKNTTSSKIRCTCENDRSIRCNQ >KQL08204 pep chromosome:Setaria_italica_v2.0:V:44173759:44178238:-1 gene:SETIT_000342mg transcript:KQL08204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAIAGALVAALLLLCPAWALAAPAVGEGRRLHTLFSVECGDYFDWQAVGLLHSLRKAGQPGGVTRLLSCAEDQLPSYRGLRIGHTLQVPSFSRHPRTGDWYPAINKPAGVVHWLKHSPEADNVDWVVILDADQIVRGPIIPWELGAEKGKPFAAYYGYLKGCDNILAQLHTAHPEFCDKVGGILAMHIDDLRALAPLWLSKTEEVRQDKSHWSTNITGDIYGMGWISEMYGYSFGAAEVGLRHKINDDIMIYPGYTPRPGIEPLILHYGLPFKVGNWSFSKLEHHEDGIVYDCNRLFPPPPFPREVEMMEPDPNVKRGLFLSIECINTLNEGLLLHHASVGCPKAQWSKYLSFLKSRRFSELTKPKNWKGQKVDSTMIMQHAALSKANREYPKIHTLFSTECSSYFDWQTVGLMHSFRLSGQPGNITRLLSCTDEDLKNYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHVQTDAEFIVILDADMIMRGPITPWEYGAKLGHPVSTPYEYLIGCDNILAKIHTRNPSACDKVGGVIIMHIDDLRHFAILWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFAAAEINLRHIIRRDIMIYPGYVPLPGAKYKVFHYGLRFGVGNWSFDKADWRNSDVVNTCWAKFPEPPDPATIMQEDLDARERDLLSIECGRALNKALYLHHKRRNCPRLDTIHSTSSNKIERIAHESTRSRNRGKFESMDAAREKTVERAAATIPNVHRSRRRGRSSRMWIIAVWAVSILVFLMVISIFFTDRRRSASRSRVSRSLKAHV >KQL03354 pep chromosome:Setaria_italica_v2.0:V:1939537:1941879:1 gene:SETIT_004761mg transcript:KQL03354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVKRVCPLFRPRERALPTFPLFLLGCAFFAVAAFAGDTILPGEGISGNETLVSKNGEFELGFFSPGDNIHFFLGVRFKKMPSTSPNFWVGNRVPITDLSASALEVFGGSLCIKEAGASLWCSSGVAVGPSPPAAAAVLLDNGNLVVMDRANSFRILWQSLDYPGDSLLPGARLGFDRDTGSNISMTYEHYPNNGSISVDQSRRNGFVLTTDGLDSLGTFPDWMVTSQDNGSSLVLNRPNPNVTEFLQLHLGQVSLKRWSEDSAAANRSGWVARWTFPSDCKSSGFFCAAQVNEGNVLCLLDSRLEGNANVKELDITCRVACWCIQDEKDDRPSIGQVVRMLEGVVNTEIPPIPSSFENLMEGENSCIYSDEG >KQL08548 pep chromosome:Setaria_italica_v2.0:V:45933749:45935281:1 gene:SETIT_004336mg transcript:KQL08548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAEAREEVLHAQLEELKIEGDEPIVEDEDDEDNEDNDEDDDDDDEDVEGGGVSGRYKQSRSEKMTWRVTLKNKNVLILITKPDVFESPNSDTYVIFGEAKLMEVGPHAAERFKASDPSITISNAEPSAASQDDEEGDESGVEPDDIELVMTQASVSRSRAVKALKAADGDIVNAIMELTN >KQL03861 pep chromosome:Setaria_italica_v2.0:V:5112872:5119481:-1 gene:SETIT_002332mg transcript:KQL03861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETGLDAYRFSISWPRLIPNGRGEVNPQGLEYYNNLISELLDHGIEPHATLFQYDLPQALEDEYNGWLGPQIIDDFTAYSDVCFREFGDRVTNWTTLNEPNAFALLGYDIGVAPPGRCSEPFGNCPNGNSVTEPYIVAHHSLLAHSSAVSLYRQMYQEKQHGVIGMNIFIYDFVPLTNSTEDITATERAKAFYTGWFLDPLYHGDYPDIMKKIAGSKLPKFSNSQSEQLINSVDFLGVNYYSIMYVKDDPHAAPSNERDFLADTYVKTILLMCGATQLYVPPYGLQGALEYFKQSYGNPPIYIHENGKLL >KQL07759 pep chromosome:Setaria_italica_v2.0:V:41583338:41583695:1 gene:SETIT_003728mg transcript:KQL07759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKRASRGVPLLIPLHCEFSQCSISYVRPFLVLRTSTSTPLEAPC >KQL05879 pep chromosome:Setaria_italica_v2.0:V:28717509:28719350:-1 gene:SETIT_005204mg transcript:KQL05879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVMSQGSKVDTNGGGGGRRIRPRYRIETRALSYVLPPRGASFLWGGAKTKAEEGRLLLRGVTCEAPPGELVAIVGPSGAGKTTLLTVLAGSADPARVAAGEVLVNGLPMDAARFRRASGYVPQDDALFPALTVEESLVYSARLRLRAAGGAAAAERARELMVELGLRHVAASRVADVSGGERRRVSIGMDLVHDPAVLLLDEPTSGLDSGSALHIVKMLRDMAAAHGKTVVLTIHQPGFRILELIDRVVLLADGAVRHHGSLDFLQSRLIATGHAIPAHVNVLEYAMETIDSLKPDVAVATTITTASANRENNVAPVGPGLASARRAGYANTPAAEVRILAGRFTKTVLRSPQLFAARMAQSVLAGAFLGSIFLGATDLQSRLGFFAFNLTYLLSSTTEALPVFLHERRILERETTRGAYRVSSYVASNAAVFLPFLLAAALLYAAPVYWLVGLAREPAAFAYFALVVWLVMLTANSFVACLSALAPNYIVGNSVVAGLIGCFFLFSGYFVASKNIPRYWVFMHYASLFKYPFEALVVNEYGGARGARECLASAGGAGGICVLDGAGLLRQQGMREGMRWSNLGVMLGFVVGYRVLCFAFLWFRCHRMRR >KQL06124 pep chromosome:Setaria_italica_v2.0:V:30832954:30833981:1 gene:SETIT_003364mg transcript:KQL06124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKAPKVSRLCSGRGHKLAEPSSLVVVGDKYLCLSASAATCAVRRIGANVMSRMPWHSNARMGPNGRHRTVSPRMAVLTFASTFFFFLRHVSCTIVYSIPLHPGPVKRQASAHIAAYNSWR >KQL07204 pep chromosome:Setaria_italica_v2.0:V:38339105:38341359:-1 gene:SETIT_004103mg transcript:KQL07204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPIVPPDLLRDAADGSGRGEEDAVTDRRPKPVVPLLLVSAGGRVHEIQTFGHYVATQIGFEDLNECPHLCTLSYDYLKKTEGYEQNLLAFFHNKMNPDALLVRLIEELDKCILGYFSFHWKFATHIITQVLTHEQPRRKLRRMVMEATRKMRFERVTRELKVTRLFSTLVEELRAIGISCHHHDNRPGTDVMVPAAHSDRSPVLLLMGGGMGAGKSTVLKQIMKEVFWTDARANAVLVEADAFKESDVIYQAISSRGHHNDMLQTAELVHQSSTDAAASLLVTALNEGRDVIMDGTMSWEPFVMQTIAMARSVHRQRYRMGVGYKVAADGTTTEKYWEPVEEDDDADGERRRQQGAPRARKPYRIEIVGIICDAYLAVARGIRRAIISGRAVRVNSQLKSHKRFAGAFRKYCDLVDNARLYSTNTIAGAKLIGWKDKDSRLLVDVDEIGLLDRVSRINEEANCVHELYPDGHPTGGAGSVWEDLVASPVRASIQRELKEAILDSEACFPSP >KQL05670 pep chromosome:Setaria_italica_v2.0:V:26918845:26920476:-1 gene:SETIT_004569mg transcript:KQL05670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WRRRRKMVSRTLFKAVGDLPRRSRLVWRTIEVTEAGRAGQAERALDLFEAMPVKNQVAWNAALAALVDAGRTDWALSFFQEMPRRNATSYTTMIGALSRAGGAAATARARALFEELPLDQHNVFTWTAMVSCHVRNGEPDRAVELFVALYSEFFARGMLPNAHTFSSLLKACVGIRSLAMALQLHAVIVKLLDEGSRHCFVWNALIDVHAKLGALSDAEKVFYRMRYRDICSWNIMMDGYSRHKLIDRALELFRMTRKKDAFTWNIIISCLGENRLGEGALCLFIDLVRLDGHCSGNAKLSASIYTTVLHVCSVLALLVFGRQVHARTVKDGIGQSNISVSNSLLSMYSSCGAMNDLEQVFEEMMVRDIISWNSVIQGLGQNGLGRQALAVADRALELKMYNSNTFIAILTSCSHAGLVTEGLGYFDSMTEKHGVEPTLDHYISVIDLLGRAGRLEEAYDLLRKMPFAPNAVAWRTLLHSCLAHKNSVMGSIAAQELRALQPDCGGGNYERLVQGCGSSSTSDETLDGNEKSADHAPGCSWLT >KQL06408 pep chromosome:Setaria_italica_v2.0:V:33157436:33157913:1 gene:SETIT_0028252mg transcript:KQL06408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSTSPLFSLSSLSASLPSPTRLPSSLSLRALSPRARLSASLPFASPHGTVLCLAPLLSLPRQFCVLPHRCFCCSLVFSGGCGAWAATSTSSAGKLRRRGLEVVCEATTGRRPDSVAKRERQNEKHRIRNHARKAEMRTRMKK >KQL08473 pep chromosome:Setaria_italica_v2.0:V:45617921:45621141:-1 gene:SETIT_001266mg transcript:KQL08473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGAPWAERARVVGAQIRNRFRVAPVDRRWLWRRPDGSVATEAVRRWSDRVRAQLQRDRGADQSSTSTGTSTGATAKPSSSALRFYRKKVGKEVDGIEDSVIFRSLQALAVPLIGNACYVFMHGLNSVQIYGAEKLHQALQERPKGKPLLTVSNHVAAMDDPFVIASLLPPSIMLEAQKLRWTLCATDRCFTNPVLSTFFRSVKVLPVSRGDGIYQKGMDMALSKLNSGGWVHIFPEGSRSRDGGKTIAPAKRGVGRLVMDTDSLPVVIPFVHTGMQDIMPVGKRIPRAGKRVIVVVGDPINFDDLIIDNSDDTQHTSRGILYDKATQRIGQRLQELKVEVDRLAAEQQSELQNHNIHNVSDDGYRLWQQVDWEGFGIGNSMLSSEPSAVQEQSKEAEPELRLEVEQSVSPAPSDVAVPNWFQRHVDPSELMGFAARGLIKNGKLEEGYRELQEPTTLNTWWWSQANNAVPRWSIA >KQL08767 pep chromosome:Setaria_italica_v2.0:V:47008419:47011517:-1 gene:SETIT_000921mg transcript:KQL08767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTRRRPRRGSKGSNPDLSRTLTDCTRRGDAAAAIAAFDDAVSDPDAPARLAAHQYNQLLHLLATADRSSFPSAAAAARRVFAHMLQAGAPPSEATITSLARVTAAPDADNPASAADEAFELVATMREKHGLAPRLRSYSPVLAAFRRAGDASKAYAVEAHMAASGVSPEEPELAALLDISSTAGDADKVYEYMHKLRQTVDCVTEETAQVVEGWFSSDNAGVAGKAEWDAAQVKDAIVLNGGGCHRLGWLGTGPWTVQRVRAGADCQCAGCKCQLACVDIDMEETQRFADAVAALALERETKANFSQFQEWLEENKEYEAIVDGANIALYQQNFAEGGFSLTQLDAVITELRGRYHGKWPLVILHNKRIAKLMENSSNRHLIETWRANGALYTTPSGSNDDWYWLYAAIKLNCLLVTNDEMRDHIFELLGSSFFPKWKHRHRVKYTFNKGKAVLVMPPPYSSEIQESEVGSWHVPLEEKSGDERVRIWLCIGRTGTCKEPDEVPTTNGVVQEVPPNEESNGVQQSLQEDKAESITGKRKDRD >KQL06541 pep chromosome:Setaria_italica_v2.0:V:34051149:34054824:1 gene:SETIT_000257mg transcript:KQL06541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPHVAFLTASSLLLLLLATAAETASASNAGAGAGADLSALLAFKAQVADPSGVLRGNWTAATPYCTWAGVSCGHRHRLRVTALGLPGIPLAGALAPELGNLSFLSTLDLSNAQLTGGVPASLGKLARLTYLNLSSNFLSNPVPASLGNLAGLQVLDLHSNNLTGEIPNELHNLQSIVYLDLSRNELSGPVPQGLFNGTSSQLSYLSLARNSLTGNIPSAIGISPNLQVLDLSRNQLSGEIPPSLFNMSSLLGLYLSYNNLSGLVPSNRSFHLPMLQRVKLHANNLGGTVPPGFGACKYLQLFNLAYNRFTGGIPLWLASLPELTVISLGGNDLSGEIPAGLSNLTGLTVLDFTTSNLHGKIPPELGKLTQLQWLNLEMNNLADVENLKATTYMDLSSNRFLGNIPTSLGVLSTLTYLNLSKNSLQDQVPNAIGKLSSIKTLDLSYNALSGTIPKSFANLSYLTSLNLSFNRLYGQIPESGVFSNMTLQSLEGNTALCGLPRLGFLHCPDDEFNHRHRFGLLKFVLPSAVAAIAIGVCLFIMIRTRVNKRPKELPLTSLEANNYYKQISYFELARATNNFDSDNLLGSGSFGKVFRGILDDEQIVAIKVLDMELERATMSFDVECRALRMARHRNLVRILTTCSNLDFKALVLQYMPNGSLDEWLFSSNRRELGLVQRVSIMSDVALAMAYLHHEHFEVVLHCDLKPSNVLLDEDMTACVADFGIARLLLGEDTSIFSRNMHGTIGYMAPEYASTGKASRKSDVFSYGVMLLEVMTGKKPTDAMFNGEEVSLREWVSQAFPSRLAHVVDHGILLLDGEAASSGDIQHADWSSSGEESSNGWSCIEQVVDLGLQCSRDSPEERLAMKDVAAKLARIKECLSSSR >KQL06639 pep chromosome:Setaria_italica_v2.0:V:34759460:34761604:-1 gene:SETIT_001743mg transcript:KQL06639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATFGWAGAGHHVDDYFSRQVGCGRFEVDEAFLGDCFGQLQCDGVLAAAAAGGGDTGACHATSNCGAFEGSAGMDSDPLAFLGAGTGDVFDAGLLDAALAFTRSSARAAPTRGRVERRHALSYSGTTGGNISSGESNNYSGGHEAEVVSPTSTMSPTTAPRPFTHASSSQQQALHAKRKVTDEYPATIATTAPPPAPFPRPGGGANKRRAATSISFGHGAQHSARDAAASAGYEPDMEAMAQVKEMIYRAAAMRPVNLGPEISAAAAATEKPRRKNVRISSDPQTVAARLRRERVSERLRVLQKLVPGGSKMDTASMLDEAASYLKFLKSQVQALETLGTTNTSSTSASSRSQHYSYFGSGSGSNPGFPGFGRRSSSISPSGYVNPNGSTNTSSNLL >KQL07522 pep chromosome:Setaria_italica_v2.0:V:40234525:40237948:1 gene:SETIT_001610mg transcript:KQL07522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTMPANSFPSQVKKSTRDDPEMDASPKNDPEEQPTQATNKILKRTSSFAQGTIATATGFLTAGFSVRKDVLLHRHVLVAGGCFLVIAYLSALLLVYLKLFLSGYRQLHRGHVRFIQFLCVISGAALVATNSLLLLLISEGNALLSLNLLPVQGLVGVLAYHATPTEDSMRDEAFEAGIKTGRKVALFATATAFAVQTTLLFGYLNNSRFRAMSFRFDLSVSFLASALSVLLVVATCMPLGYRSEAARDKVLSLVRYLKDAVIALLAVTAVTIAKEFLGGDTVLALFPEIAVAAMYYAVSLLTDETAGQGQRDAAAEHKLEIQPTAVVATFGFGMLGAAYAALLGTPEYDVYTKALVFTLLAAVVSSLGRVAGPLCRRRRDKDAAACVVFLGNVLPIVEMLVAVPLAAKVVINVLAAS >KQL06071 pep chromosome:Setaria_italica_v2.0:V:30475562:30477763:1 gene:SETIT_001784mg transcript:KQL06071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLARSDTEKRAPRTPKPPPRRSKTIGPSPPSNIPLAGPPRPPGPPPPGSPPRPPAGPPRPPGPPPPGAPPPRLPGGAGPPASKGRAPAGGRGDRMRRAPEIVEFYQALMKRGEASRQTGSRGPKAPAGGSKAARSDLIGEISKNSPHLVAVQADVDTQGDFVRTLAAEVRDATFANIEDVVAFVAWLDEELSFLVDEQAVLKHFDWPEKRADTLRDAAAKYQGLLQLEKQISSFVDDRALHRDAALGKMFSLFEKTEKSVYRFMQERDAADAKSNLVSRYKEQDIPVGWMSDSGVIAKIKLACVNLAKQYMTRVVSEIDGLSGARNDEEKKETALFRRLKEQNREVLLHQGVRFAFRVHQFAGGFTAESLDTFVELRRRHTGGTN >KQL06072 pep chromosome:Setaria_italica_v2.0:V:30475562:30477763:1 gene:SETIT_001784mg transcript:KQL06072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLARSDTEKRAPRTPKPPPRRSKTIGPSPPSNIPLAGPPRPPGPPPPGSPPRPPAGPPRPPGPPPPGAPPPRLPGGAGPPASKGRAPAGGRGDRMRRAPEIVEFYQALMKRGEASRQTGSRGPKAPAGGSKAARSDLIGEISKNSPHLVAVQADVDTQGDFVRTLAAEVRDATFANIEDVVAFVAWLDEELSFLVDEQAVLKHFDWPEKRADTLRDAAAKYQGLLQLEKQISSFVDDRALHRDAALGKMFSLFEKTEKSVYRFMQERDAADAKSNLVSRYKEQDIPVGWMSDSGVIAKVRVMIKLACVNLAKQYMTRVVSEIDGLSGARNDEEKKETALFRRLKEQNREVLLHQGVRFAFRVHQFAGGFTAESLDTFVELRRRHTGGTN >KQL08158 pep chromosome:Setaria_italica_v2.0:V:43834067:43835493:-1 gene:SETIT_004152mg transcript:KQL08158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGQPKGHLWLVFTMLFVTFAMHGEGASSFAPPSLSISPTYDPVIKVIGKVYCYRCFNEAHPEESHGKRHLEALSAPTPPLHSYQSPPPTNQLS >KQL05617 pep chromosome:Setaria_italica_v2.0:V:26387715:26391564:1 gene:SETIT_003093mg transcript:KQL05617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYFALYVGAELDGLTNLQPRGGCDDPNFPYYLKLKCENCGEVTAKSTYVTLSEQVDLPKGHGTAHLVQKCKLCGREGTIVMIPGQGTPLTIEQSQKEEKTCLMVFDCRGYEPVEFSFGAGWKAESVHGTPFDIDCSEGEFSEYDEKGECPVELGKLQSTFKVVKKHERGGKTRFV >KQL05641 pep chromosome:Setaria_italica_v2.0:V:26624326:26625573:1 gene:SETIT_004584mg transcript:KQL05641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFASFFERGFGIPTCDFFRGLLNYYKIELVHLNSNSVLDISIFIHLCKAYRVKEYFNLKLKESNKGWHNEWFTIANQKPELLPHSGYAPVTMPEWSNQPTSEEMVQVKKLLKEIADLKAFELRAGAPIKNRVHLAYEYTGPLDPTREVQRKVTKEEVTTHVCEFFGGIIKNKSYPKAFSLKRPADPSHEFEFFCPAPLLGGAEQQQPKVHPTTESNQLPADVDSELDSSIGSDEGVSDEAGWAEDVTPVGRRTWQVVKKLLASKSQKPSSKRKKATSKKEKAAQSTTSMGRSASEVDEESDTPNPPAKKKKTELLETIVADAEWIKEMLKEKIRGRASE >KQL06333 pep chromosome:Setaria_italica_v2.0:V:32657059:32657905:1 gene:SETIT_005411mg transcript:KQL06333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLTISSIVGLLLLSSRHEKAIFTIAIACSVSKWPCNLSSTISLTFPEDRICLASWTNSRKSICLSFNIMPFETLIPVKISRSTTP >KQL08108 pep chromosome:Setaria_italica_v2.0:V:43588776:43590726:-1 gene:SETIT_002793mg transcript:KQL08108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATLPKFQSRPRCRSYFQVIDQVRAHIRICGASKCRIPAQGFLRSGSGVGTSLRHTFQPVSAVGSGRDSSITEADRKSDLSLENVKTSVASRDGDKINVRVQLPGKATQKVFDEALTILSRDAPPVPGFRKSKGGKTSNIPSSILLQMLGKSRVTKFVLQEILSITIEEFVKKENIKVNPEIKTTQTESEMESAFTPGSAFGFNVILQLEKSDSDEDSEEQSDSSE >KQL06157 pep chromosome:Setaria_italica_v2.0:V:31070263:31071872:-1 gene:SETIT_004298mg transcript:KQL06157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSEERLRRPTSRFHADLHDDEPEEGEVVPGYHSDVDTEEYYNRHSYSSDSDETVSDSNAACSVPENYDDATSPYPVAAKYGSAGASSVAANNNNGGNASSSSVAAPVMLPCPVCGKEFSSQKAQDQGIGKVRGIKRDVVPVVGRWGGNGKRGCLGLGGRAATPNAESDQSMAIVVAEPQIVFQPMPLAFAAPNLSSVSIASASPNPSSVPTASAMATPNPSSSMTNVSDQSSSAQPMNNDAMDTVVAGADNPRSDVDAHAATPSTPPAAGEQAPSVHQQPMAPPSPPAAVERAPPAHQPPIVPRPAAGRQNPNGYTCNECDAWFRTHQGLGGHRAGHKNRELVAVAAEMLGDGAVPGRRNAKPEKTHACKVCGVVFPAGVQLGGHMRKHYAGPPIVPNKKPRLVIQPLLPPPALTLVLPANVYADEASPAPALEAAVQPGPAPAVERTPEPAPGPAVVGRVLLFGIDIGVGVKKPAAHEDPSATEGSASTDGEQ >KQL07491 pep chromosome:Setaria_italica_v2.0:V:40010688:40011201:1 gene:SETIT_005528mg transcript:KQL07491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILTKDNMLKRKWQGDPSCNFCDQEETADHLSLVVL >KQL04360 pep chromosome:Setaria_italica_v2.0:V:8457461:8460342:1 gene:SETIT_002859mg transcript:KQL04360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVRVVDTATPSSQPSPGQDSNAGQPSPPSCSLLSAGRCFAGTQNVSSLQKDEAWKVNVRIHGCDLEQGYLCGTMEALNVPLADTPVVTFWEGEIVDAKNYTFFTGKWEASPEDDIRHWSKFSSFTPLLSQIETDGGKSLDLSNYPHIFMRWKEQYFVNVGVDCGLTIAGFYYVCFSCSDGSISGFYYDPNSSPFQKLELKCTNEKNSGFTFSSYELQ >KQL08389 pep chromosome:Setaria_italica_v2.0:V:45180282:45180783:1 gene:SETIT_003554mg transcript:KQL08389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYIDIIRGTWSAVNGGSTLAPLHQHCPMLKCSRTIKLISVAPFMESFFFWWLWLLVTSWFYPPCPHLFAVLPRDADVVILIHAA >KQL05160 pep chromosome:Setaria_italica_v2.0:V:16757987:16758710:1 gene:SETIT_004815mg transcript:KQL05160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMPDSCPAASATVHPPVCPAGAAGGSQGLVRPRVVLFGDSITEQSFRPGGWGAALVDTYSRKADVVVRGYSGYNTRWALFLIDHIFPLVGIAPPLATTIFFGANDAALLGRTSERQHVPVSEYKENLKKIVNHLK >KQL05336 pep chromosome:Setaria_italica_v2.0:V:22739284:22739987:-1 gene:SETIT_004494mg transcript:KQL05336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERRQLALSLAAKTKASPAGTATVASSVPPEQLPATVENERARRRHMSRLYAELGALLPDLPPRAPKTRILEEAIAYVGALRGTVAELEARGPFAGAGRLTAADGGGAGGGEVLAAGKASCFAARMPAARRPGALTRVLEVFRRHGVPVLAATVTINDGEAAVTVTTAAVAPSVVEGIKADISISIA >KQL04496 pep chromosome:Setaria_italica_v2.0:V:9400018:9400883:-1 gene:SETIT_005249mg transcript:KQL04496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIANTFFRKRRSHLVTFSSGQHYSQIDFILTREDKRACLDCKVIQGECVVSQHKLVVADFHFQMRTRRDKQAKTARTKW >KQL07180 pep chromosome:Setaria_italica_v2.0:V:38148671:38149062:-1 gene:SETIT_005499mg transcript:KQL07180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVILPGPTGKANKISLHCCVSSNTCLQFTNMP >KQL07647 pep chromosome:Setaria_italica_v2.0:V:41001821:41003050:1 gene:SETIT_003051mg transcript:KQL07647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTRHEAEDRREMEGLRADAVARLSLSSSPESSSSAAAGGDRPSSSSAASRKAMAAEGVFECKTCSRRFASFQALGGHRTSHTRLQARMLSDPAGAAAAAERDRARVHECAVCGLEFSMGQALGGHMRRHRGEAPPASHDGAAQSGQAMPDLNLPPLEDGDGGQDQQQSADRSSEPQLLNLLV >KQL04765 pep chromosome:Setaria_italica_v2.0:V:11495384:11496769:-1 gene:SETIT_001676mg transcript:KQL04765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAASLHPPSHGGAGADLAGAPIPSWALLDLHAYFSDRRNSTTAACLTRDNKEIQVTIFPAHPPRVSYFCVHCRGEEPSGFAREPKIIAADANVLLLRISIGSRNAMLCPSLHEYYVYKASGGADGAPPSLHLLPHPSLYFFYDEQAALLSRGAEFTVVALRDDVSAFHRGSSERNVWTTKAVFVPPERQQGSSGEEGFRFRHETSDVVTIGGEPGTVAFVDMWRGILLFDVLHGDPTLRYIRMPPQLISPCTGYSSPVHTRDSAVVNGHFKVVEFLDKIMTVTGSHSGYINDGWVAATWSKEVFSPHEGSWCPGHKLESRDIHGNPQMMLERLYTGHPTLSLHDGDIFYLMTKVELRDNKAWVIAVDMSDGTLRGVAEFGAERALDVSLTYTQSRISEHLIMAPG >KQL07426 pep chromosome:Setaria_italica_v2.0:V:39703102:39706005:-1 gene:SETIT_001459mg transcript:KQL07426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAADWLPSASVTASGRPVLSAGEVERNLLPLVDLVPEENPRLAPLRGCLLALTSHRLIFLHEASRSARGLPLATVVHAYPPHRRHSHNPLRSIFSSSSSSSQHHRIRLQISRPPAGSEVVAIVVTCKADVDVFFGRLLEAIRARAWETTPAAAPASGAPVAEGAALAEDVAIRMPVVGVSGILRMEQESWESAGQNLQDAFQDLNALMSKAKEMMQLAEKMRLKLLTNASTEPNSNDEDMGSKQDMQDLLLSVGIVSPVTKETAGALYHQQLSLQLADFVRIPLEKAGGMMALVDVYCLFNRARGTELISPEDLLQACSLWEKVDVPVMLRKFDSGVKVIQTKTHSDEEVFARISSLAQKPDALQKGISPSDAAFTLGIAPALAKEHLLNAENKGLLCRDVSPDGFRFFINLFNEIDAQNIYTQKPHGLYHAWISVAMASQ >KQL05309 pep chromosome:Setaria_italica_v2.0:V:22022954:22024433:1 gene:SETIT_003050mg transcript:KQL05309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWMISNRCCIPCFPLKTQGMRPGVVPYQSILSALQRIAKEEGIRGLYSGLLPSLVGVAHVAIQLPVYEKVKLYFAKRDNTTVDKLSPTQVAMCSSGSKVAASIITYPHEVVRSKLQEQGRNDHGAMRYSGVTDCIKQVYKKEGFPGFYRGCATNLLRTTPNAVITFTSYEMINRLMHQLLVP >KQL03472 pep chromosome:Setaria_italica_v2.0:V:2577353:2577699:1 gene:SETIT_004764mg transcript:KQL03472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLCRLASTSASVLSCQPQPPSPPPSLLLHLKLSKEAAGDKGSSEGKAEAAVEKEDNDSGVHVNKATGEIGAPRGPEPVQDGDWERGGRCSDF >KQL04124 pep chromosome:Setaria_italica_v2.0:V:6643281:6645489:1 gene:SETIT_004315mg transcript:KQL04124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMTVTSLNLHLLPLFLLLLPVTINGDSTFNITNRCPYTVWPAAWPVGGGRQLDPGESWILNMLAGTKGGRVWPRTGCSFKGGRNGSCQTGDCGGMLSCKISGQPPITTAEFTLGVGNTTDYFDISLVDGFNVPMDFLPMPTNIQQGVQGCSRGPRCAANITSQCPKDLKAPGGCNSACTVFEDKDKYCCIGNSNNTCEPTTYSLVFVRMCPDAYSYSRDDSSSTTFTCPSGTNYQVVFCPSNDISASPPATNPHAPTGTGSLSFTRSRVLSVVLGSIGILVVLVVFITFFAYKLRKQRHQEMQEEDEEFGELPGMPTRFTFQQLQEATDQFKCKLGEGGFGSVFEGQYGEERIAVKRLDRAGQGKREFLAEVQTIGSIHHIHLVRLIGFCAEKLHRLLVYEYMPKGSLDRPEYRHIVRILHPSGAICQLMKVWTIWTPVRLSLRWLCSVDSSTPMRFRRSLTGPHDQLSALDGLAPVNVGNGSSTGFRI >KQL04440 pep chromosome:Setaria_italica_v2.0:V:9054094:9057398:1 gene:SETIT_000152mg transcript:KQL04440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGSLSLLLLLLISTASADDEAALLAFKAAAIGGNRYGDPLALWNKSSAGGYCSWEGVRCQQRQVVELSLTSRGLEGVLSPAIGNLSSLRVLNLSNNAFHKDIPASLGRLRHLHTVDLSSNVFSGKIPANLSSCPNLTTLLFYSNQLSGSVPFELGDKLTRLKNLIVYKNNLIGGIPASLANLSSLLVLSLSFNQLEGTIPPGLGGILSLRHLDLAFNRLSGDPPASLYNLSSLEMLQIQGNMLRGSIPVDIGKRFPSMLILRLATNQFTGSIPASLSNLTTLKELELQENGLSGHVPSTMGKLQGLRRLNLQHTNLEADNKEGWEFMTSLSNCSQLQHLLIGSNTAFTGQIPSSIGNLSTTLRTLMLADTGISGTIPSSIGNLVNLEYLHMANNTIYGVIPESIGKLGNLVMLALYNTDLSGFIPPSIGNLTRLISLNAYSGNLEGPIPASLGKLKNLVALDLSMNRLNGSIPIEIFRLPLLSRYLAFVYNSLSGPLPSEVGRLRNLNALALTGNQLSGTIPDSIGECTVLQSLWLDNNSFEGSIPPSVRNLKGLTTLDLSMNKLSGIIPDAIGSISNLQVLFLADNNLSGPIPTLLQNVTSLIALNLSFNNLQGEVPKEGIFRYVANFSITGNSELCGGIPQLNLAPCSTISVKNNRKGRLQSLKIAMPIIGALLLLGIIIVLFHLTNKTRRRQKRPFLSPITEKQNERVSYQALANGTDGFSEANLLGKGSFGAVYKCTFQDEGTIVAVKVFNLEQSGSTRSFVAECEALSRARHRCLIKIITCCSSINHQGQEFKALVFEFMPNGSLNAWLNPNSDMPNLTNTLSLEQRLDIAVDVMDALDYLHNHCQTPIVHCDLKPSNILLAEDMSARVGDFGISRILPESASRTLQNSNSTIGIRGSIGYVAPEYGEGSAISTIGDVYSLGILLLEMFTGRSPTDDMFREVDLHQYSKQGLSERILDIADSTIWLHVESKDSIIRSTIKNCLVSVFRLAISCSKRNPRDRMMMKDAVVEMHAIRDSYHKFSC >KQL04969 pep chromosome:Setaria_italica_v2.0:V:13525049:13534104:-1 gene:SETIT_000539mg transcript:KQL04969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNNDDATKQIFSRCLLNCLHISLWRCYINFIRRINDKRGSEGLDETKKAFDFMLNYVGNDAASGPIWMEYIAFLKSMPVVTPQEESHRMTTVRKVYQKAILVPTNHVEQLWKDYENFENSVSRTLAKGLLSEYQPKFNSAKAVYRERKKYIDDIDWNVLAIPPTGSFKEEQQCMAWKRLLAFEKGNPQRIDVTTANRRVTFTYEQCLMYLYHHPDIWYDYAMWHAKNGSMDSAAKIFQRALKAIPDSELLKYAFAEMEESRGAIQTAKTIYESLLGENASVTSLAHIQFIRFLRRTEGIEAARKYFLDARKSPSCTYHVYVAYATMAFCIDKDAKVAQSVFEAGLKRFMHEPGYILEYADFLCRLNDDRNVRALFERALSLLPPEKSTEVWKRFVQFEQTYGDLSSMLKVEQRRKEALSRTSEDVLSASENTLHDVVSRYSYMDLWPCSSKELGYLVRQEWLAKNIVKKVDRSTLLNSSNMLDKGTLGISANTRLLPQSAKVVRPETSQMVIYDPRQMKGPEFSAATSGYTKEVEDMLKTLSPATASFIKNLPAIEGPSPDIDVVLSVLLQSTLPVTQNAGKASGASELSGVGKSGLNQNGSVHRPPRERRKDAGRHGVQEEEDAATVQSQAAVPRDIFRLRQIQRSRGLGVGGAAAASQQSGSSAFSFSGGGSAFSGDHSASTD >KQL07008 pep chromosome:Setaria_italica_v2.0:V:37037295:37039329:-1 gene:SETIT_004321mg transcript:KQL07008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLVKLGSLAFRTLSKPIAARLKHNAGIHPKFRGFIIGIAQANHRFTTNMQRRLYGRATDIHIRPLNEEKAIQAAADLLGELFVFSVAGAAIIYEVQRSARSEAKKEEARKQEMEAIKKREEQLAMEVQLMKQKVSEMERQFSKWTRPGFRGFGTAQAAAQPAAAGTQQPTAA >KQL06713 pep chromosome:Setaria_italica_v2.0:V:35170610:35173213:1 gene:SETIT_000967mg transcript:KQL06713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALPATAASCLLQLSRRRLPGTSPLLSPLRAATFRCHGGSSVACSCSPGPGPPPAVPAERRGGGAGEATSPEGTVRIVAVVGEGTISPIKDTPWEEVMRHTADRLKWVDEEFEMLVFTDNSIENNDIRKELSRCDMLLNVAVTNQDAVEWLINNSKDISSVICFQSSPSLLNKLGGTYVQHTGDQDMFGKLSSIGKPSGIKESAEVLKTISNAWERHNSDDIRFCLLVVINAYIRPVAILKNLRAKGLSTVSCMIKNCGPQILNCLFDPNCRKALQCLNSCSPTDQVCNYRCIASYESPYLEAFSLCVLQKNNCLDLNAEIPSKPYVIPLTMFREQKLSHEIAEDLFVGWLDSMEWSWRVAAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTLEGKLLWRRRRYRVRRASTPGTFYFSVLDNGVISKEFWTIVDVAEDFSWGLFHYHGAAQAAGLSYTGAVLVTPDGSYPDVEDPRLASALEKCAIKKWELYTVDNSCCMGAPLGTPKGSKLHHQICPGNETGILQRRW >KQL07871 pep chromosome:Setaria_italica_v2.0:V:42278654:42283051:1 gene:SETIT_004808mg transcript:KQL07871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRAVAAQPGDCERCRSVRYEMKITALLVVKPSTSGAGSSSSGGGSGPEAVVLANATDVSHFGYFQRSAAREFIVFVARTVAQRTQPGQRQSVQHEEYKVHSHNRNGLCAVAFMDDHYPVRSAFSLLNKVLDEYQKDFGDSWKSATADGTQQWPFLTDALTKFQDPAEADKLMKIQRDLDETKIILHKTIESVLERGERLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >KQL05289 pep chromosome:Setaria_italica_v2.0:V:20791643:20792456:-1 gene:SETIT_002714mg transcript:KQL05289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVGKAPPKPVLPAATVAASTSGGSPESYRGVRLRKWGKWAAEIRNPFTGKRQWLGTFDTAGAASAAYLSASRSFADEKRRRRGQPVPASSPASSASATPTASSSSSTSAAPFAHPSPSSVLEATKPALKAESPEPVATPILPSTEAAQLPDDPEFYQDLLRGLQLPDIDPMDFRAGLDALDVSDAPFCLDDDQDLLFGDFADEELDEIDLDLDDINDVFPEIPGCDLGRGMDDFLQTVDFCV >KQL07029 pep chromosome:Setaria_italica_v2.0:V:37138234:37138720:1 gene:SETIT_004197mg transcript:KQL07029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARDGLIFAAANGFEKVNLEMDSLSLVNLLQSDMGELSTVAGLWQEIRELGRSFVGFKLSFVYQEGNEAAHVCASLASVSNPDEFWLHSFPQCLFLARIGCHKTKITSTNTAGENQ >KQL06436 pep chromosome:Setaria_italica_v2.0:V:33364710:33365448:-1 gene:SETIT_003258mg transcript:KQL06436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAVASAGRRRRPKNDDATEAAASYAAAPRRASVMPLKQRDDSEQEETTRARRVSRSGGAVDDDAAASKAPAATVATVKIVLRRKDAEALVARLNAQSARERKDRMAEIKAELRAGECCGGGGGASPVSCRDAWRPRLAPINEN >KQL07572 pep chromosome:Setaria_italica_v2.0:V:40574169:40576279:-1 gene:SETIT_002310mg transcript:KQL07572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLGAQVSPLPSSPPHAPLLYSRPSSPSPTAPAAVAGAASAPRSLCFLGRSRSRSRFAAELTRRPTMAAAISLEAGSGLAHDLGSAAVTAGVALALLKFYEELAKRGVFEEKLSRKLVHISVGLVFLLFWPLFSSGTYAPFLAALAPGVNIIRMFLLGIGLMKNEAMVKSMSRSGDYRELLKGPLYYATTITFATSVLWRSSPIAIALVCNLCAGDGIADVVGRHLGKEKLPYNPNKSYAGSIAMAVAGFLASVG >KQL07573 pep chromosome:Setaria_italica_v2.0:V:40573795:40576314:-1 gene:SETIT_002310mg transcript:KQL07573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLGAQVSPLPSSPPHAPLLYSRPSSPSPTAPAAVAGAASAPRSLCFLGRSRSRSRFAAELTRRPTMAAAISLEAGSGLAHDLGSAAVTAGVALALLKFYEELAKRGVFEEKLSRKLVHISVGLVFLLFWPLFSSGTYAPFLAALAPGVNIIRMFLLGIGLMKNEAMVKSMSRSGDYRELLKGPLYYATTITFATSVLWRSSPIAIALVCNLCAGDGIADVVGRHLGKEKLPYNPNKSYAGSIAMAVAGFLASVGYMHYFHTFGFIEETWYMALGFLVVSIAAALVESHPISTELDDNLTVPLTSFLVGSLIF >KQL08433 pep chromosome:Setaria_italica_v2.0:V:45449616:45451865:-1 gene:SETIT_000421mg transcript:KQL08433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGDAEAAQRDAITARMRSQDYAGARALLLQTLQTNPRLEGALEMLPVLEVLCAAGETLAGRGRGVDWYRVLQVLPGDDAARIEARYRSIVAQLEPIGADLPGAELALRLVREAYAVLSDPEKREGLDSRDIFARFVRSGVVVAPTPDSTMVHSDKLNSLHTKDTRTADFTSNAVSHVQRTTDKSCFEERNSHLSNVASSSRTKRVDPCLGDDGDLQSPDDGHIDKKQKSVCEDDLYCALPSQEDLGVRFDDPSDAKEDEHCSRKQYEYHNFEEDRAIENFSTGQVWAAYDWERFPRRYALIVKVLMDKMQLYVSWFEPCLQSHEEKKWSRAGLPLVCGTFATEERRISLTCPTIFCHQISSDNLNQHLEVYPQEGEVWAIYSDWDIEWYTDPGMWKKSAFYIVEILTSYSKESGCTVAHLVKVDGHGSIFQRHLKSGTERLLQIHSDNLLMFSHMIPSFRFTPEVGIMFELEHSAVPENLRQETALACISPLLLSGLHDDTNSFHEAAVAQSSNPSTSKMDSGAPLQAMMSCDNKLSPKNFLEGQIWAVFDSRDRMPRSYVRIIRVVSSTSVFVLKLEPHPMLNEEIRWVEDSLPVASGVFRAGTETTYKDVWEFSHPVECDWSAKRSFYRIFPKKGEIWAMYKNWKITLNSTDIDKCEPRMVEILSDYSDENGVNVCSLVRVKGCLTFFHRVVMEDFHLTRWIPRSEMLSFSHRVPAFIVVEIKDLAIPKGSWHLEPSALPTRIIH >KQL08043 pep chromosome:Setaria_italica_v2.0:V:43296297:43299111:-1 gene:SETIT_003317mg transcript:KQL08043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGRRRGPLWSLPVARSDALGKLGPAFGIGAGCGVGIGFGLVGGAGIGAGFPGLQLGFGAGAGCGIGIGFGYGFGKGVAYDESGRYSNIRRPFQNSRSLAYDEQFDIMFDELMESTRKLIKATSKELDKWRRM >KQL07192 pep chromosome:Setaria_italica_v2.0:V:38218097:38219915:1 gene:SETIT_002991mg transcript:KQL07192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRLLKGLSLKRLLGQCSSADLYIKSRAFSSTVSFSDLNEKFGMGGKDDDDFKGPRKNKEYQFRGVYRAIICGKVGQVPVQKKLRNGHTVTVFTVGTAGMFDQRIVADNLPMPAQWHRIAVHNEELGAYAVQKLVKNSAVFVEGDIETRVYSDSVNDQVKNIPEICLRRDGKIRLLQSGEGDVSKSLEELREGLF >KQL03822 pep chromosome:Setaria_italica_v2.0:V:4812643:4813668:1 gene:SETIT_003804mg transcript:KQL03822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTSTLPLVAILLAVLLLLPSAALAAVAKAIDASKTQRLQLPDDLIGPESVAFDAHGSGPYVSISDGRVLKYDGEGAGWKTFAYSPSYTKNKCDEFSELPAVATESSCGRPLGLRFHNNSGNLYIADAYMGLMRVGPNGGEATVLATEAGGAPLRFTNGVDIDQVTGDVYFTDSNSTGRIMKYDPQTNQVTVLQSGVTYPNGIAISADRTHLIIALTGPCKLMRYWIRGPKTNTSEPFADLPGYPDNVRPDGKEGYWVALHREKFELPFGLDTHLLAVRIGAEGEKLQEMKGPKNVRPTEVVERDGGKIYLGSVELSYVGIVST >KQL03860 pep chromosome:Setaria_italica_v2.0:V:5106324:5110241:1 gene:SETIT_003252mg transcript:KQL03860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDKFWRMPECYIRGNTIKYLRVPDEVIDKVQEETSKSRSDRKPPGVGRGRGRGDVGAKPGGRGIGRGQDDGGRGSGGRGRGGIGGKGGNKGGGRGRG >KQL08502 pep chromosome:Setaria_italica_v2.0:V:45730002:45731243:-1 gene:SETIT_002527mg transcript:KQL08502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIGKRRHQHQHHFVMVHGVCHGAWCWYRVAALLSSAGHRVTALDMAACGASPGRAEEVPSFEEYSRPLLDAVAALPPGERAVLVGHSFGGQCLALAMERYPDRVAVAVFASAAMPALGKPMTFVLEEFSQETGPDFYMDCTYGASSNPQYPVETLLLGPEYLAKRLYQLSSPEDLTLAMAMVRPSQWFADDAVLKENVLTAERYGAVRRVCVVAEDDASWSAEFQRRMASWNPGTEVRGLKGADHMLMLSKPRELSELLVEIADKYRSVYT >KQL06393 pep chromosome:Setaria_italica_v2.0:V:33023643:33024605:-1 gene:SETIT_004703mg transcript:KQL06393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATRRMTAPRPLPPPPDLETHPSEEQLIASLLRPRAAAPGDDGPCAFIHDADVYSADPAELTAEFAPAVASNGDGAWYFFSAVRAKTRDGQRKARTVDTGEGCWHSEAGAKPVVDEAGRYLGHRQSFSFVTKVDGRRVRSGWLMVELSLDDGGGGGGAATDMVLCKIYFSPRARVNGGAPAASSSSSLAGRKRKAGAAAGNNKNPAPAARQQRRRRARPTEAGAQSHDEDTDDDKGEESTTQGGEVAAESSAAGEEEEELWTDGSSFSWWMKNRDRIMKEYNIVDRSDEELLETLGLTEYMKALTSFDYGDDMDPRLRK >KQL03916 pep chromosome:Setaria_italica_v2.0:V:5333806:5334215:1 gene:SETIT_003689mg transcript:KQL03916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLLFLLVIVSGNDDERLTGEQEVEATPAVRTTKNGGWSPAWSGLASIASPRGCR >KQL05071 pep chromosome:Setaria_italica_v2.0:V:15268662:15270695:1 gene:SETIT_004417mg transcript:KQL05071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPPPPSPTHRIHSFLYPPFPSPQLLSALYYTLPRNRATHADQTESQNSPSDPVANPATDRGCRLFPPPPLPLPMGSADRSEIDGVVVAEKGARSCVECRATTTPMWRSGPTGPRSLCNACGIRYRKKRRQELGLDHKQQQQQNQQQRQQHNGEAKTEVKDSSSNSSSSGSSNLQAVQKRRLLMGVEEAALLLMTLSSSPTSTLLHG >KQL07755 pep chromosome:Setaria_italica_v2.0:V:41575091:41576051:1 gene:SETIT_002837mg transcript:KQL07755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVLGKITVETPKHEVLHTGAGYEIRRYPPCVAAEFTYDPKEWKGDPDGGFTVLANYIGALGKPQNTKPEKIAMTAPVITSGAGDGEASSEKIAMTAPVITTGGEPEPVAMTAPVITDDQQAPGKVTMQFLLPSKYAKAEDAPRPTDERVVIREVPERKYGVARFSGVAAEKTVREKAEGLRAALEKDGYTVKGPFVLARYNPPFTLPPLRTNEVMIPVE >KQL03950 pep chromosome:Setaria_italica_v2.0:V:5563657:5567053:1 gene:SETIT_004653mg transcript:KQL03950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPMEPEGRDAAGKPLLVRVAGGSGGNSRGSASSSSIAVVVGSTAVAVAGSFEFGLSIGYSSPSQLGIIRDLHLSLAEYSVFGSILTIGAMLGAIVSGSIADRAGRRGAMAISDVICALGYLLIAFSQNYWCLDIGRVLIGCGISLLSYVITPKNLKGGFATVNQFMICCGGSLAFVLGTFINWRTLAIVGVAPCLLQLLGLPVIPESPDGFSGHPGAFVAELQKLRGQGTDISEEASEIKVFTEKLQRLPKSKMLDLFQKDYLHAVIVGVGLMVLQQLGGVNGILFYASGVFVSAGFSSGNTGTVAMAVVQILMIGLGVLPMDKAGRRPLLIVSAAGTCLGCLLIGLSFLSKEQHWEMGLERARFGWPSGFHWILFTGHGGIPWVIMSEIFPINMKGSAGSLVTLAHSSSSQPSVGSQSYLWSA >KQL06203 pep chromosome:Setaria_italica_v2.0:V:31655794:31656102:-1 gene:SETIT_004618mg transcript:KQL06203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWTQNASAKALPSCISSVIREDKRIPKGYLPIVLVHDEEGGAETRVLVRVKDLKEPCMAGLLEMAEQQFGYGQQGVLRVPCDAQRFEHVVNMARKSKAAR >KQL04742 pep chromosome:Setaria_italica_v2.0:V:11328863:11332541:1 gene:SETIT_001616mg transcript:KQL04742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFKVTRISEGPVKPASATPEETLPLAWVDRYPTHRGLVESMHIFRSGADAAPGVIREALGKALAFFYPLAGRIVEGAEPGCPAIRCTADGVYFAEAEADCSLEDVRFLERPLLLPKEDLVPYPGDDRWGVEPHNTIMMMQITKFTCGGFVMGLRFNHASADGMGAAQFINAIGDMARGLTEPKVKPVWDREKFPNPKIKPGPLPELPVLALDYIVLDFPTGYIDGLKKQYKEHSGKFCSGFDVLTAKLWQCRTRALNLEPNAEVKLCFFASVRHLLKLDRGYYGNSIFPVKMSAPAEKVLGSSIMEVVDMIRQAKDRMAVEFFQFAKEETDQDPFQMTFNYESTYVSDWSKLGFSEVDYGFGPPVFAGPLVNNDFIASVVILKAPLPLDGTRMLASCVTKEHSEEFIRGMKADLP >KQL03381 pep chromosome:Setaria_italica_v2.0:V:2078548:2081851:-1 gene:SETIT_004032mg transcript:KQL03381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKKHDEFSGEEVIAEFEALTRDAAAVQRETLRWILADNAETEYLRDRGLAGRTDAASFRACVPLATHADVEPYIARIADGDTSALRTTQGKRKYLPVNDELFKLTMHVYRTSFAFRNRYVDAMHFVSDCYYDFIRSISTCRAFPVDGGGKALQFVYGSRRFTTKGGLTATTATTNLYLAQGYKAAVRGIQLPSCSPDEVIFGPDFAESLYCHLLCGLLFAGEVRVVFAMFGHNLALAFEALERVWEELCHDIRRGAPSPARVTSPAVRRAVSALLAAPNPALADEVARRCAGLTDWYGVIPALWPNARYVHTIMTGSMEHYVRKLRHYAGGLPLVAMDYGASEGMVGANVEPEMPPESATFTVLPNTAYFEFIPLKTSDDGAACPDASYAEAEPVGLTEVTVGEHYEVVMTTFAGDVVKVAGFYNSTPKLKFVCRGILTLSINVDKNNEQDVQLAVDTASKILAAERLEVLEYTSHADASSDPGHYVIFWELNAEANDDVLQSCCDELDRAFVDAGYVSSRKTKAIGPLELRVLQPGTFQKVMDHYLSLGAPVNQFKLLRCVAKSNSSVLRILSSSTVKVLFSTAYE >KQL04038 pep chromosome:Setaria_italica_v2.0:V:6067341:6068721:-1 gene:SETIT_002003mg transcript:KQL04038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQGVFAHEQGTTGDQHQQPHGIGVGDDLELPPGFRFYPSDEEIVTFYLKPKVEQRSFTCIAIGEVDLKRTEPCELPGKAKTGEKEWYFFYEKDRKYRTGLRMNRATEGGYWKATGKDKEIYRTMTGVLIGMKKTLVFYTGRAPRGQKTTWVMHEYRLEGNNKSPHPSSSSTSTTMKSSSASEATDEWVVCRVFRKATGIKKAPTPPPYNHAIVDSGIDQRSIPMPPPLQLPMLPNFTMDPVGSYYSIAGVSSSSLSPVIPPITAGTGNDMLQMNSALFGNMMAVPPPMPFSHQLGIGTASASTFMAAPQSEASSILSQKDVGMSLHQTNAMDISSMVSATLESMGTMDMDGFWKY >KQL07594 pep chromosome:Setaria_italica_v2.0:V:40700361:40703005:1 gene:SETIT_004202mg transcript:KQL07594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGLGLRGAHRPVALLLVLVAAAAGAAAGKGKGAHAGTSRLRFRRESGTFKVVQVADMHYADGRATGCEDVLPSQVAGCSDLNTTAFLYRVFRAEDPDLVVFTGDNIYGADSTDAAKSMDAAIAPAIDMKLPWAAVIGNHDQEGTLSREGVMRHLVGMKNSLSGLNPEGVEIDGYGNYNLEVSGVEGTSLAEKSVLNLYFLDSGDYSTVPSIKGYGWIKASQQVWFQQTSSSLQRKYMNENPKQKEPAPGLVFFHIPLPEFSSFTAVNFTGVKQEKGISSASINSGFFASMVEAGDVRAAFVGHDHLNDFCGKLSGIQLCYAGGFGYHAYGKAGWSRRARVVSVQLEKTDNGEWRGVKSIKTWKRLDDAHLSTIDSEVLWNRGSNGRRKKNPDGSNS >KQL07358 pep chromosome:Setaria_italica_v2.0:V:39291675:39293667:-1 gene:SETIT_002065mg transcript:KQL07358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSALWIAACSLLLLSSSSGVEGIGVNYGMIANNLPSPDKVIALYRSRNITDVRLFHPNTTVLAALQGSGLGVVLGTLNEDLARLASDASFAASWVQSYVQPFAGAVRFRYVAAGNEVIPGDLAAYVLPAMRNLESALHAAGIAGVPVTTAVSTSVLGSSYPPSQGAFSEAALPTVGPIASFLASRSTPLLVNVYPYFAYAADPSSVQLDYALLEPASAAAVTDGGVAYTNMFDAIVDAVHAALDRVAGAQGQEGVEVVVSETGWPSGGGGAGASVGNAAAYVNNVVRHVGSGRGTPRRPGKALEAFIFAMFNENEKPEGVEQHFGLFQPDMTEVYHVDFTAAGSSS >KQL07054 pep chromosome:Setaria_italica_v2.0:V:37349939:37354967:1 gene:SETIT_000651mg transcript:KQL07054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVARPEPQEPRVIAHVDMDCFYVQVEQRRNPELRGQPTAVVQYNDWKGGGLIAVSYEARGFGVKRSMRGDEAKRVCPGINLVQVPVARGKADLNLYRSAGSEVVAILASKGKCERASIDEVYLDLTDAAKEMLLQAPPDSPEGIFMEAAKSNILGLPSSDASEKEKNVRAWLCRPDADYEDKLLACGAIIVAQLRVRVLEETQFTCSAGIAHNKMLAKLVSGMHKPAQQTVVPSSSVQGFLASLPVKKMKQLGGKLGSSLQDDLGVETIGDLLSFAEEKLQEQYGVNTGTWLWKIARGISGEEVEDRLLPKSHGCGKTFPGPKALKNSASVKSWLDQLCEELSERIQSDLNQNKRIAQTLTLHARASKENERDSTKKFPSKSCPLRYGTGKIQEDAMKLFESGLHEFLESQNTGWSITSLSVTASKIFDIPSGTSSILRYIKGPSSAASPAIPDSSSVPDDPSLDNNVHVTPIHEEQCEPSISEKEDGKRYSSISAKQCPANEEKRIPKKLPEVKGTSSILKFLSRGQSALHEKRKSDVLICSPQGPGSSSEANKAEEHNVSGQAADRSNINSGGEPSGSNPWLFNVEDIDPAVVEELPLEIQREIQGWIRPSKEPSTKRRGSTISSYFPPARS >KQL07379 pep chromosome:Setaria_italica_v2.0:V:39416168:39418547:1 gene:SETIT_000701mg transcript:KQL07379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVWSTSTESQAQLTRQTTSLLFCLLPTNSLSYSASCFDCSCNTNCGGHGEPQEAYPVPAPGLGSHGGAQAGAAAAVVGQRGRRLRLLHADHAHDHDDQLLTPRRRSPCPPGRSSQGSLVKADGHVYSMAAAGDFLYTGTDSRNIRVWRDRPELGGFRSSSGLGKAINVAADGRIYTGHQDAKVRVWRRASSPPEDPAAAAHRRVGSLPRLRDVLKSSLLPSQYVETLRHRAGETEATGVASAAGTMLAECCRRSAARPAPISYPQAPDKRTLPSPAYARPPGLCRGHTNRARKYRTRLDKALLSREPDATPRASPAVSFPHGLIGVLPALRLAPAARAHQLALHSSSADRRGTVGRQSSHSPATGASRCGRQPPEGAGARGGAGRAAVAGAAAGAGARGRGRHVPAAGAVQPGLRLLPGHAQGHPRPPRRQCATVRVRPAADVEADAGGQHPVRELLPAQVRGAVGGGEVRGREAGQGAGGGVAAHPPHQQAQRHHRGHRQPREADRLPGALRHRQHLRPRRTCRHQRREDRRPNLLQLRAGDSTGTDRFSQSGQGHRQGKHGGALRRERQRQAVVVVGEGSQGHSGLVSSMIDCSNNALCELVGSAGDELFASKIR >KQL08218 pep chromosome:Setaria_italica_v2.0:V:44236454:44245173:-1 gene:SETIT_000353mg transcript:KQL08218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKIQSEIRRVDASILAAVRQQSNSGTKAKEELAAATNAVQELMHKIHEIKTKAEQSETMVQEICRDIKKLDCAKRHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDVPKITELREKFKNIKKILKSHVFSDFSSLGTGKETEDPMLLQQLSDACLVVDALEPSVREELVKNFCSKELTSYRQIFEGAELAKLDKTERRYAWIKRRLRSNEDTWKIFPPSWHVDYLLCIQFCKITRTQLVGILNNLKEKPDVATLLLAFQRTLEFEEELAEKFSGGTATARNKESASDDEDESGEHNKIVSDIRKKYEKKLAAPNDEVGHFNFHGIISSCFEPYMNVYIELEEKSLVDQLEKLVQEERWEIEEGSQTNILSSSMQVFLVIRKSLKRCSALTKNQTLFNLFQVFQRILKAYAAKLYARLPKGGTGIVAAATGTDGQIRTSDRDERMICYIVNTAEYCHQTSGELAENVSKMINPQFADKVDMSEVQDEFSAVITKALMTLVHGLETKFDAEMVAMTRVPWATLESVGDQSEYVNGISSILSSSIPVLGSLLSPTYFQYFLDKLAASLGPRFYLNIYKCKHISETGAQQMLLDTQAVKTILLDIPALGKQSTGAASYSKFVSREMSKAEALLKVILSPVDSVANTYRALLPEGTPLEFQRILDLKGLKKADQQAILEDFNKHAPAPAPTIKHPAVAPSVAPPVATTSIQMAPSVATPAVSMTPSMAALTGALANREDVLARAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >KQL08483 pep chromosome:Setaria_italica_v2.0:V:45661991:45662413:1 gene:SETIT_003951mg transcript:KQL08483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRKVAAPAVFALALLLVAYCAEAYMCTTQNRFFHGRCVNNLNCASSCVHERLGTGGHCATRKRSAADPGVDIDWVWNRRICVCVFQCRWPSPPGEEEPPSGGEEPPA >KQL06253 pep chromosome:Setaria_italica_v2.0:V:32075475:32077022:-1 gene:SETIT_004476mg transcript:KQL06253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRAWMYGIQRYSHTFMSEVSKFVKAAEKHAHICRTKQICCPCFDCNNNIRGFVDGYTIWSHPGEARDDDYDSGDQNGDQTDARVELQVDEERDVDMEDMLRHIEPKVLLGSAKGSENFETLKKVAKYCMYEGCGNEWTVLRFVLHLLISKAKFGWLDNSFNDLLTLLGKLLPKPNFVPKNIYEAKKIINQLKMRVQRIHACRNHCILYHGEYATLEKCPNCDASRYKNNADFCEDHAGSSIRNKRKKGAKKSVGAQVEDESFIGTDTTTRHRVPALVMWYLPVVDRLKRLFSNPKPTEMMTWHADCLKKIQETIKHGQFATLGNV >KQL05448 pep chromosome:Setaria_italica_v2.0:V:24595731:24598850:1 gene:SETIT_005611mg transcript:KQL05448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGRPGAVATHPALVADLDLRWRICNVESHAGDRGALARCSGDYAVVISQNTTAPPVPRGPNLLGEGSHVHIDSV >KQL05449 pep chromosome:Setaria_italica_v2.0:V:24595731:24598850:1 gene:SETIT_005611mg transcript:KQL05449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGRPGAVATHPALVADLDLRWRICNVESHAGDRGALARCSGDYAVVISQNTTAPPVPRGPNLLGEGSHVHIDSV >KQL04708 pep chromosome:Setaria_italica_v2.0:V:11135889:11136976:1 gene:SETIT_002172mg transcript:KQL04708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGKTNQPAGAIGPEPFMKSAEAVPVIPVVGLTTDPKLWVCFYQGTWVLATLVPGIVSIQRNFAPRRGDVVLASIPKSGTTWLKALAFATMARAAHPPADNPGHPLLRLNPHQCVPYMERMFAAGDEAVMDTLPSPRLMSTHLHHSILPTSITNNPDCKIIYICRDPKDMLVSFWHFVRRVNADISFSDVFEAACNGTSVSGPIWDHLLGYWNASKASPETVLFLRYEEMLRDPAGNARKLARFVGQPFSPAEEEAGVVDQIVRLCSIDKLKSVEVNKGGSGTAGTHFANDWYFRKGGAGDWANHMTPDMARRLDAIVEEKLSGSGLSFARD >KQL04301 pep chromosome:Setaria_italica_v2.0:V:7987642:7988583:1 gene:SETIT_002324mg transcript:KQL04301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNHRLLAILLRLFSHNPSTAAPLSSSLELSKLRCSSLLEFVETLLSCQALMDPSFASPVWAVWSLILMLAASATAAPANVQGGDCSANIRCGNLNISKPFGIVPDQATEPNCGSLGFQVICKNHTPYLGYYHPNKNIPDYYQLQILDIFYGNGSLLVADMEKLEDLRNLTHEDCQQYRFPTANTSSKIALPFSIDPIVNQNLILYNCTKPPAPAAAAAEGLAERSCGNNTLYARVGDERGNSSSDDFIMEGCTAIFVPVRGGYGKASASNYAQLLSDGFLLTWQLTPQPLQLPPPHPPLQPRAGKFTFLNE >KQL07335 pep chromosome:Setaria_italica_v2.0:V:39186481:39187314:-1 gene:SETIT_002937mg transcript:KQL07335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTPDASSDSQGFTCGALLMCLYLPGLSKKKPEVEATAASAPAETAPAPAPNEPATEQAPPDAPSRAASLEKSECASLYSGSNIVFDFVVEEAGDRREERGGARAVHGYCPSPCFDLPVELIRAGERFGATGGGDSDAPVTAAFVFGDDGGNRGGGALQKMASCLAPGAEGDGEPPHLVRFLSASGRSSVARPPVMVMPSRGAPQGM >KQL06611 pep chromosome:Setaria_italica_v2.0:V:34618559:34618942:-1 gene:SETIT_004718mg transcript:KQL06611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVTRAGPVGGRGTHCSAARARASMAGLQRSSETFRRSGSSGTVWEDKHQSASGELARPARSANKEAPAARQQQRRSGSSGHGGYRTGHVQPALDPPSPRVAACGFCSIFGKDKQLPARGAGGKGRRR >KQL08494 pep chromosome:Setaria_italica_v2.0:V:45700426:45708278:1 gene:SETIT_002143mg transcript:KQL08494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAEEPSQMRRALVDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLQRDVYGPSKYTGLLQATKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATIGSYPFDLLRTILASQGEPKVYPNMRSAFVDIIKTRGFQGLYSGLSPTLVEIIPYAGLQFGSYDSFKRSMMTWNRYRYSHLNLGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWLESILM >KQL08491 pep chromosome:Setaria_italica_v2.0:V:45700368:45708963:1 gene:SETIT_002143mg transcript:KQL08491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAEEPSQMRRALVDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLQRDVYGPSKYTGLLQATKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATIGSYPFDLLRTILASQGEPKVYPNMRSAFVDIIKTRGFQGLYSGLSPTLVEIIPYAGLQFGSYDSFKRSMMTWNRYRYSHLNLGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWLESILM >KQL08493 pep chromosome:Setaria_italica_v2.0:V:45700368:45708963:1 gene:SETIT_002143mg transcript:KQL08493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAEEPSQMRRALVDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLQRDVYGPSKYTGLLQATKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATIGSYPFDLLRTILASQGEPKVYPNMRSAFVDIIKTRGFQGLYSGLSPTLVEIIPYAGLQFGSYDSFKRSMMTWNRYRYSHLNLGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWIGSTAGVE >KQL08492 pep chromosome:Setaria_italica_v2.0:V:45700368:45708484:1 gene:SETIT_002143mg transcript:KQL08492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAEEPSQMRRALVDSLAGAISGGISRTVTSPLDVIKIRFQVQLEPTTSWGVLQRDVYGPSKYTGLLQATKDILREEGLPGFWRGNVPALLMYMPYTAIQFTVLHKLKTFASGSSRTEDHLHLSPYLSYVSGALAGCAATIGSYPFDLLRTILASQGEPKVYPNMRSAFVDIIKTRGFQGLYSGLSPTLVEIIPYAGLQFGSYDSFKRSMMTWNRYRYSHLNLGSEDDSVSSFQLFLCGFAAGTFSKAACHPLDVVKKRFQIEGLKRHPRYGARIESSTYKGMYHALKEIVAKEGFGGLYKGLFPSLVKSAPAGAVTFVAYEYISDWLESILM >KQL04432 pep chromosome:Setaria_italica_v2.0:V:8957089:8957826:1 gene:SETIT_004730mg transcript:KQL04432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIFNDSLDLHKFSANALPERKRDIVDPTIWMHTDAYNSTIRSGIKNCLLSVVCLEISCSKKQPREQIPIQDAAIEMHAIRDSYLKFARSLLVEHGVIYGSIK >KQL05621 pep chromosome:Setaria_italica_v2.0:V:26409693:26415787:-1 gene:SETIT_004786mg transcript:KQL05621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQSLLVFLTAVLLSSSTPTAGNDDDGVTAEVNLLCYSCRGDCGRVGGRILGPRCNLRYEKELIFRENYGTLQIDLPKGGKLQRELGDWHNEPTLLLVIVTALPLSTTAVASECDRLEEMTTRVNPLYYDCGCSKYNRSDSYHNDLGILAGKLRSNISASDSNFFAFANVGSVYGFVLCRGDYKGPQCANSLNQTIQDAVLNPFICPFYKDVTIYSDQHMLSFSGDQYLIYKDDRPAWVASNMNYVKNGTATSDGVSYGERVEELLNGTADYAAFNSSDLYATGESWFGEGGVSMVYGLVQCRPDLKRELCRQCLAELISSIPKQFTTNSGDHRVGGRILGVRCNLRFEKDLFFKETNETSKLHMPKIYQMLELRRRIQIALLCVQENPDHRPHMREVTTMLSNND >KQL06311 pep chromosome:Setaria_italica_v2.0:V:32517541:32526657:1 gene:SETIT_000263mg transcript:KQL06311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVSVAAEWDLLSDRFYRRLTLYSPLPWSAPAPTSTSSSSGVGGPVIGRLDLSTHIVAAAPFGGPIAAVRDDSKIVQLHSEPSRRRLLLFSSSGHPIASAPWPPMLPRLHSLAFSSSLSLLGLISDGSLLRFRLPDLQPSPSSSPMPLLPPASGGVADAVFWGGGVAILTEDNRVVVATDIEADDPHPRDLADPGVGDEEHVLCMAVVEPQFVMSGSPEVLLAVGDRVVAVDEDGVQVLGEELEIGPVQKMAVSPNGKLLAAFAHDGRLLVIPTDFSRIIFEYECDSALPPEQIAWCGLDSVLLYWPEVLLMVGPNGDPVQYNYDEPIKLIPECDGVRILSNSSMEFLHRVPDSTTLIFGIGSMSPAALLYDARDHYDRQSAKAYDNYQLISSSLPEAIEACIDAAGHEFDVSRQRTLLRAATYGLAFCSRFPHERFQEMCKMLRVLNAVRDPEIGMPLTIQQYKLLTAPVLIGRLINANQHLLALRISEYLNLNPEVVIMHWACEKITASASLPDTVLLEGLLDKLRLCKGISYAAVAAHADNCARRRLAAMLVDHESQSSKQIPLLLSIDEQDKALSKAIESGDTDLVYLVLFHIWQKVAIEKKAHLDFFGVINARPVARDLFIAYARHSKHEDLKDFFLSTGRLQDAAFLMLKESSELEKNPMASKGSHLQQVRPIEQAHRLFAETKEHVFESKASEEHAKLLRSQHELEVSTKQAIFMGSSVSDTIKTCIAMGNERAALKVKSEFKVPDKRWYWLKTCALATVGNWDALEKFSRERRPPGGYKPFVEACIDAGQKTEALKYIPKLTDPRERSEAYARMGMAKEAADAASQAKDSDELFGRLKITLAQNSAAASIFDTLRDRLSFQGAY >KQL03151 pep chromosome:Setaria_italica_v2.0:V:74078:74514:1 gene:SETIT_005239mg transcript:KQL03151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSIPSDGCCDIILNCTMFLGPLWIVCKDEIEQPLKLFSCIRSMLGDGYP >KQL03910 pep chromosome:Setaria_italica_v2.0:V:5314152:5315310:1 gene:SETIT_005041mg transcript:KQL03910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKLAVLAAGTPPPPRRPHPSPRQRQIPPRRSLRSIRSLSGGGPPPPPAPASRRRAWPAVSAALFGAGFLLGPLLDGIHSRVGLQVYGNGALDVGPLHTHVLVPPLLGAFYLTIGLLHLALDQRAPPKSKATGSSQKTATSLLLLGCWSYPNADVHLLGEGLVSWTATCYFVYTPFLANLARWLDSRLAAADDGAGAGAEGDDGAAPP >KQL04915 pep chromosome:Setaria_italica_v2.0:V:12996836:12998560:-1 gene:SETIT_005184mg transcript:KQL04915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASSASSSLLLLSLCLALLSHFAAASPRPRDLRFSAEDIAAVEAALPLRHRRSSGTTFFEVDRPLRPPKGSSGPCSTLLLSHSFAFTLTKPPVTAAYSPPECLLEAGAVSLAVLEWRAECRGVQYDRIFGVWLGGAELLRGSTAEPRPGGVAWSVSKDVTRYAPLLAAGNATLAVYLGNLIDDTYNGVYHANLTLHLYFRRAARSSSPQPAAAADAIVPVSRSLPLNDGLWFVVQNSTDVQSARLAVPPNAYRAVLEVYVSSHYADEFWYMNTPEQNGPFREVTVLLDGDVVGAVWPFPVIYTGGINPLIWRPITSIGSFNMPTYDIELTPFLGKLLDGEHHELGFKVTNALNSWYVDANLHLWLDGKSSKTTGGLVAYDAPKLAGSIVSHSADGIDGEYEATASRNITATGWVSSSRGNVTTTFTQRLSFANTNVVSSHGSAQAINQTTDALTSVSGGGAQAQQQVHQSFPLYIFLGGDGSGTSSQRLMRRVEIGFEEARSGAVTSTLRNRQAAAAEVTLRDDAVVGASWRMHQTYAYGASDGGCYLRNVSSVGYDVLFDHRDASCAGARGR >KQL06372 pep chromosome:Setaria_italica_v2.0:V:32900360:32900876:1 gene:SETIT_005584mg transcript:KQL06372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSLPDGIDANMPKTMHHHHLKQVGISSEITSYFLQSQI >KQL04879 pep chromosome:Setaria_italica_v2.0:V:12708200:12708448:1 gene:SETIT_004536mg transcript:KQL04879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REVICYESPRPPAGIHRVVFVLFQQMARGSVDVAPLLRHNFCTRNFAVDHGLGAPVAAAFFTCQPEGGTGGRRLVLRPPRTT >KQL03153 pep chromosome:Setaria_italica_v2.0:V:100461:100922:1 gene:SETIT_004978mg transcript:KQL03153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAHRDPISIAAASPPAAHRPPPAMRKATIFLMALALALAAAAPLQVAAPPPLRRSRFLANANFPPPLSFYDCSKKPPSICLQPGSPGATCCKGACVDTESSFQHCGNCNKACKYTQTCCEGKCVNTFTDHKNCGGCGVRCRTKCTNGYCDYAA >KQL03608 pep chromosome:Setaria_italica_v2.0:V:3373925:3375904:1 gene:SETIT_002082mg transcript:KQL03608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGMSRGRRRGFGLEASRGMLPLLALQVLMEYGRAGASRPPVTAALLAANALIYLRPGALHEILPSIDRVSFNPQLIIEYRDWARFFLSPFYHLSESHLFYNMTSLLWKGIQLETSMGSAEFASMVAALLGLSQGITLLLAKGLILFGDYTAYYDQYAVGFSGVLFAMKVVLNAWSDDFVYLHGMVIPAKYAAWAELILIQIFIPGTSFLGHLGGILAGLVYLWLKRTFNGPDPLTLLISSITKVVTWPLKFAQKLLRSVRSQGRITGRGRVGRRASARETGRGLWRCSTCTYDNSIATDICEMCSTAREDRSFSQRQNHQAGGSGELSVVEIRRRRLQRFDR >KQL03607 pep chromosome:Setaria_italica_v2.0:V:3373925:3376742:1 gene:SETIT_002082mg transcript:KQL03607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGMSRGRRRGFGLEASRGMLPLLALQVLMEYGRAGASRPPVTAALLAANALIYLRPGALHEILPSIDRVSFNPQLIIEYRDWARFFLSPFYHLSESHLFYNMTSLLWKGIQLETSMGSAEFASMVAALLGLSQGITLLLAKGLILFGDYTAYYDQYAVGFSGVLFAMKVVLNAWSDDFVYLHGMVIPAKYAAWAELILIQIFIPGTSFLGHLGGILAGLVYLWLKRTFNGPDPLTLLISSITKVVTWPLKFAQKLLRSVRSQGRITGRGRVGRRASARETGRGLWRCSTCTYDNSIATDICEMCSTAREDRSFSQRQNHQAGGSGELSVVEIRRRRLQRFDR >KQL08699 pep chromosome:Setaria_italica_v2.0:V:46748363:46752663:1 gene:SETIT_001545mg transcript:KQL08699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRRGRSASRSSSGSSSRSPSRSVSSGSVSSRSRSRSRSFSSSSSQSRSRSPPPAKRSSPGARKGRSPSPPPKRGSPSKKVRSPSPPPKKASSPRKASPPAESTVICIDHLSRNVNEAHLKEIFGNFGEVVNVELSMDRLVNLPRGYGYVEFKKRADAEKALLYMDGGQIDGNVVKLRFTLQPRQRAASPMKVPPPPPKRDAPQTDKGAGSAEKDAQQRPRESSPRKKPASPPRKRSPPNRRVESPRRPPDPSPRRRPDSPPIRRRPDPSPVRRGDTPPRRRPLSPLRRRSPSPPRRHRSPMRPSPRRGRGSPSPRRRSPGPLRRRSPPPRRLRSPPRRPPPPRRYSRSPPRRPLRSRSRSISPRRGRGPPLRRGRSDSSYSRSPSPPRKGPRRVSRSRSPRRPPRGRSISSDSRSSSSPSPRRR >KQL04649 pep chromosome:Setaria_italica_v2.0:V:10419582:10423059:1 gene:SETIT_001254mg transcript:KQL04649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSQSQSPKTLSPRGGTAGGAGAEHARSASEPWVVAAAAASACDDSCVNDVDNFARTVAAVKSKSASCARPDMLASVLSHYAAKWLPDVAPPPLASSPASSASGRFLPPESPTATWLKKRLLLESLVAALPPDPPAPGCGGGGAGGGGDDGITCDFLLKLLRAGSMVGADAALLRDLEARAARRLDQATLGAVMIPAFGHAGENAALLLDVPLVLRLVRGFLKEGAAASSNKASSAAAVGGGGAAAARVARLVDAYLAEAALEAGLRPAEFEELARAVPAHARPADDALYRAVDTYLKAHPNTAKEERKLLCRLIDARKLTAEAAAHAVQNERMPVRSVMQVLFSEHGKLNRLAELSASFSGPRTPNPALELPGRCPSKREVLAQHQEVRRLREDVARLQVQCNALQAQVDRLSSDRRRRGGGGGGFFRWSAFWFGGGMGADVARVDDSESGMERRTPAKGKKDSAASATPNAKWRKSTS >KQL06352 pep chromosome:Setaria_italica_v2.0:V:32793432:32796764:1 gene:SETIT_002697mg transcript:KQL06352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEHWISRLAAAKRYYAAQLGHIDDMPGMGMEEVEMEMEDDGDMEMEMEMALGDATWPEVACPYCYEDHDVASLCVHLEEDHPYEPHAAPCPICSQRITRDMLNHITMQHGYLFKNGHRCRRYIIPESHAISLLSRDLRGTHLQALLGGGHSHRSSNTATTNISSDPLLSSFGLSFPTSDAPEPSKSTPSMPDGASVRKETPAQPWESSIDSSLTSEEREQKRKQATDRATFVQGLVLSTLFGD >KQL08181 pep chromosome:Setaria_italica_v2.0:V:44052933:44055959:1 gene:SETIT_001715mg transcript:KQL08181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDDEAISGVNPRSAAAAQNIVAACQLFVAKQNWDGLETYLAEFLNKVQGDKFYPHPGLFCSLYQAHISYLIGKNHFVEAQAVFDGKVKPLLDQEKDDMYKPFDLEARVQMLRNCVYNCLPLAAAADEDMNVVLADYLMLYFPTSLQNEAKRTNSISDFLVTFNDKKGKRHRCLACQWVMSASARSIEYHIKHSKQINCCRRVTKWMLEYLADVMNEKEISIQDMTAASSGIQLRKRKAPFSPRSTVLDLDQQFPIPGKHAFEIWKDLNASNMLALYALMKGKSKSTAAAKAKEILFCQEKLINELKRLFLSPTDKHVPLGSLAFMKIKALLNLSDDLLPLLKNLPDASELLLDQDKKVTELRRCFMVAAAERLPPTTPVDVTSPSTDSSESVSSKLCLL >KQL07339 pep chromosome:Setaria_italica_v2.0:V:39220241:39221213:1 gene:SETIT_004724mg transcript:KQL07339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVPRKGLRSLLLLLWLERNARTFQRTERPAHALLSQIRGEARTWKSAGAKHLLTACCCSESILSLARWASRRSAAGPLTAVQQGVARAGPPRGSCSPSRPPVRRLGHCVPLGCRPAAHRCWPVSTHARAAACRAWL >KQL06208 pep chromosome:Setaria_italica_v2.0:V:31713547:31713867:1 gene:SETIT_004101mg transcript:KQL06208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAEAEKVQQVKRAEGEAESKYLAGVGVARQRQAIVEGLRRFVPDEKAVMDMVLATQYFDTIRDIGGTSRAATVFIPHGPAAVHDVAAQVRDGVLQAATHAPGGGAVA >KQL04325 pep chromosome:Setaria_italica_v2.0:V:8169306:8175769:1 gene:SETIT_000650mg transcript:KQL04325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLPLLLLLLAGAAARAASDDPFLSGAANHSYNIDCGGAADFTSSFGRRWLADQFFSPGGAAGMVAEPHRFPQPQERTLRFFPPSSAGKSSCYSLPLPPGRYYLRIFSVYDNYDSKVRTPSYDVSAAATLVLSFRSPWPEPAARYGAYSDLIFPSATQPSSDVCFYSLSTDAPVVASIEVAPVHPLAYDGATTGADLVLVNYGRVTCGNSLFGPGFTRDPDAFSRVWQADVDFRNNDLSYDAITAGGRKIFGSNQPPNYFPTKLYESAVTTGGDATNEIEYLMPVDTRLSYMVWLHFAEIDAGIGAAGQRVFDVMLAGENVTRIDIFKQVGGFTAFKWTYIVENLTSSTLSVKLVPVVGRPILCGLENYAMVPLEMRTVPSQVAAMKALKESLKIPARMGWNGDPCAPRAWDAWEGVTCHRGDKGLVITQLDLASQGLKGFITDEISHLKDLVSLNLSYNSLTGSLPPGLGQPSLVSLDLSSNEFTGSIPGTIGSSKLQTALLNNNQLDGQVPERLYSIGVHGGIIDLSGNKGLCGVPTLPACAVFWEKGGLNKTGKIALGASFGFVLLVILIVVYILCIRRGPYDYDFEFPQDLTSISAISAKRNRYQRAKSVMLAEMEAHSPDGFYTNGGSPR >KQL08506 pep chromosome:Setaria_italica_v2.0:V:45750095:45750609:-1 gene:SETIT_003249mg transcript:KQL08506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRLLRAIRAAAVVELALDRHVRYGAPRVLLTGCKKAAAEPEGKRAASAASAWRRCARWRRRRSMGAYPWSCRAARTPPTDVPVLHLDPELQKYLAEFSPDDLIRPLRRNQQEQLGAVKNEAVGRPVCCSLNSARFLCAHLAANS >KQL03372 pep chromosome:Setaria_italica_v2.0:V:2037068:2037591:1 gene:SETIT_005224mg transcript:KQL03372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKSFKATDYRKVKDRLHFFHKREEDLALCLQYSKKVKHEMEKLIKLAKTKCT >KQL06963 pep chromosome:Setaria_italica_v2.0:V:36750498:36756156:1 gene:SETIT_002389mg transcript:KQL06963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAALALASPLRRLLRAPHPRGAISAPYYGITRGRCSSAIAVAAAAARNSAMKGSVDRNAAEEVRNILDMAERASQLRDVFHTNFLTPPIIKEAMLAIEKLADIKAVAQGGYPQAERCRISVGHPDSMTSNPDVVAALSISGNFRLEPCSHGDFLGAILGTGITREKGERGAQVLVDPELVDYLISTLEKVGKVGVSCTQIPLLALEYEPPRTKSSKTVEASLRVDALASAGFKISRTKLASLISAGDVRVNWTPVLKNGVTLKSGDIVSVSGMGRLKIGEIVTTRKGKYAVELIRYL >KQL06763 pep chromosome:Setaria_italica_v2.0:V:35481688:35482918:1 gene:SETIT_002331mg transcript:KQL06763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAGQPLHTDPPQPQRARHHSGGPPAALDAGVVPSHPPPESDGDESWVWSQIKAEARRDADAEPALASFLYATVLSHPSLDRSLAFHLANKLCSSTLLSTLLYDLFVASLAAHPSIRAAAVADLIAARSRDPACAGFAHCLLNYKGFLAVQAHRVAHVLWAQSRRALALALQSRVAEVFAVDIHPAAAIGKGILLDHATGVVIGETAIVGDNVSILHHVTLGGTGKAVGDRHPKIGDGVLIGAGATILGNVRIGAGAKVGAGSVVLIDVPPRSTAVGNPARLIGGKKGEDVMPGESMDHTSFIQQWSDYII >KQL03352 pep chromosome:Setaria_italica_v2.0:V:1931643:1932198:-1 gene:SETIT_005463mg transcript:KQL03352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLEIIAGRRNSEKIKEGKFTYFPIYAAVKVNEGDVMCLLDSSLEGNAEVGQLNRTCRVACWCIQDAEDHRPMMGQVVRMLEGVMDVEVPPVPRSLQNYVGIEDSISEDLNISISPM >KQL04684 pep chromosome:Setaria_italica_v2.0:V:10863417:10868987:-1 gene:SETIT_000389mg transcript:KQL04684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYLLLSPSPPLAFQYPSSSFGGAACRRSRARRGAAFFVASSASTPDGGPSTSAADAYVLARRVVLLGASAVPLLRLREAAAATATATTTETKDVSKLGDPQSEVTKGILKPDNPQLEGTQVETTTTEARQPQSSLQSVEEQPPGNPLLGFLNTVAVAASGVLGGLYGTSLQEKKALQSIISSTESKLAENEAALSLMKENYEKRLLEQQAAQKKQSLKFQEQEASLSDQLASAKKALTSLSEEFRKEKKLAEELRDEIQRLESSITQVGDDKDALETKLEEKVGDINALQEKISLLSQDIHDKEKHIRELSASLSSKEVDYQKLSAFTNQTKSSLELANSKIQQLEEELDGTKNALASKISLIDSLNAKLETLNSEKEEADKKISELIQEYADLKAASETRASHDSKLLSERDDQIKQLEEKLSVALTDSSKDHETILELNKELDATKVMLENELVAMETLKESIQSSEEALKDSRNEVSKLSNELEAANKLNQDLVSQISELQDEFNEMQAGMTIKLGEAESVSKALSEELASVKEMVQKGQEVLETTSNELASAVEARDNLKKELLDVYKNLESTTHELVEERKIVSTLNRELEALAKQLQVDSEARKALEADLDEATKSLDEMNNSALSLSKELESTHSRNDTLEAEKEMLSKALAEQKKITSEAQENTEDAQNLITRLQAEKDSFELRSRHLEEELALAKGEILRLRRQISPNRSQKPRTTARPRAPPEANETLKEQAVNDHNQKTSGVVAGTPQSVKRTVRGRKGGAGAST >KQL05228 pep chromosome:Setaria_italica_v2.0:V:18376412:18380162:-1 gene:SETIT_002468mg transcript:KQL05228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKTSSSSGGGGAAAGTEQRRAGLVEVQAAAAALRRSEVFHVVKELVGFVLYMHHQIPSVLQSLEDEFASLKEEMTEMTLQPAELKPSDQRKYNTRKREVRRRIKKHEKLMKGISILLCALQQALDEVSSIEGVVLILGGSLVRPLFVYDITISHGTFDSGSAKDHALTKLAQSVSRKAIRALVSCGAGSLSYTGPSKLFLLVRCPSTLNLPLDFLPKRDFRYSKKVVPLQMHIKCSKADCQGNNQHRMSIADAPCSTSESFLSDSIWFQCKHTIRGLPGKASLEG >KQL08388 pep chromosome:Setaria_italica_v2.0:V:45179802:45180208:-1 gene:SETIT_005442mg transcript:KQL08388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKVKRSISTFQMIWTFLKNDMSLMLHILIATSSTMRP >KQL08039 pep chromosome:Setaria_italica_v2.0:V:43277419:43280875:1 gene:SETIT_005456mg transcript:KQL08039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFPFSTRSWVDSMFEEYVACREGLIKAFDSDLETLVEESKKVGEDTPRELIFLISQYGSKLEKFES >KQL05685 pep chromosome:Setaria_italica_v2.0:V:26995483:26995683:-1 gene:SETIT_003883mg transcript:KQL05685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMKACILLFTAFFFSGLMQLSMAHDNKPAPATAAAARVIDAKAIDQAVAYLLMFAALIVTYFAS >KQL05991 pep chromosome:Setaria_italica_v2.0:V:29838392:29843695:1 gene:SETIT_001362mg transcript:KQL05991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLLQDESDAATTSLLPLPLLSCGPRSMGPSSSDLESILSPDPIYSDLQLKEINYNAAAMDESTEFLHLILSGNDEGYNTTTEFQVWDVLDFYVTENFSSLQFDSLMGFTNEVSTSYNYNDCMNLVDMVERPVARLSLDDTPESNNTSNEVPVDHTTLDPDETSLYLQTKPTDSETESSSSATGDVVETEYLDQKLLSRGLPDLMDVDSPSRLTKTPVRTKHVTLVLDLDETLVHSTLDHCDNADFTLEVFFNMKNHTVYVRKRPHLKMFLEKVAQMFEVVIFTASQRIYAEQLIDRLDPDGKYISKRIYRDSCLFSDGCYTKDLTILGVDLAKVAIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLESLVDAEDVRPMISKTFHDNHEQN >KQL05992 pep chromosome:Setaria_italica_v2.0:V:29839668:29843695:1 gene:SETIT_001362mg transcript:KQL05992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNDCLGTQELHTFCKTTDTLEHSHPQDTTLDKTAVGSTLISHQNVCCTAEVSGENTEIMEISLLQDESDAATTSLLPLPLLSCGPRSMGPSSSDLESILSPDPIYSDLQLKEINYNAAAMDESTEFLHLILSGNDEGYNTTTEFQVWDVLDFYVTENFSSLQFDSLMGFTNEVSTSYNYNDCMNLVDMVERPVARLSLDDTPESNNTSNEVPVDHTTLDPDETSLYLQTKPTDSETESSSSATGDVVETEYLDQKLLSRGLPDLMDVDSPSRLTKTPVRTKHVTLVLDLDETLVHSTLDHCDNADFTLEVFFNMKNHTVYVRKRPHLKMFLEKVAQMFEVVIFTASQRIYAEQLIDRLDPDGKYISKRIYRDSCLFSDGCYTKDLTILGVDLAKVAIVDNTPQVFQLQVDNGIPIKSWFDDPSDQELVELLPFLESLVDAEDVRPMISKTFHDNHEQN >KQL07910 pep chromosome:Setaria_italica_v2.0:V:42455017:42458846:1 gene:SETIT_002116mg transcript:KQL07910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEAGGDPRAWLAVDETAAAFISRSLASRPPIILPPPLHRAPLRPGNVVEIAGPSNSGKSHLLLMAAVQCILPKEWEGIYFGGLGKSVMYLDLDCRFDVLRLAQILRNRIAEGFAHLRNGGLEKDGTKDEFQCSFENTLFSDCMQHFLYVRCYNSSEFTAALKTVQSQSRSEVLGVGIYFVMIDSIGAFYWIDRASQPVRESKGKSLQSVTETVVHEIRTFLQLQPALVLVTKAPIYAEGTTTANDFNRGSSKYTLEDSTVLRYSRQEEERTLSYREYMPSIWQSFVTHRINLQVEEAEVPSVQENGVHSTHTSEWVQPSLKTKEKFSIVDDGVILIQ >KQL05545 pep chromosome:Setaria_italica_v2.0:V:25594519:25598503:1 gene:SETIT_000475mg transcript:KQL05545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCAGEEEEWPGGVTGADAEVGALVWVRRRNGSWWPGRILGMDELPENTVIPPRSAGTPIKLLGRPDGSIDWYNLEKSKRVKSFRCGEYDECIQKAKALARHQKKTQTEGRYVRREDAIIHALEIERSRFPNNYDDDLEEDTDDDVCASQNIYSAKSKNINGFNKRSSRGARSMYDIEESSAQDMSQALTVYKKPQNLSSSSTRYASSKKKKRKGRKNFEDDTVQGFQRMRDLREIGTKNVTKQKSGAGIFSDVPLLESGPSFGYDLSSTNGIKKGKQSHSSIKKKRSNIGQSYENSRKKDRHRPLSKLCEDPEASGTYYHWDPSGQSSSQYPGGQMPNMFEPSRAKTIFSTDVNNCSYSSGTSSLETLLDTSHNNHKGSAISDGDKFFDDVLEEGHVDTYGSCTSIKDQISEPINQTTDCGIVGTSSTRHHRSSKKKNISSFAQIPEESRMKDNNSLLKQYEGTIKLDGGVFRPTELEDSIRHATPEHEESSETISNHSNSEKGTTSLPYYVPLQVLPPLEPQPDLKPPRCPVTRPPTKRARADHRLYDVELTVRRSQRGHPVPLVSLMSKWTGKQIVGYPVTVEVLEDSRPAASRDEHCPAMSSLDSLLKSRVTEPRQARSSNASRSKSKSSCRKKASEHDLDKSWRPHAKKPASSPRKMRRLSSFAGSRRESGDRKPVVVKTGGPTVACVPLRLVFSRINEALSFSVRQENPT >KQL06351 pep chromosome:Setaria_italica_v2.0:V:32777573:32790260:1 gene:SETIT_000028mg transcript:KQL06351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIIPEQQKQPVRSYDTKIFSRHDPKLLKASAFLPTMETPFVPNSFTGKRKSTVGNPPIVQPHVGSRAVHEYQFLPEQPSDTYERASRSHYYDTPVEISNSRISSLTSGSQLLHGSEEAAPSYAFQGHTSGSGLLPQPSRSQAFPAVPADHEMAQSNSNLNSVPVEGQFDISQVAAFENPLVSSERRVYHDEDASRVERKRKHNEEAKIAKEVEAHERRIRKELEKQEMLNRKREEQRRKEMERLDRERRKEEERLLRERQREEERFQREQRREHERMEKFLQKQSRRAEKQRQKEEMRKEKEAARQKAASERATARRIAREYMELMEDERLELMELAAQSKGLPSTHLDSDTLQQLDSFRGMLSQFPPQTVRLKVPFSIKPWIGSEDNVGKLLMVWRFLITFTDVLGLSPVTLDEFVQSLHDYDSRLLGELHIALLKSIIKDIEDVARTPSIALGVNPGGGHPQIVEGAYAWGFNIRSWQRHLNLLTWPEILRQFALSAGFGPQLKKRNVDDSYYRNDNEGHDGENVISTLRNGSAAVNAAALMKERGYTHRRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVAEKIQKSGLRDLTTSKTPEASIAAALSRDTKLFERTAPSTYCVKSPYRKDPADSEAVLSAAREKIRAFQNVLSDSEAEKEADEAERDDDSECDDNDDDPDGDDVNTEVEDDKDPLPAVKAQDEVPITTEVGDIKTEADSVGNALNSSSSFTKSAKGVPLPSLGKSIAADTGNESPLGASSANHEVTPDNSENTQIDESNQVEPWVRALAEGDYCDLSVEERLNALVALVGVATEGNSIRGVLEERLELANALKKQMWAEVQLDKRRSKEEFASRMQYNSYMGLKADMYQENNATESTSTPACNAYKENDGHMGTINNCEILDQHSQGNAGSISYERNGVGQEMMATPDASSVQQYAYADKTRSQLKLYIGHRAEQLYVYRSLPLGQDRRGNRYWQFTTSASPNDPGSGRIFFESKDGYWRVIDSEEAFDSLVAALDTRGSREAQLHSMLQMIEPTFKEAIKRRGASIELSTGRYPKNGATDMIRANCHSGSSNSTPFSVDSATEYSDSFKVELGRNDFEKTAISRRADAFLKWMWRECYNQELTCAMKYGVKRCSELLHSCNFCYQIYLAEERHCSSCHKTFKSIYNFSEHTTQCEEKQRTDPNWKMQIVDYSVPIGMILLKLQLASIEASVPSEALQPFWTDVYRKSWSVKLYATKSTAEVFQLLTVLEGAIRRDRLSSDFETTSECLNSQDVAPQNPLLPAGSASVLPWVPDTTAAVMVRMLDLDSAVSYTQNQKMERDGGGFMKFPSRYTVAKSKQETTPLEPPTGSDLYGGGRWLPGSGRRGRGRGSRGGSRGGRGRSRGGRVPRGVSSSSRVEFKDDGVASDKAPRKNARRGRARGRARGRGRRTVRSRQPSEGRARSIPKANLLGSFSMLSSSKPATVEESPRSSGADEWGLEARMPYTEGDENSSGSQSEDNEENGQPMDDDYEEQVPDYSIGYSSGSRPHGMMSMMDPESDEEDEDAEGDENAEEDDADHAVDDADIEMDEDDEIVDDGDGGEMNVDEDEDATSYSSDYSE >KQL04727 pep chromosome:Setaria_italica_v2.0:V:11222735:11223343:-1 gene:SETIT_004066mg transcript:KQL04727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RITQVQEQSTDQETKQPKRPSEIPKGETERRATRIRGGEMDARMFGLETPLMAALQHLLDVPDGDAGAGGDKAGAAAGGPTRTYVRDARAMAATPADVKELPGSYAFVVDMPGLGTGDIKVQVEDERVLVISGERRREEREDAKYLRMERRMGKFMRKFVIPDNADMDKISAVCKDGVLTVTVEKLPPPEPKKPKTIEVKIA >KQL05220 pep chromosome:Setaria_italica_v2.0:V:18149259:18149716:-1 gene:SETIT_003897mg transcript:KQL05220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TWVIHEEPTSMRVAGTRIKAQMLRGGDLKADVCNLVMRLYSQLDEQIYQNSGIRDPRWRHFLPADWTDGRTRFLILNFVVIHL >KQL07099 pep chromosome:Setaria_italica_v2.0:V:37655487:37656325:1 gene:SETIT_005375mg transcript:KQL07099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGSGFYRIKNLISSLNPPFSLLPSLLPPAAWMKPRSSRSVWTKLRPWHRSELARAAVPAAASRCYLRPRRLRYFLAASSTATPATPACVAAA >KQL04081 pep chromosome:Setaria_italica_v2.0:V:6347579:6348076:-1 gene:SETIT_005034mg transcript:KQL04081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYSSFSNDRPVGDGRNSAAAEAAAGGGGGGAWPSPSAEDAMGFVQMVKEAFKDRQPDKYHLFLRVMDDFRSQRIGVAEVASTAAALFRDRPDLALSFNAFLPKGHRIQLGIDDLAAYFIRDMNLDDDDGGGDDDH >KQL06683 pep chromosome:Setaria_italica_v2.0:V:34979005:34979754:1 gene:SETIT_004318mg transcript:KQL06683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLTVHNMYGSTTDLSNPKLVHRQSIKRKRHPASTMEPRPQQEAAGNKQKPRALHTSKRRHDALLIDCLGDLSFIVFVILLPIYFLYDMPPEFSVQLAAIRGLAMPDPGGASISTAFNVTLHAGNRRATGRCYHNSEALVIYDGFTIAAGRVPGFCVPGKGAREIRFLVSADGVGLPEHVLDRMALERRVGATQLDVEVKLFRRDDGSDRPMWIWCGLRMDGTTQPPNVTPCTVLGLQNWFSKDLYAR >KQL06478 pep chromosome:Setaria_italica_v2.0:V:33722994:33723911:-1 gene:SETIT_004596mg transcript:KQL06478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRILRSSPLLVAASILVAFTAVSGAGQVAVYWGQGGSGGDGSLADTCATGLYNFVNIAFISSFGKGNGQPPVLNLANHCDPGAGTCAVFSSEIKSCQASGVKVLISLGGATETYSLTSDDEARGLADYLWDNFLGGSSPSRPLGDAVLNGVDFDIEKGGVDHYDELARAISSRCGGACVLTAAPQCPYPDLHLDAAIRTGLFSHVWVQFYNNARCQYASGDASSLESTWKQWTATVPSPGNVFLGLPAAESAAPSGGYIDADTLRSQVLPAVQGAANYGGVMLWDRARDAASGYGNSVRGNV >KQL04643 pep chromosome:Setaria_italica_v2.0:V:10395949:10400719:-1 gene:SETIT_000570mg transcript:KQL04643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRNPFKGVIADIKGRAAWYRHDWVAGLRSGFRILAPTMYIFFASALPVIAFGEQLSTATNGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKQQGLGEQLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQEAIKGIINEFSVPEDADSSSPIYQFQWLYVNGLLGVIFSIGLLFTALRTRRARSWLYGVGWLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLFSPLPWESSSLGHWTIVKDLFSVPPAYIFAAILPALMVAGLYFFDHSVASQLAQQNEYNLKKPSAYHYDILVLGIMVLLCGLIGIPPSNGVLPQSPMHTRSLAILKRQLLSKKMVDTAKESIGKSATSLEIYGKMQEVFIEMDSEQNTDSVDKELKNFKDAVLQEGDEEGKLAGEFDPRKHIEAHLPVRVNEQRLSNLLQSILVGGCVGAMPVIRMIPTSVLWGYFAYMAIDSLPGNQFWERMQLLFIAESRHYKVLEGPHASFVESVPPRTITIFTVFQLVYLLICFGITWIPIAGILFPVPFFLMILIRQYLLPKFFDPIVLRELDAAEYEELDGVPHEHTLEDEVSEAGSCISRRDAEILDELTTNRGELKHRTSSLREERSVQVPSNAVQPRL >KQL04644 pep chromosome:Setaria_italica_v2.0:V:10396594:10400719:-1 gene:SETIT_000570mg transcript:KQL04644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRNPFKGVIADIKGRAAWYRHDWVAGLRSGFRILAPTMYIFFASALPVIAFGEQLSTATNGILTTVETLASTAICGIIHSILGGQPLLIVGVAEPTIIMYTYLYNFAKKQQGLGEQLYLAWAGWVCIWTAIMLFLLAMFNASNVISRFTRVAGELFGMLITVLFLQEAIKGIINEFSVPEDADSSSPIYQFQWLYVNGLLGVIFSIGLLFTALRTRRARSWLYGVGWLRSFIADYGVPLMVIVWTALSYTLPSKVPSGVPRRLFSPLPWESSSLGHWTIVKDLFSVPPAYIFAAILPALMVAGLYFFDHSVASQLAQQNEYNLKKPSAYHYDILVLGIMVLLCGLIGIPPSNGVLPQSPMHTRSLAILKRQLLSKKMVDTAKESIGKSATSLEIYGKMQEVFIEMDSEQNTDSVDKELKNFKDAVLQEGDEEGKLAGEFDPRKHIEAHLPVRVNEQRLSNLLQSILVGGCVGAMPVIRMIPTSVLWGYFAYMAIDSLPGNQFWERMQLLFIAESRHYKVLEGPHASFVESVPPRTITIFTVFQLVYLLICFGITWIPIAGILFPVPFFLMILIRQYLLPKFFDPIVLRELDAAEYEELDGVPHEHTLEDEVSEAGSCISRRDAEILDELTTNRGELKHRTSSLREERSVQVNNGHADGTTIGVTRGLEMI >KQL08338 pep chromosome:Setaria_italica_v2.0:V:44892972:44896097:1 gene:SETIT_002875mg transcript:KQL08338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCASVQNKDPGFPKKQFLASPTKAKAANGKGGGGGVAPLGDGFGDLKSKAEGEQQRVGFDPKSPDSGSKDEVFFESRAWLDSDCEDDFYSVNGDFTPSRGSTPNYQPRTQTVMTNIFQPDNSDKSKSPEPSPTGRRKLAELLQEAMQNGPEERTDVSKNEKQQLQSVAADGKPVSESTSSSACTRNQRRPWSPRAGRRRHGTPGAVACRALFIA >KQL08486 pep chromosome:Setaria_italica_v2.0:V:45668423:45670317:-1 gene:SETIT_002212mg transcript:KQL08486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPIECQVVVLRVSIHCEGCKKKVKKVLQNINGVYRCEIDARSNKVSVTASTKLDPYIIVAKLRKSGKQADLWPEQPQPQPPAESQEPKNQPDEQSKHSEPAEKPGADNNEAAAAEPSNPQPPPELKQSAAGETPKPAPESKEASGANANAGGEAAPAAEPQHHHQPSEVKGKARQQPPPPELEKQPVDARVTVEYDRGVGGGYGNHMPAPQHVVMSYNQARPSMSASYYAPAPAPAPMARPGPSQGYIDEHYTPSYYGRPSSNEPYYYNPPPPQPSPYRYQYQPTPSSEDYYYYSAPPQRSAFSPPRDGYGDMFNDENANSCSVM >KQL06725 pep chromosome:Setaria_italica_v2.0:V:35224263:35226498:1 gene:SETIT_001193mg transcript:KQL06725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAGGGQQARRRPALLALLSTSLLLNAVFLAHHLFRPSRVLSAVGDGGGSSCGLSWTLQAAREAEAVAAVDCSGHGQVFLDGVAGEDGRPGCECNACFAGRDCSLHTPNCTADADSGNPLFLEPYWRRHAAASAVVISGWHRMSYIATDIEKVFQSVELERQIRRLHRAVGNAVVDDKHVVFAAGSIQLINALVHALSPDANAASPPARVVATAPYYPIYRTQTKMFDGREYRWGGTTALWGNASRNPTDGFIEFVTSPNNPDAQLYKPVLGGSAAVTVDHAYYWPHFTHIPAPADEDVMVFTMSKPSGHAGSRFGWALIRDENVAKRANDYVQNSIMGASRDTQLRMLGIVKIMLANLHGKEDIFAFGHDVMRTRWRRLNAVVSRSRRISLQRMAPEYCTYFKRIREPSPAYAWVKCEMEEDDDCYEALLKSKIITRSGALFEASSRYTRLSLLKTDDDFEVLMERVTDLVNAENYDEPASSSTTLVM >KQL07372 pep chromosome:Setaria_italica_v2.0:V:39383415:39385537:-1 gene:SETIT_001740mg transcript:KQL07372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCGDFFEKAKPYIAMISLQFGYAGMNVITKVSLNHGMSHYVLVVYRHAFATVSIAPFALILERKVRPKMTWSVFLQIFVLALLGPVIDQNFYYAGLKFTGPTFACAMSNILPAMTFVMAVIFRMEKVDLKKVRCQAKVAGTLVTVAGAMMMTLYKGPLMKMAGSSHVQPHGHGGAEAPIAAIDPSGREWFLGSLFVIIATLAWASLFILQAHTLKQYAAPLSLTTLICFVGTLQAIVVTFAMEHRPSVWTIGFDMNLLAAAYAGIVTSSIAYYVQGLVIQKTGPVFASAFSPLMMIIVAVMGSFILSEKIFLGGVLGAVLIVMGLYSVLWGKHKETQEKEEEEAMELPVASKANGVYDDATFIKEIAAAAVVGDDSECKKVNGVKSSSDGHGAGAV >KQL03426 pep chromosome:Setaria_italica_v2.0:V:2280018:2281274:-1 gene:SETIT_004837mg transcript:KQL03426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPESDGIRPWADLPVEMVDAIVQQLDLFSTTRLASVCTSWSTAVATNAALPFGTPCLLMTGEEEDDNGARGDDHEDWSYQLMNLTTERGDSFPALIRDVQEQWWVGGKDDWLAVVDRYGGARLLNPYTGRQIDLPRTPAAVGPGVTNTGLGFDRIVVCATPSDDEGYLVIGMVNDYLLAIARGRDDGWTELRNPDGHPAGGYKDAVVHKGKVFAVDKSGSIYAWDLQGGACPEPEKLEPPHIDRGEFEHEGWKLAESADRRRLLLVWTYGKMVNCRRFKVYGCFDYLEFVAEGARLCERDVDAAAGLSDGDAGWSPVSSLGDHSLFLGANYPFFARVGNQGTSDSDSVWGMVRPNCICFAKGHLFQSQDVDVEVFDLDAEEYQYNPYKLILSNSRHYSSYQEPIWFRPTLKNYDLE >KQL03458 pep chromosome:Setaria_italica_v2.0:V:2505870:2506052:1 gene:SETIT_004314mg transcript:KQL03458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAARGCCMVVGFGCLQRSAAADVAWQRSVRCGTASEDGACGNDNVGRLGLQRIAAVCSA >KQL07603 pep chromosome:Setaria_italica_v2.0:V:40771493:40779155:1 gene:SETIT_0003272mg transcript:KQL07603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFDRLPPMDHLRSEKMCFVQLIIPAESSRVAVTYLGELGLLQFKDLNEDKSPFQRIFVNQVKRCAEMSRKLRFFSDQINKAGVRSSVRPALEPDIDLEELEARLGEHEHELLEMNTNSDKLQQTYNELLEFKLVLTKAGGILASSHNHAASAERELDENIYDREVDEGNAYLLEQGVHQGSSGNSGVRFVSGIILKSKALAFERMLFRATRGNMLFNQAPAGEPVTDPISGEEVEKTVFVVFFSGEQAKAKILKICDSFGASCYPVPEEMMKQRQIFNEVSARLSDLEVTLDAGIQHRNKALESVGSQLWRWTIMVKKEKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKSQIKDCLQRATLHSNSQVGIIFHEMDTMESPPTYFRTDKFTNAFQEIVDAYGVARYQEANPAVYSVVTFPFLFAVMFGDWGHGICLLLGALVLILREKKLSSQKLGSFMEMAFGGRYVILLMAIFSIYCGLIYNEFFSVPFHIFGKSAYECREKSCSDAHTAGLIKVRDPYPFGVDPSWRGSRSELPFLNSLKMKMSILMGVAQMNLGIVLSYFDARFHGNALDIRYQFIPQMIFLNSLFGYLALLILIKWCTGSKADLYHVMIYMFLDPAGDLGENQLFWGQKELQILLLLLALVAVPWMLFPKPFILKKLHKERFQGHTYRFLGTSEMDPDSEPDSARARHDDFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKLLLLAW >KQL07801 pep chromosome:Setaria_italica_v2.0:V:41872218:41877690:1 gene:SETIT_004876mg transcript:KQL07801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREQLEESIVADGNGKEEEVGVMGIGTAGDGDEHHGGGGFNMKRFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVLLQIFYGFLGSWTAYLISVLYVEYRSRKEKEGVSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIAALLNGQVEGVAHTGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPAKFKYIYLLATLYVFTLTLPSAAAMYWAFGDELLNHSNAFSLLPKTAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSIFKRALARLPIVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHILTYRTASARMNAAEKPPFFLPSWTGMFVLNMFIVVWVLVVGFGLGGWASMVNFIRQIDTFGLFAKCYQCPKPPVPAAAQSPAPLPHH >KQL03174 pep chromosome:Setaria_italica_v2.0:V:193914:194450:-1 gene:SETIT_005134mg transcript:KQL03174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGNGGGGGGGACAVCKHQRRKCEPNCELAAYFPAHRMNDFRALHLVFGVANLTKLIIKANATDAARRRAAETLTWEARWRERDPAEGCYREVACLRRDNALLRADNAALRRQLADHHHLLLCWSSTTNNMAAGGGNGQLVAVRPPPPSHAPAPPPAAAAMRDHPSISSRDNKSSNP >KQL03201 pep chromosome:Setaria_italica_v2.0:V:302114:306028:1 gene:SETIT_000924mg transcript:KQL03201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITAPPPPPPASTSCRLPRRGSLTRIAASSSSPSSRFRPSSSRRTSSSTSFSGAGSSGGGGEILHVPPPPPPAKPPGAPVYVTLPADAVGPGGRVARRRAMGASLAALAAAGVAGVAVELWWGVVERQGPGEYDWAGYLDLAAMARHHGLRVRAILAFHQCGAGPHDPLWIPLPQWVLEEMDKVPDLSYTNRYQQRNKEYISLGCDSLPVLKGRSPMQAYSDFMRSFRNTFEDYLGAIVTEVQVGMGPGGELRYPSCPTEKLNQPGSSSELGEFQCYDKFMQASLSAHAQILGIQEWGSGGSTGTDGSQQNLEETSFFHADGGYWDTPYGRFFLEWYSGMLVLHGERLCMIADAIFSGTGVTISGKVAGIHWHYYTCAHPSELTAGYYNTLLRDGYLPIARMFAKYKAALCCGCFDLRDTERTNPESSPEGTLRQLVGAAKMCNLPLNGENSVTRLDDASLNQVIRSSRLYSGRSSGTSFSFNYVRMNKSLFEFHNWSRFTKFVRQMSDARTFLARLDVRRGQQYLSSMSVVWVVSRACAYT >KQL04000 pep chromosome:Setaria_italica_v2.0:V:5807416:5809205:-1 gene:SETIT_002890mg transcript:KQL04000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHPYAPADLEVPGFVPLRLSQGEILVTYIGASLFVLLAVWLGSGRCGRLSKTERLLMCWWAFTGLTHIIIEGPFVFTPNFFKKENPNFFDEVWKEYSKGDSRYVARDTATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQFSVCLGQLYGCLVYFITAYLDGFNFWASPFYFWAYFIGANSSWVVIPTLIAMRSWKKICGAFQAEKVKNK >KQL04001 pep chromosome:Setaria_italica_v2.0:V:5807190:5809359:-1 gene:SETIT_002890mg transcript:KQL04001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCWWAFTGLTHIIIEGPFVFTPNFFKKENPNFFDEVWKEYSKGDSRYVARDTATVTVEGITAVLEGPASLLAVYAIASRKSYSHILQFSVCLGQLYGCLVYFITAYLDGFNFWASPFYFWAYFIGANSSWVVIPTLIAMRSWKKICGAFQAEKVKNK >KQL05866 pep chromosome:Setaria_italica_v2.0:V:28527501:28527950:1 gene:SETIT_005045mg transcript:KQL05866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WSACPSTETAPPAARKRRSGGPAPVSVGSLSLLLAGMMVLMACSHGTAPLCLVSELCAVLCLLLYLWAYYLTQNLAASTVVPVEALVFLFPLVFCAGFLAALLAVAVGPVAGVLVMITDLACTSAFFGFCLAEHVRFSKPPAGHKNKRV >KQL08243 pep chromosome:Setaria_italica_v2.0:V:44371597:44374410:1 gene:SETIT_002175mg transcript:KQL08243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWYITIFDACNWNLRLHSYHDLVSFGDMIDVVTGSWQFGTANGFSRLIFPGVLVRPGETVKCNPGEVYCHVSQIALQDDKGNEDVRVFVKVGGNEILVGTLSVDKYPQCMIGLVFEKEFELRHTSKNSNISALDDDSDEEVPLAIPLYPNADDDRSKQTKSGVDNPASTQLCKPKNTLEETKDPEKQKGDVGGTDDDHSDENSVHSEEGESGDDEDSSDEDDSDSSDEDDGEDTPKNIMGKNRPAETPLKTPLKKAKIATPSMGNKTGSGSTKRSGYVHVATPYPKQVKKTPSIIDSSKQSAGYSCKSCSKTFYSSVALDTHRKVKHNAHK >KQL04533 pep chromosome:Setaria_italica_v2.0:V:9574497:9581617:1 gene:SETIT_001297mg transcript:KQL04533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTLQQGSNGVGSSLFASASMVSKSNSVLPRFTFSLLKSISPFEIHNQHESGFRFCAPAMAFRSSGRTASHAAQICKASNDSGGTAGCGRTAGSHHERHRSDSPRIAATPTANSSSDAATPITTAARRPPPLPPAPGLARRGAMSRSLSMRGSMRSRRDLPPPEKTIEKLEAMVDGGNFYEAQQMYKSTSARYIAAQKYSEALDILQSGALVQLKHGQVTCGGELAVLFVDTLVAGELPYSEETFGRIRKIYDAFPRISVPHFLGDDYDDEGHQLSEAISAAKVRAESCSSFLKAAIRWSAEFGTSRNGSPELHVMLAEYIYSESPETDMTKVSSHFVRGNDPKKFASMLVNFMGKCYPGEDDTAIARGVLMYLSQGNLRDANLLMDEMKEQLKSANSEFPKTDLIQFIKYLLPTLERDAYPLFRTLRQKYRTSTDRDPVFQELLDEIAAKFYNIQRQNPLEGLFGEMFKI >KQL06802 pep chromosome:Setaria_italica_v2.0:V:35684890:35686084:-1 gene:SETIT_002717mg transcript:KQL06802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLSSRALLAGAVLVAAALLLPARHAMATNDDKARAPTSANDKAAPAPGYGSAKPPTPSPADKGAPAPSYDNAKPPPSSPPPGDMAVPPSAYNTTIPPLLPLVPPPKPLPFVIVEGVIYCKSCKGKGYNTGIDASPLPGATAMMVCYGRKVVNATGTVTDGNGYFLIMFYDMQNFNARTCKMYLVSSPTPQCNKPYYPPNQWIGLSLVRETRTIPPAGLQGIYSPTSVLFYAPGAKGQCPY >KQL06152 pep chromosome:Setaria_italica_v2.0:V:31003639:31005943:-1 gene:SETIT_004014mg transcript:KQL06152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLPLVAVFLLTVFIIFFNGHAGGDGRRRRLAPSPRGLPVLGHLHLLGSLPHGALRSLSLAHGPVMLLRLGRVPTVVVSSAAGAEEVMRARDLAFASRPRSAMADRLLYDSRDVAFAPYGEYWRQARRVCALHLLSGRRVRSFRRVREQEGRRAGRPRRGRSRRRRAARPERAPHRVRQRRRVLSRVRRRERPRHVRRRPGREQVRKVLTDFQTLLGTQPVGELLPWLGWVDVVRRLEGKVRRTFEALDGLLDKMIDDYRRQPSNGDRRDFVDVLLDVRNHEKEHGIELETNEIKAFILARTPPPLTTVMEWAMAELVTHPRAGRKLQDEIRAAVGSTTGSVVGEGHVAQLDYLKAVVKKTLRLHAPLALVVPREPPADAEILGYHIPVGTRVVINAWAIGRDTTTWEDAEEFVPERFSGSAVDFRGQHFELVPFGAGRRGCPGLGLAEASIEMALASLMYHFDWESAGGTGPSALDMTEMKGISVHIKSALQLVAKPWIT >KQL08343 pep chromosome:Setaria_italica_v2.0:V:44906790:44914109:-1 gene:SETIT_000322mg transcript:KQL08343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDHYTSASSAAPSAAATAAAAAAAAVGSSVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPTDAEADEWGEFLHLSGRRFYDFREIRREIQAETDREAGGNKGVSDRQIRLKIFSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMIMSYIRHKTCIILAVSPANADLANSDALQMARVADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQQDINSDLSIKDALAREEKFFRTQPAYHGLTQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTAVAKELAVYGDPVDSKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAQFIYDELVKMSHRCLATELQQFPILRRSMDEVIGKFLRDGLKPAESMIAHIIEMEEDYINTSHPNFIGGSKAVEQAQQQVKAAKMSAAVVRKDGVDADKVQASEKTQKSRAVLGRSVNGVVTDHIQGVRPAAEADRPGSSGSGSTSFWNSIFTSNEDRTHASAKDNSANRSYSVPTPNLEHSFSTIQLREPPAVLKPSEHQSEQEALEIAITKLLLKSYYNIVRKNVEDFIPKAIMHFLVNHTKRALHNYLITKLYREDLLEDLLKEPDEITIKRKQIRENLKVLQQAYKTLDEIPLDAEAVERGGFSLESDVIGLPRAHGLSSSLHGFNDGSSPYSTPKQSRSRRSNHSGEQPPFNPNMSGNGF >KQL08344 pep chromosome:Setaria_italica_v2.0:V:44907254:44914095:-1 gene:SETIT_000322mg transcript:KQL08344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDHYTSASSAAPSAAATAAAAAAAAVGSSVIPIVNKLQDIFAQLGSSSTIDLPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLVHQPRRPTDAEADEWGEFLHLSGRRFYDFREIRREIQAETDREAGGNKGVSDRQIRLKIFSPNVLNITLVDLPGITKVPVGDQPTDIEARIRTMIMSYIRHKTCIILAVSPANADLANSDALQMARVADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQQDINSDLSIKDALAREEKFFRTQPAYHGLTQYCGIPQLAKKLNQILVQHIKTVLPGLKSRISSQLTAVAKELAVYGDPVDSKAGQGAKLLNILAKYCEAFSSMVEGKNEDISTIELSGGARIHYIFQSIFVKSLEEVDPCEDVTDEDIRMAIQNATGPRSALFVPEVPFEVLVRRQISRLLDPSLQCAQFIYDELVKMSHRCLATELQQFPILRRSMDEVIGKFLRDGLKPAESMIAHIIEMEEDYINTSHPNFIGGSKAVEQAQQQVKAAKMSAAVVRKDGVDADKVQASEKTQKSRAVLGRSVNGVVTDHIQGVRPAAEADRPGSSGSGSTSFWNSIFTSNEDRTHASAKDNSANRSYSVPTPNLEHSFSTIQLREPPAVLKPSEHQSEQEALEIAITKLLLKSYYNIVRKNVEDFIPKAIMHFLVNHTKRALHNYLITKLYREDLLEDLLKEPDEITIKRKQIRENLKVLQQAYKTLDEIPLDAEAVERGGFSLESDVIGLPRAHGLSSSLHGFNDGSSPYSTPKQSRSRRSNHSGEQPPFNPNMSGNGF >KQL08570 pep chromosome:Setaria_italica_v2.0:V:46107636:46110536:-1 gene:SETIT_000982mg transcript:KQL08570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRSAAELELPVADADRARLQQLGYKQELKRGLSYVFCTPCSFVPGPSSNFLPSWVSRRRSLLSNFAFSFSIISVLAGVTTTYNTGLRYGGPASMTLGWLVVAAFNGCVALSMAEICSAYPTSGGLYYWSAKLAGEDWAPLASWVTGWFNIVGQFVQVIVLLSTGGANGGGYMASKYVVLAIYCAILILHGLINSLSIHWLAWFGQLGAFWNLAGVFALTVLVPAVAKERASMEFVFTHCYTDNGVGIHSKVYTLAIGLLTSQYSLLGYDTSAHMSEETKNAAWSGPMGIVVSVALSSVFGWIYLVSLTSIVTDIPFLLDPNNDAGGNAIAQALYSIFHRRFGSGVGGIACLAAMAVAIFLCGTASVTSNSRMGYAFSRDGAMPFSRVWYQVNKQEVPLNVVWLSVSMAFVMALTSLGSQVAFQAMVSITTLGMYIAYALPIFFRVTAARSSFVPGPFHLGRYGVAVGWVAVAWVALVTVLFCLPVAYPVAVGNFNYTPAAVGGVLLLSLAAWALHARFWFRGPVTNVDA >KQL07521 pep chromosome:Setaria_italica_v2.0:V:40231698:40233228:1 gene:SETIT_001614mg transcript:KQL07521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEQLQQGLNIEGGTPLHGTRSVEELAKSESERIKTFYQTILSVLVVFIVAALSGYKDIKELYSTTNHKKVHLSNLLVAEGFLLIMTFLCAVALMMFEFFVYQYGRRVRSWYRVVTVLVAVTGTMLIAANTVLVILSNRNNTALSVILAPVLVLVGVAVRAGAWMEEERSATLASRYDMAMKGTFDMATIGTMASFALQGTVAFGYLKTPDNNQGKGDPPLDLAVCYATSTFSLIMMMICAMPLVLLPANMLEDVIRVVERLRHVVLAALAMMALVVSVEFLEGFIVLSVCPEAVALVLYYAVEFFSREGRGESLPWLDFVFRIVATVGFSLMTGLYAAFLGTDHYSVYLKVAMFILLLAVLSSLSRLAIPLDVPEVGVAGAVEMGIAGIVVVFPAAALLAAIPLVLKVFLDLYLNR >KQL08356 pep chromosome:Setaria_italica_v2.0:V:44959700:44960557:-1 gene:SETIT_003416mg transcript:KQL08356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQSTKEGQNVRIGITQLMTDSVVIIPCASLNNVITHLGRIKDDKLNHSILVALQFTPFLSVRKSLCFHLQTFKRCLEGQVPCTVVPIKFGRGLELASSKSAWNLEVAPLI >KQL06336 pep chromosome:Setaria_italica_v2.0:V:32674658:32675054:1 gene:SETIT_005416mg transcript:KQL06336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKTITVTITNRALATCICHHTCSFSMDPS >KQL06753 pep chromosome:Setaria_italica_v2.0:V:35431582:35435508:1 gene:SETIT_000977mg transcript:KQL06753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLHAAPASFLAPPASHLLPPTAPALRAGVLSAAGPVPAAVSSRSRACFPSSRRASRRRRCSRAAASSGATSVDDAKKDVLIALSQIIDPDFGTDIVSCGFVKDLEIGDALDEVSFRLELTTPACPIKDEFEQKANEVVAALPWVKKVDVTMSAQPAQPVYGGELPEGLQKISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVFGPSLPTMVSPENRLLVMNPESRAILPTEYLGVKMVSFGFAGQGRAIMRGPMVSGVINQLLTTTDWGELDYLVIDMPPGTGDIHLTLCQVAPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCYFDADGKRYYPFGQGSGTQVVQQFGIPNLFDLPIRPTLSASGDTGIPEVVADPQGDVAKTFQNLGVCVVQQCAKIRQQVSTAVSYDRSIRAIRVKVPDSDEEFLLHPATVRRNDRSAQSVDEWTGEQKIQYDDVPDDIEPEEIRPMGNYAVSITWPDGFSQIAPYDQLEMLERLVDAPRAATPAVAS >KQL05745 pep chromosome:Setaria_italica_v2.0:V:27474366:27476451:1 gene:SETIT_001008mg transcript:KQL05745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQQHPPPLITMEAMLEQMVSLGMGAEMVNPAMDLMYDFLGTFLPSPPVSATASLSADSSPPGGGSEDHLSALPDQILRNVVSRLPAKDAARTAILSSRWRPLWRSAPLVLVDAQLLQSAGAEDSPLRADFGSVTAAVSRVLEVHRGPFRFVHLTRSSMGAHRAELALWLDLLAVKGVEEFVFVNRPWPLDVPLPATIFSLASVKRLYLGAWRFPNTSALPRGSAFPHLLDLGLGCVAMEDRDYDFILAKSPILETLVVYASQKHVNLRIISRSLRCVQLCMCIVHGVSLVDAPSLERLFLWDARVPTPRAIDKKICTRVKFGHAPNLCFVGYLVPGVHVLEVGNTIIKVETRASPSTIVPSVKVLALLVHFEVRNEAKMIPSFLKCFPNIQTLHIKSAKSDQPAGKLNQKFWQEHSGIECVQSHIREMVFHEYRGEHSELSFLKFILENAQVLRDMVIVFVRGSLSSGDNAAAKLMKDLSSVKKASENCRLVIMESSISMGGTSWSCQVASNFDVADPFYYCY >KQL08678 pep chromosome:Setaria_italica_v2.0:V:46648326:46649543:1 gene:SETIT_005376mg transcript:KQL08678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGVGVIAKTAAEYEKRRENFVKEIDIVIADVIMAIVADFMLVYLPAPTVSLQPPLARNAGAIANFFHNCPDNAFQVN >KQL08677 pep chromosome:Setaria_italica_v2.0:V:46648226:46651066:1 gene:SETIT_005376mg transcript:KQL08677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGVGVIAKTAAEYEKRRENFVKEIDIVIADVIMAIVADFMLVYLPAPTVSLQPPLARNAGAIANFFHNCPDNAFQIALAGRSFSLLQRLGAILRNGAKLFAVGTSASLIGTGVTNALIKARKAVDKDLEGEVEDIPVVSTSVAYGVYMAISSNLRYQILAGVIEQRMLEPLLHNQKLLLSAMCFAVRTGNTFLGSLLWVDYARLVGVQKVQEA >KQL08469 pep chromosome:Setaria_italica_v2.0:V:45609648:45612224:-1 gene:SETIT_001275mg transcript:KQL08469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGKKVERPHGDAAEEDPERQPALVDEDDGNQKAPRRSKRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWDGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGQAIRRVVIRTLKLLFWGILLQGGYSHAPDKLTYGVDMKHVRWGGILQRIALAYLVAAVLEIVTKDAKIQDQSSSGFSIFRMYLSQWIVACCILVVYLSLVYGIYVPDWEFRVQNVDSPNYGKVLTVTCGTRGILSPPCNAVGYIDRKILGINHLYQRPAWRRHQACTDSSPHEGPFKNDAPAWCVAPFEPEGILSSFSAVLSTIIGVHYGHVLVHMKSHTERLRQWFTMGIALLVLGIILHFSHAIPLNKQLYTFSYICVTAGAAGVVFSIFYFLNLKIALAG >KQL08470 pep chromosome:Setaria_italica_v2.0:V:45609192:45612437:-1 gene:SETIT_001275mg transcript:KQL08470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGKKVERPHGDAAEEDPERQPALVDEDDGNQKAPRRSKRVASLDVFRGLTVALMILVDGAGGEWPVIGHAPWDGCNLADFVMPFFLFIVGMAIPLSLKRIPDRGQAIRRVVIRTLKLLFWGILLQGGYSHAPDKLTYGVDMKHVRWGGILQRIALAYLVAAVLEIVTKDAKIQDQSSSGFSIFRMYLSQWIVACCILVVYLSLVYGIYVPDWEFRVQNVDSPNYGKVLTVTCGTRGILSPPCNAVGYIDRKILGINHLYQRPAWRRHQACTDSSPHEGPFKNDAPAWCVAPFEPEGILSSFSAVLSTIIGVHYGHVLVHMKSHTERLRQWFTMGIALLVLGIILHFSHAIPLNKQLYTFSYICVTAGAAGVVFSIFYFLVDILNHGYAFAPLRWIGMNAMLVYVMAAAGIFEGFLNGWYYEGTNNTLVYWVRKHVFVKVWHSTRVGILLYVLFAQILFWALVSGVLHRAGLYWKL >KQL07263 pep chromosome:Setaria_italica_v2.0:V:38759826:38763218:1 gene:SETIT_000261mg transcript:KQL07263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARTLSSLLLLAALAAVSFTPSASTDTIYRNTTLTGNQTIVSAGGVYALGFFTPDGAAGRTYLGIWYASIPGPTTVVWVANRQDPVVSPPVALQLTAGGRLVILDGNNDTVWSSAPPAAARNVTARAAAQLLDSGNLVLSADGSGSEQSVAWQSFDYPTDTLLPGMKLGVDARHGITRNITAWRSESDPSPGDVTFKLVLSSGLPQFFLMRGSKRIYTSGPWNGDILTGVPYLKAQDFTFRVVYSADETYYRYFIRDASLLSRLVVDGAATQLKRFSLNNGAWSSFWYYPTDQCDYYAKCGAFGYCDPDRTPVCGCLPGFVPRSPDQWGQRDWSGGCVRNTNLSCDGGGGGGDGFWVVNRMKLPQATDATVYAGMTLDQCRQACLGNCSCGAYAAANNSGGVGVGCVLWTVDLLDMRQYPIVVQDVYIRLAQSDIDALKAAAADNHERSHKGKLIIIVVATISGVLLLLAAAGCCCFLMKKGRSKRESDDMASLPPSTTTGDFVLPYRPRSQPSLSPGPGQQPDEGSEGMRYAEKDVDLPLFDLEVILVATDDFAEHKKVGAGGFGPVYMGVLEDGQQVAVKRLSQGSTQGAREFMNEVKLIAKLQHRNLVRLLGCCIDNDERMLVYEYMHNQSLDTFIFDEAKGRLLVWQKRFEIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTVYTRKVIGTYGYMSPEYAMDGLISIKSDVFSFGVMVLEIITGKRNRGSYEPELDVNLLGYAWMLWREGRSLELLDEALGGSFHHSRVLRCIQVALLCVEAQPRNRPLMSSVVTMLASENTVLPEPNEPGVNPGMSTSSDTESSRTRSATSNYVTVTRLEARSTGNSPL >KQL05988 pep chromosome:Setaria_italica_v2.0:V:29829406:29831759:1 gene:SETIT_001020mg transcript:KQL05988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMAIDALLELFYVLGALVALWLTWRSLEWAWLSPRRLGRALRAQGLRGTAYRFPSGDLAEEARLLAAERTKPMPLLSHGISSRVDPLVYNTVKEHGKVSMLWGGPTPRVILSDPKLVREVLSNKFGHFRKPRLPANFIKMIGDGLSNHEGQKWAVHRKIINHAFQLEKLKRMLPAFTACTSELIKRWEGKMGSSKVREIDVWPELQDLTGDAISRAAFGSSISEGRRIFRIQSEQAQLASHMTNLYIPGYTYLPTKVNRRIKANAREVEGLLKGIITKKERAMKNGHANDDDLLVMLMQSNIKESKDGGSSKPMMTVDDIMAELKLFYFAGMETTSVLLTWALVVLSMYPEWQDRAREEVLRVFGKREPDLDGTHQLKVVTMVLYEVLRLYPPITLLERETYKEMELGGIKYPPGVKLLLPVVTIHHDPDIWGEDVDEFKPERFAEGISKASKEAPAFFPFGWGPRICSGQNFALLEAKIALSMILQHFSFGLSPSYTHAPFPVSTLQPDHGAQLMLKKI >KQL06107 pep chromosome:Setaria_italica_v2.0:V:30717445:30718923:1 gene:SETIT_005077mg transcript:KQL06107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLDDSAAAPPQPQGCCCACASALWKIVKVILHVAIVLTLLVLITPGIFHSFGTTIALQSVSYAILACLYICTASDRAERIVSGVFLGILAVVILAAAPSVKGRRTAPVESKSPPVHVMYYCDLVALLVYCIWKICRLVQACLRRLRVSGKAPVPDPEAPLATTLMKSEAACTAPVQLLIEDLPRKFSYDEIRAVTGDFGDVVGRGGSAEVFRGVLDDGTAVAVKRMTSYKPVGEEDFLREISIVANVHQRSLVRLLGYCLLQGGPGEAHGQYLVYPFFENGSLDWWLFGGEERRRLLPWPARRRIAVDVARALAYLHHECHRQILHLDIKPANILLDGGLRAHVSDFGISMSIAQDMTSVDTCGRGTPGYMAPEIWFSSLSAKSDVYSYGMTLLELVGGRRGYQAGRDSSETPDLLARVVREKMERGKLMEVVDAAMANVDEGEVEAVVRVALCCVQHQRELRPSMVTVVEMLEGRVAADLPPESSPLPAV >KQL05541 pep chromosome:Setaria_italica_v2.0:V:25567057:25570192:-1 gene:SETIT_002692mg transcript:KQL05541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYEQEQEMEVEALQAILMDDIKEIDPSESGIATAARCFQILLSPQDDDFDEASYVPVQLALIFAHTEKYPDEPPLLNVKSMRGIKPDDLASLKEKLDQEATENLGMAMVYTLVSSAQEWLSEKYGQNGGDEEPEETEEKEEEVIVPHGEAVTVESFLAWRERFEAELALQRAKLMPDSALTAPKEKRLTGRQYFESGRHAVKGASTVVDEDEEEEEDIEFDDDFEDDEEDMLEHYLAEQSGKSTA >KQL06412 pep chromosome:Setaria_italica_v2.0:V:33182670:33192861:1 gene:SETIT_001120mg transcript:KQL06412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSQHGILLAVSIISDHFGPLVSKVCGCLLRHGALSLQEIVRRLGLSSGQVKNSLLVLIQHNCVQAYSTPRGSGDKTVTVYLAIFDNVLHRLRFSKFLSVVRVDIPESEVLLEGLLQNGRLTFDQLVERAISKIPEGSPKPTREEIRMNFNKLVYAHYVERCPKPEPFFDPLLDEQPTSTRKRAPKTVEAVLSLEQKIIRTAALSDAERFSEIPYSMEGSSNASDDHHHAVAGGKRKHEALEVDEEESIIAENEVLWRANFEKFIFCLKKKFCAERKKTKLKLSTLAIWEAFLEANITDNDNKTVTSPIDGILERVGQKEGGSSMTLDQIISVLNDLECISTTRDPEQYAFDLNKLVETCRNDEVESLVKKKHGQAAYTIFRLLLKQGCAVETDEITDRTILDKQIVHETLYKLWKDEYIDSERVPSATSGTGNTQFFVWRVKNTYREHYTDQLYHAALNLRQLVNCMAELLLEGEKDETKLRNRRNVLILALARHDESLMLFHDF >KQL07682 pep chromosome:Setaria_italica_v2.0:V:41203315:41208255:1 gene:SETIT_000813mg transcript:KQL07682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARHGLRRGHAAAASTCPFLAFAVLLALPALAAGDTHYYTFNVQMTNVTRLCVTKSIPTVNGKFPGPKLVVREGDRLVVKVHNHINYNVSFHWHGVRQLRNGWADGPSYITQCPIQGGQSYTYDFTITGQRGTLWWHAHFSWLRVHLYGPLVILPKRAEGYPFPRPYKEVPILFGEWFNGDTEAIINQALQTGGGPNVSDAYTFNGLPGPTYNCSAQDTYKLKVQPGRTYMLRLINSALNDELFFAIANHTLTVVEADANYVKPFTVQTLVISPGQTMNVLLTTAPNPASPAYAMAISPYTNTQGTFDNTTAAAVLEYAPTPAAAARSLPLPALPLYNDTGAVTNFSRNFRSLASAQYPARVPLAVDRHLLFTVGLGTDPCPSNQTCQGPNGTKFAASINNNSFFRPRSALLEAHYQRRYAGVLLANFPTTPPHPFNYTGTPPNNTFVQHGTRVVPLRFNTSVELVLQGTSIQGAESHPLHLHGYDFFVVGQGFGNFDPVNDPPTYNLADPVERNTISVPTAGWVAIRFFADNPGVWLMHCHFDVHLSWGLSMAWLVNDGPLPNQKMLPPPSDLPKC >KQL04477 pep chromosome:Setaria_italica_v2.0:V:9300986:9301829:1 gene:SETIT_003397mg transcript:KQL04477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGHDGGVKGFVSNLVHGGKGHGHGYEHGYGHGHGHGHEHGYGHGAHGYPPPAAGAYPPPHGAYPPAAYPAHSAQYGHMGSYHTGHGGGHHGGYGGKHKGGMFGGGKYRKWK >KQL07684 pep chromosome:Setaria_italica_v2.0:V:41215068:41216470:1 gene:SETIT_003046mg transcript:KQL07684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTMDLTGDGGVVKTVIGRAKADAVAPSDILPLVDVHYEGTLAENGEVFDTTHEDNSVFSFEIGEETVIKAWDIAVRTMKVGEVARIKCRPEYAYGAAGSPPEIPPDATLIFEVELVACKPRKGSSVESVSEEKARLEELKKQREVAAAAKEEEKRKREEAKAAAAARVQAKLEAKKGKGKKAK >KQL07071 pep chromosome:Setaria_italica_v2.0:V:37500605:37507190:1 gene:SETIT_001468mg transcript:KQL07071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKERIAALFSMYNDDEEEEDDADEPNPPSPAPPAAAAAPAVTSSSPLPSQAGGEDPNPSLAPLSPPLPEESAGRKTLASPHPSPARGQLPPLPSRRSSSPFAVSPPSPLRGPSSAPPPDLPRPPRRGALAIVDYGHDEMAMSPEQEDGEIMSGVHRFGSDAQSAEGNLEERTLSGMVHIMPSNTEAEMPQHPDAPEQNQVGTDMDVDVTRPEIEDAQVEETTDVSTNGENDDPLSRFLPPPATAKCSAALQQKINRFLVYKRAGKSFNAEVRNRKDYRNPDFLQHAVRYQEIDQIGTCFSKDVFDPYGYDKADYYDEIEADMKRELERKEQERKKSPKVEFIAAGVQPPITASIPKIPAGVATLPVPAEGVKKESRPNKKSKWDKVDGDVKNLAIPSGHDHLSATVSAALLPSANVGAGYAAFAQQKRKEAEEKRSDYK >KQL05263 pep chromosome:Setaria_italica_v2.0:V:19569478:19570140:-1 gene:SETIT_004774mg transcript:KQL05263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNAVQIQIPTSSQRGSSSIQDARAKPPPAEAAAPISPVRPALSSASDLLKLLPTGTVLAFQALAPSFSNHGACHAANRYLVLSLIGTCTVSCVLLSFTDSLVGRDGKLYHGAATFGGFYPFNFAGTREEREAAFRDLSRLRITALDFVHAFFSALVFLAVALADASIQGCLFPDAGPDVRELLVNLPLGAGFLSSMVFIIFPTTRKSIGYTDMAPHGQ >KQL08171 pep chromosome:Setaria_italica_v2.0:V:43967915:43968578:1 gene:SETIT_003787mg transcript:KQL08171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINILYNPTVGANIISSECVMQLFGDKPLVPTDKTFRTSSREFLEGVGILQHMSIRHEDVEAVLDFHVFDVQSFDLMIRHAIEKLLIDAPTQDKLDARIGMETFSIQISRAKNFMTDPSLDSELIEE >KQL05120 pep chromosome:Setaria_italica_v2.0:V:16136254:16136973:-1 gene:SETIT_005390mg transcript:KQL05120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFIWSVRDANRARQLAKLDEVQSRLVHAAKDSDIDKIRGLLKEVELAGQEKQGFSQRAILAFAAGTIIFTLSCVLIAFTMTSDG >KQL04646 pep chromosome:Setaria_italica_v2.0:V:10410332:10410813:1 gene:SETIT_003670mg transcript:KQL04646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSVNNIRRDYKTRFSIAGLDKLGTSVLVYLFFKDITYHIFLEVEKQLRIYWKTIHTCQ >KQL03477 pep chromosome:Setaria_italica_v2.0:V:2589620:2589940:-1 gene:SETIT_004431mg transcript:KQL03477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLRRLASTSTSTLSRQPQPPGPPPALLLRLALSNSAPFATDPPAPARKVEGEEAAGDKGATDADEGKAAAAAEERHAREQGHRRDRRPARPKPTRYGDWERGG >KQL04098 pep chromosome:Setaria_italica_v2.0:V:6461182:6464239:1 gene:SETIT_000514mg transcript:KQL04098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSTLTDMTLLPGVEPIVAGLAAGSSSPGQEGKAKKKPMKSLYLKFFDTAPDGKSRICRLCRKSYCMTTATGNLGKHLNNRHPGYHQLPEGPSFPAQNTIQTTMVTRSRKPHVPVRARAQAQPQVQTQSQIQDQAQAQAQAKVHSQPKAKPTVDIDHVNWLLLRWLIGSSLPPSTLEDNMLIDSCKYLSSSVRLWPKEKVQEITLEVFRSMKEDVKASLQCISSRLSVTLDFWTSYEKILYMSVRCHWIDENWVSQKVLLDVCRIPYPCTGSKILQVLTDVLVTYNIDSRVLACTHNNSQHSIDACHELEQELKSRKLHFCYIPCAARTLKVIIEAGLENVKPILSKIREFILQTNSNQEMMEDFKHWTEVYHEGSWKLPFDHSANWSSDYNMLDVVKKAPNAMDSTIKKVEEIFGPRDWVLSTTEKSVIDALHSYLEPFFKTTTNLCNCKLPTVGLVFFFMDHVFELIKMCHNSSHQEWLKNVASNMSETADNFISEAYSIYTFTAAILDPRIKGELIPETLNSASNLEDARNHFVRDYSNTFQAVGNGHGAQDTTEEAGAFSFAEEIIRKRRRVSMTTAADELSQYLAEPPAPISTDALEWWRGHSSRYPRLSLMARDFLAIQGTSMDPEELFTSKGDNIHKQQYCLPLSSMQATMCVKSWMQSGYHFNFQSTIIDFESLVKSATAPGDIDGHN >KQL04603 pep chromosome:Setaria_italica_v2.0:V:10179601:10180995:1 gene:SETIT_005090mg transcript:KQL04603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRTPPHLLNKQNRKRPNMSDSTSIGQTNQFGLLATSVGDSSSLLQSQTACTGVAPQDGVRRTYSPDLLCGTTSKTSATSGSPYKEGTPRAANPNPAEHMRLLRGGSQFYHVVSLTNNGNNNDWSHSQHQQLAQYHCSQPGRNEQLSSPSYSSSQHTSNSNILHTSRTREASSLVLGKRPAVSNPASPLVLHKHPATTNPVRSFFQPPAPLLSNTNDMGPQCGLETDERLSLVQSMGTLEFLATSDKALSSGSSLHDPLHATSAQIPVCGIRAASTPNLLLGLGNGDDISKGKVPLYWDLDAMEEMMESMNKRQRKVPNQPVPDLTPGSDGQGGSKDKRN >KQL04621 pep chromosome:Setaria_italica_v2.0:V:10242040:10246211:-1 gene:SETIT_005043mg transcript:KQL04621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAGPIIAPRAGLEFDSCEEAFDYYNLYSWEMGFGIRYSSSQSYKKRGEIYRNMGTPDAGSKKSIKQGCPAFVRLLRTDDDGWYIKEFVADHNHKMSETCAEISCWKSHRQIDPHTKELVKNLRANNVSLTEVSCILSSFFGNNNASAVTKRALRSLTVQNNSEKVEEAEDDVQKTIEVFNRLHEEDEGFANVVDLDEGGKIKTMMWTNGKSRHDYLCFGDAITFDTTYRTNKYNIPVGLFVGVNNHFQSVIFAGVLMLDESIKSFEWVFTLLSGMRASRVLSGGFTQFVALMGGKVPKTILTDQCSAMEVALANKFPEITHRWCKWHVFRTIKAELGQKYTKEFKEELNKICNHMLTSEEFEAGWGALVTKHGLKNNVYMSNIYNNRHMWAKAYFSGKFCAKQTSTQRCESANHVLKGSLSPGATMHIFVEQYHKLLSELIEKEDGKEHEDKLPLTETSTGWPIESHAAEFYTKTMLGKFVDHMKQGAKYDVVEIVPRRKYRLDHVDPLSCDKWYRSSYMVDVREDGGYYQCECGMWDHMGILCCHSIRLMMQLMVRKIPEQHLLRRWSRNGNKVESDIVRQADLASYLHTSRSFRNASLTNKARELVELGDLNIECYDLCYKGICELIEQVKSKRPNTDVA >KQL05632 pep chromosome:Setaria_italica_v2.0:V:26545748:26546431:1 gene:SETIT_004655mg transcript:KQL05632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPIYTCASYKETMEAGEYESKLRVAEARGVRGGAGDDEDDDWDRATGRCRASGGWPWVADEASASLTCPVSHVSAAVSTLGAACWFCAADAAALFGLGWNGSEQPSQARRRFESASPSKDSESYRITDLDSAVLVRDRSVHEVEDHYNIGNYLRFKITWQ >KQL03831 pep chromosome:Setaria_italica_v2.0:V:4853939:4854448:-1 gene:SETIT_005542mg transcript:KQL03831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLDLTKLNNSKRTCSSDKPAIDAPCLPFRGCFLLFMWYP >KQL06660 pep chromosome:Setaria_italica_v2.0:V:34848404:34855610:-1 gene:SETIT_000233mg transcript:KQL06660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLGGGRGGGGGGLGSCGGGCALLAAALVVSALVIHGAGGLKQSHAPAVARKVLLSITSWHPQNNLDNALHPSPVQDQRFERVGSAVSTETAVHPANHGKNHGIPVAAPSKGGHHHSMPVNNTHGKTHGPPVVAPAKRKHHHAPANGEGPGISPSKSPIAHRNRHGNPVVAPPKEHSSHLPSPNRSFRPAPHKTNNASEPGHGNSGLHHSPAPAPVHLPPSKGRGQGNYAYAPHHPHQYHSPSYSPGPALPPVHPPETPVFKKPKALAPTPNQPLLSPPTNLYCTASCQDPLTNSPPGTTCLCVLPIKVELRFGIALYTFFTLVAEFAQDIASGVLMNQSQVRVMGANAAPDDPEKTIVFIDLVPLEPKFDNTTALLVFERFWHKKVIINPMHFGKYDVLYVQYEGLPPSPPASMNNGLSNVNGQTFHPLAADVVNHGERKGRGIIVIIILSSVFAFILCAGAALVVYFKLRNHSHLTEASLVPTKPAGPGSAMAGNRLESRPISASPSFSSSLVAYKGSAKAFSLVEMERATQVFDESRIIGEGGFGRVYEGILEDGERVAIKVLKRDDQQGTREFLAEVEMLSRLHHRNLVKLIGICTEGHSRCLVYELVPNGSVESHLHGSDKGASRLDWDARLKIALGAARALAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALGEGNEHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSRPPGQENLVAWASSLLTSRDGLESIIDPSLGSSIAFDSIAKVAAIASMCVQPEVDQRPFMGEVVQALKLVCNEGSEFNGSTSFSQDLHIQDVEIMSRASMDMDVDPALSAELFTSSARYDAIDASGSFRRYSSSGPLRVGRAGHNKERGLSTGSSSEHVGLQRSRIDSE >KQL07577 pep chromosome:Setaria_italica_v2.0:V:40593842:40597357:-1 gene:SETIT_002650mg transcript:KQL07577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVQLVLLGLPILLFCSDVVTLFAPLPPAAPKPDHQSGSTPDAFQPGDPSAADASAQAEPQVDVPGSGTTVDLKFCASCSYRGTAMTMKRMLETSFPGIHVVLENYPPPFPKRALSKAVPLLQVGAMATLMAGDQIFPRFGMVPPPWYYSLRANRFGTMASIWLFGNFAQSFLQSSGAFEVYCNGQLVFSKLSQQRFPSEIELQELIGNRILDPQELIGDRTPDSQELIGDTIPDSQDEENLEKDLVLDDEL >KQL04739 pep chromosome:Setaria_italica_v2.0:V:11293733:11295638:-1 gene:SETIT_000839mg transcript:KQL04739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASFSSCPSLPISHRRPALIVFQQQQPLTGGRSLPNAAQRRRCSCQVASVATTDRWAPAVTGDIDRGSQSQLAETSGRSDDGQLAARWREIHGSSNWEGLLDPIDPVLRAELIRYGEFAQATYDSFDYERFSPYSGSCRYPAKTFFEDVGLVGAGYEVSRYLYATCNDLKLPNFGNPKHKSADDDKLWSESGTFIGYVAVSTDEETARIGRRDIAVVWRGTTTRLEWVADLTTNQRPLCEMGIPCPDSNVKVEMGFAELYTGKDVDCRFCRYSAREQALAEVRKLVELYHGRGEEVSVTITGHSLGSALAMLNAFDVAETGANATPSGGAAPVCVFSFAGPRVGNLGFRERFERELGVRALRVVNVHDWVPKVPGAIFNEAAFPEAVLRAVDGLGVAGVYTHLGVALELDHRASPFLKDTIDITCYHNLEAHLHLLDGFRSRGEGFELSGRDPALVNKSTDFLRDEHKVPPVWYQAENKGLVKTEDGRWVLRPRHRDIAEHPEDTDHYLQRLGLTTSSLIMCGLSTPLIPKFLPRPLGLATSGMFASAYKPTEKLKRLICCERKTLFGG >KQL07548 pep chromosome:Setaria_italica_v2.0:V:40448084:40450646:1 gene:SETIT_002241mg transcript:KQL07548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLPTLTALKPSTPAICSNPIRICGSHGRRRKPLLASSAPPPPSSSSRPPKPTPSPEPPKPAHEARSQNPEDPAATAFPTTKPRKPRRGRRGEAAAVEDFVRGRLEQVFASIRERDPEVLEGKGDILKRKDEERIPDEEEGEGSGEKEQKPVVEEEDPSWPLDADIGWGIRASEYFDKHSIRNVTVDGVEIDWEGEVDKGWVKEINCLEWESFAFHPSPLVVLVFERYNRAADNWRFLQELEKAAKVYWNAKDRLPPRTVKVDINIERDLAYALQVRECPQLLFLRGNKILYREKGSVFAHGDMTPSFCPLASSLTTLRVET >KQL05400 pep chromosome:Setaria_italica_v2.0:V:23867970:23869432:1 gene:SETIT_004888mg transcript:KQL05400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFLHRAKEALGCAYRPGPFSGLFLASASRTAFGHLGLARASATPALRDLLIPGPSSSTPRTRSAPSCFAACPTRARAEAQGDAKRLEVLRLRRAIIDAEDGRCEDALDDLTRLAAESPDRYLARLCAAAFCNLLGRVDEADRWLAGIPAERSPREHIMCNLALVAAALGGAPGTVAGSRGRVASAAFQIMNVCGSDGQMSAFETIITGLLKHAAKRRCKDLLLNHGDDGILRALADAFALSGSVPKDHMFFVLKASQALLSAVVLRAHPLSSERVRAAVRIAERDLARAVEEGDAAAACDLRLLLAFLAARDRRFDDALARYQEVARDDPSDSPAALTGVFNLRAPTSASAWGSSFPHRFELMTLTEELVVALAIASPVAFDVRCPVRMRLIVPAAGSMVDAALVSALRTKNMSVVEWMEKWEGKDCGTGSGTTTE >KQL06934 pep chromosome:Setaria_italica_v2.0:V:36530804:36531193:1 gene:SETIT_005114mg transcript:KQL06934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPFFRDAASFRFLPRPPLRPRRPRPPITRGARAQAPPTDRCRCQPRRGGRPGFRNTRAIYELEDQGLVLELDETRFGFRTSYELECETPEPDQVKEVLERLLTVAGVPYDYSRSNKFACFMAGKLLP >KQL08806 pep chromosome:Setaria_italica_v2.0:V:47155033:47165547:-1 gene:SETIT_000093mg transcript:KQL08806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLREAPLPSKRVASARHYAAAAAAAAPPVPPHRPPRSASISTASARKPPEPLRRAVADCLSPPAPHTHGPAAAAASAAAEASRTLRDYIANPSTIDMAYNVLIDHALAESDRRYIPRVQTLRQIDLFCANTIAKCEPTANNRAASFGQVSATAAPNSSPIAPPISNFASASLVKSLNYVRSLVARHIPKLSFQPIVQSVASKQALPSLSSFLNRSLVSQLTPEVISNREHLELKECHSSSDLISSASDKVDGGEPGDDSKYISFDILSWRWHVYGERQASTSARESSVFVGLQDFHTHGFLEVGAAALLVGDMEAKINDQQWKYSVIQEFPDIDLLQPSTSAPSTFASSQSHLKAITASKRMKSGPNQVWMNIPANTFQPRARPLFQYRHYSEQQPLRLNPAEISEVIAEVCSEATSNASQSIAQTRLSTQSRQPSADVAFSVLIKLVIDMYMMDSGTAAPLTLYMLEGMLSSQKTSARTKALDLILNLGVHAHLLEPMVVEDAPLIDKSEAVNHSYLSNEYGSSIDEPRAAEPEEEPKISPAIDLFESWLLKILFEVLLLLVQMEERQEIVWASALSCLFYFVCDGGKIIRSRLGGLDIRVVKTLLEISVEHSWAKVVHSKLICMLTNMLYHVSDVTQNGVRDTHFVPERIDLLGGIDYICLEYSRANSREEKRDLFFVIFDYVVHQINETCLAGSVSTYTYDDAQPLASLLAFADAPEAFYISVKHGVEGVGDMLRKAISAALSQSAQYDQLNVLLDKVMRKLDGTVSTFSRIDNEFAYMIQVTKSYKCFSSIKDGCDDADVALRARLCWATLHSLLHSQISSYRHHGYIWLVELLLSEISEETDGSIWSKIQKLQEEIEVAGSQDLSCSEVSLPVCMLCGLLKSKHNFIRWGFLYVLDKFLMRCKLLLDDSDMQDHTATDHSKNCLDKAFAVIDIMNSALLLVVQNNETDHINILKMCDMLFSQLCLRIPSTNAMHAGGLQSLGQLFGCTTKNIDSHLETLASHRSVGNKNLYRSETLQDISMDQSAQSTLLCEASMAALLLRGLAIAPMQLVARVPTSLFFWPLIQLEGAASDDIALGIAVGSTGRGNLPGATSDIRAALLLLLIGKCTADQEALKEVEGNEFFRGLLDDTDSRVAYYSAAFLLKRMMTEEPEIYQRMLQSLISKAQQCNNEKLLENPYLQMRGILQLSNDLGVQ >KQL08383 pep chromosome:Setaria_italica_v2.0:V:45151085:45154507:-1 gene:SETIT_001465mg transcript:KQL08383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVAALRQLVGQVQELWDLYGANAHPLPRWYLLDFEHGSIKDDYCGGRTGYNSELLKIMGTNQSPPRKRLRRDRNREKAPCSNATEVMQHEIWKEFPEDLFETVIARLPVAAIFRFRTVCRKWSSLLGSDSFSRQYSEAPHGLPWFYTITHENANNNVAMYDPSLKKWHHPSVPLAPTKIVIPVASVGGLVCLLDLSHRNFYICNPLTQSLKEIPPRSVQGWSRVAVGMVLNGRSSNDGYKVMWLGNDGTYEVYDSTKNTWSCPGVFPPSIKLPLALNFRSQPVAVGSTLYFMCAEPDGVLSYDVSNGIWRQFAIPLPLHLADHTLAEFQGKVMLVGLLCKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHMKMTCLGNSGLLMLSLKAKRMNRLVTYNLLKKEWQKVPDCMLPCSRKKQWIACGTAFDPCPSALA >KQL04842 pep chromosome:Setaria_italica_v2.0:V:12290047:12294377:-1 gene:SETIT_001500mg transcript:KQL04842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAAGPDAAARLAVSVTGRYVDAGGEQLWVDVLHAALAHGLTPRSWTDYLHLTIGGTLSNAGISGQAFRHGPQISNVLELDVITGTGEMVMCSKEKNADLFDAVLGGLGQFGIITRARIPLAPAPARARWVRLLYTDVAVLTGDQERLIAERGAVAGIMDYVEGSVLTDYQGLIGSWRSQPPSSSFFSEGDAARVAALAKGAGGVLYCLEGAVYYGGAGDTSAADVDKGLEMMLRELQYARGFAFVQDVSYVEFLDRVRAGELKLRAAGLWDVPHPWLNLFLPRSRILDFAAGVFHGVLLRDGAGGGATGPVLVYPMNRRMWDGAMSAVFPDDDGDEVFYTVAILRSAVAAGDLGRMEAQNAEVARFCEGAGIPCTQYLASYATQAEWAAQHFGPGSWDRFARRKRKYDPKAILSRGQRIFSYPLELSPDEHVL >KQL04154 pep chromosome:Setaria_italica_v2.0:V:6880724:6882933:-1 gene:SETIT_001525mg transcript:KQL04154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCKERSGKNTKSWTPIVHLNVGFVVGVLFVLLTFLVFSQKAAISGLNAEKGTVVYNTKGGYSETCEVDGDVRINCSALSVFLVPTASSERHEWSILPYSRKTMPGIKNVTVAQLQGPAAAPACTVTYGVPAVIFALGGLTGNFWHDFSDVLVPLFVASRRYGGEVQFLITNMQPWWPEAYRTILRGLSKYDAVNLDGDEHVRCFPHVTVGLHQHNGLSIFPEWVPGGPLSTHDFTRFMREVYALPRDAPASLVREPEKRPRLLLVHRGHSRRIMNEQEVLRAAEAAGFEAVAVDFRRDVTVDEQARTANSFDVLLGVHGAGLTNLVFLPPGGVLVQVVPYGRMDVIATLEFGLPAKDMGLRYIDYEVRAEESTLLEMLGPEHPAIKDPDSVHRSGWDKMTEFYLDKQSVRINITRFAPTLAQAFDCLRQQ >KQL04339 pep chromosome:Setaria_italica_v2.0:V:8284135:8286061:1 gene:SETIT_004335mg transcript:KQL04339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFPVAGGRSVSLALYSDVSNSRELLDLMQSGKLEPEVAFLNASLVPDVFPVLAAAHKALLSKARESLTTRTLHSELVYNYSGSKHITESLKRCGISDDTMYILAARFDASDEEMKAVGKLISGAEIDLGELESRANQPQILKHYKIPAQELLISTLPDAIVCRIAARDAL >KQL08603 pep chromosome:Setaria_italica_v2.0:V:46279245:46280164:-1 gene:SETIT_005616mg transcript:KQL08603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNVEGAGLGGAMPPVERRLLRRMEGLGRFAGGGRRWSSGGSGRGRNPSEAALASVAEIDLRVAARTR >KQL03376 pep chromosome:Setaria_italica_v2.0:V:2051171:2053352:1 gene:SETIT_004095mg transcript:KQL03376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGAAAKFCALAAELAAKSSHVAELEARVSLLEAENERLREALARREGAGDPKSGRLAAGLRRSKHEEAEKLGGGAACDIIELSDYEEGPAAVDANEGQSPEEGVVAAPTPRNRVVSSESEDEADAEDAEGGGGSNMENGAGLEDEDVSVTPRGKRRAAARVVTSDSEDEDVKGGELGSGNDDADDQEEGVKASRKRGLCGISDSDDEMEDVTGGVHVVVSKAASRVVAAQIESGDDEDDMVPISQVLKKMRKERASEDDADDGLHEAKGCSTRTTRRSARLVRNQSKGERGSRRVNNFVEPKDYEGSEDDMEEDNDTDGFINDSSSESASGSDEESHDVSGTSVLNEESSPRPEESDPVADYAGVMAHIGRKKKAKDWKFEADMLAAFAEHPELCLKAVCALYRKQTQEEQLEKAALLHNKQGFSHIDAHWGSCIAEFLLDGDRDGPLKKTIDDLEEHDSNALGFCRRVASNYSKQLFAIYQNKEDPYFHP >KQL04194 pep chromosome:Setaria_italica_v2.0:V:7116664:7116921:1 gene:SETIT_0040772mg transcript:KQL04194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVERRSKRAREEGPLLFGVQLIKQDGKEDELVEAEAEVEAAPQGAEAIVVSSETPAKPPAAVQVDKGRLYCSLCSSLLKPPIYQ >KQL07278 pep chromosome:Setaria_italica_v2.0:V:38889180:38894484:-1 gene:SETIT_000295mg transcript:KQL07278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVSSGASTAASTLRSAGASLVNSIASHDEDGSRDQVQWAGFDKLECGGGVVRQVLLLAYKSGFQVWDVENADDVRQLESRHDGAVSFIQLLKDPIFTTKSQDRFADARPLLALACEGNYTGSGNNHDTNVPVFDGTNGAFHNTGSENLPTVIRFYSLRTHEYVHTLRFRSAVYSIRCSPRVVAVSQATQIHCFDAATMEREYTVLTSPTVAQISGYGPLGLGHRWIAYSGIPVPVPDTGRVSPQLLSPSPFVPPPGSNGSVVAYYAKESSKQLAAGIVTLGDVGYKKLSKYCADFIPNGNGMVKQRSSIYKANGAINGHLIDSEYAGMVIVRDIVSKLLIVQFRAHTSPISALCFDPSGTLLVTASVHGQNINVFRIIPPPHGTSEAGQIGTYVHLYKLQRGITNAVIKDISFSDDSEWIMISSSRGTSHLFSISPYSGSTRFRYSDNNPAENDYVVDSSSVNHTAHWSQKSTPSLSLSQKTLFVSGPPLTLSVVSRIRNGSNLFKGAVHGAAAFATGASSPISGAIASTFHNCKDADLNSDSSRTKYYLLVFSPSGSIIQYVLHLSTEQDSGFDFPTGPISYGSERETDTKFVIEALQKWDVCHKRNRRDSAESFAYNDFENGENNKLFQKVMKKGTSIYPFDSAAAERPKLSADENRNFYISQSELQTHVVQTPLWSRSGIHFQVMEGETLEADNLDITSGEVEVEKIQTHNIESRSKNLVPVFDSLHTSRFQQTRMNTPDNNRYELLQRQKSGISEDGRLSRRSSCSSLDCMSEGPKSSDDGGFSKYVVDDSSAAVNNNPSVKLHTELVNNTGSLKSEAQLGFVHSKGDGEDEEQLPDL >KQL07926 pep chromosome:Setaria_italica_v2.0:V:42570612:42571796:1 gene:SETIT_004626mg transcript:KQL07926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEAEIKDISKKLDIILDMIDGVNKWCLTVDTTVDELSRVVGKLTSHVEALEQSNAQLHPPKVPMREEEGWASGHRIKTSYQGSADRALVPNHSLVKGIGIGLAISIIMVVVAALVETRRLKIARDYGLLDEPEAVIPVGILWVAPQYILVGLSDSFAVVGLQEFFYGQVPDSLRSMCLEHSRRSWFSNNLNRAHLDYFYWSLALLSAFALAAYVYCAQVCA >KQL04279 pep chromosome:Setaria_italica_v2.0:V:7870466:7872522:-1 gene:SETIT_000821mg transcript:KQL04279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTYLGMNLVEDRCDAEDSLASWAPSGSCTLSAHAEDPAPDSLPPALAATADADDSDEEAVRCARKPSGRYVLAAHRKDEKDGLCRAISRLPKPWVTYRVAGWVGVDAAGSGEGGSHAVHVEVRVDGHRPVGGGIVVVEPGKWGEIKGSFRVDDDEPPRCAKVYVHGPPAGVDIKVMDLQVCAVNKIPRLRHLRKKADRVRKRDVILKVSDRAEDGVSSVAGAHIRVIQVQNSFPIGSCITKAGIQNPEYVDFFTKHFDWAVLENELKWYYTEAVQGQVSYADADELINFCDQHGKPVRGHCIFWAVENAVQPWVRALNGDQLRAAVEARLRGLVSRYAGRFPHYEVNNEMLHGAFFRQRLGDDVDAHMFRETAAIDPAPALFVNDYNVESANDPNATPEKYVALVTDLQRRGAPVGGIGIQGHVTHPVGDIICDALDKLAVTGLPVWVTELDVSAADEAVRADDLEIVLREAYAHPAVEGVMLWGFMQGHMWRSHGQLVNADGMLTEAGSRFAGLRREWTSHAKGKVDANGNFKFRGFHGTYEVLLTTAAGEVKKQRFDVKKGDAPLVLDMNF >KQL04135 pep chromosome:Setaria_italica_v2.0:V:6754081:6755312:1 gene:SETIT_005431mg transcript:KQL04135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAGLSMLLLPQGCKTISNSVIPIPRRSYGDRSTFKQRAEAIFSSSEITVSWIQWGNGRSDITSNCRKCELSGHYCALSSQRNQTFCMPKPKGSRVKVIAGGNVSPDIWNIETLKVFIF >KQL04962 pep chromosome:Setaria_italica_v2.0:V:13440898:13443915:1 gene:SETIT_001889mg transcript:KQL04962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSGNLLPALAAAFLCCALAPVAQCGGGGGAPQNYTSMFSFGDSLTDTGNLLVSSPLSNHIVGRYPYGITYFHRPTGRCSDGRLVVDFLAQAFGLPLLPPYLQSKGKDLRRGVNFAVGGATAMDPPFFQEIGASDKLWTNLSLSVQLGWFEQLKPSLCSSPKKCKEYFSKSLFLVGEIGGNDYNYAFFKGKTLDDAKTYVPTVAGAVTDATERLIKAGATHLVVPGNLPMGCSSAYLTLHPGRNGSDYDAAGCLKTYNDFAQHHNAVLQQKLQALRAKYPQARIMYADYYGAAMSFAKNPKQFGFTQGPLRTCCGGGGPYNFNPKASCGVRGSSVCTDPSAYANWDGVHLTEAAYHAIANSILNGPYTSPRLL >KQL05373 pep chromosome:Setaria_italica_v2.0:V:23561804:23567966:-1 gene:SETIT_000045mg transcript:KQL05373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISLALLYVRISAFAILLVWIPANFIKLKKRQHERNSAMVSAERKGVALLPSHIIAVCNASITSINIGFAVLGVWKHRTVSLGLIFASLSWLLVTLFSLYCKHKGAGVVLNWPAVLGSWWVFSSLLESLLTSLHLLHLINSATVVNFTSLPFCAIICLCLVATAMRAKTSQEELNQPLLIREDSGDSSRDRFSSSGWWSHLTFQWLNPVFEKGHKVRLELEHLPSLPQSDTAEQSYALLQETLHKQKPEPMSLENAIICSVWAPLVINAVFAGLNTLASYMGPFLITYLVALLSDKNPDRGHGHGYILVSLFFISKTIESLSQRLWYFGARRIGFRVRAALMVSIYKKSLLLKNSTTGTGKIVNFLDVDIEKIGEFFWYIHGIWLLPLQVSLALVILYHSLGMAASLSALFATVLVMVSNTPLAKSQKNLNVKIMEAKDSRIKATAEVLKSMRILKLHAWETAYLDKLLKLRDMERAWLRRYLYTCSAIAFLFWASPTLVSVVTFGICILVDVPLSAGTVLSALATFRILQDPIHNLPELVSMATQTKVSLDRIEEFIKEDHHGKPSSYGNRSSIEKQSVAGTVEIEAGQYSWEAPDNILKKTKFTLKIDRKVDIIKGQKVAVSGSVGSGKSSLLCAIMGEIPRVSGAETTVVGSMAYVPQSAWIQTGTIQDNVLFGKAMDKALYDEVLQGCALDKDVELWANGDMTLVGERGMNLSGGQKQRIQLARALYSDADVYLLDDPFSAVDAHTGAHLFKECLMQQMSSKTVIYVTHQLEFLRDADLVLVMKEGRIVQSGKYDDLIADKDGELSKQMDAHNKSLSQVTPAKVHGLTRNKKHKKKQMELTEIEPDHNVLGRESEEERESGRVKWGIYRKFVTSAYRGALVPVVLACQVLFQALQICSNYWIAWASESQERVSREKMIGIFVLLSAGSSAFILGRAFVLSAIAIETAQQLFLGMIKNVFRAPMNFFDSTPSSRILNRVSTDQSTVDIDIPYRVAGLIFALIQLLSIIFIMSQIAWPIFFLFIIIISLSTCYQSYYISSARELARLVGIKKAPVLHHFSETVSGAATIRCFNQGENFLRKSLALVDDYSCITFHNAAAIEWLCLRINFLFNLVFFVMLVILVSLPRDTIDPSLAGLAATYGLNLNVLQAWVIWNLCDVENKMISVERILQFSNIPSESPLVIEEYRPMETWPWYGTIQIDGLQIKYNHDMPMVLKGISCTFPGERKIGVVGRTGSGKSTLIQALFRIVEPSEGRIIIDGVDISLLGLHDLRSRLGIIPQEPTLFQGTVRSNLDPLQQHTDAEIWEVACKCRLEEIIKEDSRLLEAPVVEDGGNWSGGQRQLVCLARVLLMKRKILVLDEATASVDTATDNIIQRTIRQETKSSTVITIAHRIPTVLDSDLVLVLGEGRILEYDSPNNLLRDESSAFSKLVMEFVGRTEDINQR >KQL08069 pep chromosome:Setaria_italica_v2.0:V:43420007:43420993:-1 gene:SETIT_0003322mg transcript:KQL08069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QFRAEVSTIGTIQHVNLIRLLGFCSERTRRLLVYEHMPRGSLDRHLFGASSQGGVLSWETRYQIALGIARGLEYLHDKCRDCIIHCDIKPENILLDEAFVPKVADFGLAKLMGRDFSRVLTTVRGTVGYLAPEWIGGAAVTTKADVFSYGMMLFEIVSGRRNVGQRGDGAVDFFPATAVGMLLDGDLRGAVDCRIAGEADVAEVERACKVACWCVQDAESLRPSMGMVVQVLEGLVDVDVPPIPKSLKVLADPAKYVEFFSGLPST >KQL04841 pep chromosome:Setaria_italica_v2.0:V:12284796:12285732:-1 gene:SETIT_004294mg transcript:KQL04841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESDESESASTSNKGQYFFVWYKRKLETTGDATHTKDRAREKEDLYLVIHDSFFFY >KQL03370 pep chromosome:Setaria_italica_v2.0:V:2028920:2029583:-1 gene:SETIT_003022mg transcript:KQL03370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIRARSRKPTRTFEVYPLATAERKPTATRSLPPRPQPPPRRRAMASVAASPASCSCSTVFASSSSTPIRTPRPSLRPLPRRARLPLAGRSVLRCLPKCDSGKLPPPVGGGAGLSIRKAAEPAGRGGFRAARFDASCGLAFATVAGVLMLQGTQQAMAATQFGGLQPADVLGDLGDLSTGFASVKRTASAL >KQL08097 pep chromosome:Setaria_italica_v2.0:V:43533746:43536932:1 gene:SETIT_000444mg transcript:KQL08097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRGKRLLPVLLALVAAAVAPPAVSRDGREVVEVSGAPDGVVWVAQLSDLHFSVHHPERAYDFRRYVGPALAMVNPDLVLITGDLTDGKSKDLLTMKQNEVEWAEYESTINDIIQISKLPRRIFYDLRGNHDSFGVPAPGDNYDFYQKYSINAKLRRQGRVQSITLENNGRKHLFVGFDSTMEVGLRGPTNLFGHPTDKQLIELDQALSQWDTDFDKAPVTKIAFGHFPLSFSALTESGKSIKDVFLKQSLAAYLCGHLHTRFGKNLKRYYHRAVQESSFSEHYYQFNMHQGYAIQSNKENCSEEAAHIEEFWEWEMGDWRKSRSMRILAIDDGYVSYTDIDFRLGSKSIIILPTFPLDSRFMQRASASRDFKCHIRGPSTFDTARALVFSKHEIVSVSVKIYDSRPGTLEVVFDSEMKRVDSNETRGHMYIVPWNWRAFEDPSPSRYWLQIEVMDITGDASFSHLRPFSVNGLTARVNWTWKEFFVIGIQWASIYHPALWCALSLILSLLLVPQVSAVVFKDQFTYKSLYTNGSQRTLLTSLVGGFIWLFVELARVILVWFLLLVYTIYLVFLPWLFGHPITEDDNLTYMTRRGWILKRPNSGNEVVHTGIPDVMVIVLPHFCFVLLPTIVILAAMSAERTAYREHYLSWSGKKKDDYYQKSRRQIEHEKFWNGRWIRKFLCLLCLVVLWKHWKLCRALVKAYAMNPLLHSPVLFFFIPALMGFAIYRTSSI >KQL08729 pep chromosome:Setaria_italica_v2.0:V:46861458:46862746:-1 gene:SETIT_002278mg transcript:KQL08729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPNNEGSSAESHIDDLVSKLPPKEGLVLYKNYWLWPQTAKNIMLLQDIFKPRHDDIILATNPKCGTTWLKALAFTITTRHRYNFHDHPLLTHHPQEVVPFLEIPTNEDLSYVETLPSPRLVSTHMPMSLLPGSIASHGCRIVYICREPKDAFVSLWHFLKRIHGGHAPDLDSMFNRFCEGSLPSGPFWNHCLEYWKESIASPDRILFLKYEDMMSDPVRYVKKLALFLGVPFTGEEEEDGVHEQVVRLCSFEMLSGLEANRAGNLKLRPRPNVVYEKSAFFRRGKVGDWVNYMSEEMGRKLDYIMEEKLKGSGLVL >KQL04100 pep chromosome:Setaria_italica_v2.0:V:6473344:6474894:-1 gene:SETIT_001557mg transcript:KQL04100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEELLRCQIHEWYPAFRRHSIPTVIIPLPAAFLRYLAGKAAYPDADADSDEEPLPFLLPAITSGRQPFAPIHAHHPDPVSLLNSELFFGSSTEDVHDPDADHPHRPEFPELEAAIDAAIAELGGAALPKLNWSAPKDATFMSADGTTGCTCFAEVAMLLRASDCVAHDLASARQSCEDFVHPEGARRNARKVSAGAEEGARPNANETDCSRGINDAPSDSREIGEEGGKTNAVDCDLKDAPEEERYGDTWVDDGFQYYLALRKWYPGLRPESEFRCFVRERKLVAVSQRDASAYYPSLPGWSSEVQPKIEDFFEEVIEPQFGSNSYTFDVYVRSDGRVKLIDFNPWGGYTLPLLFTWEELEEEGRGHELEFRVVMQQGAVRPGLMTAVPYDMLDWGEGSGWDVFLKKADEELGRQMNSPDGHS >KQL04455 pep chromosome:Setaria_italica_v2.0:V:9124901:9125770:1 gene:SETIT_005117mg transcript:KQL04455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTTAAALSMKLLIDRKAQRVLFAETSKEVVDFLFSLLALPVATVVKLVGKEAMVGCVGNLYASVDKLDSTYFQPGAAKDALLYPTVLSSAASATLLRLPAAPPSPGQPKTSYRCTNTYNSNCRTYIADTHGKACPTCGIQMTTAAQYLQSTGPDGSGQAVPKPEQSKGFVQGIVTYTVLDNLTVTPMSTISGITMLNTFAVTDLGDLQEKTVKLGYNEGLAILKASLQSKTVLTDVFLTSNKKSSARGRA >KQL06502 pep chromosome:Setaria_italica_v2.0:V:33863186:33864082:-1 gene:SETIT_005189mg transcript:KQL06502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHLPRLPFLLFVFLAVHVPTSHGSPLPTTYDSSMCLESFWCGSVEIRYPFYLANATKATSDYNGNYSCGYTDLEISCLGEGPSASPVIRLSGETYTVQDISYDSDNYKVTLVDRDVLVGGSCPAVRHGVTFDGMWLHNTSSNDDLTFYFGCYSGGPRMPAGLHKYQIDCNFESPVPGGGVAFVLTPDDHDKAQEHDLAADCHKVVSVLVKNEVLEVARTWTNFTSGAYGYVLKQGFELGWSPIETGPCPQCEESGGKCAYRQNKTFLGCLCSDGKVGYPDGTDCSGASTAPAPAHAS >KQL06698 pep chromosome:Setaria_italica_v2.0:V:35075131:35075470:-1 gene:SETIT_005603mg transcript:KQL06698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLSASSISLFARVFHCLSGYPVTPARALFSAPKLFNHTRKP >KQL06264 pep chromosome:Setaria_italica_v2.0:V:32206855:32222450:-1 gene:SETIT_000015mg transcript:KQL06264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKACFCGPVAIELCSMGGIAECGVSVDTKASPRRAAIEKAQEELRQEYDIREERRRELEFLEKGGNPLDFKLGHVASLSVQSTSVTDQIADQNVISEAKGSFAFATSPHGDSVESSGKPGNSLCREGNTADNLMLLDGDTSNTGGEKIVKRGTKRATATQAEQFLHCDGQNNAKEGEDSGLFRLGPKSQAYARRRSKSIRDNANNAFVRHPPVPPTSSQKKDVTGLTPEAKTEDNGVSSIGDSKPTSPNCQNMLKNAPLNDNVEMETDSVQPIHEGNQTSKNELSNINNGSQAMEISPNSVTDNSHLTVGDQMATATASAVSPDAISKEAASNIVCSLPSISNEILKEAQTLEKAGNSPSIVSAVDIHADSMDNKGATPHSAVESASLNENEVDRTHAYATKAANEHLVQSENLAPLKASEMVDEGLNKILPEDKDAKKDSQLEVSSQPVVLDGSCRQPEPSYVCVKDEREVCNNAVDAQKDTQQLATSNQDNGNKEECSDSHRNNMSESSVAEKPASVIVPRAPASLTAEKPASVTVPPALLTGDVTNPIENDVEKSSGDQEKISKKECEDSIVAKKDHEDAILRRARYIEANIKRAGERSLCNVSLEKKRKSHWDFVLEEMVWMANDFMQERLWKSAAAAQMSHWISSSGRAVFEEASIQRKQKSVARILANGIMDFWHSVDTSRASGGMSKPMQIEQSNKLEEKLSGVKAGKQEDEENLEQDKSRKSHQSPIHIYALRLLEDNSNASKCLSLAEAPPTPDRLNDFGILKVPDQLSEANLFYGVAPGAMQAYRESMERLFVYNKVRRCPRYYEDHYALLLYSFDHQVVLLNVENLNVPVENVYGDDEGDARTYLLPGAYDGGLASKSSHKKKHPVTQRMNGTRPYEIGSDMPYEPFLESKPGNQQFVSNGKRTTDFLSIPIKRIRTAAARQRVASPFPAGVSGTPQFTSKTDASSGDTNSCQDDQSSLHGGSFSRKNADIESTVDFDRQLLYDASEVSTKSKKKKKPKHPGHKAPLSVAESCSLMASGKGTYDPRPQVDLVTQYEQKDYVKKRPEIHQFDSNGNFVVNGQHASKKPKLMNQAPDISLEALAPVGPIASPAASQMSNMANPKIKISTRGRKSKGPKFGLKMAVGHSGPGSPWSSFEDQALVVLVHDMGENWELVSDALNSIIQLKCIYRRPNECKERHKLLTDKSSGDGADSADDSGSSQHYPSALPGIPKGSARQLFQRLQGPFEEETLKAHFEKIIFFGQKLHQTRRKGEIQELRQINPLHTSHVFALSQACPGNLSGVVLTPLDLCDGPSNSDTLSVGYQGSHTSGLALQNNHGSIGPTLPTSNVNSRLPGSPGMVIGSNSPLPLNAPSRDAQRYGVPRPTLLQGDEQSRIHYSQMVNGRNLQQPGVPGVLPSGVDRGARMMPPAHGAGIMTGLNRGTPTRPGFPRVGSPGMANVVPHGNMSPNNGQGLQNTVNVHPGAIPGPGNTMLRPRDPMQMLRPVQNSEEHRQMMMPEFQLQVSQGNNQVVHFSGPPFSNAGGSSPVQSFPVQQSQPHQMPQQSHMYGNTHLSHTQGTNQSNPQQQQAYAMRFKERHIQQMMPQQQRPLPGTSTVPTVQNGSQMQQQSQGCAAGVIPASQPQHKQQHPAQNPLGNPMLPHQPSANTSHKQKKQQGQQQPRQNQQQRNQGSQQAKLMKSLGRGNMMHQNPVDASQASGISANCKNQIPDKNVMQQGPGHLVGSKGSIPSIPQPGSQPKIYTSQMPLSPMQTPDVSNQGAVKGSSNHTLLTSQQGQLHSPSQLATQQQQQLRYMNPSQNNIQRLMMQQNRHMNTDGRTELPVDQVQHNQVISSASLARSTDSGSPGISSMSQRKQESSHDPSAVTSTPQLASSPQDTFVGSDKLLPSSSQSMLQRQMSGGMPIHGHAIGGQLQQQQSRQQLQSQHLQQQQQQHQRPVVQGSVYAHPSNSGPG >KQL03676 pep chromosome:Setaria_italica_v2.0:V:3872941:3878394:1 gene:SETIT_000241mg transcript:KQL03676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESHNGEADDLPPPPPLNAGDEPLKAEETKKLSKPKRVLVPRKGFGKKGQPIRLVTNHFKVSLKNTEEFFFHYYVNLKYEDDTPVDRKGAGRSVIEKLQQTYATELANKDFAYDGEKSLFTIGALPQVNNEFTVVVEDVSTGKTPANGSPGNDSPPGSDRKRVRRPYNTKTYKVELSFAARIPMNAIAHALRGQESEHTQEAIRVIDIILRQHSAKQGCLLVRQSFFHNNPSNFVDLGGSVMGCRGFHSSFRATQSGLSLNIDVSTTMIVKPGPVVDFLLANQKVDHPNMIDWAKAKRSLRNLRIKITPTNAENKIAGLSDKPCRETMFTLKRRNGDNGDSEEISVYDHFVKNRGIELRYSGDFPCINVGKPKRPSYFPIELCNLVSLQRYTKALSTLQRSSLVEKSRQKPQERMSVLSDVLQRSNYDSEPMLMACGISIAKSFTEVDGRVLQPPKLKAGNGEDIFTRNGRWNFNNKRLIRASSVDKWAVVNFSARCNVRDLVRDLIKCGGMKGIKVEPPFNAFEENPSMRRAPAVRRVEDMFEQVKTKLPGAPKFLLCVLAERKNSDVYGPWKKKCLAEFGIVTQCVAPTRVNDQYLTNVLLKINAKLGGLNSMLQVESSPAMPLISKVPTMILGMDVSHGSPGQSGVPSIAAVVSSREWPLISKYRASVRSQSPKMEMIDSLFKPRGTDDDGLIRECLIDFYTSSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWNPKFTLIIAQKNHHTKFFIPGAPENVPPGTVVDNKVCHPRNYDFYMCSHAGMIGTTRPTHYHILHDEIGFNPDDLQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVGQFIKFEEMSETSSSQGEHTSAGSVPVQELPRLHEKVRSSMFFC >KQL08795 pep chromosome:Setaria_italica_v2.0:V:47111512:47113155:1 gene:SETIT_005025mg transcript:KQL08795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQLLQQGSAAVPPPLESSNYWPSSSSSEEAAAAEDDGPRRRRESMVNRLISTVYSGPTISDIESALSFTGGDHLVAAPPPPAVMDSTTSSPVVFSPEKVLSKMENKYTLKIKTCGNGLAAEDGYKWRKYGQKSIKNSPNPRSYYRCTNPRCNAKKQVERSTEEADTLLVTYEGLHLHYTFNYSPQQHHLLLPPPPPSCSSKKPKLHPRGGPLADADAGAAILQNSSSSPAQEESMMSSSTTSRSYYAPALGASSPPSSEAAAAAACYFDNATTSNNEDGLLGEVQFLRQQQQQQDYCCFVDFEEQHRRQRMANSNSSNGGGLLEDVVPLLVRRPCISSSSSSPGITTTAGSPSPSLSTSSSVSWNPYIDMAMLSNIF >KQL04138 pep chromosome:Setaria_italica_v2.0:V:6773173:6783714:1 gene:SETIT_000063mg transcript:KQL04138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARQVRTLAGPKQPSITDVRTAATSPSPSTGPARPPPHSPTIPRNRRRRFLADAGVSVLFSRAEPQAPQYSGEDPSVEPAAPAARRAAESGWGLHETCEPAVAATALLVMLLSSVLRGRVRNFRRPRPLTMPTPLFLSRNPNPSPGATNPHLPSDPRSAAMSTSGVYVPPMRRLRSVIASTNGSLAPPPSAAAQAQQAARTPEWRMDERSPSPPSPPQTRRRDMPPLPRPPQPEHFRQQSAGYARYAYDDFSEDDSDREMDRTSVSSKGASTLDNVDEWKWKLHMLLRNDDEQEIVSRERKDRRDFEQLAQLAERMGLHSRQYSRVVVFSKVSIPAGLQREVDALLGDYIARKRTNSGNFPSAAFSRSSSTDSFATDEGFFDQQDNQTSTSAVMERIQRRKSLQLRNQQAAWQESNDGQSMMEFRRSLPAYKEKQTLLEAISQNQVVVVSGETGCGKTTQLPQYILESEIDAARGATCSIICTQPRRISAIAVSERVAAERGEKIGESVGYKVRLEGMRGRDTRLLFCTTGVLLRRLLVDRNLKGVSHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVRNHFLEDILEFTGHRLTPYNQIDDYGQEKSWKMQKQGLRKRKSQIASAVEDAVETADLRNYSPRTRDSLSCWNPDSIGFNLIENVLCHICQKERSGAVLVFMTGWDDINALKEQLQANPLLGDPSKVLLLACHGSMASSEQKLIFDKPEPGVRKIVLATNLAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPTWISKASARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLQSLCLQIKSLRLGSISEFLSRALQSPESLSVQNAIEYLKVIGAFDQNEDLTVLGKHLSMLPVEPKLGKMLIFGAIFNCLDPILTIVSGLSVRDPFLTPFDKKDLAESAKLQFSCRDYSDHLALVRAYEGWREAERDRAGYDYCWKNFLSVQTLKAIDSLRRQFLFLLKDTGLVDENMTVCNKWSRDENLVRAVICAGLYPGVSSVVNKEKSISLKTMEDGQVMLYSSSVNGKEAKIPFPWLVFNEKVKVNSVFLRDSTAVSDSILLLFGGNIKQGGLDGHLKMLGGYLEFFMNRDLASTYLSLKNELENLIHCKLQNPRMDIQTSEELLSAIRLLVSEDPCSGRFVYGRQEQRSKKAKTMLSSSSMNGGGGNGGENAKNQLQTLLTRAGHSNPSYKTKQIKNSLFRSTVEFNGMQFVGQPCANKKLAEKDAAAEALNWLTGDGGGAAADTRDSRNADPMSVLMKPPRRRRHSHRRS >KQL05780 pep chromosome:Setaria_italica_v2.0:V:27814055:27814425:-1 gene:SETIT_005642mg transcript:KQL05780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQNNKTQSNQADKGNISLANGSTKPFRHTTSQ >KQL07449 pep chromosome:Setaria_italica_v2.0:V:39778155:39784845:-1 gene:SETIT_000393mg transcript:KQL07449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEATDGQLQATEQEVSVHASQQAGQQNVNSTDQFSKPEPVTEGSKNEQPVEVEQQNPQLHQSQPESRLQQAETNSFQLAEKEAGYFGQQSVAGSKVDVAQPSVVQQNAKQIVSQQAPSGAQDTRKGPSIPFNMLIPILQAHLDRDKDMQLQTVWAKLRRNEVHKDDFLRVIRNIVGDQMLKQAAHKVFLQMQAQAQRNNQANPSQHSLFSQASTQQMPSSGSAQLHDQKVRPPGPSNQGQKNQVSSSPQAFAPPSGTHPQTSVQYLPHDNPNQNPDTKGTNAIPNQPPRMNSAISLQTKNKQHQPTQFQQASQQIYGASNPGAQVYPQSITGSLRPPNPVPETQPSMHAHGMPPAKVAPPPTHPMMQHNAVAWQMHQNKELKTNTPPPNANAKQNSESAGKARTVGAGNSSAKGKQAPPNSSTPNASGGAKSNKKSGGQKKSSEAAGSTQPSSKKQKTSGAFQEQSIDQLNDVTAVSGVNIREEEEQLLSAPKEESLASQEARRIAQEEEEKLFLRKGPLLKKLTEIARKCNLKNVNLDVEHCLSMCVEERLRRFISTLIRVSKQRIDTEKTGHRLVITSDVGRQILQMNQKAKEEWDKKQAEEADKNKKQNEADGNGTAELEKEKEESRPKNAKPNKEEDDKMRTNAANVAARQAVGGSDMLSKWQLMAEQARQKREGLDVAAASQPGRGPGPRPLSKFGKGLGENQEGAKRSHSSAFGTGMKRPGRTPFAGPQRTITVKDVICALEREPQMTKSRLIYLLHERLPGGDSTAD >KQL06384 pep chromosome:Setaria_italica_v2.0:V:32958145:32963312:1 gene:SETIT_000603mg transcript:KQL06384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRMDGFISSCMVLVALALHLAVDGCSAVNFEGSALLKFQSRVEDPHGAMAGWSPRDGDPCNWDSVRCVDGRVVMLNLKDLSLRGTLGPELGTLTHLRALVLSNNLFSGPIPKELSALAMLEILDLSNNNLSGEVPQEIAEMQSLKHLLLFNNSFRWPLIQNSYGNFNQENDFDIYDYPGRGNMNQRAENGFESASSSEENKKDTSNLSAQLPSQYAARNPAAQFSQRRLLQDSNLAAPSSANAPVPAAAPVPSTGTGSFSAFSPNSAPAPAVNPPGSPPMVPSTTTEEVPKRRSIKWLYVVLPLVALLLIGITCMLLLCRNKSGTTIGPFKTGLSGQLQKAFVTGVPKLQRSELEGACEDFSNIVASYPQYTVYKGTLSSGVEIAVVSTMITSSKDWSKYSEGRFRKKIDSLSRINHKNFINLLGYCEEEEPFMRMMVMEYAPNGTLYEHLHVEGFDPIDWNGRMRIIMGVAYCTQHMHELNPPITHPDIKSSAILLSEDGAAKIADLSVWHEVYSKGNMPKDDDFVHHHEPVAADIAGNVYSFGLLMLEIISGKPPYSEQKGSLANLALECIRDNRSMSCLLDPNLKAHKENDLEIICELVQDCLQSDPKKRPTMRDITTRLREVISISPEAATPRLSPLWWAELEILSVEAS >KQL04979 pep chromosome:Setaria_italica_v2.0:V:13712374:13719937:-1 gene:SETIT_000220mg transcript:KQL04979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTKRRRDRVAPSRFKESALPPAKKHAAVVAPSPAPSGEGEDRDGEVYDVEVRVAEAKGGSFGPVETAVWTPELPAPDAEVYRACRNINKSGSCGGAASGSVLTSVSNAGSDGGAAGNGRLEGRPAVVECKPKRDVIEKKEDLYWPEDFVLGDVVWAKSGKKCPAWPALVIDPLLHAPEVVLNSCVADALCVMFFGYSGGGHNRDYGWVKQGMIFPFVDYLDRFQGQPLYKLRPSKFRAAIEEAFLAESGFFDIEMDGVCSPQKSVNVQSDPNGFQEEAASNNEQECQSEAQVVGKSAPCCDSCGNRLPSKVSRKKKQEGEQLLCKHCEKLLQSKQYCGICRKIWHHTDGGNWDLENADYFCPDCKSKRKPVVATEKMNASNSSECASTSKEKLTGMIPVCCNGEEALYVPEKHMMLCKCKSCKERMMSLNEWEKHTGSRKKNWKMSIKLKSTGEPLINLLDDIPCGTSKSSTPGIKKEELLQLQANAYSPVCAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARAVQDLTTWLCRACESPQRKRECCLCPIKGGALKPTDIDGLWVHVTCAWFQPKVSFPVEETMEPAMGILNIPAEYFKKTCVICKQMHGACTQCYKCSTYYHSMCASRAGYRMELQYSERNGRHITKMVSYCSFHSTPDRDNVLIVKTPEGVFSTKFFLQDGEKQTATRLVRKENHQEKVLPAKVSDCLAARCLAYEILKYKKEPREAIAHRIMGPRHHSQDFIDGLNACMDHKDDQSFSTFKERLCYLQKTENKRVSCGLSGIHGWGLFAARNIQEGQMVIEYRGEQVRRSVADLREARYHREKKDCYLFKISEDVVIDATEKGNIARLINHSCMPNCYARIMSVSDDRNQIILIAKRDVSAGEELTYDYLFDPDESEDCKVPCLCRAPNCRGYMN >KQL07171 pep chromosome:Setaria_italica_v2.0:V:38075120:38075558:1 gene:SETIT_005640mg transcript:KQL07171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVSNVGRNMARSYHSAHYHALSASVIKTSPNSATQEAAPSLVHTAAPRGRASAVLPRMPASFCRR >KQL07461 pep chromosome:Setaria_italica_v2.0:V:39858049:39858297:-1 gene:SETIT_003570mg transcript:KQL07461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDARYPVLRMRDESQTSARRRKDFFHSERGGKMQQRSSFRFFGVRRWRPSRETGAMELDFGLVDEQEGRLLCRSRELSPRP >KQL03695 pep chromosome:Setaria_italica_v2.0:V:4129199:4131851:1 gene:SETIT_001846mg transcript:KQL03695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAAARKAAGGGCGGGMGRGPALVLALVAAGAFLISYNFFAMLLRGGVGGGGAGASEAARDPVVAMPAWMREAAGGEARRRPFHVALTATDAAYSRWQCRVMYYWYKRMQARPGGEAMGGFTRVLHSGKPDGLMDEIPTFVVDPLPAGKDHGYVVLNRPWAFVQWLQKAKIEEEYILMAEPDHVFVKPLPNLALDNDPAAFPFFYITPSEHEKVIRKYYPKERGPVTDVDPIGNSPVIIKKTLLEKIAPTWMNVSIQMKEDEETDKAFGWVLEMYAYAVASALHGVQHILRKDFMIQPPFDTKLGNTFIIHFTYGCDYSLKGELTYGKIGEWRFDKRSFQDGPPPRNLTLPPPGVPESVVTLVKMVNEASANLPRWDDGI >KQL03913 pep chromosome:Setaria_italica_v2.0:V:5318721:5318849:1 gene:SETIT_003856mg transcript:KQL03913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPTLADIGDKKLSTSIQKIEKLVSKNLKQTPMAAQDSRRVM >KQL04721 pep chromosome:Setaria_italica_v2.0:V:11201866:11203923:-1 gene:SETIT_001899mg transcript:KQL04721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASLPAPAPGRAALLKAFDESRTGVRGLVESGVSSVPEIFRHPDPYASIPLAPPGASIPVVDLSLPFPDAAAAAAEAARTWGFFHLVNHHRALPQPSTGDDYPARALAAVRAFNELPAAERAPHYGRAVDGGVNYSTNVDLYNSPAASWRDTIQVMLGPNRRPDLASRIPAVCRAELLEWEVRATAAARAVMGLLSEGLGLGAAALEEASCLEGKVMACHYYPHCPEPERTMGIVPHTDPGVLTVLAQDEIGGLQVKHQDQDGNACWVDVKPVPGALVINVGDLLQIMSNDKYPSVEHRVTMNTHKEPRVSIAVFFSPGKRGDSNLYGPLPGLVSSENPPKYKNFTMEKFYGTFFSRDLASKALIDHFKM >KQL08530 pep chromosome:Setaria_italica_v2.0:V:45857938:45859244:-1 gene:SETIT_001635mg transcript:KQL08530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLKPAVLLAVSLCCLSALLPWCAAASYGGKPLVTAITKDAATKLYTAPLKDGRPLVLDLSGPLIWSTCPPKHPSFECHHHVCAHAHSYHPPGCPRTGHGVADDDDPFRCKCTAHPYNPIARKSGSGDLTRVTVTANDTDGTNPLRPVSFPAVAACAPHSLLAKLPAGAVGVAGLARSRVSLPAQAARAQKVANKFALCLPSGGQGVAIFGGGPLFLLPPGRQDVTTTLAGTTPLRRNPGHPGYFISAKGIAVNQEKVQQGPLVVGLSSRIPYTELRSDVYGPVVKAFDKATAERKRVTPPVPPFELCYDSRELGSTRLGYAVPQVDLMLESGATWMLFGANSMVQVNDNTACFGFVKMAKEEKGAPAVVIGGFQMENNLLVFDEEKKQLGFSSLLFGRQTTCSNFNFTLGA >KQL04785 pep chromosome:Setaria_italica_v2.0:V:11739902:11740092:-1 gene:SETIT_0013231mg transcript:KQL04785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEAVAMAEQVVADLREKCETPPELLREVASAMAHEMGAGLEKDGGSRVNMLLSYVDKLPT >KQL03777 pep chromosome:Setaria_italica_v2.0:V:4546287:4551135:1 gene:SETIT_001855mg transcript:KQL03777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDNDSERVAFWKKNFLGDTYRGLDAAAEEEDPSHPQDFTKEGLDAKEVVLCLSKSIVSLVSSVDGKPLFGCTGTVVNHVGSETWILTSATLVRKPGTDHDAYKADEVKIEVLLDNKRSINGRLAMCNLQYNIAVVTIELQFGLPIVALNDPPEYYSILGRPVVAVGRDSKSQVLLVRHGNMIRKRSKLDCSELLLCTCPVSKTFIGGLVMDFERRIIGISFFGEDTTPVMPIEIVSRCLKHFKKFRTLKLPCLCIRGHAVHSLELRSLEILCLNFPELSCGIGIVVDQISGESPENFGGIEAGDIICSIDGVVLHSLAQLTAMLLDKFFAMKSEKTMVLQAVMRRPRDNSKFVAMLNIWENSSVECSNSFSNRWPLL >KQL05223 pep chromosome:Setaria_italica_v2.0:V:18329475:18332145:-1 gene:SETIT_004877mg transcript:KQL05223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPTGDDGVYSMDILLELEETTPKTDYLDANKYQEGLTPDKLKDVDMSQQVLITDETNESEDAFKIPEGYECTVNDHAFIEAAKKISAEPGREELVLIDDVLVNRNHMECLFCRNAYLYDEVITPSTNLWEQKSFFILRNYSWPSGTCYLENTSMTVLMKGDGEEKRNMEDMEDHYPSRGHSQVPRLAERVLSYMQHDMYLAVVNARRRKIHLKGFRMQMEYTLQCTGLKDHAWPDVNVDIWDVVEVMVDRIQFDGVSCGLFMVAFIKYWTGDHLCATVDQESMVKFRTKMAATLLSTIFNERLGKPLLRNEDENIGSPSDFAEIIEPNEFQQIKQKRKSTNSHENALKPKKIDTEIDSDKQDVLLYYKDWPLKRDELAEIFCDYILTIKDPAELDMVWIRSDLPYRSVYKLGDLKVLLKRGSPMPEPFFNLGKMLELTHHENYRKHHSGKELGDVIGGWEIVKYDILGCRYFLLPWKHVNTYLLYVLDIKRKKLIVIDTKPIPKYAMDVPYKHYAIQIVGFCLKFMNAFRQLKPDSWEDVHKWEFERVKGIVEDTDG >KQL04738 pep chromosome:Setaria_italica_v2.0:V:11259998:11266264:-1 gene:SETIT_000357mg transcript:KQL04738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGGDGEKAAPALPLEALLALGLDQRTAENALVNSKVTANLAAVIAEAGIKECDKSVGNLLYAVATKYPTNALVHRPVLIKYVLSIKIKNPAQLDAALSFLTNTGPDSLDVEKFEEACGVGVVVSIEEIKSTVTDVLEENMEAIKEQRYHINVGTLCGQVRKRHPWGDAKAIKEEIDKRLAEILGPKTEADNIKPVKKKKEKPAKVEEKKVAVATSAPPSEEELNPYTIFPQPEENFKVHTEIFFSNGNIWRAHNTKEILEKHLKATGGKVMTRFPPEPNGYLHIGHAKAMFIDFGLAKERNGHCYLRFDDTNPEAEKKEYIDHIQEIVHWMGWEPYKVTYTSDYFQALYEHAVELIRRGLAYVDHQTAEEIKEYREKKMNSPWRDRPIEESLKLFEDMRRGLIAEGAATLRMKQDMQNDNKNMSDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCMVDSLENITHSLCTLEFDIRRPSYYWLLVALDLYQPYVWEYSRLNISNTVMSKRKLNRLVTEKWVDGWDDPRLLTLAGLRRRGVSSTAINSFIRGIGITRSDNSLIRVDRLEYHIREELNKTAPRSMVVLRPLKVVITNLEEGKVMDLDGKMWPDASDTDASSHYKVPFSRTVYIESTDFRLKDSKDYYGLAPGKSVMLRYAFPIKCTDVIYGNSPDDIVEIRAEYDPLKTSKLKGVLHWVAEPAPGIEPLKVEVRLFEKLFMSENPAELEDWLGDLNPHSKEVIKDAYAVPSLATAVLGDKFQFERLGYFAVDTDSTPEKLVFNRTVTLRDSFGKAGPK >KQL05161 pep chromosome:Setaria_italica_v2.0:V:16768277:16770523:1 gene:SETIT_003287mg transcript:KQL05161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTVTSMQDCSNSMVIILITPPPIDEEGRERFARSLYGENARKLPERTNEMAGVYAGQCIELAREMHIPCVNIWSKMQETEGWQTLFLSDGLHLTPEGNAIVHKEVVKTLRNAGLKAEEMPYDFPHHSKIDGSCPDKAFQ >KQL08202 pep chromosome:Setaria_italica_v2.0:V:44162917:44163044:-1 gene:SETIT_004392mg transcript:KQL08202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFASEEMASTCHVEASSGSHVFKVAGYSLTQGMGVAASV >KQL04039 pep chromosome:Setaria_italica_v2.0:V:6070178:6072507:1 gene:SETIT_002338mg transcript:KQL04039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSILSLCASLSSVLDHADSSSRELADVVSRRPIHLGNHHAHLPSFPYPVDDDRVPAEAGPADGSGERGPRAPRLHDLRCCLLRGAPRPLRRGAQRLRAPRRRHRVEPEVDTEVQDGDIGKLGDPASGCFGVSSSLLRSSKGRFRDDEDPLFGESLKSLGFSDACLATLSSEVTDNGENLKELYKDPESADEGKKIMKEAELIAPQSKRDNQGNSFKEMIRASKEEYEQLPPYMKSLASWEELQEGISKLNSYFGSDEAKGSVSLNQDDVGAIGLGRKGRACLLMLLRLNQLTMEAVDGSTFYTLRKNNS >KQL08072 pep chromosome:Setaria_italica_v2.0:V:43439490:43440601:-1 gene:SETIT_002824mg transcript:KQL08072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPQPAPPPAPPAPPRRAALSGVLYGLQMLAARACQVRRDTSKGIQLDPKRALQRGGRIEEVKDGLQSSRAVRLGQRFHGCRQVVVAHTVDADVLEGEPHELGGSASQSPPPPKWRSRGKPLVHGPRPTPLTAIGAHQSAGQPTPPASVHLVHMSHRHGGRTKPWPTAQWQHPTPPFWFHTSPEHIDKNPICSPFLFHKNSKNTKVNYTTKFLHHINSNSEKF >KQL07424 pep chromosome:Setaria_italica_v2.0:V:39693110:39695851:1 gene:SETIT_004222mg transcript:KQL07424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPHCAATSLSPSSRVGSSSLSCVSSSASSSSGSCYVPASWPPKCGSKKKRSNRRRAKNGTGGSADAVVPRRNSSIYRGVTWHRVSGKFEAHLWDRHARSPAKNKKGKQGAFDSEEAAAHTYDLAALKYWGSDCKLNFTLESYKQEHERMQRMTREAYLATLRRRSSCFSRGASEYRGVAKHHHNGRWEARIGYANGKKYLYLGIFGTQEEAARAYDLAALELRGHAAITNFDISSYADYLQPPPVSKAQARSALKPKAEPVDEAPLLPTKTATGWTTPLLTPKPEPEDEEVEPLVAALPPGPVLRDVDHAIAEMPPGPVLRDADDVDHAIAEILPALGMDPADFEARYPARALGWLPDDDHHQLRALPLPDAGRFEDDIETLFDAPGSAGPGQGEVVHVQLPAAVEPDASGADAVSYAAATISSLASGRWW >KQL08563 pep chromosome:Setaria_italica_v2.0:V:46072361:46073333:-1 gene:SETIT_005124mg transcript:KQL08563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCQLWLLISTYAAQVVQLYKSLGINNMRIYAPDAHALHALRESGIELILGVANEDLAGLAASEPTAASWVQANVKPYYPAVNIRYIAIGNKVGGEAAHSILPAMRNLERALAAAGLAAVKVSTCVRLDVITNSFPPSAGVFAQPYMADIARFLATTGAPLLANVFPYFAYKDDPRAISLEYATFRPGTTVSDRGNGLSYTNLFDAMVDAMYAALEKAGAAGVRVVVAETGWPSAAGFAASVDNARAYNQGVIDHVGNGTPRKPGAALETLVFAMFNENQKPGEPTEKNFGLFYPKKSPVYPIAFR >KQL03675 pep chromosome:Setaria_italica_v2.0:V:3859639:3866199:1 gene:SETIT_000214mg transcript:KQL03675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPSCRSISWFTCFAACVLLESIVSAHSWCTPHPRPRQEARFRQKTNKFWEYQEQSNTWVEISMPFNLMSCINGTCTKVGSIARKTGSPVHSQEEEDARLDGEDEEDRNDPVLPIRKRISLTRMSESSVWVTGQSGSIYERFWNGVMWVIAPHELPTSAGYATATFIVNTTILALSEAGILYQLQLNEHAQPIWTEMAFNSDQHFTNLGLKTQSQTMRIRNGIVSNDGRKLYLSIMNGSLLEVTEIQPLRWNFHGRPPGGDVSYISDAGNLRPGTLFTCSSTGDLYEFDKGTKPSWKKHIWSEELAGSISLSSSAGCALHGLLGSNSVSLFLISKIVIDWQDGLLVERRLHRRKWKWDKHGAPMGHRLSSVAEVQQDELNDATSLFFTTTTGKIFEYQVPKYTGGAQSNKIRGQWLNHMYPEHAKVARNARGVQVQVGRMIFPLDDGRLGELHFPGIGGADFGPIPQSTVRKKLSNKYEWSILDAPETEGWNAEYCIEEHGPTNCITGAKSIATDTEPNDLSNTQPSRRRKVEEKQHYLHINSHQSDEIEPYNFLSRSIDLNFHMRVMHADRSLFLITDNGLTFEYLNSNGIWLWLRHEHTTAMKGTLGSYNGSLYLVDVHGNLHIRERNGDELLWINCTAMKKGRHVASGSPWDGIPGLLRRMTTDDALFFVNKRGRLLQFMVALRKFKWKDCHSPPDTKIAFIVDQEVFRRNIIFVVGRNGRLYQYNRITELWHRHYQSPHLILSRSPGTAMRPSPLSLAGSLFMVSEHGGLVEYHFSPQDGWEWVEHGTPHRDVTLVGAPGPCFDGSQLFVVGSDGHAYRRHMEGRTWRWTSHGHPPSEPAAADDQTCATPGAGAGPGAHHTNGGFSGSCDGKVAAVRPVPFSGDAVVFELRDGRLAELRRPPSAEGCGGWEWARIIGTPASACMTSYWTAVAT >KQL04964 pep chromosome:Setaria_italica_v2.0:V:13450418:13451843:1 gene:SETIT_003731mg transcript:KQL04964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPVIAAVVLLLGSFLLHGADAGHRPKPSFTAIFSFGNSYADTGNFVRFAAPFIPVIPFNNLPYGETFFHKPTGRASNGRIILDFIAEAYGLPLVPPSLDTTQSFSKGANFAVVGATALDLSYFMERNITSVPPFNSSFSVQIGWFEQLLKTSLCNNTAKGKCDDYLKKSLFVMGEFGGNDYVFLLAANKTVEQTKTYVPAVVKAIAGGVEKLIKHGARRIVVPGNLPTGCIPIMLTLYASPNKADYDRYGCLDKLNGLARYHNAFLRREVMALRIKYPGTKIAYADYFWPVVGFLQNPANSGFDGSTALVACCGAGGRYNYNVTAACGFPGATACADPSRAVNWDGIHLTEAAYRDIAGGWLRGPFAQPPILSLAR >KQL06123 pep chromosome:Setaria_italica_v2.0:V:30825300:30830923:1 gene:SETIT_000690mg transcript:KQL06123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGRVKAAMGFQRSPKSPAPEAASPSRTPTRAPSSGSAPSKASSLARSFGAYFPRSSAQVRPAAARAPPQVAELLRAIELLQEREARLRVELLEQKILKETVAIVPFLEAELAAKKTELERCRETADRLEAENARLCAELDAAALEVTSRKQRIVEMEKEMAELRRQHEAAAAADDCSSSASASNEHLESSSAAPHHASSAQPGAERPYIPPPPPAPPTPFKSKSYFSASSTPSPASSSPPSPSSSTSTSPSYSRSSSDTATAPRPRVPDLSKLPPIPPPPPPCPPPPPPPPRSTSKRSSPSGPPAASPPPPPPPPPPSRRPFGAAFPPACASGQCVRRVPEVVEFYHSLMRRESKRDGCAASEAANGGGVAAARDMIGEIENRSAHLLAIKSDVERQGDFIRFLIKEVEGAAFVDIEDVVSFVKWLDDELSRLVDERAVLKHFEWPEHKADALREAAFGYCDLKKLEGEAALFRDDARQPCAAALKKMQALFEKLEHGVYNLARVRDAATSRYTRFQIPWEWMKQDAGIVSQIKLQSVKLAMKYLKRVSSELEAIKGGPEEEELMLQGVRFAFRVHQFAGGFDVDTMRAFQELKEKASMCRIQRQKQSRHLRQHTLVART >KQL06926 pep chromosome:Setaria_italica_v2.0:V:36459042:36462813:1 gene:SETIT_000147mg transcript:KQL06926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRARARAYLHLLLAVVLLPCCCLPRRAAAQSPPAGEARVLLEIKRAWGDPPALAGWNATDAATLCSWPHVGCDAAGRVVNLTLANANVAGPFPDAVGDLTGLTYLDVSSNNITGVFPTTLYRCASLQYLNLSLNYFGGALPANIGSSHAASLTTLDLNGNKFNGTLPASLSELRSLQYLDLSSNSFTGAIPASLSGLGNLQLLGLNDNRFTGTIPAELGELASLQSLYLANNPFDAGQLPASFKNMSNLVSLWASQCNLVGDFPNFVWSLKKLQLLFLYTNSITGDMVIDGFAARSLTKIDVSDNKISGVIPEVFGGLENLTILNLFMNNFSGEVPASIGQLPLLRILRLHTNRLNGTLPPELGKQSPGLYYVEVDYNDFTGGIPEGLCTGGNFHELKAKSNRLNGSIPAGLANCATLGTLSLDNNQLTGDVPEALWTATKLYFVTMQSNQLTGTLPATVHFNISTLRIGNNQFSGNIPAAVAALQVFTAENNRFSGAIPASLGDGMPLLQRLSLSGNQLSGGIPRSVAKLSQLTQMDLSRNQLTGGIPAELGAMPVLSVLDLSSNKLSGNVPQALAKLQLTSLNLSSNQLSGQVPAGLDTAVYDTSFLDNPGLCTAAAGSGYLTGVPSCAGGSQDRASSGGVSHALRTGLLAAGAALLLIAGAFAFFVIRDIKKRRRVAEQDDWKITPFVKDLGFGEAPILRGLTQENIVGRGGSGRVYRVAYTNRLNGRAGAVAVKQIQTAGKLDEKLEREFASEAGILGNLRHNNIVRLLCCLSNAESKLLVYDYMDNGSLDRWLYGDALPAGGRPMARARSARREPLDWPARLRVAVGAAQGLCYMHHECEPPIVHRDVKTSNILLDSEFRAKIADFGLARMLLQAGAPETMSAVAGSFGYMAPECAYTMKVSEKVDVYSFGVVLLELTTGKEANDGGEHGCLADWARHHYQSGGSIPDATDKSIRYDGYSDEIEVVFRLGVLCTADMPSSRPTMNDVLQILVKCSEQTYHKGKTERGPEYEAALLPKRGSRRKQLSNDSGIDIEEKIDFDSIV >KQL04865 pep chromosome:Setaria_italica_v2.0:V:12532659:12534269:-1 gene:SETIT_003561mg transcript:KQL04865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVYDYMANSAECLLDWRRRASIAIGAARALLYLHEHATPQIIYGSIKVTNVLLDSDFQAHVQRQYPTCYDYWCWYIYGLNPG >KQL06411 pep chromosome:Setaria_italica_v2.0:V:33165125:33168520:-1 gene:SETIT_003799mg transcript:KQL06411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPCGDAAAAAELTSLRIGDGAGLPPLPRRGGGGGGGNASEREEEEGDKSKKARKEKAGVQRIAGWGLREYSKIVSKKVETKGRTTYNEVADEICAELKLTLNGQEFDEKNIRRRVYDAFNVLIALRVIAKDKKEIKWMGLSNFRYEKIKKLEEGHKELMTRIKNKKKLLQEIEKQFDDLQNIKFRNQVLQRPAESANGICLPFLLVKASRKARVEIEISEDSKFAGFDFNWYVS >KQL05602 pep chromosome:Setaria_italica_v2.0:V:26277391:26277731:1 gene:SETIT_005223mg transcript:KQL05602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNLVYWVTVNNSQFYAQLMSMANVEDDHTKKNKSFYPRTDIM >KQL07472 pep chromosome:Setaria_italica_v2.0:V:39911429:39911864:-1 gene:SETIT_005651mg transcript:KQL07472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTMFISNILHGNVRRSHQSIRLLCPGTGPPLPRQCVPGPPHAVGVTL >KQL07050 pep chromosome:Setaria_italica_v2.0:V:37327985:37329892:-1 gene:SETIT_001805mg transcript:KQL07050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSRGSEPPQRASPRAPLHLKTTACSEANGAHHRPVVDRTSPKVGDRHSPRSPLPEKKRAAGTRVAELEAKLGKVQDELKKLREQLVSAEAAKKDAQVALEEAKKRVGTKGSPASAATSPLSAPSAGVESAKKTEELKVPPPAAEEEEESSINSPATDVFEVVRAESGDKENKAAAAAADDCEAVSCGEKAALAEKEEVVEEEETKKMIEEESKNAAVEIDGAEKESPEVTELKAKLAEKDTEIAALSAENAELKKQALDAAEAAKKAEEDAAAKASQAEHDLKEGAAREARMGEQLKASEAAREALDGEMRRLRVQTEQWRKAAEAAAAVLGGDNHLTGLAGNGNGWGSPATMPDGDDDEGFGGKRKGAGIRMLGDLWKKKGNNK >KQL03506 pep chromosome:Setaria_italica_v2.0:V:2775770:2776720:-1 gene:SETIT_002446mg transcript:KQL03506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALWSMSPCGGLPSSAAELRRGPWTAEEDALLAGYVAAHGEGRWNELALEAGLRRTGKSCRLRWLNYLRPGVRRGGFTPREQLLILDLHSRWGNRWSKIAANLPGRTDNEVKNYWRTRVQKHAKQLGCDVGSARFHDAMRNLWMPRLLERIHADELAASADVPHCYYSAPSSSQPACQNAVAPISSSCARAPSPDATSCVTGGSSSSWEAAQFQASSPTMAAWSTPEQCQNGCSSTTSGDNNMFDESWSELLARANHDDADSAGLLPDFGLGETGDNWWSLDDILQQPLY >KQL04151 pep chromosome:Setaria_italica_v2.0:V:6862642:6865150:-1 gene:SETIT_001137mg transcript:KQL04151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEAKPGRGIKSWAQRHLNTGFAAGFLLVLLTYLVVSQQFAVTAPNAVTTKGSRVVDKQVIGAAGDAGLEKKRDQEWQPKAAAAEEPDKKDTSGAVPTQESPKRDDADAKPFETDGDKVVCNTDGPVSETCDLDGDVRTNGSALSVTFVPSSPSERREWRIRPYSRRTMAGVDKVTVAQLESPQDPAAPPCAVTHDMPAVLFALGGLTGNYWHDFSDVLVPLFAASRRYGGDVLFLVSNIQPWWLGKYEAVVRRLSRYDAVDLDRDAQVRCFRHLTVGLRLHKELSIVPELSPGGHRLTMADFTAFLRETYALPRAAPVSLAREPGRKPRLMLIQRPHYRRFENVPGIRKAAEAAGFEVTVASPRADAPLGETARAVNSHDVLLGVHGAGLTNAVFLPPGGVVIQVVPYGKLERMARADFGEPVADMGLRYLEYSVAVEESSLLEMLGPEHPVIKDPEAVHRSGWDKVAEYYLGKQDVRIDVGRFAPTLAQALDHLRQQR >KQL04474 pep chromosome:Setaria_italica_v2.0:V:9278831:9286871:-1 gene:SETIT_000632mg transcript:KQL04474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLRSAVSKAVEAGGRSGVARTVLGYADAVAHHAGQAVAEGAKIINERMSTQNYKSVKQTVKRLEEVAVSSRGDDRVLVLRRWLRALQEVEAEVGGSGGTSGQNSTEPNPSKASLARVLFYDAEIGGPPMNFRDVFLYSQALEGITLSMILEAPKEEEVSLLLEIFGICLTGGKEVNNAIVSSIQDLAKSFSNYHDEVLVKREELLQFTQSAISGLKRNADIVRIDAEAVELWKKLDEKEASGVHSIDDPDKVAEKTATVEVEKLKVLATSLANSSSKAEKRILDHRRQKEEALNFRAKKDNEVIAVEKDLTAEVSELEKQRDELEAQLKKVNISLNAAVGRLKQTREERDQFYEANNQMIFSLQAKENELSKSIDSCNMEAGVVKTWVNFLEDTWQLQSSYNEQKENTTNNELERCTSNFLKLTKHHLCNFKDLLSPSIERIRTYVDNLAVLNSREESIEHGEDDEISEKTSPQKSLEEEYLETEKKIVIAFSIVDRMKKMFYSEQGANSRQDDEEVRKLFSEIEKLREIFESVERPTLDIEVRKAKEPTKDKSESIRSTKDKSGSSHSPVHAPSSPKDVPVESPKSPVKPEQMLDPDSELAKLELEFGNVNKDHEEISGWDFDELEEELRADISKSSNPK >KQL05282 pep chromosome:Setaria_italica_v2.0:V:20610985:20613861:1 gene:SETIT_0017671mg transcript:KQL05282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FHVDDSEVTLNVCLGKQFSGGELYFRGIRCENHVNSETQHEEMYDYTHIPGQAVLHRGRHRHGARATSSGLRINLLLWCRSSVFREMKKYQKDFPSWCGECQREKRERQSQYVKATKMAFLRGAGGAMI >KQL04094 pep chromosome:Setaria_italica_v2.0:V:6443735:6445165:-1 gene:SETIT_005205mg transcript:KQL04094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTGAAPPLGPKMAVYYRYKSGVQTFSVPVQAPFVSVAELKGLILGTARHGHSRTRGRGPREGVALSDPRTGDEYADGTALVPRGSTVLVRRVAGPLAEAITVAASPPPPRKPTAPADGGASSSTVSSSSSAEDDEEARAIDAVIDAARLEWGDQRRYQGARRYGHRGTLEGRAAPPAGYVCHRCRVPGHFIQHCPTNGDPRYDIRAQAPSMSSTSLLPTPPPVSTTPDDGVPPELHCKICSKVMADAVVASRCCFGSFCDACIRGRIAAGSTCVCGARSRADDLIPNLTLRATIAKLLATARPAGSGSGGADNNRKSSAGSNAEPTPSPGAFASQESWRHVTATACSERSDGSASSTSTSAAATAAREPRTKQTAAASSAGIGEPAAGYPEQYGYGNPFGPPACYDPFFGATPWACGPYLHYDVPYGGGYTDVPAPAGYHDGCHGRRRRTADEEYHRHVEADGFKRRCRGRSEVAF >KQL04095 pep chromosome:Setaria_italica_v2.0:V:6446748:6448616:-1 gene:SETIT_004113mg transcript:KQL04095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRILNTAKPTQAPTQRHHLSPPQPPPKLGRRAAAAAIAIVAAPALLSVSPAPSKAQEAEPEELAGAGAGAAAATDTAAGPCLAELPVTATAFLDVSIGGEPAGRITVGLFRDAAPAGASRFLSLVTGVGYRRKEFVKIVPGYVQHGGVVSYPAVPAVTDRLAAEADALRARCGAGGGAVHGAAGAVSIVVRDPSLPPPKPKLVAKGGKLEVEEEQVGVAPNGTEFVITTAAAPELDASAVLVGRVLDGMDVVAKIAAVPTVRDNAGSPYFRVAKLIGDKRAVVAERGFNRPYTKRDKRTISDATPSFSSTSLLTRSSGDAIPLSLKSPTHFSGNLRRALTASAARPSCSYAGMVDTLPTACAPARRQTAYSAAHRAASRHDTVPPPSWARSSARILARSADLLAAVTATTASSRTLVAALLRLLDRSRSAQRRWLDVLRQVWETSWDDGAAAADDPGRKEAVTAAQSRAARRALWFASGAMLSVVAIAVVEL >KQL08291 pep chromosome:Setaria_italica_v2.0:V:44607790:44608662:-1 gene:SETIT_005075mg transcript:KQL08291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVYIRLNDAVRARLRGDDAAGAGCTSSGSDHDASACLSGLVQAFLETEAGAAEEGAGPAPKEYDSDDGDGSERAAAAAASVRELLDPPAKEDVFRIRLAAAVAAAMEAEAALRAHGAAFRRAVMRRLRGAGYDAGVCKSRWEASGGITAGTYEYVDVVAPPAARGGPRGRYIVDADFRAGLEVARATPEYAAVVAAVPASAVVAREESVGRAVRVASDSARRSLRAHGLHTRYMLAKWLGPYKRSTTTSPAAMPMPGAAGLDVKCRAVGFFPPPAAAPAARIK >KQL06503 pep chromosome:Setaria_italica_v2.0:V:33865178:33869269:-1 gene:SETIT_002600mg transcript:KQL06503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLPLLLLLPILLLLAIADALPPACSEVVTCAGQVVHYPFSLNSSESDCGYPGLDLFCEDNATLILPVKSHRYRVVGIDYLAHTVAVSDDDISKYANTGGCLRLHVNLTIDYTNSWLQLTQSDSNVTFLYNCKKSISWLPAVELRGCQQQDGKKSYVLPDGGITGTEAYEYECEEVVVAPVLGLHKEKILPLTNGSFGEVVKAGFELMYNAHSQQCDGCERSGGWCGYQRNDTHGGMNFTCFCDNGPAAARCNQWCAT >KQL07408 pep chromosome:Setaria_italica_v2.0:V:39602446:39606451:-1 gene:SETIT_000692mg transcript:KQL07408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRRPQGGVRRQWGGGGERRRLGLDVQRDSSPWVRHGRTPPGGSAVAVANCWWWNGEDGEECGGRKGLSPRPQFPRKTPAGARGRRSPPPMAPPAMAFQVLTLTPLPLSLPSSNRRFRVRVLAVAADQTPPPPPVPPSEPANSPSRLLRELAQRKKAVSPKKKHPPRRFILKPPLDDERLTRRFLSSPQLSLKALPLLSSCLPSAPLSTADRTWMDEYLLEAKQALGYPLAPSETLGEGDDCPARHFDVLLYLAFQHLDPSCERTRTRHVRNGHSRLWFLGQYVLELAFCEFFLQRYPRESPGPMRERVFALIGKKVLPKWLKAASLHNLVFPYDDLDKMIRKDREPPSKAVFWALFGAIYLCFGMPEVYRVLFEAFGMDPDDESCQPKLRRQLEDVDYVSVEFEKRQLTWQDVAAYRPPPDALFAHPRLFRACVPPGMHRFRGNIWDFDSRPKVMNTLGYPLPMNDRIPEITEARNIELGLGLQLCFLHPSKHKFEHPRFCFERLEYVGQKIQDLVLAERLLMKHLDAPGRWLAEKHRRMLMNKYCGRYLRDKHLHHYVIYGESVQEKFEHNRRLRNPSTTSVQQALHGLAYCVYGKPDVRRLMFEVFDFEQVQPKAV >KQL07855 pep chromosome:Setaria_italica_v2.0:V:42188002:42192745:1 gene:SETIT_001847mg transcript:KQL07855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKKAIDETEPGVAPSRAVDRFGFIKSEQSNSPDGIPKGKSIHEREREEKRIRKWRKMIGVGGSDWKHYVRRNPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYETLVIYETSASELEIIRDISRTFPSHIFFQQRHGPGQRSLYNILKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQAGLPLVQQYLSQFEKLVMEHMPKLGQHFVEEMINPSMYASQWFITVFSYSFPFHLTLRVWDVFLYEGIKVVFQVGLALLRFCHDDLVKLPFEKLLHALRNFPEEATDPDVLLPIAFTFKVSSRLEDLKKEYQKQLEGTSETSSSKRHQPLISKTMSRVGSRVISNLTADKK >KQL03210 pep chromosome:Setaria_italica_v2.0:V:364626:367600:1 gene:SETIT_004772mg transcript:KQL03210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHSFYHDDYLQENILLRGGERVVRASAGEILARVDLEIAFGLEKLLNLEMLVMEIARRAADIEPLMRDPQSISAESIDEAFEFDVLYGIVDSEANELEKLVGSIRTDIANAESKVSEEGPGTRSKDQRHVLQMLQQSIANELDLQKKIFNSQSAVEDLKMKLHYAEQKSYFLEESIESLYERMFTAENASQLFLGTSKELIGKITTIQVSLSASVHREDDLKSKLEESLMKLNANQSTREILPGDNDNNTSQEAVEIQVLSPPEFLTLRNKVQQLEEWLRDSGSHPQWSLLSRGATEEEQSTAQSEINTFGNIISDLKLAISNAESRTQKAETRCTQLNQTNVQLTGELNSLKVQGSDRAGLLETKLKESDTQLEHARASVDAIVEQQGMLRSSMSDMEQMIEDLKEKYLKAETRAENAESKCSLLTDTNLELSEELSFLRGRVESLENSLHQANQLKVSTAKDIGSKTKIITDLVAKLALERERLHVQIVTLTKKNSMLAQKCKENVDKASLSKEATDVAKGEIRPSKATEEAALTSSPTQTKVIPTGNSPQEEVEIDEVTPLEGESRAESTLETVRCIEPKLLNWKYILAAVLALVAVILVYQLYQSDDGVEQLFRRFVG >KQL05749 pep chromosome:Setaria_italica_v2.0:V:27506897:27508281:-1 gene:SETIT_002285mg transcript:KQL05749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLETAPPNPPPTARSRRRVPPENRESLSSIHHPSIRRPPPMASAPAPGVVVVFDFDRTIIDWDSDDWVVTKLGAADAFQRLRPTMRWNPLMDRMMEELHARGKTVEDIRDCLRSAPLDAHVISAIKTAASLGCDLKVVSDANTFFIETVLAHHGVLGCFSEISTNPARVDADGRLRISPFHDSASAPHGCSLCPDNMCKVWTVGRWISSGIQCQISNPSLTARGQWRVQTKERSKIYPKMNRYDIIYCKIMMRSAI >KQL05748 pep chromosome:Setaria_italica_v2.0:V:27505932:27508281:-1 gene:SETIT_002285mg transcript:KQL05748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLETAPPNPPPTARSRRRVPPENRESLSSIHHPSIRRPPPMASAPAPGVVVVFDFDRTIIDWDSDDWVVTKLGAADAFQRLRPTMRWNPLMDRMMEELHARGKTVEDIRDCLRSAPLDAHVISAIKTAASLGCDLKVVSDANTFFIETVLAHHGVLGCFSEISTNPARVDADGRLRISPFHDSASAPHGCSLCPDNMCKGKIIEKIQAIASTKDQHFIYIGDGKGDYCPSLKLGEGDYVMPKENYPLWNLICSNKHLLKAEVHPWNSGEELEKILLELVNRMITPPAQVSPFDCSKCEMSKPTSTEVGHHQALRVPH >KQL04583 pep chromosome:Setaria_italica_v2.0:V:10061565:10063846:-1 gene:SETIT_005360mg transcript:KQL04583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGCRGRRDTVPRRWRPPARRLLTRLVAKAIRDLDRDPSTVARRQVGSARARLKGRRPASSRRVTYRPASCCLPLAWRHILHSITVRVGVRWKAPARGWGVAGRPASVQRREQ >KQL04584 pep chromosome:Setaria_italica_v2.0:V:10063129:10063846:-1 gene:SETIT_005360mg transcript:KQL04584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGCRGRRDTVPRRWRPPARRLLTRLVAKAIRDLDRDPSTVARRQVGSARARLKGRRPASSRRVTYRPASCCLPLAWRHILHSITVRVGVRWKAPARGWGVAGRPASVQRREQ >KQL04466 pep chromosome:Setaria_italica_v2.0:V:9230637:9231313:1 gene:SETIT_004049mg transcript:KQL04466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVVCPDGLIAAIVGRKYFAKVALCLLDTFSWLLSTHDRWRWYEDIAFANGKVYALTGDEDLLAFDVGIDVSIGNLVVSHVKRVIQSWLPCLASAKVRYLVRSRGGALLMVRRHFLPGETTVRFSVFRADLRSSHWVEVSTLGGDGEALFMGRPCSRAVCARGVVRGDQIFFLQDEGVLLFADHRRDGLGEGRRCGARGEARPGGGRRAAVWSKERRGGEEREGR >KQL07929 pep chromosome:Setaria_italica_v2.0:V:42579680:42581352:-1 gene:SETIT_000936mg transcript:KQL07929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAAAATTPSSPLLRRAAAAPTTGHHYQLRCSAKPSPLELRHYAARLAPARALFPDRVTPFSFDADGDDHPREECGVFGVIGDPDASSLCYLGLQKLQHRGEEGAGIAASDADGKLKSVTGLGLVGDVFGDPTRLAKLPGNAAIGHVRYSTAGASSMRNVQPFLAAYRFGQLAVAHNGNLVNYQALRNKLEAQGSIFNTSSDTEVILHLIATSLSRPLLSRICDACERLAGAYSLLFLTADKLFAVRDPFGFRPLVMGRRPNGAIVFASETCALDLIDAAYEREVAPGEVVVVDRRDMSVSYACLVPHRPRKSCVFEHIYFALPNSVVFGHAVHERRSAYGRALAEESPAPTADVVIPVPDSGFYAALGFAQASGLEFQQGLIRWHYSGRSFIQPSQAIRDLAVKLKLAPVRGVITGKSVVVVDDSLVRGTTSSKIVRLLRDAGAREVHMRIASPPVIGSCLYGIDTPSEGELISNRMDLEGVRRTIGCDSLAFLSLDKLHSIYGDEAHELCDACFSRNYPVLPTVPEPVPELVSAFED >KQL03909 pep chromosome:Setaria_italica_v2.0:V:5303631:5307184:-1 gene:SETIT_000943mg transcript:KQL03909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMKPSSFSKPFLSLFFLLLRKLAGRRHHRGRMAATKPNPPPPPLPSLDRLHGRTLVVDVEAWILRPPVCAFPYFMLVAVEAGGFLRGLLLLLLYPLLCLLGEGARARAMATVALVGLEEKEVARVGRAVLPKFFLEAAAAEGVAAVRAAARVVAVSATFPRVMVDGFLREYVGVDAVVGPEVRSVGGVLAGLVDEEDAAEMAAKRLRALFGAELEAAGKKDAGNGAVGLVGAASSGRVHYLFSSYYCKETFAVSEADARGWRPLPRDRYPRPLVFHDGRLAFAPTPPAALAMYTFLPFAVALVTFRTVAFSFLPYRVCFPVGALTGMHYRLVGGRVPGQSSGGGGRLYVCNHRTLLDPIIVAAALGKPVTAVTYSLSPVSELIAPIRTARLTRDREEDRRNMAALLARGDHLVVCPEGTTCREEYLLRLSPLFAELGAGVNPVALDTRVGMFYGTSTKPGAKWMDPFYFMMNPRPAYRVEFLPCAATAAAGRGDSIGVANRVQRELGKALGFQLTGLTRKDKYMTLAGNEGVVPAAPKNN >KQL07563 pep chromosome:Setaria_italica_v2.0:V:40504079:40505917:1 gene:SETIT_003800mg transcript:KQL07563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFAGRRKSSLSGAGAGAGAGADAFGGGKHAAAASLRKGGRLPVYVASIFFFLCVIVMYGEDIRSLTFEPLTTRVPAPTVVPGVASGGAGRRVVVPRRDISSSEKPAAVLHHSDQEKPKQQHAVTTTTEPKPQRAVTTEPAPAVEAPQKKVATKSKKKKPAGKKKARRQRAAKKTVVPPALGVPETCDLSKGRWVFDNTSYPLYREEECEFLTSQVTCMKNGRRDDTYQKWRWQPNDCSMPRFDAKLFMERLRGKRFMFVGDSLNRNQWESMVCLVQSAVSPGKKYVSWEGQRVVFHAWEFNATVEFYWAPFLVESNSDDPKIHSIQHRIIKADTIAAHAENWRGVDYLVFNTYIWWMNTLNMKVTRPGAQSWEEHDEVVRMEAYRKVLTTWANWVNENVDPARTSVFFMSMSPLHI >KQL05924 pep chromosome:Setaria_italica_v2.0:V:29113421:29114845:-1 gene:SETIT_004650mg transcript:KQL05924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEAAHVVLLASPGAGHVLPMAELARRVVAHGSGAEFTATLVSYTNFSSAVHYSSALASLPPSVSTAVLPEVPLDDLPADARVETRIFTVVDRALPHLRDLLRSLLASPAGVAAFVPDLFGAWSLEVSGKLGIPGYVFCTTNVMALHTLIYLPHLDKTTACDCEFRDLPEPIRLPGCEPLRGADLVDPVQDRTNPAYHFMVEVGRRYLLADGFIVNTFDAMEHATISAFNALSDKGVYPPAYAVGPFVRTCSSGGGDAGEHSCLRWLDEQPDRSVLYVCFGSGGTLSTEQMAELAAGLEASGQRFLWVVRFPSDKDRSASFFGGGHGHGHGDSPLDYLPEGFVERTRGIGLAVAEWTPQVEILNHRAVGGFVSHCGWNSTLEAVAAGVPMLAWPLYAEQRMNAVMLSSERVGLALRPREEDGVVPREEVAAAVTELIAGEKGAAAREKARELREAAAKAWAPDGPSREAFQAVA >KQL07139 pep chromosome:Setaria_italica_v2.0:V:37862751:37865921:1 gene:SETIT_000983mg transcript:KQL07139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIAAARNTVARSPTEPNGESGTRCNRHPAPSTQSFARSSLVRYTCSSSAPSAKPVFRFPKKQVRPPENSTLKKAVANPTPLGLTPEETSAGKGGIRAESIGVKGKFSAAMAARARWRLLALPLALFLVAGSARGLVKPEAAAAPKPPVPKAISDLRDAIVKGLGFQAEGLKVSGFDVRDALVGHAVSYEFDIEVGRKVLPVRLLEDVKRWDFVDLPIFRSQADADDTALAEIWRAGKGSVVEPTLPPFQLAGPMELWIQDGDDVRLALPHDVDAGTLKKVVLSDGAVVTVKGARAVSLRLPLELPLPLNRTTYKGRPSSLLSIAQALRGAARSNQKPLLSLRIEGPTSLSSTPSKSPNDKLKLKRLAPGQVELSSRAGTIPAVTEDEDEPHNTALWPLLSLNGSDGSLQGFEELLASVLGKKAGENGTFKLVKARASAQTYVKMAFAVEKKLVKGETDWSNFPEWKTKPKNLRAHYEVLARVEGSQAIPERIAQVQPFQADEAMSESVLTGNVTRSKMEIVHPPPVYFTL >KQL05232 pep chromosome:Setaria_italica_v2.0:V:18479882:18480613:1 gene:SETIT_005241mg transcript:KQL05232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGSSLSFDNHYFVNLKAHQGMFTSDATLLTNGRAANIVDKLQDPGVFFDTFKNSIKRMGQIGVLTGANGQIRNKCNVVN >KQL05233 pep chromosome:Setaria_italica_v2.0:V:18480096:18480613:1 gene:SETIT_005241mg transcript:KQL05233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIYIMCAGAVPAQPAGPERQHDGGAHGPRQQPLLRQPLLRQPQGAPGHVHLRRHAAHQRPRRQHRRQAAGPRRLLRHLQELHQAHGADRRAHRRQRPDQEQVQRRQLMIEHVYTLTDI >KQL08417 pep chromosome:Setaria_italica_v2.0:V:45326371:45327551:-1 gene:SETIT_004479mg transcript:KQL08417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATPRASLILPRASSHSHSQPRAGGLTSDRVAASHRRRGAFVFVVNPSECFRLLVLVDQICECFTSGPSHAIDVTREAIKDGADAVIAVGGDGSPVRALDGGPDHSTTLGLIPLGTGSDFARTFDWTNDPHDAIDRIVRGFFLTFFLYGV >KQL04946 pep chromosome:Setaria_italica_v2.0:V:13291869:13292294:-1 gene:SETIT_004990mg transcript:KQL04946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTGANVVCEYDGVGVALELAALAAFVVLLRYAAVLYANHLLTTLCVDDDDNLPAAARRDDGRGGVSGLDAAALARLPSFVSHGTTAAAAEQCAVCLGAVEEGETVRALPCCTHAFHARCVDAWLRLRPICPVCRATCR >KQL05663 pep chromosome:Setaria_italica_v2.0:V:26884402:26888028:-1 gene:SETIT_002703mg transcript:KQL05663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARGDLTCLPKMNNLCFFPWRTEIPIVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPRSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDMAVNEGFIKEDARRIIISAPTAKELVLKLEEYVPEYEVGLVWEDQMPSAAHSFAPELEPGIASS >KQL05664 pep chromosome:Setaria_italica_v2.0:V:26884402:26888586:-1 gene:SETIT_002703mg transcript:KQL05664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEAALVVKSGGGAGAAGVAAQAGSGGERRSRFQRICVYCGSAKGRKPSYQDAAVELGKELVERGIDLVYGGGSIGLMGLVSHAVHDGGRHVIGVIPRSLMPREVTGEPVGEVRAVSGMHERKAEMARFADAFIALPGGYGTLEELLEVITWAQLGIHKKPVGLLNVDGFYDPLLSFIDMAVNEGFIKEDARRIIISAPTAKELVLKLEEYVPEYEVGLVWEDQMPSAAHSFAPELEPGIASS >KQL07832 pep chromosome:Setaria_italica_v2.0:V:42061229:42061750:1 gene:SETIT_003419mg transcript:KQL07832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLGAGRDRAACASPRVRSFGCRLPPRATEVGGAAEDAHAARGGALPHLSPFPTGPHRGSCRAPTRCCRPPDPHATSRQSRLGSCRSRCLVALPVLRCPCLWWMPLSPP >KQL05628 pep chromosome:Setaria_italica_v2.0:V:26460586:26466125:-1 gene:SETIT_004505mg transcript:KQL05628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIIRMPGPNVVMREEVAVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEPNIDVDNVSMGSEDCELEEDGVVGVEDISMIHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKNSETSVPSLVESIFIFSGYRVKYSKAWRVKQHAVALLWGDWKESYGMVPRVLSAMTYYSPGVKWCIDSCGMMLPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQLVPLAFALVESENNESWSWFMKLVRVHVLGPSRIVCMISDRHHGLLNCTKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFCEKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWRKAREMLDQGYRIGQVADDYLSEDELRSVHHLAEPYGPERMVYSIRSYSTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNYESSLYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGAGMAAPGYPLLEAAYDLQHRAHHLADLNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHLPFGEMTITMQDAAMILGLPLDGQLVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGPWHRDDACPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQQLHRKRYKENDWRLKHGQYLLLWQNKQGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADVYDTVTRHATHPERAPLHDYMGQQLARLSNEAGVIMEHAVGEGDGLLRAFAERVRKSCRRIAMRMNCMTSSDAHDGGNVQGTSSGSRRTALATTPRAATPSTAAGPRRRSRGKEPASPQESEDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSQEPAPRTRLPRRRRRSRDHTDVGSANVLPTHPRRERRPRDPFSPPDERRS >KQL08124 pep chromosome:Setaria_italica_v2.0:V:43670536:43675122:-1 gene:SETIT_000705mg transcript:KQL08124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAMLLPVVCSAAPSCSPLCPVTASHVAARVPRRVDVGAALRSYADPLVAQVPDRPPLADSSILSPYPAAPDDIVRGFAGGAPAELPSAGHGADALCCAGTDQPLTFVAGLAAPDQTIAAAVAGSAPSDAAERALSDAPFPTTFPSDASEVEDSVARLIDKLGKQVFQAEDALTEAYDKLRLSAYDALGAWRKTVRDAVGGLKASVNASKEQAAGGVTDASGALQEKVAGAGAVAVDVLRKAIVAAEDSLSSAATFVVYSYGSAKESLPPNVRDLLSSSEEKASIVLRPIGNALQQVYVIVEGVEKNVGLDPSDPIVQLAVVLGGSVTIGASYWLFIYGGYSGDLSPESAFELLKNDGKAVLIDVRPEDLREKDGVPDLRLGARSKYASVASPEITGPIKSMLKGGRDIDDALLAVVIRNLKLVKGDSKVIVMDANGARSKSIARLLKKLGVQRPYLVKGGFQAWSKNLRVKELKPETALTAINEDVEEIFEQIKPTPTLVFGSLLGLSALSYAVLEWETTLQYIAVLSIGLTIYSRFSTYESSEDLEQDLKLFLSPVQVGAEALSWAAKKIEPNKVGLPTSPSTTAVKDRVLQAAAKHESQPSDADEPSAQTAEA >KQL05775 pep chromosome:Setaria_italica_v2.0:V:27782927:27786720:-1 gene:SETIT_003162mg transcript:KQL05775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAAAYGCSSAASLLPSAAFPGRRSYRRSPPPRVSLAASSKPRTTAHGLRVSCRRRRLVVSACSSGEASSEAPASPTEATVDIKLPRRSLLVQFTCNKCGERTQRLINRLAYERGTVFLQCAGCQVYHKFVDNLDLVVEFDLREENALQEENVVNTDSED >KQL05751 pep chromosome:Setaria_italica_v2.0:V:27513992:27516605:-1 gene:SETIT_004958mg transcript:KQL05751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSLQGNDFGGTPIPEFIGNFKNMQYLSLSYANFSGQIPPHIGNLSKLLDLDIKGYEDSNIYSTNLAWLARLSTLESLELDRVNLSAAIDWAHSLNMLPSLVYLSLCACGIRNTIPPPVHMNLTSLATAYLSGNPFNSLVDIKHFFWPFWVLPRLETILLVGCGLQGSLPEVLGNSTSLVVLYLNNNDLTEVPTTLKRLRTLESLYLAENNINGDIQDLLARLPEKSLRNLELYTNNLTGSLPSQKEHLMDLYTLCLSDNRISGNIPLWIGEHTNLTKLELDSNNLDGMVTESHLSKLARLKALGLSYNSLTMVLDQSWVPPFKLFLASLRSCRLGPKFPEWLKSQDKMFILDISNTSIDDAIPDWFWSTFSNTEYLVLSRNHIRSSKCNGFQYINLLEGSMPKVSENLTYLDLSRNNISGPLPLDFGALILESLVLFKNSLSGIIPNSFCQLKYLEFVDLSGNLLQCPFPNCLDSSEEGNMSITTSSSSKMKDLQYLDLASNNFSGTIPRSLVHLKAMSHMPADNDSLSNVVYYGWSLWGSRSGSMVFVQDGPFNFVLFSPDFSHIVMFYTDNLSVVTKGQQLEFTTGIRLMVNLDLPCNKLTGKIPEEISTLTALKSLNLSWNNLSGTIPTKVGNLQSLESLDLSYNELSGEIPTSISSMTALSHLNLSYNNLSGQIPSGYQLRTLDNQASIYIGNPGLCGPPLSKNCSEPKPPPDAMYEHKDASDALFLYLGLGVGSAMGLWAVYFSLLFNRKWRIACFLFSDRLYDQVYVKVALTWAFLTRALDRS >KQL07062 pep chromosome:Setaria_italica_v2.0:V:37427994:37430644:1 gene:SETIT_000578mg transcript:KQL07062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADRAMGEGGSSQRIDLGAPLRSARGGDAPPRYKPDLNSGPVRHPGAVPFVWEQRPGQPKSVRTRRAAPPTPPRPEEVGGGSPYHDALADLDLQALHGGADRAPRAVAVAASRKVAAVEEVAVAAARKEAKKQEAVSVAAVLRGDGEEEEERFSDALDSLSRTESFAMNCSVSGLSGAPDPGPGAEPCAPGFMMDRFLPAAQAVAVGSPQYTFRKAGAAGGTGNSGRDHARAAVAKASVGNGDDRMRRTPVQLPYQHLPPNYLSCAYPRREEHEEEDEEDDDDYDVHSTRGFSAKGCGLLPGLCVKTSLLLLNPMPAMKRGKAQGRGRGRLFPSKGRGQMAQSPLARSSQNKHLGCDSNGQSWEDVYKHKLEQKYLGQGEDGSSKLTSESNQLTFWSDSQTVDGSSPYHRSIAGGMLPYRNYAVMSPSSRANGSSRIGDRDDKASRSNGSGSLERDHDRTSLVGSDHSSFKGSSSMSSGPDGAGHEDSMDHHGDTDSETCHLGVLVDTKVALNANMYDSQPGGQQIVGKNSIVKEQVNDPLTEKISEVREPKFPLDDGKDLLRDANQEFLPHLEDNNVAKKETIPLQSLLPLPVPKSPSESWLSRTLPSVTNKPPLPSFLGIQVHSKKQAPWATGHPKENDHKPSKPRQIRFADVVEKPISMGSEI >KQL08116 pep chromosome:Setaria_italica_v2.0:V:43635644:43636847:-1 gene:SETIT_002529mg transcript:KQL08116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPLIYEILEKPASSSVIGICSLIWWFIQKRGIGYGDVGLSYEAAVDGGQYWRVITSAFSHISVVHLVFNMSALWSLGVVEQLGQIGLGVEYYLHYTLVLVVLSGLLVLGFYHMMIQRFKVEYFRRVTAVGYSCVVFGWMTILAAKQPSSKLNIFGVLSLPISFAPFESLIFTSIIVPQASFIGHLSGIIVGYSIAWGLIHGMNNYWAITMLGWIVLVFVLSLKRTGSMELSFIEIEPVTDPSLPSVGVVSTRNGRTLQMDVLPGRRVADIV >KQL05044 pep chromosome:Setaria_italica_v2.0:V:14693694:14696804:-1 gene:SETIT_000330mg transcript:KQL05044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVVGALSGMVDALPGKLGELLEQEYALLAGVRDDVRFLQTELGSMRAAIRHCESLDHHDAQTTGWVGKVREVAYDIEDWVDLFAVRVDGGAPPATGVRAWFRRVWDKFTALPARHTIAGELQGLKERVLEISDQRKRYSLGGMVGTPAQAPLDPRLSALFVDTNSLVGFGEKVEDVSRLVMDAGRTELKIVSIVGMAGSGKTTLANAVYRRLQAQTQDNFNCSAFVSIGPKLDMVSKTVRNMLSQFGDRHRGGQDINQLITSVREILTNKRYLIVVDDLWSSEQWATIKCCFPENGLGSRIITTTRNGALPTDGYSCPSKFVHEISPLSDTDAKQLFLKKAFSNGNVCPPHLEDVFNQVMRRCCGLPLAVVTVAAKLAHKHSRDEWEKLGLNLLYSSHSDGSDGLKQILNLSFNDLQPHLRTCLLYLSIFPENSEVDTERLVRRWIAEGFIAEGRNISAQETALGYLNELIVRNLVQPLDLNHDDAPRRCRVHPVIHDFIVCKSMEENFATLMDSQHVPNNGTTVRRLSLKNSSKKHQPAARNESTDLSHARSITVFGHASAAPSLNDLKVVRVLDLEGCDGPVCLDGLCKLVLLRYLSLKGTDASELPPAIGDLRCLETLDVRFTKVKELPPSIVRLEKLMHLLAGSAKLPGGIAEMKALQTLSCGGTTKGSVKFIEGISKQDKLRELELYFDATETHEKRVKFPACGFRSVKKLCIQCSSPSVTFEPNALPAIQVLELRFQKGLADQSSGVSGIEHLSSLKHVLLEFEKHDAGAMATVDAVRNSAQRVLPDQYITIKVDGNLRGATNTLQSIE >KQL08561 pep chromosome:Setaria_italica_v2.0:V:46062578:46064075:-1 gene:SETIT_004560mg transcript:KQL08561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSNSLVERFGSAQQRVSTMARQQVASMLSVALIVGAFASIPTTVQSIGVCYGVLGNNLPSRSDVVQLYRSRGINGMRIYFPDRQALDALRGSGMALILDTGNDVLGQLASSPSSAASWVQSNVRPYYPAVNIKYIAVGNEVAGSATQSILPAMRNLNAALAAAGLGSIKVSTSVQSNVIANSFPPSSGVFAQGYMVEIARYLASTGAPLLANVYPYFAYRGNPRDISLGYATFQPGTTVRDGGNGLTYTNLFDAMVDATVAALEKAGAPNVRIVISESGWPSAGGFGASVENARNYNQGLIDHVGRGTPKRSGALDTFIFAMFNENQKSGDPTERNFGLFYPNKQPVYSIRFQ >KQL06127 pep chromosome:Setaria_italica_v2.0:V:30849305:30849715:1 gene:SETIT_004432mg transcript:KQL06127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADREGAVVKKGHEEGLKMAVSLLEEFGLPLGLLPLADVIEVGFVRATGYMWINQRKKVEHQFKLVSKQVSYDVEVTGYVQAKRIKKLKGVKAKELMLWPPVNEIIVDDPPTGKIHFKSLAGVTKTFPVEAFAAGQ >KQL04127 pep chromosome:Setaria_italica_v2.0:V:6707678:6709974:-1 gene:SETIT_005160mg transcript:KQL04127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISGAFHPPAALQALAVFFALLAVLVADAEGRHHVHDCPPFSCGNLRDVSSPFRRRGDPPDCGVQSYELVCTDDEATIRIGRGTYNVVSINYTASNFWVVESNLGAQSSCLLPRWSRHALEYRSHRSVELAPSRWTTWATFVNCSRPIENNGMYKPVACLSTNSSFIYVMTGFFSSSAENFELSCGYLAMTPLGAAFTVVANNASYADILKLMSKGFAVQFPYMDGDFRQCLAEATSEFHEPTDNTGIKDRIVGILIVDNGFWQCKIEQFRFPHNAAALVLAGPAIAFPTVMWFLKFIIVICRFVLAPLAMFTFLAHIYCKTRITMDAVEKFLRMQQILTGPLRYAYTDIVAITSHFREKLGQGGYGSVYKGVLLPGNVHIAVKMLGNSNCNGEEFISEVATIGRIHHVNVVRLVGFCSEEMRRALLYEYMPNGSLDKYIFSSEKRFSLDKLNEIALGIARGINYLHQGCDMQILHFDIKPHNILLDNNFVPKVADFGLAKIFPRDNSFVPMSAMRGTIGYIAPEMVSRSFGIISSKSDVYSFGMLLLEMAGGHRNTNPHAATSSQAYYPSWVYSRLRQHEVGEITDVVSMHELEKKLCIVGLWCIQMRSHDRPTMSDVIEMLEAGVDGLQMPPRPFFCDYEDGSVVDSYFSSELNAIEDDEE >KQL04299 pep chromosome:Setaria_italica_v2.0:V:7961642:7962028:-1 gene:SETIT_005405mg transcript:KQL04299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSSSCAFNCVARRSFSEMTVQPQPLCQAVWSNHMPDLVS >KQL04436 pep chromosome:Setaria_italica_v2.0:V:8998593:9002104:1 gene:SETIT_000199mg transcript:KQL04436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAMRVVSLLPATIAVMIAAASWGAHGGASDEASALLAFKAELAGSGSGMLASWNGTAGVCGWEGVACTGGQVVALSLPSYGLAGALSPAIGNLTFLRTLNLSSNWFQGEVPASIGRLARLQTLDLSYNAFSGTLPSNLSSCVSLLLLRLSSNRFHGRIPVELGDKLTSLQKFSLGNNSLTGAIPGSLGNLSSLNYLDLTENHLEGPIPHELGSMGGLKVLLLYENRLSGVLPHSLYNLSSLKKLDVGNNTLSGTIPADIGDRFAGMEVLDFSSNRLSGAIPPSLGNLSALTKLNLPGNDFIGYVPSALGKLQSLTDLFLTDNRLEANDSQGFSILFSATTLSAGNCLIQSQTCHQLCNNVFAFDLSTNRLNGSIPIEVLKLPRLSWYLDLSYNSLSGPLPTEAGTMVNLNELILSGNKLSGTIPASVGNCISLVKLLLDNNLLEGSIPQSLKNLKGLALLNLTMNKLSGSIPDALASIGNLQQLYLAHNNLSGSIPKVLQNLTLLAKLDLSFNDLQGEVPKGGPFANAIRLSIDGNDKLCGGNPQLHLAPCSTAAAGKNRRRMSRSLMVTVASICAFLFLGLVVCLIHLIHKRLRQGKKNQVIPTAIDEQHERVSYQALANGTDHFSEVNLLGQGSYGAVYKCTLHDKGITAAVKVFNVWQSGSTRSFVAECEALRRVRHRCLIKIITCCLSIDHQGQEFKALVFEFMPNGNLNDWLHPASKIQSLSNTLSLAQRLDIAVDIMDALDYLHNQCQPPIIHCDLKPSNILLAEDMSARVGDFGISKILPDDTSKTLLNSVSFTGLRGSIGYVAPEYGEGRAVSTLGDVYSLGILLLEMFTGMSPTDDMFKGSLDLHKFAEAALPDRALKVADPAIWLHEEAKGEDPATVRSRSERCLASVVGLGVSCSKQLPRERTAMRDAAAEMRAIRDAFLVQCATETWPAVGTSGLGSTDT >KQL06798 pep chromosome:Setaria_italica_v2.0:V:35655630:35660893:1 gene:SETIT_000378mg transcript:KQL06798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSRGAVGGGRRWGDAEAEVEEGRRPEEGGEVSLREWLDRPGRAVEAAECVHVFRQVAEAVAVAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDASGSCSGSDASEDADPDASPPRRRDGAARGEERTGKTFPLKSVLAMELSWYTSPEEAEDSGGGGSTFSSDVYRLGVLLFELFCTFETLEDKMRAMANLRHRVLPPQLLLRWPKEASFCQLLMHPVPETRPKMSEVLQSEFLNQSRNSLEEREAALRLREEIEEQELLLDFLQQLQKRKQDIADNLQDTVAFLSSDINEVLHQQSALGGQFVNFSPDLDKEVCSGTVEDQSDCGSRKRFRPELQGIDMEEQNHSVEECSRTVPSSELIQESVLSKSSRLMKNFKKLETAYFLTRSKLVKQVGNQINSCHQVVKRATGSAVGTEGSSIDNFPLERQYGSRQCWVNSFLEGLCKYLSFSKLKVQAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVDMREHERRVWSVDFSIVDPTKLVSGSDDGSVKLWDMNQAGSIGTIRTRANVCSVQFQPDTARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYLDASTIVSASTDNSLRLWDLSMSPGRIIDSPIQTFTGHTNTKRLPFINSSMPFVC >KQL06799 pep chromosome:Setaria_italica_v2.0:V:35655630:35662146:1 gene:SETIT_000378mg transcript:KQL06799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSRGAVGGGRRWGDAEAEVEEGRRPEEGGEVSLREWLDRPGRAVEAAECVHVFRQVAEAVAVAHAQGVAVGSARPSCFVVSPPFARVAFIESASGSDASGSCSGSDASEDADPDASPPRRRDGAARGEERTGKTFPLKSVLAMELSWYTSPEEAEDSGGGGSTFSSDVYRLGVLLFELFCTFETLEDKMRAMANLRHRVLPPQLLLRWPKEASFCQLLMHPVPETRPKMSEVLQSEFLNQSRNSLEEREAALRLREEIEEQELLLDFLQQLQKRKQDIADNLQDTVAFLSSDINEVLHQQSALGGQFVNFSPDLDKEVCSGTVEDQSDCGSRKRFRPELQGIDMEEQNHSVEECSRTVPSSELIQESVLSKSSRLMKNFKKLETAYFLTRSKLVKQVGNQINSCHQVVKRATGSAVGTEGSSIDNFPLERQYGSRQCWVNSFLEGLCKYLSFSKLKVQAELKQCDLLNSSNLVCSVGFDRDKEFFATAGVNKKIKVFEYNMIVNEHRDIHYPVVEMSNRSKLSCICWNSYMKSHIASSDFEGIVQVWDVTRSQVFVDMREHERRVWSVDFSIVDPTKLVSGSDDGSVKLWDMNQAGSIGTIRTRANVCSVQFQPDTARSIAIGSADHKIYCYDLRNIRAPYCTLVGHTKTVSYVKYLDASTIVSASTDNSLRLWDLSMSPGRIIDSPIQTFTGHTNTKNFVGLSISDGYIATGSETNEVFVYHKEFPMPVLAYKFSVTDPISGQEIDDPTQFISCVCWRGQSTTLLSANSSGNIKILEMD >KQL04416 pep chromosome:Setaria_italica_v2.0:V:8813846:8814277:1 gene:SETIT_005207mg transcript:KQL04416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILSKTDSYVSQFLELTLKSGLLFCCSHLYSIDCYAV >KQL08609 pep chromosome:Setaria_italica_v2.0:V:46299633:46300516:1 gene:SETIT_004824mg transcript:KQL08609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPAASRRSARGFGGRFAMCLPAFAAFGDTPVYLGTESRGLVEYTGSIPYAPLLANPRNPGQRPLHPRQGHLRELARRGRGGLPAQRGKMKDVKRVPAVKPFQLCYNGAFPLLKRPISYDVPRIDLELAGARSNWTVFNNNDLVQVDGAMCVGILEMGPGAMPVDGELAMVIGGKTMENNLLVFDLEKGVLGFSMLLDFQLTSCYSSNLSRL >KQL07364 pep chromosome:Setaria_italica_v2.0:V:39311775:39316540:1 gene:SETIT_001697mg transcript:KQL07364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSAHLLSQSLYSSHRASPAAPRNLRSQARPPARASPAASPGSSAGGCRGASLRVAASFEQGRRQVEVSYDPQAKFNQIADQIDKDAGMTRLNLFSPCKINVFLRITGKRPDGFHDLASLFHVISLGDTIKFSLSPSRSRDRLSTNVPGVPVDESNLIIKALNLYRQKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFAGCIASEKDLQEWSGEIGSDIPFFFSRGAAYCTGRGEIVEDIPNPLLENLPLVLIKPPEACSTAEVYKRFRLEQASKADPLTLLKEITQNGISQDVCVNDLEPPAFEVLPSLKRLKKRIIAASRDDYNAVFMSGSGSTIVGIGSPDPPAFVYDDDDYKDVFVSEACFLTRDENEWYREPISSKAAFSKDDLPASVID >KQL04562 pep chromosome:Setaria_italica_v2.0:V:9893278:9896437:-1 gene:SETIT_001604mg transcript:KQL04562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPLERNPTKKRHSWWWDSHISPKNSKWLAENLEEMDKQVKEMLQLIEEDGDSFAKKAQMYYQKRPMLITHVENFYRMYRALAERYDNVTGELRKNIPTRLQSTGSLASSECGSEFQRSPSPSPEPLQRSWTREQSPRAAGFDFFLSNKNNDSPASRKEPEDLASQSESDAKSEDGEDDGIAYTLHQRVLELEDELNMTNQKLRDADEKLEVLEEKSLMCHCDYKENGNATDQTKKVSDIEGLSKENSNLLEQNTKLEAEIIKLKEEVDSARRQFEEELSEREREISRLKQDLADASEKLLQEKSINGARISDLQKSIEDIRSKLERVSEEKLLVEKQVKELEEANTEAEKYSQELTEGAERLSEEKFKHEAEILTMQQSIEDLKSRIESLAQEKSLMTSWFADLEQVVGRGRSIFAE >KQL08297 pep chromosome:Setaria_italica_v2.0:V:44667831:44670613:-1 gene:SETIT_003210mg transcript:KQL08297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRQLICLATAVAAAAILLTASAKKSGDVTELQIGVKYRPEACTLQAHKGDKIKVHYRGTLIDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTPGGAKAESNSEL >KQL08298 pep chromosome:Setaria_italica_v2.0:V:44667831:44670613:-1 gene:SETIT_003210mg transcript:KQL08298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRQLICLATAVAAAAILLTASAKKSGDVTELQIGVKYRPEACTLQAHKGDKIKGTLIDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTPGGAKAESNSEL >KQL08296 pep chromosome:Setaria_italica_v2.0:V:44667565:44670661:-1 gene:SETIT_003210mg transcript:KQL08296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKRQLICLATAVAAAAILLTASAKKSGDVTELQIGVKGTLIDGSVFDSSYDRGDPFEFTLGNGQVIKGWDQGLLGMCVGEKRKLKIPAKMGYGERGSPPKIPGGATLIFDTELIAVNGKTPGGAKAESNSEL >KQL06567 pep chromosome:Setaria_italica_v2.0:V:34279785:34287082:1 gene:SETIT_000077mg transcript:KQL06567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAVTAAKGLEEKKKEKGGGGDAGKMVPFVGLFGYADGKDVLLMLVGTVAALGNGFAQPLMTLIFGQLINAFGGATTETILDRVIKVVLNFVYLGTGTGVAAFLQVSCWTMTGERQATRIRSLYLKSVLKQDVAFFDVELTTGQAVSRMSGDTVIVQDAIGEKVGKFLQLTSNFIGGFIVAFVKGWLLSLVMLSCIPPVVIAGGVVSKMLSKISSKGQASYSDAGNVVEQTIGAIKTVISFNGEKQAIALYNKFTHKAYKATVEEGITNGFGRGSVFFVFFASYGLAIWSLGHATPCIAAFAAGQSAAYRLFTTIKRKPEIDPDDASGKELEDIRGDVELKDVYFSYPARPEQLIFDGFSLHVPNGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLVDGINIKSLRLGWIRGKIGLVSQEPLLFMASVKDNIRYGKEDATIEEIKRAAELANAANFIDKFPNGYDTSVGQRGAQLSGGQKQRIAIARAIIKNPKILLLDEATSALDVESERTVQEALNRIMVDRTTLVVAHRLSTVRNADCISVVQKGKIVEQGHHDELVINPDGAYSQLIRLQKSPKDKQKLDCRIYDTMSKSRRLTSIELIGRSSAGNSSRHSFILPFGLPSSVELLEGNDTNENLKEEAGDSGIPKQTHLGRLANLNKPELPFILLGSLAAAVHGMLLPVSGIIISNAIIIFFEPADKLRKDSQFWGLLGVVLGIVSIIAVPLEYFLFGVTGGKLIERIRALSFRSIVHQDVAWFDDPKNSSGTLSARLSVDALNVRRLVGDSLALAVEVTSTLITGFVIAMIADWKLCLIIICVIPLVGLQGYAQIKFLKGFSEDAKLLYEDASQMATDAVSSIRTVASFCSEKRVMTIYNHKCEASKNQGVRTGMIAGLGFGISYLLLNLTYGLCFYVGAQFIHHDKSTFSAVFKVFFALMLATFGISETSALASDSKKAKESTVSIFALLDRKSKIDSGSNEGLTLDEVKGDIDFRHVSFKYPSRPDVQIFSSFTLHIPTGKTVALVGESGSGKSTVIALLEQFYRPDSGTISLDGVEIKNLKINWLRNQMGLVSQEPVLFNDTIRANIAYGKQGEVTEEELIKVAKLADAHEFISSLPQGYQTTVGERGVQLSGGQKQRVAIARAILKDPRILLLDEATSALDAESERIVQDALDHVMVGRTTVIVAHRLSTIKGADIIAVLKDGMIVEKGSHETLMNIKDGFYTSLVELRSSSP >KQL06398 pep chromosome:Setaria_italica_v2.0:V:33069626:33071672:1 gene:SETIT_004846mg transcript:KQL06398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEASSSSSPLPALSNGYQPLPSLYLGFLAIWAASGFSWAFSSWRNRHFQVNNLQWILALVPLIKALQMALSFLFWYSCVHLQTCSLWMSFGAYVTGILFQTASFVSFMLISHGYCIMCERLSIRERRTTAVLGCLLYLSLIGYKAAVPYFTVFLLINYFASFYIIFRRTSQNLIVLQEQLSFIEEEDIHSLHGTLNTKYTMFKRFQGTMQVAAVAFIMVYMRADDTPDNYWFRVLVREWVQFCIFMYIGWNFRIPEASLHLPVIPLMKSAWEIAMPPIYSVEMDAADFKGLVSDQWHVGVRTGSGCSAQPLLVLVQNPSPTASPSGRAPKFQLDRENQ >KQL07005 pep chromosome:Setaria_italica_v2.0:V:37013548:37017684:-1 gene:SETIT_003763mg transcript:KQL07005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFRFLPRGSVPTPACSLGEPPPPPLLPPRSLSICDRASPAAATMGRGKFKGKPTGRRNFSTPEEIGNDASPPPSPFPFRSSASGTSGRPRTFKKVCTTHSIRVVHVISILLSPLTLLHSNESKWICSSLLLKEEEEEEEEEEREESEEEESEDESDDKPKHKGTEGIIQIENPNLVKARNIKAKEVDLGKTTELSRREREELEKQKAHERYMKLQEQGKTEQARKDLERLALIRQQRADAAKKREEEKAAKEQRKSEARK >KQL06671 pep chromosome:Setaria_italica_v2.0:V:34927567:34930717:1 gene:SETIT_004544mg transcript:KQL06671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFRSSNDLASKSSLTLGELACVVLLPVLAVVDAVLLGASRCFQKSPPRLLSAVDARAGRIRAGRRLTFRELAELADESHCCKYTAACPVNEVEALYELYKKISCSIIDDGLIHKLQLALFKTPSGKNLYLDRVFDIFDEKKNSVIEFEEFIHAISVFHPYAPIEDKIDFSFRLYDLRQTGFIECEEVKQMVIATLMESEVELSDDLVEGIIDKTFKDADTDKDGRISREEWKAFVVRHPSVIRR >KQL07627 pep chromosome:Setaria_italica_v2.0:V:40909156:40910531:1 gene:SETIT_004466mg transcript:KQL07627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSDGQLRSEPTMEDARSLLPYTTVDSSLRALAGQAEGFGRHAIGGLHGDVYHVTTLEDDGPGSLREGCRRHEPLWIVFDVSGTIHLSSGVRVSSYKTLDGRGRRVRLSGAGLELRRCEHVIVCALEVEGGRGHDADAVQIKPRSRHVWVDRCSLRDFADGLVDVTGGSTDVTVSRCHLASHDKAVLVGASSAHVEDRRIRVTIHHCFFDGTRQRHPRVRFGRVHLYNNYTRGWGIYAVCASVEAQIISQCNIYEAERKKEVFRYMEEQAADSDQSSSGHIRSEGDLFLNGAQQCAADASDAPGDELWDFKVQDFYQSCSVQPTSMALKMLLQCCTGWQPVPLPADVSSSTQDDVDPADPAA >KQL06306 pep chromosome:Setaria_italica_v2.0:V:32485528:32488370:1 gene:SETIT_003021mg transcript:KQL06306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGCEAESSASVQSSSPVLPTFPRAPLPVRLFLSSGCRSPLLELQTSRKPQPPRRGGEGICLRGMKTRSQTAPKPLKMVALAPRPGSPKRRPRPRPKPVGKGDPRAPKKPPTAFFYFMEDFRKTYKQENPSVKSMQEIGKACGEKWNTMAFEEKVKYYDIATEKRAEFEKAMIEYNKKKESGEIFEDSDSG >KQL07760 pep chromosome:Setaria_italica_v2.0:V:41591898:41593394:-1 gene:SETIT_005217mg transcript:KQL07760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYEQTVNEKRREVLRMLHSNDQVSLLLSPSQHCWRNRSYIATFF >KQL06931 pep chromosome:Setaria_italica_v2.0:V:36495299:36495811:1 gene:SETIT_003635mg transcript:KQL06931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFTEIRKIARTLPHRKAPFEERQNLTYGDWRCSRAPTPESCLKGTYTCSHLPTPPVKIDPLQSAYRN >KQL08526 pep chromosome:Setaria_italica_v2.0:V:45840248:45842142:-1 gene:SETIT_004595mg transcript:KQL08526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGGSKEAVVKGNSGSSRCNRFRKKSSVVADATQPSRVPPPSDNGSAVAPKANDKVITDTKDNATASTQKAIEEEKEEGIKNKADQVVKDSKEAFVVKEGNAPSTVNAIPENKEDEIKKDEAIIKDAKEVITIGKDKEASTEKATKDKEQEDKKDEVLKDKMVANQKADVASTENVIAEEEEDIKNEDTVELSMEGAVEDKKNEGDYKEMGTMEDDGATLTENDASSEDDVEESKEDDSVTFPVAMVTEGDGSVTFRVPYDAVTKDNDSVTFATAPANKTSNTVAMVTEEDGSVTFAVPVAPVTKDDDIVTFADAPATKDNGIVAMATEEDGSVTFAKPVAPVTKDGRSVTFTAAPATKDDGSVILAAAPTSEDNDIVTLTAAPVAKEEDVAEQPEPSDNEEVKNESELPEPTVFEDESMTEVDSTMEGEVGVAEQSKPSEDNEVKDDAELPDPTVVEQVVTEVVEALKVEDGRVDNVGEIKIEQDEESVSKELEEANSSAVLRDEDGESDGKQTIDSKETITTEEKAEELAVPEKDDDDEKAPAPSALALN >KQL03521 pep chromosome:Setaria_italica_v2.0:V:2918812:2920231:1 gene:SETIT_005507mg transcript:KQL03521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVHWKTWFHYNIKMSVCYFHSSLNRARRTTRTVKRSWAKSSGEQRKCASPAAGHLLR >KQL04253 pep chromosome:Setaria_italica_v2.0:V:7672289:7672647:-1 gene:SETIT_004974mg transcript:KQL04253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAWTGPMDRLPHGRSKQTGNEKALPTEQRHQAGFSPNCSVPALVGPARQPNSREFLFVLSKGPGLDHVARAVSHTTPP >KQL06255 pep chromosome:Setaria_italica_v2.0:V:32093898:32097982:-1 gene:SETIT_000944mg transcript:KQL06255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGAAISAPLGCRGLPHGAVGGGSKVRRAEVERWRRREGAGRRVAGPKVRCVATEKHDETAAVGAAAAGVEFADEEDYRKGGGGELLYVQMQATKPMESQSKIASKLLPISNENSVLDLVIIGCGPAGLSLASESAKKGLTVGLIGPDLPFTNNYGVWEDEFKDLGLESCIEHVWKDTIVYLDNNEPILIGRPYGRVHRDLLHEELLRRCYEAGVTYLNSKVDKIIESPDGHRVVCCERGREILCRLAIVASGAASGRLLEYEVGGPRVCVQTAYGVEVEVENNPYDPSLMVFMDYRDCFKEKFSHSEQENPTFLYAMPMSSTRVFFEETCLASKDAMPFDVLKKRLMYRLDAMGVRILKVHEEEWSYIPVGGSLPNTDQKNLAFGAAASMVHPATGYSVVRSLSEAPRYASVISDILRNRVPAQYLPGSSQNYSPSMLAWRTLWPQERKRQRSFFLFGLALIIQLNNEGIQTFFEAFFRVPKWMWRGFLGSTLSSVDLILFSFYMFAIAPNQLRMNLVRHLLSDPTGSTMIKTYLTL >KQL05181 pep chromosome:Setaria_italica_v2.0:V:16977266:16979123:-1 gene:SETIT_001933mg transcript:KQL05181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTPPLLTPYKMGEFNLAHRVVLAPLTRCRAYGNVPQPAHMSLYYCQRATPGGFLISEACAVSETARGYPDVPGLWTDEQVEAWKPIVDTVHAKGAVFFAQIWHTGRVSAPEFQPNGQAPISSTDKQVPPQVSQDGHVSTFAVPRRLETTEIPQVVNDFRIAARNAIKAGFDGVEIHAANGYLFDQFMKDSVNDRDDTYGGTLENRCRFTAEVVAAVAGEVGADRLGVRLSPFTDYMDCSDSDPEALALHVIRSTLNPLGVLYCHMLEPRMRVNPDDGKLTLPHMLLPFRKAFQGTFIVSGGYDQEEGNTAVTDGYGDLVAYGRLFLANPDLPARIARNAGLNNYDRSTFYTSDPVVGYTDYPFLD >KQL07433 pep chromosome:Setaria_italica_v2.0:V:39722981:39729096:1 gene:SETIT_000404mg transcript:KQL07433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRREEERNEKIIRGLMKLPPNRKCINCNSAGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMSKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPVNTNPDRIREFIRAVYVDKKYAGGSSNKPATDSESVKSNENEMRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGNFLFSPGRLRDQTNEDQFANESSGSRFSDFSASSTGDFRNDVLSPSSQETGYSSPSVHHSRNISAENPQSQKHPNVTSQIDFNGIRRSQRTGSSGSFGSFDGSSVSNKSVESGYPPDAPTEKSVHSAVNHQTVASPVANSTQLYALPPNNHNLIPQKPADFGSQTTASRKPVQHGRAQTEAAVPPPVPAQPTTFTPLDLFDQSTVQPPVTSDAQIDLFAGFNEQSSASHKTVNLGSHFDVAKEPAHNGVVQKTVVPSAEALTTSHPVPQDFFSLSILQEPATSSPPPPIDLFAGFDQELPQLSSVQQIPSAATLPANGGWAFFGAQHGSLTSVSNVQAQKPAAFPLSDGIAKGIDQSTLPTSPPNTIGSQSTPSVMDNWSLNAEEVKISVPKENSQSWNAFGESIQSPSNSLFTFNTMSQVAPHQFTSSGASYAESRIPQDSAGGETERPTPGDMFSDFNVSPVEMAGPSFPAQLESHLGGVVSNPGKSTNPFDIAFESDVDANDMFMDLTSLQETLPDPHTTSDYSGSLAHPWISHNSAMPYIPSGPQGARRNKDLFHLGIHLSEC >KQL07432 pep chromosome:Setaria_italica_v2.0:V:39722981:39729096:1 gene:SETIT_000404mg transcript:KQL07432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRREEERNEKIIRGLMKLPPNRKCINCNSAGPQYVCTNFWTFICLSCSGIHREFTHRVKSVSMSKFTTQEVRALEQGGNQRARDIYLKDWDWQRMRLPVNTNPDRIREFIRAVYVDKKYAGGSSNKPATDSESVKSNENEMRRPSSYHSYSQSPPYDFQYEDRRYGKQVDTLARRPSDRALFDGKLGNFLFSPGRLRDQTNEDQFANESSGSRFSDFSASSTGDFRNDVLSPSSQETGYSSPSVHHSRNISAENPQSQKHPNVTSQIDFNGIRRSQRTGSSGSFGSFDGSSVSNKSVESGYPPDAPTEKSVHSAVNHQTVASPVANSTQLYALPPNNHNLIPQKPADFGSQTTASRKPVQHGRAQTEAAVPPPVPAQPTTFTPLDLFDQSTVQPPVTSDAQIDLFAGFNEQSSASHKTVNLGSHFDVAKEPAHNGVVQKTVVPSAEALTTSHPVPQDFFSLSILQEPATSSPPPPIDLFAGFDQELPQLSSVQQIPSAATLPANGGWAFFGAQHGSLTSVSNVQAQKPAAFPLSDGIAKGIDQSTLPTSPPNTIGSQSTPSVMDNWSLNAEEVKISVPKENSQSWNAFGESIQSPSNSLFTFNTMSQVAPHQFTSSGASYAESRIPQDSAGGETERPTPGDMFSDFNVSPVEMAGPSFPAQLESHLGGVVSNPGKSTNPFDIAFESDVDANDMFMDLTSLQETLPDPHTTSDYSGSLAHPWISHNSAMPYIPSGPQGGLSYVAGQDSHMLSPTKQGSFPPRNPFE >KQL05331 pep chromosome:Setaria_italica_v2.0:V:22560380:22564406:-1 gene:SETIT_001498mg transcript:KQL05331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAQRASPARSTIRFLSLRGTQTPNLPFRTRAAAPTRARLRCHLSRSSDSTPTPTPTTSDQDAEPDPEDSLRVAFACGGAGAGGRVYSAIALADELHASLPSSRSLILGAPAPSLESAAAAAASYPFAPIPPRCLPRGILAAALHLRRFRPHVLVATGGAPALPACLAALLLGLPFVIQDQDASPAPATRLLAPFALRVFLAFNAPVRLLPKRKCAVYGNPVRMSILKCQASKAEALARFFPREEGLLGEQDAQVVLVLGGAEGSPEINVAVLNVYYEMLRKRKDRYIIWQTGTETFCEMESLVRGHRRLFLTPFLHELEMAYAASDVVISRAGAMTCTEILVTGKPSILIPLPTILDHHQTRNAYIMADVMGAKVITEDELDSSSLTSAVHEIFGDEKLMADMSQKALTAARPNASADIIRHICSLIGSTYPT >KQL05062 pep chromosome:Setaria_italica_v2.0:V:15069686:15070009:1 gene:SETIT_004126mg transcript:KQL05062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDHQPSRRALLLLFFVLQIPSLLGSRSAHVVVVGPAATAAAAGRRLLPAPAVREQQSVAVVKEKVAAHPRTVGRRAGTSGGGGVSAFVNAVSKHQVPSGANPDSN >KQL04968 pep chromosome:Setaria_italica_v2.0:V:13521077:13524414:1 gene:SETIT_001005mg transcript:KQL04968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDLLRGAGGATPSGRVEKTWVVGSMMAGPYGRTPALLRRQCARGARRHVPPRRALALPPTDTAPAPAPPPPPRHGTPLGHSTSHMPIFKAAELVPWCAPLLHITPQSTPPTGFTRTSSSSSSKRSEHPDPPIHPAMASPAPPVKKVLVPITAGTEPVEASVPIDVLRRAGADVTVAAAGGADGLLVEVMYGVKIVADANVADCADASYDLVALPGGVPGAANLRGCGALESIVRRQVLKGGLYAAICAAPPLALGRWGLLDGVKATAHPAFVENFPAEVTAVDANVVVDGKVVTGSGPATAMEFALALVEQLYGKDKVDQIAKPMLVRYEHGYTFKELNPIQWQCSGTPKVLIPLANGNEDMEVITIIDALRRANADVVVASAEDGVEVVGRYGMRIVADVLLGAAADQQFDLIVVPGGMPGAKTLAGKEKLVALLKKQAAANMPYGSICDATAQVLEPHGLLKGKKATAADGSECEDRVVVDGNVITSRSPGTAMEFAVAIVEKLMGREAAREVAEGLLFV >KQL06108 pep chromosome:Setaria_italica_v2.0:V:30719860:30723750:-1 gene:SETIT_002711mg transcript:KQL06108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPPPDSFDESRHPRQRAAADTDAPSSGGGAGRCRDLSRAFGRCRALLDRLLRDEDGWIFAAPVDARALRLRDYYTVIADPMDLGTVLRRLERRRYADPPAFAADVRLTFSNAKSYNNPGDPVYESADELSGIFEDGWASIQAELPPPPPTDAERKLKFRDDLKGLPVAAQRTVAGFLKERGACQLEKRGKLEVDLGKADAATLDELDRVVAKHRAADSDVVAPSPECRNHEHGQTEGPSPKS >KQL06236 pep chromosome:Setaria_italica_v2.0:V:31942960:31944249:-1 gene:SETIT_003848mg transcript:KQL06236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGGEFTFPTATPEPRTSPRRAAAPSLGDVPDNILVRVSAFLRCRTDRVHMACVNKLWSRAVRGVGRPPPPVLPPLPALPPQLPWLIFPNTETPTFYSPITRRYHRLCRLPPDVRRARFCGSAVGGWLVLALDSCHGYALYNLNSGQRIPLPASFTTPGDKDVPLVVHAATFSAPPSGPNQYMVAAIVLIANRSTAAFWSEGSESWFSPSTGALLEATAQDVIYYGDAFFFVTSREDVVSFQPVFLGWNNNVGLTRVDYDMQQRGDLDDDIGVHEGMGVGVMRRYLVESRGRLLMVVRYFYNQSGSTEQIRVFQFQVMPPEAAADDQRPRAAWENLGNQLDGRMLFLGRGCSRSLEVADYNGIQGEFEESMIYFIDERMASERLPGGRSLYSFTDMGRYDMEEIATAPWPEGLYPSTSDNAPPTWWLH >KQL08776 pep chromosome:Setaria_italica_v2.0:V:47042844:47043665:1 gene:SETIT_002846mg transcript:KQL08776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAEDSSSSASSSSLSPPTSPPHQHQQQQNQLPAKRRAGRKKFRETRHPVYRGVRARAGGSRWVCEVREPQAQARIWLGTYPTPEMAARAHDVAAIALRGALAADLNFPDSAHTLPRPRTAAPDDIRCAAAQAAELYRPAAHHLIATSSSTAAPPRPLALPPPEPSACFLDEDAIFDMPGLIDDMARGMLLTPPAMGRGLDWGAVDDDVDCTLWMDD >KQL04652 pep chromosome:Setaria_italica_v2.0:V:10457349:10459594:1 gene:SETIT_001230mg transcript:KQL04652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASDLTLDYKPNGNSNGGGAYAVIPKQQQETLVDGHHLTTEQTTQKLREFLARLEEERLKIDAFKRELPLCMHLLNHAMEAYGQQLEAYQMGSLQGAPARPLVLEEFIPLKKIGIDAAADKMGNQPSEKASWMESAQLWNGPGAALAAADTAAKGPQTPKESSEHQLPIDTLGALDTAAGQRNGGAFLPFAKDKAAAEAAALPELALAPAEKDAAETDRKPYLDAAGANGGLGARRDVQNGVKPASNATDGQAPPPPQTHRKARRCWSPELHRRFVNALQILGGAQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPMPTPPAPATAAPQLVVLGGIWVPPEYATQAAGQAIYGAHPATQPHYTAAVAAAQEYYPPPAAVHHLQHHPAAAMVHHRAAAPPPPQAAAYKAAMAGSPPESSEGLGSAGGGSVGGGGGRERSESIEDEDEGEEREDDGDDDDDVPAAKTDGEDSTGAAAIKY >KQL05850 pep chromosome:Setaria_italica_v2.0:V:28423525:28428666:1 gene:SETIT_0020941mg transcript:KQL05850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFFGRPSGRYSDGRNLLDFFAEAFGLPFVPPYLGGGDFQYGANFAVGGATALNGSFFRERGVEPTWTPHSLYEQIQWFKKLLPSIAPSETERSDIMSNSLFFVGEVGGNDYNHLIVRDKSLDELHELVPNVVGAISSAITDLTSLGANKLVVPGNFPIGCVPLYLSIFPSQKEDYYDEQTGCIKWLNEFAEYHNSMLQEELERLRNLYPDVTIIYADYYGASLNIFRAPLQFGFTVPLNACCGSDAPYNCSPSILCGRPGSTVCSDPSKYISWDGLHFTEASYRVVIQGVLGGYAIPPLSETCKGGEFKVSQLHQCTDNPTNTVTYDTLSSFI >KQL06793 pep chromosome:Setaria_italica_v2.0:V:35643503:35644021:1 gene:SETIT_004329mg transcript:KQL06793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGTDGAAAPAVWRGSVRAVASGPSPDAAWALLRDFFSLDRWVSTVRTCRRVEGLPGCVRYCEGPVNMAAAAAAPAGEGEAAAVGWSKERLLEADNAGRWYSYEVVESNKGIGRYRATLRVEHDPAGCAVAWSFEADPVEGWTQEGFLGFLDKLARGVARRLEEEINVIEGD >KQL03357 pep chromosome:Setaria_italica_v2.0:V:1958373:1959929:1 gene:SETIT_004641mg transcript:KQL03357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPGRSKMRIITLAVCTLLLLASAEAATSSAVDRRYHSIFSFGDSFADTGNNPAVFAWYSFFDPVMRPPYGSTFFGRPTGRNADGRLILDFIAESLELPYVPPFLGPPFAFPSPADDCRFRQGASFAVGAATALDVEFFRERDIPGAPSKFPLNTSLGVQLEWFESMKPSLCRTTQECKEFLAGSLFLVGEFGVNDYHFSFQRKSVREVRSYVPRVVSEISMAVERLIKHGASTLVVPGAIPSGCSPPVFTMFPDAAPAEYDSRTGCLKAQNELGRHHNALLQASLKKLRAKHPHARIIYADFFGPVMEMVESPRKFGFRDDVLTVCCGGPGRNNYNGSVYCGDSGATTCGHPSASLYWDGVHFTEAANRYIAAGWLSSVGHWDGA >KQL03907 pep chromosome:Setaria_italica_v2.0:V:5297393:5302670:1 gene:SETIT_000224mg transcript:KQL03907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPASRRRRSRLLSWPLLFVAILVVHSLAVYLFTRGFLLTRTELDLHSSRDDRPPQGDVSTGCASWPPPAVDRLVIVVLDALRFDFVAPSTFFQEKHPWMDKLQVLQKLAANEKTSARIFKALADPPTTSLQRLKALTTGGLPTFIDVGNSFGAPAIVEDNIMHQLVKNGKRVVMMGDDTWIQLYPEHFNKSFPYPSFNVKDLDTVDNGVIEHLLPSLHKNDWDVLIAHFLGVDHAGHIFGVDSTPMIQKLEQYNQILEGVIDTLRSLSKPGGTHENTLLLVMGDHGQTLNGDHGGGTAEEVETSLFAWSPKTPPDAVLSVLDDSSCNVDLHGEEVCISTMQQLDFAATISALLGIPFPFGSIGHVNPELYALSTGTWDNQRMGTNACTSQNDLESWMRRYAEVLCVNCWQVKRYIDQYSATSVIGFPSEDLQHIMNLYSRAQANWSASLRTTCSSDTGSQEKSEGEGSVLPQQIDAYTDFLQTFAKLARSAWTEFDLWSMSMGLLLMILTVIIQSCTLVKLNTICQPSDQKRAGSSIIPKLSFAFALVVIRAASFLSNSYILAEGRVANFLLATSCITSVWHSMVKGKFRIENLVFLLLNIFARFGIEIGMSKQLPAPAITKDHSVSAFCKIFGVNSCNILLEIFPIISLAIVAYIILKWLSHAIHQRFLKYFVLCGTMVSYVSIAFHWASETTLFLHSGTVQEFGRSLAPRFVYAIGGLSLAVSALYRIFSPADHLKMNIRITILSAVMLCSWSPTILILLGRQGPFVALICMTGAWCIIKLQQKHQRESELCVADPVSVTQWSLLAVCLFYLTGHWCTFDGLRYGAAFIGFDHFHIIRQGLLLSIDTFGVSHILPILSLPFIGMVWYNTTSKDNKLNGVILNNITQVVNTATLAIKKKPIFWKRFDYTNSTNDNPLSGRAY >KQL08535 pep chromosome:Setaria_italica_v2.0:V:45878278:45879156:-1 gene:SETIT_0041852mg transcript:KQL08535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKDVTTNATDGKTPSARGAFSGRSERRHRRRGLGRGSVSLPAQLYEKLSLIKRQFAICLPSTAAAPGVAFFGSGPYGLMPSTQFDAGAALSYTDLVHDPRRPSAYGIRLRGIAVNQEAVPLPAGALGRGGGVTLDAALPYTVLRCDVYRPFVDAFRRATALVPRAPSVPPLELCFNSSALGFTRVGYAVAPVDLMMAARGCGNWTVFGANSLVQVAPGVACLAFFDGGWAAPSAVAAGGFQMGNNFFLLFDEAASRLGFSGTLLFMRTTCGNFNFSTS >KQL03159 pep chromosome:Setaria_italica_v2.0:V:140861:141460:1 gene:SETIT_004061mg transcript:KQL03159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASESKKKSNKTSGSGPAAAAATPTATGPHRRPVPKPKPPVVIAAHECPSAMRARILEVPPGRDVLSCVSAFARRGRRGALVLGAAGHVADVVLRDPSALVLRGTMEILSLAGCFFPFSASAGTAAVFLAGPRGTVLGGAVVPGGLVAAGPVVVVVATFVAAALDRLPLLLIKGEEPHHGWPVVCPNPKQQRPADE >KQL06834 pep chromosome:Setaria_italica_v2.0:V:35958725:35959446:1 gene:SETIT_003682mg transcript:KQL06834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMLNCKLGTLPMKYLGIPISDYHLIGMAAFASIFEKMRKRLDPWKGKNLTSGLPTS >KQL04927 pep chromosome:Setaria_italica_v2.0:V:13096309:13102683:-1 gene:SETIT_000360mg transcript:KQL04927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQTNDKCPLCMDPLDLTDKQLKPCKCGYEELCADKANYQKERTKSHKQTSAKGQLGQSEPKDPNNLLRQKNFLGQYGKIENIIIDNIGANQQIPDSGRVYVTFAREEEAVRCIQAVNGYILDGRPLKATFGVTRYCHIWLSNRVCYKANCSYVHHKASAEDICTKDDVSVVCARLQRLMGMDAKGPQHRSGRTLPPPGDSSSRTSTCSGISKDICINAERLLPNGANRNTCLPPATTSRDSSISSGSPSSTASAVIHQSNYHESIHNNQQNLSDPKSQKYIPPGGRSRSSTTSVQHMQHPCRPIEGTSLESSSNMSLVSQGSKGHLNEQLDSNNDKSEASSQLGNDTSNSKQTTSAENGTSDTSQQKPQYSNVVSQGQVVPSRRFTVLGRPKATGQISNGTSSSTKLALVKTEHSDRVTLPRSHLVSQSLEQLSQQASATVKSHAGAEKKNGCPDIIEKLVPGNHKQLSESTASHRSTAVQSMSSRPVPSNLSTSNAKSEATAGPNNLSDLKRKLASRNQLQLVNQQDAPTSNTGITRASLCHSTLNKQLPSTDGKQQDSAQGGHESFYNRQMVRSGDIVPSHCSDSTTLSRPVSAVSSTDVAAPDRKERKRQACPPGFEKPHHISDSDKFVYVSSPACSGLCPASGALVQDSCGITDQQDLPSWATDCLKDDADVTNNLNVTTSSRSTDTNQRHAQFQGTFFPGWSNQPRLSPYPPHHKPEYWDGTTGSYMFTGGYDTFCQRTTSGMRGGMAGTLLQQPTMPSPHGSWTDGNTDSGMNCPQVDIAYPMYTLF >KQL04022 pep chromosome:Setaria_italica_v2.0:V:5915497:5916920:1 gene:SETIT_003055mg transcript:KQL04022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRVVCRKLYDYVRYDLKEIAFPSSLPDPPHIKKRPKLTWHDRWCILKEVTRLYGASWVRDIGPDLRPNDYKKAVENDAEEPSDGKPISDNGKKGKSSEPSVLEDLAVAARGGAETLKPTLRRIYMTRAATYTDAMKNFVETYQEGLKDQLQEKAADGEAGRQQLQQGDEATPKPPPPPSSS >KQL05584 pep chromosome:Setaria_italica_v2.0:V:25984858:25987395:-1 gene:SETIT_000585mg transcript:KQL05584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHLFVAVVTIVAAVALFAPFAAGYPWQVCGTTNAFAAKSTYQANLNRLAAMLPGNASASPNLYATAVVGAVPEQVWAMALCRGDANATACGSCLAQAFRDVQNDCPYNKDATIYYDPCTLHYSDVHTLAGDDDTGPITDAYGVTNNGNVTSDQPRFNALLAALLNATADYAAFNSTRRFATGQADFFDKNFPKVYSLAQCPPDQPPALCRKCLAGIIADGLPRFLNSIGGRVLWVNCTYRFETAPFYSGPAMVRLPSPGAGAPAPGPAVETPPAAGEGRRNYNVPGIVLAVVLAVLAALNLVACLCFWRRRQRQRRPPTAEAKKPYQAEDVEMVDSMMMDVSTLRTATGDFDESNKLGEGGFGAVYKGVLPDGDEIAVKRLSDSSTQGEDELKNELALVAKLKHKNLVMLVGVCLEQQERLLVYEYVPNRSLDLILFDTENENHEKLDWRQRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFDRDQTQANTSQIVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRNNSKQSGDLLNTVSAN >KQL05585 pep chromosome:Setaria_italica_v2.0:V:25984305:25987397:-1 gene:SETIT_000585mg transcript:KQL05585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPHLFVAVVTIVAAVALFAPFAAGYPWQVCGTTNAFAAKSTYQANLNRLAAMLPGNASASPNLYATAVVGAVPEQVWAMALCRGDANATACGSCLAQAFRDVQNDCPYNKDATIYYDPCTLHYSDVHTLAGDDDTGPITDAYGVTNNGNVTSDQPRFNALLAALLNATADYAAFNSTRRFATGQADFFDKNFPKVYSLAQCPPDQPPALCRKCLAGIIADGLPRFLNSIGGRVLWVNCTYRFETAPFYSGPAMVRLPSPGAGAPAPGPAVETPPAAGEGRRNYNVPGIVLAVVLAVLAALNLVACLCFWRRRQRQRRPPTAEAKKPYQAEDVEMVDSMMMDVSTLRTATGDFDESNKLGEGGFGAVYKGVLPDGDEIAVKRLSDSSTQGEDELKNELALVAKLKHKNLVMLVGVCLEQQERLLVYEYVPNRSLDLILFDTENENHEKLDWRQRYRIINGIARGLQYLHEDSQLKVVHRDLKASNILLDANMNPKISDFGLARIFDRDQTQANTSQIVGTYGYMAPEYVMRGNYSVKSDAFSFGVMVLEIVTGRNNSKQSGDLLNTAWEHWEAGTVMELVDPSMNGSFPEGDVLRCFHIGLLCVQGDPAARPVMSSVVMMLGTDTISLQAPSKPGWVLRQGQQPKHGGADDVGAGLGTGMQNSL >KQL06992 pep chromosome:Setaria_italica_v2.0:V:36927385:36930959:-1 gene:SETIT_002882mg transcript:KQL06992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLYFKARPDAGDYTIYMGADKNENEELIKYGLPEDVWFHVDKVSSAHVYLRLKKGETIDTISEGLLEDCAQLVKAHSIQGNKMNNVEVVYTPWSNLKKSPSMDVGQVGFHNPRMVRVLTVEKRVNEIINRLNKTRVERRPDLKAEKEASNAAEKAERKMQLKEKKRKEELERLEKEKWAEIRSYKGLMVAEKMTSNRQIASAGRSMQEMEDEFV >KQL04804 pep chromosome:Setaria_italica_v2.0:V:11968692:11970202:1 gene:SETIT_005063mg transcript:KQL04804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQPGSPASPPSSSSPNSAEVTTTPSEEMPAPEEPVEDVEVATEQEPGRKSTSSSSSSSSASSAESLRHVDVVELGDTLVPAPEDEQAVAHPLPSAEGKPDAGSTLEDEQAAPPVESAGGKPDDGTTPENDHQGAATVHGAEVKPDDRALPEDEQAAGPAVDSAEVKPDDERVPPDDEQAAAPAVHSAEVKPDDWATWPEPPPPAVDYSFSSDGSAGSGAAPTLPTTEVPQVQTMPKLEGAAGASEFDPQRIPASVFQTRTSVSQAEWSMTSNESLFSIQGASDVGGPYAGSRSHFDFFYDEAMAAAAGSAESNSSKLPSVAEGTEPAESKEFAVPGSASSQASAGSAANAKKAAVLRHHESGSGGSSSNFSFAFPILAPTSPKKDLVSSALYQPLEKEWEPQPAPPPMEPPSSAFVEMTTEAERRGSTGCCCCGCCWFDCSWSTCCGWWRCCSCSCCCSCPSFCRCSWCLCS >KQL07854 pep chromosome:Setaria_italica_v2.0:V:42188278:42188753:1 gene:SETIT_005377mg transcript:KQL07854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYICLIWFALIQSVATYNRGARHLHGGIDEARGGGLWLERIQYF >KQL07657 pep chromosome:Setaria_italica_v2.0:V:41048376:41048779:-1 gene:SETIT_001382mg transcript:KQL07657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVSDLPDDILVSIVSFLPIGQAARCSALASRWPRIFPRTLIKFGASLSTHRHGAIDIVNSILAAHPTAAVRYFSIASICFRGDEDPNAGGWLQELALRGVQEINLSFREIRRMIPASLFAIRMHLPDAPQG >KQL05243 pep chromosome:Setaria_italica_v2.0:V:18954628:18955311:-1 gene:SETIT_004659mg transcript:KQL05243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLNMITIHLAATLDQPMEDLGRLRATCMVRRRVYYSLLCLLVDVGNTEASLLTGIPDFFRGYQPSLDQLSHAAAGRLNVAAYLYALTLYRNASGTADDDMAKMYIRRLEGEEGKAGSGSIGKKMLHNLVCRECREDVVYLVVRILWNNVALPPTPGRGELPCDGGGCGFPNGWGGDTLFCNEDCRLRHELAVFEQRIVD >KQL08693 pep chromosome:Setaria_italica_v2.0:V:46718853:46724058:1 gene:SETIT_002274mg transcript:KQL08693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAMSGSGGGAGQFGDTTFTKVFVGGLAWETHKEGMRAYFEQFGDILEAVVITDKNTGRSKGYGFVTFHDPEAALRACIDPYPVIDGRRANCNLAYLGVNKSKTAPVPPYLQPYAHAYGGGSMRAMKSIQTAGAGGASLMSLVPADHGIQQGIPTAAYNVYAGYSPYFSDYGYPLSYYQAYGGLQGAQQQYAVFGGGATAAGLTMAAANSSGLYPYFQYSPASLAAAGYSMAQYPQLYQYTAAAAGATTAAATLMTTVAGGLQQYAGAVAFTPNSTGQAGMTMSMTAPTLPAPAAQYQFTRLIPSHLAAAPDQKPSLA >KQL03613 pep chromosome:Setaria_italica_v2.0:V:3412632:3413630:-1 gene:SETIT_005071mg transcript:KQL03613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FWQMEVATLRQQVQNLQNNNRKLMGEELSDLTIRDLQFLQSQIEISLQSVRKKKEQLLAEEIMQLNKKGIVLQKENVELKKEVSIAHQHKIELQKLSGESTSSGDGDQESGSSDKVPSRSTGHDASEHINLALSIEGHPDE >KQL05479 pep chromosome:Setaria_italica_v2.0:V:24986198:24987493:1 gene:SETIT_002716mg transcript:KQL05479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGARELKVLGAWASPFVLRVRVALHLKGLDYEYVEEDLTNKSELLLASNPVHEKVPVLLHAGKPVCESMLIVEYLDEAFAGASPPLLPADPHGRAAARFWAAYVDGELLSSWLAIHAAGTEDDKAAAVARTLAAVDALEGALADAEQRSGCEGWFGGEGVGFVDLALGGFVPAIQASEPTTGLRIVDPARTPRLAAWVDRFRALHAARAAMPPIDRLVEMGKKRLTEAHAAAAGAPEASK >KQL05205 pep chromosome:Setaria_italica_v2.0:V:17838325:17841158:1 gene:SETIT_002349mg transcript:KQL05205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNFRSRGGAPPPPPPPPALQAHLHGVRPPYYHRYPGWPPGTAPVPPPLGVPASVERHRTVAVHAGVNIKGDSLRLEPDDDGRGLLLAFSFDADAPGSITVYFFAQEDEELILKATKENLLKPVTTAFDKGHDQEFKQPSGTGIDISQFEESELTKVGEGGIFPVAFKVDVAVSNNQELEGVHEDEASKCLVKFAILAKKDNAEYGVRIVQQMLWVNGTRYVLQEIYGIGNTADENNHEDESGKECVICLSEPRDTTVLPCRHMCLCWECAQLLRLQSNKCPICRQPVGGLLEIKVDTESGGHQKELSS >KQL06748 pep chromosome:Setaria_italica_v2.0:V:35394351:35398613:1 gene:SETIT_000607mg transcript:KQL06748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAAAGDGVRPLGAPPAAEHKVNLSARRPFVEALRTGLAETLFPDDPFRGFGSRPPAARAWGGLKYFVPALEWAPRYSLDKFKYDLLAGVTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLLYAVFGSSNNLAVGTVAAASLLLASIIEAEVPPEENPQLYLQLFYTAAFFTGVIQTALGVFRLGLIVDFLSRSTITGFMGGTAAIIILQQLKGMLGMKHFTPKTDLISVVRAIFHYRHEWKWQSAVLGICFLLFLLSSKHLRKKKPNLFWVSAIAPFMVVIIGGIFAFLVKGNEHGIPIVGDLKKGINPLSISQLTFTDKHVNTAVKAGFLSGILALAEGIAVGRSLALIKNEQIDGNKEMIAFGIMNIAGSCTSCYLTTGPFSKSAVNFHAGCRTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSAIIVVAMIGLIKVKEFSHLYRVDKFDFCICMVAFIGVVFFTMVIGLGASVGLSVIRALLHVARPNTCKLGSIAGGDIFRDVRHYPHARNIPNVLVLQLGSPIYFVNAGYLRERILRWVEDEENACKVDGQDLQCVVLDLGGVSSIDNTGIGMLLEVHQNLDRRGIRVALTNPKLQVTEKLVLSGYIKDKIGEEWVFLTVKDAVTACRYALQRSRSKDDGEV >KQL06747 pep chromosome:Setaria_italica_v2.0:V:35394351:35398613:1 gene:SETIT_000607mg transcript:KQL06747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAAAGDGVRPLGAPPAAEHKVNLSARRPFVEALRTGLAETLFPDDPFRGFGSRPPAARAWGGLKYFVPALEWAPRYSLDKFKYDLLAGVTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLLYAVFGSSNNLAVGTVAAASLLLASIIEAEVPPEENPQLYLQLFYTAAFFTGVIQTALGVFRLGLIVDFLSRSTITGFMGGTAAIIILQQLKGMLGMKHFTPKTDLISVVRAIFHYRHEWKWQSAVLGICFLLFLLSSKHLRKKKPNLFWVSAIAPFMVVIIGGIFAFLVKGNEHGIPIVGDLKKGINPLSISQLTFTDKHVNTAVKAGFLSGILALAEGIAVGRSLALIKNEQIDGNKEMIAFGIMNIAGSCTSCYLTTGPFSKSAVNFHAGCRTPMSNVVMSVCIMLVLLFLAPLFKYTPLVALSAIIVVAMIGLIKVKEFSHLYRVDKFDFCICMVAFIGVVFFTMVIGLGASVGLSVIRALLHVARPNTCKLGSIAGGDIFRDVRHYPHARNIPNVLVLQLGSPIYFVNAGYLRERILRWVEDEENACKVDGQDLQCVVLDLGGVSSIDNTGIGMLLEVHQNLDRRGIRVTEKLVLSGYIKDKIGEEWVFLTVKDAVTACRYALQRSRSKDDGEV >KQL04330 pep chromosome:Setaria_italica_v2.0:V:8249000:8250352:-1 gene:SETIT_002029mg transcript:KQL04330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTPSELEHKAKEAFFDDDFALAAALYTQAIAAGAPAAALYADRAQAYIKMGDFAAAAADAARAAELDPAMPRAHLRRAHACVKLEQYDAARAAVEAGAALAPNDARFAQLMKEIDSKAPKPMETDASPAAAATPVPATAPAEKPKYRHDYYNSAAEVVVTVFAKGVAPEHVAVEFGEQLLSVSVEVPGEVPYHLQPRLFGKIIPDKCRFTVLSTKIEVRLAKAEPGTTWTSLEFTDKPRFIAAAPPSGSSPAAAGGARRPSYPSSKGRKDWDKIEAEVKKAEKDEKLDGDAAANRFFQDIFSNADEDMRRAMTKSFQESNGTVLSTNWKDVGSKKIEPSPPEGMDLRKWEY >KQL05814 pep chromosome:Setaria_italica_v2.0:V:28091911:28099093:-1 gene:SETIT_000044mg transcript:KQL05814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMADIQKVVSMRRGDSGSMWRRGDDVFSRSSRDEDDEEALRWAALEKLPTYDRVRRAIVPLGLGGDGAEAAGGGKGFVDVDVLSLGPQQRRALLERLVRVADEDNERFLLKLKDRVDRVGIDMPTIEVRFHNLEAEAEVRVGSSGLPTLLNSVVNTVEEVANALHLLPSRKQTMPILHDVSGIIKPRRLTLLLGPPGSGKTTFLLALAGRLDKDLKTKGKVTYNGHEMTEFVPERTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRLDMLTELSRREKAANIKPDADIDAFMKASAMGGQDANVVTDYIMKILGLDICADTMVGDEMLRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTFQIVTSLRQSIHILGGTAVISLLQPAPETYNLFDDIILLSDGQVVYQGPREDVLEFFESMGFRCPERKGVADFLQEVTSKKDQKQYWARRDEPYRFVPVTKFATAFKSFRTGRAIANELAVPFDKSKSHPAALTTMRYGVSGKELLKANIDREILLMKRNSFVYIFRTFQLMVVSIIAMTVFFRTKMKHDSVADGALYMSALFFGVLMIMFNGFSEMALIVFKLPVFFKQRDLLFFPAWAYTIPSWILKIPITFIEVGGYVFLTYYVIGFDPNVGRFFKHYLLLLAINQMSASIFRFVGGVARSMTIANVFASFMLLVFMVLGGFILVRDKIKKWWIWGYWISPMMYAQNAISVNEMLGHSWDKILDSAASNETLGVQTLKFRRVFPEPKWYWIGFGAMIGYILLFNGLFTLALTYLKPFGKSRPSVSEEELKEKHASMTGGVPDDNHLASESSHLSTGINTETDSALTEKGMILPFVPLSLTFDNIRYSVDMPQEMKAQGVIEDRLVLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDISISGYPKKQETFARISGYCEQNDIHSPQVTVYESLLFSAWLRLPGDVDLDKRKIFIEEVMELVELKQLRDALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVNTGRTVVCTIHQPSIDIFEAFDDLFLMKRGGEEIYAGPLGHHSSELIKYFEGIQGVSKIKEGYNPATWMLEVTTASQEHVLGVDFSDIYKNSELYQRNKALIKELSQPAPGSSDLYFPSKYPRSSITQCMACLWKQNLSYWRNPPYNTIRFFFTTVIALLLGTIFWDLGSKVLTTQDLTNAMGSMYAAVLFIGIMNCTSVQPMVAVERSVFYRERAAGMYSAFPYAFGQIVIELPYTLAQDIVYGLIVYSMIGFEWTVAKFFWYLFFAYFTLLYFTFYGMMAIGITPNAHIGAIVSSAFYAIWNLFSGFIIPRPRMPIWWRWYCWVCPVAWSLYGLVVSQFGDVMTVMQDSDGRTVKAYIEDTYGFKHSWVGWVGAVVVGFAVLFGALFGFAIMKLNFQKR >KQL04535 pep chromosome:Setaria_italica_v2.0:V:9595725:9598116:1 gene:SETIT_002067mg transcript:KQL04535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLAGRRAGGCVTLVGGIGNACVDHAPAPPPTASRQVSRTASWRPRQNRSGGSVRGRPAAALAAGGNLGRRRRRRVGGGDLPSLSSMDLGHGAQGGEGDSSGSGGQLRKKRMRRKSTGPDSIAETIKWWKEQNQKLQDESGSRKAPAKGSKKGCMAGKGGPENGNCPYRGVRQRTWGKWVAEIREPNRGKRLWLGSFPTAVEAAHAYDEAAKAMYGPKARVNFPENSADANSGCTSALSLLASSVPAAALHGFNEKDEVESVETEVHEVKAEANDDLGSIHVECKSVEVLQSEEIVLQKEGNVSYDYFNVEEVVEMIIIELNADKKIEVHEECLGGDDGFSLFAY >KQL06279 pep chromosome:Setaria_italica_v2.0:V:32340500:32343801:1 gene:SETIT_003002mg transcript:KQL06279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRAATVHARLLLSRSYVSQAKAASRWPLVSRKPSLLGCGRPFYQMDTRGQDENKVSTSRGCHTSPESQELAKKSCVPCNSKDLHPMSEDSAKKLLEQVNGWELTTEGGILKLHRAWKVKNFAKGLEFFQLVAAIAEEEGHHPDLHLVGWNNVKIDVWTHSVRGLTDNDFILAAKINHLNLEGILSKRANVQK >KQL07377 pep chromosome:Setaria_italica_v2.0:V:39407089:39408263:-1 gene:SETIT_004150mg transcript:KQL07377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAFSLPIIHRNSLESPLRDPTETTFDLYKEEIQLASSHVRADALANEKMIVPTHFRRGLYLVPLRIGGSLDRISSRYLMFDTCSDLSWTQCDSCDRCSRGHFPPYYPSKSSTSRSVSCDDPLCEHALDASCDESGSGQCEFFREYVDGSAAKGYLVSAIFHFSLEGNVDYHLEPEGTRILALDTSSLSFLAQVRVDKFSYCVPAPARHLVLKPGSLKRIPFWNHKHKYHVYLKRVTYHHGNRLSQQQPVPIFPADGAEGSTFEMQDVEEVSVALGFVGGAEMELFGDSLFFEFNNSEWICLGFSPSNVTILGIYAQRNTNMGLDLFKKGDIN >KQL06580 pep chromosome:Setaria_italica_v2.0:V:34422812:34424881:-1 gene:SETIT_003292mg transcript:KQL06580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDTYPRKISIKAETTKFLYGRPTSTLMLQDQDLDDTLDKGGKRGVRDAKDIKNINHNQEGVTLHRRRNAGKGGSRNISSMLFEILLPHRSCDYSTKSSYKLPISFEPNNVAFSVFLTSKFQPCVQERTRVLKQILEY >KQL04176 pep chromosome:Setaria_italica_v2.0:V:6977398:6979912:-1 gene:SETIT_002512mg transcript:KQL04176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHLALLVDRLLTESTLEAAIGGGKQMVDLHPEAVAVEYCHRAVGGGGGGSASKVVECRICQEEDWDSSMEAPCACCGSLKYAHRKCIQRWCNEKGDTICEICLQQFRPGYTAPQQLFHYGSIPMNFRGNWEIARQDLDDAQIITMVPTERDFMDSYEDYLPIRTRSSTLCCRTVAIIFVALLVLRHTLPLMIGANGEYSLTMFSLLVLRTAGILFPILVMVRAMAGFHRRRWLQESRETYTSSSESEEEDEEEEEDDAVTNSAAPNYSQPRLIPVY >KQL08088 pep chromosome:Setaria_italica_v2.0:V:43512250:43515495:-1 gene:SETIT_001624mg transcript:KQL08088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALKFSGILSNDHLENPDFYDWNIVVVRYCDGASFAGDAEGEDLDGTKLFFRGLRIWEAVIDELMGKGMDIAQQALLTGCSAGSLAALLHCDNFRGRFPQEVAVKCLSDAGFFIDVKDLSGERSMRSLINGVVHLQNVREVLPKDCLQNKDPTECFFASELIKSISTPTFILNSDYDSWQIRNVLAPSGSYPQQAWSSCKADIRNCSSTQIDVLHGFKNKLVSEMKVAEDNKNWGLFIDSCFTHCQTPFHITWHSPISPRLGDKTIAETVGDWYFGRRQDVKQIDCEYPCNPTCSSRLPTA >KQL08089 pep chromosome:Setaria_italica_v2.0:V:43513532:43515720:-1 gene:SETIT_001624mg transcript:KQL08089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELLSPLLPQRRRGLAVAAAAPLLLLLLVVVVFSRSRLTVSSPERVDLTLVAGAREKGAVCLDGSPPGYHLRRGFGSGAHNWLIYLQGGAWCNTTQSCSERKRSSLGSSKFMRALKFSGILSNDHLENPDFYDWNIVVVRYCDGASFAGDAEGEDLDGTKLFFRGLRIWEAVIDELMGKGMDIAQQALLTGCSAGSLAALLHCDNFRGRFPQEVAVKCLSDAGFFIDVKDLSGERSMRSLINGVVHLQNVREVLPKDCLQNKDPTECFFASELIKSISTPTFILNSDYDSWQIRNVLAPSGSYPQQAWSSCKADIRNCSSTQIDVLHGFHSLCCRIQEQISQ >KQL08090 pep chromosome:Setaria_italica_v2.0:V:43513187:43515720:-1 gene:SETIT_001624mg transcript:KQL08090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELLSPLLPQRRRGLAVAAAAPLLLLLLVVVVFSRSRLTVSSPERVDLTLVAGAREKGAVCLDGSPPGYHLRRGFGSGAHNWLIYLQGGAWCNTTQSCSERKRSSLGSSKFMRALKFSGILSNDHLENPDFYDWNIVVVRYCDGASFAGDAEGEDLDGTKLFFRGLRIWEAVIDELMGKGMDIAQQALLTGCSAGSLAALLHCDNFRGRFPQEVAVKCLSDAGFFIDVKDLSGERSMRSLINGVVHLQNVREVLPKDCLQNKDPTECFFASELIKSISTPTFILNSDYDSWQIRNVLAPSGSYPQQAWSSCKADIRNCSSTQIDVLHGFKNKLVSEMKVAEDNKNWGLFIDSCFTHCQTPFHITWHSPISPRLGDKTIAETVGDWYFGRRQDVKQIDCEYPCNPTCSSRLPTA >KQL08091 pep chromosome:Setaria_italica_v2.0:V:43512250:43515735:-1 gene:SETIT_001624mg transcript:KQL08091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELLSPLLPQRRRGLAVAAAAPLLLLLLVVVVFSRSRLTVSSPERVDLTLVAGAREKGAVCLDGSPPGYHLRRGFGSGAHNWLIYLQDGTKLFFRGLRIWEAVIDELMGKGMDIAQQALLTGCSAGSLAALLHCDNFRGRFPQEVAVKCLSDAGFFIDVKDLSGERSMRSLINGVVHLQNVREVLPKDCLQNKDPTECFFASELIKSISTPTFILNSDYDSWQIRNVLAPSGSYPQQAWSSCKADIRNCSSTQIDVLHGFKNKLVSEMKVAEDNKNWGLFIDSCFTHCQTPFHITWHSPISPRLGDKTIAETVGDWYFGRRQDVKQIDCEYPCNPTCSSRLPTA >KQL03555 pep chromosome:Setaria_italica_v2.0:V:3125363:3125628:-1 gene:SETIT_004929mg transcript:KQL03555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPTLELWRDRGMDLQLLEDDTRSALSLPVSHHAALSVLPALDGADHISALPDALLRNIVSRLPVNDAACTAALSWRWRG >KQL08814 pep chromosome:Setaria_italica_v2.0:V:47201794:47205256:1 gene:SETIT_000191mg transcript:KQL08814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQRAASNAYSWWWASHIRTKQSKWLDNHLQDMEHRVKCMLLLLGEEADSFSKRAEMYYKRRPEVITQVEEVYRAYRALADRYDIMSGELHKANHTIATAFPDQVQYAMLEEEDDNIPKAFTPVDPRKIHKSTVDGLMKKKKGEHPGSTGGGAKNSTSAPIDKENAGEEISRLQKAILVMQTEKEFIKSSYESGIAKYWDLEKEINDMQEQVCHFQDKFDESVVIEDDEARALMTATALKSCEDTIMKLQEQRKASAGQAMGESERVKVFREKLKAIMNKHGKSLPDPLDFSDKNARKNHGAEMEDAYHAKQGGIEMQAVIDKIKEHFERDCNISMAEVTERIDELVNKVVDLELMVSSQTSQIDRLCQENSELENSLQNLDDENTVLASGSSELTEKLRQVEEELTRVQALESSFHKDESTIRSNFVEAISRFSDISELLLSPVCEHHTGSVSAQTSHEAPVVESTEPSSNEYCDMKEVGLQIAEPHADNAGPASGVVQPDDPDDVLVAGTDDSSGSTKSSEQQLDAVQDKSSRERGSLVRLRHISSDNLGGYDEQEEMSKVGSSSADGITDMMKLQERLSDSLEDKEKALLGEYTSLLEEYKDAKRRLVEMEKKNQECLNEIRSLREEIASSAGEGGSEGSCKRSSSSCSRRGHRRTPSYSSVHQRRPSVSSISRLIRMGSTIQESGEPAGAEQQGGINLEDLRLPAVAEAENASPLEERFRRDIDTLLDENLEFWMKFSSSLQRVQEFQSKHEGLQLKLLNNKEEGKQDGATEKQLRALKTELQVWSEQNAMLRGELQCRFTSLCDIQEEITAALDMEADQFTSYQAAKFQGEVLNMQQENNRVSDELQAGLDHVKGLQAEVEQALGKLHIRSVSLPAQMAGADDSDPGGSGAGSGSGSAHGGGGGNLLGRPPSNKSKVPLQSFLFPAKNKKTSLLARVTPVLQKPQAEMKFLAKLPR >KQL07681 pep chromosome:Setaria_italica_v2.0:V:41198803:41199326:1 gene:SETIT_003557mg transcript:KQL07681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSVETAAPAALVVGGGGYGCGGWETPKREECRIPATLPCPAAPRKAVPDFGKRRSPPKNGYFQPPDLEALFALAPRRQASSCA >KQL05163 pep chromosome:Setaria_italica_v2.0:V:16824981:16826733:1 gene:SETIT_004799mg transcript:KQL05163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSSGNRSLLRVEGEMASFGCEYKRRRWYVRYVGESNVAGLVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRRWVPPPPNPPRMTDEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYDPMAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYVTTRLLCFMKVEGRTCDWEYFCGRYDLLLKLGNTSEPWKLRKQQEIKEKIRKKYDVSIPNDDLLWGKIYQDMVHETGVKPKGLYARETIIKYWRQNRSKEKIGGSCRRRGSWRNKG >KQL03398 pep chromosome:Setaria_italica_v2.0:V:2157941:2160660:-1 gene:SETIT_003154mg transcript:KQL03398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALTRLHRSLAGGDEEELAEDSILGDTEDLCSLSPLQRIYAFAACLVAGLALMILSFIVFARPIKFAVMFTFGNILAVGSTAFVMGPQKQLRMMFDPVRLYATAIYVGCVVLALIFALWIHDKLLTLIAIICEICALFWYSLSYIPFARRMVSDLMVKFCDTEL >KQL05337 pep chromosome:Setaria_italica_v2.0:V:22791552:22794018:1 gene:SETIT_000401mg transcript:KQL05337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPNSNDSSMVHDNHMIHGTDTMFEDCEMMLGSNDMVDGCQMIIGDKMDHGGQMILDYKVVDIHDNKMAPDSEVFPGSKMDCGYEIIHYDQTVFHCSEMAQDSEMANGNETVEVTPQTSSRRRRKKSMVWEHFTTEESEGCTKACCNHCKGFFAYSSGSKMAGTSHLKRHITMGHCPVIKGHEPSAGGRDNGGQGTLEKPSKRRRTCAGYANAPFNPDLSSSYLAKMIILHDYPLHIVQQPTFISFIEGLQPRFKVVNTDVMEAEVYAIYLKERDNLLKEVGNIPGRINLTIGWWTTSQTLGYVSIAGQYIDSDWKLHRRMLNFVMAPWSCSGNAVSEAISRSLSQWNMTDKLFTITGDYESSHDIYSVNLRDDLSKKSIPMLRGQFLVVRCYAHILNAAASDVTASVQSVIYKIRESIKFIKSCTSHEQQFTDIIQQLQIPSNKTLCLDIKAQWNTTYLMLLAALDYKQAFTMLEKFNDNYNQAPSAVDWEEVEVACSYLKLLYDSAHSIMATEDPTANIFFHEAWTIQREISSGTDLQDPISSRIAKDVHERFDKYWKDCNVMLAIAVVMDPRFKMKIVEFSYSKIYGPKGVKYVKVVDDAVHELYKEYVRQPLPLVAAHVEHGANGTLPTDEKKILTIPPSTGDALSDFDIYLSEAALSKIPKSELDQYLEEAPLPRIPEFDILKWWKLNALKYPTLSRMARDVLAIPVSTVGRGSVFSSARSEARMLDDYLSSLHPETLEALFCAKDWLQNSPPAPKPPSSTSAKK >KQL03439 pep chromosome:Setaria_italica_v2.0:V:2390598:2394718:-1 gene:SETIT_000625mg transcript:KQL03439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTATMATAVGAAMVLYFVLSRRLAGEDVSVGGGGGAGKRRRGRAARRPTQPPATWIEAVGTLAETLRFTYSETLGKWPIGDLAFGIKYLMRRQGNLHVASVYAGSNCIELKGPEIMEELIVLRRLIDLCFLFSKKPFPVFRELAGFSQEDVLIEEPKAGILKPAHTILRDECTKSFLVLIRGTHSMKDTLTAATGAVVPFHLSLLNEGGVSKLVLGYAHCGMVAAARWIARSVTPCLREAVRQCPDYQIKIVGHSLGGGTAALLTYILREHTEFSSATCVAFAPASCMTWELAESGKHFVTTIVNGADLVPTVSTASIDDLRSEVTASSWLNDLRDQIQQTRFLNVVYRSATALGTRLQSFSGARERVAGAGAFLHPVSSKTQVVMKQAQNVAQAVARSRSAFSSWSCMGARRRGVGVVTASSKDDTTTETHVTSTVESESFIVDQHGTKTIEELQYTVASVSVHEEADEEDALLSEHETSREHAEEEITEGELWYEFEKDLDRQVEVEARNREEEAAAAKEIMEEESAVLKNAEDRQSFSSDSLERQQFYPPGRIMHMVAMPPTDADPDDPVAADECSVGIYETPRDLYSKIRLSNTMINDHYMPMYKKMMEILIEKFAKDEDNSCTGSTVQRYEGTNELYTS >KQL06888 pep chromosome:Setaria_italica_v2.0:V:36269736:36273432:1 gene:SETIT_000373mg transcript:KQL06888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTKRGGGGGRRGRGRGRGRGAVAENDMDHLETSAPSSPSTTSDREENAIPIPRQSPACYVGPSELSSTLLNPKINHRSDAIFGDQAVEQLKLRHHKPLKFHERYRPYLRDAGLLGLSQICQKMPQLDKALITALVERWRPETHSFHLASGEITITLQDVAMLFALPIDGRPVCSTTDHDYGQVVLDCFGLDARGPAMPGKSFLHYKWLKKNFYELPDEADDMTVERHVRAYILSLLCGVLFPDGTGRMSLIYLPLIADLSRVSTYSWGSAVLAFLYRSLCSVASSHNIKNIGGSLLLLQLWSWERFHVGRPLVRSPCTETGIEQDLPPIGFRWVGARTQSDNATRSLKQYRDELNLQRVDQVKWEPYLFVESSSLPPLCTRDSDLWLTQAPLINFPIVEMYLPERVMRQFSLRQCVPPPFRPTLQSLHRISRRGRERENWEETHHEYIQEWEARRQRIFRDTEQYDPSSYEEYLNWYSGATRRYLVPATSDDAEAGPLPPPGDSLDLQNQAKSPLIRKAVDKLEGMVKKAKRAMTSTADTTTQALVAEFLHGFQDVLQDLSEIDTSPHVDAAELLHEAEQNIDTNQEEQEQEDEELDTVEHASLSLEPMDEENNLSNNVLTEHHSLGVEENCDSAAPATGNYDTATAATELVQPSEDLQQDEHLEDHPEMDQTIFMVEPKCEEDDGSNFVLPSSPPELMLEEQDDSANPAEEQGHLAPQGTESCTVQQSLEVGEAEDQENLNTAEHDGTMVEHTGEENNNCNGVPSSCPASSALVDPIPIEQ >KQL05846 pep chromosome:Setaria_italica_v2.0:V:28399391:28401687:-1 gene:SETIT_002270mg transcript:KQL05846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKDRSSRVSSYESRRRSRASPYLSSSHGRSGCSRRSEESSAAASAVAAKQAAEWEEVRCPVCMDHPHNAVLLVCSSHEKGCRPFMCDTSSRHSNCYDQYCKASKDSSKDSAAECSECQQQVQLSCPLCRGPVSDCIKDYDARMYMNSKVRSCTMESCEFRGAYQELRKHARVEHPTARPMEVDPERQRDWRRMEQQRDIGDLLSMLRSGFSSSIEDDSSGLGATEEGEEDTAERTPASITMVFIVPSGGSIMRYLTERSRALIVVSRWRASSSGGEAEATAPDSVEGNDPMPSADASAGSPHSSSSSSSEEADGDPAQ >KQL07161 pep chromosome:Setaria_italica_v2.0:V:37994360:37995388:1 gene:SETIT_002855mg transcript:KQL07161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRGCGCCAMQSTGLRAAPGRPGLPSQYTSLPCPPSQHLPNPHTLTRRQRGHSTREVAMDMGGGHDMGGMTSPPMHMRYTHMTFFWGKDSEILFTGWPGANGGMYALALVAVFALAFVLEFMGSRRLDSLLPAAAAGTGNVGKRAAAGAARAAVYAVRVGGAYLLMLALMSFNGGVLLVAVAGHAAGFLAFKAGLFGDGRAQVEDRCNKEEVAPAACC >KQL08564 pep chromosome:Setaria_italica_v2.0:V:46075487:46076419:-1 gene:SETIT_003802mg transcript:KQL08564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQSSGVCYGMIANNLPPPGEVVQLYKSSGIRNMRIYFPDSHVMEALSGSGIGLILGVVNQDIVGLAGCQSCAASWVQTNVRPYYPAVNILYIAVGNEVSDGAAQSILPAMRNLQAALAAAGLAAIKVSTCVRLDVVTNTFPPSAGVFAQPYMVDIAQFLAGAGASLLANVYPYFAYRGSPGDISLNYALFLPGTTVRDGGNGLVYTNLFDAMVDAVVAALEKAGAASVRVVVSESGWPSAGGTAASVENARTYVQNLIDHAAQGTPKRPGALETFVFAMFNENQKPGELTEQNFGLFYPNKSPVYPIIFR >KQL03920 pep chromosome:Setaria_italica_v2.0:V:5354656:5356140:1 gene:SETIT_004322mg transcript:KQL03920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKLRNRRVVFVGDSIGRNQWESLLCMLSTAVHNKSSIYEVNGSPITKHMGFLIFNFRDYNCTVEYYRSPFIVAPAGAPEIVKYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNNEKTIRGGAYFQEGDEVKMDMTVTDAYRRSIHTLGGDWKTGRSCHLETLPDLTPPESLEEWDDLLKPVNDVLGNNLRPKLSGLDMLNVTQMTAQRKDGHLSVYLSPSGPVPRYKQDCSHWCLPGVPDTWNELLYALVMKRHTKTDQNLSFSGTITPNTG >KQL05553 pep chromosome:Setaria_italica_v2.0:V:25634849:25636099:-1 gene:SETIT_005638mg transcript:KQL05553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREMAARARWRVMPTMEVQQAVTTGGGGTGGYVEFLVRCVEGLLLALGKVWGALWAVLTLWAVLTVAVVMEYTVGKPVRRRASQLVAEIDDVQDACNITRGRLGPVMAAAAAVVAGSGGGGGQ >KQL04671 pep chromosome:Setaria_italica_v2.0:V:10678063:10681644:1 gene:SETIT_001667mg transcript:KQL04671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTGNLGHRDHTIDIPRNDVTSPSASHQDDHSDLDEFHDSRSPPDEIPAVPESSSGTHDVPNSQNASSARRDRGHRQQNPLNSGFWISIELIVNVSQIIAAISVLSVSRNEHPHAPLFVWLLGYTIGCIAILPHLYWRYLHRNRQNLEQELPTQSPSERNVSETNSFVVVSSPHASETVDGANGTGVSRSNLPMASPRFYALVACFKLALDCFFAIWFVVGNVWIFGSRSSAHDAPNLYRICIVFLAFGFIGYALPFILCTMICCCLPCIISILGVHEDLDLNRGATTDTINALVAYKFKSKRVHDGDVGEDCGGVLAAGTDKERTISAEDAICCICLSKFSNNEDLRELPCTHVFHMECIDKWLQINALCPLCKAEIGVSKGVPESGSGGTQDDNRVGNDVESQR >KQL06225 pep chromosome:Setaria_italica_v2.0:V:31849614:31854740:-1 gene:SETIT_000450mg transcript:KQL06225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSNSKVDNEEPVRRCKDRRQLMKQLVRRRPELAAAHIAYLHALRNTGATLRDFAELESALSQQPPVGLAAPASPPPPPPPPPPEPSMTSSMPPSPRPPPPLPFSPITTVRKMEKRDNELPPPSLAFSPPGIRIRKVEKRDDELLPPPLAFSPPRIRTRKMEKRDDELHGDASMDEDEDDDDTDSCSTPLPPPLPPGVAWEDLDPYNSLNFPSPFADRNDREVASQVTMDDDPWVETNLEFDGEEDESVLDNDDGVVNRVQMNPVKSRALGDDNSSMVGWVTKDSDSTSVPWRNQKSLVQIVKEIDEYFLKAAASGSDVVILLDSAGGRPDALEIETKKVTGKNSKSAKVFSTLSWSWSFKSQHANSESSVLKSSDASGYGYHGKTLEKLYDEEQKLYKLVKDEEFARLQYKRHISMLQKLESGDHDKLHAERVRDAIEELQTRIISLEEAVSLACFSISKLRDEELYPQIIELSAGLVHMWRNMYECHQVQNSIAQQGSLLYNGPGGEPTSDSQFQATSQLEIEVSGWHSAFCNLITLQREYISILNQWIKLTDCLPDDDGLMKSSSGIRSLSEELQRALERLPEKVAAEAIKTFMSAIHSIVVQQSEERQLKKKSDNMESKFQTQLEKHSENAMQNSAQPPNKNQYSVSKNEMKLDAFRKQVEEEKARYLTSVKTSRTMTLNNLQTGLPKVFHALMGFSGVCVQAFEGISRCSEVAVSHSGAVSPAICA >KQL05754 pep chromosome:Setaria_italica_v2.0:V:27566829:27569827:-1 gene:SETIT_004279mg transcript:KQL05754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAERNILQHALLATWFLSFLQIQSATAAPAAPVTRRIRCVPHERDALLAFRAGLTDPDSYLSSWQGEDCFRWKGIRCSNRTGHVLELRLLSLEEVSSSIRFRGGQMSSSPLEDLKNLRTLDLRGNNFDGAPIPEFIGSLKSLRYLYISGSKFGGRVPPRLGNLSRLLYLDLNNIFEDSYIYSTDLAWLSRLTTLKYLDLSEVNLSTATDWAHVVNKLPSLVTLNLRYCGLQNVIPSPVDVNLTSLEYLDLNGNEFSSALGPKNLFWDLPSLLHLDMGVCGLQGSIPEEVGNMTSITRLDLSVNNLIGTIPTTFKNLRNLEELRLFGNSINGPVAVLLERLPTENSLQDLTLFENNLSGNLPNQLRHLRNLTTLDLSKNRLSGELPTGISALSKLEELRLGSNNLEGTITENHFAEMASLNNLVLSGNSLSMVFQHGWKPPFKLDIAVLRSCKLGPKFPEWLRSQNSISVLDISNTSIAGLIPHWFWTTFSRTQYLVLSQNQMSGMLSPTMFRKMEAETMDFSENYLAGSLPKLPENLRSLDLSRNNLSGLLPSEIGAPLLKELILLKNSISGRIPQYFCHLENLTFVDLSANKLHGTFPNCECQKLVFLDFGYNQFSGILPTWIGDTLRSLSFFSLRSNLFSGHIPLQLAKMKSLQYLDLACNNLSGTIPQSLADLIGMAVAPQDDDSLSDIVDYGYNIDGVTDVVAYTDSSLVIMKGQQLEFTSGIMYLVNFDLSCNSLTGHIPEEIGKLPALKNLNLSWNHLSGIIPDSIGEVHSLESLDLSHNKFGGELPESLSVLTSLVYLNLSYNNLTGRIPSGNQLQTLNDQASIYIGNPGLCGPPLSKNCSEPGLTPPTPKGRKDTGDTVFFFVAMGSGYAMGLWTIFCLFLFNKNWRIVCFTFSDCLYDQVYEETIAAEIKGLMKRTQQQQLFPWIACEFFATPVAEMSVRPNYS >KQL07781 pep chromosome:Setaria_italica_v2.0:V:41726049:41727434:1 gene:SETIT_005441mg transcript:KQL07781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCINYRQHQFAPPACLRNLKCVQFTVSSVQTGIPDYCR >KQL06179 pep chromosome:Setaria_italica_v2.0:V:31395079:31395591:-1 gene:SETIT_005583mg transcript:KQL06179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQPVSLMKQTDVTSTLAFFSVPTLDDSMSKDVISQPKAR >KQL06407 pep chromosome:Setaria_italica_v2.0:V:33142179:33155361:-1 gene:SETIT_000424mg transcript:KQL06407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMRGALAPLITEAEGEEGRGSTSAGGAGSGVRGAKQYHRRSDALAYGNRYQKAAALVDLAEDGVGIPEDVLNDTRFERAMRFYFVYLRLDWLWSLNLFALILLNFLEKPLWCQKYAPHTCDQRDLYFLGQLPYLSKTESLIYEGITLVILVLDVFYPLSYEGLHLFWKNSMNKLKVLLLFILACDILVFMFSPGPFRIAPYIRVVFLIMTIRELRMCAVTLVGIVGTYLNVLALSLLFLLFASWLAYVTFEDTLQGKTKFTSYGTTLYQMFVLFTTSNNPDVWVPAYKSSRWYALFIVIYVLLGVYFLTNLILAVIYDSFKEQLAKQLAQIDSIRKTILQKAFDLIDTNGQGYLNKEQCISLLDELNKYRSLPKTSREDFELIFTELDQSGDFKVTPEEFADLCNTIAIKFQKEPPPSYLEKYPSFYHSPLCERLKSFVRSRQFEYIVVFVLLVNLIAVIIETTLDIENSSSQKVWQEVEFVFGWIYVVEMALKIFSLGFGAYWMEGQNKFDFVITWTIFIGETLTFIFPSKLPFLSNGEWIRYLLLGRMLRLTRILLQVRRFRAFVATFFTLMSSLLPYLGIVFCILCVYCSIGLQIFGGIVFAGNPKLKETDLFSNDYLLFNLNDYPSGMVTLFNLLVMGNWQVWMESYAHLTGSSWSLVYFVSFYLISVLLLLNLIVAFVLEAFFAEMELEKAGESDMQDSTPQGRNKRRSMRVKTKGTMVDILLHHMLSNELDGSQNTDQ >KQL04061 pep chromosome:Setaria_italica_v2.0:V:6195179:6195616:-1 gene:SETIT_005330mg transcript:KQL04061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSCITRTQTSKTPNMLTGPCLVPRSAHLDSLAWAGLTLPAWVSAKSTRSWQQGKSCPNRARSYAKTS >KQL06679 pep chromosome:Setaria_italica_v2.0:V:34959234:34959842:1 gene:SETIT_005157mg transcript:KQL06679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRARSAGQKPAFNCPELFISDMFEVALAIFIITFPWYCVFYDLPPQFSVKLEPTAGGGLNVSDPASTTAFHAVLHASNRRATERCYGHGEGVVTYAGFTIASGAVPGFCVAGKGNREVPFLLAGIDGVRLPEHLRDRMAAADKVGALELEVQVRLFQGGGVAASGRPSRMWCRARVGGAAQPPEVAMCTVFALQNMFDFDA >KQL03181 pep chromosome:Setaria_italica_v2.0:V:231401:235250:-1 gene:SETIT_000173mg transcript:KQL03181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAALLLLLANAAALLLLLLPAQALNQDGLYLLDAKRALTVPAAALADWNPRDATPCNWTGIDCDTTAAFVTGISLPSLNLAGSFPAALCRIPRLRSIDLSDNYIGPDLDIARCTALVRLDISTNDLVGPLPDALADLPDLLYLNLQSNNFSGPIPDSFARFAKLQSLSLVYNLLGGEVPGFLGAVATLRELNLSYNPFAPGPLPPRLGDLSALRVLWLAGCNLVGAIPPSLGRLTNLTDLDLSTNALTGPIPPEITGLTSAIQIELYNNSLSGPIPPGFGKLQDLRGIDFAMNKLHGAIPEDLFRAPKLETVHLYANALTGPVPESVASAPSLSELRLFANRLNGTLPADLGRTTPLVCIDLSDNAISGEIPPGICDRGELQELLMLDNMLSGRIPDALGRCRSLRRVRLSNNRLAGDVPDAVWGLPHMSLLELNDNQLTGQISPVIAGAANLSKLVLSNNRLTGSIPSDIGSVSKLYELSADGNMLSGPLPSSLGGLPELGRLVLRNNSLSGQLLRGIDSWKKLSELNLADNGFTGSIPPELGDLPVLNYLDLSGNQLTGEVPMQLENLKLNQFNVSDNQLRGPLPPQYATEAYRNSFLGNPELCGEIAGLCPDSTQGRSSKYHSGFAWMMRSIFIFAAVILVAGVAWFYCRYRSFNRSKLMRADRSKWTLTSFHKLSFSEYEILDCLDEDNVIGSGASGKVYKVVLSNGEVVAVKKLWSAAVKNRDAENGGSAADDSFEAEVRTLGKIRHKNIVKLWCCCIHKDCKLLVYEYMPNGSLGDVLHGAKAGLLDWATRYKIALDAAEGLSYLHHDCVPAIVHRDVKSNNILLDAEFSARVADFGVAKVVEGTGRAAKSMSVIAGSCGYIAPEYAYTLRVNEKSDTYSFGVVLLELVTGKPPVDPEFGEKDLVKWVCSTMEQKGVEHVLDSRLDMDFKDEIVRVLNIGLVCTSSLPINRPAMRRVVKMLQEVRVEGRPPRMDKDGKLSPYYYEDASDQGSSV >KQL07284 pep chromosome:Setaria_italica_v2.0:V:38919225:38920593:1 gene:SETIT_003059mg transcript:KQL07284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMCPTCSISQLVERASPSLVFVEGGLRTTTARHQRRVEDRRRRTCAMDGQPGNGGGAGGSDAVARAFVEYYYNTFDASRGALAALYGHTSMLSFEGHAVAGAEAIGRKLAQLPFDQCRHSICTVDCQPSPSFPGSILVFVSGNLQLAGEEHQLRFSQMFQLVPNEQGSFFVQNDIFRLNYG >KQL05919 pep chromosome:Setaria_italica_v2.0:V:29075892:29080194:1 gene:SETIT_002099mg transcript:KQL05919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEHTRVSENRSQRHFPNPVLAYVTPWNSKGYDMAKLFSAKLTHISPVWYDLKSYRNMLVLEGEHNFDATWVSELQSNGSLVVPRVVLEAFPAVVLLEKKQKTKAIDLIVSECRDKGYNGIVLESWSRWAAYGVLDDPHLRSMALQFVKELGEALHSISSKSSSHHLELIYVIPAPRMQELNNQDFGPEDLLQLADSVDGFSLMTYDFSAPQNPGPSAPLNWVQHSLTTLLSAKGSSRDHSRMIFLGINFYGNDFLLSRGSGGGAITGRDFVLLLEKYKPSLQWDEKSVEHFFIYSDEGVRHAVFYPTLMSLSVRLDEARNWGTGLSIWEIGQGLDYFFDVL >KQL06105 pep chromosome:Setaria_italica_v2.0:V:30710714:30711984:1 gene:SETIT_004050mg transcript:KQL06105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATAASRSRHPPAAFSAAPAIGADWEMFDSTILMGDVADPATVCAASNGGDPPASSYVEMLIDAELYDDPTVLAADGDLLLIHTVVAIRDPPPFYQDNFFVHRAHPVTPSLRLLPQFGDWAAHARHTGISCLGGEFVVAAFHSSIIRGEEGASWDEVGVLSRFSSSTEQWEVKELPIPFDSDRGLYPLTWTTDKVFSFRGFMCWVDYHHGILYCDVFSDLPELRFVQLPGIEIWDDSHDYSYGRQMPVAYKTVEVSNGVIKFVDVDNGQFGVKKSSGFCITSWTLRTPELEWEKDGDVLQVDDLWSLEEFRDSPLPRWVPEFPVVSKHDSDVVHFVLRGPRSGAKAWVISVGTRPKLLKSYMPYKNDYTNLWKEEEDLDLTSLFFDTPFICSDLYKDHGMSVGQMAGLAATT >KQL08520 pep chromosome:Setaria_italica_v2.0:V:45819423:45819641:1 gene:SETIT_0007642mg transcript:KQL08520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGRAFTWQRAKSPMHPWSRPAPPVLLSRRRRGSGRSRRQRPRTAFPFRGNIGVAQPGARDGVVVSSAAPT >KQL04332 pep chromosome:Setaria_italica_v2.0:V:8257457:8261544:-1 gene:SETIT_000798mg transcript:KQL04332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTTRTQFVYNRRRRRRSGGRRAVEEASDEEEQRQDTSSSSSDDEGDEEEEAEASGEEVDDDDVEEVEEEAAAAEPAAKERPEKRGGRKGPITISLKKVCKVCKRTGHEAGFKGAVYIDCPMKPCFLCKMPGHTTLTCPHRVAMEHGVIPAPRRNTNTSLDYVFQSQVKGKISMVKPKFLIPNQLECGNIKFHQRRVTCLEFHPTKNNVLLSGDKKGLLGIWDYVKLHEKITYDSVHSCILNSMKIDTSNDGILYTASSDGTISCTDLDTGIGSSLLNLNPNGWNGPSSWRMIYGMDLNTDKGLLLVADNFGFLYFLDRRSKTRIGHPTLIHKKGSKVTSLHCNPARPEVLLSSGNDHYARIWDTRKLEANSPLASLAHGRVVNSGYFSPQSGNKILTTCQDNRIRVWDYIFGDLQSPSREIVHSHDFNRHLTPFKAEWDPKDYTETVAVIGRYISENYNGVALHPIDFIDTSSGKLLAEVMDPDITTISPVNKLHPQDDILATGSSRSIFIWKPKIEDEFTEERTKQKAKEYVYGSGSRKKSNGKPDNSSDDDSDGDSGRKNKKAKKTRFTHTAKGKGKSKV >KQL04850 pep chromosome:Setaria_italica_v2.0:V:12419973:12423579:1 gene:SETIT_004180mg transcript:KQL04850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDEDAYEMGVDLDSNDDRPVGEMTESDVEMFKHIFPGRRDPRVHEFSNLTHSDQAFAERRDDELLEAPEAGPSMVIEEGRVFKDLPKIEERPYKILHSYAQRCYRVVCDKKWCPWRICARKQNITGKWKITKVIDPHNCADLELTVRHRQLTSTLIVKRMMGILQEQLNMKVRTIVRTVAEIYGGYVITYGKAWRAKQQAWQMIYGDWESGYEHLLVLFNAIKAIFGRAFWCFTQCVEAFRHCRHVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHVVGPGREVGVISDRHQGILNAVQEQIEGYAPLHHRWCTRHLAENLLQKDGVKDNFELFQVAARQLEDYYFQRKLEQVRSATNAEGTYQVEHRDGTTSDGEIRESRIHVVVLRDFKCTCRKPRQYHFASQDIESMIPHEFSVDTLVRTWSPRFVPFRDPREWPSYNGLKYIADPAYRWNKRGSRKRTRHKMTMDQVSRRTRHGRATSFLTDPEQNEWRPETHSFHLPFGEMIVTLQDCQKMLGLSIRGNAVTGPCVSEGWRARVAAFLGREAGQYSWGSTVLCFLYRQLCKACRWTSTSASVGGCVDWQPYEGEGALAFAVSVMCASDDDLYRMKCALVCFYAVEFHMPNRVARQFGIRQIWPTPAISTRVELHK >KQL03583 pep chromosome:Setaria_italica_v2.0:V:3257845:3258920:1 gene:SETIT_003973mg transcript:KQL03583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSSQVAFSASSTEGKAEGTIGQYKQSSTFMIGGRQWRMHCYPTGIHDPWYPPAVPEGISITLILMNSTQKLQHGFNCLVGHHEIEHVWDHSDRVNISCTVTVLQDDCIEVPPPSVGRSICTTIAAQAPMDVVFDIGDRVIRARRADVAALSHVMEALLYGSGVESKLEIVSSKDADLDGFSLLIKYAYEGSLLEEANLWDTPLHYASKFWDMACMETVRTFLQWALETNSTQLQEKCMSLIALISPHGILTENFVFVCYHHPEVIKKIRVLAAMNVK >KQL04046 pep chromosome:Setaria_italica_v2.0:V:6121562:6138175:1 gene:SETIT_000140mg transcript:KQL04046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVELWCEEQFNRLAIGPPDFSHEESLPPHVGLLVAAFTRINEPDSIYGITLANEITSQIIRYEHEGDWSSALEYYDLLVRSTPKEHLGNLTGSVLTGSSASRAEDKMLNCKMHKGLMRSLQKTGCSHVLDVYCEGLTHLKGSLQQDAEFIDIQYEAAWRAGNWDFSFFIPYSSRSSTRTQSYCLFNENLHSCLRSLENGDSEEFHAKLCQAKMDLVLALSSTSMESTKYIHSTILKLQMLDHVTMVWDLRWSLCHNQTSESLPGTKKFSPVSTIPTGMQLELLDKEWNFIVFQTEHNLDLFEPFLAFRRALLKVLGCEEHLVTHLFQSASALRKGLRFSLAAAALYELKEHCFHQDGGIMPNTYFLSRLEEAKLLRAQGQHDMAISLGKYILQKHSDKKDVSDVYRLVGKWLAETRSSNSGTIIEDYLRPSMDLTEFKKSTDKRYMSRQCRTHFHMAHYTYSLFKSYEERLSSNEWQAALRLRKYKTRELETLIKRLKSSSKGVKTDYSVKIQELRKQLALDREEAQKIQDDRDNFLNLALQGYQRSLVVGGKYDLQVVFRLVSLWFSLFSRDQVVKAMMKTTKEVQSYKFIPLVYQIASRLGSSNTQGSSNFQQIALASLLKKMALDHPYHTIFQLLALANGDRVKDKQRSRSSFVVDMEKKLAAENLLKELSSCHGALICQMKQMVEIYIKLAELETKKEVPVVTATVPVDPSCQYEVGSFPHFSGLADSIMIMNGINAPKVIECFGSDGNKYRQLAKSGNDDLRQDAVMEQFFSLVNTFLQNHRDTSQRRLRIRTYKVVPFTPSAGVVEWVNGTVPLGEYLLGSTRISGAHGRYGTGDWTYLQCREPVMHHFFLEKFLLPADWFQSRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQDTAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIIDGMGVTGVEGVFRRCCEKTLSVMRANKEALLTIIEVFVHDPLYKWALSPLKALQRQKEIEDTDSCLDDSQEAYEGNKDAARAILRVKQKLDGYEDGEMRSVQGQVQQLIQDAVDVDRLCQMFPGWGPWL >KQL06749 pep chromosome:Setaria_italica_v2.0:V:35407759:35408654:1 gene:SETIT_003352mg transcript:KQL06749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGNATALRALVVTTLVSFLLIQGVAGEFVCCFCDCYNACKNDPSRPKDKVACHWECFNKSSCRFSCKNPGNCGRHSSNGDDVGVAIASGGGSLGMVNGTGAGGAGGGSLGMVNGTGEGVTP >KQL04709 pep chromosome:Setaria_italica_v2.0:V:11140049:11143437:-1 gene:SETIT_000717mg transcript:KQL04709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVKQRRMSGEVFWPKIVLKKWLNLKSKDLDFGADEEEDDDDGSDIDDEENCGCDGDGPRRPADGGAQITDESLESAPYKLRRRNSETLRAQYINTKELRVCVGTWNAGGKAPPEDLDISEWLGTGGDAEPADIYVLGFQEVVPLNAGNVFGAEDGRPAQAWESVIRGALRRAQPSRPKYKCYSHPPSPSRFDPPPAAADELLPGTDTETDTDDDAPFGFPARPEQHVAATPRKLSRLNHFSVVDDDPAELNGDEPDELDQEPQQPGRMLLRSLSRADRVGLVWPEQPLDLLPARAMNAASSASFKASKSFRAYKSFRGSSRVADAAAADELPMIPDLDLDLDGALRKKKSRSPFVRIVSKQMVGIFLTVWVRRGLRKCVQNLKVSTVGVGAMGYIGNKGAVSVSMSIYQTMFCFVCCHLAAGEKPGDVHKRNADVQEIHRRTRFPAPGDQQLLRDIHDHDRIFWLGDLNYRLDVSYERAHELISTKSWSKLAETDQLKRELKKGRAFDGWTEGVLEFAPTYKYEVGSGRYTGDEHRGGRRTPAWCDRVLSYGNGLRLLGYRRSELALSDHRPVTATYAAEVEVFCSRKLQKALTLTDAEVEGGQVVPDLDF >KQL07303 pep chromosome:Setaria_italica_v2.0:V:39010257:39015670:-1 gene:SETIT_000897mg transcript:KQL07303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSKLKLLVLPLLLLLPLLFLFAGPPRVLRGLLEAPLRAPVLPGDLLPLLPWPVAQPLLRRLALRGPADLLPSFVGAARAPEDGDAGSTAEWKGACFYENRAWVEFRNGTNGGLGGGVVHVETTKAHSWTCIDLYVFATPYRVTWDYYFLGREHTLDFKEWESEAEYEYVKRNGVSIFLMPSGTIGTLRALWEVFPLFTNTAWGENANLNFLKKHMGATFEERPKPWVSELNTDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSDGKLWVGESGNENEKGEDVIAILPWEEWWEFEVTKDDSNPQIALLPLHPDLRAKFNETAAWNYAKNMSGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTVWTKLQPEYAANLWKEALNKRLGTKGLDLPEIIVESERRGITFDKLLTVPEKDNWVYEDGQSASCIAFVLMMYKEAGLFGPITNSVEVTEFTIKDAYTLNFFEDNSTRLPEWCNKGDSVKLPFCQIKGRYRMELPGYNTMKPYAHMNERCPSLPPDYKRTKGC >KQL07304 pep chromosome:Setaria_italica_v2.0:V:39010257:39016836:-1 gene:SETIT_000897mg transcript:KQL07304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPNRPAAAAALLLVVAAAALLLSTSSSEDGYLGRLVTPWGASLTALPFSPTDVLPLLPRGVAMAALRALRGVSDIFPVFVGAALAGAPGAAPGSDSGGEVRWKGACFYENEAWLVLHNESGSKYGGGTLHIKTTKAHSWTCIDLYVFATPYRVTWDYYFLGREHTLDFKEWESEAEYEYVKRNGVSIFLMPSGTIGTLRALWEVFPLFTNTAWGENANLNFLKKHMGATFEERPKPWVSELNTDDIHSGDFLVLSKIRGRWGGFETLEKWVTGAYAGHTAVCLRDSDGKLWVGESGNENEKGEDVIAILPWEEWWEFEVTKDDSNPQIALLPLHPDLRAKFNETAAWNYAKNMSGKPYGYHNMIFSWIDTISDNYPPPLDAHVVASVMTVWTKLQPEYAANLWKEALNKRLGTKGLDLPEIIVESERRGITFDKLLTVPEKDNWVYEDGQSASCIAFVLMMYKEAGLFGPITNSVEVTEFTIKDAYTLNFFEDNSTRLPEWCNKGDSVKLPFCQIKGRYRMELPGYNTMKPYAHMNERCPSLPPDYKRTKGC >KQL07673 pep chromosome:Setaria_italica_v2.0:V:41119180:41120808:1 gene:SETIT_003207mg transcript:KQL07673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGVLEVHLVDAKGLYGNDFLGKLDPFVIVQYRSQERKSSVARDQGRNPRWNEVFKFQINSAASNAQHKLILRILDHDHFSSDDSLGEATIDVTGIISLGAERGTYTQSAAKHSVVLADNTFHGEIKVGFTFTATQVQEDGEEIGGWRYSSFNQ >KQL08546 pep chromosome:Setaria_italica_v2.0:V:45923157:45924144:-1 gene:SETIT_002980mg transcript:KQL08546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGGSSYLAPMPMTGRRSFAYHKLKKLPPAPAPPTATTLEHQDQQQQPPPPSAAAIQESYQSYYLALGAAVARARRRRQRPRRVRPRLRISGLARALRRGAAAAGARVRASVAKVARRLREGRPYIGDLFAGNYMFLQVAPSPTMVAGVDDKQRGFAPFTQYYYAKVGAKSIMPPPPAAGRALQVHQPAAAARVMYKV >KQL05852 pep chromosome:Setaria_italica_v2.0:V:28433365:28435040:-1 gene:SETIT_002516mg transcript:KQL05852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLVTANFTEFQLATVITFLIHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTSAYQNRCVLRLILYHVCVNLPVMIFSYPAFKFMGLRSSLPLPHWTVVVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRKAKAVEEKEGKNL >KQL05853 pep chromosome:Setaria_italica_v2.0:V:28433365:28435177:-1 gene:SETIT_002516mg transcript:KQL05853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVSALESAWQLVTANFTEFQLATVITFLIHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTSAYQNRCVLRLILYHVCVNLPVMIFSYPAFKFMGLRSSLPLPHWTVVVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRKAKAVEEKEGKNL >KQL05854 pep chromosome:Setaria_italica_v2.0:V:28433006:28435508:-1 gene:SETIT_002516mg transcript:KQL05854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVSALESAWQLVTANFTEFQLATVITFLIHETVFFLSGLPSLLFERFGLFAKYKIQKKSNTSAYQNRCVLRLILYHVCVNLPVMIFSYPAFKFMGLRSSLPLPHWTVVVSQVLFYFVLEDFIFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWLWMVLRVLETVEAHSGYHFPWSPSNFLPLYARTSMTTITVCCTPSQGTMPRLLFTWTGCLGRTRITARQRPLRRRKGRTCKLRELLQRW >KQL04971 pep chromosome:Setaria_italica_v2.0:V:13546817:13550280:1 gene:SETIT_001550mg transcript:KQL04971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSLVRRAAAAASSRLLYARAFASGGGEKAKRVLVPVAAGTEPIEAAATADVLNRAGARVTIATTDPAGDDGLVVEAACGVKLVADGRVADLEGEAFDLIALPGGMPGSVNLRDCKALEKMVKNHAENGGLYGAICAAPAVTLAYWGMLKGLKATCYPSFMEKFTAEVIPVNSRVVVDRNAVTSQGPGTAIEFALALVEQLYGKEKMEEVAGPLYVRPQHGVEYTIEELNSVEWKCSGTPQVLVPVANGSEEMEAINLIDVLRRAGADVTVASVEEKLQIVTRRHKFNLIADMMLDEATEMQFDLIALPGGLQGAQKFASTKKLVDLLKKQAESNKPYGAICASPAHVLEPHGLLKGKKATAFPPMSHLLTDQSACEHRVVVDGNLITSRAPGTATEFSLAIVEKLFGRDKAVSIAKELIFM >KQL05568 pep chromosome:Setaria_italica_v2.0:V:25863155:25865305:1 gene:SETIT_003239mg transcript:KQL05568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREQAATADPRPGRRRQLRKLDPAPWSPNPMTAGPCGAETAAVGRAGEKGARTEKWCGAPTKVTAVAREAGSGPDLAMAAPRGAGTTVPGKQTRRDAEWRRAAAGQRLGCSAGGSGEVVRGAGADGGTPRSGGRRGAAAGWRTARVTS >KQL06790 pep chromosome:Setaria_italica_v2.0:V:35600974:35604070:1 gene:SETIT_005032mg transcript:KQL06790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILSHHDFMSAIFSQVTQSGNSLQFVPNLASALASSATVILKNAEGKTWLVELEEIDGRVFLTSGWSKFVEGNCLGQGEFLVFEYDGNIHFRVSVFGANAVEKAVWPSASGAPPTGNLGGGEQPCDISPSSKRRHSGDELTETVKSLMRRHAQVDILDHTQGDEHISAQDTICFLDPHEVGCSKDELETSLSQKEPMEDDKAKAIVEVMRTLHVDKLTVELFCATLCLYKWKVEAAAEDINICRGKPQILEQSLKQKLVLQFDFVKSQLRHFFPPDVDSERIKKNNLEGPNLSNQPLQCDLTVAPVKRRLVDEHKSCDLSHQHKRKTVKLQRGSPQPQTPRRSPRLAHLNNTCNNTNEVLKERAEGLKPPQAAKDQVKDRVHKAHSLHEKPDNSLKAVREETTGSLSQDLRKLDPPQCEVGLSKENEHDQGETRKFLDHSNDRKNSEEQMEINGVGTSELFMSTDCIESSPNNSGLTAYSRINELSFTWKHSQHANPIEKILLDIQRGNFVNTITHIQKVIQDDPSNVLSADVIGAVVQIGLLKCDLCLQDRNARKIVNALLEYAKKVREKHNFSIEMRKEEFSAKLQDLLKWQLKELETTYTSLESDYKKATTDSTISFSTLEEHRKKLHAIKDGMKDSQQALMIREDEIQKLAHKVAEHETICQKSLMEKVRVKTALNSYQKTLGDVKEQLASTEPGFVDVDALVKVEMDNMSTEIQLSKGILLNINFEKE >KQL03854 pep chromosome:Setaria_italica_v2.0:V:5070589:5070983:-1 gene:SETIT_005296mg transcript:KQL03854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTQGKGKICTEPQLVIQDEILNCRAEPTNKSHKIRNLLSKP >KQL04831 pep chromosome:Setaria_italica_v2.0:V:12155783:12156323:1 gene:SETIT_0011301mg transcript:KQL04831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WVAEEDHIVNFPTVRLKRGMPIRVTTKDGSH >KQL07412 pep chromosome:Setaria_italica_v2.0:V:39619425:39620310:-1 gene:SETIT_002742mg transcript:KQL07412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESCHDVEVPGKPTEVGTALLETATGTIQGFAPVNQIHQHLCAFHFYADDMSRQVEAHHFCAHLNEDVRQCLVFDGPGAGARLIGVEYIVSEPVFLTLPDTEKPLWHTHEFEVKGGVLFMPGVPGVVERRDLEKVCRTYGKTVHFWQVDRGDALPLGLPQIMMALTREGQLRQDLADCVEKKFGVSFQKERENRAYMSGLEHGIHPLANAAGKGLKTEIREVDIPATTTAGAARVFT >KQL05662 pep chromosome:Setaria_italica_v2.0:V:26878400:26881917:1 gene:SETIT_004661mg transcript:KQL05662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGPAAALLRRLAPRVSGGGCGGATHRRLPPPIAPSLLARFSSTPTTSSSSPPPPSSAAGRDEEAEDEVLPDPSNGDAGARLSISVDRSGLYNPPEHSHEPSSDSELVKHLKSIIKFRSGPISVAEYMEEVLTNPQSGFYINRDVFGEGGDFITSPEVSQMFGEMIGVWAMCLWEQMGQPEKVNLIELGPGRGTLLADLLRGSAKFVNFTKALNINLVECSPTLQKIQYDTLKCEDEPVDDGKRTVSKLCGAPVCWHASLEQVPSGLPTIIIAHEFYDALPIHQFQKASRGWCEKMVDLAEDSSFRFVLSPQPTASLIYLSKRCGWASSEELEKVEHIEVCPKAMELTEQIADRISSDGGGALIIDYGKNGIVSDSLQAIRKHKFVHILDDPGSADLSAYVDFASIRHSAQEASDDISVHGPITQSQFLGSLGINFRVEALLQNCTEEQAESLRTGYWRLVGDGEAPFWEGPQGQTPIGMGTRYLAMAIVNKKQGTPVPFE >KQL07848 pep chromosome:Setaria_italica_v2.0:V:42134088:42135333:1 gene:SETIT_003047mg transcript:KQL07848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFQHQHHHHHRSVLAAGPPEVAVRPWSKAEDKVFESALVMWPEGAPDRWALVAAQLPGRTPREAWEHYEALVADVDLIERGAVDVPTSWDDDDDAGGPTRRPGANRARREPRRTGIAWTEEEHRLFLQGLERYGRGDWRNISRFSVRTRTPAQVASHAQKYFNRRLNPASRDSKRKSIHDITTP >KQL04122 pep chromosome:Setaria_italica_v2.0:V:6637084:6641426:-1 gene:SETIT_005606mg transcript:KQL04122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCCWRTAASRPLRRPRYAVSPQSSSLTSHQFTTIGGPFRAPHPSPPSSSALLRY >KQL08646 pep chromosome:Setaria_italica_v2.0:V:46465134:46466143:1 gene:SETIT_002840mg transcript:KQL08646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNGLSITPCLHLPGTAAAVRLVYWGGQARLLADDGARVTAGDVAAELPAPATDHAVCPADAFFVGLPIPVMSPGEELLPGRTYFVLPAARFPSLKVLTAATLAALSAAPAAGAGRTRKAAPAALPFDGQCPFEYVKGDGGAALIRVLPEFIEKVITCDGGPAKAPKATSAAELCSTPELKRHYAQLVGPRSRPWSPRLETIAESDRSRWLRSPARMLSSR >KQL08803 pep chromosome:Setaria_italica_v2.0:V:47146815:47148787:1 gene:SETIT_002114mg transcript:KQL08803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVAKEFALSPPAAVAPRRRASARVAPHAGGGSPVPDLWLRTAAPAADGFAFGSHSHDSDMDLAMLVTDFLENGGSAGGDSRASSDSDSGLSDLAHLADNIAMLKQGGDEKENELLSMVHSLLFSIHESELEPFKRGQCSGGCIRHLLVKLLRYSGYDAAVCTSKWQGFDKIPGGDHEYIDVLTHGDATGPERLIVDIDFRSHFEIARAVDSYGTLLNSLPVVYVGTLPRLKQFLHVMVDAAKWSLKQNSMPLPPWRSLPYLQSKWQSKYERKDLITEQGFHSTASDHALCIGHLKRLKTSLQSELETGRLLMMPIKADKKRTPKFERRRRRRSLLSC >KQL07620 pep chromosome:Setaria_italica_v2.0:V:40884624:40886921:1 gene:SETIT_002569mg transcript:KQL07620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRLPLASRCVSRPPVQVCCPCLATKSRFSWPSTPFLPCCCSYRHPSSRRRRLASQLPSTPSATSQFPQPPPLSSASKSKRRSLPPSVVLRSLILQAYSSSLPALSPPDLPLRPRLGRPQLPHAALTNSHDQEALLPHHLPTSPTERASEAEGNGEDCSHAAGPLLLPRHTRCRSPASSSTSTSCGKTEQLNHATRASSAAAVSPSRPPPNPCLPFEKKNPCVPFEKKSVSAYCSRSKQKSNPFIHYWRLKNMMDMVQKWNISY >KQL07508 pep chromosome:Setaria_italica_v2.0:V:40129063:40129977:-1 gene:SETIT_003348mg transcript:KQL07508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRLATLALAVLLAATLAAPPAAVRAAMSCTTVYNTLMPCLPFVQMGGAMPPQPCCDGIRNLLRQASNTPDRRTICGCLKNVANSAAGGSGTYIDRAAVLPSKCGVSLPYKISTSVNCNTIN >KQL07297 pep chromosome:Setaria_italica_v2.0:V:38993031:38993516:-1 gene:SETIT_005497mg transcript:KQL07297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHSQCHVWSYSMCLSLTDQVCTCQEGALKFGCFVFACKAIVHNANGSSCV >KQL04025 pep chromosome:Setaria_italica_v2.0:V:5975300:5975761:-1 gene:SETIT_003493mg transcript:KQL04025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQVTRILKLRARRAKRRGCTCTTRAGRPGLAGLSLLSCPASTTTADPSGRNRCTRGGGGGGHHIMHVGRHAVSCVVCSTHQELSNHASSSCTPA >KQL07094 pep chromosome:Setaria_italica_v2.0:V:37625394:37627815:-1 gene:SETIT_002496mg transcript:KQL07094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGAGNQQAAADEELARKNAAVATSRQWSAQTESRIVRVSRVFGGKDRHSKVKTVKGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAARHEIDKLPPLQFPPQDHHLMVGHLAPPMPLVHEEKFVGHHIATAAALASDGGAKVGQGGDVDMDWGGAASHHMGRFPAGGYHRFMGLNSAFGMVSSAMAPYSNYTAGEARNNSNVHDGGGGGSPQVAAAAAAHHSSFPSLLSLAPGPHQLVFYSPEADQQFQVDNLGSQSLSLSSARAYHDQAS >KQL07355 pep chromosome:Setaria_italica_v2.0:V:39269554:39274929:1 gene:SETIT_001057mg transcript:KQL07355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLLRGASLLRLYQCSCGLRGANFSSPKPVLTNLNFVRDRMRATYSRRAVSKNTEIKKDEKSVIEKEDASESDLEIERVRSDPSQLQSMTVKELRELTRRMGVSGKGNKKDLVSALMDSLGVVGNGKEGKSSAELDSPLEEPIKGKGVASVVVEQKLESSEAISETPSKKRSRTKQKSIESTTLEENSVRNVKINKTSIQKETLVVQGAVPKAGLGANDDSEPWTVLVHKKPQAGWIPYNPKTMRPPPLSKDTRALKILSWNVNGLKALLKSRGFSVQQLAEREDFDVLCLQETKMQEKDVEVIKDTLLDGYTNSFWTCSVSKLGYSGTAIISRVKPLSIKYGLGVPDHDNEGRVVTVEFDDFYLLTAYVPNSGDGLRRLTYRVTEWDPSLGNYMKELEKSKPVILTGDLNCAHQEIDIHDPAGNRKSAGFTNEERESFETNFLSKGFVDTFRKQHPNVVGYSYWGYRHNGRKTNKGWRLDYFLVSESIAEKVHDSYILPDISASDHSPLGLILKL >KQL05595 pep chromosome:Setaria_italica_v2.0:V:26235588:26239263:1 gene:SETIT_000709mg transcript:KQL05595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFLDIALLLPLLLCLLKDATYKATAWDDKDFFSYCPPSWCSKQGPEIRFPFMLESSNTSSLCGASDLKLACSGQDTILVHPALASYIVTAIDYRRGTLTLVRRVDSSSPSCRQKLMSAALPHSTDPFPPLCNLGYAVIVSCLTEFTPSNHAANYIFGPISCLSNTTHFSYLVDGSADLYVLPLDCKVVPDSFFPMIDDYYNQMLGPSIFKKKAEGIVNFSETTFQCCQFDILNNCKLCELGGKRCGFSTQRNQTFCMSHATSSVAAFVLLLMVAMALYVSLKTRYNEEIHLKVEMFLKTYGTLKPTRYTFSEVKKIARRFKEKVGQGGFGSVYKGELPNGVPVAVKMLENTTGEGEEFINEVATIGLVHHANIVRLLGFCSEGTRRALIYEFMPNESLEKYIFLHHSNTSQELLVPNKMVAVALGIARGMEYLHQGCNKRILHFDIKPHNILLDYNFNPKISDFGMAKLCARDQSIVTLTAARGTMGYIAPELYSRNFGGVSHKSDVYSFGMLVLEMVSGRRNSDPSVDSQNEVYLPEWVFEKVITEQDLVARDMTGEEKERVKQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLENLQMPPKPFVSSGSHEMP >KQL05548 pep chromosome:Setaria_italica_v2.0:V:25603200:25604484:1 gene:SETIT_004063mg transcript:KQL05548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFRGLFDLNLEPVDAVDIPETGCVGRRELTGRVKFVDIVPTPGAALLQNGGDPLVNSKLGPQVSSDLADIALQSVAATTATGVDVEDCVDVLSTQAAPLLQIVSNPPLSSSNLERIEKDSKFLILLCSVATWVPIIISNNLTSEHCKLSPTVARVNKKEKVAVDGPITEKKVVRERRRDYVDRTCCPTRRIVRRTSQNQWEVVHFEKEHNHDRVRKFSLTKYLNSHRDIPGKENEFINLLHACNITTTRAYQIMGELYGSIAHCSYTEGDTENLRVEYRAENKGKYMKATLEYFEELKKEDPNFCYGYTLDCRCLNPATYQGSTQ >KQL08235 pep chromosome:Setaria_italica_v2.0:V:44338905:44341194:1 gene:SETIT_005148mg transcript:KQL08235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLLEAHLEGGDKKREAMASSSMDTEAAGQELKGSDYTVSATAHAVDSDSWQQVGLLLVIGFNCAYVLSFSNLMMAPLGWGWGVACLLLVGAAAWYANWLLAGLHFVDGQRFIRYRDLMGFVFGRKMYYITYFLQFATLLLCNMGFILLGARALKAINVEFTHSPARLQWFITATGIIYFAFAYFVPTISAMRNWLATSAALTLAYDVALLAILIRDGKSNNNQKIQRPWQPIREGVQRAGRVAAILVCNTPGLLPGDTVDGAGAVGARDAAGAAAAVHRRRRRVLRHQRGGVLGVRVGGVRVPPQPARRAAVGRRAHQRRRLPAERRLPTPVRGADPRGDGHAAAAAGRGHVLPVQPDAPLLRAGAHLRLQRLRHRAVPVHGGLRQPRRLLLPRPAHLHVPQHGHPQDQGEEWWEMEQALALGRHRFLHASLRRYHRLRSSFDLQQCQDLPLLRRHMILHVPLILLNINGIDEQCDGEFVKLELCIDFLILRRI >KQL08315 pep chromosome:Setaria_italica_v2.0:V:44726399:44743352:-1 gene:SETIT_000024mg transcript:KQL08315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPLQAPDYKHITEECLREWKGQSAGAFRLPDPIPMARFLYELCWAIVRGDLPPQKSRVALDSVVFVEEARREEELGSVLADIIAHLGQDITISGEYRNRLVKMTKSFVESSITAPRLLQERCEEDFLWEVEQSKLKGQDLKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQVGSDLACQNSSSVTISIIKSLIGHFDLDPNRVFDIVLECFEVYPDSNIFHQLIPLFPKSHAAQILGFKFQYYQRLDVNSPVPSGLFRTAALLVKSGFIDLDNVYSHLLPNDDEAFEHFDSFSSRRIDEANRIGKINLAATGKDLMDDEKQEITIDLYTALEMENDTVGERAPEMEKNQKLGLLLGFLSVHDWYHAQVLFERLAHLNPVEHIEICDGLFRMIEKAMSSAYDVVCQLYYYLPPKNGADQTDTSALSLSSFDLPKEFFQMLTACGPYLHRDTQLLQKVCRVLKAYYHSSKESTRATSVVSPEFRIEEALGSCLLPSLQLIPANPAVDMEIWGVLSLLPYETRYRLYGEWEKETEQNPIVLAARQTAKLDTRRLLKRLAKENLKPLGRMVAKLAHANPMTVLRTIVQQVEAYRDMITPVVDAFKYLTQLEYDILQYIVIERLAQGGRAKLKDNGLNLSDWLQCLASFWGHLCKKHNAVELRSLLQYLVNQLKKGVGIELVVLEELIQQMANVQYTENMTEEQVDAMAGSETLRQQASLFGATRNYKVLSKSTNRLRDSLLPKEEPKLAVPLLLLIAQHRSKIIINADATYIKMVSEQFDRCHGILLQYVEFLSSAVTPIAYAQLIPPLQDLVHKYHIEPEVAFLIYRPVMRLFKSTSGGDTCWPLDDNEEGESVSSDDLILHPDLSQEPIMWSDLINTVRSILPAKAWNSLSPDLYATFWGLTLYDLHFPKDRYDTEIKKLHDNLKQLEDSSDNSSIAISRRKKDKERIQDLLDKLNSESQKHQQHIASVVQRLAREKDKWLSSSPDALKINMEFLQRCIFPRCVFSTQDAVYCATFVQTLHSLGTPFFNTVNHIDVLVCKTLQPMICCCTEFEAGRLGRFFHDTLKMAYYWKSDESVYERECVNKPGFAVYFRYPNSQRVSYSQFVRVHWKWSSRITKVLNQCMESKEYMEIRNALIVLTKISSVFPVIRKSGVNLEKRVAKLKGDEREDLKVLATGVAAALAARKSSWLSEEEFGMGHIDLKPATARSAHGNQSTDPSMAKDQNARAKSIESRHEKSEAAMKPDVQQKKSSVSANGSDNQIPSSSIQGKSSGTVRVADEPPKPVSDEGVKVSARPTSESETRVPQKRAAHSTGKILKHDVAKEDAKTGKSSSRNVNQQASTAPVDREPLSQAADFVQDTNSTSSNGNLHPAPRKVSSSSQRNAALNGAANPSGEANELTDSTLRQQKRLAPAEEQDRSSKRRKGETEPRDSDLSEHHVDKEKSLDSRAVDKFRSVDHEKSTNEEQNLSRAEKIREKFDDKYDRDPREKLDRAERRRGEDAIDRSTDRLSDRRERSIERMQDRVTDKAPEKGREDRNKDERNKGKYAEPSVDRTHSTDERFRGQSLPPPPPLPTSFVPQSVGANRREEDADRRGGSARHIQRSSPRRDEKERRQSEENASSFQDDGKHRREEDLRDRKREDRDVPSNKVDDRDREKGTTTKEDSDPSSASKRRKVKREQPSLEAGEYAPSAPQLPSHGTGSSQLSDVRERERKGVISQHRSSHTDDLPRTHGKDTTSKPSRREAEQMHDREWEEEKRPRTETKRKHRK >KQL04350 pep chromosome:Setaria_italica_v2.0:V:8423671:8424350:-1 gene:SETIT_005452mg transcript:KQL04350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARALPGDMMERLKHQLVEGKVYTLSDFTVVQRRQDYMACSNGLMIYMEEQTVVDEIDDNTGSSIPLHSFEFVDFDDVPSRNGDKRLFTGTWLAGWGS >KQL03473 pep chromosome:Setaria_italica_v2.0:V:2582726:2583123:-1 gene:SETIT_005345mg transcript:KQL03473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKWMALRMNPIQKHLKMQKLVVLELQNGLTTTQMCSRQIHPQAVLLLARQSSTKISWKKLEWLKLDHNRLN >KQL06028 pep chromosome:Setaria_italica_v2.0:V:30195427:30197554:1 gene:SETIT_002888mg transcript:KQL06028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAQDYKQHIEPLQVLQHMYRAIGSLVANNLHRSSCGLQCVHMSSEHECPGAPLPDQSQVARDSAQFGGVGSRHDLTVQCPSVHASKPVASYTAGRAEAIKSHKPSERASPPCPAARKHISEMASMSMSSSRPQWTKKQDKLFEQALAVYDKETPDRWHNIARAVGGKSAEEVRRYYEILVEDVKRIEAGKVPFPAYRCPGGGAPANMTMGGY >KQL03187 pep chromosome:Setaria_italica_v2.0:V:270798:277749:-1 gene:SETIT_001410mg transcript:KQL03187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVKVISRSTDEFTRDRSQDLQKVFRNYDPGLRSQEKAVEYTRALNAAKLEKIFAKPFIGAMDGHIDAVSCMAKNPNHLKAIFSGSMDGDIRLWDIAARKTVCQFPGHQGAVRGLTTSTEGDLLVSCGVDCTVRLWKVPMLKMVDTSDHIGDTSQPSAVYTWKHAFWGADHQWDGNLFATVGAQVDIWDPNRSEPVNSFEWGKDTVLSVRFDPGDCNILITSASDRSLTLYDLRMSSPARKLIMKTRCNSVCWNPREPMNFTAANEDTNCYSFDARRLDEAKIVHKGHVSAVMDIDYSPTGREFVTGSYDRTVRIFNYLGDHSREIYHTKRMQRVFCVKYTYDGTYLVSGSDDTNLRLWKSKASEQLGVLLPRERKKQEYLDAVKERYKHLPEVKRIVRHRHLPKPIYKAANMRRTMIEAENRKEERRRKHSAPGSMPVQPFRKRRIIKEVE >KQL07930 pep chromosome:Setaria_italica_v2.0:V:42606069:42607910:1 gene:SETIT_003489mg transcript:KQL07930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPFGLDWPGNETGQERPFPRLHGPEDIPTSSWRGDDAAARHILDGDRLPSSLHPLRRLLPIEEDLSAALRRRLLRMITLMCFNVDAGDVYLLRF >KQL05953 pep chromosome:Setaria_italica_v2.0:V:29496960:29500881:1 gene:SETIT_004008mg transcript:KQL05953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDMRVAVDVRNTEVPERSPRRSEPPQPGERDTIDSCIKVPEMLESSSTRFRTPPTQIGCQQGSNEDRTITTGRQGVVFLPPDTLDANQMIASEEEIPPQTHEASVSTQSIKNVLQNMHGGAELVPITSRDIENRKATNVREEHADDINKLIEFFKDYQDQAMGVAIAKEFPGVVHKICRWHVVNKHMPHLTNLFGMYAKKNFKDKFYSVLNHPLTPVEFEAAWQELLDEFDLQKDGTLDSLYCQRQLYVPAYFKDQYCGRMASTQRSESSNFVMKKCFVNKHTALHRFAKKCLTSCIHSKRLTRSKWPFEIQVSRIYTRNVFKDFEKKMIDCTAFDIEDNPIEGETCYLVTHTNRSSKISWGQHQFKVRANKGNGEFHCECKEWQHTGLFCVHLLRALMRIQLNSIPQHYILRRYTKYAQQELGFDRNDKLLVGADGVTQLYRIKDLTSLAMAAVRSGSMSRAAHIRTREVLAKLDKDNKEIPPDIGPSTTDMHQESPGEYHANDDRLISRVPPTRSKTKGRSIPPSEKKEITLGAKGVKKGTRKCSICGYYATHNARTCPKLQHNKEPLEVLKNRMRGRPRGAQHKRSASQHDSGGEEHNIGRQQDTKKCQENKYIDYESNDEQFRDTDMEG >KQL07938 pep chromosome:Setaria_italica_v2.0:V:42670641:42676234:-1 gene:SETIT_000201mg transcript:KQL07938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKPCTLLVHFDKGSPSMANEIKAELESGDGPAKADAMRRAISLLLNGESLPHLFITVVRYVQSCDDHAVQKLLLLYLETVDKRDAATGKVLPEMILICQNLRNNLQSPNEYIRGVTLRFLCRLTEPELLEPLVPSILSNLEHRHQFVRRHALSAVSAIYQRQHGDQLIPDAPELVERALASEQDAAARRNAFLMLLASAQERAVAYLLTNADRVAEWPDLLQMAAVDLIRKVCRSKGRVDKGRYIKIIISLLSAPSSAVVYECAGALVSLSSAPTAVRAAANTYCQLLSSQSDNNVKLIILDRLHELRTSHREVMVDVVMDVLRALGSPNVDVRKKVLDLVLDLLTPRNVEEVVLYLKKEVVKTQAAEVEKGGEYRQMLVQAIHACAKKYPEVAASVVHLLMDFLGDPNVAAAFDVVLFVREIIETNPKLRVSIIQRLIDTFSQIRASRVCICALWILAEYSLSLSEVESAISTVKQSLGDLPFFTVSEEGESTDSSKPSQPVVNCVTVSSRRPAVLADGTYATQSAATETAISAPAATLGSLASSQNLRSLILSGDFFLAAAVACNLTKLVLRLEEVQPSKVEVNKACTGALLIMVSILELGQSSYLPHPIDNDSHDRIILCVRCLCNPGEDVRKVWLQSCRQSFVNMLAEKQFRETEEMKAKAQISHAQPDDLIDFYHLKSRKGMSQLELEDAVQDDLMAATGQFTKDADDSNKLNRILQLTGFSDPVYAEAFVTVHHYDIVLDVTVINRTKETLQNLCLELATMGNLKLVDRPQNYTLAPESSKQIRANIKVSSTETGVIFGNIVYETSNVMERSVVVLNDIHIDIMDYISPATCADVAFRNMWAEFEWENKVAVNTVIQEEKEFLNHIIKSTNMKCLTPPSALDGECGFLAANLYAKSVFGEDALVNISIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >KQL04216 pep chromosome:Setaria_italica_v2.0:V:7307105:7308436:1 gene:SETIT_002272mg transcript:KQL04216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEYCVTGGTGFIAAHLIRALLAAGHTVRATVRDPEDEGKVGFLWELDGAGERLTLVRADLMVEGSFDEAVSGVDGVFHTASPVVVVAGGKGVQEELVDPIVKGASNVLRSCARASERPRRVVFTSSCSCVRYCHAATLNESHWSDADYCKSYNLWYAYAKTVAEKEAWRLAKEHGLDLVVVNPSFVIGPALGPRPTSTILIVLAMLKGELGKYPNTTIGFVHVDDVVLCHVLAMEDARASGRLICSCDVAHWSEILSSLRERYPQYPIPTECSAQKGDDRPHRMDTTKIRALGFPPFLSVQQMFDDCIKSFQDKGLLP >KQL03712 pep chromosome:Setaria_italica_v2.0:V:4197183:4201169:1 gene:SETIT_002179mg transcript:KQL03712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSDKRCSRPVCIADVPGPASTRPVTCPATAPDLHRVPKGPNPTDRPGGDSASPPHPTPSLSPPVTQSKPPATKQNRSKSQALLRQSMRVAATRLAAAPAPLPLLLLLFLVSAPLAIVAAAMAGHVLGGVKENPAAANSAESDGLGRFAVDEHNKRENALLEFVRVVEAKEQVVAGTLHHLTLEAIEAGKKKVYEAKVWVKPWLDFKELQDFVHKGDAATFTNADLGAKKGGHEPGWREVPIEDPVVKDAAHHAVKSIQERSNSLFPYELLEIVRAKAQVVEDFAKFDILMKLKRGSKEEKIKAEVHKSLEGVFVLNQHQPAEQEESSSQ >KQL05939 pep chromosome:Setaria_italica_v2.0:V:29312177:29315940:-1 gene:SETIT_001690mg transcript:KQL05939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPAASSTAPAVAFLSAAPTSSSCSRRRRSRLRSISASLSPSASSEEPLLVRAARGEDGLPRPPAWMMRQAGRYMAEYQALAKRHPSFRERSENTDLIVEITLQPWRAFAPDGVILFSDILTPLPAIGVPFDISDSKGPVIQSPVRSEDQVKQLVPIDLDKLHFVGESLRILRNEIDGKAALLGFVGAPWTIATYVVEGGMTNTYTNIKSMCHTAPNVLRGLLSHLAQAISDYIIYQVNSGAQCIQIFDSWGGQLPPHVWEQWSKPYIKQIVSRIKKECPHVPLVLYINGNGGLLERMKDTGVDVIGLDWTVDMADGRRRLGNGIGVQGNVDPAFLFSPLPVLTDEIHRVVKSAGPKGHILNLGHGVLQKTPEEAVAHFFDVTRSLRYDTLFQGSVAEELQPVA >KQL07149 pep chromosome:Setaria_italica_v2.0:V:37949648:37955222:1 gene:SETIT_000275mg transcript:KQL07149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVRMKKHRAASAVFLYAVVVLGVLMGGAGVRAFEDGTAVYIVTMKQSPVFHRRLNLEKFGNSRVADAAGGGSGGGGGSGGGAGDTPSTSVLRKPRHGSPKPMNYGSYLVRLQNLLLKRTLRGEHYIKLYSYRYLVNGFAVVITPQQADRLSGRKEVANVMLDYSVRTATTHTPEFLGLPQGAWVQEGGPQFAGQGVVVGLIDTGIDPTHPSFADDLSTDSYPVPAHYSGICEVTNDFPSGSCNRKLVGARHFAASAITRGVFNASQDLASPADSDGHGTHTASIAAGNHGIPVVVAGHQFGNASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAEDNVDIISLSITPNRRPPGLAIFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSMSSYSPWIFTVGASAHDRVYNNYVVLGNNLTIQGVGLAPGTDGDCMYTLIAAPHALKNNTASPTELSLGECQDSSRLDADLIKGKILVCSYSIRFVLGLSSVKQALDTANNVSAAGVIFYLDPFVLGFQLNPTPMRMPGLIIPSSDDSKVFLTYYNDSLVRDETSGQIVSFGGVGKILGGLNPIYGNSAPKVMFYSARGPDPEDNSLSNADILKPNLVAPGSSIWGAWSSLGLDSAEFAGESFAMLSGTSMAAPHVAGLAALIKQKFPSFSPAAIASALSTTTTLSDRQGKPIMAQRTYSNPDSTQSPATAFDMGNGFVNATAALDPGIIFDCSYNDFFSFLCGINGSGPVVTNYTGNSCAASTMTGADLNLPSITIAVLNQTRTITRTVTNVAADESYTVSYSAPYGTVVSVAPTQFVIPSGQKQLLTFVVNATMNSSTASFGSVGFYGDKGHRAIIPFSVISKVVYSS >KQL05333 pep chromosome:Setaria_italica_v2.0:V:22685097:22687534:1 gene:SETIT_003809mg transcript:KQL05333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVPKIRIPRLRDLLARPLGCLTGHPLQAFNELFDQFDQTLSENTCAIQASLCNIARAPYRLAEKCGPVIEELIAAQRSASDPNNIGETSRRNNSGTEDDFVDPHNDQLFEHGNCGVFRTPSSCYRDDVLRDGNGQNSYTTDPATSKTGGTSPCTKPHQEACRDDPARTTTCSDHIPPSSSLPDSNHDMNRINNLIDAIYCEEQSNHMHTLLSPRTTQFEDQAKTDQNNMVSGTLHVSEQRTGKRMTRKPAKYSSPFKYGIMSRPAPNVDAAMSLFGHMCADDSTLKSMPVIQFGSTPLTCDMIAQSFADGAIPDSTFITGFVNCLSYDDYWIRPECHGYRIFFDADLSAILNVEWHKRDSSEPKYSQFAAVTAIQRSLPFTDLKKTKMILLPVLHQHHWSVYCVNFGQSRIDVLDSFLYNPESDNNWDNYHLEFGKKIMHRLRTI >KQL04653 pep chromosome:Setaria_italica_v2.0:V:10461229:10464655:1 gene:SETIT_000127mg transcript:KQL04653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKKLQYISAFLLPFLAVAASFDPFHQNEQAVGPMGPGGQGPFIPHEYVRFADVKRQCRSVLSAAEELTFDANRANALMPELSFVKGDWKQDADGAPLLPFDGTDAADGAPDPLPLASFTLTHVDVGRREKTALNVSGVLGVAISRNGTVPEMGQYVSPELKVWPGSTELKILFEGVYTENGDGESVLCMVGNALLPRRGDDAGDPWGWAKNTNRDNFQPPVEKDDNILLVLRYPTTLTLTTRAVRGELTSTSGKSGAAYFDAVHLLSQLGAYSNYKFGSEKLVDKACTPHPYRDDILRGGGRGLYKGNSFCGILDRFTEEDLLAVVPNWQCNGTDATCLRLGPFETDKAVDATDGAFTGVSVVMQDVRCEPRNAPGGESSARVSAVFRAVAPWEHKYTASKRSGLSGMTLSAEGVWRASTGQLCMVGCLGVGEKACHSRVCLYVQTTFTATRRSITVGQITRIDGSGGAAHFPLTIKRTVHPTELWNRFGVSGGAPLSMAYNYTKVRQAGEFLRRSEPFDFGTVLAKSLLSYPRVAAADAMSLSNLADDLTLHVAAVPDPFPRERFERPFFQLEVLSLGSLVGRTSQLAAESIPFSDMPGGRGGGKASSSSSSQPESSSTLSSQPTAASSLLNVSAELSLSGEPYANVSSLFLEGVYNPVNGRMYLIGCRRIQATRQAFSTLKAVEDGMDCSIEMRVEYPPTTARWLINPTAKVRIASTRDPGDPLHFNETALQTLPILYREQRQDILSRRSVEGILRIATLAAAIAAEFSQLMYIKANTDVMPYVSLVMLGVQAVGYSVPLITGAEALFARIAAGNDGGAAVPPSYEVDKSALYWIIDCVVKILILAAFLLTLRLAQKVWRSRIRLLTRSPMEPGRVPSDRRVLLYSSGAHLVGFTVILAAHYVSVYGRPVRDDGSYMDARGRTHALREWAVTLEEYVGMAQDFFLLPQVIGNVVWRINCKPLKKSYYAGVTAVRLLPHLYDYIKAPAINPYFAEEYEFVNTSLDFYSRFGDVAIPLVAVALAAAVYVQQRWNYKIISKTVKTQQKKLQHLGSRVYERLPSMSSANFEAELVSGVNEGVGQRRDTSLS >KQL08692 pep chromosome:Setaria_italica_v2.0:V:46713271:46715810:1 gene:SETIT_004086mg transcript:KQL08692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVLSRQNLRKLATFTLQNISQSQLISPFPPGLRSGAVSPSKCFSPLYLFGHSWAVRWATYGSVNLVVSDDGKPKFQIEEVEPSKKGRYLTKKRLKLQRKRVKKKRKEANKNDPRRIRPKGKKIKQKFPTAEARLKYKIDKAKLKEAMLVEKLKKYEVAKAQGPMAKPDDLSGEERFYLKKVSQKKSNYVPVGRRGVFGGVILNMHLHWKKHETVKVICKPCKPGQIQEYANEIARLSGGIPVNIIGDDTIVFYRGKNYVQPEVMSPVDTLSKKKALEKSKYEQSLETVRRFIAVSEKELELYYRHVALYGIPQSQKADLVRGDNREASLLKMGGLDQAKDQLPYLGTNHFSDLHISDISESDEEDTSGSEYNVNDDDTEDMNNISEDAISDGLANRE >KQL06432 pep chromosome:Setaria_italica_v2.0:V:33342130:33347605:-1 gene:SETIT_000590mg transcript:KQL06432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGSMKHLVEVEEGKEAAGGAPSAGPTYRCAAGGKGAAPPAVPGLDCCWDIFRLSVERCPDNRMLGRREIVDGKAGEYTWLTYKQVYDTVIKVGAAIRSCGVGKGGRCGIYGANSPEWVISMQACNAHGIYCVPLYDTLGAGAVEFVLCHAEVQIAFVEEKKIGEMLKTFPNATKFLKTIVSFGKVNPEHKEKVEQNGLSIYSWEEFLQLGGEEKFELPPKEKDDICTIMYTSGTTGDPKGVLISNKSIITIVSAVDEFLINSGEELREGDVYISYLPLAHIFDRVIEEVFIHHGASIGFWRGDVKLLVEDIGELKPTVFCAVPRVLDRIYGGLQNKITAGGFLKKTLFNVAYKYKQGNMLKGSKHEEAAAVFDKVVFSKVKQGLGGRVRLILSGAAPLSRHVEEYLRVVTCSHVLQGYGLTETCAGSFVSLPNNMSMLGTVGPPVPYVEVRLESVPEMGYDALSSEMPRGEICIRGDTLFSGYYKREDLTKEVLVDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIFGQTPGVDSVWVYGNSFESSLVAVVNPNKQALERWAESNGVTGEFATICEDPKAKEFILGELTKMGKEKKLKGFELIRAVHLDPVPFDMERDLITPTYKKKRPQLLKYYQSIIDGMYRSMK >KQL04543 pep chromosome:Setaria_italica_v2.0:V:9662489:9665421:-1 gene:SETIT_004994mg transcript:KQL04543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAGAFTSNHTVAVARVPCLHDQETVLLRLKRSFTSTSDDSIVAFRSWKVCTDCCDADGCVTSLDLGNSGLESAAGLDPALFDLTSSLRYLNLGWNNFNLFKLPSIGFERLAKLTSLNLSNTNFSGQVPYNIGRLTNLIPDVGYQVYAKLGDIVGQLAVPNFTSLLANLGSLRELHLGGVDLSQSAEWCDALSMYTPNLRVLRLPFCDVPGPIFRALSALHSLSVIDLQFNRMMSPFPNFVANFSFLSVLQLSHNDLEGFVSPKIFEHDRLVTIDLHSTYEISGSLPNFSGHSCLQNLLVGDTGFSGTIPSSIGKVKSSKSLGLDAPGFFGNMPSSIGELKSLNTSKVSGLNLVRPIPSLITNLTSLEVLRFSECGLHGPIPSSTDHLIKLKSFAVMQCKGSGGIHPHIYNMTQLEELVLPLNNFTGTVELNSLWRLPNLFHLDLSNNKIVVLEGQDNSSMVFFPNIIYLNLASCSITKFPSILKRLNDWNGLDLSNNQMHGAIPRWAWEKCFNMFEGSIPIPQYSAVVLDYSGNMFSPMPHNFSTQLEDAYIFKASRNNLSGNIPISFCVNLEFLDLSHNTFSGSIPSCLMEDANSLTVLDIANNEISDSFPCWMSTLSRLQVLILKHKKFFGPLAPSSHTSRVTCGFPSARILDLSSNNLSGTLNKEWLSTLMGMMVIVNNQTSAMLEQERHQNQVYQVTTELTYKGSELTFDKILRTLGFLDVSHNALQGSIPEAIGEPVLLEVLNMSFTGPIPSNELSHLAHLEALDLSSNELSGAIPLELASLDSLTTLNLSNNKLTGSIPESPHFSTFSNSSFLGNDGLCGPPWSKECINDATTPNVASNHSKKKYGDIVLFLFDGLGFGVGFAIAIVWRW >KQL04343 pep chromosome:Setaria_italica_v2.0:V:8331745:8334178:-1 gene:SETIT_004343mg transcript:KQL04343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWEGREREEEVTQRGTVAKFLALRRSIFDLAPLLRDLHERHGPVISFRHFGSTLVFVADRRLAHRVLVRDGATFADRPPLRLVRRNLAADALHRARVGLFAPAQRRACDALVAGLLSEEAGAAVTVRPFLRRAMFELLVYMCFGARLGQGALDEIEAVERHVLASFTSFPVFASFPVFAFFPALTKRLFRRRWAAHVAVRRRLDEIFAPLIHAAAARRRAGEEDRPPCYAESLLALRVADEGDRGLSDAEMVSLCSEFLNAGTDTMVDHGRALKVYEEVTSRGPSPELNGDDDLQGAFPYRKAVVLEGLRLHPPAHFLIPHGVRGDAEVGGYTVPRGADVNFMVAEIGRDEFRPERFLDGGEGCGVDITGSREIKMMPFGAGRSMCPGRVRAGDAPRRVLRGEDGERARVAAGGGREGRRHGGDRAFTTVMKHPLRARIMRMCCRR >KQL07085 pep chromosome:Setaria_italica_v2.0:V:37567992:37570333:-1 gene:SETIT_000846mg transcript:KQL07085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSLAAETEPAEEERLKGKKGGFRALPFIFSNEMLEKVAGFGLNTNMITYLTNKYHLSTVTSQTMLFVWSAASNFAPIPGAVVADMYLGRFMAVALGSISCLIGIVFLWLSATIPGARPPPCSSGEHCAPPGARHLAWLLAGFAFLSVGAGGVRPCSMAFGADQFSRHPKERRSRILQAYFNAYYASIGVAFSVAVTAIVYLQDNVGWSVGFAVPMGLMLLSTVSFFLGSGLYIKEKGKRLMFSGIGAAVGAAIRNHRARLPAKTGDGVYHHLKDCKLTVPTDQLRFLNKACMIGSTEEGSGSPSNSGRRPCTVDQVEQLKSAIRVLPIWSSTIFLALAMNQSFAVKQADTMDRRVGKGRFQVPSGSLALFNMATMSLWSASYDRWVAPALRWHTGNPRGLTMKQRIGGGLLLATASSAVSAVVEGARRRQALSGDTISAFWLVPQFALVGLAEAFGVIGEIEFFYTELPKSMASFSMSLLYMAFGVGNLAGALIVKVVQVASRRGGNTSWLVDDLNAGHYDYYYWLLTGYGVVNFVYFAWCCWVYGEEGKNVEWEEDDDGDQPIL >KQL07628 pep chromosome:Setaria_italica_v2.0:V:40911086:40912799:1 gene:SETIT_004133mg transcript:KQL07628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHSDDIKYEEEFIVNCRGNKLFTCRWTPLNFQPKTLIFICHGVAAECSISMRDAAARLVRAGYGVYGIDHEGHGRSSGRRCYIPNFSDIVTDCSNYFMSICGGKKRFLYGISMGGSVALLLQRKSPDYWDGAILLAPMCKVSDDMKPHPIVVSALTMICAVAPSWRIIPTPDIIDKVCKDPEMRKEVSSNPYIYRGKLPLTTCHEVLMVSLDIEKNLNQAIPFRSLPCSETTVTMPFLVLHGGDDIVTDPSVSQLLLEKASSRDKNFKLYPGMWHALTAEFPDDVERVYSDIITWLEERATCTANGSE >KQL06326 pep chromosome:Setaria_italica_v2.0:V:32631254:32631577:-1 gene:SETIT_004062mg transcript:KQL06326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRIAVAAAAFLALAVVPAALAQAPGPAAAPSGPPNVTAILEKGGQYTTFMRLMKETQQDTQLNSQLNSSFGNGGSGYTVFAPTDNAFNNLKPGTLNSLTQQQQVSL >KQL08339 pep chromosome:Setaria_italica_v2.0:V:44897288:44897779:1 gene:SETIT_005586mg transcript:KQL08339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METNLCAHQILQNNQIYQGVTEPLQVIFTRKLLASASEFSCTFDKIELRVLTD >KQL05581 pep chromosome:Setaria_italica_v2.0:V:25939173:25943638:-1 gene:SETIT_002897mg transcript:KQL05581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDGHEGDVRSQGRDDTIMFGPDDDGVKIPTQTETLVKGTAMVAEPEYKPIPDLDYLQELLAIQQQGPRAIGFFGTRNMGYMHQQLIEILSYAMVITKNHIFTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLSKVQNLIEKPQYDHLPLIEASRLCNMDIISKIQQVICFAFHDSKLLMETCQEAKNLRKIVTLFYLD >KQL08353 pep chromosome:Setaria_italica_v2.0:V:44951807:44952229:1 gene:SETIT_005420mg transcript:KQL08353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTCGCVQVLLLFDVAEKKGTAHFMPLVASFELFGNIIWG >KQL04054 pep chromosome:Setaria_italica_v2.0:V:6164711:6165187:1 gene:SETIT_005428mg transcript:KQL04054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDIESTFIKQKLVTRIFRNIIVCELSRHSLENRK >KQL07666 pep chromosome:Setaria_italica_v2.0:V:41089995:41090429:1 gene:SETIT_005566mg transcript:KQL07666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHLSRETTKELSCIASGPAKKTTAHAEH >KQL07853 pep chromosome:Setaria_italica_v2.0:V:42185303:42185824:1 gene:SETIT_003840mg transcript:KQL07853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAGGGGGFALAVAFTAALASLFFLLLGAVILRHCWWRRNGAVPASTRGGFVLFDVCFTEDRPRRAARPPPSMERSRRRVPREINGDGEAAAAAADEQEPDEWEIARWKKIFGGPTRCLSTIDEGTEKGSTTAATTPAFCTPPASPDRRRAEARALDMASVAAQVQAQHHGS >KQL07956 pep chromosome:Setaria_italica_v2.0:V:42804007:42805269:-1 gene:SETIT_002043mg transcript:KQL07956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAELGEDVVTEILARLPARSLARFQCVSTSWRRIISSDYLRRMLPLITSGVLYHDGPRGGGDDGARRAYTYACAPDGGGVAEAGDMAFFPRHNASTIIDGCNGLLLYYASRPAAFHVVNPTTRRWAALPAPRARTLLSVLAFDPCASPHYKVVCFTGWLPRGATIEVFDSERGAWRDHEVDFGIDTDAMSATMHYSGGALHVLAYSGHVVRLDLGTMACAVTALPAPVSCRARAGHCRGRLRFASSDGTRLRIWELEDADAGDWALKHEIGVSDVVPGGSSQAITFLFMAFHPEREVVYLWSPWKLLAFDLVEKRVEEEWAFGSDKEGAHLIQIWLFPFSRHLANALA >KQL03209 pep chromosome:Setaria_italica_v2.0:V:361117:362976:1 gene:SETIT_003963mg transcript:KQL03209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMKMEIEDDGAVGGTGTWTEEDRALGAAVLGTDAFAYLTKGGGAISEGLVATSLPDDLQNKLQELVDSEGPGTGWNYAIFWQLSRTKSGDLVLGWGDGSCREPRDGEVGAAGSAGSDDTKQRMRKRVLQRLHIAFGVADEEDYAPGIDQVTDTEMFFLASMYFAFPRRAGGPGQAFAAGIPLWIPNTERKVFPANYCYRGFLANAAGFRTIVLVPFESGVLELGSMQHIAESSDTIQNIRSVFAGASTNKAAVQRHEGNDPTPPERSPGLAKIFGKDLNLGRPSAVPAIGGVSKVDERSWEQRSAAAGTSLLPNVQKGLQNFTWSQARGLNSHQQKFGNGVLIVSNEAAHRNNGAADSPSPSQFQLQKAPQLQKLQLQKLPHIQKTPQLVTQQPLQPQVPRQIDFSAGSSSKSGVLVTRAAVLDGESAEVDGLCKEEGPPPVIEDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKLKEMETERERLLESGMVDPRERAPRPEVDIQVVQDEVLVRVMSPMESHPVKKVFQAFEEAEVRVGESKVTGNNNGTVVHSFIIKCPGTEQQTREKVITAMSRAMSS >KQL03488 pep chromosome:Setaria_italica_v2.0:V:2669297:2672659:1 gene:SETIT_001037mg transcript:KQL03488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAPSAGARLLLLRRLLSTATEAVAEAAAPTAAAAAPAAAAAKAGEKGGSRPLYRRLSALGGAGEGSVSRVLNKWVREGGTPRVDDLVKHVKELRKYKRHAHALELMDWMVNARGMHMSHTNHAIRLDLIYKVRGLEAAENYFASLPDPAKNHRTYGALLNCYCSAKMEEKAIDIYRKMDELGICSSRLPINNLMSLYMKLGRHGKVDSLFKEMKVKNVKPDNLTCCILMTSYASSNKIDAIEELLKEMVEKDVALGWSAYSTLASIYANAGLVEKAESALKKLEGLVGVDDGKQPFDFLMSLYASVGNLSEVNRVWDVIKAKFSKVTNTSYLGMLQALYKLNDIDRMKQIYVDWESNYENYDVRLTNMMIRGHLKLGMTDEAESLWEKAKEKGAEFDSKTCELFLDHYMGKGDMNLALNWVENMVKLPKKAGKLDQDKIHKFQKYFEEHKDADGAERFCNCLRMQGCIDGKAYESLLRTYLAAGKKSSSLRQQIKDDKIEICYDIGKLLKRMGDKGR >KQL05277 pep chromosome:Setaria_italica_v2.0:V:20451107:20451594:-1 gene:SETIT_005533mg transcript:KQL05277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLEDELLKKGVVSWALGWANAGPIGPPLGLEIEIELEIYYLAIK >KQL04773 pep chromosome:Setaria_italica_v2.0:V:11644516:11645727:-1 gene:SETIT_005005mg transcript:KQL04773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARDGWIEDGKIIARYNENCEDMSSSKRHLYLVLEDWEQGYTIRKIDVESFDAASGDGGGGIDANKDPEVLPEPPVIRVEAEHGQAALFTALGTRILALQRSAGAGVPVFDTATLGLAVAPQPQGDALANSPTLVAIGGDRIYGLEGTKAAAAAGDRELRHFEVLRAPAPPGRTLWSWSAVPAPPPFNPSSVLCHAAHPDGRTVFFSAECAAGSKAGGSGTFSFNTQRLEWTCHGNWLLPFTGQAHYDAKLDAWVGICGGDTDTDGDDAGAARGRVCSCGVVAPGRRRVPAPECKLGAEPLFCEDEKRHVGAALVYMGDSRFCLLECVKPKPRAARTKKVWKEKPRESNGHSPTDPSDHLLHVTAFGYGDQGELTAAAARRQRRSYAVPQNAAKFLEKPVAFWM >KQL08365 pep chromosome:Setaria_italica_v2.0:V:45023245:45023717:-1 gene:SETIT_003702mg transcript:KQL08365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHLPAQGGCDIHCIIQVRNEGNQNISVFICSSVTSLFLRSLCFSVTVNPEHADI >KQL07333 pep chromosome:Setaria_italica_v2.0:V:39182566:39182947:1 gene:SETIT_005226mg transcript:KQL07333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTAFAFPTTDVLCIWCSYTFRHHLLLLFLRCMHANKVAIVNL >KQL06885 pep chromosome:Setaria_italica_v2.0:V:36243502:36249948:-1 gene:SETIT_003914mg transcript:KQL06885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALKGEIIIDWQQAMPPDSPTAEVEVVGSSYPPVTAHPPTAARPDTDDSSEGGDYGDAAEFAGYTDKKLQDTINRWRGKTHALPDAGKKMRRLVSRMKKELERRGVDRQRKYDDTVRRQTAQAKSTRGSGGDVFTFNLDDENLDSTAGAYCKNSSCTSSTNAYTQDKAAAYNKRSSLSPVKCAYLNKDGKIAKDSASHQFKTCARRSKSTDRECLNTDIDRRKKPTLRSCTRNSQKSNTVDSKGTCSKFLEGATFGSKRNWNLAKNKASASFIKDVVLLDDDDDAEPARSNDAEISNKWDESKIYYPSRTDPEAVELTYSDMKCLEPEEYLKSPVINFFLQYLKKSRPRRDLYMFNTYFYSKLEEALSTMGDCDSQFSKLRRWWKGVDIFKKAYIILPINEMMHWSLIIVCMPTKGVDSGPIMLHLDSLALHRSQKLFETVASYLEAEWQHLQKDSSYDIPFSGTVWKRLPENMNGEKVEVPRQRNEYDCGLFMLYYIDKFIQEAPERLTKESLGMFGRKWFNHEEASQLREGIRTCLLDIFQSAQEDGPSEVDDK >KQL08242 pep chromosome:Setaria_italica_v2.0:V:44364731:44367396:-1 gene:SETIT_000640mg transcript:KQL08242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACEEGQGRRISQLGLCRRAASGRGFCGVAVIARSVGRKREGARKTRQTFPCPLPFDMSKQPVSPEGGGVPPSHARGGADAAPSPPGTGRARKASVQGERQAAAGSLRDGAGTSSAMAAHGGDGRHVTFLGGQPQQVAQYPREGRNGAAPPSTAPARPRPSYVPPSQKRREQPPADLGRPYPQGGIPAERQPAMPPPADLGRPYPQGGIPAERQPAMPPPPRGGAPPPRAGSGLAIPEGAAPPPVGAAPAPRRKAGFGFAAPELPDSSDARRHRRPHKHAPGDDEAAPPGSLTTPGGDVSPPPLGGAAPPRRKRIGILAPAWASALTGPSDARRPRPRQHPPGHGDEAAPPNGGSPTAPEGPRLPTAKTIERVSTFEKDDPTEAAPAPGRRPPAAAAHAHDDARPQQPAPAPTRYQPSHGYGGKKWQQAGHPSPMFPTERRRKKENSSKPLAFLFTLCCILFWLLVVCIGLAILVIYLIYHPKPPRVHVSTATLNAGYIDELPPPHLGVALNSDLYVLAAIYNPNTKIDVVLHYMQFDLYFQGHLIGTQAVWPPLYERPGDSELRSVHLVVSEVVMRPEDADVWRNTTASGGLVQMQLEGRWWVQLNFGRWLPFRYMVKPSCTLWLDPPPAGALRRARCHQ >KQL03817 pep chromosome:Setaria_italica_v2.0:V:4794140:4797075:1 gene:SETIT_000229mg transcript:KQL03817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVVAVQIGKLGAALLKEAATLGASLIWKEASALKDLFGEIRDVKEELESMHAYLQGAERFKNTDETTGIFVKKIRGLAFEIEDVVDEFTYKLEDKHGGFATKVKKRISNVSTWRRLSCKLRAIKASLEGADKRKVRYDMREIRRDGRSDGQSRSAYQSLHLAREEEPVGIKKNKDLLLQWLTSDFGKQRMISAVWGMGGVGKTTLVAHVYNSVKLSFDTSAWITVSNSFHVETLLKDIARGFGLGVANCERFCLVESIHNYLQGKRYIIVLDDVWGVDVWFNIRDAFPTNSVSRFIITSRILEVALLATANCLVQLVPLEEHFSWELFCKEAFWQNDDKMCPPELIHLAQKFVWRCNGLPIAIACIGRLLSSKQRTYAEWEKIYKDLELRLTDNVILDVNTILKVSLEDLPFDLKNCFMHCAIFPEDYLIKRKTVIRHWMTAGFIQEKENKTMEEVAEEYLHELINRSLLQVVERNVSGRVRRCRLHDIIRVLLLTKANEECFCKAYNGSGTFSAEGTRCLSIQSANIEPLHRSVVANLRGLYVFERNINIDLLKTVLTTSNLLSSLDLQDARIKSLPNEVFGLFNLRFLGLRNTGIEYLPEAIGRLQNLIVLDCFNAKLSTLPKGIAKLKRMRYLYACTLPSSDEIAPAEGINVPKGIRHLTGLQALQCVKASLETLSNVGALTDLRTFSVSEVRSEHCDYLCNAVSNLSCLVHLEIMAQNEEELQLQGLHLPQTLSWLGFEGRLEAASMLQVMSSLLHLQNLTRLQLVLSRLDEESFSRLLVLQRLCSLQLTNAFEGKKLHFRAMSFPKLRYLNIFGAPHVAQVQIEESALSSLVELRLENFPELLILPDGIEHLTALHRLYIEDACTEVTEKLSSQGGPRPIECSEDLEKINHIPMVVVRMRQKNVWERIP >KQL08277 pep chromosome:Setaria_italica_v2.0:V:44542958:44543425:-1 gene:SETIT_0025622mg transcript:KQL08277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAASCCVHYDAVPHGRRPIPKLARPLDLVARTCRGSGLGSQSDRDYLIGQEHVGDGWIITNSCMYPSIGARLIAISMDPCTEFLSPAVSHKLLLPPRRLCTWASIDRSVDMIIARAHNLVCLPSLPLLLYGGTSIHRRPWAVHWFFTNPDVTQFF >KQL05512 pep chromosome:Setaria_italica_v2.0:V:25305035:25305589:-1 gene:SETIT_005195mg transcript:KQL05512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAHPQLVLLAAIALLFAGLLPQALGRGKGHGAGAVNPQVAGICSRTPFPEVCTSTAGRHASKYPVIDNLAVLNMQVEAFSKRVAQARKHVAKSARTIPPAQSQALTFCDTMYMNTQDTIGAAQRAITFKDTGTAKIMLQLAVQDFESCDRPFTQANAANPMGKFDKELNQMANNCMTLANMI >KQL07148 pep chromosome:Setaria_italica_v2.0:V:37935979:37939334:1 gene:SETIT_001934mg transcript:KQL07148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSLARPLRPPVWAGCGGSRDCGVPRGSVSVVRCRAEAAPLTGAAARAPVGPYTGRDPEVKKPAWLRQRAAQGEKYARLRESIGELKLNTVCVEAQCPNIGECWNGGGGAGGEGDGIATATIMVLGDTCTRGCRFCAVKTSNKPPPPDPLEPLNTALAVASWGVDYVVLTSVDRDDLPDGGSSHFVQTVKALKELKPGILVECLTSDFRGDLEAVSSLANSGLDVYAHNIETVRSLQRIVRDPRAGYDQSLAVLKHAKSCREGMITKSSIMLGLGETDEEVRQTMMDLRAIGVDILTLGQYLQPTERHLTVREYVTPEKFQFWKEYGESVGFRYVASGPLVRSSYRAGELFVQNLVRNSKTLSSSS >KQL08141 pep chromosome:Setaria_italica_v2.0:V:43760091:43768090:-1 gene:SETIT_000148mg transcript:KQL08141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSIIFPDVPIALRLSSHLMLGVVRIYSRKVNYLFHDCSEALLKIKQAFRSTAVDLPPEESTAPYHSITLPETFHLDDFELPEAAFQGDTDHHVSTKEQITLQDNPEKTGYSTSQFGLDERFGDGSSSHIGLDLEEELMLNKDHSIHLESDDGIIIQGRPSVPSTDMDIDDNQSKDETAERCNNMDDGPSSQGKLSPLNADDLGGNSIPNWTGYNAQTPDLNDMLLHNEGIAGPSASYYQPSPFPCDEPASPEFISAQAPATPGLIGETVPSRVHESPVLSPQRKASPSSNDETVKASTPAAPGSDSLHSATANATDVVGAEATELGLAKPVQVESSAVVQDDVVLMQQGTSEDLPSHAQTSNLEAAGDKLICPDDIAASGETVTVNATIEDLPLAVNGSELCVDGSTEPSVMENPAQIDGLLVDAQDFQHEVQAVQQEVASNDRPNELTSEFAEPEKMLSAPDAEFNDANDLGQITAEKGTTESDGSNKIGSLTSRKRHLEDSLPALESETTERLSSRPRGKRSADFVPDDDDLLASILVGRRTSGLALGSTPLPPRASSLKRPRLGSKAGTLKRKVQIDDAMVLHADTIRQQLINTEDIRRIRKKAPCTRSEIWIIEKGSLEDDIFHEPIFSCLSEELNNLHNRTYGTIVHPTVQNMELQGQLDMSETAAEDGNIVGTSGAATTGDPLHMPDIFHSDAVLPNANDADGATAAFGLQIPPDNQVNGVSNDFVADTVFQGVAEPLIDNEKEVAVADREHAQVDTLDNDRLQDVPSDLQRSTDANGSRPDVALDSSSGQTYAQAADDMTQEFNHFVHSDANVFENNEVPTSEITGVEYNQEASGFLQPTEDENAVSAMGDNSGFQENNMDSLMDLDMVNDFGLKDCNDFGSAIHGVDTDFLNYDDDGDFDDANNDEPNPDEFQSLDNSGWSSRTRGVARYLKTLFDEESGLGRKSVAIDHLVRGKTRKEASRMFFETLVLTTKDYISVDQPNPFDFVSIKPGPKLLKSEF >KQL04557 pep chromosome:Setaria_italica_v2.0:V:9869322:9873855:-1 gene:SETIT_000559mg transcript:KQL04557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSINMLKEQRLSAKMGSTFNESGYESSKQFNCYFPGSSENHNSDLYLEDEAMFCEPQPKMEWQSKHMRSDGNLVDEGSDRLWRIDQFNSEDHFPNQREEHFDTSGYGFKDRYSPERRNSTRSSTGFKNTGIPSSHDLFYDHSLMDDDEGTGLFDWERRPPSKKIFNSNGTFGPSAWSFDTVDDSEKRRSPISEESSSSAAAMKKPSPSVKNEMNQKDEFDISLDKLDIPNMDAHLHGRSLFNNPEKVDRKGTTDQKKLETSYWPENVTEQPRTQEPRCRLSLKEKFSNWDSPTFHLKDSTGLTNQSSCTIMHKDKPSFHVSPDLSVYQTVESTDKRPVSHRPDNAIFEDGIHMQQPISDVFGDKTELSNPFRTKDLQIDIDMNTLFGEKVDKKQEDIFPTFSNRSADSVPAEKAVSSVRQTVGGHSICSQPSGKGSFRHGFSPGFNFQESESNAFWGGSHVNNDTFLGDLESSGLLARKNSDKNEVKIEASEKPDTRLLTETHRLSADHKSEMNGTETCSNGSEVSNCSDAHKETSAAATQIPANLSCLQETSAELFQVRTHVRPITREKLDNPNVDFKAPVHLRSKIDDVGDHSKLNAMFQSPFIGEEMGIEKKIIASVSPDNSDVQYQFMLEQRVLRRLCVQKIVVPTPMKDKLDKDTRFRIVEDGSHALPESV >KQL05525 pep chromosome:Setaria_italica_v2.0:V:25370617:25370866:1 gene:SETIT_004080mg transcript:KQL05525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSTRPSPAPGRRPLRWYDRAVHGFWAEYTDAKLPTALQTLHGDFF >KQL05222 pep chromosome:Setaria_italica_v2.0:V:18305710:18308027:-1 gene:SETIT_004694mg transcript:KQL05222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYGFDLSKNHPPVQQLQLHLPDMHMVTYHKRDKIERVVKRPGADESMLIAYFDYNRLHEEALGILYRDFPEHYTWESNGKFWKPRKNAIYQVGRLVSAHPAEGERYFLRVLLNHVAGATSYRDLRTVDGVLLPSFREAAERRGLIEEDNTLDECLTENNLFHMPSSLRRLFATILVFCEPNDVFGLWTKHLDAMLEDYRRNNPNPSLVEQMVLIDIRNMLQSMGKDIRSFPLLGIDDAYDNASGIPREIFEEASIDQDLEDRAAYEEIMSKVDTEQGGLFFVDGLGGTGKTFLYRALLETLHNQNKLAIATATSGVAASIMPGGRTAYSRFKIPLTLEDGGCCSFTKQSGTAKLLQQASLIIWDEASMAKRQAMEALDNSLRDIMGRQDLPFGGKTVVFGGDFRQVLLVVRKGSRAQIVDASLWRSYLWESMHHLKLVRNMRAQSDPWFAEYLLRIGGGTEEVNGDGDKDLDRLIECIFPNLNANMTNKDYITSRAILSTRNDWVDNINIKMIGMFQGGEMVYHSFDSAIDDPHNYYPSEFLNTLTPNGLPPHLLKLKIGCPVILLRNIDPANGLCNGTRLVHAGKRVFLPRIPLCPSDDEMFPFQFKRKQFPIRLSFAMTVNKSQGQTIPNVGVYLPAPVLSHGQLYVAMSRATARTNIKILALPPNAKADEEQTKKKEKKTANKKVNGQGNQNNNEQKGSSEKKKRVPTVDGTYTKNIVYKEVLTP >KQL06116 pep chromosome:Setaria_italica_v2.0:V:30788306:30789966:1 gene:SETIT_004728mg transcript:KQL06116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFSCQHHSASLRIFLVFVILLLNAPAGLCSCCKRIFSFGDSIIDTGNYANGPSMEFPFGMTYFKRPTGRISDGRVLIDFYAQALQLPLIPPNLPDKDTEQLQKTANLIPISFLGEKKIKNELQQVLLELLAVLGSTAMPNDYFNKWNHSVPMWCHLGMQMGWFKDLLHRIAPKDASLIIVLGEIGGNDYNFWFHGNRAREEAGQFIPDIMAIIGSSIQDLIRMGAKVIMIPNNFPIGCVPKYLRDYKSANRADYDEHGCLRWFNDFSQRHNQALRGVVDRLSAQNPGVKLIYADYVGAAMEFVKNPHRFGIGDPLTACCGGDEQPYHTNKGGFANWDGVHTTEKAYEVISQGVLNGPFANPPLLRTC >KQL05844 pep chromosome:Setaria_italica_v2.0:V:28394057:28397538:1 gene:SETIT_002647mg transcript:KQL05844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGGSSSGGRGGRRLEYGRTYVVRPKGRHQATIVWLHGLGDNGASWSQLLDSLPLPNIKWICPTAPTRPVAAFGGFPCTAWFDVEETSLDGRDDIEGLDASAAHVANLLSSEPSDVRLGIGGFSMGAATALHSAACYAHGRFTNGIAYPISLSVVVGLSGWLPCSRTLRTKIESSQTALRKAAALPILLNHGRADEVVTYRNGERSAEILRSSGFQYTYFKAYNGLGHYTIPEEMDDVSKWLSSRLGLDRPRG >KQL04867 pep chromosome:Setaria_italica_v2.0:V:12542393:12542931:1 gene:SETIT_0007682mg transcript:KQL04867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVCGRPSSAFDDGQCRTTPPPAAKLSAAVRREEEARKQQQQHARTGSGREEALERRRAMMAMAAACQVRSPVPRAVEGEQVAAGWPPWLVAVAPEAVRGWVPRRAESFEKLDK >KQL03694 pep chromosome:Setaria_italica_v2.0:V:4124900:4127564:1 gene:SETIT_003544mg transcript:KQL03694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQKQTMFKGQSKKKTIPPNRHGKAPHVRKGKRVVKPTKFTKDMDAEKELTKFINQCNEIKAANLASKEGGDLSILKVDGDQSKSKK >KQL06499 pep chromosome:Setaria_italica_v2.0:V:33848576:33853729:-1 gene:SETIT_004883mg transcript:KQL06499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLSHRDRSLLLLLLLFLAVGCSGDDTYATSACRWRPYLCGGVNISYPFYLTSDTKAIPDHEGESYCGYPGLAVTCDGGNRAVLKLGGYNYTVSRIHYANLIVSLADADAAAAGNGCPIVDHNVTFPAGARLFLPISAIDYLFFFINCSFGHPDAPAPSKPKPPKPPTIKPITCGDFDEPDSMTFVLPSREVPPADWSGACKSVFGAPVLRDAVPRDAQDPKWRGDGYSKALRSGFQLGWERSSGECSRCEQSGGKCGFSGAGEFLGCLCADGRMGDGDCSKTLADSSSLGVSAAAAGLAVLGAGAFLFIMRKRRKNNVINSSSKLLKYSGSGGTPRSRVGDMESGSIDDPATHLFTYEELEEATNSFDENRELGDGGFGTVYKGYLKDGRVVAVKRLYNSYRRLEQFHNEAAILSGLRHPNLVMFYGCTSSQSRELLLVYEFVANGTVADHLHGPRAPERALSWPLRLNVAIESAAALAYLHAIEPPVVHRDVKTTNILLDADFHVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLVELISSKPAVDITRHRNEINLAGMAISKIQKCQLEQLVDLDLGYESDPATKKMMTMVAELAFRCLQQNGEMRPPMKEVLEVLRSIQGECPMEKDGDKHKDGPFSPTTVHAPWDSRATTPNTSRD >KQL04851 pep chromosome:Setaria_italica_v2.0:V:12427308:12430418:1 gene:SETIT_005011mg transcript:KQL04851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARLLSAPSPVSTASSSSLPSAGARPRRASWKPRGSASAPPLSLRARASMQAAAPLPQGQGGPPVHGVSNTVVGVLGGGQLGKMLCQAASQMGIKIVILDPLQDCPASSVCHEHVVGSFNDGDTVREFAKRCGVLTVEIEHVDAVTLEKLEKQGVDCEPKASTIMIIQDKYRQKNHFSKFGIPLPDFMEVDTLHSIEEAGERFGYPLMVKSKRLAYDGRGNAVAQNKEELSSVVASLGGFERGLYVERWAPFIKELSVIVARSRDGSTVCYPVVETIHEDNICHVVEAPADVSDKIKKSATGVAEKAIKSLEGAGVFAVELFLTENDQILLNEVAPRPHNSGHQTIESCYTSQYEQHLRAILGIPLGDPSMKTPAAIMYNILGVDEGEAGFLLAHQLIGRALNIPGASVHWYAKPEMRKQRKMGHITIVGPSKMSVKSRLDKLLQSDTYDPKK >KQL07121 pep chromosome:Setaria_italica_v2.0:V:37773683:37774952:1 gene:SETIT_004448mg transcript:KQL07121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAAAARDEAAFSLRVLRHIASNDGSRANLAVSPLSLHAALVLLGAGARGATLDQIVAFLGPAGGPAHAALASHAALHMLAADHSAGGPTVRFANGVWVDAALRLKDAYARVAAEHYRAEARPAPFKSMPEDVRLQINQWIASATAGRIKDLLPPGSIHGGTPAVLANALYFKGAWERKFDASLTLDGAFYLPTGGHVRVPFMSSTSKQHIASRPGYKVLRLPYARGRDHRAFSMYVYLPDAHDGLPGLLQKLSSDPAASLESSASLMAEVPVRALRVPRFTVSYKTRAAAMLRDLGLALPFDPVRADFGDMVESAPEPLVVSEVYHECFVEVNEEGTEAAAATAAVVAFGCARLPLPEDFVADHPFMFLIQEDFTGVVVFAGQVVDPSLSR >KQL06189 pep chromosome:Setaria_italica_v2.0:V:31534134:31534808:1 gene:SETIT_004843mg transcript:KQL06189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKRSTYAYDTDVVMDDGTTIRTTVTNSGDAVKLFLKEVYKYGQHLIVGLDTEWRTINHRDGHHSHRMAVLQLCVGYSCLVFQIVRADYVPAVLKAFLACPNHSFVGVGVDNDVERLYDDCKILVANAVDLRYVAAEVLSRPELRKVGLKTLTREVMGVHIDKPMELTKSRWSQPLSMEQVRYACIDAFVSYEIGRLLLIAAQRAGDSAATGATFLQFMSFELP >KQL05422 pep chromosome:Setaria_italica_v2.0:V:24133723:24141021:-1 gene:SETIT_005112mg transcript:KQL05422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGAGPSGPVRSQSGRQRTMSRLPTRALTMRPEGFSGEDGVEIVELVPSSLAPIVPILRAANEIEEENPRVAYLCRFTAFEKVHTMDPNSNGRGVRQFKTYLLHKLEKDEQETKRRLASTDAREIQKFYEYYCQKYLEEDHEKRKPEEMARYYQIASVLYDVLKTVTPGKHHPEYDKYAEGVEKEKASFSQYNILPLNISVPRQPIMEIPEIKAAVGLLRQMDGLPMPKPQSSDGKTGLDGMDRPVQDLLEWLWQTFGFQKGNVENQKEHLILLLANIDMRQQGHAHQSERHVHMIRNSTVIDLMDKIFKNYNSWCQYLHLESNIRIPRDASTQQPELLYIGLYLLIWGEASNVRFMPECLCYIFHHMARDLHDIISDRRDGWFDPPFQREGSDDAFLQLVIEPIYSVMQKEAARSKRGTVSHSKWRNYDDLNEYFWSKKCFKKLGWPMDPTADFFAVPTRTKNETEVIH >KQL03923 pep chromosome:Setaria_italica_v2.0:V:5366558:5367913:-1 gene:SETIT_004174mg transcript:KQL03923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQPQSPAGPAPYSPQEGRHGDAPNPPAASGEPPAAAGPGGGGGEIAALDKQLAVGGGGGGEERKMGGGASAGAGGGRKLVAEAMHKYAAPRSSRFHGVTSGAASTRRTSETKPARSRAASARASTVCTLPTSTLSSSSLQNLLNVSTIVVYMRWNQLVYLISDYEKEIEIIKTMSQDEFVAYIRRQSSCFSRGISSYRDGKWQARIGRIGESRDTKDIYLGTFDTEEEAAEAYDIAAIELRGVHAVTNFDISNYCEDGL >KQL07146 pep chromosome:Setaria_italica_v2.0:V:37916375:37922795:1 gene:SETIT_000083mg transcript:KQL07146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKILKSETQKSEEYRRVADTERKVANDWRASCERLRSEANEVRAQLASQIQKTEEALKRAEMEKQKVAREKKRSDSEKSLAEKNKTLIEVERKKVSEEKFRADNLFAKLEEQKKLNERLRTSIQVETKNGIEEKKRADHLLQKLEEERKQNEHLQRKTNELCAGRDVISSGKYGRKHVDRASESANVKLLKEKLKLKKEQLKHVKNVSKLDKAKNALIRRELQRLKQDWMQLLSRFNMLDDHLAGGVEGIHVLTELEQHPEIRGFEQKLLPNDSVPAPYFGLQSGMVPFGSSIPRGYTSYQLPRESCTRPISGTSSELGPPLGSSHRTKSKKSKSHYRSSCPTSTSDEKFMGSQGKDGLFVSSSTDIRNNQASVVPERCPKDSNGRSLPLEASKLPLSGCTEVTDKTLGGDRRRKRTKKSVEPAACLPYKHGLLHSELKAHAATSNDDPSNNNMLSVTEGDMESHRRKYLAVSDKAPSFSFPSKVPSPGAGNDCAGSKFASLLSFEEMIKENCLKLLNFDDDADEEKYRKAKERPLSPNLPIIRPRRTKVPTCAEPGSLGDRTVNCCPASGSDSIDSTMSEVLEVKEPGIQKLAQNCIQLDPSSNRIECSDYVEQLGANNKSKAAGNVSCSAGLAGMPTNASFGSLLHEDVAGNSDTGRLVLSGSSCVGHSNSILHFQHLSKEVPSKNSSHKICDRSSNSLLQSNVGASETTVTKPNNLDSISMLGHYCGSERPPMHLVVSTRMKRSTMINILRYWEMLDSQSRDLSKESSIDGPLLEKVSTDPLISTDEKVSLIFSLLLWDLRFAEETFADGNFASSAFSLSVKSHMETRWTILRGDQLDVLISLIEDFLLNKEVIVCEKMGQKAFGASKDHELDDEAGIQISVKPAKVDQFIAACILLASICVEVERVDVVLEVSYKVLQMGKSNLLWTLLALHVFGSMCGDKFLFPKSCNFLTTAIRLVVQLLESKDPSLCLMSSYVQSDKPTTLPSCAHCLFDVDKVSIDGFISSLLDELDLCSLLWNNHAYSNETTRHSSHSGSSGLEINCGELCCISKQGKLAEDSGNCPAGISLCYFTELISLLELFGIYMSCEWTYSNVIVRLLEILESCMCDEYSAALLVLVSQLGRSFIDNVGYEQRRVSELRDKLSSLLAGTSFTKSRSLSVQFSAIGALLSVLPLPFDKIIATQSRQLSGPFVVQERQISEWFVQLSNEHQSLARSFFS >KQL05698 pep chromosome:Setaria_italica_v2.0:V:27081580:27082917:1 gene:SETIT_002570mg transcript:KQL05698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDSSALQASPESIAQKMGFFKVPDILIKLSTSLSELDVVRSPTSPLDLKFFTGLGTKSPRSSSLDACQNQKILLGDRVGLGLVDSLADENPTPLGSRKVLLGSEMRITDNPFLKNSCTAPVQAGEVEQKNDNMSDGLEGSIMSLDDIVNSEDYTCVVSRGPNPKTTHIFGDRVFELQVEHLMPAESKDEENMSPLVKEGAMSFCRFCSEKLKEGKDIYIYQGDKAFCSSECRENFMEDEMEEYYPAPPSSSPLDNGPIFQLIR >KQL06606 pep chromosome:Setaria_italica_v2.0:V:34578996:34579443:1 gene:SETIT_003669mg transcript:KQL06606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAASAGILLASSGSGSHTSAVRQPSRPVRHRTTPGGGRVERVQGLAAHAKPARGEHVGV >KQL07086 pep chromosome:Setaria_italica_v2.0:V:37579623:37581966:-1 gene:SETIT_000771mg transcript:KQL07086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVSAMEEALPNPKARREGGLRTIPFIISNEIFEKVATFGLTANMILYLTERYLMSNAFATVVLYNWNAFSNFLPIFGAVLADACLGRFRVIALGSFVSLSGMCLLCLTAILPVYKKTPECAARVADCEVVPWQLPLLFTSFALMSVGSGGIRPCTLAFGADQLDRRDNSSSNVRTLQTFFNWYYTVLGLAIVFAATVIVYIQQTRGWVVGFAVPVVLMVTALTLFLLGSPFYLKAAADRSAIVGLVQVLVASYKNRHEPLPPETADASTFYNKAGSKPRTPTNKLRYLNRACVLRNPSKELSADGAACDPWRLCTVQQVEDTKAVIRVLPIWSTGILPGVIVGQQMFPTLQAKTMQRRVGGLEIPAATFGVFSILTLTVWVAVYDRALVRPLSRLTGHARGLSLRQRMGAGLALFAAAMAVAARVESARRAAAVAEGLRDSDPRSGTPVHMSAMRLVPQHCLMGLAEGLNLIGQIEFYYSEFPKTMSSIGVSLLALGLGFGALLGSAIVGVIGAATRGGGHDGWLPSNLNRGHYDNYYLVLAVLGTANVVYFLVCAWAYGEEGHNRVVAADAEAEAAVEDGNVKEEQQKAVII >KQL03840 pep chromosome:Setaria_italica_v2.0:V:4901451:4909541:1 gene:SETIT_000136mg transcript:KQL03840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPMVVVDVVEEEAVEIEGVKVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFGEVFQCMFDYIDRLFVMVRPRRLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKQQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKIMSYIRGQRNLSGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKVKRKAGEFDEKGDAIVPKKPYQFLNIWTLREYLEYEFRMPNPPFQIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDSCTPDLNRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVRDDLIVPVQRFQGSRLASGAVPAPYEQNGSHKDNKEMNNRARKAARVSTSGSSIAAAIVEAENDLEAQERENKEELKSMLKDALREKSDIFNSENPEEDKVKLGEPGWRERYYEEKFGARTPEQIEEIRRDVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLRDLGQLGITFELGTPFKPFDQLMGVFPAASAHALPLQYRRLMMDPNSPIIDFYPTDFEVDMNGKRYSWQGIAKLPFIDEARLLAEIKKVEHTLTPEEARRNSVMFDMLFVNGSHPLSPYIYSLNSKFGHLPDKERNEIKEKLDPSASGGMNGYITLCSGDPCPPIFRSPVDGLEDIMDNQVICSIYKLPDHHKHIARPPAGVIIPKKTVEAGDLKPPPVLWHEDSGRRPHDNSNRHNPPGAISGRQLGEAAHRLVINSLNAHGRGQHNGPSMPYQTIMNGMHHLNVVHPIGNQGMPPRVEQSAGRPGWNVPRDGVPDGQIPAYASSGSGHYQYDRSGQYEQGNRGRQQNHPYARDGYHDARGRVPPAYGYQQTGGNMYSSQPVALPPGPGLYGQQPPSAYPGVRGGGYQPPPYGGAQQWQQQPYSSYAGRGPYGGGPPPTRADSRSQQSQNRYGALDRSSNRRPPSGYGR >KQL04033 pep chromosome:Setaria_italica_v2.0:V:6039683:6040429:-1 gene:SETIT_0043892mg transcript:KQL04033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVYPNTPEDPAEFGLDVARTGVVDVEPHKSLLSGKAAVRRATEAVGIPYTYAVAGYLAALALPSIGIGQGEDKAVILGDGDTRVPFVDEGDIATNTVLAAGNPRAENKTLHIRPPANTLSRDELLSLWEKKTGKALQREYIPVDAVLKQTQEAEEAAMPLNMILAIGHAAYVKGEEEAGFEIDPAKGVDASELYPDVKYTTVDEYLNRFL >KQL05805 pep chromosome:Setaria_italica_v2.0:V:28026637:28027089:-1 gene:SETIT_004901mg transcript:KQL05805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CTVVQLKRRNWDGPEECKLCKEQEDADHIIFRCPLASFVWCWIRDSLGWDGIPDSLSEFADRRLGDGSKPKRELMIYLLASVSWVLASVSWVLWRTRNDWVFSNILINNPKSLAYKVIVFLQFWSKMASVEGQTRRERLPLKLEQGLRRI >KQL07326 pep chromosome:Setaria_italica_v2.0:V:39115796:39120540:-1 gene:SETIT_001258mg transcript:KQL07326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAQKRRLPSASSRPRDYVAAPGSDAATSGGGGGVGEGRGGRPPLPSGGAAKRRLTEPKPQRGLEDDSDAEDGGGADGDSESSQSDGGGNDEFMLVKLAEIRKEVQCPICLGIIRKTRTVMECLHRFCRDCIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALITALYPDIDKYEEEELAFSEQEKTRNKKIQETIEETFRRQSEAIGKKRSTAKATATAFARKYRRNMQTRGRGRTVTPDIAPTGSDDEDIQEENANEVTKEPSSADDHSPDLRQRRCRKRSASQASPARTIGSSDQSVEENEVVGGKEILATSPLRGEMLAWGKNGTRSQNRHGSASSNGRIGRSGRIAKLVDHLRTTDEMDKEFHLYLVLLPINGQSTPNLEKPYLSCRPTLSIQHLVKLIAPQLSRKDEELEMYIRMDRHNGSVGSKASSTGEAEPRPFDGLERLRGDKLLSDLHPSFASSNGDLELLYALKSGE >KQL08190 pep chromosome:Setaria_italica_v2.0:V:44082612:44085079:-1 gene:SETIT_003138mg transcript:KQL08190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGGAADDASPPAAAGFSYLAVFHNYPLVAAMLGFAIAQSIKFFVTWYKENRWDPKQLIGSGGMPSSHSATVTALAVAIGFQDGFNCSLFATATIFASVVMYDASGIRLHAGKQAEVLNQIVCELPSEHPLSEARPLRELLGHTPTQVVAGALLGCTIATAGQLFV >KQL03282 pep chromosome:Setaria_italica_v2.0:V:715015:717134:-1 gene:SETIT_001101mg transcript:KQL03282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSPFVALLFVALLSLLFTTRKRGCPSRGNGRRLPPSPLGLPLLGHLPLLGSLPHRNLQAMAAKHGPVMLLHLGRVPTVVASSAAAAQEVMKTRDLAFASRPRVRMAERLLYGRDMAFAPYGERWRQSRRVGVLHLLSHRSVQSFRHAREQEAAAMVDRVRRGADDVVNLNAVLISYTNGVISRATFGNGGSYGLDGGEKLAKVFAEFEELLGTVTMGEFVPWLAWVDTLMGLDARTARMSDEMDALVERVIADHRRRRRGGRREGEGDDRRDFVDVLLDVNEAEEHTGGGVLFDDVAIKAMVLVMFAAATDTTYTSLVWAMAELINHPHEMRRVQDEVRAAVGGGDHVAEDHLENLSYLKRVIKETLRLHAPLPLLLPHETTEDTELLGYHVPARTRVIVNAWAIGRDPAAWERPEEFMPERFAADDMKADYVLGQDFRFVPFGAGRRGCPGVGFAVPSMELALASLLYHFDWELPAGGPSKLEMDELNGLSVRLKATLNLVAKPWSP >KQL08581 pep chromosome:Setaria_italica_v2.0:V:46155087:46157574:1 gene:SETIT_001436mg transcript:KQL08581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVAAAFAEALARRALRAWPGLCRALLLPRAAPAAAELAAARRHFLDLCPFLRIAGAAANQCILEAMESEKIVHVIDLGGADATQWLELLHLLAARPEGPPHLRLTAVHEHKDVLAQTAMALTKEAERLDVPFQFNPVVCRLDALDVESLRVKTGEALAITSSLQLHCLLASDDDSAAGAGKDNNSSNKTERRSPESGLSPSTSRADAFLGALWGLSPKLMVLTEQEASHNAAALTERFVEALNYYAALFDCLEVVAARGSVERARVERWLLGEEIKNIVACDGVERRERHERLDRWAARMEGAGFGRVPMSYYALLQARRAAQGLGCDGFKVREEKGSFFLCWQDRAIFSVSAWRGRRFD >KQL07469 pep chromosome:Setaria_italica_v2.0:V:39894476:39897360:1 gene:SETIT_004955mg transcript:KQL07469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAMPISDGLPTNVTAQIRNVTQPKASSNVVCYSPMMITTNGIWQGFNPLEFSLPLFILQTAIIVVTTRVLVLVLRPFRQPRVIAEILAGVLLGPSVMGQIETWATMVFPQRSLLTLETVAHLGLLYFLFLVGLEMDIDVIRRSGKKALFVAIAGMALPFCMGIATSFIFRHQVSRNVHQTSFLLFLGVALSVTAFPVLARILAEIKLLGTELGRIAMSAAIVNDMCAWILLALAIAISEVNSAALSSLWVLLCGVLFVLFCFYAVRPGMWWLIRRTPEGEAVSDMQVSLILTGVMLAGVCTDAIGIHSVFGAFVYGLVIPTGPLGVVLIEKIEDFVTGLLLPLFFAISGLRTNVRKIGDPITVGLLVLVFVMASFAKIMGTIIIAALYTMPFREGIALGFLMNTRGLVEMIVLNIGRDKEVLDDESFAVMVLVSVAMTTLVTPVVTGVYRPSRRLVGYKRRNLQRIRHDSELRMLACVHTTRNVPSVLSLLELSNPNKRSPIFIYALHLVELTGRASNMLAAAAASASKQSRSGTGSSLPPVTEHIFNAFENYERHTGGVSIQTLAAVSPYQTMHEDVAVLAEDKHVSLIVVPFHKQQTVDGGMEPINAHVRGFNESLLSTSPCSVAILVDRGLSAAAARMATEHHVALFFFGGPDDREALAYAWRMVEHPGVTLTIVRFLPPDYRTPSVSGSSYRPSPADADSRHITISTEGKSELEMDEDYLNEFRTRNHGNEAISYSTKMVANSEETVAAIRSMDNNLHELYIVGRRPGQAGSPMTAALEDWMENPELGPIGDMLVSSDFSMAVSLLVVQQYVVAGAPAPVPAPAASSDPVRQYLSNANQRPAAAASAAYRTSAASAANSRWSGSAGTVGF >KQL03654 pep chromosome:Setaria_italica_v2.0:V:3729063:3729384:-1 gene:SETIT_005617mg transcript:KQL03654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHVTKQQNHGATILTLLALHGMQKLHGC >KQL03953 pep chromosome:Setaria_italica_v2.0:V:5572052:5575004:1 gene:SETIT_001533mg transcript:KQL03953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERFELLPPQSQQTEKLPLHGLTFAIKDIFDVSGRVTGFGNPDWARTHGPAAATSPVVLAALAAGATGVGKTIMDEMGCSIDGENAHYGTPTNPCAPDRVPGGSSSGSAAAVAAKLVDFSLGTDTGGSVRVPAAYCGIFGLRPSHGLVSTENVVPMSQMFDTVGWFARDISTLSRVSNVLLPLPADNTIKQPTQFTIPKDCFEILGSLRDQTYQVLNASIAKRFGSDAVDNRNLGEFVSNNVPKIGKFISDFSKSESPSVPALSAISYVVGCLQRSEFKANHAEWVNTVKPNLGPGIRERVHGAITSEAGPMEEFHVLRTEFKAALDALVKDDGILVIPTVPGSPPKLRTEAAALENFRARAFSLLAIAGLSGFCQLSIPLGVRDGVPVSVSLVARHGADRFLLSVAEELYEALKEESKKAWSSSDSSS >KQL07434 pep chromosome:Setaria_italica_v2.0:V:39733658:39737412:1 gene:SETIT_000838mg transcript:KQL07434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFHPPRPNPNQPHRGRPGGDPGPPHLPGAPMHPSFPPPVPNLAAAANPMAAAAAANPFLALQLLGQAQQLQNLGFLAAAALQQQQQQQHAPFFPGGFTPNPNQFAPYAGGPPPAGFNSGGAFRPGGAGVFGPRPPRPMMSPAGKGSNNNNNAGSVGAPKPIPILNVGKKDYNNNARSGGTPRQILNDVRKERNNSGDCNGEVNHFENKTDGISNFASENGNRTTYQKSRFNSGRDGRDVRQFGAPRGRGRGRHYNQGRGRGNNNWGDTKSNFMSHESLASGRHSDVPAPASGGHRKRPSIIYDANEVKQWVEARKKNYPTSVNINKKLSESHLDNQNKDKDAQLRRQELKEVLAKQQELGLELPELPPGYLSETEDQGNEKKSNWKTQRRDSRFENRGNTSKRPRYERGEFQSKRSKVWNRTPSNDGALAKSREPTLLQKLLSSDIKRDRHRLLHTFKFMALNNFFKDWPDKQLQFPIVKVNQIEIEDNITTGNLDDLENAEMAKVSSLDTTGNGVRKELSSIDEETGIADHNDDDEEDGASADSRDEDGDEDADEEQFNEAEDDAAA >KQL06810 pep chromosome:Setaria_italica_v2.0:V:35765990:35769900:1 gene:SETIT_003076mg transcript:KQL06810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECYYPGLSSASLEITEGAAGADTSLTGVFSGNLSSDMSEGSTWRIRRYGFCGMRSKKFDGFIDLDAYDTIAMKIKGDGRCYISTIYTENWVNSPGQQEDNSWQAFVYTPQDRWQILKIPLDRYLPTWRGSVIEAKLEMNPARIVGMSLSVNAEGGVPGAKTGTGDFRLEVDWIKALRTV >KQL07010 pep chromosome:Setaria_italica_v2.0:V:37044947:37046038:1 gene:SETIT_002181mg transcript:KQL07010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASRDGALATGDQLMQAQAELWNHVFAYTRSMSLRCAVELGIPDAGHRLGCAATVPDLAAALSLPPSRAPYLRRLMRLLAHAGFFAFDGAAASYGLTPLSHLLCPRRPARAAGRASRRSRSPCCTPPSCRRSRSPCCTPPSCRRPCSWRPGSAPWTPAPLRRTSRSRPRTAATSGRWRGTTGSSAAFNDAMACDGRFVMDVLVRDHRDVFRGLATLVDVGGGSGGAARAIAAAFPHVRCSVLELPHVVAGVPQGERGGVEFVAGDMFENVPKWILHGWDDEKCVRILRRCREAIPSREAGGRVIVMDLDTWWWDRARQTRRPPRPSCCGT >KQL05974 pep chromosome:Setaria_italica_v2.0:V:29626117:29628492:1 gene:SETIT_002019mg transcript:KQL05974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGGGGGGGDHHGVYHQHGHGGHLARADGAEYVFGNSDMESFFFNQPASGGVGGGSRAGADELMPPYTNITDYLQGFLDPSGLARHLDAPCPAEDGQVKHELSLDVMSHDSQGTSGAAGEGAVALLTPNSSVSLSSSEREGEGQPRRCKKKAEDEVAAEGDEKDQEDGENSTKANKPKKKAEKRQRQPRVAFLTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTPKCGVKKRVERSYQDPSTVITTYEGQHTHHSPASLRAGGAHLFMSGAHGLPPHLMPSAGGFRPDLMSMMHPIHTGANPNMFLPSMPPPQMPTPSPPPPPLQQHHFSDYALLQDLFPSTMPNNP >KQL03661 pep chromosome:Setaria_italica_v2.0:V:3776166:3781386:1 gene:SETIT_001079mg transcript:KQL03661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGGEPAWGEEPAARRRPKTKIVCTLGPASRSVEMISRLLRVGMCVARFNFSHGSHEYHQETLDNLRAAMERTGILCAVMLDTKGPEIRTGFLKDAKPVQLKKGQEITISTDYSIKGDEKMISMSYKKLAVDLKPGSVILCADGTITLTVLHCDKEQGLVRCRCENTSMLGERKNVNLPGVIVDLPTLTDKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKILGEHAKSIMLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFFAQKVMIFKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICLQAESCVDYGAVFKLIMASAPIPMSPLESLASSAVRTANSARAVLILVLTRGGTTARLVAKYRPSMPILSVVVPELKTDSFDWTCSDEGPARQSLIVRGVIPMLSAANAKAFDSEATEEALGFAIENAKVMGLCNTGESVVALHRIGIASVIKLLTVS >KQL07511 pep chromosome:Setaria_italica_v2.0:V:40151469:40151882:1 gene:SETIT_003545mg transcript:KQL07511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDWLFFCLRMLPLRLRLYMLLLNFDTFGAEFGFPSVLNYGCNSIGVCSCGLRPGSGEVLPCKFVMLKPLAVLGCIAEKMLVIIFQ >KQL06778 pep chromosome:Setaria_italica_v2.0:V:35556258:35556729:1 gene:SETIT_003729mg transcript:KQL06778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIKYDFRRNTERSEQGSQDYKPYTLILYLNKIDKLNQFE >KQL07499 pep chromosome:Setaria_italica_v2.0:V:40063905:40068082:-1 gene:SETIT_002293mg transcript:KQL07499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLHAAAAAAPSQPARTSFHPLASAPVSLRLARPSSSSRRRLEASFRALSAGHRFAGRPRRVVAAFAGEETEGSGVGDEKDNSKEEIKPEEAQEAWKVMLEQFKAEALRMQALSVQAYDVYSKRTREVLLEASEKLKIQADKAQKDLSVIASEVGQEGQEYLTMAAQNSPDSIKDITTTFRALGKLNWPSEYEDYHVGIPFGTFLTVGGFLNFMLTGSTSAIRFGIVLGFALLALGISSLRSQRQGGRRPRLLLKGQAAIATIIFFREFSVLLQYGWFPKIFMVLLSGVVAAFYFYRMATGAPKDLSSKSDSVN >KQL06338 pep chromosome:Setaria_italica_v2.0:V:32688945:32689474:1 gene:SETIT_005381mg transcript:KQL06338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVALKGDVNQLLRPFASLASTQKNSLKLSQNRKKKLVPILSIKIRGRFVASYTRGGSVEKPSPTSRAHKVQASTSTSPSPPHEPNASPSPVNGRAAPRIPRLHVAAPIRAAPPCRLRAPLGPAAGASPPVRPRVRPHQVHLR >KQL04323 pep chromosome:Setaria_italica_v2.0:V:8135824:8136066:1 gene:SETIT_005399mg transcript:KQL04323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFQNLRREYMHRATMQRKWFTKSTDKFALVCRMRTLII >KQL04206 pep chromosome:Setaria_italica_v2.0:V:7216006:7221666:1 gene:SETIT_000728mg transcript:KQL04206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLVETYACSPATERGRGILLAGDPKTDSIAYCTGRSVIIRRLDAPLDCWAYQDHAYPTTVARFSPNGEWVASADASGCVRVWGRYGDRALKAEFRPLSGRVDDLRWSPDGLRIVVSGDGKGKSFVRAFVWDSGSTVGEFDGHSKRVLSCDFKPTRPFRIVTCGEDFLANFYEGPPFKFKHSIRDHSNFVNCIRYSPDGSKFITVSSDKKGLIYDGKTGEKIGELSTEGSHTGSIYAVSWSPDSKQVLTVSADKTAKVWDIMEDASGKLNRTLTCPGTGGVDDMLVGCLWQNDHLVTVSLGGTFNVFSASNPDKEPVTFAGHLKTISSLVLFPQSSPRTILSASYDGVIMRWIQGVGYGGRLMRKDNTQIKCFAAVEEELVTSGYDNKVFRIPLNGDQCGDAESVDVGGQPNALNLAIQKPEFALITTDSGIVLLHNSKVISTTKVSYTITSSSVSPDGTEAVVGAQDGKLRIYSINGDTVTEEAVLEKHRGAITSIHYSPDVSMFASADANREAVVWDRASREVKLKNMLYHTARINTVAWSPDSRLVATGSLDTCAIVYEIDKPAASRITIKGAHLGGVHGLSFIDNDTLVTAGEDACIRVWKVVQQ >KQL06549 pep chromosome:Setaria_italica_v2.0:V:34099771:34100412:-1 gene:SETIT_005228mg transcript:KQL06549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTALPARVERCMILYLVYWLTCKLAMPCFCMPHGNFWTGYARLCRDHAVMALLLYLHIYITRAVLVDMTWRSQCCKIYPRLSCRGMWITTKTFSYGTGICSCCTMLLV >KQL04218 pep chromosome:Setaria_italica_v2.0:V:7314537:7316021:1 gene:SETIT_004788mg transcript:KQL04218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGPCANGAAVLDGSMARYVHQCLAVN >KQL05554 pep chromosome:Setaria_italica_v2.0:V:25640339:25641274:-1 gene:SETIT_003303mg transcript:KQL05554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGSKSLPARRVARVDEGGENGGDAVAVREEDGMPRGGDGSGNSKQKAAARYDRCFSGLELSGIGSGPLKDVDAGKLKNQIRRWAKAVVAFARQISFGSPRSVTTSSGGGDTLRSATFPATSRSSRLGGAKDEPPT >KQL07805 pep chromosome:Setaria_italica_v2.0:V:41895415:41905641:-1 gene:SETIT_000422mg transcript:KQL07805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRPPPLGVPGGGTGGSGGAAPAAGAPAGVSMRMFHGEVFLGEMEVFPMKQGGDGGFPFPSNEIRVSHFSPVSERCPPLAILQTIAPFSVRCKLQSKLMPPNPSLHRLYLTCFSEYKSAVVVVGDEELHLVAMPTKLDKGPCFWCCSARSGLYASSVGMLNLRCLAIVFDLDETLIVANTMKSFEDRIEMLSRRMDVEDDPVRIAGMSAEIKRYIEDKELLKEFIDTDTVTDNGKIVGTRKEEVQPVSGGQERVFRPVIRLPDRNAILTRINPEIRDTSVFVKLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEGNLISPQQLSERVNCVKSGSKKSLQNVFRDRGCHPKMAMVIDDRLNVWDDKDQHRVHVVPAYAPYYAPQAEMANAVPVLCVARNVACNIRGGFFREFDENLLKKVFELHYENGLLDLPYAPDVGDYLVCEDTNFVPNNKDQAPIPEGMRGAEVEKRLNGQSFRGEQREGQQISSLTRSPDDEGMSNRGTGGGRNIQLNGGALAIAPSVFVTVLQEIGRLCDSKVEFRSTVSNVKSMQFSVEVLFSNEKIGIGTGKTRDEAQVQAAEKALQNLESSYLSFVAPIAGVPNKDSRKSPGSGNGFLEDVTSSDIDISMQEPSGSTLKQDHSKNLDKLSSVMSLIREYCLEDQHVVFRDQVRNSSPARNEEYHFQVELAGQVLGRGIGSDRDVAKLLAAEEALRTLKSTTDPQIKKYLRPVRCNG >KQL05462 pep chromosome:Setaria_italica_v2.0:V:24744103:24744378:-1 gene:SETIT_005588mg transcript:KQL05462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWTLTAQVSSLAFNSIHITIIHHYDDSSLDFSLTRDCEN >KQL05990 pep chromosome:Setaria_italica_v2.0:V:29838870:29839372:-1 gene:SETIT_005323mg transcript:KQL05990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLILWDPLVASNNSIAAISFPTPFQEPAQILLPSACKD >KQL03440 pep chromosome:Setaria_italica_v2.0:V:2395730:2396367:-1 gene:SETIT_004872mg transcript:KQL03440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSSRQSITGETRIWLKLSPTGRIDSEDGQGEGETEQIEATSGRIHPQEGGEREAELYFIETHLTAVADDDEDGNNGEDAPIRANKESLNLLYRRRRKQGAGPPLRHRRRGRRSGGRRGRRREIR >KQL05824 pep chromosome:Setaria_italica_v2.0:V:28274857:28276649:-1 gene:SETIT_004907mg transcript:KQL05824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPSLLSLKKRALNDSGGGSSPDDSSSPSPKKRKEDAGGREAPVVPCQHRRRAPDAVPAKAKPLSAAEKWLKKGKWIVTAQEAASPVAPPEPPVKKKFMQDGIRSFAETAARIERNRGGVAPRPRDGDAPMVYADGDLGEALERRLADLGATRPWFVYQKTLQKSDVCSNQNRLLVSCKRDTGVEGCPITACFSADEWRRVENKDVGLLVTALDRDAVPYKLTCKFLDSNGGYRFISGWKDFLRRNGVGLDSRGRWTRDVDVELRAFRSRALQRQPLLDGNGKVLKVEGEDGKLNKTLEVDDHFHPDGSLGLILLHHEHRRRRAEPEEEEDDGDYDQGMGSPVARDKHKKPREKRVAPVAAPAPASPMACAGPGAEQSMSKPSPPTELALNLQQQQQQQLVVAAGVTERFAAAVGGPPSSLA >KQL07251 pep chromosome:Setaria_italica_v2.0:V:38681502:38685121:-1 gene:SETIT_000574mg transcript:KQL07251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGELSAHPTSSSSSPHGAPPRRGVERDEIRPATAATSPGGGKQRRRGAWAAALDHRRAGWGWDRAYLLACAAGLVVDPLFLYAVCLSAPLMCVFLDGWFAAAVTALRCAPDAMHAWNLLLRLRDARAPPLPPPAREDADEEAARPGRDGAAAVPGRARSKKGILLDVFVILPVMQVVVWVAAPAMIRAGSTTAVMTVLLVAFLFEYLPKIYHSVRVLRRMQDASGYVFGTIWWGIALNLMAYFVAAHAVGACWYLLGAQRATKCLREQCAQGGSGCAPWALACADPLYYGGATAAAAPGRLAWAGNATARGTCLDSGDHYQYGAYKWTVMLVANPSRVERILLPIFWGLMTLSTFGNLESTTEWLEIVFNIITITGGLVLVTMLIGNIKVFLNATTSRKQAMHTRLRSVELWMKRKSLPKSFRHRVRQYERQRWAATRGVDECRIVRDLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFPKGEVIVREGDPVRRMLFIVRGHLQSSQALRNGGTSCCTLGPGNFSGDELLSWCLRRPFMERLPASSSTLATLESTEAFGLDAADVKYVTQHFRYTFTNDRVRRSARYYSPGWRTWAAVAVQLAWRRYRHRKTLTSLSFIRPRRPLSRCSSLGEEKLRLYTALLTSPKPNQDDLL >KQL05365 pep chromosome:Setaria_italica_v2.0:V:23463773:23466093:1 gene:SETIT_004723mg transcript:KQL05365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRRFTQLDDDDDDDDVVPVKSKPSGGAPSSGANSRKPQPPPQQQQQRRRVPADDDEDDDEELEEEEEDEKDLEAMRRAEEEERREQEAETQTRRRRGRPKRRREPESEDEEPEEEEPQEEEPREEENMDPVPVGDPVRVTGKGKKQKKHYNSFEYEGNTYELEDPVLLTPEDRTQKPYVAIIKDITETEGSLNVTGQWFYRPEEADKKGGGSWVARDTRELFYSFHIDDVPAESVMHKCVVHFIPQHKQIPSRKQHPGFIVQKVYDAVEKKLWNLTDKDYEDNKQQEIDLLVKKTIDHIGQLPDLEPEEMPIDNSDHLSNKRGLRKRPVNPIDVTREPPVGKSEQFAKAETPGSDKLRNYDILVRYKALSGEESRDKWVDKLVDCIPLASKESARASHADPDAAARSSTNGSSAKDVGSA >KQL04909 pep chromosome:Setaria_italica_v2.0:V:12951804:12957018:1 gene:SETIT_001554mg transcript:KQL04909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPKQLVLAASSADAGVAAWDLRTGAEEIRHRPCASRPRALASVAGRFLAAAQAPPSGGNSGTVHFYHWDKPQVAVKSFPAEPIRALLADQEGSYLIGGGSNGNLFLWEVASGELLHTWHAHYRAVRCLALYDYLLVSGSEDGSIKVWDLITVLDEQSRLEAQTPYLYSFNQHALPVTDIACFLGAIAVSSSEDRTCKIWSLSKGRMLRSIQFPTSIDSVALDPRSHVFYAGGRDGKIYVTAMGVDISSHGSDESSILGALDDHSKAVTSLVSSTDGLLLVSGSEDGNVRVWDTRSQQVTRKFKHSQGPVTNVLIVTPKRVNLPPLHPLHKVCSANGEVEPRSVILPRPENDVPIPGNRTSIFMERYLDELQKYGGSSMLFDSGLNIQNGAQNQQGEWRSRYLELQDLFVHEVLDQMPSSRNP >KQL03503 pep chromosome:Setaria_italica_v2.0:V:2757803:2760397:-1 gene:SETIT_003437mg transcript:KQL03503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFASHARRLLLSGAGAPARSFHAQPYQAKVGVVEFLNGVGKGVETHAAKLEEAVGGDLQRLLEARTLRLKKLGIPCKHRKLILSFAHKYRLGLWKPRAEPRKVE >KQL07334 pep chromosome:Setaria_italica_v2.0:V:39184485:39185003:1 gene:SETIT_003205mg transcript:KQL07334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLIKTWPQEITGGPPSPPPRRLNAATGDDADDGVACTAVCLYFPRFSKKKKLPAVKPKKSARKAETAGARRATSWWPWSPSHHASPVDAAAAGASTPDDDDGSASFKLWGQSPSRSQLSSLVTPRASSSSSTFSFPSSPASACSFASTPKIGPGS >KQL08754 pep chromosome:Setaria_italica_v2.0:V:46947620:46950423:1 gene:SETIT_001848mg transcript:KQL08754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRAPSSTSSPELRRKRTAAPAPEPPTPRRFRSMADVMRRSRPVGAPPPVARAREEAAYDALLCDTCGSGDRDDELLICDRCDRGRHTFCLRPIAAKVPIGPWFCPDCAPPAKPVKGFPMKQTKIVDFFRIQKDDQDGVPAKCRLSQDVRRRRKRSLVMHKKRRRILPFVPTEDRARRLKQMASLATALTSSKTEFSNELTYMPNMAPRSSNQARLEDGGMQVLPKEDKETIELCRTMQQRGECPPLLVVFDSHEGFTVQADADIKDMTFIAEYVGDVDYLENRANDDCDCIMTLLLTADPSQRLVICPDKRGNISRFISGINNHTPDGKKKQNVKCVRYDIDAESHVLLVACRDIACGEKLYYDYNGYEHAYPTHHFL >KQL04607 pep chromosome:Setaria_italica_v2.0:V:10189140:10193628:-1 gene:SETIT_000577mg transcript:KQL04607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASAPASKGPRYAPPDPTLPKPWRALIDGNTGYLYFWNPDTKVTQYERPVAAVPASPSQPPGYSRPEERARSSGPSESRSEAAVSRSQYVPPSDNRTRNDHAEPRSAAGANVSQSAQFTNQVSQAANGSQMSTEAYRAKHEITIVGNEAPAPFMTFQSTGFPSEILREVMQAGFSAPTPIQAQSWPIALKGRDIVAVAKTGSGKTLGYLIPGFILLKRLQHNSREGPTVLVLSPTRELATQIQDEAIKFGKSSRISSTCLYGGAPKGPQLRELDRGADVVVATPGRLNDILEMNRVSLRQVSYLVLDEADRMLDMGFEPQIRKIVKQIPSRRQTLMYTATWPKEVRKIASDLLVNPIQVNIGNTDQLVANKSITQHVEVISHSEKSRRLDQILRSQEPGSKVIIFCSTKRMCDQLARNLSRQYGASAIHGDKSQAERDSVLSDFRSGRCPVLVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGSAFTFFGDQDSKYASDLVKILEGADQSVPPQLKEMASRGGYGGRSRRWASSDDSYGGQGYGGRRSTDSFNNSSFGNQAGGGSSFHSSFHNSTSGGQFGDTPSFHGSRNNQTGDNPSFPPSSSNNQSGDGLSFHERFYGPRGGDQSRTSNDGFRARSRSPSKAVGVSNW >KQL04608 pep chromosome:Setaria_italica_v2.0:V:10189140:10193900:-1 gene:SETIT_000577mg transcript:KQL04608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSASAPASKGPRYAPPDPTLPKPWRALIDGNTGYLYFWNPDTKVTQYERPVAAVPASPSQPPGYSRPEERARSSGPSESRSEAAVSRSQYVPPSDNRTRNDHAEPRSAAGANVSQSAQFTNQVSQAANGSQMSTEAYRAKHEITIVGNEAPAPFMTFQSTGFPSEILREVMQAGFSAPTPIQAQSWPIALKGRDIVAVAKTGSGKTLGYLIPGFILLKRLQHNSREGPTVLVLSPTRELATQIQDEAIKFGKSSRISSTCLYGGAPKGPQLRELDRGADVVVATPGRLNDILEMNRVSLRQVSYLVLDEADRMLDMGFEPQIRKIVKQIPSRRQTLMYTATWPKEVRKIASDLLVNPIQVNIGNTDQLVANKSITQHVEVISHSEKSRRLDQILRSQEPGSKVIIFCSTKRMCDQLARNLSRQYGASAIHGDKSQAERDSVLSDFRSGRCPVLVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGSAFTFFGDQDSKYASDLVKILEGADQSVPPQLKEMASRGGYGGRSRRWASSDDSYGGQGYGGRRSTDSFNNSSFGNQAGGGSSFHSSFHNSTSGGQFGDTPSFHGSSRNNQTGDNPSFPPSSSNNQSGDGLSFHERFYGPRGGDQSRTSNDGFRARSRSPSKAVGVSNW >KQL03752 pep chromosome:Setaria_italica_v2.0:V:4436865:4438049:-1 gene:SETIT_002437mg transcript:KQL03752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGKGWFQKDQAATKASMGSRYEVEVTVGSARDLKNVNWRNGELKPYAVLWVDDGPKSSTHVDLDDGENPVWDEKLVVQLPPSAARLEDAVLHIDIVHANAAEGVKPLVGSARLPLRDVLDDAGVGGKVSRTLRLKRPSGRPQGKLDARVAIREAPPPRYHHDPSPYPAPYGHPAGSGGSRDPYYAAPPPPYGQPPYAAPPVGYPAGAYGYGGGPQPAYGAPPSAPAYAAAPVVGAPAAAGAAPQKSNKMGMGTGLAVGAAAGVLGGLALAGGASYLGEKFDDDSHDHDDY >KQL03573 pep chromosome:Setaria_italica_v2.0:V:3212854:3215223:-1 gene:SETIT_003010mg transcript:KQL03573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECKRGDGGGMSPSSSMDSSTHPVLSTTSSGCRPASRRDLSTDLQLGLSLSPASSSLLVAETKSIPSTPRNQVLPDWPPIKPFLRSALTASARRRRTLFVKVYMEGVPIGRKLDLLLLDGYDSLLAKLRHMFKTPITYADVMEYHQRIPREKAAHVLTYEDQDGDWMMVGDVPWELFLASVKKLRIARTDKC >KQL03522 pep chromosome:Setaria_italica_v2.0:V:2920409:2921938:1 gene:SETIT_004682mg transcript:KQL03522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQSVILLKIFTCAFSGNLKEYGSSTGRGGICRTIPDFDRDYMSYFQLHDCLKEFGLKDGDSLYYLKLGYCPPNGLVLIFDDNQCNQLLADHVALSSCSLYIVPDPGRLVSTEPVPRNNPTQSVVRTLVDAFSPDEIGGGEDDVVLKMRHENTGLDETDDDSSDVELVPEHELENRAVDAGGYTFDGDIGDDELYNLKKQQPAIQIKGKDDGKCKTPLFVHRSRELEDNWFDEVTMSHPVMTEGMLFTDVGQFREYPLGRRDGATGRNHERKKEIRGEKREFCLKQ >KQL05099 pep chromosome:Setaria_italica_v2.0:V:15872297:15872763:1 gene:SETIT_003344mg transcript:KQL05099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGRWAGEREGTSDSSSAARWPRRISGFIHAHVARDAHDAVAYGVTFLLVSSWWAPSAVGEDASATTVMPVAPETASRGSGRDRTSAATASASSGSVAAPAPDSTRRATEVPARSAVSAGAASQ >KQL07672 pep chromosome:Setaria_italica_v2.0:V:41114236:41117878:1 gene:SETIT_002630mg transcript:KQL07672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRKFFVGGNWKCNGTGEDVKKIVTVLSEAEVPSEDVVEVVVSPPFVFLPQVKGLLRLDFAVAAQNCWVRKGGAFTGEISAEMLVNLQVPWVILGHSERRALLGESSDFVADKVAYALSQGLKVIACIGETLEQREAGTTMDVVAAQTKAIAEKISDWTNVVLAYEPVWAIGTGKVATPAQAQEVHDGLRKWLHSNVSPAVAESTRIIYGGSVNGANCKELAGQPDVDGFLVGGASLKPEFVDIIKSATVKSSSA >KQL03992 pep chromosome:Setaria_italica_v2.0:V:5761210:5762275:-1 gene:SETIT_004421mg transcript:KQL03992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAINKTKFKVLELNGKNYQTWALDCEFHLEAMQLTSTIARPAASLKLQERFGKQKAVLLPQVRCDWAQLRFVDFKTLRFCGQVVTELEMIEKTLETFHPTNMLLMKNFNMHPDGTQAQPEAHASFHNNKGSKGEKFKKYMNGGQKHNSTGKSKSSKGSKGDANGAKHSNSVAMDVDPISNSAGGDSHAGDEDYDLDDEDLLDME >KQL05773 pep chromosome:Setaria_italica_v2.0:V:27773707:27777815:-1 gene:SETIT_002044mg transcript:KQL05773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGDASAGGDPQRLKRIAAAAYDYENDARWAGYWSNVLVPPHLASRPDVVDHFKRKFYQRYIDPGLIVEPMSSMNSNQSSRPAARSSATPSRENTRPHDSGSSTRSTGASQQSSAERSANSLRLDGRTIHFSINAWVLVVASLGILPILPNHISSKAYRLSLLGTICSSAYSLYTTYGKPRAWNMPAIQPWLQSIIVAKDFVHLMFSFMMFTSNVHFKIALLPVLCWALDHVARFLRRNFTRSSLYRKYLEDPCLWVETNNTTLSLLCSNAEITLGFLMIISLFSSRRNIIQTFMYFHLLKLMYHAPVTSGYHQSVWARIGRAVNPYIYRYAPFLNTPISAVQRWWLR >KQL05673 pep chromosome:Setaria_italica_v2.0:V:26936809:26937344:-1 gene:SETIT_005562mg transcript:KQL05673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSRSHKNAKQWIYGVFLLFYRLLKFGFRISRRQIYIHLNV >KQL07478 pep chromosome:Setaria_italica_v2.0:V:39939071:39942369:1 gene:SETIT_002508mg transcript:KQL07478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWGDRAKASAVAAAAAAADERAGGGGEGLGGYVKVMTDEQMEVLRKQISIYATICEQLVEMHRTLTEHQDSIAGMRFSNLYCDPLIIPGGHKITARQRWQPTPMQLQILENIFDQGNGTPSKQKIKEITAELSHHGQISETNVYNWFQNRRARSKRKQAAALPNNAESEAEVDEDALTDKKPKSDRPLHENKAMTMSIHNAERISGMHHFDASDHDQIGGMMYGSSDNGMRSSGNPGQMSFYENIMSNPRIDHFPGKVESSRSFSHLQHGEGFDMFG >KQL07639 pep chromosome:Setaria_italica_v2.0:V:40957616:40961141:1 gene:SETIT_005203mg transcript:KQL07639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEEGRVFKDLPVLKRWLQAFAVIRKRPYKVLHSYAERRYTVVCDKERCPWRVCAKKQNITGKWKITKVVDPHNCADHELIVRHPQLTSTLIAKRTVEEIYGGYVITYGKAWRAKQRAWKMIYGDWESGYEQLPVLFNAIKTVNPGMHYEYIPKPNAWKDRRQIFGRAFWCFPQSVEAFRHCRPLIPLAFALVERENNDSWGWFLRLGRIHMVGPGREVGVISDRHQTHRGRLIAEGQVRRVMPKFNSAAITALVDRWWPETHSFHLPFGEMTVTLQDCQKMLALSIRGHAVTGPCVSEGWRARMAAFLGQEVEEQGSRTSGVLISWLREHFGQCPQDAYAGTVGHYCRVWILHLFACVLFPDATGDTASWMWIHCLTDWHQARLYSWGSAVLCFLHRQLCEAYRRTSGSALVGGCVYLLQLWMWARLPVGRPEIMPRRPWFPGEMPRWQPTWVYIWDQVKVSHTRLDRAYLDYINEIDALTAHSSSASPDQGARIGSARPPSAKFPPRPTATPGSGAPDPSPRSSASSDPKRRGRAHWGPRGRHPPRIRSRRTRQTKSCGSPRRPRHKCAAGLTEGRATTPLTQPGA >KQL07352 pep chromosome:Setaria_italica_v2.0:V:39263167:39263859:1 gene:SETIT_003432mg transcript:KQL07352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLRSMFLVLAFVVAMSIEGTQAACDDNLSDLIKECQQYVMPPKDPKIPPSDSCCRVVQKADFPCLCSKVTKIIEGIVCMEKAVYVAEKCGRQVPHGFKCGSYTVPAK >KQL06588 pep chromosome:Setaria_italica_v2.0:V:34479284:34483764:-1 gene:SETIT_000744mg transcript:KQL06588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPIDRFATTRDEGHACSDEKRECNSDEENSEGERRVKRGSFKKRAITAGYKFRHSLRRKSRTKSGNHVVSIEDIRDVQELETVERFRRCLLDEGLLPERHDDYHTMLRFLKARKFNIEKAKHMWSEMLRWREEFGADNIEEFDYSELHEVVKYYPQFYHGVDKEGRPVYIELIGKVDTNKLVQITTIDRYVKYHVKEFERCLQMRFPACSIAAKKHIDSSTTILDVQGVGLKNFSKDARELIMRLQKINNDNYPETLYQLYIINAGQGFKMLWGTIKSFLDPETASKIHVLGSKYQAKLLEIVDSSELPDFLGGKCRCEEHGGCSKSDKGPWKDPEIIKRVLNGEANYGRRVLAVSSINQKEVGCTEPQHTTGKGNDVSAESSSEVEDVSSPTASVNPIIIPNLTHVHESKFPGHASTSDAPPIVEDNIPVVNKVVDACSDPRNSSMASTSGSFSLRNTPATLGGLKTQIVAWLTVLIVSLLAFLRSVPTIMTKRLTNQVITCDHYSAEYPPQGNTGNGTLTSVLRRLGELEEKVQTLEVKPHQVPFEEELLNAAIRRVDALEAELISMKKALYEALIRQDELLAYIDRQQQVKFCRKKKCF >KQL08684 pep chromosome:Setaria_italica_v2.0:V:46677373:46679003:1 gene:SETIT_001091mg transcript:KQL08684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAPAAFYTLLCLVGGVIVLLKLTKAVFSRRGAGLNLPPGPWPLPVIGNMHCLLGALPHHAMRGLARRYGAVVFLRLGHVPTVVVSSPEAAREVLKTHDAVVSDRPLYVTADILTYGGQNIAFAPSGSRHWKEVRRLCATELLGPKRVLSFRPIREEAAASLVRSVAAASPAAVNLSERIKVLMNDILMRCAVGDTCPMRDEYIAELDKGLELIAGFNLVDLFPGSRLARTLGAGSLRAAREVHDRVHRIVQAIIRDHESKGLNGDGEEGGGRRDDILGVLLRLQRDGGLETVLTTQVVCGVLFDVFAAGSETTATTTIWAMSELVRNPAVMQRAQSEVRQLLQGRTGVAEADIQGRLPYLQMVIKETLRLHPPVPLILPRSCSEPVEIMGYHVPKGTTIFVNVWAIGRDDKSWPNADEFKPERFEVDFRGADDFRFLPGGGGRRMCPGLTFGFANIEIALANMLYHFNWKLLNGADPSELDMTESYGITARRKTQLLLEATPFVPID >KQL05158 pep chromosome:Setaria_italica_v2.0:V:16675747:16676775:-1 gene:SETIT_003807mg transcript:KQL05158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTQFSQKHKSPKRPIHLLTRSKIRSVSSQQAPHLVGHGEFLLSGHRGGICMDKKHLKEGRDSRQSGYQEI >KQL07373 pep chromosome:Setaria_italica_v2.0:V:39389338:39390391:1 gene:SETIT_001087mg transcript:KQL07373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASQHGPERRMREALGTIHGYAGRIVRERRERGKAGGLASRSDFLSRFAASGEHSDESLRDVVTNFLLAGRDTTSSALTWFFWLVSGRPDVEDRIVREIRAVRALSSQGSTHPSPTFSFDELRDMHYLHAAVTESMRLYPPVAMDTHGCKQDDFLPDGTFVGKGWLITYSAYAMARMEDIWGKDCEEFKPERWLGEDGAFRPESPFKYPVFHAGPRMCLGKEMAYIQMKSVIACVLERFSFRYFGGERRPGLVLSLTLRMEGGLPTQVNKRS >KQL08236 pep chromosome:Setaria_italica_v2.0:V:44341797:44341994:1 gene:SETIT_004398mg transcript:KQL08236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRHSGARAIFFAFSYLVPTISAMWKWLAISPRRADGSL >KQL06550 pep chromosome:Setaria_italica_v2.0:V:34100709:34101746:-1 gene:SETIT_003901mg transcript:KQL06550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLTTLRLKQSSGSYCPFLLTFQNDGHNSKGGMELLKSEKAVSIHPLSKNKFLVLDSYGVLHVFSLSTTEVGSGAARKQYSENIHTCRLDYPMKVQLSAVFPSSSIKTQIFWVSDGGHSVHVMSALDIESTNGDDEGVIVERELATIKLSAIEAIFTSERVQDIVPLSKDTVLILGQGNMFLYGTS >KQL08322 pep chromosome:Setaria_italica_v2.0:V:44793546:44797058:-1 gene:SETIT_002465mg transcript:KQL08322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDGAIGDQGEIWGWQSQEYCLQKDLLADPCSSLWAEASNSVGDDWSMFDEQTPIKHCTDFEFQFCDIGDIIVKDFEEGKETLQAKRRRMLQFCPENIEMTCPITDGGLSESLQVMDFQGANCLLNSDGTDELPEEWLVNCSQDSEPHLPAEEMTSPATAVEKVNDISVHQNSLPCEQAIIVRNNPAQAGPTPLKAGRNIIRAKKVRTSVAFPFELIKPCSINGHITLNDINEKIHAPPPYKIKHKNDEEPNSHQASAISGKPVVHKTKIHTEGGKGSITITRTIG >KQL03217 pep chromosome:Setaria_italica_v2.0:V:392790:394019:-1 gene:SETIT_005591mg transcript:KQL03217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQHRPARRGEVPAPAAVPPGHYAPEGQPFFRPPPSPPDRPSPRPPDRPSPRPPAAAPPEHQRYAAAPPAAEEPPGRPMASRTPPGSERPMASRTPPGRPMPSSSTPPPPVLQPPHAYPAPHFPPPRPRGATRASSALASCLAATAFLLLSAGGAGAALFLLFRPRPPDIAVAAVRLPSFAAANGTVAFTFQQTASVRNPNRSPLAHFDSELRVAYAGGELGSVYIPAGLIDGGRTKDMSATFDVPAIPVAAQPTQMGIAAAEDQQQQAPAVIEVDSLLVVKGRVKMLRLLTHRVQAAKVCRVGVSPIDGRVLGFRC >KQL08820 pep chromosome:Setaria_italica_v2.0:V:47222829:47224254:1 gene:SETIT_002439mg transcript:KQL08820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHSHPYYPHRVSNTVVGYLNLVTLLASIPIIGAGLWLAHGSAATCESALQAPLLAIGFVVLLVSLAGFIGACYHVTWALWLYLLAMLLLVVALLGVTVFGMAVTAGGGGRQVPGRPYQEFRVTDYSSWLQKRVQVERYWRPALACVVGSRACPRIAAWTPLDYMQHSLTPIQSGCCKPPTSCTYNQGGMPVEPQDEDCYRWNNAPGILCYQCDSCKAGVLEQVRRHWHNITILNVVVLVVLIAVYSCGCCAFRNARRAEAAYGVNRMSKINPRWDYFWSRWWNGQREQLY >KQL04328 pep chromosome:Setaria_italica_v2.0:V:8236911:8237343:-1 gene:SETIT_005520mg transcript:KQL04328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKAKPCFLSHIVRMLWRCCLFLNLSQAHSTLAQAKRTFK >KQL07307 pep chromosome:Setaria_italica_v2.0:V:39029162:39029739:1 gene:SETIT_005346mg transcript:KQL07307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSRPFVHRNRKIGASLVELCDSACTPRLPRAGGKRGGGDLRAACPPASTCVRLARLHPASTWQQ >KQL05597 pep chromosome:Setaria_italica_v2.0:V:26245169:26249614:1 gene:SETIT_000888mg transcript:KQL05597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGFLVIAFLLWLHRDGVYTTTASADEDLSNYSPPSQCRCSGQDTIFVHPILAPYKVTAIDYRSATLTIIPHLNYSPCPLDCQLHFTQSKNDGDYIVGPVSCLSNTTHLLYLVYADADMSIFPLDCKAVSDARIPIPVADIMPYTTFKEQVEMILSGATMTVSWSNHEHHPEFAFSGTQCGRQGQLCEFAGRTIFGSPMFVGQCNYEPGIAYNCTQCERQGQHCVFNSQMNQTFCMPHGSRVKVIAATSSTAALVVILLMVATALYLSLKFKCFSTKPTRYTFAEVKKIARLFKEKVGQGGFGSVYKGELPSGVPVAVKMLENSTGEGDEFINEVATIGLIHHANFVRLLGFCSQGTRRALIYEFMPNESLEKYIFLHASGASQQLLPHNILLYYKFNPKISDFGLAKLCARDQSIVTLTTARGTMGYIAPELYSRNFGEVSYKSDVYSFGMLVLEMVSGRRNSDPGVASQNEVYLPEWIFEKVITWHDLVLAREMTGEEREKIRQLAIVALWCIQWNPRNRPSMTKVVNMLTGRLENLQMPPKPFISSESHPVP >KQL03900 pep chromosome:Setaria_italica_v2.0:V:5262714:5263978:1 gene:SETIT_002430mg transcript:KQL03900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAPTTAAVAMPAAVTHDDLSLRKAQERRAARSSGQVAVALVALSVICGLVAFILCLAAEGSRSEVSYYLMSVGGSQDQLDVCFYNSSGRTALAYAVGAFILLAVAMFAEHAYMLVAVAVPDSASAGLAVAQDHPRVASSAATLTWQTCCLFFLTWICFGLAEVLLMIGIGIESGHISDWRKPRPVCHRVRPGMFAAAGILGLITVVVGFVVYVTAVQAQRLRGQQHYGGGHFVGHGAPYPGVQHQHLRPPMPHPHPHPHPAPTAPGAPEITAAPCQVEPSRASLITKEVAEV >KQL06669 pep chromosome:Setaria_italica_v2.0:V:34905985:34908550:1 gene:SETIT_000586mg transcript:KQL06669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRVLLLMLALAAGCDSGSTPAPSPDAAALLAFKSVCSDRAAALASWAESSDPCAGKWRGVTCQRPSPMPSPSSSTLRVRRVVLEGLQLGGHAAALELLADLPVLSSLSLKNNTFTGALHGVDFSRLAPHLKLLYLSGNGFSGRFPDSVLRLRHLRRLDLSGNRLAGTIPPEIGGRLRALLTLNLAHNSFVGPVPVSLEEMAMLAELNVSGNHLEGRIPGRLAAAFPSSSFAGNPGLCGAPLAQRCSGPQQIVYSNGSGEASDDGSRAARGKSHDRWMVVMIMSAVGAAVASLVAAALCAVLLLKNRKPTTRRPRRAVSASANSVVAREETVRFDGCCVEFDVATLMQGAAEMLGKGATATTYRVVMRGSNDASDDGVDEAQGEVVVVKRMRRREGASREDERRRRELAREMGTWRHANIVGLRAFYASAEELLLVFDYIPNGSLHSLLHENRGPARVPLDWQTRLKLAQDAAHGLAYLHGVSGGNLSHRHLTSSNILVDGSGNARVSDFALLQLLAPAPAGELQQKQDVHGFGVILLEILTGRRQPSPEDGGGAQDLPRWARAVVREEWTSEVFDVELLRGKGAEDEMVALLQVALLCVAEEPRERPRMAVVAKMIEDIRDRGSKRSNKYSASPSQAGHSYESSPCVSEDTTRSTPASSS >KQL04512 pep chromosome:Setaria_italica_v2.0:V:9461830:9463586:-1 gene:SETIT_000757mg transcript:KQL04512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAIGSIPSASDGAAASSSPAPSSSAPREATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAECGSTGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAIAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDTAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVEAAAAFLNKAVKPVLVGGPKMRVSKACKAFVELADACGYPVAVMPSAKGLVPEHHSRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIMVQPERVVIGHGPAFGCVLMKDFLHALATRLKKNTAAYENYRRIYVPPGEPLSSEPGEPLRVNILFKHIQAMLSGNSAIIAETGDSWFNCQKLKLPEGCG >KQL04513 pep chromosome:Setaria_italica_v2.0:V:9460631:9463701:-1 gene:SETIT_000757mg transcript:KQL04513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAIGSIPSASDGAAASSSPAPSSSAPREATLGRHLARRLAEVGARDVFTVPGDFNLTLLDELEAECGSTGVRLVGCCNELNAAYAADGYARARGGGVGACAVTFTVGGLSAINAIAGAFSENLPVVCIVGGPNSNDYGSNRILHHTIGLPDFTQELRCFQTVTCYQAVVNNLEDAHEQIDTAISTAIKESKPVYISISCNLPSIPHPTFSRHPVPFFLSPRLSNQMNLEAAVEAAAAFLNKAVKPVLVGGPKMRVSKACKAFVELADACGYPVAVMPSAKGLVPEHHSRFIGTYWGAVSTPFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIMVQPERVVIGHGPAFGCVLMKDFLHALATRLKKNTAAYENYRRIYVPPGEPLSSEPGEPLRVNILFKHIQAMLSGNSAIIAETGDSWFNCQKLKLPEGCGYEFQMQYGSIGWSVGATLGYAQAAKDKRVIACIGDGSFQVTVQEVSTMLRWGQNSIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVEAFHNGEGKCYTAKVRTEEELKEALKAALGPKKDCLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >KQL04905 pep chromosome:Setaria_italica_v2.0:V:12936235:12939883:1 gene:SETIT_002376mg transcript:KQL04905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAKQQQQAPPPSAAWKTVKPFVNGGASGMLATCVIQPIDMVKVKIQLGEGSAATVTKKMLGNEGIGSFYKGLSAGLLRQATYTTARLGSFRVLTNKAVEANEGKPLPLLQKAVIGLTAGAIGACVGSPADLALIRMQADSTLPAAQRRNYKNAFHALYRIVADEGVLALWKGAGPTVVRAMSLNMGMLASYDQSVELFRDKFGAGEISTVLGASAVSGFFASACSLPFDYVKTQIQKMQPDANGKYPYTGSLDCAMKTLKSGGPFKFYTGFPVYCVRIAPHVMLTWIFLNQIQKFEKGMGL >KQL07320 pep chromosome:Setaria_italica_v2.0:V:39096744:39101116:1 gene:SETIT_000439mg transcript:KQL07320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHSRSQRLSACLLICFSFMIRADGSRKLYIAYLGDKKHDDPSLVAASHHEMLSTILGSKEEAIDSVAYSYKHGFSGFAAMLTEDQAENLAELPEVISVTPNQKHELLTTRSWDFLGLNYQRPSELLQRSNYGEDIIIGIIDTGIWPESRSFSDHGYGIIPPRWKGVCQLGQEWGRTNCSRKIIGARYYAAGLNKASHKLNYMSARDMNGHGTHTASTAAGSVVEGVSLHGLGEGVARGGAPRARLAVYKVGWEEENGVYLTNAAVLAAMDDAIHDGVDILSLSLAVDEDSFGALHAIQNGITVVYAGGNSGPRSQVLFNTAPWVITVAASKIDRSFPTTITLGNKKKLIGQSLYYMLKNESNSRFQTLVNGGNCSREALNGTNIKGKVVLCIEITFGPVAKIFKDALANVHSGGASGLILALYTTDFLVSTEGCQGMPCVLIDIDIGFQVLTYIGSQRLPIVKIEPASSVTGKQVLAPKVAMFSSRGPSIKYPTILKPDIAAPGVNILAATKDAYVFNSGTSMATPHVAGVVALLKVLHPQWSHAALKSAIITSASTKDEHGTPILAEALPRKVADPFDYGGGNINPNGAADPGLIYDIDPREYNKFFECQIKKYEICNITTKPAYHLNLPSISIPELRHTIKVERTVTNVGKIDAVYRSDIQSPLGVKIRVEPATLVFNSTKKVHTFKASIKPLWKVQGDYTFGSLTWYNEQHTVRIPIAVRITIQDFYADVA >KQL06971 pep chromosome:Setaria_italica_v2.0:V:36791272:36791987:-1 gene:SETIT_003314mg transcript:KQL06971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMELQEADVLWPDAAHHRRHQHLAADPHPSHAAAVRRQSPPVRIPAMPAAEARPTRSYDDEDDDGMIVRRLASSGAEAGIVPPHVLAARRCPDEPRVASSVCVGHGRTLKGRDLRAVRNAVLHMTGFLSSSDKY >KQL07203 pep chromosome:Setaria_italica_v2.0:V:38311626:38314944:-1 gene:SETIT_000185mg transcript:KQL07203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVLFDLLLFLSQSCLLFLHTDAVRSHGGAHLRSQQGAALIQWKSSLQSSPALDSWIQGTSPCSNWTGITCGLVHRGRNSPLVVTNISLPNAGIDGQLGELNFSALPFLSYIDLKYNSFRGEIPPAIASLPMLSFLDLTGNLLHGQIPSEIGNMGRLRQLRLSLNNITGRIPASFGNMTMLLSGFIPSALGNLSNVLDLELANNQLTGPIPPSLWNLTSLNYLDLSENQLVGSIANEIDALVNLDTLFLSVNKISGSIPASLTNLTGLRVLSLFSNMLSGPLPPEFAKLTYLVQLSLLNNSLSGELPSDVCKGGNLQEFSVAKNMFTGPIPESLKKCKSLKKVSLAYNQITGDISNFGPYPELVRANFQANNLRGHLSKSWASSVNLTVFVASENMITGSLPSELSNLVNLEILLLHSNNLSGNIPPELSNLSNLYRLNLSQNQFTGQIPIEFGQMSNLQYLDMSVNKLSGLIPQELGSCSKLRSLNIKHNSLSGNLPMTIGNLRNLQIVLDVSENNLTGGLPAQLGNLVMLEFLNLSHNQFSGSIPSSIASMVSLSTLDVSYNNLEGPLPAGQLFLNASTGWFFHNKGLCGNLSGLPTCPSTPIIEHHKERIHRLLLVISIPVCLVIILAIFGLVTIIQKRKRPQNIISANRIDVFSVWNFDGQLAFEDIMRATEYFNERYIIGSGGYGTVYKAHLQGGRSVAVKKLHQIEELMSDEKRFNSEIEVLTRIRHRSIVKLYGFCSHPRYKFLVYDYIDRGSLHAILENEESAKELDWQKRATIARDVAQAMYYLHHECDPPIIHRDITSNNVLLDTTFKAYVSDFGTARIIKPDSSNWSELAGTYGYIAPELSYTSVVTAKCDVYSFGVVLLEIMMGRYPSELQSLASLGQHHRLEMDMLDQRPPLPSMVENEEIALLVEVAFSCLQTSPQSRPSMQDVYQKLVWRVPSSLASPSHASTFKEIVGEEM >KQL07651 pep chromosome:Setaria_italica_v2.0:V:41016208:41016985:-1 gene:SETIT_003291mg transcript:KQL07651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAEKKPAEEKADKKPKAEKRVPGSGKEGGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAQEAARLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KQL07793 pep chromosome:Setaria_italica_v2.0:V:41811398:41811984:-1 gene:SETIT_003094mg transcript:KQL07793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWETYHKEACPWVGKAFGRATYKAQQQVSLQELLQPLLFPVLLNSTSDVGCLLRCSFWYLLSALIHMPTTATQPMRAQVAIARARGHAPASTRVTRPLRLGRAMIVLKVLVVVVDLPQFSSSGYRFHWCWMSRACPHRRCRPAAFADPGWHHLVTIDKFVRPRDGATDAAPEAWPE >KQL07087 pep chromosome:Setaria_italica_v2.0:V:37589695:37594225:-1 gene:SETIT_000239mg transcript:KQL07087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDIASEAPVGAFAIGPSTALGRAVALRVLLCGSAARLRHRLAAALRAVLPVAAAWLHPRDNTRGILLAVCAVALLLRGRRGRAGLRARVQSAYRRKFWRNMMRAALTYEEWAHAARMLEREAAPRRASDADLYDEELVRNKLRELRHRRQEGSLRDIVFCMRADLLRNLGNMCNPELHKGRLQVPRLIKEYIEEVSTQLKMVCDSDSDELPLEEKLAFMHETRHAFGRTALLLSGGASLGSFHVGVVKTLVEHKLLPRIISGSSVGSIMCSIVATRSWPELESFFEEWHSLKFFDQMGGIFPVVKRILTHGAVHEIRHLQVLLRNLTSNLTFQEAYDMTGRILVVTVCSPRKHEPPRCLNYLTSPHVLIWSAVTASCAFPGLFEAQELMAKDRFGQTIPFHAPFLLGVEERTGATTRRWRDGSLESDLPIKRLKELFNVNHFIVSQANPHIAPLLRLKEIIRAYGGSFAAKLAELAEMEVKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVMPATLAQYSKMIQNPSYAELQKAANQGRRCTWEKLSAIRANCAIELALDECVALLNHLRRLKRSAERASASQGHGPTIRLCPSRRIPSWNLIARENSTGSLEEEMLASPTRTNHQGPGGVAALSNRNQYLQRIAHDSSDSESESIDLHSWTRSGGPLMRTASANKFISFVQNLEIDTEFRTIPSREDESDLVTPNGSSLAAQAVSREAADRSLDNSGIDIHDTTTPRTTFGPSTSIVVSEGDLLQPEKTENGILFNVVRRDTLIGPSNGVESQGSPREPDVETVQTECLDGVSASDDDDMELNVVNDEATDPMSRHNPQHQGSSLGENVDHPSSLNCEDGTNTNNPEAASIFDICTEIHQATVTTENSLLEGSSENTELETAKIECPDHN >KQL04766 pep chromosome:Setaria_italica_v2.0:V:11496891:11503361:-1 gene:SETIT_000935mg transcript:KQL04766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEMADAPAAAAIPAAAEPLPAVAEEGEGEAAEAVGSTLTMERVAATKKFIENHYRSQMKNIQERKERRFKLERQLASSQVPKEQQINLIKDLERKETEYMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKTSGHIYAMKKLKKSDMVVRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDIMTLLMREDTLTEHVARFYIAETILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPIDCSKLSTLNEDEPMGDDNLRESMDVDSSLSDTANGRRWRSQHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYADDPITTCRKIVHWRNHLKFPEDAKLSNEARDLICRLLCDVDHRIGSAGADQIKAHPWFRGVAWDKLYEMEAAFKPQVNDELDTQNFMKFEELENPPARTGSGPSRKMMLNSKDLSFVGYTYKNFDAVKAIKISDLQRNSSLTRPSIGSIFGPPGMDSPMEPNGRDTHMHTVSSGDPMIP >KQL06468 pep chromosome:Setaria_italica_v2.0:V:33697799:33699125:1 gene:SETIT_005247mg transcript:KQL06468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRRRASRLCPGRWRAGGGPAPSSCSRGPASRSAKSARLCKS >KQL08479 pep chromosome:Setaria_italica_v2.0:V:45648270:45648990:1 gene:SETIT_005085mg transcript:KQL08479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITRVQPLSAHLLKPCATPADPAEKQVYTVWMKSLVFNGHGCTIYGQDGRVAYRVDNYACSRSREVYVMDSDGKTLLKLLKKNFGVFKTWKGYSYRINGPEGLEQENSKPWFSVQKAHQILKKGGQCSRKAVATVCISGNVCKIDGVLCKSEYRISDANGEVVAEMKRKQTASGVVLGEDVLSLTVSPAADRLLVVGLVVVCGLLSRCI >KQL07622 pep chromosome:Setaria_italica_v2.0:V:40888573:40889746:1 gene:SETIT_005510mg transcript:KQL07622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFRTIVAAVGEGRSIYNNMKAFIRFLAPSSPGSS >KQL04308 pep chromosome:Setaria_italica_v2.0:V:8040417:8044797:1 gene:SETIT_000657mg transcript:KQL04308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALLVFLASSVWVAFSAPLMPAPAADRQGREHCPSQLCVIVNISFPFGLVPEEDAVTHCYALFLVRCRNNTPYLGYYQTEFFMQILSIFYDNASLLIAETQDHNDSRHLELGCYIPTGNATSKIGRPFSISPLNQNLIFYNCIKPLPPSVGLAETMCRNNTYVRVAAERYDGHGSYFLEGCNSTVVPVLARYDHANASNYEELISDGFLLTWEVPLKSGGSNRKKIVSIISMTVAASLLLPCIYMLVWHGKRGKLHFFLCEKTSKSTERNIEALIVLHGSLAPKRYKYSQVTKITSSFSDKLGEGGYGSVFKGRLDDGHQVAVKFLHDSKGEGEDFVNEVMSIGRTSHVNIVSLFGFCLEGSKRALIYEYMPNGSLDKFIYSESPKAVLGWDKLYTIAIGIARGLEYLHHSCNTRIVHFDIKPQNILLDEDFHPKVADFGLAKLCHTKESKLSMTGARGTVGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVKSVAQKSSEKYFPHWIYDRVRQGDGLQACEVSSENEGIAKKMSVIGLWCIQILPMHRPTIAKVLEMFERGLDDLDMPPRQNFSQILEDPCCNLNAESTSTRSGTKTQVFSEVLKMKEMSVVNSKSLHRLPTL >KQL04008 pep chromosome:Setaria_italica_v2.0:V:5845809:5848247:1 gene:SETIT_003118mg transcript:KQL04008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHCYLRQPLSRAEAMPERRSRFWPMDSPPTPRAEVICPQPRRATRIPYAVETVNRASPKANGAFPLYRSDSTSDVLDLILSKNDPDGYSSSQVGFLCGSPPVRTNNPVIHDPLFGERVPSFSPLGSSFGKTLAGRVEVGSPSCGVSSSPKVRIEGFACGNSETHYAVTFV >KQL04013 pep chromosome:Setaria_italica_v2.0:V:5866927:5872453:1 gene:SETIT_000090mg transcript:KQL04013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIPAASAMDWSIDLDRGLRSRHQATRIRALDAASPRLRQLCACATSPAPAPVASSYGVLPGEARVFAETMLLRLATEFRTADGAMRARIVRTLLAAAGGRGALAGACVAEPDQLLRRVKAVYDTGSARDRALALRVFGCLAEVSKDSVHVRSLILSSLGASSALEVKSAIFAAGCICRLSEDFSWIILEVFRRLICSQTSEPQVIMAAIKAFSKLDCTLAVIHRVHEVGKQMVLGTLEDVFKYEMLYSLSRLVSKSIILFCDQVDLLLLFLNHDSTPMKSMVLKCMCFMFHRNTYHFSVIRTVFGRLLPLIDDEDLSLDCKSYVLRILQKNFCGKASGIHHFDGSELSKLLLAAESYLHSSSLEMQGTALEILVEVFCILKQVRPDLNMTILKGLPFAYAECQGATNNISLTSEENGMDRPLYNIITMIVNYIISLVNQVISCEKKKVTSGSICMPSEWDKKYIAPFRLMVKLVTCYPSAATVALGKLISVVKELSQINGRDYSEVAVTSVEPFQTIIALEELNTSNGNVELLATRIEASPIETDIGKGKLDSSKFDRKNKRSIMHDLTLCTLRFGNACHDVLCKTSGARYNLHDSIKGLIECVHQNDSQYWSTYEAFHLIMCACIARDTCKIRDGNQEPGDSKEGPSFFLTPSVWIAQELCALRMTKMLIKKQKYWEAYRSSMYCCRKGLWFTASFVFRKLADVFNPGSFSCWFKSLLLFSAGEIEMKLLLFPSATIKLVGELKTDNDLSEELYCAETDLDSILSESQELHGHQATITGICGRTGLANDALESNAASDYEFFFQRWFISLRSSFLEMLTDILGILSANSSAYEGREDHLNVSGEIIQGQILALASCSLRLSDLAKSYDLLAASHMDMDCHSSSSLARLAFMCSLLAFCTAYSVDFSRACSDVESCKLPKRFSYALVLQDLHGRVDGLDRQIVSKLQQFMPTSFDAQVCLQSSGRMNCSGDLEKDSYSLCHFAVASLLSAHGNAKANGMTRGLQLLSTILQKFMELPFVVPKYFFRVRPCLGAELYMFVSNPADKNEMSVEPGFQLSLTLCMQWKRLLERTAIRPMKLYCILATSSAPCLDTAGTRRKQFGPHKTAEMVELNSKLLWYLRSDLRKGRDEKDSQSSSEMVMAFARFEPADSGQGFSACLLNVSSFPEGLYQIKWHACCVDQNGSYFSLLPLTDGVVFSVRKS >KQL04165 pep chromosome:Setaria_italica_v2.0:V:6930051:6933635:1 gene:SETIT_000895mg transcript:KQL04165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRLLSSLLRSSSSALRRAGAPSPAAPRRASPAGLLLARFAASSAAQPAPPSAAPSSSPASAAGKGKGGKITDEFTGAGAVGQVCQVIGAVVDVRFDEGLPPILTALEVLDNNIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRATLGRIMNVIGEPIDEKGDIKTNHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHVLGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESVKSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKIAKESAS >KQL07477 pep chromosome:Setaria_italica_v2.0:V:39933733:39937232:1 gene:SETIT_002492mg transcript:KQL07477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTATDKCFSPARAMSPMPIMRPPASPDAASQYLEELLQEQQKLGPFVQVLPICGRLLNQEIMKISSILSHLGVRGNERLPPIASPNHMPPLPRVPNFCGNGFGPWNGMHPERNGFPRGAMGWQGAAQNHSSYIIKKIVRLEVPTDAYPNFNFIGRLLGPRGHSLKRVEATTGCRVFIRGKGSIKDPVKEEQLKGRPGYEHLGDPTHILIEAELPADVIDTKLAQAQEILEELLKPVDESQDNIKRQQLRELAMLNSVYREDSPHQNGSASPFSNGGTKQ >KQL03713 pep chromosome:Setaria_italica_v2.0:V:4201897:4205644:-1 gene:SETIT_003849mg transcript:KQL03713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQVREVAYDAEDCIDIFWYHNGHRHCNHNPISGWLRKIIHPLKTLRAMHNLAIEIRELKARALKVSERRLRYRVQAAFGGASDAYAAGRSSPEHNHLERQLAGLNIDECRLVGVAEKTESVIKLLEDGNSAHLKVVPIVGFGGLGKTTLAVTVYKSPTMKGIQTRAFLTVSQHYDLRILLESLLRQLILISLIDLTCSREETFKDPLRGIETWHISEIIGRCRTHLEDKRYLIVLDDLWSTKDWANLKVAFPDNDKQSRILITTRNQYVAESCCSDPHDLIYNMEPLPFEESKKLFYKKVFKLDRCPPVYHDLEVISDNILKKCSGLPLAIVSIGGMLARTKNKTRAEWEKVCDRLGSGLETSATMGGMRRILSLGYHDLPYSLKACFLYLSVFPEDYEIKRGALVRRWAAEGFISGMHETNLEEVAAKYLDEFVSRSIVTPTRIASTGLVRSCRVHDIMLEVITSKSIQENFISFVGKQQYSTTGHDKIRRLSIQADGNCSHKEQENHNTNFSHARSLLILRCSEKPFPISFTHLKLLRVLDLEGCWWLSNEDLKEICKMSLLRYLCLRRTNVSQLPKLVGRLKELVTLDVRETSIRELPETATQLGNLKHLFGGRYRHYTRISRVKLFEPHEALMIPRGLKKMKSIQKIAHVDIASSSHVMQELGALSELTKLCAINSEYGGEKWKPFAASLNMLCKSLRHLSIIHWRNKDMGLEIFLELNSPPIFLEQLYLWGRLSVLPPWILSLSYLIELSLRENFFDGELLRQLGKLPSLVSLKLYHESFVGTTLCFEQNLFPRLKQLIVDNAPNLDELRFDGGAPNLEMLTLAFEREPAKGIFGIENLPRLKEVEFFGAIIFDSLVEGMIAEAKIHPNRPRVYRENC >KQL06113 pep chromosome:Setaria_italica_v2.0:V:30752538:30755886:1 gene:SETIT_001738mg transcript:KQL06113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSASGRGGRGLLFPAAAAAVVLVLVGAAPAAGCYPRVFSFGDSLADTGNYAFVYGNNSNPALRLPYGETFFHRPTGRFSDGRIVVDFIADTLGLPFVPPYLSGRSAEDFACGANFAVGGATALSPAFFRDRGFDGMGNRVHLDMEMKWFRELLDLLCPGNLAGCSNMMNQSLFLIGEIGGNDYNIPLISRVPFEKIRTFTPSVVAKISSTITELIQLGAKTLVVPGNLPIGCVPKYLMMFKSDKEEDYEPHTGCLRWMNKFSRYHNKLLMKELKKLRKLHPGVTIIYADYYGAAMEIFLSPEQYGIEYPLVACCGGGGPHGVSPTGGCGYGEYTVCNNPEKYGSWDGFHPSEAAYRAIAMGLLRGSYTQPPMASTTGSCPQLAELDSSAEYKPLYDM >KQL05719 pep chromosome:Setaria_italica_v2.0:V:27193812:27197865:1 gene:SETIT_003203mg transcript:KQL05719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLLAALLAVSLLLPLARPDSSISSSLPANTTSTAYDELRLRGFPRGLLPANVRGYTLDTGSGDFAVDLHSSCRIVLPAGSYLAAFNNRLTGRLDDRRISGLSGIRVRAFFRWWSITGIRADGDQLVFEVGSVSAKFPARDFNASLECPAKADS >KQL06871 pep chromosome:Setaria_italica_v2.0:V:36199548:36200633:-1 gene:SETIT_003009mg transcript:KQL06871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLLSSLSNLGLGYSIAIALGFLVLLASLLLASYLCFRRGGGDYWAGEAFTTASSSGHLSITVPRVLFVAEGSESPDDAAYSSAAAASCCPVGLDPAAIASYPKVPFSSRAAEADAMCSICLSEYRDGEMLRLMPECRHRFHVACLDAWLRRSGSCPVCRSSPIPTPTATPLATPLSELVPLSHYAADRRRR >KQL05743 pep chromosome:Setaria_italica_v2.0:V:27440096:27444785:1 gene:SETIT_004849mg transcript:KQL05743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRKHYARKQLGESFHWWRGELNDKYVKKGLTPFNEYRSITQAQWDEFVRKKTSPKALALIQRKRELALSNIHKVHLGLGGYRGNIDKWWQVAQRKEEFVPNRDKDVLSSALGMKEHGGRVRGVSLKLTIKDGFERDRASYNSHSCYKDDLREAAEKALESRFKDFLLALAEQQQSSEPYPIYPICISTPCSLHIPVGRTGKTKKVAKGLAIPVGSLFEGKPIPRYYACVTVLEINSNYGDHEIDIPIAEGIHYLGQSNGNTILWHKRDIILSSVPSEHALRISQTQTKFGEFGCDSKSPTPRSRNRPTTGLHTLDPQLPSTLGADPNIADLTTTGATTQEVGWSKSPNKWWSRTLTHPSTTTSTMTVSTTTTITAAAAGEATMRTDTGYNLIVGVDDIPDLPDCPRKFDYGKPLLPDWAIAGIPGEMQMMHSWYPRACRLGLRTIWARVYQHYITKGGIHNPKSAVEMVVRTNFPCHKQPSGSVHCGYYVCEHIRVLGRYKTDPKRVRGYLSYIGMPRLHSSHLHEQQLLNISTDLCHFILREVVNPRGTYYHPEHELAQEDKYVSLREWENQEYRQG >KQL06624 pep chromosome:Setaria_italica_v2.0:V:34651510:34651785:-1 gene:SETIT_0047951mg transcript:KQL06624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWSETDAALFAAVLGRDAAHHLATTPPHLDGPPASAAPELQARLQDLVERGGGVWTYGIFWQESRGARGRVVLGWGDGHCRDGGAPHDAAA >KQL08189 pep chromosome:Setaria_italica_v2.0:V:44084260:44084776:1 gene:SETIT_003638mg transcript:KQL08189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKGVHSQQTALYLCIPDKQSKGHPRKKKTETHQFSLIFFRVQLPLILTRSRPIPVASSSVHQNYPKF >KQL03179 pep chromosome:Setaria_italica_v2.0:V:217264:218868:-1 gene:SETIT_002243mg transcript:KQL03179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHPSAHNAEQSRAAMSSPPPPPPPKDHSKGRSPALELLDEYWFFSNTLANSNNKPPRSPNNKDWERREDSKGSCSAGLSRLYASGGRRLLRTPSLPSPSKLSKDVDDDDLAPVAARRQDAAGSGNRQQQQEEHEVEEDDLNWSSIYEGVLRTRMAEGANNSGSRSALRRAPSMPVPSSSATGQAKPTPTSTRRRPSPRSMSASQQPVLPRSKSGVFRAEDKKWRSSGDLESIEVQGFRDLGFVFDKEDLRESLAGVLPGLKQQAAGKTGRSRSSSGRPYLSEAWQRPPALVRVQSEARSAAEVKDQLRMWAQAVACNVRQEC >KQL05574 pep chromosome:Setaria_italica_v2.0:V:25893096:25896185:1 gene:SETIT_002563mg transcript:KQL05574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGECALGAAAIGEETLNYDGDDVEMADADEEAPAAEVSAAAAAGGGGGGAQAEKSGQQDRNKRKKKRNKGKKKNKGRQDGPPTNIADINRFVLNTCKRLKEKKSYLVWNAVGCLGVTAVSDLVREVEAIEKCGGQTIADGSRFRTGGGILWNILKSREPKAYKEIMAKGKELEKQFRYTKRPQMSRNEDASSQGSALIDDDIEAQEQNEVLDDPEQLDDAEKAPPSDNKAQRKPLADRIRVPVAYDDLFEEGEIHEGEPQNGSI >KQL06012 pep chromosome:Setaria_italica_v2.0:V:30087899:30088198:-1 gene:SETIT_004914mg transcript:KQL06012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVLSLLYHCLAAWGTRSRKRVDKADRKTFDSMVLLISWCIWLERNCWTFNSTEKNVVQLIQTIIDEASLWVAARFNSLAPLTMPTSNSNTDVGREIISL >KQL08221 pep chromosome:Setaria_italica_v2.0:V:44253784:44254941:1 gene:SETIT_003173mg transcript:KQL08221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKIPSPRRLFRSRSRSTAGSSGGADICAMVAEHEKIEWEVRPGGMLVQKRRTPEEDAAAVEYILVRVSTGWQWHDVSIDATATFGDLKVMLSLVTGLWPREQRLLYRGKERDDCEHLHMVGVQDKDKVLLLEDPAVKERKLRSTTLAQLMGVPCHSFIQV >KQL05701 pep chromosome:Setaria_italica_v2.0:V:27100843:27101595:-1 gene:SETIT_003450mg transcript:KQL05701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYQYPLTSRLSYPVIQIVKQPLVSSLSNTVRRHRRTWMYALETELPLLLVGTRKPTSFSMMACWEIGHQESKFFFSFQQVFGNRRTLQVFVFLKKHMNDHLLG >KQL03673 pep chromosome:Setaria_italica_v2.0:V:3841819:3846433:-1 gene:SETIT_001950mg transcript:KQL03673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLLQGSVLPRVTGRSFAPAVAPFSTEAGETVRATLFPGDGIGPEIAESVKQVFNVAGVPIEWEEHYVGTEVDPRTESFLTWESLESVRKNKVGLKGPMATPIGKGHRSLNLTLRKELGLYANVRPCNSLPGYKTRYDDVNLVTIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKANGRERVSAIHKANIMRKTDGLFLKCCREVAEKYPEIQYEEVIIDNCCMTLVKNPGLFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGICLAEAVHGSAPDIAGKNLANPTALMLSAVMMLRHLQFNDKADRIHKAILQTIADGKYRTADLGGKASTSEFTNAVCDYI >KQL08127 pep chromosome:Setaria_italica_v2.0:V:43688171:43689034:1 gene:SETIT_003789mg transcript:KQL08127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRWRNEEPSKKKHLYLVLDDWKGGYSIHKLDADNMRTTSPSMLPSGSRRRSELYALTLVFHERGHSSSLQVLSWSPTTDQVGPWDPTMAWSWYTSTTPPPFTGYETIISYALHPDGRTIFMSTDRRRTHSLDTSNGVWRDLGEWTLPFRGQAYFDGELDAWVGWPPLQGGRIRLLVPSCLTQRQRHGGAAAGVHDSQGEAVFRRGGEEKHPYGRYLSATLTYMGDSKFCLVENALRSKNVLDAVLHVTLFGLKYHHKGELKTKIYRTNRSYALSKNTLEFSHAAFWM >KQL03644 pep chromosome:Setaria_italica_v2.0:V:3611083:3612356:-1 gene:SETIT_004455mg transcript:KQL03644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAPPKYPTQLDKFPQPQLEMAFTRRTKQEAPLLLSLDQESPGRPRSLSRYCFGDMDEASASSLVRELYRLPPSIPIEETFARSLPRQWFEFQRSGPPIKIGWIFEGIFLHTKTAPPPRIMREAFASGRAIAEYVDGPGGGGKRMILDFLRKVLIVLDDKGVPKKMCAFYWVDAYGFLFSPTLFGDVDEHAVRRAFDGSSRGSLAITAVRRCHHREARTLGFLERMKFQQKWLFGWYGAAAADVEAAADGGDLSMNWPLLGAGRAHGRGLHVSSQERLIY >KQL06598 pep chromosome:Setaria_italica_v2.0:V:34527324:34528934:-1 gene:SETIT_005548mg transcript:KQL06598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRHAGSAAAGVQHKLRKGLWSPEEDEKLYNHIIRYGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSQQEEDAIVGLHEILGNRWSQIASHLPGRTDNEIKNFWNSCLKKKLRQRGIDPSTHKPISANAAATAAALDQQPASQEQKPPADADSGFALKRQHQQVFDPFPLTDSFGGGFDAAGAALYGHLGGGKQDAGGFVDYSSVLDVSENLGYGESSSNSSNWNCAPEASNALDGGDAPLHWASESKAVEPFAGYGGGEEQSLEHKFMLPCHGQQEQSLPHFDFDISRGAVVGEFNLEFF >KQL04377 pep chromosome:Setaria_italica_v2.0:V:8577374:8578320:1 gene:SETIT_004969mg transcript:KQL04377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQKFPRKKSRVAATSKSLKSSNRLEVTTASAKSSIRQLHDVVSGFDERKRGQTNRKFALWIMSRVDPLSQTLVINDSRKIQFSKEDVARVFRIPSHGLSVAENGMPGKETVSKITAEYLGVEAKDQPSIKAAQAVIERDYGGSMSPSEENAFKAAFVVYVMSLLLSPGAKYDHASVDYWNTLRDPLVIHTFDWSEDVIQRLLYAVLKLKSDLKSNLKVPSITGCTLFLQGLYLDSIWNMDHNKLPRIQPFNDGTMKSMILGNTLS >KQL07874 pep chromosome:Setaria_italica_v2.0:V:42289674:42290548:-1 gene:SETIT_003506mg transcript:KQL07874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTKEDVEAAITSALSPSHLVVTDTSGGCGASYEIEVVSEKFEGKRLLERHRMVNTALAPHMAEIHAVSIKKALTPAQAQPQPEPAADKPQA >KQL08244 pep chromosome:Setaria_italica_v2.0:V:44375103:44377668:-1 gene:SETIT_004270mg transcript:KQL08244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QPFPNSYPPLNPKPRLGAFFLPPAPSTLGFCAAARLRFLVGAMEFWGEEVKPGAKVSCRADEGYVIHLSQAALGETKKGSENVVVSIQVDDKKLVLGTLSVDKHPQIMCDLIFEDDFEISHSSKTASVFLCGYKSPIPIFEYPFHISDEELETEDIPIKNDEIKKSGADVPVKNVKNVKQDDDEETSSGDDGFTDDSDDSEMSEDSDEETSSGADLTGDSEDETDDSEEQTPTPKKPEVVGKKRATEAVASSGKKAKVEPSGDKKGVHVSTPHPAKQASKTPAEKSGKTPATDKKSKDKSPKSGSHACKSCSKTFGSDKALESHKKAKHEA >KQL05112 pep chromosome:Setaria_italica_v2.0:V:16037898:16038800:-1 gene:SETIT_004959mg transcript:KQL05112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVCLREQSAPNLHTKQKQSNLFPLPLSHLRSPAAAAMAGSTRALLIPLLLLLALAALARAGEVPAASALGWELGVVGAAEDEEFGFPDGDSVARRVLQNQNNGYISYGALRRDNVPCSVRGASYYNCRPGAQANPYSRGCNAITRCRG >KQL07184 pep chromosome:Setaria_italica_v2.0:V:38166226:38169097:-1 gene:SETIT_003756mg transcript:KQL07184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEAKYLETARADRFMWLMKCPTVVSRAWQEAAAAAAALGPDAGGADPNPDVAKVILSLDPLRDDDTPSQAKVDEQFLCLQSGGTERKLGVMQFVFSVETREIEEMSTLWFRNVPQLFHDFVPMCIFSESNQGKVENKFDMKLHRGNLMDYGRLCRERTNMSMVKIRRTELILDDNGKGMRPMTGNQLDKKKPPVTKPINMKRTRMDRGQLENKLFNLFER >KQL05509 pep chromosome:Setaria_italica_v2.0:V:25252767:25254748:1 gene:SETIT_004630mg transcript:KQL05509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLLLALLVVAGLLLPVFLLLPSSSSTRSSEPIACTDGTSNCTLTNAFGSFPDRAICRAAGAAYPRTEQELVAVVAAAAVAKRKVKVATRHAHSFTKLVCPGGGEGTIISTRWLNRTVRVDAERRLLTVDSGVVLRDLIEVAAAAGLSLPHAPYWSGVTIGGLLANGAHGSSLIVTPAPASQGFAVVRDLGEDHPDLDAAKVSLGVISQDTVTLELQPLFKRSVTFVTRNDTDLAEQVAVWGHLDPRRKVFYRKDDRVDVSTPGDGLNDYLFLRSYAKLGVIAARVADEWLEEKGGDLARCLMARLPTRKVEQEAFGFTGYPVVGFQHRIQAAGSCIDGDGVALPKAPAFVGDVLRLRDLNPRAFGGLDAKLGVLMRYVRASSAHLGKAEDSVDVEVIYYRSYTDGAPRKHAGVVDELEQMALRKYGGRPHWGKKRNFAFDGAIAKYPRARDIVGKGCAFEGLCVCSDDSHCAPGKGYFCRPGKVYKEARVCVFDGDGRRSHGAVDEL >KQL06219 pep chromosome:Setaria_italica_v2.0:V:31805571:31808543:1 gene:SETIT_000885mg transcript:KQL06219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMFPFLAILRSADSCRLASPPIHHIPAPPAALPAPGLQPREKAAAHRFQIPITVQEHPEPREQGLQIRQNHIRPGPSSVPILVPGPGGGGASRGLYSCIQAQGMDQSKENAEFPSCDAYFEAIQSKKKLPLSLQESLTAAFAQIPVSSFPEVPAGRVIEIHGDTSVLEALRILSENNIRAAPVLNPEPVAPADWQGRYLGVIDYSAIIRWVLENAELASVALSAGSATAAGVGMGAVGAMGVAALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGLAAADHLGEDFYKVLLEQEPFKSTTVQSIVESYHWSPFIPITLDSSMLTVLLLLSKYRLRNVPVIEPDKPVIRNFITQTGVVKGLQECKGRDWFDYISALPLSDLGLPFMSIDEVITVKSDDLILEAFKCMKDKKIGGVPVVEGPKRKLVGSVSIRDIRFLLLRPDLFSNFRQLTIIEFMKTLGSTLPDSGNNCLVKPPPTCAPDTSLGSVIDSIASRITHRIYVVDDDLEVVGVVTLRDVISCFIHEPPGYCDSYLASAMENLEGKGAGSVEKS >KQL06218 pep chromosome:Setaria_italica_v2.0:V:31805571:31808543:1 gene:SETIT_000885mg transcript:KQL06218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMFPFLAILRSADSCRLASPPIHHIPAPPAALPAPGLQPREKAAAHRFQIPITVQEHPEPREQGLQIRQNHIRPGPSSVPILVPGPGGGGASRGLYSCIQAQGMDQSKENAEFPSCDAYFEAIQSKKKLPLSLQESLTAAFAQIPVSSFPEVPAGRVIEIHGDTSVLEALRILSENNIRAAPVLNPEPVAPADWQGRYLGVIDYSAIIRWVLENAELASVALSAGSATAAGVGMGAVGAMGVAALGATGPAAVAGLTAAAVGAAVAGGLTAEKGVAKDGLAAADHLGEDFYKVLLEQEPFKSTTVQSIVESYHWSPFIPITLDSSMLTVLLLLSKYRLRNVPVIEPDKPVIRNFITQTGVVKGLQECKGRDWFDYISALPLSDLGLPFMSIDEVITVKSDDLILEAFKCMKDKKIGGVPVVEGPKRKLVGSATYHHRIHEDFRLHSS >KQL03232 pep chromosome:Setaria_italica_v2.0:V:437877:440576:-1 gene:SETIT_000243mg transcript:KQL03232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTVGAVNDLLGLLSTAVKEEARLLGGLRGNMQFIKDEMDSMNGFLRHLTQTESEHDDQIRAWMKQVREIAYMAENCVERYVRDIARHDGEGLLDTAYLLLLHPKKYIMRRNLAKKILELKVRVNDIGERRKRYDVKVPDGPGLKKAAEDGAAAAAKEEKRDAFRRLLEQAIPDSSRPAVPSSISEEVIRQLPPHVRSQDAPALVQATWKKCCPPAPAPPPDGETLRCIRMLLCALYAYRYKTNNLELDRLKKIVLGEKGGTAEEIKLKIEVLDEEEEEGGTAEESKLKKKVLGEEEEEGGTAEESKLKKKVLGEEEEEEGGTAEEIKNQVMIFCYSLLSIPQKSCLLYLTAFLEEKAISRTSLVRRWVAEGLVGKEEGRTDEEVGESYFNDLLFRGFIHPARTGDAGTVKSCQPLAGSIRTFITKIAKNENFVIDLPIHLDRQLTIRKKVVLSQQLPPQQAASGCCWSWRDVVGAMDDYCGCCCCWRDDNPMDDLVGFLNSLPELYRLNVLDLGGCRGLKPRHIEAICTVVCLKYLSLRKTDVFWLPPTHLKKLKLLETLDIRETPRLRPRDVGRMYLHSLKHLLAGRYVDKVTGEELPTARRTSAEVSLVTVRIPSRIDEMTSMETLSHVQVSEDGAELGRVGKLRKLRKLGVVIRRVNRRGAQQLRGVIYALAGCLRSLSIWVTQDQGGVLDISVLQEATSSLVLENLDINGRIGTSLPSWVERAGKLANISLRDTEMSGGETLTRLANVLSLRCLKLSRRSFLEQALIFRDDVHFKALKFLVVDGDTITSVTFAAAGAAPELEKIVWSISKPHSGDLISGIDRLPNLKEIEIRGNFNVNNLLQAIGSLTDPPVTSYRCRYVYLSDLSDITVVKKAKSDTTLSVPVGVINQQQQ >KQL07648 pep chromosome:Setaria_italica_v2.0:V:41005316:41008018:-1 gene:SETIT_001671mg transcript:KQL07648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVVAGSRARAEQARMQRREQEPRSPDLAAAPPRPPRPRPAVVYYLSRNGHLEHPHFMEVALSSPDGLYLRDVIDRLDALRGKGMARMYSWASKRSYRNGFVWHDLADDDYIHPVVGREYVLKGTERLHPAVPPAPLLDAAAASSSSSGSQETPTSSSSARWEAHAHRKKSAGARRAADGLGEYVVYKGEECAADAATQTEDGGRGHPRRAHAQAAAPQDELSRAETSPPTASTSPETLEALIKADGRVVAAISGSGRARAPSVLMQLISCGSVSVRDARASPVMPRARHHHNLHHHRHRARPPRPPASAAAEVPTYRAKIVEDKEYFSGSIIETAKRSSGDDDTSQDLAVLRRSSSYNADRVIKLELAKEAGDLHDRCIPRKPKAKRDGGYLVISCTAQGNNNKG >KQL06510 pep chromosome:Setaria_italica_v2.0:V:33909253:33909815:1 gene:SETIT_003510mg transcript:KQL06510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMASAASRNQKQQQRRTHLPLRLLPPDPSFLQAVVVVPSKRMADCSNACLFEAAVASGGGVERQVGDACGRDGGPAGPQVSETREARALES >KQL03430 pep chromosome:Setaria_italica_v2.0:V:2329034:2329582:1 gene:SETIT_0009422mg transcript:KQL03430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADFRTTATALPTLLVLSLLVVAARSDDTAATPVTPSTACNETTDPNFCRTVLPSNGTSNLYTYGRFSVAKSLANANRFLGLVNRYLSRGSGRLSPGALAALQDCQLLSGLNIDFLSAAGATLNTSANSTLLDPQAEDVQTLLSAILTNQQTCADGLQAAAAAWSVRDGLSVPMVNSTKLYS >KQL03739 pep chromosome:Setaria_italica_v2.0:V:4371142:4371243:-1 gene:SETIT_00045410mg transcript:KQL03739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LMHAGGKFGGSKSGYSVSGGLHGVGLSVVNALSE >KQL08100 pep chromosome:Setaria_italica_v2.0:V:43553315:43556481:-1 gene:SETIT_003946mg transcript:KQL08100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGIIGGLTGNKNGRLNGSVVLMRKSVLGFDVTSMGATVIDNIGEFLGRGVTCQLISSTVVDPNNGNRGKVCAEASLEQWLTSLPSLTSSESKFGVTFEWEVEKLGVPGAIIVKNNHASEFFLKTITLDDVPGRGTIVFVANSWVYPQSKYRYSRVFFANDTYLPSQMPAALKPYRDDELRNLRGDDQQGPYQEHDRVYRYDVYNDLGLPDSGNPRPTLGGSGDLPYPRRCRTGREPTKSDPSCESRLTLVEGDIYVPRDERFGHIKKSDFYGYAIKALVNAVVPAIRTYVDLSPGEFDSFGDIIRLYEGGIKLPEIPALEELRKQFPLQLVKDVLPVGGDYLLKLPMPQIIKEDKTAWMTDEEFGREILAGVNPMLIRRLTEFPPRSTLDPSKYGDHTSTITAAHIERNLEGLTVQQALDGNRLYILDHHDNFMPFLLKFNSLNGNFIYATRTLLFLRSDGTLAPVAIELSLPELKDGLTTAKSTVYTPTSTTGAEAWVWHLAKAYANVNDYCWHQLISHWLNTHAVMEPFVIATNRQLSVAHPVHKLLLPHYRDTMNINSNARQMLVNAGGIFETTVFPRKYTFEMSSVIYKNWNFTEQALPDDLIKRGMAVADPSSRYKVRLLIEDYPYASDGLAIWHAIEQWVTEYLAIYYPNDGVLHADVELQAWWKEVREVGHADIKDASWWPKMQTVAELAKACATIIWIASALHAAVNFGQYPYAGYLPNRPSVSRKPMPVPGTEEYAELERNPEKVFVRTITSQFQALVGISLLEILSSHSSDEVYLGQRDTPEWTSDAKAQEAFKRFGARLTEIEKRAMTMNADPRLKNRNGPAKFPYTLLYPNTSDKKGDAAGITAKGIPNSISI >KQL08020 pep chromosome:Setaria_italica_v2.0:V:43201761:43202325:1 gene:SETIT_003625mg transcript:KQL08020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRLVYAAYPAIRPINAWLSGALARDQCAGAMGQSRAVTPSTQAGFDISSAPTCRSAFSVGPNKLRAVGD >KQL03812 pep chromosome:Setaria_italica_v2.0:V:4731472:4734394:1 gene:SETIT_002128mg transcript:KQL03812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPARGQEAVDTARKADLVVLNTAVAGKWLDPVLKDHVPEVLPKILWWIHEMRGHYFKLEFVKHLPFVAGAMIDSHTTAEYWKSRTSDRLKIQMPQTYVVHLGNSKELMEVAEDNIARRVLREHIRESLGVRSEDLLFAIINSVSRGKGQDLFLQAFYQSLQLIQQQKLKVPTMHAVVVGSDMNAQTKFETQLRDFVVKNGIHERVHFVNKTLAVAPYLAAIDVLVQNSQARGECFGRITIEAMAFKLPVLGTAAGGTTEIILDGLTGLLHPAGKEGVAPLAKNIVRLASHAEQRASMGKKGYDRVRDRFMEHHMAERIAAVLKEVLKKSQEQHTRS >KQL06856 pep chromosome:Setaria_italica_v2.0:V:36125091:36126455:1 gene:SETIT_004742mg transcript:KQL06856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTVVLYPCHLIGHLTPMVQLAKLFVQHGVAVTVALVEPQVESASFSAVVARAAATNPSVTFHVLPPPTPSEEAPRKIFDYLRHMDAPLRDFLRSLPKVHAILLDMFCAGSLDIAAELNIPAYFFMASGASILAIFLNLPSVAASTDRNSLSELGDSPLCLPGAPPFKATDLPQVVVDEDTSQGFLRIFKRLPEANGILINTFESLEARAVSALRDGLCVPGRPTPPVYCIGPLVTEGGDKKQECLEWLDTQPDNSITFLSFGSLGTFSKKQLHEIAVGLEKSERRFLWVVRSPSSDEKNIFEKLPEPDLDALLPEGFLERTKDQGLVVKSWAPQVEVLGHRATGAFMTHCGWNSTLEGILAGLPLLCWPMYAEQRLNKVFIVEEFKLGVVLRGYDEEVVKAEEVEEKVRWVMGSEGGRALRERAAAEKSTAVKALSEGGASHAAFVEFLNSL >KQL04183 pep chromosome:Setaria_italica_v2.0:V:7060776:7060947:1 gene:SETIT_0025243mg transcript:KQL04183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGLAATLRAAAAMLRLDAEWDWFVTLNAADYPLLTQD >KQL04182 pep chromosome:Setaria_italica_v2.0:V:7060827:7060947:1 gene:SETIT_0025243mg transcript:KQL04182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSGLAATLRAAAAMLRLDAEWDWFVTLNAADYPLLTQD >KQL04224 pep chromosome:Setaria_italica_v2.0:V:7364910:7365935:-1 gene:SETIT_003873mg transcript:KQL04224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGKSCRLRWLNYLKPDIKRGNLTPEEQLLILELHSKWGNRWSRIAQHLPGRTDNEIKNYWRTRVQKQARQLRVDANSAVFRDAVRCYWMPRLLEKMAAATATSAHQVDPALLHPAAHIAGGMASADASSPVHVGGHQDAATNAPGSGGYSHHGPQRYPVDPSPSTSTSGGSGSTSAAALPPVPCFSELSWVDQYGPYADLDGGAFDAAALGGLGLDGLDLGPADSDFYSDSTLLDYLNSTCCTGGGAMMTTMMSGVNAAHSSCGGMGGQDGDYGSSWRADDICQAAARKLGDHQWGGGI >KQL08540 pep chromosome:Setaria_italica_v2.0:V:45899294:45899371:-1 gene:SETIT_004187mg transcript:KQL08540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPDTGSGATDVHAGPTWHHHHIS >KQL07880 pep chromosome:Setaria_italica_v2.0:V:42311129:42314154:-1 gene:SETIT_003247mg transcript:KQL07880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEDFLAREGAVKEDEARISGPSAPAEGQVVMGFLGGAEGVGVAGGGGGRGRKRQLMDPVDRAAMQRQKRMIKNRESAARSRERKQAYIAELESLVTQLEEENAELLRGQEERHQKRLKELLERVTPVIVRKKLSRDLRRTNSMQW >KQL06406 pep chromosome:Setaria_italica_v2.0:V:33140879:33142152:1 gene:SETIT_005035mg transcript:KQL06406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSNPRHCARQHQRLQAEGVYDTKTGYLSMVGCRELNGSTDCQVLITVQFTSFDADRMGFGDGKGRISSLRDSTDELYFEARGIDLFGMYSGQVSESIRRMDLESIVTVASTTLSSVFTALQILHTKRNREAGPATSVTMLVVTALGYATPLVVNLDALLANRRKQFVQLSSSGMLELNELMLTAPAVIAFVLQLRLLQLAWPAGRTNMAAERNALLQVCLPLRAARESLVVRLGPEPGTLWQGLASYAGLVLDGFLLPQVVLNALSGSSRGTKAISPWFYAGGTAIRVATHLYDAARARSYAPSVKLSYVYAGPSDGLFGVAWDVVVPFGAASLALLLFLQQRFRGDFSLSSRSRSGGYQMGRWSPICTISF >KQL07406 pep chromosome:Setaria_italica_v2.0:V:39595928:39598787:1 gene:SETIT_001617mg transcript:KQL07406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRLAGAARRRGLAARGLASGAPREAADAVVVGAGVVGLAVARALAMAGREVVVVEAGPSFGTGTSSRNSEVIHAGIYYPPRSLKARLCVRGKEMLYKYCAERGVAHKQLGKLIVATGAAETGKLDMLLRNANENGVNDLQLMEGSQAMELEPELRCLKALLSPSTGIVDSHSFMLSLLADAENLGTTISYNTAVIGGQVGYEGLELHICESKELQNHPVGSHVTPQLVLLPKLLINSAGLSAVPLAKQFHGLDQAFVPNPHYARGCYFTLSQTKSPFSHLIYPLPEDGGIGVHVTIDLNGQVRFGPDVEWLDGGEDPVSCFLNRFDYSVNPTRCSVFYPVVRKYFPNLKDGSLEPGYSGIRPKLSGPGQPPSDFVIQGQDIHGITGLVNLFGIESPGLTSSLSIAEHIVSRYL >KQL06169 pep chromosome:Setaria_italica_v2.0:V:31286531:31286991:1 gene:SETIT_004928mg transcript:KQL06169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISRASVVLVMAVVAVLAAAANAQAPASAPASDGTSVDQGIAYVLMLVALVLTYLIHPLDASSAYKLF >KQL07812 pep chromosome:Setaria_italica_v2.0:V:41937535:41940434:-1 gene:SETIT_003259mg transcript:KQL07812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKIKTSRVSYPEGWELIEPTIRELDAKMREAENDPHDGKRKCEALWPIFRISHQRSRYIYDLYYRRKEISQKLYEFCLDQGYADRNLIAKWKKPGYERLCCLRCIQTRDHNFATTCVCRVPKHLREEQVIECVHCGCKGCASGD >KQL05478 pep chromosome:Setaria_italica_v2.0:V:24975420:24976022:-1 gene:SETIT_005059mg transcript:KQL05478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDRENKVLLYACRNCDHQISGFKGSHSASLYRNVVDHAAGEFTQVLFEDVASDTTLTRTKSVGCAAEAATCAVLMASAAWPDRPIV >KQL05859 pep chromosome:Setaria_italica_v2.0:V:28489336:28498362:-1 gene:SETIT_001264mg transcript:KQL05859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVACPRYNISHPRAFASAPGSRGLLRASRSAASRPRGRLRRAMAANGGGHSTATGAGASDAPPSLLVFSGGTAFNGVVEELKKVTTRVVHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDESTSEALSVRKLLGHRLPIDPSEAKLEWYQIVEGDHSLWDGVSRPYRETIRAFLVYFHNEILRRSAEMFCFTNGSIGNFFFAGARIFFQSLDAAIFLFSRVSQIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPSNGRREVVNKDCNSCTALPSRIKRVFYMSSEGSSLLHEVFPEANHTVLEQLSKVDCIVYAMGSLFTSVCPSLVLRGIGETIASRSIPKVLLLNGSHDRETVGLSTSGFVTAITDSLNRTYGDPHKSLKNHPNDYVNAILVPEGGQVPLDVENLAAQGIFHVVTVESVHDPKVGVIFDPPSLIQALTSLISEEMTTHLSEPGYLMENVKFVS >KQL07952 pep chromosome:Setaria_italica_v2.0:V:42769924:42771197:-1 gene:SETIT_003949mg transcript:KQL07952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGALDDFKVMLTRNEPLTGLARAVAFLVIFALGVVAGLWAAAGARQSSGGIVRPSITRFPSAAVYQPSAGDGVCCRPDPDAGFSEFVAPTRLMHDMTDEELFWRATLVPAAATGAQVAFMFLAGHGVLPLAPLWERFFRGHEERFSIYVHAPPGVAINVSEDSPFHGRQIPSQETSWGSVSLMDAEKRLLANALLDFSNERFVLLSESCIPVHNFTTVYEYLVGSEQSFVEVYYRDTKQCRNRYSRRMAPDITLRQWRKGSQWFELARGVATSILTDTRYYTLFRRHCRPSCYPDEHYVQTYVAMRHGDRNSNRTVTHVDWSTGGAHPVAYGARDATPELVRSIRTSREPCTRNSRPTTTCYLFARKFAPDALGPLLNMSAAVMHY >KQL03929 pep chromosome:Setaria_italica_v2.0:V:5414499:5415346:-1 gene:SETIT_004868mg transcript:KQL03929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTRDIQGDMPWCMLFADDVVLVDETRAGVNRKLELWRETLESKGFRLSRTKTEYMRCDFGTTTHEEGDVSLERQVVSRKDTF >KQL07447 pep chromosome:Setaria_italica_v2.0:V:39765020:39768971:-1 gene:SETIT_002501mg transcript:KQL07447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLQGQSTETMVAVAVAVVAVAAGGAFLLLRSRKPKGCLDPENFKKFKLVEKKQISHNVAKFKFALPTPTSVLGLPIGQHISCRGQDATGEEVIKPYTPTTLDSDLGYFELVIKMYPQGRMSHHFREMKVGDYLSVKGPKGRFKYQVGQVRAFGMLAGGSGITPMFQVARAILENPNDSTKVHLIYANVTYEDILLKEELDNMAKTYPDRFKIYYVLNQPPENWNGGVGFVSKEMIQSHFPAPAEDIQVLRCGPPPMNKAMAAHLDELNYTKEMQFQF >KQL06175 pep chromosome:Setaria_italica_v2.0:V:31369490:31373343:-1 gene:SETIT_003089mg transcript:KQL06175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRLGDFRLPPFFNYPPYFTLQPVRETREKQVQLWKDLILDYCRSQKIHTISLEEDFPLFSNPKTERSLSHEAKEVFLAALVSEGRAEWMDKGHKKCLVLWLRIQDWANFLINFVKDNGLEVMTIEEIRSGTDTRGTELEGIDRGVLMRALRQLEQKGKAAIFKGTSADDEGVKFSV >KQL05615 pep chromosome:Setaria_italica_v2.0:V:26376271:26376636:1 gene:SETIT_004193mg transcript:KQL05615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKPLPEFLKEHDLPMGLFPQDATNYELAEDTKLLTVYITSPCEVGFKDSSVLRFSTNVTGYLEKGKLTHVEGLKTKILIWTKVTEVRAEATKVHFAAGMNKTRNRDAYEVVRDGVVVDKF >KQL08528 pep chromosome:Setaria_italica_v2.0:V:45846762:45853193:-1 gene:SETIT_001940mg transcript:KQL08528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDLVEIHPRELQFTFEVKKQSSCTVHLVNKSNEYVAFKVKTTSPKRYCVRPNTGVILPRKTCEFTVTMQALRTAPPDMQLKDKFLVQTTVVPYGTSDEDLVPAFFSKEAGRYIEESKLRVVLVSASHSLEEEPINGVHGTEPAFEVPVLKEMPNIEKEVLPPATKEVPPPLEQTPAIATEIPSPVKETPGLREIPVPLKEAPAAFTESPSTRNDSSAIAIEHPSNVTIEHAPAIKIESPPPLKQSIAVFKESPPLEETPPKEAVMLNDRGLFNVQNHQLSHVTEDVQNLKSKLNNLESKLEEAEKMIIRLREESRSTTQERDKLQQEMVFLRKKGAPRSQVGFPLLFVVYVALLGTSLGYLLRL >KQL03711 pep chromosome:Setaria_italica_v2.0:V:4185762:4188440:-1 gene:SETIT_002064mg transcript:KQL03711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRQQVAAAAGAARWRGDGGGGAATAWWWVAAVVLGHLVSSARAGLLESNPGLAYNFYQKSCPKVDSIVRSVTWAQVAANPALPARLLRLHFHDCFVKGCDASILLDNAQSEKKAGPNLSVGGYEVIDAIKTQLEQACPGVVSCADIVALAARDAVSYQFQSSLWQVETGRRDGNVSLASNTGALPSPFAGFNGLLQSFAARGLNLTDLIALSGAHTIGVASCSSVTPRLYNGNATNVDPLLDSAYAKTLMNSCPSSSASGTVNLDGRTAVKFDSSYYTNVLNKQGTLASDAALTQNAAAAQMVQDLTNPFKFYAAFSMSMKKMGRVEVLTGTNGQIRKQCNQVNSS >KQL03828 pep chromosome:Setaria_italica_v2.0:V:4844875:4850996:1 gene:SETIT_001021mg transcript:KQL03828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRNMKLPPGFGFYPSDTELVGHYLKRKIHGQKIEHDLIPEVDIYKHEPWDLPAKCNFPIEDNKWHFFASRDRKYPTGSRSNRATVAGYWKSTGKDRAIKLNKKTLGTKKTLVFHEGRPPSGRRTEWIMHEYYIDEKECQVSPDMKDAFVLCRVTKRNDWALDNDNEVGNGNEVGNMNPHPHPQQPNGAATLVVSAVKPEDTADSVICAEEPNHVATPVGSAQLSNDVAIAGITADTASPNSSNELEAWLEELLDHSPSFNPIPDTGSALPSLTEQHAESSNPGSVVPKIGPDHASPMNDGTDATDYLFPEDLPEDLYSMLYPGNDDFSNSILVEPAGHAATNQTYCLMGGSPFALPNNSEEGIPKDGLQLDQENNNPNLSNGNSDTGIIIRGHRATASSANISPGFGRIKMQVGINKMVSSNSESINQTMKFADNSGRRLDLTTSVECQKKHANDATSVKQSDATKPIEGHGNQGYLRGIRNGFRCSSAGFNVHILFAIFLIGVAAAVALHYHRSGASL >KQL03964 pep chromosome:Setaria_italica_v2.0:V:5607021:5607514:1 gene:SETIT_003475mg transcript:KQL03964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHATDAVSAGADWSLQNKQGWSALQEAICWRGRAEEYSSYTQILIKLSDDGCYCYLENTNRGENMKKELQHPTGRKDDGKGIRRLQGPTHYTGLV >KQL04591 pep chromosome:Setaria_italica_v2.0:V:10127866:10128932:-1 gene:SETIT_005126mg transcript:KQL04591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCEVVIFDEKPSFSELVARAREELHCRENDEITVEGILHLGSPLNIQRKMVPIRCAGQWEKYVRTVMNGHSPSVEVVVRPVGVDRNSRRFSRPMGQRAHFDPPVPEPVMDVDVAPTIPDAESAPNEVVGHGCWIVDDMADSPNEFLFTQNDPRDIPENVDVPLVDAQVQCGDGFRGSNNVEILNDEDAYEMGVGLDSDDDRPVGEMMESDIEMFRRIFPGRRDPIVNEFSDLSLSDQAFAEGRDDELLEAPEAGPSMVIEEGRVFKDLPALKRWLQAFAVIWKRPYKVLHSYAERRYTVVCDKERCPWRVCARKQNITGKWKITK >KQL05247 pep chromosome:Setaria_italica_v2.0:V:19116256:19119547:-1 gene:SETIT_000597mg transcript:KQL05247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSRAPRLRAAGGRPRHRSKTCKRADLIIRNSDESLRGDACVLSGWLPAASLRDQEGRVHGGHPSSARAIAPISTRTDGRRKLNTGPGASPVEPDPDEPLRGDACVGGGWLAAVSLGDTQGQGRVHGGSTMSPFFTSSSAPSPSSDQPPCSNLKIAMSALAMEFCNLKIWRLDVLRDHLGFSSASIWESVRRSCSSGSNSDSAASWPSSSDSFTGTSGSSNEASFNIYSALSEDMSVRSDKAFSRMDFIDRKLLSILNNIAGIIIEGGYEKMLRRAIERQSAQLASYVEILDIHNILGGHKEESKEILLKIWTTAMHIMVGFLGEIQKQLNGQDLGYFNRLKEDYFLAIAKQSVMKLLKAASASIDSVYKDTYSTLKPDLSKMVNMVMMYQALNYAMPTILALFTGQSKEFILAEGEGLIHRLSDMFVKLSVEQNSLVRSQLLVISDTGVHHSTRHIMDHMRMLVQQKNTVCLMLKDDLKAFHELVEQLISSLEFMLDMNSRGLQLQGQQQMFLLNNVHFMLQEANKDKDLGPILGEGWLLQRHDQLNLFITGYVDASWTPVMSCFQKRTRVPEILWPHQLFDKFTSSFEMTYRVQKTWKITDPLIRQKLREAIFQKVIPEYRMHMENYSEKQQKSATARYSIKQLESQLQELFEG >KQL05718 pep chromosome:Setaria_italica_v2.0:V:27196483:27196921:-1 gene:SETIT_005270mg transcript:KQL05718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEEVMMHQRTRACFANINWKGYKSYNFLR >KQL07640 pep chromosome:Setaria_italica_v2.0:V:40965020:40965601:-1 gene:SETIT_003548mg transcript:KQL07640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRAGRHQRRASQSVFVLPENFALEDVPAAVAEGGAEQRKPAAADASELQAARQAGRHRRAMSMAVASRDLEMISEDIGSYKYGA >KQL04951 pep chromosome:Setaria_italica_v2.0:V:13350631:13353289:-1 gene:SETIT_002752mg transcript:KQL04951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRLVLFGDSITEQSFESGGWGAALTDRFARQADVVLRGLSGYNTRWALKVLPRAMEGAADADPAAVTVFFGANDASLPDQVQAHQNVPLEEYQTNLRAICAYFKERWPSAAIILITPPPIYETARIRDIYGVDDSARQAERTNEAAGSYAQACIAVAKELGHPVIDIWTKMQEFPDWQTSALSDGLHFTPTGNKILFDELVQTLAGIGFSKESLPYDLPLYPEIDPKDPMKAFGA >KQL04669 pep chromosome:Setaria_italica_v2.0:V:10674442:10675854:1 gene:SETIT_001432mg transcript:KQL04669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALVLFALLVLAGQRACAASDAGGGGFRVEFIHRDSARSPFHDPALSPHDRLLAAARRSLRGEVLGRSVLAAAPAPAADSGVESKIISRSFEYLMAVNVGTPPTQMLAIADTGSDLVWVNCRNGSGAAAAAGGVFAPSRSSTYEVVSCRSDACQGLNQASCDSASNCQYQYGYGDGSRTVGVLSTETFTFVDGGARQVQVPHVDFGCSTYMAGTFRADGLVGLGAGAFSLVSQLGSATSFGRRFSYCLIPSSAGANSSSTLNFGSRAVVSEPGAAKTPLVPGEVDTYYTVALESVAVGGRAVASNESAIIVDSGTTLTFLDPALLQPLVAELGRRINLTRAQPPEQLLEVCYDVSGRAQEDWGIPDVTLRFGGGGDVTLRPENTFVMVQEGTLCLALVPVSEATPVSILGNIAQQNLHVGYDLDARTVTFAAADCTRSSSASSSS >KQL05286 pep chromosome:Setaria_italica_v2.0:V:20721272:20723237:-1 gene:SETIT_000933mg transcript:KQL05286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHHRASLLVHQQRKVEVAAEDPLQAEGGGGGGGGGRMKELRGRLADYACHHRKHGHDALLRMLAGFALVSCLLLLLPGSPISAAMDELLQLGRRTRPDEEAAALCADVANGTVCCDRSALRTDVCVMRGDVRTQAASNSLFLLLRPNSSRAAAAEERIRPYTRKWESSIMSTIDELRLRSAPDRDAAAPAHCDVCHDVPAVVFSTGGYTGNVYHEFNDGIIPLYITTRHYNKKVVFVMVEYHDWWMTKYGHIVEQLSDYPPIDFANDRRTHCFPEAVVGLRIHDELAIDAARMPANQTIQDFRQMLDDAHRGRIQTIIEEEEKEAAAAAATVPRKQQLRGGRRSQRTKTAEGDKPRLVIVSRNGSRAIENEAELVRAAEGAGFRVAKLQPRQDTELAKIYRALNASDVMLGVHGAAMTHFLFMRPGSVFIQVVPLGTDWAAETYYGEPARRLGLRYMPYKILPSESSLCGQYAKDDPVLTDPDIVNAKGWQVTKQVYLDGQNVRLDMARFRRQLRQAYAHLAAKRQPHRADSGTGPRRLS >KQL06235 pep chromosome:Setaria_italica_v2.0:V:31914836:31933304:-1 gene:SETIT_000001mg transcript:KQL06235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSHAAVKCLNTCASSRKRFSFKTFSQRVEEIDIDVYRSLHAVKAEPSSGSSFFLDALMEWRELNTAEDFISFYEEMIPLVQTLPQIVLHREKLFSALLLRVNMSARLSLEPILMLITALSRDILEDFLPFLGRHANGILALLNDGGDRDPEVLEQVFTSWSYIMMYLQKYLVKDIVQILRITAALRYFPKDYVREFMAESVSFLLRNAPNNQLTQGLMKFLFEAAKKSSPVRIDGVISLLLHVMKGTSTKLHSRAGKVLKFLLSKSTFTTIHDKFPTDKVKIIELVELLVSRYVLPGNNIVEAASSEVLGSILDFLLCVLDVPIISGNLSIVSPFYVPVFELTNLSVIVFIKKLLAKGPQITQYFESQILSAMCNFLSSSPEEVLFILLNFFKGSQKQIILHSTDANHLDSVEKVCKFCESKFSFWIEFLDDTVNISNQSSNQISEKEAAILWGSICCYPYINGVRQDGLSLLKKLICNFDRLLEVGEENVNGLPMTTWRGLIGAALSSYCELLLVNTNINSELSFFISLAKSHSTCPQVLSAVAEYLDSLQAVASLEVTKEFDPQNLLDLFSIFAVNLSSPNKDVRILTLRILSYFVKMDQRLGTNEERPHKRQRTEDSGEETAKYTNVLDTLLSVESTPISVSTSRKIAIFISRIQMSISSKMVHDDYITSLLHGLIGILYNRFSDLWPPTLDCLAVLVRKHKELVWSQFVQFVAIHQSKGLTVKNLEKLEAATQPQSIFDCFSFYLATDFDCTPVETMATLLLQSLQRIPDVAESRSRHLIPLFLKFMGYEYDDGSIFSADSYMPEKCKGKQWKAILKEWLNLLKLMHNARSLYQSKVLQEVLTTRVLDDSDPDIQAKALDCLLNWKDEFLTPYSQNIKNLIDIKTLREEMTTWAVSHDSLSILKDHRSRVVPLVIRVLTPKVRKLKLLGSRKHAGVSHRKAILRFLLQFDSNELQLFFSLLLKSLIPGSLQLKIFGSQSDNPLGNVSDIIGTSTEICIENFTWKKANGFLHLVEEIFGTFDMAHISPFLNVLLIIVARLLESCMRNIRSASDGKYGCNQSNDHDDGGLANVEVGNSANMNECPKEIHGADHTELKELKQLKDLRSLCIKIVFSALSHYESHDFGENFWNIFFSSVKPLVDCFTQEASSSEKPSSLFACFMAMSRSPTLAPLLESNNLVPAIFSILTVKTASGSITSYALEFIENLLRLDIDLEQQDDNSVKKILVPHMDVLIHSLHDFVNHRKELNRKSGTWLGQRELRLFKLLLNYITDPSAAENFIDLILPFFSKKDLNSDECLEALRVVRGIVPNLKCKVPAKVLNALNPLLATVGLEQRLCICDIYDGLSLHESSMSFMAGLIRDLNAVSTSELGELDYDTRLNAYDKVNPQLFLGLTEEHVGAILSHCVYDMSSEELIFRQSASRALQAFLDFSASVMNNDESKYSIETADVSGENNTRNICTRNCIQKILEKTYLHNMGVAMAKDVSIQKEWIILLREMVFNFNHLASLSSFRPLCKEDMEEDFFHNITHLQAGKRSKALSLFRQGIKENNFSEDITMKVFVPLFFNMFSDVKAGKGEQVRDVCLDTLSAVAANVQWEHYRTILTRCFRELSLKPDKQKVILRLICSVLDAFHFMKPAKDALGDSDATSEDTDSSITFSLTAVSSDKQNYLRKVVFPQVQKLLGADPERVNVNINLVALKILKLLPVDYFEAQLSSIIHRICNFLKNRLESIRDEARSALAASLKELGIGYLQFVVKILRAILKRGFELHVLGYTLHYLLSKNITADMNGRLDYCLEDLLAVVHSDLFGDVAEQKEVEKIASKMKETKKRMSFETLKLIAQSITFRQHLLKKLISPVSSHLQKQLTPKLKTRLEMMLHNIALGIECNPSTETSNLFTVVYWLIKDTTTGSESESKENTQSGPGRGSSVGLNFPGLGESGSQNSYILTKFALDLLRNRLKSIKLDKEDEQLLKMLDPFVDLLGECLNSKYESVLSVAFRCLALLVKLPLPSLRDNANIIKNVLMDIAQRAGNSNGHLVTSCLKLLADLLRGFRISLSDNQLQMLVHTPMFVDLQTNPSPVALSLLKAIVRRKLVTHEIYDIVVKIGELMVTTLTESIRQQCIQILLQFFLNYPLSEKRLQQHIDFFLANLSYEHPSGREAVLEMLHDILTRFPQRIIDDQGQTFFLHLVVALANEQHQNVSSMILRAIKKLLERIGDQGKSYIFEYSLSWYTGEKQSLWSASAQVIGLLVDDHTLRTGKHLKSILAVAKKIMESSAVASGILRSGLSDETVLPLWKEAYHSVAMMERLLLRFPELYFEQNMEELWIIVCKLLIHPHSMLRIISSSLVASYFATVEKRKREQKSVATSWLLVQPSRLFIIAVSFLKQLRTELSDTTANNLIVQNLAYSICNLHMSIRQSTSTHQFWSSVSSHHGAFLEGFELLGSRKVKNMFLLCTSTTTDVSVSSLDSSEEPTSLLVSSILKRMGKIAMQMQDTQTKIVFNCFRMISSALGSEESLAYADHFLAPLYKVSEGFSGKVVSDEVKQLAEGVRDKLRDLIGSEKFVEVYQSVRKGLKYKRDSRKQAQKVIAAVDPERHAKRKQRMAAKHREHKRRKIMAMKMGRWMR >KQL04032 pep chromosome:Setaria_italica_v2.0:V:6037095:6038401:-1 gene:SETIT_002347mg transcript:KQL04032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKSKILVVGATGYLGRHVVAASARLGHPTFALVRDTAPSNPAKAALLKSFQDAGVTLLKGDLYDHASLVSAVKSADVVISTVGSQQIADQTRLIDAIKEAGNVKRFFPSEFGLDVDRTGVVEPAKTILSGKAAIRRATEAAGIPYTYAVAGYFAGYALPTIGQLLSPAAPTDKAIVLGDGNAKAVFVEEGDIGTYTVLAAGDPRAENRTLYVRPPANTLSHNELMSLWEKKTGKAFERVYIPEEAVLKQIQESAMPLNIILAIGHASYVMGEQAGFEIDPAKGVDASELYPDVKYTTVDEYLDRFL >KQL03813 pep chromosome:Setaria_italica_v2.0:V:4734593:4736133:-1 gene:SETIT_002724mg transcript:KQL03813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESGVVSGGTVVSWVASGAVLWSTAFVLVRALFPKRSYDFCNRAVSTMHAVAAVCLACLSVDDWSCPVCPLAAASSPRQMKALALTLSYMVYDAACCHLNGDVRLDNTVHHLVSIVGIGAGLAYRRCGTEMVASLLITEISSPLLHLREMLKEFGVRDTDLNLLVDVLFAVTFSAARMGVGPYLTYVTVTADNPILIKAMATGLQLVSAYWFLRILRMVRYKLSKKKPSPPQPPAGKLAVN >KQL07735 pep chromosome:Setaria_italica_v2.0:V:41470130:41473045:-1 gene:SETIT_003371mg transcript:KQL07735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQARCLCVVAMLVVAGLAGMETAHGAGECGRVPVDQVALKLAPCAAATQNPRARVPPSCCAQVRAIGRNPKCLCAVMLSDTARKAGVKPAVAMTIPKRCAIANRPVGYKCGPYTLP >KQL06874 pep chromosome:Setaria_italica_v2.0:V:36209757:36210458:-1 gene:SETIT_005119mg transcript:KQL06874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHTTSHPLPDVGSFSYSWPTSKPEPRPEERIGHGDITSFIGTDPASASPWSFDFRRSLPQQTESMADADQMFLDGLLLPLQLATKQGQDDGDSGRRESVLTRSLSLDSSQRMVASATASRRHRLPPPRPASQNSSPCGLRGGRAVTPTRGAVFRTSKLRLPSFGLGRCGKQHRWMSFRFLVPLCQTIVRCIWRRKAVDASERAEYSSAKVKLCDLGQESAIRDAILHCKRSL >KQL06638 pep chromosome:Setaria_italica_v2.0:V:34753737:34754170:-1 gene:SETIT_003710mg transcript:KQL06638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNESTSVCSPSWVRLTDLPMCSRKYNSPVYKILKRCNLTFSMCTEALDTV >KQL06817 pep chromosome:Setaria_italica_v2.0:V:35812816:35813686:-1 gene:SETIT_005147mg transcript:KQL06817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDQSPGAMPASGTAEPSPPGRLTAVSSQVLDMGAQMVQDLKPMRQMKLHSCSFALYAHALHRQMEIHHFLSRLNQDVLQCAVYDSDKPSARLIGVEYIVSDAIFEGLPPEEQRLWHSHAYEVKAGLWTAVGVPEALQSSEMASLAKTYGKFWCTWQVDRGDALPLGAPALMMSPQAEDPGRARADLVRGRDERYGVDTSAGGLKAARVEMDEPEWINPNADYWRQHGKGFAVDVVPAEMKRHAPFP >KQL07421 pep chromosome:Setaria_italica_v2.0:V:39675872:39677328:-1 gene:SETIT_002078mg transcript:KQL07421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTALGAAKFLHLDSSPRIAPQRAIPTANLSFSPLSASSSSSSSPSLLRLRSPSPSGPGGRLPPPPPPRSYGGGGSGDAADSGAGDGDGSRGGILGVFLAGWAARVAADPQFPFKVLMEEVVGVTACVLGDMASRPNFGLNELDLVFSTIVVGSIVNFVIMYLLAPTAAASSVASALPNYMFEPGAYTLGSRVATLLSKGATFTMVGFAAGLAGTALSNGLIAMRKRMDPSFETPNKAPPTLLNAATWGLHMGGSSNLRYQTLNGIEYVLGKVAPAPVFKASVVALRCINNVLGGMSFVLLARLTGAQKSDKPATVAEEKETLIAVGNAAADAISEAKEGEGK >KQL04217 pep chromosome:Setaria_italica_v2.0:V:7314193:7314432:1 gene:SETIT_003937mg transcript:KQL04217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVRGSKKGENGKGRPWKCCDKAVPGPTTEGQVWYCMDKVDKCTCNRCFELEGSHRYYYCLDGYQGSDPGPSCTTHA >KQL06439 pep chromosome:Setaria_italica_v2.0:V:33406527:33409927:1 gene:SETIT_004098mg transcript:KQL06439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHHHRRAAAASCSLAVTAAPSRKAFLSCPDHSPGRSRITPSSSPALITSVQDLRDFICSGPLVDRIGCTKEKIAESIDRWLRCGGQVARLFRLNELQLSESEKARIYRFYIPVFLWCEDQVIDHRAKYNDGDEIPPLVIGVSAPQGSGKTTLVFALDYLFRVAGRKSTTLSIDDFYLTAKEQNELRDRNPGNALLELRGNAGSHDLQFSVETLESLTKLTKEGMKMKVPRYDKSAFGGRGDRADPSVWPEVEGPLEVILFEGWMLGFKPLPNEVVKAVDPQLEVVNKNLEAYYDAWDRFIESWIVIKIRGPNCVFQWRLQAEIAMRADGKPGMSDKEVMDFVSRYLPAYHAYFPTLHNEGPNGSKPEHLLVIDIDEGRNPIRGN >KQL08790 pep chromosome:Setaria_italica_v2.0:V:47087038:47087305:-1 gene:SETIT_004940mg transcript:KQL08790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPHTVASKQTHSRQSARDIDNGGRYQQRTRSGEPLLGDEPGRKATPEIDGESAAPG >KQL06947 pep chromosome:Setaria_italica_v2.0:V:36594284:36596087:1 gene:SETIT_001839mg transcript:KQL06947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTASFVTYLQRPRELPVPEFRAPPPSPVTGVLTGSSSGSSGYGDDDEIGRFLRCSARVPVLRLPERPGPRRNKKKQAAWAPPVIDMRVLDSPPPVDGGAPALEALRSAAVAFGCFQVVGHGVDAGLALAALRAATAREGSPPSEGGGGDEDSEELWWPPGEGDREMAGNRPSRNGARQTRNTADDLFAQLEQASTKLLHALRQGNEAADAAEPMAKADANGSLLCIRKHQRDGSSASGPVSQDDVLRMLVRSSRCSRALALHLCSGASGFHVFSRRGWSRFRPVDGAVVVTIGDQLQTWSGGLYRSVSGKPAYSNDDLQGDGSDGAVTAEFFLSCSSVSAAKDALNVDAGKVFALNMQIMVAACLVLIYHFFSSCLYAIW >KQL05313 pep chromosome:Setaria_italica_v2.0:V:22288866:22291945:-1 gene:SETIT_000205mg transcript:KQL05313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPPAPAPAHTAGPSLVDTLFQRSLDDLVKSLRSDPSAAGESAAVARALSEIHREIRAPDAATKAVALQKLTYLSSLHFAPVASHPLAFPAIELLASPSLPHKRLAYLAASLSLHPASLSLLPLATHQLHKDLSPSASAAAAHRHVSALALQLLGSPAAAAAPDLAVHLAHDLVPHLSRGSPRAIAAAARVIAGAPSAAVPVLFKPLAACLASPDPRASTAAAAAFCDLAAPPADAAPFLPLAPDLYTLLTTSRSNWALIKVLKLFARLAPLESRLAARIVDPVCQLLTRSAAMSLTFECIRTVLTALPAHDAAVRLAIGKAKEFLAAADDPNLRYLGLLALGMLGPAYATAVNDCRDVIAQSLGDADSNIRREALHLMMGMVDENNIMDIASMLVSHAAKSDPEFANDILGVVLAACGRNVYELVADFDWYALLLTDMARSLHCAQGDEIGRQLVDVGLRVQDARSELVRAARTLLIDPALLGNHFLCPVLSAAAWISGEYAELTKDPVELVEALLQPRTSLLPMSVRAVYVHAVFKVLTFCLSVYVEKLGDSNKEVDVVFDGLAIDQTASGESKVTLGSAEEQDIRASAVRKDPFSHESMLYMINLIETTVGPLVECNEVEVQERAHNLIGFVHLVRDIQELNQKKVADDDKQSRVKELVKTMRTVFCQELGPVSVTAQMKVASPDGLDLNENLVELADVVSEDDTTPSTSIFFYPRSRDSVETRDEPAVSIGSSSLSEHRKRHGIFYLPTGNTEDEQSDYPHVNDTLPSCSNATVYGDNSKTIEPVFAGKKSKSTKSRPKVVKLDGEDFLSSMMATANALKEDPLSGALRGVLLGRDAKASSSQKALDVNSEAIPNLMGTNESSSQQIEYLGSHPTSSSRTSMRQNHDKEKGTNPPESDAKQSRKHRSSGRSGHRQGKHKHRERSSTQPDIVPQAPVIQDFLL >KQL08336 pep chromosome:Setaria_italica_v2.0:V:44873443:44877338:-1 gene:SETIT_001758mg transcript:KQL08336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRMALRLNDVTLCTSPPLATRRRRRAGSVKVLAVASTVSTQVENKKPFAPPREVHVQVTHSMPPQKIEMFKSLDDWARDNLLTHLKPVEKCWQPQDFLPDPASEGFHDEVKELRERAKEIPDDYLVCLVGDMITEEALPTYQTMLNTLDGVRDETGASPTAWAVWTRAWTAEENRHGDLLNKYLYLTGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKDYGDLKLAQICGIIASDEKRHETAYTKIVEKLFEMDPDGTVVALADMMKKKISMPAHLMFDGEDDKLFEHFSMVAQRLGVYTARDYADILEFLVNRWKVADLSGLSGEGNKAQDYLCTLAPRIRRLDERAQSRAKKAGTMPFSWVYGREVQL >KQL08490 pep chromosome:Setaria_italica_v2.0:V:45696457:45697530:-1 gene:SETIT_004144mg transcript:KQL08490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRTLELTLISAKDLKEVNLLSKMEVYAVVSLSGDPRSRQRIQADRTGGRNPTWNATLRFTVPASGAGSLHRALGDRDVGEVHIPLSELLSGAPDGPVPAKFVAYQVRKISSAKPQGVLNFSYKLGEVTQSAGGYAPTPAQSAYTQPPPAAAYPPSSAYPPAGKADAYPPPSAYPPAAKADAYPPPSAYPPAAKADAYSPPTAYPPTGKADAPATAYPPQSGYPPASGKPAKAGEPVTAYPAAGPSTAAPYAAPQPQYGYGYPPQQPAGYGYPPPPPQAGYGYGYPPQAGYGYQQQAVKPQKKKNNFGMGLGAGLLGGAVGGLLIGDMMSDASAYDAGYDAGFDDGGFDF >KQL05816 pep chromosome:Setaria_italica_v2.0:V:28186623:28194415:-1 gene:SETIT_000046mg transcript:KQL05816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREIHRVTSLRRDSSLWRRGDDVFSRQSSRFHDEEDDEEALRWAALERLPTYDRVRRGILALDEDGEKVEVDVGRLGARESRALIERLVRAADDDHERFLLKLKERMDRVGIDYPTIEVRYENLEVEAQVHVGDRGLPTLINSVTNTIESIGNALHIFPSRKQPMTVLHDVSGIVKPRRMTLLLGPPGSGKTTLLLALAGKLDKDLKVSGKVTYNGHGMNEFVPERTAAYISQHDLHIGEMTVRETLQFSARCQGVGTRYEMLTELARREKAANIKPDHDIDVYMKASAMGGQESSIVTDYILKILGLEACADTVVGNEMMRGISGGQRKRVTTGEMLVGPARALFMDEISTGLDSSTTYQIVNSLRQTIHILGGTAVISLLQPAPETYNLFDDIILLSDGHVVYQGPREHVLEFFEFMGFRCPARKGVADFLQEVTSSKDQGQYWYRQDRPYRFVPVKKFADAFRTFHVGRSIQNELSEPFDRTRSHPAALATSKYGVNRMELLKATIDRELLLMKRNAFMYIFKAVNLTLMAFIVMTTFFRTEMKHDFVYGSIYMGALFFALDTIMFNGFAELAMTVMKLPVFFKQRDLLFFPAWAYTIPSWILQIPITFLEVGIYVFITYFVVGFDPSVGRFFKQYLLLLALNQMSSALFRFIAGIGRDMVVSHTFGPLALLAFQTLGGYVLARPNIKKWWIWGYWISPLSYAQNAISTNEFLGRSWSQIQNGTTLGIDVLKSRGIFTEAKWYWIGLGALIGYTLLFNLLYTVALSVLSPFADSHGSLSEEELKEKHANLTGEAIEGQKKKKSKRQELELSNSVGQNSVATSEDSSHNRKGMVLPFAPLSLTFNDIRYSVDMPEAMKAQGVIEDRLLLLKGVSGSFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDITISGYPKKQETFARVSGYCEQNDIHSPHVTVYESLLFSAWLRLPSDVNLETRKMFIEEVMDLVELTSLRGALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVNTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPVGQNSSQLIEYFEGIEGVSKITDGYNPATWMLEVSSSAQEEMLGVDFCEIYKQSELYQRNKELIEELSTPPPGSSDINFPTQYSRSFLTQCLACLWKQKLSYWRNPSYTAVRLLFTIVIALMFGTMFWDLGSKTRRQQDLFNAMGSMYAAVLYIGVQNGGSVQPVVVVERTVFYRERAAGMYSAFPYAFGQVVIEFPYVFVQTLIYGVLVYSMIGFEWTVAKFLWYLFFMYFTLLYFTFYGMMAVGLTPNESIAAIISSAFYNVWNLFSGYLIPRPRIPVWWRWYSWVCPVAWTLYGLVASQFGDIQHEIEVGVGGQKKTVAQFITDYFGFRHDFLWVVAVVHVALAFFFAFLFSFAIMKFNFQKR >KQL07215 pep chromosome:Setaria_italica_v2.0:V:38401731:38404451:1 gene:SETIT_004602mg transcript:KQL07215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAKLKSPSATPLLRLLLILLTASVATSQDAKPTWLDCGDGGASPPSPSPSPSPLPAPVNGSGGAPFRANLLSLLGALPRAAAPTGFASLSLGAGRDRAFVRGLCRGDSAPPRCLADLQEAVRNLSGSCAASRRAAVWFDKAYVTYADANSSTAREEGFTGILVDTRTVADPGAYVQAYNALMSRLVARASDGGGEAGRPFFATGEAQYASDEPDGTMYGLVQCMRDITAADCDQCLQRSVPQCCSGQQGGVVLAYNCYLRIQIYTYYDLALDAPPPSPAEPPPPAISPPTPADETNGVLLLGTLLILAFVVTCVCLQRRRSIEVNKRSPGIPYFRDRRRINSDSTCTYVYPEKFALPVLMAATGNFAAENKLGEGGFGQVFKGRLQDGQEIAVKRLSRGSKQGFHELKNELILATKLKHRNLVQLLGVCLEDSEKLIVYEYLPNRSLDTNLFDAGRPRRESLDWGRRYAIVRGIARGLLYLHEESRLRIIHRDLKPSNVLLDSDLSPKISDFGLARAFWGDETREVTKRPAGTLGYLSPEYAYYGHVSTKSDMFSFGVIVLEILTGRRNTSPSSAEEDGSGNNLLSYVWEKWRRGSVADIVDASLGGQYARAEALACAQVGLLCVQKDPGARPDASAVVLMLDGHSAIQQRPSRPAFCSGTRSMSAASSRGNGVRYGRRSATDPVSENGLTVSELKPR >KQL06768 pep chromosome:Setaria_italica_v2.0:V:35495139:35498531:1 gene:SETIT_001149mg transcript:KQL06768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAIVETFVSSSPPCNCKCNGSTRKGIPYDRSFKCYRQQKGVEVYLLQLRHVLLRIKATVEEAEGRHITNQAMLWQLQVLRETMYKGCYLLDTFTYRILQEQGEIDQCGSQSFALSKFSSAKRFCFSNRRIGAIFQGHGVEDVQKMLGNMHSIIDDMAEFIIFLKSYPPIIREPYSKYLFMEKCMFGRQAEMEKIIRFLLQPEPPGANSLQVLPIIGPPRVGKSTLVEHVCYDERVHNHFSSIILCSGDPSAPEGSDVVKKQSHGSHGRSLIVMELAEDLVLGDRQWRKLYSSSHMPLGSKVIITSRTEDIAKLGTTGAIRLKYLTQEAYWYFFKVMAFGSTDPEVDPELASIAMEIAAELDGSFLGGNVISGILRANQHAQFWRKILKLQRDYVQWHLLLFGEHPHTLLQKKQTAYVWSLSNNSLRFKVLCFKTHSPLIDVPKTTLYEFQARNPEVHRELEVLVWKSRIPPYHSYTMRCELEASQDTMAKKKRPHSTV >KQL06767 pep chromosome:Setaria_italica_v2.0:V:35496775:35498241:1 gene:SETIT_001149mg transcript:KQL06767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METFVSAILGDLLSRSISFVIDRCYRQQKGVEVYLLQLRHVLLRIKATVEEAEGRHITNQAMLWQLQVLRETMYKGCYLLDTFTYRILQEQGEIDQCGSQSFALSKFSSAKRFCFSNRRIGAIFQGHGVEDVQKMLGNMHSIIDDMAEFIIFLKSYPPIIREPYSKYLFMEKCMFGRQAEMEKIIRFLLQPEPPGANSLQVLPIIGPPRVGKSTLVEHVCYDERVHNHFSSIILCSGDPSAPEGSDVVKKQSHGSHGRSLIVMELAEDLVLGDRQWRKLYSSSHMPLGSKVIITSRTEDIAKLGTTGAIRLKYLTQEAYWYFFKVMAFGSTDPEVDPELASIAMEIAAELDGSFLGGNVISGILRANQHAQFWRKILKLQRDYVQWHLLLFGEHPHTLLQKKQTAYVWSLSNNSLRFKVLCFKTHSPLIDVPKTTLYEFQARNPEVHRELEVLVWKSRIPPYHSYTMRCELEASQDTMAKKKRPHSTV >KQL04980 pep chromosome:Setaria_italica_v2.0:V:13754295:13757963:1 gene:SETIT_004242mg transcript:KQL04980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKSTSPSARILCSFSRRCSRFSDRADNLTCCSVTLYHNPGSSRHNGVDEEYLSTAWMNGEAAVATARALEKEAQELEAEAEKEAFSADVHKFEALIKIGKAKVNEREEELADLEKELEAKVLDARHTTAENEELLKKLDTQVMSVGDIERMHREMLWIENEIARSENKKSSLEDECWDLDSKLVTKLESLDGLVEQCNNALKGWNQYLINLKGSSPDEMLGTGYKTVLKPALKAHAEEKKRITVSNLAELVDLQKQVQESAKILEEEKSLFTNIALHFMLAALNLLDHEISKDDSRCKGDSRRVKDELEKEYGALRSIEKEADDVLKNSEKRLQDALRKEEEETQVAANVLLQLLDSIAEHKELMEATIAQRRNDLYAAADFGPVCIRL >KQL07797 pep chromosome:Setaria_italica_v2.0:V:41819549:41820336:1 gene:SETIT_005447mg transcript:KQL07797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCREKPPRGRRGPRGPERAQVDWLGTLQTDRPSPFQDPVELPFLQCEVHDISRVFPVTIDPEPPPMKDNKYPLMNYKEKNVGEERKHHVNTIHRHHKVGKRREDWIYRRPREAEHQRR >KQL03343 pep chromosome:Setaria_italica_v2.0:V:1844951:1847516:1 gene:SETIT_004278mg transcript:KQL03343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEVELAPATQPIPSKEQPKKSARKAERAAQRQQQQMQPPAAGAEDPFAVNYGDVPVEETQSKAVSGRSWAKEIRSLGRMAFVVLREGRSSVQCVLAAGAGASKQMVAFAKSLTKESVVDVEGVVSFPEEPLYPPPSRFDRLVEIQVRKIYCISRAIPTLPFNLEDAARGEAELEKPKFRVLWSKDFDEIHTPKLLSGASEGGAAVFKLMYNGQPACLAQSPQLHKQMAIVGGCRRVFEVGPVFRAETSRTHKHLHLFFTQVCDVIDGLFVSIFKHLAEKCKEELETINSQYPFEPLKLIAIPSMSFFEVSIAIGEEIISGAQRIHVPGMLPKRAEEVGIEASTMSAYMESFSFGAPLPVMMLLCGLDNVRMVSMFPRDPHRLSP >KQL03344 pep chromosome:Setaria_italica_v2.0:V:1848655:1849296:-1 gene:SETIT_004719mg transcript:KQL03344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLMRIGNGTEETFGDDYVQLPDDILIDSPSEDIFINTLIDHVFPNLDVNCTSVAYMRERAILSTRNEHADAVNALMIERFPGSKMVYYSFDSVEDDPRNNYPLDFLNSITPNGLPPHGLTIKKNCPVILLRNLDPHNGLCNGTRLIVRGFQKNSIDAEIVNGQHVGERVFIPRIPRYPSEDLSLLFKFKHKQFPIRLSFVMTINKAQGQKYQM >KQL05856 pep chromosome:Setaria_italica_v2.0:V:28449103:28449510:-1 gene:SETIT_004794mg transcript:KQL05856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRPLSLAVLPTKVAIEIAGHHTTTLKQPMDDLLSLQVTYSFMCRVCSDCAVSQRMALDQFRRAMSWNELDGYGSLLASMTQVGNPESYFLTEIQVVFRENCSPRPCLNVAANLVALFLYRDNGGTGDNDTARR >KQL06328 pep chromosome:Setaria_italica_v2.0:V:32645786:32646177:1 gene:SETIT_005649mg transcript:KQL06328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDAFSLLIIWCITAQLSNLVDTRLNLIAF >KQL04376 pep chromosome:Setaria_italica_v2.0:V:8562855:8564595:-1 gene:SETIT_001532mg transcript:KQL04376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEVKVVESSFVAPSEPTPRQGLWLSSLDIMLASRGHTPTVYLFHSDDTASDFFDVARLKEAMAKALVPFFPLAGRLAVDGSGRVEIDCNGEGALFVVARSDITVDDEIKDVKPSPELRRQLVPRIEPSSVVLAVQVTFFKCGWVALGTALHHAAIDAMSAIHFFQTWSAFSRDGERAAVEPPCHDRTLLRARSPPTVHPDALSTFYPKLAFSDPSGPLATKVFTISKDRIASLKRLCGGTSTFRAVSALVWRCACVARRLPPDSEARFTAPVNIRRRVNPPLPERYFGNALVRVVVAAAARDITSEALASVAGRIGAAIGRVDDELVRSAIDYYEMAGTGSQSSAKGTLPETDLQVISWLGMPIYDADFGWGKPRVMSRAESNRGGFVHLMNNGPADGAGGVSVLMCMEAANMKELERLLYEALARC >KQL08621 pep chromosome:Setaria_italica_v2.0:V:46333732:46336195:-1 gene:SETIT_002895mg transcript:KQL08621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPGDAEGVTVSMGELSVEAAPSSSGTEIAQHANGGDVAAAEDDDIWDDASDSPGHASNLDREWIHRQNQFHKMGYRDGITEGQKDSAQEGFNVGFRQSVDVGYKWGLVRGVTSALASLPDSLKEKLVPDAQCRRKLLDVQSSVQEISADDALQMFHESICQSSHPSEESHVTSTADGTTESNRIKSLSNDLVLLLHECPDIKVSEELAGDS >KQL08076 pep chromosome:Setaria_italica_v2.0:V:43459935:43461637:1 gene:SETIT_005178mg transcript:KQL08076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKSVLSLLAHLHAAVLFLPADPAAGAAMYNVLRYGARPDGAADAAGAFLRAWADACRSTRPATVYVPPGRFLVSSATFTGPCHGHAAVTFAVAGTLVAPAGAGGRGLSGRWITFENMEGLVVSGGTFDGRGRALWACRARGGNCPTPASSLTIANSRDVVVAGVRSVDSELFHVVVLQCVGVTVRGVTVEAPADSPNTDGIHIHMSSHVSVYDARIGTGDDCISVGPGNSHLWIERVACGPGHGISIGSLGKQEGMAVEAVQNVTVKTTWFAGTTNGLRIKTWGGSKRGFVRGVTFADATMSGVDNPIIIDQRYCPSGSGCPGGSSSIRISDVRYVGIRGSSATPVAVNFDCSRSNPCSGIRLQDVALTYRSRPAAAKSYCRNVQGSTLGLVLPPSCL >KQL03897 pep chromosome:Setaria_italica_v2.0:V:5252128:5254849:1 gene:SETIT_003439mg transcript:KQL03897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSSPAAIVNGEILNKFVGRRVRTVVQVQRNEGGMVFGQSTDGHQLTIKSAMDIPVSHFMEVYGIAEHNQTIRAEVCTDFGPNFDAKAFDGLCKLANDKFKHLFL >KQL05334 pep chromosome:Setaria_italica_v2.0:V:22690460:22694569:-1 gene:SETIT_004705mg transcript:KQL05334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTVPGFESLTFAFFKLPCDMQCVITFQTTLPVMGCRRILFEEAGPSGPSRDSADSPSPKRLEINDMRVAVDVRSTEVPERSPRRSEPPQPGERDTIDSCIKVPEMMESSSTRFRTPPTQIGCQQGSNEDETNTTGRQGVVFLPPDTLDANQMIASEEEIPPQTHEAGVSTQSIKNVLQNMHGGAELVPITSRDIENRKATNVREEHADDINKLIEFFKDYQDQAMGVAIAKEFPGVVHKICRWHVVNKHMPHLTNLFGMYAKKNFKDKFYSVLNHPLTPVEFEAAWQELLDEFDLQKDGTLDSLYCQRQLYVPAYFKDQYCGRMASTQRSESSNFVMKKCFVNKHTALHRFAKKMLDFMHSRKMKESEESYHGTSKRLTRSKWPFEIQVSRIYTRNVFKDFEKKMIDCTAYDIEDNPIEGETCYLVTHTNRSSKLSWGQHQFKVRANKENGEFHCECKEWQHTGLFCVHLLRAFMRIQLNSIPQHYILRRYTKYAQQELGFDRNDKLLVGADGVTQLYRIKDLTSLAMAAVRSGSMSRAAHIRTREVLAKLDKDNKEIPPDIGPSTTNMHQESPGEYHANDDRLISRVPPTRSKTKGRSIPPSEKKEITLGAKGVKKGTRKCSICGYYATHNARTCPKLQHNKERLEVLKNRMRGRPRGAQHKRSTSQHDSGGEEHNIGRQQDTKKCKENKYIDYESNDEQFRDTDMEG >KQL06740 pep chromosome:Setaria_italica_v2.0:V:35378422:35379580:1 gene:SETIT_002736mg transcript:KQL06740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESNAPRSTAPAAAGLFRVPGLFVRLSTKGAADPDTVWSPTSPLDFKSLRSSPPRVGLGLVDALTVADGSCCSVHHLGCRSSFLDSIRPFLELVLPKAACGKAASSPGVAATPDEVSAYAADCEEYTCVISRGANPRTTHILAGETLEVRGRGEAGGGGCRKAIFSIEPLSDQQPSTSSPASAAAPGRCRCCIKKLPEKMDIFMYLGKAFCSNECRKGYMEEEIEEAEELMILDSALNL >KQL03844 pep chromosome:Setaria_italica_v2.0:V:4966727:4967409:1 gene:SETIT_003568mg transcript:KQL03844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGAAGIVDGCCLDLALIIIRWKSVSPQTRPYIRSRGYGVAKDNLPMADRSAHASSDLTIRWRKRSICRSASHPWLHHSPRA >KQL03794 pep chromosome:Setaria_italica_v2.0:V:4627947:4628323:-1 gene:SETIT_0026451mg transcript:KQL03794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSATREVLMLEAPPPSDPALAPWRSPPDAEAVDALPYIDGDYGDPAVKREVDRLVEEEMRRGQRKPADFLRDLPPVPTAGFE >KQL03795 pep chromosome:Setaria_italica_v2.0:V:4627947:4628201:-1 gene:SETIT_0026451mg transcript:KQL03795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSATREVLMLEAPPPSDPALAPWRSPPDAEAVDALPYIDGDYGDPAVKREVDRLVEEEMRRGQRKPADFLRDLPPVPTAGFE >KQL06101 pep chromosome:Setaria_italica_v2.0:V:30682192:30687828:-1 gene:SETIT_000254mg transcript:KQL06101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWGSSRVAACGPWGGAMERELSRDGSHYSISSGILPSLGARSNRSIKLRPFIVSPYDRRYRCWETFLIILVVYSAWVSPFEFGFIRKPTGGLAIVDNVVNAIFAVDIILTFFVAYLDRLTYLLEDDPKKIAWRYATTWFVLDVASTIPTELARKILPPNLRSYGFFNMLRLWRLRRVSSLFARLEKDRHFNYFWVRCAKLICVTLFAVHCSACFYYLLADRYPDPSDTWIGNSMPDFHHRSLWIRYVTSMYWSITTLTTVGYGDLHAENTREMVFNIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKYRDTIQAATSFALRNQLPSRLQDQMISHLSLKFRTDSEGLQQQETLDTLPKAIRSSISQYLFFNLVQKVYLFQGVSNDLIFQLVSEMKAEYFPPREDVILQNEAPTDFYILVTGSAELIELQNGAEQVAGVAKSGDVVGEIGVLCYRPQLFTVRTKSLCQLLRMNRTAFLNIVQSNVGDGTIIMNNLIQLLKEQKDNSVMVGVLKEIENMLARGHLDLPITLCFAVTRGDDLLLHQLLKRGFDPNESDNNGHTALHIAASKGNEQCVKLLLDHGADPNARDDQGKVPLWEALCEKHAAVVELLVENGADLSSGDTALYACVAVEDNKTELLKDIIRYGGDINRSTRDGTTPLHRAVCDGNVQMVELLLEHGADIDKQDSNGWSPRDLAVQQGHDDIQVLFKNKSRVAPSHRVSNSRVAPMLIGRFNSEPAMPNVDHEDAELCSKVVPQRLLRKRVTFQNSLFGVISSTHAHQDTGRMLSRDLAATGGPSCRHNSLVRVTLSCPEKGDTARKLVLLPRSMKELLELGAKKFGFMPTKVQTVEGAEIDEVELIRDGDHIVLVSDDWVPEVAQIRPDEK >KQL06954 pep chromosome:Setaria_italica_v2.0:V:36657855:36661530:1 gene:SETIT_000232mg transcript:KQL06954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPDKFTHKTNEALASAHELASDAGHAQITPLHLAAALAADRSGVLRQAIAHASGGNDIAAADSFERVVASALKRMPTQSPPPETVPASTALVKVIRRAQSAQKARGDSHLAVDQLLVGLLEDPQVSDALKEAGVAASRVKDVVEKLRGDNRRVESASGDSSFQALKTYGRDLVEVAGKLDPVIGRDDEIRRVVRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLLDVRLVALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVHVVEPSVVDTVSILRGLKEKYEGHHGVRIQDRALIVAAQLSSRYIMGRHLPDKAIDLVDEACANVRVQLDSQPEVIDNLERKRIQLEVELHALEKEKDKASKARLVEVRKELDDLRDKLQPLQMKYRKEKERIDEIRKLKQRREDMLFSVQEAERRMDLARVADIRYGALQEVDAAIAKLEGETGENLMLTETVGPEQIAEVVSRWTGIPVTRLGQNEKERLVGLADRLHQRVVGQQEAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDENLLVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEQVRRRPYSVILFDEVEKAHVAVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLAGMVGNNSMKAARDLVMLEVRRHFRPELLNRLDEIVIFDPLSHEQLRKVARLQMKDVAVRLAERGVALAVTDAALDVILSLSYDPVYGARPIRRWIEKRVVTELSKMLIKEEIDENSTVFIDASPNKEELTYKVDANGGLVNAQTGQKSDILIQVPSGAINGGTAQAVKKMRIMQDDEDADDMEEE >KQL07045 pep chromosome:Setaria_italica_v2.0:V:37290188:37292942:1 gene:SETIT_000905mg transcript:KQL07045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSSCTSSWARRLPCFDGDSSNRFSGRNLAGDLLPSLGATTQQPPKLRKYLVSPYDPRYKYEFLHFRIWTDDPFTIRNWVWEIFLILLVVYSAWICPLEFAFLRYLPRAPFVVDDVVNGFFAVDIVLTFFVPYVDSKSHLLVDDPKKIAARYLSSWFVFDVCSTFPFHSISLLFNRHEHSLGLKFLNVLRLWRLRRVSSLFARLEKDIRFNYAVIRCTKLISVTLFAIHCAGCINYLIADRYPDPRRTWIGAVMPDFREAGLWVRYVTSLYWSITTMTTTGYGDLHAENTREMLFGVAYMLFNLWLTAYLIGNMTNLVVHSTSRTRDFVCPCLHQSLNAPDDTQDMTDLYLLHEMQRDMVQAASEFTARNQLPQQIEEQMLNHICLRFRTEGIKQQEMLDILPKAMRSSTSLYLFYPVVQGSYLFKGVSSGFIQQLVTEMQAEYFAPKEDIMLQNDKPSDLYLLVSGAVDILAFLDGTEQIYGKAAEGELLGEIGVMSNKPQPFTFRATKLSQILRISRSKLMDIMQENREDGQTISSNFQQKLRMEQRL >KQL03409 pep chromosome:Setaria_italica_v2.0:V:2199018:2202772:1 gene:SETIT_001529mg transcript:KQL03409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASELTYRGGAAAPGSASNGGEYSPKPSKPLSCLSRAARYAAAEHRPIFALAGMLFAAALFTFSSSTTSTSSPSYPAASVGFNHLAVAGHPSFRESVGGKVPLGLRRRALRVLVTGGAGFVGSHLVDRLVERGDSVIVVDNFFTGRKGNVAHHLQNPRFEVIRHDVVEPILLEVDQIYHLACPASPVHYKYNPIKTIKTNVVGTLNMLGLAKRIGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGANLEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQYVSDLVEGLMKLMEGEHIGPFNLGNPGEFTMLELAKVVQDTIDKEARIEFRPNTADDPHKRKPDISRAKELLGWEPKVPLHEGLPLMVTDFRKRIFGDQGEFTEAAGGLS >KQL07464 pep chromosome:Setaria_italica_v2.0:V:39869947:39876297:1 gene:SETIT_003870mg transcript:KQL07464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGWQNFPSSWKASNDPCGAQWDGITCNNGRVTSMRLSSINLQGTLSNSIGQLSELVYLDLSSNSGLSGPLPTSIGNLKQLTTLILVGCSFTGGIPQELGNLVQLSFLALNSNNFTGRIPASIGLLTNLFWLDLAENQLSGPIPISSTTSPGLDLLTHTKHFHFNKNQLTGTLNGLFSPSMELEHILFDNNQLFGSIPAELGSITSLQILRLDKNRFTGAVPTNISNLVNLNGLNFADNQLRGAIPDLSTLTKLSAIDLSNNQFDPSVLPAWLPTLTTLTSVVMASGRLYGQVPNRIFTLPELQQVILSNNDFNGTLGMTGNISQQLQRVNLQNNRIVAANITQSYNRTLVLVGNPVCLDAEFSGSHFCSIQQDSIIPYVTSVTKCGSTSCSSDQSLDPANCGCAYPYMGAILFRSPLFADLTNNEHFQQLETSLWTELGLRPGSVFLSDVLFTSDDYLQVKVRMFPSTGTSFNLSEVTRIGFDLSNQTYKPPQGFGPYYFVADPYVHFAGAADSGKSQISTGAVAGIAVACGLVLTALTSWAIFSLLQKRRTKELSGRTNPFASWGIAQKDSGGAPQLKGARFFSFDELKTCTNNFEENNEIGSGGYGKVYKGILADGTGVAIKRAEYGSKQGSFEFKNEIELLSRVHHKNLVSLIGFCYEQGEQMLVYEYVSNGTLRQNLQARGIYLDWKKRLRIALGSARGLAYLHELANPPIIHRDVKSTNILLDDNFKAKVADFGLSKLVSDTEKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVMLEILSGRLPISKGRYIVREFRMAMDPNDQDYYGLQGIVDPAIHDAAHTTGFRRFVQLAMECVDESASRRPTMNSVVKEIEAMLHGEGLSSGSSSAVEFERAGTASDSHLYSGP >KQL07996 pep chromosome:Setaria_italica_v2.0:V:43030268:43030978:-1 gene:SETIT_003668mg transcript:KQL07996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVVFDRCQQKTFLQVLNRTYWLGPSCSEALIMACRSLEMTALHFFTLNGLSFNLRIGV >KQL04133 pep chromosome:Setaria_italica_v2.0:V:6745975:6746296:1 gene:SETIT_005287mg transcript:KQL04133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILVLEFFTSLDMILIWATDVELNICYKLFC >KQL04121 pep chromosome:Setaria_italica_v2.0:V:6631072:6632997:1 gene:SETIT_003953mg transcript:KQL04121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTALSSSWTLHLLPLFLLLLPAATGGATFNITNRCPHTVWPAAMPVGGGRQLDPGESWILNMPASTTGGRVWARTACSFDRAGNGRCETGDCSGVLACTGYGQAPNTMAEFALAQFNNTDYFDISLVDGFNVPMDFLPVPANRLGAQGCSRGPRCAANITSQCPKDLKAPGGCNSACTVFEDKAKYCCIGNGTNTCEPTTYSLVFVRMCPDAYSYSRDDSSSTTFTCPSGTNYQVVFCPSNDISASPPATNPHAPTGTGSTSFTRSRVFSAVLGSIGSLIVLVVFITFFAYKLRKQRHQEMQEEDEEFGELPGMPTRFTFQQLQEATDQFKCKLGEGGFGSVFEGQYGEERIAVKRLDRAGQEKADVYSFGVVVMEIISGRKNLDTSRSEESIHLITLLEEKVKSDELADLIDKHSTDMQVHKQEIVDMMKLAMWCLQIEPKRRPQMSEVIKVLEGSSDPPQASYLSAPR >KQL06317 pep chromosome:Setaria_italica_v2.0:V:32539653:32543758:-1 gene:SETIT_002444mg transcript:KQL06317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPLRLIPRLAAAARLPRAPRPISCRKTLAPALAMAAAYSTGTGADRRLLFRQLFEKESSTYTYLLADVADPDKPAVLIDPVDRTVDRDLNLIKELGLKLVYAMNTHVHADHVTGTGLIKTKLPGVKSVISRASGAKADHFVDHGDKIHFGNLFLEVRATPGHTAGCVTYITGDGDGQPLPRMAFTGDTLIIRACGRTDFQGGSADLLYQSVHSQIFTLPKDTLLYPAHDYKGFTVSTVEEEVAYNARLTKDKETFKTIMDNLNLSYPKMIDVAVPANLVCGIQDPPPKI >KQL07973 pep chromosome:Setaria_italica_v2.0:V:42899993:42900888:-1 gene:SETIT_004617mg transcript:KQL07973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFYISHGSPTLSIDDSLPARHFLKSWVPTGLAGPQPPSAILVVSGHWETDTPAVNVIRDVQANVAMPLPCAQLAYPAPGAPDVAERTKQLLEDAGFGPVAEDRRRGLDHGAWVPLMVMYPEAGVPVFQLWVQTARDGAYHYDHGRALAPLREEGVLVVGSGSATHNMRRILRTLAPTSHEPAPWWAAEFDDWLRESLLGGRHDDVYLEVAPHAEVAHPQPDHFYPLHVALGAAGDGCKAELIHHSWSNATLSYASYRFTTKN >KQL08598 pep chromosome:Setaria_italica_v2.0:V:46271274:46271757:-1 gene:SETIT_005549mg transcript:KQL08598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVYGVTVLSTTPPRPVRPSRSSAPLGREAATSTPCQDTEMPLTGM >KQL05880 pep chromosome:Setaria_italica_v2.0:V:28733949:28739239:1 gene:SETIT_0001922mg transcript:KQL05880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNMKTLTQALAKASAVIEKTVSTTVQEVTGPRPLQDYELLDQAGSGGPGLAWRIYTARPRDGAPSAPYPVVSVWVLDKRALAEARARAGLSKAAEDAFLDLVRADAARLVRLRHPGVLHVVQALDETKAAMAMATEPVFASVSNALGCLDNVGKVPKELKGMEMGLLEIKHGLLQVAETLDFLHNNAHLAHRAISPETVFITSSGSWKLGGFGFALSVDQATGRLTSSQQFHYSDYDVEDTALPLQPSLNYTAPELVRSGDSKVGSACDMFSFGCLAYHLIARRPFLDCHNNVKMYMNALTYLTSEAFSNIPSDLVSDLQRMLSMDAVSRPSAMAFTGSSFFRDDTRLRALRFLDHLLERDNMQKTEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKGDFELSTLPALVPVFTSASGETLLLLVKHADLIINKATQEHLISHVLPMLVRAYDDNDPRLQEEVLRRTVPLSRQLDIKLVKQAVLPRVHGLALKTTVAA >KQL06665 pep chromosome:Setaria_italica_v2.0:V:34890564:34892102:-1 gene:SETIT_005192mg transcript:KQL06665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRKPRVVIVGAGVAGLTAARRLGAAGGGDRFEVAVVEAGARAGGRVLTSEFAGHRIEMGATWVQGVDGSPVYALARDAGALGSRSGNKDEDGDAAGSLPYERMDGFPDRVLTVAEGGEVVDADRVARPVEELYRGMMEAARAGEARGGGGVEEYLRRGLRAYQAARPAAAAAAAGGENKELEEVEEALLAMHINRERTDTSADDLGDLDLAAEGEYRDFPGEHVTIPGGYSRVVDHLVAALPPGTVRLGLRLRRLDWRGSPVRLHFADGAPEITADHVILTVSLGVLKASLGGKDASAAGAIAFDPPLPQFKREAVARLGFGAVNKLFMEVEPAEAPGPEGGGGQPPEFPFLHMAFRGHVAKIPWWMRGTESICPVHAGSRVVLAWFAGREAAHLESLPDDEVIRGLQATLDSFLPGPPQWRVKRIKRSGWATDPLFVGSYSYVAVGSNGEDLDRMAEPLPRGSRGDDGRASPPRVLFAGEATHRTHYSTTHAAYMSGVREAERLLQRYR >KQL05802 pep chromosome:Setaria_italica_v2.0:V:28013617:28014067:1 gene:SETIT_005517mg transcript:KQL05802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYQNVHSILGLLWNRRRTLWANYCCSPGYSSDNRITVYSIL >KQL07238 pep chromosome:Setaria_italica_v2.0:V:38568470:38579939:1 gene:SETIT_000181mg transcript:KQL07238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVAAPAGSRDVEFIRARSDKREYRRVVLPNALEILLISDPDTDKAAACMEVEVGSFSDPEGLEGLAHFLEHMLFYASEKYPGEHEYTKYITEHGGSYNAYTSSETTNFYFDVNVDNFEEALDRFAQFFIKPLMSQDAVLREIKAVDSEHKKNLLSDGWRMYQLQKHLASKDHPYHKFSTGSWETLETKPKGRGLDIRDELLKFYENYSANLMHLVVYGKESLDCTQGLVERMFSDIKNTDQRSFKCPSHPLSEEHLQLIVKALPIEEGDYLRIIWPITPTIQFYKEGPCHYLSHLIGHEGEGSIFHIIKELGWAMNLMAGESTDSTEYSFFSISMRLTDAGHEHVEDIVGLIFKYLLLLKEDGVHEWIFNELVAINETEFHYQDKVHPISYVTGTVSSMRLFPPEEWLVGSALPSKYAPQRIRMILDQLSPERVRIFWESKKFEGSTTSSEPWYDTPYSVENVTPPVIQKWIKKAPTEKLHIPEPNIFIPKDLSLKEVHEKVTFPTVLRKTPLSRLWYKPDLLFSTPKVHIIIDFHCPLSSHSPEAIISTDLFVDLLVDYLNAYAYDAQIAGLYYSIYLTSAGFQVSLGGYNDKMRVLLNAILKQIANFEVKPNRFSALKETSAKDYQNFNFSQPHKQASYYVSLILEDKKWPVAEKLEALLKLESDSLAKFLPNLLSKTFLECYIQGNIEPSEARSIAQEIEDTIFNTPNTMFKCISPSQYLTKRVIMLENELKCYYQIEGLNQKNENSSVIQYIQVHQDDASSNIKLQLFSLIASQPAFNQLRTVEQLGYITSLSLRSDYGVWALQVVIQSTVKDPAYLDARVDEFFKMFESKIHELSDKDFKRNVKSLIDSKLEKFKNLWEESHFYWGEIDAGTLKFDRVESEVALLRELKKEEFIAFFDQYIKLDAPERRTISVQVFSGNHSAEFKKAVAEADPPKTYRITDIFGFKRSRPLYSSLKGGPGRITMD >KQL07934 pep chromosome:Setaria_italica_v2.0:V:42622653:42631700:-1 gene:SETIT_000639mg transcript:KQL07934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLCSKGSAVDKSPSDTTLGPGRVVDHHDRLVVKEEKKAVVGEAAAKRMQEEQHQQQQLPVSVSQTAVPGGSADASLPPWDGVPQLARLPSQKSGIGVTAKVSEVSSILGRASTAGLGKAVDVLDTLGSSMTNLNISGFGSSTTTKGNKISILAFEVANTIVKGCNLMRALSKDSIKHLKETVLHSEGVQNLISKDMDELLKIAAADKREELKVFSTEVVRFGNRCKDPQWHNLDRYFDKLASERTPQHHLKEEAESVMQQLVICVQFTAELYHEMHALDRFEQDYQRKHQEEDGSSVVQRGDNLHILKQEVKSQRKHVKSLRKKSLWSKNLEEVMGKLVDIVHFLHLEIHNAFGRSDNEESQEPTKRRNRLGPAGLALHYANIISQIDTLVSRSSSIPPNTRDALYQSLPPTIKSSLRSKLHSFGVKEELTVSQIKAEMEKTLRWLVPIATNTTKAHHGFGWVGEWANAGSDVNCKPTGQMDLTRIETLYHADKDKTEAYILELVLWLHHLISQSKTANGERSPIKSPVRSPTQRGASITLSPNKTSSNSSPLLTQEDQDMLRDVKYRKFIPGISKSQEFDTKARLNKQSRLSKSNSHSPSSGNRKELLSIRRLLPVIDFEIDRTKALDVIDRVDNLRVQ >KQL03601 pep chromosome:Setaria_italica_v2.0:V:3359417:3361681:-1 gene:SETIT_002317mg transcript:KQL03601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGADRSSMVVCVTGAGGFIGSSLVKELLQRGYAVRGTARNPEDRKNAHLHSLDGAKERLSLHRADVLDYKSLCAAFSLCSGVFHVASPVSDNDPELMAVAIEGTKNVINAAADKGVQRVVFTSSYGAVHMNPNRSPDQNLYCYAKTVAERTAMEEASKRGIQLVVVVPSLTLGEMLQPTLNLGIQLLIVSYMKGAKKTYANTVSGYVDVQDVARAHVLVYETPTARGRYLCIGDVMHRSEFIRMMRELFPQYPITTKCKDGNAARVKPYKFSTQRLQALGMKFTPLKETLHRTVLCLQAQGHIPVLSHKSAL >KQL04289 pep chromosome:Setaria_italica_v2.0:V:7923767:7924902:1 gene:SETIT_002731mg transcript:KQL04289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIFLKECTTSTTPTAIVSEGSYSQIQKSSRRIRNGSYELLDAPINALPPPVIRNPPPNSRRSQKHYSLQALLQSTEKFQFVQTQRASAMSLVRRSNVFDPFSMDLWDPFDNMFRSIVPSAGSDSDTAAFANARIDWKETPEAHVFKADLPGVKKEEVKVEVEDGNVLVISGQRSKEKEDKNDRWHRVERSSGQFMRRFRLPEDAKTEQVKAGLENGVLTVTVPKAEGKKPEVKSIQISG >KQL06437 pep chromosome:Setaria_italica_v2.0:V:33367049:33377770:-1 gene:SETIT_000006mg transcript:KQL06437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTQGTALKSAPMGAGRRARRGRPVAAPYRSGWQAYGGVSLEGSGFLGGGQRTEERVVPRAPRAVTRDAEVVRPLSKLPDSSIGLYDPSFERDACGVGFVAELSGDYKRETVNDAIEMLERMAHRGACGCEKNTGDGAGILVALPHDFFKEVTKDVGFELPPPGEYAVGMFFMPTDEKRREKGKAEFKKVAESLGHVILGWRPVPTDNSDLGESALETEPAIEQVFITKSSRSEAEFEQQLYILRRFSIRSIRAALDIRRGGERDFYMCSLSSRTIVYKGQLKPCQLKGYYYADLGHENFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNKNWMTAREGLLEAEKLGLSKEQLSIILPIVDATSSDSGAFDNVLELLVRGGRSLPEAVMMMIPEAWQNDANMDPEKKALYEFLSALMEPWDGPALISFTDGRYLGATLDRNGLRPGRFYVTHSGRVIMGSEVGVVDVPPEDVLRKGRLNPGMMLLVDFENHTVVDDEALKSQYSKAHPYGEWLKKQKLCLKDIVESVPEVDRVAPSISSSFSQKNVAKESVGVNGIMTPLKAFGYTVEALEMLLLPMAKDGTEALGSMGNDTPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLLETTEKQCNRLALKGPLVSIDEMEAIKKMNYRGWRSKVLDITYPKKSGRKGLEETLDRICAEAREALRQGYTILVLSDRGFSSDRVAASSLLAVGAVHQHLVANLERTRIGLLVESAEPREVHHFCTLVGFGADAICPYLAIEAIWCLQKDGKIPPNSDEQPYSKEELVKKYFYASNYGMMKVLAKMGISTLASYKGAQIFEALGLSSEVIGKCFEGTPSRIEGATFEMLARDALRLHELAFPSRTPPPGSADAKALPNPGDYHWRKNGEVHLNDPLAIGKLQEAARVNSRAAYKEYSKRIQELNKTCNLRGMLKFKDITGKISLDEVEPASEIVKRFCTGAMSYGSISLEAHTALAMAMNKLGGKSNTGEGGEQPSRMEPLPDGSMNPRRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRHSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNSNPGARISVKLVSEAGVGVVASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANGLRGRAVLQTDGQLKTGRDVAVACLLGAEEFGFSTAPLITLGCIMMRKCHMNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMANLGFRTITEMVGRSDMLEVDPEVVKSNEKLENIDLSLILKPAAEIRPGAAQYCVEKQDHGLDMALDNKLIDLSRTAIEKQVRVFIETPIQNTNRAVGTMLSHEVTKRYHMNGLPAGTIHVKFTGSAGQSFGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPRNSSFSPEDNIVIGNVALYGATKGEAYFNGMAAERFCVRNSGAQAVVEGIGDHGCEYMTGGTVVILGKTGRNFAAGMSGGIAYVYDVDGKFSARCNNELVDLYHVEEEDDIITLKMMIEQHRRNTESVLARDILSDFDNLLPKFVKVYPRDYKRVLENMKVEKAAAKHTKDPKMTNGISVTTKKVQPDQSASRPTRVANAKKYRGFITYERESISYRDPKERVKDWKEVAIESTPGPLLNTQSARCMDCGTPFCHQESSGAGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKGFEEGWMVPRPPLQRTGKKVAIVGSGPSGLAAADQLNKMGHFVTVFERADRIGGLMMYGVPNMKTDKIGIVQRRVNLMAEEGVTFVVNANVGSDPLYSIDRLRSENDAVILACGATKPRDLTIPGRELSGVHFAMEFLHANTKSLLDSNLEDGKYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSLVNLELLTKPPSKRAADNPWPQWPRIFRVDYGHQEAATKFGKDPRTYEVMTKRFLGDENGKVKALEVVRVKWEKVDGRFQLKEIQGSEEIIEADLVLLAMGFLGPEATIAEKLGLEKDNRSNFKAQFGDFATSVDGVFAAGDCRRGQSLVVWAITEGRQAAAAVDKYLTRTDQNAAGDITPSGAGLVQPVAA >KQL06561 pep chromosome:Setaria_italica_v2.0:V:34211561:34212504:1 gene:SETIT_004316mg transcript:KQL06561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKQPAARRRGGGGGGGGGAVAGEGTTRAALKRGAWTPEEDELLARAVAREGEGRWRTLPRRAGLLRCGKSCRLRWMNYLRPDIKRGPIAADEEDLIVRLHRLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKLIARGIDPRTHTPLAAAAAPAPDKTPPPPPAVKPKPPVAPPPPPPPEQPGSSSGAGGVGDDDDGGRDFPAMTGLGADVFEGLDDPFCALDAAGRGGFGMGCAMVDDGTFSSFLDSLVSENQLAYYFGDHKNAEGGDNDDQAGA >KQL08727 pep chromosome:Setaria_italica_v2.0:V:46851122:46853426:1 gene:SETIT_002007mg transcript:KQL08727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTAGPAALLPLVAVIALLMTAPAAAARYPPLAPGLSFDFYKKSCPKAESIVRDYLTSAIRSNVGLAAALIRLHFHDCFVQGCDASILLDSTPTQKSERDAFPNQTLRPAAFKAVNDIRDRLEKACGRVVSCADIVTLAARDSVVLGGGPAYKVPLGRRDGLAPASEDAILGALPPPTSKVPTLVSFLAKINLDVTDLVALSGGHTVGIAHCGSFEKRLFPTQDPTLNQWFAGQLRLTCPVEGATNTTVNDIRTPNTFDNKYYVDLLNREGLFTSDQDLLSNTTTRPIVTKFAVDQDAFFEQFVYSYVKMGQINVLTGSSQGQIRANCSVRNAAAGNDDLLPWSVVETAAETLVL >KQL08805 pep chromosome:Setaria_italica_v2.0:V:47152593:47153618:1 gene:SETIT_004949mg transcript:KQL08805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHGGFSGRLTSPKLGLAVDMGHPFLNHAVDGFIKIGAVSACKVAAEESFECLHRGDVSKHKVEHALKKMCKEGAYWGTIAGVYVGVEYGIDKIRGHRDWKNAMLGGAVTGALVSAVNNNQRHKVVKNAITGGAIATAAELLTNLTS >KQL08696 pep chromosome:Setaria_italica_v2.0:V:46729450:46734024:-1 gene:SETIT_002321mg transcript:KQL08696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARLTPFPREQAATRICMVSSFATELLEIRSKEPSLHVLVIPGNPGIAAFYKDFVEALYENLDGQASITAVGHISHSQKDSEHGRLFSLHEQIDHKVDFIEQELQHSEQSIVLVGHSIGAYIGLEVFKRFQNKIKMFVGLYPFLKLNKNSVTQSAIGYIARSSFLSKGVSLFASFIGSLQPSITRGIVRRFLGHSWSVTAVDVACCHLLKYHTMRNVLFMAMTEFQKLTEEPDWTFFRAKQDKIAFLFGVDDHWGPLSHLEEISKRAPGVTLSVETEGHTHGYCCREIGSFWVADYIANLIKKQSLIRNN >KQL03244 pep chromosome:Setaria_italica_v2.0:V:507641:509155:1 gene:SETIT_004844mg transcript:KQL03244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGRRKSFSFFEEDRKSARPAGAHTPVHQYYARAARSPVREAAEPARLSMSSVPGVAAEPPFASGGGGGCSPWVQSPLHGRLRFPPSPAAIYHCLAALHRLEGDVHALAVARGVLFTASDSGRVRAWAAPGCFNRGYLDVGRGRVPALAACGGTLVTSHSRDHHVRVWTVCAAAVCDHIRAKKAATLPAKGSLSLLSFGKRRAHHHRDTVSCLVLHAVAGLLYTGSHDHTVKAWKLADGTCVDSFAAHDGPVNAMVVNEADGCVFTASADGTVKMWRRVYGGTAHALIIVLRSELSPVNALALCHAATGGTRRCFLYAGSSDGYVNVWEKEATAGRPMHAGYLKGHRLAVFCLASGCGGRVVVSGSEDATMRVWRREGKGGGATHTCLAVIEGHRGPVRCLAVGGGEAGEVEGSMVVYSAGLDKSVKVWRIRVVGKEEEEEDDDDDDDVEDDAEAAEIMAGKGDGDAIPVRDDVEDNEEPEFVGPTPVLSPVWVEKRRHTSRG >KQL05300 pep chromosome:Setaria_italica_v2.0:V:21263253:21266117:1 gene:SETIT_002299mg transcript:KQL05300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQSFAAGPATHHPHLLPYSYHQDPLLHTNTRRRLRDHDDPYHPNPVAHAHPYGAGAAAATIGTVPYHVPRDPLALSHLQERLFPPPSQPHPATGPPPPKRARRAPDPRWDPPPPPPTPQPASVPAASERPREGGTAARALLSRDEIERRSPSRRDGIDSALEARLRVSYCAYLRCLGIRLGLPQTTIATAVVFCHRFFFHRSHACHDRFLVATAALFLAAKSEETACLLNTVLRASCEVSQNHEFNLLPYMLRGQDWFEQYRESVIQAEQMLLTTLDFELEVVHPYASLSSALSKLGLSHTVLFNVAWNLINEG >KQL06132 pep chromosome:Setaria_italica_v2.0:V:30882273:30882824:-1 gene:SETIT_003551mg transcript:KQL06132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAGEARPPAVKIIETVHVEADRSSFKSVVQRLTGRDAVASDWSDGSSARRSNEAAAQGAGYVDRQASKSFADHHHSCKEESS >KQL03757 pep chromosome:Setaria_italica_v2.0:V:4442887:4446577:-1 gene:SETIT_002354mg transcript:KQL03757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLQRIFGASGMGQPPTDSPLLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDDYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNPRAVAVVIDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNVGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLILKRFDTHSKTNEQTVQEMLNLAVKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >KQL04985 pep chromosome:Setaria_italica_v2.0:V:13854278:13858984:1 gene:SETIT_001489mg transcript:KQL04985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAGVVANNKFCRRPLLLVLVVVVVLVGSCCAEAAATAAADELISSKDGAGGGSSRTRRRRTRRRAAVAAPLMVPITVLKSAVDAGAVCMDGTPPAYHLDPGSGAGNNSWIVNLEGGGWCNNVRACQFRKTSRRGSSDLMEKEIPFGGIMSNSPVDNPDFYSWNRVKIRYCDGASFAGEGFDKENGFYFRGQRIWDATIRHLLSIGMASADKVLLTGCSAGGLAAILHCDEFRAFFPPTTTVKCLADAGLFLDAADVSGGRSLRSYYSDIVAMQGVAPNLPPACTARLDTTSCFFPQNVIDGINTPIFLLNAAYDVWQIQESLAPDGADPSGAWRTCKSNRSACDASQLTFLQDFRDQMVASVKGFSGSRSNGLFINSCFAHCQSELPTTWSNAAGGSPAIQNKGIAKSVGDWYFGRAQVKAIDCRYPCDGTCRNII >KQL07353 pep chromosome:Setaria_italica_v2.0:V:39265241:39265933:1 gene:SETIT_003427mg transcript:KQL07353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLNLFLVLVFVVAMSIEGTQAACGDGLKDLIKECQQYVMPPKDPKIPPSDGCCGLVKKVDVPCLCSKVTKAIEAIVSMEKVAFVAEKCGRPLEHGYKCGSYTVPAK >KQL04144 pep chromosome:Setaria_italica_v2.0:V:6825538:6825840:-1 gene:SETIT_004826mg transcript:KQL04144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CAGGRAPTSIGRHLCWFKVVDGHTVPFHPPEKKSFLTSRDLVLASAHGNISGNTVYFLKNDGGDLCVYDIQGQTIEVVQVHDQDLEIVRTKPYWICVAPC >KQL07270 pep chromosome:Setaria_italica_v2.0:V:38850870:38851340:-1 gene:SETIT_003639mg transcript:KQL07270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRIQATPRASPPSSLLKMPRRKSSMEKPFPQPDAKEPEARAPSTENEDARPRQLLGAAHGDEPIR >KQL07244 pep chromosome:Setaria_italica_v2.0:V:38628251:38634000:1 gene:SETIT_002466mg transcript:KQL07244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRYDRNPFDEDDVNPFAGGSVPPASNSRMPPLPHEPAGFYNDRGATVDIPLDSTKDMNKKEKELQAKEAELNKRERELKRKEEAAARAGIVIEDKNWPPFMPIIHHDISNEIPVHLQRMQYLAFSSLLGLTACLFWNIIATTAAWIKGEGVMIWLLAIIYFISGVPGAYVLWYRPLYNAMRTESALKFGWFFLFYLLHILFCVWSAVAPPFPFKGKSLAGILPAIDVIGRSAIVGIFYFIGFGLFCLESLLSIAVIQQVYMYFRGSGKEAEMKREAARGALRNAF >KQL06877 pep chromosome:Setaria_italica_v2.0:V:36212660:36222175:1 gene:SETIT_001138mg transcript:KQL06877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVPFSLPFARNSSRLRFLIPASAARVARLAMSASPQAAATVSVEYAKSGRSTCKGCSGAIASGALRLGVSARDPRGFDATKWYHVACFPSASHPLGSVESINGFDSIKDHDREKLQKLAENHKSDDNAADQLKKEMNPLGKPSPKKVETHMASLAKGVSEKVSVLVEYAKSGRSTCKGCGENIAKGALRLGASAHDPRGYDSTKWYHVACFPASSYPVFPVESLKGFDSIKSNDREKLQELEENYKRDGNAADQSSEPNLKEQMVDSMGVSKEGAENNLEAVKLAAGNNRIRPAISFSVSDISKDYKGATLPTHWKAFETVIFREQEDGLHASAKIAAFDFDGCLAKTSVRIIGADKWSLQHKSIPEKLQRLYIDGYKLVIFTNESNIDRWKNKRQEAIDSKVGRLDNFIECVKVPIQVFIACGLGKGKGTPDDPYRKPNPGMWCLMAQHFNSGIEIDMDKSFYVGDAAGRENDHSDADIEFAKAIGLKFHVPEEYFGP >KQL08154 pep chromosome:Setaria_italica_v2.0:V:43820883:43824009:-1 gene:SETIT_004938mg transcript:KQL08154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLPSSAAVVKALPRALSLTAAAVAAATTSLLLISAVVSRTHHVASSSAPPLPPYASASTTAPQAPAPAPAPAPDPDHHHHPPPPPPVPPCPPNATHLVPCHESPSGERHCPTRPPPPPHPPKDPPPHQPHPPPPPPHCRVPPPPGYRPPPPWPARRERARYANVDLPLRMSSAKLAGQDPVRGRGEWLVFTKGKGVRNYVDQLARVVPLRGGVVRTALDIGCGVASFGDYLLNYGVLTMSIARGAQAQLALERGLPAMIGALVAHRLPYPSRSFDMVHCADCLVPWAAHDGLYMLEIDRLLQPGGYWVFSRPPVSWKSAYNISNQATEDEDKQLTMDDMANKLNWTKLSENGTISVWRKPTCHLHCDQEAKFLGSPPLCREDPDSAWYANISMCISCLPRAELVNVCAGGVIEKWPKRLDAVPPRITSGEMKWLSIQTYKQDSLIWEKRVNFYVTYLKYLSNGTYRNVMDMSAGFGGFAAAMSKYPVWVMNVVPTNVTDNTLGVIYERGLIGTYTDWCEAFSTYPRTYDLIHANGIFSSHIHKCAIIDILVEMDRILRPGGAAIVRDRADVVHKVKKDADRLRWHSRIVDTENGPLDPEKLLIVDNSPPLPGS >KQL03292 pep chromosome:Setaria_italica_v2.0:V:741563:744460:-1 gene:SETIT_001132mg transcript:KQL03292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAHLSVHGSLRRSAAELPSTSSHRPSLLRCRAFKQEADGGDRDDPSASSSSPPNEARKRRKKGPLYKLKAAIQGLAGSRSAAAEVYGGEYQRAVEKAEEIFFSVATHLGKYVITMMSSGVVLGVGFQLSGGDSQMNELIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKAIAREFLLSGDHVVITSRSPESVLQTIKELEENIQEGLSVAKKKERKILSNAKVVGTACDVCKPEDVKKLVNFAINELGSVDIWINNAGTNKGFRPLVTFSDDDITQIVSTNLVGSLLCTREAMNVMQYQEKGGHIFNMDGAGSGGSSTPLTAV >KQL03291 pep chromosome:Setaria_italica_v2.0:V:738822:744585:-1 gene:SETIT_001132mg transcript:KQL03291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAHLSVHGSLRRSAAELPSTSSHRPSLLRCRAFKQEADGGDRDDPSASSSSPPNEARKRRKKGPLYKLKAAIQGLAGSRSAAAEVYGGEYQRAVEKAEEIFFSVATHLGKYVITMMSSGVVLGVGFQLSGGDSQMNELIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKAIAREFLLSGDHVVITSRSPESVLQTIKELEENIQEGLSVAKKKERKILSNAKVVGTACDVCKPEDVKKLVNFAINELGSVDIWINNAGTNKGFRPLVTFSDDDITQIVSTNLVGSLLCTREAMNVMQYQEKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDDEGRAVYAAEADRIRNWAESRARFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSSGGPLPGT >KQL03293 pep chromosome:Setaria_italica_v2.0:V:740526:744585:-1 gene:SETIT_001132mg transcript:KQL03293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAHLSVHGSLRRSAAELPSTSSHRPSLLRCRAFKQEADGGDRDDPSASSSSPPNEARKRRKKGPLYKLKAAIQGLAGSRSAAAEVYGGEYQRAVEKAEEIFFSVATHLGKYVITMMSSGVVLGVGFQLSGGDSQMNELIWYSWLGGVIIGTMIGANSVLEEHCKAGPRNVVITGSTRGLGKAIAREFLLSGDHVVITSRSPESVLQTIKELEENIQEGLSVAKKKERKILSNAKVVGTACDVCKPEDVKKLVNFAINELGSVDIWINNAGTNKGFRPLVTFSDDDITQIVSTNLVGSLLCTREAMNVMQYQEKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQFQASLLKESRRSKVGVHTASPGMVLTDLLLSGSSLRNKQMFNLICELPETVARTLVPRMRVVKGSGKAINYLTPPRILLALVTAWVRRGRWFDDEVHRSTYLILNIYLDLASSIVSIVLL >KQL04566 pep chromosome:Setaria_italica_v2.0:V:9915265:9918043:-1 gene:SETIT_002689mg transcript:KQL04566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVGAGGVIVCVALWRIMFGIASTFVGLSEGMAKYGFLALATAIVAFAGMYARARLTINPDKVYRMAMTKLNTSAAVLEVMGAPLTGTDVRAFVMSGGGPKLKDFKFKLGGKRCFLIFPIKGSERKGLVSVEVKKKKGQYDMKLLAVDIPMASGPDQRLFLIGDEQEYKVGGGLISELRDPIVKAMAAEKEFDYLDEREDEEDEQREREEAEREEAEALKREEERLREEAEERRRREEAENLEKAT >KQL03641 pep chromosome:Setaria_italica_v2.0:V:3591077:3595671:-1 gene:SETIT_001048mg transcript:KQL03641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHLLPLSCARPRPAAATARSSRRPVSPSCAGGDPRGRARRRAVRRTGVQPETPQRGGGDDGHDPHGAVSGGAALLETVQRLLLAKEEADAEGGEEEEDEQGQFPKRWAIVFLCFSAFLICNMDRVNMSIAILPMSAEFGWNPQTVGLIQSSFFWGYLLTQIAGGIWADTVGGKTVLGFGVVWWSIATVLTPIAAKLGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLSLVYSGMYLGSVTGLAFSPLLIHKFGWPSVFYSFGSLGAVWFTTWATKAYSSPLEDPGISAAEKKLITSQSTAGEPVKTIPWKLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLMESGLVCVLPWFTMAVSANVGGWIADTLVSRGVSVTRVRKIMQSIGFLGPAFFLTQLSHVNSPAMAVLCMACSQGTDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDNVFEVSVVLYLVGTLVWNVFSTGEKILD >KQL04242 pep chromosome:Setaria_italica_v2.0:V:7529795:7535007:1 gene:SETIT_000221mg transcript:KQL04242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSFSRSPILSYLLWCLVLLALASSNGVVATAKPKVGFGYKLVSLVQLPNGGGLVGYLQVKQRTSTYGPDIPRLRLFVKHETRERVRVQITDADKQRWEVPYNLLPREPAPPVAGGKFTGAPFTAAEYPGEELVFTYGCDPFWFAVHRRSTRQPLFNTSAGALVFKDQYLEVSTALPKDAALYGLGENTQPGGIRLRPNDPYTLYTTDISAINLNTDLYGSHPVYMDLRSLGGRGVAHAVLLLNSNGMDVFYRGTSLTYKVIGGLLDFYFFAGPTPLAIVDQYTSMIGRPAPMPYWAFGFHQCRWGYKNLSVVEGVVEGYRNAQIPLDVIWNDDDHMDAAKDFTLDPVNYPRPKLLAFLDKIHARGMKYIVLIDPGIAVNNSYGVYQRGMARDIFIKLDGQPYLAQVWPGPVYFPDFLNPNGVSWWIDEVRRFHDLVPVDGLWIDMNEASNFCTGKCTIPKKHQCPIPDSKTPWVCCLDCKNLTNTRWDEPPYKINASGQTARLGFNTIATSASHYNGILEYNAHSLYGFSQAIATHKALQGLQGKRPFILTRSTFVGSGAYAAHWTGDNKGTWENLQYSIPTMLNFGIFGMPMVGSDICGFYPSPTEELCNRWIELGAFYPFSRDHANFASPRQELYVWESVAKSARNALGMRYKLLPYLYTLNYQAHLTGAPVARPVFFSFPDFTPCYGLSTQFLLGSSVMVSPVLEEGATLVSAMFPPGTWYNLFDTTKVVVSKSGAPVRLDAPLNEINVHVYQGTVLPMQRGGVISKDARATPFTLVVAFPYGATQADAEGAVYVDDDERPEMVLAEGQATYVRFHASVRGKAVTVRSEVQMGSFSLHKGLVIEKVSVLGLEGTGKDLAIQVDGADATAVATSIPYFAAGGNAKLQGEDGLEDSKRSVSVEVGGLALPLGKSFTMTWNMRIEA >KQL07746 pep chromosome:Setaria_italica_v2.0:V:41534203:41535123:1 gene:SETIT_002359mg transcript:KQL07746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMQSDLCMEIAAGGLTVASDLEPGLRALFVGAGEVVLAGATEHDAGAGLGGGAVKTEVADAAVPLGLVRASLGDLGQPRLQVDDVVQRALRHTRLPGTLGRAPAAGTGAGVAADGRGRGGGALPGVRVPGPVLGVGEALAPLLLVRALLREPPPAAARAAPLHARLDGGGGGGGGGGGDRRLLAVVHHGHQETYGTNSRNGKESLRLPTHLLPAGANWEGSKQETPKARSKYHSRRSPESASKKPNGNPQLNAADHGKKPGSKKVQSGWRLHAYTLQRIGKTEVAERLVFQVTPRRSIAVDRGR >KQL05542 pep chromosome:Setaria_italica_v2.0:V:25582240:25582731:1 gene:SETIT_005511mg transcript:KQL05542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHDIFHVPYNGYTAYCKRHGTKRMVCLYILFVYYTTWNSTYKITLLQPIYY >KQL06562 pep chromosome:Setaria_italica_v2.0:V:34216081:34218272:-1 gene:SETIT_001791mg transcript:KQL06562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMEEPLLPLVQRDQKYTSKKDRRRSCDVPSRCATSFCPKSNCKANFNTPNHLPPTNENTNMVSPSNIQRVHSSPSIFTSSKETPYADEIDYQSHATTAQYTPSIARQAIVSVILYISIGVLVYMTNVEGFKGKSTFKLVDALYFTIISLCTIGYGDIVPCTNFTKVFTCLFLLVGVRFVDIMLNGLLTNVLDKQRAVLLSTMDDNKLNKVFDTYMIDAKQKRSRGRMKVMLALVVVAGTISICTIIVHEVEGLSWIDSFYLSVISVTTVGYGDKSFSTTAGRLTATVCLLISTLAVAKAFLFLTDLRMDRRNRRTTKWILQKKMDNEPLVTGLDNDPAVSKSDFVIYKLKEMGKIDEKDIAIISDQFNQQEFGKCERIPLVDIIGKL >KQL07878 pep chromosome:Setaria_italica_v2.0:V:42310228:42310789:1 gene:SETIT_003655mg transcript:KQL07878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVSKRSCYACLSIIRGLLNHGCLASDRVQQIQLNLVARDGIVLYSIFILLSIYLLFMDYQQST >KQL08224 pep chromosome:Setaria_italica_v2.0:V:44275039:44281325:1 gene:SETIT_000062mg transcript:KQL08224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVQGELVPPWLKSLPLAPEFRPTASEFADPIAYLLKIEPAAAPFGICKVVPPLPPPPKRTTLGNLSRSFAALHPGDPSPTFPTRHQELGLCPRRPRPALKPVWHSPRRYTLPQFEAEAGASRKALLARLDVPPSRHLSPLDVEALFWRSSADRPVAVEYASDMPGSGFAPCDARPTQLPAANVGETAWNMRGVARSPASLLRFLREEVPGVTSPMLYVAMLFSWFAWHVEDHDLHSLNYLHSGAPKTWYGVPRDAALAFEDVVRVHGYGGEVNPLETFAMLGDKTTVMSPEVLVRSGIPCCRLVQNAGEFVVTFPGSYHSGFSHGFNYGEASNIATPEWLRAAKEAAVRRASINRPPMVSHYQLLYELALSMCLRDPSGGAMEPRSSRLKEKKKGEGEQLVKKIFVRNVIEDNKLLNHFLSDGSSCIILPTSSNNGSALSTLLSKSQSTTSRVSDVQCSSTETPKDSGHLPMNGALGKNGELSSSKEISASVCSGKEVPPTACMHDCVNMPGSLDANNAESDKGDVNNADGILDQGLLSCVTCGILSFSCVAVIKPRECAAKWLMSADSSLINKQLAGSGESHLIDALQGMTASSEILRSDFEMNRNRIISDAASLDRNSALDLLASAYGDASDSDEDVLNKKIQASNVSNELISHTIESPPNSSSNGGCDGTNMSSSSKERQQGPSSQSSQCIGNTNNGPKGVRTRNKYQLKMVLSEGFLPKDIYSEMQKKVQCEPSRSNMTSTEPIHGTDCQASRNSATVCMDGNRSTTTTVDNLATSIVKPDKDSSRMHVFCLEHAIEVEKQLRTIGGAHIFLLCRPEYPKIEVEAKLLAEEMEVKYDWKDIVFKEASIEDRKKIQEVVQDEETIPTHSDWAVKLGINLYYSANLAKSPLYNKQLPYNRVIYKAFGCSSPNNSPAKLKTYARRQGRAKKIVLAGRWCGKVWMSNQVHPFLAHRIESHEPEEIDEIWSCYEKSNADHVEHSSREATSPRKSSSRAIEEKTSNREKEPLEKASIKKPKYIEEDNSEALESAEKASAGKSNCRTSVEKMGKRKKELAEKANTKKLKHTEEDNSKALTGASEASPPLPSGMVVRSSSRIANRKNMLKSKMEEEDNGPASHPKAKVEEDSNDPAICSSARSLRQNINVKKQTKKSRAEKRKAPSSAALKDEEQISDVKGFSVTKQQLSSHKQKNKVEETQQMKKTRERKGAPPSSPKHGEEYACDIEGCSMSFGTKQELSLHKRDICPVQGCRRKFFSHKYLLQHRKVHNDDRPLKCSWKGCDMAFKWPWARTEHMRVHTGDRPYVCPEPECGQTFRFVSDFSRHKRRTGHAAKVKAKK >KQL08215 pep chromosome:Setaria_italica_v2.0:V:44219376:44219833:1 gene:SETIT_003627mg transcript:KQL08215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTATAHFVCSAERRGWDEAAVSLTVTSLAFHSGPEAKWNSSSHTLRFQTLVPRRKNYLHRLPFIQYLK >KQL07166 pep chromosome:Setaria_italica_v2.0:V:38024930:38025526:1 gene:SETIT_003428mg transcript:KQL07166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAIADFSPAKGVSVQIGQRHHQAVTGVAARIGSAGAVVGRSRPIQRVDAFSEHGHETTALASLFIEYCTCRMLLLASRYKDECRLSVVQASYILVIFLELTSLIIVVA >KQL03189 pep chromosome:Setaria_italica_v2.0:V:280050:282092:-1 gene:SETIT_004447mg transcript:KQL03189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEDVTGEHVDSKEHEEEDASSVEAIFAGQPPAPWWRQVTVRSVAASVVLGTVFSFMAMRLGFTTGVVPSFNMPASLVSFFVISSWTRLLGRCGVPTPPFTRQENVVVQTCVIACATLAFYGGFMTSLPAMSPTVARAAGGPGTGTNVYTLHAGKMIAYSFLTGFSSLFITLLLTKVMILDYKLLYPSGSAIAGLVNSFHTPKGAATAKLQVAALLRSLVGSFGWAAFQWFYTGGDGCGFQAFPLFGLDAYEKRFYFDFSPSLVGVGIICPFMVNLSLLVGAVLSSGILWPILQAKQGSWYTDPSPSSLRGLNGYKVPMGISLVLGDCLFQLTAVTIRTARSFHHRRQTSQQQPDEEPAARSYDEHRRIQSFQSGQIPTSFALAGYALLAAVVTVLLPTIFPQVRFYHVAVCYAMAPLMAFCSSYASGLTDWSLGTIYGKLAIFIFGAWVGEASGGAIAGLVAGSIVVVVIGNSSELMHDFKSAYLTLTSPRSMFASQVIGTALGCVINPLLFLGFQKMVAKGHLGEPNSPYPAPMAMAYRGIADISVEGIRTLPKHSIMICIPCFCAALFVDALAAVAAACKWRIRGCIPNIMAMAIPFFVGPTFTIDMFLGSLMVVVWKRVDKQAANLLSIVVASGLICGDGLWALPSSLLAMFKVQPPICMKFLSTFQHDQMQRHFV >KQL06803 pep chromosome:Setaria_italica_v2.0:V:35694767:35697469:-1 gene:SETIT_002988mg transcript:KQL06803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRSEIKRIENPTQRQSTFYKRRDGLFKKARELSVLCDVDLLLLLFSTSGKLYHYLSPTVPSVKDLVERYEAATHTKVWTDIRQERRAELEKVEQMCELMEKELRFMTVDDGEKYTVPSLELLEHNLEAAMHKVRSEKDRKIGGEITYLENIIRGRQEERYGLCDKLAHSQALNNEEGGSTSLSNGLELKLGFN >KQL06545 pep chromosome:Setaria_italica_v2.0:V:34088091:34088580:1 gene:SETIT_005630mg transcript:KQL06545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLIFPAESFPSHTKLDIEEWGKQKTSISTP >KQL04425 pep chromosome:Setaria_italica_v2.0:V:8861751:8861851:-1 gene:SETIT_004747mg transcript:KQL04425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGGVQFGSSKLQIVTQVEMAEARVPLAYHD >KQL05860 pep chromosome:Setaria_italica_v2.0:V:28499737:28500577:1 gene:SETIT_0051852mg transcript:KQL05860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSVKPAAALSASSSRRWALATSLCTLACLFLLSASLLLVAAGYRPFQPRAVAAWDRFSRLQKAASAPPARGSPDAAVAPAPGASSPGGLDWGQEDKEDAGPPAPAPAPAEEEGEDDGAGGDDSECDVFEGEWVEEPVGYPLYDAAECPFLSDQVACRRNGRPDSGYEQWRWRPRGCGGRTRLRGAEALELCRDKRLVFVGDSLNRNMWESLACILYAAVPDRSRTRIVDDAGSEYRIFRAM >KQL06613 pep chromosome:Setaria_italica_v2.0:V:34625278:34626205:1 gene:SETIT_004850mg transcript:KQL06613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLRCSRLPANVNATELAQLICARSQNALDLASKVMDIAGLGLHATEISQHTTNQMVRACTSVFCNVAADAYHKRVKMETIISFLDALRGLGAVCHILVEGIVAKLEDGPIRNIITSYMDKHSQEFDNKVNNLKDEFKLATEIHAHK >KQL06703 pep chromosome:Setaria_italica_v2.0:V:35119898:35124039:1 gene:SETIT_004025mg transcript:KQL06703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTQSGGKPHLPLLPAINSKIFPLPHEQPNRTAAQASLNSSMEQTHNHLLSRRWPRVFATLPRLRVGCGTFNRRDYRDDDHCEDNDRWLDRAAPVSALDIGTKLICGSGGLRELCITNGSLIDCYKVPSPVYNCQTLTSLELYCCRQCRRGTSLYAPSLENLEINLFRPQCVSVKKAPRVDSVKLGLFYGTACDSEDTDDDETMSESDDIFNFGEMEGREDQQMDEIGNLVKFLGVIGRSKSKLEREYSKMVLTTIRGSPLKDKNSVPMRLPKKCYLLVLQNLTLTMDHNHEAVATLVSCLLNSSPILKDLEIIDPYDMDSPHPLVAEFWEKQINAECLQNHLSTFLVMNATVLRRMSLKYRRWVAVQHEESDDESERKAMLQAERRQKEDMVAAVRRELCSWPRASSDVRLELCSC >KQL08766 pep chromosome:Setaria_italica_v2.0:V:47004985:47008152:1 gene:SETIT_001002mg transcript:KQL08766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRLHLPRPPLPARPHPSPLSSPSTSSWLWRARLPAASSRLLCSSHPASPLDASSSAAPPSIVAGLLDYLNESWTHFHATAEAKRQLLDAGFKLLSESDDWDLQPGGRYFFTRNMSCLVAFAIGEKYRVGNGFNIIAAHTDSPCLKLKPRSATFKSGHQMVNVQTYGSGLWHTWFDRDLTLAGRVILKAADGSFKHKLVKLTRPLIRVPTLAIHLNRTVNSDGFKPNLETHLVPLLATKHEEATMNSDDKSSSSTKVAHHPLLLQILSEEIGCESDEIIGMELNVCDTQPSCLGGGNNEFIYSGRLDNLASCYCALRSLMDSSKAAEQLSSEKAIRMVAMFDNEEVGSDSMQGAGAPTMFQAMRRIIDSLMHQSMGEGALERAIHSSFLVSADMAHALHPNYPDKHEECHRPELQKGLVIKHNANQRYATSAVTAFLFKEIARIHNLPVQEFVVRNDMGCGSTIGPILASGVGIRTVDCGIPQLSMHSIREMCGKEDVDTTYRHFKAFFEMFSDIDRKLNVDF >KQL03611 pep chromosome:Setaria_italica_v2.0:V:3404797:3408086:-1 gene:SETIT_000215mg transcript:KQL03611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAAGAVSPLLRKLGELLVGELTLEQRVRKDVVSLKTEMEWMDEALRKVAKVDQPDEQDTHWAREVRELSYDMEDAVDAFMLRVTGDTEPARGDLKCRVQEFLKKTGRLFGKGKELHQIAGAVEDAKRLSNQLRECSQKYKIWPEVKDGGGTGSGTGGDSIDPRLTAMFREVTELVGVNGSRDELIERISDRSKKHAQTVSIVGFGGLGKTTLAKAVYDTVKDQFVCAAFVSVSRSPDFTRIFKKILFQLDKEQYAHFDEAVWDAMQLINELKGFLQNKRFLIVIDDIWDAEAWDFIKNAFPKNYLGSALITTTRKHDVAKACCPSTDDHNKIYKMMTLSESDSQRLFYMRIFGHRNGCSPELEQVSTDILKKCGGVPLAIISIASLLASHQQIKAKDQWYTVLNSIGHGLGDNVKNMREILSYSYYDLPFHLKACLLYLSIFSEDHDIGRDRLIWRWIAEGFVQHENNNDNLYEVGESYFNELINRSMVEPVGIDFEGRAQSCRVHDIVLDLIRSLSTKENFVTIWDDTETTSSKFKVRRLSLQNSSAPGSATTTLLQVRSFTAFSPAVDSMPSLSQFQVLRVLDLEGCALKKCGDHFNLKHVGNLSQLRYLGLRRTYIHELPVEIGKLQFLQTLDIRGAHGIQKLPQTISRLRKLMCLHLDWDTKLPKGLSNLTSLEELTGLRIGQDSAHIVREELSHLTGLRVLKMSWEKDTDLGEDLVKSLGNLREIQSLDVYVNGGRGDLIRTWASPPGLRRFLSRGPTSELSTLPAWVSSSSLTSLDVWVRRVRRDDLQALGALPALRGLRLRAAGRFNDDRGTERLPAVRAGAFPCVRACAFLHFVTAPSMFPGGAMPVARQLEFSVRAWDLAGGSGGLIGLDDLRMEHLPSLEEIKVDLWYSGGGDQVEIVAAVLRRAADGHPNHPTLRITATPCYRY >KQL07225 pep chromosome:Setaria_italica_v2.0:V:38473492:38478953:1 gene:SETIT_001064mg transcript:KQL07225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPEVAVAVVTAVAVAALLVAGAGAGAAAAASVPLLPAALALQRAVPHKGVALEELRRRDWARHRSSRRGLLGGVAGVVDFPVEGSANPYMVGLYFTRVKLGNPAKEFFVQIDTGSDILWVTCSPCTGCPTSSGLNIQLESFSPDLSSTSSRITCSDDRCTAALQTGEAVCETSDSSSSPCGYTFTYGDGSGTSGYYVSDTMYFDTVMGNEQTANSSASIVFGCSNSQSGDLTKADRAVDGIFGFGQHQLSVISQLNSLGVSPRVFSHCLKGSDNGGGILVLGEIVEPGLVYTPLVPSQPHYNLNLQSIAVNGQKLPIDSSLFTTSNTQGTIVDSGTTLAYLADGAYDPFVTAIAAAVSPSVRSLVSKGNQCFITSSSVDSSFPTVTLYFMGGIAMTVKPENYLLQQASVDNNVLWCIGWQRNQGQEITILGDLVLKDKIFVYDLANMRMGWADYDCSMSVNVTTSSGKNQFVNTGQYDVNGSTRRASFKSLIPAGIAAMLVHMLVFGGGVSRR >KQL07028 pep chromosome:Setaria_italica_v2.0:V:37096505:37101450:-1 gene:SETIT_000428mg transcript:KQL07028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSGPNLSKSCVRVHLQISDISEPHALNTVHGSKHRQLEPPPRIAVTAPAATRGRDDSCSAPSRMAAVAPTTTHVGCGELKLSPRARPRRRQTVAECFMDVTLLHTNLSSSSSPSFPFLCETTTRTPPLSLLLQASRRSPPPAGQNPSPAMSGSGDPSGRGSGGGGGSRSFDFGADDVLCSYDDFAATSEPKRPDPADKDFHESRLGRPFVKAYEQESYSKEDVLSAVEKCMKKYADNLLRSLEGITSRLSQLEIYCYKLERSIGELRSDVLRDVTDHRLKSLEKHLHEVHRSVQILRDKQELAEAQKELAKFQLTQDTSKKKEDAPTPSIPEPKKLEEKPDTSGQQLALVLPHQVNQTSLAPRASEAVQQYKDLPAQQSAPAPPVPQQDRYVLSQAIVYYPQRQAPGIQDTQGQQLQPEVQYLPARPPAQDVPVHASSQPLQAGNQTQPQSYPPYQQQWHQQSSQPTPPPVAQPQQTFSQTFPPPAQQPQLSNVQQFPPQPVQQPQSSSQQYPPPSVQPQQSNPQLPPQAMQPQHPPVQTQMRPPQPPPNYPHYPPEQPLNPAPETMPGHVAMQGQYNTAAPSGVSRSEAPYSYGGPGIPPSQPPPQHSMQRHQLPPSSQGSYGPPPSKGSYAGGPAQYAPQSNPQGYGAGYGYLPSGPPAVQTPQMPPGGGGMSHPGSHMMRGHPYGEMIEKAITMGYPREQVLNVTQRMAESGQPMDFNTLLDRLNEAGSGAPPRAW >KQL08074 pep chromosome:Setaria_italica_v2.0:V:43448470:43451702:1 gene:SETIT_003919mg transcript:KQL08074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISELSPEYRQPPPHAGLLTDLNRVVADVEAFDTSDPSSPEKLAADLRRLLTKLASAASSSPSGLDEAFRLKVWNLGFRLWNACVDRANHSFPARVAEAEIRQAAPELLLVAGLPEDIPNARAKAASFFHRTGLIWLELGRADLASACFEKATPLVSAADTEGDRAVLLDLNLARARAASSAGEQALAVALLSRSKPLAAASPEGVKALAEEYLRIGKVALATKPPDPALDASNLLTEALDLCEKAAASPSRATPPTPGSTPATPNLQVIKDQCLRFLAVERLEANDYEGTLRCIRVWRASLGLGEEHPSIGFMALRACLGSGNLAEAEMELETLMANAEAPDCVCVSAAELYLASRGADAAFKVLVALAARCRAGAAAAAAVRVLKKVVENAGGGTGRARAISELVSDERVVKLFDGPANTHERSTMHALLWTCGTEHFHAKNYEISADLIERSMLYVSRDEESRSRRASCFRVEPNIRCAFLKFKILLQKKEEGEAIKLLKTMVGYLDFNPEFLTLSVHEAIACKSVGRYSAGKPMPMPMTEAAVRRNLIALFLREPGSEAEILKYSRRAKLRMAELGMEAIFGKGTMAKEKKHDYCSEFFELAAEFFSSGNGEDDANRLLACKSLIMSVSAMLLAEELNKSPLSDSDLKKGVEMLSRAGKLLPLTLPSAPVTSDQLEDNNLLFLHTFNFYHLLNRMDTSAHPQQLQLVKNFAASKACTPGHLLRLEEIASQGTQPNLQVAEFLLKASITTALASHSPNYGIISTALRRLVGVAGLQDFSGSMSDAVYDVFRQAYQIVVGLRDGEYPFEEGKWLAMTAWNKSNLALRLGQRSVARKWMKMGIDLARHFESMKQYVSGMDEYFEHFQKVSGKEPDECSQQDGAPSISLSGSVSQPGLV >KQL03876 pep chromosome:Setaria_italica_v2.0:V:5186869:5187606:-1 gene:SETIT_005409mg transcript:KQL03876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVTRDIQGDISWCMLFTDDVVLVDESRAGVNRKLELWQETLESKGFRLSITKTEYMRCDFSTTTHEEGDVKFGKPSSAQEGYISIFGINATTRWGY >KQL05036 pep chromosome:Setaria_italica_v2.0:V:14507229:14508544:1 gene:SETIT_004244mg transcript:KQL05036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTTQVQLTKGRFPSIPPSFPPQPQANSCRSHPALPPPPPPDHMANFPGNPMLYVPMGQHVEHGWLCLTHSWRGLLLDASLIPFGHGNSIVQNHDEARNFRACPYIRQCWIMFLAFPLDYQTLDFIEAAVASFGRLLHWFEGPTKSRILIQCLVLTPDRVPCSVVVSQGTTLGNGRSWSVPVLILGGHFPDAFLADEDPVPAGGNSHPGHGGVNIHHNNVATTEEELAPHNQNEMQNNKNGEGWPLNQNAMQNNDNDGDWLAWPPIEEVDNNVVEVPHHLDQPQDSISFDQSGMTAKYLRANGPDIIIKVEDICNYMNESSSSGDSSSALVE >KQL03710 pep chromosome:Setaria_italica_v2.0:V:4179361:4180710:-1 gene:SETIT_004138mg transcript:KQL03710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAVGHFHDLKPPDVRGRHCRLHSVALLDSPLLDREHREHSGDAAAGAGHTGLSPRRRSQSSPCFTTVAPAGAEHAERSESKVPVPRVEIVVGRHARGVRELIAEAAAAIASGTRLVPAQSGLGGALLLTGSRAGEHVAVIKPLEDTATTAGSPGNGGYESKAVLREVAAFLLDHDGFASVEPTALIKISRPAMPTTMASIQRFVAHEYDAGELGPSRFSVASVHRVGILDVRLLNIDRHAGNILVKNPPTRQRARGGGAPTPPPPLDLVPIDHGLCLPEQLDDPYFEWLHWPQSSLPFSDDELAYVASLDPFMDAETLRAELPSLKEPAIRILTLCTIFLKRAAAGGLCLADIGDMMTREFTAQEDEGLSTLEALCKHALDSAALPPCPPSLLCPQPDADGVDEGTTSSGGRKHVSFGDLSFAEWATFLERFEQLLAATLEAKKRKLT >KQL06091 pep chromosome:Setaria_italica_v2.0:V:30584610:30586271:-1 gene:SETIT_001293mg transcript:KQL06091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGGAFPFQWPAEPGLDVSLPPLPTVVPDAAGVAYYADAAADMHAAMPAVLPDFAAALAAMRREEEEAAGIRLVHLLMSCAGAVEAGDHAGASAHLADAHAALAAVSPGSGIGRVAVHFTAALSRRLFPPTPSPPPPQPAAADADADHAFLYHHFYEAGPYLKFAHFTANQAILEAVQGCKHVHIIDFNLMQGLQWPALIQALALRPGGPPFLRLTGIGPPSPPGRDDLRDVGVRLADLARSVRVHFSFRGVAANRLDEIRPWMLQVSQGEAVAVNSVLQLHRLVADPSTAADDARAPIDAVLDCVSSMRPRVFTVVEQEADHNKPGFLDRFTEALFYYSAVFDSLDAASGGAGDAAAEAYLEREICDIVCGEGAERRERHEPLRRWRDRLGRAGLAGVPLGANALRQARMLVGLFSGEGHCVEEADGCLTLGWHGRPLFSASAWRAEENNHSDSNADGSSGSEESNISCSS >KQL05788 pep chromosome:Setaria_italica_v2.0:V:27882456:27882716:1 gene:SETIT_003979mg transcript:KQL05788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCSIPAIWRRGSANPRWRAGVGSGSFRELCESTVFHSPLYLSLSFQSICLTSTRIHRFLTGDCKLEARSTRGGWVRTKVSHRFCI >KQL04899 pep chromosome:Setaria_italica_v2.0:V:12903686:12904925:-1 gene:SETIT_003183mg transcript:KQL04899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASVWLLQLPPPALHNSLSHPSNQPSSACPPLHKKPRGDLLCCASSGASSSVVAKEQEEAVAAPSEEGYEPALLSYKDDPNFRGCRGCGREELERGCNGEGRIQGGIAAVPGFGWWPIKAYRPCPGFVASGGRYRRQGQSMDDVASGRGKKVSPAKKK >KQL07516 pep chromosome:Setaria_italica_v2.0:V:40192181:40192727:1 gene:SETIT_004855mg transcript:KQL07516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLILIYNHFHITQSAAPLAIIDRQFFLLSILSQVSLICCRSSDASGWLTGFIFPSRHNCWLYIGRQACMI >KQL07178 pep chromosome:Setaria_italica_v2.0:V:38140638:38141445:1 gene:SETIT_004290mg transcript:KQL07178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAMQPPGKRAGAAPAAAAGPAHAQAQAQAEEAPPGGAAGAPCGACKFLRRRCVPGCVFAPHFGGGSVREHGAGAGAAQFAAVHKVFGASNVAKMLSRVPVALRRDAASSVCYEAQARIADPVYGCVGTILALQHQVALVQAELSMAQTELLNRRLALATVNPAYSAASPTSQMVNCGSLSQAVDFIDIEPAMRGLPPPLVPSQQQPQREDQDGGSPTIDVFSHNVLGK >KQL05800 pep chromosome:Setaria_italica_v2.0:V:27970080:27975479:-1 gene:SETIT_000572mg transcript:KQL05800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRWSEVKRSSTETGSAAAAAAAAFDLGGISVGLCPCAADGEGRVVVRHLVGRCDGRWGLAALWICESLGEGGVSGRPARACSFGPEGLDQLRSGILQQKSFMQSPQQFQQLQFLTPQQQQQLLLHAQQNIPSSIGDVDTRRLRMLLNNRNVVLGQDGQTNSGGDIIPNLGSPGQSGGSRNDIDMLIKKKLALLQQQQQQQQSQQHSHSQQQLQQPALSGQQSQSSNQLLHQHGKSGVGSMPIDGNLPNSFGFPEQASKKRKKPVSSSGRANSSGTANTAGPSPSSAPSTPSTHTPGDAMSMPQLQYNGGPSKPLMMFGSDGTGSLTSPANPLADVDRLLEDGSLDENVESFLSQDDMDPRETMGRCMDSSKGLGFTEVAKARVSTNKVVCCHFSLDGKLLATGGHDKKVVLWFTDMLKPKSTLEEHSLLITDVRFSPGMTRLATSSFDKTVRVWDADNPGYSLRTFTGHSASVMSLDFHPNKEDIVCSCDSDGEVRCWSITNGSCVTCVRVFNEGATQLRFQPHQGKYLATASEKAISILDAETLQVCRTPLQGHVQIIQSVCWDAAGNYLASVSEDSVKVWSFKSGNDVECIHELNCSGNKFHSCVFHPNYPYLLIIGCYESLELWDIREKNTVTISNAHEGMVAALAASHSTGLVASVSHDQLVKLWK >KQL06569 pep chromosome:Setaria_italica_v2.0:V:34321032:34322276:-1 gene:SETIT_002705mg transcript:KQL06569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGDETLAFPTPAAAAEKTAPNGGVAEEEQAPVTHPAKSYAAVAAENPAPNGGVAKEEEGAAGTHATAKSYAAVAAHAEIEDLRAAKLDLEGKLAEAQRENEALAKEAHRMEGIFTQSREEVTSAEFAADSAEKEAASLRAEVERLQAALKIEKGEHELDKRRHEELAKEVEAVRQEKLKLEEEIKALKASAATTTTKEREAAPSAEAPKEVEGVWQAMAVAAAIGAAGTAAVVLIGLRLIKR >KQL06458 pep chromosome:Setaria_italica_v2.0:V:33638812:33642688:1 gene:SETIT_000533mg transcript:KQL06458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAVKEQLQQMSTTCDSLLLELNVIWDEVGEPHSARDRMLLELEQECLEVYRRKVDMANRCRAQLRQAIAEAEAELAGICSAMGEPPIHVRQSNQKLQGLREELNAIVPYLEEMRKKKVERWDQFVDVIDQIKKVASEIRPADFVPFKFPVDQSDLSVRKLEELTKELQSLQKEKSDRLKQVMEHLNTLHSLCEVLGIDFKQTVHDVHPSLGEADGSKNLSNSTIERLASAVNGLREMKVQRMQKLQDLASSMLELWNLMDTPLEEQQMFQNVTCNIAASEHEITEPNTLSVDYLSYVESEVLRLEQLKASKMKDLVLKKKTELEEHRRRAHLIGEEGYAAEFSTEAIEAGAVDPALVLEQIEAHIATVKEEAFSRKDILEKVERWLNACEEEAWLEDYNKDDNRYNAGRGAHLTLKRAEKARILVNKIPGMVDVLTTKILAWQKEREKEFTYDGVRLLSMLEEYMIVRQEKELEKKRQRDQKKIQDQIKAEQEALYGSKPSPSKPQSTKKVPRNSMGGANRRLSLGGATMQAPKTDILHSKTARAAKKTEELGTLSPSSRGLDIAGLPIKKLTFNASTLRETETPRKPFAQIMPGNNVSSTPARPTFNDNTEEENKTPKTFNAALDLKTPMTVTAPMQLAMTPSVGNKVIATPVSLFQEKPEQPMLPEEIEYSFEERRLAVYLARQMA >KQL08735 pep chromosome:Setaria_italica_v2.0:V:46898065:46898599:-1 gene:SETIT_005308mg transcript:KQL08735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLFYFHITSLISKADGETSIHSFCSNTNVKLLCVQ >KQL08431 pep chromosome:Setaria_italica_v2.0:V:45439287:45440463:1 gene:SETIT_004811mg transcript:KQL08431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANDNSPPAVEAAAATASTPRSRLPRWTRHETLVLIQARRAMERRGLQLPVRPRPKWAAVSAYCRRHGVERGPMQCRKRWGNLSWDLKKIVAWEGKAAAGDGAGAALPPPQQHESFWDMRGEQRRARQLPSSFDREVYDALVCGTPAVAEDAAAALPNFGDGELEGVYRQPPIMVMPISVTESDKKAGAAASDKNSTSQHDGGGGYKDSDATFVAGEAEGTTTATPAATVSIGKQVIEALERGNRALEQQLEAQKCSWRADREQRAALLGALDRLAGAVGRIADKL >KQL03651 pep chromosome:Setaria_italica_v2.0:V:3666220:3672338:1 gene:SETIT_001486mg transcript:KQL03651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGMVETDPEGALAGFDQVVKMEPEKAEWGFKALKQTVKLYYKLVKYKEMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQKEDGSDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYQRALSIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNPRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQKNDIMEFEKILKSNRRTIMDDPFIRNYIEDLLKNIRTQVLLKLIKPYTRIRIPFISQELNVPEKDVEQLLVSLILDNRIQGHIDQVNKLLERGDRSKGMRKYNAIDKWNTQLKTIYQTVSNRVG >KQL05828 pep chromosome:Setaria_italica_v2.0:V:28296674:28299229:-1 gene:SETIT_004578mg transcript:KQL05828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DELISPCMCKGTQQFVHRACLDHWRAVKEGTAFSHCTTCKAQFHLRVEFLEDDMRRRMKFQLFVARDIFLVFLAIQSILDIDISVDFLELITRGGKFRNSFAHHGDHILSVHPVVFYYCVGVVAFFALTGLCGLLLHCFSSDNIDPSCLVIYGSIAATMAFQNIMQRHYHILNKKELTKASGYAPPPKMDPVHEQRLKIVNPNPLNLHLKTVVRWKRRLVSLGANRMLVNPTPL >KQL04398 pep chromosome:Setaria_italica_v2.0:V:8747809:8750884:-1 gene:SETIT_000278mg transcript:KQL04398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFLDALTSKFLAKLSQIIQDEVAMTLSVKRDIKRLKKNLEHFGAVGEDAEALALQDRGAESWWKNMTDVMFDVDDVIDHFMVHSQKLLLPPRMECCNQSLLSFIAKLSFDHRVAKRIKDINRKLDEIQKNRNMFISLERTSSQQYQVPVVDRSQTSPIDELEVFGRDTRQATDDMVKMILSNFHEDKSTVFGIQGMGGIGKTTLAQKIYNEQRIREKFQVHIWLCVSQSYTEIGLPRQAIRMAGATCGQLETKTELLFHLMDTIRGKSVFLVLDDAWKSDVWIDLLRSPFKRCSNANILVTTRNLDVLAGMHATYTHQVNKMNDYDGLELLMKNDVGCQIVRKCDGLPLAIAVVAGVLSTKRTRAEWESIRDSNWSIHGLPKELGGPLFMSYSNLTPQLKSRNAVAYWWVAEGFVRKDHKCSIHAIAEEYYYELITRNLLQPKPEFVDKGISTMHDLLRSLGQYLTRYHSLFMNAENNEVPPNLRCLSISSSVEEIPAIGEYKCMRSLLIFNNKNFKSVNTNIFRKLEHIRVLVLSGSGILIIPESVGNLVLLRLLDLSYTEISKLPDSIGRLTSLESLSLRGCHKLDSLTVSLMRLSQISFLKLEKTAIDHVPKGIASSSSSTTSEVSLKVRLARELGLCCTVGMNIHDKTHYQASEIEKIQQVYEMLIPSPSLLYIFFVGFPGVRFPEWLCSEPEYKLPNLSHMQLNECISCLQLPPVGQMPELLVLQIRGAGAVVTMGAEFLGRGVTKAAAVFPKLELLHIIRMRNLESWSLKTRSLCNNMETKSQQLVLMPCLKRLLLLDCPKLRALPEDLHRAVNLKRIHIEGAHKLQQVVNLPEVLWLTVKNNKSLRRISNLH >KQL06002 pep chromosome:Setaria_italica_v2.0:V:29982841:29983273:-1 gene:SETIT_005464mg transcript:KQL06002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIHFVGRTNHWIQLDKLSLGMLLCSKCFICPIA >KQL04945 pep chromosome:Setaria_italica_v2.0:V:13260627:13261095:1 gene:SETIT_004831mg transcript:KQL04945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYPGDPRARPELAICAISTTGAIKHKREELIGRTVVYWLNDNSHNTALHHIIDAMEEKLHIVPRIHHREVPQRGRTFNFKPWIERRGAAESMLEFHVWLRIEGVPVHTWSEEVLAKIVPNCAIHFVEGH >KQL04588 pep chromosome:Setaria_italica_v2.0:V:10088263:10091742:1 gene:SETIT_001520mg transcript:KQL04588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGGGGDVAEGAGAGFEWDADSQLYYHSSTGFYHDPVAGWYYSSRDGQYYIYENGNYVQLTTDLGNEPTANYPHDEANQDVLESSSDWQPAIPDGENETLGPPSEWMEETLINLYLSGYSNREVNAESSLGNTHTIEEDRTETAVNKPSSLTSDGASASLNDATSQHVEVETETKNSTAVDESLGEDEEKWLAQYGQVERVNDDLPLLPITDIWDWYVVQDNVSNVKPVVRLVGCLSRGSSKLHPSLPAHGGRLRTASVSEVHLDLVRVSTGKLYRLRNPSRKYLASLSAYDSSNPTKDWGFPDIYANPDINLNKQSTAQCESEVADESSVREGVSADNDKEQKTNTYRDRAAERRNLHRGLGIGPGQKQCNIISFDEYEESIDDINSMGTASVDMNFRSSGLQSAKRIMENMGWKEACVPLCLPFVYVFV >KQL05254 pep chromosome:Setaria_italica_v2.0:V:19297270:19297640:-1 gene:SETIT_005489mg transcript:KQL05254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEQYSRNTKSVPPPPNYQGLEEQHKDISDQNPHIAKHAQIMPS >KQL04270 pep chromosome:Setaria_italica_v2.0:V:7772615:7773927:1 gene:SETIT_001746mg transcript:KQL04270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASHIGGKLLNAVPMLLLFSLGFVLGMTYNSKFPNFYLPFVAPLPSPPPPPPPLPPSPPPPPTPSPPPPPPPAPPTNPQTGLVRFLEPRSVMHNMTDDELLWWASMTPKVQSSPYHRVPKVAFLFLARGDLPLRPLWEKFFAGHEGLYSIYVHTNPSYTGSPPEDSVFYGRMIPSQKTMWGDITLVAAERRLLANALLDLGNERFVLLSESCIPIYNFTTVHAVLTGTNTSFVDVIVTPARYDPLFGERHNITAAQWRKGAQWFEMDRSLALEVVSDRTYYPTFREHCAGRRACLMDEHYLPTLMSVLRWPRGAGRTLTFADWDRRRRTGFHPHTHRAEEVTAGLIGEIRGGERAGVNCSAFRDAASGVCYLFARKFTPDTLQPLLRLAPKVMGFG >KQL08722 pep chromosome:Setaria_italica_v2.0:V:46833273:46837582:-1 gene:SETIT_001652mg transcript:KQL08722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTGTMDLVTSSGRITPMCATPTPLFAVPYAAPMPIGSRGRGRGGESAKAKSWSRQRSEQPKQERETTPNPHAESPIRAPPRPTGHRRAGILPIRTSINHRAPAKQRRRRRRDAMDGSRRKKWVAWAVAAAIFVVLMLVTPAIPQNQEYHDFADQRTLFLGIPNTLNVISNIPFFFVGVTGLILCHYKDYFRLSSQGELWSWSLFFAGVTAVAFGSSYYHLNPNDATLVWDRLPMTIAFTSIMAIFIIERVDDRAGAKSLAPLVIAGALSILYWSYFDDLRPYAIVQFVPCIAIPVMAIVIPPMYTHSSYWLWAAGFYVLAKVEEAADKPIYKWTHQIVSGHTLKHLCAAMVPVFLALMLAKRTIEPERVSLLQKWKIKLITVRESRSEDGKTIGVDCNYAVVSTTPEQ >KQL07401 pep chromosome:Setaria_italica_v2.0:V:39536309:39541819:-1 gene:SETIT_001292mg transcript:KQL07401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRRGGSAAAAAAAAAEDAGGAMPSFGPPQHSIHTDMNSMQPSRVADFGALAQSAGFRIEDLANINTGTLFNLKTNTHTISNNPLQFGNYGKPISPSHINTTTAATAAARIDPQSLEQQTGAQPNLVALPTGNIENWGESAMADSPMTDTSTDPDTDERNQMFEQGLVAGPTASDSSDKSRDKLDQKTLRRLAQNREAARKSRLRKKAYIQNLESSRLKLTQLEQELQRARQQGIFISTSGDQPQSTSGNGALAFDMEYARWLEEHNKHVNELRAAVNAHAGDNDLRGIVDSIMAHYDEIFRLKGVAAKADVFHVLSGMWKTPAERCFMWLGGFRSSELLKLLAGQLEPLTDQQLVGISNLQQSSQQAEDALSQGMEALQQSLAETLASGSLGPAGSSGNVASYMGQMAMAMGKLGTLENFLRQADNLRLQTLQQMQRILTTRQSARALLAISDYFSRLRALSSLWLARPRE >KQL07574 pep chromosome:Setaria_italica_v2.0:V:40581699:40581977:-1 gene:SETIT_004701mg transcript:KQL07574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYFIRGRFNIGNSRCNIHVHNRFWDGRIEVCPLTHWSGKPTRIWINSHPMNNHFNTWGMTIHNRPHIFFPLACTCTPNWDHFPLNVERGT >KQL07629 pep chromosome:Setaria_italica_v2.0:V:40914692:40916668:1 gene:SETIT_002356mg transcript:KQL07629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYRDNIKYEEDFVVNSRGNRLFTCRWTPKALEPRALIFICHGYGAECSISMGDTAARLVHSEFAVYGIDHEGHGKSSGSKGYISSFSNVVKDCSDHFKSVCEKQENRSKKRFLYGFSMGGTVVLQLHRKDPLYWDGAVLLAPMCKIFDDMRPHPIVVSALKMISAVAPSWRVIPATDMIDKVCKDPQFKKEIRSNPYMYKGNLSLQTGSELLTVSLDVEKNLHEVSLPFLVLHGTDDVVADPYGSKLLHERASSRDKTLKLYPGMWHVLMGERPEDVERVFADVISWLEGRVGATVPGSKTRAS >KQL06148 pep chromosome:Setaria_italica_v2.0:V:30968383:30976080:1 gene:SETIT_000146mg transcript:KQL06148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKRNQRSEILALRRCNAAGGGGEDEGGGDPRPRKRRRGDEFFPVELLGHVPASGIPYAAHGFRWSEEPKAPAEAAQPPAAARPPVVRTSRGRTQVLPSRFNDSVLIDPWKKEKPAKPPAPVKTEQLVRKNGVLHDRVAVSDRSFALSELDDDDEEVEAMLERCRARRSLGGSRKYLASQSTLTSVHDEPYNNYHRKEVMLRRYYEEDDEEDEDDEEDEEDGDEEDEEYEYEEEEKDALLCTEEFVYGDIVWAKLGKRQPMWPGVVVDPTQEAAAEALPPQPRGVSVLCVMLFGWCTEFSDEKKYVWVKQGLIFPFVDYMDRFQGQTELSSCKPADFQRAVEEAFLADQGFSEVPMDCSTKGQPVVCHTFPDDLREVTGSNELECQPQIKRYRRALQCESCGNCFPNKDANKMVYVMEQLACRPCSRILRSKQYCGICLKSLQHKYGVRWVCCHGCESWVHAECDEICSNLKDLRDTSYCCPYCRVKLNSSLPGKTAKFSDVKKDSSAQKGSKPDKVALVCFDMEGTYLPDLELISCHCGPCKGQKFLFNEWERHAGCRSKNWRSSIKLKGSLTPFGKWIDRHQPGVCPTNPSKRSSQKMKKQKLIDLLNDPYDPVNVKWTTERCAVCRWVEDWDYNKIVICNRCQIAVHQECYGVRGKQDFTSWVCRACEKPEQKRECCLCPVRGGALKPTNVDNLWVHVTCAWFQPQVAFASDELMEPAIGILNIQPLLFMKMCVICRQIHGSCTQCYRCSTYYHAICASRAGYQMELHCLEKNGKQTTKKISYCAQHRNPNPDNVLIIQTSAGTFSSKKLVQSNGKVAASRLIRKDVPMESPSEVEISENLSAARCRVYVRKDLKRSREGAIAHRVRGPCQHRWDEIDNLNPPREERDPESFSTFKERLRYLQKTEHSRVCFGRSGIHRWGLFARRDIQEGEMVLEYRGEQVRRSVADLREEKYRVQGKDCYLFKISEEVVVDATDKGNVARLINHSCTPNCYARIMSVGHDESRIVLIAKKNVRAGDELTYDYLFDPDEADERKVPCRCQTANCRKFMN >KQL07359 pep chromosome:Setaria_italica_v2.0:V:39294390:39297403:-1 gene:SETIT_001343mg transcript:KQL07359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAEGPGHPNGHANGAVAEEKLDELRRQLGKADGDPLRIAGVGAGAWGSVFCALLQDAYGHLRDKAQVRIWRRPGRAVDRATAGHLFDVINAREDVLRRLIRRCAYLKYVEARLGDRTLYADEILRDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTDTREVFGEIGRYWKERITAPIIISLAKGIEASLDPLPRIITPTQMISNATGVPLENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLITHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFALCTSEMIYITHLLEEEPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELTLEMGDSIKGKGTIQGVSAVDAFYELLSQDSLSVMHPEANRSVAPVEMCPILKALHRILIKRDRPADSILQAIRDETMYDPRERIEMAQGHSLYRPSLLGQPKGDVKA >KQL07393 pep chromosome:Setaria_italica_v2.0:V:39474100:39474882:1 gene:SETIT_004609mg transcript:KQL07393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLVAPATPRTPRPAITLTAPPSNKRRRRGAARSSLRAIRAVRALFRSLPILNPACRFPGVIPRHGGGGGGAGGGPRGHDGHLSGASRTTGTLFGHRRARVTLAVQETPGSVPILLLELAMTTGRFMQEMGAEHLRVALECEKKPPGAGAGIGRTRLLDEPLWTAYVNGRKIGYAVRRDPTDDDLTVMQLLRTVSAGAGVLPADVVGAGAAPEGQEAGDLAYMRAHFDRVVGSRDSESFYMLNPDGNNGPELSIFFIRI >KQL08426 pep chromosome:Setaria_italica_v2.0:V:45412848:45415946:-1 gene:SETIT_001623mg transcript:KQL08426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRALAIAILVAALFLGAEAQAVQQGHQTERISGSAGDVLEDNPVGRLKVYVYDLPSKYNKKLLKKDPRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYTTCDLTPSGLPLPFKSPRMMRSAIELIATNWPYWNRSEGADHFFVTPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQKNHVCLKDGSITIPPFAPPQKMQAHLIPPDTPRSIFVYFRGLFYDTSNDPEGGYYARGARASVWENFKNNPLFDISTDHPPTYYEDMQRSVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPKLDSILTSIPTDVILRKQRLLANPSMKQAMLFPQPAQAGDAFHQILNGLARKLPHGDNVFLKPGQRVLNWTAGPPGDLKPW >KQL04213 pep chromosome:Setaria_italica_v2.0:V:7274339:7276270:-1 gene:SETIT_005198mg transcript:KQL04213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRVAAVLPALVAVVVAGVAVSAQAPPPPVTSALLQKVAGSLQMYVDPLPQMPKIRGYGFQQGRVVPVNLTIGMFQKKWKFHRDLPETPVFVYGQCADSATFPGPTIVARHDVPLAVTWENHLPDRHILPWDPTVPTAIPNHGGVPTVVHLHGSAHPPQSDGSAFAWFTAGFRETGPAWTQATYSYPNVQPPGNLWYHDHALGLTRANLLAGLLGTYVIEKPEVYAPMDLPCNGDDLHLVIADRSFNADGSLYMNSTGAAPLVHPQWQPEYFGEVVTVNGKAWPFLAVHRRRYRLRILNASNARYFNVSLSDGTPFHVVGSDASYLTAPVTVPSLLISPAEIFDVVVDSFASPTAEVEMLNSAPHPFPTGAAPGPLNGKVMKFVVTPNGPRDPPDNSTVPDREVPYAGVASPGPASETRHIDPVTETPRSGTTELWHVINLTGDNHPLHIHLGMFQAVKMQQLLDLQAFAGCMAQVNDAVRCGVDRHAVGPVVTVPEHEKTWKNVVKMPPGFVTTVVVAFKLVDTDQPYPFDATAEPGYVYHCHILDHEDNAMIRPLKLLP >KQL03494 pep chromosome:Setaria_italica_v2.0:V:2719681:2720307:1 gene:SETIT_005101mg transcript:KQL03494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTQASSNPTTRLTVRFGSAMIDTTVTRDAAAADEWVRAVRAASPRGAPLIVGLDCEWKPNYCSWTTSKVAILQLCAGARCLILQLLYVDRIPASVRGLLADPDVRLVGIGVGEDAAKLAADYGLECAAPVDLEGRCNDHLGLYGGGGRRLGLKGFAREVLGLVMEKPRHVTMSNWEKHDLDVAQIQYACIDAYVSYKLGERVLVIN >KQL07815 pep chromosome:Setaria_italica_v2.0:V:41960423:41960926:-1 gene:SETIT_003332mg transcript:KQL07815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPGGVVGAAATASVRSSAGHHGPAVLPTRCSLDASWTSRQPSRAPASDQTGGVPQTEEGRTFCSVSEGRHCNDQRGNEAAVGVDLVTKFTGPWDPGVRIGKHGKILRKYFYRVGTKMSPFSETGITFPE >KQL05311 pep chromosome:Setaria_italica_v2.0:V:22198880:22199841:-1 gene:SETIT_002938mg transcript:KQL05311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQCDQPTKLTPSASSLRIFGYDVGGGGAADIVMHASPPRDGRPVAGGRRFECQYCCREFANSQALGGHQNAHKKERQQLKRARQLAARVADAGPAAGMAFCAADFAPPPPGHVVAMGHAGSNAYAPGAVPSWVYLAHQPTLSLPFHAAAPGLCHPEPLILRGGTGSSRARSYELCASADGDAEAEEASAMGLDLDLSLAPASSS >KQL07892 pep chromosome:Setaria_italica_v2.0:V:42364371:42367873:-1 gene:SETIT_002018mg transcript:KQL07892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPQQRVYQAWKGDNRFFFGGRLIFGPDVKSLGLSVALIVVPVAFFCVFVARHLRHQFRAYDAGYAILVVAIVYTIYVLLLLFTAAAQDPGIVPRASHPPEEDTHYDSLSLTDTPGRLQFPRVKEVIVNGMPVKVKYCETCMVYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRYFFLFVSSSSLLCIYVFAISALYIKFLMDGDYPTVWKALKHSPASLALMIYCFIALWFVGGLTGFHTYLISTNQTTYENFRYRSDGRPNVYDRGCPSNFQEVVFAKIQPSKHKFRAYVQEEVRAPPANNSGEMEEEQVGGPRAKVGDDLDIDDLFKISQRHDHGDNDIEMGGGNAN >KQL05725 pep chromosome:Setaria_italica_v2.0:V:27228161:27229939:1 gene:SETIT_001199mg transcript:KQL05725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEELMRIVLTCLPARPFPTAAGSRPSPSARRGGGGGEDRISRLPDAVLSNIVSRLPAKDAARTAAFSRRWRRVWASAPLVFDDSDILALPARGGDPEPEEWYAVTDAVSRVFCAHRGPIRCVRLTCCIMALAARMGTLGYWLHRLADAGAEDLVLVNRPFPSGLHLPADILRIASLRTLYLAFWRFPDTRGLPRGPAVFPRLQEIGLCHVGIDDRDIDHLLACSPVLQKLAFVATFYEGPVRIRSRSLQCLVSWMALTNELAVVVAPRLQRLILWQAYPRVSDFPFRTKVRIGYTTELKVLGYLEPGIHQLEIGGTIIESGTKMTQSTMVPSVKILALKVQFEIRKEAKMLPAFLRCFPNLETLHVLSHEADEPTGKLNLKFWQEVGPIDCLETHITKVVFDKFRGERCELAFLKFILERAQSLLKLVVVLSNGDQASVDEMLTKLKSLTTAKRASECPTLLAVARDGDSAWCFQRASDLSVSDPFDW >KQL08057 pep chromosome:Setaria_italica_v2.0:V:43362397:43366214:1 gene:SETIT_001141mg transcript:KQL08057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGDSESTALEYTPTWIVAAVCSLIVVISLAAERCLHHLGKTFKGKNQKALFEALLKVKEELMLLGFISLLLTVSQGMIQRICIPPVWTIYMLPCHSAREQAELSPSEAHGLAAGILGLTRRRLLAEGVPRAQHCQKKGEVPLLSVEALHQLHIFIFILAIAHVIFCVLTMLLGSARIRQWKHWEDEFQKDAIENGQRNVTYVHQCEFIREHFKGIGRDLAILSWLHSFVKQFYGSVTKSDYTTMRLGFIMTHCRANPKFDFHRYMLRALEADFKKVVGISWYLWIFVVVFMLLNVNGWHTYFWISFIPLLLLLAVGTKLEHVITQLAIEVAEKHSAIEGDLVVNPSDEHFWFGRPKIVLYLIHFILFQNAFEIAFFFWILTTYGFNSCIMDHVPFIVPRLVVGATIQLVCSYSTLPLYAIVTQMGTFFKKEIFDEHVQQSLVGWAQKAKKRKALKNNGGSGSGAAGSASARPPARLELMRRAAALEEGSTGGHGSQV >KQL07850 pep chromosome:Setaria_italica_v2.0:V:42141308:42142073:1 gene:SETIT_003007mg transcript:KQL07850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQHPEDQHRARLGGNERSLNVVGISNPPAYRTDRSLLISFRHRPRLFVLVIGTRAAAAVTMSRNNGKASKLEFLRLGLSRARGGPSTTTTTTARPGGDSGNGNGSTTSPHRVSSSSSSTASPPSSCVSSEGSPQAAAAPPGGGAAPMVLAGCPRCMMYVMLSREDPRCPRCHNAVLLDFNDGEQRHPRQRR >KQL08346 pep chromosome:Setaria_italica_v2.0:V:44922083:44924143:1 gene:SETIT_003819mg transcript:KQL08346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGFRSKEAGSHAVSFLLGAALPTALLFLLASDRLGEGLSSISRAPAGDGPNEVLFKGLPELLPKVAMEDRTVIITSVNEAWAQPGSLLDLHLESFKNGEDIAHLLNHLLVVALDARGFERCKAVHPHCYFLNATSVDMSSAKAFMSPDYLELVWTKLTFQQRVLELGYNFLFTDCDMVWFRNPFRHFPVYADMSCSSDDFKPSRAPLDNPLNTGLYYMKSTNRTVQMMKYWRAARERFPGQHDQSVFVNIRHELVSKLQVKIEPLETVYFGGFCEYHDDPEKVCTIHACCCIGLENKVHDLKDVATDWKNYTSLTPEQRNKGGFKWTYPTRCRDSMGWRRP >KQL03197 pep chromosome:Setaria_italica_v2.0:V:288783:292705:1 gene:SETIT_002940mg transcript:KQL03197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKQRPTELTEHGRDLGQEHERPGSEVSQAVGPRVRDTLMHTRLGPYWAPSQPRQKRERGARSIRRNPLRSAAPEEERRRFAPAMDRDGRPGWLPSLGFAFLSFNCGMAVYRSWDDPSSVAFVVVAYVALILLFRCLHLLERAGPGHRGGQGIKLAVWGLSTLLTLMFSSKVADIMPLWAQLLVWAMGIFTIVAGFYAFFLARPDAP >KQL06178 pep chromosome:Setaria_italica_v2.0:V:31391689:31396389:-1 gene:SETIT_001929mg transcript:KQL06178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHDEAVVTQNSVKAPSPPKDQPAMYPCLDWSTMQAYYGPGVFPPTFFSPGIASGHVPPPYMWGPQNMPPASFGKPYGAIYPHAGGFLHPFMPLMVNPLSAEPAKSVNSKDNSSNKKLKEIDGTAVSSGSGNSEKTSGDYSLEGSSDRNNHKMSGTPKKRSLDDRTTSGAETCGASAPNDKTGEPGRLATLSNVRITDTAIKPCVSTGSDFRFSGAQSTEWQAKDDKESKRERRKQSNRESARRSRLRKQAETEELARKVELLTAENASLRSEISKVAESSQKLRMENSSLMEKLADSASEEEQEAAADQQTAAAPPPARVVKNFLSMMDGAGASRGGGGGRRMEHGAPRLRQLLGSGPLAADAVAAS >KQL06061 pep chromosome:Setaria_italica_v2.0:V:30392191:30394753:1 gene:SETIT_001133mg transcript:KQL06061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPANGALERCKSAITAATSVVAAAMLLRRLVADVLPAGARPLVGTLLLLPPPSARRHAVVIEEFDGAFYNRVFLAARAYVSTLLAAARAGAPAVVKASLPRGAGAEQITLAMRPGTAVVDVFRGAELKWRLSGGRGTGRRRADGGGAGEAFRLSFDGRHKDLVLGAYLPFVMARVEAMAREQRQAKLYSNEWGKWRPVRLRNASTFATLAMDAALRQDVVGDLDMFLGRREYYGRTGRAWKRGYLIHGPPGTGKSSLVAAISNHLHFDVYDLDLGAVRSNTELRKLLIRMKNRSILLVEDVDCALAAAPRRREADGGFDGNIPSSKHHKVTLSGLLNMVDGLWSCSGHERILIFTTNHMDRLDPALLRPGRMDKHIHMGYCGFGAFKELAATYHGVVDDGHPLFPEVEALLREVDAAPAEIAERMLATDDADAALEAAAKLLRDRKAGVEEDGGGYVKQKLHVGPRRPRPRPVPVPGRGASAASARRVVFDEEIGPVRFSL >KQL03216 pep chromosome:Setaria_italica_v2.0:V:389028:392509:1 gene:SETIT_002858mg transcript:KQL03216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLTMIARVTDGLPLSEGLDDGRDLKDADFYKQQAKQLFKNLSKGQHEASRMSIETGPYLFHYIIEGRVCYLTLCDRSYPKKLAFQYLEDLKNEFEKVNGSQIETAARPYAFIKFDAFIQKTKKLYLDTRTQRNLAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSEMSSRLTSDTRIYAEKAKDLNRQALIRKYAPVAIVIGIVLMLFWVKNEIW >KQL05428 pep chromosome:Setaria_italica_v2.0:V:24294037:24299239:-1 gene:SETIT_004519mg transcript:KQL05428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVDLDSDDDRPVGEMTKSDIEMFRRIFPGRRDPIVHEFSDLTLSDQAFAEGRDDELLEAPEASPTWRAKQRAWKIIYGDCESGYEQLPVLFNAIKAVNPGMHYEYIPKPNAWKDGRQIFGRAFWCFPQSVEAFRHCRHVFSFDEQIEGYAPLHHRWCTRHLAENLLRKDGVKDNFDLFQVAARQLEGYYFQRKLEQVRTVTNAEGRQWLASLMRDLDKWTRCHDAGGWRYEFQCSNMAESFNKLLLGIRGMPVNAIVEFTFYRLVAWFNERHRHLIIANERASTHEVQCFDLGIGTYQVEHRGGTTSNGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAARHRNFDIESMIPHEFSVDTLVRTWSPRFVPFRDPREWPPYDGPKYVADPAYRCNKHGTRKRTRHNMTMDQVSGRTRRGRATPFLADPEQNECGKCGRLGHNSRTCRWQISEDDALPPSRPGIRLDPPRSSHSSRAAHDGFLALQYDDRYTPLLEMAGLDVISYQVRRGMPKFNSAAITALVDRTVRRCWRVGEHEWQPSLGESAPQDADAETVGHYCRAWILHLFACVFFPDATGDTASWMWIHFLTDWHQARLYSWGSAVLCFLYRQLCEACRRTSGSTLVGGCVYLFQLWMWACLPVGRSEIMPRRPWFPGQMLRRQPTWVYIWDQVKVSHTRLDRAYLDYINEIDALTAHSVNWQPYEGEDALPFTLSFMCGLDDDLYMMKCPLICFYAIEYHLPDRVARQFGMRQIWLPPATSTSVELHSVDRKKKRKVSEWAAFHQAYIDDWENFDENVDENDEPHTNSEYRQYQTWYQGATHHRLRAAWTEDDYADIQSSDDEDTVYDQSTRAGRQVEAGPILDRMSFSDLVCNFYYCSDCQIGFAVRLLVVVAGLPRRETCTFHPYAKEASPPL >KQL06226 pep chromosome:Setaria_italica_v2.0:V:31858847:31859120:-1 gene:SETIT_003820mg transcript:KQL06226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRGTSEKRIAKSDPIFRNRLVNMVLIKKALAYQILYRAVKKIGLHERASMIGLLVQFIRDERRH >KQL03906 pep chromosome:Setaria_italica_v2.0:V:5292763:5296852:1 gene:SETIT_001265mg transcript:KQL03906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREPSPEIDDELFNEVYGKAYNGPVAPATNSVMPKVNDEKRPLSRDKSDDEEEAPDPNAVPTDFTSREAKVWEAKAKATERNWKKRKEEEMICKICGDSGHFTQGCPSTLGANRRNADFFERVPARDKQVRNLFTESMISQIEKDVGCKIRMDEKFLFVSGKDRLILAKGVDAVHKIIQESKGKYSQSSPKRDRSRSPVRNTTEFRPRHSDSHQSHSPRISDSRRSCSPRISDSRRSRSPRNASRSQSKGYYNERHLDGRLHDSMPKFSKGSPQAYANFGAKGRPAQSKFPRHSSYLDVSPRTHGENNQFAASNMANNWGIERHEADVRSSLKFDVPSHQQTLEELEMEFKREATELARARNQEEDEENYKHRESLRVMRENYMDRVTTMRNMHARTWEEFFEQTFKRQQQAQTSYSQIGYPGFEQRTTHISAALQPMDSKSTYPYASDNYSAPKAHAAYGKFQHERHGDLGRTYGRY >KQL06140 pep chromosome:Setaria_italica_v2.0:V:30940750:30943572:-1 gene:SETIT_001954mg transcript:KQL06140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIYCAGIWHNVVLCAVCVLMTLSLPAVLYPLYVSGDGLMVTGISQTSPLSEHLSAHDVILSVDGLKITRIDEWIKILNQDTTAKSNGPEFLEGSQRYVATSSGKGYCVPESWMDASKNLWHIRDKLPCPDELIAFEKAISNGSAILTEKTGRGSDQKEVEGKYCLIAKDVVKLRKCGNGWQRTEDDGISRACFEDEYCLVPVLTPGFSWIEVSYAKPYSLGCLQRGGNLSSSSHPANNDLGQSPCEGSFVYVGDLLSAARSVRLSPYQPRWTLLFLADIPYILGNGLSSLLHASAALAVVNCLPVYFLDGEAILEASLSYLALFSRRQQRKILKVCQLVCTVLSVITFSRIFYSTTLYYGFV >KQL07923 pep chromosome:Setaria_italica_v2.0:V:42551969:42553355:1 gene:SETIT_001637mg transcript:KQL07923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVSSTLRVPEPHAASSPSFAQLAFFYVALYQLAAARGFHRPCAEALGADQFAPSDGEDPSSRASRSSYFNWFHFSISCGYGIATTGLSYVEDNVSWTAGFAACWATMVLYLAVFLLGTPTYRAERPVDGIRLAETVRTWAARVFRRKDAGDTERLLTGEPEDGKGKGLVVKLLPIWVTSLIFAAIVAQVSTLFTKQGSTLDRRLGMGTGLVVPPAALQFFLSVTMIATLPVYDRLFVPLVRRITGHHAGLTTLRRIGAGMATAGAAMVVAALVEARRLRVAREAGLVDEPDAVLPMRLWWMLPQYVLIGVAVVLAEIGLQEFFYDQVPDAFRSVGLALCMSIFGVGNYVSGMLVFATDWATRSKGESWFSDNLNRAHLDYFYWLLAGLAVMEVAVYLHFATRYVYSSKDEL >KQL07254 pep chromosome:Setaria_italica_v2.0:V:38696612:38697060:-1 gene:SETIT_004752mg transcript:KQL07254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANDHRAAALLIASLLVTVAVADARLAKHHNARLTIHRDARGVYFASDAAAVPSLTCSKVHGVKAGETCSSVAQGAGLTQDDFLGFNPNINCDKVFVGQWICLAATSA >KQL07569 pep chromosome:Setaria_italica_v2.0:V:40563430:40565244:1 gene:SETIT_0040991mg transcript:KQL07569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTGVEPERQRLFFRGKEKSDSEFLHAAGVKDGAKLLLLEKPAPATMEQKPEPVFMDESMMKACEAVACVRAEVDKLSAKVCDLEKSVLGGRKVDDKEFVVLTELLMMQLLKLDGIEAEGEARAQRKAEVRRVQSIVETLDKLKARNANPFSDHNKAVSVTTQWETFENGMGSLSAPPPRVSSTQVNTDWEQFD >KQL08605 pep chromosome:Setaria_italica_v2.0:V:46282511:46283300:1 gene:SETIT_002792mg transcript:KQL08605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEPVTLIGAFGSPFVHRVEVALRLKGVPYELILEDMSNKSELLLKHNPVHKKVPVLLHGDRAVSESLVIVEYVDEAFDGPPLLPADPYERALTRFWAHFLEEKCLQPLRAALFADGEVQQVAMKEARESLAVVEEQLRGKRFMGGEAIGLADIAAGGLLGHWLGVLEEVAGVRVLSDEEEYPALRRWAAEYSSSEAVKECLPDRARLLSYFGAIREKCVLVANSTLPK >KQL05414 pep chromosome:Setaria_italica_v2.0:V:24057582:24058633:1 gene:SETIT_004496mg transcript:KQL05414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSESSTTTIRGRGKNKRKWTVAEDDELVKALYEISLDPRWKGEGGFKNGYYSVLETHLAEKLPNCGISAVPHIESRVRHFRTKFGALEVMLNKSGFNWDDNRKMLQCEKTQYEAHCKYHPEAKGLYGVAFPYYDSLAAVYGSDIATGEGAEGLSKAVENIEKELAVEGGNHQEEEEDRMSRETPRRSTDSASSSLKRRKTDKKGKDHVSATSSDPILDMLHEVQGDLKGVSTNIGKMTAVMEREVAVQEKNSKEDHQQKLREKATAELRKLGFTGSEQVKAASVFVRIPDQMSMLLTLDETLRREFILNMLGM >KQL03617 pep chromosome:Setaria_italica_v2.0:V:3433128:3438821:-1 gene:SETIT_002591mg transcript:KQL03617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLGKAMYAVGFWIRETGQALDRLGCRLQGNYFFHEQISRHRTLMNIFDKTPHVHRDAFVAPSASLIGDVQVGPGASIWYGCVLRGDANNIQIGSGTNIQDNSLVHVAKSNLSGKVFPTIIGENVTVGHSAVLQGCTIEDEAFVGMGATLLDGVIVEKHGMVAAGALVRQNTRIPSGEVWGGNPAKFLRKLTDDEIAFIAESAANYFSLSKEHAAENAKPLEKVEFEKVLPKKFAHQDEEYDSTIGVTREAPPELTPPTPAQ >KQL06275 pep chromosome:Setaria_italica_v2.0:V:32331156:32333994:1 gene:SETIT_001544mg transcript:KQL06275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHGALALLLLLCIHVGHQGADARGDGFVRVQGTRFVLNGSPFFANGFNAYWLMSLGADPAQRGKVTSALSQAAGAGLTVARTWAFSDGGSNALQYSPGRYNENTFQGLDFVLSEARKHGIKMILSLVNSYDSFGGRKQYVQWAREQGQSIGSDDEFFTNPVVKGFYKNHIKTVLMRVNTITGVVYKDDPTIMAWELMNEPRCPSDLSGRTLQSWIAEMAAHVKSIDGAHLLETGLEGFYGASSPSRGAVNPSGYQVGADFLANNRVPGVDFATVHAYPDQWLPGLDAPSQLRFLGAWLDAHIADAGRVLRKPLLVAEFGKSRRDPGYGGGDAQRDAVFGAVYAKVYESARAGGAAAGALFWQLLAEGMDSYGDGYEVVLGRAPSSTAGVIATQSRRLQGLARAFARARKAQHQQGKASGKGAKGGN >KQL07833 pep chromosome:Setaria_italica_v2.0:V:42068147:42069119:1 gene:SETIT_002411mg transcript:KQL07833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRALAPFQLTATLLLALLATSHAGGIAIYWGQNQGEATLSATCASRRYQFVILAFVFQFGQDRAPRLDLADHCDASSGGCAVLSSDIRSCQRRGVKVLLSIGGGVGSYGLSSPADARIVAAYLWNSYLGGTSSSRPLGDAVLDGIDFDIELGSAKFWDNLAKDLKGMGKNTGTSVLLSAAPQCPFPDEWDGGAISTGLFDFVWVQFYNNPECQFSSGRSAFLDAWKQWESVPAGKIFLGLPASKDAAGTGFVPAGQLTSQVLPLIRGSSKYGGVMLWSKFYDDRTGYSSAIRSHV >KQL08255 pep chromosome:Setaria_italica_v2.0:V:44446056:44446448:-1 gene:SETIT_004369mg transcript:KQL08255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYYSESGYCAEDVRRPYAEPGYRYGEGGERYAVRKEYEEIDEVARAGRGHYGHGHGHGSHHHHHVGGYAGHEGSCHGHLGEHREQHHLHGHGHGGYGHGGRHYDACESKRYDSCTGEYYA >KQL04058 pep chromosome:Setaria_italica_v2.0:V:6189175:6192644:1 gene:SETIT_004108mg transcript:KQL04058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHATPQHASTARKFLSRPRVVAACAAVAALALFLAASSAAEDPSRWRAYLMRPLPGGAREETVVAAAVAGSFAGPAASSPSPAEAPLPSLGETNLSGRIDPIPATSMFLVPSSSPAENFDDGSMEERERPEIKAPLWSTAADDELVYVKKEIINAPLTSDDPDLYAPLFRNVSVFKRSYELMEKLLKVFIYHDGAKPIFHSPELKGIYASEGWFMKLMETNQNFVVRDPNRAHLFYLPYSSRQLEHNLYVPGSNTIEPLSIFVKNYIDMISAKYPYWNRTKGADHFFVACHDWGPYTTKLHDELRKNTIKALCNADLSEGVFVRGKDVSLPETFLRAPRRPLRDIGGRPVAQRTILAFFAGQMHGRVRPVLLKYWGDKDPDMRIYSKLPHRITRRRNYVQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFDDALNWSSFSVVVAERDVPKLKDILLGIPESRYITLQSNVKRVQKHFLWHPNPVKYDIFHMILHSVWFSRVNQMRIE >KQL06759 pep chromosome:Setaria_italica_v2.0:V:35460604:35461242:-1 gene:SETIT_004153mg transcript:KQL06759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIIVVFDFDKTIIDVDSDNWVVDSLGFTEEFERLLPTMPWNDLMDAMMGKIHAAGRTLDDVAAALRAVPIDPRVPAAIRAACALGCDLRVLSDANAFFIDTVLDHHGLRGCFTEVNTNPSRVDADGRLRIGPYHAAPHGCGVGTCPPNMCKGQVLDRILREAAAGGGRKRVIYLGDGRGDYCPSLRLAREDFVMPRRGFPVWDLICEDPAR >KQL07498 pep chromosome:Setaria_italica_v2.0:V:40061548:40062717:1 gene:SETIT_002401mg transcript:KQL07498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDTPAAVVLELMTMGQQSAAHLGDLLRTASPAASPHQELAAEILRCCGRVIDALRATASGRKRKAPEYHQEVAPPAAGAYWSPPPPGPPPKRRARGAEAVKEVTSGTTVDGFIWRKYGQKEINGHKHPRLYYRCAHKQQQGCNATRRVQRTRDHPAAYEIAYYGEHTCRGAAAAACHLQGGAPPPAVVDFGSTSWGSADASTGGSPAASMSQSQGGWSPPPSVSPSPSSEVGFEFEAQTNEWHDMATVDQLICATTPCYASDPVMEFLDGSLGWESVLLNDPLDFAGLHHIATTFQ >KQL06047 pep chromosome:Setaria_italica_v2.0:V:30328685:30329295:1 gene:SETIT_003374mg transcript:KQL06047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRSKFPKVNCTWNSLKCCSDEREFSTLRQAIRNCCADLSSKVTRRLAAVQRGAWEPGPKSSTGQLAENNGTKMARVSSSAAAQPGDGPNADMSSPSSGFCTFCTTHDPNQVKQQH >KQL06647 pep chromosome:Setaria_italica_v2.0:V:34804704:34805409:1 gene:SETIT_003645mg transcript:KQL06647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIFWILEQNVMITTRSNSKHNIPLLYNGYYCNHGEQTIVITYAFANTVTVEEHTNSCTLVHQMK >KQL06496 pep chromosome:Setaria_italica_v2.0:V:33838014:33841483:-1 gene:SETIT_003253mg transcript:KQL06496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASKQEAAGRSGGGAGDGDGDDEAKRKEEALASSRLLDPGFKPSKLSQDRLDKFKELHKKRLQITEKPKYKRKPKGTTGRSTKVTNDYKFIDKDESAASSPRDVYHTSSVTVIQEDPAQPLSRDKRKLHWGLDIKERWERKANM >KQL05600 pep chromosome:Setaria_italica_v2.0:V:26274590:26275377:1 gene:SETIT_003355mg transcript:KQL05600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSKSYAGGRMQIEPYYGGGARPDFRSYSYSAGGGGGMGMSSYSYQYEYGGGAAGAAADGDLKRSKSSKRRWLALGDPDMERKRRVAAYKAYAVEGKVKGSFRKSFKWIKDRYLHLVYGWS >KQL03636 pep chromosome:Setaria_italica_v2.0:V:3567155:3576332:1 gene:SETIT_001593mg transcript:KQL03636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDRERAEEMQVNNEAPLGCLKPNIEQRGGIEGFPENNEKRNDVVAVEKVWEASPIPTQVLSRPFYRQEFYAWPYIYSDYQMVRQPLPYGFDSQFYQVNRDHGFPIENRVQYLPFKMLPQGHPHDAQLQEFQYFVVIDFEATCDKVNNPFPQEIIEFPSVLVNSATGKLEECFQTYVRPTYHQFLTDFCKELTGIQQIQVDRGVPLGEALLMHDKWLEDKGIKNTNFAIVTWSNWDCRTMLESECRFKRIRKPPYFNRWINLKVPFHEVYGDVRCNLKEAVQLAGLTWEGRAHCGLDDARNTARLLALMMHRGFKFSITNSLVWQPQPAPQSTTCQLSPDRSPDPVQPQHKPNEMLGSPVQVNPYAASAGKDRAMYCYCGVLSRWSVVRKPGPMQGRFFYGCGNWTATRRAICPYFAWAS >KQL04243 pep chromosome:Setaria_italica_v2.0:V:7544533:7545414:-1 gene:SETIT_003227mg transcript:KQL04243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTRAQQPKVEDPQEPGTAANPAKPQRRAAKQPRQPKAGANKKPAAATARAAAVAAAAAAAAANAASPGAEMAPMVPDVCAAADGEADARSVDCWDLDAGLEAAAWWTWGVDEEKLLGWFPFVEEDFRCTGGRAGDAEVDAFDHDIWSIW >KQL05304 pep chromosome:Setaria_italica_v2.0:V:21545755:21551309:-1 gene:SETIT_004023mg transcript:KQL05304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFEGPPSFSDLVDCVMRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDVNWKHYKDVVHEANVACLEVIVEIVRMPGPNVVTREEVAVANHNGTQESEMLHHVLGEIERDFDLAIANDDFPNNIFERDEANIDVDNVSMGFEDCELEEDGVVGVEVEEESLFESGGHEYEDDGVENEEDGPQFDTATVHDVEGIRRMDDCFYYTQYELRLLKERDVKLPSIPNDKDISMVHKAICESSMVNAEGTSGRESPVIKKGMKFNSLEELKFFLADYTARLHRPFSVVHSDKNLRYNVITGQWRISNVVQPHTCRSSQPKREHVQCTTKYLGRRILGIVRKDSETSVPSLVESIFSFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPRVKWCIDSCGMMHPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVGVDPEQQLVPLALALAECENNESWSWFIKLVRLHVLGPSQIVCMISDRHHELLNCAKDHMDGFPPLVHRWCTRHFAANMSCRQKSNRVIGKLKLLCKVHTEREFNEKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMTTNYSESLNAVFKGIRSRPVARIIEYSFEKCNAYFVDRWQKARAMLDEGYRIGKVADDYLSEAKLRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLNEVSCTCNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRQKKRFTGDMDMSEGRLSADYDTVIDRVLRTIGYARCLYFAWMAAPVYPLLESAYDLQHCAHHLADLNEDLKPLRDRAHSPLRWDERYAEYLQRAGFLDLAIHVVGDLPPMDGPLLTAMVDRWRPETHTFHLPFGEMTITMQDVAMILGLPLDGQPVMGIIQDENWRDMVEMHTGIRPPEPEDGDNSKKTPGPWHQDDAHPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQVEWKPYDCEQLSQIVFSPTCYRDRELWRCTMPMILYYVFGRMQPCPPLELSTSQQLHRIDRRKRYKENDWRNKQGCDPEGGPYWRPGPNNEYIRWYCTSTRTKVKPSWSNVPIEDAPSDSDADIADAYDTVTRYGTQPERAPLHDYMGQQLARLSNEAGVIMEHAVGEGDGLLRQFAERVRKSCRRMAMRMNCMSSSDVHHGGNGQGTSSGSCRTPLATPPGAATPSTAAGPSRRSRGKEPASPQASEDSEGEQSEDDDPTYGEELEISGMIDAPPVTQTQGESSQVHSQVSPNSILDFNVHSRSVIQILCFVPNYSIGTCTSYPDATPAASFLGPHRRWQRQCAAHASKEGASPKRSF >KQL06867 pep chromosome:Setaria_italica_v2.0:V:36182243:36184065:1 gene:SETIT_001309mg transcript:KQL06867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAVVLYTWMVRGHLHPMVQFAGRLAGHGAPVTVAIADVPSSGDSLKTVARLSDSYPSVSFHLLPPATARSSDEADPDADPFITLIADLRASNPALVAFVRSLPSVKALVLDFFCGCALDAAEELGLPAYLFFTSGASPLAAYLHIPVMRSDVSFGDMGRSLLHFPGVHPVPASDLPEVLLGPRNEQYEATIGLFEQLPRAKGILANTFEWLEPRAVKAIQEGSPRPGEPVPRLFCVGPLVGEERRGEGKHECLTWLDAQPARSVVFLCFGSASSVPAEQLREIAVGLERSGHAFLWAVRAPVAPDADSTKRFEGRGEAALEALLPEGFLDRTRGRGLVVPTWAPQVEVLRHPATGAFVTHCGWNSTLEAVTAGVPMVCWPMYAEQRLNKVFIAEGMGLGVVMEGYDQAMVKAEEVEAKVRLVMESQQGEELRERTAMAKDRAAAALEIGGSSTTALVDFLNSLEISTHD >KQL07778 pep chromosome:Setaria_italica_v2.0:V:41690715:41694164:1 gene:SETIT_000758mg transcript:KQL07778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEVPEPRRLSRALSFGGSGGVPEEALHLVFGYVDDPRDREAASLVCRRWHRIDALSRKHVTVGFCYAVEPARLLARFPRLESLALKGKPRASMYGLIPEDWGAYAAPWVAQLAATLECLKAVHLRRMTVTDEDIAVLVRARGHMLQVLKLDKCSDFSTDALRLVARSCRSLRTLFLEECKIGDKGSEWLHELAVNNSVLVTLNFYMTDLKVEPSDLELLAKNCKSLISLKMSDCDLSDLIGFLQTSKALQEFAGGAFSEVGECTKYEKVQFPPRLCFLGSLTFMGKNEMPIIFPFSATLKKLDLQYTLLTTEDHCQLIAKCPNLLVLEVRNVIGDRGLEVVADTCKKLRRLRIERGEDDPGQEEQGGVSQLGLTAVAVGCRELEYIAAYVSDITNGALESIGTFCKNLYDFRLVLLDQQKEVTDLPLDNGVRALLRNCTKLRRFAFYLRPGGLSDVGLGYIGQFSGNIQYMLLGNVGESDNGLIQFAMGCTNLRKLELRSCCFSERALAVAVLQMPSLRYIWVEGYRASPTGQDLLLMARPFWNIEFAPLSPESVYRMMADGQQPCVGNQAQVLAYYSLAGRRPDCPQWLVTLHPA >KQL08054 pep chromosome:Setaria_italica_v2.0:V:43333422:43334506:-1 gene:SETIT_004403mg transcript:KQL08054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVIMRHLKLLPASTKHKQVEAPHCPPPSRVLIDQRCAEASFDLAGEEQRRWVGNGGDLDYDIDAVLASKPRGTVRVGLDIGGGTGTFAARMAERGVTVVTTTLDLGAPLGAFVASRGLVPLDLGAVAGRLPFFDGTLDIVHSMHALGNWIPGEVMEAELYDIYRVLRPGGIFWLDHFFCTGKEMAEVYVPIIEKVGFRKLRWNTGKKLDKGPNADEWYISALLERPMM >KQL05949 pep chromosome:Setaria_italica_v2.0:V:29469635:29471526:1 gene:SETIT_002348mg transcript:KQL05949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILRLLAARRFRRRRRAVSTITATATAPVTPRGGCCAYGEEEDEGPFFDLDLSCCSAPASSAGSQAAESGSESEDCSSACAAAVNAARGADLDFVISLQRSRSASPSYEERLFFRGAAAPPPHLPPPLMFCASEPSDAGSRARSSSASRRGGGRLQLRTLSFGSAKAAFYGGRASFSRSASSSARSAARLFAGYGSPDDVDLQRDEARARSPSVDVIRRYLSKISSRLRRVAPTTAAADLRLQKSRSASAAQVSAAAQSPAAARRDDSLAEKQDGIASAIAHCKESLHRASVSELDTSLLRSRSDPGT >KQL06938 pep chromosome:Setaria_italica_v2.0:V:36544632:36549204:1 gene:SETIT_000808mg transcript:KQL06938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGELKNGGVTGGVEDAYGEDRATEDQPVTPWAVCIASGHSILRDPRHNKGLSFTEKERDAHYLRGLLPPVVLSQDLQEKRLLQNVRQFEVPLQRYMALMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGRILEVLRNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNENLLKDEFYIGLKQRRATGQEYSELLDEFMTAVRQNYGQKVLVQFEDFANHNAFTLLEKYRENHLVFNDDIQGTAAVVLGGLIAALKSVGGTLADHTFLFFGAGEAGTGIAELVALEISGQAKVSVEEARKKIWLVDSKGLIVTSRNETLQPFKKRYAHEHEPVKDLLGAVKAIRPTALIGSAGVGQSFTKEVIEAMSSINERPIILALSNPTSQSECTAEQAYTWSRGRAIFGSGSPFDPVKYNDKLFVPAQANNAYIFPGFGLGVVISGAVRVTDDMVLAAAEGLADQVTPEHIDKGLIYPPFSIIRKISANIAARVAAKAYDLGLASQLPRPKNLVKYAESCMYTPVYRSYR >KQL05827 pep chromosome:Setaria_italica_v2.0:V:28290280:28296430:-1 gene:SETIT_000272mg transcript:KQL05827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLASSAFLRRAAAMSSSSSYGRRGGYPRPRRGYSARPSPPPPDAGAELVSGDFHHSAVRAANESLRRGGGPPPPYRQGPQPHQPHYGYGRPQHPAPAYGAVPYNYGHPQQQQPPPGPQYGYGAPNPYVHGYPQPLPQMHGRAPVGAGFRPGAPQLSPRLADYRRRWRFAKQRPPRQAERFKVLSYNILADYLAQEHRFLYERIPSFILDWNWRKDKLVFEFGLWSPDILCLQEVDRFTDLEQEMASRGYNGIWKMRTGNAADGCAIFWRTTRFQLRYEEDIEFNKLGLRDNVAQLCVLESVVPQNVQTDSTNLSTSSSHPQQAKQVVVCNIHVLYNPKRGDIKLGQVRTLLDRAYTVSKMWNDAPVILCGDFNSTPKSPLYNFVSEQKLNLSGLAKNTISGQQTSGSSQGLYTGPNIYRSHPPFYPTNSREGNITLLNGREPQTETTKLVENSCPAGREPVTDTSSESLLDSKSSNSCGNNIPCSGSSNLDKHGLLNCLEGPVNDDCASDAGEESASIDKPNEGCFGGIKTESGEGPDITDVPSAPATVCAGSCEIVGSSQLLSSDNLSGNEISEEFTCTFEANGVQSDELLAASKDKSDEKENAIESMLSSQDNCATNEPESSHFNGSQNVADALYHMSNVRLGESSIGPAAPVHQPNGAVSDACGNQCSAEVINKHSVSCGDDSESNAHAFKDDITIDEVTCSDVNSDPSFFEELCGGNDHLYEEGAQLPATSDRSSPPQQVVRSSEGYYYYDPYRWTPEEIKAATGNVECTSVEHSLKLRSVYTDVEDFDGTKDANKEPLVTSYNSKFMGTVDYIWASEDLQTVQVLDTFPKQILKQTIGFPTKKWGSDHIAIACELSFTK >KQL03363 pep chromosome:Setaria_italica_v2.0:V:1994616:1997408:1 gene:SETIT_002781mg transcript:KQL03363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVDVSRQLKQMTDFIRQEAVEKAVEIEAAAAEEFQIEKLQLVEAEKKKIRQEFDRKEKQVSIKNKIDYSMQLNASRIKVLQAQDDLVTNMMESARKELLYISRDHQTYKKLLKILIVQSLLRLKEPAVLLRCRKEDLDLVDSVLESASNEYAEKANVYPPEIVVDRRVFLPSAPSHYQAPGPSCSGGVVLASRDGKIVCENTLDARLQAVFRKKLPEIRQSLFRQVAA >KQL05882 pep chromosome:Setaria_italica_v2.0:V:28741542:28743640:1 gene:SETIT_0001921mg transcript:KQL05882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRVNALRCLGDLVPSVDKEGILGILETVRRCTAVDHSAPTLMCTLGVANAVYKQCGVEFAAEHVIPLIFPLLTAHQLNVQQFAKYMLFVKDITSKIEEKRGVTVTDNGNTEVKASPSLTNGIHSEPMPVQIPAAKSTTAWDEDWGPTKKTSAPSLSVDSSARTNQPSSDPFDFSTQTKQSTTLPFDFSTQTKQPSLVSQVTAATIPPAQPLPSLQSLAPSSGPQTSGSCVPVDIEWPPRSSSSSDFNAPLSVNKENDSGRLSSDVLDDVDPFADWPPKTSSATSISTIEHWANTNQSISGFSSGNIGLGGSGNSLGQMKSNQMSWSNTSNLMGMNSTGSYLNQGNTALGFGNPIGGLSTGLSNSSSSSAGQSMMQPKSDFGSLSMSTNNAAHGPPRLAPPPSAAVGRGRGRNQGQSALSRASRPPHSNSSSGQQPILDLL >KQL07922 pep chromosome:Setaria_italica_v2.0:V:42547938:42549733:1 gene:SETIT_005135mg transcript:KQL07922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTISSMLQALQTHAASLPSSSTLAFFYVALYLLALAQGFHRPCVEALGADQFAPSDGDHSAAASSRSSYFNWFHFSISWGYAVATAGLSYVEDNVGWTVGFAACWATMALYLAVFLLGTRTYRAERPVGVRSFTETVRSWAARVFRRNGATDAERLLAPEREEGKEVIAKLLPIWLTSLLFAAIFSQNYTLFTKQGSTLDRRIGATPGLVVPPAALQCLVSFTFIAMLPVYDRVLVPLARRVTGHHAGVTALQRIGAGMAVSGVAMAVAALVEGRRLRVARDAGLVDRPDVALPMSLWWVAPQYVLLGVAAVLAEIGLEEFFYDQVPDAIRSVGLALSLSAMGAGSYASSMLVSSIDWATRNWGAQSWFSDNLNRAHLDYFYWLLAGLAALEVAATVQPPSTTVWLAAPPPPHLTAPTPATFVRPAYRPPAFPLGLP >KQL04661 pep chromosome:Setaria_italica_v2.0:V:10583253:10591044:-1 gene:SETIT_0000492mg transcript:KQL04661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGKTTLLLALAGRLGPGLKMSGNITYNGHHLNEFVPQRTSAYVSQQDWHASEMTVRETLEFAGRCQGVGIKYDMLVELLRREKNAGIKPDEDLDVFMKALALEGKQTSLVAEYIMKILGLDICADTIVGDEMIKGISGGQKKRLTTGELLVGSARVLFMDEISTGLDSATTYQIIKYLRHSTHALDGTTIISLLQPAPETYELFDDVILIAEGQIVYQGPREYAVDFFAAMGFKCPERKNVADFLQEVLSKKDQQQYWCHYDYPYQFVSVSKFAEAFKTFIIGKRLHEELAVPYNRYRNHPAALCTSSYGVKRLELLKSNYQWQRLLMKRNSFIYVFKFIQLLLVALITMTVFFRSTMHHDSVDDGIIYLGALYFAIVMILFNGFTEVSMLVTKLPVLYKHRDLHFYPPWAYTLPSWLLSIPTSLYESGMWVLVTYYVVGYDPQFTRFLGQFLLLFFLHQTSLALFRVMASLGRNMIVANTFGSFALLVVMILGGFIITKESIPVWWIWGYWISPMMYAQNAISINEFHGHSWSKQFANQNITMGEAILTGYGLFKEKYWFWIGVGALFGYAIVLNILFTMFLTILNPIGNLQAVVSKDEIRHRDSRRKNDRVALELRSYLHSNSLSGNLKEQKGMVLPFQPLSMCFRNINYYVDVPEELKKQGIAEDRLQLLVDVTGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGLIEGSITISGYPKNQETFTRISGYCEQNDVHSPCLTVLESLLYSACLRLPSHVDADTQRAFVEEVMELVELNPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGQLIYAGPLGAKSRNLVDFFEAIPGVPKIRDGYNPAAWMLEVTSTQMEQILGVDFAEYYRKSKLFQQTREMVEVLSRPSSESKELTFATKYAQPFCAQYIACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGTICWKFGSRRETQHDIFNAMGAMYAAVLFIGITNATSVQPVISIERFVSYRERAAGMYSALPFAFSLVTVEFPYILVQSLIYGTIFYSLGSFEWTAPKFLWYLFFMYFTLLYFTFYGMMTTAITPNHTVAPIIAAPFYTLWNLFCGFMIPRKRIPVWWRWYYWANPVSWTLYGLLTSQFGDLDQPLLLADGVTSTTVASFLEHHFGFRYDFLGVVAAMVAGFCVLFAVVFALAIKYLNFQRR >KQL05746 pep chromosome:Setaria_italica_v2.0:V:27477382:27477618:1 gene:SETIT_004776mg transcript:KQL05746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDSEIQEWWCSSIRAITKDKRRATAAILIYTAWNLWKERNQMIIEGSQCSALQVFFLIKEEIWLRQTACGVPRID >KQL06464 pep chromosome:Setaria_italica_v2.0:V:33687089:33697225:-1 gene:SETIT_000312mg transcript:KQL06464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENWLEHEKHTSTAAPSSGLVSRRQIPSPRRSRQRNIFHLLTQREVSPRTKHQARRIWNNSPICDPDLIELRFADADAKHGIFSWAESQSLHRWSAKYCPLLPPPRSTIAAAFSPDGKTLVSTHGDHTVKIIDCHTGKCLKVLSGHRRTPWVVRYHPLYSDILASGSLDHEVRLWDANTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDESSVPAIILKTRRSLRAVHFHPHGAPYLLTAEVNNIESADSPLTLSTSSGYSNYPSALFVTNTNSRFCPHLESNVPSPCLLLPAYLRDDGILHVLGNDSSSTSAQQRSSLVQVATLDTENQQPDQFATLMDVCPGEPTASNDMVDVVPVPASNGIEMHGADGQSNSRLQGSSSISNFERFGARDDLHVSSPSNTEPIPSTAGLSGSDARRAMPLNMLITGGLDVQMLLRNVESGQPNLFGNSRNWELPFLQGFLMAQNHTGLHPALVNNNVLEDLSIDGTAGTNNLTRESPHMHNFGRPGASSSIPITAGSSRGSNRRYASRSVPGVGSSLLGPQIDEAEVHAASLGVGSEITAPMFTPGTELPCTVKLRIWRHNIKEPCRSLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVPYDSAGAGSSPTRHPLPSHRVIYELRVYSLEEATFGDILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLRSIVMEGDNGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTTMGMGCFVEENMLEAIQRYALEG >KQL06463 pep chromosome:Setaria_italica_v2.0:V:33687089:33695940:-1 gene:SETIT_000312mg transcript:KQL06463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENWLEHEKHTSTAAPSSGLVSRRQIPSPRRSRQRNIFHLLTQREVSPRTKHQARRIWNNSPICDPDLIELRFADADAKHGIFSWAESQSLHRWSAKYCPLLPPPRSTIAAAFSPDGKTLVSTHGDHTVKIIDCHTGKCLKVLSGHRRTPWVVRYHPLYSDILASGSLDHEVRLWDANTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDESSVPAIILKTRRSLRAVHFHPHGAPYLLTAEVNNIESADSPLTLSTSSGYSNYPSALFVTNTNSRFCPHLESNVPSPCLLLPAYLRDDGILHVLGNDSSSTSAQQRSSLVQVATLDTENQQPDQFATLMDVCPGEPTASNDMVDVVPVPASNGIEMHGADGQSNSRLQGSSSISNFERFGARDDLHVSSPSNTEPIPSTAGLSGSDARRAMPLNMLITGGLDVQMLLRNVESGQPNLFGNSRNWELPFLQGFLMAQNHTGLHPALVNNNVLEDLSIDGTAGTNNLTRESPHMHNFGRPGASSSIPITAGSSRGSNRRYASRSVPGVGSSLLGPQIDEAEVHAASLGVGSEITAPMFTPGTELPCTVKLRIWRHNIKEPCRSLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVPYDSAGAGSSPTRHPLPSHRVIYELRVYSLEEATFGDILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLRSIVMEGDNGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTTMGMGCFVEENMLEAIQRYALEG >KQL06467 pep chromosome:Setaria_italica_v2.0:V:33689819:33697225:-1 gene:SETIT_000312mg transcript:KQL06467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENWLEHEKHTSTAAPSSGLVSRRQIPSPRRSRQRNIFHLLTQREVSPRTKHQARRIWNNSPICDPDLIELRFADADAKHGIFSWAESQSLHRWSAKYCPLLPPPRSTIAAAFSPDGKTLVSTHGDHTVKIIDCHTGKCLKVLSGHRRTPWVVRYHPLYSDILASGSLDHEVRLWDANTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDESSVPAIILKTRRSLRAVHFHPHGAPYLLTAEVNNIESADSPLTLSTSSGYSNYPSALFVTNTNSRFCPHLESNVPSPCLLLPAYLRDDGILHVLGNDSSSTSAQQRSSLVQVATLDTENQQPDQFATLMDVCPGEPTASNDMVDVVPVPASNGIEMHGADGQSNSRLQGSSSISNFERFGARDDLHVSSPSNTEPIPSTAGLSGSDARRAMPLNMLITGGLDVQMLLRNVESGQPNLFGNSRNWELPFLQGFLMAQNHTGLHPALVNNNVLEDLSIDGTAGTNNLTRESPHMHNFGRPGASSSIPITAGSSRGSNRRYASRSVPGVGSSLLGPQIDEAEVHAASLGVGSEITAPMFTPGTELPCTVKLRIWRHNIKEPCRSLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVPYDSAGAGSSPTRHPLPSHRVIYELRVYSLEEATFGDILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLRSIVMEGDNGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTTMGMGCFVEENMLEACL >KQL06465 pep chromosome:Setaria_italica_v2.0:V:33689022:33697225:-1 gene:SETIT_000312mg transcript:KQL06465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENWLEHEKHTSTAAPSSGLVSRRQIPSPRRSRQRNIFHLLTQREVSPRTKHQARRIWNNSPICDPDLIELRFADADAKHGIFSWAESQSLHRWSAKYCPLLPPPRSTIAAAFSPDGKTLVSTHGDHTVKIIDCHTGKCLKVLSGHRRTPWVVRYHPLYSDILASGSLDHEVRLWDANTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDESSVPAIILKTRRSLRAVHFHPHGAPYLLTAEVNNIESADSPLTLSTSSGYSNYPSALFVTNTNSRFCPHLESNVPSPCLLLPAYLRDDGILHVLGNDSSSTSAQQRSSLVQVATLDTENQQPDQFATLMDVCPGEPTASNDMVDVVPVPASNGIEMHGADGQSNSRLQGSSSISNFERFGARDDLHVSSPSNTEPIPSTAGLSGSDARRAMPLNMLITGGLDVQMLLRNVESGQPNLFGNSRNWELPFLQGFLMAQNHTGLHPALVNNNVLEDLSIDGTAGTNNLTRESPHMHNFGRPGASSSIPITAGSSRGSNRRYASRSVPGVGSSLLGPQIDEAEVHAASLGVGSEITAPMFTPGTELPCTVKLRIWRHNIKEPCRSLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVPYDSAGAGSSPTRHPLPSHRVIYELRVYSLEEATFGDILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLRSIVMEGDNGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTTMGMGCFVEENMLEAIAEPTSTSAPPA >KQL06466 pep chromosome:Setaria_italica_v2.0:V:33689819:33695940:-1 gene:SETIT_000312mg transcript:KQL06466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENWLEHEKHTSTAAPSSGLVSRRQIPSPRRSRQRNIFHLLTQREVSPRTKHQARRIWNNSPICDPDLIELRFADADAKHGIFSWAESQSLHRWSAKYCPLLPPPRSTIAAAFSPDGKTLVSTHGDHTVKIIDCHTGKCLKVLSGHRRTPWVVRYHPLYSDILASGSLDHEVRLWDANTSDCIGSQDFHRPIASIAFHARGEILAVASGHKLYIWNYNKRDESSVPAIILKTRRSLRAVHFHPHGAPYLLTAEVNNIESADSPLTLSTSSGYSNYPSALFVTNTNSRFCPHLESNVPSPCLLLPAYLRDDGILHVLGNDSSSTSAQQRSSLVQVATLDTENQQPDQFATLMDVCPGEPTASNDMVDVVPVPASNGIEMHGADGQSNSRLQGSSSISNFERFGARDDLHVSSPSNTEPIPSTAGLSGSDARRAMPLNMLITGGLDVQMLLRNVESGQPNLFGNSRNWELPFLQGFLMAQNHTGASSSIPITAGSSRGSNRRYASRSVPGVGSSLLGPQIDEAEVHAASLGVGSEITAPMFTPGTELPCTVKLRIWRHNIKEPCRSLEPEACRLTISHAVLCSEMGAHFSPCGRFLVACVACLLPQTEGDRGSQLPVPYDSAGAGSSPTRHPLPSHRVIYELRVYSLEEATFGDILASRAIRAAHCLTSIQFSPTSEHILLAYGRRHGSLLRSIVMEGDNGIPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPSPGGGLVYGTKEGKLRILQHNGADTTTMGMGCFVEENMLEACL >KQL08348 pep chromosome:Setaria_italica_v2.0:V:44931740:44932744:1 gene:SETIT_004175mg transcript:KQL08348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLHPQSEFADLAELLPKVTTDDRTVIITSVNEAFARPGSLLDVFRESFRAGEGIEHLLNHVLVVAVDDAAFAHCKAVHPHCYLLEVKSMNLSSANKFMSEAYVELVWTKLSLQQRVLELGYNFLFTDVDIVWFRNPFWHMSMFADMTTSSDIFHGDASSLDNWPNTGFYYVKATNRSVEMLRRWRAARARFPPNHEQAVFNEIKHELAGDLGVRIRFLDTARFAGFCQIYHSDIGAACTMHANCCFGLSNKLYDLREVLGQWRNFTGLTPEEKSRKFLWKDPTKCGSPDKKNWSINP >KQL04510 pep chromosome:Setaria_italica_v2.0:V:9452638:9452986:-1 gene:SETIT_003502mg transcript:KQL04510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQQAEKAAELQDPRVRAELDRRVREEGETVIKSGGGGTTLEAQERLAEGRKKGGLSRTAESGNDRAEREGAAVRVEPDEKQLEEAKKSIGGG >KQL05769 pep chromosome:Setaria_italica_v2.0:V:27756360:27757603:-1 gene:SETIT_003862mg transcript:KQL05769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRQRQLRRKARRGPPIHKLSDDVVLEIFLGLPSLGTLVRAAFTCRPWRRAVASSPAFRRRFRALHPSPLLGLFFEAPGPAQIPNVPAFAPTRPRDRDLAAAVRGGDFFLTSLQDGPSEIPCWDVIDCSRGYVLLLNWDDEILVVMNPLTRRRENAFDHSPHDVFDGSFGPTWKNHPWVEVPAPVRSDDDNRWVQNAVGDQMHLLVSLDTVTMKFSVIELPQCLRNSSFDVGETKQGATCIVYSDQLNIGVLMHIRDDDGGERWLLDRLVPLGSELARVLRCGLDDDRVLNNLVDNPDELFVLAVQDGYVYLATSLMHHDPRSPCWFLSLCLETMKLERLFRRTFDNIVHPYIMEWPPCLVGNYGKFALEDAL >KQL05826 pep chromosome:Setaria_italica_v2.0:V:28287122:28289800:-1 gene:SETIT_002440mg transcript:KQL05826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSGGGYSSLDDPKASGSVPAAAGPDPPAIRFTDSNLQTFPPSDARGKISGAYRPPTDADDTFSSKAGGGGSGGGRGGSAGSDDAAQSGWFRMFSVAAYKPYFDVDTSDVVERIWESVFPFRGTFTEKTSENPDLYGPFWTCTTLIFVAASIATFVTYLSHKWHKKEWNYDINLVTWSAGLFYGYVTFVPLLLYVILKYFSAPAGLVQLWCLYGYSLFIFIPASLLSIVPIEIFRWVIAGVAGFMSATFVAVNLRAHIVNSGERWFLIVAGIFLLQLGLAVLLKLYFFTITV >KQL05840 pep chromosome:Setaria_italica_v2.0:V:28357024:28357502:-1 gene:SETIT_005475mg transcript:KQL05840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWQSRWRASRRRDAGESRGSGVFLPPPSNVVLSSCRSLSRIGSFLS >KQL08488 pep chromosome:Setaria_italica_v2.0:V:45688131:45689842:-1 gene:SETIT_002854mg transcript:KQL08488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLKLYGLPLSPNVVRVATVLNEKGLEFEVVPVDLTTGAHKQPEFLALNPFGQIPALEDGDEVLYESRAINRYIATKYKSDGADLLPTIPSAKLEVWLEVESHHFYPNASPLVYHLLVKPMMGGAPDPLVVDKHAHQLAKVLDIYEDHLAKNKYLAGDEFTLADANHMSYLFYLSKTPKAGLVAERPHVKAWWEDIAARPAFKKTVAGIPFPPPPSAA >KQL05551 pep chromosome:Setaria_italica_v2.0:V:25625449:25625920:-1 gene:SETIT_004498mg transcript:KQL05551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKAYEQAMEISSNKTREQTTEQPTHEDDEPCDEHILKYTPRPEEDKLYTELSKYDRARYEPDLRSTEIFVSAYEIARSLLMNRSLNTNAIHMGTCVLSNDPKQKRKKIMSPWV >KQL08316 pep chromosome:Setaria_italica_v2.0:V:44746891:44749370:1 gene:SETIT_000912mg transcript:KQL08316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVWREGAGWCFCSGGGDDGGRSERVKAAIFSARASALAAINGQGHGSGSGLLIHRNLLLTTHGNLPSAAAAEDAEARLVHGRLVARLEPHRFFITSSILDLTIVGLDYTEGDSNLQSQQPHYLKTCCKPSLDHGSAVYLLGHTGKKELVIGEGKVVIGTDNLIKLATDGMTWCPGSAGFDAQGNLAFMICDPMKLASSPAARSSSASSSSSHSWKKDHPMQFGIPISVVCDWLYQHWQGNLDEVSKPKLPLVRLMSSRSDHSSTSFTRRHVFKPDDENDDASVCSKPKHQQASGSSATARISHEANPLVDLRTSSEQGIATPEIYESPRRSSCQAHKDAAPVQLLDINFPPRAPKTIFLPLPLKQMLSDENNVETSRPKNRSKGNGFPSGLIWHRNSEAECRDPPVALRHEDCSSEGQSSSSPVEILEYGGQDNYSSEEETMYSAETMESRNIPSPREKHVGRSQSCVTYSRWSSPRTSSMQNGTLRKQHTLIPVRKTHSQNTALPQRSHDYLSPTVSSAMKKRNSMEQQQPTKPRRSAVQSSPKWMF >KQL07911 pep chromosome:Setaria_italica_v2.0:V:42462848:42465371:1 gene:SETIT_004697mg transcript:KQL07911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDDPLLYNMTSGYLPGIGVPHWLNKGDNAWQMVAATLVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVWLCWVTWGYQMSFGEKLLPFWGKAGHALNQGSLLAQAALPATEHHYHGGTDIETAAITPFYPQASMVYFQCVFAAITLILLAGSLLGRMNFKAWMLFVPLWLTFSYTIGAFSIWGGGFLFHWGVMDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNVLLMLTGAGILWMGWAGFNGGDPYSANVDSSLAVLNTNICAATSLLVWTCLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAILMGIVSGSIPWFTMMVVHKRSRLLQQVDDTLGVFHTHAVAGFLGGATTGLFAHPDLCPMFLPVTNSRGAFYGSGIQLVKQVGGALFIISWNVVVTSLVCLVVRLVVPLRMPDDELAIGDDAVHGEEAYALWGDGEKYDSTKHGWYSDNDTQHNKAPSGVTQDV >KQL06115 pep chromosome:Setaria_italica_v2.0:V:30782951:30783043:1 gene:SETIT_004524mg transcript:KQL06115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTGMVTEKAYEVIAQGVLNGPFADPPLLSC >KQL08672 pep chromosome:Setaria_italica_v2.0:V:46604560:46605256:1 gene:SETIT_005212mg transcript:KQL08672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRMQARNHTPSASLVHCSFCHNYFLQQDHQAVWFSHQVFQLGVQFRTQLLVLINDSL >KQL04659 pep chromosome:Setaria_italica_v2.0:V:10509390:10517464:1 gene:SETIT_000361mg transcript:KQL04659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLRSHLHKVRIPEPTNRIHKDECCVSFDTPRSEGGLYVDMSSFLGFGREHVEWNFEKTGNPVYLHIVQRRKPEPDEADRPLKKPTLLAIGVEGGFGDQEPEYDEHFEIIILPDFISLPFPSVDLPEKVRLAVDKVILAESADRKQQLAAWVADKKNISKYAMDLQQLDNGVIVPPTGWKCSKCDKTENLWLNLTDGMILCGRKLWDGSGGNNHAIEHYEQTKYPLAVKLGTITADLEAADVFSYPEDDSVEDPLLAQHLSHFGIDFSSLQKTEMTTAERELDANTNYDWNRIQESGKDAELLFGPGYTGLANLGNSCYMASIMQVMFSTHPFILRYFEKQSLKAAFATAPADPTVDLNMQMTKLGHGLLSGKYSARTKEGQEGIRPRMFKSVIAANHPEFSSMRQQDALDFFLHLIDRVEQANPGNHELNPCSGFKFIIEERVQCPSGKVSYNKRSDYILSLGIPLHEATNKEELEAFNEKKVTMDLDGKEVSNEEIVRPRVPLEACLANFAGPEEIPDFYSTALNSKTTATKTAGFNTFPDYLVLHMRKFVMEAGWVPKKLDVYIDVPDTIDISHMRSKGVQPGEELLPDGASGDNKAEPALPVASEDIVSQLASMGFNYLHCQKAAINTSNTGVEEAMNWLLSHMDDPDINDPISKDSRASESVDEASVQTLISFGFPEDVAIKALKASGGNIEKATDWIFSHPEASSSASADSSTSNVNADDTYVPDGSGRYKLMAFVSHMGTSTHCGHYVAHVLKDGRWTIFNDSKVAASVDLPKDMGYLYFFQRISS >KQL08519 pep chromosome:Setaria_italica_v2.0:V:45816834:45817151:1 gene:SETIT_004935mg transcript:KQL08519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGGVARLASQRAVVIFGTSNCCMCHAVKTLFSGLGVSWAACELDKEPKGKDIEKSLARMVGRSPPVPAVFIGGKLVGTTDQVMALHLGGKLVPLLREAGALWL >KQL06489 pep chromosome:Setaria_italica_v2.0:V:33771861:33777204:-1 gene:SETIT_001949mg transcript:KQL06489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSPKPSASASADGGGGASSSRSTPTARSTPLQVIHILGNFMRIWSVYSLYSYLSNSGDSIVGFIFSCLVPTSVIFLVLQKPWKGRPLPNSQVVPTVVNGGILALYFVLWGKGLLACGPLVALLAEYAGAVLGVLSAALYGRKVNIWKKIGGLAAMLVAYYLLSNGWSTRTHSPLYSFGSEPLEKATQTIGMKEMVVPITAGILSALRRVLARRVSLKNQLKRRLHAITIASATCFLFPFAMWDTILGSASDSIVKLQLPSWAYLSTVVFGMVLIFYVDNVAEEKLHLVFSSPRHLMVSTGCIIVLEILYKMDFSLFGFLVCSVILGFGIFEATSLERSKKSPLEAHELSNGVFHNQLPISELPS >KQL08384 pep chromosome:Setaria_italica_v2.0:V:45160738:45162483:1 gene:SETIT_003023mg transcript:KQL08384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMAFSLAGAFKGLSLASPSSSMRPSFLGGDRAALSVGGAAVGVPVPARRLTIQMAHKKGAGSTKNGRDSKGQRLGVKIYGDQVAKPGAIIIRQRGTRVYPGNNVGMGKDHTLFSLIDGLVKFEKYGPDKKKVSVYPYEKQPENPNSYRARKREYFRMQRERKKARAEGYVEPQLVLAVVDENSEVSADC >KQL04845 pep chromosome:Setaria_italica_v2.0:V:12345700:12351814:1 gene:SETIT_000177mg transcript:KQL04845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRIWDATKRTGIQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDTVFYVKDRFLRYYEYSTQKEVQVAPIRRPGTVSLNQSPRTLSYSPTENAILICSDVDGGSYELYIVPKDSAGRSDYLQEARKGAGSSAVFIARNRFAVLEKSSNNVLVKNLKNEIVKKTSLPIATDGIYYAGTGNILCKAEDRVVIFDLQQRLVLGELQAPSVKYVVWSSDMECVALLSKHAIIIANKKLVHRCTLHETIRVKSGAWDENGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKVIGNNIFCIDRDGKNKLITVDASEYIFKLALFRKRYDHVMSMIKNSQLCGQAVISYLQQKGFPEVALHFVKDEKTRFNLALESGNIQIAVASAKELDDKDHWYRLGIEALRQGNVGIVEYAYQRTKNFDRLAFLYLITGYLDKVGFMCKIAGQNNNFMGQFHNALYLGDVRKRVEILENAGQLALAYVTAVTHGLTEIADRLSAELGENVPSVSDGKTSSLLIPPAPLMSCGDWPLLRVMRGIFDAGLDATGRADQEEDYDDAGGDWGDEDLEIVDVNNVVENGDVVDHNEEEEVNEEEGGWDLEDLELPPEAETPKAVGVARSTLFVAPTPGMPVSQIWTQKSSLAGEHAAAGNFDTAMRLLSRQLGNKKFCSSEAIVSSMHSWAAIPFLRAFASAPVIPVAVEKGWSESASPNVRGPPALVFTFSQMDDKLKAAYKATTEGKFPEALRQFLSILHTIPLLVVDSRREVDEVKELIQIAREYVLGLKMEVKRKELKEDPIRQQELAAYFTNCNLQKVHTRLVLTSAMGLCFKGGNYATAANFARMLLENNPNEAQAKKARQVVQACGDRKDGRQLNYDFRNPFVVCGATFVPIYRGQKDVSCPYCGSRFMPSVEGELCSICELSVVGADASGLLCSPTQSR >KQL06983 pep chromosome:Setaria_italica_v2.0:V:36859754:36862039:1 gene:SETIT_001439mg transcript:KQL06983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGGSASSLPPFLSKTYEMVDDPATDAVVAWTPPGTSFVVANQAEFCRDLLPKYFKHNNFSSFVRQLNTYGFRKVDPEQWEFANEDFIRGQRHRLKNIHRRKPIFSHSSHPQGSGPLADNERREYEDEIEKLKRDNDALTLELEKNAQKKIDMERRMQELEDKLIYLEDRQKNLIAYVRDIVQAPGFRSSFVQQPDHHGKKRRLPVPISLHQDANAEGNQIVHGGLTNPPVCIESFDKMESSLNSLENFFREASEAFDISYDGVPGPSSAVVITELHSSGESDPHVPSPPSRMHTSSAGAGDSPSRMHTSSAGAGDSLSSHDVTESTSCAESPPLPQMMSFTDSRAKVSEIDVNLEPAITETGPSRDQAAEDSPHPAPGANDGFWEQFLTEQPGSNTHQEAQSERRDGDNKGDQVRIGDRENLWWGKKNVEQMTEKLGHLTSAEKT >KQL07414 pep chromosome:Setaria_italica_v2.0:V:39627769:39632526:-1 gene:SETIT_001155mg transcript:KQL07414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKQFASLAHARPASASSRRLLAAAGVLILLSAAYFLLLSPSSPRPTAAVLASPSSSTTTSFLASLESFLAAPHPSDSAPAPGDLDAAIRAQEEARLYGDPAWPAPAAGPLRVYVYEMPSKFTYDLLRLFRDSYRETDNLTSNGSPVHRLIEQHSIDYWLWADLIAPESRRLLKNVLRVQRQEEADIFYVPFFTTISYFLLEKQECKALYREALKWVTNQPAWQRSEGRDHVIPVHHPWSFKSVRSFVKKAIWLLPDMDSTGNWYKPGQVYLEKDVILPYVPNVDLCDSKCVSETQSKRSILLFFRGRLKRNAGGKIRSKLVEELKGAEGIVIEEGSAGAQGKTAAQDGMRKSLFCLSPAGDTPSSARLFDAIVSGCIPVIISDELELPFEGILDYREIALFVSSTDALQPGWLMNYLRRIDAKRIREMQSNLVKFSRHFLYSSPAQPLGPEDLTWRMIAGKLLNIKLHIRRSQRVVRESRSICTCECRVGNTTRML >KQL07779 pep chromosome:Setaria_italica_v2.0:V:41718403:41720139:-1 gene:SETIT_002423mg transcript:KQL07779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAEAWTQVLLPSTAPCFSGQPSCFWQDRLADFGGIGDEWTAEENKLFEEALARIDRNAPDKWERVAAMLPRKTVVDVVNHYHDLEYDVGFIEAGLVPFPHYSSSPPSSGFTLEDWDGGDGGFRRGYCLKRARGPDQERKKGIPWTEEEHKLFLMGLKKYGRGDWRNISRKYVTTRTPTQVASHAQKYFIRLNSGGKDKRRSSIHDITTINLPDEDRGNASPSPPSAATAATNPSVADQFAALVDAKPFMAPLPGALGVAHPYGNVKLEPKSALVAGLGFDDSILVQMQCAAQL >KQL03560 pep chromosome:Setaria_italica_v2.0:V:3170599:3171099:1 gene:SETIT_003563mg transcript:KQL03560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKRSVLASLFGFKKQSGAGSKSEEEAEGRPQPRYYQGTRVWPSDDDDYYGRHWYADRDIDRRASEFIERVHRGIANDQDG >KQL05226 pep chromosome:Setaria_italica_v2.0:V:18372778:18373400:-1 gene:SETIT_0020392mg transcript:KQL05226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGRTCDWEDFYGRYDLLLKLGNTSEPWKSRKQQEIKERIRKEYDVPISDDDLLWGKIYQDMVHETGVEPEGLYARETIIKYWRQNRSKYQKIGGSCRRRGSWRNKG >KQL03938 pep chromosome:Setaria_italica_v2.0:V:5468964:5469700:1 gene:SETIT_004012mg transcript:KQL03938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQSLRGAPATARAPSGSGCLLPLNGDGSRASCTPQVKVNGFPCKANATADDFISSVLAKPGVINNTVGSVATGATVERVPGLNTLGVSLARIDYAPGGLNPPHTHPRATELLFVLYGTLDVGFITTANKLFAKTISQGDFVVFPRGLVHFQRNAGDEPAAAISAFNSQLPGTQVIAMTLFGASPEVPDEVLAKAFQISAEEVDKIKAKFAP >KQL03899 pep chromosome:Setaria_italica_v2.0:V:5263865:5269759:-1 gene:SETIT_001016mg transcript:KQL03899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSERVEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLLKKRREGLQAQVPVPASGVEKKLESLPAMVSGVYSDDNNIQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVQSLTREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVQLLQSASDDVREQAVWALGNVAGDSPKCRDLVLANGALMPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPSFDQTKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDLQTQCIIDHQALPCLLNLLTQNHKKSIKKEACWTISNITAGNKDQIQAVINAGIIAPLLQLLQTAEFDIKKEAAWAISNATSGGSHEQIKYLVSEGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEHDKTAGATGDINVFAQMIDEHEGLEKIENLQSHDNNEIYEKAVKLLEAYWMEEEDDAMATVGEAAPAVFDFGQGGNPPAGFN >KQL05549 pep chromosome:Setaria_italica_v2.0:V:25622453:25623073:1 gene:SETIT_004633mg transcript:KQL05549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENASKIMGSFLDGKEDLSNDFKDCLDNSCSPREFEGKWQAMLDKHGLNDDERFKHLYGLRESWVPAYFMHCFFPFLQTTAQSEGFNAALKRYANPKQSIFNFVQQYRKIQQRSFGKQDLHEAKMVAKVAHYLTGSCSTCSNMSSSYYIVRIEGDDLIDVPYKRCRELLYGTRTFRVTIARSEGAYSCTCCKF >KQL03448 pep chromosome:Setaria_italica_v2.0:V:2444183:2445601:1 gene:SETIT_002170mg transcript:KQL03448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPAGARKSLPSGSSQARSLSCSHLPRSSPRSTSLSARHYKPRREPSSFSWLQARYRLRERNHQQAERLAAMANLFLKQAKQYVATRPSYPPELFDFIASKTPRRDLAWDVGTGNGQAAASLAKLYKAVVGTDTSAQQLAFAAPLPNVRYVHTAPDLPLDGIHAAVAGPGTADLITVAQAFHWLDLPRFYAQARSVLRPEQGVLAAWCYTEPRVSAAVDAVFWRLYRGSQPYWAPNRRMVDDEYRGADFPFDPVDGEAHTGPFEFSTERAMDLDGYLTYITSWSAYQTAKEKGVELLDEATVQEFAAAWGGDGKEVKAVTYPIFLRIGKVRAE >KQL05537 pep chromosome:Setaria_italica_v2.0:V:25550254:25558774:1 gene:SETIT_0001061mg transcript:KQL05537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPILRARDIGWEHAVDLDGNKRRWQCKFCSLCRSGGVTTFKAHLIDDSCPNVPKEISKKVSNFIEEKRATRLLLNNYIFSVDEDEFFDTQVQGDRTAEYENDQQPSRNATLFLTLDECANEMAAGSSQCGEESSGQPVEHCDQPEEQWPLDHGSMDQVINNKNKILDKNTDNSQNTKILKPRRKSAFNTRKHIIIVDEVARHWRCRYCGMDGYGKKFQLHYHLAGAFRHPKCPNVPREVFAKARHHVPTKRRLKTNKAEQQIPSRPHILGQFGEERQNNGASCGNQSELSIKNESSEVHNYPVRIQGSAWEHSLIYEKEKGNWKCKWCSVEGCHGVTRLKWHLVGWKNLPQCPDVPKDVAKKIRDQMMSKEKKKARRSGSFVGNGSCDALCSPKSSQLDQDHLTTTMHDRCSSLAVDQANSESMACQMLSNTTLLSQESANPQVSHEQQGKELATPPECCPIQPIMEVPVGNGLCGDTNNSEEQRSDSRTNGWWRYVLDGLMRLHMPGIQGDAGIATCVRDALLYGCAEFGTVAGKVEMDSDKTVTANTAKCQNVLMNILRSENFAVLCSVLCRTVHQDEGRTRYFDFDVIDLRMKNGSYGHKPELFLHDLKLLWENLKVAGQNIILLANNLSNLTEDSYEKLVGRARLSSDGEPNGAVVTISEPQSLVQSDALVPSTSQGFNQLDQPGPSYLSDVHNNCNQCGKEARGGSILKCSRCMVSCHISCIEPPNPSISTGSWCCKNCSATCIEPVEDDNSLHGNCVVCDRLEVCRSPKCEDAPNDDSRAMVISSVSSVGDPELPEVDTGGSCKICGDPEEDDKRFLICGHIHCLYKYYHIRCLKSKQIAGDVQQDKPCWYCPSCLCRVCLSDKDDDLTILCDSCDEAYHLYCITPRRTSIPKGRWYCSSCSVARAKEGMRQYERTLKLHQKDNAGQQSRNYEGLDLLVSAVEQLSADELLVTPN >KQL03935 pep chromosome:Setaria_italica_v2.0:V:5439184:5439818:-1 gene:SETIT_004297mg transcript:KQL03935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKIVIKADLVGSKSKSEILAAVSKLQGIKSLDIDAEKCTLTVVGTVDPVCVVMRLKKKCFAASIVSVEDDKPKKKEPCKEACEKLCKEKCEKITCCKECKDKCEKECMYKCEKACEAWLGKGCCSCGRCKPSPAAGFYYDHRAVAPSYPYYHGYPSSYPYYACYEERSPDGACTIQ >KQL05855 pep chromosome:Setaria_italica_v2.0:V:28442984:28443549:1 gene:SETIT_003990mg transcript:KQL05855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRGVIDSDSESHTKMDSSNDRHCGYRTPFPRGDALRIFCHADYTFAWPVCPAKRHRWRILNEVKNHVVGKATSEPLRKDNKKKWSHHHVGAWNERWM >KQL04096 pep chromosome:Setaria_italica_v2.0:V:6450685:6456144:1 gene:SETIT_000631mg transcript:KQL04096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAPPSAAPSSSSSAPAPRPPAAAGSGSGGGAAASPESYIGSLISLTSKSEIRYEGVLYNINTEESSIGLRNVRSFGTEGRKKDGMQIPASDKLYEYILFRGSDIKDLQVKSSPPPPAPPQAASLHNDPAIIQSHYSQPASTSSSLPSAGGTVLPDLSSQAAQYGLQRPGFQSNLPLYQPGNAPWGSSVAPQAGSASTLSVPSMYWQGYYGPSSGLPPHLQQPPFLQPTPGLSVPQNLQYPGLNPSLPSGMQKLSELQPSLMPPVTSQGPSSGILPATTAPASATLLAPESSKPLLPNMGSLFTPPVTSLGATFPFPSQPTSIAETSAALQNLTSFGSNKASALPGSTLAYQSVSQSVSSTVAPSSSSQVEMPVPLLAPSGQLLQNTASMLPSSHSLQTPLQMANKEAKPVEPKAKAAEPLLPDPLLPDPPSRGLPENKEPILPLPKQTPQKYNGSGSHNHHNFRGRGRGRGSAFSQSVTAFTEEFDFTAMNEKFNKDEVWGHLGKKSQSRDKDGEIGGDVFDEDLEVEETENPELAVKPVYVKDDFFDSLSSGTFGRGGGPNGRGRFSERRRVDTETFGEFPRHRQPYRGGARGYRGGGRSRGGYYGGRGYGNMGPGGYGNMGTGGPGNSYPHRGGLYGRD >KQL04662 pep chromosome:Setaria_italica_v2.0:V:10591500:10592978:-1 gene:SETIT_0000491mg transcript:KQL04662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAAEAAFSRSGSWREAEDEREALRWAALQRLPTVARARRGLLRSPAPDGAAAVEGDDVLCEVDVAGLSSGDRTALVDRLLADSGDAEHFFRRIRSRFDAVHIEFPKIEVRYEDLTVDAYVHVGSRALPTIPNFICNMTEAFLRHMRIYRGGRMKLPILDNISGIIRPS >KQL08096 pep chromosome:Setaria_italica_v2.0:V:43536857:43537242:-1 gene:SETIT_003672mg transcript:KQL08096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSADNIFMYVRESNNLLSEGEKKNRGSTPSVVSYSTSVFTERSSMCNGYTGHLKMIYL >KQL04545 pep chromosome:Setaria_italica_v2.0:V:9670485:9671339:-1 gene:SETIT_004337mg transcript:KQL04545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIMESQLLWEFIAFLKARWSARSRVDQRRRRLRQLVAMVRAVADAAESRGGGAAAVRDGSLSAWLHVLRAGALRGQEVLEAPGCDAAAVAGSARHFLAGIRALLARSAEVDRLTDAVEELERLAGPGGDLDMFVKVLRLDRPARATGMEVDGGASDRQEEEGSSSRASSGRSPGAKRKRAASSSGADGGSSAPHGQVDNTAQLPKRRALAWMRPHQWLPAGFGGLFAATREPPPPPPPRARAVAKAMSRVRRRIGKPTRRRQQQQQSLGQRLSRISL >KQL07189 pep chromosome:Setaria_italica_v2.0:V:38193012:38202031:1 gene:SETIT_004274mg transcript:KQL07189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTAALEADLRALSAEARRRHPAVKDAAEHAILKLRSLSGPSEIAQNEDIVRMFLMACSVKSVKLSVIGLSCLQKLISHGAVASSALKEILATLKDHAEMTDEIVQLKTLQTMLILFQSHLHPESEESMSQALGICLYLLESSRSSDSVRNTAAATFRQAVALVFDNVIRAESLPSGKASSARLSSRVSSVADNIALHDRSLASNSGEPTMRENLSDVGKLGLRLLEDLTALAAGGSATWLRVHSLHRTFALDILEFVLSTYVSIFRALLSYQQVLRHQICSLLMTSLRTNVELEGEAGEPSFRRLVLRLVSHVIRLYSSSLVTESEVLFCRFSYASQSWNPVNTNVVENIVRALALVVATIQASDSSEETLAAVAGMFSSKAKGIEWSMDNDASNAAVLVASEAHTITLALEGLLGVVFTIATLTDEALDVGELESPKCESNSMECSGQLALLCMAMVNSTWLTILDSLSLILMRSQGEAIILEILKGYQAFTQACGVLRAIEPLNSFLASLCKFTINNPNEGEKKSILQSPGSKKSETTMDQRDGIILTPKNVQALRTLFNVAHRLHNILGPSWVLVSSIAIILLTLPVPLTGSISFCFKTFKRHIWT >KQL03172 pep chromosome:Setaria_italica_v2.0:V:184946:190954:1 gene:SETIT_000435mg transcript:KQL03172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDEAGQLVGGRRKTKPSSKPSQQSPPSHSIHITPRHLPPYFSPSSRTPRRRRTLMDAAELPLVYHIGLVLAALWAAGALGIRHSFLFLLAFLYLYMVNARCAMRLRKRIQHEEMKSAYQRRLLSDAESVRWLNHAINKMWPICMEKIVSQLLRPIIPWFLDKFKPWTVSKASVQELYMGRNPPMFTSMRVLPETSDDDHLVLELGMNFLSAEDMSAILAMQLHKSVGLGMTANMHLTSMHVEGKILVGVKFVRSWPFLGRVRLCFVEPPYFQMTVKPLINHGLDVTEFPGISGWLDKLMDTAFGQTLVEPNMIVINVEKFASTPSENNWFSIEERPPIAYVKLEILEGTDMKPSDINGLADPYVKGRLGPFKFQTEIQRKTLSPKWFEEFKIPITSWEASNELVMEVRDKDPMFDDSLGGCTIDLHELRGGQRHDKWISLNNVKKGRIHLAVTVEDISEDKNVSCSDEPLKKADAELPVLTSADSTTDAGELPEEKKVLMDEVEHINIDGQEQPGGLYVHRPGAGVPKTWESRKGRARAPDTQIYQEVDKSKEIPAPKSSGHGGLFNLGSFFRKNSRKESFKGDPSLPTTPGSQSVTELDPKLPKTPHPNLKELGEKRTSIKLVVNEEASPASKVGDTDNSTEDVAKVIQKNAGEPGRSLTSTLSRNISRKREEDRLSDIQEQIEAHGSESVTVSEEHIPVEGEPIEKEGHQTTEHENGDGAEEDSVGAEIATQAS >KQL05588 pep chromosome:Setaria_italica_v2.0:V:26110192:26111877:1 gene:SETIT_001233mg transcript:KQL05588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTRGLIVVVLGATLPLLLLSHEAEASEVGVSYGTVAVAGNLPDPAKVSKLLKDNGITMVRIYDANPSVLTSLANTGIKVMVMMPNENIAAAATDTSYALQWVQNNVKAYYPATQINGVAVGNEVFDSSPDLTSKLVPAMTNVHDALVQLGLDDAIKVSTPVAFDALKDPTFPPSAGRFKDEIAQSVMKPMIDFLQRTGSSLTMNIYPFFAYATQKPGTISLDYALGNSNPGVLDDQTGLMYYNLLDAQRDAAYYAVEALGQSSVASTMKASGQDVGVSMTYTESGWSNKGTIKHGGGRKLLDTEGAASIANAQAYNNNLINRVLSGKTGTPHRPDAGMDVYIFALFNENGKGSSDDVESNFGLFYPNMQKVYDFSFTGSGAPPAQPTASWCVANAAVGDARLQAALDYACGHGADCSAIQPGGSCFDPDTKLAHASYAVNSYYQNKGRAASACDFNGAASVVFQKPAGERLLRSQLLTVSSLL >KQL08387 pep chromosome:Setaria_italica_v2.0:V:45169158:45173850:-1 gene:SETIT_001182mg transcript:KQL08387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSLNGMISAISWVPRGAAKNVPVVAEPPTQEEIDEAIKTLALGGDGGSDVDEDDDAGTMELDGAEAEEELDEVARAKAVAKALAKGSSQVDDVADELRELNMDAYDDEEEGIEIFSSGMGDLYYPSNDMDPYLNNNNDEDDDDDDEIEDKMIKPTDYLLVCAHSDEDVFSLQVNILEETEDGEQNMFVHHDVPLPAFPLCTAWMDFNLKGGDKGNFIAVGTMDPAIEIWDLDMVDEVQPHMVLGGLSKKKKKAKGKKGKKYKKGSHRNSVLGLAWNKEVRNVLASASADTTVKIWDLAVGKCAVTLEHHDDKVQSVAWCPQSPEVLLSGSFDKTVAMNDMKDGGQSCHKWSVEADVESLAWDPHNEHSFVVSLENGMVQAFDKRTASSSSSGRSTYTLHAHEKAVSSISFNPSAPNFLATGSTDKMVKLWDLSNNKPSCIASLNPKLGAIFSVSYSHDNPFWLACGGSKGKLKVWDTLSEPAVANKFGRQK >KQL08386 pep chromosome:Setaria_italica_v2.0:V:45169158:45173721:-1 gene:SETIT_001182mg transcript:KQL08386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSLNGMISAISWVPRGAAKNVPVVAEPPTQEEIDEAIKTLALGGDGGSDVDEDDDAGTMELDGAEAEEELDEVARAKAVAKALAKGSSQVDDVADELRELNMDAYDDEEEGIEIFSSGMGDLYYPSNDMDPYLNNNNDEDDDDDDEIEDKMIKPTDYLLVCAHSDEDVFSLQVNILEETEDGEQNMFVHHDVPLPAFPLCTAWMDFNLKGGDKGNFIAVGTMDPAIEIWDLDMVDEVQPHMVLGGLSKKKKKAKGKKGKKYKKGSHRNSVLGLAWNKEVRNVLASASADTTVKIWDLAVGKCAVTLEHHDDKVQSVAWCPQSPEVLLSGSFDKTVAMNDMKDGGQSCHKWSVEADVESLAWDPHNEHSFVVSLENGMVQAFDKRTASSSSSGRSTYTLHAHEKAVSSISFNPSAPNFLATGSTDKMVRTLQYLDDI >KQL05848 pep chromosome:Setaria_italica_v2.0:V:28418387:28418765:-1 gene:SETIT_005554mg transcript:KQL05848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISFPTTEIPNRNIQYHHPDPKLHSQVPTAKGTALLSLC >KQL06243 pep chromosome:Setaria_italica_v2.0:V:31982007:31985043:-1 gene:SETIT_000251mg transcript:KQL06243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAHVRSSSAADAAAAAPSTSGSGGTASYPYTAAGGGGGDGDDNAGAGGAKVWVLVLLFALLLLPFLPSAVRRGGPTPWAGGGGGGGGGGGGGGQGYRRGGISFKSGWDVVNLCLVLFAILCGLLGRGSGGDGESSSGAASASAAAKGDRQDASLAPPAGDVTAARTDSGDWGEGYDSFSSVYASLPRINNHAHTAGIRRMKSSSSYPELRLGSDGVWSLASPEAAWRSYDDADLYRNRRPERRERSWDVDPQGRAPEVKTIPVDTFVERGRRSPPPREPRSRRRSVERLPKMPEVVEEERPQPRDAVVTPARSMRWSAEAEDVIPEQEVRVAPPRIRRWNSESLDSFLEQEAAAAAAPARSSRWSAEPVDVIPEQEERVAPASIRRWNSESLDAFLEREAAAAPTRSSRWNAEAVGVIPEQEERVAPARIRRWNSESLDAFLEREAVVAAARSSRWSAEAVDVIPEQEAPVAPARLRRWNSESLDAILAQEAAAAPARSSRWSSEAVGVIPEQEAPVAMAPAAPPPPPPPPAPTRRRRRSVEKLPRPEELEQEIAVEEVRNPMPPPTPAMFPPGTPPPPPPPPLPSTGSRSKKKRGGSVGGAKELASAIALFYQKKRKSITMKAKRRPHHHHHSDDHYSPPSSDASASPDSSVRTTNAAPPPPPPPPPPPPPSSIFSNLFKNKKGGSKSRRIHSVAPPQPPPPPPPTRRSKKPPPPPSRPAPPAPSPPPVSARPPRARVHAHTHTHTHAQQPPLYPTPRRVYYTYYPLPPPSPPLPPPPPPPPPLVSEGDGDTPSVPASPAPSYCASPDVNTKADRFIESFREGLKLEKLNSYREKWQRHIQEDATVEIEEEGEFMVIGSLFGSDDEDDDGISLPETPATAAVAVGF >KQL08812 pep chromosome:Setaria_italica_v2.0:V:47191412:47193476:1 gene:SETIT_004134mg transcript:KQL08812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIVRIEYSNDPYLNELFYKRYQAPDHKPPVTIGFEFDWRSSVSAKDWPSVAKKGKVDMMELELKKLEETIKNIHEEMFYLREREEEMQDLNRRTNSRMAWLGFLSLGICLSVAGLQLWHLKTLLERNKLL >KQL05506 pep chromosome:Setaria_italica_v2.0:V:25239290:25240434:1 gene:SETIT_005102mg transcript:KQL05506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVPYCDGDADWYHFPPLCPPSPLALLSAEDYPLPIAAFPPAHLSRGDDELIDGSCHGALAAAADRPSSALMNLSEGESYYDLPIFPPPPAAGDHQFFSNQLPPVPVDSATVGLDDALLQPLGDIDLEAFDNADEHKAPHHGRHTMIVPAGQHAVGQEYAGVDVVDDADEKPMAPHHGRHTMVGQEYAGVDGVIVVDDADQKPMAMVDCFLPGANNAFESAMPPPQPSLPLPARGRRSVDHRSAPAPGGKTRLDHIGFDELRRYFYMPITRAAREMNVGLTVLKKRCRELGIARWPHRKMKSLKSLILSVQ >KQL06712 pep chromosome:Setaria_italica_v2.0:V:35168285:35170303:-1 gene:SETIT_003839mg transcript:KQL06712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLFLALQCVQCDTMQVKQQKKSSNKWVCVVCNQRQSVLRVHARGYRAADLRRFVQDANLARGRREFAPLAEPEAGWDPVQVEEQGDEFPTEKKRTDWSEYLDDEHRDGCGGVGADASDGESGEGIEVTTELPQERPKVRPRKAQSGVVGKRPKPLTHPTSSKRQQIEQGSSPYCATTTAEAQRSKWSKYLDTDFFEERKGSEKSGLHWTELDECATTEATTDVVVDDEVHPDFI >KQL08777 pep chromosome:Setaria_italica_v2.0:V:47046006:47047965:-1 gene:SETIT_003414mg transcript:KQL08777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAGSAPEQAKNSADGASDAEARRAPPSTVRFFPDAERQKARQPPPIKLEEEEGAPPPPATEEEMAPRNLWQVYALGAFIVLRWAWAKWKENQDRKDSPDDDGGAPDGST >KQL06271 pep chromosome:Setaria_italica_v2.0:V:32297913:32298762:1 gene:SETIT_003687mg transcript:KQL06271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKSLCTCSVWIITMTATETEASQWAASAQSSLHALIVQRRLSLSRILSTVIRTCYYL >KQL04492 pep chromosome:Setaria_italica_v2.0:V:9379932:9382691:1 gene:SETIT_001329mg transcript:KQL04492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVLVAVLALSCRAASGMATAAVTAEAEADRIASLPGQPPVKFSMYSGYVTVDAAAGRALFYWLIEAAGAPAASAPLVLWLNGGPGCSSVGYGASEELGAFRINPDGRSLSRNPYPWNKVANMLFLDSPAGVGYSYSNTTADLYTAGDNKTAHDAYNFLVNWLERFPQYKHRDFYITGESYAGHYVPQLSQLVYENNKGIEKPILNFKGFMVGNAVIDDYHDYIGTFEYWWTHGLISDETYQKLRLACEFDSAEHPSKECNKINDIAEAEHGSIDAYSIYTPTCKKTSLHKRRQIRGRTPWLPRGYDPCTEKYSEKYYNLPEVQKALHANVTGIPYAWVACSDPIYDYWKDSPKSMLPIYRELIAAGIRIWVFSGDADSVVPLTATRYSIDALSLPTVTNWYPWYDNGEVGGWCQVYKGLTLVTVRGAGHEVALHRPRQGLKLFEHFLRDEPMSKAVDSIQTF >KQL08575 pep chromosome:Setaria_italica_v2.0:V:46121260:46122531:1 gene:SETIT_003792mg transcript:KQL08575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRDQDRVVMSAPLQPRPAHLSSSSFSSTSSFGSTSSSSSFTDAAASPPPMPPPQRGGCKALAVLRDHPGSVSCLSLCGEFLLSACTGADIVAWQQPDLRRFARFGHGEGSVKALAAAGGRVFSAHQDGRVRVWRVSRRSENAFKLVAALPTARDYLGRIFRQASYVQTRRNHRRLWIEHADSISCLAVHDGVLYSGSWDKTLKVWRTADLKCLESIRAHDDAVNAVAADGGVVYSASADGRVKAWEKGKASHFLQGVLVARDGVSWNALAVGADHRVYAAGSDGHVVGWDRLGSRSAARWTLACDVKAHDMAVLCICIVRDLVCTGSADKTIGLWGRQSSGELAKVGTIGGHEGPVKCLQASWCRVSNGCMVYSGSLDKSIRVWWVPGGLDGNDQQQQQQQQDKCFFKDQNQNDKASLFLR >KQL04274 pep chromosome:Setaria_italica_v2.0:V:7809715:7811639:1 gene:SETIT_004102mg transcript:KQL04274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWRGGPCHAGAASRGARWALTWAGRSPRQPTWRGRATTRSTRRLPSSSLPPFFLLQTHHTAAPCSAGPRRPTPKSTQNPAFSVGESSENRSLLRVEGEMTSFGCEYKRRRWYVRYVGESNGAGPVPPALPVPLYRCGAQAEVKQSRHPKTAGKAFYVCKWNFDPLPAAPCDFFQWIDGPNKYDPRIRLFPYHSTELKPYHQFRRWVPPPPNPPRMTDEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWIRKKYDVPIPDDDLLWGKIYQDMVHETGVEPKGLYARETIIKYWRQNRSKYP >KQL04354 pep chromosome:Setaria_italica_v2.0:V:8438609:8439577:-1 gene:SETIT_003641mg transcript:KQL04354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLLPFLYRAILHLASGGETPLGNPFRNESPSESPLAPYYVRLAGAGAPDVPAFLSSAARGYYDRG >KQL04238 pep chromosome:Setaria_italica_v2.0:V:7491717:7491998:-1 gene:SETIT_004123mg transcript:KQL04238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAANRRPRRNSVAVIIMCLFWVCLHGSVNLLAAAVPVPRRPSVAVAGSHVLGSRPIPAGGGAGGFSSPSVAARFGDDKRRIPSCPDALHNR >KQL06444 pep chromosome:Setaria_italica_v2.0:V:33485425:33489550:1 gene:SETIT_004308mg transcript:KQL06444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAASVASQAQAVLRGRLCDPGFVHSALRSSPDTNYSKLKYLVASSVSEACNNSVLLLGPRGCGKAAVVDMVLEDLKKEHPDAISVIRLNGMLHSDDNCATKEIARQLCLEHQLSFSKMASSDDNTEFIIDMLRECGLAHKTILFILEEFDLFAQGKQRLLYSLLDAMQSLTSQAVVIGVSCRLDADQLLEKRVRSRFSHRKLLFVPSSLEDTQRLVEHLLILAKDSGLPAKYITDYNSRLTNIFSDKKFKGILNSLMDADATTSNILRFLFRAVSYMDMESGFLSMESFLKALSSLQRQPKMDSLQDLSILELYILVCMHRLEDKEQSSYNFTSIMKEYRSIQDAYKTSDKYASTVCFRAFEHLLDRELISFGDNRGRNQALEYRPVKLLISSRELAQSLKLNTTCPALLQKLFDRERYM >KQL07384 pep chromosome:Setaria_italica_v2.0:V:39434394:39440508:-1 gene:SETIT_001203mg transcript:KQL07384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVIHDSGDGVQKEALDLVSSDVNFPKGHFPDYRIGPNNQIIDPEETHEAVPLKEIVAKETAQLLEQHRRLSVRDLKEKFEKGLSGASKLSEEAKRREAASLDRQVLLKKLRDVLDTLRGRVAGRNRDDAEEAISLVEALAVQLTQREGELIYEKAEVKKLANFLKQATEDARKVAEEERALALAEIEKARAAIEKVEKALQVHDSASSSREKEEIEELKKEVREARRIKMLHQPSKVMDMEFELKALRTLISEKTQLCNQLKKELAMIKRLEEDSSDLFELEGSDTLGSQLHIIPRVDGAPNIANCPIQWYRVISGGTRELISGATKFTYAPEPFDVGRLLQAEMVLNADKIIVQTDGPIENAAGLERYVDSLMKRMDIEFNVVVTQMNGKDYSSNSVHVFHIGKLRIKLRKGWSTKARESYSTTMKLCGSRGGGNAAARAVFWQARKGLSYTLAFETDRDRNAAIMIARKFALNCNVALTGPGDQGT >KQL03261 pep chromosome:Setaria_italica_v2.0:V:590620:595291:1 gene:SETIT_000162mg transcript:KQL03261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEKRPASPPSLSKPRRAPPRPGADDARLSPDPARSPVRGDGDPQPPETPQPAATPKDFVCPITSQLFEDPVTLETGQTYERRAIQEWLDRGNATCPITRHRLHGAQLPSTNYVLKRLIAAWRDDQNQPQLKTMDSPAPTPTPPFKAISSPSPDTSASQASAASPTSVIAQATLETAAGELRAAVACLCTSEDLAESEESVLRIERLWREAGTEHAVLAALARPAVINGFVEILFNSVSARVLQAAVFLLAELASRDDAVVQTLTRVDSDVDCLVALFKKGLVEAVALICLLSPTPEQLVEMDMAEALVSTIRRGDDEDPPLRMCIKPKAASVILLSQILAEAGTDRDSSTLPVPRSALLSERFVRSVAVSLEAEQVEERLAAMRILLRCIWEDGHCRSTIADKASLGAVLDAFHAVGDADKFDIVRFLYELLKLKKRSAAERVLRTIKDGGSFSRMHTLLVHLQSAPPEHSPVVAGLLLQLDLLVEPRKISMYREEAVDCLIQCLKNSDFPRSQLLAAETIMCLPGKFSSSGRPLTRSTLLKLARVKERHRQSQDLSIVRADAGEDEMEEEKAASEWERKTAYALVSHEFGLVFEALSECLRSKNAELFTTSLVCATWLVYMLSLLPDTGVLGAARVCMLRQFVIVLRSAKHGSDRVLAMVAVRSFMNDREGMHDITTYIKDVLKTLRELKKSSGLAFEMLKLLSDGQESSVDMWNHKEINQADCSSNGEVTSIVYLKNHIFSGHSDGTLKVWEGSENILRLVHEAQEHTKAITSLSVLHSEEKLYSGSLDRTIRVWQLRDGVLQCVETHDTKDPVQNLAVASAMACFVPQGAGVKLLNWNGNSKLLNANKYVRSMALVHGKLFCGCNDSSIQEIDLASGTLGVIQSGNKRILGKANPIYSLQVHDGLLYTGSTPSMDGASVKVWNCGNYNLVGSMPSSMEARSLVVSADLIYVGSRNGAVEIWSREKLTRIGTLQAGGPSCRVQCMAVDGDGDVLVVGTSDGRIQAWGLT >KQL08489 pep chromosome:Setaria_italica_v2.0:V:45690653:45694559:-1 gene:SETIT_001428mg transcript:KQL08489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAAAPAPPNPNPVPDDPPLPPSQEEEDEETPDADTSSPPPPPPAPTTSIEPTPSGDEESESDDSSSVSSAASSVPAASGGAAERPFPAAKDLLHISFNQDYGCFAAGTKSGFRIYNCDPFREIFRRDLAAEGDGSGGGGIGVVEMLFRCNILALVGGGDNPHYPPNKVMIWDDHQSRCIGELSFRSPVRGVRLRRDRIIVVLENKIFVYNFADLKLVHQIETAPNPKGLCAVSQQPGSIVLVCPGVQKGQVRVEHYGARKTKFINAHTSRVACFALSQDGRLIATASTKGTLVRIYNAAEGNLLQEVRRGADRAEIYSLAFSNNLQYLAVSSDKGTIHVFNLKINVGSTANDKPMPAPDPEVPHISPPLSFIKGVLPKYFHSEWSVAQFRLHEGEQYIVAFGHEKNTVAVVGMDGSFYRCQFDPVNGGEMLQLECYNFLKPSDQQ >KQL03738 pep chromosome:Setaria_italica_v2.0:V:4369952:4370238:-1 gene:SETIT_0004549mg transcript:KQL03738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEYRQSYSRGKPLTTLNSITLSGETSSRQGTRIRFWPDKDIFTTTISFDFNTISSRIRELAFLNPE >KQL05480 pep chromosome:Setaria_italica_v2.0:V:24997972:24999264:1 gene:SETIT_005387mg transcript:KQL05480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPAPLGALLRSRFRFGYVVDAVGASGVRIPRVISRTSVIRAYSSTFVSLPNPADPHLFLPTARRGPLPNTAVSALRPCPAATRV >KQL06382 pep chromosome:Setaria_italica_v2.0:V:32941678:32946591:1 gene:SETIT_000187mg transcript:KQL06382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPNASMGLLSLSGCGSLLPTPQPNSSQGRGFSVPGRSVSVLPLRWGLARKRGRVLDSRTDGAVAGGESGAGSSELRHIEKELTFSPTFTDYVKIMESVKLDRSKNLHGSDSDSRSSRRRFTGDGDRHGDGRSGDARNKPFERNQGPRRNRRSDIGRVVKLAKDDNQKDVTGFVERRAMGDVKNNRHGQGEVEEYVQRRIICGDTRGDGGNGQLSSHLKVKDTSSSMSEHQSVRNRQNQSVAGSYLEGQVPYTPPRTSALPNNSISSKNAKFQMGKGDFTSTSSSRDFKYPRQSTFFNSEVNANSKVQRHQQRVESSGRNFVECRLGEIDIDSKKSTPQHDSHSSDSLKSDKPRKIQMQRGANVNMGKYVRRDTEATYFDDRAAFKSFEVFTDVRDRPRILRMEMEERIQKLASQLNATDVNTPEWKFSKMIHDAQIKFSDHSILRIVQMLGRYGNWKRVLQVVQWLESRERFKSYKSRYIYTTVLDVLGKAKRPIEALNVFYTMQNQLSSYPDMAAYHCIAVTLGQAGLVNELFDVIDCMRSPPRKKFKLGPLQNWDPRLEPDLIVYNAVLNACVQQKQWEGAFWVLQQLKEKNIRPTNTTYGLVMEVMLVCGKYNLVYEFFNKLEKSLIPGALNYKVLVNALWREGKIDEAVMAVKDMESRGIVGSASLYYDLARCLCSGGRCKEALLQVEKICKVANKPLVVTYTGLIQTCIDNGSMENAKYIFNEMCSYCSPNTVTCNIMLKLFLEHGMLEDAKDLLQDILNGRIRSKADSSQTATADKFTFNTFMEACAASQRWDDFEYAFREMLSKGYHFDERRHLRMVLDAYRNGKEQLLDDLWRYLCHHNRAPPAPVIMERFCLKLVQGETMAAISCVSRFHQEGKIQNTSAMSWLNLLNRNADSLKHEHVTKLVHELSNFVSSRSSSDNISLYQKIQSSCTAFLSGATVVEKAPSGQQMAVALHHS >KQL05237 pep chromosome:Setaria_italica_v2.0:V:18669598:18674845:1 gene:SETIT_001574mg transcript:KQL05237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLNGSARLMIVSDLDHTMVDHHDEENLSLLRFGALWESVYCQDSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGEAMVPDDGWEEYLNNKWDRNIVVQETASFSELKLQPETEQRPHKVSFFVDKKSAQEVIKSVAKKLDKRGLDAKIIYSGGQDLDILPQGAGKGQALAYLLKKLSSCGKTPNNTLVCGDSGNDAELFSIPDVHGCMVSNAQEELLQWYQENAKDNPKIIHASERCAAGIIQAIGHFKLGPNVSPRDVDFPYAKEASFKPTDAVVKFYVLYEKWRRAEVPKSDSVIQFFKNITDANGVIIHPAGLECSLHASVDALGSCYGDKQGKKYRTWVDRLFITQTGSHSWLVRFDLWESEGDVRVCSLSSLALSLKTESPEGFVVTHIHKTWLNGYSSGVEQASKL >KQL08532 pep chromosome:Setaria_italica_v2.0:V:45869299:45870543:-1 gene:SETIT_004262mg transcript:KQL08532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLAISLCLAACLSPCTLAATANGGKPLVTAITKDPATSLYTSPLKDSRPLVIDLSGPLIWSTCDRSHPTLQCHHHDCAHAHSYHPPGCPHTGYGKADEEDRFRCKCTARPYNPVAGRSATGDLTRTKLSANATDGTNPLYSVSFPAVASCAPASLLDRLPAGAVGVAGLASSRVALPAQVARTQNVADKFTLCLPRGGGDGVAVFGGGPLVLTGHPIDLTSTLTYTPLLRKRGSPAYYLSVKAIAVDEAQVQLPGDPLATGGVVLSTTAPYTALRPDVYRPVVDAFDKALKLQSNSKRVPAVAPFELCYDLRTLPGPTRIGWLVPDIILMLENGKNWTFTGLSSMVISQVGCFGFVEMKLEKGGYAGAPAVEIGGFQMEDHALQFDLEKQLLGFAMVPFFISCHNFNFTQSH >KQL08593 pep chromosome:Setaria_italica_v2.0:V:46237004:46240269:-1 gene:SETIT_002405mg transcript:KQL08593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSGGLGAGFSYQKFVHVALEQTRLRTALAPHPSQEKFKFIKTNEDNTIFNALSFSAPKIRLLRSLTIEQKNSVQVLDFAAFSEPEYDLPIFCANAFTSPARSIVVLDLNPLYDTTEHKDYRGKYYRNLMPLIHKYSELLPWGGKITSESLRFFSPIVIWTILEPTEANHQVLYSAFMDYFKVWLELMDEAVQGTSVEKIDRNREAQHKYLTWRAEKDPGYPLLKKLIGECAAKELVREFLFEGVDSLGTKSFLEYFPEYAQEDGTVNKKRSMVGKSFETRPWDAHGQFVGDAGDG >KQL07117 pep chromosome:Setaria_italica_v2.0:V:37753493:37753708:1 gene:SETIT_005571mg transcript:KQL07117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQFPPFSLPGMVLAAPFAILCHSAVPSWICMTL >KQL04300 pep chromosome:Setaria_italica_v2.0:V:7959581:7963223:-1 gene:SETIT_000610mg transcript:KQL04300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAVLLVLLPLLPLSSLLRLGMATADTNTTGGSCAPARCGDLNITYPFWLVGVQPLYCGFPAFGLTCNGDRRAYLSRTFRENLYRVDSIIYDNRSLVVAVETTFSGDETCSIPDFNVSSGLALFPINISRTNKNLTFIYNCLVPRPAELPQLCGNRTMGAYFSERHEVPTNCSFVSVPVREFQETEEPARDYVQLIHDGFLLEWPPTEDCDACKRNGGECRLFERLSFQCFCPDGHCTDSSATSRGTRGIIKIGAGIAAALVFLIILAALSLVMTLHKRRKRKRSASLAGLIRDGTPLASLRKEFSMTGSPRTHIFTYEELDEATDGFSDELELGVGGFGTVYRGTLRDGGVVAVKRLYKNSYKSVEHFQNEVEILSRLRHPNLVTLYGCTSPRSSQDLLLVYEFVPNGTLADHLHGARASPPLHWPVRLAVAVETASALEYLHAVEPRQVVHRDVKTNNILLDEAFHVKVADFGLSRLFPAHATHVSTAPQGTPGYVDPMYHQCYQLTDKSDVYSFGVVLVELISSKPAVDMNRAGADVNLANLAVNMIQCYEIDRLVDPRLGYRTDAETRRTVDIVAEVAFRCLQPEQDVRPPISEVLETLREAQKTGQDGCAKVKDDVEMLKKSRDGSPDSVMYQWKSPSTTAHNSS >KQL05472 pep chromosome:Setaria_italica_v2.0:V:24878317:24880178:1 gene:SETIT_000819mg transcript:KQL05472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKAVARIPSSTLTSLLPRALNPHVAVVDLVATHLAAAADDADEAKPVDLTRLLPYLGHDELTAVVLRAGHSHPLHTLRFLLALPPPLQPSPPHLAFLARSLASSRLFSHALDALSHLVRLHPGHDALPTLLLASPTAPHPSLPGLLVKALLRHARLRDAFRAALRAAAAGAPPDAAAFNALLAALSRAGRFDELWAARATMRRAGVRPDARTFNILVAALCRAEDAERAQGFLEELEEQGFEPDVVTYNTLLSGYCRRGRLQEALRLFDVMPHRRVPPDLVSHTILIDGLCKAWRLNDARRMFDRMVQSGLCPDAVAYSVLIAGYCNEGRLRETRSLLMEMVGSGLSAVAFAIRVVIEHHVKFGKLLTCLNMVAPLRKYGVVIPSQSYSCLIGALCDDMRPNAARGLLQWMIEDGHSPRLQMYNMIVDCFCRCDNPKEALDVKVEMISREVKPDYDTYWALITCLCRLGRSLDGQSVMVEMIESGSQLNEAICAALVCGFCKEGDLDRAALIVKSFVLDFHIHCNESYNALMRAYCETRSTAELLALQDRMLELGFVPNSETCRSIIYGLSKSSG >KQL08706 pep chromosome:Setaria_italica_v2.0:V:46782813:46785790:1 gene:SETIT_005154mg transcript:KQL08706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCTTSHDAFAAAVTSRARRAASSSSSRPRGAADPAALCRERVALIRAAADRRFALAAAHAAYFRSLAAVGDALRRFAAAALAQASPAPGSSPVLRLPPSPTKQVASSAAATASSLPPSPSSSSTVSSLSHSLSDDDGDIEEALHDAKHGRGGGSDKASSSSTKHHHHYMRRSSTVPTVVYEDPNAQVQYTQPEASYGYGYAYEYPYGPYGEVVAGERPERAPRPPGPPPSPPTAEVSPWDFFDPFTQYDQFMEDYSRGNLPTNSPNYAELRRMEGIPELEDEAEMEAKAPAEAPKPSTSGVADQNAKGKGPIADNAASNGNPSKESEEPASGGKLQSKGSGTSPAGKLQRKGSETAPDANAEAGKLVSRNDSVPSNASSKSREGRKNTASLKGTFSGNIDGSSTDGKKKAVAFDDKQSIRAEGGGDSHGKSVQSVVSSEPFSPLHHGTRDVREAMDEVKELFDEAVNCSAEVSRLLEVGKMPPQSTPRVLRYISSRVVDPLGLTVSTSSCLPRPHGRNSRASNSKASTSASSSAGHLSSTLEKLWAWEKKLYQEIKDEEKLRMQYEKNHRRLKSLDKRGAESSTIDSTRLSVRLLRSKISISVRTANAFSSKIQKIRDEELYPQLVDLIQRFRRLWEGVLECHEKQLLAIHDSEFHQLKAMTISQSGVASEASRELERELTKWYRCFNKWISSQRSCVEALNGWLKKWFPEAEAPQEDTADGVPPFSPGRLGAPPVFVTSNDWFQAIEMVSKTDALRAIDHFSKLVHEFKKSLEEEQRQKRKADHASRDYNRKSEVLQEELGLSTMENPHYSHDDRVMDLERLRKRRDEERTSHEKILNHAHVAASATLLIGLVPVLQQITTFFQKNQQVYMGIRILGT >KQL04174 pep chromosome:Setaria_italica_v2.0:V:6971306:6972209:-1 gene:SETIT_003150mg transcript:KQL04174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGGGEGDPLPGRGGGRGGVGLGERGHLLVGEHGVEHVHGQEEVGVARPEELVGGDAGNAAPHGEAAGVADELARVVEHELRRAHRPRQPRHRAVRVDHLGRRRCRRRAAASARGRQGYARRVVPHGRQPSTGDQDSGDQRRDRGEGQADADATRCRCQSWRRQ >KQL03550 pep chromosome:Setaria_italica_v2.0:V:3085509:3087202:1 gene:SETIT_001284mg transcript:KQL03550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDPPPDDAAAAAAANGHDHDNGHGNGNGPSPAKRPRAVISAAEIRAEFAHHDAAVARVNNGSFGCCPASVLAAQAHWQRLFLAQPDAFYFHGLQQGLVRSRAAVAGAVGAGDVSEVSLVDNATTAAAIVLQHAAWSFAEGHFARGDAVLMLHYAYGAVKKSIHAYVARAGATVVEVPLPFPVASADAIIAEFRTALAVAKEGGRRVRLAVIDHITSMPSVVIPVKELVAICREEGVDKVFIDAAHSIGQVPVDVRDIGADFYTSNLHKWFFCPPAVAFLHTRKDDPIASQLHHPVVSHEYGNGLPMESGWIGTRDYSAQLVVSEAIDFVNRFEGGIEGIRTRNHEKVIEMGRMLAEAWGTFLGSPPELCGSMVMVGMPGCLGVESDDDAMRVRTMLRKDFQVEVPIYYNSRRVEGQEMAKDKSGDPVTGYVRISHQVYNVREDYERLRDAINKLVSEGFTSSKLRPSEKVLS >KQL07611 pep chromosome:Setaria_italica_v2.0:V:40807712:40808463:-1 gene:SETIT_003947mg transcript:KQL07611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGYPYNGCGSNKEKRPPLKRGQLKLQIARTLFGSLVAPGAKNRERFFGVKLSGSVSRITHQPPPTLRPPPPVSGPLGTGLHRSPGIPTAALSSAVGLA >KQL08510 pep chromosome:Setaria_italica_v2.0:V:45763143:45764499:-1 gene:SETIT_004874mg transcript:KQL08510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTNGPVPPCSGHDHRGQRARVVKASSASLGSSAAATSGDNSTTPTAEEERERAAYSDSDSQQQERARARGRGRRPGREESELGMADHHGQPPGGGGGGAEEIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDVCWAFGALGFDDYVDPMRRYLHKYRELEGDRAAAAASSRGGGPPGPDHPSTSGGPGAGAGPGPSGGGGGHFMFGAMDRSDNNSSRPF >KQL03310 pep chromosome:Setaria_italica_v2.0:V:846989:847162:1 gene:SETIT_003783mg transcript:KQL03310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMMCCMHPQSRSFLDGLANCPCCNSNAKSKEYICVCSEPWFHDVVLGDWGEGETDL >KQL07114 pep chromosome:Setaria_italica_v2.0:V:37733445:37736032:1 gene:SETIT_004267mg transcript:KQL07114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLQAEELFRKVLEGGSKKKAARLLGLDVGSKYVGLAVSDEKNRIALPLSVLSRTKTNINLMADDFKTLASKYSLAGFVVGYPFNLHGQHTSDGVQVRLLAGELYQTGKLDDLCYTYWDENFTSKCVEALLHPLNLKNRDEAKTMTDKFAAVCILQGYLDNMNRKLRPSDKSES >KQL04507 pep chromosome:Setaria_italica_v2.0:V:9434145:9438485:-1 gene:SETIT_001505mg transcript:KQL04507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKRVARGDGREEDGAKVGLPAMDITLAFPQATPASVFPPSVSDYYQLDDLLTSEERSIRKKVRSIMEKEIAPIMAVYWEKAEFPFNAIPKLASLGVAGGTIKGYGCPGLSITASAVTMAEIARVDASCSTFILVHSSLAMVTIALCGSEAQKHKYLPSLAQLTTVGCWALTEPNYGSDASSLKTTATKVPGGWHIDGQKRWIGNSTFADVLVVLARNADTKQLNGFIVRKGAPGLKATKIENKIGLRMVQNGDIVFNKVFVPEEDRLPGVNSFQDISKVLAISRIMVAWQPIGISMGVFDMCHRYLKERKQFGVPLTAFQLIQEKLVRMLGNIQSMLLVGWRLCKLYESGKMTPGHASLGKAWNSRTAREVVSLGRELLGGNGILADFLVAKAFCDLEPIYSYEGTYDINSLVTGREITGVASFKPAALAKARL >KQL05201 pep chromosome:Setaria_italica_v2.0:V:17538645:17539279:1 gene:SETIT_004356mg transcript:KQL05201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METIHNAGVNVSRCKHACIVSRIEIFSSISFNFDLICLCALVDMYAKCQAVLEANRIFGRILNKDVVTWNSMIAGYAENSMDDDALMLFKQMRLQGASPDATSVVNALSASVCLGDLVMDVSVWGAFLHGCGLHSRLQFGEEAFKRMMVLHPERPDLYGLISNLYTSYGMWEKSLAIQRWMQEKGLVKLPGCSSVGPENG >KQL03518 pep chromosome:Setaria_italica_v2.0:V:2888601:2889992:-1 gene:SETIT_001903mg transcript:KQL03518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSTKRFLPCVLLLVAVSLNGCLSAHSLADDGVADNNDDLMLARFQQWKAEYDKSYATAEEERHRYQVYASNVRYIESTNADAEAAGLTYELGETEYTDLTDEEFMALYTVPPQTQLAEEDDDEGMAVITTRAGSVDATGHAAAYTNLSAAPASVDWRTKGAVTPAKNQGACGSCWAFAAVAAVEGINKIRTGKLLSLSEQELVDCDTLDKGCKGGMHYRALQWITKNGGITTEKDYPYKARQGKCDRSKLKNRAATISGFRRVATRSEASLQNAVAMQPVCVGIDAGGSNFRHYKKGIYNGPCGTKLNHAVTAVGYGQQGRDKYWIVKNSWGGKWGDKGFIKMKKNIPGKPGGLCGIAILPAFPLKKK >KQL07796 pep chromosome:Setaria_italica_v2.0:V:41818608:41819159:1 gene:SETIT_004906mg transcript:KQL07796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHGGALDGASPGPHLHRGGHDTKLKWRLFDLSHNILRKIRVRTRNTLL >KQL05030 pep chromosome:Setaria_italica_v2.0:V:14295370:14298550:1 gene:SETIT_001462mg transcript:KQL05030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGAGAMNGGTATVASAKAVAVPLRLQHYLVMAGVAAAVVLACLRYAPAAAGYGFLAMAPPGVEAGAAARVAAVAVARAADGGEGGEAVAAAGARDPPAPAAPSVVIFNFGDSNSDTGGMAAVNGMNINLPEGRTFFRRPTGRLSDGRLVIDFICESLHTPYLSPYLKALGADFRNGVNFAIGGSTATPGGSPFSLDVQLHQWLYFRARSMEMINLGQRPPIDRDGFRRAIYTIDIGQNDLSAYMHLPFDQVVAKIPAIVAQIKYTIETLYAHGARKFWIHGTGALGCLPQKLAIPRDDNADLDAHGCLKTYNAAARRFNAQLAEALAQLRRRTVDAALVFVDMYAIKYDLVANHTAHGIARPLMACCGYGGPPYNYNHFKACMSAEMQLCDVGARFISWDGVHFTEAANAIVAAKVLTGDYSTPRVTIASLVDAKLVPNDG >KQL08818 pep chromosome:Setaria_italica_v2.0:V:47213707:47216939:-1 gene:SETIT_000246mg transcript:KQL08818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADARGEASGGDKATRPSRLRVVRPDVQEVLKSPRRSRPKKQQPPPPPQPPPPRKQKQVKEKKDRGKEKEKEKEKGEEEQFDPVRYNCAFQHEDEGSRDFAPPELVWGKVRSHPWWPGQVFDAADASEVALQHRKAGAPLVAYFWDRTFAWSDGSALLPFHANFTRLSAQSSMSGFVSAVDAALQEVGRRVEAGLSCSCFASSVATRQEVQNSGIRQGAYGAAVDASYMRDAFQGKAFLNYISALGRRPLAGADLLDLATARAQLRAFNRSRGSRDLPEFVTFEGIEEFAVAIPRTKGQRMNKSGESDVPTKDNKSRHAGSSSHRKQTLPEAMEEDSGGGATDDTLSSKGKRSKHMKSSEKKKKIGISKDSGGLDTADVVEGKTPANKTVDGISSMSKSGRTLRSMRKKEDALEGLKRLGNEDSDETLTGKSKDAPLLKESKLMHRTSSARKRSKITDHGHEVEDLSVNNSASIGKRRSGRAEMSNRVPISEHGRKKKKLSELMAETGSPNSASDGKSKTRGKRSSHESTEKAEDPDRDSKDTMKARKRKKLNTLGDLSSQSEPSCHKKSTKVGKLMTKASGSSISHTPPAVKANGAASQTKSRRAKHKLVNAADKSPRPVKVDRGKKEAFSEESLSCDEMLWQLSVAACDLKQREKIVPTSVNFFTDFRNNLTVSSSDVNEEVPEKAANIESTPSEQPLADHMKDDYWADILINVEEPLSSLKKKKDESKKRTSKKAHQVKKLTDTPSVTLENADEPRSEGKEDTENGEQLKAESKPVVANGSRLNTGTKSAEEMEHSNGVLAGLVLHFSGPRAVPSRSDLIKIFSQYGPVSEAKAEIANNANSAQVIFKRRMDAEAAFAGAGKISALGPALVSFRLTDFPAVASGNEPSHGASKK >KQL06162 pep chromosome:Setaria_italica_v2.0:V:31133546:31136133:-1 gene:SETIT_004263mg transcript:KQL06162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFFFLENSAPMHEKCEREALQAADQDNSKDKDASTTDETEDPWDPPYDPCPPCETWEESEEWFHKTTALIANSRATNIITPDRTPQRVTDALWHKVPDLVSILEKDNVPGFLQLFNNNRGCMAWGFVITPETFNYIVSENALRCAKVALEGKAPELSGHRANPNYMNPYGYFPLHEAAEKFSVDMINLLFNYGASANVRTAGDKIIENLLPLHVAVENTCLHKYLEDSLLPFQDYPDRRDYVYKLIQLLCLPEMKIFLDTTRLLAKKTDNLLDELWNYVKDGKLVQTAVLLLAGQEQIRQVGSSKKRKGFSEPDGFDTIMTCLMKCSVALKEKRRDQNELEASLTLECIALLVNIISKAGEDLDAYIQTHSEVPYVEVLERVSSILKDRGFFPTGEGIDVGNLYCPFDCK >KQL06961 pep chromosome:Setaria_italica_v2.0:V:36733738:36737284:-1 gene:SETIT_000750mg transcript:KQL06961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDEDYSWVRRTRFSHSVVRSNSGREQFGAFVEQFNRGAALKQKGSASGFKLHGLNMEPGTRFSTSALPRTSSLGIQPKPKDLSSDAKPTQHEKAVGDRPPPDVKPTQHEKAVGDRPPQQAPATQDDAKAANGKKGSSNLSVAVPREPTDDESPGALEFSFHPDEQSLKLQRACSSPAPFPRKETPGNDALTRSSSLSVLCDGPMVKQRARSPLPSRHIPEVFQEAKSASKRFSTPPPPRRSASSLDLIGNQPAPVRAPGKLKHRKEGHANGRAKVAALEVLEKWSVDRSQLLIGHRFASGAHSRLFHGIYKEQPVAVKFIRQPDDEEDAELAAQLEKQFNTEVSTLSRLHHPNVIKLVGACSSPPVFCVITEFLSGGSLRAFLHKQDHKALPLDKIISISLDIAHGMAYIHSQGVVHRDVKPENIIFDEEFCAKIVDFGIACEEEYCDPLANDTGTFRWMAPEMMKHKAYGRKVDVYSFGLILWEMFSGSIPYEELNPFQAAFAVFDKNVRPAIPASCPAPVRLLIEQCWASHPEKRPDFCQIVQILEKFKTVLDRDGTLDNMPSSICQETHDHKNWLAHWVQKLKHSQPDLSGPPPPKLL >KQL04166 pep chromosome:Setaria_italica_v2.0:V:6934440:6936970:1 gene:SETIT_005626mg transcript:KQL04166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYQERFDDWEAKGVKIVPVLSRPDSQWTGERGYVQNVFSRMKNIVNPSSAGAILCGHKQMTEEITRVLVADGLSKDKILTNF >KQL04010 pep chromosome:Setaria_italica_v2.0:V:5852235:5853340:-1 gene:SETIT_004713mg transcript:KQL04010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHGHPYSPAELELPGFVPQRLSQVEILAPFLGASLLVALAVWLVSGRCGGRLSRTDRLLMCWWAFTGLTHVVLEGPFLFTPDFFSKEDPNYFDDLCKYHRRSLYRFIISDSAEYSKGDSRYAARNTGILALEMITIGLKGPASLLAVYAVASRKSYSHILQLAICLGQLYGCLFYFITAYLAGFNFWVSPFYFWAYFVGANSSWVVIPTLIAVRSWKKICAAFEAGKLKTR >KQL07257 pep chromosome:Setaria_italica_v2.0:V:38713142:38716150:-1 gene:SETIT_000565mg transcript:KQL07257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLPSSFPFPHASFPNPKPHQLATPAAAAATRPESPNASPAPNPASARLRRLIAREDLAGAARLVERSASRDGEPPDVYLCTKLIRNLCRRGRTSDAARVLRAAETSGSPVDVFAYNTLVAGYCRYGHLDAARRLIASMPVAPDAYTYTPLIRGLCDRGRVADALSLLDDMLRRGCQPSVVTYTVLLEALCKNSGFGQAMAVLDEMRVKGCMPNIVTYNVIINGMCREGRVDDARELLDRLSSYGFQPDTVSYTTLLKGLCAAKRWDDVEELFAEMMERNCMPNEVTFDMLIRFFCRGGMVERAIQVLEQMTWHGCSANTTLCNIVINSICKQGRVDDAFKFLNNMGSYGCNPDTISYTTVLKGLCRAERWDDAKELLKEMVRKNCPPNEVTFNTFICILCQKGLIEKAIMLIEQMSEHGCTVGVVTYNALVNGFCVQGRIDSALELFRSMPCKPNTITYTTLLTGLCNAERLDDAAELIAEMLRRDCPPNAVTFNVLVSFFCQKGFLEEAIELVEQMMEHGCTPNLITYNTLLDGITKDCSSEDALELLQGLVSKGVSPDIITFSSIIGVLSKEDRVEEAIQMFHVVQDIGMRPKAVVYNKILLGLCKRCEIDNAIDFFAYMVSNGCMPNESTYIILIEGLAHEGLLKEARDLLSELCSRGVVSKNLIEEWQ >KQL04943 pep chromosome:Setaria_italica_v2.0:V:13241183:13245482:-1 gene:SETIT_000770mg transcript:KQL04943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDQILVQPEQNLIPSEQTLVIGQEFADVDTCRRAVKDMAIALHYELRVVKSDRSRFIAKCSKEGCPWRVHIAKCPGVPTFTVRTLHGEHTCEGVRDLHHQQATVGWVARSVEARLRDNPQIKPKEILQDIREQHGVAVSYMQAWRGKERSMAAVNGTLEDGYRLLPAYCEQIGKTNPGSVATYRGTGPGNAFQRLFVSFRASIYGFLNGCRPLLEIDKADLKGKYLGTLLCASAVDADHMMFPLAFGIVDSESDDNWRWFVSELRKTLGVNTDKMPILTILSERNPQVVKAVEDNFPTAFHGFCLRYVSENFRDEFKNPKLLNLFWSAVYTLTAAEFDAKVNEMMQVQDVMPWLQRFPPNLWAVAFFEGIRYGHFSLGITEILYNLSLECHELPIVQTIEHIRHQLTCWFAERQNLAQSYNSVLVPSAEKLISEAITDSRCYQVLRANKVEFEIVSSERTNIVDTQARFCSCRRWQIYGIPCAHAAAALLSCGEDPRLYAHDCFSVMKYRETYSQPIYPIPDRSHWNSSSSGLQGVVSRADVMLSPPNIRRPPGRPKMKILKIESLKRPKRIVQCGRCHLLGHSQKKCSLRS >KQL06560 pep chromosome:Setaria_italica_v2.0:V:34196708:34202295:-1 gene:SETIT_000074mg transcript:KQL06560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATASGAAARGDDQNGFCRGGEEKKPDAAKKVSLLGMFRYADRLDVLLMVVGAVGAVANGMADPLVTVLFGDVINSFGESTTQSIVRSVNKNLVLLVRYGSNANTRLTFHLSQRCLAGRWPEKGILRQDIAFFDTELTTGQAVSRMSSDTLVVQDALGEKAGKVLQLASSFFGGFIIAFTRGWLLTLVMLSSLPLVAIAGAVSAQFLTKVSSKKLTSYGDAGDTVEQTIGAIRTVVSFNGENKAVAMYKKFIKKAYRTDILEGLTNGFGMGSVLCIMFCSYGLAFWYGGQLIVDKGYTGGKIITVLIAVLIGATSLGNATPSFSAIAEGQSAAYRLFETIERKPEIDSGDTSGVVLEDMNGNVELKDVHFCYPSRPDQLILDGLSLQVASGTTMAIVGESGSGKSTVISLVERFYDPQAGEVLIDGINIKNIRLNWIREKIGLVSQEPVLFMTSIKDNIIYGKEDAKFEEIKRAAELANAANFIDKLPNGYDTLVGQRGAQLSGGQKQRIAIARAILKDPKILLLDEATSALDVESERIVQEALNRIMVERTTLVVAHRLSTVRNVDCITVVRQGKIVEQGPHDALVKDPNGAYSQLIRLQETHTDERRKLADSGVPDSGSKSTSLSLRRSMNKDSFGNSNRYSFKNTLGLSVELYEDRITDGKKTEELSDAVVLKKAPIGRLFKLNMPEVPVLLLGSIAASVHGVILPLFGIIMSGAIKSFYQTPDKVKKDSSFWALISVVMGVACLISIPAEYSLFAIAGGKLIERIRSLSFQSIVHQEVAWFDQASNSSGALGTRLSVDALNVRRLVGDNLALIVQSIAALTTGFVIAFAADWRLALVITCVIPLVGAQGYAQVKYLKGFSEDAKEMYENASQVATDAVGSIRTVASFCAEKRVVATYDEKCGALRKQGIRSGIVGGLGYGFSFLIMYLAYGLCFYVGAQFIRQGKTTFPDVFKVFFALLLATVGVSQGSALASDATKARDSAISIFSVLDRKSKIDSSSDDGMVLENVTGNIDFNNISFKYPSRPDVQIFSGFTLHIPSGKTVALVGESGSGKSTIIALLERFYDPDSGGILLDGVEIKSLKVSWLRDQMGLVGQEPVLFNDTIRANITYGKHGEVTEEEVMAVAKAANAHEFISGLPQGYDTMVGEKGIQLSGGQKQRVAIARAIIKDPKILLLDEATSALDAESERIVQDALDRVMVSRTTIVVAHRLSTIKGADMIAVLKEGKIVEKGRHEALMRIKGGAYAALVELRSKSE >KQL08501 pep chromosome:Setaria_italica_v2.0:V:45727193:45728427:-1 gene:SETIT_002544mg transcript:KQL08501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDESGKKRRHHFVLVHGLCHGAWCWYKVATALESAGHRVTALDLPACGASSLRADEVRSFEEYSRPLLRAVAAAPPGEKVVLVGHSFGGHNLALAMEAHPEKVAVAVFVSAPMPVAGRPMSAVLEQHLQGDSAPDSFLDCTFGILERGSENPAETFLVGPEWLSQRMYQLSPPEDLTLARMLVRPAQMFLGDEAMTGEKVLTEGRYGAVSRVFVVTEEDKTWPAEEQRRAAASCGPGVEVRAIGGADHVPMFSKPAELAQLIMEVADKYS >KQL03947 pep chromosome:Setaria_italica_v2.0:V:5553736:5554883:1 gene:SETIT_002734mg transcript:KQL03947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEVGAGDKYRSFIHGESEKDTVWRYGAPPNYDVVNKLFEAERTRVWPEGSLEEKVQRLLKTWEMELVHKVRPEDQKTVNSEKYSASNNGMKAQSRAELMAIGSYNHFLRTKLPPEHRIFDPDQETLDSAMATFLTAFPRGFAIEVLDVYSGPPKIAFKFRHWGYMEGPFKGHPPHGQRVEFIGVCIFHVDEEMKVEKSEYFYERGNFLASFLSPPDAAPGSGSAGSGSGCPVMRGN >KQL03520 pep chromosome:Setaria_italica_v2.0:V:2916369:2917888:1 gene:SETIT_001582mg transcript:KQL03520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPAAAAAATAAAAAATAAAARAASRLVQLFPSRRISSLKVPWKRDPVLDAAITRDRRFRQASRLVREVLLSPGRRLLFRYLTKRRERIKLPVHVPTFLRRYPTLLSVSPPPKPVASPSPQLLAFLDFASRLYELHAPLLASRLAKLLMISSTRALAVTKIAAAKRDFGLQDDFLVSLVPKYPELFRLVGDPGPDASGNAFLELVSWDDKLAKSVIELKADKEANVVGIRPRPNFTVKMPKGFYLKKEMREWVRDWLELPYVSPYANASNLDPASPKAEKRSIGVLHEVLSLTVERRMAVPVIGKFCEEYRLPNAFANAFTRHPGIFYVSLKGGIKTAVLREAYDEKGKLVDRDPMLELKERFVAVMEEGHKKYLEDLKMKREELQKEREVAGHEDVKVAAEIEDQLEEEWHSGGSGDNDT >KQL06854 pep chromosome:Setaria_italica_v2.0:V:36098874:36107412:1 gene:SETIT_000193mg transcript:KQL06854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGAAPAAGAGHHHQRWSGSAGTTPRSLSTGSSPRGSDRSSDDGEELVEVTLDLQEDDTIILRSVEPAAAAAAAAAAATGGAPRLPLGARGDHAGGASSSSRSRSPSIRRTSSHRLLQFSQELKAEAMSIARQFSQDLTKRFGRTHSRAEGQGHQQQPTSGIESALAARAARRQRAQLDRTRSGAHKALRGLRFISSNKANNAWMEVQANFDRLACDGFLSRADFAECIGMTESKEFALELFDTLSRRRQMQVDKINKEELREIWQQITDNSFDSRLQIFFDMVDKNADGRIGEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPEGLGYIELWQLETLLLQKDTYMNYSQALSYTSQALSQNLAGLRKKSPIRKISTTLSYYLEDNWKRLWVLALWIGIMAGLFTWKFMQYRNRYVFNVMGYCVTTAKGAAETLKLNMAIILLPVCRNTITWLRNTRAAWALPFDDNINFHKTIAAAIVVGIILHAGNHLVCDFPRLIKSSDEKYAPLGQYFGEIKPTYFTLVKGVEGITGLIMVICMVIAFTLATRWFRRSLVKLPKPFDKLTGFNAFWYSHHLFIIVYLALIVHGQWLYLIHVWYRKTTWMYLAVPVCLYVGERVLRFFRSGSYSVRLLKVAIYPGNVLTLQMSKPPAFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDFLSIHVRQLGDWTRELKRVFAAACEPPVGGKSGLLRADETTKKALPKLLIDGPYGSPAQDYSKYDVLLLVGLGIGATPFISILKDLLNNIIKMEEEEDASTDLYPPVGPNKPHIDLGTLMTVTSKPKRVLRTTNAYFYWVTREQGSFDWFKGVMNEIAELDQRNIIEMHNYLTSVYEEGDARSALITMLQTLNHAKNGVDIVSGTKVRTHFARPNWKKVLSKIASKHPYAKIGVFYCGAPVLAQELNKLCHEFNGKSTTKFEFHKEHF >KQL07771 pep chromosome:Setaria_italica_v2.0:V:41649435:41649611:1 gene:SETIT_004735mg transcript:KQL07771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQAIGRGVWSSHQSDGNLVLQPGLQVARCYPAAGMIYHLEGFLVAMSNCVVSITELW >KQL06579 pep chromosome:Setaria_italica_v2.0:V:34421239:34424881:1 gene:SETIT_002557mg transcript:KQL06579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKGAKKRAKLKKQQQEGHPDDGGNNANASNGNGNGSDDNNAFSRRDGDHHLRIPPKASRVDASEDSMESSEEMVTPRAAASEAEEEERKAAAAEVLVERAVPPETVGQEREGKVDAAVEVHPVAAQEPEVKDVMVAEESVVQEPESVEASAVEVPEVKREVAKVHPVHEPEPKVDEVVVVETPVAPEVQEPEVKGDGANVVVQEPETRGGNVVVKDSAELSRSREAVDVHTTEVARGPAVAVAASGQRATWWNCCGLFDAFSGSGR >KQL07457 pep chromosome:Setaria_italica_v2.0:V:39822398:39824053:-1 gene:SETIT_002386mg transcript:KQL07457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMVAAEGSGRRDAEAELNLPPGFRFHPTDEELVMHYLCRRVARQQLPVPIIAEVDLYKFDPWDLPEKALFGRKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPITPKGSGRAAGIKKALVFYSGKAPRGVKTDWIMHEYRLADADRAPGKKGSQKLDEWVLCRLYNKKKNWEKVKVEEPEAAPHHHHADSMSDSFQTHDSDIDNAAGMQNSFGSMPQGVQAMKNGIGAVTVKEDNDWFTGLNLDDLQAPYNMVQLVNPNPVHQTMNLAAGQGHGYFQSMSSPSMKMWQTILPPF >KQL07564 pep chromosome:Setaria_italica_v2.0:V:40508043:40508337:1 gene:SETIT_004147mg transcript:KQL07564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQEFSIANKDFHGQLPTDAGALAGPPALSLASNSFTGHVPPGIGRLTAMESLELSNNKLTASDSGAHARTG >KQL03345 pep chromosome:Setaria_italica_v2.0:V:1852439:1855726:1 gene:SETIT_002259mg transcript:KQL03345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFWPEFLASSGGREFVAGGVGGMAGVLAGHPLDTLRIRLQQPPPPVSPGIVDAPSRPPSAARLLRGILRAEGPAALYRGMAAPLASVAFQNAMVFQVYAILSRSLGSESSTSEPPSYASVALAGVGTGALQTLILSPVELVKIRLQLEAAGHKHRRPGDHHGPVDMARDIFRREGLRGIYRGLTVTALRDAPAHGVYFWTYEYARERLHPGCRRGGGESLATMLVSGGLAGVASWVCCYPLDVVKSRLQAQASPAARYRGVVDCFRRSVREEGLPVLWRGLGTAVARAFVVNGAIFSAYELALRFLASGNGQRMVMEEN >KQL04687 pep chromosome:Setaria_italica_v2.0:V:10937252:10943892:-1 gene:SETIT_004212mg transcript:KQL04687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRRQQQQSILSFLQKPPRDPAGAGEGTPPEKPPRPPAGSVAGIMERLVRPPPRPQPPQGSRNQDASQAGHFSGKTLPGRIRVPSDGHSSALSSGSWNGEYGRATMFPKQGSGIIPSQEPQKYPLRSSTDEFVQASSLVPEFGPNQTPLQARSLFEDFDVQTPSQVSSKKVFLGPAHGADTPLTESGSDRTHLQHSAKKFSLVSANDEYTRAATTFVLNSNDTRTEEHLNKLCPGSSDPLYIKATNLFAEFEANATPLKNHSKNSSLLMNDKHIGAAATIFPELDSSPLKPETPAMRAVIPRLKRVQEEQGVAANKPCSPLWVSNKKMKSANCSPIEKKDRDEMADSARRKFEWLNPSTIRDANRRRPDDPLYDKSTLFIPPDALRKMSTSQKQYWNIKCKYMDVVLFFKVGKFYELYELDAEIGQKELDWKMTVSGVGKCRQVGISESGIDAAADKLVARGYKVGRIEQMESANQAKARGSNAVIERKLLNVSTPSTAVDSNIGTDAVHLLALKEVTLSSSSSRVYGFAFLDYAALKIWVGSLHDDDSSAALGALLVQVSPREIIYETSGLSKETHKAIRKYASAGSVKMQLTPLPGIDFSDVSQIRMLIHSKEYFTASAESWLSALDCALNRDAIICALGGLIGHLTRLMLHDALKNGEVLSYHVYKTCLRMDGQTLVNLEIFSNNFDGGSSGTLYKHLNQCVTASGKRLLRRWICHPLKDIDAINKRLDVVEAFIQNCGLGPTTLGYLRKIPDLERLLGQVKSTVGLSSSIQLPFVGERILKKRPSFSVLLKCLPLNISQHIQYSVDN >KQL08743 pep chromosome:Setaria_italica_v2.0:V:46909261:46910765:1 gene:SETIT_003182mg transcript:KQL08743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRRRGDFGVLCLLLCAGVVACPADAKWHANPGRHDGKASSPGLPPLPAPPPTAGADLPPTQPAPHFGFPLQPTLGSAAPPTAGAGGEGYPFIGSNPTVPLPTGMTDTATVLPLPDTGDATATNTKVVGLAATVHVQLSMIGLGVVSAIVFLSTTCQLV >KQL08560 pep chromosome:Setaria_italica_v2.0:V:46057105:46058338:-1 gene:SETIT_002273mg transcript:KQL08560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTNAGAASVGVCYGTSGDNLPPASTVVGMLRENGFTVVRLYWPDPAALAALAGTGIKVVVGAPNDVLPSLASSESAAAAWVRQNIQAHPLVTFRYVVVGNEVPAGETEHLVPAMENVHAALAAVGLGHVKVTTAISQGTIAVHLPPSAGAFTEEALSFMGYVVAFLERTRAPLLANLYPYFVYTLGLGHMDMSFALFTSPGTVVQDGEYGYQNLLEASVDALYTAVGKLGGSRVRVVVSETGWPTAGGAAASVENAMTYNQNLVRHVWKGTPRRPRRRVEAYVFALFNENLKEEGVEQNWGLFYPNMERVYPITFGA >KQL04108 pep chromosome:Setaria_italica_v2.0:V:6558654:6559383:1 gene:SETIT_005593mg transcript:KQL04108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKLASLPSLPVMTAIILAVAISCSVVVHCSEARAQADAAVPHPDGDHQGQVPPAPQGKTPIQPQPPCCERPGV >KQL06046 pep chromosome:Setaria_italica_v2.0:V:30318242:30319568:1 gene:SETIT_002472mg transcript:KQL06046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAMAEDRPGGRRQERATADLPIPRDDGGSVASDDEDSDGEFEFPSVSRQPAAAGGGAAAADELFAGGRIRAFYPVFGRVLDDAAAAPAPSSRAPLGRLFQLEQARTSSVASTSSSSSSSSASMADAEARLDGAPPDSYCLWTPGSSPASSPSRPPRKSGSTGSIARWRRIGELVVGRSHSDGKQKFLFLSAPPSPARDRDHHQSPAGSKSKPPAKGSKAATAAAATELDTVAAGRRMSYGGGKASPGGRRTFLPYRQDLVGLFANARSEPRRAEPSQPWRTRSKQ >KQL07126 pep chromosome:Setaria_italica_v2.0:V:37811954:37812454:1 gene:SETIT_004177mg transcript:KQL07126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQPHESADPALCAAGCGFFGSPATKDMCSVCYKKNHCIVTDAASSTATASEPVPRSAVAAKPAVPAPAASSVSFAPAKGAVAEPTVPSSSAPEPATKAQPSRCAACYKKVGLTGFVCRCEKTFCGKHRYAEEHCCAFDFKGCGRDAIARSNPVIKGDKLACRI >KQL06016 pep chromosome:Setaria_italica_v2.0:V:30125315:30125807:1 gene:SETIT_003586mg transcript:KQL06016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAACHRRRRHAARAALDGPQGYPDGGPVAGQQGPPRIALCARWRCRSRSDGMCLGRLKVLLSAPPSTLPCSPMAFPP >KQL05288 pep chromosome:Setaria_italica_v2.0:V:20786025:20790574:1 gene:SETIT_003311mg transcript:KQL05288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIRELRDGLEVEDDEREEEARGGGEVVAVVRLKAKCALVGAGARFLFYPTLLYNIVRNRFEPEFRWWDSVDQYVLLGAVLFPSDVPRLKQLGVRGVVTLNEPYETLVPTSLYQGMKCKVVAPMSTIRPEGDDAPL >KQL04035 pep chromosome:Setaria_italica_v2.0:V:6049384:6050422:1 gene:SETIT_003785mg transcript:KQL04035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKTMLSTMVKTRSSHLMNFWLNIKKVMRPSVLIGQVIPYHVEYVAPREPSCARQPYVENDYLETKDRSSVQKKKRVVKQVYRVKRDGRKDKSSYLNSISEKPINLLSTSVTNGKGKEISAVDPQSAKSEQKELTNPKIKGKSLLSKAKAKPSHPLSLSNWQKKKKLQKLSAQELRKRNMAWAPKRSIQIQNKDDAQAKGAEQLKKKKRYERRSPKMRGLSPSCYAY >KQL04057 pep chromosome:Setaria_italica_v2.0:V:6185940:6187199:1 gene:SETIT_002098mg transcript:KQL04057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSDAHGRHRCAACFRQFNKMEHLVEHMRAARHSAHEPRCGLCGKHCRSFEALRDHLGVGGSTLPKATACADAFAARGCAICLRVTPHHRASCTLARTPRTPQAAAPPQGGGSGRALALGCKMVGAGSDGSLDVVARVCVVDEQENIVYEAFVKPLIPVTHYRYETTGIRPENLRDGGGAVTVKAAQRRVQDLLLAGEQPWKVRTSRGRARLLVGHGLDHDLDALGMDYPAYLKRDTAAYPPLMKTSKLSNSLRFLTRTYLGYEIQTGHQHPYEDCVAAMRLYRRMKEQGHTRRGGDADEPAASADQAFPAWRQRELERMTPEELLRLSTPDYRCWCLDD >KQL04480 pep chromosome:Setaria_italica_v2.0:V:9330150:9330722:-1 gene:SETIT_004497mg transcript:KQL04480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAQWTVLVRERAIEAKHLCDHARTLLRGAAEHLALPMHVADAQGGRTRAELVGVELFNANHGLSSAVGMMAAADLLAPRGAAADPNPTVPLPSDVDIPDAHESERSALGMLREARVYAEAAHGAVEWCFDRLLTAYDLLDQPGLPGVDGIVADERDAARDGLVDAEHLAAVSAACTYTALCLLFPELV >KQL05884 pep chromosome:Setaria_italica_v2.0:V:28779146:28779619:-1 gene:SETIT_003418mg transcript:KQL05884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYVQHGVVAGALNHAGSSTSADTATARPASHATALALRHHCALSYPPPPLAMRNRAAALGCRVLNIALREVSPSSHHGGARWRRTAACAPWPSTVSEGAHWSLSRPQPIR >KQL05056 pep chromosome:Setaria_italica_v2.0:V:14906124:14906853:-1 gene:SETIT_004948mg transcript:KQL05056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKCLADRLQVSNVWVGNAGSVKLRGVSFTSKGFGIERVRDDYKQLSRVLQALIRISGGDITKVPPDYREFLALLGNDNLTMRDEFLIVNHAALLPMKNRTEVFLMLHNIIVKYLGRTNRAKKRRILSKLPYENDWLDTARANAQINKWVVKCQNEYRRTQLDLLRLNRNVRSHLHEYNDDNIEEILYCEWPELLMDMVKLLHLEGELEDTDIQNKFG >KQL07463 pep chromosome:Setaria_italica_v2.0:V:39863501:39867885:1 gene:SETIT_004370mg transcript:KQL07463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNWQNGPKSWTGSTDPCSSWDGIFCSNGRVTEVRLPSMNLQGTLSNAIGQLSALTYLDLSNNLNLGGPLTPKIGNLKQLTTLILLGCSFSGNIPREIGNLSQLTFLALNSNDFTGGIPPTLGLLSNLIWLDMSANQLSGQIPVSPGLNQLVKARHFHFSENQLTGPMSESLFNGRMNLIHAIFDNNNFTGPIPTSLGQVTSLQIIRLDHNQFSGPVPDSIGNLSNLMELSLANNLLNGAVPDLTSLTQLDYVDLSNNNFPSSPAPGWFSTLISLNSIFMENDDLTGTIPSALFSLPNLQQVSLARNAFSGKLNMTGNISSQLRVVNLTINHIIEADVTSYSNSLILIGNPVCFDNISFCTLKEKQQVPYATNLGPCAAIPCPTDQSASPVTSQNCVCTNPFQGLMIFRAPAFSDVTSPALFKILESTLVQNLSLAPGSVALSNVEFSPGAPLTFTVKVFPVSGTSFNRSDVIRISTALVNQTFKAPTAFGPYSFIASTYFPGPINKKSSMGKGAIIGIAIAGCVLIVGLILVAIYALRQKRIAKEAVERTTNPFASWGAGGTDNGDAPQLKGARYFSFEELKKCTNNFSEINEIGSGGYGKVYKGTLANGQIAAIKRAQQGSMQGAAEFKNEIELLSRVHHKNLVTLVGFCYEQGEQMLVYEYIPYGTLRENLMGKGGVNLDWKKRLRIAIGSAKGLAYLHELADPPIIHRDIKSTNILLDESLNAKVADFGLSKLVSDTQKGHVSTQVKGTLGYLDPEYYMTQQLSEKSDVYSFGVVLLELMTARQPIEKGRYIVREIRTAIDQYDQEYYGLKGLIDPKIRDSAKLIGFRRFVQLAMECVEESAVDRPTMNDVVKELEIIIQNEGAQLLISASLSPEQFGNAKGQDPYAEHLPMNDESSSNTFDYNSVYSFSAVEPK >KQL04884 pep chromosome:Setaria_italica_v2.0:V:12743118:12745687:-1 gene:SETIT_002784mg transcript:KQL04884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHAARRLSSSLSSSSSSATATSAAPKLSSIFRNPKRRPTNLSPRFLGQEPRSPRPGSGDEPPSRRPRPRQPWEEEAGALLRRLHEGRYLPGPDFSSAPHAVSPDVVKAAAERFGHDNQVVAKWLSGSDLKKLALFGCPTVERRTVFASKRLRAFFNIQEDKICSSCKLRSSCKFANQEVLRHNKVILSDTMRIISLLVLDACPKELQVTAELKASICKVLKDTINLSS >KQL05074 pep chromosome:Setaria_italica_v2.0:V:15409541:15414009:1 gene:SETIT_000271mg transcript:KQL05074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEGTTESGSFSRSSSFGGFEEWVALVRKRNGKTSSCGRLAIRSSASSEVLELADPDSNAIDPGPCDQVPEARLWERLGRVSMMDIESSNFSWSSLTSLHHTKHTATSTDPSEDDNNRSFEVTVNSGGVVFIALFRTAENVDLPSKEAAAVIKIAPSRMATQSERFGYELAKWLGVRTPQGRVIHNSSPEWQQIKDAVENARHVSITAGDELEEMICAEMLEALELSRCLFLMNYVHGSPLLENTVPFESREAAEKTAAALGRVLVLDLVLRNEDRLRCRPLGWRGNYANLLVANKEAYANLDSLDDVYDSAIIRYKPEIIRSPQNQKQRRTVSISGSIGSDVSDLILEDSYAPCEPEFSSFNIVAIDSGVPRRPPAGKRAKDHESYPKLVELTLNNFDYSSKLLFEVSFGKLGIPGPEEFGISSDYIYNCTLSESDMVATVHSFRGGFRSALRDLQRFHIFLITLYQKLDNLLKIFFNLMYKCSNEYDKEDAGASDSPLCSVEAQADSNDTEVPRNPRKPSRTLSRDGLDLSSPSCRESFITKHFKGNGDASRGLRLTVKLRDFNKYAKVDSELSKEIEQWNDMLRTEVVKLCQDNSFNTGFFEGTDNSTAVDAYELKVRLEHILERISLISDAASTERPSQVTDSLYIGGALAARSTHTLKHLGITHILCLCANEIGQSESQKPGLFDYRNFSIKDDDNADIGDVFQDASDFIDYVDHLSGKVLVHCFEGKSRSATVVLAYLMLRKNSTLLEAWNMLKKVHRRAHPNDGFAKVLLDLDKKLHGKVSMEWQHKRPAMKVCPICGKNAGLSSSSLKLHLQKAHKKISSGSVDSAMTLEIQKALEAIKAGRGGGDSPTQKSQSHIERF >KQL03769 pep chromosome:Setaria_italica_v2.0:V:4496070:4497368:1 gene:SETIT_003107mg transcript:KQL03769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYHDVWNNSGLVTSVASILKQLLLIMNYDQLPPESIHAKRIEEEHAMSVVRLCIARTKKNKGRGTGFIVVNTANKLVVMTCGHLLNEWVRGTKVGVTFHDMRDASAKAVWVNTEKEVALLLIDTPSNPEFSTYPAINFSYDDIRVGDFLVTLGHPHGMRGYHDVWNNFGLVT >KQL04815 pep chromosome:Setaria_italica_v2.0:V:12044434:12045924:1 gene:SETIT_003362mg transcript:KQL04815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVGIRRGLTIDPAGEEEAPAARVGRLVRESPVVIFARRGCYMAHVMKRLLAAVGAHATVIELDAGAADELAAAAAEAGRGAVPALFVGGAPVGGLEGLMDLHLSGRLVPRLREVGALCA >KQL06155 pep chromosome:Setaria_italica_v2.0:V:31055641:31060226:-1 gene:SETIT_000987mg transcript:KQL06155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRQLLKVAAGHHTRQCSSSYLHWVPRTPHFLLPSLELLCTNRPTRFFTTDRDHLTRFLCSKKASQHVRDLNVETEDVGVHFVGSQQLCSQIEDAKDSIAKDFDLEKLKDLYCRHVRPELVSRLLIHNHKFNAISTHWLKYQTHARINNRPRFTSCYDVMVYLVGLRQEYWVFYLNYLARERISVIEEWVSISTTQHMFYDLGLKNLSSTIHFHKGEAVASWHKDSSTADLANLIWKDNQVISATTYLGVSDCISIAQSEAKSVFAILTKAKDLGIENFVLWTDNKEICGVLNGTKHITTENKDWNLFMAIRVLRSAFKRLVAIWVPREMMAPANGMLRLGVKHPQCVEQSAKPWAYLLNGLPEFRLSLSEAATKEMNTFGKPIHGEPRHNKYFIELDAEMKKISALNNLIQVLKPKKLYVAIKELQRKSDLMQKELLELLGTSVTRRLKGWSLLDIEAGDDKSGSLLIIFDGICPETPLPHVDLIVMLSTATDRGILARMGVRELSASSYIFFHGPCSPTNPGKKGQN >KQL03554 pep chromosome:Setaria_italica_v2.0:V:3109463:3116324:-1 gene:SETIT_001814mg transcript:KQL03554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGGDCSGGGGLEEEAARREREWEEVAEAVAYDSCTWPPPVVAVCGPGNSGKSAFCRLLLNTLIARYRKVGYLDIDVGQPEFTPPGFVSLHVLEEQAKDLTILYLRNPKRCFFFGDVCAKRNPKLLLTYIFDLYDYFLKEFYCFREVDNPEKSAIPLVINTSGWVKGTGFYVLTEMLKYVSPTHVIRVSTTVEHKNLPGGTFWMNQYDADPPINLVEIGAAHNSPPSKHSLLVKKEAKITRDLRLMAYFRQCLPRDFNISCFDDLIRGFVSIHPFQLRLSKIHVIDLHRQVSGTDAHRFLSGTIIGMATSASPPLSIECSTPCCIGLGFIKAVHISEDCIHLITPVSHKLMEKVNIIFQSCIAVPSCLLQVILNTLLLQLFRKIN >KQL04294 pep chromosome:Setaria_italica_v2.0:V:7937917:7939885:-1 gene:SETIT_004395mg transcript:KQL04294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSLLLFLVSAVPVMLAAGEEHCPTVPVACGKVNITFPFAIVPDETTETSCGLIGFQVRCLNNNPYLGYNNRLYGHQFQILDIFYNNASLLLADVHKLQDFNNSASEKCHAPTNNSSNKLGLPFSISPINQNLIFYNCMKPLSEEERWSRGLVETTCGNRTFVRVAGRSDGSGSYGSYFLEGCSYTVVPVLARYGHANASNYKELISDGFLLTWEAPLKSAADRQGREHCPTQLCGNVNISFPFGLVPEEDAVTHCYALFQVRCRNNTPYLGYYQTEFFMQILSIFYDNASLLIAETQDHNDSRHLELGCYIPTGNATSKFGRLFSISPLNQNLIFYNCIKPLPPSVGLAETMCRNNTYVRVAAERYDGHGSYFLEGCNYSVKPVLGRSVKIDAGNYEELMRDGFLVTWQWPPSGKLTYSC >KQL07821 pep chromosome:Setaria_italica_v2.0:V:41989466:41991180:1 gene:SETIT_001764mg transcript:KQL07821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPLRRSFAVLVFVVLVGAASFSAALLRAVVPAPAREPPLDPARLNATLLRLAAVDPSEAPLRRDVDELLEGRLPASAARARASWRRDRLIHPLHLHHHRFPMPRRGRYPDEDHDTLLHPLPRHEQQLHIDPALRRALRSWHRLRRYDPAVLGSLPSLLSLPGRIPSCAVVGNSGILLRANHGALIDSHDAVFRLNNARIAGYAAHVGSKTNFSFINSNILHLCARRPGCFCHPYGHGVPILLYICQAAHFLDVAACNATSSSRHGSPISVTDARLDVLCARIVKYYSLRRFVAETGRAAEEWDRAHDAAMFHYSSGMQAIMVAVGVCDRVSVFGFGKSSDAKHHYHSNQKAELDLHDYEAEYAFYHDLAEHPQVVPFLKDAGFTVPPVVFYH >KQL06512 pep chromosome:Setaria_italica_v2.0:V:33921508:33921813:-1 gene:SETIT_004295mg transcript:KQL06512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMKLYEIQFLLLVALLAAPFTTVCRASRTGRPPTCDPLALRPCAPAVIYGARPSGECCAKLRGQMPCLCRYSKNLDLGRYINSREGRRIAAVCRVRRLRC >KQL06088 pep chromosome:Setaria_italica_v2.0:V:30543671:30545392:1 gene:SETIT_004227mg transcript:KQL06088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEAQGRRRPAWVPGAIIVGAGPSGLAAAACLAARGVPATVLEMSDSLASTWRHRTYDRLTLHLPKRFCELPLLPFPQGYPAYPSKDQFVAYMESYAAAAGVAPRFGARVEEAAFDAAAGAWAVRLAGGELLLARWLVVATGENAVPREPEFPGAPRFAGRVMHTRDYKSGEVFSGKKVLVVGCGNSGMEVSLDLCRHGAKPSMVVRNTVHVLPREMLGLSTFGIAMALLKLFSVQVVDRILLAAARLTLGDTGKLGLRRPKTGPMELKNLTGRTPVLDVGTLGHIKTGKIKVVGAVKEVTRSGVRFADGKEEQFDAIILATGYRSNVPSWLK >KQL04731 pep chromosome:Setaria_italica_v2.0:V:11240340:11243465:1 gene:SETIT_003225mg transcript:KQL04731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTPARKRLMRDFKRLMQDPPAGISGAPQDSNILLWNAVIFGPDDTPWDGGTFKLTLQFTEEYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKVREVVEQSWTAD >KQL06297 pep chromosome:Setaria_italica_v2.0:V:32422696:32425268:1 gene:SETIT_005373mg transcript:KQL06297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSSAGASPSTLMSGSCTTCCWFPTSWDSRPLRLRAPGHRRQGFGCSMLRASSTANQHGLEDHLR >KQL06296 pep chromosome:Setaria_italica_v2.0:V:32422696:32423488:1 gene:SETIT_005373mg transcript:KQL06296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSSAGASPSTLMSGSCTTCCWFPTSWDSRPLRLRAPGHRRQGFGCSMLRASSTANQHGLEDHLR >KQL03333 pep chromosome:Setaria_italica_v2.0:V:1781840:1786886:-1 gene:SETIT_003086mg transcript:KQL03333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFGGSSLTSVAPDASPAPAAPPGTGTGANAQVLYVFNRNGVCLLYREWHRPLRTLDPTQDHKLMFGLLFSLRSFTAKIDPTTAEKGNLGVPLLPGQGCSFYSFKTNTYKLNFMESPSGIKLILITHPRTGDQRDSLKHIYNLYVEYVVKNPLYAPATPIKCELFNKHLDQYVRTLI >KQL08281 pep chromosome:Setaria_italica_v2.0:V:44555996:44558543:1 gene:SETIT_001169mg transcript:KQL08281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATPVRWPRVLTPAQLAGAIRRQKNPLEAVHLYSDAPRRYPPSSYRHNDDVRSSLLAAAAGSTVLPSLLRRVLPSSPSADYLLAASIPNLSPAAAVSIFRSSLPSSPSPSWSLSFSALLRRLVSQSLLPEAARLFTDFAGRPEVSVASGDLTLLISGLCCVRRPDLALQVLDEMPKLCLTPERDAYRAIVPALCDAGMLDEATHLLYSMLWRVSQRGCDGDVVVYRALLVALCAAGRGEQAEIVLDKVLRKGLSSPGSRRSLRVPMLAVLSLEDAQEAIDQALAVRGGRTVVSFESMILDLYEEGRFGQVDKLFEDMAKKRFKPTMCIYEAKITALCREGNVDDAVKVLEEELPKNDLVPTVTTYNLLMKGLCDTMQSMRALELLKKMDRQLGCVAEKDTFSILVRGLCSESKFVDASKLMERMVKGYHRPDRSAFNNIIEGLCSAGRTYDALLWLEEMIDHGETPDIRVWSSLVSAVCMSQFEVLGAELLEKA >KQL04589 pep chromosome:Setaria_italica_v2.0:V:10101588:10105714:1 gene:SETIT_000114mg transcript:KQL04589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGVMGGRRRGRWRSAWAAPPPLLLWCALAMAWVGCALAVDAQGAALLAWKRTLRGDAEEALGDWRDSDASPCRWTGVSCDTAGRVTGLSLQFVDLHGGAPADLSAVGATLSRLVLTGTNLTGPIPPGLGDQLPGLTHLDLSNNALTGPIPVSLCRPGSKLESLYVNSNRLEGAIPDAIGNLTALRELIFYDNQLEGTIPASIGQMASLEVIRGGGNKNLQGALPPEIGDCSNLTMLGLAETSISGPLPASLGKLKSLDTIAIYTALLSGPIPPELGDCSSLTNIYLYENALSGSIPPQLGKLRNLKNLLLWQNNLVGVIPPELGACTGLTVLDLSMNGLIGHIPASLGNLTSLQELQLSVNKVSGPIPAELARCINLTDLELDNNQISGGIPAEIGKLTALRMLYLWANQLTGSIPPAIGGCVSLESLDLSQNALTGPIPRSLFRLPRLSKLLMIDNTLSGEIPPEIGNCTSLVRFRASGNHLAGAIPPEVGKLGNLSFLDLSSNRLSGAIPADIAGCRNLTFVDLHGNAITGVLPPGLFHDMPSLQYLDLSYNSISGVIPSDIGRLGSLTKLVLGGNRLTGQIPPEIGSCSRLQLLDLGGNALSGAIPASIGKIPGLEIALNLSCNGLSGAIPKEFAGLVRLGVLDVSHNQLSGDLQPLSALQNLVALNISFNSFAGRAPATAFFAKLPTSDVEGNPGLCLTRCPGDASDRERASRRAAKVATAVLLSALVALLAAAAFLLVGRRRGSARGAGDGDDKDAEMLPPWDVTLYQKVEISVGDVARSLTPANVIGKGWSGSVYRAAVPSTGGVTIAVKKFRSCDEASAEAFACEVGVLPRVRHRNIVRLLGWAANRRTRLLFYDYLPNGTLGGLLHGGGAVAEWEVRLAIAVGVAEGLAYLHHDCVPAILHRDVKADNILLGERYEACLADFGLARVADDGANSSPPPFAGSYGYIAPEYGCMSKITTKSDVYSFGVVLLEVITGRRPVEAAFGEGRSVVQWVREHLHQKRDPAGVVDPRLQGRPDAQVQEMLQALGIALLCASARPEDRPTMKDVAALLRGLRNDDGAEARKVSGGGSGGARLDSAKWAADAPSPTKPTALPRPAQAQSQSQSSSLAYSM >KQL07946 pep chromosome:Setaria_italica_v2.0:V:42728440:42731029:1 gene:SETIT_002771mg transcript:KQL07946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQGDAASAARKRVMVVVDESSGAKHAMMWALTHVANKGDFLTLLHVLPHSGSGRGEEASSLANSLGTLCKASRPEVEVEALVIQGPKLATVLSQVKKLEASVLVLSQCRPSPYCWLSCLLRSSSEEFVEQCINQAECLTLAVRKQSKGVGGYLISTRWQKNFWLLA >KQL05281 pep chromosome:Setaria_italica_v2.0:V:20607189:20607523:1 gene:SETIT_005309mg transcript:KQL05281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSDRSGSVAGVGDCHAQLCSFLLSAGTFL >KQL05857 pep chromosome:Setaria_italica_v2.0:V:28476632:28478326:1 gene:SETIT_003967mg transcript:KQL05857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWKDQAKPMMHNKFSSCRGVSFELKPSPGSSFALQAHDGHGPPQQPPDATSAGRWVWLPQTFSRASSRIFPAAFGRSPSLASSHFCDLDDEGADDDEEMAVAAAAAAVDDAPGKKASAPAASAKEAPSARSRLGVILLDQGLFTVYKRLFVLCFALNAVGLALAATGHFPYARGHAAVFAMGNILALTLCRSEAVLRAVFWLAVALFGRPWVPVVVKTGVTAILQSLGGVHSGCGVSSLAWLAYALVRAQLQRRDGMTPREVVGVASAILGLLALSCLAAFPLLRHLHHNVFERTHRFAGWTALALLWVFVVLSAGYDPTTASYVRLTGAALVKRQELWLATAITFFTVLPWLTVRRVPVTVTARSSHASVITLQGGVKAGLLGRISRSPLSEWHAFGIISDNGDTHAMLAGAVGDFTRGLISDPPTRLWVRGVHFAGLPYLLNMYRRATMVATGSGICVFMSFLMQPGPAELSLVWVAKGIEANYGEEMKAAACGSERLRGRVVVHDTAVMGRPDVAALAVDAARRWGSEVVVVTSNPEGSRDVVTACNKAGIPAFGPIWDS >KQL04828 pep chromosome:Setaria_italica_v2.0:V:12139133:12140790:1 gene:SETIT_001304mg transcript:KQL04828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPISVRLRTPGDAPPPTEALLSRSVPASQPSTASRLLLLLAAVLAVSTAFLLIRPPHLLAVTAASAAATARPLSKLQKPVVLLISSDGFRFGYQFKAPLPHIRRLFANGTSAAEGLISVFPTLTFPNHYSIVTGLYPSSHGIINNYFPDPISGDHFNMANHDPKWWGGEPLWATAAAQGVLAATFFWPGSEVKKGSWNCPDKYCRHYNGSVPFEDRVDTILGYFDLPSDEMPQFLTLYFEDPDHQGHQVGPDDPAITDAVIRIDEMLGRLIAGLEARGVFEDVNIILLGDHGMVGTCDKKLVFLEELAPWIKLKEDWVLSMTPLLAIRPPDGVSPAEVVAKMNEGLGSGKVENGEYLRMYLKEDLPSRLHYSENYRIPPIIGLLAEGYKVEMKRSKRNECGGAHGYDNAFFSMRTIFAAHGPRFQGGRTVPSFENVEIYNVIASILNLKPAPNNGSASFPGMILLSSK >KQL04954 pep chromosome:Setaria_italica_v2.0:V:13362528:13363050:1 gene:SETIT_003704mg transcript:KQL04954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAKIPAVKWTPRSCRLETGTGSCRSLDYLKDHSWWISIARTETIQFFILLQAY >KQL06836 pep chromosome:Setaria_italica_v2.0:V:35960924:35963302:1 gene:SETIT_004612mg transcript:KQL06836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIGSCGDWWWNLPSLRLKSDSRRRGRRNTDPRGRRRGPPREPLSSSSSESIGQSSGWPFELLFRQAVTAACLTFTGDTIAQVHDRIIDCRGRSAEPDSKELIPDILLNHDWLRALRMASYGLLLYGPGTYVWYQFLDRCMPKQTFVNLSAKVILNQIVLVPSVIAVVFAWNNLCSGKLSELPSKYQNDALPAFLYGVRFWTPVSVVNFWMIPLHARVAFMSTCAIFWNFYLSNTMNK >KQL04880 pep chromosome:Setaria_italica_v2.0:V:12714645:12715133:-1 gene:SETIT_005574mg transcript:KQL04880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSARTLGPAIVAGRYKSIWVYMVGPVCGTVAGAWAYNLVRFTDKPLREINKSGSFLRSARIIK >KQL05508 pep chromosome:Setaria_italica_v2.0:V:25245944:25246661:1 gene:SETIT_003415mg transcript:KQL05508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQPLTASEIKLLCLQLMVVLTRPPLLAVRGSRSKKREFCRGRRAAARLARGVRRAFVTYTYTAVMRTYSGHNLNSSQRTRLPNFLCFVTHTLRLFLFFFWSDLSLFKSSR >KQL06171 pep chromosome:Setaria_italica_v2.0:V:31303661:31305568:1 gene:SETIT_000807mg transcript:KQL06171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARVRCRSAPSDRADDRVTHGARARRTPAGHRNGPGFPRKRRNHIMASPFRAPPSGPRRSMANGSGMLVAKTRAAWMDTAPLDSYRHIKNSTTTYSSAACYTSHRLDRQKQGTGEGVRVHSALNYSSTRHHSLSRRPKKKKKNIPVDEERSRCSYSAPRPFVSENRGKRVEREEVAQVLEVVVLLHRRRRRGPAGRLAGGGGAKAGGGVPRRLWRRRLGVEEPRVGLDVPEAAGGVHRRVLKRHELAELLLLQDGQAAALRGSSRLRLARGGVGIVLGHGRRGRRRGRGLGAGLVPGGRRVLVLADPGAGVGRREVGGGVGPAELDGRGVVDARGLLGGVEGAEPDAHAAPRVADLRRELAPRPPPDAAELGARRARPALGQPPPRAAANTWANAAGDPPPALAVPGAALLRQGSSRSRCYRHGRQFPNHPSDPPCAAPFLLAGGVRQVRVVPEDPHRARPRHGTKAEQRARGRALNPSPSPRKEGKTSNSSGPAALTPAGKEAAGRSERTAEWIPSCAADRQGNKEQRVGSEGGELGRQAGAGRRKGKTGRGSGRSKDGLFKKRSRNAAGAGAAATSS >KQL07794 pep chromosome:Setaria_italica_v2.0:V:41815864:41817942:1 gene:SETIT_001026mg transcript:KQL07794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLYVGAVTFVLLVLLHYLLVGRRKQRRLPPGPRFAFPILGHLPLLKKPLQTSLADLAARHGPVVHLRLGSRHAVVIGSAELAKECFSGELDVAIANRPHFPSVREASFDYSVLTVANYGALWRTMRRVATVHLLSTHRVNIMSDSVIARELRAMARRLARASAAAPGGAARVELKRRLFELSHSVLMEIMAQTRNTYGNDVDEDMSKEAREMKDIVEAIVPLVGVANLWDYMPLLRWLDVYGVKRKLADAVNRRDVFIYKMIDAERQKLKQLERNNGEGDANDSDDKKSMVGVMLSLQKTEPDVYTDTFISALVANILGAGTETTSTTMEWTMTLLLNHPDVLKKAQEEIDSNVGGDRLLDKNDLPHLPYLHCIINETLRLYPAAPMLLPHEASTDCKIHGYDVPVGSMVLVNAYAIHRDPAIWENPEEFRPERFEHGRAEGKFMMPFGMGRRKCPGENLAMRTMGLVLGVLLQCFDWSRIGDGEVDMATGTGTIMFKAVPLEALCKPRAHMYAVLQKI >KQL04495 pep chromosome:Setaria_italica_v2.0:V:9390909:9393111:1 gene:SETIT_004525mg transcript:KQL04495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFVRTQKANAYYKRFQVQFKRRRDGKTDYRARIRLINQEKNKYSTPKYRFVVRFTNKDITAQIMSASIAGDMVLASAYSHELPRYGLEVGLANYAAAYCTGLLLARRVLKLRDLDQEYEGNVEATGEDFCVEPADGRRPFRALLDVGLIRTTTGNRVFAALKGALDGGLDIPHSDKRFAGFKKDEKQLDAEVHHKYIYGGHVADYMRTLAEEEFEKYQSHFSEYIKKGIKADDVETLYKKVHAAIRADPSVAKSTKEPPKEHKRYNSKKLTHEERRSRLVERLNALNSCGDDDQDEDN >KQL04076 pep chromosome:Setaria_italica_v2.0:V:6330078:6330957:1 gene:SETIT_003395mg transcript:KQL04076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTSIKNPVNGNQNKQHAPNTYIHIFQHNRADCGGLHAPFALLYLPYVFFPAVIPKGHSARIIDGLMELPQILIVEAKQLAAPATSPCLDTMMAAKVRTVKNRKLLSGKKNY >KQL07467 pep chromosome:Setaria_italica_v2.0:V:39884864:39885253:-1 gene:SETIT_005466mg transcript:KQL07467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHRPVHADLGTYPGRHFYFSMQPRSALKNLFDQSEILPGEAFRRAWCSRSQGSGRGRGEQLKYRSVNS >KQL05387 pep chromosome:Setaria_italica_v2.0:V:23729327:23729789:-1 gene:SETIT_005551mg transcript:KQL05387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMRNGWIISQIISIQHTLFLYPMAIKTMTQALHKAML >KQL06831 pep chromosome:Setaria_italica_v2.0:V:35945665:35947241:-1 gene:SETIT_002365mg transcript:KQL06831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEWSDGAAASSPTVSGGEGKAGPRGVPAEDSPGSPVSPAAAPSTAAPAASRRRRSANKRVVTLPLADVSGPRPKGVGEGNTPTDSWAWRKYGQKPIKGSPFPRAYYRCSSNKGCPARKQVERDRAKPDTVIVTYSFEHNHSDAVPRAQQNRQQAPKPKPQPAPPEPSESPSSGSHDVAATACAGALVTTEGGAAAVEVHDDFRWLYDGVSVTSSTSPSDVDVAEEMLYGTMFFGATVGAPLPDEFGDVGGLFDGEGGGEEDAMFAGLGELPECAMVFRRHAGDGLPMAGGEKVEQPAESTAMS >KQL06902 pep chromosome:Setaria_italica_v2.0:V:36326891:36327696:1 gene:SETIT_004912mg transcript:KQL06902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADASSGDPVLRLAARPAPLFIPRPSPAYVSATTTTTTTTRDRSSPDRRRSPSGHSSSKPPPDGRMSWQTYVDEHLMCEIEGHHLTSAAIIGHDGTVWAQSAAFPSFKPEEMANIMKDFDEPGFLAPTGLFLGPTKYMVIQGEPGAVIRGKKGSGGVTVKKTGQALVIGIYDEPMTPGQCNMVVERLGDYLVEQGL >KQL06188 pep chromosome:Setaria_italica_v2.0:V:31529248:31530411:-1 gene:SETIT_001808mg transcript:KQL06188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASWSELPSELLGVVFLDLRCLADRVYFAAVCRSWRSAARARASAGTPPPQLPWLLLLPSAGAPCFVSPLAGSARRRLSLPYGAHGARLCGSHPSGWLAVAANGWRAYALVNVFSRAWAPLPDRMRVPRHGITTCLVVRAVALSAPPTSRACVAAALVCGVSNLAFVRPTMDRHWVASEPVHGLQDILYHDGEVVRGFHAVTSDEAVTVFVQEGAPGALALTMARRSYRMQRRPNAPAPSPSSVSRYLVESRGKLLMVVRHFPSTQHGGGAAAARGFEFLELEVQDLPSGGHAASWVELDGGLDGRVIFLARGCSRALEASQFGGFQEGIYFLDDTGFDISLALSCGGNFPCSDVGWYSGREIMRGIEGFPSEFQSTFSAPTWFYP >KQL04685 pep chromosome:Setaria_italica_v2.0:V:10873399:10873811:1 gene:SETIT_005359mg transcript:KQL04685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVLLLLALPYSLPPHPLRNGAVRGAKNRGEGEEAKGAEELVRTGQ >KQL08118 pep chromosome:Setaria_italica_v2.0:V:43643956:43644566:-1 gene:SETIT_004454mg transcript:KQL08118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSISSALLVLLLSCSISSVARSQTADSCASSPSLAAVSRLIPFDTSNLTCLDAWPSQGFIVRVRRQSPHALLYIQVYKHAPGHHPPGGARVPPLPRLPVRHQALGVAVLVFGCLQVLAFLARPGKGSKVRRYWNWYHHYVGRAAVACAVANVFVGLSVAHEAAAASAFYGVFLAVCVLASAVVEVRLWRAASA >KQL08245 pep chromosome:Setaria_italica_v2.0:V:44378356:44387163:-1 gene:SETIT_000027mg transcript:KQL08245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPLKRTSESSVQEDEGVHKQQKRECQDFTPRRYQLDLYEVATRQNTIAMLDTGAGKTMIAVMLIKHFGKISKTNNDRKLIIFLAPTVQLVTQQCEVIKSYTDFEVEHYHGAKGVDQWKAYNWQEQLAKYQVMVMTPQVLLDALRQAFLVLDMVSLMIFDECHHATGNHPYTRIMTEFYHRSEHKPNVFGMTASPVIRKGVSSDMDCENQLSELENILDSKIHTVLDREEIELCVPSAKEVNRYYEPRTVSFEDLSEELGILCSKYDGLIAQLYSRLTNQYKDADEITKESRRRLSNSLAKICYCLEDVGLLCASEATKICIERGHRKGWLKGGGDTTDQQSDANGPGLFAENSMLHMKFFEEALHIIDKRLQQQQGIDALLNSESGCVEAIKGGYISPKLHELIQIFLSFRVIERTMKKIGRLPYFRVSFLTGGSSSVDALTPKMQKDTLDSFRSGEVNLLFTTDVAEEGIHVPDCSCVVRFDLPKTTRSYVQSRGRARQKDSQYILMIEQGNVKQNDLISSLMRSKTLMAEIASNREPEDSHPSFFPIPTEEINEYHISTTGAKITTDSSISVLYQYCDKLPKDKYYTPRPTFQFSQYGDGYECTVTLPSSAMFQLLVGPKARNMQKAKQLVCLDACKRLHQLGALDDHLSPSAEELPLEILSKTSTCTSGTTKRKELHGTTKVLSMSGSWASDRSVTKLQGYTMNFICDQVGQKYSDFVLLIDANIAKEAATLDIDLYLHDKMVKASVSPCGSLELDAQQMEQAKLFQALLFNGLFGKLFTGSKASKTAREFILKKDNTFLWDKANMYLLLPVDPTLDSAKSVCINWSVIEVAATAVGLMRSIYSEDQQNLIDKLNPEKNGGDLIHLANKSCKADDLRNMVVLALHTGKIYTSLDVSDLCASSTFDGASDKKEAKFRTFAEYFEKKYSVFLHHPSQPLLVLKPTHNPHNLLSSKIRDEGNRVENKNRANSLVHMPPELLIPLDLPVDVLRVFYLFPSLMYRIESLMLASQLRSEIAYTGSDISSFLILEALTTLRCCEDFSMERLELLGDSVLKYAVSSHLFLTFPNKHEGQLSSRRQEIICNATLHKLGIESKIQGYIRDAAFDPRRWLAPGQLSIWPCPCECPVNSEVVTEDIHRIDDKSIIIGKACDKGHRWICSKTISDCVEALIGAYYVGGGLRAAFSVLKWLQIEIETEEDLIVKAMSSASVWNYLPKVDVVELLEAKIGYAFSVKGLLIEALTHPSQQESGATYCYQRLEFLGDAVLDILLTRHLFLSHKDIDEGELTDLRSASVNNENFAQVAVKYNLHHFLQHSSGLLQDQINEYVNGLEGSSMDRTSLLSSGPSRGPKVLGDIVESIAGAVLIDTKLDLDLVWGVFKPLLSPIVTPENLELPPFRELHEWCDKSGYFLGIKCENRGDNIMAILNLQLKDLLLARQGHGKNKVDAKAHAASLLLRDLEEKGLVIPKNASRTEQSDSPKHHSNLLDAMDTQNIAPPRQKELTVSSTVPGSVIDEPLVVKVKLSKGGPRISLYESCKKLQWPMPTFEYVKVKPSVCPSSDGSSQKAAPQGFAFSSIITLHIPNGDVISLTGDGRADKKSSQDSAALLMLYELQRRGRFQVQEV >KQL03623 pep chromosome:Setaria_italica_v2.0:V:3470099:3470847:-1 gene:SETIT_003381mg transcript:KQL03623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLVVLGLVLVVAGVALEGAAAAGECGRASADRVALRLAPCISAADDPQSTPTSSCCSAVHTIGQSPSCLCAVMLSRTASAAGIKPEVAITIPKRCNMADRPVGYKLHAALTTS >KQL03622 pep chromosome:Setaria_italica_v2.0:V:3470369:3470808:-1 gene:SETIT_003381mg transcript:KQL03622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLVVLGLVLVVAGVALEGAAAAGECGRASADRVALRLAPCISAADDPQSTPTSSCCSAVHTIGQSPSCLCAVMLSRTASAAGIKPEVAITIPKRCNMADRPVGYKCGDYTLP >KQL05690 pep chromosome:Setaria_italica_v2.0:V:27020565:27022189:-1 gene:SETIT_001570mg transcript:KQL05690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECLNSEGAPEDGYVLEVEPGKTYMLRVINAALFYEYYLKIAGHKFTVVAADANYVNPYTTDVIAVSPGETVDAILIADAPPGSYYMVAQPIKAPLPDTQTPVYVTRGVVQYNSNRSYGNGTTEQQSSSHGANEGISFGDAPVVPEMPGMHNTVVSFNFHGNLTSLRHPRRPMVPLRVDEHLFIALGLGMTPCRRGQSCNRRKGDERIIVATMNNVSFHLSSIRTPILEAHYYHTGGRKDDGTLLELPDRPPRTFNFTDPALIPEGHKEALLEPTSKETVARWFRHGATVEVVFQSTALLQGDSNPMHLHGHDMYLLAQGLGNYDAAKDVARYNLVNPPMKNTVHVPNLGWAAVRFVADNPGVWFMHCHYEFHLSMGMAAVFIVEDGPTAGRSLPPPPMDFATCGNYESYLQTKKSEVSHVDGV >KQL04221 pep chromosome:Setaria_italica_v2.0:V:7340104:7345718:1 gene:SETIT_001484mg transcript:KQL04221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPPPPPEPEPAAASASPQRDVEPSPPPPQRLLRLRCAVQHYEWGRRGSASLVARLADQDPDPARPYAELWMGTHPSGPSTLLDGGALLRDWLARNPDALGPAVAARWGGDLPFLFKVLSVAKALSIQAHPDKKLAEVLHALRPSTYKDDNHKPEMAIAITEFRALCGFAGIEELKDVLRTVPEVEGLVGHEDTGKLMSMKEYDGGSEVKSSLQSAFAKLMTASKDMVSEAISKLISRLNIESKIRTLTDKEQLVLSLEKQYQEDVGVLAALFFNYVKLSPGEALYIGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYKQAFPEILRGVPVQPHVRRYTPPFDEFEVDCCLVPPGELVVIAPVPGPSMFLIMMGEGELQIDSLSAGEKAKDGDVFFVPAYTEVKLSTFGTESMQLYRAGINSRFFS >KQL04743 pep chromosome:Setaria_italica_v2.0:V:11333774:11338821:1 gene:SETIT_001046mg transcript:KQL04743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNYFIEVPPSVPNWPPARHGGHRCTALGFSSPATAMSAPPARTLADLDGDVLAHCAGYLGHRDVASLARACRPLRAAAYSDAVWYRLFRDQWPFEKIRGAVGLRELYIRRHTEVHQMKFDDPLSAIFYLNPAETSPSHLMLDKNSVWLSQGSVAKKLSFDWPVSEVVETHRNHSARITCMRSFSLIDTPLFRSDTQKNEKALVTSSTDRTIRLCWKGHSRCYKGHSAPVTALVDKLLVDGESKVLASGGEDCTIRLWSMSTRAKNHPLIATFHGHEKALSFLSVARHKSSLLVSCSKDSKVKVWDTVAASSGSSSCVGSTHINSSGPPIALKCHESLCYMVAGSEVTAIDLRTMKKASVLALHNHGILSCEMLPSEWLICTGTKDKALLWDIRKGQELPNAVAELHSDGPVTLLHLDPYKVVTGVPSDCEVHVWETRTGELLNTLSCGEPADLGGRSRLSAMAVDGCRIAMAGSSPEASVFHYRDFVKSSAPVSLPGKEVSRFWRPQFGYNDSDDEE >KQL04921 pep chromosome:Setaria_italica_v2.0:V:13060669:13065012:1 gene:SETIT_000160mg transcript:KQL04921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLRLAPFTLLVELPASRRRLKLARCTVRRARSESASAAAKAVAGAASASSGAEERRPVDAHPGRQSAPEKVPADDRLVGYETLLVARFLDILQGLHGGDFRQVVEECLRLSGEYHVGGDPARLDELGALLTSLDVGDAIMVASSFSHMLNLANIAEEVQMAYRKKAETDRRGGGFADEASASTESDIDETLQRLVVGLGKTPREVFDALRGQTIDIVLTAHPTQSVRRSLLQKHARIRNCLTQLCAEGIAENERQEIDEALQREILAALRTDEILRAQPKPQDEMRAGMSYFDDTIWNGVPKFLRRVDTALKNIGIDERLPYDAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANMYFSKMADLMFELSMWRCNDELRARADELHRQSSREYAKYYIEFWKQISPREPYRIVLGDVRDKLYNTCERARQILSHGVSNIPEDKTFVNVKQFLEPLELCYRSLCDCGDKLIADGNLLDFMRQVSTFGLSLVKLDIRQESERHTDAMDAITTHLGIGSYREWPEEQRQEWLVSELRGKRPLFGPDLPQSDEVADVLGTFRVIAELPADSFGAYIISMATAPSDVLAVELLQRECGIKRPLRVVPLFEKLADLQQGPATMELLFSNDWYKQRIRGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEEIVGVAERHGVKLTIFHGRGGTVGRGGGPSHLAILSQPPNTVNGSLRVTVQGEVIEKSFGEENLCFRTLQRFTAATLEHGMNPPVSPKLEWRRLLDDMAAVSTEEYRSIVFREPRFVEYFRAATPESEYGRMNIGSRPSKRKPGGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRHAAGSPGGLATLREMYDEWPFFRVTIDLLEMVFAKGDPGIAELYDKLLVPGDLRPFGEQLRANYAETQNLLLQVAGHRDLLESDPYLQQQLMLRDSYITALNACQAYTLKRIRDGGFKPAARGAPLSKELLGSTAEELVKLNPSSEYDPGLEDTLILTMKGIAAGMQNTG >KQL03832 pep chromosome:Setaria_italica_v2.0:V:4857890:4858362:-1 gene:SETIT_005208mg transcript:KQL03832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFVGYSTISFFSGVLGGEGQRISQRQMYIYGISTGCVYDLW >KQL05918 pep chromosome:Setaria_italica_v2.0:V:29069297:29074485:-1 gene:SETIT_000863mg transcript:KQL05918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGQPQFRYTQPPSKVLHLRNLPWDCTPEELVELGTPFGKVVNTKCGVGANRNQAFIEFADQNQAIAMISYYASSAEPAQVRGKNVYLQYSNRQEIVNTKTTGEGSGNVLLVGMEGVAPDSVSIDVLHVVFSAFGFVHKIATFEKASGYQALIQFSDAETATSAKAALDGRCIPSYLLPELDGACTLRISYSAHSVLNVKYQSHRSRDFTNPYLPFLDSAKDGSGADGRKQEPDSNILLASIENMQYVVTIDVLHEVFSAFGFVQKIAIFEKNGFQALIQYPDIQTAVAAKEALEGHSIYEGGYCKLHLAFSRHTELNVKVNNERGRDYTKGNVTTSSDQPSILGPQPIPNVGAVIPPPPHTSVPSAATSSVMPPGVPTPAMPGEASALPSHPTSEPHSQTAVVPLGGPSQYPGQAILPGPPGVPQQFPGYGSAQAPMLQPSGQGSQQMSNHVNYQLPPGSAQFMQYLGNGNHLVPNTHGPQAVPFPGLSAQQLPPGPQMMQAPGYGGLPFSQGPRQPMPQFPMYGNQQFPPGMEPQMMPFSEQGGRQLPFAPRGPYSR >KQL06079 pep chromosome:Setaria_italica_v2.0:V:30508250:30508831:1 gene:SETIT_005047mg transcript:KQL06079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HTQLRRRGDDAINERGRAMEAEAFPIRFTKGIRSYWRRQDYQRVDGTGAASRGRRRHRLVRLGDGSGSGPRPWAVRIGGMFRVKRHRGRAQAPPPAPARGAAAVAKAPMRVLGRIRDAYVDVMLGAAKTQPAAARALPTAAGALWQKRVPMRRSTSKAQQKADELGQRLVMEMYKSVLASRSVSGMLRASVAR >KQL05010 pep chromosome:Setaria_italica_v2.0:V:14072761:14082638:-1 gene:SETIT_000050mg transcript:KQL05010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAPEAAAAACPDCLERLVRSDLDGSGLSFVHGLSDSPLPFAASAVVQIASDGAEESNGRQHCSSFVLVGLCGGKAPCGSKKCDNNSLENGIHKDPQAEQRIYSDDDQYAEQSDSSSGKGCIEDHKSPSGLTFDDLHPSGRVRHPNILPVLGVLETSDCCYMLHPKAPYTLENIMHYSPEAFCSDWHIRFLIYQIISALAYLHDSGVHHGNLKPSKILMSDSLWPYLSISDTCPVKYSWGFADTKNSPNSCCFMEDCSSKAIYTGFKLQSSLDWQSHFKQWWKGELSNYEYLLVLNKLAGRRWGDPAFHTVMPWVIDFTLMPDESSDNGWRDLTKSKWRLAKGDEQLDFTYSSSEIPHHVSDECLSELAVCSYKARRLPKTILRSAVRSVYEPNEYPSSMQRLYQWTPDECIPEFYSDPRIFTSLHSEMSDLALPSWATSAEDFICLHRDALESDRVSQQLHHWIDITFGYKLSGEASVEAKNVMLPPSDPSRPKSIGRHQLFTRPHPKRLVSTPHAVYHNKMESCARCCGKQSSATTDAALHGSSPNVLSEIGCMEEFEKATLFAELEHHLDPTYDYGDTSTCCSSAKYPKSQIADQILQQDCAMPVAALFDFGSFLECFESDGGPAGYQELLLWNQKSHSENELHANDVFSIGCMVAEMYLQRPLFDTALLAAYKESGIVPGAIQELPSHVALLVESCIQREWKRRPSAKHLLESSYFPPSIRSAYMFLAPLQVLCTSRERIKYAAKLASEGALKAMGEFAAEMCAPYCLSLVSSSLLDVDTESALSLLKEFIKGLSIQATKELILHIIQKILQASGLLGENFVVKQILPLLRNVILSCIDSSKMNKPEPQHSWNSFALIDGLSALEGLVSVLPIKAVLKELLQDQVCLHVKVLMQIHLDLRVIQVAATALVDLCRRIGPENTSIYVLPQLKELFAELAFSHESSGLSLLTKVLKTSEGNKSESITMESRIDLVFLLYPFLASLVGIEKLRECCSTWFLLEQALQRLYNWKWEPSGDCSKNAENMKGQRFQPGNSISSEFVPTKLLFNGAGWSVPQSETTKTGRNAASSKPGSKLENETNSDNSYTSSSGNQPWFWFPSPDSSWGAPEFLGRGGGVRDELPWKIKASVLYSARAHPGALRSLAVHDDECTVFTGGVGPGFKGSIQRWELPNMNCTSGYYGHEEVVNSIRILSITGRVASCDGTIHIWNGQTGKLIAVHAESSTTFPLQTASIEQANMLNQDALSGGILSNAFRGSLYTTMHYMESDGILVAGMGNGSIRFIDISRDQKLHLWKSDSAEISFSSLVSAICSCSSDRLSIRTPMASSWIAAGLSSGYCRLLDKRSGSIIAVWRAHDGHITKLAAPDDHLIVSSSLDKTLRVWDIRGYYFVNCLCWIACISSGLLYFLFEFAQNS >KQL08250 pep chromosome:Setaria_italica_v2.0:V:44420294:44423589:1 gene:SETIT_001710mg transcript:KQL08250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPGILGRSSSVPRSNESMRIIFSAVVGVMLGYLFGISFPTVNITKLHFPSSIISYIEDRNSGITTQTLLNHAWTSAASHKNNSVSNPDEIPKIYVPTNPKGAERLPPGIVASETDLYLRRLWGEPSEDLTSQPRYLVTFTVGYSQKANIDAAVKKFSENFTIMLFHYDGRTTEWNEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVARYDYIFIWDEDLGVEHFNAEAYIKLVRKHGLEISQPGLEPDKGLTWQMTKRRGDQEVHKVTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVIPSLGNQGKAENGKAPWEGVRARCRKEWGIFQTRLADAEKAYYLDHGITPPNLTGA >KQL04369 pep chromosome:Setaria_italica_v2.0:V:8528192:8528853:-1 gene:SETIT_005599mg transcript:KQL04369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVQRLGQQSLYNLLISVAPLGSTGHLCN >KQL07807 pep chromosome:Setaria_italica_v2.0:V:41907951:41909474:-1 gene:SETIT_002470mg transcript:KQL07807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSHSPAATCQLLAPSRRTRLLTPCPRAGATPAAEAALLPAGVLRAESLPRHVAVVMDGNARWARARGLPSAAGHEAGRRALEETVRLSRAWGVRALTAFAFSHENWSRPKVEVEFLMGLFERVIRESVSEFLREGIRLRVIGDSSRLPVSLQKTAREAEAATRNNSQLDLTLAISYSGRRDIVQACRSLAQKVHDRQLRPEDIDESLFAAELETSPADEHELLPYPDLLIRTSGELRLSNFLLWQSAYSELFFTDTLWPDFGEADYLEALVSFQSRERRFGVRRL >KQL03925 pep chromosome:Setaria_italica_v2.0:V:5387323:5391293:-1 gene:SETIT_002275mg transcript:KQL03925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASRGLLARLRGLSLSAGGGSRLPLLPPSRLFSAEPFVSHSDDDDAGGEGGGGGRIIEARAGAMGPTSRRTGVIGVKCGMSAMWDKWGAKVPITVLWVDDNIVTQVKTTEKEGFFALQLGAGQKKEKHLTKPEVGHFRAQGVPLKRKLREFPVTEDALLPVGTTITVRHFVPGQFVDVTGITKGKGFAGGMKRHGFKGMPASHGASLSHRSIGSTGQRDAPGRVFKNRKMPGRMGGVQRTVKNVWVYQIDPARNLLYLKGQVPGPQGSFVFVKDSIFKKPDTALLPFPTYFTQEGEPEDLEPLIADLGEVDPFMAAD >KQL04878 pep chromosome:Setaria_italica_v2.0:V:12700551:12701097:1 gene:SETIT_003984mg transcript:KQL04878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSRDSLVLGRVIGDVVDQFSPAVALQISYNGRRLMNGADFRPSAVAARPRVEIGGTDLRQSYTLVIKLVTDIPGTTDIGYGE >KQL04955 pep chromosome:Setaria_italica_v2.0:V:13364888:13365724:1 gene:SETIT_003590mg transcript:KQL04955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCGGDGECRPLGWLLGLPFALLAVIVSFVGAIIWIIGLPISCICPCCLCVTLLLEVAVELVKAPLHVMTWFTSKIPC >KQL05544 pep chromosome:Setaria_italica_v2.0:V:25592793:25593367:1 gene:SETIT_004067mg transcript:KQL05544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGSGSGQLFSVDPLERQAARGHGVVTSMAAGSDVIILGTSMARSLHGESKRDVPVGNSVLCGELGRARRVSEKMRQRDLGTWNSMIFGCCRSDEWEEEARRLLDDMRSEGTEPGVVTWNTLISSYARSRDLDVAMELLEQMEESGVALDVVTWTSLVSGFVHSDKGMRHFSVSSVCVLLESNQMV >KQL03602 pep chromosome:Setaria_italica_v2.0:V:3368203:3368565:1 gene:SETIT_0042232mg transcript:KQL03602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPGCCCRLLLFRLLACCTVATAAAQAQDPCAGRRIHVRRLPARFNTELLRHCATAFPLADPGSTPACASLANHGLGPRTHNGSRSWYRTDARLLEPFFHRRVLELPCLVSRPARADAVF >KQL07195 pep chromosome:Setaria_italica_v2.0:V:38230963:38237314:1 gene:SETIT_000485mg transcript:KQL07195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPSTPRLGAPPSPGAAEQMFAALVGGKAYPISSEFWNQLLELPLTLQWPRDRVLQACHAFAQNNYQTKHLAKILIHLVWCLQECTSTTSVSSVVYRRAINAAYISSIFLKFIIENAKADNWQELCLDIDKNEKGMENFPAESTVEYFLMRGVLNYIGNVDVSSESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVVSVVRKLLLNFVARPKFPPNGSHPVFSDDGRPGVLQRVGSAAANFVLLPYYTFTYLVSSTPEGATSQLADNSLLVLLVMIHYRKCISTNESIPSNNLYTGSDTNDKEAQVFHENPYCKALNNAKDIQYDRADVEGNAQDGPVARLSFASLFDALGRCLNDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIILLILSQDSTFNASVHKLVLPAVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYAKLAELKNDKSHKVISDQMEADNIADDMSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAVLHRQEVFEPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRLVLSRGFSFNPGAINLFPVEIHLDDAPSGEEKV >KQL07196 pep chromosome:Setaria_italica_v2.0:V:38231642:38237314:1 gene:SETIT_000485mg transcript:KQL07196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENFPAESTVEYFLMRGVLNYIGNVDVSSESCYLHHELLNLMLVLMSTQLCSGPSPEPKDVHPFIDAAMLQDSSIVVSVVRKLLLNFVARPKFPPNGSHPVFSDDGRPGVLQRVGSAAANFVLLPYYTFTYLVSSTPEGATSQLADNSLLVLLVMIHYRKCISTNESIPSNNLYTGSDTNDKEAQVFHENPYCKALNNAKDIQYDRADVEGNAQDGPVARLSFASLFDALGRCLNDESSVLLLYSLVHGNCDFQEYVLVRTDLDTLLMPILEMLYNASRKTSNQIYMLLIILLILSQDSTFNASVHKLVLPAVPWYHERLMHQTSLGSLMVVILIRTIKYNLSKLRDVYLHTNCLAILANMAPHVHRLSAYASQRLVSLFDMLSRKYAKLAELKNDKSHKVISDQMEADNIADDMSTELHIYTDFLRIVLEIINAILTYALPRNPEVVYAVLHRQEVFEPFKNHPRFNELLENIYTVLDFFNSRMDMQQLDGEWSVDKVLEVINKNCRSWRGEGMKMFTQLRFTYEQESHPEEFFIPYAWRLVLSRGFSFNPGAINLFPVEIHLDDAPSGEEKV >KQL08278 pep chromosome:Setaria_italica_v2.0:V:44543778:44545171:-1 gene:SETIT_0025621mg transcript:KQL08278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEKLFVQTLIGRVLADDIYIGSRCIAARNQDIGIGLVNRFITAFRAQPFRAQPIYIRTPFTCRSTSRICQLCYGRSPTHGDLVELGEAGTQLTLRTFHTGGVFTWGTVDL >KQL08713 pep chromosome:Setaria_italica_v2.0:V:46811279:46811725:1 gene:SETIT_005310mg transcript:KQL08713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYEGKFVSGASPVVPKTHSQIPKKSCINGVWESPALLLKERDPLALLLLEVHVLI >KQL03896 pep chromosome:Setaria_italica_v2.0:V:5243675:5244392:1 gene:SETIT_004251mg transcript:KQL03896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVPSRQLCWFLLACVSYCLLIPTSCTSDGAVAAATFHGQKRDAVDIVGRALVCFNDRYIYSGCQGSFRLGPQGALDVPPGSADAFCGGPCLAETELVLRCVDGVMSNFRFYNGATAADVRVALDRGCGHSGLRGDFGVLSRLGGGGGDGYFYGRGAKVVGSLAPPLLLGAAAGILAWA >KQL07716 pep chromosome:Setaria_italica_v2.0:V:41375640:41378343:1 gene:SETIT_004443mg transcript:KQL07716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRFVLNTGAEIPSVGLGTWQSKPDVVGDSVYAAVKAGYRHIDCARAYRNEEEIGLALQKLFEEGIVKREDLFITSKLWHDHHAPEDVRESLDKSLNDLQLEYLDLYLIHWPFRIKKGTGLGNPENFVPPDIPATWGAMEKLYDTGKARAIGVSNFSTKKLGDLLAVARVPPAVNQVECHPGWQQTKLHSFCQSAGIHLSAYSPLGSPGTAWMNGDVLKEPVVTSIADKLGKTPAQVALRWNIQMGHSVLPKSLNEQRIKQNLDVYDWSISDDLLTKFSEIKQVKLARGDFTVHPQSVYKTLEEFWDGEI >KQL08103 pep chromosome:Setaria_italica_v2.0:V:43575240:43577949:-1 gene:SETIT_002240mg transcript:KQL08103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGRELVVSFGEMLIDFVPTVAGVSLAEAPAFLKAPGGAPANVAIAVSRLGGEAAFVGKLGDDEFGRMLAAILRDNGVDAGGVVFDAGARTALAFVTLRADGEREFMFYRNPSADMLLTADELNVELIKRAAVFHYGSISLIAEPCRSAHLRAMEIAKEAGALLSYDPNLREALWPSREEARTKILSIWDQADIVKVSEVELEFLTGIDSVEDDVVMKLWRPTMKLLLVTLGDQGCKYYTRDFHGAVPSFKVQQVDTTGAGDAFVGALLRKIVQDPSSLQDQKKLVEAIKFANACGAITATKKGAIPSLPTATEVLQLMEKA >KQL03698 pep chromosome:Setaria_italica_v2.0:V:4135836:4138916:-1 gene:SETIT_001634mg transcript:KQL03698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAVAWSPPSSAVGRCPCRGGRRPCSSVRPRAAAEEQDGGGGGGEAPRLVLHDSLDAAGVATAHAREAREGFAEQVGRLTRLNAGTSIAISRGADLARAALCVAAEDDSLVSHSSVPLPVDAFIARLDDLSTGFCAGGNFPPSHAPPEVFFDYLDRYLYVHKGFRRTNGISDVRAMYLHSVLTCRSGSALMLALIYSEILKTVRIYGLLDFDAEIFFPTDLDSLPRGYDKQKSKLGHQQHIMTSKSLLVEILRTLKATFWPFQSNQSSSLFLNAVTANHHGPGALNISAVEMAAAKAAQHRLMRGVWTNVRFGDMRRALAACERLILLQHDPHELRDYAALLYHCGYYEECLHYLSSYQTAMVGQSPSNRLEILEDEAVNTLRARITLILAEDGWSSCRPAASYWTKNSEPW >KQL03386 pep chromosome:Setaria_italica_v2.0:V:2109250:2112581:-1 gene:SETIT_003186mg transcript:KQL03386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYDCMLLVKPLVTREAMSELVARVARRAYQRNGVVTDVKSFGKVQLGYGIKKLDGRHYQGQLMQMTMMVPPTFTQELHYLNKEDRLLRWLVVKHRDAVYGLEFINEDDGRNEMSGFSLAHTKDDYDIEIGSDESESEFLTSSDDDSDGYKYDFEEEK >KQL07466 pep chromosome:Setaria_italica_v2.0:V:39883137:39884150:-1 gene:SETIT_005125mg transcript:KQL07466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLMPWGKIVDLVRKAMPLALTPENDPGKEELKQLQAQLEDINKLAHKQVRRILWSGLGFLITQVGLFFRLTFWEFSWDVMEPITFFTTTTGLVVGYAYFLITSRDPTYRDFMERMFESRQKKLIQRQNFNLDRYLELQRRCKDPLEKVCGTSNPDMAHLHELSIHK >KQL06861 pep chromosome:Setaria_italica_v2.0:V:36153767:36155422:1 gene:SETIT_001251mg transcript:KQL06861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNGPAKQTVVLYPGGGVGHVGPMTELAKVFLDHGHDVTMVLIEPPIKSTDSGAGFIERVAASNPSITFHVLPSIPPPDFASSPKHPFLLMLELMRLYNEKLESFLRSIPRERLHSLVIDMFCTQAIDVATKLGVPVYTFFASGAGVLAVLTQLPALLAGRQSGLKELGDTPLEFLGVPPMPASHLIRELLEDPEDELCKTMVNIWKRNTDTQGVLVNTFYSLESRALQAFRDPLCVPGEVLPPVYSVGPLVGKGGADKEEAERHECVAWLDAQPERSVVFLCWGSKGSLSEEQIKEIAAGLEKSGQRFLWVVRTPAGTDDPKRYLEKRPEPDLDALLPEGFLERTKGRGFVVKSWAPQVDVLMHPATGAFVTHCGWNSTLEAIVAGVPMLCWPLGAEQKMNKVLMVDESMSIGVELEGYNTGFVKAEEIEAKVKLVLESGEGRELRERAAELKKEAEAAMEDGGSSRMAFLQFLSDVKNLRG >KQL06889 pep chromosome:Setaria_italica_v2.0:V:36274016:36278014:1 gene:SETIT_000670mg transcript:KQL06889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVLDQTVREIKREVNLKVLKVPEIEQKVLDATSDEPWGPHGSDLADIARATKRYSECAMIMNVLWKRLGDSGANWRHVYKAFAVIEYLLANGTERAVDDIIDNNSQIAKFVSFEYVEPNGKDVGLNVRKKAENVLAIMDDREKLQQVREKAAATRDKYFGLSSTGVTYKSSAASFGNGSYSSGSRYGVTQSSKEADASRDSYRGKEWSYSSKETISDFRSTRQMSIGNMSSTTNYKPGKGEGHHRRNQDSSTSHLKSSSNLRSSSTSGGTSSQKVDNEDNNDFNPRGSSISGTTNASYNHVDLFGQSLMDDLVDTTASTSSTVPNVGAASLPEVDLFANTDFQSANAPLESTTGSHAQDNIDLFAGRSSFAGSVNSDTEFSVRDTPNKSLELNLPSLTQSSASAFDPFQPSFVASFPSDTEFSVCDTPSKSKNPTHHHSSAADFDPFAAIPVKTLDGSDSFSAFFSNTDSGQTKTEGVKSCDHSPLEELNFGAFTSHTEPPRASATKPMSKSPTKLEPPSISESKPDMKKGAFQVKSGIWADSLSRGLIDLNITASKEVDLSDVGVVGQLSNGSEGKGLAVPWFTGSGTTVSTGSGLQSRSVFPSSAGSTGGSGNFQQQQFGTFT >KQL04902 pep chromosome:Setaria_italica_v2.0:V:12924222:12924799:1 gene:SETIT_004114mg transcript:KQL04902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPYPSVVFLTRYIDDERGKQKVANIRETRPTSGKVNAPKKGSPPSEGRLKINVDGAFIKETEAAEVGIIIRDHIGKASLTAWRWVRHGRDAYEAEALACLEGIRLAAKWSRHDMVLEVDCSRVIETFKLGGVNSQQLQSLNFAKVRREQNKVAHELAHLALRSN >KQL05624 pep chromosome:Setaria_italica_v2.0:V:26430554:26431351:-1 gene:SETIT_004478mg transcript:KQL05624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAYPTTSASSKQITAATSSSPALLHAAWVAAAVAVCVALCTIHARKPSSSSSRRRGSRSASNRCGSRESGGGGGGGGAASGATPAAKVSPTPSDTAKASGAAVETDGGGGPVTVIDVGTHGPIAPAFLPPPAEPLPPRRSLSSKHIRFTERLGRIRSMRRAVSSGEAAATAAAEDDVFVGCRRGGGGEVGEEGTLWTKTIILGERCRVPSAGDEGDVDGGGGDGTVPWRSYRPRQPRSVPVTRSNSFAGVGSAGARRLADPRF >KQL04240 pep chromosome:Setaria_italica_v2.0:V:7498311:7504827:-1 gene:SETIT_001795mg transcript:KQL04240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPSQGRGCDGSGEEAGSWRLRMGSEFHVPDRFHRQPPLYARIFGGSHGKQRKIAKYYKKQENLLKDFNEMETMNEVGGLDQNAPTEEELRQLAKSERFAINLSNIINLILFVTKVVASAESVSMAVIASTLDSLLDLLSGFILWFTAHAMKKPNKYSYPIGKRRMQPVGIIVFASIMGTLGFQVLIESGRQLITQEHANFKLKQELWMVGSMSSVAVVKFFLMLYCRTFKNEIVRAYAQDHFFDVITNSVGLVAALLAVRYKWWMDPVGAILIALYTITTWARTVLENVGTLIGKSAPAEYLTKLTYLIWNHHEEIQHIDTVRAYTFGTHYFVEVDIVLPGDMPLSQAHDIGESLQEKLEQLPEVERAFVHVDFEFTHRPEHKAEV >KQL06166 pep chromosome:Setaria_italica_v2.0:V:31218419:31219066:1 gene:SETIT_005093mg transcript:KQL06166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFTPCSTMISSCRLVLISSSCLCKLEFVLCSSSFSRWKCEIWFCCCTRLEFASSRPSLVFCISEILSSCCASSVLRFIKEISSCSISDVAESLSFCSSAILLTRFLIEASDCCRSEIILFFSSCCANISAW >KQL03621 pep chromosome:Setaria_italica_v2.0:V:3464211:3464797:-1 gene:SETIT_003407mg transcript:KQL03621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRDGDSAKKRDGDARKKRGRDGGMDRGEESDAARGWSSAVVERGLALRRRGGSTSCCRPRCGLIVGEGARSREEAAASGAAQGVGAEAAAVAAEEPLVDPTLEFFYLAVL >KQL05025 pep chromosome:Setaria_italica_v2.0:V:14178114:14180357:1 gene:SETIT_005088mg transcript:KQL05025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHMQGTAVREPLLPAADEWCRQGLAAAEAKRLVRLAGPMIANCLLQNIINILSLMFVGHLGELPLAGASLANSVASVTGFSIITGMATALDTLCGQAFGARQHNLLGVYKQRAMVVLGLTCVPIAVVWAHAGQILVLLGQDPLIAAEAGAYARWLIPSLAVSVPLQCHVRFLQAQSLVLPVTASSGAAALCHLAVCWALVFKAGMGAKGAALSNAISYAVNLAMLALYVRLSSACKDTWNGFSMEGFKDLRKFADLAVPSAMMICLEWWAFETLVLLSGLLPNPQLETSVLSICTRVSNELGAGQPQAAKLVTRVVVYIALFSGFVLTLAMTLLRHVWGYMYSNEQEVVAYIARMLPVLGISFFIDGLHGSLSGVLTGCGKQKIGAAVNLGAFYLAGIPMAVLLAFVFHLNGMGLWLGIVCGSLTKVLLFASVTWSIDWSKEATKAKDRVFGSSLPVA >KQL03375 pep chromosome:Setaria_italica_v2.0:V:2048536:2050339:1 gene:SETIT_003983mg transcript:KQL03375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSRRPMLAVVLGAFLCSLAALSAEAKVHHHTWDIAYHRKSLDCFEKLAVTVNGEAPGPTIRATQGDTVVVTVRNMLETENTGIHWHGIRQRGSPWADGTVGVTQCPILPGESFTYRFVVDRPGTYLYHAHYGMQRVAGLDGMLVVSESDGVVEPFTYDEEHTVLLMDWWHKSVYEQAVGLASDPLEFVGEPQSLLINGRGMFGCSPAAPGGGAAACNASCALPALFTAVPGKTYRLRIGSLTSLSSLNFEIEGHSMTVVEADGHYVRPVVVDSLFVYSGETYSVLVKADQDPSRNYWAASHVVGRRRKTPSAMAVLSYAGNDPRAPPPTPPPAGPAWDDAAPRVAQSRSLAAAHPDHVLPAPPRPDRTLLLLNTQNRIDGHVRWAINGVSLRFPATPYLVSMKRGLRGAYDDQRPPADAYDNYRSYDIASPPAANGTVASAAYRLALGSVVDVVLQNTAALNGMSETHPWHLHGHDFWVLGYGEGKFEPGRDAAKFNLRDPVMKNTVALHPLGWTAVRFVADNPGVWLFHCHIEAHVYMGMGVVLEEGVEKVSRLPKSIMGCGRSEGHN >KQL08151 pep chromosome:Setaria_italica_v2.0:V:43816546:43817040:-1 gene:SETIT_005614mg transcript:KQL08151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMLSVFNFSFFSYCSSTLESYMKTAFLKLIV >KQL06724 pep chromosome:Setaria_italica_v2.0:V:35204345:35205019:-1 gene:SETIT_005647mg transcript:KQL06724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAACEAAITDQQRFELRKNSGCRQNSSAGEGSGFLDAFDIPVVSAFNRWGPHPPRKIISGRRRRSAMRLLLLPKGQLPFSRSIRSFSSYYANQNTRLYISLARNYCKLSKWVR >KQL07776 pep chromosome:Setaria_italica_v2.0:V:41681525:41681863:1 gene:SETIT_003534mg transcript:KQL07776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLCFTKIVDLNLANIHDTSCKYCGYVFATCTHDSVFRSMLVCYSLKVLTLIEIRETVLLTHREFNTVNHVLAIETLPKYISGAKLKTA >KQL08000 pep chromosome:Setaria_italica_v2.0:V:43056054:43056643:1 gene:SETIT_004379mg transcript:KQL08000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKTVDKTLDELADKSSTIRTTATEPANISNVGVTEPANISNATPTCTIHISPIILKMIHNFPDKQTPQCRFYKITTTKSAVVPGRPMKITLL >KQL07267 pep chromosome:Setaria_italica_v2.0:V:38802061:38804619:-1 gene:SETIT_001796mg transcript:KQL07267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEWWSSTSHRSHGTSACSAARAPLMVTDRVACGWATTSSPTAAAESTSSITFQDPYRSSTHQPMSDAASSLGDPHVDWTQAFLNGRSDTSFQAVLQDDDMVRAQPAAGGEAPAMNNPLIRDMDSGFLVDQAQLAPSPYGTAPSQAIFNSTAGHGISVYGDSQSSISYDDAASMQFSQLLKPSVPASAPMQGGGGAPMLQYCLSGGYLPFGGPLPPSQLLLQALQTTKPSSRSSNANSLTVKDASSPATRKSVSESAAAVKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVSSLSSPYLKNVIPLQQFQQKGSEKAKDNGETKQDLRSRGLCLVPVASTYTVAAETVPEFWHPTFGGTFR >KQL07717 pep chromosome:Setaria_italica_v2.0:V:41379744:41383489:1 gene:SETIT_002341mg transcript:KQL07717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHFVLNTGAKIPSVGLGTWQSDPGVVGNAVYAAVKAGYRHIDCARVYGNEKEIGLALKKLFEEGVVKREDLFITSKLWNDHHAPEDVPEALNESLNDLQLDYLDLYLIHWPFRVKKGTNISPENFITPDIPATWAAMEKLYDAGKAHAIGVSNFSSKKLGDLLAVARVPPAVDQVECHPGWQQTKLHNFCQTTGVHLTAYSPLGSPGTTWMNGNVLKEPVIISIAEKLGKTPAQVALRWNIQMGHSVLPKSTNEERIKQNLDVYDWSIPDDLLAKFSEIKQARLLRGNFIVGPQSVYKTHEELWDGEL >KQL07753 pep chromosome:Setaria_italica_v2.0:V:41565226:41565610:1 gene:SETIT_005221mg transcript:KQL07753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTESTNINAVPHAIDLNIIALNGSQYISILLH >KQL05305 pep chromosome:Setaria_italica_v2.0:V:21855195:21855408:1 gene:SETIT_005232mg transcript:KQL05305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREHSNSLYTFPKKEAPLHEFTIYKKGMRSLLVLTTRKIRHFPP >KQL05040 pep chromosome:Setaria_italica_v2.0:V:14612419:14616385:1 gene:SETIT_001243mg transcript:KQL05040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMAARRLHPRSSSSKRQQLTVAILLLFFQTLCCNAEAVGYSEQEGDRVRLLPGQPANPPVSQFAGYVTVNERNGRALFYWFFEAQTSPEKKPLLLWLNGGPGCSSVGYGAASELGPLRVTSHGAGLEFNKFAWNREANLLFLESPVGVGFSYTNTFSDLAKLDDTFVAEDAYNFLVNWFKRFPQFKGREFYISGESYAGHYVPQLAELVYDRNKDKASTYINLKGFMVGNPLTDDYYDSKGLAEYAWSHSVVSDEVYERIKKVCDFRISNWTDDCDKAMSTVFSQYHEIDIYNIYAPRCNLPQSSAAVAGDQELAVNDQEHFRRRIRMFSGYDACYSSYAEKYFNKADVQRAFHANVTGTRKWQVCSDSILRSYNFSVLSILPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALGLPIKTQWQPWYLNKQVAGRFVEYHGMTMVTIRGAGHLVPLNKPAEGLALIDTFLRGKQLPSQR >KQL06897 pep chromosome:Setaria_italica_v2.0:V:36304036:36307414:1 gene:SETIT_002138mg transcript:KQL06897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRICELGDGDGGLAGQQEQQEEEVGASGGGEVVRLKAKRALVGAGARVLFYPTLLYNVLRNRFEADFRWWDRVDQFILLGAVPFPSDVPRLKQLGVRGVVTLNEPYETLVPTSLYQAHEIEHLMIPTRDYLFAPSVEDISQAIDFIHRNASQGGTTYVHCKAGRGRSTTIVLCYLIKYRSMTPEAALDHVRSIRPRVLLAPSQWQVVSTFGTLVTGQLPVRSTKLGSFLEAIDACRTDTEYDDYHAMEFDYEDSGLPLSQIMLPRPTSPTGCIDAVLITEADLEGYDTYVNTRKDALEVATRRPIMRRLSCLFGSLKLNSSNCEPAQSCFSEVRAC >KQL06952 pep chromosome:Setaria_italica_v2.0:V:36641776:36649162:1 gene:SETIT_000455mg transcript:KQL06952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGMPTDGGGAIVPFRGEPGQGAPSPPPLRPIRHGVAPPIFRVYINWSSGNLLQVACLRPPNSEGCGGVEVAGSVVEVNLGSGGNGGAEVEEEIDEAEMRRIEYGSVPAFALLQSRKNALADAAAMSRMSSVPDYAEWWQYVLEYSKTIGNLLGNPDSPPAFMIEDPKTILKVREKPTSLRAAWELLEIFYVDKQLQSWLPERLVDWLADFDSLLSATESTVYSKLSNFQKKLINLQIVEDDPDYWNGLSAALSVGWLDIVVNMLRFHGSYQLDQMDNRETENGLVEAVAVLVSTMPRLRPNLPTGKLGQCCKTRPDFVKAWEKWRGQVTKLECSAFWIQCGHQKTRDGLKNLLHIMMGNIKELTASTSHWLELFASHFLYIRPFTVGFEGMHHLAQKCIQLKPSSSTTGLTGLVIGVLSENPEVVLAECTKHFGPWLVTHAMELLTADNDYADTMLHEERPNLGGISIEELHRLVYAQVLCSHSLTWQIAPTYLSSCLNQGLGLLEILLLKQPIQDNRLVLKTLEICRLYELDNISTNIMKVAGIYHWKHGRKGVGVYWFQQAHDKVRLDRIAQQLFEHIGKSVADDSFKQWEGLLELLGSDIGSAGGLEFLHRYRDFKRSLHQALDGRSGEAARQTVDFLIQLMRNPSTPQRFWLPLLHDSMELLNCKPSPLMNVAETNLLLNKLQELSLAKLRPDFSNNHLPSHALGSVRLALASNLARAILEDP >KQL05248 pep chromosome:Setaria_italica_v2.0:V:19125721:19127653:-1 gene:SETIT_003998mg transcript:KQL05248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSCPVYCPRVFIRCSASDGGGGQGQHRSSSNNAVRVNGAAHRAPLQVGAALETSINRSLAELSAPVLTPPPSTGGGGEECVRQNIPTEKQTVDPFRQALIVEGGVRYQQTVVVRSYEVGPDKTATMETVLNLLQETALNHVWMSGLLGDGFGATHGMIKNNLIWVVSRMHVQVDQYPIWGEVLDIDTWVGSSGKNGMRRDWLIRGRNSACANSTWVMMNKETRRLSKMPEEVRGEIAPWFIDRHAIEEEAAEKIIKLDSNAKYVDSDLKPKRSDLDMNHHVNNVKYVRWMLETLPDPFLQQHQLSSIILEYRKECGSSDVVQSICQPDEDSVPPEENVSMVTGPSLLPEIISGHHSLAGALQQWPTKYTHLLQLKAGDKHEEIVRGRTTWKKKSYKAP >KQL05767 pep chromosome:Setaria_italica_v2.0:V:27745922:27747386:1 gene:SETIT_001556mg transcript:KQL05767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDRSPTNSPPAKRRKESSTTTVAALGEDILLEIFLRLPCLATLVRAALTCRGWRRAVASYPAFHRRFRQLHPAPLLGLLFNPPGPVQDPELPAFPSFVPTRGTDRDQAAAVRGGDFFLTSLQERSGVHNGWDIHDCRGGYVLLANGDQETMAVVNPLVRRSERFLDFGHKDTLEGHRACGIVHRACLLCSDEDPTSFRVIIIAHDKSRVRATVFSSETGEWSVRRWVHIPARPRRGRGERWILNSNMQANGFLYWVSKNRKYMVTLDTATVDFSVNELPIFLQNRHCTFVVGEMKNGEACIVYAINFTVGVLFRRTESDGVDRWVLDRAELLETQLGRVLGEVMENYNEVEVVAVRDGFAYLATTESLNDSGTPSWFLSLCLETMILEKLFQRTYDSSVHPYVMPWPPSLVGNYGRFAPEDGT >KQL06109 pep chromosome:Setaria_italica_v2.0:V:30729133:30732871:1 gene:SETIT_002556mg transcript:KQL06109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMQEKTIPAQQGKEKKKGSAKKRERARARSSSVLDSTNDTVINEEMPEYNLDGPTIGEKLATLDLINRDNERKDTEEQTLAMALPSADSVHILLKQALRADDNMSLLTCLYNRDEKVIAKSISLLTPADVVKLLKFFVLQIQSRGAVLVCLLPWLQTLLSQHMSSIVSQESSLSLLNSLYQLIDARTSTFKSALQLSTTLDYLFSEIADDEADDEEVAPPIIYEDKDTDDEESEADAMETDGEEAEELGDVTDASEHSDGSEIMSD >KQL05864 pep chromosome:Setaria_italica_v2.0:V:28514755:28516014:-1 gene:SETIT_003866mg transcript:KQL05864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRSRRSGVRFIEDDRDRSLTFFKRRYGIFKAASDLSTLTGTRVAVVLESINERFSSFGTPDASPIVDAFLSGDMPTEFDTSEEQKVKITNLQNEMFQLEKDKAMEDKRKKENIAWTKEIQETSRMTKYVYGKEDDLDATELYEMYQADGRLRDSSLLQPSWWRSMHPQVTPPKYSPWAPLQASFQHQGSSSSHQVLARLGSSCSYSVMFPSQQVQPKLQHRPLVPLAPSIVRLQAPTSKEAYPFKYNIRGLDISGKKSYPLSFSPISPSPPSQPPSLQTPPSNDSSPQSLSPQFSSPMDLVPQQLPSNAQNYNSLHPPQNYANASSTYELDFELGNTSGNGGQTGGAHIKGFDLSTPQQGDGCLRVTPEASSAGGISNGDDAGSNLGDLNFPWY >KQL04636 pep chromosome:Setaria_italica_v2.0:V:10353538:10353986:-1 gene:SETIT_005625mg transcript:KQL04636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGGTTMRTHKVESVKHVILPCILRPGHNRFNSAQIWRNFRRNP >KQL07695 pep chromosome:Setaria_italica_v2.0:V:41299664:41300518:1 gene:SETIT_003265mg transcript:KQL07695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARAPGRRLDTPGVLRRRCAGPGVPRRSHMARLAGCLGVLGEKRPRWHGDRCRARRGWREPDVGLTQKRAHRRRRSSKGRYRMICPAICPIGKARIWDAHPAPQLLFFRSAVAHRRVGSSFPSSMLLLSTIGRRHDGHKAVRV >KQL07802 pep chromosome:Setaria_italica_v2.0:V:41879969:41886972:-1 gene:SETIT_000473mg transcript:KQL07802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSFGFGGGSAAAGSTASSPFSFSSTPSPFSFSQPAAASSPAPAFGSSPFSSSSAAASSAPTFGSSLFGASPAAAPASAAASSPFGFGSTGFSFGQATAAASSVASAPSLFRATPAASSAGTTPSLFGAATSATSSPGLFGAASAPASSPGLFGASATGSATTTPGLFGATTSAATTPGLFGATSAAATTPNLFGATSAAATTPSLFGAASSAASTPSLFSGASTGFGFGSSASGSTTTTAAASAPAFGFSFSSGAAVSSTPSTTASAPALGFGGATGSSLFASTTSAPLFSTTNASSPAPAATTTPSFGFSASPATTTSGPSFGFTPSPASATTGSAAPSLFSSASSAPAFAFAKSTSATPTTPVSLATSQAAPAPSLFSNTSAAATAAAATSGSLFPTASTSGFSFSVAPSSSSAAAATATTTTVTSASTSAGMTTTSPLTGTTGFPSFNLQTTTPASTSSSFGVSNTAASTSATSTSTSQATSAAVQASSTGAATTTAITPAASQAPKLPSEIVGKSVEEIIRDWNNELQDRTAKFRKHATAIAEWDRRILQNRNVLIRLEAEVAKVVETQTGLERQLELIETHQREVDKALQGMEEEAERIFQDERVLLREDEAASARDTMYEQAEVVEHELQHMTEQVKSIIQTMNATQGAELEAADSMTPFDVAVRILDNQLRSLMWIDEKVNEFSGRIQRLPNNSASAERDSGIPRFWLS >KQL08038 pep chromosome:Setaria_italica_v2.0:V:43273749:43276740:-1 gene:SETIT_002025mg transcript:KQL08038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMCALNLKAGGPCLTPRHAHPATPSPLAAAGEIGSLAVGLTRWRRQPARWPRLVASASGRKRKSSREDGDESKDKASSSGKGDASSPSGDASNRTSQNQGEPNSNDTMYVPSNLSYWRDVRASFVIPKLEQTVDVNSPQTAKDGQLYHLPRKWAHSIPMPESGCVLVATEELDGNGTFERTVILLLSLGSRDAYDGPFGIILNRPLYTKMKHVNPSFGEKATPFGDCSLLFGGPVDMSLFLMRSTEGKPIKGFEEVVPGICFCFRTDLEKASALMNNGTVKPEDLKFYVGYSAWDHDQLLSEIDAGYWVVTSCSSGLITDALTTDPSCLWTEILQLMGGQYSELSQKPKQDGV >KQL04686 pep chromosome:Setaria_italica_v2.0:V:10871105:10873644:-1 gene:SETIT_002166mg transcript:KQL04686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRMVSDPAPDSSGGEARPRAGAALFAVPRLFVGFAAKRPSDGESSRSPTSPLDPKALLLRSPRSPRTWGAPGLVDALAGDATNCLLSPRLRLKSYSSLPKDCGGGGGGYSPPELGKTMSCPAPDTAAAAGMSVPCSRFHHGDLKSGPEATQSDGAQLSNGKRHSFDLGKLPGPGSLPASIAAGTRGFIGSVSASEIEQSDDYTRIIARGPNPKTTHIFGDCILEPHTVGDSDEAAMEVEEGAAGCYFVVKCAAGAAPAADDFLSTCFTCKKKLEGNDIYIYRGEKAFCSANCRDQEIQLEEEAENNTASISPRSSCSSIHEDIFMAGMVVAT >KQL06151 pep chromosome:Setaria_italica_v2.0:V:30997734:30998246:1 gene:SETIT_004186mg transcript:KQL06151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFDSTPPPRLFVRLVAVEGLGLGVDDQCAPPVFELAVDVGRIPEEAFHRGPLDVGGGGAMLRVSYRGVILAWGGVPLFTIDVRRLGRSAEGVGTVVAKAEGSVVRQEMRNMIRAELQAFGRAEFDVDGELRSLGGHLHCKTYLFEGEGEGEATEALPPCWVQKRPNYY >KQL08613 pep chromosome:Setaria_italica_v2.0:V:46312144:46313053:1 gene:SETIT_002783mg transcript:KQL08613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEPVRLIGGFGSPFAHRAEVALRLKGVPYELILEDLSNKSELLLKHNPVHKLVPVVLHGDRSVAESLVIVEYIDKAFDGPPLLPADPYERAQARFWAQFIDQRFARPFFMSFWIGDDDEHKKAFVKEAKENLALLEAQLKGRRFFGGDAVGFLDIAACALARWVGVMEEVTGVTLLNDEELPAFCRWANDYVNDETVKQCLRSRDDLVAYFSARKEMYMARARATLHK >KQL03364 pep chromosome:Setaria_italica_v2.0:V:1998312:2003118:1 gene:SETIT_000194mg transcript:KQL03364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVAGEPRRVVLLLDLDPLLPSPGSSSASAPASAPAASSYLAAVLPAATSLLAASPSPASLSAGRLFFSSLSPILSSSLLPRALPAAPTPLSFDLHPATLATLAPLRRLALRGPTHPRVPASSSIAKSLLQLEHDYSWDPDPQRARRRGFDPPPNLVVLFTAAAEFDEFGADGSFIDKFRKVFGPARDRLSANGLQVCWVAVASASEGIRRAVTELGWWFTTADAVALGSAVAPPTLVWGGVARCVGEGGQRGEVVLEIADVEGKPLLCKSCEVEVVGSPRWQGSGNNVSKILVKSVCEVGNWEQLVGGDGDAAMVRGCQREGGKGDGEEAVVKEYFPHQILELVLGDEKDRLGGAKPIWQLILVFLSRRNYCAAVSVSDGYGSSVDGVLVPFSMNCALLCFNKNGSSLGQVAAKGLETPDSCVSDAAKVQSARRKRSRLVNKLCEATTWNTFCDVLLKHADGSMPVVDFEELYFSRYGATSKKLRFLKCWMKQVKQSYLNTLPSLHTEREKCLPSKDESEARLLVSEEDASAGRVNFSVDDADSNKVETPLNEADCNKVDIPVDEADCSGVDRPVGEESSMFSSMEDLEAFLESVPHKIEQALCSEDADLGNLAERLVGLSAHALLVKHGKIAVWYSEHKEEEDASGAKIACELSNILLRKPKELVSKYRGSNSASVASEQTAKYTCTRHELQILLRMEIIKSELGSAIEESSKQKMIKEICSLLQFIDINLQGDSFQSDSILEYAEKTIKSRYISSMEDVIKKIYTQMEFDLFDDDDEIDCSDSVPSSSNQEDARFDRGGSHWNSAGASTSASAHHLLQRDARSSRQRDDDRHDELMVRAQLRRDRQRRLSSFTSWVPDLRRVWALKHPGKEPSVPLPRSRSSSSSKRRKRRRAACTDVVFETPMTAAKRQGSGSESPPESDGGEGTTRAAALGTVSKALFDEEEIETDVSSSSM >KQL06573 pep chromosome:Setaria_italica_v2.0:V:34353442:34354761:1 gene:SETIT_004003mg transcript:KQL06573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGAVSGNWRRLHTLGRGASGAVVSLAADGASGELFAVKSAAAAHAAAALRRERGVLAGLSSPHVVRCVGGAEGADGSYQLFLEYAPGGSLADEVARNGGSLGERAVRAYAADVARGLAYLHARSVVHGDVKARNVVIGADGRAKLADFGSARAPGSARAIGGTPAFMAPEVARGEEQGPAADVWALGCTVIEMATGRAPWSDMDDVLAALHRIGYTDAVPEVPSWLSAEAKDFLACCFARDAADRWTAAELLEHPFVAFAGADEKARWVSPKSTLDAAFWESESDDEADEMPEDAAERIKSLACSASAFPDWDCEEGWIDVLGEQQSEVQVASSAPSKVSGVAAVPAGGLAVGGGVLSDDELETEDVPFAGDAPAADASVGRQSKRCSSSDCHVGVLPFQLVLCCNGVIIDEIKLWLPTKFAVLLCLIFLVHTLLTPN >KQL05241 pep chromosome:Setaria_italica_v2.0:V:18744669:18745075:-1 gene:SETIT_004658mg transcript:KQL05241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTSGANDVDGKGNDNEPEMTLGLNELRDKIEKLSEEIKKTVKDFEEQANEHKVKLRRDIVTDVRNLIQADEEEASVHGSPKGETDEEHAARLQLDEQEHREHDATNFVHPHGRKNG >KQL05126 pep chromosome:Setaria_italica_v2.0:V:16210421:16211137:-1 gene:SETIT_005274mg transcript:KQL05126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVFSALALATCRRRGAVVPVMVGDLLALALLFSAVGAAAEFGILGERGNSHVRWAKVCNVYGAFCERAMAAVIVSLLAAFANLVMLMLTILTIHKSSSYY >KQL07275 pep chromosome:Setaria_italica_v2.0:V:38881217:38882068:-1 gene:SETIT_004117mg transcript:KQL07275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMKALLVCVTVALSLIHAVTAADYVVGNPAGGWDGRTDYKSWAAAQTFGPGDTLTFNYNSYHNVLEVTKDAFEACTTTNPITFDNSGSTTVVLTMPGTRYFICGAPGHCLGGMKMEIEVADRPAPTTPSSPPPLPPPPAHDAKTKPPAMAPTMALSPAPTPWMPGPAAAPPRHAGHKTKHRKNSKKGCPPETLVAPARPPAVQAVENLFPFAEVAPMSSTPPPPATSSGGHAVLRATWGEATASLAALGGFMLLLAP >KQL04977 pep chromosome:Setaria_italica_v2.0:V:13698507:13698879:-1 gene:SETIT_004131mg transcript:KQL04977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMQWCRWGITRWRCSRRDRPRDKVHGKRDRLINKIASIALSLNVPGQIFVSETALIV >KQL08067 pep chromosome:Setaria_italica_v2.0:V:43409012:43411185:1 gene:SETIT_002599mg transcript:KQL08067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVPAPSGSVITVASSSSSAAAAAVCGTGSPCAACKFLRRKCQPDCVFAPYFPPDNPQKFVHVHRVFGASNVTKLLNELHPFQREDAVNSLAYEADMRLRDPVYGCVGVISILQHNLRQLQQDLARAKYELSKYQAAAAAASASTGSNGGPQAMAEFIGNAMPNGAHNFINIGHSAALGSIGGSPAVFGQEQFANAQMLSRSYDGEPIARLGINGGYEFGYSTAMGGAGGPVSGLGTLGISPFLKSGTAGGDEKPSAGQ >KQL03516 pep chromosome:Setaria_italica_v2.0:V:2872913:2873832:-1 gene:SETIT_004927mg transcript:KQL03516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLALPAGIEKRMSSHLGQAVLDDLLIPSSSLDAGMTFDVDAVQRILAGYLEHESEAAQLDYNTDDDFISAASPPNDVGMIGRLMEAYLAEIASDTNLPIDKFTGLAEMIPERARFNKDSMYRAIDMYLKAHPHLSEPERKKTMVQVLYHEQRRLREAPTQAPSGASSFRRPPTAPTPSLMGRHTRGGAAPADEVSQLQRENDELKMELLRLKMRLRGPVRAAPSDWRLCMGVISQGDSSVEG >KQL06217 pep chromosome:Setaria_italica_v2.0:V:31803805:31805020:1 gene:SETIT_002295mg transcript:KQL06217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKTLVFKELMGGPEKINLVDEPAGPFLTLSLGPTNATGASCRATGRDGIDAKLRAHAGDDATADLALGLRCDSGEPQVLRAVVSGTKRPRAASDDHGDSKKALLPPQGRPAADRVSFRARCSAATVNDGCQWRKYGQKVAKGNPCPRAYYRCTGAPDCPVRKKVQRCAQDMSVLVTTYDGAHNHPLTPYAAAMASAILASSLASAPRDATGTATTADWGRANEEAPLLARPVAVLPPRGYPSSGVVAVSGGAPAAAPSQNAVPMANIMQKAVADPKFRAAVMAAVASYVGEQCGGRSINDLLTYWHLPTNPSDVK >KQL05956 pep chromosome:Setaria_italica_v2.0:V:29502431:29505859:-1 gene:SETIT_0006871mg transcript:KQL05956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDTVPLQSEGVQQGSPRICSTRRTDTCQRLVNRMPINPKLAVFESTQERFEVLLRPELRSSYPVGGGPGSSFQQLPNRNVPQQSYVPDDPGADFIVVDHAPGCNKSVRRMKNAADDRTGVVDRRRKKFRSSHRYSKEKNSAADNSRRLTDYFLAQDGTEAPPRPRNFNVGLDDMTNVPKIRIPRLRDLLSRPLGCLTGHPLQAFNELFDQFDQTLSENTCAIQASLCNIARAPYRLAEKCGPVIEELIAAQRSASDPNNIGETSRRNNSGTEDDFVDPHNDQLFEHGNCGVFRTPSSCYRDDVLRDGNGQNSYTTDPATSKTGGTTPCTKPHQEACRDDHARTTTCSDHIPPSSSLPDSNHDMNRTNNLIDAIYCEEQSNHMHTLPSPRTTQFEDQAKTDQNNMVSGTLHVSEQRTGKRMTRKPAKYSSPFKYGIMSRPAPNVDAATSLFGHMCADDSTLKSMPVIQFGSTPLTCDMIAQSFADGAIPDSTFITGFVKCLSYDDYWIRPEWHGYRIFFDADLSAILNVEWHKRDSSEPKYSQFAAVTAIQRCLPFTDLKKTKM >KQL05957 pep chromosome:Setaria_italica_v2.0:V:29502431:29506356:-1 gene:SETIT_0006871mg transcript:KQL05957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSDTVPLQSEGVQQGSPRICSTRRTDTCQRLVNRMPINPKLAVFESTQERFEVLLRPELRSSYPVGGGPGSSFQQLPNRNVPQQSYVPDDPGADFIVVDHAPGCNKSVRRMKNAADDRTGVVDRRRKKFRSSHRYSKEKNSAADNSRRLTDYFLAQDGTEAPPRPRNFNVGLDDMTNVPKIRIPRLRDLLSRPLGCLTGHPLQAFNELFDQFDQTLSENTCAIQASLCNIARAPYRLAEKCGPVIEELIAAQRSASDPNNIGETSRRNNSGTEDDFVDPHNDQLFEHGNCGVFRTPSSCYRDDVLRDGNGQNSYTTDPATSKTGGTTPCTKPHQEACRDDHARTTTCSDHIPPSSSLPDSNHDMNRTNNLIDAIYCEEQSNHMHTLPSPRTTQFEDQAKTDQNNMVSGTLHVSEQRTGKRMTRKPAKYSSPFKYGIMSRPAPNVDAATSLFGHMCADDSTLKSMPVIQFGSTPLTCDMIAQSFADGAIPDSTFITGFVKCLSYDDYWIRPEWHGYRIFFDADLSAILNVEWHKRDSSEPKYSQFAAVTAIQRCLPFTDLKKTKM >KQL07332 pep chromosome:Setaria_italica_v2.0:V:39178858:39182547:1 gene:SETIT_004021mg transcript:KQL07332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLTAQLAPLLSPARHRVAPPPLLAAATTPSPLISLFPHGHRHHDALCTNGRPLRLRRRSASLEREESGASETTFAPEEDPGPPVPSDDDAEDSVTASAEQAEASPEDLENIREVKRVLELLKKNRDMTFGEVKLTIMIEDPRDIERKRTLGIEDPDEITRDDLADALVEVNEGRIPENRIALQLLAKEMAEWPDLEVEAPKGKGKPGKSVYAKATDTGIDPETAAKRLNLDWDSAADIDGEEEEEDETEVPAAVRGPKACGRDEIRGQPTHCGLETTQECTTARPLMCSPPFRQSHPVWTSGRKLTFPCGMMSLEEASSFKKEADETRVASDGEQGRAATARDPTAGRPFRSRSSVTELSSTASSISYRKARHDKIGGGDGFWCGVLCMHLPGLSRRRSMQQRQSISPSEADARASTAGAGGARASTVSMEGFKYSSSSAGIVFERADREEEEEEQQEEVSAYFDLPLELLRISSVDTESPVTAAFVFDGSCGRGAKKVVPEMQDLDFSFPAPPDFSSPSSPRS >KQL06401 pep chromosome:Setaria_italica_v2.0:V:33101884:33102380:-1 gene:SETIT_003720mg transcript:KQL06401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLQVVWWSTVHTQGLSSFTQSTVLSQVMSPNKHWILKRKPSKKSLLCSF >KQL04277 pep chromosome:Setaria_italica_v2.0:V:7830336:7834974:1 gene:SETIT_001942mg transcript:KQL04277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDETMQALFLSFVFGDEMEELGRRDRDRFLKNWANGILFLSTWRHGGTCTPQTTDPFKTPEHLHASAALQLPTTHPNESTAPHLVRRPPAMAAAHSGDYLGRFVAETGWYNEVVLSAVTPGNWWRGLPHPVQSWMRNCVGGYLLYFISGFLWCFVIYYWKRNAYIPKDSVPTNEAMRKQIIVASKAMPLYCALPTVSEYMIESGWTRCFFNISEVGVPMYFINLALYLIFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKENTLSPFAGLAFHPVDGILQAIPHVFALFIFPTHFRTHIALLFVEAVWTANIHDCIHGKIWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLREPVDILKNE >KQL04320 pep chromosome:Setaria_italica_v2.0:V:8102918:8104337:1 gene:SETIT_002894mg transcript:KQL04320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMHGHSAPRLFGRERTLHAALGGRRAADIILWRDKKESAAILAAATAAWGLFEVAEFHFLTLVCYAAMIGMLVFFIWTNASSFFNLPVPRIPETLLSERATRQAIQDGHRRLSRLVETLYYIACGKDIKMFILTVFSLYIASVIADCFSSLTLLYLVVLGTMTLPALYERYHDEVDHLVARGVHDLRTHFADMDSGVLRKIPRGAGAAAK >KQL04319 pep chromosome:Setaria_italica_v2.0:V:8102923:8103732:1 gene:SETIT_002894mg transcript:KQL04319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTMHGHSAPRLFGRERTLHAALGGRRAADIILWRDKKESAAILAAATAAWGLFEVAEFHFLTLVCYAAMIGMLVFFIWTNASSFFNLPVPRIPETLLSERATRQAIQDGHRRLSRLVETLYYIACGKDIKMFILVRRQSSHSVCYQKLQKICF >KQL04999 pep chromosome:Setaria_italica_v2.0:V:13921561:13924655:-1 gene:SETIT_001994mg transcript:KQL04999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFSCCSVVDDDVGKRKKHDDDYVPLPAQGRAQPIAVPVIPLEEMKEITKNFSNDALIGEGSYARVYFGVLKDGMKSAVKKLDSSKQPDQEFLVQVSAVSRLKHENVLQLVGFCAEGNIRVLAYEYATRGSLHDILHGKKGVKGAQPGPALSWMQRVRIAVSAARGLEFLHEKAEPRVVHRDIKSSNILLFDNDVAKIGDFDVSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSTKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVRQCVDPRLDGEYPPKAVAKMAAVAALCVQYEGDFRPNMSIVVKALSPLLHSRSGNRPSGSSASTATTAAERPGL >KQL03428 pep chromosome:Setaria_italica_v2.0:V:2305019:2307504:-1 gene:SETIT_001159mg transcript:KQL03428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLRCLFVLALLVVQLPGDAMAGRGHHGPAAHDYRDALAKSILFFEGQRSGKLPPSQRQSWRRDSGLSDGASAKVDLVGGYHDAGDNVKFGFPMAFSMTMLAWSVVEFGGLMKGELQHAREAVRWGADYLLKATAHPDTIYVQVGDATKDHACWERPEDMDTPRTVYKVDPGTPGSDVAAETAAALAASSLVFRKSDPAYASRLLARAKRVFEFADKHRGSYSTGLAADVCPYYCSYSGYQDELLWGAAWLHRATKSPSYLSYIQVNGQVLGADESDNTFGWDNKHAGARILISKSFLVQRLGSLHEYKGHADTFICSMVPGTPTDQTQYTRGGLLFKLSDSNMQYVTSSAFLLLTYAKYLAFGKQTVRCGGAVVTPQRLRAIARRQVDYLLGSNPMGMSYMVGYGARYPRRIHHRASSLPSVAAHPGRIGCSQGFTALYAGGANPNVLVGAVVGGPDLQDKFPDQRNDHEHSEPATYINAPLVGALAYLAHSYGQL >KQL04306 pep chromosome:Setaria_italica_v2.0:V:8015690:8019505:1 gene:SETIT_000558mg transcript:KQL04306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACPVPAAALLCPVVLLLALLPSPATTAAALNTSNPCAPALCGGINIAFPFWLAGKHPPECGYKAYQVTCDKGNLTLKNSYWTYQLLDIFYQNSSFRADLSGGLCDFKNFVNAASDLGLSPFKISPKNQELFFLYDCELRGRHAPRSWTLVNCSSPDDPPWAFALLGKNYTPGGTGMPPPMNCNVSTTPVLGYEGATGADYQRLLKGGSLLEYTDDEPCKDCTDTGGRCRVNVSDDAFACYCNDEITTDFNDLGNPNDNNIAAHRFKRKITSALISVWMTVAASLLLPCIYVLVWHRKRGKLHFFLCEKTSRSTQRNIEALIVLHGSLAPKRYKYSEVTKITSSFSNKLGEGGYGAVFKGRLDDGRQVAVKFLHDSKGEGEEFVNEVMSIGRTSHVNIVNLFGFCLEGSKRAIIYEYMPNGSLDKFIYSENPKAVLGWDKLYTIAIGIARGLEYLHHSCNTRIIHFDIKPQNILLDEDFHPKVADFGLAKLCHTKESKLSMAGARGTVGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVNSIAQKSSEKYFPHWIYDHFGRGDGLQACDVSSENEGIAKKMSVIGLWCIQILPMHRPTITKVLEMFERALDELDMPPRQNFSQILEDPNYNLNAQSLSTSSGTKTQVFSEVLKMKEMSLENSKLLQRLPTL >KQL04547 pep chromosome:Setaria_italica_v2.0:V:9733569:9735582:1 gene:SETIT_002096mg transcript:KQL04547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVKRILEEFLNIIPEEYSVQRSDLDDFLVEFSLSAIAERILHSHLPSEALFQLIWRRCLTSLQFRVLNELRGIPAHAQNVETTQIALDFACSDLVEASCIHLDLVPIEKLIFIPEPSTPYVGCGLFLKPHELIHSKHDGLWYSVHIRIVDVQDWNIFSDSFDDGTPPDNHDSSEDEDYPGFSQCSRKNPWPKKTQFIDEAGGSGGGPSLGLDWGSCSPRRQQGSATVVAECPVASPSCNSKAESGQDVIITATVPEGGITSRTTANTSEVQGPHASENNTDDKEKRETGQEVMPSSDKTDTPSREHAYEKARHLISYWATKRRGFLALPGGLHRYPIDFPM >KQL07523 pep chromosome:Setaria_italica_v2.0:V:40258986:40259875:1 gene:SETIT_002951mg transcript:KQL07523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQSPLRIRQDGKFYERLLTKESSAANLSFRYYWAEPGAVPFVWESQPGTPKDVARMAAAGALPAITPPPSYLLRHGNGGGRQAAAASAARHDQKSGKAARSGKKRRCRLKRIRIGFIAGIFRRISLGKAWRRSAPPVKVSSSSRWLFSSVATEAGDHNLHQQDEIAVAAHATKPHKTVPCSFPIPWLLRFRCSSGNRGGGADGWA >KQL07208 pep chromosome:Setaria_italica_v2.0:V:38369153:38371780:-1 gene:SETIT_005193mg transcript:KQL07208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPILASLIHGIASLLTTGVANHGQRLLATGQDVRWLRDELHSMQIFLHEMEACGGDDGATTEAWVHQIRDVMLDSEDVIDVFDTSQVSSCSILRNLRARSKVGARIRRIRNQLSDISRRRLEYPTKPPAGCSDNWIHGLASSPLLHDKDTVGLDRDLGVLLQHILGGESELSVMSLVGMGGVGKTTLAKKVYNHPHVTKHFDRSSWVYVSNMMERRGVLREMAKGLMRIPSAEASSLSEGQLQQLLLSGLGGMRFLLVLDDVWDKGLWNMIKLVLPNNDSGSRVLMTTRNITVAEPVVDARSDVHRLQPMTFEDSYNLFCKKAFLKDGICPDDLKEMAQDIVRKCAGLPLAIVAAGSMMSRKEKTDTKWRCVLENIQKDQNNGDMGVQQALLLSYKDLPHPLKPCFLLLSVIPYDSEISRKKLIRLWIAEGFVQEKNNETLETTAEKYLMELINRSMIEVAVASSSGRVKACRVHDLLHDLAISLSENGKFSVICHDRCASTSARRISLQTSNVLFRKEHKKRLRSVFMFSSSAPAVLKSKIIAKSFELVRILDLEDGEVLKLPKEIGGLLHLRYLGLRGTKVKELPRTLQKLCHLQTLDIRKTQIKIVAFHIKCLRNLRNLEMRQDGQSISVPMGLAQLGKLQVLTGLQASTAVVPEIASLTKLQKLSIEDLNNEDAEKLCSSVNNLEELSYLSIFSGDGIRPLDIATLKPSSCLQKLHIAGTLQTLPDWFAQLQNLTKLRLSFSKLEEDPLSVLAQLPNLLFLQLNKAYQGKVMRCCCPGFPKLKIFIITELEKLEEWDVDEGAMPCVLEVWIMLCANLATVPTGLQSLATLQRLRLVGMPSSFIDRLGEHGEDFVRVKHIPSIQIIQQFG >KQL07507 pep chromosome:Setaria_italica_v2.0:V:40121149:40121358:1 gene:SETIT_004182mg transcript:KQL07507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGMPACLSKKKIASVVWVLHLNSAEAYWYRQEIYNSEWYVPDVVVMLAPTKFNLDIYITVLLLFLHT >KQL07402 pep chromosome:Setaria_italica_v2.0:V:39547358:39549128:1 gene:SETIT_003781mg transcript:KQL07402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCAGGELFDRIIAKGKYTERAAASLLRTIVEIVHTCHSLGVIHRDLKPENFLLLSKDEHAPLKATDFGLSVFFKQGEVFKDIVGSAYYIAPEVLKRNYGPEADIWSIGVILYILLCGVPPFWAESEHGIFNAILRGQVDFSSDPWPRISPGAKDLVKKMLTTDPRKRISAYDVLNHPWIKEDGEAPDTPLDNAVMNRLKQFRAMNQFKKAALRVIAGCLSEEEIRGLKEMFKSMDADNSGTITVDELRRGLAKQGTKLSEAEVEQLMEAADADGNGTIDYEEFITATMHMNRMDREEHLYTAFQYFDKDGSGCISKEELEQALKEKGLLDGRDIKEIISEVDADNDGRIDYSEFVAMMRKGNPEQNHKKRRDVVL >KQL04310 pep chromosome:Setaria_italica_v2.0:V:8052275:8053923:1 gene:SETIT_004485mg transcript:KQL04310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTKITSSFDNKFGEGGYGVVFNGRLDDGHLVAVKFFHNSKGEGEEFVNDLFGFCLEGSKRALIYEYMPNGSLDKFIYSESPKAVLGWDKLYTIAIGIARGLEYLHHSSNTRIVHFDIKPQNILLDENFHPKVADFGLAKLCHTKESKLSMTGARGTVGFIAPEVHSRTFGVVSTKSDVYSYGMMLLEMVGGRKNVKSVAQKSSEKQGDGLQACEVSSENEGIAKKMSVISLWCIQILPMHRPTIAKVLEMFERGLDDLDMPPRQNFSQILLNVEITRTVSGTETRVLSQVLKMKEISIVNSKTLQRLPTL >KQL07589 pep chromosome:Setaria_italica_v2.0:V:40678461:40679217:1 gene:SETIT_005332mg transcript:KQL07589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFLFPNIKAACCGTGLPKTLWLPSEDSSLRTVPGSQSREHANGGRWTPFR >KQL06185 pep chromosome:Setaria_italica_v2.0:V:31518222:31518839:-1 gene:SETIT_004205mg transcript:KQL06185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGTYDTDVVMDDGTTIRTTVTNSGDAVESFLREVVGKHGRQLLVGIDTEWRVVPTENGRPKNQMAVLQLCVGRRGLVFQIFHADHVPGALKDFLACPDHRFLGVAVDGDVRRLSEDCGLAVANAVELRHVAAEVLSRPEFRNAGLKALTREVMGVHIDKPKRLTMSKWDEHRLSMEQVQYACIDAFVSYEIGRLLLTAGPDPV >KQL08444 pep chromosome:Setaria_italica_v2.0:V:45497977:45498609:1 gene:SETIT_005406mg transcript:KQL08444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLFQCPCCSCFCFMKASNKTQGKGNAAGQPSKMQGGVVGKTIEMM >KQL05896 pep chromosome:Setaria_italica_v2.0:V:28904347:28904649:-1 gene:SETIT_004420mg transcript:KQL05896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEGLHCYLDIIQNDEKEDYHRWKDFNVKTWDIDMLDGLPQQEDRTSSGLFMLKYMEHWNGYRLQKGFTQNLIDEFRSKLAAILVNSVFNEEQTMKGSPEI >KQL07132 pep chromosome:Setaria_italica_v2.0:V:37836023:37839691:-1 gene:SETIT_000541mg transcript:KQL07132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQWLGREARGGGGSGTSVVVKMESPDWAVPRSEAAAGAGAAGGKAGRGKNARQITWVLLLKAHRAAGKLTGAASAALSVAAAARRRVAAGRTDADAGAAPPGESPALRTRFYGFLRAFLLLSMLLLAVDVAAHLQGWHLAVDVPDLLTVEGLFASGYASWVRIRLEYLAPALQFLANACVVLFLIQSADRLILCLGCLWIKLRGVKPVAKAGGKGSDDVESGAGEFPMVLVQIPMCNEKEVYQQSIGAVCSLDWPRSNFLVQVLDDSDDAATSALIKEEVEKWQREGVRILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVVIFDADFQPQPDFLKRTVPHFKGNEDVGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLKGWKFLFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCFVDIIKSKIGFWKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSLLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLIALVEKQSKQQRVGSAPNLDSLTKESSNLKKDFRKKKHNRIYRKELALSFLLLTAAARSLLSVQGIHFYFLLFQGVSFLVVGLDLIGEQVE >KQL06920 pep chromosome:Setaria_italica_v2.0:V:36416343:36417895:-1 gene:SETIT_002081mg transcript:KQL06920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGISRSLTHRRPPFPLAATAAAAAAAMSSSATGANVSDCPISPDTTRVAWVGTGVMGQSMAGHLLSAGYALTVFNRTASKAQGLVSHGASLADSPRAAAAAADVIFLMVGFPSDVRSTALDPSAGALAGLAPGGVLVDMTTSDPTLAAEIAAAAAAAGCSAVDAPVSGGDRGARNATLSIFAGGDAAVVARLAPLFKLMGNALYMGGPGAGQRAKLGNQIAIASTMVGLVEGMVYAHKAGLDVAKWLEAISTGAAGSKSLELYGKRILERDMAAGFYVRHFVKDLGICLSECQAMGLSLPGLALAQQLYVSLMAHGEGGLGTQALILAIERLNNTSLEKKPE >KQL08626 pep chromosome:Setaria_italica_v2.0:V:46350193:46351049:-1 gene:SETIT_005521mg transcript:KQL08626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSECRVIPLAARFSLPPCSRSTTTTASVTTSPSARSGAAVSSTDAPLVTRSSMMRTVSPALYDPSMDLAVPCALTSLRRMSMGMLRSTERTAAMGSAV >KQL06302 pep chromosome:Setaria_italica_v2.0:V:32475994:32476428:1 gene:SETIT_005357mg transcript:KQL06302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRLSVGGGCASALLDAAAFRVMYVVEAVVLGLALSCFFCCCGCQI >KQL05198 pep chromosome:Setaria_italica_v2.0:V:17390703:17391184:1 gene:SETIT_005229mg transcript:KQL05198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRCTSDWVFTQGTMLIDPLNRTPDVHHLWLPIFNR >KQL08049 pep chromosome:Setaria_italica_v2.0:V:43319034:43322004:1 gene:SETIT_002409mg transcript:KQL08049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRLCLRLHARLPPATTPPPPPFAAPAVLPASRRIRTGGSYGVALRRPTRRSNPAIRASEADGAPGVAVKERSVSVILLSGGQGKRMGASMPKQYLPLLGLPIALHSLKTFCQLKEMKEVVVVCDPDYSDVFEGSIENLQIPLKFACPGKERQDSVFNGLQEIDGDSELVCVHDSARPLVSSEDVKKVLQDAAVHGAAVLGVPVKATIKEANSDSFVVKTLDRKTLWEMQTPQVMKPDLLKAGFELVKRDGLEVTDDVSIVEYLKHPVYITEGSYTNIKVTTPDDMLLAERLMSGK >KQL07385 pep chromosome:Setaria_italica_v2.0:V:39442850:39445515:-1 gene:SETIT_002922mg transcript:KQL07385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGLVTDEVTMGRLYRIRRTVMQMLRDRGYLVVEHELATSRRDFLRKFGESFHREDLLINKYKKNDPSDQIYVFFPNDDKVGMKHIKKYVEMMTHENVSRAVLVLQQNLTPFAKSFLIELEPKIHLEIFQEAELLINIKEHVLVPEHQVLTNEEKKTLLERYTLKETQLPRIQITDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >KQL07439 pep chromosome:Setaria_italica_v2.0:V:39746977:39748923:1 gene:SETIT_004548mg transcript:KQL07439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLKPQEAATLPREALEAHIVSLLRRCRGLLALRATHAHLLRIRLPRLTAAFALSKLLASCASHSPNAAASYARNLFDQIPDPTAFCYNSLIRALPAAGPSALAAYCRMLRAGSPRPNTFTLAFALKACAVAAPAPAHGEGRQLHAQAFRQGLEPSPYVRTGLLNLYAKCEEVALARTVFDGMAGDRNLVAWSAMIGGYSRVGMVNEALGLFREMQAAGVEPDEVTMVSVISACAKAGALDLGRWVHAYIDKKGITVDLELSTALIDMYAKCGSIERALGVFDVMVERDTKAWSAMIVGLAIHGLVDDALRLFSRMLELKVRPNNVTFIGVLSACAHSGLVDDGRRYWSAMQNLGIKPSMENYGCMVDLLCRSGLLDDAYSFVIGMPISPNSVIWRTVLVASKSSNRIDIVESASKKLLELEPLNPENYVLLSNLYASNSQWDRVSYMRKKMKDNTVGAVAGCSSIEINGYLHKFVVSDDSHPEIKEIRLVLREIADRVRRAGHKPWTAAVLHDVGEEEKEVALCEHSERLAIAYGLLKTKAPYVIRV >KQL08359 pep chromosome:Setaria_italica_v2.0:V:44963883:44967551:1 gene:SETIT_002958mg transcript:KQL08359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGALSDRERMLFFEAACRNAEAAYAQNPLDADNLTRWGGALLELSQVKAGPESLKCLEDAESKLEEALKVDPIKADALWCLGNAQTSHGFFSADNEKANEYFVKATECFQKAVDVEPANELYRKSLDLSSKAPELHLEIQRQMASQAASQASSASNPRQSRKKKKDTDFWYDVCGWVILGVGIFAWVGMARSNIPPPPPPPAR >KQL07214 pep chromosome:Setaria_italica_v2.0:V:38396734:38400632:-1 gene:SETIT_002877mg transcript:KQL07214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLDPRLYENVSVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLKLPIDYSVNVDKRKAIFNFVLEGNALKAIELTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTSFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADSLNRAVLAHANLPAYSSLERVVQQATVVRQYLQQEVGKDSYPPFSLKAFLSK >KQL07213 pep chromosome:Setaria_italica_v2.0:V:38396186:38400733:-1 gene:SETIT_002877mg transcript:KQL07213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLDPRLYENVSVSDNDVRNIVLSYLMHNCFKETAETFLSSTGLKLPIDYSVNVDKRKAIFNFVLEGNALKAIELTEELAPNLLENDMDLHFDLLSLHFIELVRSRKCTEALEFGQKKLTSFGKVPKYVEKLEDFMALLAYEEPEKSPMFHLLSPEYRQNVADSLNRAVLAHANLPAYSSLERVVQQATVVRQYLQQEVGKAFLSK >KQL08710 pep chromosome:Setaria_italica_v2.0:V:46800414:46802474:-1 gene:SETIT_003399mg transcript:KQL08710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLLANLIVMGGSVMGRAMLQAYRKAIVNANQTGAAQEAINGIRRASKVMTEQEARQILGISEKSTWEEIVQKYDTMFERNAKNGSFYLQSKVHRAKECLEPLYQKPDVPN >KQL03798 pep chromosome:Setaria_italica_v2.0:V:4642311:4646097:-1 gene:SETIT_003212mg transcript:KQL03798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDAARCSEIPGRCHHCAGPLSKDMETSSWTVPPMVRDSFSMIGSAVGGIAGAFYGFNHTMPVVRRYIKGPMWMHFLVGAPPVIVFSSACAGLAGGTIPAAAQLVSSSYHAAMSSPSFARSSSHATIPSQSFARSCSASHDDMHKARSSSPL >KQL04944 pep chromosome:Setaria_italica_v2.0:V:13246742:13248433:-1 gene:SETIT_002023mg transcript:KQL04944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHEQSGHHHHQLPRYGNGGGGGAGAATGVARASKKNKPKKIPQRGLGVAQLEKLRIEEQKKMEGAAVPGAAHAPHPHALGGGGGSLGHLLSMHPPPPPPLSLSALPRPAAAGSVHCGFPPVLWDPADPMRHPYKRSLCPQPPLPTVSTGLSLTALSSHPTEPPSNQMYSSNVSRSSAAAPAEEDRDAAGVDRSWPFMFEGMNAAAFRTPGKAPFPARMTREAGLPDVCPDLSRYEFRATNYFSTNASYSDWASEFAHCKSSKENGCAGEPAYLTLNAQPVPLIKQPHVIPSIHLPEYSDFGVMQSQASEQGSVSASSSSRPFYSFMPVGPVRCERPLSEIKADVSDGVDLELKL >KQL06837 pep chromosome:Setaria_italica_v2.0:V:35965189:35971159:-1 gene:SETIT_000134mg transcript:KQL06837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPDRAAAGGGAGGHLRGHAHLASCIHLRHHHGHGGAGAGGGGASSSGRRRSPASVASAALMRDLLALQRSRSLRDPSTRRSVESSRVAADPDADTDDDDIDLPAKSRRSAGAATTSGALKTLLDQLAENPHPHPKPGRRPPRRFKRRAGRRATAASKPPDRAAALSVNSSSQEAVCGNKYLFHGGEDDDDDDGEELRHHVSQDSRNVCGIPWNWSRLHNRGKSILDMAGRSLSCGLSDTKSAAERKSEAAAASSGRVNASRPLFPVKSERLASSTSSDSDALPLLVEAATSGARNRIGRISGSYSGELGIFSNQTSEMDSDLLSESQSGHKSQASQHGRGRHRSLTQKFAPKTFKDVVGQSLVVQALSNAILRRKIGLVYVFYGPHGTGKTSCARVFAKALNCLSPEHPRPCDSCTSCIAHNLGKSRNLMEIGPVGNIDMDGIVDVLDNVMLSPAPSHYRVFVFDDCDTLPADTWSVISKVVDRAPRRVVFILVSPNLELPHIILSRCQKFFFPKLRECDIVNTLQWICTSESLDVDKDALKLIASRSDGSLRDAEMTLDQLSLLGQRISLSLVQELVGLVSDDKLVDLLDLALSADTVNTVKTLRDITETGVEPLALMSQLATIITDILAGSYAFTRERPRRKFFKRPSLSKEDMEKLRQALKTLSEAEKQLRVSSDKTTWLTAALLQLAPDKQYLLPSSSTSTSLNHGGLVGSFPDRDMAKTSAIEHKGNVVGTSYGERRTVEHTENGHVLSTSSVRGNEGTKHRKAENEMIWQAVLESIQSDTLKKMMAKEARLSSVSLGTAPTVQLLFSSRVNKSKAENYRAQILQAFESVLRSAIILEIRYESKDGARASHAPSMFPYPENDSSNTTMRRSFSKHSLLSSGGENLMRRLKKDSVVKGASSSKTRWMQSDPHILTEGEIIEVGPSHMHWHAQTNNGVLDVNERRKENVWEEEASSSLNQESITSWKGRSGNKQRQQNSIVKGKVSLAHVIGRAEACSQRGGWSRRKAISIAEKLEQENLRLESKSRNLLCWRTSRTRRKLSSIKVRNRRSRAISRLILCGRCISTKSPR >KQL06771 pep chromosome:Setaria_italica_v2.0:V:35512305:35513922:1 gene:SETIT_001084mg transcript:KQL06771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALVSAVLGDLISRSISFAVDRCCHRRRKGGIEDSPLRLRRVLLRVQAVVEEADRRRVTNQAMLRQLQLMREGVYRGYYLLSAFKSQGAMQGKTQQDREVSHSSSSFALSQFNPAKRLCTVSARTTTANVASDDTRREGDAEAELREVLTSLERMANDMKELVVFLSCYPPTRREPYSGHLWLENRMFGREAEQERIMSFLLETEPPVGTEDLGVLPVIGRARVGKSTLVEHHFSLIVFLGEGDIEDGNLSSPHQLGDNGIIKHRHLNSSAGKSLVVIELDGDDVDESTLGRILSALRRERTAPVRKILVTSRSEKIASFGTTRALELKPLPREAYWYFFKTIAFGSTDAGDQPELASVCMEIAGLLNRSFIAANLIGGLLRANPCSQFWRKVLKGYRDNTSMHLLLFGEHQSDLLAKDRPVYFWRLVPKTQSILIVYSCYQVCSPRKHGLPKITANDVQIGRARPRGKFEVLAWRSHIPPYYSYMLSSEERTSSSALRIPARNKQIRQQ >KQL04126 pep chromosome:Setaria_italica_v2.0:V:6698209:6699084:-1 gene:SETIT_004366mg transcript:KQL04126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSIGHVVPGIIISGLGLWQLFNHIRLFSLDPGTYVAPAWFPAPRVRYLELLVMLAGGAYGFVSQMFGYSMPFNADGSIPEARLPNHEHAVIYAALVLYAGAAAYLDRSRWLRGRRTLCTLLLALLYAQELFMFHVHSSDHAGVEGQLHWFLQAVVAACLATALLGAGFPQSFAVSLVRSAALMFQGVWFMDIGVMWLPGLIANGCSLEDGGRSIRCHSEESEHHAKAVINLQFGWCLTLMALFVVVLYLYVCRRYPPEATYGRLPEAGDPEDLKAGHCMRGFTSLEIEV >KQL04312 pep chromosome:Setaria_italica_v2.0:V:8075836:8077620:1 gene:SETIT_001561mg transcript:KQL04312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFALADAKKSGKKVILIVSLSITFGLLLALLTIILKFRRRIIHGFSLPSIMDRSGRNDTANVEKLLQKYGALAPKRYKYSELKKITESFKHKLGEGGYGAVFRGVLNASGGGGNRAREVAVKVLHHSRPNGEEFLNEVISIGRTSHVNIVTLLGFCLEGSRRALVYEYMPNGSLEKYIYAAADQAETAALGWEALQEIAGGIARGLEYLHEGCNTRIIHFDIKPQNVLLDAELRPKIADFGMAKLCNPKESILSMADARGTVGFIAPEVFSRGFGVISAKSDVYSYGMLLLEMVAGRSNVKAYAEKSSGDLFFPLWVYDHLLEDGGVLRDGGAGAGSGAAGEEIARKMALIGLWCIQTVPASRPSMSRVLEMLERSVDELAMPPRPYHASPSNSPSPSHPSSYPSSTSDFTLRSSRLRTPESTA >KQL06021 pep chromosome:Setaria_italica_v2.0:V:30150380:30151801:1 gene:SETIT_005186mg transcript:KQL06021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEVAVKGEAMKQGGATAKGPVVVTGASGFLGSWLVMKLLQAGYTVRATVRDPTNVAKTKPLLELPGAAERLSIWKADLTEEGSFDEAIKGCTGVFHVATPMDFESKDPENEVIKPTVEGMLSIMRACKEAGTVRRVVFTSSAGTVNIEERQRPVYDQNNWSDVDFCRRVKMTGWMYFVAKSLAEKAAMAYAAEHGLDLISIIPTLVVGPFLSVAMPPSLVTALALVTGNEAHYSILKQVQFVHLDDLCDAEIFLFENPDAAGRYVCSSHDSTIHGLAAMLRERYPEYRIPERFREIDDGDLQPVHFSSKKLLDHGFTFRYTVEDMFDAAIRTCREKGLIPLATAGGDGSASAREPGEADAALGRDGPAVGA >KQL08133 pep chromosome:Setaria_italica_v2.0:V:43717278:43717566:-1 gene:SETIT_005257mg transcript:KQL08133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPLPFTGMAFINIAIISQRFITKEKKCSHHERIILTYWA >KQL05804 pep chromosome:Setaria_italica_v2.0:V:28018968:28020190:1 gene:SETIT_003583mg transcript:KQL05804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRPSPRPRTAGLGEAERPRSLALASQRRLPTNLRSAGHQCRNSRGRARRRGRCDGRRTHECDDAGCQIVLYLTLPELI >KQL03616 pep chromosome:Setaria_italica_v2.0:V:3432661:3432955:1 gene:SETIT_003591mg transcript:KQL03616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFCFPAIKPQPVEKVPNQRNQFQFPSHLILAGCLSNHVLVGANYNFTGKRLAFPTITRLRGSSVPTEFQYWIMPPQ >KQL07413 pep chromosome:Setaria_italica_v2.0:V:39621741:39626209:1 gene:SETIT_000438mg transcript:KQL07413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPSNFMPTPDQDVLDIKPLRTLAPMFPAPLGVNTSNQSTTPPLIFVTPAEQFQGGFGAWNKSSAQSFFAFGGKDACGGKAHTFGDQNVDGGKAATFCDQDTVGSENAAVGDQGCAGGQSAAHWTSDVSANANGPIDATPISAYRATRPNVISLDDDDDDDENYIANQTSASGRKIKRPSRLSGYNMNDGMGSDSSNSMKTKRPKPSHKKASANNELAVVPPSGDPREIVEAVLMTFEALRRRHLQMDEEQETSKRADLKAGAIMMARNLRANTGKRIGVVPGVEIGDIFYFRMELCIIGLHAPSMAGIDYMTAKFGDEDDSIAICIVAAGGYDNKDDDTDVLVYSGSGGNSRNNEERHDQKLERGNLALERSLSRKNLIRVVRGYKDPGCLTGKVYIYDGLYRIHESWKEKTKSGTFCFKYKLLREPGQPDGVAIWKMSQKWLENPTTRGSVLHPDLSSGAENLPVFLVNDIDNDEGPHHFTYITQIKHLKPPSSIKPVQGCTCLSVCLPGDANCSCAQRNGGNLPYGSSGLLVCRKPMVYECGESCRCSFNCRNKVTQKGVRIHFEVFKTGNRGWGLRSWDPIRAGSFLCEYVGEAIDDAKCDLNSHEDDYMFQTLCPGEKTLKWNYGPELIGEQSTNISADTFEPLPLKISAKKMGNVSRFMNHSCAPNVFWQPVQFDHEDDHRPHIMFFALKHIPPMTELTYDYGDIGTDSSGVPRAKNCLCGSSNCRGFFI >KQL08329 pep chromosome:Setaria_italica_v2.0:V:44827669:44833128:-1 gene:SETIT_000130mg transcript:KQL08329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNEWINGYLEAILDSRTAAGGSGGGGGGGGGVGVGGGGGDPKSLAAGASPTGATSPRGPHMNFNPTHYFVEEVVKGVDESDLHRTWIKVVATRNARERSTRLENMCWRIWHLARKKKQLELEGFQRMIARRKEQEQGRREATEDLAEDLSEGEKGDTLGELAPVETAKKKFQRNFSDLTVWSDDNREKKLYIVLISVHGLVRGENMELGRDSDTGGQVKYVVELARALSMMPGVYRVDLFTRQVSSPDVDWSYAEPTEMLTTGSVDGEGLGESGGAYIVRIPCGPKDKYLKKEALWPYLQEFVDGALQHILNMSKALGDQVGNGGRPVLPYVIHGHYADAGDVAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRMSKEEIDSTYKIMRRIEGEELALDASELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVSCHGRFMPRMVVIPPGMDFSNVVVPEDIDGDGDSKDDIVSLEGASPKSMPPIWAEVMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDNMSAGNASVLTTVLKLIDKYDLYGSVAFPKHHNQADVPEIYRLAAKMKGVFINPALVEPFGLTLIEAAAHGLPIVATKNGGPVDITTALNNGLLVDPHDQNDIADALLKLVADKNLWQECRRNGLRNIHLYSWPEHCRTYLTRIAGCRLRNPRWLKDTPADAGADEEELLEDSMDAQDLSLRLSIDGEKSSLCINEPPSSDPQDQVQRIMSKINKSSALPPSMSSVDSAKNAAEATGSIVNKYPLLRRRRRLFVIAVDCYQDDGRASKKMLQVIQEVFRAVRSDSQMSKISGFALSTAMPLSEALQLLQLGKIPATDFDALICGSGSEVYYPGTAHCIDAEGKLRPDQDYLMHISHRWSHDGAKQTIAKLMVAQDGSSDVIERDVASSNPHCVSFLIKDPKKVKTIDEMRERLRMRGLRCHIMYCRNSTRLQVVPLLASRSQALRYLFVRWGLFMGNMYLITGEHGDTDAEEMLSGLHKTVILRGVTEKGSEALLRSSGSYQRTDVVPSESPLVCYTDLKADEIILALKQVSKTTSST >KQL05870 pep chromosome:Setaria_italica_v2.0:V:28632869:28633599:-1 gene:SETIT_005213mg transcript:KQL05870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTIPFLTSSPVSILHRPGLCHYKVFTDSFASEASSSFRHGPWPLLQL >KQL06851 pep chromosome:Setaria_italica_v2.0:V:36073571:36076578:1 gene:SETIT_002751mg transcript:KQL06851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLQGGDDESHGFSGFPYFSVPSTPVLDAPPPSLSSEDDNQHGTLASLQQAACNGLPPLGPDQLAAPPMINLPPMVDWSSLIQQASLMGVPPVPGLQQAVVPQLDQSGENDGGGEGAGSSGGGKDKAAKGGGAGRSGKKKASRPRFAFQTRSANDILDDGYRWRKYGQKAVKNSAHPRSYYRCTHHTCNVKKQVQRLAKDTSIVVTTYEGVHNHPCEKLMEALSPILKQLQFLSQF >KQL05382 pep chromosome:Setaria_italica_v2.0:V:23684002:23684805:1 gene:SETIT_005141mg transcript:KQL05382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRFFRGAALAAAAAGGLSSAVVSWNLSSPLPLSASPSSSPSTSVGPAAAATGHLALVRAHPGLRELGAMLTPASFFVDATQALLAGALRCAPLYPSTLRQGRDYLTAQILSAESEGHAASEEAAMDRINMALLDARDGHLDDASDAIARLAAERPGDTTSRLYAAALCHVLGRHEEGTRWLHDAAVPDLSRLEHKMPFVEGVLVSTVGSAPRAVAGSEELVLRTTLGLVELTMWSIFQHGDLPERLQVLALMVFLRGGRSEEVRQR >KQL04530 pep chromosome:Setaria_italica_v2.0:V:9562654:9563403:1 gene:SETIT_004188mg transcript:KQL04530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKVNLQWISNNSTRRVTHKKRCQSLMKKTSELTTLCGVKACVVAYGEGQAQPEVWPSPSEARRVLKKFKAMPEIGRFKKMQSQEDFLQGRISKLRDQVCKLDLENQEHETSRLLHESMDGRRPGLAGTDIEELTNLRQMVETKMARVKELLQEQVVRHGDFPKHPGSSSSSHQLQASYTEMMQQGWPINLAPAQGEELGDVVCNAFASTSKDCAGPSGNGGDMTQPYNPGCCSGFPWGQDIFSPME >KQL08177 pep chromosome:Setaria_italica_v2.0:V:44037252:44041357:1 gene:SETIT_000889mg transcript:KQL08177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPPPGGIDPRSGFCAATRTFHSLRPSSTLPPDSLPATATAYAFSTLRSPLPDRPALVDAATGIAVSYPSFLAAVRSLAGGLWSALGVRPGDIALVVAPSRLDVPVLDLALMSVGAAVSPANPASTAEEFAHMVALARPVVAFAAPEVAAKLPRGLRCVVIGSDEYKRLSSAGGASPPPPVAVKQSDTAAVLYSSGTTGRVKAVAVSHRNLIALICTHRANREKVEKEAAEAGEEPPPPTVTLLPLPLFHVFGFMMLLRSVAMGETAILMERFDFGAALRAVERYRVTLLPAAPPVLVAMIKSEEARRRDLSSLLVIGIGGAPLGREVAERFAAIFPDIELVQGYGLTESSGSVAATVGPEESKAYGSVGKLSSHMEAKIVDPTTGEALGPGQRGELWVRGPVIMKGYVGDDEATAATMDSEGWLKTGDLCYFNEDGFLYIVDRLKELIKYKGYQVPPAELEHILNSHSDIMDAAVIPYPDEDVGQLPMAFIVRKPGSNLTEQQVMDYVAKQVAPYKKVRRVAFVSAIPKSPAGKILRRELVQQAVSMGASKL >KQL08293 pep chromosome:Setaria_italica_v2.0:V:44627809:44635267:-1 gene:SETIT_000265mg transcript:KQL08293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAKNEEAVAIQPNFPECFNNMANAWREKGDMDRAIQYYKHAIQLRPSFADAFSNLANAYTRKGNLVEATKYCHQALALNPRLVDAYCNLGDVLKAQGSCRDAYNCFREAVSIAPSCATAWHNIAGLFTQWGDFNKAVLYYKEAIKFKPAFHDAHMNLGNLYKAVGMCQDAIVCYQNAAQACPQNAMAYGNLGDAYYEQGQLDLAILSYRHATTCNPSYVEAYNNLGNALKGSGRCDEAIGCYQTCLVLQPNHPQALTNLGNVYMERSMLDIAASHFMAALTVTTGLSAPYNNLAMIYKQQGNYANAIACYNEVLRVDPLTADGLVNRGNTLKEAGRVSEAIQDYLRAAAIRPTMAEAHANLAYAYKDTGLMDLAIISYKQALLLRPDFPEVTCNLLHTLQCVCDWDEREENFIKVEGIIRQQIKMSLLPSVQPFHAMAFPIDPTLALEISKKYADHYSLVASRFGLPAFSHPSCAPIKADDKTSRLRIGYVSSDFGNHPLSHLMGSVFGMHNNDIVEVFCYALSQDDGTEWRQRIKGEAEHFIDVSAMSSDMIAKVINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPATTGASYIDYLITDEFVSPLKYSHIYSEKLVHLPHCYFVNDYKQKNQDVIDPVCPHKRADYGLPEDKFIFACFNQLYKMDPDIFNTWCNILKRVPNSVLWLLRFPAAGEMRLRACNSPPLIRADQIIFTDVAAKNEHIRRSTLADLFLDTPLCNGHTTGTDVLWAGLPMITLPLEKMATRVAGSLCLATGVGEEIIVDSLTEYEERAVFLATNPSKLQALTNRLKAVRMTCPLFDTSRWVKNLDRAYLKMWHLYCSGSHPQHFKVVEDDNQFAFDQ >KQL06455 pep chromosome:Setaria_italica_v2.0:V:33618040:33619378:-1 gene:SETIT_0000392mg transcript:KQL06455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEASEASPDNSSTLHRMNTFFKKACESSCEGIMLKTLDVDAGYSASKRCESWLKVKRDYVEGLGDSLDLVPVGAWYGNGRKAGWYSPFLMACYNPETEEFQSVCRVMSGFSDEFYKEMKEFYSGERILLRKPVYYKTDEQPEVWFTAEQVWEVRGADLTLSPVHHAAIGYVHPSRGISVRMPRYIRSVPDRSPEDCSTVADIATMFKAQTRKMDVSSEG >KQL05564 pep chromosome:Setaria_italica_v2.0:V:25776415:25780198:-1 gene:SETIT_004480mg transcript:KQL05564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAAVRPVSLRHLNTLLRAHVPCGLTTSHVAAPFPHRIDSMKISQLQLTATRFSKENNSDEDDELLSELRDKLPGLLELVGLSYSGWFVYRYLLFQENRKELAEVIDDIKRRIVGDDD >KQL05631 pep chromosome:Setaria_italica_v2.0:V:26542870:26545726:-1 gene:SETIT_000740mg transcript:KQL05631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSLLNTERNHLLSPKPRSPRDGCFSPVRTSSPRATAKMARGGLERSLSFKNWEAQVAAPPQEPESAAPAGRGGSGINGARPGTLALQPAPQQQSPRRAASPAQAMIEYISPRPRVELDKAATKLQKIYKGHRTRRSLADGAIIAEELWWKTYDSVYLNIKSISFFDGGKQETAASRWSRAGKRIAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHLYYDIWSASSSCEPFFYWLDIGAGRDQHHPKCPRNKLYSQLIMYLGPNERAAYEVIVEGGRLLYKQSGELVNTNEESKWIFVLSTSRSLYVGQKRKGRFQHSSFLSGAATTAAGRLVAKEGVLRAIWPYSGHYHPTEENFREFIAFLEENSVDLANVKRCSVDDDEYPSFKKAPEEAEAPTAEAAAHGETVETDQPVELPEVDIVKEEVAAEMAVGGGEEEDAPEPEMMARRPSFKWSTPTGARIGCLRDYPADLQSMALEQVNLSPRVAPSPGAAGRLPLPIPSPRPSPEDQAVAAAPLHGAPDPDQRQAPNPEPGAGGHQAVAQAAVHGVPDAGRGAHAPQAQRQVSRRRCSLTGPAGLAVSPQLQTLQRRFRRRCSVRSIG >KQL07967 pep chromosome:Setaria_italica_v2.0:V:42864584:42865438:-1 gene:SETIT_003140mg transcript:KQL07967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGQRSRPWPGDPASTPSEPAAAVAAAADARGEASTLRDFGTSMDAISFGFAATAILISLFLLMAIFEHLIKPRAFPPDSPDAGRPRAVRHRHGRSPGKLRSPPMHCSSFHLHSLHALPVTAGHRRDDAGGGRSHNGFHGPREAPSTRARRPRPHLREPSSGGAR >KQL08476 pep chromosome:Setaria_italica_v2.0:V:45632257:45633121:-1 gene:SETIT_002971mg transcript:KQL08476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIQPLPAASSSPSCSPTGDESRSLQKQAAYTVWMKSLVFSGNGCTVYGADGGVAFRVDNYGCRGGREVFFMDRAGKTLIRIQRKRFGMFRRWEACRYFDAGEGFGEETKPWFTVEKARSGGAAVTMHGSGRTYTIDGCSRKADYKITDDGAVVAVIGRKQTASGVVLGEDVLTLTVGSGVDHLLVLGMVVVCGLMNRCM >KQL07626 pep chromosome:Setaria_italica_v2.0:V:40904100:40907843:-1 gene:SETIT_000887mg transcript:KQL07626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEIHEEDGGASGARPAKVMSVSGSGKRGRYVRQVTGRHNDTDLHVAARGGDAAALRRALREAAAAVATEEGPEELEAARRAVAAEPNEAGETPLVAAAERGHLEVVVELLRHLDAEGLAAKNRSGYDALHVAAREGRRAVVQEMLLHDRMLAKTFGPGNTTPLISAAMRGHIEVVELLLEQDDFGLVEMARDNGKNALHFAARQGHVGIVKALLEKDPQLARRNDKKGQTALHMAVKGTSCDVLRALVDADPAIVMLPDKNGNTALHVATRKKRAEIVSVLLRLPDTHVNALTRDHKTAYDIAEGLPVCEESCEIKDILTQHGALRSRELNQPRDELRKTVTEIKKDVHTQLEQTRKTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGNADNGLAVVVQATSFKIFFIFNAVALFTSLAVVVVQITVVRGETTSERRVVEVINKLMWIASVCTTISFIASCYIVLGRHFQWAAILVSLIGGVTMAGVLGTMTYYVVKSKRIRKIRKKEKMSRRSGSSSWYDNTELSDTELNPVYAL >KQL03632 pep chromosome:Setaria_italica_v2.0:V:3557906:3558331:1 gene:SETIT_004814mg transcript:KQL03632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASFLPLRSLFTHGSAASLTRHGCTWRAAQLGMASALETLCGQAFGAGRHAMLGVYMQRSWIVLLAYGYFLVEAALLAAGQPPELSAMAGRAAVLFVPMHFAFALLFPLRRFLQCQGKNWVASAAAAAGLCVHGVVTWLLEY >KQL07183 pep chromosome:Setaria_italica_v2.0:V:38157780:38158379:1 gene:SETIT_003938mg transcript:KQL07183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGILVSDQNWWTRFLRRHPNLDLVLFLVFMALLGPFVCWLVYRPPEVSATVSSFRGLDPGHRAAAPPTFAVTLRARNRDAWRHCFKPGNGSAVVSYAGVPLARADLPGFCVPALSAATVRFVATGDGFGMPDELHESMEGQRARRERVALAVRVRLDEDLVVPHNPVDWSPMLTLYWCEAMLDGHPPSQCAAFRMTKR >KQL08134 pep chromosome:Setaria_italica_v2.0:V:43724674:43727537:1 gene:SETIT_005280mg transcript:KQL08134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPAFTGNLKKALAGLRRINLDGLRWRVFDAKGQVLGRLASQIAVVLQGKDKPTYAPHVENGDMCIVLNAKDISVTGRKMTDKIYYWHTGYIGHLKERRLKDQMEKDPTEVIRKAVLRMLPRNRLRDDRDRKLRIFSGNEHPFHDRALEPFVMPPRQVREMRPRARRALIRAQKKEQANRTKEEEDAKNTKAEATA >KQL05328 pep chromosome:Setaria_italica_v2.0:V:22538465:22539991:1 gene:SETIT_003423mg transcript:KQL05328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGGGVVKHILLARFKEDVTPERLDQLIRGYDRLVGVVPPMKAFHWGTDVSIENMHQGFTHVFESTFESTEGIKEYIEHPAHVEFANEFLPVLEKALIIDYKPTSVN >KQL08683 pep chromosome:Setaria_italica_v2.0:V:46670627:46671886:-1 gene:SETIT_004488mg transcript:KQL08683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQSIMLSLGSKKRVCRRPRGRSSSTTAGRPDWRLLPDNVIVLIGDRFLADNDLDYYIDFRAACATWRRATDDPKRHGGADPRFQPKAWAVQKHFGSPHLNRVVSMVNLKTCRLVTREIPLLGNYRYINATDAGLLVLWDGGMPPWQPCRALVLNPLTGSMAFFSVPIFAEGIRAVAVNTSPLMLFASNLFDFLGWADLDSQDFEEHRVRYPDLLANMKLFAGDIYVVNWSGSIFSTASDAIVADEGAQPQQRSAEMIRMNPTTIHAASWLREGHPHYYLVESAGELLLVTRSTAGGQAALVHKVDTVNKVLEPMVSIGSRAIFINKVRSFSVDANMFPAVEGGCIYFVETLANLFHHGIIASSVRLADQRQEDIMQFGFQGQFGPPTLVEVLADHCRYTPEHELEHYYGWNWDDDEI >KQL05067 pep chromosome:Setaria_italica_v2.0:V:15149870:15150067:1 gene:SETIT_003761mg transcript:KQL05067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGGPWMQSKNGFLGREEWVFDPNLGTPSEHAEVERLRQEFTKNRFHKEESQDLLLRMQ >KQL05376 pep chromosome:Setaria_italica_v2.0:V:23592808:23593525:1 gene:SETIT_004347mg transcript:KQL05376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYLLQIVDDFDWKMDEYEDFTDQKVKDEVLPKDEKQKIKEFLKEKDRERKRELKQAKEARNKAIDDMDPKEKEAFENIEFYKFYPMKTPDTPDVDSVKSKYINRYYRHTHYLM >KQL07549 pep chromosome:Setaria_italica_v2.0:V:40452126:40453648:-1 gene:SETIT_001864mg transcript:KQL07549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEPASSTAAERLLEVSEEEVVIDFKPNAKCRADLRLRSLHPSLPVAFKVQTSSPLKFLVSPPRGAVQPLSSASLRVVLRPQPHAPPSFPRSRADRFLVLSSLSAATLDAAGATDDTSGGGGSGVSAVRLRVFFGGPYLLRLAADAGDASAVRLILRRQPHLLPFLEPPAAAPDAEQWAPLHAAAAAGDAEAVAVLVDMGADTSAADARGRTPLDVAREKGYQEVVDVLERWELVMTAARRGDLPSLESLLGKRAGVRGRDQYGLTALHLAAIKGHCDAVALLAGSGCMDIECEDVEGHRPLHLAVEGGHAEAVELLLDMGADVNARTRRGATPLQMAEAMGYEAIAQLLCDRGAEVAAVPALCVASSSSSSISCA >KQL06239 pep chromosome:Setaria_italica_v2.0:V:31965196:31967187:1 gene:SETIT_004404mg transcript:KQL06239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYNFKKITVVPPGKDFIDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVRYSQQNFYEKISTIIDEFPRLDDIHHFYGDLLHVLHNKDHYKLALGQINTARNIIAKISKDYLRLLKYGDSLYRCKCLKVAALGRTCTVIKRISPSLAYLEQIRQHMARLPSRDPNTRTILIGGYPNVGKSSFMNKVTRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSTAQQAALFHSIKSLFMNKPLSHCLSEEDMKLVMEMKAEAMKTIAQAGDSNEEGVLLTMSTLTDDGVMAVKNAACERLLEQRVDVKMKSKKMVECLNRFHVAMPKPRDNKERPVCIPAAVLEARANAAAAKEKKKLEKDLENENGGAGILDGHNVADFLDPDILERCEELEREEGLRLEEQAAQDAFQIDGHELTDEQREILAQIRKKKALLIQEHRMKKRTAESRPIVPRKFDKDRKFTTDRMGRQLSTMGLDPSAALDRARSRSRGRKRERSLSRAAGDVDGDGMEIDGQQSNKKLRTRSRSRSKSRAPEEVTPGEGFRDSEQKKKAIKKAKDSTRNRNKQARRGEADRVIPTLKPKHLFSGKRSIGKTSRR >KQL07153 pep chromosome:Setaria_italica_v2.0:V:37956026:37960103:1 gene:SETIT_000722mg transcript:KQL07153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSHPCRLFPGSLLPPLQFRTHQLSSRLITIRNRSDMKSTGMSPAVVSGSVSAPAPARSCKPSVTALTPQTVQFRLTILLSVSDSHSFGAFCSPCLTHAHLVRARAEGVCSIRCVKDDSLHFDPSKIEPPPYSSYFDSTSGQLEPASGARASIPGKEYWPEGTAARVRAARAPAPVGESAGAPSFGTKPGSRRKGYKEHVTSASGTEGAQTDDGVDDDEPVVAIVDSGDDASEEPKDSADEYVIYEMPEEEELSEYDMDKMMGRPHPFIDPDKAMSLGEPKTSEELWWNWRRKSQEEEMWSRWQRRRPDVDTVFAKAMAETGQIKIFGDQPSRTEAALAKTRRHLFKEERLEEERRRLEEIGPIAYYSEWVEAYKNTDTSREAIQKHFEETGEDENAQLIKMFQHQTAGEYRIMMGTDVRIQRDPLAMRMREDQIKQIWGGDPVYPTINYVQDPEEVIDYRGPEFHEPTPEVVPYLMEHGIMITKEELYARLNEERDDVNQDITYIPEVKDPMATAVDIGEHSYNEDSDDEDEDVDKAAAQPESLEDEEDDGDDAEEVEEKVSQNWSVLKSTGQVEKPKEKSKKGDMSLNEAIDESENLTDFLMDFEEDE >KQL04798 pep chromosome:Setaria_italica_v2.0:V:11877274:11879287:-1 gene:SETIT_002353mg transcript:KQL04798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKCSSCGNNGHNSRTCGGNGRVMMENGGGGVRLFGVQLHVGSSSPMKKCFSMECLSSAAPAAYYAAALAASSSSPSVSSSSSLVSVEETAEKVTNGYLSDGLMGRAQERKKGVPWTEDEHRRFLAGLEKLGKGDWRGISRHFVTTRTPTQVASHAQKYFLRQSSLTHKKRRSSLFDVVENADRAATSANERLRQRRGGGLGAGHGAPGSVSRHHQPAGEARAHPASEPFVPAATVLIGDEQQQREHVAERAAPRQKHPSSLTLSKPHHASLQQAAPDLELKISTTADYQAGSSPRTPFFGTIRVT >KQL07460 pep chromosome:Setaria_italica_v2.0:V:39855096:39855275:1 gene:SETIT_004118mg transcript:KQL07460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVLLLSLLLVGLRPSSSQTNSQDGKPSGFQRVTGRMLPPYLGLFIYSLSGCCSEKRS >KQL07293 pep chromosome:Setaria_italica_v2.0:V:38978006:38978509:-1 gene:SETIT_004683mg transcript:KQL07293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPATSSPPESPRDYSALRRDALLDIFRRVPHADILRGAGLVRASWRRLAVAEPALWRHIDLSADEDKIVSPKYAPAQWQAMARAAVDRSAGQCQSFRGRADGDFLAYLADRSPALRSIHACHVSWIYMPRRGFIEGVIEKLPLLERLVMSRGYFHASAEVMRALLDH >KQL07081 pep chromosome:Setaria_italica_v2.0:V:37547540:37553176:-1 gene:SETIT_000730mg transcript:KQL07081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGREQGGGGPPQRPPVPAASTQPPIKKLVRQLDFNSAALAGNPAMAAAAAAVSRALQPRSLPVGLQQPPQHARAAVPMGVPQQLHPRLLPVMRPHHVVGHVPLPRHAVPVAVPVPQLRPVPPQPVQRPPVAVPLKPESPKPRPRLYEGKDATPTKKKCCNCRNSRCLKLYCECFASGAHCDGCNCTNCFNNSENEAARREAIDATLERNPDAFRPKIGSSPHANRNNEVSSDLPLIGKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEASDERRALFQGDHKNSINMQQVTNAAVNGAIGATGFPSPSTSRKRKHIDPSLDHSNKEHVAQRNSHLPQKNAVPDGSIPISQSAHPPTLGPFKVSYRPLLADIVQTEDIKDLCKLLVVVSGEAAKAYAGRKTQEERVPEKEDERGGQKEDEKAGSLGSTNHDREGNNQDPDHKASIDDHSSRGTHTGKAVLEESRPNCTDDHKSNRPMSPGTLALMCDEQDTMFTTSQNAIAQQTVAVNQNQSELYAEQERVVLTEFRDCLRKLVTFGRMKEERYSMAIKSETSGHPGQVNGVSRVPYPKVDAPAVVKTSLQGSSSHPVAGKPVTGHLDKN >KQL03833 pep chromosome:Setaria_italica_v2.0:V:4856404:4858364:-1 gene:SETIT_005283mg transcript:KQL03833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASINICGVNHPSPERRCLGDLLASELFKCHLGRPSCYSSLEIF >KQL07665 pep chromosome:Setaria_italica_v2.0:V:41085702:41087365:1 gene:SETIT_002454mg transcript:KQL07665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPFFHELRRQASSYLTGKIRSARLALTDVTPTQLMTEEATNGDASPPNVKTMGLIARQAFEIDEYVRIADILHSRFASFDRRQWREAYKALLLLEHLLTHGPRSVAAEFQKDRDAIDRMASFQHIDEKGFNWGVTVKSKSERVLKLLERGPFLEEERERARKIAREIKGFGSFNLSSASRALEQPQPGEEDGSRGYGRSNSQYEERWRRQDDDCDGDGGRGYGRSNPSQVEERWRQEEGDDGDKENLITRPEPPRVAREVEAEEPHHRHPFHGFGQQRPEAMLLLSQ >KQL03726 pep chromosome:Setaria_italica_v2.0:V:4313312:4317775:1 gene:SETIT_004664mg transcript:KQL03726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVAAARWALASPPVPARPSLGRRRRRFAVAAAPPVEEAVRATDTVPLAKWDLVAHLASGCKPRHDWRIGTEHEKFGFQVQTLRPINYDQITQLFNGIADRFGWDRAMEGNNVIGLKQVKAVGKEMGIGFLGLGFQPKNGMPMMPKARFEIAQNYLPKVGSFGLDVMFRTCSVQQDMIMKLRASLALQPIATAIFPNSPFKEGKLNGFHSFRSFERYVEYALDVPMYFVYRNKKHIDCTGMSFRDFMDGKLPHVPWERPTLNDWENHLGTIYSEVDTFRLKRYLEMRGADGGPGLPAFWESLQNITDMIADWTNNERNILRREVPVSGLKTPFQGGYVRDLAEYVVKLAKEGLQRRGHNEVDFLNEVDEVARIGMTLAERLTNLYKTKWQHN >KQL04824 pep chromosome:Setaria_italica_v2.0:V:12122012:12123174:1 gene:SETIT_003581mg transcript:KQL04824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTVIILCVQTPQKSVYYLFQQFYMANYHISSYLRHSSLDNTTLPSESLITIRQVITALLCLNKRYTSTKRNQAKSIEDM >KQL07493 pep chromosome:Setaria_italica_v2.0:V:40022806:40026574:1 gene:SETIT_004791mg transcript:KQL07493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFSGSSNGTHKRLLQDCSSYAQEHAKKRVRISTRTEYTYAPYHDGYQWRKYGQKMIRGNTYPRCYYRCTFHQDHGCPATKHVEQTNSQDPPLFRVIYTNEHTCSSTHVSDYMASSIHIQQIADASLRKAETEIPSLTHCGAGHGLIKEEKDAIVSSLLTVTNGCDVAKSDVGRAAMQENTPAPMARNIYEAIPSVSPVQLAPSDELKMDFVEPLESHWFEPLDLGWFI >KQL08741 pep chromosome:Setaria_italica_v2.0:V:46905603:46906365:-1 gene:SETIT_003445mg transcript:KQL08741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHFLGKHHKAAAGEAEPQPPKVTDWRKEEKHHKHREQLAQLGAVAAGAYAVHEKHKAKKDPEHAHSHKIKEGVAAAVAIGSAGFAFHEHHEKKDAKKHRRHGH >KQL03816 pep chromosome:Setaria_italica_v2.0:V:4783966:4786185:1 gene:SETIT_005118mg transcript:KQL03816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVNGGGDLQLRVVSRRLVKASDSSIKPHVLSLSNLDLLNQSIQASTFCIYPKPSTGAGGGFDAVVAAFESGLSSFLNHFFPFAGRIATDPGSGLPELRCGNQGAELVVGEAGVALATLDYGAVGAAVRRFQLPYAEDVPLSVQVVSFACGGFTVAWCTHHVLLDGKSLSFLVNAWSELARSGETLAAGSRPNHDRSVFRPREPPSYSATLDEAFTPLDTKHQINVLTANQSFVERLYYIDASDIERLRRAASRNDGYQRATRVQAVSAYLWKALAGVVAGTAEARCRMGWRVDGRRRIADPERRAAMRNYVGNFITYAVGEASVAEVLRMPLPDVAAMVREAIAAPAPYEERLQELVDWVEEHKTRAYVETAILGVGSPILSVTTLSSFRTDTDFGFGHAAMAVPTATAAARLCSGYVQVFSRPGDEGTWFVNALVWPELAAALEADEPRVLRPVTAEYLDSAAPPCD >KQL05883 pep chromosome:Setaria_italica_v2.0:V:28771761:28776479:1 gene:SETIT_000549mg transcript:KQL05883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEADRVRLRAAALALQDDGVRDKPDAKANVFADLGSPVSPLRPRASVATSSSSSSGSAKSPVPSNAGMAGGRRHSGELMAECNPPRMTGHRRCGSGPLIFSGGSSGGSGGGGGDRGSTASSPMLNALPAGNICSSGRVPAAAAAPPPPRSRPDVLGSGTGHYGHGSIMRGAGMAPARSSIDAPSFLGHSSRSPASSPASGGGGSLQEVTRLGNEWYKKGKYAEALRYYERAVSLCPESAACRGNRAAALIGLGRLADALRECEEAVRLDPASGRAHSRLAGVCLRLGMIDKARRNFTQAGHLQQSDPAEWQKLQEVEMHLGRSTDARKIGDWKSALREADAAIASGADSSQLLLALRSEALLRLHKLDEAESTLASLLKLDGTLPSSLTAAKLSGMLAESYVHIVRAQVDMALGRFDTAVAAAEKARDLDPGNAEVGMILNNVRLVAKARAQGNDLFKVGKFSDASIAYGEGLKYDPSNSVLHCNRAACWSKLEKWEKAVDDCNEALRIQPNYTKALLRRAASYAKLERWADCVRDYEVLRKELPSDKEVAEALFHAQIALKATRGEDVSNMKFGGEVEIVTNVEQLHAAIGSPGVSVVYFMSAMNQQCTQITPSVNTLCTECPSVNFLKVNVDSSPLVAKAENVRIVPTFKIYKDGMKVKEMICPTLHVLRYTVRHYSVSSS >KQL04578 pep chromosome:Setaria_italica_v2.0:V:10009342:10023099:-1 gene:SETIT_000020mg transcript:KQL04578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAASSAAALSRLVDRTRVPDPTLQRHAVAAVFRHLLSLPAPLPEAAHDAASALLASPHPAVAAHAAASVARLAASRPDLLPPGLALPLLLAPLAASPSPRLTSCLVKAVSALAACALRSGSRFPPHDHPFVQALASGADGARAELARQAARMVAEGLDGTVGFLRPFVMFSVVRKGDAAFARDLIGALTAAAAAAGKAGVAISVLKLLEEGMLHFGRGDDQEMQLWLCSAECLVDAYVVLLRKLANAHMPTYDVQASSATLMEALLTQCSFHKKFLGITSSLLGLSKHLFSVQKDLGLCYLPEISVVLSSLSYSLSGLEFEHEQLAGLKLLAFLIEWKYENVLERKEQTHGLSEELLCVMAVINLGISPSKSVKAVVYHVLSRFSSLILDLPASHSSEQQDISTDYHISKPALILPKLLHHIWSQPSSAGFIFMKHTAIKVSPDSGPKCLEARYWTHQLNDYLAVLRREKLTLDGLSSKKTSSVAISSLISSVACVLVMHPKLGTSAAESLAVLGASDPRLGMPLFVVILFYSKILCSNKNFSTEILLSLIESLPSLAIHGFLLPLALQWISPMLKRDTNPVLYAIAVRLLCKIWVVTDWAFPNLQAILDPENISNFVSDREISMSIASSIRDVCKHNPDRGVDLILSVSFCIESHDSVVQALGLESLSYLCEADVVDFYTAWKVISKELLDYSVEPAVAHSLCVLLRCGAMDAEVYSGISKNLIGILWSIGTSKKNNSESLWVKARGAAFHSLSHYKVSLVQDAIPDFWKRNYEFFTNEDNLTVLNSMENLQDEIVKFEHINRRRVTTDKRVVVHKFEKLLDVFPQAVFKVGKSTHHQLPGAALLTLNFTPKDILNEGKSKSLPRVHTAFEQAFTEIAESMYISRNIEVALLALHSWKSFVSNWMQAVVALLDSKEPSKLNKALKAANDIFKILCDHVPVSTPRVAVNIALVIGALCLIVPPTAHLVISSASDFLLKWLFQYEHEHQQWSAALSLGLIFNCFHPTDKKSRFQVINGLLEVISKTESGLAKGACGLALGYACQGLLTRAHNATDAEVAAATELNERASVEDILHALVSSLIQLCPSSCYSLKKLGIYGIESIEGMEENNDSFNDDPWAIAGLVLGLGNSVVALYRLGAYDTVIEVKDILISWIPNVSSSCALFDEMNSVSLCIGSCLALPSVVAFCQRVELMNEDLDALFNRYTSLASELLNLNKSGILFQNLLMAICIGAGSLLSFILDDGLHAMDFSAVKKLLDTLRHIYTHPFPPLVHLGGMFGVVNACGAGAGDLTGMCSKLMTSQIKHEESSLVRGPLLVSPIGETLSTSMVHEIYLLAKDAEDKNIQDNAAWAISFLRSRWLSKNLILYNDNGSNRSSGDPSQASSFSEQSLVWNLSRWLNDLKLEKPFDMVPVSTVGTVLRCLSKAPRLPTTDWGVIVRRCMKVEVQIPYKPTDQQDLKFLREECLHFSLAHATHISPLLQFLDYLTDILRFRRLEINVQSILLQHLSHLMKLFSDSRLDKLYEDLTEYLYSPTSSYLNYSCEQKSMIRMSFWEGICKCLVDVVSEESGGFSFTKKCIECLLPLLTLHNDGQPEFMDEWSAALTCLTNAQSSWLGDMLQVRNAALVTEEEHVDVAKKIIIRARLCATGCGSVHELGNIKTMILCARADGVWWSVLVEIAAAINSVENSIKRQWLLDALEIGCVTAHPSTVLRFVGLLCDSCCIYMPLLVVNSRNVLSDLPVTLPSFLSSSIWDDFRDIVADKLWLLTSHIYTWAEQLAHGNDLTGHDHIHRSETEMATFLANILRSTCIAVEDYLTVDRKLKLANLESL >KQL04805 pep chromosome:Setaria_italica_v2.0:V:11970863:11973030:-1 gene:SETIT_003887mg transcript:KQL04805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGDSLDALPAAVLADVLGRVADAGDIASCRLASRALLAASYLCPRARLRAADCARRRRGEGRAGPPAFRATAGNLASLLGPHLRSLSLDAADGEGSPDDAAWVEEGEFEDADDLHLTSGEAVAAWAATAAGPVLREVEIADYWPQACWRKAEALLLISHYCHSLIKLGLKNAWLSVDGLKKMPNLTHLTLEFIRLDDEDLDQLNECFPCLRSLNLIGVGGLKDPKIHLLQLNTCHWEVSNVPRSLVVHAPNLYSLELKCVRPDRLILDTPSLSTLKLTIEKLGATAQVDGLVSLTDLRIESLDLSSLFSAFVDSRAIRTLELELPESASQYDLLESVNPDYLLRMLASIREVKLAPRFSCQLTLCLALCTDSQFQSCLKKLLIHVPQSEFYFQLSRLFQICAPLCEVTVLFHAESADAVRQGAMSICMQRFSGIRWQWGTWK >KQL03238 pep chromosome:Setaria_italica_v2.0:V:466802:470791:-1 gene:SETIT_001425mg transcript:KQL03238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEYLGLQQGSSSSCRTADAAAWGAAQQQKRQRCQGSSSTSTSLQISEPEPQDLDSGEIEEEEDYYMEDDCDDDVDGYDEDDYDFDEADFNQHLADKFDDLDLPPGVEATVPWLQKIAAKEEAKEPSKSNTEDENANKYKQFKQFDTVQNFSDHHFAKCSQGEPARAWSKRVQHDWKLLEKDLPASIYVRVAEDRIDLLRAAIIGPKGTPYHDGLFFFDVHIPSSYPSGPPLVHYHSGGLRINPNLYNCGKVCLSLLGTWTGNGCEKWNPAQSTLLQVLVSIQALILNENPYYNEPGYEASANTPHGQRKSLEYNDTTFLHSCRTMLYSLRRPPEHFADLVAGHFRVHGHTILAACKHYMEGHDVGSVVPEEESDCKSSGAGAASSSSSNAPKQGPAVNAANPLSRRAITFNTSLKTLYEDLLMEFNVKGADTRKFIVEKLKNKPAAL >KQL03387 pep chromosome:Setaria_italica_v2.0:V:2117625:2118154:1 gene:SETIT_005246mg transcript:KQL03387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGRKLSGVRGCLVAGHGLPRLRLGVCQTVACHNLW >KQL05379 pep chromosome:Setaria_italica_v2.0:V:23600449:23601876:-1 gene:SETIT_004889mg transcript:KQL05379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPARRARGAHRAAPYCRCCLHDDGLGSDDDGESLPVPDDALSPVLARLPSAADVVRSAATCRRWAHLVAKDAAVLSRALPQLPCLTLGFLHQENARTTARRRRASAAAAQPCFVLTAAATRLIGLNGPSRTALADAVLGLDDDRRGLFEHARPVAARHGWLVLELRQERYTDGLSLCVCNPMRRDMAMLPPLAGTDKPGDYACALYTGHDLDSPRPLSAFFRLLIVYNRRAFTAMRSYSSDIGRWSTEAKRSWGPKIASEKLHEFGQSIVVGGVAYWLLRRSAFAVRVDTPEPTEVPMPPTGPGISDLCPGWRSLGVDADGKLIFIDVGFFNDVDVAALDLSAALRLNSYYLGVVTSGDDGCSGEWEPRRRCIRLKQMKVRYEGFGKDKSGNLLFTLGEGTGSPGTFVLNMATEHVEKVADGVDCDSWRNFVGYEMDGAAYLASISRG >KQL04745 pep chromosome:Setaria_italica_v2.0:V:11381365:11383666:1 gene:SETIT_001109mg transcript:KQL04745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVERPAPVKEEKRADAKPEMAAATGSARSLPIVFESFATTQSDAGIKQEERTLEAAKAEMGEVREENERLKTMLSRIVNQYQSLHMHFLDVVKVHEQEAAKAKLPAAPAPAPGADGVDEDPDDLVSLSLGTRSNGARRKGHERSSSSSGTAETTAGEGQLSLGLGIARGSGVPADDDKASGASAAPGVLNLSSDSSSANDAAKPARDDAAASPPCATRKSPSAAGEGVDDEVQQQAKKARVSVRVKCDTPTMPDGCQWRKYGQKISKGNPCPRAYYRCTVAPHCPVRKQVQRCAEDTTILITTYEGQHNHQLPPAATAMASTTSAAAAMLTSGSTTSSSPASLAAHGHHLPLAAAGLLGPTTMVSTAASCPTITLDLTTPAAPHSLMHSTPYAAGYESKAVPAACSSGYLAYGAAPPSYYAKGSLALGHLFGGGLGVSSRPEQLYAAQSYLQRTSSLGGGGHGAVAPAVTDTLAKAITSDPSFQSALAAAITSVMGRGGAAAAQK >KQL08415 pep chromosome:Setaria_italica_v2.0:V:45319629:45320666:1 gene:SETIT_004139mg transcript:KQL08415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKWRRYLYLVVDNVNGTYPLRRIDASTLFYPRDGWKRPPRLQETPLPHPHLHFTPSRIKDGKGALEFFGFFGRGQKKSLLAAVDYKGFSQTYDAEDRTIHVIVSPNEPKHHNPVSLAVGEALYVMDRKPVPGSCCGFEALTFDMPKEVMGKLGWDWYWHCLKAPPFVLEPGYNNTSIQGYTVVGGFNIWISTRGIGTYSFDTDNGSWSKAGDWELPFHGRADFFPEHGIWLGFSSQGNLLYSSDLGVSMQCKPELDMIWKDPNPLEEWIPLKSHLVHLGSDKFCVARMFERVDMTIRGSIPHVERFAVFTGLVLQPSRDGKEPEMVNHISRIYRFHGITTCWVF >KQL05022 pep chromosome:Setaria_italica_v2.0:V:14133400:14133783:-1 gene:SETIT_005622mg transcript:KQL05022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVIDWGSVFIPCWLLCLEGLCSQKFKIP >KQL04549 pep chromosome:Setaria_italica_v2.0:V:9809784:9814918:1 gene:SETIT_004416mg transcript:KQL04549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEVMGTAPGHRRERRGSFHVRTGVAGKRVPPSTVLAYCIGAIALLASAGRTRAPKVDAKAVTDGDTITVYVNMANHPESGDVSQEVHKAATEWTKAWVAKNCQRADALQKIILDGGYRQVPNTRGEQVLAKKYRIRLRGIDAPESLMPYGKEAKEELVRLVQGKTLKISIYDSDRYGRLVGDVDSNGVSVQEHMLKKGLAWHCTAYDHRMELSKVTSTLVLPNPEKPWEWRKKKRSGTVCLDSLTMEQPAQAISDGMYAYKHRCEGGVDIHDIVVKKSTFRILLYYISTICLLVTVCCTLLSKESLGLGSLWSISFAGVIAKWLRCDPVKKESLVIMPTFGIQLEQHFWSGRVHRKFVPTGKILRPVLNECVTPVTCYWSLALLLRDDYGLMLVFKNLNPPAKMLIPIWKALCAFVDSNTLSAS >KQL04960 pep chromosome:Setaria_italica_v2.0:V:13422163:13423484:1 gene:SETIT_004367mg transcript:KQL04960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSFSIRTNYTSIFSFGDSFTDTGNFVIIGGPTTPNLLIINPPYGMTFFGHPTGRISDGRLAIDFIAEALGLPLLPPSMAVNQSFRQGANFAVAGATALDREFFVRDGDTSVTRYNISLGDQLAWFDAMKPSLCGSPQACQEYFAQALFVVGEFGWNDYAFMLMSGKSIDEARTRVPQVVGTICAAAEKLIGEGGKTVVVPGVTPLGCSTWNLVRFASQNADYEPDTGCLKGMNRLSREHNKELRQALARLRGRSPAGVRIVYADFYAPIVDFAAAPGRYGFDGTYGALRVCCGDGGGRYNVNLSMACGTPGVSACPDPSAYVNWDGIHLTEAANHRIADGWFRGPYAHPPILMDT >KQL04678 pep chromosome:Setaria_italica_v2.0:V:10776700:10784085:1 gene:SETIT_000034mg transcript:KQL04678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSGGDKPTATDATESVLGLDGEETLSERESVGARQGPVLPNGGGKEAPSSSSSVGSKRKRNNLGSNQSELNEPGTPSSSSNNYSEHSVTSAGVTVIRQPRGVLRLRKLPQNVSTESWTGGHSIPQANGVPSSTQLSSRNKRGESIVPKGNRVGGDDPVSCLRTENGTCDHDTGAKFCSETEFSVEKQSHLSGEPPKAVHVDKDSCGHVKDDDGVNLEEDAARMLCSLSDNRCAGSPRKKMKSPDGSSKRHFPQNSNHFKNSYKKIKDVPGPARLLRKRDDKVPFRKRRPRRHFYEVSPRDVDPFCIVKERIRVFWPLDETWYFGLVKEYDPVRKLHHVRYDDKDEEWINLQNERIKLLFLPAEARNRSKCNNSRSAFKPKYEQGDREDMDGSNTESSESGPISSWLAQPNEAKSATLSNISKQDHAHSDIPILFDQKQCHSSGAKHDGLLPNDPIPGRAPANGGAEVLNDRITPVDRRFRFVYSRRRFCRKKNGFLNTSEQNSNSQRSASPAMVLATLPCVQSGSETGASVTYVILLLSLPLKPVYKLIREACCVWVSNALFLLQHGTLVALWPVVQLDILLVDNVLGLRHILLETCLRSAVSLFCLLVGSFKRCPRQRTTKASTMPCTSIKFQISGVHGRRQVVFMLFSFVGVEKSKWEHLQGKLQYQCSKREFSKGCTNDVVHRGLSSIDPFSKDFDVQEADFLPESNYSDTEPVIYCLDEQCKFARNVLDVTTAPSLLLCHHLKSLTEINLINGSQQSISFALDENQQLLVTERSSGTVRPVPPRVCSLNLSSSPDSPLDMASASCTDQTRSTSREFKTAESTVSPECNGGNTGDANIMRRKFLDQNGPYLDADKPCSYNLNVICSPQKSSERHLSINIPQDKVIDAPNDKPLNKDEKDKQPVSNLVQELNEHPIGRATPTAPRTTYHRNRFTSISRTFGDGSKLLPEDLMVTGFAGGSKKPRSQVSYSISPRSEEFGIKNKGHFRKIQSHSSAKINDAKKLPDSSRSGHSSPESLTCVANVLVTVGDRGWREYDTQITMDSDGQSERRICVKLAEGKKYAHKVCQVLQPGATNRYTHAMMWKGGAEWCLEFPDRSQWLVFKQMHDECYSHNIRAASVRNIPIPGVCLVEVPDDNDVVSFVRSEDYLGHIGTDVEIALDESRVVYDMDSDDEEWISSWRKFLVGDDITAHELAEDLFERVMDKLEKFAYSHNCNELSTDQMKEMDIDNVPLDIIEVIHAYWQDKRQKKGMPLIRHFQSAMWKIYEQQLHEWESTVYRMQGSSNGYQEKKLPPKPALFAFCLKPRGLHVPYKGPKQRSHKKLMSTGCHSFSREHEGFYRQVSGRKYNEYIGDGRICEPYDSGSLYSPTGYSPRFSTRTDSPRAFDASERSSTPRFFRTNSVKRSASFAFSDDHQPSPSFRHQKVKRGVADHWNTVIHEWQNSKHLFPGSSRVDIEELKLRDAAGAAQHAAAMAKLKREKAHCLMRKADLALHKATVALMIADAIKSSSRDTFRDGRRDLRDEER >KQL07264 pep chromosome:Setaria_italica_v2.0:V:38774495:38778744:1 gene:SETIT_000284mg transcript:KQL07264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRARALCLALLAAAAAALPRSASIDSIGLGASIAGNQTLVSPGGVFALGFFTPDAAEPGRRYLGIWFNSILEPRPTVVWVANRQSPLVDSPGILRVSAGGRLVVLDGNNGTVWSSAAPTLNVTTGATARLLDDGNLVLSADGSGSEQSVAWQSFDYPTDTLLPGMKLGVDTRAGITRNITSWSGPDDPSPGAYTFKLVLGGLPQFFLLRGTTRIYTSGPWNGEILTGVPYLKSNDFTFKVVWGPDETYYSYSIGATSLLSRLVVGGDAGQVQRFVWVNGAWSSFWYYPTDPCDNYAKCGAFGYCDPDQTTQCNCLPGFRPRSPQQWNLRDGSGGCVRSTSLSCGGGGGNGSSDGFWVVNRMKLPEATNATVYAGMTLEQCRQVCLSNCSCRAYAAANVSGGASRGCVIWAVDLLDMRQYTKFVQDVYIRLAQSEIDALNAAAAAANSRSSPSKRVVIAVVAAVAGVLLLLAVGCCCCCVWRKRRKRQGETGSSAPGGGADDVLPIRVRKHPALDEDWRSAEKDVDLPLFDLDVVLTATDNFALHNKIGEGGFGPVYMGKLEDGQEVAVKRLSRRSMQGAVEFKNEVKLIAKLQHRNLVRLLGCCIDEDERMILYEYMHNQSLDTFIFDEGKRRLLRWQKRFDIILGIARGLQYLHEDSRFRIIHRDLKASNVLLDRNMVPKISDFGIARMFGGDQTTEHTKKVIGTYGYMSPEYAMDGVFSMKSDIYSFGVMVLEIITGKRNRGFYEVELDLNLLRYAWMLWKEGRSVDLLDEVMDGSFNYSEVLRCIQVALLCVEVQPRNRPLMSSVVMMLASENATVPEPNEPGVNIGKNTSDTESSQGLTANNVTITAIDAR >KQL05592 pep chromosome:Setaria_italica_v2.0:V:26164893:26166405:-1 gene:SETIT_001793mg transcript:KQL05592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLARFLIFFLGAALAPLLLFSCADASEVGVNYGRLGNDLLDPESVVQLLMDNGITMVRMYDTNSTVLNAMANTGIKVMVMMPNGNIADAARSRSYALDWVRRNVAAYLPDTEINGLAVGNEVFEQAKNLTSKLLPAMINVQAALAKLGLDDAVKVTTPVAFDALREPSFPPSGARFRDDIAQSVMKPMLQFLQQTGSYLTINPYPFLALAKEPQNITLEFALGSYEPGVPDPNSGHVYHSLLDAMRDATFFAIENLTEPHMQTMASGSNAGQTDTVWTETGWPSRGQVNLGKKPPRDAETSSKADTIECPPATVANAKAYNNYVINRVLSGDTGTPRYPYIDMDVYIFALFNENEKGDGADDAERYFGLFYPDGTKVYDFDFQGTDAK >KQL05894 pep chromosome:Setaria_italica_v2.0:V:28899500:28900639:-1 gene:SETIT_004893mg transcript:KQL05894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTCKCSQKLLVEIISMFDQEQKDAVEKAGFGSLLKLKDIEIRRELCKEIADSFDLDKEEFNIQEKKVKISIKDVDHILGLPSQGDEIKEPPKKHVPGLFDKYTWNDSTKIHSSELREYLSKNKTYGDDFIRIFVLYTIGFYLCPTLQPYVKSDYLGLVEEIDNIKNLNWSSLVLNFLIRSIREYKEVKAANLKGNLVLLQVWYWEKVSMSHMYPRLEHPGGDKPLMQYWDEKRAKERCKLARNHHFGEGKIVHDITRHKHNNTCSIPLCHTTSEQSDKGQDDSNHKIMQELQEFITNQYRLLSNQIDDRFNALNKRFDDVIQEQR >KQL07098 pep chromosome:Setaria_italica_v2.0:V:37655488:37656325:-1 gene:SETIT_005607mg transcript:KQL07098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQQRMLGLQVWPWTRQPGSTADGGVEGSTARRRPEQQRGRARSGAMASASSIRICSTSASSRRRAGAATAGERKVRWV >KQL07679 pep chromosome:Setaria_italica_v2.0:V:41187725:41188240:-1 gene:SETIT_003876mg transcript:KQL07679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYYKCTSSRCGAKKHVEKSTDDPEMLIVTYEGPHLHGPQPLFPRRQLASVDLSGAAAAAAKKQARTPSPAARASDDGGAWPPNDQQMACDDDDAGARGGRAATLPGSGRAEDAVPHGQQHLADSCDDGSTASVPAPPPRAATALTCDSPPTTWSCPDFPFVWSPEADPLLL >KQL04978 pep chromosome:Setaria_italica_v2.0:V:13709748:13712008:-1 gene:SETIT_001915mg transcript:KQL04978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCRLLLCLVLLAHAGPPAAVAREYAAVFNFGDSLTDAGNLCVDGIPDYLATARLPYGMTYFGYPTGRVSDGRVVVDFIAQELGLPLLPPSKAKNATFHRGANFAITGATSLDLSFFQERGLGHAVWSSGSLHTQIKWFQDMKPKICSSPQECRDLFRRSLFIVGEFGGNDYGSTLFAFRPLSEVHALVPHIVDSIGRGVEKLIAEGAVDLVVPGTMPTGCFPMYLSMFPKPPGMYGGRTGCIKELNTLSWVHNAALQRRIEQLRAKHPGVRIVYADYYTPAIQFVLHAEKYGFLRQTPRACCGAPGVGEYNFNLTSKCGDPGAYACDDPSNHWSWDGVHLTEASYGHIAKGWLYGPFADPPILDTKHLG >KQL05771 pep chromosome:Setaria_italica_v2.0:V:27772226:27772933:-1 gene:SETIT_002788mg transcript:KQL05771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSRPATEDRVREGSATAAASPAQPATMSTTAAAARTKRSASMPHPPAGGGKARDPSSAPAGGGRARLRDEQLRQLRELFLRFDLDRDGSLTMLELAALLRSLGLRPAAGDEIHALIAAMDADGNGTVEFDELASSLAPLLLGPCRPAVAVDQAQLAEAFRAFDRDGNGFISAAELARSMARMGHPICYDELTDMMREADTDGDGVISFQEFTAIMAKSAVDFLGLAAL >KQL04266 pep chromosome:Setaria_italica_v2.0:V:7760489:7763763:1 gene:SETIT_002021mg transcript:KQL04266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPSYRLAAAITVPSTGEFLVVRQPRPPSPPAEDEDYRRFVDSDLYDLPSAPLKPLAGEPRSEVAVSGADSVTRLDLSRLDVSAALDQIFYQFGLPDGMRGEWRLLKYVEEAEFGPDAGVNTVFIIGSLESKLDALQESCKWMTKDCALGLLSEVKPGSDRIGSNAYIGLLNSELPSNSTAVPALPSQEYPPGIILVPMKSKTLHPFRTTNLVVIRATNGAGGSTCSDFFACGDALLLDPGCSSQVHAELADLVNSLPKKLLVLVTHHHHDHIEGLSVVQRCNPDAVLLTHQNTMNRIGKGNWQIEYTSVTGGEKICIGDQELQVVFAPGHTDGHMGLLHVNTNTLIVGDHCVG >KQL05983 pep chromosome:Setaria_italica_v2.0:V:29765556:29768586:1 gene:SETIT_000913mg transcript:KQL05983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLWIGLVVAAARRTHIPGKERMDDIVVAAAAASPSPWSLLQGLLALLVVWGAYRAAERYWLRPRRLDRALRAQGLSGTEYRFPAGDLQENARLNDEARSRPMPQCHDVVPRIMPHLFDTVKEHGNVCITWFGPIPRVIITEAELVRDILSNKFGHFEKFTNKRLGKLLALGLASHDGEKWAKHRRILNPAFHLEKLKRMLPAFSTCCTELIDRWENKLAGSDGSYEVDIWPEFQNLTGDVISRTAFGSSFMEGRRIFQLQAEQAERIIKAFQYMYIPGFLFLPTQNNRRMKEINGEIEGILRGMIEKRERAIENGEASGNDLLGLLLQSNMDSGKGSLRMSTEDMIEECKLFYFAGMETTSVLLTWTLVILGMHPEWQDRAREEILRVFGKDDKPNLDSLSRLKTVTMILYEVLRLYPPAVTLNRRTFKDMQIGGITYPAGVILELPIIVVHHNPDVWGTDAHEFKPERFAEGISKATKDDQPAFFPFGWGPRICIGQNFALLEAKMALSMILQRFEFQLSPSYTHAPYTVITLHPQHGAPIIVKKI >KQL07074 pep chromosome:Setaria_italica_v2.0:V:37519096:37521213:1 gene:SETIT_001004mg transcript:KQL07074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAGAQLERRSSVRRSQSMVQEEDRGQPADDELMFRSQGSNTEPSGEPLKIGAVLEKDSGAPKSRLAEQSGGPSEMDQMKEKFAKLLLGEDMSGSGKGVPSALALSNAVTNLAASVFGEQRKLEPMAPDRKARWKREVGWLLSVADHIVEFVAKKQVLDNGTEMEVMGTQQRRDLQANIPALRKLDTMLLDYLDNFKERKEFWYVKRDSCSESENEERSDEKWWIPIVKVPPAGLSKTSRGWLLHQKELVNQVLKAAMAINANCLMEMNIPDTYIDTLPKNGRASLGDALYRIITDVEFDPDDFLSTVDLTSEHKILDLKDRIEASVIIWNRKVHNKDGKSSWGSAVSQEKREQFEERAQTLLLIIKHRFPGIPQSTLDIAKIQENRDVGFALLESYSRVLESLAFNVMSRIEDVIQADNLAREKAKRDAPPPAEDAAAAAGRRDPQEVGEDASGTTLLDFMGWTGDSEGRNDDCSPPPPPELPAAQDDGRLMKLPNIMTNLKQTYMEKLDFLSGNRSPSGRH >KQL06806 pep chromosome:Setaria_italica_v2.0:V:35741252:35743686:1 gene:SETIT_000706mg transcript:KQL06806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPALAFLCCYLLLASVAAARGLGDGEPLSRRKNAARRLRGGEPLGARKNPADPHTSPGTPNCSPAPPQSGGGGYIPPSPSSGVSPTTPGGGGGYYPPSPSVGTSPTTPTTPGGGGGGYYNNPPSPDIGTSPTTPTTPGGGGGGYNAPPSPSSDTSPSTPGSGGGYGAPPSPSSDTSPSTPGSGGGYGAPPSPCSGTSPSTPGGGGGYGAPPSPSSDTSPSTPGSGCGGGYGAPPSPSSGTSPSTPGSGCGGGYGAPPSPSSDTSPSTPGGGGGCNAPPAPSGDTTPSTPGGGGGGYGSPPSPSSDTSPTTPVGGGGYGAPPSPSSDTSPTTPGGGGGYGAPPSPSSDSSPTTPGGGGGYYGPPSPSSDTSPTTPGGGGGYYGPPSPSSDTSPTTPSAPSGGYYGPPSPSSDTSPTTPGGGGGGGHYGPPSPSSDTSPTTPSTPSGGYYGPPSPSSDTSPTTPGITPTPDVPLPPISTPPTPYSPLTPTPTTPTPYDPNTPPFAGPCTYWMTHPGVVWGLFGFWCPLVRLFGPSAAVPFGHDLTVPEALANTRQDGVGALFREGTASLLNSMVDNRFAFTTQEVKDAFGAALSSGDNGAAAAQAQLFKKANEGRVK >KQL07782 pep chromosome:Setaria_italica_v2.0:V:41729248:41730676:1 gene:SETIT_002226mg transcript:KQL07782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPSSPLHPHQHQHPLPPNAHPQFQAPPPSMPPPPAAPPKAFDLEVTVVSGKHLKNVNWRRGDLRAYVVAYLDPSRRAATRPDDAGGCKPAWNERLVLPLPPHLSPHDPSILLSLDVFHSKPSDSPKPLVGSARSPLRDLLFPTNPNPSHDSAASPLVSLPLLRPSGRPQGKLRIRVAIRERSPPPPEPQYPPPSSSPYYFPPPPPPAYSAPPQYGSEQYYRPSGYYSAPAPPPQYEYTGGPSAPVDYSRQYEQRGRTGGGDSGSGRYGVGTGLAVGAVAGALGGLAIGEGVKYKEEKAAERVEEKVVPAGRDDYSEYRGDY >KQL08292 pep chromosome:Setaria_italica_v2.0:V:44622726:44623746:-1 gene:SETIT_003079mg transcript:KQL08292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLTDQQRPTTAGGLPASPPPPAPPVARERPSDPNIRSGDFSLAGDGRLRAVVAAARADRREGRDPAVPLPPYATSTSGTLRVLMRSFTGLGRVYTAESKDGGATWPLPPPCPTPTRASTGPGRAAVVSCSRTTPAPGGSSSWWPSPTTAATRGRRASSRWIEDTTGMEFSYPTVITS >KQL04514 pep chromosome:Setaria_italica_v2.0:V:9466297:9471648:-1 gene:SETIT_004750mg transcript:KQL04514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YSVPPDARVRYSSSVPLTLAACFRPEVRVGRRTTGARRHFRDVAAGVVCGDDDGYVISLDLGDRGLESSALDHVIFSLTSLRYLDLALNDFNGSQLPKDQGGHSKLYSNHIWYFVGPNIGSLIANLGNLKELYLDRVYLSGNGVKWCGAFLNSSTPELQVLSLRWSNLSGPICGSLSGIRSITEINLELNCINDPTPESVAELPFLRSHVLSNNYLEGCGTSFSGPIPSSISNLKSLKELGLAASDYSQELPSTIGKLKSLRLLEVTGAATVGTIPSWVTNLTSLESLRFSSCGLFGEVPLAIGNLKNLTRLELNGCNFSGMLPPHIFNLTQLEVINLDSNNFIGTIELRSLWNLPHLFILSLSNYKLTVIIDGDDNLSPGTLPRSLVGCKYLEVFDIGNNHISDTFPCWMSMLPELQVLVLIPTSWEKNNCEFIKLRILDLASNKFSGTLQDEWFVTMKSMVRKSANDMMYNQVSQLGQTYHLTDAITYKGNEQVLLSTILSALVHIDVSDNAFHGAIPKSIGHLVLLNGVNMSHNALTGPIPPQFGALKQLESLDLSSNDLSGEIPQELGSLNFLSTLNLSYNELVGRIPDSTHFSTFSNLSFMGNIGLCGLQVSKVRNSTNTIRFGVGFAIAIIWTWGIRVGRGSRDHTFMCWKKVLFFMSMAWAKMPLH >KQL07445 pep chromosome:Setaria_italica_v2.0:V:39759606:39760012:-1 gene:SETIT_005646mg transcript:KQL07445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHVLHQCSVAGFCYNCMICFWADCSHLTSSTKNSCM >KQL03206 pep chromosome:Setaria_italica_v2.0:V:341658:343136:-1 gene:SETIT_001819mg transcript:KQL03206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAGSKAATDVAVADVYRPPPTSVSLFDISAVEEPWRIAKNKAAGDDDEEDSSDEDEEEEETDDEEQEEEEEKPAVKTVVPLPLLDKLEGYELAPASWSEVSKALEDMKPVLDSNNQQAYTMPPPAAPKKKKTKKAKKKKKKKKKKEQQPTTTPAAAAADEVANKKAPAPPPELAGRRVVKDNPFLMRDRESKGSDGSAPRWKRRDPFEGCPERRPPGAAGGGVVLYTTTLRGVRRTFEDCERARELVEACAEAAGVGAVDERDVSLHPEYLRELRELLAGDGSGAAAPPPPRLFVMGRYVGGSEECVKLAESGKLREMMRWVKARGEACAAKDGRGCEGCGGARFVPCWECGGSCKVVVEKGGEVERCAKCNENGLMMCPICH >KQL07975 pep chromosome:Setaria_italica_v2.0:V:42907422:42908459:-1 gene:SETIT_003333mg transcript:KQL07975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQLTWDPPQAPGAASRRHPRAVAATVSSGERGAASRRPPPPSLRRSTSASPSHRFAAASIDQLPVLLPLPIWRQKQQGGEDHASANRMQGLSIEESVCNAMAVTAEFGLHRLRPLGQVQGQGVAFSSR >KQL04574 pep chromosome:Setaria_italica_v2.0:V:9983701:9984192:1 gene:SETIT_003706mg transcript:KQL04574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNVHLVVYLCDLTDKVTRPNRGMHNLFQIARKVENIKSGREGINLKRIAGQ >KQL07889 pep chromosome:Setaria_italica_v2.0:V:42334063:42334889:1 gene:SETIT_003722mg transcript:KQL07889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSCSPIQTCNTSQGKHTAQAPESQPTGQVICLTRNHQLHAKHTSWG >KQL05468 pep chromosome:Setaria_italica_v2.0:V:24850344:24850685:-1 gene:SETIT_004632mg transcript:KQL05468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRKTDEDIPTMQASPTSPTSTPTMPSTTTPAQVFKGPITRSRAKELQQEVNALLCEVHLNINENYTLPKSSTLLLLSFTTEDDKNTQGNEYKEEPRSNSSSSAEQSERNNHNF >KQL06770 pep chromosome:Setaria_italica_v2.0:V:35509004:35510476:1 gene:SETIT_001202mg transcript:KQL06770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKFVSAVLGDLVSRSVSFVVNTCYRQKGVEEYLPRLRGVLLQIQATVEEAEGRHITNQAMLRQLQMLREAMYKGCYLLDNFTYRMLHQKRNNDQVSGHPFALSIHSPAKRLCSSTRRMGVEFQGDGLEELQEMLRSLHSIMDDMSEFIIFLKSYPHISREPYSEYLFMEKCMFGRQAEMDKIMGFLLKPEPPGAQGLQVLPIIGPPRVGKSTLVEHVCYDERVRNHFSSIILCSGDPTAAPKGMSGIVKKQTHGSHGRSLVVMELADGLVIDERECRKLYSSASHMPPGSKVIVTSRSDNILKLGTTGAIRLDFLSQEAYWYFFKVIAFGSTNPDDHPELASIAMEIAEELDRSFLSANLYGGFLRGNMDSRFWRRILELERTHVERSIIHFGERPQTLLRKNQAAYVWSLPNISMRLKVLYCQKNCLQTEVPKTKLHEVQTRSAESYGRLEVLEWKSRIPPYHSCTMICEMEAPPHMMGKKKRSRSMV >KQL04620 pep chromosome:Setaria_italica_v2.0:V:10238029:10239911:1 gene:SETIT_002812mg transcript:KQL04620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTKCVEPAVQGGGLPLAALNHISVVCRSLDSSQRFYRDVLGFIPIRRPGSFDFDGAWLFNYGIGIHLLQAEDPESMPPKKTEINPKDNHISFQCESMEAVQRRLKELGVRYVQRRVEEGGIYVDQLFFHDPDGFMVEVCTCDKLPVVPLVPVEGNAILGLPPPPAAACKRPSYFKPPPQQQQQPPLPSPTAAAPQFVPAKASGGACCVGEVEAMRSCPEHECMQV >KQL05729 pep chromosome:Setaria_italica_v2.0:V:27275409:27277155:-1 gene:SETIT_004518mg transcript:KQL05729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEDEDTDTALKFAYGSLSLPPPLAIPDAALAAAARAAWTGPPDDGVDRISSLPDGILRNVVSRLPVKDAARTGALASRWRGLWRSMPLVFADANLLDGCIANPLWRPGLKDTLGVTNEVSDILAAHPGPFRCVQITCCYLDMNREKIKSWLKLAADKGVQELAFINRPWPLNLPLPATLFSCTSLTRLHIGAWKLPDTAKLPHGVAFPHLQELFLSLITMKDRDLAFLLDRSPVLEVLTIIASQRDVSLCLVSHSLRCLQLGMSSLGHIAVADAPRLERLFLLRTRRIGGNKSSRIKIGNAPNLRLLGYWPPGQHELQIGNAIIEARTKVSPSTIIPSVQILALQVHFEVRNEVKTVPSILKCFPNVETLHIQSVKVDKPTGKVNLKFWLEACPVGCVKHVKKLVIHGFKGSKNEHAFIKFIIGERAQALETMVIVMCLETFSSTNCLDAKMKPFLTVKCASKDIKKITSVLPTSPNPWSFRMATDVSCRDPFDRASAV >KQL07302 pep chromosome:Setaria_italica_v2.0:V:39011965:39012379:-1 gene:SETIT_005334mg transcript:KQL07302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPCIDKLCWLAIRSCWQLWLMRLYFTILWQ >KQL03814 pep chromosome:Setaria_italica_v2.0:V:4745977:4746348:-1 gene:SETIT_003957mg transcript:KQL03814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSYANLMPDLIVSIATKINDARDFIRFKAVCKSWNCARSGEARPFDPWILKSEDIGESGAMTFTSVVNHRLFEVSFLTLAGKKTSFIGCDGSGCLVAVDDKDGTSSLLLNPLSPREHIILP >KQL08557 pep chromosome:Setaria_italica_v2.0:V:46032698:46034160:1 gene:SETIT_002182mg transcript:KQL08557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQGAASMLALALLLGTFAAIPTGVQSIGVCYGVNGNGLPSAGDVVQLYQSKGINLMRIYFPDSNALQALSGSNIGVIMDVPNDKLGSIASDPNAAAGWVRDNVQAFSGVSFRYIAVGNEVAGGDTANILPAMRNINDALNNAGLGSIKVSTAVQSGVTQGFPPSQGSFSAGHMGPIAQFLQSTGAPLLANVYPYFSYVGNQAQIDINYALFTSPGTVVQDGGNAYQNLFDALVDTFYSALENAGAGSVGIVVSESGWPSAGGDAASTDNARTYNQNLINHVGQGTPKRPGAIETYIFAMFNEDQKPGAETEKHFGLFNPDKSPVYDINF >KQL05799 pep chromosome:Setaria_italica_v2.0:V:27950342:27951015:-1 gene:SETIT_003775mg transcript:KQL05799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTHYSRPLDAPRDFNEMPVRYTMLWNSLRLRLLGQHARTAEANAALGTGASARATTPGRLAMVTAVLLARSAVHPQVPGRGRKRSNSLGLTACSSGGRCGRDFGRAVAGAGNDPRVQRSVRSWPPKQLAGAGGQGRKATSKRSSALERCHSATATNSPRFLLLNCLPLFFDQKLVSFVYLLTASCASPLRLVHKLAIRN >KQL06623 pep chromosome:Setaria_italica_v2.0:V:34650322:34651405:-1 gene:SETIT_0047952mg transcript:KQL06623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GADYALRLDRVTGAEMYFLASMYFSFPEGAGGPGHALASGRHAWAAVDPHPRGAGAAPGWYVRASLAQTAGLRTVVFLPCKGGVLELGSDVAVRETPEALRAIQSALAVAPAPPSPATNWAPQPGGHATASTPPDKTEAAKPKPPEPPKSIDFSKAGKPGQAGGEERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPKISKMDKASLLSDAIAYIQELEDRLRGGGGGVAPAARAESPAVEVKAMQDEVVLRVTTPLYAHPVSRVFHAIRDAQLSVAASDVAVADDAVTHTLVLRSAGPEQLTAETVLAAMSRGMTSATPSP >KQL07162 pep chromosome:Setaria_italica_v2.0:V:38003435:38005970:-1 gene:SETIT_001905mg transcript:KQL07162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLYLQSSLLLSAAVSALISVLWMFTEPLLLLLRQDPEVSREAAAFVRPQIPGLFAFAFLQCLLRYLQMQSVVIPLVVCSVAPFVLHVVLTHLLVNVLGLGLAGAPAAISVTFAASCLMLLAYILRSEAFSETWKGFSAEAFRYVLPTLKLAAPSAVMVCLEIWAFELLVLVAGLLPNSTVSTSLIAICSSTEAIGFMTTYGFSAAVSTRVSNEVGAGNVDRAKNAVAVTMKLSVLLAISFVLLLAFGHGVWARLFSGSAVIVSEFAAITPLMIVSVVLDSAQGVLEGVSRGCGWQHLVALTNLVAFYLIAMPLAILFAFKLKLYTKGLWMGLICGLACQVCSLLVITARTKWSKIVAEAMQEEKADYIA >KQL07394 pep chromosome:Setaria_italica_v2.0:V:39522416:39525917:-1 gene:SETIT_000751mg transcript:KQL07394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEAEAAPAPEASGSYAGAGGLSTGRKLVPWSSWEEWRFVRDGLFSPFPAAALRRISAWRSRGSLLIPVDVTAAFVEIRLRDPFFRSGLAAADAMESEEMLAMLYSMAIMRLVNGFVENPHKKTGRSISELAEAVGIPRVLVDIRHESSHRNLPSLRLLRLASIKAFDWLKCIYWDRQSNSIPDVQVELRLRLHEIACFLKENDSKETKSGSKRKRSEKLIVKAIKYTRRLYYAYPFEVVSVLLDLMQLDAPESPESSDMQETHSFAVNHSSDTQISNSDMKTIIMKLSEKEPRLLLSVLKSVIEMIDAKEELTNKGVSFACLPVAPFEVKRLYSLVLWLITSIKELKDSGYIGFVHEIGVLSSDKNAVPRFCLAKLLWKLLNLSTIGEKCIIDAALLLIEMVNNNNVEEKLRKLPVLSLPNLAKVLRQSRTICNELGSIEKATETLEMFKLQLKRQKNGCLTETGTTEGSFNTSTPEKHNRWSVAKSWTPCPIGTIPCSFSSCAVLPAFDVVDHGPEVATLEQHGNFEEVDHSERFESQSEELEDESILNIPRSPEYDISDMPELTYPLKGRLLVGGVWKKVTAEELLLIKSNMKMLL >KQL03281 pep chromosome:Setaria_italica_v2.0:V:709255:709865:1 gene:SETIT_005396mg transcript:KQL03281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRPLPPSAVRQRPIQVIQHVCERELHRRRGEPGAERHGPEVLPHLQ >KQL05481 pep chromosome:Setaria_italica_v2.0:V:25002567:25003073:-1 gene:SETIT_003578mg transcript:KQL05481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPIGIGECSLFSVPPISFLNSWRFNGPSCRPRARSGTDDKRDTANSKHILYNTHIHYTMLQYTTAYVYILLSCMNEATHD >KQL05873 pep chromosome:Setaria_italica_v2.0:V:28653276:28653506:-1 gene:SETIT_005315mg transcript:KQL05873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPLSYLIPYSLTGQKLNSRQEPQRKIQKHAIKK >KQL08801 pep chromosome:Setaria_italica_v2.0:V:47133868:47140174:1 gene:SETIT_000124mg transcript:KQL08801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLQASGAAASWVVGRMGTDAHLYDDPDDASIPALLDSRFDADKVDALKRLLALIAQGVDVAHLFPQVVKNVASQSLEVKKLVYLYLLHYADKRQNEALLSINIFQKDLSDINPLVRAWALRTMAGIRLHVVAPLVLVAVKKCARDPSAYVRKCAAYALSKLCDLLPDQATTLQEIVDILFDDNSPGVVGAAAVAFKSVCPTCLPLLSKHFRRLCQTLPDIEEWTQIILIDILLRYVIARHGLVEDSLLSASNLSTEVQGITESGPVATMPTQPDSIGNGVCGTISNIMLFRHYIEEYSGFPDRQGNNSSFSSVTTNINDDVALLLKCTSPLLWSRNSGVILAAASVHWIMAPVGDLKRIIGPILFTLRSSPDAAYAMLGNILVFAKTMPSLFAPFYEDFFINASDPYQTRALKLEILTTIATEPSIPAIFEEFQDYIKDPDRKFVADTVAAIALCAQKLPSIATACLEGLLALVFYESSICNSVHFDGEDAVLVQAILSIKAIVKMDPVSHEKVIVRLVRGMDKIKEPAARSLIIWMFGEYNFMGDLIPKIVPAVLKYLAWSFTVDVVETKLQILNASAKVVMHCPEEHAEEFKRIVAYVIELATYDLNYDVRDRARLLSRLLPCSTTHLEPSYQPQNGDICKELADHIFDRKLQSTSPSARNYRIYLPGSLSQVVLHAAPGYAPLPKPQSMELSHNKIIESTRGIAKPSGSNNSDAESGSSTYESSSVYDSESEDDGLSDGDTDESLHHQDNQDAPVVHIYDASVQQGQTRETADENLADLISTDLTELMSKSALESWLDEAPAEPLVQNSSQASSARVAFTNRSFERKPKLHTLLDSSDSNGLSVLYAFSSEVSPRSRLLVCVDLYLENVTTQHLTDITIKSEEASSSVDSTGQTLEGSVSAPTIVPVEEIHSLAPQQTAKMVLEVHFHHHLLPLKLYVLCNGKTHPAKLHPDIAYFVRPLPMDLNAFLCKENQLRGMFEYARRCTFKDHLEKLEHSDKNLQVAQSVASKILSNANVHLVSMDMPVTFNVDDTSGLCWRFSSEIPSTLKPCLITILAEGHASGPLELTAKVNSEDTVFALNLLNRIVAIIEALTF >KQL04802 pep chromosome:Setaria_italica_v2.0:V:11966782:11966835:1 gene:SETIT_002728mg transcript:KQL04802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALPEGLIWSMGWPDLSI >KQL03349 pep chromosome:Setaria_italica_v2.0:V:1889756:1891771:-1 gene:SETIT_003620mg transcript:KQL03349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTTTTPQPVCAQESLALLNCVAAVPYDRDRCLALVDALRDCITQKKVKKFSLAEPSSSTSTEAPKSDSKS >KQL06007 pep chromosome:Setaria_italica_v2.0:V:30063645:30064778:1 gene:SETIT_002680mg transcript:KQL06007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAFFLFLPLGVILSVIRINIGIVLPFKIQLLAAAIFGLRYRTSGLRTPPADGKQRRGVLYVCTHRTLVDPIMLSSALQKPVPALTYSLSRLSELIAPIKTVRLTRDRARDAETMSRLLRQGDLAVCPEGTTCREPYLLRFSPLFAELADDMEPVALDAQVTALYGTTASGHKWLDPVAFFANPAPSYRVEFLGAVPREWTRAGGRTGVEVANWVQRRLGEALEFECTGLTRRDKYMMLAGNDGVVAK >KQL06422 pep chromosome:Setaria_italica_v2.0:V:33295757:33296277:1 gene:SETIT_003538mg transcript:KQL06422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKADGEKVTRPGRLLVLSPISHPIAPIITPTRQRGTGSRRSNLASYHQRDTQSPPSSPSLCLGWNGRLPGGAVRFQRRVGISRVKIIE >KQL05258 pep chromosome:Setaria_italica_v2.0:V:19339409:19339991:-1 gene:SETIT_003473mg transcript:KQL05258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRRWRRDSASDAGGDGARERSRLSVREREERGRPENGRIGALNRATRDLQSTGDAAAFAGTKARRSLRCPPCTLWPRDGLLHLFPVRSPTSWVIAV >KQL05041 pep chromosome:Setaria_italica_v2.0:V:14616732:14621101:-1 gene:SETIT_001286mg transcript:KQL05041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSTAARHLLLFTIFVAISMLLAITARDEQEGDRLGFLPGQPRSPLVSQFSGYVTVNEHNGRALFYWFFEAQTLPAQKPLLLWLNGGPGCSSVGYGAASELGPLLVNGNGTGLEFNKFAWNKEANLLFLESPVGVGFSYTNTTSDLDNIDDRFVAKDTYTFLVNWFNKFPQYKSHDFYISGESYAGHYVPQLAEVVYDHNKHLEANKQINLKGFIVGNAETNDYYDYKGIVEFAWSHSIISDQFYKRVKNVCDFRLSPTTNECSHVMDLLFKMYHEIDMYNVYAPKCNTDGSAVSSSFDNTVEKEAKNKAKRLRMYSGYDPCYSNYVEAYLNRMDVQKSLHANTSGWIKDRRWSLCSDPIFDNYDMEVFSVLPIYSKLVKGGIRIWVYSGDVDGRVPFIGSRYWVEALGLPIKSQWQPWYFKNQVAGRYVEYEGLTMATVRGAGHTVPQDKPAEALVLINSFLLDRQLPTKDI >KQL05946 pep chromosome:Setaria_italica_v2.0:V:29445623:29446141:-1 gene:SETIT_003765mg transcript:KQL05946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFQQQENPRGPCPCAAGCSFFGSPETLNMCSVCYKKHCLAAEPGANSAAAASRSAVAAAPAGTTASSSTAANPVADVSFFAPAAGVGEAAVVPPSSVSPGAAKKAQPTRCAACYKKVGLTGFVCRCKKTFCGSHRYAEEHGCSFDYKGAGREAIARNNPLVKGEKLPGMI >KQL06862 pep chromosome:Setaria_italica_v2.0:V:36157955:36158435:1 gene:SETIT_005317mg transcript:KQL06862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHELQTSKTYTTLSVLHLLVTFSTRKVLSLEALSPCPLSNSN >KQL03734 pep chromosome:Setaria_italica_v2.0:V:4365214:4365399:-1 gene:SETIT_0004545mg transcript:KQL03734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AILPLRGKILNIERKDEAALYKNEEIQNLILALGLGVKG >KQL07242 pep chromosome:Setaria_italica_v2.0:V:38607520:38609216:-1 gene:SETIT_001944mg transcript:KQL07242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGATGAGAITRQQPTQLVRRLHHDRLDQLLLLGHGSSMINAAAGRRPSTVEAPVDAGSTGAPRGTGAGQHHLDARLVRRRHQKTWVAASARSARTVSRPGRAGASPRTATRRRRRRRLSRRCSLRRRALSRGPSPSPSPMSPPPREERGVKKAKEQEYSADAAVSDLGSCVSLSLATDERSEAASESSVATSSLAGPERSPGRRPSARRRPVSADLGPARRDRAVAASYGVRSRSARASPSPPPRHVPRDRSVRRSPSPAAKRPSSEHRRAASPAAPAQRKPPVPARPSGRVSPRRAQELPPPPAVSPPQSPPPSSQPEDDVDTTASGQSIPDANAGGDGQGGRDGDGKESLENPLVSLECFIFL >KQL04482 pep chromosome:Setaria_italica_v2.0:V:9354941:9355594:-1 gene:SETIT_005130mg transcript:KQL04482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVVAYNTKKRGREESAEPWRQLARTAPGTLLRVATSEWEGVRKCLKSTHRRVHGYNVADMLQKRDAGNRLRRPHGRTLGSSLRKVKRLIALHDAAGHILALCAARLGLRPHHGGDAQAAARWRAWEESRDAAARHAADALRGLLSALKDLTATAHILHVVSGRPPKHRSRAAWASEADRLVRRATDEAAAARDAVLRMRRAVVLEFFDAWAVLSG >KQL06186 pep chromosome:Setaria_italica_v2.0:V:31521100:31521762:-1 gene:SETIT_003730mg transcript:KQL06186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTYAYDTDVVMDDGTTIRTTVTNSGDAVKRFLKEVYKYGQRLIVGLDTEWRVIRRDGRRTNRMAVLQLCVGYRCLLFQIVHADYIPAALRAFLACPDLSFVGVAVDNDVERLYCDCNKLVVANAVDLRYVAAEVLSRPELRNAGLKTLAREVMGVHIDKDRDVTMSKWNQPLSMEQVRYACIDAFVSYEVGRLLLTGQHAGDAATGATIPPYMSFELP >KQL08485 pep chromosome:Setaria_italica_v2.0:V:45665907:45666564:1 gene:SETIT_003257mg transcript:KQL08485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKPLASAAGDLGAASGVGVGRPARPAVVVGRAAVGARHPPPAHRVVADVPAVPKLPPRRAARALLHRARRATVVGGHHPAIRIHHRRRLAGRSRRGHGQQERHDHRKRGAARRRRHCRLGGRPKRACCAASPSLQPAAALRSL >KQL07893 pep chromosome:Setaria_italica_v2.0:V:42365000:42365469:1 gene:SETIT_003721mg transcript:KQL07893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSPRPKPSLCKCTTVNHKGNTTCYTTPHKEGKEEELKISVKIGTPFLN >KQL08075 pep chromosome:Setaria_italica_v2.0:V:43452115:43457006:-1 gene:SETIT_001028mg transcript:KQL08075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALGLLLAFLAIGSCIAADNIDLWPMPKTVSHGTQKLYVKKDITMSMVGSTYSDEKSILKDAFQRMVDLITLNHVIDGINPSSLVLTCVNIVVHTPDDELNFGADESYNLTVPTTGDPLYAQIEAQTVFGALHGLQTFGQLCYFDFTSRLIELNSAPWIITDTPRFPYRGLLIDTARHYLPVKIIKGVIDAMTYSKLNVLHWHIVDEQSFPIEIPSYPKLWNGSYSYSERYTMSDAIDIVRYAERRGVNVLAEIDVPGHARSWGVGYPSLWPSDSCREPLDVSKNFTFEVIDGILSDFSKVFKFKFAHLGGDEVNTSCWTTTPHIEGWLNNNHMNVSDAYRDFVLRSQKIAISHGYDIINWEETFNNFGDKLDRKTVVHNWLGEDVAPKVVAAGLRCIVSNQDKWYLDHLDASWEGFYMNEPLKGIDDTKQQQLVIGGEVCMWGEEIDASDIEQTIWPRAAAAAERLWTPTEKLANDTRLVTSRLARFRCLLNQRGVAAAPLAGYGRASPAEPGPCVRQ >KQL08662 pep chromosome:Setaria_italica_v2.0:V:46558234:46559009:1 gene:SETIT_003074mg transcript:KQL08662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYVYEQPTPINYQACMFLQALIMILNSSKLSTPSPSRSNLQIMARQSSISWWAPSLLSMRLRLVGVMHPSPSISYMPKARRSPRWRSSSSTSACTRRANSSPSSSPSPSASTDATSASASSADTSSPSVARMQSRSSAAEILPSASLSNAANRDPSSLDDDDLDLDATTILDRSVCRDV >KQL05415 pep chromosome:Setaria_italica_v2.0:V:24062558:24064637:1 gene:SETIT_004088mg transcript:KQL05415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRENAAEKRMPRDGTVRGGGALARGLAGMDKESREGIRRRNRRGEEEERRKAAVDKLEKASEDSILGQASTCVATLSILLLLENLASSLAETIQQGELPAKASVIAPTILETGKSFTARGMEVLERIGKETMEFIVEETGMEVDKGSAGEGDQQTEEELFD >KQL07448 pep chromosome:Setaria_italica_v2.0:V:39773756:39776807:1 gene:SETIT_001768mg transcript:KQL07448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRWFCCTHFDTPYLENENGFTSSPDRTSGNGLTSNSDPAKPPSIEVPALLFDELKEKTDDFGSKTLVGEGSYGRVYYAVLENGKHAAVKKLDASADPEPDNEFLAQVSVVSRLKHENFVDMLGYCIEGDQRLLAYEFATMGSLHDILHGRKGVAGAQPGPALDWMQRVRIAVDAAKGLEYLHEKVQPSIVHRDIRSSNVLLFEDYKAKIADFNLSSQSPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLGEDKVKQCIDPRLNGEYPPKGVAKVWSSTLLPCVLLLYNLISEIQSYQQLFSLLSMQLAAVAALCVQYESEFRPSMSIVVKALSPLLANAPYQAAAATDTPSDA >KQL08565 pep chromosome:Setaria_italica_v2.0:V:46081014:46085491:-1 gene:SETIT_000491mg transcript:KQL08565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDYESDPPLDGAKALEKESSLNVAVSQLASDFDRESNLCLERFSRTRRASVISTGSLKLDLALGIGGLPKGRMVEIFGKEASGKTTLALHVVKEAQKNGGYCAYIDAENAFNPSFAEAIGVDSEKLLIAQPDSAENSLSIVNTLVGGSVAVVVVDSVAALIPKCEMEGEIHMNSEDIQSRLMTRALRKIQYTLCRSESLIIFVNQVRTKLSSNQFPGIYKEVPCGGNALGFYAAVRMRTSRRELRYSEDQATGIALSVQIIKNKLAPASLKEAGIDIRFGKGICHESETLEMASSVGVVVKDGSGYWINGVFLPGKAEAEKFLLENAGVADEICNTVRNQFLQRESVCRGLPSLRPLCTCTLASLSTLAEAAKFEQRSLLWWPCVRHKKKRAICSKRVRAIGVCYGVIGSGLPSKSDVVQLYKSNGIANMRFYFADQEVLNALRGSGISLALDVGNDKVGDLANDPAAAASWVKDNVQAYYPDVSIRYVVVGNEVDGAASVLQAMKNVHDALTSANLAGSIKVSTAVKMDAIINSSPPSNGAFKDPSVMSPIVQFLAGNGAPLLANVYPYFAYKDNQNIDLNYALFEPSSTTVGDPNGLTYTNLFDAMVDAVHAALDKVGGGGVDVVVSESGWPSADGRGATVDNARTYNQNLINHAGKGTPRKPGPMEVYVFAMFNEDNKDGDPTEKKFGLFNPDKTPVYPINFA >KQL07034 pep chromosome:Setaria_italica_v2.0:V:37163309:37168872:-1 gene:SETIT_001111mg transcript:KQL07034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQTKVDSVRSGNHGEHPKEIYPTKEAVNIASQNFSCSALSGEDPTQVLPDPMNSKDAPIADFGKAQVSRESNDNEQSIGSQSRDTNIINLNVSRRPVGQFDSRNEVVEESVSLNEGSQGNQSFSDVPKKFLKKTSCGTTNTEEGTSGHKSLSSSTRRGTRNVEPALANERGSFSLLYKSENMKVSRVELTSETALNQLSGASKVIKKMTTHPTDLKREHKQANAGPDKSKTAFSKTYSKPASHGLLTTSINAKGDRNVKSGLEAPSSGNSSLLNARMSTAHGSGHKIVANHVLLKSSNASDSLQVAPSKDNKISIISQMTGARIAKLGIRSPKSDRVLEKESVQLSGTKGSPVTTSKILKSVPEGKPALPSPAIMQKVPEESVLDPKAPTVLKCIMRSPAVRKSPQTVPELGNGMILGSGTPKAHMDNAISSCMPSGMGDISDLELPMLLENDGNLEKAETCRKELEDICILLKRKHAEAKELAVKAIVNNNTMLMLNHPMFEEKIS >KQL05021 pep chromosome:Setaria_italica_v2.0:V:14121310:14123103:-1 gene:SETIT_001653mg transcript:KQL05021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHEAKSSFAHHGVKFSNLEVDLPAMMAQKDKAVTGLTKGIEGLFKKNKVTYVKGFGKLASPSEVSVDLIDGGNTVVKGKNIIIATGSDVKSLPGITIDEKKVVSSTGALCLSEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKFKFMLKTKVVGCDTSGDGVKLTLEPAAGGEQTILEADVVLVSAGRTPFTSGIGLETLGVETDKAGRILVDKRFMTNVKGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKALGIAYRVGKFPLLANSRAKAIDDAEGIVKVVAEKETDKILGVHIMAPNAGEIIHEAVLALQYGASSEDVARTCHAHPTVSEALKEACLQTFSKAIHI >KQL07966 pep chromosome:Setaria_italica_v2.0:V:42858637:42861391:-1 gene:SETIT_005469mg transcript:KQL07966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAIPDALRASLDPRKQSRRSGPPGGAIRGRRQALARAPRLWQGLER >KQL08465 pep chromosome:Setaria_italica_v2.0:V:45592002:45592905:-1 gene:SETIT_002514mg transcript:KQL08465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGRSREERWSLAGKTALVTGGSKGIGRAIVEELAGFGVRVHTCARGDAELQECLRRWGADGRLARVTATACDIAARGDRERLVAAAREELSGRLDILVNNAGQAMFRAATETTAEDYARLMATNLESCFHLAQLAHPLLVAAAAASPGGEASSVVNVSSIGGLVSYPALSVYSTTKAAMNQLTRSLAVEWAQDNVRVNCVAPGGVRTDFAASSGLKLDPEVARKMGEAEMARVPMHRSGEPEEIASLVAFLCMPAASYITGQVICADGGRTIAA >KQL04877 pep chromosome:Setaria_italica_v2.0:V:12648294:12650324:1 gene:SETIT_001636mg transcript:KQL04877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFSVPATGVRPYPGEPNQQALCSPTWAAGRRRLTTISLSLAAIISSRPAAGDAASPHLPTARLSNPFAAAAAAPPAAGRSMKVLCSACEAAEARVLCCADEAALCARCDRDVHAANRLAGKHRRLPLHPPAAASAPTCDICQESHAYFFCVEDRALLCRSCDVVVHTANAFVSGHRRFLLTGVQVGLQPDAQDPEQPHPPTSAASAAPAPAAPLPTPLPPPAKKARTSPAPLYSDDDIDWAVAGGPDVGIAGNLPDWPLVDEQFSAPVPRPAAEAHVTRTPSKRSPRRPLAAAFTVQGGLAGGMPDWPLDEFFGFSEFNTGLGFTENGTSKADSGKLGSTDGSPAGRSSSDTAQDFFGQVPEFHHWSVPELPSPPTASGLHWQGGPRHGAAAADTAAVSVPDISAPEEMLT >KQL05784 pep chromosome:Setaria_italica_v2.0:V:27865249:27868757:1 gene:SETIT_003354mg transcript:KQL05784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFYQCKEVLKIQKFRRMVSYAGFYCFTTLITYAYTSNTTRAGISRADQYYASYPAGTELLTDTAKLYKAALGNCFEIDDWGPIEFSIMAKHFDRQGKPPYAYHAQYLAHLLSHGQLDGSG >KQL08299 pep chromosome:Setaria_italica_v2.0:V:44679893:44680363:1 gene:SETIT_005285mg transcript:KQL08299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNVGMVRTGETVNNACKRNPIHGTLSVQGTGKICPNIHVLK >KQL08555 pep chromosome:Setaria_italica_v2.0:V:46006235:46012480:-1 gene:SETIT_001164mg transcript:KQL08555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVGLGVAAGCAAATCAIAAALVARRASARARWRRAVALLREFEEGCATPPARLRQVVDAMVVEMHAGLASDGGSKLKMLLTFVDALPTGNEEGIYYAIDLGGTNFRALRVEVGSGSVVTSRKVELPIPEELTKGTIEELFNFVAIALKDFVEREDGKDEKRALGFTFSFPVRQTSVSSGSLIRWTKGFSIENAVGKDVAQCLNEALAMSGLNVRVTALVNDTVGTLALGHYHDEDTVAAVIIGAGTNACYIERTDAIIKCQGLLTNSGGMVVNMEWGNFWSSHLPRTPYDISLDDETQNRNDQGFEKMISGIYLGEIARLVLHRMALESDVFGDAADNLSTPFTLSTPLLAAIREDDSPDLSEVRRILQEHLKIPDTPLKTRRLVVKVCDIVTRRAARLAAAGIVGILKKLGRDGSGVASSGRTRGQPRRSVVAIEGGLYQGYPVFREYLDEALVEILGEEVARTVALRVTEDGSGVGAALLAAVYSSNRQQGSI >KQL05303 pep chromosome:Setaria_italica_v2.0:V:21495102:21495594:-1 gene:SETIT_005421mg transcript:KQL05303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREAEGRSGLRVDVEVADVVCAMVSTRSEEAAVFLSLQRSLQIGLVERLNVQ >KQL06773 pep chromosome:Setaria_italica_v2.0:V:35517449:35518940:1 gene:SETIT_001191mg transcript:KQL06773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAILSAIMSNLLSRALSMVIQRYKRSEEAEHKIQRLQRVLLRVDSMVEEAEGRHITNQAMIRQLEMLRQGMYGGHYMLDTVNYRGHDEVSGGLPVALPRFSSAKRLPSFPGSSSSNGNRQNTESLKNLEKMLDGLETLVGDMLEFAVFSRGYPRICRQPYGTYLILGNVMFGRQMEMETVINFLLRPESPAGNENPGVLPIVGAARIGKSTLVEHVCLDGRVRSYFSSIVLFTGEDLGAFRGSAVIKHQDVTAPSHGRSLAVIELAGDIDEAAWRRLYYSAASSMGHGSKIVITSRSEEVVALGTTEALRLKALPQEAYWYFFKALAFGSANPDDQPKLASLAMEIAELLNGAFLAGNIVASLMRANLNAEFWLRVLQCLRGCARKHLLMFGKHPNRLLQQGRYVYTWRRARTRDVVTVICNPYQKPSPQDDVPEVTVQDILSGRSTRQGNFSAVAWRSAVPPYYTYFASCASQTAGCSTVNKKRPRHAMV >KQL07784 pep chromosome:Setaria_italica_v2.0:V:41749184:41749749:1 gene:SETIT_003300mg transcript:KQL07784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKGSECTRISPAEIGSATPMISLASRSGRRAAPDRERPRQVRGACGSRLLRSARWTAARFYRRARVSIIRAFFRSASTTKKTAATATVASPDCTPARHSSRRQQPAPPVVVDDSHKSEAVEECIKFMNSSSRKYR >KQL03770 pep chromosome:Setaria_italica_v2.0:V:4505122:4507920:1 gene:SETIT_001757mg transcript:KQL03770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAEEEEVIIVGAGQSGIAVAACLSLRGVRSLVLERDDCVGSLWRKRTYDRLHLHLAKQYSALPHAPHAEEAPTYLPRDEFARYLDGYAARFAVRTRLRREVRSAGFDAGKGRWEVEAVDLATGRRELYAARFLVVASGENDERFVPEVPGLEAFPGTVVHAAEYRSGEGLRGKAVLVVGCGNSGMEIAYDLAAAGAVTSISVRSELHLVTREIWNVAMSLYGYHVPAWIIDKLVLLMCAVVFGGDTARHGLRRPAVGPFTMKLTTPAYPVIDVGTYSKIRTGEIRVLPAGVKSVRGNVVEFADGRRHPFDAIVLATGYRSTVRRWLRSDDGLIGDDGMAARPYPEHWKGGNGLYCAGLVRRGIYGSYSDAELIAGDISELLRPQQTHSNGSNK >KQL03958 pep chromosome:Setaria_italica_v2.0:V:5591250:5592884:1 gene:SETIT_001419mg transcript:KQL03958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCGRLALVVAVAAAAMASCAVETRGVAASGAPSPCMYIFGDSLVDNGNNNNILSLARANYRPYGIDFHEGPPGRFTNGRTMVDFLSDMLGLRPPLVPPYATARPADLPRGVNFASGASGVLAETGNNLGGHYPLSEQVDHFRAAVAAMGNSSAFRGSAARLAEHLGRCIFFVGMGSNDYLNNYFMPNYYDTARRYSPRDYAALLLQGYAAQITELYGLGARKFVVAGVGQIGCIPYELARMNNDNQPDTPSSVGSEDIAISIGIGGGGGGGGGGWGGGIGVGRSSSTSSNPNGGSGSGGGGSYSATNPTLTPADAGSGGACNETINSAIAIYNRGLLDMVKRFNGRGPQQLRGARLVFLDTVQSGKDLAANAAAHGFTVLDRGCCGVGRNNGQITCLPLQRPCDDRSAYMFWDAFHPTEAANRIYAAKAFGSNSTAEAYPINVSKLAAM >KQL07541 pep chromosome:Setaria_italica_v2.0:V:40401629:40403123:-1 gene:SETIT_002061mg transcript:KQL07541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPALLLVLLLSSPAISSAKRIQPKFSAIFYFGDSVLDTGNNNRLPTVAVANHVPYGRDFPGKKPTGRFSNGRLVPDLLNQRLQLKEFSPPYLDSKLSNNDIMTGVNFASAGSGFDDQTSQLANTLPMSKQVNLFKDYLLRLRGIVGDKEASRIIANSLIFISSGTNDFSHYYSSSKKRKMGIGEYQDTVLEMAQVHVKELYDLGGRQFSLAGLPPFGCTPIQITVSRDPDRACVDEQNWDAQVYNSKLQELIRALQGSLHGSKIVYLDAYRALMEILEDPAKYGFTETTRGCCGTGLREVALLCNALTPICKNVSSYVFYDAVHPTERVYMLVNDYIVKDVIPQF >KQL04405 pep chromosome:Setaria_italica_v2.0:V:8779287:8782655:1 gene:SETIT_002841mg transcript:KQL04405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGSALRRLYLSVYNWVVFFGWAQVLYYAVLALRESGHEAVYAAVERPLQFAQTAAIMEILHGLVGLVRSPVSATLPQIGSRLFLTWGVLWSFPETQSHILVSTLVISWSITEIIRYSFFGMKEAFGSAPSWLLWLRYSTFMLLYPTGISSEVGLIYTALPYMQATEKYSFRMPNKWNFSYDYLYTSILALVIYIPGSPHMFGYMLSQRKKALSKAKTA >KQL03948 pep chromosome:Setaria_italica_v2.0:V:5556821:5559992:-1 gene:SETIT_005015mg transcript:KQL03948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESFNKLLLGIRGMPMNAIVEFTFYRLVVWFNERHAKVEALQIAGKRWAEKPNRHLSIANERASTHEVQCFDLGTGTYQVKHRGGTMSDGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVEAARHRNFDIERMIPHEFSVDTLVRTWSPRFVPFRDPREWPPYDGPKYVADPAYRWNKRGTRKRTRHNMTMDQVSGRTRRGRATPFLADPEQNECGKCGRLGHNSRTCHWQISEDGALPPTRPGVQPDPPRSSHSGRAEMAGLDVISYQVHRGMPKFNSAAITALVDRWQPETHNFHLPFGEMTVTLQDCQKMLEHFGQCPQDADAETVWHYCKAWILHLFACVLFPDATGDTASWMWIHCLTDWHQARLYSWGSAVLCFLYRQLCEACRRTSGSASVGGCVYLLQLWMWARLPVGRPEIMPRRPWFPGEMPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSVNWQPYEGEDALPLTLSFVCGLDDDLYRMKCPLICFYAVDVDRKKKRKVSEWAAFHQAYIDDWENFDENVDENDEPHTHTSFYYCSDCQIGFAVPLLVVVAGLPRRETCTFHPYVKEASDEDNDDDDDEQRAEELGPSQLQEAPLTQPT >KQL06254 pep chromosome:Setaria_italica_v2.0:V:32090882:32093452:-1 gene:SETIT_004965mg transcript:KQL06254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGPLSPEEYRAASPPALLHQPAPTIVVAIDRDRNSPLAAKWVVDHLLSSASHVILLHVAAHYPAATHGFALAETTQGALEAEMKEIFVPYRGFFNRNAVEVSEVILEEADVSKAILGYITANKIQSIALGGACRNAFTKKFKNADVPSTLMKCAPDYCNIYVVAKGKSVNVRLAKCGVPAVTGTDVLPDNESLRGAVGLYSRRGSRGLLPPAMPDARRSVDSRSTLPELTSRPPFRERSLPSSAKHVMPAGKDYSDASSRSTRHESLAELDFGQSTRFSSIDFSENLDMSTTLAASPGGEPMSPATCQAQREVEAEMRRLRLELKQTMDMYNAACREAINAKQRAKEMQMLKLEDARRLEEAQHAEEAALAVAEMEKAKCRAAMEAAEAAQRLADLEAQRRRNAEVRARREADEKVRALDAITNHDFRYRRYHIDEIEMSTERFSDELKIGEGGYGPVYRASLDHTPVAIKVLRPDAHQGRKQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMDNGSLEDRLYRRGGTPPIPWSQRFRIAAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPAVADSVTQYRLTATAGTFCYIDPEYQQTGKLGVKSDIYSLGVLLLQVVTARPPMGLTHHVEKAIDAGTFHQMLDITVKDWPVDEALGFAKLALKCTEIRRRDRPDLATVILPELNRLRNLGIAYDASVTAGPSYGSGDGGVQTTVSSPTVGGGGSSWKTAES >KQL05037 pep chromosome:Setaria_italica_v2.0:V:14520109:14524260:-1 gene:SETIT_004358mg transcript:KQL05037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPSAASRDMAPESLPLGIIPTVDMSAPSGRGDLARRLVRACAERGFFKAVNHGVPARAAARLDAAASAFFARPARAKQAAGPPDPLGYGSRNIGGNGDVGELEYLILHADPAAVARKATVIDAEDPSRFSVAVNEYVDAVRRLACRVLDLLGEGLGFRDPTSLSQLISAVDSDSLLRINHYATSAAALQGPGGRSCTKGSIGFGEHTDPQILSVLRANDVDGLQVLLPDGCGGGDEWVPVPADPAAFFINVGDLLQALTNGRLVSIRHRVMASTTRPRLSTIYFAAPPLRALIAALPETVAAGTPRRYRPFTWAEYKKAMYAHQLSHNRLDLFHASSDDAADDGHS >KQL05392 pep chromosome:Setaria_italica_v2.0:V:23748232:23748951:-1 gene:SETIT_004867mg transcript:KQL05392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPCLLLPLLLAAAAVLAWAPGGALAKSKLAKKSDDIVNGPLLTEKLKAKRTLIVGPDEEFKTVQSAIDAVPAGNTEWIIVHLRSGVHKGKVVIPANKPFTFVRGNGKGRTSITHESASSDNAESVAFAVNADNVVVFGISFRNSVRVGLINDQGIRSVFAMVAGDKVAFYHCAFYSPHHTLYDSAGRHYYESC >KQL08122 pep chromosome:Setaria_italica_v2.0:V:43668471:43668605:1 gene:SETIT_0051671mg transcript:KQL08122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RCLPPEEVVSALAASPELRLKSKTIQNGKGGTEAPQINLFPSPQ >KQL04191 pep chromosome:Setaria_italica_v2.0:V:7100398:7102320:1 gene:SETIT_005030mg transcript:KQL04191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNEPQVILRMSVTLFHGEACLRPLKPPTFKDAKLPCAHREFGCESYVVYHEEADHLRACPWAPCSCPDQGCPFFSSPARLLEHLGAHHPWPVTAVSYGKPCKLPVPPPQGWHILVAGEEEEDRRVFLVAASVLGAATAVSVVCVRANGDAAAGAPQFECVLWVEGPVHNRAYTTISSTVRSSNLSRGFAAADQGMFLAVPPQLLHGASGETPVLTVRINRAVLMQQSPALKHHSNTNLVSSRSRSWGWTLEKLVPPPARAARGATHAPRRAARWSSSRGCSPKQPVGQELRRQGPPPAKTSAGQELRHQGPPPIETSAR >KQL04067 pep chromosome:Setaria_italica_v2.0:V:6264936:6267716:1 gene:SETIT_002821mg transcript:KQL04067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKRSSSGSTAAEGKRSRASNSSGGASAATTVARKEVERRRRQHMKSLCVELASLIPKEHYSSKDTLTQQQASLDEAAAYIKKLKERVDELQQKRSSAQLLAGVRGGGSGGGGASTLAATTTTSSGGAGSEEADEEAMAAAPPVVEVRQHHDGSSLDVVLISSVARPFKLHEVVTVLEEEGAEIINANFSVTGRKIFYTIHSRAFSSRIGIEASRVSERLRALV >KQL04691 pep chromosome:Setaria_italica_v2.0:V:10991695:10993434:-1 gene:SETIT_001501mg transcript:KQL04691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVTRAQSVCPSEHAVPMGYDDEPLLGRYNEAVRLEKTAAAADGDASFVQTCLNGLNALTGVGLLSVPYALSEGGWLSLALLAAVAAVCWYTGLLLQHCMAVDPAVRTFADIGERAFGRAGRLLVAAFMYAELYLVAIGYLIVDGDNLDKLFPGAAVSLGPASLSGRQLFVVLVALAVAPTTWLRSLGVLAYVSATGVFASVLIVLSVLWAAAVDGVGFSAPGATALRPARLPTALGLYTFCFCGHAVFPTLYTSMKEKRRFPKMLAICFVLCTLNYGSMAVLGYLMYGDGVQSQVTLNLPAARLSSKVAIFTTIVNPLSKYALVVTPIAMAVEERIGSGSSAAASMAVRTLLVLSTVAVALAVPFFGYLMALVGSLLSVGACVLLPCVCYVRVFGLPARAAEAAAIAAILALGSLLLITGTYSSLVQIIHELSS >KQL08772 pep chromosome:Setaria_italica_v2.0:V:47030761:47031958:1 gene:SETIT_002235mg transcript:KQL08772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTVMRLVLVMVCLAAFAVVQSASQAPRLAVATPSKNKNTQHKCTNTRTNKTTCTASCNNRCPHKCLIHCPSCKTFCLCDFYPGVSCGDPRFTGADGNNFYFHGKKDQDFCILSDANLHINAHFIGKRNPAMSRDFTWIQALGIRFAHHRLYVGAARTAKWDAAADHLTIAFDDEDVELPRSVGARWAPPTAPALSVTRTAQVNTVVVELRGVFRIMANVVPITAEDSRIHNYGVTDDDSLAHLDLGFKFHDLTDDVHGVLGQTYRPDYVNKLDVKSNMPVMGGAPDYLSSDLFSTDCAVARFGRRPATIGGGPAIEMVTGTE >KQL08029 pep chromosome:Setaria_italica_v2.0:V:43245863:43248552:-1 gene:SETIT_001751mg transcript:KQL08029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTRVAADAFGVLTIALFALFAALGLFCIFQSVYFRRRIRRGSSFLPLGYFNGPWVTRIVLILITIWWGVGEIVRLSFLKKKLFSSLVWQRNICDVYILSNLGFAEPGILFAFALLLHGSLQKRELGTLNQRWNWKTMAYMLVFCIPVFFVQAILVFLGPKFVKDENSEHGRRKIAKYFIRTSMAVGDTSVCTYPLFGTIFLGLVDAILMSYVSYVGSRVLSLVINKALRRRVSLLMLSVLCFLPIRVLLLGFSVLPKPGDVAFEGIIFLSFLMMLSCTTVGILLLVYYPVADSLALRDIGHREIAEMVPYDDYYYEGASLVTNQSFREIERNSDTSTKRGSISFRTMIREDQLQQDGADEMGFSSRSGVQIGSPSGSSPSAAMPMLPLKEVPRY >KQL04771 pep chromosome:Setaria_italica_v2.0:V:11558204:11559001:-1 gene:SETIT_005252mg transcript:KQL04771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGELHEEQPNCAASHGRTPSSLTCAPPCAIQPFPPPLLHLPQLLEGEAGGGARGGEEEAVDSGLAAADSPSSASIEAVRRRFFFLPSDSSSSRAGDGGAESGGVREQSGGGSSRGRRRVGEGRTERGRGEDKMDLQISP >KQL06933 pep chromosome:Setaria_italica_v2.0:V:36516464:36519370:-1 gene:SETIT_004863mg transcript:KQL06933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPRARFLACIAVAVVALLAPPCGVAGHSRGMRPGRGGGGSAAPFPANATRAEMIERQFMEWVRYMGALRHSTFQHALARAFPSYSLVVDKNPAFGDFTTIQAAIDSLPAINLVRVVIRVNAGTYTEKVTISAMRAFITLEGAGADSTIVQWGDTADSPTGPKGRPLGTFNSATFAVNAQYFLARNITFKNTSPVPKPGATGKQAVALRVSADNAAFVGCKFLGAQDTLYDHSGRHYYKECYIEGSVDFIFGNALSLYEDCHVHAIARDYGALTAQNRQSMLEDTGFSFVNCRVTGSGALYLGRAWGTFSRVVFAYTYMDNIIIPRGWYNWGDPNRELTVFYGQYKCTGPGASYAGRVAWSRELTDDEAKPFISLSFIDGTEWVRL >KQL07865 pep chromosome:Setaria_italica_v2.0:V:42238039:42239739:-1 gene:SETIT_001332mg transcript:KQL07865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLMLPWLAFGHILPFTELAKRIARQGHRVTLLSTPRNTRRLIRIPPDLADLVRVVDITLPRVERLPEDAEASIDLPSDDLRPYLRVAYDAAFAAKLSDILQEPGPPGRPDWVLIDYAPYWAPAAAARHGVPCACLSLFGAAALSIFGPPDALLGRGKHARTKPEHLTGVPDYVPFPTTVAYRGFEARAFFEPALVPDDSGVSEAYRFGKCIEGSQLVGIRSSAEFEPEWLQVLGELHRKPVIPVGLFPPPPTQDVGGHEATLQWLDKQAPGSVVYAAFGSEAKLTSVQLQTIALGLEASDLPFLWAFRPPADANEGKSGLPEGFEERVNGRGLVCRGWVPQARLLAHESVGAFLTHAGWNSITEGLACGVRLVLLPLMFDQGINARLLVEKKVGVEVARDEEDGSFTPNDIAAALRRVVVEDEGEVFGNKVKELAKVFGNDDVNDRCVRDFLGCLSEYSLQQHG >KQL08258 pep chromosome:Setaria_italica_v2.0:V:44456688:44459282:1 gene:SETIT_003019mg transcript:KQL08258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKRVRRRPRARATSACSRAMATNLVGAICCQRSSADGGAAIMLPGGTGATPHWMELGAAQRQTAAQCARAVEGPDLSPPAINQVDLGRTRLIRRPGESKAWTSRRRSSHSPAKFASYTPPPADSSQPRYHNLPISIQDQDIGAAELHPDGNRGSRGLHCRRPPELDPAVDLLPPANVCANQQLKSFYDSAT >KQL04575 pep chromosome:Setaria_italica_v2.0:V:9982302:9985011:-1 gene:SETIT_003119mg transcript:KQL04575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSQESGNPTKSAKAMGRDLRVHFKNTRETAFALRKLSLTKAKRYLEDVMAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNADVKGLDVDNLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPDNIVAPRKQ >KQL06030 pep chromosome:Setaria_italica_v2.0:V:30203595:30208787:1 gene:SETIT_004881mg transcript:KQL06030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSPAAATAANQNPNKSVEVTPAPEDSVSSLSFSPKANHLVATSWDKQVRCWEVLPGGGCQAKASISHDQPVLCSAWKDDGMTVFSGGCDKQIKMWPLLSGGQPTTLSGHEAPVKELAWVPQMNLLVSGSWDKTLRYWDIRQPQPVHVQQLPERCYALSLCYPLMVVGTADRNVIVFNLQNPQAEFKRIVSPLKLQTRCLAAFPDQQGFLVGSIEGRVGVHHVDDSQQSKNFTFKCHRDGNDIYSVNSLNFHPVHGTFATTGSDGGFNFWDKDSKQRLKAFSKCPAPITCSTFNQDGSIFAYAVCYDWSKGAEKHNPSTAKTNIFIHSVQESDVKGKPRTGKK >KQL05765 pep chromosome:Setaria_italica_v2.0:V:27715871:27718938:1 gene:SETIT_004919mg transcript:KQL05765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAFCLLLIVATTASCSVYAQQTNVGCTTKERDALLSFRAGITSDPQNPLASWSGKDCCQWRGVECSNNTCHVIKVDLCNKFFLDDLFAPFYSPSFRGMNGKISHGLLTLRHLKYLDLSGNHLGGVGIPIPSFLGSFRRLIYLNLSCMDFDGMVPPQLGNLSKLQYLDLDNTYYDYSGYDNVLQSEDLSWLPRLPFLSMLSNLRALHLRRCKLVFTYTPLVHRNLTSLKMVDLTSNMIANLNPAYWFWDADTIRHLDLSYNWVVGPLPDAVGNMTSLEVLHLGGNQLNISEFLRGLPSCAWTKLELLDLTSTNISGGIPNWINQWTNLSIIQLSANRLVGSVPLEIGMLSKLSHLHLDYNQFNGSILEEHLAAYFPGCKMGHQFPLWIQGQRDVSFLDISDAGIVDYLPEWYWSVFSNVEYLNISCNQISGRLPRSLDLCRTLPQLHKHLVELDISKNSLSGPLPRKIIAQNLTDLLLSDNCISGAIPSYICQLPFLSLPLCSKQSFISALILYDNLLSGKFPSVLQSCPDLILLDLADNKYIGELPTWIAITKTVLSPVAEYWGRLPNSPINMFSGSIPVKLMELGYLQFLDLAYNRISGSIPHSLANLKAMTQDQGKIPDEITYLVGLMGLNISHNQLSGEIPVKLGQLQSMESLDLSWNELSGEIPSSLSGMTMLSKLNLSYNNLSGRIPSGNQLQTLTDPASSYIGNNYLCGPPVSRNCSAPEVAGRHHDGHQSDSDVRYFYVGMAVGFVLGLWVVFVAFLFARTWRAAYFQMFDNLLCGLEISVAASFRRCLGKLY >KQL08234 pep chromosome:Setaria_italica_v2.0:V:44335488:44336912:1 gene:SETIT_003405mg transcript:KQL08234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERGETCQDTVKKLSTGLLGKLGKMAQGVDDLLNTAASKCRSMSTEEKIELGRRIRKLPEESLNHVVEIITTRKLASQSSNRITMNLGELDDATLWRLYYHVEYVLKENKK >KQL07924 pep chromosome:Setaria_italica_v2.0:V:42559177:42561279:1 gene:SETIT_001006mg transcript:KQL07924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGDGELLRRPGPSVGSKPDVRGGWRATFYLVVVAFLERLGFYGVQGNLIMYLTGPLGMPTASAAAGVNAWAGTVLVLPLVGALAADSRLGRYRAVLAAGVLYLLSLGMLTASSALQTARPHSGSSAPSTTSPAHLTFIYVALYLLALAQGFHRPCAEALGADQFALSDDGGDPSSRASRSSYFNWFHFSISWGYAISTTALSYVEDNAGWTAGFGACWATMAFSLAVFLLGARTYRAEEPVGDGRFLETVRAWAARVFRRKDATSTERLLDRQPEKGKGLVVKLLPIWLGAIVYAAVTSQVYTLFTKQGSTLDRRLGTGLVVPPAALQCLVSITFIAVLPVYDRAFVPLARRVTGHPAGVTTLQRIGAGMSMSCVAMVVAALVEGRRLRVATDAGIVDRPDLAVPMSLCWVVPQYVLMGLAMALADVGLEEFFYDQLPDAVRSVGLALCLSAMGAGSYASGVLVSAVDWATRGGGESWISDNLNRAHLDYFYWLLAGLVALDVAVFLYFSKRFVYRSKGEL >KQL03284 pep chromosome:Setaria_italica_v2.0:V:722044:722652:1 gene:SETIT_003363mg transcript:KQL03284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVACGAGRPWWVMRPAMPTDAAEGEDAARAEEAGHADAAQLAPPLAGRHVDHVAGAVVEAVGHEQPGPAREDEVAGLHGLLGCGRRGRHDGGHLAEAQEHDRTARRGHRSERPLRACGRWR >KQL06031 pep chromosome:Setaria_italica_v2.0:V:30212846:30213712:-1 gene:SETIT_005018mg transcript:KQL06031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKASNTSVVLLMLLIAAWRTTPVLSHAKPSKPAAEPELEYGPRAKATPSAAVTNKGGPVHPSNQAAADAAILLRAKEEMAKRMVKHIEIIINSVKLVVQLKAALLIKSAEFMSAMAGEVSMHLTKVAQAHAQVAAADCVAALKIQQDILRKASESCKAISHDVAMTHKARQEMLKGVAHDLVKAAGDIAASMRIMAEAAAGVAGGVTV >KQL04441 pep chromosome:Setaria_italica_v2.0:V:9064656:9065759:1 gene:SETIT_004300mg transcript:KQL04441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGFLVNSNLLSGTIPADIGNRLHNIGFINFSSNRFHGTIPPSVSNLSALATLALDGNNLSGYVPSILGRLQSLIGLYLNDNKLEANDREGWEFVHMLANCSQLQRLILRNNSFSGKLPSSIANLSTTLKVLDLSDNRISGSIPPNIDNLVGLETLVMANTSISGVIPESIGRLTNLATLGIYNTSLSGLVPPSLGNLTQLNILYAYCSNLEGPIPASLGKLENLIVLDLSTNRLNGSIPGEVLKLPALSYYLDLSYNSLSGPLPTEVGSLTKLNQLILSGNQLSGNITDSIRKCASLEWLLLDHNSFEGSIPQSLKNLQGLALLNLTMNKLTGNIPDALSSIGGLKQLYLAHNNLSGLIPQVYRA >KQL04093 pep chromosome:Setaria_italica_v2.0:V:6423201:6426304:1 gene:SETIT_000236mg transcript:KQL04093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVMGVMSRLILKIGDLLVGEYKLQKGVKGEIMFLQPELESMQGALKEITKVPSDQIDSQDKAWASDVRELCYDIEDSIDTFMVRCTGIEPAGPDGMRGFISRSLDLLTRLRMRRKVATDIRDIKRRVIEAGERRERYKIDVDKPAAVDPRLLAHYKKATELVGIDEARDEVINILVEGDGVSSQHGKVVSIVGFGGLGKTTLANVVYEKIKEKFDCWAFVIVSQNPDMRKFFKGLLYELGKNVNDETLDERQLIDQTRKLLQTKRYCIVIDDIWSVSIWDMIRCALPDDVGGYIIITTTRILKVAEQVGGAYMMKPLCLESSRKLLYRRIFGNEEKYKCLDEHLTEVSDRILKKCAGVPLAIITIASLLANRARDKMDWYEVCNSIGTGLEYSLDVENMRKILAYSYYDLPPHLRTCLLYLSVFPEDSAIEKFRLIWMWIAEGFVQHEEKGKGLYELGEYYFNELINKSLIQPVYDSYEAIIVSCRVHDMVLDLVHSISSEENFVTMLINEHNASQEKKVRRLLLQSNTDQAIPCAHMNMLQVRSVVAFSLAFNLMPALGTFKVLRVLDLKSCHLSQDCDLKHLGNLFHLRYLGIGRTYSDQLPDDVGNLRYLQTLDVVGSSFLSLPSTVVQLRHLMCLCIDQNTRVPNGIGSLTSLEDLSTLYICDDSMNILEELCHLTKLRVLEIFLVPAGNVTLGKSLVMSLCKLQKVQSLTIWTTGGECNFDAWVAPRHLRRLQLQYCWFSRLPDWVNSSLQDLSSLWISVRELHQDDLEILGRLPALRYLYLMVDHENLKIPRRFVIGTSSFPCLVGCRLLGFLGAVVFQQGAMMRLEILAFTFHAGEVREITSSDGRLNLGLENLLSLQEVLVYFRSRGASVMEVEEAKAALSHAIEIHPSHPKHDIWG >KQL07387 pep chromosome:Setaria_italica_v2.0:V:39450831:39454912:-1 gene:SETIT_004991mg transcript:KQL07387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPAFPEVAAPWHGGSWQAGSHASTDQAGPAQGRSRSPPPLPPRRLLAMNGGIPGFHNAPASRAVVVAAALFSVPFGFRGRFLDLGLSYQNVYEKLSIWRLITSVFAFSSTPELIFGATLLYYFRVFERQIGSNKYAVFIVFSTVVSVLLQILALGYLKDPSINPLTSGPYGLIFASYVPFFFDIPISMKFRILGLSLSDKSFVYLAGLQLLFSSGRRSVVPGVSGILAGLLYRLNAFGIRRLKFPEFATSFFSRLSWPFSSNPYQGLPTTENDGNIPSHQVHQTEATREGDLRMTTARPAKAPRSEGLCLVVARTATQDPTESSISALMSMGFDRSSAIQALALTNYDVNLASNILLEAQALQQ >KQL04048 pep chromosome:Setaria_italica_v2.0:V:6144056:6146575:1 gene:SETIT_003068mg transcript:KQL04048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMETDINAPPPPAPAGEGSSAAGPSSSSSRKPNKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLVSGSSRNMATKAWATLVCCWSLFLATAAATQVFLSAKQLHLLLLSMLASTAPSIIAGSTSILGLYLDDS >KQL04418 pep chromosome:Setaria_italica_v2.0:V:8827314:8827857:-1 gene:SETIT_003512mg transcript:KQL04418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLKSQFSLPLDITWDLLSTLTPLTYSRIILIPCIPIQMNGSGVKTTAIEALTYIPNIPTLYILAISSVNKLPISSTLTKNLKTSRQASI >KQL07656 pep chromosome:Setaria_italica_v2.0:V:41043640:41044720:-1 gene:SETIT_002678mg transcript:KQL07656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKLLLLLATSLLGCGLLAAADYAPMTLTVVNNCPFPVWPGIQANSGHDVLEGGGFFLPALSHKSFPAPSHPWSGRIWARTGCTGAGAQLHCATGDCGGRLQCAGLGGAAPTTLAQVNLHHGGDDQASYGVSVVDGFNVGLSVTPHEGRGNCPVLACRTDLTQTCPGELQLRSPAGAVLACKSGCEAFRTDELCCRNMYNSPRTCRASKYSEFFKRECPQAFTYAHDSPSLTHQCAAPRELKVIFCH >KQL03668 pep chromosome:Setaria_italica_v2.0:V:3806637:3808531:-1 gene:SETIT_005022mg transcript:KQL03668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMEHARNSDLMPPESIDARRIEAEQATSVVRLRVTRTQNKKGRGTGFIVANTANKLVVMTCGHSFHEWVRGTEVGVTFHDRRDASAEAVLINKEEEVALLMIDTSSNPELCAYPVVTFSYEDIRVGDFLVMLGHPHGMRGYHDVWNNFGWVTKEVGLTATGLGSIVQMFSGDFGTGPDASGSPVFGVDGRLVGMSIAGVQDMAYVLSVRWIWETLLKGIAELKRTNVIRLDVNCSCSCILDLCFC >KQL04327 pep chromosome:Setaria_italica_v2.0:V:8227236:8229039:1 gene:SETIT_001909mg transcript:KQL04327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMESMCPTAAEGSSSATSSSSRSTGASTATTESGAAQQQQQQPQAAAAAGGGEASPADEQAVTSAAAAVAQGSSRYKGVVPQPNGRWGAQIYERHARVWLGTFPNEEAAARAYDVAALRYRGREAATNFPGAGASAPELAFLAAHSKAEIVDMLRKHTYADELRQGLRRGRGMGARAQPTPAWARAPLFEKAVTPSDVGKLNRLVVPKQHAEKHFPLKRAPEATTGEGVLLNFEDGEGKVWRFRYSYWNSSQSYVLTKGWSRFVREKGLRAGDTIVFSHSTYGQEKQLFIDCRKTKTATTDAAPAPAAAPLEKPKEARVVVRLFGVDITGDGCQKRARPVEMAFEQGQEFLKKQCVAHHRSPALGAFLL >KQL04767 pep chromosome:Setaria_italica_v2.0:V:11513250:11513676:-1 gene:SETIT_003727mg transcript:KQL04767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRWQHNSWAYLSVPEPGAHTTTSINTSAKKDYYRSLAVQTRLVEG >KQL06605 pep chromosome:Setaria_italica_v2.0:V:34574161:34575702:1 gene:SETIT_004444mg transcript:KQL06605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGEFKPAAMGVEAAPKPPFRMPVDSDNQATEFWLFSFARPHMSAFHLSWFSFFCCFVSTFAAPPLLPLIRDTLGLTATDIGNAGIASVSGAVFARVAMGTACDLVGPRLASASIILLTTPAVYCSAIIDSASSFLLVRFFTGFSLASFVSTQFWMSSMFSPPKVGLANGVAGGWGNLGGGAVQLLMPLVYEVIRKVGSTPFTAWRVAFFIPGLMQTVSAIAVLAFGQDMPDGNYRKLHKSGDMHKDSFGNVFRHAVTNYRGWILALTYGYCFGVELAVDNIIAQYFYDRFGVKLRTAGFIAASFGMANIISRPGGGLMSDWLSARYGMRGRLWGLWVVQTIGGVLCVVLGAVDYSFGASVAVMILFSFFVQAACGLTFGIVPFVSRRSLGLISGMTGGGGNVGAVLTQVIFFHGSKYKTETGIKYMGLMIIACTLPITLIYFPQWGGMFMGPRPGATAEDYYNREWTAQEREKGYNAGCVRFAENSVLEGGRSGSQSKHTTVPVESSPADV >KQL06500 pep chromosome:Setaria_italica_v2.0:V:33855044:33856443:-1 gene:SETIT_004986mg transcript:KQL06500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKTKDATFLSSKGVSQWKTRECARNSDGSGRCQHLTSPRQSNCLIGILRDPAGHGQPRLFAPLCRHVPLVGNPGGENRKSSLTCLGLAMALLLRHRLLPPPLLLLAILVAASHGSPSSGGDSYDTSMCVRQPYNCGNVNISYPFYSKMETLLGNDSSYCGYPGMEIQCEEGRAFLELDSGKYTVSRIDYEPPGVWLADPDVLNEGSCPRANHNVTLGNVSWLDYPNDTVDYLLFFINCNFLSAPDITGPPSNTTSTCKFDDEPAYGMSFVFREQDVPYQDTNWWQVCGKVIQVPVLKSGLPPDPQNDPRWRNGGYGSSLREGFQLAWHQERKASACDQCERSKGQCGYNKTGVYVACLCSGGRVGTPNCTTANSSAGIIGDSCTSRALLELKQSPKAFLSRSAAVAARLGSISLCRCRRSFRVYFGLSGVPG >KQL08784 pep chromosome:Setaria_italica_v2.0:V:47066010:47069474:-1 gene:SETIT_001706mg transcript:KQL08784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLPVVACSAIRKPNESMRLIVVTTVGVVFGFFVGISFPTVSITKLHFPSSIVSYIKDRNSGLSTQAILNHAWTAARNARGNGSEPNSNDTLKIYVPTNPRGAESLAPGIVVPESDFHPHRLWGNPEEDLPFKPKYLVTFTVGISQKENINRAVKKFSSDFAILLFHYDGQVSEWDEFEWSKRAIHVSARKQAKWWYAKRFLHPDIVAAYEYIFIWDEDLGVNHFNGDEYIKLVKKYQLEISQPGLEPDKGLTWQMTKRRGDRQVHKVTEEREGWCSDPHVPPCAGFVEIMAPVFSRDAWRCVWHMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQVVPSLGNQGQSENGRAPWEGVRERCRKEWGIFQTRMAEAEKAYYEMMGVTPPNVTFVS >KQL05371 pep chromosome:Setaria_italica_v2.0:V:23527704:23533030:1 gene:SETIT_0042161mg transcript:KQL05371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVGGSPGHGSLSQADKKQVKRSHLAKKFIVTISYAAKIPLKSVALALQGSESEHAQDALRVLDIVLRQQQAKRGCLLVRQSFFSDDSRNLVDLTGGVSGCRGLHSSFRTRMGGLSLNMDVSTTMIVTPGPVIDFLLTNQNVRGIGDIDWPRAKKMLKNLRVKAKHNNMEFKIIGLSDQPCSRQTFPMKVRNGSIEVQTMDITVQDYFKSKQVELTLPYLPCLDVGKPKRPNYLPIELCHMVSLQRYTKALSSQQRATLVEKSRQKPQDRMRVVTDLVVGNSEDCIPNRGRWNYNNKRLLDPVKIERWAIVNFSACCDMSRISRELINCGRSKGIFIERPHTLVDEDSQSRRCSPVERVERMFEKVKTSLPGPPEFLLCVLPERKNCDIYGPWKKKNLHEMGIVTQCIASSNKMNDQYFTNVLLKINAKLGGMNSKLALEHRQMIPVVTQIPTLILGMDVSHGSPGRADIPSIAAVVGSRCWPLISRYRASVRTQSPKVEMIDSLFKPLYDGKDDGIMRELLLDFYQTSQQRKPKQIIIFRDGVSESQFSQVLNIELNQIIKAYQSMGQGYLPKFTVIIAQKNHHTKLFQADSPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFSADNLQKLVLSLSYVYQRSTTAISVVAPICYAHLAAAQMGQFMKFEEFADTSSGSGVNSSSSAAIPELPRLHADVCSSMFFC >KQL08412 pep chromosome:Setaria_italica_v2.0:V:45303196:45307144:-1 gene:SETIT_000477mg transcript:KQL08412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILDVQVALKCSCIDMTDCLGQFLALIAKASSWCGKHLLWSVESIEESEEVQEEEHSRILPEIISMTLNISIKLLPSAAKCITVDMVHTTGEFISELLSLTESSIVDNKKIHGAAPDIARAAPVFLDETTKLCWAYSEAAKADNCKTSIPDEDTTVKHIEQGLASDVTRITLSTIQTLCKLGTYAASSGGSQVTLLNVSWKGVVSLLQSGKGMIEEKINVREIILTLLSLSIKSLRVAAETWCMPLPEAIVFLINAVRICSAYPSESMIIHKNIIRCALVITSASILLSKKPQLKAANEALVELLEPTLFVLLDTLMKSSEVTPDSKCQLARYFFENEEANSSDHMRQANQIEINLASLDCIFSTDSDVDHRNRALLPAELVLQTLLNILTSEDIYSYVLGFEIPALYGADHSPAVVWQPVYASLMQPLKTFMISAVASSAAWNELEAFLLENLFHPHFLCLEIITELWCFFMRYAETETSINIVSQLFLLLKFLASPEEVLVPLSTLRKVARSLCIILSYASSATVDRVYTCALNDENSSKSSILHLALLMEGFPFGSLSGGIKELAVKKMFSSFAGYLESYSKNHRAINAPPSNWGVIGFPVHALASALQRCEIKDDSIVDEKSITAMFKFTISLINMYGTAPDSVKDHLAKHISSMLDIIPNTRHLCAFSDRPVPSPVATGVMGLEPTSCMVVRPESRVPVLYGDFTLQSKLHT >KQL04640 pep chromosome:Setaria_italica_v2.0:V:10389503:10389922:-1 gene:SETIT_003618mg transcript:KQL04640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRPLFCTSTADPCRCALPINDAVGERSGARTQYARRNVAADCNSRLRTSKPPSCCYIKILQQIKIPQPSALI >KQL06121 pep chromosome:Setaria_italica_v2.0:V:30813518:30814582:1 gene:SETIT_004512mg transcript:KQL06121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGLALPTMDSELRALAWAALLTPACAAYARFAARRLRPGLPRLAALSPTFPVFVYLPCMFSSLHLRLFSTFFHTWLATNKLVLLALDLGPLHPGLPLLPFVLCASLPIKVRLGQSRRPANKQPSSPPPVAEFLRPCARSAVLLGCLAAAYPYAGWLPLYALHYLYCVQIFLTLDLVLSSAALAAAALLGGATMERQFSAPLAVASLNDFWGRQWNLMAVDLLRASAYEPVRARWGRDAGVLAAFLMSGLLHELLYWYMTLQRPTGEMLLFFMSHAAFQIAERWARAAGLWRPPKSAAYLLVTVFMVVTISGMFFGPFVRAGTDVRLTEETKAMVSMVWRAAKHLIRPSGVVSG >KQL08300 pep chromosome:Setaria_italica_v2.0:V:44677980:44685973:-1 gene:SETIT_000182mg transcript:KQL08300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLRTIGRELAMGSQGGWGQSKEFLDLVKSIGEARSKAEEDRIIARELEHLKRRLADPDVPRRKMKELLLRLVYAEMLGHDASFGHIHAVKMTHDESLPLKRTGYLAVALFLDERHDLVILVVNTIQKDLRSDNYLVVCAALTAACRLIGEEAIPAVLPQVVELLAHPKEAVRKKAVMALHRFYQRSPSSVSHLVSNFRKRLCDNDPGVMGATLCPLYDLILEEPNSYKDLVVSFVNILKQVAERRLPTSYDYHQMPAPFIQIKLLKILAVLGSGDKQASGHMYTVLGDIFRKGDTASNIGNAILYECICCISSIFPNPKMLEAAAETTSKFLKSDSHNLKYMGIDALGRLIKINPDIAEEHQLAVIDCLEDPDDTLKRKTFELLYKMTKSTNVEVIVDRMIEYMINITDHHYKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNIRVAHNLMRLIAEGFGEEDEGADSQLRSSAVDSYLRIVGEPKLPSSFLQIICWVLGEYGTADGKYSASYIIGKLCDVAEAHLTDDTVKAYAISAILKIFAFEVTLGRKIDMLPECQTLVDELSASHSTDLQQRAYELQALLGLDKHAVESVMPADASCEDIEVDRNLSFLNSYVHQALENGAAPYIPESERSGAISVGSYKSQEQQETSAHTLRFEAYEMPKPSMALATSQASMSAPPTDLVPVPEPGYYKEDHQTSRSQPSGDAVSGEFGVKLRLDGVQKKWGRPTYSSSTPSSSASSQQATNGASHSDGGGATSSQARESTYGSKRQQGTEISAEKQRLAASLFGSAAAKADRKAQASRKTAKESASTEKASASSAASQPIKEQVIPAVPPPDLLDLGDEPVSSSPPIADPFSQLEGLLGPASATPVVSGTPAASTSNAQDLMSIFSDDVPTGATSGSADPAVGDANLMSSHKGATAAAAKKGPSLQDALQKDATARQVGVTPTGNNPNLFKDLLG >KQL06324 pep chromosome:Setaria_italica_v2.0:V:32604728:32605609:-1 gene:SETIT_004716mg transcript:KQL06324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRIHNRPTISPTLVLVNMYQRPDMITPGVDAQGQPIDPRKMQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFREEDQAAAAHTALQGRFYSGRPIVVDFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGRDLRKKLFGRYRRPHRGRSRSPSPVHRRERRDRDDYRHGGGGGGRGRDDYRGGGGGGRGRGRGGGGSRHERYDDGGRRRHGGSPPPRRARSPVRENSEERRAKIEQWNREREEKQG >KQL07790 pep chromosome:Setaria_italica_v2.0:V:41778012:41779748:1 gene:SETIT_000914mg transcript:KQL07790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMILDAGALHLHPYAVAAAAAALVSAYMVWFWALTRRLSGPRVWPLVGSLPSVVLNRARVHDWIVDNLRSTGEAATYQTCILPLPFLARRQGLVTVTCNPRNLEHILRARFDNYPKGPMWQAAFHDLLGQGIFNSDGETWLLQRKTAALEFTTRTLRQAMARWANRIIKYRLWGILADHSGAAASVDLQDLLLRLTFDNICGLTFGKDPETLSPGLQENPFANAFDSATEATLQRFLFPSFLWRIKKALGVGSERNLRESLAIVDRYMTEAIAARKATPSDDLLSRFMKKRDGNGRAFPEDVLQWIALNFVLAGRDTSSVALSWFFWMLMLRRDVERKVVVEIASVLRETRGDDTGRWTEEPLDFDELDRLVYLKAALAETLRLYPSVPQDSKYVVADDVLPDGTVVPAGSAVTYSIYSVGRMESIWGKDCAEFRPERWLSADGTRFEPAKDAYRFVAFNGGPRTCLGKDLAYLQMKSIASAVLLRHSMELVPGHKVEQKMSLTLFMKNGLRVHVKPRDLAGYVAPSEEAPQQGAFVIPTTTAAAA >KQL08406 pep chromosome:Setaria_italica_v2.0:V:45254206:45257910:-1 gene:SETIT_000219mg transcript:KQL08406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETAITTVLAKVAELVAWEAAVLLEVGDDVRLLRDKLEWLHTFIRDADRRRRLRDDEFVAVWVRQTRDVAFEAEDALDDFLHRAGRRGMAPRSRAAAAPGCGVVGWWPRCTGQVALRHDLYGRIRQIKKRLDEISENRAAYNIEHTPAPAWAASCSSATTLAAWDDLEEYTVGLDKYSDMLKEQLLDDAVTARAVVSISGESSIGKTTLARKVYQSPEVRNHFEIRTWTVLPHKCRAADVLRDIHRQMTSQLRRAPSRQASEEGCDGAAARACGPGKDISNQLYKNMAGRRYLVVVDGSIAVSDWNSLRTSLPDDGNGSRVLLITDSAGLEAVGHAGPAYDSVELTRLSPENTYEVFRRRVFGRGDCPGRYKSRYYQDVFRITRGLPLSVVILAGVLRSKELPAEWDEVMAQLAPAREQQHKGGGAGSHNGRRIMSLAFDDLPHHLKSCFLYLAAMRESTPVEAARLVRLWVAEGFVRPRRGSTMEEVGQEYLKQLISRCMVQLVDKDDFGAVQTVVVHDRLHAFAQDEAQEASFVESHDSTDVLAPATVRRLAVLGSTTNRYVQLSNALPKLRSIICDFVEGRNRSGGKCIQGSDLGFLHASKFLRVIDIQGLELKKLPNEIGSMIHIRYLGLQCGDLEKLPTTIGNLVNLQSLILGGRRVLEVTAAFWRIPTLRHVVAPLALPSRALGDLHSLQTLHGVRPCRWYGGDNPLAKAANLRSLELIELTAEHTGALEAALESLDLLAHLVLRGDSLPASVFAVPSLRRLQSLKLLGPVDSPEGPDGAEDARYIRPNLTRLSMWGTMVKQRFVDMLAELPSLAELTLMNDAYDGDRLAFGEAGFRSLHELKLGLPKLEEWAVGAGSMPGLATLTLYRCAKMQMLPEALAGMTELEEVVLYSMLDIVGRIKEGEGQDHHKVKHVPVIQTIY >KQL06042 pep chromosome:Setaria_italica_v2.0:V:30274020:30274538:1 gene:SETIT_005081mg transcript:KQL06042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPARQYRFPALPEKEEEEDDQAVTRCSRQSCGTCSASAVASCVALCCCPCAVLSCLTLALVKAPYAAGRRCVARLARRRLRKARARRVRDLDDEQQQGPRRSKEWGELARAAAVGVEARAKVSSRMDASEKVWADMYQVGLWGFGRLSFSSPVAGGGGDCDKDGDPASE >KQL06389 pep chromosome:Setaria_italica_v2.0:V:32997317:33002457:-1 gene:SETIT_001449mg transcript:KQL06389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRNAGAMQREGSAGSVKDWSEFDPSPSPKLAYSQSYVAMRGLLTSVASMDPVLMSSGLKSLWAALSSHRHARSLERPKSKGANWKRPMVHLLVCFLVGILIGFTPLFSVDLSNKIDSENEMLPFDGDVVDRQMLELKSTKLESFAAETEAVEEQQVDESPPVPAMLDDEVDYIEASHVVPSVSDSDFVVRKQLIIVTTTSVRPHQAYYLNRLAHVLKNVPPPLLWIVAEWPYQSRETAEILRSSGVMYRHLICTRNTTNIRKIIVCQKNNAIFHIKKHRLDGIVHFADEERAYSVDLFEEMRKIRRFGTWPVAIHVGARYRVVLEGPLCRGNQVTGWHTNQRRGVPRRFPIGFSGFAFNSTILWDPQRWNSPTLESIILHSGGRGGLQESRFIEKLVEDETQMEGLADNCSRVMVWNFDLEPPQLNYPTGWLLKKHLDVVVPIT >KQL06876 pep chromosome:Setaria_italica_v2.0:V:36216305:36216747:1 gene:SETIT_005374mg transcript:KQL06876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAVCPNLLLVSYHNMVPDKQTLCFFHIMLMIATLALARSSF >KQL03346 pep chromosome:Setaria_italica_v2.0:V:1861938:1864795:-1 gene:SETIT_003925mg transcript:KQL03346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRFAETEGKRAHDPLYSPRRAARATATGFPVGEHGEVFAGPLIVGAGPAGLAVAACLTMRGVPYALLERHGCIASLWRHRTYRRLRLHLPKRYCELPLMPFPSSYPEYPTREQFLDYLEEYIRTFGIRPFFRQEVVSAEFDGELWCVRTKEIVTATIDGGKEAVLSTATREYRSKWLVVATGENAEPVVPEIDGIDCFKGQVMHSCDYRSGEPYQGSKVLVVGCGNSGMEVSLDLSNHNVHTSMVVRDTMHVLPREIMGLSTFGLSLWLLMCLSVQTVDKILLLLTRLVLGDTARLGIPRPSIGPMELKKISGKTPVLDVGTIAKIKSGDIKVLPAIQSFREHDVKFIDGKTEDFDAVILATGYKSNVPYWLKENDFFFEKDGFPRKPNEWKGKNGLYAAGFSRRGLLGVSIDATKIADDIARCWSDIGYEKHKTK >KQL07538 pep chromosome:Setaria_italica_v2.0:V:40393854:40394847:-1 gene:SETIT_002827mg transcript:KQL07538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATATPAPLLPVTNPAAGGGSAPPSGAVLSDAALATPAFRLFVGRLADTARRSLADRRPWTELLDRSAFSRPDSLSEATSRLRRNLGYFRVNYAAVVAFSLAASLLAHPFSLLVLLSILGAWCFLYVFRASDQPVVLFGRTFTDRETLLGLVVASVLAFFLTSVASLIISGLLVGGAIVAAHGACRMPEDLFLDDPSAASNGNTTSRLLSFLASPGSGV >KQL03875 pep chromosome:Setaria_italica_v2.0:V:5176017:5178378:-1 gene:SETIT_004078mg transcript:KQL03875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLLSTVAGDLISRFISFVAAKSEESIAGNTHGERPIEDQSTRGTPRLLLRASIVVEEADGRQITNHGMLLQLKQLRESLYRGYYMLDTSDVWPSRSNGLLEVSQYKLQVQFMSNLEAMLNDMKEFLLLLMNCPPIIRQPYSTYLFMERCMFGRQSEKEHIVNFLLSPCSSLDILPVIGPIYVGKNTLVEHACREEIVRRNFSKILHFSSDDLMDLANGTDTDNHICKKFNPSGGRSVIVVELVHDSDVVAWGKLYHSLRRGVDSSKVILISRMDCASSLGTVEALRLTRLREEEHWYFFRVLAFGSANPYDHHPDLASIAKEIAMGIRGSLMTTRTIARVLRGNLNVQFWRRALCSIRKVMQVHIHVFGEDPRETQSSNRFLSYFLGFSQDSPLMFCYNRYKTRSMMQGDMTNKKRAEDVLTSRPVQNGEMFDIAGQSHIPPYHNYVSCWVVEKNRRVNLGNKCLKRKRN >KQL06024 pep chromosome:Setaria_italica_v2.0:V:30163178:30165188:-1 gene:SETIT_000854mg transcript:KQL06024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRHHRLPLLLSAALVLALSVLPAAQADVQRYQFDIVMSNVSRLCHAKSMATVNGSYPGPTIYAREGDRVVVAVTNRVAHNVTIHWHGLKQRRNGWADGPAYVTQCPIQPGGTYAYDFNVTGQRGTLWWHAHIAWLRATVHGAIVVLPARGVPYPFPKPDAEVEIILGEWWHADVEAVEKQGRALGMAPNTSDAHTINGKPGPLFPCSDKHTYALQVQWGKTYLLRIINAAVNDELFFTIAGHTMTVVEIDATYTKPLSASTIQLSPGQTTNVLVRADQRPGRYFMAAKPFNDAAVPADNKTATAILQYAGVPASVLPAPPRLMPETNGTGFVAAFHDRLRSLNSARYPSAVPLAVDRRLLYAIGLNIDPCASCPKGSRLAASLNNITFVMPRVALLQAHYGGLKGVFAADFPDRPPARFNYTGAPLTAGLGTSLGTRLSRVAYNASVELVLQDTNLLSVESHPFHLHGYNFFVVGRGVGNFDPAKDPAKYNLVDPPERNTVGVPAGGWTAIRFRADNPGVWFLHCHLEVHTSWGLKMAFLVEDGDGPDESVLPPPKDLPKC >KQL08160 pep chromosome:Setaria_italica_v2.0:V:43851676:43858153:1 gene:SETIT_000469mg transcript:KQL08160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVLSTVRWATACAALLNAAVASTGAAVAALALRRCGGGGALGPAAAVASAASASRLLAYAVAGFAQGAAASAIAAGAIGAHVDSERDLRQLSRLRYKRWLWWTRFGMIITMLQFVLAIYLMCAIIKDVLAEGSLKQCFSGKIQNNKEWKRILLIVFLVSMWVAIVVQCVTGSDVLRWRSFYASHDIAWRAHYREVFDHGIRELLGDLMAYRASGTGHLELVAELYFCRRPILEGDNCWRGHAAAFLKYANVAPEVLRKGRVSQTKREAAYFVIVLHDLSTVVIAIRGTETPEDVITDGLCKECSLTMDDLDGLINSDQLSPQLKNTVLSSFPHYGHAGIVESARELYTVLEGQPMHQDKSDTVTAGFLSSLLGDGCECHGYNIDIVGHSLGGSVAALLGIKLYGQFPKLHVYAYGAAPCVDYVIADACSQFVTSIVHNDEFSARLSMNSVIRLRGLAVKALSKASPNSAKVGKLVGGMMNARTDEKNAIEHCAPIGALQIVSEAKLSNDKMHGRNPMHTIRGGLFLFGKAISCLVNTPKYRVSSTAAINYELGRSRMTTASDGGKCIVAPRGSLDVSHCRESRDDQFQEDYFYECGEGYRLSRLNNGTELTSASNDHISTISSSEGQSPEVYLPGLVVHVVPVKKSTSPLQKTTVTRRKNRSYKAFIANRKDFMDLVVSPRMFLDHLPWRCQYAMQKVIEAQKREQLIHDSSAAEDAV >KQL03859 pep chromosome:Setaria_italica_v2.0:V:5107642:5108086:-1 gene:SETIT_003717mg transcript:KQL03859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSQGKALCNSNDLVRPILVSYLVMILNRKKNQRTKAHCSSFFSNHSVSQ >KQL03781 pep chromosome:Setaria_italica_v2.0:V:4577302:4580006:-1 gene:SETIT_002095mg transcript:KQL03781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAFSTEEASRISDALYHYETLHGRRANNHRGSQADTADVDSKPTGGSKGNHIGCGPNGTEETGQPIPRKRQSRGNRKAQSARKQRFFAGNFMSNPDQYGGVSESPPGHSVGYFYGSTPENHSYKSSKLSSSPHGIPTGSSPVGSIPKSSPQSQHLNYHLLEKNKLQQQRYNKFKHHCLVERKKLGTGHSEQMNSLYRFWSYYLRDNFNEDMYTHFKKFALEDAAASYRYGLECLFRFYSYGLEKNFQPNVYEDFEKLTLEFYQNGDLYGLEKYWAFHHYRNPDSGPVNKLPELERLLREEFRTLDDFKAKEKAHDATEKETGCSSSTVAVTASHSKAETK >KQL08003 pep chromosome:Setaria_italica_v2.0:V:43075758:43078284:-1 gene:SETIT_001937mg transcript:KQL08003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASGVSFPGASEMPPALPDILPSRGLHSLAPRQPRWEQGPVAAAAAGNRADTCISEIGDASIAGMCFCPVIQTATSDFSKENLLGEGGFGHVYKGQLNGGQLIAAKLRKEASFEGYTEFFTEMQVFRFARHRNIVGLLGYCCEETHNILVYEYICNNSLEWHLFDQSANLLEWNKRHASAIGIAKGMRFLHEECRAGPIIHLDLQPSNVLLTHDFVPMLGDFGFAKWKACKVSSETMILGPSGYLAPEHAEHGIASVKTDVFAFGILLFQLISGRKVLEEHGRWCTHILQWAEPLVETLALNILVDDRVKDTHDSYGLYHLAKAAYLCVRTNPEQRPSMGEVVRLIEVENENIQDLSQLFIPHFTN >KQL07395 pep chromosome:Setaria_italica_v2.0:V:39527266:39530604:-1 gene:SETIT_000121mg transcript:KQL07395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAILGAFMQTLFQKLSEVALDQFSSYKGIHGKLDTLSSTLSQLQAFLDDAEAKQLADASVRGWLAKLKEVAYDIDDLLDSYSAKSMHLKQRQMKLPTKASISPPTSFLRRNLHQYRIKQKISSILERLDKIAKERDTIGLQILSGMSRCDTSERPQSSSLVDSAAVFGREADKEEIVRLVLSDSGHNSCSVSVIPVVGMGGLGKTTLMQMAYHDDRVKEHFQLRIWIYVSESFDERKMTQETLEAAAYDQSFVSTNMNMLQETLSRALQGKRYLLVLDDVWNEDRDKWLSYRAALLSGGFGSKIVVTSRNENVGRIMGGIEPYRLQQLSDDDSWSVFKSHAFRDGDCSAQPQLEVIGRDIVKKLKGLPLASKALGSLLFCKTDEEEWKAILRNDIWELPADKNNILPALRISYNHLPPYLKQCFAFCSVYPKDYIFRREKLVKIWLALGFIRQSSKRRLEDTGNAYFNELLSRSFFQPYKDNYVMHDAMHDLAKSISVEDCDQFEHESRHESAIKIRHLSFPCKDGGKCMQSDPLYGYRKLRTLIIMHGHKSKMSQLPDGVFMKLQFLRVLDMHGRGLKELPESIGNLKQLRFLDLTSTEIKTLPLSIVKLYNLQILKLSDCNSLREVPQGITKLTNIRHLEASTRILSSIPGIGCLICLQELEEFIVRKRLGHKITELRNMDQLHGQLSIRGLNNVVDGQEALGAKLRTKEHLRTLHLIWDEECIVVPSEHQEVLEGLQPHLDLKELMIKGFPGARLPSWLTSSSLPNLQTIHICNCRSKVLPPLGQLPFLKNLDIAGATEVTQLGREFTGFGQPKCFPSLEELLLEDMPNLREWIFDDAQQLFPQLTELGLIRCPNLKKLPPLPSTLTSLRIYESGLNSLPELHNGASPSSLTSLYINDCPNLKSLRVGLLARKPTALKSLTIAHCEELVSLPKECFRPLISLQSLHIYKCPCLVPWTALDGGLLPTSIEDIRLNSCSQLACVLLNGLRYLPHLRHFEIADCPDISNFPVDGLPHTLQFLEISSCDDLQCLPPSLHEVSSLETLLIGNCPEIECLPEEGLPRGLKELYIKQCPLINQRCQEGGVDRGKIAHITDIEIDGDVILPEQI >KQL07677 pep chromosome:Setaria_italica_v2.0:V:41174923:41176900:-1 gene:SETIT_001625mg transcript:KQL07677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSYMLLPYCVLVAVLVLLFSVDVAEGAIREYQFDVQMTSVTRLCSSKSIVTVNGLFPGPTVFAREGDLVVVRVVNHVPYNMSIHWHGVRQLRSGWADGPAYITQCPIQSGQSYVYKFTITGQRGTLWWHAHISWLRATVYGPIVILPKPGVPYPFPAPYKEVPVIFGEWWKADTEAVISQALQTGGGPNVSDAFTINGLPGPLYNCSAKDTFKLKVQPGKTYMLRIINAALNDELFFSIAGHPLTIVDVDAVYIKPITVETLIITPGQTTNVLLTTKPSYPAANYYMLAAPYSTARPGTFDNTTVAGILEYEDPNSPSPAAFNKNLPILKPTLPQINDTSFVANFTGKLRSLATAEYPADVPREVDRRFFFTVGLGTHPCAVNGTCQGPTNDTRFAASVNNVSFVLPTTAMLD >KQL06357 pep chromosome:Setaria_italica_v2.0:V:32830241:32832050:-1 gene:SETIT_004042mg transcript:KQL06357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DERANRTFVGVYAQPLLHQHHHQQLQQNQQQDGGFYPKDAVMAAVEECMRKQADALLHSLDGIGGRLSQLELYCYKLERSIGELRSDVMDYHGESTANFRCIDKNLRQVHKSVQILQDRQDLAETPNELSKLQIAHEAPSHKSEATGFSMLAPRESDHSTQVPKHEVALLPIHQVNGMQSPAVQVQSSNGFVLQHLVPVSLSAQHDQQQLNQAPVYYVQSQDHAKSTESKTAEPLVQVVQPLVQNPEARVAVELPQKSSHATELYPQPQNHRPQMPTQQVDSHAWHSQQHMVQQQQYIIQHVSRQMAQQQSSSPQSQSAPQVTPLYPSYCSQKPANANSEPISRSVAVQPPYSSPQQKHHEVAHSFYGQGNTILLPVADHNIQQQQPQSLQPHSQGPCPQPSQPSHCSLTYKNPSNCPATVVAILPQPQATAPMAFHHLGPQAVHNHQFGNMVETASVVGYPRDQVEILPVVTAAQPAMVDKLNAGSNVTSPREWSA >KQL07670 pep chromosome:Setaria_italica_v2.0:V:41103674:41104810:1 gene:SETIT_004729mg transcript:KQL07670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTTAAAVRRLCATGQVRSALAVLARGAKSGDAALDVAACTALVQGCCRSGDVAEARRVFDVMPLLGVAPNEVTYTALIHGYFVHGHREMGLALFEEMRRGGVEPNLYTYNCLVGEWCRTGEFERARLLFDEMPVKGVVRNVVSYNILIAGLCRHGKLKDAVQLLEVMRREGIRPSMVTFNLLVDGYGKAGKMSNALHFFNQMKASGFPPTAVTYNTLIAGFCRVRDIARAIRAFADMKERNLAPTKVTYTILIDAFAKENEMTRAFEMLSELEKTGLEVDVQSYGVLLHALCMEGRMVEARKLFQSMDSKGVKPNNVIYDMMIYGYGREGSSYKALRLIMEMRRIGLVPNVASYCLTIRLLCNEGKCQEAETLVDDV >KQL07347 pep chromosome:Setaria_italica_v2.0:V:39238472:39238900:1 gene:SETIT_004550mg transcript:KQL07347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPVVRVGEQAMHCSTAANILISSSCELCGQEENCDRIIFHCQFALHVWNSLGFQTGNATVKALWTVARPTTVPARHYSGFLLLVCWLLWKQRNDLVFQHVQPSHPRFWAQCRDEARLWSLRLKQEEQYVADAWCSLFISM >KQL03656 pep chromosome:Setaria_italica_v2.0:V:3732969:3735978:-1 gene:SETIT_002766mg transcript:KQL03656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGGLGGLRWTAEEASTIGGIATVSLLHSFIPTHWLPFSIVARAQRWPLSRTLLVTAFGGVLHVVSTALLGITAVTMANTIAGEETVHKLASLLLIFLGGSYILLFALGKGGHSHAHNHPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMILAIIVLLFSTITVMTSLVALSFYGASQIKFHWVERYDKILVGTVLCLVGILTYVFHHHDGDEHSLHEHVHRKLVSP >KQL08495 pep chromosome:Setaria_italica_v2.0:V:45703190:45703678:-1 gene:SETIT_003342mg transcript:KQL08495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGAAGGGRETAGKGGGGGWQREASGERGGGGEEEGRAGGGGGLVAADAGVAGGGRLWTPGPEWPPAVGAGCGAGGYRERGERDEEDADIEEDKSQGLFCICSSMWRHVSMPHQILKGYGPKLT >KQL08155 pep chromosome:Setaria_italica_v2.0:V:43824650:43825190:-1 gene:SETIT_005580mg transcript:KQL08155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRRRKLRRQWIISVDRRRCRGQRPEHTDARCLRLGLCSIFFRGKKEMC >KQL05002 pep chromosome:Setaria_italica_v2.0:V:13945659:13947540:1 gene:SETIT_002451mg transcript:KQL05002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEDFKFIYWMEYAHRMWGRALGFLFAGPFAYFIAKGYATRQLGLRLSALFALGGAQGLIGWWMVKSGLEEPTSEYVQPRVSPYRLATHLASAFAIYCGILWTALSVVMPDPPTGSMSWVNGAAKIRKLAIPVSAVVGITAISGAFVAGNDAGHAYNSFPKMGDTWIPEDVFSMEPFVRNFFENTSTVQLNHRILATATLLSVGGLWLGARKIDMHPAIKSLIGSTFGMAALQVTLGISTLLMYVPTSLGTAHQAGALTLLSLMILLTHTLRRPSPALLKSLATAVKST >KQL05186 pep chromosome:Setaria_italica_v2.0:V:17126563:17128244:-1 gene:SETIT_005240mg transcript:KQL05186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSECRLPAVRLADIPVNLPQEESSTVAKVAIKQRPQKNFHSINSSSAHSSRDNLQKSVEERKEEYNRARARIFNNSSSSNAADGRPAEEATLPNTLHRSTSLELNSSNRMGQGAEITLERSLTTTSASRSNRSKIEKEPAVNRNRQNNRVAIFRDRESERKDPDYDRSYDRYMQRFDLI >KQL06434 pep chromosome:Setaria_italica_v2.0:V:33355129:33358077:1 gene:SETIT_001409mg transcript:KQL06434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPQYQILVRLLDGRTRCLRFTTPTVSGAALLDAVASLSRVPAAALRLVTGRLDVSPSAVLTSAAYGQFPSASALLRLRGGKGGFGSLLRGAASKAGQKKTSNFDACRDINGRRLRHVNAERRLEEWKAEAADRQLEKLAEDFIKKKAKEAGRGKGPSAAEVDKYLEKFRKDAEICVNAVEESVRASLGKRKTAPKPPPGADSKKLRIWLGKNKVEDDESDSDSDEDDEDREGDEGTDAKSIVLDDGNCSNGSSKSDDKKHDLGSVSGSHSEGESSGEKSGHNDSEENGKCVQSIVELTMRSEAEGGDFESDGSVETEVGMVDQPISEKSDEVKADVDNTASAALDEVKADVGNTVSAASNQNNPEVPQVEESADVNKSSPSEPLDLAKYSSAAELETLGLEKLKMELQTRGLKCGGTLQERAARLFLLKTTPLDKLPKKLLAKPAAGGK >KQL07774 pep chromosome:Setaria_italica_v2.0:V:41671266:41671445:-1 gene:SETIT_003972mg transcript:KQL07774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQAIGSLLPLLLLLLFLIYVLSSLISILSRLSWGQKCFFLFFCYSRRVHTPRFLKKPQ >KQL06504 pep chromosome:Setaria_italica_v2.0:V:33870427:33871633:1 gene:SETIT_005199mg transcript:KQL06504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APWSVSHDVSFDEVWLRYSTSSKDNLTFFFGCDPAPAGLDMYRIDCNGLKSPFDDGASFVLTPDHGKAQEHNLAAYCKNLSVPVRSEVLKSSNKTSFTSGGYGDVLRQGFELAWLPTDECHPCEQSGGKCSYNQYRQFLGCLCSSNIKVGHPRRNSCDALCYGEKVGNPDCKNRGSSIAYTSNKPLNRQKNYSV >KQL03893 pep chromosome:Setaria_italica_v2.0:V:5236710:5237222:-1 gene:SETIT_005316mg transcript:KQL03893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRAGVPSPPHPIRRGRFDFPWRSSSRPPLSVHRLRAAKGKRRATAAVGDAGGEPEPVGGQPEPKPARNFLPCPVVSAARDDRVRAENGTRLLLGSGERS >KQL07618 pep chromosome:Setaria_italica_v2.0:V:40868264:40868896:1 gene:SETIT_003454mg transcript:KQL07618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KQL06800 pep chromosome:Setaria_italica_v2.0:V:35667096:35667757:-1 gene:SETIT_005202mg transcript:KQL06800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPISRVLGCGLALVIFAVGCLPSRVSAMGLPRPQPNLNFTIGVEGVVWCKGCRYRGYIQSRDASPLRNVSALLRCRHGRRRALSVWGATNSRGYFLIETGAQAAPFTSKDCRVYVPRSPARGCRVDVSPGRNRGLPLRFRRFVTRPDGLQGRYVAGSFTFAPQTGPSADPVNWTLALLLRLVGL >KQL07699 pep chromosome:Setaria_italica_v2.0:V:41312714:41312935:1 gene:SETIT_005448mg transcript:KQL07699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSETTTNRLRDLLLLGLLGLAKPMPFGCPYV >KQL08787 pep chromosome:Setaria_italica_v2.0:V:47078630:47081047:1 gene:SETIT_003315mg transcript:KQL08787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSKVFTLEEVAKHNTKDDCWLIIGGKVYNVTKFLEDHPGGDDVLLSSTAKDATDDFEDVGHSTTARAMMDEYLVGEIDATTIPTKVKYMPPKQPHYNQDKTPEFIIKILQFLVPLAILGLAVAVRIYTKSEST >KQL06866 pep chromosome:Setaria_italica_v2.0:V:36167089:36168751:1 gene:SETIT_001313mg transcript:KQL06866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKTVVLYPSLGVGHLNPMAQLAKAFLRRGGVAITIAVVDPPEKDAVLAAALARLAAASPSITVRLLPIPPPSCASTEYSHPIMPILDALRAANPALREFLRSQAPAADAIVVDMFCTDALDVAAELAIPAYIFYPSAAGDLAVYLQVPDLWRRAAPSSLKDMGKAPLDFAGVPPVRALDMPDTMQDWDIDVCRVRLQQIARMPEATGILVNSFECLEPRALEALRGGHCLPGRSTPKIYCVGPLVDCGGAEENGERHACLEWMDRQPKRSVVFLCFGSMGAFSSAQLKETARGLERAGHRFLWAVRSSRREQSNSPEPDLEALLPDGFLERTKDRGLVLKNWAPQTKVLRHEAVGAFVTHCGWNSALEAITSGVPMICWPLYAEQRLNKVHMVEEMKVGVAVEGYDEELVTADEVEAKVRLVMESEEGKKLRERTAAAKEMAADAIKEGGSSDVELGEFLKDLGKIDL >KQL06819 pep chromosome:Setaria_italica_v2.0:V:35838684:35842470:1 gene:SETIT_002255mg transcript:KQL06819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLLPLHAPLSSSPGLLASRPASRALPLCPRGPRVPRNRPPPPPRALPDIAAGAASGIRDALADAFLASPPTWRSAAASNLAVFVAGSPVLLSGLSASGVAASYLLGTLTWRAFGPPGFLLVVAYFVVGTAVTKLKIKQKEAQGVAEKRGGRRGPGSVIGSSAAGCVCALLSIYHVGGATFSELWRLGFVASFCTKLSDTVSSEIGKAFGRTTYLVTTFKVVPRGTEGAISIEGTLAGILGSVFLASVGYLLGQVNISQVAVCLLASQIANYGESYIGATLQDKEGFEWLNNDIVNVMNISIGAILAVLIQQLVVNWSS >KQL08778 pep chromosome:Setaria_italica_v2.0:V:47048592:47052765:-1 gene:SETIT_001773mg transcript:KQL08778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSPAPARLDLDGNPVAPLTICMIGAGGFIGSHLCEKLMAETAHVVLAVDVYCDKIRHLVDPAPPHLAGRISFHRLNIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENNKRLIHFSTCEVYGKTIGSFLPKDHPLRKEPEFYVLKEDESPCIFGPIVKQRWSYACAKQLIERLIFAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNNLLRREPLKLVDGGQSQRTFVYIKDAIEAVVLMIENPARANGHIFNVGNPSNEVTVRELAQMMTEVYANVSGEAPLDEPMIDVSSSQFYGEGYDDSDKRIPDMTIINKQLGWNPKTPLKDLLETTLTYQHKTYKEAVKRQMSQASASS >KQL08640 pep chromosome:Setaria_italica_v2.0:V:46408867:46409263:-1 gene:SETIT_005419mg transcript:KQL08640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRSTCQRYLLPSLPLSLCHLSNPSPELCRRLAISLPLPLPPHAVEKSR >KQL07965 pep chromosome:Setaria_italica_v2.0:V:42855082:42858344:1 gene:SETIT_000481mg transcript:KQL07965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNGRPLKRARTRVEARDFAGFPAAGDGGAAGTFREAVRGFLAKHARLLPLPSIFSPAAAAAPPHLLIWRVSLSVGEEGEEETGGRVELNVVEEDVLRSRSVYCDQCRVVGWSGHPVCGKRYHFIIENDCNQLSGHRRTCCLRCGTPMVAGESRCALCNFDMDGEEIEECAYLHLDDSSHLLHAVVHANGYGHLLRVNGREGGSRFLTGRDIMSLWDRLCKVLHVRKVTVMDISKKHGMDYRLLHAVTSGHPWYGEWGYKFGAGSFALTSETYQNAVDMLSSIQLALYFSNRSPIRTPLQNTIALYWALCDRQLVTLRDLFRFIMHLLHQAQKMSKPSTYKRKELASDVLCAWTKDDFDRAEAAMLKVLRVVQTGQWVAWRALRGAASKAVDSQELLDYSLRELGGKQLDDGHFVAVRCNAETSAIEYRLESSSIRSPVNAATFEPSVEHLLHDLRFLYDALLNPESMLSSQPEVVGSSAHSAAAKILDCKQFIKHYDKHALRTPSNPFLLSVRCSIELLDHPKDYTAPPEELVLLPASATLAELKVQASRAFQETYLMFQSFQAEQLPDFPNFSDTTPVKHVLGSGQLVRLRGRCTGDYRRIVQFRMERGLENWTVDCSCGAKDDDGERMLACDVCGVWQHTRCSGISDFEEVPENFICRKCASPRKGKGHGGGGSNGGGRVEVSAAGRCKDEIGSSVGGAGKFGRMATVG >KQL03924 pep chromosome:Setaria_italica_v2.0:V:5378090:5379276:1 gene:SETIT_002948mg transcript:KQL03924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAYAILQGWCSAGAAVRVTCECKRGFNGGHRVAVRAAAGLAASHSSLHHLTHSHSSSSTATSLVHLLVEERARRRAEASSEMARSAKMMAAAALLVLAVAAATTAEARNIKTAEKKDDAVVQPQTFPPFDRLGGGLPGAGGSSIPGFSMPGSGGLTPGFSLPGSGSIGSMPLFGGGGSPFSGFGGIPGSPAAGGSVPEHSNKP >KQL05777 pep chromosome:Setaria_italica_v2.0:V:27790948:27794223:1 gene:SETIT_004407mg transcript:KQL05777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGVPWALCLLACSLAGAARSEPPALQKLDAGSAAAGNARAPPRAARALSAPLIADDGRLVACSGKDLLAFERDGSIAWVAPLGHTCNHSISPVYLVAEDKVIKVTPPNAHTAKPASEVFFSYNATPGRSEQIIGMSVSGSYSSLFLTIRNRGLFVFSLQGELQWSLGPVLDWFGHRLGCEGNVSGCYFDSAPVLDHSGGAIYILNTEGKLYSLYIQSRALRWIQDLSSLDKVMTVAPGNGGSLYVVFPRKSIVVGLDVSTGNISWQQTIGPLSNEKTLPTVDSNGWMSIGSLDGILYSISPDGDMRKFLEKTANDSAIHVDPVLDCSGFSMYVAKTIVEGKLIQTTGGYTSVSMMKPSRILVNLLAPAIGTIYWTGEYPGELSNLLSSRDLNDFVVDETILLTLLSAARQTITWICRQAKAKFVQANPVIIIVIQAIAFCFCCVFWRKKKLQGNGLQKFLEKRRSLHIKKRALSKMISELEQKAAEDATSNETLGELGEMVKAKEGVERKLYASYSLGRDKLGLKRSSSTLPLYHDKFRSHSFHSSQKESITVFNTLSDTSTSEDGTSSYSDDSKSCSSTSSGDMDLDVRLKSVEEAGPSNTTSDAERVQEGCPSD >KQL04836 pep chromosome:Setaria_italica_v2.0:V:12178575:12184165:1 gene:SETIT_002373mg transcript:KQL04836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPQTLALAALILLNLLLTAAALYVNVRRVLRARRRAQLQQQQHHQPLPQQPPGPEAAAREQHAQEEEEGGGEEHADEGGREKQQRRRRRARRRRQQQEGEGADGGGGGGNGDTAAAAPSAKAACREGKAEEEALLPRRPQFPLASVAGALQRRINARYDDLARASEARCLTIEQVNEFLNCLIDARNELLQRCENVQRSFKIKKAMLSNHRNYRSSYDRLFEQVCRLEAERDNLKKDAAIYNYIQERLQKSLPYKMIMELSAMEMEAPEISFEELLAKEKEDTAFWQPNGKMRSISSNPK >KQL07518 pep chromosome:Setaria_italica_v2.0:V:40223951:40225156:1 gene:SETIT_004239mg transcript:KQL07518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSRGRRRWRWSGRSMLKLAGLCLAVAICLCGVRSLACGGSGCRARTVLLRSDFWRRTAASCVNQGQGCFASGGGQWRRLLAEGPGSYPPRCTSKCGDCSPCYPVHVAVPPGVPVTTEYYPEAWRCKCGNRLYMP >KQL03846 pep chromosome:Setaria_italica_v2.0:V:4982943:4986587:-1 gene:SETIT_004168mg transcript:KQL03846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SAPFSRCSLAPSVVSLSRRRHRVATHAPLVTGHSNPRPRPPEAFSRRAAVSLVLFPACTGCCSSVRKINPTEGADAVSFAEQIRVLHLIRKVPVKCAPLVERKYNKAWLAVDMVKAAARVRYEPGPAFAEVKEEAMLDISPTESTEFWLIQWPKDQLDVSDFHGKELSLKLHKDGNIGSLESSSGKSYELVSFAAQQPDATVFQPSGSEMKPVGKISRRVCLVRYPEAEELAKPNFGGLTPSSKISAGSSRKTKSRFTSASKNRSSQGSALSLGQWSAEPTPKHKQKRKDKSNLGHSNMSGKASEGSQARGAESNTTTSEMPQLSSEKSKKKKKVKIVE >KQL08533 pep chromosome:Setaria_italica_v2.0:V:45871408:45872792:-1 gene:SETIT_001640mg transcript:KQL08533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMPRPSPAPLLLSAISLLVLAWPASCAHPVLIPVAKDPATSLYTILVRDGANHLVDLAGPLLWSTCAADHSPAAFSCNATECRHATAYRAPSCRIAGQPCKKKCKAYPYNPITGQCAAADLIHTRLIASTTDGKNPLQQVSVRAVAACATGNILASLPADVTGVAGLSASGLALPALIAANHRVARKFLLCLPRRGEGVAIFGGGPLFLLPQSDVGDLTTTLAFTALRSRKDNPLYYIPVNSIAVNKAPVPLPAHALAGGGVVLCSRVAFTALRPDVYRPFVDAFDRALARNDAKVPAVAPFELCYRSSMLGNTRNGYAVPDIALVLEGGKSWTFVGSNSMVDVNGQTACFAFVEMKGVKSGDPSAAAAVIGGFQMENHLLQFDLESKQLGFAKVPFFSACSNFNFTKSQH >KQL05426 pep chromosome:Setaria_italica_v2.0:V:24282871:24283146:1 gene:SETIT_003711mg transcript:KQL05426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDDMVQNREKNTFPTKGAKQTVLTQLRVPKIPGFGVGRTKIRLRRQLDGEK >KQL06898 pep chromosome:Setaria_italica_v2.0:V:36307928:36314648:1 gene:SETIT_000755mg transcript:KQL06898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIVSRALPFASRPSLYLPPPPPLSGAALLRSAATAPPPLLPPAAAAAPAASLLSWRGLTAAPEPSLAAPPPFAGLLSGIRGFRKARRGPAAAKRPQPQDAAPPPPPSPPKESEIELIARIGVEEDMPDDAEVLNIVELLKLNVPMAMKIALDGLVDSNYSTRDTSISDVGKYDKVEVSVLLCNDNFIQNLNKEWRGEDCTTDMLSMSQYIPDLDVPILMLGDIVISVETAARQAEEKGVTLLDEVRVLVVRGILHLLGFHHESSNEAAMELEKEEQLILKSLRWKGKSLAKGAQDSSKPQTVSLDGKVTSSQKRAVTLRFYRPKFKYIFCDMDGTLLNSKSQITARNAEALREARSRGVNIVIATGKARPAVIDALSMADLSGRNGIVSESSPGVFLQGLLVYGLEGRQIYKRNLDQDVCREALLYSLEHKIPLVAFSQDHCYSMFDHPLVDSLHYIYHEPKAKIVSSVDQLLGTAEIQKVLFLETPEGISSALRPYWAKAIEGRAHVVQAQPDMLELVPPATSKGNGVEILLNHLSISPDEVMAIGDGENDIEMLQLASFGVALANGSEKTKAVANVIGATNDEDGVAQAIYEYVF >KQL07247 pep chromosome:Setaria_italica_v2.0:V:38657942:38662483:1 gene:SETIT_005074mg transcript:KQL07247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVALAGSRWVASPIVNKLLADASTYLGVDMARKLHELETTVLPQLDLLIETAEKSPHGDKLKAWLHQLKEAFYEAEDLLDEHEYNLVKRKVKSGEEPAREADAPTIKTSIMKPLRAATSRARNLLPENRKLIRKLSELKATLAKAEDFRTSAAAIAIVPPATSLNPPKILRLAIVAHGGAGKSTLAQLVYNDKRTQEYFDARMWVCISGKLDGVRDTLQKLERFLLVLDDVWFEGYSNEREWDLLLEPLVSQKEGSKVLITSRRDTFPAALCCEEVVRLEDLKDAEFWALFKHHAFSGAEIGDQQLQVQLQVIGEKIAKRLGQSPLAAKVVGSHVTGKEEALEAALHQKRHLEDLQLIWTEENSSQADDIQHLEIREVLYTLKELKAQIKGYKSSLYPSWLLDCSYFESLGYFKLVNCPGLEGLPHDTKILRHCHYLKLDNVPNLKALPSLPAGLKELSISHCPLLMFITNDELQQHGQRENLMRIDHLASQLALLWEVDSGSDIRSVLSKEHSSLKQLMTLMDDDVSEHIQTIKSSVEKGGDKVLAKENVINAWLCCHEQRIGLIYGRHIGLLLIPPSGLSRLHLSSCSITDGALASCLGGLTSVRHLSLKQIMNLTALPSLEGLRAAASISSLHLSSCPSLELARGAEYMPFFFRKLVVCPFDKYNCFLAADSLSVSLPHLEYLHIYFCRSSASLSIIHLTSLKSLSICGIQDLCFLEGLSSLQLLEVSLRDVPKLTAECISHFRVQRALLISSSVLLSHMLSSEGFTVPDLTLECWKEPSFSFEESAKFSSVEELSLVGCEMKFLPRNLKCLSSLKRLRIGCCANIYPLPDLPCSLQHIEIYGCELLKESCRAPDGESWPKISPYPLEANLLNVLQTRNQR >KQL03327 pep chromosome:Setaria_italica_v2.0:V:1687663:1688079:-1 gene:SETIT_004502mg transcript:KQL03327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHMVAEKEREPEEGEAQKSPSKIVANSLSQISRSSTFLPNIGVARFEATLQAEREVAARKQEQLESQLLAEQASLEANQAALEENQNLLRQTQEEVKGVHTKFEETNALLQAVLKLQKD >KQL07475 pep chromosome:Setaria_italica_v2.0:V:39926889:39928101:1 gene:SETIT_002314mg transcript:KQL07475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTSVEFCVISARGLGRRSSLLKPQWFSVAWVDPNSKYCTKVDASGSSDPSWGMKFSVSADEHDLSSLQRKALTVEVYRREPIFLREHLQGAAIVQMKEYFDKFANGDEHPGLIEETASFQLRRKKSDKAHGFVDISIRICKEEDVHAQFSGSHDGSKYPNQVGITLAIEDGPVYNYPALPSSHYRDHSEHNDLYGNTMPATPTTRSDPTPSGSHGYSNQPPLIPQTLPPPTTNPSYFSPPYPAARGQVPQNYINMPPRRFAGQNGPPNLGMGLGAGALAAGTLIFGENLLPGPSFGADLDSASLTLSSNAPF >KQL03366 pep chromosome:Setaria_italica_v2.0:V:2016457:2017055:1 gene:SETIT_005253mg transcript:KQL03366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLVYHMYSIVAFLFRTKYIFCDVNCAVFFSIKLLNTGRETTFHPATKVSIVF >KQL04556 pep chromosome:Setaria_italica_v2.0:V:9868110:9869000:1 gene:SETIT_002873mg transcript:KQL04556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPGPPSWSVAVRLRHRWGLDIHAAAENVLPGWGRGGERLSLLLRFRRRLILTVTSQCGARPAVAPTQPGTPPRGGKILRFLRSRWAPLPRITSIWRRKKHTPTRASAAAAAPRGRRAQESRTPTQPGFLAMAGTPTSAAMRWFAVAAVVVASILVFRITMCIGCWNWRGGRDGERIARWAKFLELMEHPPPYHKYKWLLGVPKRGLEWIFSK >KQL07068 pep chromosome:Setaria_italica_v2.0:V:37464108:37464983:1 gene:SETIT_005106mg transcript:KQL07068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMERGAACYRQWIAGQEAGLAELEAALANAGATDAELRAVVERCMRGYQDYVAGRRAVSPHDGTAFIAPPWCTAFERSVLWLGGCRPTVAIRLLYNLSGEGLEAQLEELINGLGPMGPLPVGSMGITPGQMVLVTDLHRRTLLQENVLSDRLATLHEDIADQPLFPIVRQRAAPAAQPRAGGGDCDGPVGPPGGAGRGAVDAEVDAAFNSYRAAMAQLVAEADDLRMATARAMATEILTPRQAVEMLAAAKQLHLSVRDWSCRAEGAQPQPNGPRVVPANGTSAAARRNP >KQL05110 pep chromosome:Setaria_italica_v2.0:V:16018431:16019848:-1 gene:SETIT_004899mg transcript:KQL05110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLHLPTLLAAALLFSSSLALTFDEEATTARRQELSFEHNHHPTDHVHIDIDIDIKIQNPRLLTAHKALHALKDALYSDPNNFTGDWVGPDVCAYNGVFCVPSLHNASESAVATLDMNAADVAGYLPKEIGLLKDLAVLHLNSNRFCGVIPEEIRDMAELYEFDASNNRFVGPFPAALLDVPKLSYLDIRFNDFDGPIPPELFQRPYDAIFLNNNRFTSGIPETIGKSKATVIVLANNELGGCIPRSIGEALETLEQFVFTNNSLTGCLPVEAAHLMNAAVFDVSGNALTGSIPPALAGLYKVEQLDLSSNMFTGDVPGDVCQLPGLANLSVSYNFLTREAAECGALDGNMGRSFHDEANCMGQSRPMQRTADECQPVVSNPVDCTKVEPSSATAAAASGIPATTYAVSATANSISSTADFLSTAANSITAAAATSLPSATADSITVATTGCCAT >KQL06182 pep chromosome:Setaria_italica_v2.0:V:31452371:31453608:1 gene:SETIT_002419mg transcript:KQL06182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANLKWPPVLALLLVAGMAGIASAGNIAVYWGQNGGEGSLADACNSGNYAYVIIAFLSTFGNGQTPVLNLAGHCDPSSPGSCTFLSSEIQTCKSQGIKVLLSLGGGGGNYGLSSTDDANSVANYLWDYYLGGSGSPRPLGDAALDGIDFDIENGNSAHYDDLANALKAKGSVMLTAAPQCPYPDASLGPALQTGQFDNVWIQFYNNPGCSYANGDDTNLVNAWRTWTSSVTAGSFYLGLPASNDAAGSGYIAPGDLTGKVIPDIQSIGSYGGIMLWSRFYDVQKNYSGQVKGSV >KQL08669 pep chromosome:Setaria_italica_v2.0:V:46591163:46593076:-1 gene:SETIT_001094mg transcript:KQL08669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASKVSAVPKGLGAMEDVAVAAKKGRWGFVQFFFVLSVVLCALLYAPRVFVLGPAHGVDVVGFFTAANSSEGTSSEEDGGRLVLDNQVHSPCASMRDHTICCDRSSVHTDVCFMAGDVRTDAASLSLLLFPPHDQQKQAPNGSSSTATEEERVRPYPRKWERFIMEKIPEVRLRVARPPDERRCDVRHDAPLLVMSAGGYTGNLFHAFNDGILPSWLTVQHLRRRVVLGVLSYNPWWAGTFGEIISGLSDHHVVDLLHDKRTHCFPGAIVGTRFHGILVVDPGRLRDNKTIADFHDLLADAYEKPKMTPEKTQAAPAETRRPRLGIVSRKGTRVIENQAAVAALASSVGFDVEVLETANGLPLSAWYASLSGCDALVGVHGADLTKFLFLRPGRASLTQIAPLGVSPIARECFGGPAARMGLRYEQYEVTGRESSLARRYAPEDEVVADPEKAKRSKGWGFVARVYLGGQNVTLDLGRFRETLARLHAHALLQRRRRGQLAEETRPKKR >KQL08468 pep chromosome:Setaria_italica_v2.0:V:45608414:45609114:1 gene:SETIT_003040mg transcript:KQL08468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAIAYMPIHRSGTKSCRIYQKKNRNPAGSVRKSFPFRCRSPITMLLMIYGRACYGTIAAVKPLLQQPNSPMAMAALRPSPSGYDITAETTGLHLKPRRPGGQPSVLVLRLAVTETHNWFGIGGRSGRLRRPRRLSRDIRLPLASSGPDYLRSGDDCRRLIARTTMHDVPARQDSVSATGLQGRA >KQL05266 pep chromosome:Setaria_italica_v2.0:V:19696497:19697168:1 gene:SETIT_004680mg transcript:KQL05266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLATVIQMSSHTNATASTTPKGTDEPLTMPMAPSSSGTTTDKVMSSAANLAQLLPTGTVLAYQALSPSFTNHGNCTTSNRWLTAVLVGVLAVFSLFFSFTDSVIGRDRKLYFGVATPHGFNVFNLSEEEEKRELGELQKLRLRPLDYVHAFFTAVVFLTVAFSDVGLQRCFFPNAGVNTNELLKNLPLGMSFLSSFVFLIFPTKRKGIGYNDSTPRQKA >KQL06899 pep chromosome:Setaria_italica_v2.0:V:36314724:36316204:-1 gene:SETIT_002738mg transcript:KQL06899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIYIYPFSSALAKVVKERKQEELRKNISIHPNSKTPHITCSRSFLGAKKSPQVESMGGFSGATGLPVYREYDDEDLFETSSSISGDSDGEDQFSDGEGAGALDHQFMQQAASSPAQQSVRRLNSDSLYDLSSMMAQLPVKKGLSKFYDGKSQSFACMSEVRCLEDLRKKETPYKKIKPSRSYLALDEEQECHMPGPNSRGIAKKPSGGSCANLASRNNSNNMLYRPPPIPVNKGGYHQ >KQL06707 pep chromosome:Setaria_italica_v2.0:V:35147554:35149975:-1 gene:SETIT_003769mg transcript:KQL06707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWPTIYHVLEETVPLYVAMIVAYLSIQWWKLFTPEQCSGINKFVAKFSIPLLSFQILSTNDPYDMNLKLIFSDILQKSLSLLGFAVISKACCGEKFDWLITGFSLSTLPNTLIVGIPLLKGMYGNEAVKLLSQIVALQSLIWYTLLLFLFEFRAARGLATTTSSGTNEGESGTPGPMEERHEEGRAKGVSARCYSAFCFLLVVGRKLVINPNMYASLIGLIWALISFRWRVQLPSIISNSIRILSDGGLGMAMFSLGLFTALQTKIIACGTKKMLLSLGIRFFLGPALMVISSYAIGMHGILLKVAIIQAALPQGIVPFVFAKEYNVHADIVSTAIIVGMMVAVPVALGYYFVIDHPRF >KQL03303 pep chromosome:Setaria_italica_v2.0:V:799410:802729:-1 gene:SETIT_000844mg transcript:KQL03303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLLFEKLLNKLEGPVLVLGSRIVEMDVDEELDDRLTVLFPYNIEIKPPENENHLVSWNSQLEEDMKMIQFQDNRNHILEVLAENDLECDDLGSICLSDTIGLSKYIEEIVVSAVSYHLMNNREPEYRNGKLVISAKSLSHALEIFQENKMSDKDSLKLEVTADALKAAEKGTAPTAAKSETKPATLLPPVRPPAAASAAPAAAAAAAAPAVESKPAPEKKDSPPPAAKAPEVPPDNEFEKRIRPEVIPANEIGVSFDDIGALDDIKESLHELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIANEAQASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSILGQRNRAGEHEAMRKIKNEFMTHWDGLLSRPDQRILVLAATNRPFDLDEAIIRRFERRIMVGLPSMESRELIMRRLLSKEKVDEGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQKERKKELEKLKREKGGAPSDSTKKKEKEEPIILRPLNMTDLKEAKNQVAASFAAEGAIMGELRQWNELYGEGGSRKKQQLTYFL >KQL08247 pep chromosome:Setaria_italica_v2.0:V:44393245:44397086:1 gene:SETIT_003875mg transcript:KQL08247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDGTPDLTDFMNDWFFGTVGARHSGGGGGGGGGYDLTGDSGKRPASPAGKNKQGKSGGGGSGSASKQTQDWLEEAKRMVGAGSPGRMGLGSPSRQVPRFAGGSGTEPSPALDRRDPMSRSARRHWQPGGIGDEILQRASISSPPRSDPFASSAPPSPSPSLPPNPQSSRRKSRFRDAPTPDSPHHRTTSTSTSPTSAAHSRHRRHASASSAPAFAAEVFDDGVARLNSFLRRQRAVVADLAAGDRPRSRSTKLVLSDASKSVSSIVAAICYAWMLSSKGDGQAAVPVVNMRRSRMPRCRQAAWLLYHVGVDSSALLFADEVDMDGLIMDQRISLLVVGQDVLKSKAEVGSVCTILTNTYCEDAYSILQSLDIKKLLVMMLDHNDHSFVEYLKNAYVSSTTDGNDESPPEQKRSTSASGSSQDTKKSNSINQRTTRGSGVKAADEAPRGKNNFFLAKWFGFGRK >KQL05310 pep chromosome:Setaria_italica_v2.0:V:22167315:22173302:1 gene:SETIT_001244mg transcript:KQL05310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSTSSAAAHQQHHQHHRRQGGGSGLVPLAALIKEEARTERRAGAGGGSRICARDEDAGGSGVAGEAAAEEEARRQRPLLRYGCAAQSKKGEDFFLLRTDCPRPSTSASSSAASPHPTFAVFAVLDGHNGNAAAIYTRDNLLNHVLSAMPRGLSREEWLHALPRALVAGFVKTDKEFQSKGQTSGTTATFVIIDGWTITVASVGDSRCILDAQGGTVSLLTVDHRLEENVEERERVTASGGEVGRLSVVGGAEIGPLRCWPGGLCLSRSIGDIDVGEFIVPVPYVKQVKLSNAGGRLIIASDGIWDALPSEAAAKSCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDIIPPGQTIRPASPPKKMNKLKSLIFRKKAKDPSQKLTKQHSGAGVVEEIFEEGSAMLSERLGPDSNGGRTSSSLFTCAICQVDLEPSEGISVHAGSIFSSSSKPWEGPFLCSDCRDKKDAMEGKRPSGVKVL >KQL06821 pep chromosome:Setaria_italica_v2.0:V:35875495:35880901:1 gene:SETIT_000965mg transcript:KQL06821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRIVAHPLLHPSCLAASPPRRSPASRRRSPPPAARQKMEGAGKDVNPLRNYRIGKTLGIGSFGKVKIAEHISTGHKVAIKILNRRKIKGMEMEEKVKREIKILRLFMHPHIIRLYEVIDTQADIYVVMEYVKSGELFDYIVEKGRLQEEEARRFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKCNVKIADFGLSNVMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSGAARDLIPRMLVVDPMKRITIREIREHDWFKIHLPRYLTVPPPDSAQQVKKIDEETLREVIGMGYDKNLLVESIQNRLQNEATVAYYLLLDNRLRTTSGYLGAECQEAMDSSFSSIASYETPSSARGNRQQIFMESPVGSRPHFPAERKWALGLQSRAHPREIMTEVLKALQELNVYWKKIGHYNMKCRWSPGFPGQIHNNHTFSAESIENDSLSEKLNLIKFEIQLYKTRDEKYLLDLQRFSGPQLLFLDLCAAFLAQLRVL >KQL08550 pep chromosome:Setaria_italica_v2.0:V:45938900:45944106:1 gene:SETIT_000151mg transcript:KQL08550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLRNKSMEFLKRFEMPAKNPSEDAQRRWREAVGTLVKNRRRRFRMVPDLDKRSQVETQRRKIQEKLRVALYVQKAALQFIDAARKTEHPLPELARQCGFSISAEELATVVRNHDTKSLRHHKGVDGIARKINVSLADGIKSDDTGVRAEVYGANQYTEKAPRTFWMFLWDASQDMTLLLLAFCALISVVIGLATEGWPSGMYDGLGIVLTIFLVVMITAASDYKQSLQFRDLDKEKKKIDMHVTRDGYRQKVSIYDIVVGDIVHLSIGDQVPADGLYIDGYSFVVDESSLSGESEPVHLSNANPFLLGGTKVQDGSARMLVTAVGMRTEWGNLMETLSQGGEDETPLQVKLNGVATIIGKIGLAFAVLTFTVLMARFLVNKAHAPGGLLRWRGEDALSVLNFFAVAVTIIVVAVPEGLPLAVTLSLAFAMKKLMQERALVRHLSACETMGSASCICTDKTGTLTTNHMVVEKVWASGAALTVSTAKGFDELKSLVSENFTKVLLEGVFHCSGSEVVTNKDGKTTIMGTPTETAILEFGLEVEKYTKIEHVNSKKLKVEPFNSVKKTMAVVIASPHAAGYPRAILKGASEVVLRRCSNIIDGTGSVEKLTEARAKRVASAIDAFACEALRTLCLAYQDVSSGSDIPNDGYTLIAVFGIKDPLRPGVREAVKTCHDAGINVRMVTGDNINTAKAIARECGILTDDGIAIEGPEFRVKSPNEMREIIPKIQVMARSLPLDKHMLVTNLRGMFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFSTIINVAKWGRSVYINIQKFVQFQLTVNVVALMVNFVSASFTGSAPLTIVQLLWVNLIMDTLGALALATEPPNDTMMQRPPVGRGDNFITKVMWRNIIGQSIYQLIVLGVLIFKGKSLLQLNGNQSDHQLNTFIFNTFVFCQVFNEVNSREMEKINVFSGIFSSWIFSAVAGATAAFQVIIVELLGTFASTVHLSGRLWLTSILIGSVSLVVGAILKFIPVDSSSDSYDHHDGYEPIPTGPNAV >KQL04832 pep chromosome:Setaria_italica_v2.0:V:12157866:12158241:1 gene:SETIT_003777mg transcript:KQL04832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPASKIFLEQAILTVSEDARKGLNSLIILGAWTIWKHRNDCVFNGASPRLSTVLNLAREEALLWSLAGAKGLSSLS >KQL03940 pep chromosome:Setaria_italica_v2.0:V:5474128:5474600:-1 gene:SETIT_005624mg transcript:KQL03940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFVLSASQECLEIGGCSCSLRVMWSLAGQDTCFSTF >KQL07798 pep chromosome:Setaria_italica_v2.0:V:41828213:41831977:1 gene:SETIT_004755mg transcript:KQL07798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFEESAVFNRVLAAALVGDEVAVSYLASSGHGGPRFEHNLVAPSFIQVGGAQSMGGPLDVSSLVASIGMTPVGFQMPEGAITTSSYNALGGIPIDAAVAPQPEIENDGKPAPFKGTWTEEEDSILKDMVMQLGERSWSVIAQSLPGRIGKQCRERWINHLHPDIKQNDIWTEEDDKILIGAHKNFGNRWSSIARFLPGRSENAIKNHWNATKRSLKSNRRLKKKKSEQVPPGQFSILEEYIRTVSPPSESVAPPPPMSPPPQGLAYNGPVVGPEAVHSPAPQMEMNFNAANPSGPPSPHLQGMINHNMPLLPDLNISCDPQEAYHMSYPMRAPAPVPPLQMVTQDPHQASFSWLPFAEYLTEPNPGLAAGPSYYTGGYYSNAGANGYYSEAGPSNAGANSYYSEAGPSNACADGYYSEAGPSNAGGSGGEPAGDTDNIAELVSSEEFFSNDDVNLDFTRFG >KQL07212 pep chromosome:Setaria_italica_v2.0:V:38392488:38395512:-1 gene:SETIT_000779mg transcript:KQL07212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGGLTGKNSMTVGPWGGLGGDPWDDGVNSGVRQIIITHGVAIDSILIEYDLRGGAVWSEKHGTASGNSKTDQLKFDYPQEVLTSISGYYGAVGTSVVIRSLTFKSNCSKYGPFGTEQGTSFSLPVSSSKIVGFHGRSGSCLHSIGCHLNKETNTKLSKNAPSALRSITRSYDRNGHRYADGSAGYDMVLAVKDRGDNYNVLTSSLPKEQYPHPSQMSKMVSIPSFYSDNGTMTISTPVRFGPWGGNSGTIFDDGIYTGVRQINLTRGLGISSMKVLYDRNGQAIWGDKRGTSGGSRPEKVVFDFPSEILTHITGYFGSTMIMGPTVIKSLTFHTTKKSHGPFGDEHGTFFSSCLTDGRIVGFHGRAGWYIDSIGVHVLEGKVLSQKVHTELADTSPSLQSDMLALARREIGDEVTYGVVKEPIPIGPGPWGGDGGKPWDDGVYTGVKQIYIMRSDFIGSIQIEYDRGGQSIWSTKHGNGGQITHRIKLDYPHEVLTCIYGYYNTCVEEGPRVLRSITLVSSRGKYGPFGDEIGTYFTSATTEGKVVGFHGRSSLYLDAIGVHMQHWLGDVKTTSASNSNSKYYISRYLF >KQL08521 pep chromosome:Setaria_italica_v2.0:V:45820385:45824560:1 gene:SETIT_0007641mg transcript:KQL08521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQKPRKPSPPPPPPPPFVPWWRVFFPGGGARMSCFVCFGSAQDGEAKKQVADAKDPRKDGPPDRGVARVGSDKSRSQGGSDSKDIIIHRDGNSQNIAAQTFTFRELAAATKNFRQDCLLGEGGFGRVYKGRLENGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHTNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPKDKEALDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLGEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDNSKPQGEQNLVAWARPLFKDRRKFPKMADPKLQGRFPMRGLYQALAVAAMCLQEQAATRPHIGDVVTALSYLASQAYDPNAPVQHSRSNSSTPRARNPAGWNDDQRSVRSPNHHSPDLRRRDAARASKYGAEVSRTSSTGGSGRRSGLDEMDMTGSQMGSPAQTGRRRETPRAAADRQRAIAEAKMWGEYSRERSNGHGSFDSTNE >KQL05108 pep chromosome:Setaria_italica_v2.0:V:16008252:16008694:-1 gene:SETIT_005413mg transcript:KQL05108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYAKKKLKKLYRGTKIITCRDRKMVLVSKFCTSRVITGSTLGTS >KQL04309 pep chromosome:Setaria_italica_v2.0:V:8042506:8042855:-1 gene:SETIT_003713mg transcript:KQL04309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKHEDDFHHLMPSGGGGRKRAAGGEAEAYGVAMAEECEHGTDSGTDTDMR >KQL07969 pep chromosome:Setaria_italica_v2.0:V:42879289:42881615:-1 gene:SETIT_001325mg transcript:KQL07969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSAAAADDVERGDYEQGHERTGTVWTATAHIVTAVIGSGVLALAWSVAQLGWVAGPLALAGFACVTYYTSTLLANAYRAPHPVTGDRNRTYMDAVRSYLSPREVFMCGIAQYVNLWGTMVGYTITATISMAAIRQSDCFRRNGAGAHCDASGTVLMLAFSVVQVVLSQFPGLEHITWLSVVAAIMSFAYSFIGLGLSVGQWVSHGGGLGGRIAGAAAASSTKKLWNVLLALGNIAFAYTFAEVLIEIQDTLKSPPAENKTMKKASMYGIGATTIFYISVGCAGYAAFGSNAPGNILTAAGLGPYWLVDIANMCLILHLIGAYQVYAQPIFASVERWAASRWPEAKFINSAYTVSIPLMQRGSVTVAPYKLVLRTLIVVATTVVAMMIPFFNAVLGLLGAFSFWPLTVYFPISMHIAQGKITKGRKWYLLQGLSMVCLMISVAVGIGSVTDIVDSLKVSSNPFKTVS >KQL06396 pep chromosome:Setaria_italica_v2.0:V:33059114:33061196:1 gene:SETIT_004698mg transcript:KQL06396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLLRAAVLSAALLALAAAGAEAHSVSEFLNIFRPRNEHDYFHNANQGQQEENVVPRASDQQSLIAAPVSQSGLMKVPARSAPTAAGQDTITIPVDDHTAGNAGAWSTITENAGVSAMHMVIMRNDRAIMFDTVTTGPSLLRLPKGNCRLDLRSKQQGAQDCAAHAVEFDYATGGVRALKILTDVWCSSGALDAEGNLVQTGGYFEGEKVVRYLSPCGNCDWREFPASLAEGRWYGTQQILPDGRSIVLGGRRAFSYEFVPAEGQANTQANPLQILRDTTDDVENNLYPFVHLLTDGTLFIFANDRSVVFDYRNGQVVRELPVLPGGGRNYPASGMSALLPLDLRRGDVLSPEVIVCGGTPKNAFKLGETNTFNPALKDCARINPLKPDARWAIDQMPVARTMGDLLILPTGDLLMLNGAARGCSGWGFARQPVLTPLLYSPRQPRGKRFRALAATAIARMYHATSAVLPDATVLVAGSNTNSAYNFSGVDFPTEVRVERFTPPYLAAGRAHNRPVIDAATVPGGGMAYGSQFTLQFTTPALPVAETDMKVTMYAPPFTTHGFSMNQRLLVLSVTAFVPKGPNRYTITVGAPGKPELAPPGYYLLYVMAQGVPSKAVWVKVHN >KQL06572 pep chromosome:Setaria_italica_v2.0:V:34343257:34344199:-1 gene:SETIT_004047mg transcript:KQL06572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHNHANWDEGTTKTLLDLCIAQKNQFNWSNRCLSKLGWKNVYRSFNQQTGLHLGSKQLQNKLNALRRTFLSWTALQNQSSLGHDTQTGGVAADPTYWEDDEARSGSLEQYIRDLSESVAKRSQKCADHAQGEMNPDYRTTFTQMKTKEGRLNWIQFNWDMLNK >KQL06925 pep chromosome:Setaria_italica_v2.0:V:36431937:36435154:1 gene:SETIT_004879mg transcript:KQL06925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTFRRHEKYVTPRRASVYPRILGLVLVLLRAAAQPPPAGEARLLLEIKRAWGDPTVLAGWNGTAAAALCSWPHVGCDASGRVVNLTLANAHVAGPFPDAVGNLSGLTYLDVSNNSIRSVFPSALYRCASLHGLAASLTTLDLDGNEFNGTIPASLSRLRNLEYLALNSNRFTGIIPAELGELASLQVLYLDNNPFNAGQLPASFKNLTNLVSLTASQCNLVGDFPNFLWSLKKLQQLYLYTNNITGDMVVDGFAARSLTEIDVSENKISGVIPEVFGGLENLTLLNLFMNNFSGEVPASIGQLPLLRILRLHTNRLNGTLPPELGKQSPGLYYVEVDYNEFTGVIPEGLCTGGNLQYLTAKSNRLNGSIPAGLANCTTLETLSLDNNQLSSDVPEALWTATQLYFVTLQGNQLTGSLPATILLNISTLRIGNNQFSGNIPAAVAALQVFTAENNRFSGAIPASLGDGMPLLQRLSLSGNQLSGGIPRSVAKLSQLTQMDLSRNQLTGGIPAELGAMPVLSVLDLSSNKLSGNVPQALAKPQLTSLNLSSNQLSGQVPAGFATAVYDTSFLDNPGLCTAAAGSGYLTGVRSCAGGSQDGGSSGGVSHALRTGLLVAGASLLLIASAFAFFVVRDVKKRRRVAEQDDWKITPFVKDLGFGEAPILRGLTEENLVGRGGSGRVYRVAYTNRLNGRAGAVAVKQIRTAGKLDQKLEREFASEAGILGSLRHNNIVRLLCCLSNAESKLLVYDYMDNGGLDRWLHGDALVAGGRPMARARSARREPLDWPARLGVAVGAAQGLCYMHHECEPPIVHRDVKASNILLDSEFRAKIADFGLATMLLQAGAPETMSAVAGSFGYMAPECAYTKKVSEKVDVYSFGVVLLELTTGKEANYGGEHGSLAEWARHHYQSGGSIPDATDKSIRYAGYSDDIEVVFRLGVLCTAEMPSSRPTMNDVLQILVKCSERTHQKGKTERGPEYEAAPLLSNGSGIEIEEKIDFDRIV >KQL07422 pep chromosome:Setaria_italica_v2.0:V:39678507:39681772:-1 gene:SETIT_003153mg transcript:KQL07422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNSGAQLPPATASGDRLAVIASHLSHSRLGSPAHMAGEKEAALAAEPVDGPTIFDKIIRKEIPSQVVYEDQKVLAFRDISPQAPIHIIIIPKVKDGLSRLSKAEERHIEILGSLLYAAKVVAKQEGLGDGFRIVINDGLKGCQSVYHLHVHLLGGRQMNWPPG >KQL04706 pep chromosome:Setaria_italica_v2.0:V:11111318:11112038:1 gene:SETIT_003805mg transcript:KQL04706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSPGRAVMALGHGQHSSSTAGDAMAAAAAAASSTPTITFSFQPSPPPTSSLAHHGVLGYSSLLLDHPTTATTSSSSSHAPSSTIPPPTLHHLHAAHVSPPTRSSPPPPPHPWSTCDEGHDQGQGRHRGKGAAAVSEGLAAGGHNGAAAALGVGAVRMKKAGGGGGKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHP >KQL03643 pep chromosome:Setaria_italica_v2.0:V:3604896:3605759:-1 gene:SETIT_004878mg transcript:KQL03643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDGSSKAAAGSEGMTKVPGREGMVSLQFPVLNKTNYGAWAVRMKLLMRAQGVWDVADPNDEQRINDDEKDNMAMAIISMGLGDEMLMQVAEKESAFEMWTALCSMHMGAERTKEAKVQTLCWELENLCMGNAELVDDFAAKVMLLVGQVRGLGEKIEEKQVSDKRLLRAVSDKFVHIASAIEQFEDLKKMTLEEVIGSLKAHEECVKARDARGARSEEQILMTSGRGRGRGGEVRKDRSRDQCYHCEEYGHHSYECPAKGKGKKQEEKVLLAEGFSMADDEQALV >KQL05072 pep chromosome:Setaria_italica_v2.0:V:15316929:15318772:-1 gene:SETIT_004226mg transcript:KQL05072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSVVHHPPTTSFPFPAGAGGHSRRLPQWGPPASPAPFPPVATHVVPRRLLLPVAAGIWDFVSGGAGGAAAASLAVRRGMQLFRQGDVEGSLAEFDKAIEMDPRQKKYLWQRGLSLYYLDRFEEGAEQFRLDVAANPNDTEESIWCFLCEAQLYGIEEARKRYLEVGLDSRPVMREAYTMFKDGGDPEKLVANFSSGSGGDVFYSSLYAGLYNESQKNADKAKFHIVAACKSPYGSRSGDYMASLAFVHCQCRNWDLE >KQL07680 pep chromosome:Setaria_italica_v2.0:V:41193668:41194427:-1 gene:SETIT_002957mg transcript:KQL07680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVLHPQAGPGDVAPLWPGEIDEQLITELLSDESLLLGALQQAPAGGDAEPCSRDNTGASSSPAAPAPCNSGGGGAEREEVLPQPEAVSRALCSVYTGPTIRDIEKALSTSRPYPWSSRRYSTMHLFGAASRAAPESKYTTKVRSCGGKTPSDGYKWRKYGQKSIKNNPHPRCLPLPSRASFLLNLAVYYTCLPPPPPPPPPRY >KQL07730 pep chromosome:Setaria_italica_v2.0:V:41436286:41438869:-1 gene:SETIT_002878mg transcript:KQL07730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRVDNEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQIDGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKKQTFDNVQRWLRELRDHADANIVVMLVGNKSDLNHLRSVPEEDGQAFSEKEGLSFLETSALEAVNVEKAFHTILSEIHQTVSKKALAAQESASASGRSMQGTTINVAESSTATKGSCCSS >KQL05820 pep chromosome:Setaria_italica_v2.0:V:28246695:28249292:1 gene:SETIT_003092mg transcript:KQL05820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGDGEVAASKEKGGGGAERTSLDGVRDKNVMQLKKLNTALFPVRYNDKYYQDAIASKDFSKLAYYSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRGLGIGTKLLNHVFDLSAKQNISEIYLHVQTNNDDAIAFYKKFGFEITQTIHNYYMNITPPDCYVLTKFIGQAATKK >KQL06420 pep chromosome:Setaria_italica_v2.0:V:33259844:33261444:1 gene:SETIT_001774mg transcript:KQL06420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTTTPAPLLDDMPVPSSDATANGAARRRRRRRLLLLCANYAALLGGSVASSLLSRYYFAHGGHNRWVATLVQSVGFPVLLVPVYAGRPAAQPRPFAWFTRRLLAACVVIGVLMGVNNLLFSYSSSYLPVSTSSLLLSTQLAFTLVLAAVIVRHPLTFSNLNAVVLLTLSSVLLALRSSDSGEHPDGGSRARYFVGFAVTLGAAGLFAAYLPVMELVYRRAVSGGFRMAVEVQVIMQAAATALAVAGLAAAGGWREELARWDLSPAAYWVVLAALVVTWQACFMGTAGMVYLTSSLHSGVCMTAVLTANVIGGVVVFRDPFGSEKAVATVLCVWGFSSYLYGEYRTQQKAQEGDGKVAAAASSGDGGGVLKSDASGGGVGGGGGGGAVVETV >KQL03532 pep chromosome:Setaria_italica_v2.0:V:2966462:2969413:-1 gene:SETIT_002093mg transcript:KQL03532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSERATIPSSLSSSLELNPLLRDLVEKKLSLKRSVTSMAAELKDARNRLASKELLYAQELEARKATDLEDEVNKMQKCLEDKEEQLRASLSINEQCRRKLVDLRSQLLITQTTAESTAASANSGLLHCSSLLEKLNDNENSLSEATYPVGNVAEQLNHFHEYLKSRDPSRGHIKDYYLTTESDIMNAFAKAGVDNVNELMKIMSDVSPKNSENINEDLIFEDDDNANLREGIRVLSAHWENKIKELESQLDKHVGIVQELKRWILKLEFSLQEPRSRLQKLQRVREKRSKALTLKELRNQAAMEQPSGGGSGDKQNLGKSSGFKLIASMSMLVLFILAKR >KQL05801 pep chromosome:Setaria_italica_v2.0:V:28011465:28013314:1 gene:SETIT_000925mg transcript:KQL05801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPLPIPAITSPHASKPHDAPVPAPRPAASSVHAVLASLSQHAHAHDDALHDAFALVARAEGQPSPAAAVSVGPEVYASLLQCCVAAGSLRAGRQVHAALVKRGPYYSRNAYVGTKLAVFYARCGALADAERAFEALPERDRNAFAWAAVIGLWSRAGLHARALDGFAAMLGAGVPADNFVVPTVLKACAGLGLFRAGRAVHGYAWKAGIAECVYVMSSLVDFYGKCGQVEDARGVFDAMPERTVVSWNSMLMAYIHNSRIDEAVELFYEMRVEGVLPTRVSVVSLLSASAELEAVDEGRQGHAVAVSSGLEIDVILGSSMINFYCKVGLVEAAEAVFEQMEERDIVTWNLMIAGYFQDGQVDKAFDACRRMLETNLKFDCVTLASIIMACVKSCSMMVGTAAHGYAVRNDLDSDRAVACGLIDLYASTGRIEHARRVFNAMSQRDLVLWKVMISTYADRGMSSEALKLLDHMQLEGMSPTAACWDSVISAFLRNGQFEDALEVFKQMLLTRTRPNLRTWSLLISGLSKTGMHQEFTKVAC >KQL08325 pep chromosome:Setaria_italica_v2.0:V:44804124:44805929:1 gene:SETIT_002924mg transcript:KQL08325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPPLTVHLRRSSFSSPPGDTVAIAVDGSSGVDLARVGLALGLDPASVRPNGYFLSRGPGHVCSAVTWRALLDFFAARGLPTGADAAAPVAVDGKPAAPPASTSDPTTLVCSKRKSGLEVERRPKKSKPQENRPALSKRRDDVLSEEIVLSLKRRLRLDDTIPAKKIKQVEYGSDTQQPVKFSCSFVNANGKRPRDEMITSLSCKRVR >KQL06265 pep chromosome:Setaria_italica_v2.0:V:32234369:32234744:1 gene:SETIT_005555mg transcript:KQL06265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWPNCTLEIETGAVVLTQSACIHLLLNNAHHYLMAPRNQAV >KQL08060 pep chromosome:Setaria_italica_v2.0:V:43377060:43378802:-1 gene:SETIT_003977mg transcript:KQL08060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWDGLVPSIHRAIKERRARRYPRCSPTGSAHARFGARVEDSGWERDQNQWDSDSKVSAATEMTHRRHGSLEELMAGEGALIWSRAARLERAALRSPQAMSAFMPPALPAPTPPALTVPVAYGVGGAPEGAVTGRGSYGPVIAMLAVVAVLAAAALAVGRLCFGRRALGQAGGGHDLEAWVERTCGHCVGAAMLDQVKEEEGGGSGDAAAATAEAEPPPPEGTERGEGISIAS >KQL07979 pep chromosome:Setaria_italica_v2.0:V:42931795:42934439:-1 gene:SETIT_000383mg transcript:KQL07979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSAAKKKKPVAAAKPAAASAEPKATPPPTTPPAANGAGPHQVVDAGVLLRRAHELKEEGNRLFQSRDYAGALRQYELALRLAPRGHPDRAVFHSNRAACLLQLRPVDHEAVAQECSLALQAEPRFPRALLRRARALEALGRHELALADTLALLALDPDHRDAIDLSHRLRSRVNASAVASASSAPEPTSRPSPAALGASAVVAGLGPSLPSRPFPKKQSAQAPPTTPSLSNPNMMSKSNPPPSPKLVPFSNSPPSSAKPSAADSSRKATQTLPVNSSLLATAAPLIDRKVVTRWRPLKLVYDHDIRLGQIPEKCSFRTLREFVAKRFPSSKAVLIKYKDADGDLVTITSTEELRLAESFVDKVGHEVIENGKEGDNKLPGLRLHLVEVSPEQEPPLPSEEEKLEEDEELLVKGEDGTSHTSSEVADTEVTKQDAENRVAEQRMETGKKDCGHAECKEAEIDDWLLQFAELFRNQVGIDADAHLDLHELGMELCSEALEETVTSEEAQALFEMAASKFQEVAALALFNWGNVHMCAARKRIPLDESAPKEVMAAQLRTAYDWVRERYALAGHKYEEALKIKPDFYEGLLALGQQHFETAKLHWSFALADKVDLSTWDSSETFKLFDSAEQNMRAATEMWEKVEEQRMAELKEPGAGEKDEILRKKRKQHSADGQLELTPEEAAEQAAVMRQQIHLFWGNMLFERSQVEFKLSVGDWKKNLDASVERFKLAGASESDISTVLKNHFSNAVSECEEKKVMTSGMEIAQTNDNIEDKCVVES >KQL03627 pep chromosome:Setaria_italica_v2.0:V:3525804:3529150:1 gene:SETIT_001701mg transcript:KQL03627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGRPALANRQKQVVVAAENCRKAVPHVAARSRRTLADIGNLINGRPAPANRQKPLVAAPDRNGKAVKLKEWSKVKPEVIVISSDSEKEKKAKVSGGQRVARRVPTLTYILTTCSRASDGIISSPKKVKAYDIDAADAHNELAMVEYVEDIYRFYKSTEGTCLPLSSYMSSQAEINERMRAIVIDWIIEVQHRLILMPETLYLTVYIIDQYLSMENVPRKELQLVGVSAMLIACKYEEIWAPLVEDLLCLCDNAFTREQILTKEKAILDKLHWNLTVPTMYMFIVRYLKAAAAKGDKELENMAFFYSELALVQYTMLIYPPSVTAAAAVYAARSTLQMNPLWTDILEYHTGLTEPQLLDCARRLMSFHALAPESKQKAVYRKYSSPKLGAVSLCSPAKKLLSV >KQL03901 pep chromosome:Setaria_italica_v2.0:V:5271002:5271544:-1 gene:SETIT_003961mg transcript:KQL03901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRAPLLLAVAAACSLLAAPPAAATLPSQTASSKTVADVCKGTAYPALCTTTAGEQAKRYPVVEALTVLEMQVDAFAKRTEAARAHVAEAAQTASPAARAKLDLCNSLYLDVLDNLGACRRAIGFKDAVTIRATMGMAAQDMQNCDEQFRQIGEKNPMERFDESLVEMSENCRSLSNMI >KQL03802 pep chromosome:Setaria_italica_v2.0:V:4659878:4664383:-1 gene:SETIT_001597mg transcript:KQL03802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQHPQAQAAALAVAPSASAVAPTVTHPNDPAGGDAPPKQVAQAMERLGRAGRLIADIRLGADRLLEALFVAGGAPPYSAPQHIDRTARAVVQEEATMRRHFQDLRALGRQLEESGVLNGALKARGNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLEDEVLSHLHDGEPGVTKKPRLSASNGEQEEESLSEILKNLENEVPNMKIFTYRHLDWSKRASSLASLMDDDFVDPSKELNLQNTGNLRSSALTTSMDQVAVIELLVPSIFRAIVSLHPAGSTDPDAVAFFSPTEGGSYLHARGVSMHHVFKHVKEHADKALQYFISVEPSKALSLLLRWIASYQTLFTKVCSKCRRLLMMDKSLALLLPPVHRPYHQTSNVGPDLQEAYHIGCSSYDG >KQL06272 pep chromosome:Setaria_italica_v2.0:V:32306635:32307678:1 gene:SETIT_005604mg transcript:KQL06272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFISIQMSDKYLMNMCLILMLYLHLQREHWLLHLEQEQYLHPEP >KQL05098 pep chromosome:Setaria_italica_v2.0:V:15870155:15870641:1 gene:SETIT_005403mg transcript:KQL05098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDGLTRPNGRQETKGGGTDEASKNKEMDPSMQAR >KQL07455 pep chromosome:Setaria_italica_v2.0:V:39812732:39815080:1 gene:SETIT_003166mg transcript:KQL07455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKTELCRFSGQKIYPGKGIRFIRADSQVFLFANSKCKRYFHNRLKPAKLTWTAMYRKQHKKDIHAEAVKKRRRTTKKPYSRSIVGATLEVIQKKRSEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVAKSQKTQSKGGAAQKGPKGPKIGGGGGKR >KQL07261 pep chromosome:Setaria_italica_v2.0:V:38740143:38743367:1 gene:SETIT_002646mg transcript:KQL07261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHGAYQGEKGGQNTGDSIVLPPPPPWPSEQGCATSPTSVGCRVGTYTQAAAAAMASRKLLLVLTAGRRLRSRSRTGQLLWAANLPEATTSRSLAAAAAAAQQSGRSPAALLFASRTISTTRPATQSAGDAPGPSAVDPKLIMPEDEFHKLADETIHYLLEKLEEYGDSIQMDGFDIDYGNQVLTLRLGDLGTYVVNKQAPNRQIWLSSPVSGPSRFDWDASTDGWIYKRTGANLVQLLEKEIGELCGTPVELS >KQL05596 pep chromosome:Setaria_italica_v2.0:V:26242086:26244373:-1 gene:SETIT_004796mg transcript:KQL05596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNSCAFHPAATWQAILVCISAIAVQLADAMGQGQCPPFSCGDLHNISYPFRRPGDPPECGVQAYQLVCNSSKARIHINTGTYFVTNINHTDRSFWVVDVNLDMRSSCHLPRWDQLPYNHLGSVSGSFQHSYSIFLATAADCWACFVNCSQAVTNISWYKPVPCLTANNSFVYVSIADCRVQSLDPSCGYLAMTPIGSWRFTYPELENDNYTYTDIIKLVKKGFSVEFPWDYNPGYDNASWIFKTCLNNSTRYFHNQFTGTSILKWTKGLFWSEATFAECANFYYTPNPMFQRVVIAIVSAISITKLLFVLCRLVLPALVVFTFLAHKYWKTRITIDAVEKFLQMQQMIGPIRFAYTDITAITSHFRDKLGQGGYGSVYKGVLHPGNVQVAIKMLGNSNCNGEEFISEVSTIGMIHHINVVRLVGFCAEEMQRALVYEYMAHGSLDKYIFSSERSFSWDKLNEIALGIARGIDYLHRGCDMQILHFDIKPHNILLDINFVPKVADFGLAKLYPRDKSFVPMSASRGTIGYIAPEMYCRGFGAISSKSDVYSFGMLLLEMAGGRRNFYQNAASPSQAYYPSWVYGQLAKHEEGEVSSASNVHMHELERKLCIIGLQCIQLNPQDRPTMSEVIEMLESGTDGLQLPSTRPFFCGDDEADEHIAAADSCHSSSELTAISEEDE >KQL06077 pep chromosome:Setaria_italica_v2.0:V:30497608:30498195:1 gene:SETIT_003619mg transcript:KQL06077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRPLRCRNRYTAAPSGHSMTPATTKATNPSVGPSTLHRHGLLRVPHCTHTGTNAIACCCWLLVLLWKCGL >KQL07531 pep chromosome:Setaria_italica_v2.0:V:40354531:40355242:1 gene:SETIT_003636mg transcript:KQL07531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVELKVGMHCERCIKAIKKAIKTIDDMESYQLETEINKVTVTGNVTSEEVVKALHKIGKTATCWAED >KQL04329 pep chromosome:Setaria_italica_v2.0:V:8237784:8244224:-1 gene:SETIT_001506mg transcript:KQL04329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSNFKEQAIEYVKQAVQEDNAGNYVKAFPLYMNALEYFKTHLKYEKNPKIREAITAKFTEYLRRAEEIRAVLDEGGAGPGANGSDAAVATRPKTKGKDGDGGNGGDDSEQSKLRAGLNSAIITEKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVANLFQMARENAPSIIFIDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYALDQAVRRRFDKRIYIPLPDMKARQHMFKVHLGDTPHSLTESDFEGLARRTDGFSGSDIAVCVKDVLFEPVRKTQDAMFFFKADGDMWMPCGPKQPGAVQTTMQELASKGLAAKILPPPISRTDFEKVLSRQRPTVSKKDLEVHERFTKEFGEEG >KQL04089 pep chromosome:Setaria_italica_v2.0:V:6389793:6398048:-1 gene:SETIT_004557mg transcript:KQL04089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDTTDDIAEGISFQAFEDDCRLLATLLHDVLLRELGPRFIHILERIRILAQSAVSTRAAGMDDTAAVVESQLEADLAAMSLEDALCVARAFSHYLNLMGIAETHHRVRKARNVEQLSKSCDDIFGKLIQSGVPPKQLYDTVCKQEVEIVLTAHPTQINRRTLQYKHLRVAHLLEFNARPDLSHEDKEMLIEDLVREITAIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAVPHYLRRVSSALKKHTGSPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIRELDNLSFELSVKRCSDKVTSLANEILLKASEDLKANAWNQTVPQNNAKLHHSLALPAQLPSGADLPSCTECSDGESQFRMINLPRNPSRPGGLNLPEKFEDGPLSSPTGRQSQMGRTPSGGQLRKLLKESNMGRSSSFRKLLEPSISDKPGITPYRVVLGHVKEKLVKTRRRLELLLEDLPCDYDTEEYCETSDQLLEPLLLCYQSLQSCGSSVLADGRLADLIRRVATFGMVLMKLDVRQIRQKALDAVTSYLDLGVYSEWDEEKKLDFLTRELKGKRPLVPPSIEVAADVKEVLDTFKVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLTVSGDLGRPCPGGTLRVVPLFETVKDLREAGSAIRKLLSIDWYREHVIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEFGIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPQTAVRQLEIYTTAVLLATLRPPQPPRDPNWRHLMEEISRVSCAHYRRTVYEDPDFITYFQEATPQAELGFLNIGSRPAKRKPAGGISSLRAIPWVFAWTQTRLVLPAWLGVGTGLQGALDGGHGEELRAMYAEWPFFQSTVDLIEMVTAKADAPMAAHYEAMLVAPERRAVGGELRRELARTERCVLAVSGHAKLTAHNRSLRRLIESRLAYLNPINMLQVEVLRRLRRDDDNRRLRDALLITINGIAAGMRNTG >KQL03408 pep chromosome:Setaria_italica_v2.0:V:2192079:2193308:-1 gene:SETIT_004257mg transcript:KQL03408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPTAPAGHRSDFDVIVVGAGIMGSCAAHAAASRGARALLLERFDLLHGLGSSHGDSRIIRDAYAKARYVPMVRLARRLWADAEAESGYRVLTPAPQLTFGPRDSASLLAAVRNAGAEEVDLATRWGGAFRVPDGWVTAVSEHGGGVLNATKAVAMFQALAVKKGAAVRDNAEVVGIEKGAEGGVVVRTSGGEVFRGAKCVVTVGAWASKLLRSVAGVELPIQPLHTLILYWRVKPGRERELTAKAGFPTFSSSGDPPVYGTPSLELPGLIKISCDGGPPCDPDNRNWDAGDKEVTERVARWIEEAMPGHLDAAGGPVIRQSCICSMTPDADFVIDFLGGDFGEDVVVGAGFSGHGFKMGPAVGRFLAEMAIDGKSKTAAEAGVELGCYRINRFDGNPMGNDANKDY >KQL05533 pep chromosome:Setaria_italica_v2.0:V:25522966:25529081:1 gene:SETIT_000576mg transcript:KQL05533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPQPRPALLLALLLALLCSLASADPRTSVAGQRCAEGAAVSGSTLADNFVPAMDDLNTNVSAHGFGTSAVGSGGPNTVFGLGQCLRDLSPVDCMLCFAEVRSLLPKCYPRVGGRLYLDGCFGRYANYSFFGEALDAAADAGDDVAVCGSSSAAAEGGRNYTGGAGPRAFGAAVRAALENVTADAAAPGSRGFGAGSAASGGATAFALAQCWESLNATACAQCLRAASGAVAACAPATEGRALFTGCYLRYSTRRFWNANATAGAGSGSSGNKGVVWILVGSFLGAFAVVLIISFLAWKKRILRRKNEWNSFIDMYGDGLSVRIAQSSLNFKYEEFRKATNYFDPSNKLGQGSYGAVYKAVLLDGKEVAVKRLFLNTRQWVDQFFNEVDLISQVRHKNLVKLLGCSMNGPESLLVYEYYFNKSLDLFLFDTSGRRNLTWDLRIDIIQGIAEGLSYLHEESETRIIHRDIKASNILLDDKLKPKITDFGLARAFGEDVTHLTTGVAGTLGYMAPEYIVHGHLTEKADVFSYGVLVLEIVTGKRCSSSNGSHGGQVLLTKVWKHYKDNTVEMIVDRSIYEDTIRDEAMHILQIGLLCTQANPDDRPTMGKVVELLRNHRNDLEIVLSDPPFLDVEAVENIKEGEHSRLLSTNSAPSLSGSSRSYLSGR >KQL08779 pep chromosome:Setaria_italica_v2.0:V:47054060:47056390:1 gene:SETIT_002839mg transcript:KQL08779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETDTRPTSAGSRGRPAPDDDDREEGEIADDSSAPAPPLQPATHPLEHSWTFWFDNPQGKSKQAAWGSSIRPIHTFSTVEDFWGLYNNIHHPSKLIVGADFHCFKNKIEPKWEDPICANGGKWTISCGRGKSDTMWLHTLLAMIGEQFDYGDEICGAVVSVRGKQERIAIWTKNAGNEAAQVSIGKQWKEFLDYKDSIGFIVHDDAKKMDKGPKNRYTV >KQL06875 pep chromosome:Setaria_italica_v2.0:V:36210891:36211129:-1 gene:SETIT_004171mg transcript:KQL06875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDENGKGPTCQSRQPESGSKEKLHAGNGEPSLCSRSPLGPNSGHLNISVGQHTHALF >KQL03509 pep chromosome:Setaria_italica_v2.0:V:2816015:2818120:-1 gene:SETIT_001228mg transcript:KQL03509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAARTAIALLLALAVLCGGAQGRHHRHTKHTRHNSAHAHAPSHSQAPGPSSARRHARPVSPPAPPPSSYPAPEPAPEPASGGAAAVYDVVKDFGAVGDGVADDTDAIKTAWDTACADDGDGVVLARAGYSFLVHTTVFTGPCQGSVTIQLDGTMVAPSDPDKWPANNKRNWLVFYNAHGTTLRGAGLIDGKGQNWWELPCKVHKGQGGSSGHGTSCDSPVMLRFFTSNNVTVQGLKVQNSPEFHFRFDSCRGVHVSGLSISSPQGSPNTDGIHVENTQDVLITDTAVSNGDDCVSIGAGTLNVHIENVTCGLGHGISIGSLGKQGTRACVANITVRNAVIRHSDNGVRIKTWQGGSGSVSSVSFENVRMDAVRNPIIIDQYYCLSKSCENATTAVFVSGVSYAGIRGTYDARSAPIHFGCSDAVPCTNITLSDVELLPASGQTVDDPFCWNVYGNATTPTVPPVACLMDGVPGNYADHTSLKCY >KQL07525 pep chromosome:Setaria_italica_v2.0:V:40312831:40315077:-1 gene:SETIT_000931mg transcript:KQL07525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSSAHWLSLVGSIWLQTINGPNADFPVYSSQLKDLKHISQVQLNFLAFASDAGKLFGWFSGVAALYLPLWLVAFVGAAFGLVGYGVQYLFLDSAGLRYWHLFLLTSLAGNGICWINTVCYLLCIRNFGSSSRVAVGLATSYLGLSAKVYTSLADSIPGLANSKAKAYLLLNAVVPMLVTVVVAPSLRAVDLTSNASTDAAFLVMFAITLATGACAVVGSIGSTSNGLSSREHMVSLGVLLATPILIPLALRIRESLNKIWETKRENRIHDLGTDDADAIERVVAIDIADVESKEGDSATEKPKEEVGGLRLLRKLDFWLYFFSYMFSGTLGLVFLNNLGQIAESRQLAQTSTLVSLSSSFGFFGRLLPSFLDYYSAKSGYSISRTGSMASLMAPMAGAFFLLLNPGNFFLYLSTAVIGTCTGAITSVAVSATSELFGTKNFGVNHNVVVSNIPVGSLCFGYFAAYLYQRGAAQGGHQSCIGAGCYQETFVVWGAMCAVGTLLCSVLYARSRGFAGRLSAVAAAGGAVRTSCLARLANLFS >KQL04787 pep chromosome:Setaria_italica_v2.0:V:11771048:11773756:-1 gene:SETIT_003325mg transcript:KQL04787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWQAYVDDHMLCDIDGQRLTAAAAILGHDGAEWAQSDAVPQVKPEEITAIMNDFNEPGSLAPTGLYLGGSKYMVIQGEPGAVIRGKKGPGGVTIKKTNMAIIIGIYEEPMTPGQCNMVVERLGDYLVDQGF >KQL06762 pep chromosome:Setaria_italica_v2.0:V:35478763:35479671:1 gene:SETIT_004733mg transcript:KQL06762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTMSLSSPALAGKAAAKIVPSSVFGEGRVTMRKTAAKAKSAAASGSPWYGPDRVLYLGPLSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNSIKFGEAVWFKAGAQIFSEGGLDYLGNPSLVVLMGAVEGYRVAGGPLGEVVDPLHPGGSFDPLGLADDPEKFAELKVKEIKNGRLATFSMFGFFVQAIVTGKGPLENLADHIADPVSNNAWAYAANFVPGK >KQL03658 pep chromosome:Setaria_italica_v2.0:V:3764223:3765030:1 gene:SETIT_003442mg transcript:KQL03658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAARKVSVPAILLVLSVLSCLLLVHAAAAGNRRALLPREAAGSGVLPTTAEEAAVGAGEPHHHQLADEETDEAEAAARRAGLLQTQDYPGSGANSRHDPRNPH >KQL08331 pep chromosome:Setaria_italica_v2.0:V:44851920:44852429:-1 gene:SETIT_005282mg transcript:KQL08331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGRRAMGTCLVGWWPRGGGRQRRHHFRHRFPSLSPRPPSECPLLRAKLDGN >KQL08330 pep chromosome:Setaria_italica_v2.0:V:44848434:44851892:1 gene:SETIT_002187mg transcript:KQL08330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSLHAPGARTGPYTHGSPASPRSRTARMRLLQTRCTDPRQPRLRKKPTSLLASSQPRTLVAPRGPDDEPDSFLCSIGFGQACIFYCNTMNSPGAGRRIQKRRRTTTKSLSQLLDLNCPPAEGAEGGSPFSSLPVSHNEASSSMTVQLNQASSSIPPATNEPHIGMHSCPIDVEAIDDDVVIYSSTSLPQARQQSTRRITVILDDDSDTNPEPAGDALDEHVNTLLSLGTNRRHEPPSATNTFPVISLVDTPEVNFFKAPPEPVKEVPKEPKFTCPICMNELTEAASTVCGHIFCQKCIKAAIQAQKKCPTCRRTLNKNQHHRVYLPTTE >KQL08549 pep chromosome:Setaria_italica_v2.0:V:45936673:45937958:1 gene:SETIT_003585mg transcript:KQL08549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSKEDLVGSAFMAFGVTLFVGFFYAAVLSKLLPPYENRLLAAVQNDWYYCLLVPLTLPVIIVAVYLHWLSMKMFKHA >KQL04118 pep chromosome:Setaria_italica_v2.0:V:6615202:6615674:-1 gene:SETIT_005523mg transcript:KQL04118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDCRDRRAPLHQEQRHRFSSSSFPASSYYPLTHLVTSMISLM >KQL04950 pep chromosome:Setaria_italica_v2.0:V:13344388:13349815:1 gene:SETIT_000712mg transcript:KQL04950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVIAEAVCTNGGPQKVVAPGLATDRGGDAVECSSSFGDTSSGFEGEADGGEPEVDSVISADANGSGYSKLPRRKKVTAEWRDSVLPILWRCQWLELRVKELSSQVSKYDRELALIKKEKELQLAVSKANVSRLESRQIHKGHGDSIMKRRKRKRHEENVDGPLYINKHQILSYYNDKQNKGAETGGILIDDDCGSTVDGCIRGGLDTITLLNSENYDMIFEQLILKHALMTIDGLQSRVHLLQDRLSKAHSGGENLVISEYSTHVRVPQERQHTQKHSFSYMECRYSKPRKRKNLNVLLKDDVSALAVRPALPDMETDAHLKDENRNAEERSGECNHLREKYVTMDQLLGTDNSIPNGHIGDLCKENTYDILIDNQAANVVHRQFGKAKHLPSGTSNKGPAETKNISAPAEVKNTCAPVDSTSAPAVEPVSPQSKELKPKKKRKKGSFLTKKKKNKKKETSKTPAKEKAEGTPSAAKNKTGGTPSAAAVEKTESAPSGSMGLGTMTARSARKKRKTGNEPADAKKRESVPSKKQETGKPSSAAKEETGKPSSAAKKQETGKPSSAAKKQETANAPSATKETESAPLNVKTEKAVLAVAVNCRSQRVRKPKVFAE >KQL05207 pep chromosome:Setaria_italica_v2.0:V:17844033:17844470:1 gene:SETIT_003588mg transcript:KQL05207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPSSHAFLLEDLRGLGVPAAPGSPDVSTPLPRFCPSPKPCPIASDAILSSALSFPFAADALDGFLAEKSTPFPLVSV >KQL04304 pep chromosome:Setaria_italica_v2.0:V:8010168:8010827:1 gene:SETIT_004743mg transcript:KQL04304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVSTSPPSPFSISPVNQNLIFYNCTKPAAEEVRQKRGLVGTACRNNTFVRAGGSYDDEGSGSYSNYFLEGCNATVVPVLGNSGEANASRSEKLISGGFLLTWENDERTREQRHEGPCGRRWQALHRAGEAVCSSTAATARVWWWRDLARCALRTSALWRRWQQTRGGHARARGALPRRRAQGTGPRPAQGGSHHKLRL >KQL03902 pep chromosome:Setaria_italica_v2.0:V:5275214:5275768:-1 gene:SETIT_004946mg transcript:KQL03902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGARAVLIVLGAAAVALQLAAAPVSAGRDNTAAVAEVCKNTPFPELCTGSTQKHARKYDTVDPLTVLEMQVDAFKKRVRAASRRAKREARTAATPEQRRALNLCKSFYLDAGDNLGACKRAIRFRDGVTIRATMSMAAQDMQNCDEEFRKAAAKNPVCDLNRSLVDMSENCRALSNMIPAS >KQL03250 pep chromosome:Setaria_italica_v2.0:V:541213:541675:1 gene:SETIT_003661mg transcript:KQL03250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGIYKYLRQKCLASTSDEIESHETNKGICLVISILVIKATCFRIFMKYADGACLSLLCLL >KQL03311 pep chromosome:Setaria_italica_v2.0:V:1043651:1043961:-1 gene:SETIT_004272mg transcript:KQL03311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCKLVALFFAFAVVVAMTAQLSEARIRGDQLLHPSTFHNTPPQLPSSSGGTVPPHLSSPPPLSPPAQLTKCLTPLIGMMPCMDYLTNLTVLAPPAECCDDL >KQL04932 pep chromosome:Setaria_italica_v2.0:V:13166603:13167603:-1 gene:SETIT_002843mg transcript:KQL04932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSSLLLAAAAAALLAVAAAVPPTCERIECPAYEVVDSANGFEIRRYTDAMWVSTSPIEDISFVAATRAGFLQLFNYIQGKNAYNETIEMTAPVLTLVLPSDGPFCASSFVVSFYVPAKNQADPPPAEGLRVHRWAGARYAAVRRFGGFVSDADVGEQAARLDASLQGTKWAAAVNEGRTAGKATSYTVAQYNSPFEFSGRVNEIWMLFDGAKEGSAIM >KQL03722 pep chromosome:Setaria_italica_v2.0:V:4280005:4281948:1 gene:SETIT_004191mg transcript:KQL03722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHEFSSHLASSASGNKFFRAHDHELHCIPQDVHHLSIIPTQFDSEIYFSSFTELRELRTLLLVCKSQLESIDSQFHVMDVKALDEFFHNFKCLKTLDLSQTDLRELPESIGYVTSLCFLGLNNTNLRGLPNSVCKLFNLQTLELQNSAFLVELPIDIKNLTSLYHLDASKEHGTIYVPPGIGQLTKLQTLTTFTVGGVSWNCKLSELAHLNSLKGCLHIRSLNNVENAEDAKGACLATKKLKKLSLEWCPSGEDVESDDRIYAAEHVLDALKPHLFLEELNIKGYYGLKFPSWIADHTLSDLVSITLDNCYNCVKLPTLGTLPVLRCLFIQNLRGVQVITSEFCAAGIIINSKNFPKLETLKLCDMYNLEGWYDMLNGDFPSLRRLSIERCPNLNSIPRLQFVSEISIMSCSKLKLLGLQFLQTLKVGYLKYRKCFSLQFELPSLLMLQFICCEHLCSLDGLKAAPRLNFVKDEPLPGALETVDVHSNCYALSTWRPNGFEELPDASEVYRKFIRQKCKDKGIDDEMAHEP >KQL08264 pep chromosome:Setaria_italica_v2.0:V:44478206:44483924:1 gene:SETIT_000523mg transcript:KQL08264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRPSPAALLILLVAAAFAPISTAVRPVSDAHRSAAAELFAPSADGSFGDLERTYEAVRTFQILGLEKYKSETGKACKFAAEKLASPASSAAKDLFHAARISGALGCSVDAGVYDGVVARLKAVIKDTNSLLEFYYSVGGLLSIKEQGHNVVLSDAESTFHAIKALSQSDGRWRYDTDSAESSTFAAGIALEALAGVVSLADAEVDPSMVGVVKNDIVKLFDTIKSYDDGTFYFDEKHVDATEYKGPITTSASVVRGVTSFATVASGKLNIPGEKILGLAKFFLGIGLPGSAKDCFNQIESLSFLENNRVFIPLILSLPSKVFSLTSKDQLKVEVTTVFRSAAPPLKVNLVQVLGSDSKVITSENKELQFDLDNNVHYLDIAPLKIDVGKYSLVFEISLQEPEHETVYSTGGRNTENVFITGLIKVDKAEIGISDNDAGTVESVQKLDLLKDTKVSLSANHLQKLRLSFQLTTPLGHTFKPHQVFLKLKHESNVEHLFVVPGSARQFKLVLDFLGLVEKFYYLSGRYDLELAVGDAAMENSFLRPLGHLELDLPEAPEKAPKPPAQAVDPFSKFGPKAEISHIFRAPEKRPPKELSLAFTGLTLLPFIGFLIGLMRLGVNLKNFPSLPGPAAFASLFHSGIGAVLLLYVLFWLKLDLFTTLKYLGFLGIFLVFVGHRTLSYLSSVSTKQKTA >KQL04172 pep chromosome:Setaria_italica_v2.0:V:6954784:6956070:1 gene:SETIT_004847mg transcript:KQL04172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDGQMAGPPPALVVDWADLPTDLLARVCELLSAVPGRICFRAVCHSWKAAADDLQDQRAAPRMPPPWVVIPHGSGCTDAFTLLSVPTMQAFRWTPPGGAGLRCVGASGGWIAGAYIDGDYAIRFSLLNPLTGARVDVPAALGWARVPACYGSQEEEISLCNTVHKVAFSPSPTEHDFAVAVVTRTHRPAGGKAVVFTRAACGGWCALAGLGCLEPGGHYKRRVLDVAYHGGKFYYMTMRGDVWVIDMAAPSPSPAPLATFAAPTMPPGLVYGRKHLAFAGDGALHVVCSLIERCYSTPPAVHMLVQRYDPSCAEQGSSSSPWAQATCLRGYSFLIGDLNQTLSVRVDGDDGAWLRPDSVYFTNIPLCSLFAESTRCSEGGAWVFNLATGDIRRPTTGEGRCRNYEAEGDWGLESNKCVWIMPSMR >KQL05948 pep chromosome:Setaria_italica_v2.0:V:29462379:29463871:-1 gene:SETIT_004836mg transcript:KQL05948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVNACSLALLLSCCILSGAAEAAVYDVAGFGAKPDGRTDSSTAFADAWSAACRSLEPATVYVPHGEFLLSRAAFAGPCSSRVTVQIDGTLVAPSGYTSRGGSGDVWIVFDHVDGLTMSGGTLDGRGPALWACKAAGHGGCPSGATSLTVLNSRDVVIRGLRSVDSELYHVVIDGCERVAVEDVGIVAPGSSPNTDGIHVQASSGVTVTRASIQTGDDCVSVGPGTANLRVEHVSCGPGHGISEQRAINSLTVRSFDGSRRSIGSLGKESEEAGVENVTVAGASFVGTENGLRIKTWGRAAVEGAYVRGVVFEHAFMHDVRNPIIIDQNYCPNHICPHQSSAVKISDVRYTDIRGSSASQVAVKFDCSATNPCSGIGLRDIKLTLDGGKPAEATCQHADGKASGYVEPPSCL >KQL06106 pep chromosome:Setaria_italica_v2.0:V:30713052:30714488:1 gene:SETIT_005163mg transcript:KQL06106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAPPRGRRCCCSGASALCCKIVKGLLHVAIIGILFCMVVPGFFESTNSSGGIIALESVTYAVIACLYIYNSSDRRERIISRIFLGVVALVIVAAAPSPKGKTAPATVIACCNLVALSVYCIWKICGLVQACRRRRTRAADAEAPLAPVQKEEDAAAAAGCGASTPVLQPTTFHIEDLPRKFSYDDIRAMTGDFGTVVGRGGSGEVFRGLLDDGMAVAVKRITSDKPVGEQDFLREISIVANVHQRSLVRLLGYCLPRGVAGHGQYLVYPFFEHGSLDWWLFGGEERRCLLPWPTRRRIAVDVARALAYLHHECHRQILHLDIKPANILLDGGLRGHVSDFGISMSIAQDMTSVDTRGRGTLGYMAPEIWVSSLSAKSDVYSYGVTLLELVSGRKGFEAGRDSSETPDLFARVVREKAARGELMEVVDAAMADVDEGEVEAVVKVALCCVQHRRDVRPSMLTVVDMLEGRVAVDLPLES >KQL03842 pep chromosome:Setaria_italica_v2.0:V:4947678:4951620:1 gene:SETIT_000098mg transcript:KQL03842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGVVASSIVKEVLAKIGSSIWAEVALLRSFRADLRTMERDLTTIRDVLFDAEKRGGGGDSAIRDWLRRLRDVAHDIDDLLDECRTDLCASHQRNNTACGIATNLCFLRSLAMARRLRSLSRELDAVASGRDRLRLNPGIHPPAHPSAPPRRETISMVDESKTVGRTADKEKLMRLVLDAASDEDVSVIPIVGFGGLGKTTLAQLVFNDRRANDEVFDPRIWVSMSVGSSLRTLVQPTVSATKDKEMCDLDNLDAVASFLSRSFTGTKFLLVLDDVWNENQEEWEKLRMLLKDGKRGSKIIVTTRSRKVAMMVRTVPPFVLKGLSDDDCWELFRCKAFEEGEEALHPNLVNVGREIVQKCGGVPLAAKALGSMLRFSKSEQSWVDVKDSEIWQMEKEDTILPSLKLSYDQMPPGVKQCFAYCSVFPRNYEIDRDKLIQQWIALGFVEPAKYGSQSVFNRATDCFEHLLWMSFLEEVEELDLSKKELEEDVNVKYKIHHLVHDLAQSVAGDEVQAINFNQDNGHSEPCRYVSLDADMEVPEPEVLQSMLHKVRAFHSWGYDLDINLVLHARCLRVLDLRGSPMIELPRSIGKLKHLSLPDAICNLQKLQYLILSQCGILQSLPKNIGNLSNLLHLNLSQCNDLKSIPDSICRITRLHTLNMSHCSSLPEIPASIGSLKELQFLILSHHSSSLSLPISTGHLPNLQTLDLSWNIGLEELPESIGNLHNLKILILFQCWSLCKIPNSISNLVMLERLNLDGCEQLTMLPDGIISLNNLKHLRNDQCQSLERLPHGFGQWTKLETLSLLIIGDRYSNIAELENLNLLTGELRIECRSYKKDLAIDAKRANLRIKRKLSSLTLLWTGSCFCVDVTTVETFLEVLVPPENLEVLEIDGYRGTKFPSWMMKSMELLLPNLVSLSLSNICDCNCLPPLGHLPYLQSLQLRHITGVGSMGSEVPVEINRSALYQSLKELHFEDMPNLEIWPTSSSMDHKDSQSESLFMFPVLKTVTVKECPKLRPTPCLPDAIADLSVSSSSEMVSSGRISRPSSSVSASLLRRLWIKSCHVSSDEWTLLQHRPKLEDLVIEYCETLRVLPEAIRSLGTLRSLKVLNCAELEALPEWLGELVTVESLEISCCPRLASLPKGLQRLTELKELTITDCSSVLSQRCTKDTGRDWFKICHVPSIVVS >KQL04679 pep chromosome:Setaria_italica_v2.0:V:10787475:10788141:-1 gene:SETIT_004704mg transcript:KQL04679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMVEELRAAAEAEPHFLVVTYPAQGHINPVRHLARRLLRATGARVTVSTAVSAFRKMFPGEDDDAAAEGHRDAAGVWHVPYSDGYDAGFDRAVHDHTHYLSQVKLVGSRTLSAVIARLRDAGRPVTLVVYTLLLSWVANVARGHGVPAALYWIQPATVLAAYLHFFRGTDGVDKAIAAAGGDPSAAVSLPGLPPLRIRDLPSFITATSENDPYAFVADMF >KQL05922 pep chromosome:Setaria_italica_v2.0:V:29100334:29104277:-1 gene:SETIT_004484mg transcript:KQL05922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGNPRLSKRPKMSSLGSHAALTAEESKIIEDFGFGSLLQFNRCFVPKKFAQWVVRLVNYRSRDIVLNGKVISLTRESVNVVLGIPLTDKPFPDDYSVGKSIILSKFGKHFIPSVSFFAERLTKHVPMSDEDTFICFIIFGYILDWMVEVIKGFTHGKSHANSINSRSVNLVLQFIKLFAHSANNDDVSSPLVGTPVAEQQPPPTNSDNTDPFNLSFSQKNIGSSSKIHESGNHTSRVSLSDHTNDLSSKILKKSVSFEPHDDPADDVIMLNNNLPNYVPDYVSPSPRPQKDNVGKENIPIHSPPKTPITIQNLDFTPRITPKSNLKGFRGNVAFSPKIRSQAQGSHSSWHTKPQPYLIRDSSTGGKLPIHDEFVTTRNKFHVSKSEIENYNIICKLGLSQYQGEDAVNLFGVRCTYWCLGEPSKLGGLVKTYVVSAFCYNLFQKPNSHPDVSKRHYIFSNIGIS >KQL04913 pep chromosome:Setaria_italica_v2.0:V:12967367:12971245:-1 gene:SETIT_000557mg transcript:KQL04913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARQGLRHGLGLQLLFFILMLLQALAGRGVASINGEGLALLELKARVEADPHGAFQDWDPMDSSPCRWSGVRCLDGKVEILNLTGQELAGTLAPEIGSLRRLKSLLLPKNNFRGWIPKEFVGLSALEVLDLSSNNLDGTIPEELRAMPLLKQLSLHDNQFQEGVSSFAIQDIADDQAGCLSRKLGCWSLPYRSDFKDLISFNGLREKYYTNVPSFSEARIMQNLQTFASAMRRKLLSEADNLPALLGNDAKSSVPENSKEIQKPADVLSLGSGSFPAFPNTYGQTLTPLVPEAIEATTLQQLSTEVAQSTDVEMSDTKYSKWAYLIIIPATILLIILVAVILLVWRKRGRAPIAPWKTGLSGPIQKALVSGASKLNRLELEAACEDFSNIINTFPTCTVFKGILSGGVEIGVVSTVISSSKDWSRSAEMCFKKEIDTMSRVNHKNFTNLLGYCLENEPFMRMMVFEFSPHGSLSQHLHLKEFEDLDWAARMRVIMGVAYCLQYMHHELDPPVAIHDVRSDSTFISDDYAAKVADVSVWDELAAKAKAGKEDGSSRCECPPDLQGNVYGFGALMIEIISGRVPEPDDHKPMCSWASEHLKDKNYSKLVDASLKEHKSSELEAVCEVIQECIDPDPTRRPTMTDVVGKLRAPLGISPEQAAPRLTPLWWAELELLSVKST >KQL07123 pep chromosome:Setaria_italica_v2.0:V:37793080:37793393:-1 gene:SETIT_004717mg transcript:KQL07123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAEAAQDEAAFSMRVLRHLSSRDGARANLDVSPLSLHAALALLAAGARGATLDEIADFLGPAGGSSHAALASYVAMRALADGGGEGGLSVGFANGVWVGGDL >KQL07276 pep chromosome:Setaria_italica_v2.0:V:38884550:38884984:1 gene:SETIT_005289mg transcript:KQL07276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLENTVAVLTLAPATVLRIATYSEPPPTLAALEMAAAKKESAHARAFARPLCEEDSIGCSCDLHWDR >KQL05221 pep chromosome:Setaria_italica_v2.0:V:18154267:18155106:-1 gene:SETIT_004210mg transcript:KQL05221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRGSLGMSTPDDDDDNGDVIKVHYQFSAMAVRRVVDALSPEEQDLIRSIGFGGLLHLTRYGKLDRHFYAWLVNQLVTAALALAPGVSGGPVFLADGAGAELPVTARDVHEVLGVPVGERPVGRDPTDADTAAVRRALGNMQPTLPVVEAVLGQRKARPSEGPMTQSERDSFGVAFVLFVAGHFLAPPPAGRREKVNVDIFHALGNPSPSEVRLFNWADYVLQELRHCAVRVLQQVADGCPKILLSGCLLFLQVLCYLGSKSSRFSAALSCRARIFFI >KQL04628 pep chromosome:Setaria_italica_v2.0:V:10285809:10290401:-1 gene:SETIT_002330mg transcript:KQL04628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLLRRLAGAHRGRAPLAAGAAACGGAALFYASSPPAVAHMEEKGEEAAEKAALNPDKWLEFKLQEKANVSHNSQLFRFSFDPNTKLGLDVASCLITRAPIGEEVEGRRKFVIRPYTPISDPDSKGYFDLLIKVYPDGKMSQYFASLKPGDVVEVKGPIEKLRYSPNMKKQIGMIAGGTGITPMLQVVRAILKNPDDNTQVSLIYANVSPDDILLKRELDRLASSYPNFKVFYTVDKPSNDWRGGVGFISKDMVLKGLPGPGEDSLILVCGPPGMMNHISGDKAKDRSQGELSGLLKDLGYTAEMVYKF >KQL06816 pep chromosome:Setaria_italica_v2.0:V:35809265:35809848:-1 gene:SETIT_004552mg transcript:KQL06816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAVCSPPPGPQPASLAGGARLRPGGEDARMAKASAAEPFSIRGFATRMRAVDAAACYPFGGDGACWVEGEPPLPFPPMDPTPRSPRARGGAGPALGRLQWRRGCDSRRRGWRSAEGDQEEGIPLGFRGREGQETAAHAPI >KQL03260 pep chromosome:Setaria_italica_v2.0:V:580660:582317:1 gene:SETIT_003754mg transcript:KQL03260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAATPAAGFIPDETWRAVRRANRVGAPFVGLVAPNVYEMEPVLKSPSFKPSDDIPILDVQGRRFRFGTIGGQRVVMVMTGLSMLNVGLTTQLLLSLFKVKGVVHWGIAGNVDDGLQIGDVTIPEYWAHLSLWVWQRHGDGPANELPLEAAGDYTREFGFLNFSDYTVFGHGQGNPADLAAASTLNSLWYQPEEIFPVSGTPEKRQHAFWVPVSKPYYALAEKLEVTRVPRGCSGNIYVDNAGYRQSVRSQFQCTPWDMESAAFALVAHQLGVPFLTFRSLSDPAGGDNEIATFLPIAAQNAVDVMLKFVSLLGAGEQAGM >KQL03371 pep chromosome:Setaria_italica_v2.0:V:2030510:2033692:-1 gene:SETIT_004268mg transcript:KQL03371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKKGSIRIDICLPSNIVVPEAVKKPITEEVPSPRKWLRDVWEFARQDTNRVTFALKVGLACLLVSLLILFRASYDIFGTNIIWSIVTVAIMFEYTVGATLNRGFNRALGSVLAGVFAIVVIQVAIIFLIGAVTFFMKLWPSLVPYEYGFRVILLTYCLIIVSGYCMGNPIKTAMNRLYSIAMGGLVAVLVNAFIFQIWAGEQLHKELVSNFNSLADSLEECVKKYISDDGSKHPDFSKTVMDDFPDEPAFRKCRATLNSSEKLDSLANSAKWEPPHGRFKHLFYPWAEYVKVGNVLRHCAYEVMALHGCVHSEIQAPYNLKCAFRYEILDATNQAAGLLRSLAKDVKNMKWSLQASLLKHVHISTKRLQHSIDLHYLFTANHEDSSSKAQLKTTRFLPFNLKDFNKESGEQESKVTRNTTTPSAPMQAESYHEMMKRQQRRLHSWLPREVDNFEDGENVVSDLIPRMCALESTTALSLATFTSLLIEFVARLDHLVEAVEKLATVARFKEQIMN >KQL05344 pep chromosome:Setaria_italica_v2.0:V:23011771:23018698:-1 gene:SETIT_004179mg transcript:KQL05344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEAVEFFDGAPSFTDLVDRAMRKYGCRVDEMTLRGRFDCRKARAHYVLMNLASNSKWKHYKDVVHKANVACLEVVVEIVRMPSLNVVSSDEVAVVNRNGTQESEILQHVLGETESAFDLAIANDDFPSDTFERDEANIDDDDISMGSKDSEFEEDGVNELRLSKECDVKLPSVPNDNDISMVHKAICESSMVNSKGIPFSEAPVIKKRIKFKSLEELKFFLADYVVRLHRPFSVVHSNKNLRYNVMCKQGCHWHVWSRLISSTGQWRISNVVQPHTCIIRKDNETSVSPLMKSIFAFSGYRVKYSKAWRAKQHVVALLWGDWKESYGMVPRVLTAMAYYNPGVKCFPPLVHRWCTRYFTTNMSHRQKNDHVIGKLKTLCKVHTETKFNEKLENLVKDLNDDTKEWLKGEMEDKDKWAQAFDEGGMRWGIMTINYSESLNGVFKDIQSRPVSRIIEYSFKKCNAYFVDRWQKARAMLDEAHRTRKLRSVHHLAAPYGQERMVYSIRGCGTINVGGESHEGRHYKVDLNEVLCTCNVPQLLHLPYSHFITACKASGPNYESPLYMSPLYSREHTRNKVGRRQKKRLRGDMDVSQGRLSADYGTGDFDMDKLENRCSKCHKIIKNCTCRNRKSKATKSRVNTYPLLEAMYDSQHRAHHLVDMHERVGFLHIAVQVVAGVPPMDGLLLTAKVDRWRPKTHTFHLPFGEMTITMQDITMILGLPLEGHPVTGIIQNENWCDMVAMHIGIRLPKLEDGDNSKQTSSVEWQPCQQPSRIVFSPMCYRDRELWRCTTPMILYYVVEFHMPHRVMRTKVKPTSSNVPIEHAPSNSFNDIADVYDTVTRYGTQPKHAPLHDYMVRFQFVQIHSEECNHNIRVQKSCRRMPMKMNCMSATDVHHGGNGQGTSSRSCHTPLATPPRTASPSTTTGPSRRSRGKAPASPQASEDSEGNQSDDDDPTYGEDLEMSGMFDAPPVTQT >KQL05708 pep chromosome:Setaria_italica_v2.0:V:27162888:27163518:-1 gene:SETIT_005023mg transcript:KQL05708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYRALGVLLAAAALLAAAVRAADDDHMHQWRCFKSCARDCQEEDAAEDGAPGDGGGVSRRCKTGCLHECFEDLPALCYEQCVVSTCLCLPPYSKEKVTCMKNCCDKCFHHGPPAPGPGPKPPKPGPPNPPTPAPPKPRPPTPPTPTPKPPAPKAPPPPKKPPCPPGSETVNANDNYN >KQL07694 pep chromosome:Setaria_italica_v2.0:V:41297042:41299101:1 gene:SETIT_001708mg transcript:KQL07694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEICCEEAKSTPATAVAAAAAAAAAAVASSALERRRRRLEMRRFQAPVADDVRAGKRQRLARTASGSCPEVVSEFERPELPERLPRHGVTSVCGRRREMEDAVSIRPDFLPGATKHHFFGVFDGHGCSHVATLCQDRMHEVVADEHNNAGSGEETAWKGVMERSFARLDEQAASWASSRSGDEPACRCEQQMPSRCDHVGSTAVVAVVNPTHVVVANAGDSRAVLSRAGAPVPLSVDHKPDRPDELARIEAAGGRVIYWDGARVLGVLAMSRAIGDGYLKPFVSSEPEVTVTERTDDDEFLILASDGLWDVVDNVMACGVVRACFRSNGPPAAPAARANGVAPPAGDADAENGSAVVKGVSKVDSDKACSDAAMLLAKLALARRSADNVSVVVVDLRRGI >KQL04931 pep chromosome:Setaria_italica_v2.0:V:13163934:13166164:-1 gene:SETIT_003923mg transcript:KQL04931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGWVRVRSPPVLESKLLCLSLLYLLTTLPLALYVSFSDPGHRCLRLLPFPSRARASPVKTALFEYPPGYGEHKHALPVPRALCSTPVAFADYKTVLEEIDGFRRNLSASASRSPVLRYQSGRRDTFAGNLSTEKRRQFFSHTDSQVKVPCGFFKEFPVPEADRLAMENCRGVVVASAILNDYDKIRQPRGLGAETLAAACFFMFIDDATRRALARHGILTAQDAHGGGGGATVGAWRVVTLRAGELPYENPAMNGVVAKHLLHRLFPNARFSVWVDAKMQLTADPMLLVHALLVGKGVDVAVSRHPFNLHTMEEAVATARWRKWGDVEAIRAQMETYCANGLQPWSPIKLPYPSDVPDTAIIIRKHGLASDLFSCMLFNELEAFNPRDQLAFAYVRDQMSPKVSINMFDVEVFEHIAVEYRHNLKPDVGGGGKQQVTRMASSRDIAGSSCERYLLKMWGESAE >KQL05977 pep chromosome:Setaria_italica_v2.0:V:29686983:29689578:1 gene:SETIT_000981mg transcript:KQL05977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDGGTALLRESSPWSLLGGAAAVLLLWWAAQVLEWAWLAPRRMERALRAQGLRGNRYRFLWGDLKEERRLTAAALARPVPMDRPHDILPRVSPLLHRAVEEHGKLSFTWFGTIPRITVIDPELAREVASNKDGYFVKTKLATRMVKFLIGGVAILDGEEWVKHRRIMNPAFHAEKLKGMLPAFSAACSDLICRWENLLADSVGTIELDVWAEFQNLSGDVLSRTVFGVSYEEGRRIFLLQAEQVVRVTQAFGTSHIPGYFLLPTKGNRRIKAINRETKTILRGIIEKRREAMKNGEPTKHDLLGMLLESNMNYSNSDGKSSGGLNVEEVIEECKLFYFAGTESSAILLTYTMVLLSMHPEWLDRARDEVLQVFGQNKPDFSGFSRLKVVTMVLYEVLRLYPPALFINRRTHKQTELGGVVYPPDVMFVIPIMFVHRDPALWGQDAGEFNPGRFAEGVSKACGDPGAFIPFSWGPRICIGQNFALLEAKLAISMVLQRFAFELSPEYAHAPYSILTLHPQHSVPVRVRRL >KQL03941 pep chromosome:Setaria_italica_v2.0:V:5481566:5482524:-1 gene:SETIT_004819mg transcript:KQL03941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRASWDEPTTKILLEMCIEQKNQLNWSDRCLTKLGWRNVHSRFRAATGLQLGVKQLQNKLNNLRRQFFGWRALETSTGLGRDTQTGGVSADATYWEQDQQDTQARSQPHSVKPPPLLDLLFELFGHEPQDRGTLLSAGGIREGTPSMGGTPSLGTEGNFVDLEDEQAPATSARFSARAKSKRSVREYSVDSPTKKRSDNLEQYIRDLSDSVAKRSLLRAPSAHEQTNRCLQILKEDGIEQGSELHNQVMYCCAQSSDCRSTFLGLDTKDARLSWIHFYWNMMQKK >KQL05184 pep chromosome:Setaria_italica_v2.0:V:17049535:17050840:-1 gene:SETIT_002833mg transcript:KQL05184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVKVFGSAVFANAARVMACLEEVGVEYEVVEVDYMAREHKGLKHLARNPFGQIPAFQDGDIMLFESRAISKYVLRKYAKSAQDDLLREGNPEEAAMVDAWTEVEAHHYFPAMAPIFYECVVYPARLGTVPDQKIVGESLEKLRKVLDVYEAHLSRTKSKYLAGNFFSFADLNHFPFTFHIMTATPHASLFDSYPHIKAWWARVMARPSLKKISTHMEIKP >KQL03269 pep chromosome:Setaria_italica_v2.0:V:653448:655984:1 gene:SETIT_000680mg transcript:KQL03269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQESLAMLEKFQTASQSMRLSNKKRRPKTGEKSPEIDTIIREVLLRPSNAKKALPRTVNNGLHGQLSNSTDELKNAVKDSFYRKNLLSVSSNNEQASLSQSARYLPNNYLISKISQQKKVAPRSLPSCAAVQPGKSKAPSLVAKLMGLDGLPSQKDNSKMKDEKIKTVSSPRARFDIEMPKSQRLQTQLFGEESGFDAEMPSSEKLAPEHYNVRTDYTSSQKGITPSNNTVATNEIRPMKSSLREINIEQARPKSPKEIKIAAPTSRKQQIKETTEINRRTREKQKSNLTSRNRGGREDAKAKAVSASRTAKVAKNPDRKSVSSSSRSCDSVKPVLQRRTHNNSRQKTVSRRNVKSSTIDELVAYEIQREIFHALDQIDGPSTEHSATPSDESYPNADWEAESSVDDIQKDFCESDEASLFTSHAERTGSTDGDAIHPSSTDIITHIKEAEIKDEIILLLLSDKSFLGKASKLIGIDVYEHLRNQYDVISKVEMKEHKIYLDTAAEQLERKHHQQNSLCYTGFQGQKCRARAYFSLEELLRDISNGIRKLNGYSARDDAGCTKDSLDLKLERDLRCSGASINGVWDMGWQDLICTEETECFIRDAGEDILSLLIEEAALDMCMH >KQL03505 pep chromosome:Setaria_italica_v2.0:V:2770171:2772370:-1 gene:SETIT_005100mg transcript:KQL03505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLKHATTLITSLCARGAVRHARDVFDEMPDRDVVAWTAMLSGYASSGCHREALDVFRRMLAAGVVPNEFTLSSVLTACRGGDAGGGGGAASIHAVAVMRGVDHMPYVVNALIDAYASRGDGLVDARSLFDTLGAGRTAASWTSMIAGYARWGMENTGLQLFQKMIQDDIELSPFTCSIAIHACASLGDLYTGQQIHVVSIRKALGANLAVANSLIDMYCTCESIVDARRLFDEMPERNLVTWNTIIAGSSRNDPRMAMQLLVDMDIEPNCLTLTSITSACAGLAALRCGQQVFNMMACKDILSWTSMIGGYGMNGYANEAIDLFNSMVHAGVRPDHVVFVGLISACSHAGLVDEGWNLFMSMLFEYSIQPNMEIYGCVTNLLARAGRLREAFNLIDTMPLTPDESVWGALLGACKMHKNVELGRLAARKIIEINPDAAKTYILLANIYAADSKWGDYAVMRRWLRGTGSSKEVGMSWIEVTDKMYSFSTADSNSLQVSLADEVLRILVQHMDEAGNDFDENLSKVA >KQL05730 pep chromosome:Setaria_italica_v2.0:V:27306455:27313473:-1 gene:SETIT_001074mg transcript:KQL05730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNGAAAPERSPSASPPPPAQAQAPPSPSPTQPLDWRFSQVFGERSAGEEVQDVDIISAIEFNKSGHHLATGDRGGRVVLFERTDVKDHACRKDAEKADYSISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINQIKWCQAANGALFLLSTNDKTIKFWKVQEKKIKKVSEMNLDRSTAPSNGSPGGVGSLSPSLSNGNALKPGPFALLRMPVVVTSQETSLAASCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIIDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDTHSQIFEQHEAPGSRSFFTEIIASISDIKFSKDGRHILSRDYMTLKLWDVKMNSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCQSGDGLQVATGSYSNIFRVFGVGTGSNEASTLEATRNPTRRQLQNPTRAARSLSTLTRAVRRGGESTGIDANGNSYDLSTKLLHLAWHPSENLIACAAANSLYMYYA >KQL07539 pep chromosome:Setaria_italica_v2.0:V:40395724:40397752:1 gene:SETIT_000621mg transcript:KQL07539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGEEKLLATVQHIVQTLGRTDTMTEDILKVFSNYDGRLSLDKLYATRAAAAAAAVGGPGERSVPASPPMPPPPVAPSAAAGMPPVTSLERTVRTLDRQISQFVAMDRLIWADSADADAFLEAVDDLIGTVQELDAAGTNRGLLDRADELLSRCMARLEDEFRALIERPDDAAPQVPGGFGSDESEEEDYDADDGFGDEPIPIAKPVSDFDVVIDALPPGSVSDVHQIARRMVDAGFGRECAEAYATARRGFIDESVARLGIRSRTTEEVHSLPWEELEFDIARWIPAFKMVFRILIPSERRLCDRVFDGLAPYGDLAFVAAVRTQGLQLISFGDAVAAASRAPERLFRVIDMYEAVRDLLPDLDPVFSDPYSAALRAEVSAVCSTLGSSIKGIFMELENLIRRDPARVAVPGGGIHPITRYVMNYLRAACGSRQTLEEVMEGDLGAVGAAAIAVDPDRPTSSLAVHIAWIMDVLHKNLETKSKIYRDPPLASIFLMNNGKYIIHKVNDSELGILLGDEWMKQMMSRVRRWSMEYQRGAWAKVMSVLQTGGSGVGSITAKAMLQKMQMFNSYLEEICAVQSDWVIADEQLRADVKSAIVDSVMPAYRGLIGSLRSSPEAARDLFIKYTPEDVQARIQHLFEGVAK >KQL08460 pep chromosome:Setaria_italica_v2.0:V:45547717:45548027:1 gene:SETIT_005534mg transcript:KQL08460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDDSRDPRVASAAPADEASQEESCLVLE >KQL05215 pep chromosome:Setaria_italica_v2.0:V:18056035:18056545:-1 gene:SETIT_005635mg transcript:KQL05215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPAMSYRSPNMSPMLTKSPNSQNVYYVPKLCNRFHYWSKMHQ >KQL06577 pep chromosome:Setaria_italica_v2.0:V:34407339:34408654:-1 gene:SETIT_005153mg transcript:KQL06577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAFKQLRRLRTLGRGASGAVVWLAADEASGQLLAVKSAAGPGGAAEQLRREGRVLSGLRSPHIVPCLGSHADATGEYRLFLEFAPLGSLADEAVRNGGCLEERDIRRYAADLARGLAYLHGESVVHGDVKAANTVVGGDGRAKLADFGCARAAGCDRPIAGTPAFMAPEVARGEEQGPAADVWALACTVIEMATGAAPWSDTDDVYAAVHKIAYTDAVPELPACLSYEAKDFLRMCLERNPRHRPTATQLLDHPFIVSAEPAKHCWASPTSTLNTAFWESEDDEDDDEEASESAAGRISSLASPRSALPDWDSEDGWIDVHSECSLQVSEVPAITVTVGAGFGLRSEPLDAAEVGLHVVDVEDAIRYPTSHVGVVDFLKFQQRHSSLSVGGVGLCLRPVACHQPI >KQL05507 pep chromosome:Setaria_italica_v2.0:V:25242726:25245844:-1 gene:SETIT_001345mg transcript:KQL05507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAPSELVSVLCQAATTSISAYPRRLGNGKESIYASSIAEPVSSADENEGQPVTEIFTGRSGWGCGETGGPCTPSWSQNFSEREVAPWKEGGRAPFGAPAAYHLADADEDADAHASVLHSPRPHDHERSELYIPIRRYPTVPACAITTDQRYSNSMGAEAPATTTGKKQPPPSSLPLPPALFLVRARSRPTGRVADLGGAAAWASGPPDTPPRRSPVTVPFLWEEAPGKPKAPPQQGQGDGGNGAPAAPAVPVGAATDTTTTTARPTGAGDHASSGGAAEEVARPVPLKLPPRLQRVASAKQQSDGSLSPKAVLHGPYYYGFNGGGGKRPPRRTSSGFAAFRRNPSAGVGLFSWSKSKGGGRHGHLSAAAPDAPWCSPAASSVLSTSSSSSSVSTSCLGDDHGHGGERRPADGREDGSSEEDECARASVRITRLRRNRSFPSMTKSHLWASIRKSVKQITPWS >KQL07266 pep chromosome:Setaria_italica_v2.0:V:38794764:38800065:1 gene:SETIT_002660mg transcript:KQL07266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHYQPKYLLYEQAPISLHPNPCSSPLPRAAGVPRSPIRPPRSSEPSPMAVKVYVVYYSMYGHVGKLAEQIKIGASSVEGVEVKIWMVPETLSEEVLGKMGAPPKPDLPVITPQELAEADGILFGFPTRFGMMAAQMKAFFDATGGLWREQSLAGKPAGIFFSTGTQGGGQETTPLTAITQLTHHGMVFVPVGYTFGAKLFGMDQVQGGSPYGAGTFAADGSRWPSEVELEHALHQGKYFAGIAKKLKGSA >KQL07907 pep chromosome:Setaria_italica_v2.0:V:42439524:42443904:1 gene:SETIT_001965mg transcript:KQL07907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYEAVRDIGSGNFGVARLMRNRETRELVAVKCIERGHRIDENVYREIINHRSLRHPNIIRFKEVILTPTHLMIVMEFAAGGELFDRICDRGRFSEDEARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPDDPKNIRKTIQQIMQVQYDIPDYVHISTECKQLIARIFVANPMRRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNRVPSYSDQTSEEIMKIVQDARTMLKSSRSGYVSSTECSDEEEEKEEERRPEENEEEEDEYDRRVKEVHASGELRMDALRIR >KQL07906 pep chromosome:Setaria_italica_v2.0:V:42440638:42443715:1 gene:SETIT_001965mg transcript:KQL07906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARYFFQQLICGVSYCHHMQICHRDLKLENVLLDGSPAPRLKICDFGYSKSSVLHSRPKSAVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDPDDPKNIRKTIQQIMQVQYDIPDYVHISTECKQLIARIFVANPMRRITMKEIKSHPWFLKNLPRELTETAQAMYYRRDNRVPSYSDQTSEEIMKIVQDARTMLKSSRSGYVSSTECSDEEEEKEEERRPEENEEEEDEYDRRVKEVHASGELRMDALRIR >KQL05327 pep chromosome:Setaria_italica_v2.0:V:22537498:22537851:-1 gene:SETIT_004135mg transcript:KQL05327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSKLLACSWHGHHRLAADSCTQHAGFDPNTQHPGLGFIARNHLGEVAFSGWCGDRLCSSAEEVECLAALIGINRACSVFMGPIWLELDCLTVQAFNDGTLNRSPRSIIIEEVKKC >KQL08385 pep chromosome:Setaria_italica_v2.0:V:45166592:45167502:1 gene:SETIT_003056mg transcript:KQL08385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPITIEKIRAMNKFSKSRRQQKLPTLSIYLVTTFVICLLLTSPAWFPSLCSLLSFFFLTTLPDLVTAFLLSPKCLFIVGNLIVAFLVGESWLAPRRDDDQPLLVNEIHEEHVKRNMAMVTKVTTSMVVVSDHSASVGAVGEEVEVKEEEGEEEELHKRVEDFIARVKKQRKLEAKSFFDVDR >KQL04471 pep chromosome:Setaria_italica_v2.0:V:9255469:9255702:1 gene:SETIT_004900mg transcript:KQL04471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKDRVPRGYVPILIGQGEEREKILVHMEHLKQPYFLQLLDLAVQEFGYEQQGILHIPCTAEAFRSIIGATRKSKS >KQL03845 pep chromosome:Setaria_italica_v2.0:V:4975238:4977273:-1 gene:SETIT_001118mg transcript:KQL03845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRLSSLPDDLLHSILRGVPLKHAARTSALSRRWVAHWIRALAASPVLDFTDSNFAYGQPPARAAATVDRCLRLHAEHGTPLHVFRVALVSPSVPGDGAFGRDVVGWIAAAVTRGAREVEVDLTPSQEDADPGSAANSLERLALGRLSLRAVPLPAAGLAGLRSLSLSHADVTDEALRGVLANCGALESLSLRCCSLLTTVSVASERLRVLELLGCRSVRELRVAAPALESFALYGNVILSVTDWFDSVAVDFGAAPALRDVYLSQMDCCGSLDRNIDYYYPFVYHVPQARILTLCSVGLVVPIFSLDSDDDNHFDHFPYAHLNGDDSAYIDMPNLQELQLLMGSLEEEMSTQDPRFPERVYYFFNYTSLPVLERLFIRLASNPANGSSSEPDEDDDDAEIRFGPHEIVLGQLTFIKVVNFRGTWRERRLVAYLLKRAPILEQLVLVTVRGEGAPGDEQPENIKEWVSELQKASCEARITVCRPSEDDSPNHAHTRFFHEEYCCT >KQL06811 pep chromosome:Setaria_italica_v2.0:V:35782911:35783303:-1 gene:SETIT_005173mg transcript:KQL06811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQEEEFQEADILWPDAAEVLESPRVYYAHVGTDDDGEYSGEPRRPMKLQLRQKASSPIDIPGRKVVDAVGAKGTEVSAGFSKFCVSPAGVGAGSVVVSSHVLVPPHVIVDRRAKRDKAMMMLAVPSGRAG >KQL06929 pep chromosome:Setaria_italica_v2.0:V:36486321:36491987:-1 gene:SETIT_001129mg transcript:KQL06929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGAVVGTAVVVCAAAAAAVGVAVVVSRRRRRRREAENERKRKAAAVIEEVEQAFSTPTALLRGIADAMVVEMERGLRADPHAPLKMLISYVDNLPTGDEHGLFYALDLGGTNFRVIRVQLGGREKRVVKQQYEEVSIPPHLMVGTSTELFDFIAAELEKFVRTEGEDFHLPDGKQRELGFTFSFPVHQTSISSGTLIKWTKGFSINGTVGEDVVAELSRAMERQGLDMKVTALVNDTVGTLAGGRYVDNDVAAAVILGTGTNAAYVEHANAIPKWNGLLPRSGNMVINMEWGNFRSDKLPSSEYDKALDFESLNPGEQIYEKMISGMYLGEIVRRILLKLAHDASLFGDVVPPKLEQLFVLRTPDMSAMHHDTSHDLKHLGAKLKDILGVPDTSLEARYITLHVCDLVAERGARLAAAGIYGILKKLGKDKLPNDFSQQRTVVAMDGGLYEHYKKFSACLEATLTDLLGEEAASSVVVKLANDGSGIGAALLAASHSQYAEAA >KQL05349 pep chromosome:Setaria_italica_v2.0:V:23262483:23263045:1 gene:SETIT_004666mg transcript:KQL05349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKFAFAALLLLVVVGGELGHAVPLRRGLGLGWMNGVNGGSPGGMQPSETKLQAAVGKKGNIYTSSEEAKFVSPVPAFVRSPLVPPS >KQL06076 pep chromosome:Setaria_italica_v2.0:V:30494494:30495720:-1 gene:SETIT_004711mg transcript:KQL06076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRPEKRMKTEVESRQELPDDLVLEIIARCPTIADVIRCAVASKPIRRGILHAPFLRRLRGFLTRNGNGRPPVRKLLLGLYHQIGDPHRQPPFAPASDGADLLPPSVAELAPAPDRGGGGACEFGPYLPVASRRSLLVLRRRCRNGDRDHRVERHGLYPVELSVCNPTTGERRVLPPHDVFDMSHALLDVDPIAPSSSFKLLVAALSENDPSTLYVQVFSSEGGEWGHPLACSMTSSRGDGEVSCEFAGADDGRPRPVVLGDTVHWLCTTESGGRILSWRWRGGGEREASIVKLPRGYKLGRPEKCLAVLPSAGDAAGPEALLSLIVLVRDEIEVWAREKGGAGSTRKWEWKLRHRIREASISRPANLCDGWMIGAELSWFCEGSGTLFLWAGDETMGPLLLDLQSME >KQL07641 pep chromosome:Setaria_italica_v2.0:V:40966587:40969401:1 gene:SETIT_002868mg transcript:KQL07641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVHVSRFQNPGPRVQPHMGCSHGSIDRAMISPPLYKLLPLIFPSPVFQQPPRAAPSFHPSPSSWRRYSVFAGPFAGDEHPAGKVIMVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KQL07978 pep chromosome:Setaria_italica_v2.0:V:42922172:42929059:1 gene:SETIT_004445mg transcript:KQL07978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGSNNLEQVDPGIWEPEDPTVALEDKDALYFRKNIRYFNSHFSFTSFGASVDRHLATAAGMGVYTFKVHGQIYHKLDQLKPSAKGPRHMQLYFYDTDDTMAHRARRSPHLDANLIRTVLDIQIQNNNPYVHTFRHLGQVPNLDEYKIELNTSIFVDQRRFNSPAMDQVATIWQDGSDEQHKFQRSIMVHANSGHAQFIKAYHVCYDPVETTQVDRYKRMPSRIVGGGAEKGSVLNLLSNSGSRLHVSAQEYYCYIMQIRDGVFNIFVYGGHLFQQWIVDIYIKIGSMSLDWYSNPDHQKIIRVDLYQGILDTLAFGENFPGSDRDVRDVRARFMDAMALVVGYGRPDYFVTMTCNPYWPEIMEKLLPGQTPQDRPDIVARVYHAKLLDLHDFLINKGHFGKVAAWAHVTEFRKRVIHRLVCTHMMHGSCGTLNPHCACMVDGQCRFNYPRQFCDATQQGKDAYPSRDYYRELIEERKIGFKEEDPEIIDTLNAERRVGFNEILDHVVTNRGKVFFVDSPGGTGKTYLYRALLAKGSPNGTLMIVRSMDLIAIATATSGMAASIMPGGHTAHSRFKIPIKLGDNSVCNFTKQSGTAALLHIASLIIWDEVAMTRRQVVETLDRSSQDIMGCTEPCYGNMRAQSDSWYSNFLLRIGNGIEETYTNDYVQLSDDIAIEYNSDKSIDILIEHVFPDLKGNRNSTNEHVDGFNARMIDMFSGKEKVYYNSIDDNTNNNYPLDFLNSIILNGLPPRELKVKKNYPVILLQNLDPHNGLYNGTRLVVRGFEDNAIDAEIVNGQHAGNRVFLLRIPVSPSEDITLSFKFKRKQFPIRL >KQL07497 pep chromosome:Setaria_italica_v2.0:V:40059616:40060626:-1 gene:SETIT_002933mg transcript:KQL07497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTDKVTSELLQSELRYALARGGDPEPHRQNGAYVRVTCTYMPDDIRPAVVSTKTCWRPCLCHNHTWYAREQHHMGAVYIFSSSICWAPAPCAGRVSAGQRFRGRRRGTSAGHRLIRRASSAGERARAEGASTEPESRVCVVTATHGRDSVEGDRNDKCPGREERDRILYSAEREVNVHARFRYSPIDQAKANFGMRCSNTVAFRLYL >KQL06632 pep chromosome:Setaria_italica_v2.0:V:34707589:34708354:-1 gene:SETIT_002968mg transcript:KQL06632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSKVIRVGPWGGHGGSPWDDGPHRGVRSITVTYGRFLESMRAEYADRNGRPVLGEKHGGGTGRSLSARIELDFPYEFVTGVSGSYCAAHGGSPPVVRSLTFETSRGVVHGPFGGAGGADDGVPFSYPMEGAVVVGFMGRSGWHLDALGLYVAALRAETLCDVVQERGLSAYRSFVYGGDDGARPRHHHQSKKKPFEWCYK >KQL04797 pep chromosome:Setaria_italica_v2.0:V:11873489:11874013:1 gene:SETIT_005608mg transcript:KQL04797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWETKLSGPTEKGYVCPLLCFGPKVTWAQKFITFKLHQKFGKK >KQL05117 pep chromosome:Setaria_italica_v2.0:V:16065359:16071023:-1 gene:SETIT_000244mg transcript:KQL05117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTEAAHDLGSSRRSQDDTATLIPHSGNLEESSGRGVKTTRFKDDDEVVEITLDVQRDSVAIEDVRAVDDSGSGHGGGFDGMSLVSPSSSRSGKLASKLRQVTNGLKLKNSSNRPQQTQVGKNVRKRLDRSKSGAVVALKGLQFVTAKVGQDGWAAVEKRFNQLQVDGVLLRSRFGKCIGMDGSDEFAVQVFDSLARKRGIVKQVLTKDELKDFWEQLSDQGFDNRLRTFFDMVDKNADGRITAEEVKEIITLSASANKLSKLKERAEEYAALIMEELDPTNLGYIELEDLEALLLQSPSQAAARSTITHSSKLSKALSMRLATNEDNGPFYHYWQEFLYFLEENWKRIWVMTLWLSICIGLFIWKFIQYRNRAVFHIMGYCVTTAKGAAETLKFNMALVLFPVCRNTITWIRSKTKIGAVVPFNDNINFHKVIAAGVAVGVALHAGAHLTCDFPRLLHASDAAYEPMKPFFGEKKPPNYWWFVKGTEGWTGVVMLVLMAIAFVLAQPWFRRNRLKDSNPLKKMTGFNAFWFTHHLFVIVYTLLVVHGICLYLSREWYKKTTWMYLAVPVLLYVSERIIRLFRSHDAVRIQKVAVYPGNVLALYMSKPPGFRYRSGQYIFINCRAVSPYEWHPFSITSAPGDDYLSVHIRTRGDWTSRLRTVFSEACRPPTDGESGLLRADLSKGITESNARFPKLLIDGPYGAPAQDYREYDVLLLIGLGIGATPLISIVKDVLNHIQQGGSVAGTEPEGSGRAKKRPFMTKRAYFYWVTREEGSFEWFRGVMNEVAEKDKDRVIELHNHCSSVYEEGDARSALIVMLQELQHAKKGVDILSGTSVKTHFARPNWRKVFKHVAVNHDNQRVGVFYCGEPVLVPQLRQLSADFTHKTNTRFEFHKENF >KQL04465 pep chromosome:Setaria_italica_v2.0:V:9228377:9229305:-1 gene:SETIT_005007mg transcript:KQL04465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPAAPLMMKLLVDTKSHRVLYAEAGKDVVDFLFSLLALPLAAVTKLLTTGGTSTAGGSVSPLDDGAAAATTAVATKLPAAGAMVGSVGNLHRSVEALDAGHVCRRGARDALLAPAVLHLAPAAPNGRLLYRCRGCSCSPGCYNYATGVRGTPCPVCKGEMATEVQLVEPESDGGGAKNPAAAAAGEGSGGGYVRDMVTYMVMDDMTVAPMSTICAVTAITALGVTDITGLQAKTVEIRYKEGLALLKASLQSETVLTDVFLGAKGGGGGARA >KQL05275 pep chromosome:Setaria_italica_v2.0:V:20445438:20445831:1 gene:SETIT_005342mg transcript:KQL05275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKKCSFHQLNFRQCSWILKLLWKIRNNCSKIDRLGQTIGKFG >KQL08085 pep chromosome:Setaria_italica_v2.0:V:43504203:43507503:-1 gene:SETIT_004669mg transcript:KQL08085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASFSHSPVAVATGAPELVELTLLPGAREKGAVCLDGSPPDYHLQRGVGSGSRSWLVYLQGGAWCNSAESCSERKMTALGSSKFMEPVEFDGMLSNQHQLNPGCYKIYCDGASFSGDAEGQDRDGTKLFYRGLRIWKVILNRTDDELMGIGLAEAKQALLAGCSAGGLATLLHCDNFRARFPQEVSFVKIVYPTKRFMRSVCDGVVHLQNVRKVLPKDCLAKTKDPTELIKSISTLTFILNSEYDSWQIGNVLAPNGSYPGESWSSCKADIRNCSSKQIDVLHGFRKKLVHDLKVAEGKSDWGLFVDSCFTHCQTPFNVSWHSPISPRLGHKTIAEAVGDGHFGRSRGVKRSSASIHVTEISS >KQL07623 pep chromosome:Setaria_italica_v2.0:V:40889877:40890684:1 gene:SETIT_003837mg transcript:KQL07623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHQPLHWGTHGSFLGINLGADGHTLVTYSQLSNWGQCSFWEDFNAEPFTAGDRVFSFDANPCDYFTEGKAKATTISLSVLVTIEMFNSLNALSEDASLLTMPPWVNPWLLLAMAVSFDLHFLTLYVPFFASAFGIVPLSFNEWMLVLVVAFPVIIIDEALKLVRRCVLRLCRPSMKLKAD >KQL04675 pep chromosome:Setaria_italica_v2.0:V:10750802:10754706:1 gene:SETIT_002038mg transcript:KQL04675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPTRPAQASFRDRTNEFRSAVESARRHAAPSSSSSSAVAAAAASSSGSGGAGPLDGSIAATSARSEFNNRASKIGLGIHQTSQKLARLAKLAKRTSVFDDPTVEIQELTAVIKKDITALNSAVVDLQALCNSQNESGNLSKDTTNHSTTVVDNLKNRLMSATKEFKEVLTMRTENLKVHENRRQMFSSSAAKDASNPFIRQRPLVARDPSESSVPPAPWASDSASTPLFQRKKTNGDHGASSSSQPFQQQQLAVQQDSYMQSRAEALQNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDETLTNVEGAQGQLLKYLNSISSNRWLMMKIFFVLMVFLMIFIFFVA >KQL04585 pep chromosome:Setaria_italica_v2.0:V:10070377:10073097:1 gene:SETIT_004245mg transcript:KQL04585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAKNPVKYAHAPTVRCAPSTFNSFVDHLTLWQRRRIKDMGFGGLLCVAAERLESRELLKFLFDRLDPKTMVLNVAKDKGIHVTPFMVKQVLDLPEGGEDIVLSTHIQASKALSALKTLLGLQESHDLNASHLQKTLKDDLELGSGMITDDMAIRFFFIIACNKLLFPSTDNNIRCKDVYLTRDLSCLPALNWCKAVVDDLREAALNWQSDKAKKSFSGCAILLILRNIIDTCYHTTENPSSTTPPSIEPLPTTRIHSMQAELRGIVHQISAEPRKTQAMQALASFDAKAKEASRYVTIAQKMLSDAHQSATHILQAILNDEIHGNNSEDHDNQAHASDADEFIHRPAIAPRPQRLTKRPARYVSPFKGDPQRAKAPQLTAHAVRKKFRTDMKCKSDIFIRTGLREFSGLDIEESFLDGEMLSTQFMSYLVACMSYDECHMPDGGGYRVFLSQELG >KQL07959 pep chromosome:Setaria_italica_v2.0:V:42813137:42817891:1 gene:SETIT_001270mg transcript:KQL07959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNPGRTVYIGNLDEKVSKRILYEILIQAGPIMDLHIPCDKENNRLKGYAFAEYETEEIAQYAVKLFSGLVRVNGKTLKFVIAGHEKPSSNSKNPVVPNLNPIPSSNGNNPVMPKLNPIPLPKQTQFAHCSDMPVSHTPAYPVLYQEDQYTAMASLLVVHPSSSTDLAPRTSFLPFLYIPWPPKHTSSLPGILFSGPAEVLQLQAAAAFFCTVSTSKLFFIPAAAFAPGFEAVLAQRAGMQDTAAGDVPGAEDGVDDVFFCVAATSRGNRSSISYFHTNAAGEDAESALALAALCLDHAPEHHRWHHHTVAGARTFAFLSAGDGRTYFAAADPTPGADEVVRFLERVRDACDAAPRKRLRDEAVAPVARQFAQLLRAVAAGASSGAGDAAALPGDSPRVGLPLAPVCAADAGGEKDEEHQRAGAQRRAVQPDRASARPGWRSWWRHAVVVIGVDVVLCLVLFAVWMGVCKGFRCLTR >KQL05118 pep chromosome:Setaria_italica_v2.0:V:16111661:16113859:-1 gene:SETIT_004328mg transcript:KQL05118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVGLTGGIASGKSTVSNLFKDASVPVVDADVVARNVVQKGTGGWKKIVKAFGEEILLDNGEIDRARLGQIVFSDPSKRQLLNRLLAPHISSGIFWEMAKLWMKGCKVVVLDIPLLFETKMDRWTNPVIVVWVNPETQIERLMSRDGCSEEQAQNRINAQLALDWKKSEADIVIDNSGSLDDTKQQFQEVLRKVSEPLTWKERLRSRDGLISVVMCTAVGVLLAQKNLL >KQL03240 pep chromosome:Setaria_italica_v2.0:V:480306:480786:1 gene:SETIT_004619mg transcript:KQL03240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGYATAKFHVLDMIQRYEAITQLKCGYELSSSLYHSHDNIPIVLTIVKNHGIPYSRGSLDPRGSVGSLKIKYFFHANRFPLVAGIRYGALFQFVNSDQKYLILEIGLGYALALIGAGVEVNKKTGKIETYFIARNSAGYKTHST >KQL06250 pep chromosome:Setaria_italica_v2.0:V:32062733:32063853:1 gene:SETIT_004096mg transcript:KQL06250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSNDWGSLPWLILERVAGADNLTDPKDFVHIRSVCPKWRDAIRPIRPTAHGLFQPPIMVTEGPPDEDYSGRVLFHSVSSDESHLIQLTALQGKRVAGSGAGLLIGIDQRDDLSGVLVNPLTGKSTALPRLPDCFHGGVSPPAVEVVVVVVWVWAPGRGPTVALWRRGSDDGWATTHDADPGRFWASLPQHWNRLVTHGPQVLEGELAAAADEEKELANGGTAFLPGSKAVYLVEHEGKVRFLFEYYGYNPEMPAGKRVNFALNDMVGDGMANVDWADAPELQDKAIFRSGKDGACYVIPASDDLGLSKNSVYYFDWRHLEEAEDGGGRTEAFCLCKVDMLEGVDTIVKQVPNPKCRAWETTT >KQL03937 pep chromosome:Setaria_italica_v2.0:V:5465250:5466711:1 gene:SETIT_003385mg transcript:KQL03937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPSSSLFLGAAVAVALAVLAAAPSALAADPDPLQDLCVADLNSAVDSFMCWYEQQCMVGYCCSCDQHEEFPSQPRHCCWSRVMLLLVLLYGFQFCNLFCNINDAKKLKHLFIS >KQL08551 pep chromosome:Setaria_italica_v2.0:V:45965102:45971755:-1 gene:SETIT_004566mg transcript:KQL08551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVAYDASSDEEDAGEPPAAAAPSPAPVASSIGPQPRPPSPSTALGAAPQPTPPLPPPAPSQNIAPISSSNVSLPTPSLDLPDVADLFAPPTENASRKRESNGSALHDSRSKFPRTQPQPQVVRSAAGNALIPPQLRGSLGTMSSARVPGRLDYLNSQEPGDESQATAIDTVERLLVEDDIETSQKTSTDPISGIKPASILGTKVAQCLAQRAEYNSPLQKSGIFDWADNPNNDECTAIMISRKKQRIHANTQVKHLASQRYCGNGSSTRAGFISDCIGGVSGVDSFKKPEPVGSTDDLYEAYDIGPCTQMAAEAMEALSNASTVNYVVRDEAHPESSILGTNLGKESKADKICSVESQIQKRIVGSSSSLKKHPRKSKNMKNPKQMAGKAKGNVDCGSIQGAINHEVSERTKGSGASDSNILGSHAVIHPRGKRTYMFISRSSKVQFNKVGSSTTFTSKSTEVADSSTAKPVSTCGLDFNKHARVEKQSTSAQEGHNSSLTSRVPLREINSTGPQSRTQMSKKPPKRGLLKSPNSRELASLFRNEASPVLQSSRRRRNMSKVRVLFSQSMDKETIKMQTKILIYFGVPAATTIREATHFVAEKFARTRNMLEAIAMGIPIVTPSWLQCCGEARCFIDEKKYIMRDMKKEKELGFSMPVSLGRACEKPLLEGRRVLVTPNAKPSKELLKSLVVAARGQPSERITASTMKNKNFEGAFVISCEQDHSVCMPLIKSGLQVFDSELLLNGIVTQKLEFDRFRLFH >KQL05497 pep chromosome:Setaria_italica_v2.0:V:25179665:25181774:1 gene:SETIT_002718mg transcript:KQL05497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTRRERAAAAAARPSSSSPSAAPAGPRAVEVQRRRVGGGWTSRRISIYASRAYFLLLILQIPLFRVPCRAGTCTTPIQVTSSQLVSNEIFPPAVVKAMLYPGAIVSSLTKRIAFPRWSDLFDIYNLTEAKNASAVVDLQRLEILAGSYFCVAGALVGIINPGRMTLFGTLLVIWGLVKEALFGKPVNSDPTQSAYVYPTILIALICAFMSITYNVKKTAKSSPPVSIAKPLKSSAKSKLK >KQL04959 pep chromosome:Setaria_italica_v2.0:V:13412272:13413797:1 gene:SETIT_004937mg transcript:KQL04959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLCALSVLLLASAGAVSSSLPVRRYGSIFNFGDSFADTGNDVVVFAAHSLANPSSRPPYGMTFFGHPTGRNSNGRLAIDFIAEELGLPLVPPSLAHNGSFRQGANFAVAGAFARDASFYRDIPLVGPLALNTSSGVQLRWFESLKPSLCHPAQECKGFFHKALFFMGEFGVNDYSFSLFGKNLSQIRSFVPDVVKTISTATERVITQGAKTVVVPGIPPMGCSPLNLAMFPSADPAGYDPRAGCLKQLNDLAIYHNSLLQEAIKKVQTKHRDVKVIYADFFSPIIDIVVSPQKLGFRGEILSSCCGKYNFNVSAGCGMPGATVCQDPSSYLYWDGGHFTEAAYRYIAKGWLNTINNYHA >KQL04630 pep chromosome:Setaria_italica_v2.0:V:10292912:10293726:-1 gene:SETIT_003969mg transcript:KQL04630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTIVSKIEDRISIWSFLPKDFGESMQILKYEVNKSGYNNYGSQSSSGNDRLVTVLIYLSDVKRGGETVFPRSEVQGTQVEQGAASECVGYAVQPVKGNAILLFNLKPDGVIDKDSQYEVCSVLEGEEWLAVKHIHLRKTDTPKSLFASEDECTDEDARCVGWAAGGECDRNPLFMIGSPDYYGTCRKSCRVC >KQL07981 pep chromosome:Setaria_italica_v2.0:V:42970028:42972257:-1 gene:SETIT_005458mg transcript:KQL07981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKTVITGDLNADTPGVSTFNTATVGVLADGFMARDLTISNTAGPDAHQAVAFRSTGDRTVLDTVELLGHQDTLYAHAMRHLYTRCRVSGTVDFVFGNSAAVLHDTALVVLPRQLRPEKGENDAVTAQGRTDPAQPTGIVLSRCAVNGSDEYMALYRQKPDVHRVYLGRPWKEYSRTVYLGCTLAEIVQPQGWMAWNGDFALRTLYYGEFDSAGPGGAASRRVAWSSQVPREHVDAYSVDNFIQGHEWIPKA >KQL04956 pep chromosome:Setaria_italica_v2.0:V:13386700:13388221:1 gene:SETIT_004709mg transcript:KQL04956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRAAVLIVFLLSFAGHGCSQRYNAIYSFGDSISDTGNLCAGSGGCPSWDNTGKPPYGNTHFGRPTGRCTDGRVVVDFLAERFGLPLLPPSKASGGDFKKGANMAIIGATAMDFDFFKSRGLGASVWNDGSLGTQIQWFQQLMPSICGTGCKSYFSNSLFIVGEFGGNDYNAALFTPGKGMAEARSYVPQVVDRITTGVETLIGLGAVDVVVPGVLPIGCFPVYLTLYPSSNKDDYDEIGCLRSFNSLSSYHNELLKQALSGLRSKHAGVRLMYADFYAQVTDMVRSPETFGLEYGLRVCCGAGGEGPYNYNNGANCGTAGASACGDPEKYLAWDGVHLTDAAYGSIAGGWLDGTYCSPGILH >KQL05639 pep chromosome:Setaria_italica_v2.0:V:26611700:26616950:1 gene:SETIT_000552mg transcript:KQL05639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDALATSPLAPPPAPLAAAAVLTRRRSHLDSASYRTLSRLFSHCLHLHPSPREGTALPEAEPAAANPTGGDSGDSLQVPRGADFDPLKNVEKEAADAGGPPLYGTVSPAREQPAAANPTGNPCEAGAPQRSHDDANEVVAVESTCVNTGAGVDESGIGAELVDVGDDALKSVKACLEMTEVDESVEGALGNEDGQLLLDAMMTNFTGLIDDVGAGVVPAQPCVVSGGELQNSKASEDLNQSVGRIEDGEPVTNLDHELNGDGGFEEGEIEGEFQALDSEESGDSELGDNDDSEEKLGGDSVSRGSGANKSSDHGTQFGNLHSTPEIIGNGHLTLNNDGVRGGAQISVTRAPAVSYDEIVDWNGTPLPDNMAPNPGKKRKRSMTEERKAKKTENKRKKRAQQRIADGVNRPKIQHVMKPKKPCHFYDHGKCQQGNKCKFSHDFTPSTKSKPCKHFACGSCLKGDDCPYDHELSKYECHNYKNNGMCIRGDRCKFSHVMQTTEGTPTQDAKPSDASLAYEKTNLREQTSSQKTSTVHNGEPVTSAPTKQQCSILKNLAGFSINSQNVSNRIPKGVQFLPIDKSGSNLSSLRLGALSIEKPRNANATQHQYLREHEAERQKIAKQNGQESLLDEKNSSNEATVHPFSDPKKATLPISSTATSVHTQPEVSEASRILQEFLFGAGS >KQL06804 pep chromosome:Setaria_italica_v2.0:V:35702658:35703376:-1 gene:SETIT_005021mg transcript:KQL06804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRCTSWKKQLCFCCEPLASPVTSMHAFVAASAAAASHGDVFYVGDKDGWVGKPAESYGRWAARHQFKVTDTLVFRYKKGVDSVLVVDKRHYDTCDVKDPVDELRDGDSAYVLARTGPFYFISGDAGRCKQGQKLTVVVTAETAEPP >KQL06358 pep chromosome:Setaria_italica_v2.0:V:32846354:32846641:-1 gene:SETIT_004325mg transcript:KQL06358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQFSVVVTSALLLAAAVVSLCSPGAGAARQLLGTGRETAVAVAASLAATGPLQVQVEMPALQVPGDEAAAAGGDGSVAASKRLSPGGPDPQHH >KQL05375 pep chromosome:Setaria_italica_v2.0:V:23590486:23590767:-1 gene:SETIT_004942mg transcript:KQL05375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DAFDSNSQTETDSSDDRRRSYHTPFARGNTLCVFCRADNTFVCLICPGKRYRWRILNEVKDHILGMAESAPLRGENKKWSRHCVVARNEGWIE >KQL07593 pep chromosome:Setaria_italica_v2.0:V:40682748:40689703:-1 gene:SETIT_001076mg transcript:KQL07593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIISKSGKALVSRQFVDMSRSRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEALYLLVITNKQSNILEDLETLRLLSKLVPEYSPSLDEEGVCRAAFDLASAFDEAISLGNKENVTVAQVKQYCEMESHEEKLYKLVMQSKINETKDHMRRRVTEIEKSKIDRGKTEKAFGPLRPPNGFSDMNTRGTGLGGDPIFADSFAQKPKGLPSASTPATSKVAGGMKLGKARKTNQFLESLKAEGELISEDTRPSGIQSRLSSVPPSDPIAVAIEEKINVTVKRDGGIHNFDVQGTLALQVLNDTNGFIQLQIENQDLPGLSFKTHPYINKELFNSQQIVGAKDPNRPFPSGHNETPFVKWRIQELDESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPALREAPSVRQIDGEWKYDSRNSVMEWSITLIDQSNRSGSMEFAVPAADPSTFFPISVVFSASSTFSDLKVTAILPLREGSPPKFSERIQLVTDNYLVI >KQL03451 pep chromosome:Setaria_italica_v2.0:V:2457420:2457644:-1 gene:SETIT_004987mg transcript:KQL03451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKITSWNYMLESGHNAHKQLSLLPCCSFEHSAIRQECYSYQRAVYPLACTKNNATEAKSLRTNQNYAQQQSLP >KQL05231 pep chromosome:Setaria_italica_v2.0:V:18474357:18475181:1 gene:SETIT_003834mg transcript:KQL05231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGLLTGTGLMLALLLLVFSAVGVGTASAQAAGLKKGFYKKSCPQAEDIARKVVWGRVAGNGELAAKFLRMFFHDCFVRGCDASVLLDSPTNTVEKNAPPNLSLAGFDVIDEVKAELERACPGVVSCADIVALAARDSVSFQYKRNLWEVETGRRDGTVSSDQEALNDIPAPTSTFDVLLSNFSSKGLGLQDLVVLS >KQL05132 pep chromosome:Setaria_italica_v2.0:V:16272607:16273531:1 gene:SETIT_002817mg transcript:KQL05132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLRPPPPRLLLNNVSCMRNAQTVLRDINLSVNDGTALVLTGANGSGKTTLLRMLAGFSRPSAGEILWNGHDVTSPGVFQQYKLQLNWMSLKDAVKEKLTVLENVQWFELLEGKDGSRAGPAIELMGLGRLMNEKSRMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDAEGTRLLEYIIAEHRKKGGIVFVATHLPIEIEDSMSLRLPQRFPRRKTLVDLVH >KQL07921 pep chromosome:Setaria_italica_v2.0:V:42533462:42537488:1 gene:SETIT_003743mg transcript:KQL07921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGGGELLPRPGPSSIIAKPGDGRGGWRAPLFIVAVGFFERIGFVGVSANLIMYLTGMLAMSTASAAAGVNAWSGTVQVLPLVADWRLGRYRTLLAAGVLYLLSLGMLTASSMLQTVSSPPSASPARLAFFYVALYLLALAQGFYGPCGEAFGADQFASSDGDPTAPAFRSSYFNWFHFSISWGYAFASAGLSYVQDNAGWTVGFGACWAAMVLCLAVFLLGTPAYRSKQHADGGPFAETVRAQVARVFRRKDDATRTQRLIAPKPEDRLVVKLLPIWLTSIVFAVIFSQVSTLFTKQVSTLDRRLGTGTGLAVPPAALQCLSNLTFIVMLPLYDRVIVPLARRLTGHPAGITMLQRIGTSMATSCVAMVVAAFVEARRLRVAGDAGLADLSYVAVPMSLWWMIPQYVLVGLAGVLGQVGLEEFFYDQVLDTLRSVGLALCLSIFGVGSYASGALVSGIDWATRSRGQSWFSDNLNRAHLDYFYWLLAGLAALEVAAFLHFANRYVYRNKGASC >KQL05874 pep chromosome:Setaria_italica_v2.0:V:28653799:28654308:1 gene:SETIT_003680mg transcript:KQL05874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSFLFSDTLQYLSSILVLIMVGSSGQVYVIHRSSFLFCWLFHCKTCNSFFCFKLVL >KQL07105 pep chromosome:Setaria_italica_v2.0:V:37680782:37681132:1 gene:SETIT_004891mg transcript:KQL07105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSRCLCLLFLASILVASSAVVTVSLKETAEEKKAWAAPAPAAVEPDEAFLARLCDEQRRGRVLPWCKQLHARRRGGAHHRHLPMSPPSRDGEEIDPRYGVSKRLVPSGPNRLHN >KQL04324 pep chromosome:Setaria_italica_v2.0:V:8159219:8161442:1 gene:SETIT_001062mg transcript:KQL04324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTTLLPLVAASLLLLLLLAATPTPAASRHHRPAVTETLDVSASLSRARAALSTDAAAAASLHQSAAAAKPKPKRSPRSQKGAGASSSSLTLRLHSRDFLPGEQGRHESYRSLVLSRLRRDSSRAAAVSARAALAADGVTRLDLRPANASAVFAASAAAIQGPVVSGVGQGSGEYFSRVGIGSPARQLYMVLDTGSDVTWVQCQPCADCYQQSDPVFDPSLSASYAAVSCDSPRCRDLDTAACRNATGACLYEVAYGDGSYTVGDFATETLTLGDSQPVSNVAIGCGHDNEGLFVGAAGLLALGGGPLSFPSQISATTFSYCLVDRDSPAASTLQFGDGAAEADTVTAPLLRSPRTSTFYYVAMTGISVGGQALSIPTSAFAMDATSGSGGVIVDSGTAVTRLQSAAYAALRDAFVRGTPSLPRSSGVSLFDTCYDLSGRTSVEVPAVSLRFEGGGSLRLPAKNYLIPVDGAGTYCLAFAPTNAAVSIIGNVQQQGTRVSFDTAKGAVGFTPNKC >KQL04812 pep chromosome:Setaria_italica_v2.0:V:12020501:12023251:-1 gene:SETIT_001470mg transcript:KQL04812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGKWVKSLIGLKKPEKEDGKDKLQIPSVHGGLRGKGRKWKLWRSSSGDHGSLWRGSRGGSHRSAASEASDDTSSVAAPADPFTAAVATVARAPARDFMAVRQEWAAIRIQTAFRGFLARRALRALKGLVRLQAIVRGRQVRKQAAVTLRCMQALVRVQARIRARRVRMSTEGQAVQKLLEARRTQMDILREAEEGWCDSQGTLEEVRVKLQKRQEGAIKRERAIAYSYSQQLDGAAKCNPPKLNCNGRLNHSGMLLKHQNFDKTNGNWSWLERWMAARPWENRLMEEHNQTNSSSPDLRPTKNCEDSFGVLGDFSEPNSVKVRKNNVSKRVCAKPPGASHSQTHHQRFKAQSISSLSTELLNDESSASSSSCFASTPISLSTFVTSEKTEDTVRTRPNYMSMTESIKAKQKACNAQRMTTLKQSEDRKGMSAELKAVQV >KQL04140 pep chromosome:Setaria_italica_v2.0:V:6805555:6805967:1 gene:SETIT_005267mg transcript:KQL04140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIRETTWVAAKTRDRAGNIIGSTTRVKKIAKLNITWRELP >KQL07851 pep chromosome:Setaria_italica_v2.0:V:42169673:42170454:1 gene:SETIT_002698mg transcript:KQL07851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTRRLALTSLFHGKARDTSPPPPPPATAIAAAWSWPSSKNTSTQPARAAQPAAGSRTVASVVLDSAESSFTASSARQECSDSLSTASEASAPVVAGDDAADDAIVRGIRSDRLLFDPGASSTSSILEEKSACACGGDEAFGGGVAVAFESADPYADFRASMEEMVAAHGVGDWGWLEEMLGWYLRANDQDTHCAIVSAFIDVVVAIADPAREACSSRSSSCTFAAGELEVVADKGKPAAGVLTV >KQL08759 pep chromosome:Setaria_italica_v2.0:V:46974071:46984270:1 gene:SETIT_000064mg transcript:KQL08759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLQLLQLTERGRNLLSSRRRTLAVVSGALLAGGTLAYTQSGRWNKQQKENACSDGNAHTGTKDRNGQNGIDGKLVKPRKKKSGLKSLHFLAAILLKKIGPNGSNYLLGLIITAVLRTAVGHRLAKVQGYLFRAAFLRRVPTFTHLIIENLLLCFLQSTIYQTSKYLTGSLGLRFKKILTDLVHADYFENMVYYKISHVDHRISNPEQRIASDIPKFCSELSDLVQDDLAAIAEALIYIWRLCSYASPKYVLWILAYVIGAGGTIRKFSPAFGKLKSMEQQLEGEYRQVHSRLRTHAESVAFYGGENREASHIMQRFGALVKHLNVVLHENWWFGMIQDFLLKYLGATVGVILIIEPFFAGNLRPESSTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNILSGYANRIHELLEVSRELSGVRDRLMTQNSSAANYISEANYIEFSGVKVVTPSGNVLVDDLTLRLESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSNLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQETEPLNYSGMVDLLKNVDLEYLLERYPLDKEVNWGDELSLGEQQRLGMARLFYHKPKFAILDECTSAVTIDMEERFCKRVRAMGTSCITISHRPALVAFHEIVLSLDGEGGWNVQDNRNGSSFSPEVEVDVLKSSETDRKSDALTVQRAFVTSTKGNASSKLKKQSYSTEVIASSPSMEIEHTVQAPIVTQLKCSPRPLPVRVAAMSQILVPKLFDKQGGQLLAVAVLVFSRTWISDRIASLNGTSVKYVLEQDKAAFIRLTGISVLQSAANSIVSPSLRNLTSRIALGWRIRMTNHLLQYYLKRNAFYKVFNISGMNMDADQRITHDVEKLTNDLAGLVTGMVKPLVDILWFTWRMKLLSGRRGVAILYAYMFLGLGFLRAVSPDFGDLANQEQELEGTFRFMHSRLRTHAESIAFFGGGSREKAMIDAKFTTWLNHSKVLLRKKWLYGIFDDFVTKQLPHNVTWGLSLLYALEHKGDRALTSTQGELAHALRFLASVVSQSFIAFGDILELHKKFLELSGGINRIFELEELLQAAQSNPAVPSDAIKAASEEIISFRNVDIVTPSQKLLASQLSCDVSQGKSLLVTGPNGSGKSSIFRVLRGLWPIASGRLTKPSEGIFNVPQRPYTCLGTLRDQIIYPLSREEAELKMLSHETSDKSAASKMLDDHLKMILENVRLVYLLEREGWDATPNWEDILSLGEQQRLGMARLFFHCPKYGILDECTNATSVDVEEHLYRLATNMGITVITSSQRPALIPFHSLELKLIDGEGKWKLCAIHQ >KQL08026 pep chromosome:Setaria_italica_v2.0:V:43236498:43238051:1 gene:SETIT_004352mg transcript:KQL08026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAGAGLVAYNAAISRCARAGLYPRALALFREMRGRGLRADEYTLPPLLNSSALLRAPPAAATLHALLLRAGLASHLHVANALVDAYAKLSRPGAARAVFDEMPRRDVVTWTSLLTGLARAGAHDAAVRVYRGMAAAGVDPDEFAVAGVLSSCAGSTMLELGRSVHAAAVRLGFLPFLSVGNSLVSMYAKTGALRDARTVFDAMRARCTITWTALIVGYAQNGRGRQSLEIYTDMVRSGCRPDYVTFIGLLFACSHAGLVDAGRAHFRSMVTDYGIAPGPDHYACMVDLLGRAGRLEEAMDLLNRSSTELDATVWKALLGGCRVHRNAELAERAAEMVWRLDPADAVPYVMLSNLYSRERRWGDVARIRALMKSRGVTKEPGCSWVGVNGVMHLFHVEDRGHPRAAEIYRKVEEMMERIRAGGYVPDTDWALQDEAPDGRERGLAYHSERLAVAFGLLAVPAAAPIRVFKNLRVCGDCHAAIKMVAKVYGREIILRDANCFHHMKDGACSCGDYW >KQL04178 pep chromosome:Setaria_italica_v2.0:V:7039534:7041056:1 gene:SETIT_003466mg transcript:KQL04178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSARDGRHPTEGVIVSSSGGGGSLASAALPPAPRPPFFPAQILLTPYMNGGSVDFQSRLLLLPISPPPPSNLDSQLLWHRRVASAALEPDGWQREEGHK >KQL03945 pep chromosome:Setaria_italica_v2.0:V:5527196:5528104:-1 gene:SETIT_004219mg transcript:KQL03945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHRVEWKPYDREQLSHIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYLVLWQNKQGCDPEGTAACKALKRGGRGYGARCWGR >KQL04725 pep chromosome:Setaria_italica_v2.0:V:11215808:11219717:1 gene:SETIT_001583mg transcript:KQL04725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARATPTRTPPRPSSPAPRTEAVVPPDWASAVTLVSSDPTPPVVVVCGPKNSGKSTFSRILLNALLPRQATTEPNPSPRPHESVGDAGGSLTSGVVVLCRYGKVGYLDTDVGQPEFAPPGCLSFHVVEEAIADLLNPTLREAERCCFFGDISSKRDPEAYLNCLFHLYDCFVGKYRCNENEMVPLIVNTPGWVKGAGFDMLVEMLRYICPTIVVQIRITMESKNLPDGMFWLDGEQTGPKMININAAFRDASNRSLLIMKDSCATRERQLVEYLKRCFPSNISLSTNKELAYALASLPPYQVPFSDVTVMHLHHEVPAGEIWRCLNATIVGLAVSSASEATRSTPYCVGLGIIRGVDVQKGLLYVITPVPLERLQSVDLLQQGFIEIPTSLLQVRGYVSPYMSTNVLHKISERDLYAGDG >KQL06566 pep chromosome:Setaria_italica_v2.0:V:34260089:34262356:1 gene:SETIT_000721mg transcript:KQL06566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSVGLLEDNDTNGENQKEQADDSEAPKKAPMGRLAALNKPEVPILLLGALAAGVHGVLFPMFGLLISNAIKTFYEPPDKLRKDSSFWGLMCVVLGIVSIISVPVEFFLFGVAGGKLIERIRALSFRSIVHQEVAWFDDPKNSSGALGAKLSVDALNVRRLVGDNLALLAQVTSSLITGLVIAFVADWKLTLIILCAMPLSGAQGYAQVKFLKGFSQDAKMLYEDASQVATDAISSIRTVASFCAEKRVMAIYDHKCEASKNQGVRTGMVGGLGFGFSFMMMYLTYGLCFYVGGQFVRHNKSTFADVFKVFFALMLATIGISQTSALASDSTKAKDSSVSIFALLDRKSKVDSSSDEGLTLDEVKGDIDFRHVSFKYPSRPDVQIFSDFTLHIPSGKTVALVGESGSGKSTVISLLERFYNPDSGTISLDGVEIKSLKVDWLRDQIGLVGQEPVLFNDTIRANIAYGKHGEVTEEELLKVAKAANAHEFISSLPQGYDTTVGERGVQLSGGQKQRVAIARAILKDPKILLLDEATSALDAESERIVQDALDNVMVGRTTVIVAHRLSTIKSADIIAVLKDGVIVEKGRHETLMNIKDGFYASLVELRSASS >KQL08217 pep chromosome:Setaria_italica_v2.0:V:44224943:44231532:-1 gene:SETIT_001058mg transcript:KQL08217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMEAAADAMLAAASRAFSSTSAIAIQIQGCVICLVLALGWAAASIVRKRVILNMRRKQLDGNSFAFLCDDIDELEHSVQENLPRVSVIMPLKGFGEHNLQNWRTQITSLYGGPLEFLFVVESKEDPAYHAVSRLISEYKDNLEAKVVVAGFSTTCSQKIHNQLIGVEKMHKDTKYVLFLDDDVRLHPGTIGALTKEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRQDRYGVVSGLRDGGYSDDMTLAAIAGQHKRLISSPPVAVFPHPLASDLSFSRYWNYLRKQTFVLESYVSNVNWLMNRALFTSHCYLSWGFVWPYIMALVHLLTALRAPYSKIVKEASDSSWGLHLVGLLFICTLIELVSMWNLTKVEIQLCNMLSPEGPNVSLDSYNWGLVFIAVLVDNFLYPISAFRSHFSQSINWSGIRYYLRDGKISKIERENRSKYTDLGGKHLYGKRTYPSNKSLLGYLSRTLAQWHQPKKYDV >KQL07340 pep chromosome:Setaria_italica_v2.0:V:39222693:39225482:1 gene:SETIT_001576mg transcript:KQL07340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRKRALLVGINYPGTKAELKGCHNDVDRMHRCLVDRFGFDEDDIRVLSDKDRSGPQPTGANIRRALARLVGDARPGDFLFFHYSGHGTRLPAETGQHDDTGYDECIVPSDMNLITDQDFRELVQKVPDGCLFTIVSDSCHSGGLLDSAKEQIGNSTRQNKTQSREPEERPDSGSGSSFRSFLKETVRDAFESEGIRIPHSRHSHSHHGGDDQDEAYGQSSGDGRIKNRSLPLSTLIEMLKEQTGKEDIDVGSIRMTLFNIFGDDASPKIKKFMKVMLGKFHQGQSGEHGGGGVMGMVGALAQEFLKAKLEGNEEEAFKPALEQEVHSVDEVYAGTKAWAPNNGILISGCQTNQTSADATTAQGVSFGALSNAIQTILADKHGEVTNKDLVMKAREVLSKQGYTQQPGLYCSDEHVHVAFIC >KQL07947 pep chromosome:Setaria_italica_v2.0:V:42732056:42736731:-1 gene:SETIT_002037mg transcript:KQL07947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPSSSSAAAPPTADAPSLAAVAEAAPPEEITLVVKWCGQEQTVRMVGDDTLGELKLRICEATGVLPKRQTLLYPKIMLKGIDDSTLLSSIPFKPNGKISMIGTVEEEIFVGQEDDPELLDDFDFEQNEDTPIKDKDVYKQKLKRRASQYKIKLLNPCRKGKKLLVLDIDYTLFDHKSPAENPKELMRPYLHQFLAAAYSKYDIMIWSATSMKWVELKMEQLGVLGNPDYKITALMDHLAMITVQSENQSRKKTFDCKPLGVIWAQFPEYYNEKNTIMFDDLRRNFVMNPQNGLVIRPFRKAHSNRDNDHELVKLTHYLLSIGDLEDLSKLDHGKWESFIDDSAKRRKRS >KQL06505 pep chromosome:Setaria_italica_v2.0:V:33874133:33875166:1 gene:SETIT_003816mg transcript:KQL06505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCDAEIVASTSCLLFLSLLILAFFLTCKYDVKRMIKFFAVKLGQGGFGAVYKGNLSNGGQVAVKMLKDVKGDGEEFMNEVASISRASHVNVVTLLRFCLEGSKRALIYEYMPNGSLERYVFISNLNSENTLSREKLFDIAIGIARGLEYLHRGCIESVISIGGERGTIGCIAPEVYSRQFGIVSRRSDVYSYGTMVLEMVGARDKNINADSESSSQELVRKMTVVGLRCIQVIPTDRPTMTRVVEMLEGSTSNLELPPKVLLG >KQL06686 pep chromosome:Setaria_italica_v2.0:V:35001768:35002172:-1 gene:SETIT_004687mg transcript:KQL06686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INIDKDYPISSSIQLVGVEGLEPSLAPGAVSPAIDLLVRVDNGHIYDEYREGGGITVFYAGVPLAHGRTPNFRVGAKATLTFTVNATAESVGVPEDLFRLMSAERRWSAAQLEVHMQLGCPGWESYAWSVDLDG >KQL06078 pep chromosome:Setaria_italica_v2.0:V:30501537:30502053:1 gene:SETIT_004119mg transcript:KQL06078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSVALAIVAVAAAILTTAAAAGAQGEVKCGGCSPCGGADCPVLYPSPPPPALPPPPPYYYYSPPPPATYPGSYCPPPPGAYIQIGSTPPGKGPLYPQDPGFMPSAAPSRAVPLAVVGALAALAGGDDGADPIVPAFPRVFPSVLMHAP >KQL06494 pep chromosome:Setaria_italica_v2.0:V:33805098:33807407:1 gene:SETIT_001734mg transcript:KQL06494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQSVCPTYSINRTARLVETLHYRDSAAPHARTCLSPSNRNLITSFDSTLRGHLYPSIDMPRWTSIQTFVSPVSSSRAFLSAGLASGGGGEPRRRPHPTVSLPSARLVACRRRRLDVGRRRSRPPCGLACPCPCPCLCLAPCRCPGCRGPRARTAAKTMAATADPRAKPPPAAPSRHLMKPWGAPPPPPPRAHRVPSLPEVAEGGGARDRRRSSSSHRRVGAGAVEEEPPCDGRLEDLRAKLMGHLRDAADRLRLPPAPPKPQHRSPSPEPEPEPQPPAAPLPPLEQQEAAAPAARPWNLRDRKCRRQTARGAAAALDASPAWEPAVEKARRPAADERAPFAVALTAEEVEEDVYALTGARPRRRPRKRPRVVQRQLDSLFPGLWLTEITADAYKVPDE >KQL07943 pep chromosome:Setaria_italica_v2.0:V:42711929:42716186:1 gene:SETIT_000781mg transcript:KQL07943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSRPASSDLSLGLHSHGPSHAHARVHAVAAPLRLFDDSEDAKPERLEGGEADGEREDEEDGGDQHFSLLGHSLCVKRPRRAVNGGGGGGGETSSCSSSSAALRPAKRQAMGEGSGPDLETRRAAVRAWGNQSLAEADPDVHALMERELDRQVRGIELIASENFVCRAVLDALGSHLTNKYSEGLPGARYYGGNQHIDAIERLCHERALTAFGLDPACWGVNVQPYSCTSANLGVYTGLLQPKDRIMGLEPPSGGHVSHGYYTPSGKKVSGASIFFESLSYKVNPQTGYIDYDKVEERAMDFHPKILICGGSSYPREWDFARMRLIADKCGAVLMCDMAHISGLVAAKECRSPFDYCDVVTSTTHKNLRGPRGGIIFFRKGKKLWKRGGSFSQGDEGEYDLEDKINFGVFPSMQGGPHNNHIAALAITLKQVATPEYKAYIQQVKKNAQALASALLRRKCRLVTGGTDNHLVLWDLRTLGLTGKIFEKVCEACHISINKTPIYGDNGSISPGGVRIGTPAMTTRGCLEDDFEAIAEFLIRATQIASNVLREHGKQQKEFLRGLQNSKDVLELRNQVEVFASQFAMPGFDI >KQL04150 pep chromosome:Setaria_italica_v2.0:V:6854412:6857195:-1 gene:SETIT_000966mg transcript:KQL04150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDPGKLIKSVKGAAQKYLGVGFLLGFFLVLLTYFTVSEQFAISAPNAIRRSSPGHAHPSPSLITPAEEKKRQQPPVTEEKPPKAEFAAEEKPPKAEHHAAEEKPPAVDVEEPHTKTEEDQKPVADGGRSSIGGDGATREEGAPAKKPACDIQGPWASDVCDVTGDVRIRGSAGTILIAPSIESGGNPNPQEWLIRPYSRKHQAGIKEVTVREMASAADAPACDVRSPVPAMVFAMGGLTGNYWHDFSDVLIPLYLQAVRFKGEVQLVVENFQPWYAGKYRTILKRLSRYDIVDMDKDDKVRCFPGAVVGIRMHKEFSIDPAREPLGHSMPEFTRFLRETFSLPRDAPAKLTGADGEDNEKVRPRMMIISRRHPRKLMNVDAVVALAERVGFEVVIGDPPFNVDVGEFAKEVNAVDALVGVHGAGLTNSVFLPTGAVFIQVVPYGKMEHIGEVDFGVPAVDMGLRYIAYSAGVEESTLVETLGRDHPAVRDPESIHRSGWGKVAEYYLGRQDIRVDLERFEPVLRKAMQMLREQQ >KQL03414 pep chromosome:Setaria_italica_v2.0:V:2226238:2227140:1 gene:SETIT_003497mg transcript:KQL03414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVASGCVSLLAQRRAYSVAAAMAKGAGRRADEKAAAAKRVMGKKEVNTAAAVAEEKTAWVPDPATGYYRPAGGAKEVDAAELRAKLLTQAAN >KQL06820 pep chromosome:Setaria_italica_v2.0:V:35844658:35846076:1 gene:SETIT_004854mg transcript:KQL06820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPASVALLLSLLPMAVSPAAAAAAMDTAERETLFRVMEAVSSDRDWRVESPDPCGAPWPGLECKPAGAGGGDANAGAAARMHVTRLDFGVEPNPTCKDTAAFPPEVFSLPHLQSLFFVDCFTNPAATTALALPAPANLSASGLQQLSIRANPALSGTVPPALATLRSLQVLTISQNALVRGGIPPGIGDLTSLVRLDLSYNSLSGPVPSQIGQLKSLVGLDLSYNSLSGAIPRRIGELRQLQKLDLSSNNLTGGVPDTVANLTSLTFLALSNNGLAGRFPPGISGLRNLQYLIMDNNPMGGPLPSELGGLPRLQELRLAGSGYSGPIPEAFGQLSSLTTLSLQDNNLTGPIPAALSRLGRMYHLNLSNNALGGAVPFDGAFLRRLGGNLDLSGNSGLCLDDRSKVRGVGVGVGVGACRGGGDGDIPSSARSSSGSLMTTRGSSQDSLLLGLLGPACVALSCFFALNEHAPY >KQL08504 pep chromosome:Setaria_italica_v2.0:V:45738572:45739235:-1 gene:SETIT_004688mg transcript:KQL08504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGGGGGGKHFILVHGLSHGGWCWYKVVARLRAAGHRATALDMAASGVDPARLHEVASFEEYSRPLLDAVAAAPDGERLVLVGHSLGGLNVALAMERFPSKVAAAVFLAASMPRVGSHMGITIEEFMETIEPGFFMDSETMVQMD >KQL03359 pep chromosome:Setaria_italica_v2.0:V:1970953:1972630:1 gene:SETIT_003821mg transcript:KQL03359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETSSIPSAWLVWCPIPSLHLPPVTARRKSRARETWASSRRRVPSRSSSSYSRRRGPGAVTTATATAPHVRVRRRYDSIFSLGDSYADTGNGPVVLDRHAIDNPVMRPPYGSNFFGRPTGRYCDGRLAIDFIAESLGLPLVPPFLARNGSFRAGANFAVAGATALDSSFFRDGELHASGTAAHSAFNTSLNVQLQWFESLKPSLCATGQECREFFGRSLFFVGKFGFNDYTILLLYKGKSVPQVRSFVQDVVRTISMAIEARYNPQTGCLDEVNELTIHHNSLLLESIEKIQAKYPEVEIIYADFFSPVMEMVESPGKFGFERDVLTVCCGGPGRYHFRQAILCGEPGATKCEDPSARLFWDGAHLTEAANRYVADEWFSSINQPARARLLAVSN >KQL07088 pep chromosome:Setaria_italica_v2.0:V:37595123:37596177:-1 gene:SETIT_003087mg transcript:KQL07088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPHARPSISHKFCLARTRASRSLPTVCGTAAAKLAAMQSRACLPGCGPLPGPAGAGRRRSGLLSSSGSVSRRTPAGRGGATGVRAVDGASAAAAVAAAADAALPPPQVTWQIVVGAVAGVTPFVVAGVEFGKRIVQQKKCEICGGSGLVMKNDYYVRCQGCGGFLPWQSWRRFFTG >KQL07113 pep chromosome:Setaria_italica_v2.0:V:37729357:37733097:-1 gene:SETIT_002104mg transcript:KQL07113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAQEQPSPTAPRPVRCIVKLGGAAITNKGELESINEESLRSACAQLRQAMSKSDGDGAPGKVLGMDWSRRHGDPADPAVDAERIAGMAGLGFDTNFIVVHGAGSFGHFQASRSGVHKGGLHSTLVKAGFVATRISVTSLNQEIVRALAREGIPSVGMSPFACGWSTKQRKLASADASQIIQSLHAGFVPVLHGDAVLDELLDCTILSGDVIIRHLSQLLSPKYVVFLTDVHGVYDRPPTDPNAVLLREIEVDDNGSWSIVKPALLQGNNKGVEISVAAHDTTGGMETKILEAAVIARLGIDVYITKAGTEHSQRALKGDVSTDSEDWLGTIIRSSK >KQL03355 pep chromosome:Setaria_italica_v2.0:V:1948652:1952562:1 gene:SETIT_000615mg transcript:KQL03355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRPPLRVAAAALLLCCILCLRLASAEPDADKAALLAFLAGVGRGGAARARINWPTTPLACAGPGWTGVTCSADATRVVALHLPGLGLSGAVPSGTLGRLDALQLLSLRANNLSGRFPTDLLSLPALTGLHLQRNAFSGALPAGLAALQNLQVLDLSYNRFEGGLPGALSNLTHLVALNLSNNSLAGRVPDLGLPALQFLNLSNNHLDGPLPGSLLRFADAAFAGNNVTRPAAPVPPPALLPPSTLAPPSTKRRVRLSEAAILAIAVGGCVLVFAVVAVSLIAFCNRDGGEEMGGGAVSGKGGDKMGRESPESKAVIGKAGDGNRMVFFEGPSLAFDLEDLLRASAEVLGKGAFGTAYRAVLEDATTVVVKRLKEVNAGRREFEQQMELVGRIRHDNVVELRAYYYSKDEKLLVYDYYSRGSVSNMLHGKRGEDRTPLDWETRLKIALGAARGIAHIHTENNGKFVHGNIKASNVFINRHDFGCISDLGLAQLMNPITARSRSLGYCAPEVTDTRKASQASDVYSFGVFILELLTGKSPVQITGGGNEFVHLVRWVQSVVREEWTAEVFDGELLRYPNIEEEMVEMLQIAMACVSRTPERRPRMADVVRTIEEVRRGDTGTRPSTEASTPAVEAAAQTRAESSSSAAQ >KQL08539 pep chromosome:Setaria_italica_v2.0:V:45897304:45898901:1 gene:SETIT_001799mg transcript:KQL08539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYAVSLLLVVLLLQCTAAQASQQPPLVSRITKDATSSLYTISIKDDAPLLVDLAGPLVWSTCPSPHATVPCQFDTCRAANQQRPRRCRYVDAGAFWAGREPPAWGCACAAHPFNPVTGECSTGDLTSFAMSANTTNGTDLLYPEAFAAVGGCAPGRLLASLPAAATGVAGFSRDPLSLRSQLAAQRGFGGRFALCLPAFAAFGGTPVYLGTESRGLVEYTGSIPYAPLLANPRNPSGYYIPVKGISMSWHGVDVAASLPNGALDLDGRTGRGGVVLSTVTPYTIMRPEVRRGNNKRVPAVKPFQLCYNGAFPLLKRPVSYDVDGAMCVGILEMEPGAMPVDGEPAAVIGGKTIENNLLVFDLEKGVLGFSLLDFQSTSCYSSNLSRL >KQL08762 pep chromosome:Setaria_italica_v2.0:V:46992059:46995315:1 gene:SETIT_003394mg transcript:KQL08762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSTSILLQILACALYNNWWPMLAALMYVLVPMPCLFFGGGSTHFLTSREGGGWINAAKFLTGASAMGSLAIPAILRHAGLIETGAMFIEFTSFFILVCTVMCFHRATLDEDW >KQL07585 pep chromosome:Setaria_italica_v2.0:V:40671020:40671433:1 gene:SETIT_003447mg transcript:KQL07585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPTRIAPRGHAPGISMCALGFSSRHLSVCHVATPPYARSICRWTRLPSGMRIRPAVAAIAHGRLRFGQSKNTSAHAYASTRWPIPIGRRLINLATILIKTID >KQL08472 pep chromosome:Setaria_italica_v2.0:V:45615460:45617746:1 gene:SETIT_003486mg transcript:KQL08472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAYWCGRQALIAWTWKWVDILWVMTMEIWMNMWRQVVQGIMVWPKILVLLLCVFVSCGSELKIEELRKFVRLPEDDLSMSMAGLVVCSILVCMHK >KQL04378 pep chromosome:Setaria_italica_v2.0:V:8590397:8592076:1 gene:SETIT_001534mg transcript:KQL04378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFEVKVVESSFVAPSEPTPRQGLWLSSLDIMLASRGHTPTVYLFHSDDTASDFFDVARLKEAMAKALVPFFPLAGRLAVDGSGRVEIDCNGEGALFVVARSDITVDDEIKDVKPSPELRRQLVPRIEPSSVVLAVQVTFFKCGWVALGTALHHAAIDAMSAIHFFQTWSAFSRDGERAAVEPPCHDRTLLRARSPPTVHPDALSTFYPKLAFSDPSGPLATKVFTISKDRIASLKRLCGGTSTFRAVSALVWRCACVARRLPPDSEARFTAPVNIRRRVNPPLPERYFGNALVRVVVAAAARDITSEALASVAGRIGAAIGRVDDELVRSAIDYYEMAGTGSQSSAKGTLPETDLQVISWLGMPIYDADFGWGKPRVMSRAESNRGGFVHLMNNGPADGAGGVSVLMCMEAANMKELERLLYEALARC >KQL08577 pep chromosome:Setaria_italica_v2.0:V:46131685:46132864:1 gene:SETIT_002306mg transcript:KQL08577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVHGVCYGMLGSNLPSRADVVELYKSNNIKAMRIYGPDHGALDALRGSGIALILDVGGIGDVRRLAGSASEAAAWVQAHVQPYSRDVIIRYIAVGNEVPPGDAAGILLPAMRNVRGALVSAGLDGIKVSTAVKMDVFTDTFPPSRGVFRDPSVMSPIVQFLAGTGAPLLANVYPYFAYKDNPRDINLNFATFRPGTTVRDDGNGLVYTNLFDAMVDAIYAALEKAGAPGVGVVVSESGWPSAGGFAASVENARAHNQGVIDHVRRGTPKRPGVLETYVFAMFNENQKPGEEIERHFGLFNPDKSPVYPITFPN >KQL05950 pep chromosome:Setaria_italica_v2.0:V:29475693:29482020:-1 gene:SETIT_000371mg transcript:KQL05950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEASSCLRYRVCGSGSRMIWLCLFLVLILQSCSPALSDSPYLVGMGSYDITGPAADVNMMGYANTEQIASGIHFRLKARAFIVAEPNGKRVVFVNLDACMASQLVTIKVLERLKARYGDLYNENNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVIVNGIEQCIVEAHNNLRPGKIYVNKGDLLDAGVNRSPSAYLNNPPEERSKYQYTVDKEMTLIKFVDDELGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWAEQNGIPKQGAHVTNDGLESLHKISGLPRRVSSIIPEPTEITDDLVQLASSYEASGGRRLSGSSITRRIRSTQQNKPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCNGKNELCYGRGPGYPDEFESTRIIGNRQFLKAVDLFNSASEEIQGRIDYRHTYLDFSQLEVNVPTSTGGQQVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDVKGNPFWRLVRNLLKTPGKEQVECQAPKPILLDTGEMKEPYDWAPAILPIQIIRIGQMVILCVPGEFTTMAGRRLRDAVKEVLTSDNSGEFNDIHVVLAGLSNTYSQYITTFEEYQIQRYEGASTLYGPHTLSAYIQEFQKLATAMVANKEIPTNFQPPDMLDRQIGLLPGVMFDSTPPGVKFGDVSSDVPASSTFRKGNIVNATFYSACPRNDLLTDGTFALVEKLDGSDNWVPAYDDDDWSLRFKWSRPAKLSPRSFATLEWTIPEDAPSGVYRLRHFGASKTLLGSIRHFTGTSRAFAVR >KQL03677 pep chromosome:Setaria_italica_v2.0:V:3894319:3897674:1 gene:SETIT_000638mg transcript:KQL03677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQHDGARLTSMCNFLHLAVYKGLKVEVMAQLPEQHAAATDSQATGAFIVNLQEKEFEVSETGILKHGQCNIHEVTAGRNTVLHVAAERGHHELIQELYLRFRDQGVLSRRNSALDTPLHCAARAGHVSAVTILAQVARDCGESTLLGWKNEAGDTALHLAARHGHGAAVEVLVSEAAESAAELNNAGVSALYLAVISRSEQAVRAIMACRDVSSAGPSSQNALHAAVFQSSEMVSLLLNWRPALVDQVDCNGSSPVHFAASDGDSTIVQAILRATPPRTLYKKDSAGLSALHVAARMDHSAIVADMVNSCLDAAELRDDNGRTFVHTAAREKRSKVVSLATSNPFLHGLLDTQDKDGNTPLHLAVAAGAPGVVEELLRKGKVRADVLNNDGHTAFDLAVGSTNFFTLVSLVVTLVAYGATLGPQRQDHLNRWSGSDTARQGIEKTSDSLAVVAVLIATSALAAGFNLPGGYSDSTGKAILSRKVTFKCFLFLDALAVATSVVVVVLLVYGKASRSAGSWKSFVVALHCMWVSLVSLLLAFYAALAAVLSSKAGYFYLQLAIYFVISFLSQFIVKWIGPDTTLRTVWRFLCQHKRLKGQRHAIRRQYPLARTIVCNLILFEFTSFLAIVGLIFIFGVSQWER >KQL04106 pep chromosome:Setaria_italica_v2.0:V:6519007:6519938:-1 gene:SETIT_002921mg transcript:KQL04106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADHVGENVTGSDGDQRSKVNGQDLEQHPRGDQEPAADHVSRGLAVGHFIRELMVEGMASFLLVFWSGVAALMQEMHGTLSFPMVCLVVALTVGFVLCWLGPAHFNPAVTATFAAFGYLSWAKLPFYVMVQLAGSVLACLSVNGVMRPREEHFYGTAPMPGHTRLPFLLELLASAVLMIVIATAARGSNPTAGGLAIGAAVGTLGLIIG >KQL05868 pep chromosome:Setaria_italica_v2.0:V:28555784:28562195:-1 gene:SETIT_000183mg transcript:KQL05868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGAAGFPRQLLVEAIECLADEGFWDALRRLKLEVLGIDDFLIPITGYYAPCQFHQASLFNLRSESLVPPSHNPVGDRNSCPILGTLINTNNMRGFQDLDRELLLKTEAKKILHDIVSGKVEEDPALLLRFLVISFADLKNWKIYYNVAFPSLVFNSTLLSLQVASEVLSNEELLFGFYDHGRRPDCPGWAIRNYIAFLSIRWKIEKVQFFCYREFKGNPDLVQSLIGEASFPSPSGWDDPDHVPDAFGWERVKPGKGTKDMKPKELDIQSSNPASQDEEKQLMHLKLMGWRHFPVNIDKLSQVRVLVLGAGTLGCEVARLLMTWGVRKLTVVDSGSVAMSDLVKQSLYIDKDCGVPRATAIVPHLKSRCPAVEVEGIQMEIPVPGHPYSPSKMAGVLDDCKHLQTLVAANDAVFLLTDTWESRWLPTLLCASENKIAITAVLGCDSYIVMRHGAGPGTSGGTYEVAAQIENLSTEDSLGHQRLGCCFCSDAASLFNPIPNGTLPGLTSVASGKAVELFARMLHHPDEIHAPGDIAGMETEHQLGLLPHQLQGSLPKCVLSMELSNSSGNCTACSIAVLSEYRRRGLDFVMQAINYPTYLKDLTGISNLKKPDTCPKMLTSICVNSDKISDVRCLLLGAGTLGCDVARILMDYGVRNLTVVDSGRVVVSNLTRQSLYTCDDRGAPKATAILRHLVERCPSVDAQGIQMEIPMPGHPVSPSEAAGVLQDCKRLKELVASHDAIFLLTDTRESRWLPTLLCTNENKIAITAALGYDSYLVMRHGAGPGISYEASNVTAAMDKLSTKDSLGRQRLGCYFCNDVVAPVDSVSNRTLDQQCTVTRPGLACIASGRAADLFTRMLHHPDGIHAPGEIASASSGHPLGLLPHQMRGSLSQYNLLTLLGYSSSNCTACSNVVLSEYRSRGMDFVMQVINEPTYLEDISGLTDLMKSTAYTQVEWVDETDEDDFIEI >KQL06736 pep chromosome:Setaria_italica_v2.0:V:35314104:35315795:-1 gene:SETIT_003978mg transcript:KQL06736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSTGDDVDRISALSDNLLQTILSFVREAPAVTATAVLSRRWRHVWNRDRSLPLDDYGIRNRAVPGQHFAGFVDWGRSPLAKVDEWIRYGVQRVAGDFCLRIPFAEAESEAEQNAVGLPGYAWVASISLDLSPHGPRLPAVAAYEELTELTLNDVSFREDEQGRAAVPYAISCLPAALACLVLRAEALEELRFFFAFGGLQALDVTAPNLLLLKLELAIGLRVARITAPRLQEISIVRRLGVIHLHMHGQYRHKEDDSFWLLRDCPGVDDVEVSLNFLHDGGRTAKHAVDATLEGEETFPNVRSMRVKADFNSGDLDHLVASMASLLMRFPRLRSLCIDLIGTKRVKISGFTGASEELDLVSLLFKSSRSVIKSMSLSAASKMRYAMYFKQMMEDEDDDEDADGDDSREPIHVKLMDMSSTKSNRGHWHFGKSVCTWVRYTEKL >KQL05737 pep chromosome:Setaria_italica_v2.0:V:27366614:27368191:-1 gene:SETIT_004649mg transcript:KQL05737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSPLDDPLLAPVKDDEQPGGDGDDDVASSQLLLQQGMGASFSRSCLNISNVISGVGMLSVPYALAQGGWLSLTLFALIGAVCSYTGELVGRCMSAGGSGAAVRSYPDIGQLAFGRPGRKAIGAVMYTELYLVAISFLILEGDNLDKLLPGTGVALPGGYLLRGKQLYTLVAAVVILPTTWLRDLSVLAYVSAVGLVASAALTASLVWAGVADHGFHARDSNVFSLAGLPTSLSLYFVCFSGHGVFPTVYTSMRNKKDFSKVLLASSLLCSLNYALTAVLGYLIYGDDVKSLVTLNLPSGKLYTRVAILTTLITPLAKYAVVIQPITAGIEEKLSLAAGQGTLTRAAISTAVLASTVVAACTVPFFGYLMSFIGSSLNVTVAVLFPCLSYLRIYMPRGRVRPAEVAAIVGILVIGVCVAVVGTYTSLHQIASTFS >KQL08674 pep chromosome:Setaria_italica_v2.0:V:46614367:46615102:-1 gene:SETIT_005619mg transcript:KQL08674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLIVLTASFDLAPHILSRESIEPKYLFLSVFVGLAEDMARLGSSSACHIVMLPAN >KQL05242 pep chromosome:Setaria_italica_v2.0:V:18788722:18789144:-1 gene:SETIT_003370mg transcript:KQL05242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCASNRSSEPSPAPAATWAFLLQEATGDSECDDDLQQAALDADDGDAESCSGGEDCSADLDERRLVSWECWMMESASVVAVGGEVACPASTEEGTAAGAGDADSDRLFWEACIAHGY >KQL03498 pep chromosome:Setaria_italica_v2.0:V:2731735:2736128:-1 gene:SETIT_000800mg transcript:KQL03498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGGGGGGGRRLPKSSLAPSAIDATPALDSSAIPIRNLDSAFSRRDSDAASLCSSRPASSVGVGVGAAPNFSDRATQAAALRIVNGYLSPALTLRGPLPAARDIQAALRLLLERIDFPPNEATFEDDLIQALRLLGCPHKITRSALKAPGTPHSWPPVLAVLHWLTLFAQYSDADASSAAEAPPNDLLLYTTQGYCHFLSGDDDAVEALDEEYLSKARMDGEAAVAKFRALEKEAQELEAEVNKLTSGPSRREALEAQKETLTADVHKFEAVVKTWKTKIDEREEALVDLEKELEAKVLDARRTAAENEELLKKVDGQAVNVRDMERMHREMLVIERDIANAENGKAALEDKAWELEAKLVTKLEELEGLAEQCNQALKRLKPVIDFQYMINSKGSSPAEILGPGYKTVLKPALMAHAEENKRIIVSNLAESVDLQKQLQGSAKILEEEKSNISSLQTKHDKMVARLNLLDGEIINDDSRCTADARRMKDELEKKYNAMRSVEMEADEFLKNSEKRLQDAILKNDEETQAAATELLQLLDSIAEHKEFMEATIAQRRKELYEAADYIASLVSKTSPPVLISE >KQL06614 pep chromosome:Setaria_italica_v2.0:V:34628877:34630150:-1 gene:SETIT_002813mg transcript:KQL06614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRQEQASYHAGETKARAEEKTGHVMGAAQEKGREAKSKAFNAADRAMGRGHDAKEATRDKAREAADRTMGMGHDAKEATRDKAYQAKDAASDTAGRAMDKGREARDNASESAGAAGDRARGGAQQAGGYVSQTAEAAKQKAAGAAQYAKETVVAGKDKTGAILQQAGETVMSTAVGAKDKVVSAAVGAKDAVVNSLGMAGENNDGTTNARKDTSTYKPGTGRDY >KQL05555 pep chromosome:Setaria_italica_v2.0:V:25666283:25668539:-1 gene:SETIT_001655mg transcript:KQL05555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRYPDPTAEASAGSGRTVVAPALAPGTEYGIDDLPRDLLLRVLSCLDARQVVQTCVLSQLWRDLWRDVRRINASRREFEIKNDGDYDARNPLFKKLVNRFLMLRNPVPLEEFRLWYCSRSQIKGDHEEANLWIGNALLFNARSVEVYVWGDKLDIDPAVFTSEHLRSLLFDGVILTPGFFRQLQSECRALERLILQDCPITDVEISSQTLKFLSIGQECLFQSDDQASICTPNLIHFGFFAHAPHPRIPLLKNMESLVTAYITLDGFQFNDTLVDDIRQFLLGLSCARKMDLYFGQTKLAILGNNLQWCPKFNNLKLLTLGTWCLDANFYGLIVFLQNSPNLEKLTLELKEYVGAPKALMGEQGNRSFACEHLMTVEIICSEHGPMIDRLKELLLGSGMTPCQIHIRH >KQL06318 pep chromosome:Setaria_italica_v2.0:V:32552268:32555340:1 gene:SETIT_001945mg transcript:KQL06318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSRGTRIRRRVTLFLLLLVASSLVGTSRAREAQPLPTAAAGGGSRRAPERHGLSLDFYAKTCPAVDQIVASVTAARYKDFPAAGPAVLRLFHHDCFVEGCDASILIAPTAAGAAASAAPTVERDMEENKNLPQEAFDTVELAKAAVESKCPGVVSCADVLALAARDYVQQAGGPYYPVKKGRKDSKVSLAGKVRGSLPRSNSTVDELLRVFAGKGLGTADLVALSGAHTVGFAHCVHVLGRIYDFRGTRRPDPLMDARLVKALRMSCPSSGGSARVVVPFDVSTPFQFDHAYYANLQARLGLLGSDQALSQDPRTRAMAQELAGNKTRFFQAFVASMDRMGSIRIKKGRKGEIRKVCSQHLLAV >KQL06413 pep chromosome:Setaria_italica_v2.0:V:33197018:33198893:1 gene:SETIT_004667mg transcript:KQL06413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQCLLPTSFGDLGIPNLSDGYGTEDALAVACHVDGARTWAGFRFPNNQLVSAFFNASLSVEVSMGDRALFWLDRWINGCNIQALAPNLWGAVPACVRNKRTVREELTDRRWVRDISYAVTIQLLLQYLKIWDLLHDWPLNHSFGAGRLQDSFSLPPPTKYFSWAGHTFWALNSFGKSRLHYSWSLWRERNRRIHERSALQPIALPPAVPEEARTLASAGFVGISLLLGFSGELLVPHVVPPWRKGPCAS >KQL07287 pep chromosome:Setaria_italica_v2.0:V:38932419:38935142:-1 gene:SETIT_005190mg transcript:KQL07287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLASIIDTVFRPLKDYVMRTVGYAMSCGDYIDLLVDEMSELKSKRDDVQRMVDAAERQGMEATSQVTWWLERVSSLEGLADEIILDFQERLELPPEQAPGIKATYILSKKADETRAEAAALKEKADFHKVADELVQLRFEEMPSAPVLGRDALLQELEACVRDGDVGIVGIYGIAGVGKTALLNKFNNEFLINSPDIHVAIYMELGKDYNLDDFQRIIGDRLGVSWENRTPKERAAVLYRVLSKMNFVLLLDDVWEPLNFRMLGIPVPKHNSKSKIVLTTRIEDVCDRMDVRRKLKMECLPWAPAWELFREKVGDHLMSASPEIRQQAQALATKCGGLPLALITVGRAMASKRTAKEWKHAITVLKIAPWQLLGMEFDVLQPLKKSYDNLPSDKLRLCLLYCSLFPEEFSISKDWIIGYCIGEGFIDDLYTEMDEIYNKGHDLLGDLKIASLLEKGEDEDHIKMHPMVRAMALWIASDFGTKETKWLVRAGVGLKEAPGAEKWSDAERISFMRNNILELYEKPNCPLLKTLMLQGNPGLDKICDGFFQFMPSLRVLDLSHTSISELPSGISSLVQLQYLDLYNTNIRSLPRELGSLATLRFLLLSHMPLEMIPSGVICSLTMLQVLYMDLSYGDWKVGTSGNGVDFQELENLRRLRALDITIQSPEALERLSRSFRLAGSTRNLLIKTCSSMTKIELPMSHLWKNMTNLKRVWIVSCSNLAEVIIDVTKETVGSNALPRAILQVRAELVDEEQPILPTLHDIILQGLHKVKIIYKGGCIQNLSSLFIWYCHGLEELITVGEEQDIAAGDGEQASGASRVITPFPNLRELYLHGLAKFRRLSSNTCTLHFPALESLKIIECPNLKKLKLSAGGLNVIQCSREWWDGLEWDDEEVRASYEDLFRPLH >KQL04604 pep chromosome:Setaria_italica_v2.0:V:10181278:10185381:-1 gene:SETIT_001564mg transcript:KQL04604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAEKSRAASTASVATEKPARSADRRPLYFAAFLVLADAALVALIIAFVPYTKIDWDAYMSQVDTFLEGERDYTKIEGDTGPLVYPAGFLYVYSAIKFLTGGQVFPAQILFGVLYIVNLSLVLLLYVKAEVLPWWALGLLCLSKRVHSIFVLRLFNDCFAMTLLHAAMALIIYHKWYLGLIVFSGAVSVKMNVLLFAPSLLLLMVKAMSIKGVFFALLGAAVVQVLLGMPFLLSHPVEYISRAFNLGRVFIHFWSVNFKFVPEKLFVSKELAIALLILHLTTLLVFAHYKWLKHEGGLFGFLHSRFKNTKSIQQLVSSQPRPSILSKEHIVTVMFVGNFIGIVCARSLHYQFYSWYFYSLPFLLWKTHFPTPLRIILFLGVELCWNIYPSTAYSSLLLLFLHVSILLGIWFSRTEYPYINKST >KQL07814 pep chromosome:Setaria_italica_v2.0:V:41948113:41958142:-1 gene:SETIT_000120mg transcript:KQL07814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPETTEAPPPPEYREGTTKDGVEVCLFDESADGFSRAVRAISELADLEPEPDFTEAEVERLASSITFLREWRHFSYEPKDVSFNNGIESTSSRDDMHSITLTQFSSVSVPQYLAVAAHPPGSSYHKIGMPLTGRGIIQVWCLLAPFEDAHSRRPLDACNSISRRGRPRKIPDGTNSVGCSSNPPKPRGRPRKRPCNDQMEPVPKRPRGRPRKYPLPIGKLEDSSQNSGCQDIVLFDPLATSTGIPDDLPLAYALPTMNPVESTPKRGRGRPRKIPSDKLTGSSGAVSKDECTEPSPTTATCAKPKRPRGRPRKYPVPINDKSASGADVDLGEETTCQPLTGPSGAVSKEDVCREPSPTTAICAKPKRQCGRPRKYPVPINYKSVSGADVDLGQETVCTEFNANLSIVAVDAALPIVQKVTSSPATVVKESACIEPSPNTATRKQPKRTRVRPQKYSDTDIELGKHTTGQPVSSECSLDHTACTESNLSIVAVDSASLFTSSTTATCQKSKGDSLQPIKISPKSRESSGRKGRGRPKKSLLSVGTSCLVASDANFPVTTSVLPSDNNLTSLVKSDGEFIASNLGSIGSSGLGVEKSGVHAGVVPSDAASPGHGLYNANFKEESSTKRGSGSRKKLVSTVHSHFTDFSGKEQKTKTTQKSSDPVILVENCMNGPCPRKGGGQPQRIPASNESTSTSVGDETHTMERFLTPMTTGPPRSEDMADEAGLIQANNGIVNCEGMKLNERIAANVTSHCNENAQTNQVAPNFKNSDRLIDEVEATELVPLKEPREDVNMFCSVENSNSSPIPKDIALPRLVLCLAHNGKVAWDIKWKPPVVSQPEQKSRLGFLAVLLGNGSLEVWEVPSPCMIQKIYSPSKVEGSDPRFLKLQPVFRCVKVKCGNRQSIPLTVDWSPSPPHDMILAGCHDGTVALWNFSMNLSSQDSKPFMCVIAESVPIRALSWAPYISDENINTFVTAGADGLKFWDLRDPYRPLWELTTAPRAVLSLQWLKDGRGIVISMEDGTLKFLSLPRIANDVPATGRPFVGTKNQGVATYQLSEYLIWSVHASDTTGCAALLWGRWYCCVLPAYSEVLGEGTWAEPCSLFPLWIIIRGWRKY >KQL08596 pep chromosome:Setaria_italica_v2.0:V:46264145:46264582:-1 gene:SETIT_003934mg transcript:KQL08596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGAEMIAGAVVQRVAGMLGQAAWERVELLQRFSDDFEEMKGTLITVKAVTADAEKRSQRSESVRLWLKKLKSAAYNIEDMIDELEANTKIWRSSTCPS >KQL05059 pep chromosome:Setaria_italica_v2.0:V:15023477:15024147:1 gene:SETIT_005105mg transcript:KQL05059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNPPKDIKSTNNEPIVSPLHEALVGTTAMPIKDPSLQSQPSIQPIFHAPQEPSNMPMNHIILRCKTARRNHSHFSSIPFQARFTAENSSNQQLTFSSERNFLTTPVSDYLSFFDHTSIMSMDAPPITSLVQGDPVAVLHAHLNTIRGSDLGPSFENPTQVPVRETVGASDNIMQSMSNSMTKNERGAGIYECKICPAKFFSAKALDT >KQL07630 pep chromosome:Setaria_italica_v2.0:V:40918828:40920569:1 gene:SETIT_005139mg transcript:KQL07630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVGLLIVFSSFLVIIHNIFECHVCAVNLEKFGNASLEDKANELAPPHENILFNMIMNNGSYCIQQSNGKGAPRPNASAMSGYVAAHIMSMQNIHGIEVTSDVYGFSIDQDEQSGIFVQIINRGDGTKSSFDGLSVGWHVYPGLYGDSKTHFYRDGYQRTGCYNLKCPGFVPEANVPMVPGVVIHAVSDPNGVKRTIIFKILKKCSSYFPMVMQVWLCLETYYWLVHLGFDSEPYLIGRFPKSLFTSLGNQANEIQLAGTVVTPTTHLAPMGSGSKQSYMVRQDLPTFMTDKNIYSVSPVGVDGKFTYGGPLE >KQL07292 pep chromosome:Setaria_italica_v2.0:V:38972352:38975933:-1 gene:SETIT_003908mg transcript:KQL07292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTDDQGMDSGIDRISLLPTEILHNILSVVRIRTVVRMRRLSMRWRQVCEALQFICLTRREFRSWRADKFARFVNNLLLLRERVDLHTFQLHWCGRSPLDCNDVRMWIGYAVKHNVKVLDVALEAYDQDSLPRCVFVCPFLEELNLQLGDTSYGHVGLVLPDKISLPSLKKLTLYDVEVSQLSLDRIIACSPGLEDLSFTNCARYFKLIDSKILKRLTLDGFIDGGDGFTIATPCLIHFECSGCALECICWRERPSLESAHIDSCGPTFDGQSDFTGILLCAKTLTLLNFQGSDMKVMLEKQLPACSVFENLETLEIGDWCLTDNFNIVLCFLQLSPRLKRLTLVQRKLPEEANGVKVDAVPINGMTLQCPLLETVVIRCSKDDREIHKMVNAMVANGVSLEKINVKFYEVLVEKVIAEIIRSRHEQEKEFAIFEKTMEENPEWVDDSIYARSDSNNNNNEEGIEDEEDDEWEDEDDEESEVKDEEVDEWEDEDDDESEDEDDEESEVEDDDLEEDGVLDNNEDGNNNGMNGNGVDNNVDGNDGNLEDGINNNEDGNDNGLEEDGIDDNEFDDDDF >KQL08614 pep chromosome:Setaria_italica_v2.0:V:46313057:46314166:-1 gene:SETIT_002820mg transcript:KQL08614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPVKLIGAFGSPAVHRAEAALRLKGVPYELILEDLENKSELLLTHNPIHKKVPVLLHGDRTICESLVILEYIDETFDGPALLPTDPYDRAMARFWAHFMDYKCAKPLMLSVWSEGETQKESVKEAKENLALLEEQLKGKRFFAGDSVGYVDIAACGVAHWLSVIQESAGVSLMSDDEFPALHQWAKGYTSDEDVKPCLPDRERLLAFYVANIDKYRQMLKASV >KQL03177 pep chromosome:Setaria_italica_v2.0:V:202431:203827:-1 gene:SETIT_005031mg transcript:KQL03177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQQQPLPPPAKKKRSLPGTPDPDAEVIALSPRTLMATNRFVCEICGKGFQRDQNLQLHRRGHNLPWKLRQRSGKEPPRKRVYVCPETSCVHHNPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHAKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAEETARLNAAAAPSSYHFAAGSPPGLAAVRPNMVLPAPPHLKLWGGSDTATLPSMGIIGGVLAGAGAPPPVVPPQLYAELLPVPGAPSSQQLDAAQLGWLYGGSTGKQLSSSNASDLTTTEAPSVFSGQHHGNAKPAPPTDMSATALLQKAAQMGAVQASGNAMSAAEDVFRASSQLQHAANLTGGNVAYDILSAAGLNKDAAVHVGREETRDFLGVGVQALCSSSLPLHGWIA >KQL07127 pep chromosome:Setaria_italica_v2.0:V:37816039:37818229:-1 gene:SETIT_003794mg transcript:KQL07127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAVSRSKNWSGECKNLWRVAGPVILASVFQFLIAFVTAAFVGHIGKVELAAVSIVNGVIEGLAFGLLLGMGSALETLCGQAVGAGQLQMLGVYMQRSWIICLATSLALLPLYLFASPILRLLWQSADISAASGRYARWCVPQLFAYAVNFPIQKFYQAQSRVWVMTAISGAVLAAHALLNWLVVARLGRGMVGAAVVGDVSWWLLNAAQFVYLVGGSFPEAWTGFSRKAFASLGGFVKLSIASAVMLCLEMWYYTAVLILVGCLKNPEIQVGAISICMNYQLWTLMVALGFNAAVSVRVSNELGANHPKAAKFSVVVATTTSAAIGLILTAVALAARKQMPRLFTGDGAVVKETAKLGYLLAATIFLNSIQPVLSGVAIGAGWQSLVAFVNIGCYYLVGLPLAAVLGFKLKLNATGIWVGVLIGTVLQTVILFVILARTKWQKEAMLAEERIRVWGGNVELPETRETRPSENIDAPV >KQL06062 pep chromosome:Setaria_italica_v2.0:V:30401977:30402326:1 gene:SETIT_005321mg transcript:KQL06062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVSGLLPYFLLIGIKQKILLYVFLELSLIGVVRTNPTAWK >KQL06857 pep chromosome:Setaria_italica_v2.0:V:36126994:36129536:1 gene:SETIT_001483mg transcript:KQL06857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDIGLSARAAGASSSSSSSPSAAGDDDDESKTRKAHPAFVSSAYTRLHSSHRAVASLLLLLTVAVAAFLAGRARPSIECATPRLDARFLALPDAAAASDFGSLGVPWCRSKTGKTVEWTSKDLLNGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFMTRWLKPDLMIESGAFKGHSTWVLRQAMPNTRIISLSPRHPEKYMKKGPAYVDENCTYLAGKNFVDFGSVDWEKLLRNHGISDSSRVLVFFDDHQSELKRLKQALKAGFQHLIFEDNYDTGTGDHYSLRQICDQPYIRGGGHSCFWDSDEARLRSKRKKLWEKAVEIDDLCGEGDAWWGVRGYMRDNFNHSNKAISYEEHFQNSRFVESMVDLYWELPPVAGPSLTHQTRYDPARAADPIIEDGRYALFRRTGLERLDASVFNGYTQMAYVEISSSKLNTNDV >KQL07942 pep chromosome:Setaria_italica_v2.0:V:42703375:42709252:1 gene:SETIT_000026mg transcript:KQL07942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHACASEETNMNEVNFDLACSTSCYKMCDHGDGSSTVTGEINWLTMDSSPCSTPYGSPMFSRENSFSSFASCFSSLGDSLMDSDTEEETELQDTGQLYPNTLLSDDLMEQGEGSLIRVEECQLSDIAIVDDGATFPIPADQNISSGYPQLETLEDSKEKNDATNIISDSDLSYEQHQEILSDNQFIETKCGVSVENVDLKQSGFIDVEKVTSLPMAGGDIIQLNEQVMDRHDSTMENTIVYNNISNTEPDMKNGANFDNENECLYPLVLPSFDADPLIWLPPEPENKEDDFDTVLNICDQSENYSTGWGESSLNVNLAERSRESREDQLQKVMSEVMNGQFKILVSRFLAAEGFSLSDGGTNKNWLDIVASLSWDAAQLVKPDASSGNEMDPGMYVKVKCIASGSYKQSEVINGLVFKKGASHKQMRANIKHPKLLLLQGALGYSSTGLSSINSMKQENEHLEKTLCEMIGKCQPDVILVEKAVSRNVNEYIQKQRVTVVSEMNIHRLERIARCTGSPVMSLQDVLAKPSLTKQCESLHFEKFVEEHNITGEDGRKSCKTFLFLEGFPRPLGCTILLKGATREELKKIKRVLHFTVFAAYHLILETSFFADQKLFTTDKITTGKQKCFKTNPQLLGPCYDSSKNTDTMKHSTPTCDDQYANQEKLIHTEKSIPLHLHDSKIMVPEDPASEKHIDSKGVQSYSPLPVMDPSTTFMQDTSSSDCAESNTCDGFDGSTFTNNSKEVQKFQEAVDGNFSGSGAALNTQDILISMSSQHIKNKAVCDQNHLSRITYYGYFDTSLGRHLQDNLLNEKQSCLSCGESPEAHMYSYTHHNGILTVLVKRLPLESSLSGEAQGRIWMWTRCLRCNAKPNRRVIISSSARNLSFGKFLELSFSTHSAAKKLPACGHLLHRDCLRFFGLGSKVAMFRYSSVEIYSARKPPLTLEFNNRNKKDWLDVEVNNVLLKWKQLFSEIENVIQGLRSRYSTQAMGKDTNVSVYEGLLLEVASMLMQEKHEVEVSLKAFNQVAIPKSFAHEILDLNWLYQQLLLGFYVWDLRLLHTLHYIKFSTTSSDNSIHESTVKNELKNSGSIAIQDAPAVKNTGIERKEATINSYGSCDDSCANKILDKAQLTDKLIIKEHELPIYQDHDVRSSLSSQGKVAESGSHQFETSVEKSIALPFMNHEQPAASKVNKMYGGVIPSDDAGKWVWNRFSHLEMEYKKELRGGSLDNFHLINKYTPCSSSLTRLKHEMDLGHFIVGCGGNILSISEEEVSSIIAYALTMSEQQGSYSDAAPSNLERNASLLPPMLSPNESLENNRNFSRFTSPISPEESTPQFYDSFLSALKDQHPEIDLNNEKIAPRRKYTVVCVYAKQFQELRKICCPSELAYISSISRCKHWNAQGGKSKVFFAKSMDDRFIIKQIKKTEFDSFLEFGLEYFKHFSVSQVSSNPTCLAKILGIYQVKETRNGKETRTNFMVMENLLFGHNIIRRYDLKGALFSRYVPDSKNPEKVLLDQNFIEDMRTMPIYIEGKTKNLMERAIWNDTAFLSLMNVMDYSLLVGVDKQKKELVFGIIDYLRQYTWDKQLESWVKTSLVVPKNLSPTVISPREYKIRFRGFMSQYFLSVPNA >KQL04724 pep chromosome:Setaria_italica_v2.0:V:11212634:11213035:1 gene:SETIT_004731mg transcript:KQL04724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKSSRLRVLLPHVACLVLAAAHLARAAQEREPRAVAFVAATHGALALLFLCLGRHDVAAPTGEARGRLRVLVWALSAALTGMFACRVAAAMPRPLALLVYGMAVLVASGGFVLLFLCDAGEYGDAGLGIRT >KQL05796 pep chromosome:Setaria_italica_v2.0:V:27925831:27927997:1 gene:SETIT_002768mg transcript:KQL05796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAGEEAAAAGEELEPLFEYKRVQPTISFHFDDSDLEKADIFRHCNKRPRVDADAAAEEGGKPDEKGAPAKVVDVDEDEEDWLLPPPPKAAFKPPAEEDSALRELRLKKQEFAKFAESAHDILQELDATTKKQVGPKEPPEQIVLDEESEPEVEKARGKIVISIQDKDGKQQFRVYKDEKFDKLLKAYAKKAKLNPSNLVFVFDGEKINPSSTPEDLDLEDDDMIEVCHKRR >KQL05803 pep chromosome:Setaria_italica_v2.0:V:28016568:28022124:-1 gene:SETIT_000538mg transcript:KQL05803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRAPLAALAALLAVAALALRAAVAEPPPSERSALLAFLTATPHERRLGWNTSTPTCGWVGVTCDSSQSTVVELRLPGIGIVGAIPPGTLGRLTNLRVLSLRSNRVVGSIPDDLLQLPNLKALFLQQNRLSGAIPPGIARLGGLERLVLAHNDLSGPIPFALNNLTALRVLRLDGNNLTGSIPSISIPRLDVFNVSDNNLNGSIPKSLSRFPPEYFSGNLLLCGDPLPPCKSPFFPPAPAPGMSPDGGSPMPGSSKKRKLSGAAIAGIVVGAVVVGLLLLIAIVLCAVSRRRRDGAREGPKAATSAAAAAATAGRGQAPPASGEGGGMTSSSKEDLGGGASGSAAAVAAAAAGGAAGEQSRLVFVGKGAGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVAVARREFDAHMEALGRVEHRNVLPVRAYYFSKDEKLLVYDYLPNGSLSAMLHGSRGSGRTPLDWEARMRSALSAARGLAHLHTAHNLVHGNVKASNVLLRPDPDAAALSDFSLHQIFAPSSTRAGGYRAPEVVDSRRLTFKSDVYSLGVLLLELLTGKSPAHASLEGDGTLDLPRWVQSVVREEWTAEVFDVELVRLGASAEEEMVALLQVAMACVATVPDARPDAPDVVRMIEEIGGGHGGRTTTEESEGVRGTSEEERSRSGGTPPAAPTP >KQL05778 pep chromosome:Setaria_italica_v2.0:V:27796791:27801095:-1 gene:SETIT_000374mg transcript:KQL05778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVATRSRVTAACGGGEGAQAWGSASAAPAPREGAASHHHHGLKEKMRALTLLYEQHKQQVAASQAGGAAARQHRRSIRSLSAAEVVNDENAKNPEEEEEGGRVAVRHHDAFALVPEAAVLRENVAPPQARAPFKDSHAVVFGRPAEPKEKESVVGCAGNAMSCPIKKVVPALPVLPAPTARKLSLGGAVGGKLKATGEVGAGTAEAAENRILVFVRLRPMSRKEKEAGSRSCVKIVNKKEVYLTEYASENDYLRLKRVRGRHFCFDSAFPDSTTQAEVYSTSTADLVEGVLQGRNGTVFCYGATGAGKTYTMLGTMENPGVMVLAIKDLFSKVRQRSHDGNHSIQLSYLEIYNETVRDLLSPGRPLHLREDKQGTIATGLTQYRAYSTDEVMKLLQQGNKNRTTEPTRVNETSSRSHAILQVVVEYRSMDGVDVVTRVGKLSLIDLAGSERALATDQRTQRSIEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGSCNTVMIANISPSNLSFGETQNTLHWADRAKEIKTKALTTANEEVLNVPDSDTDQAKLLLELQKENSVLREQLVKQQQKLLTVQAQSLASNTSPQQSPVPTSHVSTPSSAQRKVKRSILAGHCFNTPDSKRPAADNAKVGELQRKVKTLEAEIEKMKKEHILQLKQKDEFIRGLINRKAPNNCEEATGDRRVTTRASLRKAQRDASAAGELKSPSHRFTSPAPTAKKRTFWDIGGNSPSVLAANGRKTRSHVATETPKKGPSMLLQPGFARQRAIH >KQL03973 pep chromosome:Setaria_italica_v2.0:V:5666426:5666934:-1 gene:SETIT_005529mg transcript:KQL03973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLFVGRLITFSFHSSRESGDMTRCCSTRSSGNSLLGIR >KQL05213 pep chromosome:Setaria_italica_v2.0:V:17987591:17988236:1 gene:SETIT_005443mg transcript:KQL05213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSKRTTDDCFTIPLQLIPHTGVFEDNMGLSLLSEKTEGNLSERVHKKYITLVTNHD >KQL05587 pep chromosome:Setaria_italica_v2.0:V:26059335:26059469:1 gene:SETIT_004462mg transcript:KQL05587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFEPSTRVAHASYAFNSYYQRNHRASGTCDFAGAASVVYHAPS >KQL04668 pep chromosome:Setaria_italica_v2.0:V:10622505:10624300:-1 gene:SETIT_004034mg transcript:KQL04668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRRTEERVGARGTSGRQPGTFTCPAPAFMVRQNFITDTPDDPPGGSSACVCFRSLSACPELLTVAVVGEGSDGRAGAGPLLPLSGAAAGRPTGSRGPPGLMRKSLRNLTSDPRPTHYNQTVSAFPSPHLLSLAHTERQLQPRQPHPRRSAMSVETERSSTESSAASGLDFEDTALTLTLRLPGSASSSSSSSSSAFPDPDHKRPSSDADADRSSPIPAAAESSDAPPAPKARVVGWPPVRSYRKNALADVAGSCKAKQAAKFVKVAVDGAPYLRKVDLEAYAGYDQLLRALQDKFFSHFTIRKFADDERKLVDAVNGTEYVPTYEDKDGDWMLVGDVPWK >KQL06128 pep chromosome:Setaria_italica_v2.0:V:30851473:30853314:-1 gene:SETIT_001692mg transcript:KQL06128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHWMLRFLFGPVPVYFSALAILIVLTNAQYFGLVGVGVAPRAAKLASTTPVVSVMKYCDIFRGEWVPDTEAPYYNHKTCHMIQEHQNCLKYGRPDLGFLKWRWRPSGCELPRFDPLQFLQFARGKSLAFVGDSLARNHMQSLLCLLSQVAYPKDVSANPTDQNKVYHYRAYNFTISMFWSPFLVRTRAPDNDGPAHMGHWSLYLDEPDESWVSQISRFDYVLVSAANWFSRPSLFYEKRRLIGCSFCSRQYGVPDLTLYYSQRRAWRVALRAINDLEHLRGRVIVRMLSPMSHFENGTWDQGGDCRRTQPLRANETAMEGRDLHFYTAQMEEFRAAEKAAREKGRRLMLMDATAAMLMRPDGHPSRYGHWPNEKVQLYNDCIHWCLPGPIDIWNDMLFQMLLA >KQL05335 pep chromosome:Setaria_italica_v2.0:V:22708947:22710406:-1 gene:SETIT_004860mg transcript:KQL05335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNNALRVFLILTVVCAVCAAKKGGAKKDEAAAAAPAPGGAAAPEGAAKATGAEGTFDISKLGATGDGKTDSTKALQDAWTSACGATGSATVLIPKGDYLVGPLNFTGPCKGAITIQLDGTLLGSNDLARYTASWIEVCHVENIVITGPGTLDGQGTGLYTKSKTDCKALPNTLVLYHVTNGTVSGIKLLNSKFFHINIDTSKDITVKDVSITAPADAENTDGVHVGSSSTVSITNATIGTGDDCVSIGPGSSGVTVSGIVCGPGQGISVGCLGRYKDEKDVTGVTVRDCVLKRTTNGVRIKSYEDAESVLTASNLTFENIKMEEVANPIIIDQYYCPEKVCPGKRSNSSMVSVKDVKFRNITGTSSTPEAVSLLCSEKQPCSGVELIDVNVEYASKNNKTMAVCSNAKGTSKGSLEALACLV >KQL03999 pep chromosome:Setaria_italica_v2.0:V:5803621:5806119:1 gene:SETIT_002077mg transcript:KQL03999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLLCDPSAAAVAEPLPPSPAPAPPPPLLAWHSPAPAPALEASTSPTGWDAVWALEDQQRRRLHRIWERGVAWKPSSPGGEEGAAPVVFRLDHGGEVDADGNCLFTAARTAAAAKADARELRHRAVRRFAEVYDAAGEDDRGAVDAAVRHLYAPDLKAGWGVHVVQEIKVLAPKAQRDALDAAIQELVDLGIQREIAAETIYKERCIAVNNGDSWAKYMSISGSAEDEHDIITLQYTEEGLLTIDENRDGRAAAFGDDIAIECLATEFKREVYVVQAHGADAMVDEDNCVFFLPHRPRGEICEPPIFLFMKGTAWCGAGADHYEPLIATVLQHVTSDKAAVVL >KQL07668 pep chromosome:Setaria_italica_v2.0:V:41093633:41093961:1 gene:SETIT_005592mg transcript:KQL07668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRKKQTYLLQITTVKRLPFHIINSTNKHKEMKRNTIPLP >KQL03655 pep chromosome:Setaria_italica_v2.0:V:3730033:3732657:-1 gene:SETIT_003024mg transcript:KQL03655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHYQTLGLRRDATKAEVKAAFRRSALRDHPDRHASSTDSAARADAARRFRQASDAYHVLSDDRRRAEYDLRLRGSASSYARTSSSGWASSSSAPYGYGYGYGHGGGSWRRTPPGAGAGAAAGSIDWEFLLKAVTRRGFLINLGFASVLLSGAAFLDGSILEIWNMNNSGKSFEDVIESIEKAKKDAERR >KQL05704 pep chromosome:Setaria_italica_v2.0:V:27116245:27116859:-1 gene:SETIT_005006mg transcript:KQL05704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARLAVAAAAVAVAVAAAAAAALPLLAAGAASDDCFENCFKHCVGNDKSMTDYCNYACGMTCGGPDNGALRTINCQLACVRDSCRRLRADGNKGMEACYGQCYDGCETKAGLPRPLRAGAGAVGAAVLPDHHFHEMQDAVQPTSEPDPDDASRRARAPFLP >KQL08478 pep chromosome:Setaria_italica_v2.0:V:45644556:45645480:-1 gene:SETIT_002972mg transcript:KQL08478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIQPLLAAASSPSLSCSSSGDESPTQQKQAAYTVWMKSLVFSGNGCAVYGADGRVAFRVDNYGCRGGREVFFMDRAGKTLIRIRRKSFGIFRRWEACRYFDGGEEARPWFTVCRPRKGGAAVTMRGGGRAAYAVEGCSRKSDYKISGAGGAVVATIARKQTASGVVLGEDVLTLTVGPEVDHLLVLGLVVVCGLINRRL >KQL07553 pep chromosome:Setaria_italica_v2.0:V:40472004:40476306:1 gene:SETIT_000974mg transcript:KQL07553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAADSTADQAPNPAPGEAAGEAVKAPAHPDRGEPNAEAADEEYEEEEEELDGPEAEAAEREKIDAVFRRLSDAPVGIHVHDVIIQGNTKTRDALIEAEAVDLIRSAATVQDLVRAASIANARLRRLEVFDSVHITLDAGPPELPGTTNILIEVVEAANPIDGSVGCLSKPEAKSWSVEGSLRLKNIFGYGDIWDASGAYGWDQSSEIGIGVSLPRFRSIPTPLTARASLLSHDWLKFSSYKERLLGLSFGLLSTMHHDLSYNLICRTLTDPSQMASKSIRKQLGHNLLSAMKYTYTIDHRDSHLRPTKGYAFVSTSQVGGIWGSKGLRFFRQEFDFRGAVPFSFYNAALNAGISAGVVLPLGRGFWGSPSSVPDRFFLGGNSSPVCSLGGLNSLLGFKTRGIGPTELRRFVPSESAMDDSAASPGRDYLGGDFAVSAFADLSFDLPLKLFRDAGIHGHAFLTAGNLAKLSESEYRNFSFAEFRRTFRSSAGVGIIIPTKLFRVEINYCYILKQFEHDRGKTGIRFSFSSPM >KQL08731 pep chromosome:Setaria_italica_v2.0:V:46881689:46882718:-1 gene:SETIT_002308mg transcript:KQL08731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAMEESATNSHGTDDPLSKLPTREGWAQPLVLYKNYWLRPRFAATIMRLQNTFEARHDDIVLATNPKCGTTWIKALAFAITNRSRYEFGNHPLLFRHPQDVVPLIEIPRDGGMTYVETLPSPRLLATHIPLSLFPDSLATCDCHIVYVCRDPKDALVSRWHFENKVHRERSIDFEAAFNMFSEGFSGHGPFWEHCLEYWRESIACPNRILFLKYEDMMSEPVKHVIRLATFLRVPFSIKEEEDGIPEEVVRLCSFDKLSSLDTNKTGELVRPGNLIIEKKGKVGDWVNHMSQEMGRKLDCIVEEKLKGTGLVL >KQL08380 pep chromosome:Setaria_italica_v2.0:V:45132409:45132838:-1 gene:SETIT_005433mg transcript:KQL08380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLACSRFLPRTRQPYPRTKVNPELHPSTCCRSTGRTTRRGGRRRRHERKRMPSPPAGSDPSPPLTAAGLYIPALAVIYRQPSHCDLAARRR >KQL08659 pep chromosome:Setaria_italica_v2.0:V:46546738:46546917:1 gene:SETIT_005003mg transcript:KQL08659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHTEACFVTEAPCFSFWRVKFHCIPFNTRIVFIML >KQL08471 pep chromosome:Setaria_italica_v2.0:V:45612948:45614473:-1 gene:SETIT_002254mg transcript:KQL08471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRALDNTMPAVVEERPKKVAKVAVPAPAAAVKAASPGSGKKKKKNDENSAPRATAAAGEQAVEYIPSAELEAAAHPKAKAAGLVAELDSKDWIRACEALNDARRLAIHHSALLNPILEKVMLAIVKTMKNPRSAVLKTSIMACTDIFNSCGNLLSSVSDDAFDKLLLQLLLKASQDKRFVCEEAEKAMRAMAASMPPLPLLKKLKAYVHHANLRVRAKAAVAISHCAARMDIEAMKEFGMSALLQVAAELLNDRLPEAREAARSVVGSMHGAFAKEAAARGEEEEADAPTVAASWESLCSLSLPPISAQAVVKIASSSQ >KQL03390 pep chromosome:Setaria_italica_v2.0:V:2119748:2123383:1 gene:SETIT_004092mg transcript:KQL03390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDSQDIQSTTELQSSKQGTNEVQSQQPNPMATDAPAGDSGSLSVASNDNKKVSREDIELVQNLIERCLQLYMNKGEVVRTLSTRARIEPGFTTLVWQKLEEENSEFFRAYYIRLKLKRQIILFNHLLQHQYNLMKYPAPPSVPLAPIQNGIHHMPVSNLPMGYPVLQQPMMPAPGQPHIDPMACGLSSGHVVNGIPAPGGYHPIRMNSGNDMAVDNGVAEAPHAGVTGSAMSSEMAVSPSSVASSNHAPFTPSEIPGMNMDVSALDSTFGSDVGNAGPLQLGPDGSSRDSIKSLGQLWNFSLSDLTADLTSLGDLEALENYAGTPFLPLDSDILLDSPDQDDIVEYFADAINGSQSDEEKP >KQL06316 pep chromosome:Setaria_italica_v2.0:V:32537448:32539016:1 gene:SETIT_003057mg transcript:KQL06316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKECHLQWWQICKYPPPYPLRNESSGGRELAARDDDAIRRGGGASWRRGTSPTASLSAAFSPVSRGAEEAGSRGVWGDAGGGVRAHGVHLPIPPGPAPTPLPVPAARLPCGSCGAILAVPPGLARCGCPVCGAELAVDPARLCQYLLSTAAAPLVPVSLPPVMRKCPIPAVLGFRLPPPPLDL >KQL04696 pep chromosome:Setaria_italica_v2.0:V:11042434:11046055:-1 gene:SETIT_000765mg transcript:KQL04696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEGSAAAGEVRRLLAHLDSQQQLLADCQGAWSRALAHFASLDEDLASRSAALEEALAAADASTSESLAGLETREAAIPARLAEASAALSASVAEAEAESSAPPPADIRGALRWICRRMDAAALWRFMAARRRELTALRREAGPAVASAVDPPRLVLDVVSDFLAAGEGTGEDQFWVLGMLLRSLFDSDSRKPPEIGDTLVERAVAVTKEWQERFGINMDKLISENQEVEMAEADGVGNSGAEEKKEERGDAEEEEEEEDPEEVVLGSGDEGEEDPEEAEEHEELEKEAKEVKGEEAEGKVSEEGEGAEKTGLEEEKGVGEETKEGKKGDGHKGAPEQPEAQIFLQMVAAFGLKDKFDGEFLRRLFVANGRKRELARIACVLGFEDSLGDIVEELIKSGNAVDAIYVAHEADLLEKFPPVPLLKAYMRDSGDKAQAVLKSGRHSSSALEEANNLEGNAYRSVIRCVESCHLQSVFSIDGIRKKLAKLEKEKVERKKPGGPSRFQNKRSRGAAGPYPFPPAKAARGSGSSFGPSFQNPVSRSFNYAAHAGYINPAGAPPYYVPGRRGGVPYGGPGASYAGSPNFAAGGTQQPFRR >KQL06599 pep chromosome:Setaria_italica_v2.0:V:34543003:34544922:1 gene:SETIT_002318mg transcript:KQL06599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSSSSSSATAMAAPGGRGGGRVSAFTMRAVARMSRARWFIFLRRVYQYQNGPRSDLGSNPFNSPGWLALELGVIVAQMVLTTAVVATSPRERPAWPLRLWVAAYNVGNVLSLPLLYWRHRHSSAGGRGDAMSDDLEMHAANDALRNSSFLMNKARAFLELFFAMWFVMGNVWVFDARLGSFHRAPRLYALCIGLLAWNAVVYSLPFLLFLLLCCFVPMVGYALGYNMNSASVGRGASDEQLAALPRWRFKEPDVPRDRDHDDQECCICLAQYREKEEVRQLPCTHMFHLKCVDRWLRIISSCPLCKQELK >KQL05413 pep chromosome:Setaria_italica_v2.0:V:24052808:24052963:1 gene:SETIT_004090mg transcript:KQL05413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKNRDMKNKNLSCFVQYGFDVAVLGLAQDDASLASIMCWLSLDLCCPHH >KQL04843 pep chromosome:Setaria_italica_v2.0:V:12314317:12323022:-1 gene:SETIT_000292mg transcript:KQL04843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPSPCYDDQPTPPRALGPPVLPAAVSAELARLEAEVGQAADPQARARLAGLGEAAASRALRRIWENRHGVRRLSNWAVLTLSAYIMRMVEREAMEHNAAAIPTAESAASQADTLLFVAHSRGLPPRDALSFLFRPIIFRLIRTCDFPPTIRYLLGPLYDNEVQSPDAGIAFGLSNQATIKPASSVQKMPCRLQNEQRRDSYTVPMPDGTARDDTLSPVRDITRRVRPMDGPSGRVGVATPPSLATGNALMATEAPPPRTGSTTRERVSFPSPQMIALGELEFVRVFLIYVYLADKKIEDVNYIRYLKSLPMDCFESEIWNRFEHESLPASDRRKNVDWDPSKTRLYYCIVEKRNDSIVTIFKGPYIDNTRTHLQKIVGDDNVLIVKFADIPGLTNSAGNFGIYCMYYCQVAEDRILLGLHLYRFFIYKDGGKEEKQKEEKNKAKNKKFRPSFRCYFVHIESGCTIDQARRRFMDIHNAPTVSKYLARFALILSKTVTLDVNFSEINVIIIEDKPCKDEHGNIVPDDDGKPLIHTDGTGLISFDLAIKCPVSVFKGNFLKGHELQDTVDSEKHRYLISYPLLIQFCMFYNGNAVKGTVLADKRLPDKTIHIRPSMIKINADSNSSGGRHLTRSNNRPRKAYTSRFLIALLHYGGVPAEYSMELLGKAIEDANKVLNKAGDSLEVALNHADMDDLMSGRMILAGIQPEDEALLQFQLDIMTKEERKGFRQGKIPIDDCYYLMGTTDPTGALKPDQVRVIHDNRQVSGKVLVYKHPGLHFGDIHKLTATHIDGQEEIVGDSKYDIFFPTSGSRSLADEMANSDFDGDMYWVSWNSQFHTAPTIEGVL >KQL05393 pep chromosome:Setaria_italica_v2.0:V:23765324:23766791:1 gene:SETIT_003880mg transcript:KQL05393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETRYSHSAGTSTQNYADARATATARTRTHGEEALRGRTHDGGPLYLGDRPCRAPGRRRGASCVGSPRPCCRACTHQCPRHHPRAVREAMLHHPHHPVPCRRHVLPHLQPLPPQYQRPHRLRVHLDKNRKRQAVALMGRSKMARIVTRVDAGKATEAGEASCSRPTFFTCAEEAE >KQL06556 pep chromosome:Setaria_italica_v2.0:V:34156459:34160928:1 gene:SETIT_001809mg transcript:KQL06556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVKRSGSVAALPPAAGRRARARLCLCLRLAAPLSFLLLLVALLRAQLLLGVPPAAGPAKVAFLFLVRAGVPLDFLWDAFFRNGEEGRFSVYVHSAPGFHLDRTTTGSPYFYGRQLARSVKVVWGEDTMVVAEKMLFAAALQDPANQRFVLLSDSCVPLYNFSYIYTYLMASPKSFVDSFVDKTEKRYNQNMSPAIPKDKWRKGSQWVVLTRKHVEVVVRDKNVLQVFRRHCKMVVTKRLLGRRPNARRLGFNLRRNQKGAVLQEHDCIPDEHYVQTLFSIKGLEDELERRTLTYTSWNQSSNPKDKMTWHPMKFEYDTSSPEHISAIKSINHVNYEMEHRTEWCQCNGTSVPCFLFARKFSYSAAMHLLEQGTIGPPKSAQLLVNF >KQL04029 pep chromosome:Setaria_italica_v2.0:V:5994161:5997519:1 gene:SETIT_002979mg transcript:KQL04029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGDSSAAGAGGAVAAAAKWRSDASRAFQYYLDRSTPHATGRWLGTLAAAAIYALRVYMVQGFYIVTYGLGIYLLNLLIGFLSPMVDPELDPSAAAEGPALPTRGSDEFKPFIRRLPEFKFWYAITKAFVIAFVMTFFSVFDVPVFWPILLCYWVVLFVLTMKRQIVHMIKYKYVPFSIGKQKYGGKKSGSSSSSPRD >KQL06882 pep chromosome:Setaria_italica_v2.0:V:36237217:36240017:1 gene:SETIT_000391mg transcript:KQL06882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMVGARRALLAARYSPRGAIAASAAPVPPYRRVDSLPRLPAERGCVPSWVPHRGAGSLASERTDEDCHREWGQNNAGSYGNSRSNHFSHQLQRDAPSAGSRSAANIGGGSEQPYRSGGSYGSPNANQPYTGARANNEQPGYDATQSYRTSSAYNQQSHGGHLPNAHQQYNGAEANNTQSGYGKGQTYHHPNGYGAYNSGYNTQSNRKIYKGEGATTGQYGYGPSGQGPNSVGYDQQVFRQQQYVDHRSGGGYPDRPGNPTSQYANPTHSHKEHVAGFQQGSSSNYGYNAPQANQSPYVPSQADTRNTPQGYSMYLNTDAQRLPHGIHQEKHPHVQPTTSFGNHSNSVPHQGGICFQPLPGNPSNDGSPSEVSNEVSRIRKGTVEELEKLCEDGNIKEALEVQAMLQGNGTVLHAHQYFRLMQACVDATALEEARVIHSQMLESSIVVDTDVQNKILEMYAKCGSMEDAKKLFSTMDHRSLASWNTMISGFVHNGHGEEATDFFDQFKQTGDKPDAVMFMHIFLACGILGSVDEGMLHFESMQKDFGITPTMEHYASIVSMLGQSGYIAEAHEFVERMPVEPSIEVWENLMNMCRLNGFLELGDRCAQIIERLDSSRLNEQSKMGLFPVGASDLAKEKERKKASVAEARSKVHEYRAGDRSHPDTPKIYEELRYLSAHMKEAGYIADTRFVLHDVDQETKEDALLAHSERLAISYGLITSAPRSPIRVIKNLRSCGDCHTAFKIISKLVGRLIIARDAKRFHHFENGVCSCKDYW >KQL06213 pep chromosome:Setaria_italica_v2.0:V:31742789:31745995:1 gene:SETIT_004412mg transcript:KQL06213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGGGGPPPPMVRRLAVEVVDARDLVPKDGLGTSSAFAVVDFDGQRKRTRTVPRDLNPQWHERLEFAVHDPANMHAEALDVSLYHDRRFNPSGGGGGKNQFLGRVRIYGSQFSRRGQEGIVYFPLEKRSLLSWIRGEVGLKIYYYDEPAEGPPPPPEDKPPEQADNAPPPEVPPEAPKELHEMPAPTEAAVEVQQPAGQPPIINVEEAPMHPPMMMPPMHGPHGPMMMPPPMHGPHGPHGGTMMPPPVHGPHGPMTPPPPEPPPEPEPVPQPEGGDPYPPEVRKTRMASSTERVRVVRYPDYHASSPRIIPGRFASAGESVEPVQSASYDLVEPMRYLFVRVVRVRGIRACEGPYVKVQAGPHSLRSRPGRDVSGTGNPEWNQVFAISHARPEPTLEISVWDGGAPSPAEAFLGGVCFDLSDVPVRDQPDGPLAPQWYRLEGGEPGMVTGDIMVAVWIGTQADDAFPEAWNTDAPYAAYTRSKVYQSPKLWYLRASVIEAQDLRVPAPPPGLPFDVRVKVQLGFQSARTRRSVASSTGSAFAWSEDLMFVASEPLDDTLVVLVEDRSMIKEPALLGHATIPVASIEQRLDERQIVASRWFNLEGGSDGGGPPGGFYSGRLHLRLCLEGGYHVLDEAAHVCSDYRPTAKQLWKPPVGVLELGIIDACSLLPMKTKGGAKGCTDAYCVAKYGKKWVRTRTVTDSLNPRWNEQYTWQVYDPCTVLTVAVFDNWRMFAGAGDDRQDYRVGKVRVRVSTLESNRVYTASYPLLVLLRSGLKKMGEVRLAVRLSSPAALPDTWVTYTSPLLPRMHYLRPIGVAQQEALRGAAVRTVATWLARSEPPLGPEVVKYMLDADAHTWSVRRAKANWFRIMGVLAWAVGLARWLDGVKRWRNPSTTVLVHALYLVLVWYPELVVPTASLYVFMIGVWYYRFRPRGPAGMDARLSQADTVDGDELEEEFDPVPPPDVLRLRYERLRTLAGRVQRVMGDVAAQGERLQALVSWRDPRASRIFVGVCLAVAVALYAMPPKMVAVASGFYYLRHPMFRDPMPPPAVNFFRRLPSLSDRLL >KQL07708 pep chromosome:Setaria_italica_v2.0:V:41348242:41348505:1 gene:SETIT_004699mg transcript:KQL07708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIIPFVFKVIAQYKEEEHASFGGLTSDSDEPSPVSSYVLLPGDSDGRHRDERNQQLCSTSVHAEAVTTCTARASPIRCSTLRRRA >KQL06716 pep chromosome:Setaria_italica_v2.0:V:35183064:35188336:1 gene:SETIT_000623mg transcript:KQL06716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPHPSSSARARAPAAAARPLHPLAGIEEGEAVAAAHPGAHRMRRKGRKQKQLWPRTVLRKWLNIRSPESDFSADEGDTTDDTDSEVEYEGNQLGTVPYGLHRRRKSETLRAQYIDVRELRICTGTWNVAGRVPPDDLDIQEWLDMEEPADIYVLGFQEIVPLNAGNIFGAEDNRPIAMWENIIRETLNKISPDKPKYKCHSDPPSPSRFKPSDDAFVMEDELISESDSESDGEVHPLNEQDLIASVDGIHGNKWEHSADEPETALQDEKFSRLPSMKTFDRSHNLSFKESNLEEKICQKLLTRTLSHSERLGMIWPEPPLDMLAQCLPDSTKSLPSGKALRTYLSFKSVNGDSGPFPEDNLVPDLNVDYAAVKRKRPYFVRIISKQMVGVYLSIWVRRSLRKHIQSLKVSTVGVGAMGYIGNKGSISVSMSVYQTHFCFICCHLTSGEKEGDELKRNADVQEIHRRTVFNPVSRVNMPKTIYDHERIVWLGDLNYRINLSYEKTHELISKQDWNELFGKDQLKVELKKGHLFEGWTEGVVNFPPTYKYKVNSDKYISDEHKSGRRTPAWCDRILSHGKGMRLLSYKTVDLRLSDHRPVTAVYMVDVEVFSSKKLQRALTFTDAEVEEQFSFEEDSASGIYNLGLC >KQL07108 pep chromosome:Setaria_italica_v2.0:V:37689725:37693807:-1 gene:SETIT_002313mg transcript:KQL07108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFKLAAKAAAASPAPAHAHRGEGAQGRSRVGFGLGSAATGTKALRATANNSATPVAKEGRVDRSEILTLDSIRQTLIRLEDSIIFGLLERAQYRYNADTYDSNSFHMEGFEGSLVEYIVRETEKLHAQVGRYKSPDEHAFFPDDLPEPRLPPMQYPKVLHPVADSININKKIWKLYFDELLPRLAKEGSDGNYGSSALCDTSLLQALSKRIHYGKFVAEAKFQESPEAYRPAIKAQDPVQLMQLLTYETVERAIEHRVEAKAKIFGQEVNIGAEANGAPPVCKINPSIVAGLYRRIMPLTKEVQVAYLLGRLD >KQL03394 pep chromosome:Setaria_italica_v2.0:V:2143639:2144148:-1 gene:SETIT_004838mg transcript:KQL03394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRTSRSSATLAAAAAVLVLVAAAGGASAATAAAALQCAQVAQLMAPCMPYLTGAPGMTPYGICCNSLGVLNQLAATPADRVAACSCVKAAAGGFPSVDFARAAGLPATCGLSISFTISPNMDCTQVTEEP >KQL05244 pep chromosome:Setaria_italica_v2.0:V:18995299:18996051:-1 gene:SETIT_003143mg transcript:KQL05244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSKLVALLVLAMVAAMAVQPSSAQNSPQDYLTPHNQARAAVGVGPVTWSTKLQQFAESYAAQRAGDCRLQHSGGPYGENIFWGSAGANWKAADAVKSWVDEKQWYNYASNSCAAGKVCGHYTQVVWRATTTIGCARVVCRDNRGVFIICNYEPRGNIVGQKPY >KQL04464 pep chromosome:Setaria_italica_v2.0:V:9211068:9211858:1 gene:SETIT_004155mg transcript:KQL04464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATAAAAFSLKLFIDTKAPRVLFAEASRDAVSFLHSLLVSHLDSLPLDSRTRPPAAAAAARLRRRPRLGSKRKKRFFVCGDKRGAGCGKYVADRSGATCPSCGGIMAAEVPPGAPGAGGSEQQEAAAAAPALVCMLKDNLTVVPATGTFLALAGNIMRGVVTGFQEAAAFQVATVRLGHNEGLKILEASLRSSTVLTDVFLRDKAVALEFDPSPPSPDLGWM >KQL06425 pep chromosome:Setaria_italica_v2.0:V:33298198:33298871:1 gene:SETIT_003361mg transcript:KQL06425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTIPNSIRAHITVVLAKRHIHVSILHVTEGPVVSETGSKPADTWTPPPTEQITINRFVTVQEVRCFVLVRAGVFLRAVFAWKSTYHSRDNEAKPGRLLRLRAKPEGSASFHPSISLTRPQQ >KQL07691 pep chromosome:Setaria_italica_v2.0:V:41269112:41270814:1 gene:SETIT_003270mg transcript:KQL07691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFTQFIAHVLEHGKPHERSIIIEKLAGQIIQMSQQKFASNVVEKCLTFGGPTEREVLINEMLGTTDENEPLQAMMKDQFGNYVVQKVLETCDDQQRELILSRVKVHLNALKKYTYGKHIVARVEKLVAAGERRIGLQSQNPS >KQL04594 pep chromosome:Setaria_italica_v2.0:V:10150849:10151458:-1 gene:SETIT_003382mg transcript:KQL04594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVACQIKQHAFWRSASTAMSYRTAEACAPSVVGSGARRLVGDDGDLALVEQAVELAGAALVAPVVQLPQVTREEAQAHAVRDLPQHARRPPPRRLRPRRRVCRHLVRRRRHGW >KQL05619 pep chromosome:Setaria_italica_v2.0:V:26394840:26398251:-1 gene:SETIT_002838mg transcript:KQL05619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVFEGYERQYCEASASLTRKCTAAAALQGEKLKQKAAEIKSGIDGAEALIRKMDLEARSLQPSVRAGLLAKIREYKSDLNNLKGALKRITSGNAQQGAREELLESGMADALGVSADQRSRLLRTTERQNQTTDRLRDSHRTMLETEDLGVSILHDLSQQRQSLLHAHRALDDVDVNVSKSRKTVGSMVRRMDRNKWIIGLIIALLVLAILVILYFKLVH >KQL04837 pep chromosome:Setaria_italica_v2.0:V:12185220:12186087:1 gene:SETIT_002982mg transcript:KQL04837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQEPASSEGAQRRRPRVLLAASGSVAAIKFEGLCRSVAEWADVRAVATASALHFIDKASFPGGVPLYTDDDEWSRWGRVGDEVLHIELRRWADALVVAPLSANTLAKIAGGLCDNLLTCVVRAWDYGKPVYVAPAMNTFMWDNPFTARHLAAVRDLGVSLIPPVTKRLACGDYGNGAMAEPSEICRTLLLFFGPQHL >KQL07224 pep chromosome:Setaria_italica_v2.0:V:38430074:38436546:1 gene:SETIT_000137mg transcript:KQL07224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAVPGGGGAADAEELFRTKRIPEIRAAEGATRREISAKEEELRQLVGRSYRDLLDSADSILLIKQSSDSISDNLSRISGSLSSLSPPPETSPAASAASPSPSAGGRARLYALAARAKYLVDTPEHIWGRLDEGLLLEAAGRYLRAQVVHGRLSRDAAAAARFPLLTHQAQLVEAFRPQIAQRARERLADRRLPVAAHADALAAVAAIDAPLLAPPQALLLFLNSRRAWITHALTGLASDLSSYTSVLCDVARIVRITLGHVGQLFLPALSDMPLFFKTVLEKTPPEQLFGGLPDPDEESQFWKEHMNQLEATMVLLEPDVIACACTDWLKECCAEIFGVIAAGQKLVDAIGSGELLGSVQRLVRDALDGRDGLEGSLEQWLKSVFGSEIESPWDQIRGLILKGGKDIFEDWMEEAFVRRMKDILHSDLDSLCASVNVKESIDAIGANADPKDAGDFLSYLRKSSNGGGFWFSESKIKKGGVLAHLKPIADENDFHSCLTSYFGPEVSRIRNAIDSKCKSILDDLLCFVESHNSAPRLKELVPYLQEKCYRTISGVLKELETELRKLSALLGTKKEDNDIPAASIIAERALFIGRLLFALRYHSSHVPLILGSPREWVKEAGGAAFARLSSPTPRHSRASFDSSSFTPRRRTFDSPRSPGMQFSDSPRRQTIAAAISLFGAEDRSNPRLDELNKTLQSLCIMAHSVWIAWVSTELSHILSYDLNKDDSLSSSTPLRGWEVTVIKQEETTEGPLEMKIALPSMPSFYIISFLYQACLEIHKVGGHILDRIILHNFAWELLQKVINIYENFLVSIESGNSQVSEKGVLQILLDLRFVGDVLSGGKNSSTITTETQTKQDSLPSTISKSSFRRKQSQSQADSAAIEPINKLINKLSQRLDPIDWATYEPYLWENEKQSYKRYVVLFGFLVQLNHMYTGTVQKLPTKSNTDSNIMRCSQVPRFKYLPISAPAISSRPHKTSLQSPSGDNASKGPWKSYSNGDRSTAPEFDDNASLVGAAPLFKSFVTQVGSKFGENTSRWGSMLSDGQVGKLSDILPGPAAGFFSSFTSGVRYDS >KQL07119 pep chromosome:Setaria_italica_v2.0:V:37760848:37762299:-1 gene:SETIT_005055mg transcript:KQL07119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDPTGLPPPLRPPTAPPRPAVARVLHAINTCTSAAALGPMRGGILRDPALLRNTTVVSAFFLACGRLRSLDPALALFASLPRPHVFVFNSLLRSLPPAPTCSPLPLFRHFLGLGVRPNRYTFPLMLTSLSSLRDLTAVHSQVAKSGFARDLHVQNALLARYAACDTDLAHAEQLFDEMPCPDVVAWTTMITAYRNRGRSFQALAAFRWMSVASVAPNRVTMVSALGACAAHGALNTGIWIHQYVQKQGWELDVVLGTALVDMYGKCGHIAEGARVFSEMVERNVYTWNSIIGALALAQDGETALQWFFQMETDGVRPDAVTLICVLCACAHAGFVDIGRKIFNSIVQGKYGFQPGIKHFGCMVDLLSRSGHLDDAFRLVETMPSQPNAVIWGLLLRGCKARGDSILSEHVTMRLVELEPENASHYVLLANLYAGTGRWLEAEEVLKWMKKKGLTKDAGWSLKMLEDSLSKYTSDGDLMECAL >KQL05102 pep chromosome:Setaria_italica_v2.0:V:15970640:15971748:-1 gene:SETIT_003945mg transcript:KQL05102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYFVACMSYDECHMADGGICQYEDENFSQWESHQARAVLQRDIGDLDPTKVKLWFLPVMEEEHYSIYCINFIHERIDVLDSSPKESKASYYHQVLGDQIIRRLNLLFQLVTKFEMKQFTIFKRPIIDVCMHTDDNDCGFFAIKFMELWNGDSFHVPILTENVRQYRSQLPFYGLYHRINEIKKLPAGLEAHRRRM >KQL08529 pep chromosome:Setaria_italica_v2.0:V:45855627:45857403:-1 gene:SETIT_004651mg transcript:KQL08529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTDGGAPLVLDLAGALIWSTCAPGHRTIPCNCGLCRVASRSPTATAGCAYTSSGGEPSSSTGTRHCTCTAYPCNPATGQCGRGDVTAVPLSANATDGKNPLFLVSFPAIGACAPDALLAALPSAAAGVAGLSRLPLSLPSQVASALRVARQFALCLPTSGGRSGADIFGGGPFQLLAAPPVDVADGFFLDDPHPLRFLKSPSNGAYYVGITGIHVNNERVPLPPGVFDLHAGSGTGGVMLSTATPYTTLRPDIYRPLLEAFDAATSGVPRAPPVRPFEMCYQVSALSSTRLGFGVANIELLLDGGGSWLLAGNASLVQVNDKTVCFAFLEMGPATTVVPGSPAVIFGGFQMEDHLLMFDLEEEAFGFRGPLPGIRTNCGNFDFSMGTS >KQL06675 pep chromosome:Setaria_italica_v2.0:V:34949837:34950213:-1 gene:SETIT_005408mg transcript:KQL06675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFRCQLLYAMLRRRARFCIDKADYYNFLALCA >KQL06754 pep chromosome:Setaria_italica_v2.0:V:35438028:35439438:1 gene:SETIT_002031mg transcript:KQL06754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLKDLFDASGPTYLTYVNWNCPHHRRSVMASLVQGVYVLERDRQWNRQGPDARAPAWWRFFHFELQQVLVDAADGSIFGAVYAFQPPFRIPDPTAAAGAPLYVVAFRGTITKKGSAKRDLELDLQLVRNGLEGKSRFRAAMQAVHDTVAAAAAAGLGQHCRVWLAGHSLGSAIATLAAKTLARAGAAVLPTFLFNAPFVSAPVERIGDRRVRQGIRIASSFVTAGVAAVLQRGGGGGVHDASFAALAVWVPNLFVNPADPISAEYVGYFDHRRKMEAIGAGAVGRLATRNSVKDLLLGIGKGGGGCEPLHLFPSAVLTVNRGPSPDFKTAHGIHQWWRPDLALECTVHYYT >KQL08474 pep chromosome:Setaria_italica_v2.0:V:45621677:45624674:-1 gene:SETIT_005388mg transcript:KQL08474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPAMTHSSAFLLPASTPPSNDAATTTYALVVLNQRLPRFAPLIWARARVRVCADGGANRVFDGMPELLPGEDPAEVRSRYKPDVIKGDMDSIRPEVKEYYSNSGTNIVDESHDQDTTDLHKCVSFITRDLPVSDKSNLCILVLGALGGRFDHEMGNINVLYRFSNTKIVLLSDDCSIFLLPKTYTHEIHIEKSVEGPHCGLIPIGGPSTSTTTTGLRWNLDNTSMSYGGLVSTSNIVDDDKVTVTSDSDLIWTISLRH >KQL07033 pep chromosome:Setaria_italica_v2.0:V:37158705:37159166:-1 gene:SETIT_003529mg transcript:KQL07033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARACTGHLLCIALLLAAIVCSEPARVMREGPGKTPAGGRGDVAETSFSVQFSSNFKTVTGQGQRAAVVGSAAHESKRLSPGGPDPQHH >KQL04307 pep chromosome:Setaria_italica_v2.0:V:8035319:8036530:1 gene:SETIT_004470mg transcript:KQL04307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPALVLFPLAASLLLLQDHASADCEPATCGNLTLRYPFWLGSGNQTSSPCGHPSFEIRCSDDRRRSVASLKGSSIHVLSIDYANYSFVASHTRVAAADGVCRTDFNMSVSIAISQFTISPRNRALCFLYNCTGGAAPIGPDEYVNATSSCRAPIYAYLGGAYYWDKPPAIATDGCTYTYFPVLGREPETMTAANYSQLLKDGFVLEWEAAGIGIGDCAASACNARGGKCRYDNATAAFQCLCPDGWRAAGLCGARTGHAAIPGKRVCGQCRLPCRVLAAARSNRGGGLPLPGV >KQL05015 pep chromosome:Setaria_italica_v2.0:V:14113347:14114221:-1 gene:SETIT_003209mg transcript:KQL05015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYNQQA >KQL06426 pep chromosome:Setaria_italica_v2.0:V:33299644:33300385:1 gene:SETIT_003511mg transcript:KQL06426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWCPQIPTKLTKQSDEITPNRRDEVQPSSDLGGRCGNIDRAPTCRMRQQQCVTKTATAFSPYIHGFVNEGKVTTTSPHHRRSTDTTFPKNH >KQL04334 pep chromosome:Setaria_italica_v2.0:V:8274893:8279409:1 gene:SETIT_000776mg transcript:KQL04334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRAGISDGEARGRTLGAVIKEKDEELALFLEMRRREKERGAAADQLLLSGGAAAGDGVLQLDALPPAEPKPAAYKVAGVGFRRAPGGADDFLNADAGDKNDYDWLLTPPGTPLFPSLDVESKRSPVSQVGTPKTRPTALKSRLANHPDPPTRTNLPLRTASSNSLNSAATTRRPSSSGGLTSNSSRPSTPTGRPALTTSTKGSRPSTPTSRATVPAKTGASVPRSSTPTSRSTLPSARSTTPSSRAAGPASRNPAPPGRASAPASRSSTPTSRSSIPATRSTTPSSRPSIPAQSKPTSRASTPTRRPSGPSAQHGNSAAPVRSSSISKPGSTMPKGSPAKTTAPTPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSLPERPTSATRGRPGAPSSRSSSVESGPAARPRRQSCSPSRGRTLSGSVPSGSSMPAVRRSHLNGGDSVNPVQMGNKMVERVVHMRRLVPPKHDDQRSSLNSISGKSSNSPDSSGFGRTLSKKSLDMALRHMDIRRSIPNNLRPLMTTIPASSVHSARSGSTRSRPMSVSDSPLATSSNASSEPSVNNNLMCLDSIDIDDELCSDRAGPYGR >KQL05529 pep chromosome:Setaria_italica_v2.0:V:25416740:25417127:-1 gene:SETIT_003404mg transcript:KQL05529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYYKGVAILQEPENIISFSHRSEPLICNLAAQVHLLPPTPLSHAYCTALQRSCHQNSGRCPPLPVPPPGTGPLAIQYQPTASGGPATSLLHPCSCLHHRAFPTACSSPIRL >KQL03672 pep chromosome:Setaria_italica_v2.0:V:3833745:3837977:1 gene:SETIT_004797mg transcript:KQL03672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDVPAQGNEVIKIPTSNYEFGANFINPRMMLMGRVSHEGRENIRVKYDITDNLAVKINAQLTSEPHYSQGMFNFDYKGKDFRTQIQIGNNAFYGANYIQSVTKNLSLGTEAFWLGQQRKSGVGVVARYDTKKWVATGQIATTGMVALSYVQKVSEKDVTATFGYDYILRQCRLRGKLDTNGVISALLEERLTPGVTFQLSAEIDHWKKDYKFGFGMAVGE >KQL04539 pep chromosome:Setaria_italica_v2.0:V:9617903:9619253:1 gene:SETIT_005056mg transcript:KQL04539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMSYPSVSLCTSYYYEEFEKNCNPTTWMMDITSASMEFQLNIDFASAYQESPLHRDMQELVEKLSNPLPNSENLCFSYCFPQSRWGSPQYNLNRMVMTIMIALIFGVLYWRHAKILTWDTTADLFNVLGAMYMGAIQLGVYNDQSIISFSTTECIVMYREKVAGMYSSWAYSFAQAATEIPYVFIQVLLYTFIFFYTTFCLVLCYVYVGLLLVSVTPNSAIEAIWPYLKK >KQL03246 pep chromosome:Setaria_italica_v2.0:V:517674:519535:-1 gene:SETIT_002696mg transcript:KQL03246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLALGRRGEASEPDFFRGVLGELVLTFLFVFIQVGAAMTIDGKTNAGGNLTAVALGQALAVCVIATAGFHISGGHVNPAVTLSMAVGGQITLFRSALYIVAQMLGSSTACVLLRWLTGGLVATPVHALAAGVGPIQGVVAEVVFTFSLLFVIYATILDPRKILPGVGPLLTGLLVGANSIAGAVLSGASMNPARSFGPALATGVWTHHWVYWVGPLAGGPLAVVVYECLFMAPAGAHQLLPEEA >KQL06321 pep chromosome:Setaria_italica_v2.0:V:32588650:32590431:1 gene:SETIT_002848mg transcript:KQL06321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGYRAEEEYDYLFKVVLIGDSGVGKSNLLSRFARDEFSLETRSTIGVEFATKTVQVDGKLVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDVTRRVTFENAERWLRELRDHTDANIVVMLVGNKADLRHLRAVSPEDAAAFAERHGTFSMETSALDATNVERAFAEVLRQIYHVVSRNALDIGEDPAAPPRGKTIDVGAAKDEVSPVNTGGCCSA >KQL06399 pep chromosome:Setaria_italica_v2.0:V:33077453:33079403:1 gene:SETIT_005171mg transcript:KQL06399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADFTGPTAIPVTKVGPWAGLRGYHNNFTSPSAIPVIKIGPVTKIGPWDGLGGNHNNVAGPKTATPVTNVGPLVGLGGYHNNVAASSTIPPTDLLAHYYHQFVVALGGEHNKYNINSTPMMGSGSSFNSGANNYVGIPPGVEAVSPQGTSSEMPHQPSQTEIVNGEVHEKYMAFKKFDTVNDHGDHFYSTKVRAVRKPTKAWVKRIQHEWKVLENDLPDTIYVRAYEDRMDLLRAVIVGSAGTPYHDGLFFFDVYFPYRYPTKPPEVSYRSGGLRLNPNLYECGKVCLSLLNTWTGTGCERWIPSDSTMLQVLVSIQALVLNAKPYFNEPGYAMYANTPQGAKASMTYNEQVFLLSCRTMQYSIHNPPKHFEDFVVGHFCNYGRKILRGCKSYMAGAQVGCLVGDGVQDVNEGYRSCSTSTNFKASLKVQFADLLKDFAKIG >KQL05469 pep chromosome:Setaria_italica_v2.0:V:24852637:24855748:-1 gene:SETIT_002085mg transcript:KQL05469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNRAAFVVAFLLRAIYGGMQIVTKNAFNEGMSTTVFVFYRHLIAILFLVPVAFVLERKTAPPLSFKVSLKLFVHALYGISGAINIYGLGLSYASATASSAIFNLLPAVAFFLAVLLGMETLNMKKFHGIAKVCGILFSLAGVVVLAFYQGPEMKSFNHHHLFHHISNFHGEATAHPRRTWILGIFLTTLSTTSWALWTVLQGPMLEAYPSKLLNTTLQMIFATIQSFFIALVAERDFAKWRLGLDARLIAVVYSGILVSGVAYYMQVWVIDKSGPVFLAMTMPITLLVTIILSLFLGEAVTLGSILGGVIMVGGLYSVLWAKRSEHVVVRKQHMAAPVEAAQV >KQL06737 pep chromosome:Setaria_italica_v2.0:V:35331732:35335142:-1 gene:SETIT_000117mg transcript:KQL06737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPGLLAVLALLVVAVVAAADDAQLLEQFKSEAQSPAAGVRGWSAADGACRFPGAACRGGRLTSLSLAGVPLNADFRAVAATLLQLGSLETLSLRGANVSGALAAAPRCGAKLQSLDLSGNAGLRGSVADVEALAASCGGLKALNLSGDSVGGPRSGGGGGSGFGLDALDLSDNKISGDGDLRWMVGAGVGAVRRLDLSGNKISGLPEFTNCSGLEYLDLSGNLITGEVAGGTLSDCRGLSTLNLSGNHLVGAFPPDVAGLTSLAALNLSNNNFSSELPADAFTGLQQLKVLALSFNHFNGTIPDSLAALPELDVLDLSSNAFSGTIPSSLCQDPNSSLRMLYLQNNYLSGAIPESITNCTRLESLDLSLNNINGTLPASLGKLGELRDLILWQNFLEGEIPASLENMRKLEHLILDYNGLTGTIPPELAKCKELNWISLASNQLSGPIPSWLGQLSNLAILKLSNNSFSGPIPAELGDCQSLVWLDLNSNQLNGSIPAELAKQSGKMNVGLVIGRPYVYLRNDELSSECRGKGSLLEFSSIRPEDLNRMPSKKMCNFTRVYMGSTEYTFNKNGSMIFLDLSFNQLDSEIPKELGSMYYLMIMNLGHNLLSGLIPPELASAKKLAVLDLSHNQLQGPIPNSFSSLSLSEINLSNNQLNGSIPELGSLATFPRMSYENNSGLCGFPLPKCDHSAGPSSSDDNQSHRRRQASLIGSVTMGLLLSLFCIFGIAILAIECKKRKQKNEEASTARDIYIDSQTHSGTMNSNWRLSGTNALSINLAAFDKPLQKLTLADLITATNGFHNDSLVGSGGFGDVYKAQLKDGRIVAIKKLIHVSGQGDREFTAEMETIGKIRHRNLVPLLGYCKAGEERLLVYEYMKYGSLEDVLHDRKKIGVKLSWSARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLIDENLEAKVSDFGMARTVSVVETHLSVSTLAGTPGYVPPEYYQSFRCTTKGDVYSYGVVLLELLTGKPPTDSTDFGEDNNLVGWVKQHSNLKITGVFDPELLEDDPALELELLQHLKVAVACLDDRPSRRPTMLKVMAMFKEIQAGSTVDSKTSSACTGSIDDAGFAAHEMTTLNEDKEEKD >KQL04318 pep chromosome:Setaria_italica_v2.0:V:8093991:8094262:1 gene:SETIT_003650mg transcript:KQL04318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLCHELQCFDEAPGLTNPLLTIFISAKISYRLMSSTKLFIRSGKNRKLDKLRNATIRALHLHL >KQL07001 pep chromosome:Setaria_italica_v2.0:V:36975944:36980219:-1 gene:SETIT_001822mg transcript:KQL07001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQTSPDEVVLGQETDGARVVTLNRPRQLNGISDRVVYLLAQFLEKWEKDDDAKLVIFKGAGRAFSAGGDLKMFYEGKSDDSCLEVVYRMYWLCYHIHTYKKTTVALVNGLVMGGGAAMVAPLKFAVVTEKTIFATPEASVGLHTDCSFSYIHSRLPGYLGEYLALTGARLNAKEMISAGLATHFVSSEKLEELEKRLLNLDSCDESAVRAVIEEFSTDVQPDEDSLLNKLSTINKCFSAETVEDIIKAFESEASIDGNQWIAPVIKGLRRSSPTALKITLRSIREGRKQSLPECLTKEFRLTMNILRQVVNGDVYEGIRALSIDKDNAPKWNPATLEEVKNEDIDRVFQPFSPEHELQVPSDDSDRWSGKYENTVYAKASQ >KQL04470 pep chromosome:Setaria_italica_v2.0:V:9251894:9252572:-1 gene:SETIT_003165mg transcript:KQL04470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHVAVRRGPGQLALDPLRITGHVPATPFFGGAEPTAAEAAAPPPGAPFTPEMSDKLWGLSLPAGATRDHPAANPFGPDSPRLEPVAFPPVLVVSAGRDILHERVLRYAAALGEMGKPVELAVLEGQEHAFFSRQPWNEAANELIRVVKHFVHRGNGAQCN >KQL04869 pep chromosome:Setaria_italica_v2.0:V:12549990:12552074:1 gene:SETIT_001156mg transcript:KQL04869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASARGEQHSPRSSAAKSPSPRGVGGGGGEHYSPSPSKTPRGVGASLKLLPSSAAWLLDSRWALSAALSLLLFLAVALALTSTSSSSPYASASSFFSSSDSVEAHVIHASQQPEAAAAANASPPPPPPGAGLPRLAYLISGSKGDLERLWRALHALYHPRNQYVVHLDRESPVSERLALAARVANSTVFRRAGNVHVIRRANMVTYRGPTMVANTLHACAVLLRRGGAWDWFINLSASDYPLMTQDDILHVFSTVPRNTNFIEHTGYLGWKEGQRGRPLIVDPGLYGSRKQDIFWVSQKRELPSAFKLFTGSAWVALSRDFVEYTVWGWDNLPRTLLMYYTNFVSSPEGYFQTLLCNAPRFVRTVANHDLHHIQWDVPPRQHPHALALADMPAMVSSGAPFARKFPRDDPVLDAIDADLLGRPPRAGPTSAGGGNGTVAAAATTTQRFVPGGWCGGDASCGGVDNDWVLRPGPGAERFQRLMDRIVRSEAFHNRQCK >KQL06057 pep chromosome:Setaria_italica_v2.0:V:30366972:30370933:1 gene:SETIT_001833mg transcript:KQL06057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPTSAGGDAGFVRADQIDLKSLDEQLERHLGRPAERGVGPASGTGSRRGESARLGPEELTPLRRCREDWEIDPAKLVIKGVIARGTFGTVHRGVYDGQDVAVKLLDWGEDGHRSEQEIAALRSAFAQEVAVWHKLDHPNVTKFIGAIMGARDLNVQTEHGHLGMPSNICCVVVEYLAGGALKNFLIKNRRRKLAFKVVVQIALDLARGLCYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPGDMTGETGTLGYMAPEVLNGHPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMAEVVSMLEAIDTSKGGGMIPTDQTQGCFSCLRRTRGP >KQL04272 pep chromosome:Setaria_italica_v2.0:V:7781432:7782718:1 gene:SETIT_004749mg transcript:KQL04272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDTKKPTRGSRLGGRLAGVASMLLLVSLGFVLGVTSSNAMFVRFYLPFMPPLRSTTTTTAASSSPPPQPPPTPSPPPPQDHRQTESAGFLAAPSGVMHNMTDEELYWRASMAPMVRRAPDSRVPKVAFLFLVRGELPLRPLWEKFFAGHQGLYSIYVHAHPSYTGSPPVDSVFYGRYIPSQRTKWGDASLVEAERRLLANALLDLGNERFALFSEACIPVYDFPTVYAFLTGANTSFVDCYENGGSRSRYRPFFATRNITLARWRKGAQWFEMDRALALESVADEFCFPAFRDFCVGRSECLIDEHYLPTLVSVLGWGRRNANRTLTYADWKRAVNRHPHTHGAAEVTEELIREIREDGGRRCFYNGAWNGVCNLFARKFSPDALEPLLRVAPKVMGFG >KQL05829 pep chromosome:Setaria_italica_v2.0:V:28300234:28302793:-1 gene:SETIT_002344mg transcript:KQL05829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPQRMLRDAGGGLPSAVTASLRAHLLSPAEPSPTTGGRPEITDEEIDADTAACCRICLESESEPGDELISPCMCKGTQQFVHRSCLDHWRSVKEGIAFSHCTTCKVRFHLRVECLEDDICRRMKFRLFVARDVTLVFLIIQAAIAAIGGMAYLLDKDGNFRNRFSDDWDRFLSKHPVPFYYCVGVVVFFVLVGFFGLIVHLSSFNNNDPCLAGCHNCFYGWGIVDLPASMEACFAFAVIFVILFAILGVAYGFLAATLAIQRIWQRHYHILTKKELAKEYVVEDLRDGYTPPKMDAEHEQRLKMLQLM >KQL06728 pep chromosome:Setaria_italica_v2.0:V:35238526:35239770:1 gene:SETIT_003995mg transcript:KQL06728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVPYLLPFRYLICRCGAQAEVKQSKHPKTAGRAFYVCKWTFDTMPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRRWVPPPPNPPRMTEEEKQEAACRRVRDPPMCKCGVPAKLMRSNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEQVREFESGKAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKSRKQQEIKEKIRKEYDVPIPDDDLLWGKIYQDMVHETGVEPEGLYARETIIKYWRQNRSKEKIGGSCRRRGSWRNKG >KQL08203 pep chromosome:Setaria_italica_v2.0:V:44163322:44173042:-1 gene:SETIT_000101mg transcript:KQL08203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIKEVTLEGFKSYAGRTVVSGFDPLFNAITGLNGSGKSNILDSICFVLGITDLRQVRAASLQELVYKQGQAGVTKATVSVVFDNSDRSRSPLGYEDSSEITVTRQIVVGGRNKYLINGHLAQPSRVQTLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYEMKKESALKTLEKKQNKVDEINKLLDVEILPALEKLRKERCQYMKWANGNAELDRLKRFCIAYEFVQAERVRDGALNDVKQIRARILELDENTEKLKADIQEMDKNISTLAAEKEAKLGGEMKALSDKVDKLSHALIKETSVMNNQEETLKSEEKGVEKILKNIEDIKRSMIERDAAVKDVEDGASDMKRKAEDLTRKLDENEKEYQGVLAGKSNANEKKCLEDQLRDAKAAVGDAESGLKQLTTKISHSEKELKEKKALLVSKRDEATAAENELKERTKDLEAIKTSMGSFNYNEAQMEALQKDHSTESEIIQNLKDCIRNLSGELANLHFSYRDPERDFDRSKVKGVVARLIRIKDSSAATALEVTAGGRLFNVVVDTEDTGKKLLKNGDLRKRVTIIPLNKIQTYMIPDRVQQAARRLVGPDNVTLALELVGYGEEVKNAVAYVFGSTFVCRNTEAAKEVAFNREVGSTSVTLEGDTYQPSGLLTGGSKGGRGDLLRKLDKLAKAETDLSDHQKKLSVIEQQIGALLPLQERYTKLKSQFELKSYDLSLFQKRVEQNEHHKLGELVKKIEQELQESKQELTEKQVQYEKCVSMVSELEQTIRTYGTEREGRLKALEKMIKSLKSEMQSMSKQLKAYESERERLIMEKDAVANELATLEEQLSTSKAQITSLSETLEKQRDKVTAIKQEYDQAEGELNVGRSKLKECDSQINRMAKEQQKLQQKLSDSNVERKKMENEVKRMEIEQKDCSSVVDKLVEKYSWIATEKQLFGKSGTDYDFESCEPHKAREELENLQAQQSSLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKAKIKKVIEELDEKKKETLKVTWLKVNKDFGSIFSTLLPGTMAKLDPPEGGTFLDGLEVRVAFGTVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVIFRTKFVDGVSTVTRTVPSKQK >KQL04672 pep chromosome:Setaria_italica_v2.0:V:10682662:10683792:1 gene:SETIT_002819mg transcript:KQL04672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAGHAASSSSSSSPGYWLALRVGARAQSGDKGRERGVPEEDDDGEMGPGPPPRACACVWLACAGQRGAGAGGSSSPRASRHRAAVAWPGEPSAHEPRPALYLNSGVRHSPALNQATPTFHSPHPSRSAPYYYELQSLQPFCPSLGRHHQQLAPPLSMDPILASVPRSAVCSASSAYRRRRRQKPVGGLAGRCNAVLKQHKTRLYILGRCVSMLLCWHDHDAD >KQL04460 pep chromosome:Setaria_italica_v2.0:V:9182224:9182898:-1 gene:SETIT_003924mg transcript:KQL04460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETLAQPSQAGRERESGAAAAMEEWRVLAYRVKSTLVFFVCGTRAADFLWLVNAAVMKLATQAYVLRRIQMGATMLEVSAIPMPPPNGYSPMYLTERARLQFEALRWEHAMAGHIVALYRARHGLLQGDPLWQPWEGHHADAIQWAEGALQRLRNAAASYQAAADAMAMAISLPYRSPAWVAWVSEAQSFMRRTVFEVSTARDMVLLMRNAVILEYVAARMVLNG >KQL05107 pep chromosome:Setaria_italica_v2.0:V:15981993:15982510:1 gene:SETIT_004573mg transcript:KQL05107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHPAVDPSSAAAAAARSTARRGGARRRRRRRRETETRRNGGGKAGQASCGRRRRCRASERGGVGERAEDLSAEREARERRRRSGRRAVAPGVRSIGGRRGKRGGYGCEADG >KQL06260 pep chromosome:Setaria_italica_v2.0:V:32181192:32182890:-1 gene:SETIT_004160mg transcript:KQL06260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APEQRVVLANKHGENLVGMLHHAGSNKVVVLCHGFAACKDDSIMIDLAAALTKQGISAFRFDFSGNGPVISYLYQEKYDVTAIVGHSKGGSVVVLYASIYGDAHMVVNLSGQFYLEKGIEECLGKEFMDRINKEGYIDVMNESGKVLYRVTKESLMERLNTDMHAASLSISKECRFFTVHGSADKIIPVEDAYEFARLVTNHKLHVIKRANHGYTSHRKQLCDAVINCITSNEVQRNCNSP >KQL07547 pep chromosome:Setaria_italica_v2.0:V:40443438:40444387:1 gene:SETIT_002531mg transcript:KQL07547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHARTARTSLTSPCCSASFLCSTARACAYMVNGRWSRSDGGGGGPAGSLRDRAAGNCSTRQGRAPCVPGREGAPPSMPASRDCMPPHLNSPVNVHPGENEMRPLKKTHARKFSKETHKTRNACEKIGSYHYICTAMKFMLWVHHIASTPYVARKPLTWPQIFIALQRIYMCVYQIMNTQVHPLIRPLPNSSTTLLQFLAIYRPKRSGKKMGIQKQATTKGRNAQEATEAYVAIESSGKLKSCDAETIIKQDLQVYCTHQVVFGAAALLPPA >KQL05183 pep chromosome:Setaria_italica_v2.0:V:17024200:17025591:-1 gene:SETIT_005127mg transcript:KQL05183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERATWSYTYEKGLVDILKELANVPMFKGQNGWTAEGWRNITNKFNDMFPTTHFTKQQVQEKEKELKGNYKIIKEARKSGVGWNDTLGMIIAEPKGWEKLIKDNHKVAKFRKKPFPLFNNLELLYEGSVATGDLNFTSIQPPPQRTEPTPYNSELPTEPTPQTKSIEVQSTPASRNSEDQDVTGGKKCKQSQMAAKLGDYIDFRKDQIGKTLEKLEEKKRREEDYSIEKCIDIVDAMEGLSDEQKADANEVFQSETNRKILVGTKNPNVRLIWLKKKIAQ >KQL05324 pep chromosome:Setaria_italica_v2.0:V:22500659:22507258:1 gene:SETIT_0007201mg transcript:KQL05324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRALLRVYAEMQLRLKAAGASAGGKKAGGGIARSSSSSAVAFLTAATTTLLHTISESEKASYVGHINAYLAEDPFLKSALPIDPATDHIFHITKDGVLLCKLINLAVPGTIDERAINTKRVLNLWEKNENHTLCLNSAKAIGCTVVNIGTQDLAEGRIQLLADVNLKSTPQLVELVEDSKEMEELMSLSPEKILLRWMNFQLKKGGFQRTVTNFSSDIKDSEAYACLLNVLAPECSAKPSAMSVKDLLHRARLVLEHADRMGCKRYLTPKDIVDGLPNLNLAFVAHIFQKRNGLSKQMKQVSFVDGLSDDAQVSREERSFRLWINSLGISTYINNVFEDLRNGWVLLEVLDKVAPGSVNWKMANRPPIKLPFKKVENCNQVLKIGKELKFSLVNIAGNDIVQGNKKLILAFLWQLMRYNILQLLKNLRFHSNGKEITDNDILAWANKKVKDSGKHHSRMESFKDRSLSSGTFFLDLLSAVEPRVVNWSLVTKGEKDEEKQMNASYIISVARKLGCSIFLLPEDILEVNQKMMLTLTASIMYWYLKRPTSHSLDSENGSSCETSSTTTSDDSASESSFDDNAAR >KQL05097 pep chromosome:Setaria_italica_v2.0:V:15861869:15862882:1 gene:SETIT_003622mg transcript:KQL05097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTRGRGTAAGRRRSCPAAARRPKCMRPRLCGSPGPACSRTSQPMARRGETVARCAAADGATERPGVGPRG >KQL07285 pep chromosome:Setaria_italica_v2.0:V:38925442:38927333:1 gene:SETIT_001381mg transcript:KQL07285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPPRRSPACTGAPVVAIATRGSARRSLMDLARDRLADSSAADDDDARTWISAALTFYATCADGVGEGSLRYAVVARLRLKSLASASLAVLNAVGGGGSGSRGDDVLAEDVVDAFPSWMTARDPALMEQGAGVDIKADVVVAQDGSGKYRTVKEAVDAAPDGGKIRYVIYVKKGVYKENVEVGKKKKKKLMIVGDGVDATVITGSRNVVDGATTFNSATLASLFVVRFAVAGDGIILQDLRVENTAGPAKHQAVALRVSADHAVAHRCRVDGHQDTLYAHALRHFLPRVLRLRHGRLRLRQRGRRTPGLRAGGAPAAAGPAERRHGAGPGGPQPEQGHVRAPVPAPDLAPVAGAEVKTFLGRPWKAYSRTVYMRSYVGAHVDPRGWLEWNGNFALKTLFYGEYENEGPGAGTAARVRWPGYHVITDRSVAVQFTVGQFIQGGSWLKSTGVAYINGL >KQL04280 pep chromosome:Setaria_italica_v2.0:V:7886480:7889146:-1 gene:SETIT_000630mg transcript:KQL04280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVCGGCFEFARRLGVRCALQPFRGDPDERPIGPLYKVSRRGPWPSQPNRQRTSFPTKLALISDHLLGFFPSILPLIQCAMACTQEVVFDVNLIENSALEDGLAGWAPVGSCTALSVHEEEPAKVPTETINDVAEDYRPSGRYILASGRADETDGLRQAIKGALKPRVTYRVSGWISLGGGAATTAEEGAGGHAVCVNLRLDDECVVKGGAVCAEVGRWTEIKGAFRLKKSPCAAAVYVQGAPAGVDVKVMDLQVYATDRRARFRKLRRKTDKVRKRDVVLNFGSAASGISGASVRVFQMDSSFPFGACINPSVIQNPAFVDFFTKHFDWAVFENELKWYHTEAQQGQLNYADSDALLDFCDQHGKPVRGHCIFWAVENTVQQWVKNLDDDQLKSAVQERLQSLLTRYAGRFPHYDVNNEMLHGSYYRSRLGDDIDAFMFRETARLDPGATLFVNDYNVEGGSDPNATPEKYIEQIAALQQKGAAVGGIGLQGHVTNPVGEVICDALDKLSAATDLPVWLTELDVCESDVDLRAEDLEVVLREAYAHPAVEGVVFWGFMQGHMWRQDACLVNSDGTVNDAGERFVDLRREWTSHARGHIDSAGHFKFRGYHGSYVVQLATATGKVHKTFSVEKGDTPLVLDMNL >KQL06240 pep chromosome:Setaria_italica_v2.0:V:31968647:31969669:1 gene:SETIT_005181mg transcript:KQL06240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSVQTVPQQEADAQAEETNQQLYQRFTDLVSSLPSSDGLSYLRLYLHGQGWRASQVPMVGAMVAGARFAARPTDVVLASLLKTGTTWTKALLYATVRRREHPPDAADHPFHSLGPHECVQILEYQIYAHGRVPDIGDLPDPRLFATHVPFAALPGSVAGGGCKVVYVCRDPKDTLVSLWHFINKFRAKEGMGLLSAEAAADMFCAGESPFGPYWEHVLGYWRAHLARPDRVLFFRYEEMMRDPAAHVRRLAEFVGLPFGVAGEDDTADAIVRLCAFERMCGLEATKSGRTELGIGAVENSFFFRRGVVGDWVNHLSPETARRIDDITRSKFEGSGLTV >KQL05477 pep chromosome:Setaria_italica_v2.0:V:24958024:24960407:-1 gene:SETIT_001628mg transcript:KQL05477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASPSAALVLLVALFLATVVALASAANETRSFRPGDELRRYRRVQALLRRLNKPALRTIQSPDGDIIDCVAAHLQPAFDHPRLRGQKPLDPPVRPKGHHLRPSPNDTADAGVQLWAASGESCPEGSVPIRRITEADVLRASSVRRFGRAPAARVRRDSVAGGHEHAVGYVAGDEYYGAKASINVWAPKVSTASEFSLSQIWVIAGSFGNDLNTIEAGWQVSPQLYGDNSPRFFTYWTTDAYQTTGCYNLLCSGFIQTNSRIAMGAAISPTSAYNAGQFDISLLVWKDPNHGNWWLEFGSGELVGYWPSLLFSHLASHASMVQFGGEVVNTRASGSHTATQMGSGHFAGEGFGRASYFRNLEVVDWDNSLVPLAAGFHVTADHPNCYDIQGGVNAVWGNYFYYGGPGRNVKCT >KQL05369 pep chromosome:Setaria_italica_v2.0:V:23501137:23509576:-1 gene:SETIT_001562mg transcript:KQL05369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRGGATAAYAARALRSRLLPDPIHHPVASLALIASTRASSSAPSAAAANNVVEEAAAAAAVSVSQQAGSVSDALRHYGRCYWELSKARLSALVVATSGAGYVLGSGNIVDIAGLCCTCAGTMMVAASANTLNQVFEIKNDAKMKRTMRRPLPSGRISPAHAAMWATSVGATGTALLAWKANGLAAGLAASNLVLYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAACTELSLNAMILPAALYYWQIPHFMALAYLCRNDYLAGGYRMFSFADPTGKRTAWVSLRNCLYMLPLGLFAYNWGLTSEWFGVEASLLTMGLTIGALSFVLEPSPKTARRMFYGSLLYLPAFMAGLLLHRLPNKQNAHNLAETSELGGVLYRADLQDNERARQKHEDRKPSRVQSRPPVAYASVAPFPFLPVPIYES >KQL07109 pep chromosome:Setaria_italica_v2.0:V:37714631:37715570:-1 gene:SETIT_003621mg transcript:KQL07109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDLNDRTVYSCRFVTCVLLPARVVNYQSFSLEQKKASSICVVKVDATPRCLFVARLCAGRAIEGTLFFL >KQL07777 pep chromosome:Setaria_italica_v2.0:V:41682167:41683309:-1 gene:SETIT_002619mg transcript:KQL07777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLHTIQSSLPSLRRSSPFRRALLGRKRSFICAGGSEDAEFDSSPPPGGDKRQQEVLAKIAMLQAQKVRISDFLDERSAYLTKFAKDADSEFDLIGQNAMKELEAVGDQILERLDSKMQAFEETAEVQRQEIEMNDKVLEDFEDWIEKEKNEGMFFKSLGKVKPRNKKEIKVKAKVEAQKVKEIAKESAGSKTRMNIYLGLMAILGLTIANAVFATPEVEWRKVAALGLIFIGLVAQVIYEQDMSPPKAEKKEKGEE >KQL08398 pep chromosome:Setaria_italica_v2.0:V:45202701:45202973:-1 gene:SETIT_005513mg transcript:KQL08398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPRRFVNRKVQFGDHRLLTGQAATTAISNTAGCGGRCE >KQL06268 pep chromosome:Setaria_italica_v2.0:V:32251454:32255385:1 gene:SETIT_000520mg transcript:KQL06268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARLAALAAIAAALFLILALGAAPARAADDGEVRALLALGAALDPTGRLLPSWAPGRDPCAARGGFEGVACDARGAVANVSLQGKGLAGTLPPAVAGLRALTGLYLHYNALRGGIPRELAALGALTDLYLDVNNLSGPIPPEIGAMASLQVVQLCYNQLTGSIPTQLGNLTRLTVLALQSNRLNGAIPASLGDLPLLSRLDLGFNNLFGSIPVRLARLPRLVALDVRNNSLTGSVPAELAANLQAGFQYGNNTDLCGAGLPALRPCTPADLIDPDRPQPFSAGISPQVTSPDGGGRGRAPSTKALAAVVVVAVALLAATAVGLFALSWRRWRGQRVVSGGSPPTACGVTEAAAKASSARKSASSALASLEYSNAWDPLAGARGGASAGLGSPSSSSQDVLAQSLRISTEEVESATRYFSELNLLGRRGRNKAGGLAATYRGTLRDGTPVAVKRLGKTCCRQEEAEFLRGLKLLAELRHDNVVALRGFCCSRARGECFLVYDFVPNGCLSQFLDVDADDTAGGRVLEWSTRISIIKGIARGIEYLHSTRPGKPALVHQSISADKVLLDYTYRPLISGCGLHKLLVDDLVFSTLKASAAMGYLAPEYTTVGRLSEKSDVYAFGVIVLQVLTGRRKVATATAMQLPGDVGELVDGNLHGSYSAAEAARLAKIALACTSEDPDQRPTMEELLQELGTI >KQL08545 pep chromosome:Setaria_italica_v2.0:V:45914683:45921652:1 gene:SETIT_001376mg transcript:KQL08545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDGEKAAPAAAASAGPAADAEGGGAGKGGGGEEQSVKLFVGQVPKHVTEAELLAMFREVAAVDEVTVIKDKVTKVSRGCCFLICPSREEADKAVNAYHNKRTLPGASSPLQVKYADGELERLEHKLFIGMLPKNVTDTELTDLFSVYGNIKDLQILRGSQQTSKAGCAFLKYETKEQALAAIEALNGKHKIEGSSVPLVVKWADTEKERQARKAQKAQLQLSNIPNANPMQQSSLFGALQMGYMPQYNGFGYQPPGTYGLMQYPLSPMQNQGPFQNMVQPVNQGNSIRGVNPELSPSSVQRSFNAMQLGSPYPAIPGMQYPGSYPGGLMNNRPFGNSNNSIKVPNSNATSTISSSPSSNAGGQIEGPPGANLFIYHIPQDYGDQELSSAFQSFGRVLSAKVFVDKATGVSKCFGFVSYDSPASAQAAINMMNGFQLGGKKLKVQLKRENNKHSKPY >KQL04424 pep chromosome:Setaria_italica_v2.0:V:8859781:8860382:1 gene:SETIT_003867mg transcript:KQL04424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHAVRTGVPAATASSSLRQGPAVVAAEWESESIGVWRVPWGRWVAEICNPHLAVCKWLSTFDTTEDAARAYNVATVEFRGCCAKLNFPDDAALVLTSTYQHHHHLPQPLLESLHETCGSNASSSVDVALVVAAPTGQHDTRPVPKEQDIWDTLNEFMMMDDGSFWSPMP >KQL07785 pep chromosome:Setaria_italica_v2.0:V:41755537:41756472:-1 gene:SETIT_002900mg transcript:KQL07785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALSGRVGVKCGRWNPTAEQVKVLTELFRAGLRTPSTEQIQRISTHLSAFGKVESKNVFYWFQNHKARERHHHKKRRRGASSPDSGSGSGSNEEDAVGRAASHEAVVEPDLVLQPPESKREARSYSHHHRLLTCYVRDVVEQQAAEALWERPTREVETLELFPLKSYVDLEAEKVRYVRSSASEQCREFSFFDVAAGRDPPLELRLCSFGP >KQL03939 pep chromosome:Setaria_italica_v2.0:V:5471580:5472420:1 gene:SETIT_004866mg transcript:KQL03939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAILLLLLSLCAARLDGAAAQQYWTPATATFYGGSDASGTMGGSCGYGNLYNAGYGTQTTALSTALYGDGASCGACFIVACDASRTQYCKPGSPSVTVTATNFCPPNYGDPSGWCNSPRQHFDMSQPAWETIGVYQAGIVPVNYRRVPCSRSGGIRFGISGHDYFELVLITNVGGAGAVAAAWVRGTGTDWLAMSRNWGANWQSSAYLTGQSLSFKVQTDDGKAVMAYNVAPANWQFGCTYQASVNFY >KQL04375 pep chromosome:Setaria_italica_v2.0:V:8560037:8560665:-1 gene:SETIT_004647mg transcript:KQL04375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPLPLLAVILHACAAAEALVFDVPSGSSKCLTEDLRRDVLSHTSYRVAAGSTSMADPRISVRVTGEFRFQAAEDGEHTACFWTPRYERGIVDSVDVQWDTAGGSGSRPAVAVSNNQGPIAPPDMRLSCLNQVNTKCRRISSDLTT >KQL07933 pep chromosome:Setaria_italica_v2.0:V:42614617:42621234:-1 gene:SETIT_000054mg transcript:KQL07933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPGELQCVGRLEVAAPPPARYLRVGSLPVPTDSSASLPALLPSPSPTGAPRYQMLPLETDLNTLPMIPNIPEKVFPNDAKNTEGLRYDGGLVNQNLSRKCEALAVSGLAEYDGQIDVIAPADILKQIFKIPYSKAQVSIAVNRIGKTLILNTGPDVDEGEKIFRRQNNQPKGSDPSIFLNFAMHSVRAEACDCPPSHQPSQEKQTASAVLRGPFDCREGSFDSPPSSSFSTSPYLDQNNSKSRKAQQCTHESLYLGARKNKQKAKGSDPIKKTTRVGEKNSCEVQESEKSKKVGNNGFRKVCFWEFDNFHMLLGSDLLIFSNEKYVAVSLHLWDVSRQVTPLNWLEAWLDNVMASVPELAICYHENGVVQGYELLKNDDIFLLKGVSDDGTPAFHPQVVQQNGLAVLRFLRDNCKQDPGAYWLYKGAEEDVIQLYDLSILPEKHTAGDHRSPCGPMSSFIKKGRKDSLFSLGKLLYRVAHRMSLSKVPSNKAKCAQFFRKCLDFLTEQDHLVVRACAHEQFARLILKCYEELELTSESFMIESEVTLTDLDDDSPELRLENLPSKQNVLPENGKNEPAALDNMLGCSPLASSGTTDSSVEPSHVDDGSSSSVTKDLSVDSLVMCQTGISNTIADAISSKFAAIHHISQAIKSLRWNRQLQNTQDGCNDSADTIWERPVDFSSCRCGDVDCIEVCDIREWLPKSKMDHKLWKLVLLLGESYLALGEAYKNDGQLQRTLKVVELACLVYGSMPGHLDGDEFISSMSNSSLGREDACLKTKLVLDEAGYCKSTKCFSYEVSSQRLPPNYLFWAKAWMLVGDVYAEYHRLNGHQAKVVHKQKSHDEVRMSNEVALEVKRLKRKLGKDKQNCGTCSLINCSCQSDRASSGSSASSSSPEASTIYGRKKNKKTLGRNHQSQYKENGEKPTTQEAMQGSEKKQHCVKDTCIENNSVSNDDVDRYNHARENQSGNVDGVPEKSHTSVPTVRDGGIFKFLGGPKPGDIEYNLSSAIHCYGAAKGALFAYPVHSAETSIVLKKRGWAFNELGRCRLESRNLGSAEIAFADAITAFQDVHDHTNVILINCNLGHGRRALAEECVSRIDEFQKYDLPEGTYMQSFKSAKSEYFQAINYYSAAKRQLKYVNTEVDKVLFHEVYTQYAHTYLRLGMLLARESFLTDSYEGGLIDDSSNIAVLEISAGDAFREALSTYESLGEHRKQEAAFGHFQLACYQRDLCLRSLDLVDKEVKQKNEDKYRQKSKWYGSRAEKNWLKALEFYGPKTHPTMFLNILMAQSALTINISNSFHSTSMLETALGHLLEGRHVVEANEDYSNDVDLDIKPKFWSQLQSLLKRMLAASLPCVAQSSTSNREAAKLKEMYRLSLKSSSLGQLHALHKLWVS >KQL03285 pep chromosome:Setaria_italica_v2.0:V:723392:725447:-1 gene:SETIT_001073mg transcript:KQL03285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSPFAVVLLAALLSLLFFATRNRKPSDGWCRLPPSPRGLPLLGHLPLLGPLPHRKLQTMAASHGPVMLLRLGRVPTVVASSAAAAQEIMKTRDLAFASRPRVRMAERLVYGRDMAFVPYGEHWRQARRVCVLHLLSHRRVQSFRHAREQEAAAMVDRVRRRASPGGDAVNVTALIISYTNGIISRAAFGDEQSFGQHDGGEELTELFADFEGLLGTVTIGDFVPWLAWVDTLMGLDARAARTSARMDALLERVIAEHRQKRRDGRWEDDGHRDFVDVMLDVNEEAEKNAGGGGVMFDNVAIKAIILDMFAAATDTTYTTLVWAMAELINHPQEMRKVQDEIRGAVGGGDSVTEDHLPKLRYLKCVIKETFRLRTPLPLLLPRETMEDTELLGYHVPARTRVIINAWAIARDPAAWELPEEFVPERFAGDDLTTDYLLGQDFRFVPFGAGRRGCPGVGFAVPAMELALASLLYHFDWELPAGGPSKLEMDELNGLSVRLKTTLNLVAKPRSPQ >KQL03866 pep chromosome:Setaria_italica_v2.0:V:5137849:5138705:-1 gene:SETIT_003155mg transcript:KQL03866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQAKCSLDHSDVRARCQRVPTRTTSSMAAKGRWQKLRQLFSEEKGSEMPSRAGVAGLQTRWARRVSRAPPPKRQGRAEVPARADWWGRPTADAPDGVRARRGASAGQVVSGVGCFCFCVTLTGVDWSELRATWCGCAGEPTATRRAAGSSPRAVGIWGYVKYKT >KQL03443 pep chromosome:Setaria_italica_v2.0:V:2413056:2413211:1 gene:SETIT_004046mg transcript:KQL03443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSQPQRPTSTNLTIKIKWVTTDKYVHKPPLSVEEAHVHGPTVEKEKEKN >KQL04852 pep chromosome:Setaria_italica_v2.0:V:12436256:12438205:1 gene:SETIT_003171mg transcript:KQL04852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSDLDVMKDAEKILKKFNIPVETTIVSAHRTPERMYDFAKSAKDRGVEVIIAGAGGAAHLPGMVASLTSLPVIGVPIKTQSLLGLDSLLSIVQMPKGIPVATVAIGNAENAGLLAVRMLASRDPELYDRAIKYQDDLRDMVLEKAERLENIGWEEYLK >KQL06640 pep chromosome:Setaria_italica_v2.0:V:34779906:34785232:1 gene:SETIT_002467mg transcript:KQL06640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPKQKWTQEEEEALRRGVLKHGAGKWRTIQKDPEFSPVLSSRSNIDLKDKWRNLSFSASGLGSRDKMRVPKISGPSSSPASSSQAQLLPVANKVAEASQDAEKKPQDGKTPPKYGAMILEALSELNEPNGSEISAIFGFIEQRHEVQPTFRRLLSSKLRRLAESKKIEKVDNKLYRLVDSSATRTLAPIKASAPKQKDLSKPSKVSKNLGLFVATSPALEAAMAAAAKVADAEAKAHDAHDQMMEVERILKMAEETESLLTVAAIAYDRCSRGEITTLNPAPRKL >KQL06570 pep chromosome:Setaria_italica_v2.0:V:34322930:34328494:1 gene:SETIT_000213mg transcript:KQL06570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEIVGRHALLFDDDATAEVVNSGGSLVPWAAIGAADLLLDRHDVRHLLDCVPPRPRRAYSAAILYVPSSDGVSEAELDRERYLDLPDADGDGEDEGSGDAAPSGNGTDTRQSDCSSVPFSYGSSAGSDDPNSLGSYYRPSFYVPDSLLNKLPPSEKAHQIIARTALFVSEHGGQSEIVLRVKQGNNPTFGFLMPDHNLHSYFRYLVDHPQLLKDGADSFDTNKGNKIVEGEHASSGGALSLLGTAYDSGDEDEGTLLPTSKGTDPGNSMTPDALGHVKPASTIPDNKELGKNQNAFSEAAASVKSKPILAKKNPALTGNIIVAAQQEEVKDAITASTTAKSQITNSGLSETKEMILEPPSFMKRTMEKIVEFILTNGKEFEAKLIEQDKTTGRFPFLLSSNPYHSYYLRFLQESQESKSHGRSPDRNDRRGSSDRRDRRSSSERDDRRSSRQHNDRSSRELNDRRSSRERDNRRSSRDRDDRSSRDRDDRSSRDRDDRRSSMEHKGSSYGKEETRSNARPTTGMVSSASDGSSAGPSEKQLYDQQGKKGIFHPVRGVKKEPPRRVTADEAAAIVMEATRGLGAANDSLNTIKGKKEDIHIRGSNDHSSSFGSFSSLQDRDALSKHISNSEADTSLTSSGQVKKEGFGIIDDDWIANTIAKAAAVAASKEADSSEASMTKEQKLKAERLRRAKMFAAIVKGGGNKMNDLAAVSDQTDEPSEATPADINASGLDAPPEAKEREGSSAPFEFDVSNVTKEKDTDDEQNRVRKYRKKHHKESDEEKDESEESYKHSRKRHRSEHSRGHSKDAHKHKHKRHSKDRESGHRRHRHSSSEDEHEHRSSKSRNRHRDDDRYSDDEEHNRSRRHQREHRSGSKRKHEEEQDQSEQTQGRLEVSPSTSGAKLESDKRPGDTAQSSQGVTEVPSELRAKIRAMLLETL >KQL08209 pep chromosome:Setaria_italica_v2.0:V:44197216:44199653:-1 gene:SETIT_004753mg transcript:KQL08209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSARRRMDTALGVAMSRLMDEFLLLRVWDASQLEGGHGLRVAIERLSVSVLAPGGSGVCLAFPTGGSISTGELSVSTTDELHASDMSLSSWPDMLTAFVDGTAGLHEIHADDLHLIRPASLPVLHEIALRVIRAGYTKEFLQTFAKAPCHVLDRFLSILQLDRSFFAANRINFEDAEWWATEDMVKRWILATKLIEKALVVMQRQLMAQECGAFDRFKDAYFMAIAKQGILVLFKFADGFTSTRSPEKLIYVLELYEALSNSAPALLPLFTGQHAELISRQLPIVLAKLARALRAAIDDLITKIRTDCSQAVSETLGVGVHPLARHAMTCVELLAPHRAALDLILVNGGEDEGGAEGVTPFGSRASELIAGLERNLDEKSALACAGGSQSSRHLFLANNTDFVLNRAADAGTAAVGGRGKPAKALAKFNAAFEKAHGSQVCREVPDPALRAAMRLAVKETVVTAYSAFLQKHPRLGKSVRHPNPRSHHNDPDISELTKLISQESGGGK >KQL06402 pep chromosome:Setaria_italica_v2.0:V:33109107:33111868:1 gene:SETIT_000250mg transcript:KQL06402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAKNHNHLATDHLFSATDNPLCTIPSPAPKHDNAGKDALPLIHSFRLSTGYFFGGEDIHFARDESDDDSLLHIPRSFTLLPLQVEHTTNSTVIHVSATLTLTGGRPLHAVAAHRRRNQFSGGVQTVSFHLDGYYSATSAELCMFGAGTYAEDDGWPPKHLPDAVLRLRVPSPPSLSDTFVIGQLNGAGFDAITLVAYAEGDGYKYGHPPSCPPLSSTVRGAVQALGAGFSCVHLREQLVSSYKLQHGGGGARASPSSTSPAPPLRLQEPRMHVGQVHTNMWLAGHLRPGFMVKEPAVVAEGRWDSALGTLCLRACRVLRSGPTSLAVQEEDDCDIGMGFWFPADWTVRDRSIVAGALWNTSHGAAGAVSASSIDFDSNRGNFSDVEYRYTMVDEAKKRYYADVLRGHINKAKGPFPAANFTYHDFEFRFFMDNVTGGSELGEAHPVTIGSAMVYGDQLAADDSFSRHAAADMEDGLLAVSYDIHIRHLPPHVNLMRPNMTSAVAIEERLVTAEGVYDPNTGVLCMIGCQELSGSTTDCQILITVRFSSLDAKAKGHGRGVISSLRARTDPLFFARMDIVLFGMYAEKVSESISRMDMESVMLVISTTLPCVFTALQILHAKRSPEASAATSTTMLVVLALGYVAPLVIGSEALFVSRGTEYTPFQRKVPYKPRQAILRVPTLIAFVLQLRLLHLAWSARRSAADRSKAETAAAAERRALWVCLPLYLLGGALTVALHVSNSRRAAREESLAVRVGPEPATMWEDLASSAGLALDAFLLPQVAMNAFSSGGAARAISPWFYAGGTVVRGMPRVYNAIRARGYAPSMTPSNVYASPRDDRFGVAWDVAVPCGAALLAVLLFLQQRLGGAFLFGSRRSGGYEMVSTQLEH >KQL06847 pep chromosome:Setaria_italica_v2.0:V:36035936:36037279:-1 gene:SETIT_002984mg transcript:KQL06847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLAAQQAHGNGGPMESNRPRGCGRALVALLTGRDLSPRAATLREPVGLGVVPIGACGGSPERPRRGARDVAIAQPIASPGARFWFWVRERRDWTDSTRTGLPPTGLSAPNPTGIVFLRRRLHLPSCGSSASSTATSPSAAPPPHRFQGQLQPLVYGSSSVGTCIRQLLHRLHLFPRRAGRGCHILPDAQLAAHLH >KQL08310 pep chromosome:Setaria_italica_v2.0:V:44708372:44712120:-1 gene:SETIT_004332mg transcript:KQL08310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVYYQYKSEKDICSMPVPSAFISVSELKQLIMTSGKYGSARSRGRLRDDLVISNAQTGEEYEDERTLVLQNTHVLVRRVSIPGQLSENIVLSPRRKVTEGCSVPSSKSVVTDLSSESRSSIGVQDEDAAITAVIDAAELKLEQYPSKRGQGSGRFTSGRNYGREVEAPPLGYICRSCGVPGHFIQHCPQESKTPPPGYICYRCRIPGHFIHHCPTNGDPKFDNNKMSRSLAPVVTVSPDNGILESLVPDAPASAVDDLPAELHCRLCKKVMVDAVLTSKCCFDSFCDKCIRDYIITESKCICGAKALADDLIPNQTLRSTISNMLGTRASSSGSVTTMHRSSSGSNPDPKLQSHTPSAASEREMKQSTNLQLSAASAPDDGLQVATEGDLMNQTQKLAADVDIMSKDEGDSTEVSAEKAVASAEVIKVKDGSASESKVTTVSGALDHNATRTDQPKKKRKKVDSTKNVQPNNVDYGYNVPLDAAYYNTFFSGYPWVTEPYMYGSMAMPYGGYPMGPYGVNSFNGMPPQALAAQGYPASYQRSKTRPTHHQGTEAVAARPRQAERPKDTHLQPLSSEHNRQPGSSHGSESRSRTGSSSERRDHGRSARASHDYYEDHSSRKRMRDSSPLYGDKQSNRRSIHTSRSTTREEDASDDECNFKRRWGRRSSGSVDTRH >KQL05140 pep chromosome:Setaria_italica_v2.0:V:16515150:16515542:-1 gene:SETIT_003791mg transcript:KQL05140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATLHLALSLPPSHTHKHKGDIRSFTSSRSPRRRGPRLKPMGSGSCCCVGCHGGEDDSGGDAAGGLDPKGFLLAMMIALVLFMLCHVRPPRRNSYVVYRCY >KQL07342 pep chromosome:Setaria_italica_v2.0:V:39228056:39228942:-1 gene:SETIT_003939mg transcript:KQL07342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADHPLRRWKPFLAAFGVIDDAIEASSRLDISRDEFRRARCAIVERLCDTPDKDESDELCRGLDRAMVQSLLTLQVVPVAPSTLAKTELVPAVRALRKHECERVRALARDIVRGWRAAAEGDLVKVRAAADKLAQIVLPAERTLPPPRAAGGEQEAAGDGDEKSKMSKDRASGLRIHVL >KQL06405 pep chromosome:Setaria_italica_v2.0:V:33137373:33138035:1 gene:SETIT_003157mg transcript:KQL06405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRSVVGVDDGGDVPIFPHLHAHGKHTTQFSRLKRAHPPAPVNLRLPPAPVSCPQQHHTVSSSRPQLPTVTLFGRRGMDSQQVSGSSIWRRMDMQSIARAWPATTTLFCVLVVLQILRNRMKHEAPPPAMSKTTFVALSLGCVALDLILSHWVLFLVTFVYMFS >KQL07687 pep chromosome:Setaria_italica_v2.0:V:41228227:41229488:1 gene:SETIT_003886mg transcript:KQL07687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFSIKVAEVKEGLHWPLHVYGLIATRDSIDPRRNILFHRIRDNCQTITEGLCDHAPYLLLTGPSRAVGLIDPVTFEVQLKARGRTESEDEVLCFDILTTQHASGYLGCPPHISTSCLRCKQSKLEFAFAVLSQSVEATIRVEIVDGSWPEHLRGLLTTSTATIDHARILLVDSQDGRMPINGSGAIQLSRQVVLAFQVDDDNFYLVAAKGSVVFNPKEAAISNDTIDLGFCKLGVTVAWSLLAPVEHEPWGRPVRCTRTTPPSNGP >KQL07306 pep chromosome:Setaria_italica_v2.0:V:39026133:39026501:-1 gene:SETIT_004085mg transcript:KQL07306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCCFKLQRAAVWADGDEWEEEFAAESKSAKSAAAEAIKMDHRVEVKIRVTKRQLQELLEKAGGARRGCDGKAKAAQQQAESVLAELMTSGRQQHEEMRGHWRPALYSIPEAAAEES >KQL08793 pep chromosome:Setaria_italica_v2.0:V:47095709:47096292:1 gene:SETIT_004285mg transcript:KQL08793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYLIFILFLQILWLLFKLNDTIQIQHTIQIRNMNSARALTVSGWSTSG >KQL03542 pep chromosome:Setaria_italica_v2.0:V:3037909:3040664:1 gene:SETIT_005037mg transcript:KQL03542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFTVRRTSGSYVRPATATPAGSLELSAIDRVVGLRHMVPSLHVYAPRGCSDDDRRGGEAQPQPSPASVVREALGKALVDYYPMAGRFVDGPEGPGGARVECTGEGAWFVEAVAAGCSLEDVACLDQYPFAIPEQDLLPDEAPGVQPLDLPLMMQVTEFNCGGFVVGLISSHTITDGLGAGQFMTAIGDYARSLPKPRVTPIWSRELIPKPPKLLSGPLGKPRMLQLRHLTVDLSFDSIEKAKSRLLQSTGRRCSTLDVAIAKTWQARTRSLRLADTSARVTLCVAANVRHLLRGDAWRPKDGAGVAGYYGNCMYPVMVSAESGAVEAADLAVLVAMMQEAKARLPAEFARWAAGELVGVEDPYELPFAYEALFVSDWTRLGFQEADYGWGGPSHVIPLAYHPHMPIAIVGAPPAPRMGVRIMTECVEQEHLPVFKEEMMAFLN >KQL05322 pep chromosome:Setaria_italica_v2.0:V:22437081:22438448:1 gene:SETIT_003824mg transcript:KQL05322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPRPPPASSLLRILSSHPSLSTAIHAILLKSSSLSKPIPIPATALLTAYANAGLPGAASRMFDEMPRRDTVAWNALLACLVRHARPAAAAAAFRGMATSGFPPTAATLCTMLKACSASRAFRPGRQLHARSVISCHGDVIMDTALVDLYMSCGLVEDALRVFMLTKYPKDAALYNAVLSGCVENGWFREAFSMLRWTELNGISLTCALTACSATANLAYGMQVHCKVLRCGFDSDTIICNALIDMYSKCGRTMGARIVFDRMAGRNVVSWSSMIDSYSHHGYGVGALDLFKMMEKATPMVLPNAITFLAVLSACGHSGLVDEGQSMLHLMKSKYGIDPQPEHYACLIDMLGRAGRIDEVWDLYCRLTARRNKCPIAIYVATLNACRANMDVVRGKKVAMHMLEVDPQNPGIHVLISNFHSAIRQWFESDESRRVMVEKGLRKEAASSHVSVG >KQL06789 pep chromosome:Setaria_italica_v2.0:V:35596492:35599913:1 gene:SETIT_001685mg transcript:KQL06789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAASASPGALGAKSPARGPSPTKPGPFARPSSSPRASGRLRASLHLGGAGAALSSSAASAASAANGSGLHAPPAIAPLALPKMAGARGTHKSILLFYCEEMRDLAKQVVARNDDIELRSISWRTFADGFPNLFISNAHSIRGRHVAFLASFSSPNVIFEQLSIIYALPKLFISSFTLILPFFPTGTSERMEDEGDVATAFTLARILSHIPISRGGPSSLVIFDIHALQERFYFGDSVLPCFESGIPLLKSRLQELPDSHNITIAFPDDGAWKRFYKQLQHFPMVVCNKVREGDQRIVRIKEGDPSGRHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGIFPNNSWEKFQHDNGEGPEHGLSHFWLTDSCPLTVEAVKDRRPFEILSLAGPIASALQI >KQL04220 pep chromosome:Setaria_italica_v2.0:V:7329302:7332339:1 gene:SETIT_003906mg transcript:KQL04220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVARPALFLRLFGQRLRWPFCRGGRVWFSKLRGRRRTTRPADHACRGAVVVVDVGVRVLRGEEEDRRRGRGGRRSGRVGARGGGRRGVLQDGQQIAVKKLSLGSRQGVREFLNEVQLLLKVQHRNLVSLLGCCASSGHKMLVYPYFPNGSLDHILFNKEKSVQLDWPKRYQIIIGLARGLLYLHEESPVKIIHRDIKASNVLLDEQLSPKIADFGMARLFLEDASHVNTLRISGTYGYMAPEYAMNGYLSTKTDVFSFGILVLEIVSGRKNFARHVDDEKVDLLNYTWKLFEGGRALEIVDPSLSDPDTEQTRLCIQLGLLCCQAVVSDRPDMHSVHLILSSDSFTLPKPGKPAIHGRTGRWMTTTTASGSASAWATGASNANTTSTFGTDTNTTRASVLANIAEDESRNSISISFTTEGR >KQL06547 pep chromosome:Setaria_italica_v2.0:V:34088782:34092635:1 gene:SETIT_000125mg transcript:KQL06547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFFIRVVLLFLLSTTCASALTPTSNTTDLAALLAFKAQLKDPFGILASNWTATASFCSWAGVSCDRSQRVTGLEFSDVPLQGSIAPQLGNLSFLSTIVLSNTSIMSTVPNELGSLPWLQTLNLSYNSLSGTIPHILGNLTRLDTLDLSGNNFFGGIPHELQKLHSLISLILQSNELSGPIPQGLFNNSTNLSEINLGYNWLTGAIPDSFSSPLKLEMLVLEENHLSGPMPPSLFNMSQLQALAVGWNNLSGPIPGNESFHLPMLQVLSLPENQFNGPIPLGLSACQNLEALRLAVNNFTGTVPSWLATLPNLTKIYLSTNDLTGKIPTELSNQTTLLGLDVSENNLEGEIPPELGNLRNLWILSLANNQIAGVIPEAIGNLSNLEIIDLFGNRLTGPVPVSFQNLLNLRRIWFNCNQLSGNLNFLAALSKCRSLDTINIANNTFTGMLPPYMGNLSTVLQYFIADYNMITGSIPSTLANLSNMLVISLGGNNLSGKIPTTISAMKNLQELNLSSNSLSGTIPAEISRLTSLVYLNLGGNKLSGSIPSSVSSLSQLQDMTLSQNSLSSTIPTTLWHLQKLVELDLSLNSLSGSLPADVGKLTAVANMDLSSNQLSGEIPTSIGNLQMMINLNLSSNLFQGSLPDSIGNLLSIEELDLSSNMLSGSIPETMTNLSHLANLNLSFNSLDGQIPERGVFSNITLLSLMGNEALCGLPRLGIAPCQNNHDQSRLKPKLLKVILPAVLGFFVLAACLYMLVRVKVNIGRKMTVPSDTDLQKYKLISYHELVRATSNFTDDNLLGAGSFGKVFKGELDDGSVIAIKVLNMEHDLASKSFDTECRALRMARHRNLVKIISTCSNLDFRALILEYMPYGSLDDWLYSNDGRQLSFLQRVGIMLDVAMAMEYLHHQHFEAVLHCDLKPSNILLDKDMIAKVSDFGISKLLVGDENSITLTSMPGTVGYMAPEFGSTGKTSRASDVYSYGIVLLEVFTRKKPTDAMFIGELSLRQWVSQAFPHELSNVVDSNIVLDELNNGMEDANSLPENFSILNTYLASIIELALLCSRAAPEERIPMNDVVVKLNKIKSDYSSQFPTSTTSG >KQL03353 pep chromosome:Setaria_italica_v2.0:V:1934872:1937214:1 gene:SETIT_004221mg transcript:KQL03353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAKRVCSLSRPREPAPPTFLLVLLGGAFLALAAFAGDTIVPGEGISGNQTLVSKNGEFELGFFAPGAGIQRFLGVRFKKMPSTSPTFWVGNRVPITDLSAAALEVFGGSLCIKEAGASLWCSSVAADGPPPPAAAAVLLDTGNLVVRDRANSSRILWQSFDCPGDSLLPGARLGLDRDTGSNVSMTYMDYPHDGSISVDQSRRNGFVLTTDGLDSLGTFPDWMVTSQDNGRSLVLNRPGPNVTEFLQFHLGQVSLMRWSEDSAAANGSGWVARWTFPSDCKSSGFFCGNFGACKSNGRCNCLDGFEPSYPVEWGLGYFVTGCSRSLPLSCEANGRTEQDDSFILMDKLQGLPYNPQNDLAESDGDCKQACFSKCYCVAYVYDSGCKLWLEGNANVKELDITCRVACWCIQDEENDRPSMGQVVRMLEGVVNTEIPPIPSSFQNLMEGENSCIYSDEG >KQL03799 pep chromosome:Setaria_italica_v2.0:V:4642906:4643377:1 gene:SETIT_005288mg transcript:KQL03799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCLPRRNHTSTFINVDQALANCKLAPHFIEPCMQI >KQL06685 pep chromosome:Setaria_italica_v2.0:V:34996598:34997184:1 gene:SETIT_004301mg transcript:KQL06685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFSGDPLTRFLYKSHTVLFGGPRVFYTQHPLRVYVPEYTIDLAAFEGLNNATLGHTVSPAFDLTVHVENRRFFEAWCHNQGEVVISYSGVALAWGRVPGFCLRRRSAANFTVVTWGQKVYLSDDLRKRLTREWHTGTAKVDVDMKLHYYPNCPFLPMMSRSGTLSIWHELMLGDTREIEINVL >KQL04595 pep chromosome:Setaria_italica_v2.0:V:10152558:10152917:-1 gene:SETIT_004702mg transcript:KQL04595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASRCVKLLLLVTLLPLALRAASLLLAGHAVPASSSSHRHSSIRSSATGDAPTTASAAGSARGRPIRQPRHRRRTEGALAALNGARRLRQADADGGGVWFEDDKRLAPTGSNPLHNLR >KQL05003 pep chromosome:Setaria_italica_v2.0:V:13959499:13961815:-1 gene:SETIT_001467mg transcript:KQL05003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEHPRVPVPHIRPPLLLLFLLPDPRIKSSSARGWWSNLPEKAAAAMAAESFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCREIGFTSDDVGLDADRCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYVNEGGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPIIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIARQAAKSIVASGLARRCLVQVSYAIGVPEPLSVFVDSYGTGKIPDKEILKIVKENFDFRPGMITINLDLKKGGNRFIKTAAYGHFGRDDTDFTWEVVKPLKFDKASA >KQL00210 pep chromosome:Setaria_italica_v2.0:VI:540150:544311:1 gene:SETIT_013593mg transcript:KQL00210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGTVQINWHDLQPVLSLDFHPASRRLATAGADHDVKIWVISSDGSESKLPTATFQSGLVPNGTAHSSAVNVLRFSPSGEYLASGADGGGIILWKLYSTEDGEAWKIHKTLLFHHKDVLDLQWSRDSAFLASASVDNTCIIWDAVKGTVQQKLEGHLHYVQGVAWDPLGQYIASLSSDRTCKIYANKPQGKSKNAEKVNFVCQHTLVKIEYPNHDESKPPVKSHLFHDETLPSFFRRLAWSPDGSFLVLPAGLSKHSSEVINTAYVMSRRDLSRPAIQLPGASKAIVAVRFCPVLFRPRGSNSDGFFKLPYRVVFAVATLNSVYVYDTESVPPILIHAGLHYAAITDIAWSFDAKYLAVSSRDGYCTIIEFENEELGQPHILPGSKEVAKGNMTCEKKPLPVDSMEVDVSASKLKMEVNPVAAGVTVPPMLAENVTLRTGELAEGNVTCENKKPVAVDIMEVDVSDKVKTVTSPVAIEVTPPPVSTKNNASSKPTKKRITPIAI >KQL02661 pep chromosome:Setaria_italica_v2.0:VI:33836027:33836518:-1 gene:SETIT_014736mg transcript:KQL02661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRCNAIPKQEISCPFLSERVQVRLSIQEGGRAEFHIVNNDVETNIKFSINETMRCLKQEPLTRSMIGFHNPTQIFEYSTGATTAELYETSWS >KQL01252 pep chromosome:Setaria_italica_v2.0:VI:12267513:12269209:1 gene:SETIT_013668mg transcript:KQL01252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKTLVLYPSLGVGHLTPMVELAKALLRHGLAVLIAVVDPPDADAVSAAAVARLATANPAIAFRLLPAPASPDAGAHPVRRSLDTLRLANPALRDLLRSLPLGGADALLLDMFCVDALDVAAELGVPAYFFFPSAASNLAVFLNLPYFYPNVPPFREMDRNTPVHCCPGMPPIRAVDMLQTVQDKESEAAKVRLYQFKRMAEGTGVLVNTFDWLEPRALKALVDGVCVPGRPTPRVYCIGPLVNAGNKQGGSYGGGGERHECLAWLDAQPKGSVVFLCFGSMGAFSAAQLQEIARGLERSGHRFLWAVRSPREEQSRFPEPDLERLLPAGFLERTRNRGMVVKNWAPQSEVVQHEAVAAFVTHCGWNSTLEAMMAGLPMICWPLYAEQSMNKVFLVEEMKIAVEMEGYEEFVKAEEVEAKVRLVMDTEEGKMPRERLAVTKDGALEAIKEGGSSEVAFAKFLRDLGQTSSSENGECY >KQL02954 pep chromosome:Setaria_italica_v2.0:VI:35505824:35508871:1 gene:SETIT_013906mg transcript:KQL02954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSLAALPSQLASPGRLRRGRAALSSTARPHLLLHRAPKGGSSRCRLAVTCNAQAVAPTSIAQGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIRTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKHCTEKFIQDSGLDYIIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDVARLTFIAMRNEKATKKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVAVLRFTRQLTRFFQWTNDVADRLAFSEVLSSDTVFSAPMSETYQLLGVDANDILSLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >KQL00749 pep chromosome:Setaria_italica_v2.0:VI:4611409:4611850:1 gene:SETIT_014638mg transcript:KQL00749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSFTKIPNYSHTLHIVQHCTTSLVIKLQLATVRRRQCPPAPPSSSSCRSRSHRRRRPQKRASCGRSPRIAWRRRRRGRRGRGRWAPCSSAPRTRRRPRRPGTGTAAAARNPWLLSADHDTHAPAATSLMS >KQL02567 pep chromosome:Setaria_italica_v2.0:VI:33265484:33266790:-1 gene:SETIT_015008mg transcript:KQL02567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDSRFVEFKLDYTKAKDVAIGAVVSSEDISAGGHLWRLELVSNDSKNVRAIFDAFAIIRDGTPSWSDARRRADVYTERGATKGWHRFVTRRYLDSLYVTAGGWVTVMSGVIVVLDDEALPPPPDVGAHLGRLLDRADGSDVSFIVGGEAFPAHRAVLAARLPVFRAQLLGSMADATMPSIALHDIAPETFRAMLRFIYTDALPAADEEMMHDDELGDSPTEIFERLLAMADHHALDRLKIVCARISWDNVSVDTVATTLDCAEMYSCPELKRKCIKFFAAKKNFKKAVLTKGFVQLGQRFPSVIDELRERVVGLRL >KQL01747 pep chromosome:Setaria_italica_v2.0:VI:25399110:25402916:-1 gene:SETIT_014008mg transcript:KQL01747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGAATTPAAAPAAPRGNNRHPRHRVAAPARRSRGGAPARRLELHASVSPAVTADAPDEAAAEPLVEPAPETKLSKLACPICYYPFVSASDPSGDASSLECSTCKKVYPNKQDYWDLTVAVGSTEYSESKPAATEIFRTPLVSFLYERGWRQNFIWGGFPGLEREFEMAKTYLNPTIGGTIVDASCGSGLFSRLFVKSGLYSLVVALDFSENMLKQCNEYVKQENISDERLALVRADISRLPFVNGSIDAVHAGCRN >KQL01744 pep chromosome:Setaria_italica_v2.0:VI:25399097:25402916:-1 gene:SETIT_014008mg transcript:KQL01744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGAATTPAAAPAAPRGNNRHPRHRVAAPARRSRGGAPARRLELHASVSPAVTADAPDEAAAEPLVEPAPETKLSKLACPICYYPFVSASDPSGDASSLECSTCKKVYPNKQDYWDLTVAVGSTEYSESKPAATEIFRTPLVSFLYERGWRQNFIWGGFPGLEREFEMAKTYLNPTIGGTIVDASCGSGLFSRLFVKSGLYSLVVALDFSENMLKQCNEYVKQENISDERLALVRADISRLPFVNGSIDAVHAGAAIHCWPSPACAVAEISRVLRSGGIFVASTFVADVIPPAIPILRIARPVSFCSWDPLLLGLLVLLKGRVM >KQL01746 pep chromosome:Setaria_italica_v2.0:VI:25399110:25402916:-1 gene:SETIT_014008mg transcript:KQL01746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGAATTPAAAPAAPRGNNRHPRHRVAAPARRSRGGAPARRLELHASVSPAVTADAPDEAAAEPLVEPAPETKLSKLACPICYYPFVSASDPSGDASSLECSTCKKVYPNKQDYWDLTVAVGSTEYSESKPAATEIFRTPLVSFLYERGWRQNFIWGGFPGLEREFEMAKTYLNPTIGGTIVDASCGSGLFSRLFVKSGLYSLVVALDFSENMLKQCNEYVKQENISDERLALVRADISRLPFVNGSIDAVHAGAAIHCWPSPACAKLVESFAQGEFLLLLHS >KQL01745 pep chromosome:Setaria_italica_v2.0:VI:25399493:25402850:-1 gene:SETIT_014008mg transcript:KQL01745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGAATTPAAAPAAPRGNNRHPRHRVAAPARRSRGGAPARRLELHASVSPAVTADAPDEAAAEPLVEPAPETKLSKLACPICYYPFVSASDPSGDASSLECSTCKKVYPNKQDYWDLTVAVGSTEYSESKPAATEIFRTPLVSFLYERGWRQNFIWGGFPGLEREFEMAKTYLNPTIGGTIVDASCGSGLFSRLFVKSGLYSLVVALDFSENMLKQCNEYVKQENISDERLALVRADISRLPFVNGSIDAVHAGAAIHCWPSPACAVAEISRVLRSGGIFVASTFVADVIPPAIPILRIARPYISQIGGNNTFLSEVELEDLCKACGLVDFKFVRSGFYIMFSATKAS >KQL00300 pep chromosome:Setaria_italica_v2.0:VI:1190694:1191780:-1 gene:SETIT_015312mg transcript:KQL00300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPSSSSHPMVAVAAFLLTATMAAPAAEALLHDDVEPMWGEDHISFHTGGDGVETLALSLDKDHGSGFRSKGSYIFARYDIDLKLVANDSAGTVTTVYLTPDLVPPEDHDEIDMEFLGNVTGEPYTLHTNIFVNGVGNREQQFRLWFDPSKDFHTYSVEWNPMHIIMLIDGTPIRVYKNEASRGVPFPTLRRLRLDGSLWNADDWATQGGRVKTNWTQAPFHAYYRNFRVTPCTPSPGVASCGDEPPESPRFDKGLDAAALQKVRAEHLLYDYCEDENRFKDDGLPKECTAH >KQL00373 pep chromosome:Setaria_italica_v2.0:VI:1666758:1670055:-1 gene:SETIT_014072mg transcript:KQL00373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGQAADSQPPSASASCSSSSSSSPSGPAAGGGLRIHRSKRRPDILNMLMTAACLSSSSSDTGKGQSKLSSNKVTHGFHLVEGRSGHDMEDYHVAEYRYENDHELGLFAIYDGHLGDSVASYLKANLFNNILKEPLFWSDPQESIKNAYSSTNKYILENSKQLGPGGSTAVTAIVVDGKDMWIANIGDSRAVVCERGTANQLTVDHEPHTTNERKRIEKQGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVKHIQINPSVEFVILASDGLWKVMKNQEAVDLVKSTKDPQTAAKRLTSEALGRMSKDDISCIVIRFRC >KQL00372 pep chromosome:Setaria_italica_v2.0:VI:1666205:1670160:-1 gene:SETIT_014072mg transcript:KQL00372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGQAADSQPPSASASCSSSSSSSPSGPAAGGGLRIHRSKRRPDILNMLMTAACLSSSSSDTGKGQSKLSSNKVTHGFHLVEGRSGHDMEDYHVAEYRYENDHELGLFAIYDGHLGDSVASYLKANLFNNILKEPLFWSDPQESIKNAYSSTNKYILENSKQLGPGGSTAVTAIVVDGKDMWIANIGDSRAVVCERGTANQLTVDHEPHTTNERKRIEKQGGFVSTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDVKHIQINPSVEFVILASDGLWKVMKNQEAVDLVKSTKDPQTAAKRLTSEALGRMSKDDISCIVIRFRC >KQL00591 pep chromosome:Setaria_italica_v2.0:VI:3328284:3329179:1 gene:SETIT_015972mg transcript:KQL00591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNAQRRTCGARRRRSRACASTRRQRATAAGVTRPPPPGTAAGSLLTGTGRDRPRISWAQGRRSPGVARRAGIAPTRALAEMGEFANPLI >KQL00592 pep chromosome:Setaria_italica_v2.0:VI:3328284:3329945:1 gene:SETIT_015972mg transcript:KQL00592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLNAQRRTCGARRRRSRACASTRRQRATAAGVTRPPPPGTAAGSLLTGTGRDRPRISWAQGRRSPGVARRAGIAPTRALAEMDLPEAGNL >KQL01111 pep chromosome:Setaria_italica_v2.0:VI:8430203:8438792:1 gene:SETIT_013269mg transcript:KQL01111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGNEFRFFLSCDISLPLAFRVLHAEHILLTQQKVPELFVECKLYIDGILFGLPVKTRLEPSGPTYFWNELITLSTKYRDLTSLSQLAFTVWDVSSGEDPEIVGGATIFLFNSKRQLKTGRQKLRLWPKKEADGGVPTTTPGKVPKNERGEIERLERLVNKYERGQIQHVDWLDRLSFSAMEKAKEKECERKANLYPSLVVELCSFEHRVVFQESGANFYIPAPVSLSNELVTVWDPELGRTNPSEHKQLKLARSLTRGIVDRDLKPSSNERKLLQTIIKFPPTRTLEVYEKQLVWKFRFSLMSEKKALTKFVRSVDWSDNQEAKQAIELIGKWEMIDVADALELLSPDFESDEVRGYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLALFLVNRALSNIEIASFLRWYILVELHSPAYARRYYGTYDMLENSMMKLVGREDGDEDGFRLWQSLTRQTDLTAQLCSIMKDVRNVRGSAQKKIEKLRQLLSGVFSELTNFDEPIRSPLAPTLLLTGVVPQESSIFKSALNPLRLTFKTANGGTSKIIYKKGDDLRQDQLVIQTVSLMDRLLKLENLDLHLTPYRVLATGQDEGMLEFIPSSSLAQILSEHRSITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYILGVGDRHLDNLLLTDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFKLMERSGIPDISADESGGLKLQEKFRLDLDDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >KQL01112 pep chromosome:Setaria_italica_v2.0:VI:8430203:8438792:1 gene:SETIT_013269mg transcript:KQL01112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGNEFRFFLSCDISLPLAFRVLHAEHILLTQQKVPELFVECKLYIDGILFGLPVKTRLEPSGPTYFWNELITLSTKYRDLTSLSQLAFTVWDVSSGEDPEIVGGATIFLFNSKRQLKTGRQKLRLWPKKEADGGVPTTTPGKVPKNERGEIERLERLVNKYERGQIQHVDWLDRLSFSAMEKAKEKECERKANLYPSLVVELCSFEHRVVFQESGANFYIPAPVSLSNELVTVWDPELGRTNPSEHKQLKLARSLTRGIVDRDLKPSSNERKLLQTIIKFPPTRTLEVYEKQLVWKFRFSLMSEKKALTKFVRSVDWSDNQEAKQAIELIGKWEMIDVADALELLSPDFESDEVRGYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLALFLVNRALSNIEIASFLRWYILVELHSPAYARRYYGTYDMLENSMMKLVGREDGDEDGFRLWQSLTRQTDLTAQLCSIMKDVRNVRGSAQKKIEKLRQLLSGVFSELTNFDEPIRSPLAPTLLLTGVVPQESSIFKSALNPLRLTFKTANGGTSKIIYKKGDDLRQDQLVIQTVSLMDRLLKLENLDLHLTPYRVLATGQDEGMLEFIPSSSLAQILSEHRSITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYILGVGDRHLDNLLLTDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFKLMERSGIPDISADESGGLKLQEKFRLDLDDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >KQL01113 pep chromosome:Setaria_italica_v2.0:VI:8430203:8438792:1 gene:SETIT_013269mg transcript:KQL01113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGNEFRFFLSCDISLPLAFRVLHAEHILLTQQKVPELFVECKLYIDGILFGLPVKTRLEPSGPTYFWNELITLSTKYRDLTSLSQLAFTVWDVSSGEDPEIVGGATIFLFNSKRQLKTGRQKLRLWPKKEADGGVPTTTPGKVPKNERGEIERLERLVNKYERGQIQHVDWLDRLSFSAMEKAKEKECERKANLYPSLVVELCSFEHRVVFQESGANFYIPAPVSLSNELVTVWDPELGRTNPSEHKQLKLARSLTRGIVDRDLKPSSNERKLLQTIIKFPPTRTLEVYEKQLVWKFRFSLMSEKKALTKFVRSVDWSDNQEAKQAIELIGKWEMIDVADALELLSPDFESDEVRGYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLALFLVNRALSNIEIASFLRWYILVELHSPAYARRYYGTYDMLENSMMKLVGREDGDEDGFRLWQSLTRQTDLTAQLCSIMKDVRNVRGSAQKKIEKLRQLLSGVFSELTNFDEPIRSPLAPTLLLTGVVPQESSIFKSALNPLRLTFKTANGGTSKIIYKKGDDLRQDQLVIQTVSLMDRLLKLENLDLHLTPYRVLATGQDEGMLEFIPSSSLAQILSEHRSITSYLQKFHPDEDGPFGITAQCLETFIKSCAGYSVITYILGVGDRHLDNLLLTDDGRLFHVDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSSLILNLFKLMERSGIPDISADESGGLKLQEKFRLDLDDEEAIHFFQDLINESVSALFPQMVETIHRWAQYWR >KQL02833 pep chromosome:Setaria_italica_v2.0:VI:34851165:34855894:1 gene:SETIT_013483mg transcript:KQL02833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALRRSSTSSSATAAAPSPRAPSSPRSYSWIHRRSLPVTSSASAAASSLATSGTSAADGSDSAPAHVAAAASSPSLAPSSPNVDRGGIKSPWSRRKRKRALSGQHWNRLFSSNGKLRDGGRKFLKKVRSGGIEPGIRAEVWPFLLGVYDLNSSEEDRNTIKIRKRKEYEKLRRQCHRILNCYKGNGLNVINEFMNEDFSDGAEGPESPYSEGVRKRACVLPKELKSLGCKAEESESSNWASVECMDEDTSELTVVDQCMAESESSDSESSYEEDPDRTPVCSNLEENCDPKPKLVRSASSKSDIFISDKTPEDFATWQRIIRVDAIRANTDWVLFARNQAEVSKDKALRSAISVGLKDYDHLEPYMIYHAARLVALLEAYALYDPEIGYCQGMSDLLSPIIAVMEEDHEAFWCFVGFMRKARHNFRLDEVGIKRQLKTVSQIIKRKDSHLYRHLQKLQAEDCFFLYRMVVVLFRRELTFEQTVCLWEVMWADQAAIRAGIGRSTWGRIRLHAPPTDDLLLYAIAACVLQRRKLIIEKYSSMDEILRECNSMAGQLDVWKLLDDAHHLVVNLHDKI >KQL02576 pep chromosome:Setaria_italica_v2.0:VI:33316419:33317581:1 gene:SETIT_014287mg transcript:KQL02576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAMLLLFLLLASPAATRLASAACASEKFPAGRAYATCEDLPKLGASLHWTYDASKSSLSVAFVAAPAAPGGWVAWGLNPTGEGMAGAQALVALKGSGSGAPTVKTYNISGYGLGASSPLEFPATELAADAGGSGGMIRVYGKLQLRKGMKAVNQVWQVGSSVTGGAPDKHAFGADNLSAKAKLVLAGSKAAAAGSPSLSPVPAPEAGGPSASGSGTDSGAASSMAPSAGKSPNAAALTAAGVSAPALLMMALVGFLVTV >KQL02402 pep chromosome:Setaria_italica_v2.0:VI:32266705:32271078:-1 gene:SETIT_013264mg transcript:KQL02402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPGAAASEPDHGAPPTAAVQLLLRNIDSRTTVIRARREDTVGSVLDRLGNGVPPRGGELRAVHAGRELPRGATVGELGLPWDATIHVSYRLLSTPHADAWRLASEITAAARVAATATGGQQQPHEAAPLEKLVRRFLDSAGAAQQRRSHSALGPVADHLDIFLRSGAPGVLVQLYHSNDEHRRAVAERAIRCFLCPDAPSGKGIVRAWTAPVLLEFCGSIATGARTGDSLYTALRSMLATVLSDPEWTPERWHGVSWRRVAEQSTRLAGEMAKGIIQEIATAYRSCWSRPAAATRNLVEFKAFWSVLRRQVLELDDDRIQPWRKLLSQILEYLLRSVKDCMARFEMSLPPAPPPGHRHTSSSSALPKWTASLHSVWAVLAELDDWPDLHHAMRATLAAHARAVTALVLSAGSEFIENIRWITRHRDLLEFDARRHLAMAMLPELVRGTDARPPYELLVDRARLLPDSFGYIVHATPQELGAAMSVAFKHEQATGPGVLREWFCLVCQALFNPRVVLFSACPHDRRRFFINPTSVVDPLHLEYFEFAGRMIALALRHKIHVGVYFDRTLFLQLAGRPITLEDIADTDPSLHASCKKILEMDPGLVDSNTLELTFVREDEVLGSRTVTELFPGGKDIAVTSENRCKYIDLLIQDRFVNCTRRQLAYFAAGFRTMFDKWKPWTEFFASLDNEDFDQMLGGSKGTIDVNQWRAHTDYRGYKEKDRQIKWFWKAVENMTVEQQGRLLFFWTSVKCLPSDGFWGLGCRLFIYRASSSRDHLPTSQTCFYHLHLPAYTSSSMMQSRLRVIVQEHVSSGFGAS >KQL02644 pep chromosome:Setaria_italica_v2.0:VI:33697315:33702325:1 gene:SETIT_013624mg transcript:KQL02644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLKMTEKAPGAALPESSCAYLLQELKMIWDEVGQDKNERERILEELEQECQEVYRRKVNSANMSRIQLHQALAESEAEFTNLLLSLGERSFPGRPEKMAGTLKEQLNSITPALQEMQMRKEARVKQFMEVQTEIQRIASEIAGRLGNEAVTLNEEDLSLKKLEEFQSELQRLKREKSDRLCKVEEYKVLIHNFAKVMGMDPSKILANVHPRLLDGPNEQQTKNISDDILKKLNMTVQQLKEEKNNRREKLQNLVKALTNLWDTLDTTMEERQPYGQIKILAMTSVNGMLGPGSLTLETIQQVESEVQRLNQLKASKMKELFLKKRAEVDEICKRSHMDMPYQKEMDKIMNLIMSGDVVHDDLLKTMDEYIYKAKEEATSRKDIMDKVEKWMASCDEERWLEEYSRDERRYSISRGAHKHLKRAERARIIVNKIPGLVEQLIAKTQFWEEERNKIFYYDELPLLAMLKDYMLTLKEKEEEKYRERENKKIQTLL >KQL02753 pep chromosome:Setaria_italica_v2.0:VI:34366174:34366464:-1 gene:SETIT_015352mg transcript:KQL02753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GKRRALEESPWMFGKDLLIMVDYDEAKMIEEMEFSFILIWVRVTKLPFGMMNKATGEAIGEEIGQYMAMDMDEDGTAVGRFLRIKVRLDVRKPLMR >KQL00612 pep chromosome:Setaria_italica_v2.0:VI:3453808:3454370:1 gene:SETIT_015876mg transcript:KQL00612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSANCTTIRASYGRATGRTVEEVARLAVVVADTGHRHSRGWLVSLLIVTARLCRETELEV >KQL00611 pep chromosome:Setaria_italica_v2.0:VI:3453705:3454488:1 gene:SETIT_015876mg transcript:KQL00611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGISAHRDGKAMQGDRARGLGIAFSVDWGMFLNFCFYDVCHGSLFRLR >KQL02243 pep chromosome:Setaria_italica_v2.0:VI:31158889:31163699:1 gene:SETIT_013503mg transcript:KQL02243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRLAVSHRPALPLPIPHGHLRRGHLQLQPSPNSLSLSLPISPHLTLFPTTRRHLPPILASASASAVAKPSPDPKPAAAAGGAKPLPLLISLAAGLAVRFLVPRPAEVTPQAWQLLSIFLSTIAGLVLGPLPVGAWAFLGLTATVATRTLPFTAAFGAFTNEVIWLIVISFFFARGFVKTGLGDRVATYFVKWLGRSTLGLSYGLAISEAFIAPAMPSTTARAGGVFLPIVKSLSLASGSKPNDPSAKKLGSYLVQSQLQASSNSSALFLTAAAQNLLCLKLAEELGVKIANPWVTWLKVASLPAIVGLLVTPYLLYKIFPPEIKDTPDAPALAAQKLKNMGPVTRNEWVMIGTMILAVSLWIFGEAIGVSSVVAAMIGLSILLLLGVLNWEDCLNEKSAWDTLAWFAILVGLAGQLTSVGIVSWMSNCVANVLQSFSLSWPAAFGVLQASYFFIHYLFASQTAHVGALFSAFLAMHLAAGVPGQLAALALTYNANLFGALTHYSSGQAAVYYGAGYVDLPDVFKLGFTTAALNAVIWGVVGAFWWKFLGLY >KQL01365 pep chromosome:Setaria_italica_v2.0:VI:17784189:17784338:1 gene:SETIT_015497mg transcript:KQL01365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRSALTSLPARLRAPSAPAAADGWRLLSDGKGRLLSEKECAKESIYIQ >KQL02498 pep chromosome:Setaria_italica_v2.0:VI:32889585:32890390:-1 gene:SETIT_015517mg transcript:KQL02498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRESYMVDVVLIPLVLLFPVAYHLWLWRAVRHRPLRTIVGINAATCRLWVFAMAKDSEENALVVVHSLRNVIVDSTLAATAAVLLCTGVAAMLSCSSYAAVDALKCAAFLVVFLLACVCHTLAVCSLNQAVFLVNGYSTPSFPVSRDYVVGVLERGLLLHLAGNRVFYAGVPLLLWTFGPVLACLSSLGMVPILYNIDMHDHVQSSEREQKWRSQRASGDVVAVGNDVQEEHV >KQL00172 pep chromosome:Setaria_italica_v2.0:VI:323959:325703:-1 gene:SETIT_015645mg transcript:KQL00172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLNLSPLTCSGALSIKNPKLTHLLQDSLGDSKALMFVQISPSDSDVSETLSSLNIASHVRRIELGPAKKQVDTVELQKTNR >KQL02004 pep chromosome:Setaria_italica_v2.0:VI:28949805:28952321:-1 gene:SETIT_013282mg transcript:KQL02004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSCHNLLDLAAADEVPLPSPTPLRLPRVMSVASPASPTSSPSPPATPRRVIVSHRLPLHAAPDPAAPFGFAFTVDAGTVAYQLRSGLPASAPVLHIGTLPAAAAEAASDELSNYLLANFSCLPVYLPSDLHHRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFQRTLYHSFLSANRAFADRLTEVLNPDEDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLVRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVMVKILPVGIDMGQLRSVVSAPETGDAVRRVAEAYKGRRLMIGVDDVDLFKGIGLKFLAMEQLLLEHPELRGRAVLVQIANPARSEGRDVQGVQDEAKAISARVNERFSTAGYTPIVLIDGLVTEQEKSAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESNALGDDSPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNAALRMPEAEQRLRHEKHYKYVSTHDVAYWARSFDQDLQRASKDHLSRRHWGIGFGMSFKVVALGPNFRRLYVKHIVPSYRKTENRLILLDYDGTVMPENSIDKTPSSEVISVLNCLCEDPKNRVFIVSGRGKDELSKWFAPCEKLGIAAEHGYFTRWSRDTPWETSVLAADFDWKKTAEPVMQLYTEATDGSYIEHKESAIVWHHHEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQVSQNACMFFPCFQ >KQL02005 pep chromosome:Setaria_italica_v2.0:VI:28948629:28952321:-1 gene:SETIT_013282mg transcript:KQL02005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSCHNLLDLAAADEVPLPSPTPLRLPRVMSVASPASPTSSPSPPATPRRVIVSHRLPLHAAPDPAAPFGFAFTVDAGTVAYQLRSGLPASAPVLHIGTLPAAAAEAASDELSNYLLANFSCLPVYLPSDLHHRFYHGFCKHYLWPLLHYLLPLTPSSLGGLPFQRTLYHSFLSANRAFADRLTEVLNPDEDLVWIHDYHLLALPTFLRKRFPRAKVGFFLHSPFPSSEIFRTIPVRDDLVRALLNADLVGFHTFDYARHFLSACSRLLGLDYQSKRGYIGIEYYGRTVMVKILPVGIDMGQLRSVVSAPETGDAVRRVAEAYKGRRLMIGVDDVDLFKGIGLKFLAMEQLLLEHPELRGRAVLVQIANPARSEGRDVQGVQDEAKAISARVNERFSTAGYTPIVLIDGLVTEQEKSAYYAAAECCVVSAVRDGLNRIPYIYTVCRQESNALGDDSPKRSVIVLSEFVGCSPSLSGAIRVNPWSVESVAEAMNAALRMPEAEQRLRHEKHYKYVSTHDVAYWARSFDQDLQRASKDHLSRRHWGIGFGMSFKVVALGPNFRRLYVKHIVPSYRKTENRLILLDYDGTVMPENSIDKTPSSEVISVLNCLCEDPKNRVFIVSGRGKDELSKWFAPCEKLGIAAEHGYFTRWSRDTPWETSVLAADFDWKKTAEPVMQLYTEATDGSYIEHKESAIVWHHHEADPDFGSCQAKELLDHLENVLANEPVVVKRGQHIVEVNPQGISKGVVADSSCRPWSKRGSRRISCCASATTGRTRTCSRASCARPTPA >KQL01897 pep chromosome:Setaria_italica_v2.0:VI:27686867:27692020:1 gene:SETIT_013379mg transcript:KQL01897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAAAAALAAARGRWTQWEEVVVSNDRGRRLVHYYLRGEGDAKELAVVGRERSPRHMSYAVQGRFLRALAAAAGAVAVAPSPSRSPSAAGAGADGGAPRRWRSRREVVDWLSSLVSGCNYGYSSANRWNGNSYDDNDINCTQDVSSREISKDFTWLGSAWHCQQHLKHYKSFCRRGITISVHSFVYIMSEEMKRLIAYVEDLYEDTNSYNMVKVRWFDKADEVGVPLPMDVDDREIFFSLGRQDLNVECIDGLAAVLSAQHYEKFKSGTRYSLWQPYFCRRQIDDDEVKPFDVTQLQGYWSQGVLRTMFNATSSLKVRFKVPKFGPSSDGGLKRKRDAFNDDANPQKFLGSGASASSFLGDKYLYPGCQVEVLSQDSGIRGCWFRCSILKRHNDKIKVRYLELQDADETGNLEEWVMLTRVAKPDQLGIRFLGRPMVRPQHVGESKASCFNVGAIVDAWWHGGWWEGIVLRQGDSGHLQVYFPGEKRVAEFLEDELRHSLEWVGNKWNPLKERKDIANKLTSATGTGSEDLNRKQIPLDVNMSPKPEPQLEEGLRFDERRDEKSSVSKISRDNKRVFADLTNVLKLDNLRWRPRKRSRRSGSRRQSDTSSGSSSGDMGSSSPSGSSGQLNSVPDEEACKSSGEQCFMGVPVQVPNLVMSR >KQL01742 pep chromosome:Setaria_italica_v2.0:VI:25380327:25381934:-1 gene:SETIT_0151482mg transcript:KQL01742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VAVARGMNGIGLALVTPAIQSLVADYTDDKTRGSAFGWLQLTGNLGSLIGGLFAIMLASTTFMGIASWRIAFHAVALISVIVGILVRLYAEDPHFRNDLVIDAKAVIKIPSFHIIVAQGITGSFPWCALSFAPMWLELMGFTHTGTGILMITFAAASSLGGRSPNSGRIILSQINSASAVPLAALLLLGLPDDPSTGFLHGFVIFIVGLSISWNAPATNNPIFAEIVPERSMTSIYALDRSFESVFAAFAPLVVGYLAEHAYGYSPALYAAIAGPMLLYCFIYSLLYQTYPRDRERARMEDTLISSELQRIELERSQGSREYFLGEDVAVIDAECGKELLDADDNEETTCFMKLDKGGLPNDCEPTEGDNQPNELV >KQL00447 pep chromosome:Setaria_italica_v2.0:VI:2151681:2153297:1 gene:SETIT_014468mg transcript:KQL00447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIFMKFCSLQDDKNIAHLTHVSEMEKKCESLARITVILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLLKAASDYGALTASVGDFQWSQNFRESPAVWGEMLRPIPAALASCTRFFEAMSAMRESFSTLQKLRVGHSSLSMTPSGSSDDSKFLTPPQWRDGSVLDSWKQVDDINPESDGLDSMNQRRLSWPSSIKRDP >KQL01845 pep chromosome:Setaria_italica_v2.0:VI:27057193:27058351:1 gene:SETIT_014886mg transcript:KQL01845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECRVVLPLQGAGVVGARPRVAVPVQQAFWRTAERRLRRLAGAVAPTRCSKMYVPGFGEGSPERKAAIHMQNFFNYIAVRIVLSQLESYNREAYFELKEFVSRTSLNDAELFCKKLIRESPRLKGL >KQL02364 pep chromosome:Setaria_italica_v2.0:VI:32008689:32009937:1 gene:SETIT_015209mg transcript:KQL02364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSEYKRRRWYVRYVGESNVAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWIFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHKFRRWVPPPPNPPRMTDEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKLRKEQEIKEKIRKKYDVPIPDDDLLWGKIYQDMVHETGVKPNGFYARETIIKYWRQNRSKEKIGGSCRRRGTWRNKG >KQL02654 pep chromosome:Setaria_italica_v2.0:VI:33805870:33810697:1 gene:SETIT_014540mg transcript:KQL02654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRVELDLLQQEKKGTKRKRGRVELRRIEDRTSRQVRFSKRRCGLFKKAYELSVLCDAQVALVVFSPAGRLYEFASADSSLGEVLDRYWDLANTINDLNIEARDSRVDRNIQEQQSSVGSLPDQLNIVAQRAMEASVDELSMAEIRSLEETVTDALAAIRVILHCTHFF >KQL01900 pep chromosome:Setaria_italica_v2.0:VI:27698355:27700890:-1 gene:SETIT_013678mg transcript:KQL01900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPAPFSLSTSTLPARLRAAAVPAGARGRQPRRGRMVVRAKIREIFMPALSSTMTEGKIVSWSAAEGDRVTKGDAVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEEEVPLALAKAKELSNGQSQQAAPAPAEEAAATPPPPPPPTPAAAPAPVAAGTKGIASPQAKKLAKQHRVDLAKVTGTGPYGRITPGDVEAAAGIQPKPKPAAVAAASPPVAAPSAAAVPQAAVLPPVPGATVVPFTTMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKVKPKGVTMTVLLAKAAAMALAQHPAVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKLDIYLLSQNWKDLVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTL >KQL01901 pep chromosome:Setaria_italica_v2.0:VI:27698063:27700985:-1 gene:SETIT_013678mg transcript:KQL01901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPAPFSLSTSTLPARLRAAAVPAGARGRQPRRGRMVVRAKIREIFMPALSSTMTEGKIVSWSAAEGDRVTKGDAVVVVESDKADMDVETFHDGIVAAVLVPAGESAPVGAPIALLAESEEEVPLALAKAKELSNGQSQQAAPAPAEEAAATPPPPPPPTPAAAPAPVAAGTKGIASPQAKKLAKQHRVDLAKVTGTGPYGRITPGDVEAAAGIQPKPKPAAVAAASPPVAAPSAAAVPQAAVLPPVPGATVVPFTTMQAAVSKNMVESLAVPAFRVGYPIVTDKLDELYEKPKGVTMTVLLAKAAAMALAQHPAVNASCRDGKSFTYNSNINIAVAVAIDGGLITPVLQDADKLDIYLLSQNWKDLVKKARAKQLQPNEYSSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIIEDPESLTL >KQL03051 pep chromosome:Setaria_italica_v2.0:VI:35957975:35961183:1 gene:SETIT_013757mg transcript:KQL03051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPLLPHRASASGKCPVPDRPVPLRDDCHRSSQQTGLGRGWQGQGQGQERSNLAAATRRSHRSRARSATMARCHHLLLLLLSLFLAVAAAAPGQGQGHHGEASAVFIDAASHRYLRDQPTDDQEASMSLNEVSAAVSVLLGFAPPTALPALSSSQLNKVLLPNPFDRPRAVFLVQIAGSSASADSFVSEAGSIFKTRIEGANNAATGLTDKDELIIIHSDESLDHTGSDLTDSELSRLANWLEGSYQKSSGELVIPLESGNSLTLLLHKEADLEFASSLASLLKTIERAIQVHEDFSGVISPAELLVCHFTGIKALEDEYASTEIVKQGTEIVQRAVTKAFQSLHGAYKGKIVGLVVSTQEASSFLGSIIEAPSSLHISRQLEEASQTIATASVVLVRKSLAWITGIILLVSTLIGVCLLMNMPLTRDTLLYSNVKID >KQL03052 pep chromosome:Setaria_italica_v2.0:VI:35957975:35959982:1 gene:SETIT_013757mg transcript:KQL03052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLPLLPHRASASGKCPVPDRPVPLRDDCHRSSQQTGLGRGWQGQGQGQERSNLAAATRRSHRSRARSATMARCHHLLLLLLSLFLAVAAAAPGQGQGHHGEASAVFIDAASHRYLRDQPTDDQEASMSLNEVSAAVSVLLGFAPPTALPALSSSQLNKVLLPNPFDRPRAVFLVQIAGSSASADSFVSEAGSIFKTRIEGANNAATGLTDKDELIIIHSDESLDHTGSDLTDSELSRLANWLEGSYQKSSGELVIPLESGNSLTLLLHKEADLEFASSLASLLKTIERAIQVHEDFSGVISPAELLVCHFTGIKVTHKLLVCNLKLGN >KQL01792 pep chromosome:Setaria_italica_v2.0:VI:26196913:26198175:1 gene:SETIT_014881mg transcript:KQL01792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEAAESSRAREEAAEQEASSAAVARQQQRPWVALASIPVVYRARDARARGLAPGAADLLLELQDPPRASYLALPERLVPDPRRFVLANNFPYIITAAPRRLLFMASNLAQGRDVLNANYFLCDVRAGTAYRLPAEPANLPIKLFPRRTLGLVADPSSPGDYLIAQLHPAAGSSMRRHDALLCFSTATDQWSVKQLASAPDHEPWGAHGVFPHDGFLWWVDVAYGMLFCDPFDDHPRLRLVPLPRGCEMHGLTNRVRIHFSKLLDRCRCVRLSEGKLRYVQISGLSYDRAAVNDPPDNPAVSMWTLTALADPEAADPWTFEYEVPFAHIWAHHKYIAAGLPRGKLPNLALVDPNNHHVVYFFQETSLFAWDARAKDLVSCTECLVDRDFQDLEFQNSRFVDAWEWELPPTVCSDDPASSD >KQL02326 pep chromosome:Setaria_italica_v2.0:VI:31743749:31747734:1 gene:SETIT_013667mg transcript:KQL02326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRVAAALLRRGRDQAPTLVIPRLVPRSAPAPAVPRIGSSSGGGGGCLLPPRPGSTGAFSSASRFASFHAFRSLAPKTLLGQCTRKMSTSTATLNSTMANGAANSGLKLLVTKGPQAQKAVGIWLFGCAAWVFSLVILGGITRLTRSGLSMTDWKFTGEIPPMSDEAWLLEFEKYKQSPEYKRVNKGMSLEDFKFIYWMEYGHRMWGRALGFVFAGPFAYFIAKGYVTRQLGLRLSALFALGGGQGLIGWWMVKSGLEEPTSEYVQPRVSPYRLATHLTSAFVIYCGILWTALSVVMPDPPSGSMNWINGAAKIRKLAIPVSAVVGITAISGAFVAGNDAGHAYNSFPKMGDTWIPEDIFSMEPFIRNFFENTSMVQLNHRILATTTLLSVGGLWLAARRIDMHPAVKSLIGSTLGMAALQVTLGISTLLMYVPTSLGSAHQAGALTLLSLMILLTHTLRRPSPALLKSLANSVKSS >KQL02639 pep chromosome:Setaria_italica_v2.0:VI:33678018:33682276:1 gene:SETIT_013424mg transcript:KQL02639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVAVALAVVVLAAAAAVTLPGAATASPAEGFQPLSKIAVHRTTIEMQPSAYVRATPALLGEQGEDTEWVTVKYGRRNPSVDDWIAVFSPANFNSGTCPNPTRYSGEPLLCTAPIKFQHANFSANYMYWGKGSIRFQLINQRYDFAFALFTGGLENPKLIAVSEPISFKNPKAPVFPRLAQGKTHDEMTVTWTSGYDISEAYPFVEWAAVGGGGHPVRTPAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFMRDLWPNREYYYKIGHELQDGSVVWGKPYTFRAPPSPGQNSLQRVIMFGDMGKAERDGSNEFANYQPGSLNTTDTLVEDLDNYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERTWTNSGGFFNGKDSGGECGVLAETMYYYPAENRANFWYKVDYGMFRFCIADSEHDWREGTEQYKFIEHCLSTVDRKHQPWLIFAAHRVLGYSSNSWYAMEGSFEEPEGRESLQKLWQKYRVDIAFFGHVHNYERTCPLYQSQCMTGEKSHYSGTMNGTIFVVAGGGGSHLSDYTTAIPKWSIFRDQDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTVDRDYRDVLSCVHDSCFPTTLAS >KQL00265 pep chromosome:Setaria_italica_v2.0:VI:878296:878764:-1 gene:SETIT_016026mg transcript:KQL00265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKKLNLWSLDKQYALFQGSDDRMVQVARWLDVTVMSKISISV >KQL01026 pep chromosome:Setaria_italica_v2.0:VI:7446105:7448198:1 gene:SETIT_013785mg transcript:KQL01026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLDEYEKLVIRMNTPRVVIDNAVCPTATLVQVDSARKRGVLLEAVQVLADLDLSINKAYISSDGRWFMDVFHVTDRFGRKLTDDSVISYIEQSLGTWNGPARPAALEGLTALELTGADRTGLLSEVFAVLADMECSVVEARAWTHRGRLACVVFLRGEDADAARVARILARLGHLLRGDPAEAPGAVAAVPAAGVAHADRRLHQLMAADRDQDLRAFPTPAVSVDSWAERGYSVVTVQCRDRPKLLFDVVCTLHDMDYVVFHGTVDTTGDQARQEFYIRHADGSPIRSEAERERVSQCLQAAIERRSLEGVRLELCTPDRPGLLSDVTRTFRENGLLVARAEVSTKGDVASNVFYVTDAAGDAVEQCAIDAVRERVGTDCLVVGEEPRPQLYRKPAPADRDHGVGGGLGLVYLGNLVKRNLYNLGLIKSCS >KQL01389 pep chromosome:Setaria_italica_v2.0:VI:18599287:18599941:1 gene:SETIT_014579mg transcript:KQL01389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGKSVMEATKEAAANLGASANAGMQKTRAAVQGQVEKATAHNASDKAAAEANQRERVRAAEEEKQGAMRANAAAKERATGAHPSQGAPGIVDAAHHQQGHGAAPAGGHVEAGVGETRPVARATGAARPSAAHNPHVGSDFSQARGTGGQYQ >KQL01257 pep chromosome:Setaria_italica_v2.0:VI:12311850:12314361:-1 gene:SETIT_015501mg transcript:KQL01257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HHLLGGEALKAAGRAEWWELVARKGEGGRKKEGHLRSAGSHIDRNPWRIWVMVPSLRCEGEPPSVYGLDWDDIVANLVAILPKVLSPRKVEVIERNRNEKLPRFYSNLEKMTTTEAGTGEADNGKDDDDLFVDNVDKEVVDEGITKGRKNRKGKKATGSKGKGPVIVDQECEELSTDEDDLLVDIQNPIFKVGMLFESVELLRKAITEYNLKKRVDIKMPRNERKRLRANCADGCPWSLYASNDSRTNGLVKHWVLKRCTSKWLAKKYLESFIADQKISLSNFARIVQKEWNMTHHAGEIIDDDPFISQ >KQL02935 pep chromosome:Setaria_italica_v2.0:VI:35380925:35391256:1 gene:SETIT_013196mg transcript:KQL02935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFLLEDEEVIAQGHGVVLLNNREPGTLSVTNFRLLFVSQARKCVMELGTIPLTTIERLNDDVKLQPLPHLSDKSRPLELLQVIGKDMRIIVFAFLPKQKKEVFDALRRCTKPANLWDLYAFSCDPSTIKNDSEPKWRLLREYFRLFRKSLPQLRGVEEVSLSNDWWRLTRVNSSYALCSTYPSELIVPRGISDEDLFQASTFRSGKRLPVISWCDPGTGAVLARSAQPMVGLMMNFRNNADEKLVCALSSRTTNDKVSPRKLYIIDARPRANALANGAKGGGSESSSNYPRSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGTPSAVSVVGDRRNRGSTWGGGNLNSMTSFSSTLGEWLNHIQNILVGASWIAAQIAEEAASVLVHCSDGWDRTTQLVALACLLLDPYYRTFSGFQALVEKDWLAFGHPFAERMGVPTVAENGGSQYELLRQPSLGNLSSSPSRTPLGPSGPSSNASVQSQTSNNSSPILLQWLDCISQLLRLYPSAFQFSSKFLVDFMDCVLSCRFGNFLCNSEREREQSGVTNSCRCMWSYLADLRASGGSFHEHYNPFYDPVKHNGALLPPAAALAPTLWPQFYLRWTCPNESQGGDLESQWHAMNKKYAEAMKAKDIAEWRAKDIKMKMESVKQDLQRERHASNTASATAQSAQRENAAIKKAIESIGCTIKFSTSENQEDRTEVLSCSLRRGTDARGQEDENGDFSVSISAIEDSLVSEAPGDHFCESLCPFRTRDGCRWPDAPCAQLGSQFVGLKANFDAFDRLSIQDCYFGPE >KQL02934 pep chromosome:Setaria_italica_v2.0:VI:35380213:35391256:1 gene:SETIT_013196mg transcript:KQL02934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METGRGRRGRGDRRRSRIRIAGDGDLDRGRGATPKIGGTKLLPASRYPHPPPPTARSRRARHGRAGRFPPQQANPAQPKQDQTSPTGKQTRFFLSPCSVPFRSSPPLALLPSLSLSATATARRRGRTERGPAAAAAAPPEMDGSGSWDAIDWNQIEDPRPRRLVQSVKESMDDFLLEDEEVIAQGHGVVLLNNREPGTLSVTNFRLLFVSQARKCVMELGTIPLTTIERLNDDVKLQPLPHLSDKSRPLELLQVIGKDMRIIVFAFLPKQKKEVFDALRRCTKPANLWDLYAFSCDPSTIKNDSEPKWRLLREYFRLFRKSLPQLRGVEEVSLSNDWWRLTRVNSSYALCSTYPSELIVPRGISDEDLFQASTFRSGKRLPVISWCDPGTGAVLARSAQPMVGLMMNFRNNADEKLVCALSSRTTNDKVSPRKLYIIDARPRANALANGAKGGGSESSSNYPRSEVLFLGIQNIHTMRDSLSRLRDYVDAHGSISSNGTPSAVSVVGDRRNRGSTWGGGNLNSMTSFSSTLGEWLNHIQNILVGASWIAAQIAEEAASVLVHCSDGWDRTTQLVALACLLLDPYYRTFSGFQALVEKDWLAFGHPFAERMGVPTVAENGGSQYELLRQPSLGNLSSSPSRTPLGPSGPSSNASVQSQTSNNSSPILLQWLDCISQLLRLYPSAFQFSSKFLVDFMDCVLSCRFGNFLCNSEREREQSGVTNSCRCMWSYLADLRASGGSFHEHYNPFYDPVKHNGALLPPAAALAPTLWPQFYLRWTCPNESQGGDLESQWHAMNKKYAEAMKAKDIAEWRAKDIKMKMESVKQDLQRERHASNTASATAQSAQRENAAIKKAIESIGCTIKFSTSENQEDRTEVLSCSLRRGTDARGQEDENGDFSVSISAIEDSLVSEAPGDHFCESLCPFRTRDGCRWPDAPCAQLGSQFVGLKANFDAFDRLSIQDCYFGPE >KQL02427 pep chromosome:Setaria_italica_v2.0:VI:32443120:32443602:1 gene:SETIT_015832mg transcript:KQL02427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPERHGDKQEGAKSATSEEDDSGGEALGRSWHARTVGSDGPLARSTASCVACEEFDGGRRWIAAVRRR >KQL02806 pep chromosome:Setaria_italica_v2.0:VI:34692540:34693475:1 gene:SETIT_013965mg transcript:KQL02806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPESSQEMRIAHFVVKEPMVIGHECAGVIEEVGAGVKHLSAGDRVALEPGVSCWRCRHCRGGRYNLCEDMKFFATPPVHGSLAHQIVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRAGVGPETAVLVMGAGPIGLVALLAARAFGAPRVAIVDVDEHRLSVARSLGADAAVRVSPRPDDVGEEVERIRAALGGAEIDVTLDCAGFSKTVATALGATRPGGKVCLVGMGHNEMTVPLTSAAIREVDVVGVFRYKDTWPLCIEFLRSGKVDVKPLITHRFGFSQREVEEAFEVSARGRDAIKVMFNL >KQL02805 pep chromosome:Setaria_italica_v2.0:VI:34691489:34693737:1 gene:SETIT_013965mg transcript:KQL02805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGCQGSGVAAAGEVEENMAAWLVAKNTLKIMPFKLPPLGPHDVRVRMKAVGICGSDVHYLREMRIAHFVVKEPMVIGHECAGVIEEVGAGVKHLSAGDRVALEPGVSCWRCRHCRGGRYNLCEDMKFFATPPVHGSLAHQIVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRAGVGPETAVLVMGAGPIGLVALLAARAFGAPRVAIVDVDEHRLSVARSLGADAAVRVSPRPDDVGEEVERIRAALGGAEIDVTLDCAGFSKTVATALGATRPGGKVCLVGMGHNEMTVPLTSAAIREVDVVGVFRYKDTWPLCIEFLRSGKVDVKPLITHRFGFSQREVEEAFEVSARGRDAIKVMFNL >KQL01632 pep chromosome:Setaria_italica_v2.0:VI:23667296:23672707:1 gene:SETIT_014017mg transcript:KQL01632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIREVPARRPAPSAAGALRSPMSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGQSAISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYSPETNGTHSRKKPLIVIGIMSSFGRKNYRDAVRKSWLPTGSMLRKLEEEKGIVVRFIVGRSANRGDTFDREIDDENRSTRDFLILDDHIESDEELPKKTKSFFANAAETFDAAFYAKVNDDIYINVDTLSAMLETHWDKPRVYIGCMKSGEVFSDSTHKWYEPDWWKFGDGKSSVLRTYAHDDVSVGSWMVGLAVKHVNEAKLCCSSWPSGAMCSAL >KQL01631 pep chromosome:Setaria_italica_v2.0:VI:23667296:23672707:1 gene:SETIT_014017mg transcript:KQL01631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIREVPARRPAPSAAGALRSPMSAMMLAMFATMASFYVAGRLWQDAQNRVYLIKELDRRTGQGQSAISVDDTLKVVACRQQGKRLASLEMELAAAKHEGFVGKYSPETNGTHSRKKPLIVIGIMSSFGRKNYRDAVRKSWLPTGSMLRKLEEEKGIVVRFIVGRSANRGDTFDREIDDENRSTRDFLILDDHIESDEELPKKTKSFFANAAETFDAAFYAKVNDDIYINVDTLSAMLETHWDKPRVYIGCMKSGEVFSDSTHKWYEPDWWKFGDGKSYFRHASGEMFVISRAVAQFISINKSVLRTYAHDDVSVGSWMVGLAVKHVNEAKLCCSSWPSGAMCSAL >KQL01685 pep chromosome:Setaria_italica_v2.0:VI:24794349:24795831:1 gene:SETIT_013934mg transcript:KQL01685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAAAAAAPLPGGKDGALFGAAAAKEVEVMNIGSSCNSVAESSKQDQVMQVPIASDKFHMIEEKESATTKGFFGPMKKVMVNAPAHLRMKIDSTCALDSKGKLNDEVAFNLDDDDILKALVVHEGKLELYLNNSSGLPSVSCQRQYGSENADARIQFKKLCRRFEFVCRALVQAVEQDLLKIRRIDLEASKMIRKLPGFSEHGPIVGQVPGVEVGDAFLYRVQLAIVGLHRVYQGGIDTTKYTNGKRIAISIVASGGYPDELPRSGELIYTGSGGKHTGKKDDEDQKLERGNLALKNCIETETPVRVIHGFKGQNTEGGSHLRAQQISTVTYDGLYWVVDFWMHGQPGSRVFKYKLQKIPGQPELPMHIAKGMRLY >KQL01023 pep chromosome:Setaria_italica_v2.0:VI:7429506:7430359:1 gene:SETIT_014380mg transcript:KQL01023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSVSVPSSPRSNETSVEEQLQSLKATVSSPSATIETMVGGLSKLGSIYDRIDELLTCLPSSQRKAVEEELERSLVLLDLCSAVQESFVELKTSVQEMQLALKRGDDAALQTRVQCYSRSAKKAQKLFKKINKKTASDMEGCRVIKLIAEARETAVSILESTLNLLSKQIAMPSSSKWSLVSKSFQKKRVVCEEEQLQGLELDIVDLESGVGALFRTLIQSRVSLLNTLSL >KQL02608 pep chromosome:Setaria_italica_v2.0:VI:33517564:33519351:-1 gene:SETIT_015478mg transcript:KQL02608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLMLRGAIAFASRRACSVWKVQQQLLLVLPVMEVKQSWHAAQDYRPNQRNAAADVASSVAIVNRPRPRRLCHQQQFSRHPAKHPPCTTRRSDASALRRAPGHTTARPGLPLPDKRHPVSPWQMDPRSPRMPAQLTHHQAPLPTPMPPPPFITPSSSPLPLREHERRRCCKAACNLPSRGSANPPTTQSQKAKARGRPEPAPQQKQTPRPGAGTQALRFASLRFPCSPLSSPRLAESADWPRDGMEAGRLMRRSVTLADQLAAVGPPQAVGAAAGSCNLRDLLKLRDEDDLAAGRRAAVTLASAMAAERQVSLPSASPSASTAAAAAAVAARTLLDIIRDDQPPPAAASGDGPGAADPFLVRRAVSLPAPTTAASTPAVAPASASPAPSPAPPPPLPPQPPAVAEAAEEEEQGERVSLMALLEQTDRQWSAGAGAAAPREEEDVAGAEALELVEDDTEPGAGAGRGVVAGCCCVCMARAKGAAFIPCGHTFCRACARELLAGRGRCPLCNAAIVDVLDIF >KQL01593 pep chromosome:Setaria_italica_v2.0:VI:22592690:22593950:-1 gene:SETIT_014879mg transcript:KQL01593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDPHAVDIESLARQLREELAAAEAPPAQLASGCPIIIAQVGELTRNRNVDPARLHRDDEKLRSLGALLSAASAGMTLEVYRDELARLEGQARSCYAHTFEQIVSAEFVRMLLLDACYVLVRFGCVARRRRNGGGEAPSVGGDMMEAVAVIPFFVVDKVHRLTFPDAGVPAAEAITGYVRELLRGRSTRWPRRRRATGAKRPFGRWRTATEYHCAGVGFRIRPLGAWRQRRRPLDPRPLEQSNPAAAGSHVTAYCVLVSQLACTPRDVEVLSRRGVIVHGLGSHGEVAELFAGLCNGVAFGADDPCGNYLHATWQAMEGRFWSRPRRWAAWLMLRYFTNPWLAVGLLCTVVLAVYSVLSYTQGAT >KQL02580 pep chromosome:Setaria_italica_v2.0:VI:33356043:33358875:-1 gene:SETIT_015598mg transcript:KQL02580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHRQAGPAERAPRHPAPPVSDPNNPRACAPLVSHSSRSPHHPTTTPPARPPVEAPRPRPRAAPRRPDPRRVRPKPTAKPLQISRIPEATTCGRGEARRQPHTAREPPEQVRPRAPRASERAMGDDSEGEAEEYLFKVVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMDIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFENVGRWLQELNTHSDTTVAKMLVGNKCDLDNIREVPVEEGKALAEAESMFFMETSALDATNVETAFEIVIKEIYSNVSRKILNSDSYKAELSLNRVSIDGDSKDDQKQQASRFGCC >KQL02737 pep chromosome:Setaria_italica_v2.0:VI:34276046:34281549:-1 gene:SETIT_013133mg transcript:KQL02737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALSVGKSVLDGALGYAKSAVAEEVALQLGIQRDHAFIRDELAMMQAFMRAAHDERDNHEVLMTWVKQVRDVAYDAEDCLQDFSVHLHKPSWWRLPRTLQERRRIAKKMKELRTRVEDVSQRNLRYQLIKSAGSKPVTSAEQSSITAAAIFGIDDARRAAKNDNSKVDLVHLINTPGEDLRVIAVWGTSGDLGQTSIINAAYENPDIKRKFSCRAWVRISHPFNPNDFIQSLVKQFRSAVGVDVLLETKKTGKELAEEFSGYLSENSFLIVLNNLSTFEEWNEVKACLPPNHKKGSRIIVCSLQIEVASLCAGQECQALELKQFSAHQTIYAFYEKIKVFTKSLTRIKTMASALEESQLIGRQKEKTDIINLISNRSNQEHAVISVWGMGGIGKTTLIKDVYESQKLVGVFEKHACVTVMRPFIRKEFLKSLIMQLNVQSSEKKGAIDFAHGARNTALVMMGVEALIKELARLLEGRKCLIVLDDLSSIAEWDNIFGSFPKLDSSCRIIVTTREESIAKHCSEKQENIYKLKVLDCKDAQDLFTRKVFMEAKDLDKHPELIKEAKMILKKCNGLPLAIVTIGGFLAKQPKVAVEWRKLNEHISAELEMNPELGAIKTILGKSYDGLPYHLKSCFLYTSIFPEDHKVSRRRLIRRWSAEGYSREIRDKSPEEVADNYFMELIERSMILPSQLSVNSRKGIDSCQVHDLMREISISKSTEENLVFRMEEGCSSNTQGTVRHLVISTNWEADKSEFENKVDLSRIRSLTVFGKWRSFFISDKMRFLRVLDLEGTSGLVSHHLEHIGKLLHLRYLSLRRCADIFHLPDSLGNLKQLETLDISQTSILKLPKTITKLKKLQYLRAGAVGAHDNSLSLEELPKVVNNRPCICMGWLLGFCVACCAPQFIKEVMDVDGDMNRCDVCTQCCCVMLPVLMAKEGPTWMPRGIGKLKSLRTLSVVNLAWDKANLLDIKRLTQLRKLAVTGINKENGQELCSVVANLSCLEYLLVQARGMPGLHGCLDSLTSAPKNLQSLKVYGNLLKLPGWVEGLKNLVKLILRSSRILEHEPALQVLGKLPNLVSLRLWAKSFQVDNLRFTFHPEAFPSLIVLELNDIDGLKSVEFEEGAMLRLERLDFRGKLEETNTGMFSGLPLLRSLKEFMLDSKTYEHTFMEDLQGQLGANPNGPALKRW >KQL02558 pep chromosome:Setaria_italica_v2.0:VI:33184289:33188235:1 gene:SETIT_014553mg transcript:KQL02558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAVMVISTQAKPRLLKFYNFQPPEKHQDLVRSVFQLLSARPDSASNFVEVDSIFGPGTKMVYQHLATLYFVFVFDSSENELAMLDLVQVFVETLERCFKNVCELDIVFNFNKLHTVLDEMILGGQVIETSSEQIMKSVEEIARLEKQSSTTSLIPKSISDRFRR >KQL02557 pep chromosome:Setaria_italica_v2.0:VI:33184289:33187721:1 gene:SETIT_014553mg transcript:KQL02557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQAVMVISTQAKPRLLKFYNFQPPEKHQDLVRSVFQLLSARPDSASNFVEVDSIFGPGTKMVYQHLATLYFVFVFDSSENELAMLDLVQVFVETLERCFKNVCELDIVFNFNKLHTVLDEMILGGQVIETSSEQIMKSVEEIARLEKQSSTTSLIPKSISDRFRR >KQL02800 pep chromosome:Setaria_italica_v2.0:VI:34655294:34664708:1 gene:SETIT_015448mg transcript:KQL02800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYREAAAAAVVELTEDEGWCDVATTAGRREPPPLTHDDNRGFLRMLRDKKERLGVEAAKVEVRFERLTVEADVRVGRRAVPTLLNCAVNAAQELATSVHMCVTRKRPMRIINEVSGVIRPSRMTLLLGAPGSGKTTFLKALAGKLDSSLKFKGKVLYNGQEMSSSTPQYLRAYVSQYDLHHAEMTVRETIDFSSKMLGTNNEFEMLGEAIRRKKGVINKVDQDLDSFIKATTFGEGSNLTTNYIIKILGLSECADTLVGDELRRGISGGQKKRATIGEMLVGFARCFFMDDISTGLDSSTTFEIMKFLQQMAHLMDLTMVISLLQPPPETLELFDDIILLCEGQIVYHGPRESATDFFETMGFKCPSRKNVADFLQEVTSKMDQKQYWAGDQNKYHYHSIEKFAKAFRMSYLPRLEEEKLCSSNSTGKNKEVKMSASRQISRWNIFKACFSREVLLLKRNSPVHIFKTIQITVMALVISTLFLRTKMSHKSVVDANEYMGALFMAVVIVNFNGMTEIAMTIKRLPTFYKQRELLALPGWALLSSVFLISIPISLVETGLWTGLTYYVIGYASSPIRCIQHFLVLFAMHQMSMALYRFLAAIGRTQVMANMLGTAALIAIYILGGFVISKDDLQPWLRWGYWTSPFTYAQNAIALNEFHDKRWATEFYYADATTVGEAILKIRGQLTEWHWYWICVSILFGYSLVFNILTIFALEFMNSPHKHQVNIKTTKANLEYHSQMVGNGNSSNDKDILPFRPLSLVFDHINYFVDMPKEMAKNGVTEKKLQLLQDVSGAFRPGVLTALMGITGAGKTTLLDVLAGRKTGGYIEGTIKIAGYPKKQETFSRISGYCEQSDIHSPNLTVYESLKFSAWLRLPSNVKPHQRDMFIDEVMSLVELTDLKNAMVGIPGATGLSAEQRKRLTIAVELVASPSIIFMDEPTTGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIEIFESFDELLLMKRGGQLIYSGSLGPLSCNMIKYFEAIPGVPRINEGQNPAAWMLDISSHITEYEIGVDYAEIYRNSSLYRENRLLIDELEQPEPNTEDLHFPQGYWQNFTTQCVACLWKQSCAYWKNSEHNVVRFINTFAVSIMFGIVFWKIGSTIKDEQDVFNILGVVYGSALFLGFMNCSILQPVVATERVVLYREKAAGMYSTMAYAIAQVSVELPYMLVQVLIFSSIVYPMIGFELTAGKFLWFFLYLVMSFMYYTLYGMMTVALTPNIEIAMGLSFLIFIFWNVFSGFIISRELMPLWWRWVYWADPASWTVYGLMFTQLGDRTEQILVPGVGMQTVREFLEGYLGLQDRYFELVTCLHLAIIGLFAFLFFLAIKHLNFQRR >KQL02039 pep chromosome:Setaria_italica_v2.0:VI:29353595:29355967:1 gene:SETIT_015420mg transcript:KQL02039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQERIQGKKIENCGKYRAKRVWKIDEDEEDYEADFQVFLKESNEEDKKHHKFIALMDVSGSRKEKVGLDFLKKDAIIHKPLPIDKRPVVRTKHKRKNPYRGIRRRPWGKWAAEIRDPRKGARVWLGTYKTPEEAARAYDVEARKIRGNKAKVNFPDEVPPNMMSNTTKPTVIAMPTIPFLAEKFSTNSLVSHSKNSNEDLFSVVNFNGKNARSIPTDGFGLPSMKIPHASYEIPRMGGNGLCNEANRNMNASSCLPHASLPTLSQPTFPGPSTMIESNIGTIVPTLSNATPNVLFGAASDDAGTKIDQQPIPQVMENEYIPSILNGDVSEDVAAEISMWKFYDRLPANGN >KQL00861 pep chromosome:Setaria_italica_v2.0:VI:5734904:5735350:1 gene:SETIT_015983mg transcript:KQL00861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHPLISYSQLVKATEGFSPTNFLGSGSFGSVYKGELDC >KQL00878 pep chromosome:Setaria_italica_v2.0:VI:5949522:5950444:1 gene:SETIT_015648mg transcript:KQL00878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKIYIVYYSTYGHVATLAEEIKKGAASVDGVEVKLWQVPETLSGEALAKMSAPPMREDVPVITPAELVDADGLLFGFPTRFGMLPAQFKAFMDGTSDLWCEQRLAGKPAGIFCSTGCQGGGQETTALTAITQLVHHGMIFVPVGYTFGAGMFEMGEVKGGSPYGAGTVAGDGSRVPTQLELQQAFHQGKYFAGIAKKLKGSSA >KQL01728 pep chromosome:Setaria_italica_v2.0:VI:25238802:25245509:1 gene:SETIT_013746mg transcript:KQL01728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFELEDNLEFILQSIQELMEDQGENNAFGDANQNELFASLVNYDHENMLPDVSAADVAAGKDMQGIPWEKMLFGRDQYREMKMKNYRNYQNLSYAREDALQECKQVEKDSPYYDFHYNTRRARPSIVHFQLRNLVWATTKHDVYTMHDQSVTHWSSLDHTSTELINADDCIVPKQRGHGSQSVAMVQVTTMAVDSNLLVVGGFQGEIICKRLDDDGVVFSTRVTDDENAITNSLEIYQDPCGSRRLVAANNDCSIRIFDTEYFDLLKHYVFPWSVNSVSVSPNGNLFAVLGDHEDGLVVDPKCGKAIGSLRGHLDYSFASAWHPDGNIVATGSQDTTCRLWDIRNLSQSVAVLGGRMGSIRCVKFSSDGRFLATAEPVDFVHIYDSYADYGKSHEIDLFGEIGGLSFSPDTEAFYVGLADQTYGGLIEFSKRHQHHYLNSLW >KQL01729 pep chromosome:Setaria_italica_v2.0:VI:25238802:25245509:1 gene:SETIT_013746mg transcript:KQL01729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFELEDNLEFILQSIQELMEDQGENNAFGDANQNELFASLVNYDHENMLPDVSAADVAAGKDMQGIPWEKMLFGRDQYREMKMKNYRNYQNLSYAREDALQECKQVEKDSPYYDFHYNTRRARPSIVHFQLRNLVWATTKHDVYTMHDQSVTHWSSLDHTSTELINADDCIVPKQRGHGSQSVAMVQVTTMAVDSNLLVVGGFQGEIICKRLDDDGVVFSTRVTDDENAITNSLEIYQDPCGSRRLVAANNDCSIRIFDTEYFDLLKHYVFPWSVNSVSVSPNGNLFAVLGDHEDGLVVDPKCGKAIGSLRGHLDYSFASAWHPDGNIVATGSQDTTCRLWDIRNLSQSVAVLGGRMGSIRCVKFSSDGRFLATAEPVDFVHIYDSYADYGKSHEIDLFGEIGGLSFSPDTEAFYVGLADQTYGGLIEFSKRHQHHYLNSLW >KQL01727 pep chromosome:Setaria_italica_v2.0:VI:25239101:25245509:1 gene:SETIT_013746mg transcript:KQL01727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEFELEDNLEFILQSIQELMEDQGENNAFGDANQNELFASLVNYDHENMLPDVSAADVAAGKDMQGIPWEKMLFGRDQYREMKMKNYRNYQNLSYAREDALQECKQVEKDSPYYDFHYNTRRARPSIVHFQLRNLVWATTKHDVYTMHDQSVTHWSSLDHTSTELINADDCIVPKQRGHGSQSVAMVQVTTMAVDSNLLVVGGFQGEIICKRLDDDGVVFSTRVTDDENAITNSLEIYQDPCGSRRLVAANNDCSIRIFDTEYFDLLKHYVFPWSVNSVSVSPNGNLFAVLGDHEDGLVVDPKCGKAIGSLRGHLDYSFASAWHPDGNIVATGSQDTTCRLWDIRNLSQSVAVLGGRMGSIRCVKFSSDGRFLATAEPVDFVHIYDSYADYGKSHEIDLFGEIGGLSFSPDTEAFYVGLADQTYGGLIEFSKRHQHHYLNSLW >KQL00796 pep chromosome:Setaria_italica_v2.0:VI:5137209:5139170:1 gene:SETIT_015009mg transcript:KQL00796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEQQSSSGAHAAATQLGDLPDACLAQAIALTSPRDACRCAAVSPAFRAAADSDHVWRGFLPEQLMIDGHSYKPAAVALHQAPAPAAKSKKEAYLGLCNASGAVAVGDGDGGCRVWLERATGARCYALSARRLSLPWDDGEFSWKFTPHPRSRFAEVAELVDCTCLDIYGALPASSLTPATPYAAYLVYDTAAEGGHRGLSYPDQETTVSLGGRVVARHDVCIRPDDAEARKFWGGGGRTGGGDREEPRRPRRREDGWWEMEMGRLPSTAGEPEEEVVASFEVLGWYPKRGLVVEGIEFRPVVESST >KQL00835 pep chromosome:Setaria_italica_v2.0:VI:5538733:5539927:1 gene:SETIT_015571mg transcript:KQL00835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSRAMAARKSVVAVLVFRANGKVESGSSFVVKSDLVLASSHCMGVVDLEDEDVLCVRRPKFPRGVEQLPATIIYRDIVMDVAVLRVAGLTCVSPLRFAPEEDATVGESVISVGYCDPDALLTGVTFSRLPSLSPGLVRPEGTRYICTHQGIELYHVLLSCVCMEGMSGGPILSRRGVIGMVDCGGRGAIEMGGHSYTEAKAPHTIIEVLKRCLVLQGILTMEEAIGLELTMEEVLDLIA >KQL02338 pep chromosome:Setaria_italica_v2.0:VI:31870861:31874329:1 gene:SETIT_013434mg transcript:KQL02338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSHAEDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPPAAISIEDVRDAEEERAVAAFRDRLNAHGLLPDKHDDYHMMLRFLKARKFDAEKAMQMWADMLKWRKEFGADTILEDFEFEELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMNITSTDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGLKNFSKTARELINRMQKIDSDYYPETLHQMFVVNAGSGFKWIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPYILKLIHNLEAGCVREIKPVSEGEERSCSSFRLEQLKWQGMLSDTSNAESGSDIDDFGPSFFQKVSDYGCLTPVREEVKGTDCATYFSCDDQGHPDMAPESYRGVRRTHEMVQKPMANFGPSSTNTRPHDLGIFFSSHFG >KQL02339 pep chromosome:Setaria_italica_v2.0:VI:31870861:31875828:1 gene:SETIT_013434mg transcript:KQL02339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSHAEDIEISLCDGNSEDERRRRKIGSLRRKAIHALKKRGRRRVDFRFPPAAISIEDVRDAEEERAVAAFRDRLNAHGLLPDKHDDYHMMLRFLKARKFDAEKAMQMWADMLKWRKEFGADTILEDFEFEELDDVLRYYPQGYHGVDREGRPVYIERLGKVDPNKLMNITSTDRYIKYHVQEFERAFRERFPACTLAAKRHIDSTTTILDVQGVGLKNFSKTARELINRMQKIDSDYYPETLHQMFVVNAGSGFKWIWNSVKGFLDPKTSSKIHVLGSNYQSRLLEVIDSSELPEFLGGSCTCSDKGGCLGSNKGPWNDPYILKLIHNLEAGCVREIKPVSEGEERSCSSFRLEQLKWQGMLSDTSNAESGSDIDDFGPSFFQKVSDYGCLTPVREEVKGTDCATYFSCDDQGHPDMAPESYRGVRRTHEMVQKPMANFGPSSTNTRPHDLGNNALNVDGTIAPRGWENMIKLVVTALIKLFSFIRLFISRAERRLEIVHRSAPPVIQAAEKPRPRTVSDEEMCACLQRLDNLESLCNHLATKPPQIPEDKELVLLSSFERIKSVEADLERTKRVLNATVAKQKSLVEALESVQESSRVRKRMFCS >KQL02262 pep chromosome:Setaria_italica_v2.0:VI:31295134:31298168:-1 gene:SETIT_015169mg transcript:KQL02262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPCSAPLLSPVPAINPARLAVVTPLQPVSPGTMATIDPERTYLHVRCWDYCKPGEERVHEKISMRCEFKAFFLRIQKDKDPIVMGIVDQMHRCDASHNTTVNVLAELYGGWQNFTFTEMDLRNRKAATAREERKNDIPKLLEFFKEMKVHNKYFYYKVQDEQANTFEWLFGAFQNCMSGSRDPRCILTDQDSSMVAAIKKVFKKIQHRAGKLYKIPDGLKIKLLTIINHPLTPTEFESAWNELVDEYGIWEDDTIQGHWKSRKLWVVAYLKPLYCGRMTSTQRSESVNKMIKGSGFIGHMTCMCKFAHRMLNFIQHTNHTAAGETHWSQAGKLRLTLQPFDGHLSRVYIQVVYKKYREIYIYSTAFRIDPHPNEVDIYLVTHMDQSWQYAWFQHSFRVEADVRSEYIMKRYTRGARTMVMWDMHDIVTSGPGCESDQYKTKKLVEIAMVALRACRKISLGFEKGCEQLTALVEWGETIARECAPRKVRTKRRKREGKQVVNDHASSSKASGQWTCGYCGSLEHYSTGCDVNPDNANKKRGASWSL >KQL02710 pep chromosome:Setaria_italica_v2.0:VI:34109091:34112003:1 gene:SETIT_013780mg transcript:KQL02710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSAFLPLLVTLISAAATLPSEALSVRGQLLKSQTFLSPPIFLRPGSVSNKWYHDIAFPRGHLALKSFNAEVVDDHGVPVPLHETYLHHWLVEPYYAPKDGAAGEARNRSKMIRHRNSGVCSQTLGQYYGLGSETRHTATWVPDPYGIEIGDPAAAPEGYEERWLVNVHAIDTRGAVDKLGCTECRCDLYNLTVDEFGRRIADDYAGGLLCCYDETRCKVEEGFVDVEARKVFLRYTVVWQDWSDAVLPVKIYIFDVTDRALLEGKTETACRVEYLVEECSSENRAKNDCVHVQVAKQILPRGGDIVFGVAHLHSGGIAASLHGEDGRLLCESTATYGDGQEAGNEADYIVGMSTCYPKPGAVTVRDGEVLTVVSKYSSHQQHTGVMGLVYILVAEHGQPQPQQLPAAAGKPGLCFSFPVSWCLPSWLSSNL >KQL02712 pep chromosome:Setaria_italica_v2.0:VI:34109334:34112003:1 gene:SETIT_013780mg transcript:KQL02712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSAFLPLLVTLISAAATLPSEALSVRGQLLKSQTFLSPPIFLRPGSVSNKWYHDIAFPRGHLALKSFNAEVVDDHGVPVPLHETYLHHWLVEPYYAPKDGAAGEARNRSKMIRHRNSGVCSQTLGQYYGLGSETRHTATWVPDPYGIEIGDPAAAPEGYEERWLVNVHAIDTRGAVDKLGCTECRCDLYNLTVDEFGRRIADDYAGGLLCCYDETRCKVEEGFVDVEARKVFLRYTVVWQDWSDAVLPVKIYIFDVTDRALLEGKTETACRVMHMQQGLKASYADLFQMLIEKCAIRLEMVYDFGETSVCAG >KQL02711 pep chromosome:Setaria_italica_v2.0:VI:34109091:34112003:1 gene:SETIT_013780mg transcript:KQL02711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSAFLPLLVTLISAAATLPSEALSVRGQLLKSQTFLSPPIFLRPGSVSNKWYHDIAFPRGHLALKSFNAEVVDDHGVPVPLHETYLHHWLVEPYYAPKDGAAGEARNRSKMIRHRNSGVCSQTLGQYYGLGSETRHTATWVPDPYGIEIGDPAAAPEGYEERWLVNVHAIDTRGAVDKLGCTECRCDLYNLTVDEFGRRIADDYAGGLLCCYDETRCKVEEGFVDVEARKVFLRYTVVWQDWSDAVLPVKIYIFDVTDRALLEGKTETACRVEYLVEECSSENRAKNDCVHVQVAKQILPRGGDIVFGVAHLHSGGIAASLHGEDGRLLCESTATYGDGQEAGNEADYIVGMSTCYPKPGAVTVRDGEVLTVVSKYSSHQQHTGVMGLVYILVAEHGQPQPQQLPAAAGKPGLCFSFPVSWCLPSWLSSNL >KQL02713 pep chromosome:Setaria_italica_v2.0:VI:34109334:34112003:1 gene:SETIT_013780mg transcript:KQL02713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSAFLPLLVTLISAAATLPSEALSVRGQLLKSQTFLSPPIFLRPGSVSNKWYHDIAFPRGHLALKSFNAEVVDDHGVPVPLHETYLHHWLVEPYYAPKDGAAGEARNRSKMIRHRNSGVCSQTLGQYYGLGSETRHTATWVPDPYGIEIGDPAAAPEGYEERWLVNVHAIDTRGAVDKLGCTECRCDLYNLTVDEFGRRIADDYAGGLLCCYDETRCKVEEGFVDVEARKVFLRYTVVWQDWSDAVLPVKIYIFDVTDRALLEGKTETACRVMHMQQGLKASYADLFQMLIEKCAIRLEMVYDFGETSVCAG >KQL02998 pep chromosome:Setaria_italica_v2.0:VI:35727568:35730696:1 gene:SETIT_015437mg transcript:KQL02998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYLMGRGAASNDSQDKTICNRRKANIKFEDLPEDVQCTILSKLPLKEVVRASVLSSEWRYLWVNCPKLCFNRAEMSGHKRCMKKFIDTVNAVLQKCRGDVVEEFKVKFGFDTTLADHVNSFISFAASSRVKVLAFDLEPFDSGLRYDHYIFPFDLFNNESISCLQSIQLSFVSLEPPFQFSGFPNLRKLDLRVVHVTRKDLEDTLSSCCNIEWLSMDRCHLKDELKVDTPMFHLQHLRIVHCELTKIEFHAVNLDTFVYKGSFLPIVLNHSRKLDDVRITLYQTIFQHVLAALLDGLPSMKNLTFHIYFPRIEMQGLRNNPYKFSRLRNLQLFMNIDRKDADKLFCLVSFLRAAPLIERLEVHVIWIYGFDPYLWFADVGPERQNLQQYEYKHLKSMYITGYKGARGQLEFILHVVENAPVLEVLTVHTTRAVLE >KQL00414 pep chromosome:Setaria_italica_v2.0:VI:1917565:1918120:1 gene:SETIT_015929mg transcript:KQL00414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPRRLGLLRFARAKRNLPADEPSPAVCRRPPPICSATCRLDLVLLPLPSLACAPVRG >KQL00653 pep chromosome:Setaria_italica_v2.0:VI:3769907:3772901:-1 gene:SETIT_015660mg transcript:KQL00653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FISVLTIRQETHMRERAGVLREEVRKIIKGSNDLPELLDLIITLQRLSLDYNYEDEINEILHVVYNSNHSDGDLNIVSRRFYLLRRSGYNVSSDVFLKFKDKHGNFVNADTRSLLSLYNAAYLPTHGEALLDEAISFTGRCLQSRLENLESPLAEEVSCALDTPLFRRVGILETRNYIPIYEKEATRNEAILEFAKLNFNLLQLIYCEELKEVTMWWKKLNVEANFHFVRNRIVEMYFWMNGACHEPQYSHSRIILAKIMGFITILDDFIDTYATTEESMQLGEAIFRWDKNATTLLPEYTRDFYLYLLNTFCSFEEELGTGKSYRVFYLKEALKQLVQAYIDELKWRDENYIPETLSEHLGLSMRSSGGSPILCASLVGMGEIVTRETLDWFLSYPHLVRSFDTFVRLSDDMASTEREQKGDHNVSTVQCYMKEHGTTMHESCKRIKELTEDLWKDMLQHHLARTEQTMIVSHMVLNLARTGNYMYHNNVDKFTSSHTIKDAIKRLFVEPIPM >KQL00936 pep chromosome:Setaria_italica_v2.0:VI:6602195:6606440:-1 gene:SETIT_015079mg transcript:KQL00936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTEAATDLGMSSRRSQEHDDEIVEVALDVQRDLLATEDVRAVDERSGNLMSKLTQVTNGLKEAHELEEEGATGTIGKEHLEEVGQKPVAVALKGLQLVTATVGHADWSAVEQRFNQIQVDGMLPSSKFGECIWMEEGSESDEFSVQVFDSLSRKRGMVPQALTKDELKDFWEQLSDQCTIFTITEGDPYLKNNQANPLVFKDADGRITLGEVKEIIAFGASANKPPILEELADEYTTLIMEELDPDNLRYIKLEDLKALLLQSSSRAAARSTTHSLELSKAPSMKPASNKDTSNWQKFTYFLEENWQRIWFIQYRNRAVFHIMGYCVSTAKGGAEILKFNMALVLLPVCRNTITLIRSKTKIGAAVPFNDNINFHNIIAVGVAVGVGLHAGPHLTSFLWRQKTVKFWWFVKGTEGWTGVVMVLLMTIAFVLAHPWFRRNKLDDSNPLKKMTGFDTFWFTHHLFVIVYALLIVHGVSLFLSRKWYKKTTWMYLAVPGLLYVSERILRLYRSHNAVRIKKVPNILSLRLGNVFINCPAVARFEWHPFSFTSALGDRSVSVHIRTKADWTKRLKDDFVKNCRPPIDGKSGLLRADFSKGKSNASCPKLFMDGPYDAPAQDYQEYDVVLLIGLGIGATPLISIIKDVLNQIKRGRSVAKAKKRPFMTKKAYLYWATRETGSFQWFRRVMDKVAKKGKMDTVYEGDVTFSRASIKMHFGRPEWPDVFKHVAENHENQRIGVFYCGEPRPMTEIRNLSTDFNQKTNTKFEFHKENF >KQL01830 pep chromosome:Setaria_italica_v2.0:VI:26972878:26974520:-1 gene:SETIT_014003mg transcript:KQL01830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNFGRGRFEISCQAREISTSSLLAVSIGGLVVNVIGLVFFHEEHHHAHGGTCSHSHSHSHSHQHGHEHHQHHGHGDHQHHDHDHHSVNHVKACCDHHGDESHHHYHHSNGNIDGIHNNLMDNACKEKHSHSHGHEHEHHHHDHSGHCHQSGDHAHQNCSSIGVDQQLLEIPLSNMHSHGAEGHSSKAVLSSSESGNHKKSEHRHHIDHNMEGIFLHVLADTMGSVGVVISTLLIKYKGWLIADPICSVFISVMIVSSVLPLLRNSAEILLQRVPRSHEKDLNVALDDVMKIEGVLGVDNVHVWNLTNTDIVGTFHLHVSAEADRSSIRNRALRIFHEAGVQDLTIQIECVKR >KQL01245 pep chromosome:Setaria_italica_v2.0:VI:11950147:11950861:-1 gene:SETIT_0146312mg transcript:KQL01245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSKQRLLAEVKFLRKRYKSMSENPSQTIVCRVRNPAMRPASRTAAAWADDAQHRSVQAIGSSSRSQPVHWRQDGSPTASQAIGSSSRSQPVHWKQDGSPRVSPVIDLNEACEPVIFVINLISFLAISLKFVI >KQL01244 pep chromosome:Setaria_italica_v2.0:VI:11950147:11951427:-1 gene:SETIT_0146312mg transcript:KQL01244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLSKQRLLAEVKFLRKRYKSMSENPSQTIVCRVRNPAMRPASRTAAAWADDAQHRSVQAIGSSSRSQPVHWRQDGSPTASQAIGSSSRSQPVHWKQDGSPRVSPVIDLNEACEPVIFVINLISFLAISLKFVI >KQL01527 pep chromosome:Setaria_italica_v2.0:VI:21160558:21162441:-1 gene:SETIT_015076mg transcript:KQL01527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TPWGCSKKREGKDVSDRTENGPTQWRVELAPKGHRQFKYVAYNPNGLKYPSQVGAILKREYPGIIKVYNEQGNVVKQHPALSWNDYYWKKNRDRICYARRVKQEFWKLFTVHPRHRVTVDNILEAYLVRRVSNMVYQLRLEAVKMYFHIREETCNDTRARTIELIEEQYLTCQLEWCSKSAWAWFCKYSTSDEYKRKRKIAQDCCMSSKDNAQNRGGTYFQSQEEWHFKYICCDEIWIQNCRQHWKGWSHPQLESAKMLGMLSIIYLIISNVNVIDDYRELVSDENSQELDGKALHIVVNGMKHGHVPISDGVVDKAIVLIHSKSVGFKPINPTDYDRAMYSDFERELPVELLRLLESIDARRQQDISSRELILFCARMPI >KQL00981 pep chromosome:Setaria_italica_v2.0:VI:7130936:7132876:1 gene:SETIT_013395mg transcript:KQL00981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILCNALRTVRRLRWVPRVQLIVEHSEPYRAAHLCATATRYWDVQESSATSICGFTPIGHEYQLRPYSAAPARRGEEKPLDEDEDEEEVVVDKELKRMERSRVFWTAQQTFMEYLHFTRGLPFADAEHISKHSPAFVSKLLDQVKDAIKDPVEGGEEVVFMSKVKKTEVRDQRATKALVRLFRYHPVNEFEPFFESMGLKPGKYDSFLPRDLMFLADDETLLENYHFLCNYGFARTKIGRIYMAAVEFFRSGDNVLPSKLSALEDLGFSKTTVIKLVTSCPVILARDLNAEFKIMQWLDDCGIKRDWIGQFLSVQKSYDWKKMVEIPQFFTELGFDKEGIGKLISQNPDFLLDGSGKVLFRVVTIMLKAGSEKKDLFNLFLDFPDVPASDFARNIQSVILLLADIDVCDEDIKQFVVANASILGSARVKAANSILTNLSVGKRRLWKIIKEDPRQLMKYKLGTKLSRLPSCDRSTDQSLEEKVKFLKGIGFVEGSEDMKKALKSFRGKGDELQDRFDFLVRTGLSPKDVVNMIKIAPHVLNQKIHVLESKISFLLNETAYPLSALVTFPSFLSFTVERTKVRFLMYNWLQERGVVAPNFALATFLACSEKDFIKHYVRKHELGPEVFEKFKREVAETKNMHCTSDD >KQL01166 pep chromosome:Setaria_italica_v2.0:VI:10065926:10067046:1 gene:SETIT_015214mg transcript:KQL01166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKAFLLAILGCAFLFSGALAARALSDDSAMVARHEQWMAQYSRVYKDAAEKARRFEVFKANVKFIESFNAAGNRKFWLGVNQFADLTNDEFRATKTNKGFKPSPMKVPTGFRYENVSIDALPATIDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESGYPYTAADDKCKSGSNSAATIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKASDGTNYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPTK >KQL00573 pep chromosome:Setaria_italica_v2.0:VI:3156388:3156912:1 gene:SETIT_014646mg transcript:KQL00573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPGTTTMFAGLAILLFSVSAGFNSGAGGFGLLLCFAGVLAGANIVAVGIRMSDADLAPVVPAVLAEARALAEFLRRNLAVVGLVMASCAVTAVSGEAGPMLCFGMFALLLLGLSLISVGILGLSQMH >KQL02459 pep chromosome:Setaria_italica_v2.0:VI:32648001:32648512:-1 gene:SETIT_014783mg transcript:KQL02459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNVLGLSFRLTREREWETGREPERCLLLASGNEEDQIGIVAAGGAWRLGSIWGSNKLAWESWSTTCSMVRR >KQL01711 pep chromosome:Setaria_italica_v2.0:VI:25098877:25100577:1 gene:SETIT_014307mg transcript:KQL01711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFEDLPAKITHPADPAHELNLTDGVPFVCDGCKEPGCGPRYTHDYGSHSFDLHTCCALAKGTMRHPLFGDLAFEFRTKPPPPVDDTICDACGEAARGFVYHCSKKGGLDLHPCCASLPERILQDSRVFELRREASRSRPCGMCGEQSGRRRRFWAYRSNLDGEAMDIHVACMKEMARLSWQAAYQNRVGGGQIVQVSVSDMDRMLQSFPGNRARRSGLDKFVKIIGTVASIIIAVIFGNPVAMMAAIAGPGGLLRG >KQL01710 pep chromosome:Setaria_italica_v2.0:VI:25098877:25100577:1 gene:SETIT_014307mg transcript:KQL01710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQFEDLPAKITHPADPAHELNLTDGVPFVCDGCKEPGCGPRYTHDYGSHSFDLHTCCALAKGTMRHPLFGDLAFEFRTKPPPPVDDTICDACGEAARGFVYHCSKKGGLDLHPCCASLPERILQDSRVFELRREASRSRPCGMCGEQSGRRRRFWAYRSNLDGEAMDIHVACMKEMARLSWQAAYQNRVGGGQIVQVSVSDMDRMLQSFPGNRARRSGLDKFVKIIGTVASIIIAVIFGNPVAMMAAIAGPGGLLRG >KQL01718 pep chromosome:Setaria_italica_v2.0:VI:25184091:25187559:1 gene:SETIT_013743mg transcript:KQL01718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAASTTTTVRTHTHTNPPSASFLRLPPPRRVSFRASPHLSLRATAMAATSQQQEEQLIITRPDDWHLHVREGSVLEAVLPHSARHFGRAIIMPNLKPPVTTTARALEYREEIMKALPPGSSFEPLMTLYLTDNTSPEEIKLGRKSGVVFAVKLYPAGATTNSQDGVTDIGKCMPVFEEMVRQEMPLLVHGEVTDPHVDTFDREKVFIDRILAPLVQKLPQLKIVMEHITTMDAVNFIESCEEGVALLLEWHYTSTIFYDYNTFMFPGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAILSAVTSGSRRYFLGTDSAPHDKRNKERYCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKIVLKKSAWKVPATYTYSSGEIVPMFTGCTLEWLPSDQTEE >KQL01717 pep chromosome:Setaria_italica_v2.0:VI:25184091:25187559:1 gene:SETIT_013743mg transcript:KQL01717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSQQQEEQLIITRPDDWHLHVREGSVLEAVLPHSARHFGRAIIMPNLKPPVTTTARALEYREEIMKALPPGSSFEPLMTLYLTDNTSPEEIKLGRKSGVVFAVKLYPAGATTNSQDGVTDIGKCMPVFEEMVRQEMPLLVHGEVTDPHVDTFDREKVFIDRILAPLVQKLPQLKIVMEHITTMDAVNFIESCEEGVALLLEWHYTSTIFYDYNTFMFPGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAILSAVTSGSRRYFLGTDSAPHDKRNKERYCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKIVLKKSAWKVPATYTYSSGEIVPMFTGCTLEWLPSDQTEE >KQL01716 pep chromosome:Setaria_italica_v2.0:VI:25184091:25187559:1 gene:SETIT_013743mg transcript:KQL01716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSQQQEEQLIITRPDDWHLHVREGSVLEAVLPHSARHFGRAIIMPNLKPPVTTTARALEYREEIMKALPPGSSFEPLMTLYLTDNTSPEEIKLGRKSGVVFAVKLYPAGATTNSQDGVTDIGKCMPVFEEMVRQEMPLLVHGEVTDPHVDTFDREKVFIDRILAPLVQKLPQLKIVMEHITTMDAVNFIESCEEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAILSAVTSGSRRYFLGTDSAPHDKRNKERYCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKIVLKKSAWKVPATYTYSSGEIVPMFTGCTLEWLPSDQTEE >KQL01719 pep chromosome:Setaria_italica_v2.0:VI:25184091:25187559:1 gene:SETIT_013743mg transcript:KQL01719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQKFDPSVICEHSFGKPMQAASTTTTVRTHTHTNPPSASFLRLPPPRRVSFRASPHLSLRATAMAATSQQQEEQLIITRPDDWHLHVREGSVLEAVLPHSARHFGRAIIMPNLKPPVTTTARALEYREEIMKALPPGSSFEPLMTLYLTDNTSPEEIKLGRKSGVVFAVKLYPAGATTNSQDGVTDIGKCMPVFEEMVRQEMPLLVHGEVTDPHVDTFDREKVFIDRILAPLVQKLPQLKIVMEHITTMDAVNFIESCEEGVALLLEWHYTSTIFYDYNTFMFPGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAILSAVTSGSRRYFLGTDSAPHDKRNKERYCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKIVLKKSAWKVPATYTYSSGEIVPMFTGCTLEWLPSDQTEE >KQL02170 pep chromosome:Setaria_italica_v2.0:VI:30637433:30639117:1 gene:SETIT_013704mg transcript:KQL02170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSSSLGFLFLLALCSLVQAQVLFQGFNWESCKKQGSWYNSLNAQVDDIAKAGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTAVELKSLIAAFHRRGIQCVADIVINHRCADKKDARGVYCIFEGGTPDDRLDWGPGMICSDDTQYSDGTGHRDTGDGFAAAPDIDHLNARVQRELIDWLNWLKSDVGYDGWRLDFAKGYSPAITKMYVENSKPSFVVAEIWNSLSYNGDGKPSPNQDQCRQELVDWVQAVGEPAMAFDFTTKGLLQAAVQGELWRLRDSSGKAAGLIGWTPEKAVTFIDNHDTGSTQKMWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDLNLKQEISTLAAIRARNGIHAGSKLRILLADADAYVAIVDEKVMVKIGTRYDVGNVIPSDFQPAAHGKDYCVWEKGSLRVPAGRHL >KQL02171 pep chromosome:Setaria_italica_v2.0:VI:30637433:30639117:1 gene:SETIT_013704mg transcript:KQL02171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSSSLGFLFLLALCSLVQAQVLFQGFNWESCKKQGSWYNSLNAQVDDIAKAGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTAVELKSLIAAFHRRGIQCVADIVINHRCADKKDARGVYCIFEGGTPDDRLDWGPGMICSDDTQYSDGTGHRDTGDGFAAAPDIDHLNARVQRELIDWLNWLKSDVGYDGWRLDFAKGYSPAITKMYVENSKPSFVVAEIWNSLSYNGDGKPSPNQDQCRQELVDWVQAVGEPAMAFDFTTKGLLQAAVQGELWRLRDSSGKAAGLIGWTPEKAVTFIDNHDTGSTQKMWPFPSDKVMQGYAYILTHPGVPCIVSPCFTRIFKLQPYFRFVLIHVLVYIIQFYDHMFDLNLKQEISTLAAIRARNGIHAGSKLRILLADADAYVAIVDEKVMVKIGTRYDVGNVIPSDFQPAAHGKDYCVWEKGSLRVPAGRHL >KQL02941 pep chromosome:Setaria_italica_v2.0:VI:35416764:35416964:-1 gene:SETIT_015691mg transcript:KQL02941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKSTTIVVEWTKQNPNKQQTPTKITCLISLWKAILLLQETHNTLAQSAGHTHAATRACRKTHRNK >KQL01267 pep chromosome:Setaria_italica_v2.0:VI:12756012:12758058:-1 gene:SETIT_015530mg transcript:KQL01267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDVNENGEIEGANVFEDDPFDEEDWMRGPNIGRELDSMTHLRKVRNHVPIFSHWKEYKKHPKIIKGYIDRVGVSVQTCLFPLFISNTNIVYSIAFGNVVYCYQTKFHMDVKAAPMKKACVAMMKKAIRQQRYKLKKRYFDALPLHLVPKTSPVTSMTDEQWDKLANKYKNEPSNALELFKEMHYSKKKGFAPAVQSLIVEMEKLNELVDDGLEPKDVTDVVYKALVQKTMKNIFLVNVGLRSKGTCVSERDLEEELVVEKQTSSDLREVIKTQQQQMEEMMKKFEELETARVKQEGELKKKQADTDALIRPLMSMVPGCQAKW >KQL00160 pep chromosome:Setaria_italica_v2.0:VI:244147:248090:-1 gene:SETIT_013846mg transcript:KQL00160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKHKQRRVYQAWRGNNIILCGGRLIFGPDAKATLISFSLIAIPVAVFCVFVARHLIHIFPAYNAGYAILAVTIGLTIYVLLLLFLTSSQDPGIVPRNSHPPVEEFSHDASAPHTLQFPRVKEVMVNGVPVKVKYCETCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGERNYRYFFCFVSSAAVLCIYVCSMCGLYIKLLMSRGHDSLLKAIKESPASLAVMAYCFICFWFVGGLTGFHSYLIATNKTTYENIKYKYSNQPNVYDHGCVRNCHEFWCTKRKPSKINLRAIVQEEHEVVQPQTSYANVPEDDAPHRPRAKVEDDLEMGLDILKTSRRRTDEVSDEELESGSNGVKYRTPDSDTDIPVTRTKTEIFGEVRDLDLSVNNAALSSSPQQKQHPDELC >KQL00159 pep chromosome:Setaria_italica_v2.0:VI:244147:248343:-1 gene:SETIT_013846mg transcript:KQL00159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAKHKQRRVYQAWRGNNIILCGGRLIFGPDAKATLISFSLIAIPVAVFCVFVARHLIHIFPAYNAGYAILAVTIGLTIYVLLLLFLTSSQDPGIVPRNSHPPVEEFSHDASAPHTLQFPRVKEVMVNGVPVKVKYCETCMIYRPPRCSHCSKCDNCVERFDHHCPWVGQCIGERNYRYFFCFVSSAAVLCIYVCSMCGLYIKLLMSRGHDSLLKAIKESPASLAVMAYCFICFWFVGGLTGFHSYLIATNKTTYENIKYKYSNQPNVYDHGCVRNCHEFWCTKRKPSKINLRAIVQEEHEVVQPQTSYANVPEDDAPHRPRAKVEDDLEMGLDILKTSRRRTDEVSDEELESGSNGVKYRTPDSDTDIPVTRTKTEIFGEVRDLDLSVNNAALSSSPQQKQHPDELC >KQL02906 pep chromosome:Setaria_italica_v2.0:VI:35204679:35207193:1 gene:SETIT_014345mg transcript:KQL02906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYNRYGHGYSHAPPPSAPPAPMPTTSAPPSSSSSYGYGYGQGGYPSAYPPQPAAGFGFGYGPVPVAFPPGTHPDVERAFRAADRDCSGAIDEHELQGALSSAYHRFSIRTVRLLMFLFNDPASSSPSRMGPAQFVSLWNCLGQWRGIFDRYDRDRSGKIDSHELTEALRSLGYAVPPSVIELLIANYNNGVPRNGALDFDNFVECGMIVKGLTEKFKEKDTRYTGSATLTYDGFLSMVIPFIVP >KQL00555 pep chromosome:Setaria_italica_v2.0:VI:2977979:2978919:-1 gene:SETIT_014355mg transcript:KQL00555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTAASNDVIPDELRSTWPQRAWTLAGSAAILASFLTTARLVGASGSAGADLLAAAMAAFAGYSLADLATGVYHWFIDNYGGAGTPILGAQIVAFQDHHLHPSAITRLEPCNNLHVVAGAVAVALPAVGAALSAARAHAAAHACACAFAACVVLSVQFHAWAHERRPSRLPPGVAALQAAGVLVSRPQHAEHHRPPYNTNYCTVSGMWNRVLDGCKVFEALEKVIYLATGVKPRSWRGDEDVK >KQL00677 pep chromosome:Setaria_italica_v2.0:VI:3937524:3941018:-1 gene:SETIT_013633mg transcript:KQL00677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRNTAITRANSACLPMGDRVAVKYNSVNEGEERKGGHGIPKVSMVPLIFLIFYEVSGGPFGIEDSVKAAGPLLAIAGFLLFALIWSIPEALITAEMGTMFPENGGYVVWVSSALGPFWGFQQGWAKWLSGVIDNALYPVLFLDYVKSSVPALGGGLPRTLAVLILTVALTYMNYRGLTIVGWVAVFLGVFSLLPFFVMGLIAIPRIEPSRWLEMDLGSVNWGLYLNTLFWNLNYWDSISTLAGEVENPKRTLPRALSYALVLVVGGYLYPLITCTAAIPVVREHWSDGYFSDIARILGGVWLHSWIQGAAALSNMGNFLTEMSSDSYQLLGMAERGMLPEFFAKRSRYGTPLIGILFSAFGVILLSWMSFQEIVAAENYLYCFGMILEFIAFIKLRMTHPDTSRPYKIPLGTIGAVLMIIPPALLIVVVMALASFKVMAVSIMAMIIGFVLQPCLVYVEKRRWLRFSISADLPDLPDSHEATSDDAVPLVF >KQL02130 pep chromosome:Setaria_italica_v2.0:VI:30152882:30153085:-1 gene:SETIT_015860mg transcript:KQL02130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFVSEKSKIEYMVKELLGNKNFSHLHTEL >KQL03019 pep chromosome:Setaria_italica_v2.0:VI:35809360:35810510:-1 gene:SETIT_016027mg transcript:KQL03019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHQQPLLHVVRVLPGKKQSPTPFLANIIQSGFLFSELPLEIVGSRPFLRRCWRLRFQSRSLLLRQDPPIALPWTLPSAASTPRW >KQL01287 pep chromosome:Setaria_italica_v2.0:VI:13750028:13753157:-1 gene:SETIT_014966mg transcript:KQL01287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALTSNPPSFRPISSPLRRRAATVLCRAGKPGKDSGADDDAAPKKIPGLFADFGKLTDAKSLIPALASPAAGSLFAGGGRGRKDPQTVFVAGATGQAGVRIAQTLLRQGFAVRAGVPDLASAQELARLAAAYRLISPAEARRLNAVESGFDDPEAIAKSIGPAAKVVVTVGPAEKGPEGGAVTTEDALRVVQAADLASAAHVVVVYDKGSDGSFGGGGSTYNVLDGFTTFFNYIFSRVQTLTLSQFLAKVVETDVSYTLVKASLTDDYSPESSTPCTTSTTDTGKVSKSQIAALVADVFSNVAVAENKVVEVSTNSSATSKPTAEAFVAIPEDSRRKEYQEAAAKAQAEEEALASQRASEAEAAASKLEAKAKKAPTEEEAPSEEAAASPVNGAQASLENLLSRAKGISTDFSWEKFSTQLAEATTPRTSTEKEPKAEIATVRGQAKAKKLAPQRAVVKPAAQKVKQQPKQPESKPEVRPVFGGLFKQETVYVDDD >KQL01369 pep chromosome:Setaria_italica_v2.0:VI:18027017:18027661:-1 gene:SETIT_015334mg transcript:KQL01369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALACTARLIHPCMVSKNPRTPPPPLHLHTHRPAAATTLSLIPSSSHHFALHSVDVSKDDKPLDSPPPATTTTTAESAQVDAAGGGAEAAPLETEEDDGLKLDPRRFEEKFAVLNTGIHECRSCGYRYDQASGDPSYPLPDDWRCPTCGAAQSFFESKSVEIAGFAQNQQFGLGGNSLTSGQKGLLIYGSLLLGFLFFISGYFLQ >KQL00363 pep chromosome:Setaria_italica_v2.0:VI:1618578:1619824:1 gene:SETIT_014718mg transcript:KQL00363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSRVIGWAMQQRKRALRTVVRTSDSTCSSIMTISVNGGRTSGFASQHLVMISPRAGRQSWGMVGRTPLFTTANAACTAVMFAKGTLPVTSSHRTMPKL >KQL01914 pep chromosome:Setaria_italica_v2.0:VI:27866803:27869624:1 gene:SETIT_014334mg transcript:KQL01914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQEDPRPSGGLHGLYGVQFAGQSLYSDDEAVKTSIMDSSTCESQEGVGSSRRLLIRRLWQQRPPCLKPIHCSLSCDKHVGETIANVVTSLPFIVLGLQTPRKNLNTALYANSLIGVGIASSLYHTSRGEIRKYMRWADYTMIATSTLCLSRALRDENPKFLMAASTLLLPFQPLMVSAIHTGIMEVSFAKRASIEPELKMAHNLHKMSSLLGGALFIADDVFPQTSYLHAAWHLAAALGVSTCNKLLE >KQL00933 pep chromosome:Setaria_italica_v2.0:VI:6583627:6588288:-1 gene:SETIT_013323mg transcript:KQL00933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRVPDPTWRHTRTLGTKEPPGPTMDPPWTHHPDPTSRPIAFTPRPAAGDGQAATTTPRPRSLHFLSCAVPHSPAAARTPRPPPPPFPPSDPTRPPLDPAHAASPSRRPPAFARRSGRRPARFRRVLDLAAANLAATDPAPSPPFLLRPPPPGRSIQLHPHPLPAPPPSSHSGTATTASPSRTPPPTPRDRASALDRMADDAWSLGLTAWEEAASFAGDPWALLASATARASDASRCPSAVSQRARGRVVFLPCGLAAGSSVTVVATPRAAHREYVPQLARMRQGDGTVMVSQFMVELQGLRAVDGEEPPRILHLNPRLRGDWSQHPILEHNTCYRMQWGAAQRCDGTPTDDNEDKVDGFPKCEKWIRNDIVDTKESKTTSWLKRFIGRAKKPAMTWPFPFVEERLFVLTIQAGVEGFHIYVGGRHVTSFPYRPGFTLEEATGLFVKGDVDVHSVYATALPMSHPSFSLQQVLEMSEKWRSRPLPKRPVSLFIGILSASNHFAERMAVRKTWMQTPEIKSSEAVARFFVALNSRKEVNVMLKKEAEYFGDIVILPFIDRYELVVLKTIAICEYGVQNLTAAYIMKCDDDTFVRVDVVLRHIKLNNGGKPLYMGNLNLLHRPLRTGKWAVTDEEWPEDIYPPYANGPGYVISGDIAKFIVSQHANQSLRLFKMEDVSMGLWVEKFNSTTPVQYSHSWKFCQYGCLENYYTAHYQSPRQMVCLWDKLIRGRASCCNYR >KQL00344 pep chromosome:Setaria_italica_v2.0:VI:1530944:1535205:-1 gene:SETIT_013156mg transcript:KQL00344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSFLTVLGWFMSPIIAFLVHKIIAYLVFNMSRKRREKFRELSRKLRDLEIHTVPNLIRALEIVENQRILRAEEGERSESDLKILDKMKKDLKATLYEAEDILDLIEYRRIEKALTGKTEPPHGSSSWLQQLADACRESWLRRRWIVVPVVWWCVQGLCRMGIRSLYATLRASGKRLRNTQQQLADACRESWLRRWIVVPVVWCVQGLCRMWRSLYATLRTSGERLPISNTAPPVSVMPISNTAPPVSVMQRLRVRCSHLVSENVRCRITDCGRSVLTCFNFVQLNDSIGLDSFPLNYRQSLRERIERIEYIVSDLSKSPLLNQQSRSSKILVQDTNKGSSSEQDIDDLHRCIKRKVFGRDNDLEDICRKLREGPDAHEPSSRSSKPYSVIGIYGITGSGKTTLAQYVCDHERKLKEGKHFDNIMFIHVSETFRVVDIFRNMLEQMTEERPSETKGLKKLQKELKSILQNRRFLLVLDDVWINDGNRKQREILLDVLDAGKNGSRVLVTAQNIDAARALGAQEPIKIPDLKEEECLSMFMDHALQGTAAFDDREHTEIGRKIVEKLRSSPLAVATVAARLRMNLDIDFWDRTAKHGLLNWTMGALWWSYRQLDADIRRCFEYCITFPGGYTLERDELVGMWVAQGFVKNSNQTEDLEDVGQRYVDELLKFSFLNVQIRYFNKKTLIIHDMLRELAEWVSGSDFYRINLNGSQKDIPTEVRHLFIETPNRKFLRKITDKILELENLRTLVIIEDTETDLSKEKIFENMFLRLTKLRVLIVKFAPSRRLVLSVPASIGQMKHLRYLSLRSFSGVELTFPSTFRKLYHMQILDVWNFHLSSPEDIVNLIHLRYIWNKLDLSDLDMRDEMASSFLVCKEKGQELHQLKHLNELRGILMLSGLKLVRNKEEALEAKLACKKSLAELDLEFDGCNCKPAKLVFGLELCNCDADVEAEVLEGLCPPKDLVRLDIWDFNGSTYPGWMMLGSQYPDAPKHLHKLYLRNCSRLASIPEKDNEFFKCLRVLGINFCTWDALPDNMESLKSLREISIVHCKNIKFLPTLPDSLECIRISGNSFLTTSCKEEGSWNWDKIQHIRIKEFYA >KQL01574 pep chromosome:Setaria_italica_v2.0:VI:21954143:21956210:-1 gene:SETIT_013394mg transcript:KQL01574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNPPPPPRTVLLLLLLLLFPHNAAAAAASTAVNPFTAKAAFIRYWNRKVPNNRPHPAFFVAKLSPLPAADSASFPSALPDIRARLPALCSRAGLLCAGPASSAGADADAASLAAAAGTRGGGPFKGYSNANFSNYGSGGDGGADSFRSYSPDLNIAADSFRRYARDSSDRADRFESYEADGNVVTANFTSYAGGSTGGSGTFASYAAETNVPDSTFTNYDAAANGRGRGFASYAQEANHGENGFSGYGKNGNGVRETFTSYGNESNVMASAFANYGESGNGATDTFSSYGVEGNVPENTFRSYGAGGNAGVDTFKKYRDDANVGDDRFSSYAKGANGGGAEFQSYGNSANPGSTTFKGYGEGTNPNHHIGFKEYAGENNTFKGYAKTGVDFKGYHNTSGSTAALTVSAEAAASMHHQHLKWSPEPGKFFRERELVAGNRMPMPDIRDKMPPRAFLPRDIAAKIPFDPNAVSEVFGVPLDTAMGKAVASTVAECQRAPSKGETKRCATSAEDIVDFAVEMLGNDVVVRSTASTAGSGGDIRLGAVTGVDGGKVTQSVSCHQSLFPYLVYYCHSVPKVRVYEADIMSAAADGGSGEKINHGVAICHLDTSDWSPTHGAFVALGGKPGEVEVCHWIFEGDMTWTVAD >KQL02019 pep chromosome:Setaria_italica_v2.0:VI:29131407:29136933:-1 gene:SETIT_013237mg transcript:KQL02019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPTAVIHRIQSSLREAAGAPDTDAAPDPPFPSVADAVAAFDSGAASAEVRCGRCGAAGGLLRGAKSALCVYCGCPRRGEDAEGGGLAFRDGAAYRWLLGSLGLDGSESVEFDSDTTGSNKSNEAPNSGMIISDLLDLKLTCLPENKEASTSSTTKEQSSSVDSLNLSAANLDSFFIERKEEMTSAAAPLPQTYTVVQEKKITDSKSHESSRSEVHAASKGLMSSQRTNQVEANPAFASWDADFQSASSGSAAGDSNQPDLFKSSSAAESLSFPDPVIAINPAVGTENKTNMKSAILEHHSEDLASASGTLFDDNLSNQKVAPILESNSGTIPENNALEFTDSSLNMNFAKSDQLPGRDDTGVNDDEAFDDWQDFAGSGNQGSLSNAEHIVEPLKRDSSEIETIDPLPVGTTESTNNANEDSSDDWQAFASISGQGGDIVKSVEGSTSGHGQDLVGSFGEKMSSISLEHSSEVNPVDLWPVGNDKAQNTAEMGKEANDSFDDWQDFATSSKVQAISLNQTGDMMEVPKASHKETDMDSWFMGDFKEPGNTGIVNGNNMLDDWQGFTGSDQAQQNSSSTGGEMMSALSEQQEGTVSVQSWVHDSNKEAAKTSSTNVENDTYDIWQDFTKSGHLQENVTNLGREVTSVSPEPAKQIDSLDLWLTSNFKESKSSEGAGRIDASSDGWQDFASFDQTQTSTKIPGEGHLGKNPPGTETLDLWASSHANEMNLEQISDNNDLFDDWQDFQNSRPQQTNLQVSSDASLFDIPSASRPDASGGLASGSILQLASSENQKDKKEDSNEAKSVPSDEHLKSTNGMQHMDNVDPLSLLWPTNNNAIRKQESVNTSVEQLLAQMHDLSFMLKDELSVPDKPVDHSKP >KQL02444 pep chromosome:Setaria_italica_v2.0:VI:32584498:32585589:-1 gene:SETIT_014558mg transcript:KQL02444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDAILETIKPRRSQDEQLPVTTAAGGGNSGGIGLRRRMSSFSVHLGPSLSSAGAVAFRRAQSMPSVKALAAAGALRRWWEWGLGWVMARRPPFAGGLEMSDDEAAALGGCHCRGTWRHVFYKVRAGARRLMGRDGRPLKAAAPQDFRYDSVSYAHNFDDGEA >KQL00371 pep chromosome:Setaria_italica_v2.0:VI:1665510:1666000:-1 gene:SETIT_016023mg transcript:KQL00371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTSGSHALLDCSQGVRRSAPARHHSLQLVEIVVHPCRMVPGNACLDSKS >KQL01461 pep chromosome:Setaria_italica_v2.0:VI:19968188:19968566:-1 gene:SETIT_015827mg transcript:KQL01461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGAQEKIAAVGVHGNPAKGPNTNSSNSTRGQGVVVALCS >KQL02958 pep chromosome:Setaria_italica_v2.0:VI:35512640:35513141:1 gene:SETIT_015937mg transcript:KQL02958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCCNIASHFHDRLMLLFFLKLRLMLLVRLLGACGISASLKISL >KQL02500 pep chromosome:Setaria_italica_v2.0:VI:32905366:32908953:1 gene:SETIT_0132772mg transcript:KQL02500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQLPCVSAARPLLAAPAVLGPGPWRGRARGRANAAGSARRGAGIGVRTAAAAARPVASVAVADEEEEEEEAEAVEERYALGGACRVLAGMPAPLGATALDGGVNFAVYSAGATAASLCLFTPDDLEADTVTEEVPLDPLLNRTGNVWHVFIEGEQVHEMLYGYRFDGMFAPERGQYYDASNVVVDPYAKAVISRGQYGVPGPDGDCWPQMAGMVPLPYSTFDWQGDLPLKYHQKDVVIYEMHLRGFTKHDSSNTKHPGTYIGAVSKLDYLKELGVNCVELMPCHEFNELEYFSSSSKMNFWGYSTINFFSPMARYSSRGNSGRDAINEFKVFVREAHKRGIEVIMDVVFNHTAEGNEKGPILSFRGIDNSTYYMLAPKGEFYNYSGCGNTFNCNHPVVREFILDCLRYWVTEMHVDGFRFDLASIMTRACSLWDPVNVYGGPMGGDMTTTGTPLVRPPLVDMISNDPILGGVKLIAEAWDAGGLYQVGQFPHWNIWSEWNGK >KQL02502 pep chromosome:Setaria_italica_v2.0:VI:32916362:32917370:-1 gene:SETIT_014614mg transcript:KQL02502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQWSTAASLDRWIGPRRCGSPPPPQPWQAPVPTVPPPRPAKWKGRIGCASVPRELSAAAEAEQTARPLVDGDTEEEGVVCEACSGAGWLLCDFCKGKKNNVKSEGTRVYRRCPTCKAAGFILCPRCRVYKCITFPESNES >KQL01951 pep chromosome:Setaria_italica_v2.0:VI:28428179:28430680:-1 gene:SETIT_015025mg transcript:KQL01951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPELIDDIFGEILLRLPPDDPACLIRVSLVCKPWRRLLSDPAFLHRYRAFHGAPPLLGFFRNFQGKRFVATTAPSPIAPLPMAFEAHEGWMTMDCRHGRALHDCFGSGLHRVPPPGEPGYYNAAAVLCGVDGCDHLDFRGGPFLLPEGIVVTAEDNRLGFAGLRDDSLYLWLWQAGADGSVGWTQDRLIKVKMLLPILEPSTSSLELPGFIEGTDTIIISANVGVFAIMLNSREVRKLDDTRPDYAIVPYKSFYTPDLAKGIMRRP >KQL02276 pep chromosome:Setaria_italica_v2.0:VI:31385598:31394344:1 gene:SETIT_013198mg transcript:KQL02276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPATPLLALSKAVSSRSKPFLLRRGGRLPSAAPLRLRFPFAASASAPAPSSAPRGLAVPGDLLLLSLARLALRGPGPRAAAAAPRRWFASVSAASPLPSGGPPRGGAGGGAGNGDGGGDGGGNEGWKRPRASQGVGVAEEAAGQGADVIVLDVGGMSCGGCAASVKRILENEPQVRSATVNLATEMAVVWAVPEDRDVQDWKEQLGEKLASQLTTCGYKSNLRDASKISSQAVFERKMHEKLEQLKQSGRELVVSWALCAVCLLGHISHLFGVNVPLMHFIHSTGFHLSLSIFTFVGPGRRLILDGIKSLFKGSPNMNTLVGLGALSSFAVSSIAAFIPKLGWKTFFEEPVMLIAFVLLGKNLEQRAKLKATSDMTGLLNILPSKARLMVDNDAEKSSLVEVPCDTLAVGDYVVVLPGDRIPADGVVKAGRSTVDESSLTGEPMPVTKIAGTEVSAGSINLNGKLTVEVRRPGGETVMSDIIHLVEEAQTRAAPVQRLADKVAGNFTYGVMALSAATYMFWSIFGSQLVPAAIQHGSAMSLALQLSCSVLVIACPCALGLATPTAVLVGTSLGATRGLLLRGGDVLEKFSDVDAVVFDKTGTLTIGRPVVTKVITSRGRGDANTKDFGDNQWTEAEILSFAAGVESNTNHPLGKAIMEAAGAANCISMKANDGSFMEEPGSGAVATIGEKQVSVGTLDWIRRHGVVRNPFPEAEHFGQSVAYVAVDGALAGLICFEDKLREDSRQVISTLSEQGISVYMLSGDKESAAMNVASIVGIQADKVLAEVKPHEKKKFISELQKAHRLVAMVGDGINDTAALASADVGIAMGGGVGAASDVSSVVLMGNRLSQLVDALELSKETMKTVKQNLWWAFLYNIVGLPIAAGALLPVTGTILTPSIAGALMGFSSVGVMANSLLLRVRLSSRQKPSSQAETRKETRKATSDALAGTGDEAVKSYSSKWST >KQL00485 pep chromosome:Setaria_italica_v2.0:VI:2442469:2444921:-1 gene:SETIT_014180mg transcript:KQL00485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSISMMEARMPPGFRFHPRDDELVLDYLLHRLSGRVHGGAAIVDVDLNKCEPWDLPEAACVGGKEWYFFNQRDRKYATGQRTNRATVSGYWKATGKDRAVVAGAGGEAAALVGMRKTLVFYRGRAPKGRKTEWVMHEFRLEPPAAPPPPPLVGRDLPAPARYQRLKEDWVLCRVFYKSRTTTPKLPPEDAQDLTADLPLAPLADTYTAFGAAPTVAEQVSCFSGLPALPFKRPVSLSDLLAFDTSEKVSIGTVMLSSMSGNSSSSGLELPPNCNWNQENVLSQMWNPLGI >KQL01056 pep chromosome:Setaria_italica_v2.0:VI:7704709:7705229:1 gene:SETIT_014675mg transcript:KQL01056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSILLAAAALAALLAIGSCGSALTFKTGPGCSATRLVLIPSTAISEVEVKEKGASDFSELKEGPAGTWTLESKAPLKGPFSIRFAAKSGGYRVVDDAIPASFKSGSNYKTSLQV >KQL02100 pep chromosome:Setaria_italica_v2.0:VI:29916644:29917693:-1 gene:SETIT_015374mg transcript:KQL02100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLSQLLTMQHYLSQVTTLNQHYLFKPCGLAMGTFLGHFLPGLAFAILGVWHTLNTVRDYKLRGASGFRSATWFPFPSPLPWLRRLELYLLLSFSVLAIIDQLVDLPILALCLQPDSLEHATIYLHLAVYASVALAADVSGRRDDAAGVGGVVAALAASVFGQELFLLRFHSADHAGLEGHYHWLLQLVVAGSLAATAATAVLPGSFAVAVVRSASVLLQGVWFMAMGFALWVPALVPSGCHGVGVEEEGSSAAMRSAVACATEEAARRATVMANLQFSWALAAVWVVTAYLCLRVDSGCLEYVQLQAPPSGVLAGDGDTPRKIVFPVEEQV >KQL02396 pep chromosome:Setaria_italica_v2.0:VI:32231780:32235168:-1 gene:SETIT_013768mg transcript:KQL02396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYVYGPGRTHLFVPGPVNIPDPVIRAMNRQNEDYRSPAVPVLTKILLEDVKKIFKTTTGTPFIIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESDWGRGADLDVLEAKLRQDTAHTIKAIAIVHNETATGVTNDLATVRKLLDTYRHPALILVDGVSSICALDFRMDEWGVDVALTGSQKALSMPTGLGIVCASPKALEASKTAKSVRVFFDWNDYLKSYKIGSYWPYTPSIQLLYGLRAALDLLFLEGLDNVFKRHKRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSGEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNSTPLIPSRI >KQL02395 pep chromosome:Setaria_italica_v2.0:VI:32232699:32234316:-1 gene:SETIT_013768mg transcript:KQL02395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYVYGPGRTHLFVPGPVNIPDPVIRAMNRQNEDYRSPAVPVLTKILLEDVKKIFKTTTGTPFIIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESDWGRGADLDVLEAKLRQDTAHTIKAIAIVHNETATGVTNDLATVRKLLDTYRHPALILVDGVSSICALDFRMDEWGVDVALTGSQKALSMPTGLGIVCASPKALEASKTAKSVRVFFDWNDYLKSYKIGSYWPYTPSIQLLYGLRAALDLLFLEGLDNVFKRHKRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSGEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNSTPLIPSRI >KQL02394 pep chromosome:Setaria_italica_v2.0:VI:32232699:32234316:-1 gene:SETIT_013768mg transcript:KQL02394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADYVYGPGRTHLFVPGPVNIPDPVIRAMNRQNEDYRSPAVPVLTKILLEDVKKIFKTTTGTPFIIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESDWGRGADLDVLEAKLRQDTAHTIKAIAIVHNETATGVTNDLATVRKLLDTYRHPALILVDGVSSICALDFRMDEWGVDVALTGSQKALSMPTGLGIVCASPKALEASKTAKSVRVFFDWNDYLKSYKIGSYWPYTPSIQLLYGLRAALDLLFLEGLDNVFKRHKRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSGEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNSTPLIPSRI >KQL02397 pep chromosome:Setaria_italica_v2.0:VI:32232699:32234421:-1 gene:SETIT_013768mg transcript:KQL02397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWQVYADGAGPTSTAVCLTGLLPTHTVTPLQQTKMADYVYGPGRTHLFVPGPVNIPDPVIRAMNRQNEDYRSPAVPVLTKILLEDVKKIFKTTTGTPFIIPTTGTGAWESALTNTLSPGDRIVSFLIGQFSLLWIDQQQRLGFNVDVVESDWGRGADLDVLEAKLRQDTAHTIKAIAIVHNETATGVTNDLATVRKLLDTYRHPALILVDGVSSICALDFRMDEWGVDVALTGSQKALSMPTGLGIVCASPKALEASKTAKSVRVFFDWNDYLKSYKIGSYWPYTPSIQLLYGLRAALDLLFLEGLDNVFKRHKRLGTATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSGEIVKHAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLSGVEMVLKDVGYPVKLGSGVAAAAAYLSNSTPLIPSRI >KQL00484 pep chromosome:Setaria_italica_v2.0:VI:2430625:2433488:-1 gene:SETIT_015810mg transcript:KQL00484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FKAKILMIEEWNVAEGILELVDRFWIRTLVMGAASDKHHSKEMKVPQSKKAIKLMENAHPFCEIWFVCDQKVIFSRSPSEDLRSVPVFVDADDNFWDIENNLDTEGYLLAQKKDHALVISEQADTAQNAEGTHEKGDTVILEDLHGSGDRVKEKQERLIEAMVPAQANAHREVELLHQQKQEMIIKSEVAELRERSEQLMIERDNAVSKIRTLEGQKKQMMTEWNFATKQVVELRKQKRLVTIERDSALGEMKTYHKKAKQAKTEIKELQEQKERMMTELNFARGKIVELHKQKRQVTTERGNVLGQMNIFQKKTEKAKTEVKELQGEIKRLKTELNCADGEVMELHEQKEQMHSRWDSAIGKCEELCGLMKQMLTELEKVAEARRQKVLMMAERDHALEEAKKLMSQLEQIISQFTLVELQRATKDFNVNLKVGQGGFGVVYKGFLRNTTVAIKMLSSTGVQGQSDFKHEVTFMNTVRHPNVVTLVGACPEALALVYEFMPNGSLEGCLERVAGAPALSWQARTRIITEICSALSFLHKNTPYGIVHGDIKPANILLDGNLASKLCDFGTSRHLIHSDTAGSGMLCTSHPWGTPGYMDPEFHTTGVLTTRSDTYSFGVTILCVLTARSPLNLVRVVRDALERGDLRSVMDTSAGDWPIAQAKRLVRLALKCTEMTSDNRPDMAGEVWTVVKRLADEANGAAPTGQHGVGTSVQLNGQKLGIGMENPVYPCKCLSGIKIHSVAEAFKR >KQL01604 pep chromosome:Setaria_italica_v2.0:VI:22717372:22720277:-1 gene:SETIT_015629mg transcript:KQL01604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMLTGSCAARPPPPPHRRAATPIRIRLPLRPRTPSPMLRARPPPPAQAPHTRPTADGAPVRLRPPPWSSHRRREARVVALTAAKKNGKRGSLRRRSVRHPPPTSVRAAAPSATHGIEAPPRLQTRWPRDGHGPARIEAQPHQFATPRTAAPEPGKRPKEQAVPCSTAAAAARSVDEVRKALARHVKLGLDRSPRHTRPLLAACALSGWPGGMELAPSIFESLDEPEAFDYNTLMRGHVSVGGRGDRNPEAVLRLYVGMLEAGVEPDNYTFPFVLKACAQLAALQEGRQLQAHVVKLGFQHDEHVRNSLISLYGKCDEPAMARLAFDRMRPDERTTTAWSALLAAYTRAGLWGECLKSFRVMVREGWRPDESSMVSALSACAHLGAYDVGRSIHCALLRNAARLNTIMLTSLVDMYAKCGCIDKATAVFDAMDDRNAWAYSAMVSGLAQHGCGRKALQVFDAMVREGHTPDAAVYVGVLNACSRAGLLEDGLRCFDRMRLEHKVSPNAQHYACMVDLMARAGKLDDARALIGTMPTGPTDTAWRCLLNACRIHGDLDLAEHALQELRRLGSANAGDYVIVADMHARARNWSAAAALRTEAVNEGLARSPGFSDVEVQGEVHRFVSQDMSHPRRRDIYEMLHQMEWQLRFDGYEPDTSEVALDVGEEEKRRVVAAHSQKLAMAFGLLSTPEGAPVRILTNLRMSKECHAYSALISEIFGREIVVRDRNRFHRFRRGACTCGDYW >KQL00963 pep chromosome:Setaria_italica_v2.0:VI:6945217:6946428:1 gene:SETIT_014322mg transcript:KQL00963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTSEETDDYERSGATTVMAAANQTPVVGDRPRRAKRRSASGHGGGAEKLDGNEPAETAVAIACAFSATRGCRWQILSCETETSRRRAVAEDGAGEDAPPGARVRMPGGPGEGVSAPPCHGPATHAMEWAATPLPPLPSRFPRQPNQPVSSPSSESLSPAPARAWGATRSRIDRPGPGVASPPHTAGRRAPAPIRSGGPAGKARPRSRRGHRCPAPWPLRRRRRRHERAGDPQELPAMDATARPAIVIDNGTG >KQL01935 pep chromosome:Setaria_italica_v2.0:VI:28231774:28235265:1 gene:SETIT_013526mg transcript:KQL01935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPNARGEPKEQTLAPAPSPAAPIKMGSQRRQPSSSRRRVTGALALLAASALSLLLLASRSVPPRYGVIIDAGSTGSRVHVIAYRTGPGRGLPPQLDWARTASLKANPGLSSFAADPDGAGLSLAPLVDFARRRVPRERWADTEVRLMATAGLRLLDAAVAESVLESCRGVLRQSGFLFQDKWATVISGAEEGIYAWVAANYALGTLGGDPHDTTGIIELGGASVQVTFVTGEPLPPEFSHVLKFGDVSYNLYSNSFLQLGLNVAYESLHDLLSSPGLKSMATHLITQTKYKDPCTPRGFTSMVGSVKLPVSVLDPKVGYRPFAHAVGNFSECRSAALTLLQKGKDGCAYHECRLGAAFVPELEGKFLATENFYHTSKFFGLHSKSFLSDLMVAGEKFCHGDWSKIKKKYSSFDEGELLLFCFSSAYIIALLHDSLKMPLDHKRIDVVNQIHGVPVDWALGAFIVQTALNRTEYSDSSVSYLNSYDSSGLAPLFLITAVVVFTAFSILRWRRPQLKTIYDMEKGRYIITRVSR >KQL01933 pep chromosome:Setaria_italica_v2.0:VI:28231774:28235677:1 gene:SETIT_013526mg transcript:KQL01933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPNARGEPKEQTLAPAPSPAAPIKMGSQRRQPSSSRRRVTGALALLAASALSLLLLASRSVPPRYGVIIDAGSTGSRVHVIAYRTGPGRGLPPQLDWARTASLKANPGLSSFAADPDGAGLSLAPLVDFARRRVPRERWADTEVRLMATAGLRLLDAAVAESVLESCRGVLRQSGFLFQDKWATVISGAEEGIYAWVAANYALGTLGGDPHDTTGIIELGGASVQVTFVTGEPLPPEFSHVLKFGDVSYNLYSNSFLQLGLNVAYESLHDLLSSPGLKSMATHLITQTKYKDPCTPRGFTSMVGSVKLPVSVLDPKVGYRPFAHAVGNFSECRSAALTLLQKGKDGCAYHECRLGAAFVPELEGKFLATENFYHTSKFFGLHSKSFLSDLMVAGEKFCHGDWSKIKKKYSSFDEGELLLFCFSSAYIIALLHDSLKMPLDHKRIDVVNQIHGVPVDWALGAFIVQTALNRTDILHPEVEKASAEDDLRHGERPVHHNQGQPMSFARRRWEWVDFLQRSTSNRVCRFS >KQL01936 pep chromosome:Setaria_italica_v2.0:VI:28231774:28235692:1 gene:SETIT_013526mg transcript:KQL01936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPNARGEPKEQTLAPAPSPAAPIKMGSQRRQPSSSRRRVTGALALLAASALSLLLLASRSVPPRYGVIIDAGSTGSRVHVIAYRTGPGRGLPPQLDWARTASLKANPGLSSFAADPDGAGLSLAPLVDFARRRVPRERWADTEVRLMATAGLRLLDAAVAESVLESCRGVLRQSGFLFQDKWATVISGAEEGIYAWVAANYALGTLGGDPHDTTGIIELGGASVQVTFVTGEPLPPEFSHVLKFGDVSYNLYSNSFLQLGLNVAYESLHDLLSSPGLKSMATHLITQTKYKDPCTPRGFTSMVGSVKLPVSVLDPKVGYRPFAHAVGNFSECRSAALTLLQKGKDGCAYHECRLGAAFVPELEGKFLATENFYHTSKFFGLHSKSFLSDLMVAGEKFCHGDWSKIKKKYSSFDEGELLLFCFSSAYIIALLHDSLKMPLDHKRIDVVNQIHGVPVDWALGAFIVQTALNRTDILHPEVEKASAEDDLRHGERPVHHNQGQPMSFARRRWEWVDFLQRSTSNRDDLGCGSILARSGTCYS >KQL01934 pep chromosome:Setaria_italica_v2.0:VI:28231774:28235677:1 gene:SETIT_013526mg transcript:KQL01934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPNARGEPKEQTLAPAPSPAAPIKMGSQRRQPSSSRRRVTGALALLAASALSLLLLASRSVPPRYGVIIDAGSTGSRVHVIAYRTGPGRGLPPQLDWARTASLKANPGLSSFAADPDGAGLSLAPLVDFARRRVPRERWADTEVRLMATAGLRLLDAAVAESVLESCRGVLRQSGFLFQDKWATVISGAEEGIYAWVAANYALGTLGGDPHDTTGIIELGGASVQVTFVTGEPLPPEFSHVLKFGDVSYNLYSNSFLQLGLNVAYESLHDLLSSPGLKSMATHLITQTKYKDPCTPRGFTSMVGSVKLPVSVLDPKVGYRPFAHAVGNFSECRSAALTLLQKGKDGCAYHECRLGAAFVPELEGKFLATENFYHTSKFFGLHSKSFLSDLMVAGEKFCHGDWSKIKKKYSSFDEGELLLFCFSSAYIIALLHDSLKMPLDHKRIDVVNQIHGVPVDWALGAFIVQTALNRTDCGCIYSILHPEVEKASAEDDLRHGERPVHHNQGQPMSFARRRWEWVDFLQRSTSNRVCRFS >KQL02995 pep chromosome:Setaria_italica_v2.0:VI:35699374:35701600:-1 gene:SETIT_014435mg transcript:KQL02995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLAAAPRRLLAPARSRRAFWSASWSADPAAPSASSPPPDSKKKKSPSGSAHHRLAAVMDAVNERKLPPELRGRGNAVRSETDIVNVVEQRIWHSMEEGHFENLPGKGKPLNIDSNPHADPAEDTLYRILSRNGCAPEWVELNKEIRGMIASWRSALKKAWANQSEDDGFSWNDDCRILQEQIRQINDKVFRYNLIVPFGRQMFGLNWDKEVEKLKSE >KQL00317 pep chromosome:Setaria_italica_v2.0:VI:1270599:1271021:1 gene:SETIT_015950mg transcript:KQL00317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLINTLGFSRPKQLDNYLIHFQVSATT >KQL01201 pep chromosome:Setaria_italica_v2.0:VI:10906210:10907568:-1 gene:SETIT_014194mg transcript:KQL01201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSLVLLLSSLAVAVLSILQPASAQSAWLDEFTTDGEVRADFDATGKQVASLVLDRSSGAGFNSTQKYLFGEFSVEMKLVPGNSAGTVTSFYLTSGETGKGDDHDEIDMEFMGNSSGAPTVLNTNVWASGDGKKEHQFYLWFDPAADYHKYTIIWNDKNIIFRVDDVTVRAFRRYADLPYPDAKPMAVHATLWDGSYWATEKGNIPIDWSAAPFVVSYRGYTANACVASEHGKPSCPAGRNEWMDRELDDTDRLTVAWARRNCLQYNYCEDGWRFPEGFPGECSRE >KQL02675 pep chromosome:Setaria_italica_v2.0:VI:33902884:33905930:1 gene:SETIT_014377mg transcript:KQL02675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLNKVEVNLRRLLEAAPRQQNPAKLVHYVTTARELLEQLGAETTPEGISSVSKAKLSEYSEKIEALAARLAASVPENEKPDVESREEEISEEIAKAESPISLSSGLRRRSAAHVEVRASQQERKGDIGAPIKLDAEAQAHIEKHRKLQEDLTDEMVELARQLKESSLMMNQSVQETEKILDSTERAVEHSLASTGRATSRAAEVYSLTSKTTCFQWLLILVMTCVFVMVVLLIRIT >KQL02983 pep chromosome:Setaria_italica_v2.0:VI:35617838:35624881:-1 gene:SETIT_013342mg transcript:KQL02983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQYKEIADSNEVALKQLESAHQDYKAEAEVGREALEDEIAKLRDKLSYMEKSYLIKCEEAASAIESKEKQITSLVNEISVLRTDVSQRLPQVEKLEMELASSKSALDEQYKRWRSAEENYERQRDWAELKELQEQGDLVRVLNLDQKNMFDSCMKQVEDMRKGLQSSLKAASDAESRAAIAEAKCSDLEAKLKSRKVTFRDTGRDIPATSEENDELFQLKEQLEKYKEEAQANRNYMVQYKEIADSNEVALKQLESAHQDYKAEAEVGREALEDEIAKLRDKLSYMEKSYLIKCEEAASAIESKEKQITSLVNEISVLRTDVSQRLPQVEKLEMELASSKSALDEQYKRWRSAEENYERQRDWAELKELQEQGDLVRVLDLDQKNMFDSCMKQVEDMRKGLQSSLKAASDAESRAAIAEIDDEYTHGELEEILSQWVDNPNCELPSDIQKINIYDEDIEELKKYAKNGIAYFVLKLTPAKGRGGGFTRKMKNGSGSWVRANKINGNKCTIRIYKFNENSTYHRERRMMEFTLNNGPQDMVLCSVEWDCKTSKIKNDATKVRITRRCQEVETSQTEEVECFKQKLLEAEKKIQFFERKLEEADRTCLEQSNLEQKLEEAEKACLELRRHVTYIEDEKKSLKQNALKGNMRVFCRVRPLAAKDNPNDQKLIIFPESLEYSGRGLQVVHNGKYTFQSSRIFQNH >KQL00574 pep chromosome:Setaria_italica_v2.0:VI:3177995:3178366:-1 gene:SETIT_015490mg transcript:KQL00574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPGTTAMFAGLAILLFSVSAGFNSGADGFGLLLCFAGVLAGANIVAVGILAPVVPAVLAEARALAEFIRRNLAVVGLVMASCAVTAISGEAGQVLCFGMFALLLLGLALISVGILRLTQMH >KQL01284 pep chromosome:Setaria_italica_v2.0:VI:13451758:13453794:1 gene:SETIT_015784mg transcript:KQL01284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGYVSLEETKEYKCIVDQTFTREEDFYEFYNDYAYHKGFSIRKGRVRYKTGTQEVIWRRLMCSCEGYRSVKYFERMDQKRQPRALTRCGCTARLDVEWSESIGIWYVKDFVDVHTHALAKPEHVFVLRSHRGLNDPQKAEAVELGLGGLRPFQIMDVMEASHGGPGETGFLSQDLYNFFSRYKKGKVEGSDVEFVLNHVRQMQEKDPEFFFTFSVDAQGRLKNLFWSDAQSQIDYGVFGDVIVFDSTYRVNRYNLPFVPFIGVNHHQSTVVFGCGILSDETILSYVWLPEALLEAMHQKHPKSLITDGDAAMMRAIEIVMPDVDHRLCSWHIEQNMLKRFRGSKLKDFRKFIYHAMEEGEFDRLWREFRGTHNIKEDNLWVNRMYELRRKWAATFTRGRYFLGMQSNQRSESLNSRLHNHLDRKMSLVDLMEHYEFCLSCIRRNEIELDAKALCSIPFTKISADVLEKSAAQIFTPTIFQKVSFQIRKSSNWSVTEVTLQNGCLRYEVSLQGNNKRWFHVTCTFGSSLVDARCHCRKLEREGIPCAHTFCVMKYSRIESIPSCCVFVRWTMNAKSAFPTEMRTNTHVWTEQMDRYHSLRSKGNRALFKVSRSQGETERVMKLLDDILKEDTQEQGMEEETTFGPLPAHFSAANQPGGTKVLDPVKIVSKGAPRSNK >KQL02894 pep chromosome:Setaria_italica_v2.0:VI:35164367:35165337:1 gene:SETIT_015265mg transcript:KQL02894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARPCRPAGRHRHDSARDGAPAVSMVYMRSHGKSGEGLSSSTSVLRIHHERTDYQQLSRLRQHVLVALGSSPAAMAGALEGVARVYEHAEPLARSARADVLDGELEASVALLDACAAARDALGAIRACALDAEAAVRHRDGAAADRAARTYARLVERARADARRQRRRAGLRSDHQVADGGGVHALQEARRLTVAVLERVVAALSRRVAAAAGTPRPASSWSTRVARAFRKSARVACEDAADEATVSLPALPSKDSHDGEAAVRVQRELRALGDTIQQLEDGLELLFRRLVQCRVFLLNVRSC >KQL01043 pep chromosome:Setaria_italica_v2.0:VI:7546597:7550616:1 gene:SETIT_0131121mg transcript:KQL01043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLSVIDSNCHNTETKEPKEPKVADQKLELEMNFAEVINIHIPFIGISLISSSPQELLFVSAKEMTIVAMQSLDQQRFTVEIQSMQIDNQFPDSPHPVMLSFEGSQKGKSMNFFKSKDTKLRSASDNLSNTTEPVLRFAAAKWRTRDVSFVSYQCINISVAPVRLELEERLVLSMIEFFRSVSSRINLGHLEENLELSILGGATDLLREYEKISKHLSDKPLVQDSELLPSVVPVGAPWQQIHLLARKQKKVHIELFQLTPVKLTFSFTSTPWLSRNECGSDPSTGFNNTTAIQRGLMALLDVEGVPVHLGEIMVENLMASWQSVQDILVRHYSRQILHELYKVLGSAGVIGNPMGFARNVGFGLKDFISASRKGKLQSPVELLNGLAQGSKTLIGSTVYAVSSATSHFSKTAYKGLVAFTYDEQATSKMEERERQLGLHGEGVLNGFLEGLTGLLQSPIRGAEKHGLPGVISGLAMGTAGLVARPMASILEATGRTAQSIRNRSNPHESNRLRVRFPRPVARDRPLFPYSWEEAIGISLLARADGGRLKEETFVMCKTLKEPGKFLVLTEKLLLLVSSPYLVDLGSPQFVGVPPDPQWSIDTEMHLKSVVHLDRSLEVVNIVGSNGETSPRDKRGGARNRVMNSAFVPLFHLSIEVPNVEDAEGTLQVLEALIDKGRARRWDKNILHRSNIS >KQL02510 pep chromosome:Setaria_italica_v2.0:VI:32959810:32966919:1 gene:SETIT_013155mg transcript:KQL02510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGTSSGGAGGEGGGGGTKVNQELWYACAGPLVALPPAGSLVVYFPQGHSEQVAASMGKDADAKIPSYPNLPSKLICILNSVTMHADTDTDEVYARMTLQPVSNCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPHLDFSMQPPAQELQARDLHDSIWTFRHIYRGQPKRHLLTTGWSLFVSGKKLLAGDSVLFIRDARQQLLLGIRRANRQPVNLSSSVLSSDSMHIGILAAAAHAAANNSQFTVFYNPRASPSEFVIPFAKYQKAVYSNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKNSQWRNIQVAWDEAAPSERRTRVSLWDIEPVIAPFFIYPSPLFTAKRPRQPGITDDETADMENLFKRTMPWFGEEIYKKDLNTQNNLVPGLSLVQWMQQNPSLTSTVVQPELLNSLAGKPVQTLAAADMSRQISFQPQFLQQNNIQFNTSLLPPQNQQTEQLAKVIATPNQSGSVIVSQKVVQDCNPEQKQHAITQPVQGSQPMISAAQPQFVVQNQLQQPQVILQAQAQQPQVILQAQIQQQQPLVQNHTVLHGDLQQAQLLQQQEPHLQQQPQQVQQSVQEQQQIKIQPVQVLNGTNVITQLSDHQMKIQLLKALQPQQPLIMEQQKMILDLQHQMVNSNSQSAAQQCAQVTTQAGGMHSSNTIQYPTQQKTQPHQPIQDFPRHAVSVANPEIATSMGTRSLHVPGGVQLLKTEDVPSSSTSPPTNNNPVLLQSVPCSSKNQSILTAAKTPQSSAVVGPTLGQGMKPYESAQQMVMIPKGAEQKPVTRQDYVNNTPQMDYLDTSSSATSVCLSQADGSLHQNFPPSSFNQHQLLRETVPDSEFEVTDPGNNFLFGVNIDGHLDSLNADALLANNFETEKYMDHMPGHGISNYISSKDSQQELSSSMISHSFGVADIAFNSIDSSINDAPFLNRNSRPPAPAHQRIRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLSDQNRGGWKLVYEDHEKDVLLVGDDPWEDFVNCVRCIRILSPQEEMQMRLASDFGDSFLHNQACSSSDGGHPWRVTGD >KQL02511 pep chromosome:Setaria_italica_v2.0:VI:32959810:32966919:1 gene:SETIT_013155mg transcript:KQL02511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGTSSGGAGGEGGGGGTKVNQELWYACAGPLVALPPAGSLVVYFPQGHSEQVAASMGKDADAKIPSYPNLPSKLICILNSVTMHADTDTDEVYARMTLQPVSNVTQCDKETLLASELALKQTRPQTEFFCKTLTASDTSTHGGFSVPRRAAERIFPHLDFSMQPPAQELQARDLHDSIWTFRHIYRGQPKRHLLTTGWSLFVSGKKLLAGDSVLFIRDARQQLLLGIRRANRQPVNLSSSVLSSDSMHIGILAAAAHAAANNSQFTVFYNPRASPSEFVIPFAKYQKAVYSNQLSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKNSQWRNIQVAWDEAAPSERRTRVSLWDIEPVIAPFFIYPSPLFTAKRPRQPGITDDETADMENLFKRTMPWFGEEIYKKDLNTQNNLVPGLSLVQWMQQNPSLTSTVVQPELLNSLAGKPVQTLAAADMSRQISFQPQFLQQNNIQFNTSLLPPQNQQTEQLAKVIATPNQSGSVIVSQKVVQDCNPEQKQHAITQPVQGSQPMISAAQPQFVVQNQLQQPQVILQAQAQQPQVILQAQIQQQQPLVQNHTVLHGDLQQAQLLQQQEPHLQQQPQQVQQSVQEQQQIKIQPVQVLNGTNVITQLSDHQMKIQLLKALQPQQPLIMEQQKMILDLQHQMVNSNSQSAAQQCAQVTTQAGGMHSSNTIQYPTQQKTQPHQPIQDFPRHAVSVANPEIATSMGTRSLHVPGGVQLLKTEDVPSSSTSPPTNNNPVLLQSVPCSSKNQSILTAAKTPQSSAVVGPTLGQGMKPYESAQQMVMIPKGAEQKPVTRQDYVNNTPQMDYLDTSSSATSVCLSQADGSLHQNFPPSSFNQHQLLRETVPDSEFEVTDPGNNFLFGVNIDGHLDSLNADALLANNFETEKYMDHMPGHGISNYISSKDSQQELSSSMISHSFGVADIAFNSIDSSINDAPFLNRNSRPPAPAHQRIRTYTKVHKRGAVGRSIDINRYSGYDELKHDVARMFGIEGQLSDQNRGGWKLVYEDHEKDVLLVGDDPWEDFVNCVRCIRILSPQEEMQMRLASDFGDSFLHNQACSSSDGGHPWRVTGD >KQL01508 pep chromosome:Setaria_italica_v2.0:VI:20980148:20980657:-1 gene:SETIT_015716mg transcript:KQL01508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSPRTSLRTSPGAFFFPTSARHAKKDLARLASSVSNAAKYFPQLAGSSATLVEVEVSGVLAESMATIASASDAVFSAVESISLAATIAVASSSKKPSASLISLVTRSSKAAAACSDEDKEMAALDKLEGLDECIANK >KQL02667 pep chromosome:Setaria_italica_v2.0:VI:33863478:33865480:1 gene:SETIT_014818mg transcript:KQL02667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMSHFNYIHTKKAEEDDVAIEFAVYVKSCDVVISMLYIQIVVWSNHLCRSLIILCIYL >KQL00430 pep chromosome:Setaria_italica_v2.0:VI:2023753:2036635:1 gene:SETIT_013226mg transcript:KQL00430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVTEDGVQRASAAEVGDGGRDGDEDFEEDEEDGFEFGDAEEAMQCVEMAGRSTGAGAMRAQAHDYEALAERKRKALAEEQPQREGSKRPRPDDLSEAEAATMFDQLMEGFGLRRKRRSKDGKKRGRKKGTKNKGSPEVIKKLGDATLLFAEEKFDEAIPILHEIVRIAPNLPDSYYLLGSIYSETGELDKAINFLMLAAYVSPKDASLWKKLIPLAKKKEDASLARHCILKAMRADPEDVDLKYLCGDMYRNLRDYQKAAEIYEQIVRIYPANVAVRKVAAQMYRECGQIDKAINLLEDYVSTQTTNIDWSVLDLLISLYLRNNALSEALKQIEKARLQLRSQQKLPIQLLAKEVICHAYLGDMKHAEIFLRDVHLEPSKDNTDVIKELATNLETMGLYEYAVKFYLMIGDVANHNAGSLYVDHKEMGNSYVKVAQCYMVLGDKRNAIPYFYKALQSMKDNIDIRLTLSSLLIDEGKTDEAVTLLSPPKNQELHSANTPDQHKPWWCDGKVKMKLANIYYNKGNLEDFVDTIFHPILETLNVEYANRKIKPMRKLPNTVLHERVKVLGEPRPDSIFQGLRPIASPGELQKANRAKKLIEKRAASNEELKPNDLRRTKQVPPVPDLLTNMEHHQLVLNLCRTLALLQRYWDALQIINRTLKLGNDVLTNDNKEELRSLGAQIAYRAPDPSHGFKYVRYVVQQHPYSLSAWNSYYKVISRIEDRFPHHFKYILRTREEKPDCVPPIIISGHRFTAISQHQSAARDYLEAYKLDPENPLINLCVGTALISLALGFRLQNKNQCIVQAFAFLYRYLRLCGESQEALYNIARAYHHIGLNTLAAVYYEKALAVEEEDHPIPKLPYEAGSCAQEDLRPGYCDVRREAAFNLHLIYKKSGATDLARQILKTYCTV >KQL00378 pep chromosome:Setaria_italica_v2.0:VI:1704568:1705485:1 gene:SETIT_014474mg transcript:KQL00378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRSTSPAGSSSSGSGSGAEQPRLRGVRKRPWGRYAAEIRDPVRKARVWLGTFDTPEQAARAYDAAALKLRGPGATTNYPSADAKAAAAPATSGSGSGSIVLSEGASSSSSSSRDSPLAPSLALPATAAAQPCHLFLDPTTTTVMVVAPALLQFLPPKSEEEHSFAGSSSSPPVVFDSAPPAVGLGLDLNLALPAPGTMVV >KQL00920 pep chromosome:Setaria_italica_v2.0:VI:6538724:6540854:1 gene:SETIT_014563mg transcript:KQL00920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIITQLQEQLSEIAMLAVNTFGTLQRDAPPDRLSTSYPDPLNPNPKPEEDAKPQVQAPPGAAPAQAQPPAPPQAPALDLAEQPKAMSHALVLAAKKFDALVAALPLSSEEDQLKRIQELQAENEVVGLELQKQLEAAELELKQVEVLFNEATDNCINLKKPE >KQL00921 pep chromosome:Setaria_italica_v2.0:VI:6538724:6540423:1 gene:SETIT_014563mg transcript:KQL00921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIITQLQEQLSEIAMLAVNTFGTLQRDAPPDRLSTSYPDPLNPNPKPEEDAKPQVQAPPGAAPAQAQPPAPPQAPALDLAEQPKAMSHALVLAAKKFDALVAALPLSSEEDQLKRIQELQAENEVVGLELQKQLEAAELELKQVEVLFNEATDNCINLKKPE >KQL00359 pep chromosome:Setaria_italica_v2.0:VI:1603276:1605613:-1 gene:SETIT_015634mg transcript:KQL00359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNAWSVLLILICLWVCPLRTSGLSWNIISSSSPGSSAKAANQRAPMMELDGAVADFSMDGANDPRGLKLLENAQSKLAGPRNCWQEAYRKLFASCGDIMADQEKQSRLAWHLSSCFQEDSGRPPFPSCAEGSRMVHCRSRLSDSEGKVFLEFFLETNTLCHQLQAEAFKHNTERLVNDLTRTSKSAEEKLEVIEARSDQIIKESEKVQGKISSIEEQTDRLAETSKNVGEQINGVLDHSKAIFEQSKEIAKAQAALKEGQTEMREKIDAGMARVEESYDSLGKGMDNLKEEAGYVKRELKSVGDSMSSKMQDLQSKADDIGSVAGKSLENQMQLLDRQSRTMEGLNNLHSFQAKALEESRETVQKLAQFGQRQQEELLARQEQIRQAHDHLIQNSHSILEAQEEFRAKQANIFAALDKLHILHNAILAESRFIKAFFFYCCIVFLIYMLTSAKQTFSIRGQLYFGLCITLVLEIGLIKIGADDFDKQFWVMSKVFLVRMLFLGVATVQILHSIFTYRDYEALNHRLLQTLVEKVRALEKNAGGRAQLPYDTEESEGSLMDYSWVFDELADEVDSKVDPSFVVRPCHEVVLPEEVGDGENSITTLVGRRYNLRSRK >KQL00589 pep chromosome:Setaria_italica_v2.0:VI:3317714:3318622:-1 gene:SETIT_016031mg transcript:KQL00589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAGTSGRVEHMDMQQQPFPLTKWWVRGHDTTTLLCVLEKKKKVIAKITWICENLGAHSWDGSSISLREN >KQL00249 pep chromosome:Setaria_italica_v2.0:VI:786511:787031:1 gene:SETIT_016046mg transcript:KQL00249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGFLLLQRLPHAAPRLRQRLLLRHCSSRCSSDLLGPSCAQGREGRERKFG >KQL00764 pep chromosome:Setaria_italica_v2.0:VI:4788331:4793146:-1 gene:SETIT_013830mg transcript:KQL00764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGAGVLSPSGTKTKKLKVAVIHPDLGIGGAERLIVDAACELASHGHDVHVFTSHHDKNRCFEETVSGSFPVTVYGDFLPRHVFYRFHAVCAYLRCIFVALCVLLWWPSFDVILVDQVSVVIPLLKLKASSKIVFYCHFPDLLLAQHTTMLRRLYRKPIDMIEEYTTGMADLILVNSKFTAATFARTFRAVHARGIEPGVLYPAVSVEQFHEPHAYKLNFLSINRFERKKNLDLAISAFALLRSGGALQDATLTVAGGYDKRLKENTDYLEELKRLAVTKGVSGQVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVVNEVTGFLCDPSPAEFSKAMLKLVNDPDLALRMGKQARDHVVQKFSTKTFGDLLNSYVLNVYHERME >KQL00231 pep chromosome:Setaria_italica_v2.0:VI:684188:685167:1 gene:SETIT_015854mg transcript:KQL00231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVSLTLKSRSPAIRYRPSSLTITKRAASLLRFSRLRCTTLGAHLQM >KQL02842 pep chromosome:Setaria_italica_v2.0:VI:34924407:34925447:-1 gene:SETIT_014968mg transcript:KQL02842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDITDAATPAADAPAPPPALTKENNLFMRIAVNPDGTVTRPEVPLVPASESAAAAVSRDVPLDASLGTYLRLYLPNPVPPPTSPATSNNNKLPVVLFFHGGGFVLFSPATVFYHAHCEAMAAAVPCVVASLEYRLAPERRLPAAYEDADAALAWLRGAVAGTDPWLAAHGDLSRCYVMGSSSGGNMAFFAGLRAAKGGADPSSSPAATAAVRGLLLHQPYLGGVERTPSEAGSEDDAMLPLEANDKLWSLALPVGADRDHEFCNPAKAMPPEALTGLPRCLVTGNRDDPLIDRQREFARWLQDSGGVEVVVRADHPGSHASELFVPERAEELFAAMREFLSADGGA >KQL01002 pep chromosome:Setaria_italica_v2.0:VI:7296373:7297668:1 gene:SETIT_015516mg transcript:KQL01002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTRIQRFPQSLRGIGGGEGNRRYVVPSVVAIGPFHHGRAHLHEMEEVKLMAAHRFCADSGVQVVRDKIFSIAGDARRCYAATGDLQIAALEDAEFAEMMFVDGCFLLQFMFKGNEPPIVGRFLSSGPSILKDIFLLENQIPWLVLEALAKYVPMHDGLVIADLVLNFIVDHMKGYFFLDRSRKEDKARLCFQNLLAKCRCAKDPVGMGRSFSHGDHPNPKHLLGLLRFGMTRGMPSQKRRSELSNRSFPRLSCSAVDLAQIGVKLTASRTPWFADVNCQKKPIFGELSLSPLFLNHVAACCLVNLAALEVTEATSASSSESDGFVVSSYLSVLAMLMDSEEDVHQLRVRGVLCSNFSNNQTLAFFKELVQYLRLGYNYYAAMEEIDEYMRRRPVRIAVHRFIYNNYRTIVAVLSIASVLVGIFKALKKP >KQL01189 pep chromosome:Setaria_italica_v2.0:VI:10594390:10596583:1 gene:SETIT_013535mg transcript:KQL01189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAYQLLQVITTPLALPLLLLVPLLLLLASTSRRSRHGSKQQLKRPVLPPSPPALPIIGHLHLVGDCPHVSLRSLAAKHDSGGLMLLRLGTVPNLVVSSPRAAQLVMRTHDHAFASRPTSRISDALLYGSSDIGFSPYGEHWRQLRRLVTTHLFSVKKVNSYRLARQDEVRLVMEKIREAVARCKSVDISEMMNTFANDIVCRAVSGKFFRAEGRNKLFRELIETNTSLIDGFNLEEYFPGLANVLGSLTSWFASNKAEKTHKIWDELLETIISDHEGRGRSSEQGHVVGGGVEQEETDFVDVLLSVQKEYGITRDHIKAILMDMFGAGTDTSSLVLELAMAELMRSPQLMTKLQVEVRENTPKGQEMVAQDDIASMTYLRAVVKETLRLHPPAPLLLPHICMVDCEVDGYTIPSGTRVIINEWAIGRDPESWEKAEEFMPERFLEGGSAAAVDFRGNDFQFVPFGAGRRICPGLNFGMATVEIMLANLVYCFDWELPAGMEKEDIDLTEVFGLTVHPKEKLILVPKPRGSVGHAA >KQL01217 pep chromosome:Setaria_italica_v2.0:VI:11071250:11073487:1 gene:SETIT_015750mg transcript:KQL01217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWTRTQASFLHAFFLLLPCASTSSAIEQEAGSLLRWKSTLSPANGGGDYPSSPLLSWSPGRPTCSWHGILCDAAGCVTELSLPGVGIHGTLTALNFAALPALTKLDLRRNNISGHIPIPANVTGLAYLDLSHNSLSGVIPDTLARATPRMKHLNLSSNGLHGPIPRSISGMQEMRAFDVSKNKLTGKIPPELFMEWPEITEFHVQSNFLMGGIPPDISNATKLQSLLLYRNSLSGQIPVEIGKLKNLQFLILAWNSLTGPIPHTVGNLSRLVLLGLFVNNLSGRIPPEISNLAALEALDLDTNQLEGEVPEAMSSLQSLQYLDLSSNRLSGMVPYLSISKLHAISLENNRFTGEFPLAFCQQASLEILDLSNNQLYGELPPCLWSLQKIQFIDLSSNAFSGNIQTSAKSSLFLESVHLANNNLMGEFALVLKRCRRLTILDLGENNFSGTIPSWIGASNPLLRVLRLRSNMLHGNIPWQLSHLSSLQLLDLADNSFVGSIPRSLANLTAMMQPKAELNIPLEVNYQVLGKFARYAYTERININWKGQYHTFEGTIALMTGIDLSSNYLSGEIPLELSNLQGLRFLNFSRNHLSSSIPKDIGNLKILESLDLSWNELSGSIPSSISKLMSLNSLNLSNNKLSGEIPTGSQLQTLAEPSIYSNNLGLCGFPLNIACSDGSNSTPAPNSQSQELEALSWYYSVLAGLTFGFWLWSGPLLLFEPWRVAIFRCIDHIQDRAVQKVFIV >KQL02552 pep chromosome:Setaria_italica_v2.0:VI:33162668:33163745:1 gene:SETIT_014436mg transcript:KQL02552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHPFLSPTFGPKYPNPRKPQACTCVRVGLDGQRRRSSRHRHRHRLTPRQEESSTTNLTHQKKSPKNEAADLKKREKKERWRWIGDGLKNRGETGRELMRPVALLLSFLPDREGIDKAADIALVPLLSHARPRVPVEQMGSWRLLAFLRVVGGIFGGNEAGIALAGIGRSVVLGHGRCRVSELRDVRIHVLIFSSGHIECLIRLRRTKCKLIIKLIA >KQL00155 pep chromosome:Setaria_italica_v2.0:VI:231890:237558:-1 gene:SETIT_013509mg transcript:KQL00155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRVGEEEPQDLEEGTGESSPAPRSPRDSQSQPDLHAVQRRICERLRLTGRHGQKLADPSFHGRLARHLQRLPRRYIFDFDLDVEGKAEDVLLHWEILQECADPEKRPVFHARYLKSMPLRADGDGDGIGDNQEDPCQRLLEDLSLERRKTTVHANDSTSISSRGDLKAQLLHEIIFSSSDRPKLLSRLTALLSEVGLNIQEAHVYSTKDGLCLDVFVVDGWDTEETDDLIAKIKETLTQKNVSPSNSTNSSTSDKISDLQQKVGDSEIDWNMLAKGEKIASGSSADLYRGTYNGLDVAIKCLRITNLNNPSEIEFLQEVLILRRVEHDNILRFYGACIKHPYYCIVTEYMPGGNLYDFLHKHSNFLELVTILKIAISISKGMDYLHQNDIIHRDLKTANLLIGYDQVIKIADFGVARHGSQEGQMTAETGTYRWMAPEMYSASPLFYGSWQLQRSHMTT >KQL00156 pep chromosome:Setaria_italica_v2.0:VI:232797:237328:-1 gene:SETIT_013509mg transcript:KQL00156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRVGEEEPQDLEEGTGESSPAPRSPRDSQSQPDLHAVQRRICERLRLTGRHGQKLADPSFHGRLARHLQRLPRRYIFDFDLDVEGKAEDVLLHWEILQECADPEKRPVFHARYLKSMPLRADGDGDGIGDNQEDPCQRLLEDLSLERRKTTVHANDSTSISSRGDLKAQLLHEIIFSSSDRPKLLSRLTALLSEVGLNIQEAHVYSTKDGLCLDVFVVDGWDTEETDDLIAKIKETLTQKNVSPSNSTNSSTSDKISDLQQKVGDSEIDWNMLAKGEKIASGSSADLYRGTYNGLDVAIKCLRITNLNNPSEIEFLQEVLILRRVEHDNILRFYGACIKHPYYCIVTEYMPGGNLYDFLHKHSNFLELVTILKIAISISKGMDYLHQNDIIHRDLKTANLLIGYDQVIKIADFGVARHGSQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELATSKVHPGVEFSLDYC >KQL00157 pep chromosome:Setaria_italica_v2.0:VI:232125:237328:-1 gene:SETIT_013509mg transcript:KQL00157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRVGEEEPQDLEEGTGESSPAPRSPRDSQSQPDLHAVQRRICERLRLTGRHGQKLADPSFHGRLARHLQRLPRRYIFDFDLDVEGKAEDVLLHWEILQECADPEKRPVFHARYLKSMPLRADGDGDGIGDNQEDPCQRLLEDLSLERRKTTVHANDSTSISSRGDLKAQLLHEIIFSSSDRPKLLSRLTALLSEVGLNIQEAHVYSTKDGLCLDVFVVDGWDTEETDDLIAKIKETLTQKNVSPSNSTNSSTSDKISDLQQKVGDSEIDWNMLAKGEKIASGSSADLYRGTYNGLDVAIKCLRITNLNNPSEIEFLQEVLILRRVEHDNILRFYGACIKHPYYCIVTEYMPGGNLYDFLHKHSNFLELVTILKIAISISKGMDYLHQNDIIHRDLKTANLLIGYDQVIKIADFGVARHGSQEGQMTAETGTYRWMAPEIINHKPYDNKADVFSFAIVLWELATSKVPYDNMTPLQAALGVRQGLRLDIPASVHPRLSKLIRRCWNEDPDARPTFAEIIVELEDMLQHVQAPKGGNRRTRAKMQKKSER >KQL02229 pep chromosome:Setaria_italica_v2.0:VI:31094567:31095911:-1 gene:SETIT_015274mg transcript:KQL02229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSLHHSTDPCTNGEHSGHDHPAMPSSDIGTRGADDGIPVVDFDVLINGAADQRAQAIRDLGRACKDWGFFMVINHGVPEELKDAMMETCKELFSLPEEEKVEHLEAGPMDPIRIGTGFFSVVDGVRYWRDYLKLFAHPELHCPAKPAKLRDVAAEYSARTRDLLLSLVKAISESLGLGGGRISEAMDLDSCFQILVVNRYPPYTGPDSVGMGLPAHSDHGLLTLLFQNGVDGLQVEHDGQWLLAKPLPGAFFVIAGDQLEIVSNGRYKGVLHRAVVGGERARMSMVSMISPCLDTVVEPVPELAPDGQGLEFRGVRYRDYMEHQQSNKLDGKAALDIARVQRVI >KQL01337 pep chromosome:Setaria_italica_v2.0:VI:16460732:16463359:1 gene:SETIT_014479mg transcript:KQL01337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILVLCAKNTQTSLGHSVVHLELLYYPYSKHEGVSNPFANQIQLTSLEKVLKTESNGYDINQRKNVIMRGVLSVTVVSAEDLPPMDIGGKADPFVVLYLKKGESKKKTRVVTDTLNPIWNQTFDFVVEDALHDLLMVEVWDHDTFGKDYIGRCILTLTRVLLEGEFQDTFFLQGAKSGRLNLHFKWTPQPIYRDRERDQ >KQL01730 pep chromosome:Setaria_italica_v2.0:VI:25253187:25253705:1 gene:SETIT_015394mg transcript:KQL01730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RHWGKWVAEIRLPRNRTRLWLGTFDTAEEAALAYDQAAYRLRGDAARLNFPDNAASRAPLDSAVDAKLQAICATIAAASSKGGRAKSKATPINAPVVDAEAAASNSSSDEGSGSGSDDEMMSSSSPLPAPPAAPLAEIGQLNFGEVPWDEAESFVLRKYPSYEIDWDALLAN >KQL00427 pep chromosome:Setaria_italica_v2.0:VI:1988052:1988633:1 gene:SETIT_015775mg transcript:KQL00427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PASQGAPTAYQTAIPPPLSNQHQAALLQKLQQQQLQAFWAGQLAEAEQATDLKVHSLPLARIKKIMKADEDVKMIAAEAPVVFAKACEMFILELTLRSWLHTEGTKRRTMQRSDVSAAIMANEMFDFLMDVTPTEQQTNGDGVLPPPPPLQTTAGQVPFPMHVPFPMYANHQPPFMWPTPEYQQQQNPGGGNE >KQL01758 pep chromosome:Setaria_italica_v2.0:VI:25591967:25593241:-1 gene:SETIT_013930mg transcript:KQL01758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVKGHNHTAIQPLHHEPLHQHRSAGKPPGVLLLVHPPAPVLLPPHPLPHARAHTDPLSRRRRPKPHVPVAVPRAPHLLLRFHAPTDERPVAGQLRDAGGVRVHRLPALFQRHLVERAALHLLARRDAAFYDDEERGVPFPDGSRVGRRRERVEDGGVGELAGPEGGVEAPRLAELEVLGLGRVHAPALLAVEEVREAIGGDGPRREPPPPARHDLDLLVDVRRVERPGLDVADAPAEAARDHVLLARARPDEAPAPAGGDDGVVAVGRERLVGDGDEHDGVGVGGAALAVLLRVPHGEHAVLREREVTDARPAACAPAASLAVVAVVVGDLHHEVAGVPALRWRPGRRLRVALEQGQRLGRVHFLIKLIWVSCALCW >KQL02049 pep chromosome:Setaria_italica_v2.0:VI:29440585:29441386:-1 gene:SETIT_015959mg transcript:KQL02049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVMMGKHPGDLIGRLTSLEELDGLLEDIIDKHPATPTSNKEQDIIQLIIISKRCVQASPEDAPTMQQVYRTLTGAY >KQL01028 pep chromosome:Setaria_italica_v2.0:VI:7463542:7467255:-1 gene:SETIT_013887mg transcript:KQL01028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVPKKRGRPRKYGPDGSLIRPLNATPISASAPMAAAVAAGQYTPASAVGAAMKRGRGRPLDFAAAAAAGKQHQHHQLHHHHQPFGFHFDSIGDMVACSAGANFTPHIITVAPGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFELLSLSGSFMPTENSGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVVGSFLPSYQLEQKHKKPRVDPAPTLPQTPPAIPISSVDTHSGEQGQHSSAAHQRTVNVVTSAYGADQSWASPAQPTPEASRTPSGDQKTTASGS >KQL02113 pep chromosome:Setaria_italica_v2.0:VI:30020205:30023728:1 gene:SETIT_013915mg transcript:KQL02113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAPSPPPGAPPSPPTPPPPERGVFYCDECGSALPTAPSSPHRVCQCCYRGFLEGNPPPPPPPPPPPPPPEPVLFYCYECGRTVDLHMPLPVPPSSPHRVCPGCSRGFLEENPPPPSSPPPPPGSGSGSSSSSSELSDDPEDDDVDLLGADYDAGREFIRSFVNQGSHDVPLRNYTAAATMSALRHHPPRRGLRYAFNDIIQRHLDLPPAPAAARGGEPPAPATSIAALPTVEVAEPAAACAICKEDLPLASQARKLPCAHLYHSSCIVTWLELHNSCPVCRFRIPSAAGPEEAVAPSEPDSPTTRITIRFTTSTRRRSRVLYGDAAVVVPVSASPTQLAQAVTGDGAGGPANSGETVSSEWPRHPESDAVMSEAREEDGSFD >KQL02650 pep chromosome:Setaria_italica_v2.0:VI:33792213:33792820:1 gene:SETIT_014803mg transcript:KQL02650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIMSWKLRNNGRNVKYHVESKLRGKSNLLRHSCTFNHYNLHMFHHDASVISDNMMAIQASSKLPS >KQL02807 pep chromosome:Setaria_italica_v2.0:VI:34708506:34709636:1 gene:SETIT_015283mg transcript:KQL02807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSTSSSNGVAPSLLAELASSSSPAAGGRSDVADMSSSSAAGRRCMNRSTARATARRTSASARSAAGGGTSSSHAESGKLRHPAAAAPQAPRSASMAASWARAAASGAPKVPSQSLHPRRPGTRTSHTHRHRPPAQPRRRTPRNTGCRVSRNLVRPAGRFPRVAVAAGGGGVQAVEELVGSQDELGSCLIWHIVLSGRQSLECSGLAGSGGLWGGYFGRGKHTGESHGGPRRAQATSDQTPVPNRLTVPVACGHSLARSLPNRAPKQARVHQRRHEREPGLIIRSAAGGGGLTWARETSVAARSGKRPQTCVPRHLHSSRKRPGARAAVGGALPAKLVGGRTPSSAVVSS >KQL00438 pep chromosome:Setaria_italica_v2.0:VI:2094950:2095913:1 gene:SETIT_015804mg transcript:KQL00438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLVANGCTNIRGGRLSNAVACVILLSDGQDNNSGSGGFFHGPRSFRATSHDVLVPPSFMRAGASVTGGTFSLIENHTAIQDVFAQCVSGLLSITVQRARVSVECLHPGVRLRAIKSGRYEIHVDADGRTATVAVGELYVDEERRLLLFLDVPRAGATELAETTRAGFETPTWRTARQDGRIEGCKIKVPTSMTEQDCIVLGRTPG >KQL01672 pep chromosome:Setaria_italica_v2.0:VI:24655186:24659275:1 gene:SETIT_014212mg transcript:KQL01672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGLIQVDQSTVAIKESFGKFDEILGPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLTNTREQIQSYVFDVIRASVPKMNLDDTFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGSLQAKLV >KQL01674 pep chromosome:Setaria_italica_v2.0:VI:24656363:24659275:1 gene:SETIT_014212mg transcript:KQL01674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGLIQVDQSTVAIKESFGKFDEILGPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLTNTREQIQSYVFDVIRASVPKMNLDDTFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGSLQAKLV >KQL01671 pep chromosome:Setaria_italica_v2.0:VI:24655186:24659275:1 gene:SETIT_014212mg transcript:KQL01671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGLIQVDQSTVAIKESFGKFDEILGPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLTNTREQIQSYVFDVIRASVPKMNLDDTFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGSLQAKLV >KQL01675 pep chromosome:Setaria_italica_v2.0:VI:24657559:24659275:1 gene:SETIT_014212mg transcript:KQL01675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMELWFSCSVIRASVPKMNLDDTFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGSLQAKLV >KQL01673 pep chromosome:Setaria_italica_v2.0:VI:24657279:24658874:1 gene:SETIT_014212mg transcript:KQL01673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWQKKYVMLNLLSCMLIVVFFCFYLSYAECHVVNNVQDNVFVNVVASVQYRALADKASDAFYRLTNTREQIQSYVFDVIRASVPKMNLDDTFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGSLQAKLV >KQL01670 pep chromosome:Setaria_italica_v2.0:VI:24656285:24659275:1 gene:SETIT_014212mg transcript:KQL01670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQALGLIQVDQSTVAIKESFGKFDEILGPGCHFLPWCIGKQIAGYLSLRVQQLDVRCETKTKDNVFVNVVASVQYRALADKASDAFYRLTNTREQIQSYVFDVIRASVPKMNLDDTFEQKNEIAKAVEDELEKAMSMYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGDAESKYLAGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVKDIAAQIRDGSLQAKLV >KQL00807 pep chromosome:Setaria_italica_v2.0:VI:5208008:5210150:-1 gene:SETIT_014746mg transcript:KQL00807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKNIRKSLGRILLKGDNITLMMNT >KQL00808 pep chromosome:Setaria_italica_v2.0:VI:5207618:5210309:-1 gene:SETIT_014746mg transcript:KQL00808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEINVKKNIRKSLGRILLKGDNITLMMNTGK >KQL02559 pep chromosome:Setaria_italica_v2.0:VI:33191519:33192281:-1 gene:SETIT_015673mg transcript:KQL02559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASGFTEFKLDYAGTKSLAIGDLIHSDEISSGGHIWRILCYPHGDRKADNGVYLSLSRPFFDAFLIGRDGAPSPSPSHARRCVKVYGPNKAWGFPQFVERADLESTYIMDGRITFMCGAIVLCDDPISVPCSDIGDHLGRLLDGADGSDVSFTVGSEKFRAHRAVLAARSPVFKAQLLGSMAEAKMRCISLHGITPATFQILLRFMYTDALPRDEELEGSSPIELFQHLLAAADMYQLDRLKF >KQL01098 pep chromosome:Setaria_italica_v2.0:VI:8141972:8147047:-1 gene:SETIT_014069mg transcript:KQL01098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPAGAAASPPPPPQLPSPFAEFVKSPSGLEKVVLRGARNCCAEVYLYGGQVTSWKNDNGEELLFLSSKAIFKPPKAIRGGIPICFPQFGTHGNLEQHGFARNRFWSIDNDPPPLPVNPAIKAFVDLILRPSEEDLKIWPHSFEFRLRVALGPSGDLSLTSRIRNTNTDGRPFSYTFAYHTYFSVSDISEVRVEGLETMDYLDNLKAKERFTEQGDAIVFESEVDKVYLAAPSKIAIIDHEKKKTFVVTKEGLPDAVVWNPWDKKAKAMQDFGDGEYKNMLCVEPAAVEKPITLKPGEEWKGRLALSAVPSSYCSGQLDPLKVLHG >KQL01261 pep chromosome:Setaria_italica_v2.0:VI:12635833:12636114:1 gene:SETIT_015476mg transcript:KQL01261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVPKFVPRLTKEETRVFESARESMAAFKKWRAGGVRLQKATILGRKRKTKLPDGPSTP >KQL00507 pep chromosome:Setaria_italica_v2.0:VI:2665521:2670012:-1 gene:SETIT_013971mg transcript:KQL00507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLPGRLLLAGLLRSASTASSAPRMPTNMCYWDRFTWSSCYFHCTSDHSSRKAGLTAKEQLDPFVLIKDEVSEVTDRLRSMVVAEVPELTSAAGYFFRAGAEGKRTCPTVLLLMASAISMDIADGLENKPRARHMHLAEITEMIHIWSLIHDDVLDDADTRRGMDSLNFKVGKKVAVLAGDFLLFRAFSAAVSLDNTEVVSLLATAVNNLVTGELMQMSITPAQRCSMDYYLQKTYYKTAALISNSCKAIAVLAEQTTEVQALAYQYGRHLGIVTAPILFAMEEFPELHEIVEQGFDDPLNVKTALKYLSKSQGIKRTRSLAAEHAKLAAGAIDDLPDSEDQVVLNSRQALKDLAQKFMRRTK >KQL01381 pep chromosome:Setaria_italica_v2.0:VI:18306227:18310791:1 gene:SETIT_013390mg transcript:KQL01381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRTRRRSVLLLLLALTVLSPLALYTSSLSAALNPTQTRDFPGEITNQGRGVKADKLNALPLETVSSLKEPVGIVFSEELDGLAKESTGSEGQELPLRKAGEHKSRVLSEVMVAADGTEVIEQVTRREAQDGGSASAISDEQEKTTGSQQQSSSKESLRETMPKQTSAKVLVENSQAAITDGKTKNTVLPDTWIRNIKDQLIKAKVYLGLGSIRANSQYLKDLRQRIREVQKVLGDASKDTDLPKNANEKVKALEQLLIKGKQMQDDCSIVVKKLRAMLHSAEEQLHAHKKQTVFLTQLAAKTLPKGLHCLPLRLANEYFSLDPGQQQFPNQHKLSNPKLYHYALFSDSILATAVVVNSTVLNAKHPSDHVFHIVTDRLNYAPMRMWFLTNPPGKATIEVQHIGEFTWLNDSYSPVLQQLGSQSMIDYYFGTNRANPDSNLKYRNPKYLSILNHLRFYLPEIYPKLDKMVFLDDDIVVKKDLTGLWSINMKGKVNGAVETCGESFHRFDHYLNFSNPVIAKNFDPHACGWAFGMNVFDLAEWKRQNITQIYHSWQKLNQDRSLWKLGTLPPGLITFWNKTFPLSRSWHVLGLGYNPHVNSRDIERAAVIHYNGNMKPWLEIGLPKFRSYWSRYLDYDQHFLRECNINP >KQL02761 pep chromosome:Setaria_italica_v2.0:VI:34414267:34418367:1 gene:SETIT_013738mg transcript:KQL02761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDTATKEMEALQVGQNEETKENLIKEGKAANSNGAAPAAQSSPPEDDDEAQADGASQDGAPEAAKKKKKKKNKSKKKDPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPIYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGRGFVREDLECSHYMKNFDVGHVPLRLAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDNNIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >KQL02763 pep chromosome:Setaria_italica_v2.0:VI:34415006:34418367:1 gene:SETIT_013738mg transcript:KQL02763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDTATKEMEALQVGQNEETKENLIKEGKAANSNGAAPAAQSSPPEDDDEAQADGASQDGAPEAAKKKKKKKNKSKKKDPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPIYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGRGFVREDLECSHYMKNFDVGHVPLRLAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDNNIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >KQL02762 pep chromosome:Setaria_italica_v2.0:VI:34414281:34418367:1 gene:SETIT_013738mg transcript:KQL02762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDTATKEMEALQVGQNEETKENLIKEGKAANSNGAAPAAQSSPPEDDDEAQADGASQDGAPEAAKKKKKKKNKSKKKDPLQQTDPPSIPVDELFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLQKPIYNSVRRAAEVHRQVRKYMRSIIKPGMLMIDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGRGFVREDLECSHYMKNFDVGHVPLRLAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDNNIVQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >KQL02651 pep chromosome:Setaria_italica_v2.0:VI:33792397:33794949:-1 gene:SETIT_014723mg transcript:KQL02651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSGTKAVEQECNLSCFFVFGYLQLPVLIWLICESFVNKMCSSRCCNMIFHIQQQCLGSKTRGIGSTSLRHVSTLKSQVRNRNSSLVHCFNLSNLPR >KQL02172 pep chromosome:Setaria_italica_v2.0:VI:30657641:30658716:1 gene:SETIT_014237mg transcript:KQL02172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRIFSAMAANQAYMIRFDGHLDDPSPSSAGAEPPEVSQQQPPPPFAGRVISPEQEHQVIVAALLHVVSGYTTPPPEIFPAAAAGAACRVCGMERCLGCEFFGGEGAEVIALDGGAAENNNAAVAAGGQRRRRKKKNKYRGVRQRPWGKWAAEIRDPRRAVRKWLGTFDTAEEAAKAYDRAAIEFRGPRAKLNFPFPEQLAHDEASNGDASAAARSSDNTQSPSLCSGDAEERGQPAEWPPRGGQETGEQLWEGLQDLMKLDEGELWFPPTSSAWN >KQL02121 pep chromosome:Setaria_italica_v2.0:VI:30088848:30093604:-1 gene:SETIT_013612mg transcript:KQL02121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVTVAATGPGTDAEPVSSTFFASRYVRDPLPRYRMPDRSIPREAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMGSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEDETAVGVGTVGSSEAIMLAGLAFKRKWQNRRKEQGKSYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLTKKNKETGLDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNIMQNCKANAAVLREGVAATGRFDILSKETGVPLVAFSLKDSNHFSVFDISENLRRFGWIVPAYTMPADAEHVAVLRVVIREDFSRSLSERLVNDIQKILRELDARATHAVRVSSATAAQSDDGVVAKKSVLEIEREVAARWRNAVNKKTGPC >KQL02120 pep chromosome:Setaria_italica_v2.0:VI:30088848:30093455:-1 gene:SETIT_013612mg transcript:KQL02120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSHANSIGRDDAVASTFASRYVRELLPRYRMPDRSIPREAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMGSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIKEDETAVGVGTVGSSEAIMLAGLAFKRKWQNRRKEQGKSYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLTKKNKETGLDVPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPEELIFHINYLGTDQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKNIMQNCKANAAVLREGVAATGRFDILSKETGVPLVAFSLKDSNHFSVFDISENLRRFGWIVPAYTMPADAEHVAVLRVVIREDFSRSLSERLVNDIQKILRELDARATHAVRVSSATAAQSDDGVVAKKSVLEIEREVAARWRNAVNKKTGPC >KQL01750 pep chromosome:Setaria_italica_v2.0:VI:25453331:25454180:-1 gene:SETIT_015039mg transcript:KQL01750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRAWIYSIRRYSHTFMLEVSKFVAAAKKHTRICKIKQIRCPCFDCSNNIVWEDTNAGGTSNNTDIDIGCAEVGGDDANDNDHVMMDDDYDCGDQNGDQTDMRVEPQVDEERDVDMEDMLRHIEPKVLLGSAKGLENFETLKKAAKDRILTWCQKNTYQAKKIINPLKIRVQRIYACKNHCILYCDEYVVLEKCPNCDATCYKSNADFCEDRAGSSIGNKRKKVAKKKC >KQL02197 pep chromosome:Setaria_italica_v2.0:VI:30822842:30825170:-1 gene:SETIT_013774mg transcript:KQL02197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVYAAEAGTNGSGGLILDPAAQRSALSRGASALSTPKSPPPAYGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGPDARIADYFDVIAGTSTGGLVTAMLTAPNKKVDPNRPLFAAKDINDFYLKHCPKIFPARSGGPLGLFKSVVLGPKYDGKYLQSIVRDLLGDTKVSEVLQNIVIPTFDIKLLQPTVFSRYDAKNDASKNALLSDVCISTSAAPTYLPGHQFHTKDKDGKPRAFNLIDGGVAANNPTLLAMTHVSKQIILGNKDFFPIKPADYGKFMVLSLGTGSAKIEEKFDAVESSKWGLLGWIYNKGSAPIIDSFSQASADLVDIHASVLFQALHSENSYLRIQDDELSGDTSSVDVSTKENLNRLVDVGKRLLKKPVCKVNVETGKNVSDEKNRGTNEEELTRFARMLVEERRARLQKKGNTSQ >KQL01350 pep chromosome:Setaria_italica_v2.0:VI:17108999:17109147:1 gene:SETIT_015109mg transcript:KQL01350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKNFGSEWEENLKDEAEYWLIRRR >KQL01094 pep chromosome:Setaria_italica_v2.0:VI:8069699:8070043:-1 gene:SETIT_015255mg transcript:KQL01094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGLWLWWLMLFGAVLAAASGGGEQSPRAPAAPALFVFGDSLIDSGNNNNLASLAKANYFPYGIDFTAGPTGRFSNGYTIVDELGTYVRACVLFGFFFPSEVREHFSSGLES >KQL01082 pep chromosome:Setaria_italica_v2.0:VI:7971481:7973023:-1 gene:SETIT_014877mg transcript:KQL01082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DILHHIHSLLPLRDAARAACSSRTFLRSWRCRPILTLNRHILGSNANAPQESFSCRIDNILRNHSGIGVKIFMLELYGIFYACHYLDRWLQIAVTPGIEKLTLQLCHRDKMKYNVPCTLLSDGVRNSIQCLQLSLCAFHPTAELGPLRKLTKYFLSNSPALKQLDLSECQEIMCLKIPCVLLQLHCLKVSYCSKLRVIETKARNLFSFILIGERVKVSLGETMQMKNLCMHRRNLVCYARTELPSNMPNLETLSIGSYYERVNTPMLPTKFLFLKCLSIFLKWTFCPSYDYFSLVSFLDGSPSLDLRQIPEQHHDHLKSVKIIGFSSAKSLVELTRYILKNAKSLDCLTLDTTYGDPKCDTEIAGFLMEARRGAAAIRTYIEDKVPSRVKLTVVEHCRRCHADTLSE >KQL01804 pep chromosome:Setaria_italica_v2.0:VI:26413432:26414237:1 gene:SETIT_015548mg transcript:KQL01804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADAPLPSPPPAWRSVAAALVRSPRARAAAVVTAAIDLTLALVWLLFASLGLRRIGRAACGEGCAVVAAADGISRATAASLVQGPAPNDLIAPEDQPVLCVLMLGLLAFLAFVLLTLVGFLLKAFSPVKGSHMERSGSVIMDVGVLSLVVLNCFIVLPALALFAWKRMFMIWQHI >KQL02233 pep chromosome:Setaria_italica_v2.0:VI:31118299:31121323:1 gene:SETIT_014015mg transcript:KQL02233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCWQPGTDFNPSINANQLSSPVLEITFAKIDEHDKLGRYQIAFTNPTRPSLISGRTWPEALLDQETHLPPPGKSAPTHCSPVSTPRDLRASTPSNPWTPITVRAAPPPLCRRFPLLLSSPPPPVSIQSSVSSSASLKSIPIAPLHPSMATLTMQPIGPSPAPAAQEDQRKGENPAADAADLSDIDSGWVVLKNSDIVSADLAAAAISGGQRLGSSAIPSWARWVLGGVVYTVVPFYNRVRQLEEETVGFVENTVEVVEHVAEVTEKLAANVAKQLPKDGSLQKAVEEVEHIAEVVDTDAEKVEAVTEKIDKVSDEIDAAVEPVIKELEKELDQGTTSDNGVNAQK >KQL02846 pep chromosome:Setaria_italica_v2.0:VI:34943020:34943190:-1 gene:SETIT_015473mg transcript:KQL02846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EPAPCLASTFVEVGICKELVEACDLMGWKEPIRVQAEAIPTPSRVPSITIHPGHTT >KQL00391 pep chromosome:Setaria_italica_v2.0:VI:1753713:1755578:-1 gene:SETIT_014162mg transcript:KQL00391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKICAKVAAGHRGSQEEGAAGRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMLMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYLCGDVDESTKQLVKVTEECMMRGISACRHGASFKEIGQRISEHASKYGYGIDPFVGHGIGRIFHCEPIIWSTYDYEPGFMVAGQTFTIV >KQL00390 pep chromosome:Setaria_italica_v2.0:VI:1753550:1755578:-1 gene:SETIT_014162mg transcript:KQL00390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKICAKVAAGHRGSQEEGAAGRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMLMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYLCGDVDESTKQLVKVTEECMMRGISACRHGASFKEIGQRISEHASKYGYGIDPFVGHGIGRIFHCEPIIWSTYDYEPGFMVAGQTFTIEPTLSMGSTQCVVLDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGCP >KQL00389 pep chromosome:Setaria_italica_v2.0:VI:1753219:1755886:-1 gene:SETIT_014162mg transcript:KQL00389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKICAKVAAGHRGSQEEGAAGRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMLMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQGYHGDTSRTYLCGDVDESTKQLVKVTEECMMRGISACRHGASFKEIGQRISEHASKYGYGIDPFVGHGIGRIFHCEPIIWSTYDYEPGFMVAGQTFTIEPTLSMGSTQCVVLDDGWTAVAADGSLSAQFEHTVLVTRDGVEILTGCP >KQL00392 pep chromosome:Setaria_italica_v2.0:VI:1753774:1755886:-1 gene:SETIT_014162mg transcript:KQL00392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKICAKVAAGHRGSQEEGAAGRGAVSARLPVPDHIPRPPYVGADAIPDVCPDRQVHDGESIMLMLDACELAARVLQYAGTLVKPAVTTDEIDRAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECTCHGIPDSRELQDGDIINIDVTVYLNGYHGDTSRTYLCGDVDESTKQLVKVTEECMMRGISACRHGASFKEIGQRIRS >KQL01906 pep chromosome:Setaria_italica_v2.0:VI:27773412:27776062:1 gene:SETIT_015614mg transcript:KQL01906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISLRDRIRKRREEEDDDMMMFLFPALYLMGSAREGGVKKKRHTSEETGEVKVRRLLEGHIKNCQVTFRMEPHIFKELATYLRRKRIVVDTRIMIEEKLGFFLYMLSRNTSYEDLAVTFGHSNDTFHRHINHFFKKFIPTLSRRFLQSPDPNQVHPNIQDNPRFYPFFKNCLGCNKMVKEFHRRNKSVSYTRAQIQDKECQLKRYYKMLKAARMQSGSKWNEQRNMVEGSAAMWENLMVTFPKIKKFQNNKASFPLFDALGELYDEPLHQIDDEIHETRDEDDEEKDARDEEEARSGQRRMAASRKKPEKEGQRPRKSAKIEAMMERFLETRTKQAEDEAKQLARENEARENEIRDKKTAKSDEFSIKRCISIINTMENKENRETFICASEEDEESALIWLRNEMA >KQL02383 pep chromosome:Setaria_italica_v2.0:VI:32149264:32151615:-1 gene:SETIT_015427mg transcript:KQL02383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLTVTLLLLATAATTPSAAATASIALPGCESKCGGVDVPYPFGTSSGCYRAGFKVTCDRARQPPKLFLGAGGGGGPEVLEVSLRNSTVRVRGAVWSFAAGTTGAATVDVLPAASGLRRRYVLSAARNSLVLVGCGFQAAAAPRGDAAAFGSCAPSCPGAKKRKLRHGPCDGVGCCEAPVPTGLAVTAFDVRFSWLEQNATARPAWVAPGASVLVVEREWWRERENVVPVKLSLLNSGNATDFVIPAVLDWTLNNSSCAAAAKGSDYGCVSKHSECVNSTSSAYGYVCRCNDGYNGNPYVPGGCQGPRMRIAAGVFLAMGVGIGMFVLLLVLAAIFATKRLKIRKARKMREKFFKRNRGLLLRQLVDKDIAERMIFSLEELKKATNKFDEARILGGGGHGTVYKGILSNQRVVAIKVSRLVVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFVPNGTLYAHLHVDNPQKPLTWKDRLRIASEVASSLAYLHAAASTSVVHRDIKTSNILLDDRLTAKVSDFGASRGIAIDQSGVTTGIQGTFGYLDPEYYYTRRLTDKSDVYSYGVMLVELLTRKKIMYISPEGVGLVAHFVTSLNQGELNEILDEQVIEEGEEEGKQVAEIAAMCLRMKGEDRPTMRNVEMRLQGLLGSEINISEEDKQVAEIATMCLRMKGEDRPTMRNVEMRLQGLLGSEINISVIEEDQVNRLNGLTFQGGNANAGDNYYSRQYNIEEEIIFSASLER >KQL00248 pep chromosome:Setaria_italica_v2.0:VI:785517:786126:-1 gene:SETIT_016029mg transcript:KQL00248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWCPQRPPSPASPVCSRADGVIFVLNGLSSSGSSSRSRAASGTSRRAAADAASAGELAPPRCWRGARRGGGERRAHELWPGHRGRRGRAEHEGGRQRERRRGEREREANERESTREDRDEGYFGHFCCSVLSGLPNGDKGTEWA >KQL00833 pep chromosome:Setaria_italica_v2.0:VI:5512662:5513624:-1 gene:SETIT_014504mg transcript:KQL00833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRHLLSYTGGLQRRGAKSTATTAVVPELDVAAARRLGVGEMTRWPPAREAGTGAPHGSWGVHLVPAVVTGGEKKRAWRMRSASRLAGHLVRLPHRAVSEGARRTSGQRDDGRKRWRPLSSLRPRAVAVPLSAREGGREGGRERWCCCSSTTSGTGGGWKERRGRGERQRRPAAGPCHQNCLHSKS >KQL02261 pep chromosome:Setaria_italica_v2.0:VI:31257455:31261060:1 gene:SETIT_014169mg transcript:KQL02261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPPHPSSLAPLRASSSSFGAHLRLPFPSCPSPAPLLSRSPLPRWPPPLRALASGAPVRRGGVFGLDALLSTAELLCLAPPAICSVVCAARLVFSSGSASAGPPPLAGGRLLVLQYVLLVGAVAIGSLIRRRQSERLRPGGGAKDGIRAGLVERVEKVEESVRRMVAAVGVLSRTVEKLGVRFRVLRRTLRDPISETAALAQKNSEATCILAAQEVLLEKEIGAIQKVLYAMQEQQQKQLDLILAIGEASTILDGEQDMLDRDSARSSSVDPAPEIENKQAKISSGAVTCGNNKP >KQL00873 pep chromosome:Setaria_italica_v2.0:VI:5865774:5867982:1 gene:SETIT_015051mg transcript:KQL00873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METSILAASLQSPEHNPRPYTPSLWGDFFLTHQPCTPAELLSMKEKARGKEEEVRRIMLDAATTRGLVRKLDLVDALQRLGVDYHYKKEIDELLRAIYDDEDGGSDDLYVTSLRFYLLRKHGYNVSSDVFLKFRDEQGNITSDDVNCLMTLYDAAHMRTHGEEILDSIIPFNKSHLQSVMETDLEPELAEEVRFTLETTRFRRVERVEARHYMSVYEKKATRNEAILEFAKLDYNILQALYCEELKELTIWWKDFKSRTDLRFARDRLVEMYFWMMGVVYEPYYSYSRIMLTKLVMSVSLFDDLYDNYSTTEESQIFTTAMEKWDEKAAEKFPAYLRALYKNILGTTDEIVEELKLQNNKHAELVKKLVIDIAKCYHAEVKWRDENYVPTNIDEHLQISVGTSACMHITNLAFISLGDMTTKEAIEWAFTYPKIIRGVCIVGRIGNDIVSHEREQASDHVASTVQTCIKQYGVTVQEANEKLRIIIEKAWMDIVEECLDQKQSMELLEKAVNLGRTMDFMYKREDAYTLSFSLKDIIASMYVNFV >KQL02819 pep chromosome:Setaria_italica_v2.0:VI:34809667:34811259:-1 gene:SETIT_015137mg transcript:KQL02819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FCCTLHTPNLLTGGNARGKDQAASVFDVTEYGAAPSNRDNRDASAAFLAAWRAACGSTAGNSTLLFPKGTFAAGAVQFEGPCRNGDAPVVVIDGVLQPCAGGSGGGCRLSDDAWITFSGLNNLLVTGAGTLDGQGHHQSGKAKSKTTTLVFDGVTNSTLRGLRLVNSRGFHVNLRRCTRVVAEGLGIHAPAASRNTDGVHVGLSSHVRILNSVIGTGDDCVSVGPGSTDVVVRGVACGPGHGLSVGSLGKDGGEQDVVRGLVIENCTVTGTTNGVRIKTWAGSPPGAASNIKFQDITMDNVSNPIIIDQRYCPHDHCSDADKISDVTFRRIEGTSSTPLAVQLLCSEDRPCTGVRLDGINLTCGDVPCRSEFSNVRGPLAPAPAVAQAQAPSPAPAASRREEAADAASEQLGRSTWWSPFTVRG >KQL00326 pep chromosome:Setaria_italica_v2.0:VI:1397075:1398417:1 gene:SETIT_014531mg transcript:KQL00326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQNESIYILSVNFLCCTSTKQQQLSALCCQTLRALASRRPELEENQRAPPTTTEPMRKRACEEGRRVPMSSSSRRRVQPPPPPPPPPELRPAIEEAIRYVAAAEREFIEFAGKPGKVEEFHAVLDEYRLGRLSVAGVADRMELVLLGHPYLIRGINKFMPRGYVVRDLYCH >KQL00472 pep chromosome:Setaria_italica_v2.0:VI:2386084:2390523:1 gene:SETIT_013889mg transcript:KQL00472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKAKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLAIPAENFPFCTIEPNEARVNVPDERFDWLCQLFKPKSSVPAYLEITDIAGLIRGAHAGDGLGNAFLSHIRAVDGIFHVLRAFDDADVTHVDDTVDPVRDLETITEELRLKDIDFMSKKIEDLEKSMKRSNDKQLKIEHELCERVIKHLQDGKDIRLGDWKAADIEILNTFQLLTAKPVVYLVNMSEKDFQRKKNKFLPKIHAWVQEHGGETILPFSCAFEQKLVDMPEDEAAKYCAENQITRQVNSHYYTCVG >KQL00473 pep chromosome:Setaria_italica_v2.0:VI:2386084:2392411:1 gene:SETIT_013889mg transcript:KQL00473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKAKKDAAPAERPILGRFSSHLKIGIVGLPNVGKSTFFNIVTKLAIPAENFPFCTIEPNEARVNVPDERFDWLCQLFKPKSSVPAYLEITDIAGLIRGAHAGDGLGNAFLSHIRAVDGIFHVLRAFDDADVTHVDDTVDPVRDLETITEELRLKDIDFMSKKIEDLEKSMKRSNDKQLKIEHELCERVIKHLQDGKDIRLGDWKAADIEILNTFQLLTAKPVVYLVNMSEKDFQRKKNKFLPKIHAWVQEHGGETILPFSCAFEQKLVDMPEDEAAKYCAENQITSMIPKIIKTGFAAIHLIYFFTAGPDEVKCWQIRRQTKAPQAAGAIHTDFERGFICAEVMKFDELKELGSESAVKAAGKYRQEGKTYVVQDGDIIFFKFNVSGGGKK >KQL02882 pep chromosome:Setaria_italica_v2.0:VI:35094666:35097183:1 gene:SETIT_015722mg transcript:KQL02882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSFNICPQIDPIVITRVPGVALDTLFLVVIQALAVIFLARFLHLFLRRYNQPSAVSQILAGVAVGGMGLRNAIVHVDVDDVEDMYGGYIAEARVIYMFLVGLELDLAALRNSTRRCVALAYATVAASLLVAAVVSSGMYGSMMHSPVKTPELLAATLMLALTNTSSISVTRVAGELNLTASENGRLVVASAIITNLICVVGDGLLSSTTLAKERTQDLYRGSPQIKKGFLALALAGAAVWLVRPAVTRINQRNAGQHHVRGRDLAAMLLTVWLVTSIQQMLGFDGMPTSLALGMAFPREGPAARSVADALVPPVNGIVLPFYFATIGMRLDYNSMSGAIIVPGMLLTLLGLVGKAVGAASASTYLNIPVSDALRYSFLLNVKGHVDTMNMKFAKTEGVWAEQALYAMIIGNLISTLIAGPAAAAVLRREKEAYRTRHQAMESLGPEQELRMLACLHGAHAAPGIFSLVELLVSAPQEQPAVPVLHFFEAPRDLAAVRTPYHQQARGDEDKGGGPDAVTQMNRVVDVFARATGISFRQVDVVSLGAARDAAAACRAAEDAHAGLLLVPCYKEQRFDGRMACRLEERWWLNQEVLARAPCTVGLLVDRPYRGTGTSFQTPIGVAPESGRTLVHPCSDRTVTHVVAAVFLGGPDDREAVSFASRLAENPAIGLTVFRFVKRSTYDAVTSSTSRKGGEEDVEFQDGGVDERFLWRFYENYASREMAMYVEKMVEGPADVVETLEGMAGMFALVVVGRGGRQPVELIAGLERWAEAGTEIGPVAEILASNESLEMGSVLVMQQHSVALTPPCQ >KQL00238 pep chromosome:Setaria_italica_v2.0:VI:720968:721441:-1 gene:SETIT_014713mg transcript:KQL00238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLMARTGADPCFFDRLANLWSVRLSTANWLRGLREDEGEGCSRMRRVGGGGGRLDAAGGDVRPVTRRDVFAGVWRTGARAQPPATLVLDDMRICTRRPEAS >KQL01107 pep chromosome:Setaria_italica_v2.0:VI:8341885:8344893:1 gene:SETIT_014187mg transcript:KQL01107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYELICHREVHVWYLLPDELNDASQLKMYMDLLSPSERKAALAMNGEKFQKGAVLSRALVRTTLSRYTDCKVDPRSFEFKKNKFGKPEILWQPDDNRMGWPLHFNISHTSSLIACGVTMDTPIGIDIEEKKRKTKKNFLSLARRYFTPSEVDYLDKIPDPDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIVLAAKNGIQISVAPKVFNDSDSCDCLSENWQFALAELNNSHYMAVCVEDDSRSSDSGNGRRLPLALKIWKTVPFLEDTLVSGTEAVTLIS >KQL01108 pep chromosome:Setaria_italica_v2.0:VI:8341885:8344893:1 gene:SETIT_014187mg transcript:KQL01108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYELICHREVHVWYLLPDELNDASQLKMYMDLLSPSERKAALAMNGEKFQKGAVLSRALVRTTLSRYTDCKVDPRSFEFKKNKFGKPEILWQPDDNRMGWPLHFNISHTSSLIACGVTMDTPIGIDIEEKKRKTKKNFLSLARRYFTPSEVDYLDKIPDPDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIVLAAKNGIQISVAPKVFNDSDSCDCLSENWQFALAELNNSHYMAVCVEDDSRSSGHDADSGNGRRLPLALKIWKTVPFLEDTLVSGTEAVTLIS >KQL01498 pep chromosome:Setaria_italica_v2.0:VI:20653315:20653962:-1 gene:SETIT_015259mg transcript:KQL01498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTAAEVTVSAAAATTVATKCHMFKIEGYKRIKTMYANGRSIDSCGFEAAGRKWRIQFFPNGDRLVNAGFVSIILKLEDDATAAAGKDNKDILVEYRFSLVCHRDKPVRRTHDETYTATFNKARKAFGCGQFLSRDYLEKSEFLRDDCLAVRCDMAVLDNPVNVKEQAAQAHDLERLGVTCDCKDDMCKSYHLRGTTSSFREALVKLFLGCFHV >KQL02304 pep chromosome:Setaria_italica_v2.0:VI:31611288:31612307:1 gene:SETIT_014584mg transcript:KQL02304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAAKKPAEEEPAAEKAEKTPAGKKPKAEKRLPAGKSAGKDGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KQL01322 pep chromosome:Setaria_italica_v2.0:VI:15368025:15370679:-1 gene:SETIT_015224mg transcript:KQL01322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFESLSRRDKSLQLINKDIKPLSFMDRLKGGCDDIENLEEMIDVERDLERYQRDTLRKIRPQQIYQMGWFENKNGLYRISREVELSVLTEPVQLRIVSKQFENGLKYSGYKYIHQGMYIIGIKGMTRKKLGTKVLITLLDKRWDSVNKAALGFLEGDMNENMLITYIAPDLIMPIKEFIDKMAIGFQTKGYEDFKGTNLLVSIEFVGRLTNRSATKYKVNVNNVIESMQSKGIKFMSPLKISSEERAGEEWNISALIEPKIFKQPKDYVSYENSKGKTSIRFVNYKERSLDDLEVSTSESNIGEARRHSVCEFMEKLDIDNEIKHYEKKLSKVQDEYNTSMICEWTAIREKELYFRRELYRLNKIKKERELNNKKINMPMIKHEPTLQHVKMVKEKEEVVISEEDQWEINNKILLESYEEEDEDIIEIHSSKSDSHILSLRNEELYNKDTAIEAMDIDPSPSKRRREQERDIKIEGETDRPTRKPGNWPPEKEEPTYTYIPGQYKHMGSKRREFERTVQFQNYRSDGAILNLAAHDPIDWPNIISIWKSLIVQKYIQNQHNIGSREEDMIIYLETFLGESVKVLWEQWVETYPHYYEELKRAGSNPYNFANIISSIVIDEDPELGYTALQNERLKEIEKLTLTNWKGIKEFSQHYLYNATTAKQGYNKSIVERYFNKLPDPLGSMIFEEYKKESNGREYNISQAITFVFKQLRKICTSIQAQRSMKQSDYNFCNKKVQIPLTYGEDKYRNKKYPKNYKKRNVKTKKRYFLRRLDNRAPFLHKRNVRRYNPRKNYDSTCRCFICNSPDHLSKTCPNKDKKRYSNNQEEQEKVLIIDSVNENILVCDDDIMDDESIYSIIETDEIEYNE >KQL02135 pep chromosome:Setaria_italica_v2.0:VI:30205499:30206688:1 gene:SETIT_014632mg transcript:KQL02135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSWALITHLHTVAGPSITLLYPLYASVCAMESPSKVDDEQWLSYWIIYSFITLLEMVAEPVLYWIPIWYPVKLLFVAWLVLPQFKGASFIYEKLVREQLRKYRARHLRTGAAAAAADDQKVHIAKTEGDHLQ >KQL02134 pep chromosome:Setaria_italica_v2.0:VI:30205640:30206461:1 gene:SETIT_014632mg transcript:KQL02134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSWALITHLHTVAGPSITLLYPLYASVCAMESPSKVDDEQWLSYWIIYSFITLLEMVAEPVLYWIPIWYPVKLLFVAWLVLPQFKGASFIYEKLVREQLRKYRARHLRTGAAAAAADDQKVHIAKVD >KQL00415 pep chromosome:Setaria_italica_v2.0:VI:1919706:1923379:-1 gene:SETIT_013767mg transcript:KQL00415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFLQVLRPLQTLSLTPAPTIPTPLRLLSSSSSAAASSDSDSTPAPAPPPAADADFDSAEYDLPTPGPAPSRKINNPVSALRKLRFDPSLRARADEVLFGERMGGAVVVEEDEERSRDVALALLDAAMEPPDEDEDAGEVREEDQMSLSVGIVGAPNAGKSSLTNTVVGTKVAAVSRKTNTTTHEILGVLTKGNTQICFFDTPGLMLGHHGFPYRDVTVRVESAWSSINLYDVLIVMFDVSRHLNMPDSRVIKLIKRLGTEVNPNQRRILCMNKVDLVEDKKDLLKVAKEFEDLPGYERYFMVSGLKGKGVKDLVQYLMEQAVRRPWDEEPTVMTEEVMKTISLEVVREKMLHHIHQEIPYVIEHRLMDWKELKDGSLRVEQHFITPKQSQRQILVGKNGSKIGRIGIEANEELRSIFKKNVHLILQVRVAKRRSA >KQL01384 pep chromosome:Setaria_italica_v2.0:VI:18328988:18332789:1 gene:SETIT_013951mg transcript:KQL01384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSAAAGVKMVAARPCISASQGMLASRAAVSRIETTARFASCPKIFCSRPLSSKRTGFVVRAMSGESGPQALPIDLRGKRAFIAGVADDNGYGWAIAKALAAAGAEILVGTWVPALNIFETSLRRGKFDESRKLPDGSLMDIVKVYPLDAVYDSPEDVPEDVKSNKRYAGSSNWTVKEVAESVKNDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSYVSLLQHFLPIMNPGGASISLTYIASERAIPGYGGGMSSAKAALESDTRVLAFEAGRKGKIRVNTISAGPLGSRAAKAIGFIEKMIEYSYVNAPLQKELLADEVGNTAAFLVSPLASAITGSTVYVDNGLNTMGLAVDSPTITS >KQL02919 pep chromosome:Setaria_italica_v2.0:VI:35280389:35281902:-1 gene:SETIT_014225mg transcript:KQL02919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAVAPTLAAAAASSSTTSAAAASSVAPNPTTRGDPLSSRCCRIAAPSPLVPPLAATRRLLGHGCRAAPLVASPHQARNPRLRFAAAATAAEGMAAEASTADAASAAEAKPFAVLFVCLGNICRSPAAEAVFRNLVSKRGLDSKFHIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPIKPSDFRDFDLILAMDRQNYGSLSGPVTCVSVSLDFFYVCFLMHFF >KQL02918 pep chromosome:Setaria_italica_v2.0:VI:35278324:35281902:-1 gene:SETIT_014225mg transcript:KQL02918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAVAPTLAAAAASSSTTSAAAASSVAPNPTTRGDPLSSRCCRIAAPSPLVPPLAATRRLLGHGCRAAPLVASPHQARNPRLRFAAAATAAEGMAAEASTADAASAAEAKPFAVLFVCLGNICRSPAAEAVFRNLVSKRGLDSKFHIDSAGTIGYHEGNKADSRMRSASKKRGIEVTSISRPIKPSDFRDFDLILAMDRQNYEDILNSFERWRHKEPLPDSAPNKVKLMCSYCKRHTESEVPDPYYGGPQGFEKVLDLLEDACESLLDSIVADNANISG >KQL02274 pep chromosome:Setaria_italica_v2.0:VI:31377182:31377580:-1 gene:SETIT_015037mg transcript:KQL02274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVNNMSSMLMVMAVVVLGTASTATAASGVAMFYDKYTPSAFYENMDMGNMVAAASDSFWNNGVVCGQCYRV >KQL00609 pep chromosome:Setaria_italica_v2.0:VI:3447316:3449190:-1 gene:SETIT_015591mg transcript:KQL00609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLSFDDSKRLLFKRSFGSENLNHGTHLGSVPDEILRKCDGLPLAIITISSILRDQHTKNEWDRVLNDIGSAFAKNPAAGNMTTILSLSYFDIPRHLKTCLLYLSVFPEDYEIEKQRLINRWIAEGFVHEEEGRTKYEIGESYFNDLTNRSMIQPVDIKYGEAKACRVHDIILDYIKCEAVKENFVTSLDAAEHAYTSEYKVHRLCVKNGDEEHVSLWQSQILSHVRSVTVFGQPVKTSLLPSTALRVLDLGDCGGMTEHHIASIGTLFHLKYLRLCSRSITKLPQEIGELQHLQTLDVRGTRIDELPPTIIKLQHLAYLYVNHHTRFQDGMIGRMHSLEELRQYGVLYKQRKSLQEFSNLTKLRTLEIRLQCYLFNCSGGRPDAIFSYFGTLLSSCNLHNLSVSDYQTGRYALLLDPWHPTAPCCLRKLSITSCCVYKVPNWMGSLGNLEVLELLFICVRPEDVEILGAIPSLLFLELTTTGGSNRRIFIHGNNGFRILKYFSLFITGCGTSLEFEEGSMPKLEHIKLALPVHNMECLNGASNFGIQHLSALSKIEVKISGNHISDTNYDPMNDNDDGIIRYVAAAIDAAVNTLPNRPTIRFETEHRVHCEHFECVSCPSEL >KQL02385 pep chromosome:Setaria_italica_v2.0:VI:32162347:32162833:1 gene:SETIT_015851mg transcript:KQL02385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQHSVSRRVSFRSPDESDVFIIPARSEWDDEVDEDDD >KQL01027 pep chromosome:Setaria_italica_v2.0:VI:7456133:7459103:-1 gene:SETIT_013932mg transcript:KQL01027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPENNKQQQPGRPSSSVSANAAAAAANDAAASAAAAASASSGSGAGDGNAYTSFQGLLALARITGSHSDETRGSCKRCGRVGHLTFQCRNFLSVKDLDLDYADAEAAAQAAALAKFREIKKKAAVGGDADGVSDDEEEEDDDSDSSDSDVDPELEKIIAERERARNGGRRSKEEEKKSSHHRRSRSSKRKSKHARSRKSDDSEEEGTRGREKKKRASRSKKHERSDEDSSDSDSDRKRHRKSRKDRNRHKSHRRSVDSSDEEDVSGGEERRRRRRHHKRRHHKRDASDSDTGGSESADEKKRSGKRRRHRRSENNGLDEDERHGHRGAKRSEEKSRDRKKN >KQL02133 pep chromosome:Setaria_italica_v2.0:VI:30177136:30184795:-1 gene:SETIT_013901mg transcript:KQL02133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEADLELESFGFDEHDHAHHHHHHHGHHHHHDGMETSPMGVWLSAMGCSLLVSMASLICLVLLPVIFFQGKPSKAMVDALAVFGAGAMLGDSFLHQLPHAFGGGHSHSHDHEGHDHAQEHAHAHSLKDLSVGLSILFGIVLFFIVEKIVRYVEDNSQNGAHSMGHGHHHHHKRHDSSDKAKLNHQKSDGDGSLHESEATIRKRSSSGSTKATDGEPANSENHPAPDKALSSDVSSTSNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLQGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFSVSKALFFNFLSALVALAGTALALSLGKDPGHSSLIEGFTAGGFIYIAVAGVLPQMNDQKTTLKSSVVQLISLAMGMLVALGISLVE >KQL02132 pep chromosome:Setaria_italica_v2.0:VI:30177727:30184433:-1 gene:SETIT_013901mg transcript:KQL02132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEADLELESFGFDEHDHAHHHHHHHGHHHHHDGMETSPMGVWLSAMGCSLLVSMASLICLVLLPVIFFQGKPSKAMVDALAVFGAGAMLGDSFLHQLPHAFGGGHSHSHDHEGHDHAQEHAHAHSLKDLSVGLSILFGIVLFFIVEKIVRYVEDNSQNGAHSMGHGHHHHHKRHDSSDKAKLNHQKSDGDGSLHESEATIRKRSSSGSTKATDGEPANSENHPAPDKALSSDVSSTSNSNLVFGYLNLFSDGVHNFTDGMALGSAFLLQGSVGGWSRTLFLLAHELPQEVGDFGILVRSGFSVSKALFFNFLSALVALAGTALVSSYPFLPTPK >KQL00594 pep chromosome:Setaria_italica_v2.0:VI:3333021:3338198:1 gene:SETIT_013477mg transcript:KQL00594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMQEIKTESGCLTASCSCPPRVLPSPLTRPLPPSHPPPPPPVDSSEIEISSSGGPTPARRRLKVPCRRNLRSQPRFCRMEGEAEIVVGSCSKPCGPLEEYHIPDYILKPDAQQVIVDHAPRCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYVNLERLKMEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLAHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAKEIKIDSWHIILRMRVPKEGPCDPIAPLELPHSLHAFHRVSSGDSLNMEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFKNQLTNQGTYAKLGLKQGWFCASLSQSSSRNLAQLAKVKVMKRPGSHWEELQIHHSIRSIVCLNLPSFSGGLNPWGMPGTRRAADREFTAPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRIAQAHRIRFEFHKGVADHTFMRVDGEPWKQPLPTDDDTVVVEISHLGQVSMLANEPCRSKSVSDPSSLPLPAQDSHGDGKDPAEEEDEWEDGRRKFGAADTFRLPDEIDIAHLS >KQL00595 pep chromosome:Setaria_italica_v2.0:VI:3333021:3337278:1 gene:SETIT_013477mg transcript:KQL00595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMQEIKTESGCLTASCSCPPRVLPSPLTRPLPPSHPPPPPPVDSSEIEISSSGGPTPARRRLKVPCRRNLRSQPRFCRMEGEAEIVVGSCSKPCGPLEEYHIPDYILKPDAQQVIVDHAPRCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYVNLERLKMEGDILAVQIWRTLRLIVAGGDGTASWLLGVVSDLKLAHPPPVATVPLGTGNNLPFSFGWGKKNPSTDQEAVKSFLGLVKHAKEIKIDSWHIILRMRVPKEGPCDPIAPLELPHSLHAFHRVSSGDSLNMEGYHTFRGGFWNYFSMGMDAEVSYAFHSERKRNPEKFKNQLTNQGTYAKLGLKQGWFCASLSQSSSRNLAQLAKVKVMKRPGSHWEELQIHHSIRSIVCLNLPSFSGGLNPWGMPGTRRAADVGSHFLISVNLSCMNCKTR >KQL00476 pep chromosome:Setaria_italica_v2.0:VI:2396267:2397188:-1 gene:SETIT_014601mg transcript:KQL00476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMISSSTLAAPARAQGLPSLGRRASSFAVVCGTGKKIKTDKPFGIGGGLTVDVDASGRKVKGKGVYQFVDKYGANVDGYSPIYKEEDWSPSGDVYVGGKTGLLIWAITLAGLLGGGALLVYNTSALSG >KQL00474 pep chromosome:Setaria_italica_v2.0:VI:2393536:2397273:-1 gene:SETIT_014601mg transcript:KQL00474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMISSSTLAAPARAQGLPSLGRRASSFAVVCGTGKKIKTDKPFGIGGGLTVDVDASGRKVKGKGVYQFVDKYGANVDGYSPIYKEEDWSPSGDVYVGDL >KQL00475 pep chromosome:Setaria_italica_v2.0:VI:2396567:2397188:-1 gene:SETIT_014601mg transcript:KQL00475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMISSSTLAAPARAQGLPSLGRRASSFAVVCGTGKKIKTDKPFGIGGGLTVDVDASGRKVKGKGVYQFVDKYGANVDGYSPIYKEEDWSPSGDVYVGGK >KQL00478 pep chromosome:Setaria_italica_v2.0:VI:2396677:2397273:-1 gene:SETIT_014601mg transcript:KQL00478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMISSSTLAAPARAQGLPSLGRRASSFAVVCGTGKKIKTDKPFGIGGGLTVDVDASGRKVKGKGVYQFVDKYGANVDGYR >KQL00477 pep chromosome:Setaria_italica_v2.0:VI:2395864:2397273:-1 gene:SETIT_014601mg transcript:KQL00477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMISSSTLAAPARAQGLPSLGRRASSFAVVCGTGKKIKTDKPFGIGGGLTVDVDASGRKVKGKGVYQFVDKYGANVDGYSPIYKEEDWSPSGDVYVGAPSPAKKIHTQSMPHALAVRVRSARVSVCNLASFDAQYNAWFM >KQL01826 pep chromosome:Setaria_italica_v2.0:VI:26954359:26955021:1 gene:SETIT_015300mg transcript:KQL01826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSKIPDRRHHLIHSAGNGFIIDGACGAAYHFVTGLHGSAGATRDLKAACSTVLCAVDTALPLARRREDSWNRIAVSATALALLDARWGGTRGAPLSALAGAAAVAAPWGIAWYISEWHSRLVCRRVAWMYYDLPRPTVPEPPKASIEYRKGVSFPTCNNNIVVIIDTNLED >KQL02513 pep chromosome:Setaria_italica_v2.0:VI:32970464:32975467:1 gene:SETIT_013525mg transcript:KQL02513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVHLPVSHSSHTESSTERDVGEKNRGGKNQEKKIYHAPLPPFPCSIPREEFAPKSIDLLRPVHRSVDRSARGSGLLGGSDLVFASSGEEPEEEMNGAGGGHQQQQQRLRQQQQQQQQALLMQQALQQQQQYQSGVLAAAAAAAMTQMEPISNGNLPPGFDPSTCRSVYVGNVNPNVTESLLIEVFQSAGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHIYGQAIKVNWAYASTQREDTSGHFHIFVGDLSSEVNDATLYACFSAYPSCSDARVMWDNKTGRSRGYGFVSFRNQQEAENAITEMTGKWLGSRQIRCNWATKNNSEEKPETDNHNAVVLTNGGSSNSATDASQDGGSKENPENNPDCTTVYVGNIGHEVNRDELHRHFYNLGVGAIEEIRVQQEKGFGFVRYSNHGEAALAIQMANGLVVRGKPIKCSWGTKPTPPGTASKPLPPPVAPYQPAVAMPGVPQGFTAAELLAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAGSQALYDGYPNQSSAQQLMYYN >KQL02514 pep chromosome:Setaria_italica_v2.0:VI:32972036:32975467:1 gene:SETIT_013525mg transcript:KQL02514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPISNGNLPPGFDPSTCRSVYVGNVNPNVTESLLIEVFQSAGLVERCKLIRKEKSSFGFVDYYDRRSAALAIMTLHGRHIYGQAIKVNWAYASTQREDTSGHFHIFVGDLSSEVNDATLYACFSAYPSCSDARVMWDNKTGRSRGYGFVSFRNQQEAENAITEMTGKWLGSRQIRCNWATKNNSEEKPETDNHNAVVLTNGGSSNSATDASQDGGSKENPENNPDCTTVYVGNIGHEVNRDELHRHFYNLGVGAIEEIRVQQEKGFGFVRYSNHGEAALAIQMANGLVVRGKPIKCSWGTKPTPPGTASKPLPPPVAPYQPAVAMPGVPQGFTAAELLAYQRQLALSQAAAGQIAGQHGLAGQVSAGLLAGSQALYDGYPNQSSAQQLMYYN >KQL02949 pep chromosome:Setaria_italica_v2.0:VI:35468544:35474253:1 gene:SETIT_013546mg transcript:KQL02949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAHLFGLGDAQMQPRPPQQQAAPPPPAAPPAPKKKRNQPEDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPTCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARVPPIGAGMYGAGGMAFGLSGMAASQLQSFQDQAHSSATTAISGNPAAQFEHLMPTSTSFRGAQPASSSSSPFYLGGAEDGNQSQPGHTSLLHGKPAFHGLMQLPEQHGQPGSNGLLNLGFFSGASSGGQDARLVFPGQFNGAAGGNGRGDGGEHGNSSANTESAAIFSGNLMGNQMTGGGGGGFSSSLYNSAGTVAPPQMSATALLQKAAQMGATSSGGGGGSANSLLKGLGSGGALNGRAAGAAGFMAGESSSRSASQAENESQFRDLMNSLAASGSSGFPGLDDGKPSTRDFLGVGGGVVRSMGGAAGLPLRHGAAGIGMGSLDPEMK >KQL02948 pep chromosome:Setaria_italica_v2.0:VI:35468544:35473950:1 gene:SETIT_013546mg transcript:KQL02948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAHLFGLGDAQMQPRPPQQQAAPPPPAAPPAPKKKRNQPGNPKDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPKETRRRVYLCPEPTCVHHDPSRALGDLTGIKKHYCRKHGEKKWKCDKCNKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQENARVPPIGAGMYGAGGMAFGLSGMAASQLQSFQDQAHSSATTAISGNPAAQFEHLMPTSTSFRGAQPASSSSSPFYLGGAEDGNQSQPGHTSLLHGKPAFHGLMQLPEQHGQPGSNGLLNLGFFSGASSGGQDARLVFPGQFNGAAGGNGRGDGGEHGNSSANTESAAIFSGNLMGNQMTGGGGGGFSSSLYNSAGTVAPPQMSATALLQKAAQMGATSSGGGGGSANSLLKGLGSGGALNGRAAGAAGFMAGESSSRSASQAENESQFRDLMNSLAASGSSGFPGLDDGKPSTRDFLGVGGGVVRSMGGAAGLPLRHGAAGIGMGSLDPEMK >KQL00407 pep chromosome:Setaria_italica_v2.0:VI:1857483:1857803:-1 gene:SETIT_015989mg transcript:KQL00407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRNNTLGGSFVNYGGYLGYTRNDPNFACFSSVKLTVTVKQIIA >KQL02009 pep chromosome:Setaria_italica_v2.0:VI:29017014:29020796:-1 gene:SETIT_015802mg transcript:KQL02009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSLLEAGCSSSSPSRGLLVAGEGGGWSPGDGGHGRCMGELARREAESGTIPEELFKNQFLEQVYLHSNQLSGSIPFSVGEMTSLTSLWLHDNMLSGVLPASIGNCTKLEVLYLLNNQLSGSLPETLSKIEGLRIFDATNNSFRGEIPFSFENSCKLEIFILSFNQIRGEIPKWLGNCSSLTQLAFVNNSLSGQIPPTVGLLRNLTYLLLSQNNLSGPIPTEIGNCELLLWLELDANQLEGTVPKELANLRNLQKLFLFENHLTGEFPENIWGIQSLQSVLVYRNGLTGKLPSVLAELKFLQNITLFDNFFTGAIPPGLGANSRLVKVDFTNNSFVGGIPPNICSGKTLRILDLGSNHLTGSIPSGVSNCPSLERFILQGNNFSGHIPEFRNCKGLSYIDLSRNACWCHVCMAVCLYCASQSVSGASVPPFQSAQCLTSLWLHDNMLSGVLPTSIGNCTKLEVLYLLNNQLSGSLPETLSKIEGLRIFDATNNSFRGEIPFSFENSCKLEIFILSFNNIKGEIPSWLGSCRSLTQLGFVNNSLSGKIQTSLGLLSNCTHLLLSQNSLSGPIYPEIGNYRMLEWLELDANQLEGTLFLFENRLVGEFPENIWSIPTLESIHIYGNSFTGKVPSVVKPLRRGSESSSTG >KQL02068 pep chromosome:Setaria_italica_v2.0:VI:29677582:29680240:-1 gene:SETIT_013654mg transcript:KQL02068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPWRSLLCCVSGGGAAAGEEDAQSPPPRRSRSARKERDDNRERRQLLPASSSSSASRVSLSGLDSSGPLTPEDLSMTLSVSGSDLHAFTRAELRAATAGFARANYLGCGGFGPVYRGRLGAGLRPGLDAEREVAVKYLDPDCGTQGHREWLAEVFFLGQLRHGNLVKLVGYCYEDEHRMLVYEYMANGSLEKHLFKSLDGSMPWMRRMEIAVGAAKGLAFLHDADTPVIYRDFKASNILLDEDYTTKLSDFGLAKDGPQGDATHVTTRVMGTNGYAAPEYIMTGHLTAKSDVYSFGVVLLELLTGRRSVDRARRPREQSLVDWARPYLKKSDKLYRVMDPAMECQYSCKGAERAAMVAYKCLSQNPKSRPTMREVVQALEPILKMDDYLQIGPFVFTVIVEDINEKYEGKGEMADGETVNMRIETTVEEKRQSHQDRHRQKFPNSAVHADVVLHRDGELGTHISALRRHRRTSSYVKERGA >KQL03037 pep chromosome:Setaria_italica_v2.0:VI:35905755:35906390:-1 gene:SETIT_014573mg transcript:KQL03037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADWRSQLQPAARNRIVNKIMETLTKHIPVPPPLPEDLIELRKIAVRFEDKMYAAASSQSDYLRKIALKMLAVETYTKTQHNPGNAQVSPILKPSDPGTIHNTSYVALCAIYIFALFFFPYQENDYE >KQL03038 pep chromosome:Setaria_italica_v2.0:VI:35905755:35906390:-1 gene:SETIT_014573mg transcript:KQL03038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADWRSQLQPAARNRIVNKIMETLTKHIPVPPPLPEDLIELRKIAVRFEDKMYAAASSQVLANSAPANSYPIIDKPQLQYLFFCTAQSDYLRKIALKMLAVETYTKTQHNPGNAQVSPILKPSDPGTIHNTSYVALCAIYIFALFFFPYQENDYE >KQL00219 pep chromosome:Setaria_italica_v2.0:VI:606399:607578:1 gene:SETIT_015479mg transcript:KQL00219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHSCLVVGKKRRRWGAGGAGEMVRRAEIGEEEEAAAAAVPAIRGEWRFLGPLRGSRIVCPALLPLRPERGSAFVPLPRVNQADTDGLARTRTWRASPLASGTSRSISITRMPSTIILLLVPMFNFCLMFGHAGHLVVNTPMLPTKFLYLKHLSIDMGSGLSFSPAYDYFSLVSFLDASPSLETLTLNVRRMLISQHSMDHESVFGDSSHFRQMPRHCHSCLKSVKISGFSSANSLVELTCYILNNAVSLECLTLNTVYGFRCSDEGCKGCHPISNGVLKEAPRAAMAIRTCIEDKVPSAVKLTVLGPCRECHGRTDGRHNKM >KQL02714 pep chromosome:Setaria_italica_v2.0:VI:34113595:34114561:-1 gene:SETIT_015203mg transcript:KQL02714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRREIPPFLVVLLTLLSAATASLPSEALSVRGHHRLKSKTFMSPAILLRPGSVSNKWYLDIVFPRGHIALKSFNAEVVDEHGAPPYFAAKDAAEARGLPTTIPARNSGVCARTLGQYYGPGSEARRTATWVPDPYAVEAGDPAAPPEGYEELWSLNVHAIDTRGAADRLGCTECRCCWLCPGTASLFFSHSPAQGRR >KQL00778 pep chromosome:Setaria_italica_v2.0:VI:4874263:4877352:1 gene:SETIT_013761mg transcript:KQL00778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIRSLQERGLPSLFFSRSSPRVRALSRRRLGDLSRRPEPGAQVLVRNFAGDEHPPTRMGSSEKTVSTYGEYTYAELEREPYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTQGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTERFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKACLSLSC >KQL00777 pep chromosome:Setaria_italica_v2.0:VI:4874263:4877951:1 gene:SETIT_013761mg transcript:KQL00777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIRSLQERGLPSLFFSRSSPRVRALSRRRLGDLSRRPEPGAQVLVRNFAGDEHPPTRMGSSEKTVSTYGEYTYAELEREPYWPSEKLRISITGAGGFIGSHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTQGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRVGRFHNIYGPFGTWKGGREKAPAAFCRKAQTSTERFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFEDRKLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRFTYFWIKEQIEKEKTQGVDIAAYGSSKVVSTQAPVQLGSLRAADGKEGL >KQL01529 pep chromosome:Setaria_italica_v2.0:VI:21280783:21281050:-1 gene:SETIT_014785mg transcript:KQL01529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCFTPLHVDAGEMNEPLHMVKCRIMYATPVKNFTKLQCQETMSELNISKCSATAFRTSAHTHTQKKKNQRY >KQL00546 pep chromosome:Setaria_italica_v2.0:VI:2926690:2927898:-1 gene:SETIT_014424mg transcript:KQL00546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYFLLAAFLAMVTSQAIASDPGPLQDFCVADIHSPVKVNGFVCKDPMAVNADDFFKAANLDKPMDTKKSKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNKLFAKVLNKGDVFVFPQGLIHFQFNPNPHKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHN >KQL02445 pep chromosome:Setaria_italica_v2.0:VI:32589276:32589772:-1 gene:SETIT_015969mg transcript:KQL02445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPGNPIIFTKSIRLVFSVCPGQRFRFSDPSGTACCLFIAPVSVLV >KQL01359 pep chromosome:Setaria_italica_v2.0:VI:17535559:17540530:-1 gene:SETIT_013373mg transcript:KQL01359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFACSGIPLPHGRTRESLTTFVTSAHLVRQFNENRNKDDKLRVAVRLPNNRTTDGFLGLYDKDIAIVTCYGFLGVRPIDLDLMATPSPGDSVQAAGRAFNSGSLMAMRGSLYEKRHLQNLDHLNTWGSDSQDISKAVLGGPLLGCDNKILGINLDICDPGDANLRCTFLSMDLLCKRLKHFQILNPKKLHFRGYTLPKHVSSVVPSGFMQTICRLKSCGYPIPPPLVLEFNGQLLNHFEECFGELLPWKGYPYHDTPRGSGERVWNQLPKEIVTDVSRRVVSLASFNGYVRSFACTGLLIKWHGSKAKHTVILTSASLVRGRCNEDSIDNSLTIEVFLPPNQRAGGTLEFYNLDYNIAIVSLKKNFSAIHPDDIFIESAQNSSKKVVAIGRDAKFGLLMAASGEAKRGNRGCKLDCKDVQVSTCKIKKAGIGGPLINLNGSFVGMNFYDGSGVTPFLPRHKIVEVLSGVNSLPSECGYNHPIPVNVGGGTKNGIQKNRWPVPEPYWYHGSLDVNIRDHLGGKHIGRRLQ >KQL01360 pep chromosome:Setaria_italica_v2.0:VI:17535838:17540312:-1 gene:SETIT_013373mg transcript:KQL01360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAKALRNKGGTTDYTKGVSREQRAINRRNKLESSLVSSGINYPKSCSDKRAWKRMKKEMFEYQEAHLAFRKFEENQCAIAVQRFGEPFRDREVSNIAFEERSFGEIVKPGQITYPISTNYVVDLSSLVVSLALFDGDKMLFACSGIPLPHGRTRESLTTFVTSAHLVRQFNENRNKDDKLRVAVRLPNNRTTDGFLGLYDKDIAIVTCYGFLGVRPIDLDLMATPSPGDSVQAAGRAFNSGSLMAMRGSLYEKRHLQNLDHLNTWGSDSQDISKAVLGGPLLGCDNKILGINLDICDPGDANLRCTFLSMDLLCKRLKHFQILNPKKLHFRGYTLPKHVSSVVPSGFMQTICRLKSCGYPIPPPLVLEFNGQLLNHFEECFGELLPWKGYPYHDTPRGSGERVWNQLPKEIVTDVSRRVVSLASFNGYVRSFACTGLLIKWHGSKAKHTVILTSASLVRGRCNEDSIDNSLTIEVFLPPNQRAGGTLEFYNLDYNIAIVSLKKNFSAIHPDDIFIESAQNSSKKVVAIGRDAKFGLLMAASGEAKRGNRGCKLDCKDVQVSTCKIKKAGIGGPLINLNGSFVGMNFYDGSGVTPFLPRHKIVEVLSGVNSLPSECGYNHPIPVNVGGGTKNGIQKNRWPVPEPYWYHGSLDVNIRDHLGGKHIGRRLQ >KQL02419 pep chromosome:Setaria_italica_v2.0:VI:32397047:32400284:-1 gene:SETIT_013352mg transcript:KQL02419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARDNMEGREEKKGVGSDYVPARDSFSSQGESRVPRKLSKKETKEYSPRTTKSSASRQAQNKLQHKGLNNVQNKSQKQKKTVSATKAVEVRKPDIARVPSRPPSEFSEETDDVISDAGTIDDKGNEEAKEIDVLDEAPHCDQSTGTDDDIPDIEEKIVHHDKSVVGQGNEESESRIDKLEQELREVAALEVSLYSVVPEHGSSAHKLHTPARRLSRLYIHASKFWSADKRASVAKNIASGLVLVAKSSSNDASRLTFWLSNTVVLREIIAQTFGISCVTPTTATMSMDGGAKKLDGKPMTMLWRNSSNGKQAKLAAMQMPDDWQETSTLLASLEKIESWIFSRIVDTVWWQALTPHMQTPAEGSSTPRAGRVLGPALGDQRQGTFSVNLWKAAFHDAFSRMCPLRSGGHECGCLPVLAKLVMEQCVARLDVAMFNAILRESASEIPTDPISDPIVDPKVLPIPAGDLSFGSGAQLKNSIGNWSRWLTDKFGIDDDDSDIGNDDDERRGAAESKSFQLLNELSDLLMLPKDMLLEKSIRKEVCPSIGLQLVTRILCNFTPDEFCPDPVPSTVLEELNSESLLERCTDKDVISAFPCIAAPVVYCAPSTLDAAEKVADIGGGAKLDRKASLVQRRGYTSDDDLDDLDSPLASLIDRSAPPSPSNGIAHFSAQRGASMENARYTLLREVWSERR >KQL01851 pep chromosome:Setaria_italica_v2.0:VI:27109268:27109747:-1 gene:SETIT_015885mg transcript:KQL01851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPLLGFGSRAELDVSMQHVGAASQKKPGPYIVFRYHAGSYVSEL >KQL01535 pep chromosome:Setaria_italica_v2.0:VI:21293368:21297120:-1 gene:SETIT_013550mg transcript:KQL01535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQPIPATLAGAATRRSSGEAPFAPRLPRRVLAPIRADSPPISISSSASSAAPSRPPKPPVCTADELHYAPVGGAGWRLALWRYRPPRNAPVRNHPLMLLSGVGTNAIGFDLSPGASFARHMSSQGFDTWIVEVRGAGLSIREYENSAASGSVTFQDASGSIQPLDKQGAFEAASLQSSSGYASDCDDLGIVALDEPPLLAELSNFFDRISKLMEEAATNGNFHEITEKVSVLSEMVESSTIIGPVREESLRLLKNFQDQLDSWERFVSTQMDLTSEYNWDFDHYLEEDIPAAVEYIRQHSKTKDGKLLAIGHSMGGILLYAMLSRSGFEGVPSNLAAIVTLASSVDYTTSNSSLKLLLPLAHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNPQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFREGGLCNRNGTFSYKDHLRECQTPVLALAGDKDLICPPEAVYETAKLIPKHKVKYRVFGKPQGPHYAHYDLVGGRLAIDEVYPCIIEFLSRHD >KQL01533 pep chromosome:Setaria_italica_v2.0:VI:21293391:21297137:-1 gene:SETIT_013550mg transcript:KQL01533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQPIPATLAGAATRRSSGEAPFAPRLPRRVLAPIRADSPPISISSSASSAAPSRPPKPPVCTADELHYAPVGGAGWRLALWRYRPPRNAPVRNHPLMLLSGVGTNAIGFDLSPGASFARHMSSQGFDTWIVEVRGAGLSIREYENSAASGSVTFQDASGSIQPLDKQGAFEAASLQSSSGYASDCDDLGIVALDEPPLLAELSNFFDRISKLMEEAATNGNFHEITEKVSVLSEMVESSTIIGPVREESLRLLKNFQDQLDSWERFVSTQMDLTSEYNWDFDHYLEEDIPAAVEYIRQHSKTKDGKLLAIGHSMGGILLYAMLSRSGFEGVPSNLAAIVTLASSVDYTTSNSSLKLLLPLAHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNPQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFREGGLCNRNGTFSYKDHLRECQTPVLALAGDKDLICPPEAVYETAKLIPKHKVKYRVFGKPQGPHYAHYDLVGGRLAIDEVYPCIIEFLSRHD >KQL01532 pep chromosome:Setaria_italica_v2.0:VI:21294665:21297120:-1 gene:SETIT_013550mg transcript:KQL01532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQPIPATLAGAATRRSSGEAPFAPRLPRRVLAPIRADSPPISISSSASSAAPSRPPKPPVCTADELHYAPVGGAGWRLALWRYRPPRNAPVRNHPLMLLSGVGTNAIGFDLSPGASFARHMSSQGFDTWIVEVRGAGLSIREYENSAASGSVTFQDASGSIQPLDKQGAFEAASLQSSSGYASDCDDLGIVALDEPPLLAELSNFFDRISKLMEEAATNGNFHEITEKVSVLSEMVESSTIIGPVREESLRLLKNFQDQLDSWERFVSTQMDLTSEYNWDFDHYLEEDIPAAVEYIRQHSKTKDGKLLAIGHSMGGILLYAMLSRSGFEGVPSNLAAIVTLASSVDYTTSNSSLKLLLPLAHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNPQISAQDMMHPELLSKLVFNNFCK >KQL01534 pep chromosome:Setaria_italica_v2.0:VI:21293988:21297120:-1 gene:SETIT_013550mg transcript:KQL01534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQPIPATLAGAATRRSSGEAPFAPRLPRRVLAPIRADSPPISISSSASSAAPSRPPKPPVCTADELHYAPVGGAGWRLALWRYRPPRNAPVRNHPLMLLSGVGTNAIGFDLSPGASFARHMSSQGFDTWIVEVRGAGLSIREYENSAASGSVTFQDASGSIQPLDKQGAFEAASLQSSSGYASDCDDLGIVALDEPPLLAELSNFFDRISKLMEEAATNGNFHEITEKVSVLSEMVESSTIIGPVREESLRLLKNFQDQLDSWERFVSTQMDLTSEYNWDFDHYLEEDIPAAVEYIRQHSKTKDGKLLAIGHSMGGILLYAMLSRSGFEGVPSNLAAIVTLASSVDYTTSNSSLKLLLPLAHPAQALNVPAVPLGTLLAAAYPWASGPPYLFSWLNPQISAQDMMHPELLSKLVFNNFCTVPAKVVLQLTTAFREGGLCNRNGTFSYKDHLRECQTPVLALAGDKDLICPPEAVYETAKLIPKHKVKYRVFGKPQGPHYAHYDLVGGRLVCILSPDK >KQL00849 pep chromosome:Setaria_italica_v2.0:VI:5651715:5652692:1 gene:SETIT_015527mg transcript:KQL00849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSQDDLVRCFLGLRVLATLPAVTGLIYRSRWRKLVELRRQQEQAYLPLIDSRRRDRPDRRGEPPAYVDTLADLRVPDDEHAAASGGGNHRNRRRRQQQQRRLTNGELVGLCSEFLGAGTEPAAAALQWIMAHLVKRPDAQRALRNDIDAAVPTDAEEVLGKLEYLNVVIMEGLRLHPTVPMVLRQVMAEDHVVVDGRRLPAGTAVHFPLARLAQDETAWADPREFRPERFLAGGEGEGVSLVAAAGSAGEIRMMPFGGGRRMCPGMGAAVLHLGYFVANLVREFGWSEADGDLAVDLLPHPGFFTVMKRPLRARLVRRRREATPC >KQL01737 pep chromosome:Setaria_italica_v2.0:VI:25358603:25359429:1 gene:SETIT_015480mg transcript:KQL01737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKQPAFDHPLLKNHKLQVTKRANCHHLFSRIRVHPQESCPDGTVLIRRTLKQDLVNASVSLPRFRPQKDHSEIPGQHFAHLLVDSVEGSKFQAAGANMEVDNVAVPAGQVSSAQSLLVDDSCHSSVVSVVQSGW >KQL00448 pep chromosome:Setaria_italica_v2.0:VI:2153512:2154465:1 gene:SETIT_014852mg transcript:KQL00448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRKRRTQGLVVTPRAADRARWLARRGRGKLRCLSVRSCFLLATDGDGGEVMTVSTPRIEAVCWYRSYPEQLTFRDGSLAHVRRLAGPLKIPTFGRWDQFDAPYTTQLLRSCALAVDQLDMELVVPDEMALLNWLGDPAEARATCEDLIRHVPALPYVRVLSLKIRWGFGGGGVAPRLASFLSRTPSLTRLRIDASPHCLTVYEGEEAAAPPPRGKQQQWKRSDVGADEQSRVRLDGLREMSVDGLKGTDSEEHRLVQLLLASAPPSLERMSLTFRDARGGVHCR >KQL01903 pep chromosome:Setaria_italica_v2.0:VI:27739585:27741890:-1 gene:SETIT_015538mg transcript:KQL01903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFWQREAGSLRQQLHNVQEHHRQLLGQQLSGLDVKDLQNLENKLEMSLKNIRMKKDQLMIDQIQELNRKGSLMHRENIELYNKVNLAHQENTELRRKVYGHGVDEHPSSSTVRHSIQITENEDVHVNLELSQPQSVQRDKSETPSTG >KQL00626 pep chromosome:Setaria_italica_v2.0:VI:3572173:3573778:-1 gene:SETIT_013692mg transcript:KQL00626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSVKVIEEARVAVPATAALPPEPLQLSAMDAQWVTLPLIQRLLIFVDGGSGAGNNIPPFESAVDALRASLAETVARFPPLAGKIVHQPATGDAAIDCTAGGVAGGVRFLVAEVSDGGADAARLAGNEDHDAETFGLLVPSLDAGQLPAETMAAQVTRLRGGLALGVAKHHAVADGRSVWMFLEAWAAACRGEGNEEDAARAPTLDRAAIKLPGGEEEELARALLRNYAPDLPKAAVEEQFIRPNLSRRTFTIAAQDMRRLKQRIAELSPTTAQAAAPPSSFVAIAALAWVSFVRAKHPAGIVSPNDEVYLFFFIDCRARLDPPPGDHYFGTCISGRLARATARDLLAENGVGVAAALLAEEVRRAAADPLAGLDWRSLVEGINMDRLVNLTGSTRFPAYEAADFGWGPPGRTELVTMNHDGQVVLVAAKRQGGDGAGGGVQASVSLHPAHMDTYKSHFLSYLC >KQL00410 pep chromosome:Setaria_italica_v2.0:VI:1901210:1905419:1 gene:SETIT_013626mg transcript:KQL00410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSTVETHPQQQRPHRVHIHTWARTGWLPGSLLAANLVIESQPHVCRAEDARAASHLVGARAHAARDHTDRHGNTYARLRRSPGLTPAADVTAETRLPTPCTCILHLNLQAFTSPDPERKGISMAPTVAAEAAATAAKPQHTGKAAALAAHDASGHLAPLTITRRSTGDDDVAIKILYCGICHSDLHSIKNEWHNAMYPVVPGHEIAGVVTEVGKNVTKFKAGDRVGVGCIVNSCQSCDSCNEGFENHCRGMIFTYNSVDLDGTVTYGGYSSNVVVHERFVVRFPDAMPLDQGAPLLCAGITVYSPMKHHGLNVPGKHVGVVGLGGLGHVAVKFAKAFGMKVTVISSSPAKKQEALERHGADAFIVSRNDDEMKAAAGTMDGIINTVSANIPMAPYMSMLKPYGKMIMVGLPDKPLEIPPFDLMTGSKTLAGSCIGGMRDTQEMIDVAAKHGVTADIEVVGADYVNTAMERLAKADVRYRFVIDIGNTLKSSD >KQL02406 pep chromosome:Setaria_italica_v2.0:VI:32294864:32295157:1 gene:SETIT_0143842mg transcript:KQL02406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADRKAMANQVNVPADLPFQSKTTYRIRDK >KQL00958 pep chromosome:Setaria_italica_v2.0:VI:6870166:6870706:1 gene:SETIT_015456mg transcript:KQL00958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHYYFKAKGLAMNEEYVPLPDHELSVALSPRDRYASLRSDVYRALLHAYVQATPSSYSVEFGLVMTEIALMLDGERRLSMRLDDNFLVGVNMVCLEFVEMKQQVVALMDPGRRLCLAKMQLGVSSKKMQLGVSGLLWSATGCGNFNFTMGI >KQL02462 pep chromosome:Setaria_italica_v2.0:VI:32703039:32706048:1 gene:SETIT_014097mg transcript:KQL02462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVHVDVRVFVRFLYFGQFLDERASCYNDRSAQSGFPSNERCLPKHTAGTKYPPRKQTASQPQPPLGTGWPAARAMAAVTSTAFLCPAAGGLSPSPPFRRNPGSSSSRRRLQLQVCHCRPARVEGLDRREALLGVALSAAAPALFAPAAALAAEATELQEGFTTYEDEANKFSIVVPQGWLIGAGESSGIKSVTAFYPEQAADSNVSVAITGIGPDFTSLKSFGDVDAFAEGLVNGLDRSWQRPPGLAAKLIDSKAANGLYYVEYTLQNPGERRRHILSAIGMAFNGWYNRLYTVTGQYIDDEESEKFRPQIEKAVRSFRLT >KQL01386 pep chromosome:Setaria_italica_v2.0:VI:18372001:18374780:1 gene:SETIT_013891mg transcript:KQL01386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRCFSELAGRRRSDRVVDTMKVGLQYLADASSSTRVIDRQRCSVTYATSCATDPDILSRETSSSEMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPKSELLSHDEIIRIADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGIVLSKKLPKLKECGLNALNISLDTLVPAKFEFMTRRKGHSKVMESIDAAVELGYNPVKVNCVIMRGMNDDEICNFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVVSGKVIKVWRDFKITLQTLQRISGLMDMLGQFHLLHQ >KQL01387 pep chromosome:Setaria_italica_v2.0:VI:18371778:18377270:1 gene:SETIT_013891mg transcript:KQL01387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRCFSELAGRRRSDRVVDTMKVGLQYLADASSSTRVIDRQRCSVTYATSCATDPDILSRETSSSEMLVDSFGRFHNYLRISLTERCNLRCQYCMPAEGVELTPKSELLSHDEIIRIADLFVTSGVDKIRLTGGEPTIRKDIEDICLHLSGLKGLKTLAMTTNGIVLSKKLPKLKECGLNALNISLDTLVPAKFEFMTRRKGHSKVMESIDAAVELGYNPVKVNCVIMRGMNDDEICNFVELTRHKPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVRQSYKGVERLQDHPTDTAKNFRIDGHVGTISFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLREPIRAGVDDAGLKEIVGAAVKRKKAKHAGMFDIAKTANRPMIHIGG >KQL02478 pep chromosome:Setaria_italica_v2.0:VI:32816279:32820041:1 gene:SETIT_013516mg transcript:KQL02478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGVACRLEALKERGFSAETPSSHQQHRHSRSAISTYVNVTDISPRLSDHIPTTNRNKMLHTRYSLNLPDQLPEHRIITSAERTERTISKSVADLTWEIAVLEEEVVRKELHLLSLYRAAFDQYLGVSPRASALVEKESHRQRGRKTTDEGTLRLRNIKESAAYNLPTLSDSKRHVSLKASDVALMLLKHTQELLRSSSGRSSLANFLSASITEYVPKISCKLSEDILRCISAVYCKLASKPLKEANSEPSSTPFSSASSSFSLKYPVDSWSPRCHYNVDTTSDTYGSFDGSNGQYTGMIIFPRIHIDEDKFEYASKMLDTIRTLIKRLEKIDPTKMEHEEQLCFWINIHNALVMHAFMAYGLQEKRMKSTDMILKAAYNVGGHSVNSQIIQNSILGCQSHRPSLWVRTLFSPTKKSGSSMHPYALCHPEPIAHFALSTGAFSDPPVRLYTAKKLYHQLEQARTEFIQANVMVRKQTIFLPKVLHFYAKDAALELPDMIDMVCESMPELQQKEIRQYLRRRIDKCVEWLPYKSSFRYTVHRSLAQ >KQL01294 pep chromosome:Setaria_italica_v2.0:VI:14140300:14140467:-1 gene:SETIT_015577mg transcript:KQL01294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPMLTGFISYGQQTIRAARYIGQSFIITLSHTNHLPIMIHYPYEKAITSEYFWG >KQL00386 pep chromosome:Setaria_italica_v2.0:VI:1737086:1737779:1 gene:SETIT_015631mg transcript:KQL00386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPVYIFLVLACCFQCFAPCYQAAPPIHHIHFDLKTETFNQLYSKLRHLLERTSTPPYVPKEVRGKVVLGQQPIDDLYLLSFRNATNYWYKFGGGFTCLPGAIVLPIRENYGEVIKGGHKNLWQVPLGNQSAVLATKQLARFKVIRDRFWGKNWEKETTISPTEAKYVVDRGSLSKLLI >KQL02119 pep chromosome:Setaria_italica_v2.0:VI:30086557:30088050:1 gene:SETIT_013618mg transcript:KQL02119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDLWLLSATLAVVTLLYYASLRHRSGAARRPPPGPRPLPLIGNLLSLRGNLHHTLARLARAHGPVMRLRLGLSTAVVISSPDAAREAFTRHDRRLAARAVPDTARALGFSARSMIWLPSSDPLWRTLRGIVATHVFSPRGLAAARGVRERKVRDLVSYIRGRAGRDVDVGQAVYGGVLNLVSSALFSDDVVDVGAESAQGLRGLVEELVELVAKPNVSDLLPLLRPLDLQGWRRQATGRFEKVFRVLDGMIDRRLAEASSQDKRGDFLDILVELMAAGKIARDNVTTILFDVFAAGSDTMAITVEWAMAELLRNPGAMAKLRAEIEGALGSKEAVEEADAASLPYLQAVVKEAMRMHPVAPLMLPHRVVEDGVEIGGYAVPKGCAVIFNTWAIMRDPASWERPDEFVPERFLGIESKVDFRGKDFEFIPFGSGRRSCPGLPMAERVVPLILASLLHAFEWRLPDGVAAGRLDVSEKFTTANVLAVPLKAVPVVIA >KQL01464 pep chromosome:Setaria_italica_v2.0:VI:20102380:20104364:-1 gene:SETIT_015200mg transcript:KQL01464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTTPIPTVLSASALAFFIGVCFPVQITPKVSPWHFGDANSTFGCSNILFRFWRPYDSTLAVEGTNTVQENAALEPKGEERLPPNIVAPESDLHLRRLWGNPREDTPTRKYLLALTIGYNERANVNATVHKFSSNFDVVLFHYDGRTTEWEEFEWSKKAVHVSARGQTKWWYAKRFLHPSIVAPYDYIFLWDEDLGVEAFDAEEYVKIVRKQGLEISQPGLDSTRGPKPFFDITVRRNGSEMHKSTLRSPEAKCTRRPCSGFVEVMAPVFSREAWTCVWHLIQNDLVHGHGLDWDFWRCVDDPEEQIGVVDAQYVSHHAVVTLGTKGGSRGNVTARQKAEFNIYKTRMRIADKARAAALLAPPAAAS >KQL02286 pep chromosome:Setaria_italica_v2.0:VI:31465256:31465475:1 gene:SETIT_016016mg transcript:KQL02286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAFDGWFHNAGQTAYRAVIDPEHLSIRPDQDGFHL >KQL02370 pep chromosome:Setaria_italica_v2.0:VI:32057185:32059088:1 gene:SETIT_013511mg transcript:KQL02370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVKIASGEPAAKSGYSCGDEDLTRDERMLLQSFPVHEPDDSELAEVDCELAMSRGQICNVPYGLYDLPDLMEVLSLETWNSCLTEDDRFCLAASLPDMEQHDFVTTMKELFNGDAMFFGSPLRSFFLRLKGGLYSPQVSQARELLMTFQKRRHYHFLKLYHDGMVGKFASVAKVSRSSDMSTSLRAKVPISHNWAYEKSLPCVGLSISTLPTTIKGETATVLPMKRAKLMDVSSTTHCSTIHNETDYAAKSAEMNSLESPIFHPPSHPRQNCSKVPKGVLKIRTGCASLINESKGSHHRPGLILVDQLGMQSSSFCAPPHAFAHDVHGFSENSSSHINTVSGTSASQQPSPLQWKGTLETYALIGKSPPGVQMTVPEEHNAVYPSMMLRDFYPAANLRLTCVNDAYDTRKCAHMKDLLKNFGHQNNIAHQSSPDPCARASDDHQMNGYKTTHSSRNAESISEMLNLGTRMYPPHNSFPEQLETMSKYHDGIKLEAPPAKPVTEVGEARQFAYTYARRKPHKRSTMVEDAVSPSVLNSMTNMKAKAIKL >KQL02515 pep chromosome:Setaria_italica_v2.0:VI:32976338:32977453:1 gene:SETIT_014068mg transcript:KQL02515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARAEAGDFAEARSIWAQLLHSSAAPCLPAAARRLLPAYARLGRSDEILLAARELSARDPAAARALYPLAVSCLGAAGELARMEDAVLDMGRLGLRVDPATGDAFLRAYAAAGTVPQMEAAYRRHKRTGLLISRGAIRAVASAYISRQKYYKLGAFVADAGLHRRDAGNLLWNLYLLSFAGNFKMKSLQRAFLEMVAAGFRPDLTTFNIRAAAFSKMCMFWDLHLTAEHMRRDGVAPDLVTHGCFVDAYLERRLARNLTFAFDRLDGNAEPVVATDGIVFEAFGKGGFHASSEALLEATAGKRRWTYYKLLGVYLRKQHRRNQVFWNY >KQL02960 pep chromosome:Setaria_italica_v2.0:VI:35515614:35516210:-1 gene:SETIT_015366mg transcript:KQL02960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGVMRLGGVYNDIEEMMCSPSGQLSLCRPQQRKAVEQELEKSLILLDLCNAIQENIFELKTSIQEMQLVIKRGDDSALQAKIQSYIRLAKKAQKQFKKISKKPTTVDQESCRRRVTCEEDQLQEMELVIIDLESGIETLFRKLIQSRVSLLNTLSL >KQL02312 pep chromosome:Setaria_italica_v2.0:VI:31677690:31678880:1 gene:SETIT_015368mg transcript:KQL02312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYDGSCLPDDVLVQILLLIPASSRRPLRLVCKGWRNVIDERAPPEENLPRKILVFMNQGRSCSALVFDGSGRHRTHAWAFTSSSDEGRVHMVGTCNGLLCLHDHTTYGGISLSAVMVTNPVTGETVALPPVPTRWAWSQFAKGPGKYSFGFHPETKLHKVVHIPRGQRRSVDAVQVFTLGAGGKAWREPVSVGGSTYWLAAASSGSGTGRVMALDLGDERVTSLDAPPAMGPAPTRSTARDTWRLTKVRASLGVMVSSVGMSLISVDVWVLDGGGAEKPQWERRYSVAQTAWSSWVMAPQLTHGDWILSASRDEWNYTSSWRRKRQLHRRKVGELTDGGGTGRQLPPPKGAQLIMSTEERECEFTTFAYVETLNPVPRSK >KQL02435 pep chromosome:Setaria_italica_v2.0:VI:32517863:32518870:-1 gene:SETIT_015092mg transcript:KQL02435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESSLPPSSLLLILLFIFFSNAFSMPSAMDCNSSDRDALLKIKEQLGNPPELSSWLPATNCCAWDNSIICSETGRIYLVALFRLNVTAPIPSAYGDLPMLQTIQLDNMPGLYGPIPSSFAKLSHLEFLDIIGTQVSGPLPDFLAKTNLSALTITNCKLTGPIPRSLGRLSNLRYIDLSGNMLSGFIPPGLLHGSFQFLILSNNRLTGQIPEDYANGDIDTIDLSHNQLTGDPSFLFDIAKPMMKIDLSWNELVFDMTKVRFPYHLTYLDLSHNHIKGGVSKSLKDIKLGHFNVSYNDLCGEIPTGRFMIYHGADSYVHNKCLCGTPLPPCKKRQ >KQL00497 pep chromosome:Setaria_italica_v2.0:VI:2558196:2558997:1 gene:SETIT_015779mg transcript:KQL00497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYYAVAVLSLLAFSISAVRALDDKTLQTTLYIKQTPATDQRTVGTDTVVINWVIKDGPAGNTIGHAEGLTTHANPAQNFWVTIMDFVFESGSLAGSTLKVMGLHGGKVNGPGQWSVIGGTRDLTMARGIINYKIIQEDGASRTFEICIFAYYTSNLKETFP >KQL00532 pep chromosome:Setaria_italica_v2.0:VI:2818906:2821894:1 gene:SETIT_014565mg transcript:KQL00532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLGVMDLGAELSTPYLFAFRTKERDPPPPPSPLSFSYLSPPSCPRVPSARTAKESAAAAAAAMVCAKCEKKLGKVIVPDKWKEGASNTNESGGRKINENKLLSKKNRWTPYGNTKCIICKQQVHQDAKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNV >KQL01010 pep chromosome:Setaria_italica_v2.0:VI:7324659:7328939:1 gene:SETIT_013827mg transcript:KQL01010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVNEGGRGRRRVGSSSTSKIGIVNQKTKTNGPSPPRAPRPPKKRGEKETPPQIGIRTHPMAAAALLRRSPAARALLSPSLSSRLVASKPHSSSPAPPPPPSSAKAAAPNVKTFSIYRWDPDSPSTKPHLKDYQIDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTVSPLPHMFVVKDLVVDMTNFYSQYKSVEPWLKRKDPPPQEGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRWIQDSRDQFTKERLDAINDEFKLYRCHTIKNCTHACPKGLNPAKQIDTIKKLQINS >KQL01009 pep chromosome:Setaria_italica_v2.0:VI:7324659:7327973:1 gene:SETIT_013827mg transcript:KQL01009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVVNEGGRGRRRVGSSSTSKIGIVNQKTKTNGPSPPRAPRPPKKRGEKETPPQIGIRTHPMAAAALLRRSPAARALLSPSLSSRLVASKPHSSSPAPPPPPSSAKAAAPNVKTFSIYRWDPDSPSTKPHLKDYQIDLSDCGPMVLDALLKIKNEQDPSLTFRRSCREGICGSCAMNIDGDNGLACLTKISSASSASTVSPLPHMFVVKDLVVDMTNFYSQYKSVEPWLKRKDPPPQEGKEIPQTKADRAKLDGMYECILCACCSTSCPSYWWNPEEYLGPAALLHANRLPLWGTLIKPKPNMFMHLQARGYHGIAEKRNLLDHKRRLLAEKYELRGKLYKSVCRDPDLPLDMREKFRYKLSKLPRNSSMTRLRNRCIFTGRSRAVYKKFRMSRIMFRTLANKGELTGVKKASW >KQL01268 pep chromosome:Setaria_italica_v2.0:VI:12786063:12790561:-1 gene:SETIT_013403mg transcript:KQL01268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPAWAVALAALCLVALAPPAAGFYLPGVAPNDFEKKDPLPVKVNKLTSIKTQLPYSYYSLPFCKPDTIVDSAENLGEVLRGDRIENSPYTFEMREPQMCQIVCKISVGEKEAKLLKEKIEDEYRVNMILDNLPLVVPIQRVDQEGAYFYQHGFHVGAKGKYSGSKDEKYFIHNHLSFTVKYHRDEQRDVSRIVAFEVKPYSVKHEYEGQWNDKKTRLTTCDPHAQRVITSSDSPQEVEVGKEIIFTYDVDFKESDIKWASRWDSYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPSNSDWLCVYVGTGVQFFGMLLVTMVFAVLGFLSPSNRGGLMTAMLLLWVFMGLLAGYSSSRLYKLFKGSEWKNIALRTAFTFPGSVFAIFFFLNALIWGQKSSGAVPFTTMFALVLLWFGISVPLVFVGSFLGFKKPTIEDPVKTNKIPRQIPEQAWYMNPIFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFLILIVTCAEISIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKFVSAVLYFGYMLIASYAFFALTGTIGFYACFLFTRLIYSSVKIE >KQL01904 pep chromosome:Setaria_italica_v2.0:VI:27745540:27745802:1 gene:SETIT_015981mg transcript:KQL01904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLLHTYILDTCVVIKIFGCAGFCFAFNLIFGSL >KQL02695 pep chromosome:Setaria_italica_v2.0:VI:34007730:34009640:1 gene:SETIT_014744mg transcript:KQL02695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRSLLLLRAGAMLLLRCLIKLASSSKLSFLPSSIVEHIPCFLNRCDNKRTVLMISIQTTVFICLCHNKIKVYAHTIWTPRRSFFPD >KQL00999 pep chromosome:Setaria_italica_v2.0:VI:7281409:7284160:1 gene:SETIT_013469mg transcript:KQL00999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSAKERKLSRLGSCKGSPAAGGSGGSPAARGHRSPAAGPQRRLFAALFAFLCAGVVVLGGVHVIGASFRPVLRTAWPSGTLSAISSDAGARQAGVGADTVLPSVQIRHAVAFPDRVLLILKNGSSLPAPEQFECLYSPANSSELRHQALLAVSLPDGSSVVHCPAEPSGVDVSLSLSLSPPVAPLQWDRLVYTALVDSRDNSTVVFAKGMNLRPGRLGVASRYQCVFGRDLSKPKHVLTSPLISAAQEIFRCVTPVRIRRYLRMSMDANGNGDSDDKPMLVSIRTKGLRDSTLPSIAEPEPLPRYKRHRRQKAHSMCVCTMLRNQARFLQEWIIYHSHIGVERWFIYDNNSDDDIEQVLNTMDPSRYNVTRHLWPWMKSQEAGFAHCALRARESCEWVGFIDVDEFLHFPGNQTLPDILQNYSNRPRIGELRTACHSFGPSGRTKIPKKGVTTGYTCRLAAPERHKSIVRPDALNPSLINVVHHFHLKEGVRYVNIGQGVMLINHYKYQVWEVFKDKFSGRVATYVADWQDEENVGSRDRAPGLGTKPVEPEDWPHRFCEVYDTGLKDFVHKAFTDPDTGSLPW >KQL00388 pep chromosome:Setaria_italica_v2.0:VI:1746267:1749216:-1 gene:SETIT_015415mg transcript:KQL00388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNTEQNLGNVTSTSAILLHQLSDMWKSPRGTVVRIEALALLAIALSFFLAAFGSFRRRSGHWFIQKGILAANVLSLSLGTYSIGLMQSSSVKSEVYPFWAVSMLTLFCCIDPVTAYGLEYKSQLWKMLYQLFLYCGYVLLVSILTISSDIGYIAIGMLSAITFIKGFHRSLALMMPSIERDMIQMIAQVMAAEVLGHSTRADDLNQLSCPDDLIGYNYVVHWPLDKSKAKFLPATTTSSPVDVVTIDKILQCNEVHFLSDVCLSFSLSHLLQRRFYRLSCLETKHLVARKFVLEGLLLSRDGAIDYKRAFKVIEVELAFLYDTFFTGNAFLHYYQSKGTTIWGLASITGICFVGVAAVISGKTRSTQTPTPGGTILVDTTTIDLIITLVIFVSLALLQFWHLIRCWSSNWARVAFACDYIKNGKQLSHLMRLRRWVLQRIDCDKSHLWQNKLGQYSLIESITATEHNKLLSMLGGCLHQMCSRFLGILGLQYIEQAFREMLGVKTGDAIELHADVKEAIVDFIISRKCNLQNWPSSLDRIGCPVDRFLFFPDHVVTIMRGHIATCYCELVMRKEKGFSVQDEGAEEIVKKNHGVATALSKYCAYLMVSAPRLLHRDHLGTESVYNEVAQAARMISLHGVNDKLEAMRRLGEDDDDKSGWGPRIFLQGVAFGKCLETMPKRWEVLAEFWVGALLYAAPSDNAEDHIECLAQGGEFITHLWALFSHAGILNWRGGSTDYNEPPELSGSADDSESTEELDGSAADADSAEE >KQL00489 pep chromosome:Setaria_italica_v2.0:VI:2471819:2473683:1 gene:SETIT_015561mg transcript:KQL00489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWADGLPADVLLAILHRLDHIAILLAAGGVCRSWPRAAREEPSLWRRITMRGHEGIARWLNRCGVACEAVRRAAGQCEAFCGEYAGDDGFIVYLTEQAPSLKSLRLISCNGVTNEGVAEAVKELPLLEELELSLCDNVGGSEVFEVVGEACPQLKHFRLCKKRFDVTVRNKDNDVRGIATMHGLHSLQLFSNLLTNKGLETILDNCPHLESLDIRYCFNIDMDQTLLLKCARINTVRIPDDPIDDYNLEVQSPIRTYCFRFRFRI >KQL01422 pep chromosome:Setaria_italica_v2.0:VI:19213447:19216850:-1 gene:SETIT_013826mg transcript:KQL01422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTQIRDVADDFNPSRRRTLWNTPNKSMPTTTHTIRYNTGKKNLFNPHLMSVKFEDLYGFMVEGNVDDVNVLNEVRERIREQGRVWWALEANKGANWYLQPKISSNEGMISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATRQIDHDLPRTFPCHPWLNSEEGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKKEEDAFWMLAVLLENVLVSDCYTDTLSGCHVEQRVFKDLLAKKCPRMVLVPLFKEPAFRDNPSGVGCFIQRRSKGFVPCCSGNFQDERR >KQL01423 pep chromosome:Setaria_italica_v2.0:VI:19213887:19216198:-1 gene:SETIT_013826mg transcript:KQL01423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGTQIRDVADDFNPSRRRTLWNTPNKSMPTTTHTIRYNTGKKNLFNPHLMSVKFEDLYGFMVEGNVDDVNVLNEVRERIREQGRVWWALEANKGANWYLQPKISSNEGMISVTSLKLSVLTNTITLRRLIRKGVPPVLRPKVWLSVSGAAKKRSTVPETYYDELIRATEGKTTPATRQIDHDLPRTFPCHPWLNSEEGQASLRRVLVGYSFRDSEVGYCQGLNYVAALLLLVMKKEEDAFWMLAVLLENVLVSDCYTDTLSGCHVEQRVFKDLLAKKCPRIASHLEAMGFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFNEGAKVLFHVALAIFKMREDDLLRIQHIGDVIDILQTTTHHLYDPDELLTFAFDKIGSMTTNTITKERKKQETVVMAELDQRIRRLSSLKMDG >KQL00667 pep chromosome:Setaria_italica_v2.0:VI:3888999:3890045:-1 gene:SETIT_015710mg transcript:KQL00667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFEGAEFVRMRSLEHGTYLHAAEDGRGVLLDARGASPHAAWAVQREQSAGGGGGRGSFSGGGSPGTVHVLLRGVYGRYLGGPDPSGPLHPCRRLAAAQRDRGEREVQAVMWRAVGTASGAGVVLLHDAHGRFLRANWRCLPCRAGVAVGDGGCFGPTMKWAVEAIPSKHVQPELPLASDPDWVKFLDGACPPLAGLRRWVAAAATQQREIRWVLADGSGEFREENWASFQYSGRSAILLRSKLAHLTLQFCSLTLFIRAGRHGQLTPLVTDLPRSRERLDIVVFRADTGGQ >KQL02114 pep chromosome:Setaria_italica_v2.0:VI:30029422:30030832:-1 gene:SETIT_014954mg transcript:KQL02114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGLSLGEPAVPDAGRAAPELGLGLGVGAAGSGHEDGMGSSRAAGNGAGARWWAAPVDEPEPAVRLSLVSSLGLQWPAASDGGRSSEAPARGFDVNRAPSAAASALAALEDDDEDPAAGALSSSPNDSGGSFPLDLGRRAAHADGATARAGGERSSSRASDEDEGASARKKLRLSKEQSAFLEESFKEHSTLNPKQKAALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCEALTEENRRLHKELAELRALKTAPPFYMHLPATTLSMCPSCERVASNPGSAPTSAPASSTPPATATTNNNNSGAAAAAAPARGEHRPSSFAALFAATRSFPLAPHRRPPAPASNCL >KQL01083 pep chromosome:Setaria_italica_v2.0:VI:7978740:7979707:1 gene:SETIT_014467mg transcript:KQL01083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRKACCCRPLASPAKQEPRRPPTPSHPPPSGISPLASFASTLLQEPSGGDRWRSSSPEQPAVDASGRAPAPPPPPPRQRHGRLRPHRRRLQGRGFLLREGSRGVPAAFAAGEAARGGAGEARERRGRQRPGGHRGKLPGRGGGGAAGVRDGHAAGAAAAAAPAPAAAAALPARARRHLRRPGPARARPLRRQGRRQHRYALLLLL >KQL02471 pep chromosome:Setaria_italica_v2.0:VI:32759843:32762945:1 gene:SETIT_013308mg transcript:KQL02471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPACGGDGRGRSPLSPLLGCLVFLLLIFAVCGAEAQLQPLPALEVASYNYTSFDEGNSRELAELVYSREARISQGALQVTPDTANLVVRDEILANKSGSVLLRRRFTLWRRVDGDKGGQPAATAVPPQPRGGTGNANGTAPRVQVVSFNTTFSINVFQLTAQRPGEGLTFVIAPSRDDPPPGSHGGYLGLTNATLQKAGPAANRFVAVELDTFKQVHDPDDNHVGLDVGSVVSSKTASLNDLRIATNVTTATNYTVWIQYDGVARHISVFFNVRGRPKPSSPVLESPLDLSEHVPETAYLGFSASTGTSFQLNCILDWSLSIEVIPDKKSNTWLIVVAVVVPVSLAAVAVAAFFLTKRLRARRSMERRQERLGHELNNLPGMPRVFEYDKLRKATRNFDERLQLGKGGYGMVYKGVVPADDGRPEATMEVAVKRFIRGDSRGVSDFLAEVQIINRLRHKNIVPLIGWCYKKGQLLLVYEYMPNGSLDQHLFRRGVHEQRPALSWESRYAIVRDVAAGLHYVHHEHTHMVLHRDIKASNVLLDASFRARLGDFGLARVLEHDRNSFTDLNVAGTRGFIAPEYSVGHKASRQTDVFAFGALVLEVVTGQYALRTQDLRCPLLTDWVWQMHGRGALLGAVDQGLGTAGFDHDEAGRLLQLALACSSPNPGDRPTMPEVLQVLNKASPPPEVPPFKPQFVWPPEGGAHFDLGDIELSVTTNRTTGNGASSTAMATQDTAPNSSEGYFPVLSSGR >KQL02577 pep chromosome:Setaria_italica_v2.0:VI:33320495:33322653:1 gene:SETIT_014634mg transcript:KQL02577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLTKKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKSTRHYLPNKFKKFVVHNVSELELLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARIRSQEDE >KQL01848 pep chromosome:Setaria_italica_v2.0:VI:27072067:27074069:-1 gene:SETIT_014349mg transcript:KQL01848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVVVAEGELDQVMVRAAQILVSLRSKKLRAWPKWVPMPAAEAEAEAESSPGTAPAEVPKGWAGRRPRSRGRRGSCVPWMKALRELDLAGSGGEERDAAAGSGSPSTSSADHAAPTQPRPSHADGKAAEKALAAATAVAKEPMTASSPNTPLDYGAGGSRASSSTDDAARPRAKRKGPGARGSGGEDDEGCSSPAKRPRAVADEVKPIPTSMQGETSASSAKGFAFDLNFPPPLDDGACPDAC >KQL02332 pep chromosome:Setaria_italica_v2.0:VI:31821127:31823873:-1 gene:SETIT_015269mg transcript:KQL02332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSITPMLTKYFEANKNDPRARGILYHDFPEFYSWKRGKQAKFWQKRKRKGLIEADNTLDECLTEAETFQMPSALRRLFATILVFCNPSNVPGLWNKHLDAMSEDYRRTMQSTQVVQQLILIDMRNILATYDENLAAVNSGEGGMSFVDGPRGTGKTFLYKALLTTVHNRGKVIVAMATSGDARRKDEVSMTKRQAVEALDISMRDIMSQPDQPFGGKAIVFGGDFRQVLPVVQKGSRAQIVDASLRRSYLWECMCHLKLVRNMRAQSDPWFAEYLLRVGNGTEEDDGNGHICLRDEISVPYMGEDTDLGKLIEDAFPMLHDNMTDPNYIVSRAILSTRNDYEMVYHSFDPVEDDPRNYYPQEILNSLAPNGLPPHVLKLKVNCPIILLRNIDPANGLCNGTRKRVFLPRISLYPSDDDDGIFPFRFKRKQFPVRLSFAMTINKAQGQTILHAGVYLPEPVFSHGQLYVALSRATARVNIKVLATIDKDKTKKHQIQRLSIFRKLLLCSNFVCP >KQL02588 pep chromosome:Setaria_italica_v2.0:VI:33398288:33399667:-1 gene:SETIT_015208mg transcript:KQL02588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAAAVVSRLGIRFRRLSTLPEFPQAAAGAPPPQHPTSKDAYFAAVHHLSTIVRRDFYLERTLNRLRLPSPFPPDLALRVIRAAAPAAPLHASRFLAWLRAKPSFAASAEHFDALLLPLARARLFPHLWSLASDMRGLGLPLSPATFSAIISSYGHSRLPDQAVEVFNRLPRFGCPQTAEVYNALLDALCANGNFAGAYKLLRRMARKGVAPDRATFSTLVDSWCAAGKLQEAQAFLDDMASRGFRPPVRGRDLLVDGLVRAGRLEEAKAFALRMTKEGVLPDVATFNSLAEALCTAGDVQFAVALLADASARGLCPDISTYKVMLPAVAKAGLIEEAFRLFYAAVEDGHRPFPSLYAAIIKALCKAGRFADAFAFFGDMKTKGHPPNRPVYVMLVKMCVRGGRLVEAANYLVEMSEAGFTPRAPTFNAVVDGLRHCGKHDLAQRLEQLEMSLKGN >KQL01778 pep chromosome:Setaria_italica_v2.0:VI:25909749:25911998:1 gene:SETIT_014305mg transcript:KQL01778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRYKTYSPYCETEIVQFAQFSAFLVNLGNGYWLIRTVSLWTHCFDKDHTRWNLERVPVFPRQQSWDPYKLLGVDHDASEEEVRSARNFLLKQYAGYEESEEAIEGAYDKIIMNSYSHRKHSKINLKSKLKKQVEESPSWVKALLGYFEVPSLEIISRRFAFFGFIAGWSIATSAETGPAFQLAMSLVSCIYFLNDKMKNLVRASATGLGVFVGGWILGSLLVPVLPAFIIPPTWSIELLTSLMAYVFMFLGCTFLK >KQL03016 pep chromosome:Setaria_italica_v2.0:VI:35793421:35798983:-1 gene:SETIT_013315mg transcript:KQL03016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYTCRIEADKTLYPVLLSNGNLIQQGDLEGGKHYALWEDPFKKPSYLFALVAGQLGCREDSFVTCTGRNVTLRIWTPAQDLPKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRIYQFPQDAGPMAHPIRPHSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAMCDANNAQLPNFLQWYSQAGTPTVKVASSYDPSSQTFSLKLSQDVPPTPGQLDKEPMFIPVAVGLVDSTGKDMPLTSVYSDGTLQTLSSDGQPVFTTVLQFKKKEEEFIFQNVPEKPVPSLLRGYSAPVRLDSDLSESDLFFLLANDSDEFNRWEAGQVLARKLMLSLVADFKQQKTLVLNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRNFIKKELASQLKDDLLAAVTSNRSSEPYTFNHDSMARRALKNTCLAYLASLNEPDVTELALHEYKTATNMTEQFAALAALSQNPGQVRDDALLDFYNKWQNEYLVVSKWFALQATSDIPGNVVNVEKLLSHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIVSANGLSENVYEIASKSLAD >KQL03015 pep chromosome:Setaria_italica_v2.0:VI:35792920:35799547:-1 gene:SETIT_013315mg transcript:KQL03015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYTCRIEADKTLYPVLLSNGNLIQQGDLEGGKHYALWEDPFKKPSYLFALVAGQLGCREDSFVTCTGRNVTLRIWTPAQDLPKTAHAMYSLKAAMKWDEEVFGLEYDLDLFNIVVVPDFNMGAMENKSLNIFQSRLVLASPETATDGDYAAILGVVGHEYFHNWTGNRVTCRDWFQLTLKEGLTVFRDQEFSSDLGCRTVKRIADVSKLRIYQFPQDAGPMAHPIRPHSYIKMDNFYTVTVYEKGAEVVRMYKTMFGASGFRKGMDLYFQRHDGQAVTCEDFYAAMCDANNAQLPNFLQWYSQAGTPTVKVASSYDPSSQTFSLKLSQDVPPTPGQLDKEPMFIPVAVGLVDSTGKDMPLTSVYSDGTLQTLSSDGQPVFTTVLQFKKKEEEFIFQNVPEKPVPSLLRGYSAPVRLDSDLSESDLFFLLANDSDEFNRWEAGQVLARKLMLSLVADFKQQKTLVLNPKFVDGLRSILRNTSLDKEFIAKAITLPGQGEIMDMMAVADPDAVHAVRNFIKKELASQLKDDLLAAVTSNRSSEPYTFNHDSMARRALKNTCLAYLASLNEPDVTELALHEYKTATNMTEQFAALAALSQNPGQVRDDALLDFYNKWQNEYLVVSKWFALQATSDIPGNVVNVEKLLSHPAFDMRNPNKVYSLIGGFCGSPVNFHAKDGSGYKFLGEVVLQLDKINPQVASRMVSAFSRWRRYDETRQALAKAQLEMIVSANGLSENVYEIASKSLAD >KQL00551 pep chromosome:Setaria_italica_v2.0:VI:2965328:2966165:1 gene:SETIT_015540mg transcript:KQL00551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTFLVLTALLALLSWQAIASDPSPLQDFCVADKDSHVRVNGFVCKDPKDVKADDFFLAANLDKPRDTTVSKVGSNVTLINVMRIPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNQDNKLFTKTLNKGDVFVFPEGLIHFQFNPCPDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTVDWLQAQFWSDNHN >KQL00161 pep chromosome:Setaria_italica_v2.0:VI:249912:253353:-1 gene:SETIT_013865mg transcript:KQL00161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSRLLLLTVALAATLLGPASAAQVGDTCSSDGGCGAGLHCSACGGGGDKLCTRAVPINPATHGTGLPFNNYSWLTTHNSFALTGAASATGASIISPTNQEDTVTAQLKNGVRGLMLDTYDFNNDVWLCHSFGGQCYNITAFQPAINVFKEIQTFLDANPSEVITIFLEDYTATGSLPKVFNASGLMKYWFPVAKMPKSGGNWPLLKDMISQNQRLLVFTSKKSKEASEGIAFEWNYVVENQYGNDGMVAGKCPNRAESPAMDSKSQSLVLMNFFTTDPSQTGVCGNNSAPLVSMLKTCHAASGNRWPNFIAVDFYMRSDGGGAPLATDVANGHMVCGCDNIAYCKANSTFGTCVIPPPPPPSPPTPGRRGAGAGDASAATGRSHHQWSFFLGLALLLC >KQL00279 pep chromosome:Setaria_italica_v2.0:VI:1008504:1011989:1 gene:SETIT_015762mg transcript:KQL00279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELMASAATSVMGSVIGKLTAMLGEKYQFARDVEQGIRFLKDELSTMDAVLQKLADKDDDQMDPMDKDWRNKVRELSFDIEDCVDRFMLNHSHGGSKANFVRKAVRKVKMLWEDQGIAGEIQKLKILVTEQSERAKRYPVGMRCDSPQPVRLDPRATALFQEARDPVGIDGPREEIIQLLQVEENQHKVVSIYGTAGQGKTTLAMEVYRKIPQAFDCRAFVSVSQTLDMKNLLRDILSQIVSKSEFDQLQSENWETLQLIRKMRGYLIGKRYFILIDDIWHVSDWDLVEAALPRNDNGSRIITTTRSKTVAETCAGTDAQMYKAKPLGDDDSRRLFFKRLFHSTEHCPQDLMAVSSDILRKCGGLPLAIISIAGLLANRSKTKEVWVNALKYISAAVDQDSHIDKMKRIFLLSYFDLPLHLKSCMLYLSVFPEDYVIDCRRLILLWVAEGLIPGQGRENMEQLGRSYLNELINRSLVEPTKVGVDGATVKECRVHDVILEFIVSKAVEDNFVTIWNGNGLSKNYSSNKIRRLSIQRGISMRAEEMVKIKQHTAHIRSINIFDHNSVLLIKNTSMFLSSQVLRVVNTEIFELLLEDWYLGHVKSFGQIKYLRLEIRPCLWKLPKDIEKLQHLETLDVRNTRIKKLPASITQLQRLVRLLVNAEVKLPDGIGNLQALEELSFIGLSFQTIKFIQGLGDLTNLKILGIEWWYAHAEVRHVDVEGHKEACISSLSKLVTTLRELYVVQDASDDKLSFMASCGSTPPPLWKLIIRGCVLPHQIISSLVNLTRLCIRLVSQEGINILASLPMLLSITVHVYIEEGNSTIRYTISSQGFQRLVKFNFHCFQGGALEFEPGAMPKLQRLKLYVVVRSQFNYEQDGPVLGLQNLAGLKHVALNINCYQATPDEVQDLEDDIRVAAGTHPNRPV >KQL00687 pep chromosome:Setaria_italica_v2.0:VI:3980162:3981319:-1 gene:SETIT_015088mg transcript:KQL00687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKPTWPSWLLITTVVLTLAAVTSIIPVKYVVELRALYAVAVGVTLGIYISVQCFFQAVVLYPLLVATIVSAAVFVVFTHLPSESSTRVLPRVFSFLVALFPVTYLLEGQLRAKSFADEDEAEKFTNMLAIEGARMSLLGLYAAFFMIIALEIKLALLLRDKAADRPRLLQQRRAHAAPTFTIKRLAAEAAWMPAIDNVSTVLCFIICLVLNITLTGGSNRFNIFAGFGNRQRYFPVTVSISGYLLLTALYRIWEETCPGNGGWALDIGGPGWLFAVRNVALLVLTLPNHILFNRCMWDYVRHTDAKLLLTLPLNLPSVTMTDIVTIRVLGLLGAMYSLVQYLISRRIRIAGMKYI >KQL02732 pep chromosome:Setaria_italica_v2.0:VI:34231735:34232411:-1 gene:SETIT_014759mg transcript:KQL02732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCLLHMFDGGIVKENGEFENMNVVVELFDAPPTFKDLVDRAMRKYGCRVDDMTLRGRFDCGKARAHYVFMNLASESIGSNIRM >KQL00187 pep chromosome:Setaria_italica_v2.0:VI:393647:394791:-1 gene:SETIT_014626mg transcript:KQL00187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALSSLRAPAFSVRAAPAPAPATVALPAKVARGARLRAQATYNVKLITPDGEVELQVPDDVYILDQAEEDGIDLPYSCRAGSCSSCAGKVVSGSVDQSDQSFLDDGQVAAGWVLTCHAYPTSDVVIETHKEEELI >KQL00882 pep chromosome:Setaria_italica_v2.0:VI:5972266:5973462:-1 gene:SETIT_014562mg transcript:KQL00882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKIGAAILVCIVVLALDVTAGILGIEAQAAQNKVKKVTILFIECEKPSYKAYQLGLAAAVLLVVAHSIANFLGGCACICSQLEFIRASINRKLAATTIVLSWIALIAGFSLLLAGAMSNSKSKTSCGFTHGHTLALGGIMCFVHGGITVAYYVTATAAAHEG >KQL01067 pep chromosome:Setaria_italica_v2.0:VI:7847069:7851114:1 gene:SETIT_013687mg transcript:KQL01067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRQQSEEPEEQVDLEGDDDGMDDDDGGYRRRGSRDDSEEPEEEDDNDDRRAEGDGDGMAAEPAAALGAGDDDDMDKEEGAGDGPEDEEEKRKWEELLALPPHGSEVFIGGLPRDITEEDLRELCEPLGEIYEVRLTKDKETKENKGFAFVTFTDKDAAQRAIEDLQDREYKGRTLRCSLSQAKHRLFVGNVPKGLSEEELRNIIKGKGPGVVNIEMFKDQHDPNRNRGFLFVEYYNHACADYARQNLSSPNFKVDGSQLTVSWAEPKGSTDASSAASQVKTIYVKNLPENASKEKIKELFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSHRPGGGPNYPLPPYGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMPPPPPPRRGGDRRDGARGGEGSHSRRYRPY >KQL01066 pep chromosome:Setaria_italica_v2.0:VI:7847069:7851114:1 gene:SETIT_013687mg transcript:KQL01066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRQQSEEPEEQVDLEGDDDGMDDDDGGYRRRGSRDDSEEPEEEDDNDDRRAEGDGDGMAAEPAAALGAGDDDDMDKEEGAGDGPEDEEEKRKWEELLALPPHGSEVFIGGLPRDITEEDLRELCEPLGEIYEVRLTKDKETKENKGFAFVTFTDKDAAQRAIEDLQDREYKGRTLRCSLSQAKHRLFVGNVPKGLSEEELRNIIKGKGPGVVNIEMFKDQHDPNRNRGFLFVEYYNHACADYARQNLSSPNFKVDGSQLTVSWAEPKGSTDASSAASQVKTIYVKNLPENASKEKIKELFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSHRPGGGPNYPLPPYGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMPPPPPPRRGGDRRDGARGGEGSHSRRYRPY >KQL01068 pep chromosome:Setaria_italica_v2.0:VI:7847069:7851114:1 gene:SETIT_013687mg transcript:KQL01068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRQQSEEPEEQVDLEGDDDGMDDDDGGYRRRGSRDDSEEPEEEDDNDDRRAEGDGDGMAAEPAAALGAGDDDDMDKEEGAGDGPEDEEEKRKWEELLALPPHGSEVFIGGLPRDITEEDLRELCEPLGEIYEVRLTKDKETKENKGFAFVTFTDKDAAQRAIEDLQDREYKGRTLRCSLSQAKHRLFVGNVPKGLSEEELRNIIKGKGPGVVNIEMFKHDPNRNRGFLFVEYYNHACADYARQNLSSPNFKVDGSQLTVSWAEPKGSTDASSAASQVKTIYVKNLPENASKEKIKELFDKHGEVTKIVLPPAKAGHKRDFGFVHFAERSSALKAVKGSEKYEIDGQVLEVSMAKPLADKKPDHSHRPGGGPNYPLPPYGGGYMGDPYGAYGGGGPAYNQPMIYGRGPAPAGMRMVPMVLPDGRLGYVLQQPGGMPPPPPPRRGGDRRDGARGGEGSHSRRYRPY >KQL01651 pep chromosome:Setaria_italica_v2.0:VI:24156999:24158261:1 gene:SETIT_014607mg transcript:KQL01651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNMALAGNGMKKLILAVLLLCLVIGQIQVEAKSCCPSTTARNIYNTCRITGTSRPTCAKLSGCKIISGDKCKPPNDHLTLDPDTEEVNVLNFCKLGCASSVCNNINAALGNEEANDAVESCDQACSSFCNVHVGAATVVA >KQL01652 pep chromosome:Setaria_italica_v2.0:VI:24156999:24158261:1 gene:SETIT_014607mg transcript:KQL01652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNMALAGNGMKKLILAVLLLCLVIGQIQVEAKSCCPSTTARNIYNTCRITGTSRPTCAKLSGCKIISGDKCKPPNDHLTLDPDTVNVLNFCKLGCASSVCNNINAALGNEEANDAVESCDQACSSFCNVHVGAATVVA >KQL02818 pep chromosome:Setaria_italica_v2.0:VI:34804102:34807189:-1 gene:SETIT_014061mg transcript:KQL02818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGEAKAAGAGYYQYPGSYGGGGGRGYGAGDDERRWWPWLVPTVLVACIAVFAAEMFVNDCPRHGSALGGRAGCVATGFLRRFAFQPLRENPLLGPSSATLEKMGALNWAKVVHEHQGWRLISCIWLHAGLVHLVVNMLSLVFIGIRLEQQFGFVRIGVIYLISGFGGSVLSALFLRSNYISVGASGALFGLLGSMLSELIMNWTIYSNKAAAIVTLLFIIAINLAIGILPHADNFAHIGGFASGFLLGFVLLARPQFGWMERNELPQTNQAPKYKLYQYVLWVAALILLVVGFVIILAMLFKGKNGNDSCHWCQYLNCVPTSRWKCNT >KQL01706 pep chromosome:Setaria_italica_v2.0:VI:25046983:25052618:-1 gene:SETIT_013356mg transcript:KQL01706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSDGEHELEGDDLSADDTSWSDGVWSEDADEESVSFEDSGSGSGSDADSDEAAAAEESDSSEDEVAPRNTVGDVPLEWYKEEEHIGYDIDGRKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHANVDPYPDYVDWFEYEDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEESNLYLLWGDENDTAENKRHGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPKRFESLRSVPAYEKALREGFDRCLDLYLCPRARKKRINIDPESLKPKLPSKKDLRPYPKTCYLEFKGHTSRVKSISVEITGQWLASGSCDGTIRVWEVETGRCVKVWNVGGDVHHIAWNPSPDRPILAAIVGHDLLLINAEVGSEEAQMKAKELLKIGEMAPQDDTDDKKPAVRWMKHEKLDGIMMIHHKAVSNVDWHFKGDYFTTVVPSDILYE >KQL01705 pep chromosome:Setaria_italica_v2.0:VI:25044541:25052618:-1 gene:SETIT_013356mg transcript:KQL01705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSDGEHELEGDDLSADDTSWSDGVWSEDADEESVSFEDSGSGSGSDADSDEAAAAEESDSSEDEVAPRNTVGDVPLEWYKEEEHIGYDIDGRKIKKRDREGRIEAYLRNADDAKNWRKIYDEYNDEEVQITKEEAKIISRLLKGKTPHANVDPYPDYVDWFEYEDKGHPLSSAPEPKRRFVPSKWEQKKVVKLVRAIRNGWIKFDKPKEESNLYLLWGDENDTAENKRHGLSYIPAPKPNLPGHEESYNPSVEYIPTQEEIDSYQLMYEEDRPKFIPKRFESLRSVPAYEKALREGFDRCLDLYLCPRARKKRINIDPESLKPKLPSKKDLRPYPKTCYLEFKGHTSRVKSISVEITGQWLASGSCDGTIRVWEVETGRCVKVWNVGGDVHHIAWNPSPDRPILAAIVGHDLLLINAEVGSEEAQMKAKELLKIGEMAPQDDTDDKKPAVRWMKHEKLDGIMMIHHKAVSNVDWHFKGDYFTTVVPSGDTRAVLLHQLTKKHSHHPFRKLPGLPVAATFHPSQKMFFVATKKFVQVYDLQKAQLVKKLESGLREISSISIHPGGDNVIVGSKDGKLCWFDTDLSTRPYKTMKVHSKDITNVTFHRKYPLFASSSEDCTAYVCHGMVYSDLNQNPLIVPLEILRGHSSSDGQGVLDCKFHPKQPWLFTAGADSVIRLYCD >KQL00710 pep chromosome:Setaria_italica_v2.0:VI:4221237:4225581:1 gene:SETIT_013165mg transcript:KQL00710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDDERSTSKHHHRDKDKDRERSSSRHHRDKDRDRERSSSRHHREDGDRDRDRHHRDKEKDRDREERKEREREERKAREREEREREKERAREEKEKERARRREERDREERDRSSRRRGGEDGEDDEDRDRDRKRRRRSSHHHHHHRDAEPEAAPPREEEVDEEAAERRRQRKKEEDMEAEQQRLDDEMERRRRRVKEWQEKRREQQQQQDGGGAAGTSAAAAAEADVPKVGKKWTLDGEESDEEGDKEDGNKAEENGGAGDMNVDLPNGGGDANGGAAMEEDEIDPLDAFMNSMVLPEVAKMESAAAAMDTAPAEGVDDKNGKSTKDAVSNGDKKGPRRAMGRIMQGDDSESDYDDADNEGAGEEDEDDEEFIKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITKMTTEEVVAYRKELELKVHGKDVPKPIKTWVQSGMTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDIAQLVEVRPDSERFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKELFQHGYPCLSLHGGKDQTDRESTIADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSQQALPEDLKALADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIANAHAAAAMVANKAAAGNANQQVPGTAAVPLIPLLAATNQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLAPIQDWTGAAITTRGTYIPQGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGSAQTGKYSVI >KQL00709 pep chromosome:Setaria_italica_v2.0:VI:4221237:4225581:1 gene:SETIT_013165mg transcript:KQL00709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDDERSTSKHHHRDKDKDRERSSSRHHRDKDRDRERSSSRHHREDGDRDRDRHHRDKEKDRDREERKEREREERKAREREEREREKERAREEKEKERARRREERDREERDRSSRRRGGEDGEDDEDRDRDRKRRRRSSHHHHHHRDAEPEAAPPREEEVDEEAAERRRQRKKEEDMEAEQQRLDDEMERRRRRVKEWQEKRREQQQQQDGGGAAGTSAAAAAEADVPKVGKKWTLDGEESDEEGDKEDGNKAEENGGAGDMNVDLPNGGGDANGGAAMEEDEIDPLDAFMNSMVLPEVAKMESAAAAMDTAPAEGVDDKNGKSTKDAVSNGDKKGPRRAMGRIMQGDDSESDYDDADNEGAGEEDEDDEEFIKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITKMTTEEVVAYRKELELKVHGKDVPKPIKTWVQSGMTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDIAQLVEVRPDSERFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKELFQHGYPCLSLHGGKDQTDRESTIADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSQQALPEDLKALADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIANAHAAAAMVANKAAAGNANQQVPGTAAVPLIPLLAATNQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLAPIQDWTGAAITTRGTYIPQGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGSAQTGKYSVI >KQL00711 pep chromosome:Setaria_italica_v2.0:VI:4221237:4225581:1 gene:SETIT_013165mg transcript:KQL00711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGDDERSTSKHHHRDKDKDRERSSSRHHRDKDRDRERSSSRHHREDGDRDRDRHHRDKEKDRDREERKEREREERKAREREEREREKERAREEKEKERARRREERDREERDRSSRRRGGEDGEDDEDRDRDRKRRRRSSHHHHHHRDAEPEAAPPREEEVDEEAAERRRQRKKEEDMEAEQQRLDDEMERRRRRVKEWQEKRREQQQQQDGGGAAGTSAAAAAEADVPKVGKKWTLDGEESDEEGDKEDGNKAEENGGAGDMNVDLPNGGGDANGGAAMEEDEIDPLDAFMNSMVLPEVAKMESAAAAMDTAPAEGVDDKNGKSTKDAVSNGDKKGPRRAMGRIMQGDDSESDYDDADNEGAGEEDEDDEEFIKRVKKTKAEKLAIVDHSKIDYQPFRKNFYIEVKDITKMTTEEVVAYRKELELKVHGKDVPKPIKTWVQSGMTSKLLDTIKKLGFEKPMPIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHVKDQPPVVPGDGPIGLIMAPTRELVVQIHSDIKKFSKVLGINCVAIYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSSGKITNLRRVTFLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLTKPVEIQVGGRSVVNKDIAQLVEVRPDSERFFRLLELLGEWYVKGKILVFVHSQDKCDSLLKELFQHGYPCLSLHGGKDQTDRESTIADFKSNVCSLLIATSVAARGLDVKELELVVNYDVPNHYEDYVHRVGRTGRAGRKGFAVTFISEEEERYAPDLVKALELSQQALPEDLKALADRFMAKVKQGTEQAHGTGYGGSGFKFNEEEDEARKTAKKAQAREYGYEEDKSDSDSDEEGGVRKAGGDLAAQAIANAHAAAAMVANKAAAGNANQQVPGTAAVPLIPLLAATNQQNDEATARALQAAMNLQQNLARIQAHAVPEHYEAELEINDFPQNARWKITHKETLAPIQDWTGAAITTRGTYIPQGKIVGANERKLYLFIEGPTESSVKKAKAELKRVLEDCANQALNLPGSAQTGKYSVI >KQL01385 pep chromosome:Setaria_italica_v2.0:VI:18369756:18370251:-1 gene:SETIT_015955mg transcript:KQL01385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKNSTLYIHKRGYIIPQLLQKVATTTMPAHVSNM >KQL02126 pep chromosome:Setaria_italica_v2.0:VI:30109312:30110246:-1 gene:SETIT_015624mg transcript:KQL02126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARHILLVDDSCVDRLVAPRLLHTCNIRVTIMEGPKQALKFLDMEHDVQLILMDYYMPEMTGYDLLVEVQKSPKLNHLPVVITCSEDIPERIKKFLDGGAHYIIKPIKVANVPQLLSYI >KQL00405 pep chromosome:Setaria_italica_v2.0:VI:1838930:1841963:1 gene:SETIT_013727mg transcript:KQL00405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDDATAGNGMPVHSYRLSTVVPGSVTGEAVDYELADADLLHKLHYLRAVHVFRAPAPGAAAVTVRDLKEPMFPWLDMYFPVSGRLRRRQAEADGEKAEAAAGRPYVRCNDCGVRIVEAACDATVDEWLEAEAERGGLSKALAYDKVIGPELFFSPLLYVQVTSFKCGGMALGFTWAHLIGDIPSAAACFSTWAQLFSGKKPPAPTLRDPLARPPSAAAPAGVTAPPSVKATAAPVGDHWAVPITRDMVPFSFHVTEQQLEGLQLGRQVGTFELVVALMWRALAAIRGPDEEEATRTVTVVRTTNPVPATSGGRLGLTNEHRIGHVIAVGPSSPAAADVSKLAALLAGARLKGVGAVAAAALAGAEDADVVVYGANLTFVDAESLDVYGLELGAWRPAHVEYAVDGVGDGGAAVVHRDAGGRGRAIAGVVRRGEADRLRAALRDALRVA >KQL01332 pep chromosome:Setaria_italica_v2.0:VI:16289637:16297911:1 gene:SETIT_013366mg transcript:KQL01332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCNSVHVQGVFTGRCGTSLDHGVVAVGYVTDEDGNDYWLVRNSWGADWGEAGYIRMKRNVTARTGKCGIAMEAKYPVKDGPNPIPAPPSPVVPQACDRISPSPSCYPSLALSSSPVVPSVCAPAGALKPKPWGLGLRCRAAEEASMPARGNAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMGSGKLVPDQVVTDMVVSRLSQPDVQERGWLLDGYPRSFSQAQSLESLKIRPDIFIVLEVPDDILINRCVGRRLDPVTGKIYHVKNFPPENEEISARLITRSDDTFEKVKSRLDTYKQNSEAILPAYSDFLNQIDGNRPVEVIFQEIDSLLQKICENTSANKLIKANGKSPASVDTGSKNEWRGIPTRLNNIPHSREIRKYFYDDVLQATKRAIEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEFMDWGDYGAKGTFINIGAVGASEVDKEDDMFVLIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGRDMRLKYAASFETCYSFRLLYYAGSFYPIMGALRMAYPNKYEIYRRVDEPDGKEKYALIAEFTDKPTPDDITSAFKGRKKDVLVKEGPFSSISIATQ >KQL01334 pep chromosome:Setaria_italica_v2.0:VI:16289637:16298790:1 gene:SETIT_013366mg transcript:KQL01334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCNSVHVQGVFTGRCGTSLDHGVVAVGYVTDEDGNDYWLVRNSWGADWGEAGYIRMKRNVTARTGKCGIAMEAKYPVKDGPNPIPAPPSPVVPQACDRISPSPSCYPSLALSSSPVVPSVCAPAGALKPKPWGLGLRCRAAEEASMPARGNAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMGSGKLVPDQVVTDMVVSRLSQPDVQERGWLLDGYPRSFSQAQSLESLKIRPDIFIVLEVPDDILINRCVGRRLDPVTGKIYHVKNFPPENEEISARLITRSDDTFEKVKSRLDTYKQNSEAILPAYSDFLNQEIDSLLQKICENTSANKLIKANGKSPASVDTGSKNEWRGIPTRLNNIPHSREIRKYFYDDVLQATKRAIEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEFMDWGDYGAKGTFINIGAVGASEVDKEDDMFVLIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGRDMRLKYAASFETCYSFRLLYYAGSFYPIMGALRMAYPNKYEIYRRVDEPDGKEKYALIAEFTDKPTPDDITSAFKGRKKDDEKAPSGFWGFLSGIL >KQL01333 pep chromosome:Setaria_italica_v2.0:VI:16289637:16298790:1 gene:SETIT_013366mg transcript:KQL01333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCNSVHVQGVFTGRCGTSLDHGVVAVGYVTDEDGNDYWLVRNSWGADWGEAGYIRMKRNVTARTGKCGIAMEAKYPVKDGPNPIPAPPSPVVPQACDRISPSPSCYPSLALSSSPVVPSVCAPAGALKPKPWGLGLRCRAAEEASMPARGNAPLKVMISGAPASGKGTQCRMIVEKYGLVHISTGDLLRAEVSSGTEIGKKAKEYMGSGKLVPDQVVTDMVVSRLSQPDVQERGWLLDGYPRSFSQAQSLESLKIRPDIFIVLEVPDDILINRCVGRRLDPVTGKIYHVKNFPPENEEISARLITRSDDTFEKVKSRLDTYKQNSEAILPAYSDFLNQIDGNRPVEVIFQEIDSLLQKICENTSANKLIKANGKSPASVDTGSKNEWRGIPTRLNNIPHSREIRKYFYDDVLQATKRAIEDKKTRLQIDINIPELNPEMDVYRIGTLMELVRELSLSFADDGKRVKVCVQGSMGQGAFAGIPLQLAGTRKILEFMDWGDYGAKGTFINIGAVGASEVDKEDDMFVLIAPQNAVGNCIIDDMRAMTDAAGDRPVILVNPRLKDMPGSSGVMQTMGRDMRLKYAASFETCYSFRLLYYAGSFYPIMGALRMAYPNKYEIYRRVDEPDGKEKYALIAEFTDKPTPDDITSAFKGRKKDDEKAPSGFWGFLSGIL >KQL01135 pep chromosome:Setaria_italica_v2.0:VI:9095606:9099568:-1 gene:SETIT_013987mg transcript:KQL01135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGGLEQWQKDGFFPAAEEVQESADLMESIYRTWMRERSNGSSSEEVADLQRELQTALGTAKWQLEQFERAVSSSNDKYSLEEGTVARRRQFVVAIKDQISRVEKEINGSSVDSGRRGLNWVKLDDEERDDLVAFLSAPAEFYSEMKSTDSSYHIPSRQKNVPIGMNDQKDAALIIKDIHEVPPRQISSVKSDVCSLADQLHGHRTNLSSGDGHWKIDIGNEMDDDRKLSPNGVQASSQTTALSGIRRSTESLTRVRWFWNSLWKPKSDEHRPLRYDMPNNLDFRVISLLTQRLIGLTERSRSYLTSWKESSRISARTGGLHIQGQQQTIQFGRSIRITLLLVLSIFLIVPFLVSSA >KQL02226 pep chromosome:Setaria_italica_v2.0:VI:31076539:31077186:1 gene:SETIT_016045mg transcript:KQL02226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKITPGKKKKKRLLNSLVNSKQKSRVHVTILNNKCCYVRCTGIAMSSAFNYVHLAAGDKFLEVVYGTCQRGPPYHKSTISESSSHNYICVPLANL >KQL02227 pep chromosome:Setaria_italica_v2.0:VI:31076540:31077187:1 gene:SETIT_016045mg transcript:KQL02227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKITPGIAMSSAFNYVHLAAGDKFLEVVYGTCQRGPPYHKSTISESSSHNYICVPLANL >KQL00817 pep chromosome:Setaria_italica_v2.0:VI:5296423:5300766:-1 gene:SETIT_013730mg transcript:KQL00817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVQFSGALVPQLGEKPRVLPASPVVARVAYAADARFLSPKTGIRGRGKHLVSSSYSLHSQTSSERLNHVPSSRCRQKRGSRFVVRAEADFYSILGVSRNASKSEIKSAYRKLARSYHPDVNKDPGAEQKFKDISNAYEVLSDDEKRSIYDKYGEAGLKGAGMGTGDYSNPFDLFESLFEGFGGMGGMGGGRAARNRPMQGDDETYNLVLNFKEAVFGVEKEIEITRLEGCNTCDGSGAKPGTKPTTCKTCGGQGQVVSSTRTPLGIFQQVSTCNTCGGTGEFSTPCNTCGGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGPPGDLYVFIDVLSDPVLKRDGTNILYTCKVSYIDAILGTTVKVPTVDGMVDLKIPSGTQPGTTLVMSKKGVPLLGKSNARGDQLVRVQVEIPKRLSSDERKLIEELADLNKAQTANSRR >KQL01277 pep chromosome:Setaria_italica_v2.0:VI:13216255:13217783:1 gene:SETIT_014745mg transcript:KQL01277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPKISGIQKQVLALYRGFLRTARLKAPEERRRIESVVSAEFRDNARNVDRRNFVYIEYLLRRGKRQLEQLKNPDITGLATLEVKK >KQL02931 pep chromosome:Setaria_italica_v2.0:VI:35363588:35366496:-1 gene:SETIT_014402mg transcript:KQL02931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGLWSSGASDKNKTMVEQLQRYGIIRSSKVAEVMQAVDRGLFVPSGGSPYFDSPMPIGYNATISAPHMHAACLELLEKNLQPGMRALDVGSGTGYLTACFALMVGSEGRAVGVEHIPELVATSIENIKKSAAAPQLNDGSLSIHIADGREGWPELAPYDAIHVGAAAPQIPEALIEQLKPGGRMVIPVGTVFQELKVVDKKLDGTVSIRDETSVRYVPLTSKEAQLHAN >KQL00463 pep chromosome:Setaria_italica_v2.0:VI:2294677:2298093:1 gene:SETIT_014937mg transcript:KQL00463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKDAAAQGAPGGGDRLSGLRDEILLRVMGHLKAWEAVRTCALSTRWRNLWASASRLDIRHPWPCCLLAADDQVPVVEAFAAADQVLAETFAVADQVLAETFAAFVKNLLLRRLPLAQLDSLRLCWSHEAPDGNANFWIAYAVRHGAEEIELSAEHHFPKPSPQYMRFIVDGDEDANYRLKILKLIHVRLGGTTLTQLCSRCALLRELELQDCDIPYETKIQPILLERLTMIRCQIMRPLSVYAPNLVALQFSGNLGYVPWIQNLGLLAASNIKQQAEAPHYNYSEGSSLGSWDLKILKLSHVHLDDTILRQICSRCTSLEELELKNCSIDGEEIGSTSLKYLTMISCKFSIAFRVQAPNLALLRCIKPFQHFPLIQKMEFLVTATIVLDDYCLLPDCQWLQEEDDSDDNSNDDYDNYFGDNKSNESDGSSNYYDSDRSASSDEEDDDRTVGYGEISKEHKHKPYKYLINGHKRRADEPMENFHGKHGSDNFGGVGMLLGLSDVKTMDLLAHPGEVLLTRELKSCTDFKNLKTLSLGEWCITPRFDVLAAMLGHSPNLEILFLHLDMAYNSRVGFNLWASSFECTNLKTVNITCLVDRKFR >KQL01458 pep chromosome:Setaria_italica_v2.0:VI:19896976:19901311:1 gene:SETIT_013564mg transcript:KQL01458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSGSSCRPCVGVALVLAVVSLRGLASAAAITRSDFPNGFVFGAGTSAYQVEGAWDEDGKKPSIWDTFTHGGYAADHATGDVAADQYHKYKEDVKLMHEMGLDAYRFSIAWTRLIPDGRGAVNPKGLEYYNNLIDELVSYGIQPHATIYHFDLPQALQDEYNGLLSPRFIEDFTAYADVCFRSFGDRVKHWATLNEPNIEPLGGYDIGNLPPRRCCTPFGEACVGGNSTTEPYIVAHHLLLAHASAVSLYREKYQAEQSGQIGITLLAFWFEPATQKLDDVEAAARMSDFTLGWFMHPLVYGHYPSVMRRNAGSRLPVLTAEESARVRGSFDFIGINHYGAIYIAADLGQLKQSPRDYARDAAAKYITWPFQSSTNKDGLRLENHPAPWALRKLLDLLIHKYRNPPVLIYENGAGDEPDPSGKFVDDDGFRSRYLQDYIEATLLSIRNGSSVHGYFVWSFLDVFEVLFAYRFRFGLYGVDFGAENRTRYARHSARWYAGFLHGGELRPAAATTGSGAYSE >KQL01459 pep chromosome:Setaria_italica_v2.0:VI:19896999:19900560:1 gene:SETIT_013564mg transcript:KQL01459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSGSSCRPCVGVALVLAVVSLRGLASAAAITRSDFPNGFVFGAGTSAYQVEGAWDEDGKKPSIWDTFTHGGYAADHATGDVAADQYHKYKEDVKLMHEMGLDAYRFSIAWTRLIPDGRGAVNPKGLEYYNNLIDELVSYGIQPHATIYHFDLPQALQDEYNGLLSPRFIEDFTAYADVCFRSFGDRVKHWATLNEPNIEPLGGYDIGNLPPRRCCTPFGEACVGGNSTTEPYIVAHHLLLAHASAVSLYREKYQAEQSGQIGITLLAFWFEPATQKLDDVEAAARMSDFTLGWFMHPLVYGHYPSVMRRNAGSRLPVLTAEESARVRGSFDFIGINHYGAIYIAADLGQLKQSPRDYARDAAAKYITWPFQSSTNKDGLRLENHPAPWALRKLLDLLIHKYRNPPVLIYENGELLN >KQL01985 pep chromosome:Setaria_italica_v2.0:VI:28757283:28758676:1 gene:SETIT_014925mg transcript:KQL01985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTSITSRKKICILLWRRQHARRRGQPPAASGATHATARGSGARPRSGGARHDDAGGQRQPQGGGAFGPWWRNTHACAAPRRAADSVCGCSGGARGDGSGARGNGWPSGRRCLHLLRVPRVPAPLQPPDRPTASGRSGSAPTRAVYRARLDVLAAPTPLHPPDRAPAAGRSGSAPTRALFRGRLDVLAAPMPLRPPDRAPAAGRTTAPTPARVHATASARVHLRVRGLPSEASPSTPRRRRRRSPTAPRPKSIEAKAEEWSKEKAASGVPQEECVLPFLQKGAPRKVRTWLNGSLCF >KQL01175 pep chromosome:Setaria_italica_v2.0:VI:10222432:10226325:1 gene:SETIT_013447mg transcript:KQL01175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTIEECGDGSDRHLLSGSRILVGVPDNSRGCSELLSWAIGAVAKANDSVVAVHVLGGRGRKKRLQKANAFVIYMLGEFVEACEAKQINLEAKVVCSSNIGRALTQEAALTDGNILIVGRSRNAYHRSHFETANYCFMHAPKNCSVIAVGREGLPQCNARLRSRSFDAESNISSSSTWSRRFPPLQKLLRSNSMRKPAQSSTEGAEDKSSPRAVLDGPEEGDNQVTEECYSTSSHEVSRRGHNGLWRRLSDMKLWLPFLRTIGDDSVRASDAGSAYAEDQKPAWRCFSFQEISVATNDFHPDNLAGRGGYAEVYKGVLSDGQYVAVKRLAKGSPSEQKEKEFLAELGIQGHVCHPNTSYLLGCCVENGLYLIFEFCANGTLASALHGKSGKTLEWPLRYKIAIGVARGLQYLHMFCRHRIIHRDIKASNVLLGDDFEPQISDFGLAKWLPKQWTHHSIIPIEGTFGYLAPEYFMHGIVDEKTDIFAFGVLLLEIVTGRRPIDCSKQSLLQWAKPLLEAGQATELADPNLGDDYDQDQLKRMIAVASRCIMRPAMWRPSMAEVLHFLSTDDCLKEPEKWNIPEDEVDDMDDCTLFSESCSP >KQL03028 pep chromosome:Setaria_italica_v2.0:VI:35868876:35870838:-1 gene:SETIT_014659mg transcript:KQL03028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKCPHRKVKKRRLSHKTARRGKFLLKADDAVYEELVKLADHGKDAEAKELPVDEDLPGMGQFYCLHCDRYFASESVKDEHYRSKRHKKRVKVMSGPAPHTQLDADLAAGMGMPDNGLKLMSM >KQL00691 pep chromosome:Setaria_italica_v2.0:VI:3994986:4001431:-1 gene:SETIT_013145mg transcript:KQL00691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLLELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGSIPKSAGFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQAPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANMYTQEDLPKQVVRTLNQGSNVMSLDFHPVQQTILLVGTNVGDIAVWEVGSRERIAHKTFKVWDIGSCTLPLQAALMKDAAISVNRCLWSPDGTILGVAFSKHIVQTYTFVPNGDLRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKEGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFLVKFWDMDNTNILTTTDCDGGLPASPRLRFNREGSLLAVTTSDNGIKILANTDGQRLLRMLESRAFEGSRGPPQQINAKPPIVALGPVSNVSSPIAVNAERPDRILPAVSTSGLAPMDASRTPDVKPRITDESEKMKTWKLADIVDNGHLRALHLSDTDTNPSKVVRLLYTNNGIALLALGSNAVHKLWKWQRSDRNPNGKSTASVAPQMWQPANGIPMTNDTNDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRIDDVCYCLSLIIVTPF >KQL00690 pep chromosome:Setaria_italica_v2.0:VI:3992713:4001431:-1 gene:SETIT_013145mg transcript:KQL00690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFYFNMKHFEDLVQGGEWDEVEKYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDRHDRAKAVEILVKDLKVFASFNEELFKEITQLLTLENFRQNEQLSKYGDTKSARNIMLLELKKLIEANPLFRDKLNFPPFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHSCAAPTNGARAPPPANGPLVGSIPKSAGFPPMGAHAPFQPVVSPSPNAIAGWMTNANPSLPHAAVAQGPPGLVQAPNTAAFLKHPRTPTSAPGIDYQSADSEHLMKRMRVGQPDEVSFSGASHPANMYTQEDLPKQVVRTLNQGSNVMSLDFHPVQQTILLVGTNVGDIAVWEVGSRERIAHKTFKVWDIGSCTLPLQAALMKDAAISVNRCLWSPDGTILGVAFSKHIVQTYTFVPNGDLRQQAEIDAHIGGVNDIAFSHPNKTLSIITCGDDKLIKVWDAQTGQKQYTFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDCLGSRVDYDAPGHWCTTMAYSADGTRLFSCGTSKEGDSHLVEWNETEGAIKRTYNGFRKRSLGVVQFDTTRNRFLAAGDEFLVKFWDMDNTNILTTTDCDGGLPASPRLRFNREGSLLAVTTSDNGIKILANTDGQRLLRMLESRAFEGSRGPPQQINAKPPIVALGPVSNVSSPIAVNAERPDRILPAVSTSGLAPMDASRTPDVKPRITDESEKMKTWKLADIVDNGHLRALHLSDTDTNPSKVVRLLYTNNGIALLALGSNAVHKLWKWQRSDRNPNGKSTASVAPQMWQPANGIPMTNDTNDGNPEEATACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSTIQIYNVRIDDVKSKLKGHQKKITGLAFSQSMNVLVSSGADAQLCVWSIDGWEKKKSRYIQPPANRSGTLVGDTRVQFHNDQTHLLVVHESQLAIYDGNLECLRSWSPRDALPAPISSAIYSCDGLLVYATFCDGAIGVFEADSLRLRCRIGPSAYIPPSMLPTSGRVYPLVVAAHPVEPNQIALGMSDGKVHVVEPLDADPKWGTAPPQDNGAHPAITAAPSAASNQASDQPTR >KQL01086 pep chromosome:Setaria_italica_v2.0:VI:8003120:8007107:-1 gene:SETIT_013615mg transcript:KQL01086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWAPVVAAVVGALLLFFLLDAGARRLHGWYREAALGAARRARLPPGEMGWPVVGAMWAFLRAFKSGKPDAFVASFIRRFGRTGVYRAFMFSSPTILVTTPEACKQVLMDDDGFVTGWPKATVALIGPKSFVAMPYDEHRRLRKLTAAPINGFDALTAYLPFIDRTVTSSLRAWSGECADGGEVEFLTELRRMTFKIIVQIFLGGADDATMHALERSYTDLNYGMRAMAINLPGFAYRRALGARRRLVSVLQGVLDERRAATAKGFTRSSSVDMMDRLIEVEDEHGRRLDDDEIIDILIMYLNAGHESSGHITMWATVFLQENPDIFAKAKAEQEAIMRSIPPTQQGLTLRDFRKMGYLSQVIDETLRFVNISFVSFRQATKDVFVNGYLIPKGWKVQLWYRSVHMDPQVYPDPKKFNPSRWEGHSPRAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYRLTRTNPSCRVRYLPHPRPVDNCLAKITRVSDEY >KQL02027 pep chromosome:Setaria_italica_v2.0:VI:29225081:29225818:1 gene:SETIT_015000mg transcript:KQL02027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNGKGFIARDLTFENTAGPAKHQAVALRCDSDLSVFYRCAFEGYQDTLYAHSLRQFYRECRVTGTVDFVFGNAAAVFQGCLLLARLPLPAQKNSVTAQGRLDANMTTGFAFQFCNVSAHDELLAAAAGSAGNDTGNGTAAFPTQTYLGRPWKQYSRVVFMQSYIGGVVRPEGWLAWDGEFALDTLYYGEYMNTGPGAGVGARVRWPGFHVMTSPAEAGNFTVAQFIEGNMWLPPTGVKYTAGLTS >KQL02563 pep chromosome:Setaria_italica_v2.0:VI:33226521:33229494:-1 gene:SETIT_014888mg transcript:KQL02563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQEAQATMLGSTLVEFKVDNEHNKHLAIGNAVHSDAIAVGGHMWRMNCYPCGVSGRDKGGHVSFFLELLNKSSSVEAIFGAWLKGNGQQNSTSATRTLAYGYITFVCAITVVSKNSIPVPPSDLGEHLARLLDSKDGTDVSFNVDGEMFHAHRAVLAARSPVFKVGLLGSMAEATMPSIPLNDIAPAVFRIILQFMYTDKLPGDDELRTSPFEMMQHLVAAADRYAMDRLKLICAQRLWANVSVDNVAAKLACAEMYSCSELKSKCIDFFAAEKNFKKSVLTEDFLQLGQNFPSIIVELRERVGT >KQL00180 pep chromosome:Setaria_italica_v2.0:VI:366870:367613:1 gene:SETIT_014399mg transcript:KQL00180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSSSVRQRPATAVSAAAQQPQLLQDDQQDLLLPKQEPEAAPSPPPPPPRSPLSQALTSTANLANLLPTGTLLAFNLLSPTFTNHGACDATTALLTRGLLAVLALSCVLASFTDSLKGPDGRVYYGVATPRGLWLIDYPPGAPPPAADTARYRLAFVDFVHAALSAAVFGVVAARDKNVVRCFCPAPARETEEVLDILPLGVGVLCSLLFVAFPTRRHGIGYPVTNGS >KQL02349 pep chromosome:Setaria_italica_v2.0:VI:31950270:31953275:1 gene:SETIT_015556mg transcript:KQL02349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEQKAASFLDVPKDIPIATKSLTIRTSAAGCGSGSDRSCPISPAISITPHLYSPSPPSSAFVSALQSPYISPRVLEPPPPPEPQPRPEAKAAGASVATTAAPSPASCSNGSHSEDIDAPSGPSAPHTPPSERYDSSGIDAAKINDGGGGPLPPRVSFSFPVPRVSFTRGSVASPSSNAKLRSCDVYIGYHGNGGLGRFCKWLKSELELQGIASFVADRAKYSDSQSHEIADRIICSVAFGIVVVTMSSFLNPFSLEEIRFFAQKKNLVPILFDTEPTEIAGLFDGKLEDKEGKEAFEGLMRCHEFKLEANESNSRSCVSRTVTLLRSKLGRKNIAEKENEASEGLPFPRNRHFVGREKELSEIEGMLFGSTVDIQEVDCPRASSTNERSSGVSDGFADEDSDTARKSNARFISLEMRKCKEPTLEAWIDPVIELSSGKSRSLQKQRSKHRRSRFRCNSKGYNSANVICINGSSGIGKTELALEFAYRYSQRYKMVLWIGGEARYLRQNILNLSMNLGLDISAEAEKDRGRIRSFEEQEFDAFQRVKRELFRDVPYLLVIDNLESERDWWEGKDLHDFIPRNTGATHVIVTTRLPRVMNLEPMQLPQLSYIDAMALIQGKRKKDYPPEETEVLRKFDERLGRLSFGLWVVGSLLSELMIAPSTLFEAVERISLSENLFPIGANDDGFCRNNSFLIKVLVFCFALMDRAKGGSLTSRMVIAGSWLAPAPVSSTLLAATASKLPMKGSGMHLFGESLKTAFLCGTHCFLAPNGRKAEVESALLLVNLGLARKANRHPGCWIQFHPITQLFGKIRGGLVPTTAAVNGVMRAGNPSVYSDHLWASAFLVFGFKSEPPAVQLKAVDMVLFIKKTALPLAIDSFMSFSRCGSALELLKVCTNVLEEVEKSYASRMQDWNRGSLCWRKKLQPNHRVDEFVWQEVTLLKATLLETRAKLLLRGGLFDTGEELCRTCISIRTVMLGHGHAQTLAAQETLAKLVRYRSKI >KQL02430 pep chromosome:Setaria_italica_v2.0:VI:32472608:32474467:1 gene:SETIT_013426mg transcript:KQL02430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLAILASEVDDASDFDVDGINSLSENDVSDEEIDAEELARRMWKDKIKLKRIKERQQKLALQRLELEKSKTKKISDQALRKKMARAQDGILKYMIKLMEVCNARGFVYGIIPDKGKPVSGASDNIRAWWKEKVKFDKNGPAAITKYEVENSILSNAKSNGAKDQHSLMDLQDGTLGSLLSALMLHCSPQQRRYPLDKGVPPPWWPSGNEAWWSSLGLPKGEAPPYKKPHDLKKVWKVGVLTGVIKHMAPNFDKIRNHVRKSKCLQDKMTAKENLIWLGVLQREEKSVNSFGNALSEITRNEDIYSSSDEYDVDRLEQPPHSTSSKEDEDTQPVLQIRGKKTSTRENKRRRRDKSSNQVVSKEDMTKSRQQQSLSGHPPVAEDEVEVTQRNDNPPEIGSSAIGDVNIFDPLDVVGITNQPACDPIPTYGSLQQHGDYQGNFLSPGAALNNYNSNQAASAALNKHNNNQAASAAQSSTYLSDPPLACEGSDIANSWSGHSFRQDVGHGPIGFNPSAIVQASSMQQQQPLPMDHHVPIMGTGALSGNGSYSYPAAGSGHSGTVASKAEQLMDDPFFGEATDKFAGNSFGGLPLSLIPISSPIPYLDELLDDDDLMQYLGT >KQL00263 pep chromosome:Setaria_italica_v2.0:VI:864586:870041:-1 gene:SETIT_013307mg transcript:KQL00263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKQFHGGDEHGDGKPRRRPSSNSLKRLVSYSSSKRHEDLEEEDEEGAVVAATSSTAGRRAGNDASTARLIRKPPAPVVEAVPALPEEAATLAIGVVDAERAVAAAAGNWKRAPADVQVNGAAEQEPRSAGPRTEGEAKPRIRDVPNGVQGEHVAAGWPRWLTEVAAEAVRGWQPRRAESFEKLDKIGQGTYSSVYKARDLENGKIVALKKVRFANMDPESVRFMAREIHILRRLDHPNVVKLEGLVTSRMSSSLYLVFEYMEHDLAGLASTPGLKFTEPQVKCYMRQLLSGLEHCHNRGVLHRDIKGANLLIDNNGILKIADFGLATFFNPNQKQHLTSRVVTLWYRPPELLLGATNYGAAVDLWSAGCILAELLSGKPIMPGRTEVEQLHKIFKLCGSPSEEFWANLKLSRATIFKPQHPYRRCVNDVYKDFPTTALALLDRLLAVEPGNRGTAASALDSEFFTTKPYACDPSSLPKYPPSKEYDAKLRDEEARRQRAAAKGQEAEAGRRKQLPGPDGNSGLQQRRVQVNPKSGSYKFTPKEDAVSGFPIDPPARAADNGYPQRVPLMHAGRSSSTLGRSSGVDPKAQRFHTSQIVGADMSNQSTAAGQRGNAPKMSNLGESARRQYLREHRSSSRYSQLTGADPSDRPEWTHQFQERPSSSHRKDDAVANKEPTVVNGTKKNRIHYSGPLMPPGVNMDEILREHERQIQQAVRRARLDKGKGKHNGERDQSEALLYTTVNIRADR >KQL01999 pep chromosome:Setaria_italica_v2.0:VI:28883557:28884251:1 gene:SETIT_014772mg transcript:KQL01999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTQGKSIKFSRRGMVSLRRRRPFQLMVLRRLRELKKIVPVGARRKADVDAVLRQTAEYICALELKVAILRRLSDIYGV >KQL02184 pep chromosome:Setaria_italica_v2.0:VI:30702102:30703091:-1 gene:SETIT_015677mg transcript:KQL02184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAEVDFDFSPFLIRYKSGRVRRLMGTSRVDAGADAATGVTSRDVAIDAGAAGLAARLYVPSDVLGRPEEKLPVLIYFHGGAFLVHSAFSGAHFPFLNALVSAARVVAVSVDYRLAPEHPLPAAYDDAWAALGWAVASCAAAAGSGPGDPWLSAHGDAARLFVAGDSAGANIAHNVTLRAGGSGLPGGARIEGMVLLHPYFRGEELLSSEGTDPKFLQRAERLWGFISGGRYGLDHPFINPPAMPAAEWAKLGCRRALVTVAGFDTLRDRGRRYVEALRGSAWAGEEAVLYETEGEGHVYFIDKFGGGGEKAKQEMAAVVSFIKRQS >KQL02424 pep chromosome:Setaria_italica_v2.0:VI:32429059:32430999:-1 gene:SETIT_014317mg transcript:KQL02424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGLRLRALGHRYYSCGGFKRGGLAAARGERRRRVGTASCSPAFCSLAASGNGNGAAVGPVGSGAEVACARRMLHVVLVSPLIPGNTGSIARTCAASAVGLHLVGPLGFNVDDTKLKRAGLDYWPYVVVKIHDSWDDFCDYFVKQEGEKRLLAFTKRGTSIHSDFSYKPGDWLVFGSETKGLPQQALEDCSREGLGGGTIRIPMVETYVRCLNLSVSVGIALYEAARQLNYEQLQYQPELPEEAQGLFPAEDIYA >KQL02741 pep chromosome:Setaria_italica_v2.0:VI:34294089:34298598:1 gene:SETIT_014039mg transcript:KQL02741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGDPPGSADEKKSPKPEGSSNEHQGLPPAGFSNPFDFASMQSLLNDPSIKEMADQIARDPAFNQMAEQLQKGAQSTGEQGMPPLDPQQYMETMQKVMENPQFMTMAERLGNALMQDPAMSSMLETFSSPSHKEQLEERMSRIKEDPAMKSILDELENGGPAAMMKYWNDPDTLQKIGQAMGGSFPFGAGSSAEPSGTEEAEEEGGDEDESIVHHTASVGDDKGLKKALDGGADKDEEDSEGRRALHFACGYGELKCAQVLLEAGAAVDALDKNKNTPLHYAAGYGRKECVDLLLKHGAAVTLQNMDGKTPIDVAKLNNQDEVLKLLEKDVFL >KQL02785 pep chromosome:Setaria_italica_v2.0:VI:34522599:34526119:-1 gene:SETIT_015176mg transcript:KQL02785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLLLPMVSRVAGKAADVLVQSITRMWGVDDDRRKLERHLLAVQSLLADAEVKSENNPAVRRWMKDLNVVAYRADDVLDDFQYEALHREAQSHRSMTSKVLSSFTLHNRLVFRHKASRDLKNVLDKIDELVMEMNKFGFMERAEVPHALYRQTHSVLDESVEIFGRDEDKEALVKLLIDQQDQQNVQVLPIIGMGGLGKTTLVKMVYSDYRVQKHFDVKMWYCVSENFEATAVVRSVIQLATNGTCSLPDTIELLRGKLQEVIGQKRYLLVLDDVWNEEQQKWDDDLKPLLCSSIGGFGSMIVVTSRSRQVASIMGTLPPYELACLSEDASWELFSQKAFSKGVQEQEEFVMFGRCIVNKCKGLPLALKTMGGLMSSKQQVQDWEAIAESNISDTSRGKDEVLSILKLSYRHLSSEMKQCFAFCAAFPKDYLMEKDKLKGEFIFNELAWRSFLQDVDVQSFSKEILCKMHDLMHDLAKDVTDECASAEELIQKKTPMEDVYHMIMSDCKLEEISGLKGTLSLRTLLTQSRLRDLKKFKLTSLRALCCIDPAFIHSPRITTLRKLSHLYLCGCENLERMPPKLSLLCNLRTLTTFVVDDTGDGFGIEELRDMRQLGHKLELYNLRKVKSGSKANLHEKQKLSELRLYWGRDQDYKPLNDVISNNVEEVLESLAPHGELKILEVHGYSGHEISRWMRDPQMFRCLRALGIANCPRCKDLPIVWLSSLEHLYLSCMGSLTTLCKNVDVEGEAYNTSLQIFPKLKIVELSHLPKLEKWAENSAGEPYSSVMFPQLEELRIDNCSKLAHLPESAALTHLSFSFVKRARLCRCIDSAEGLVPMSISLGSCPSLVSLEVGMLADVVMLFDDQQSQSQRSVDTLRNLKLSGDDAFVSIFNISKLQLGLGDCFAFLEELDISGCDSILRWPVEELRCLPLLRSLSICLKNLEGTGSSYEEILPLPRLEKLYIYFCHSLLEIPKLPASLVEVEICWCKSLIALPSNLGNLAKLRWFRLHSCQGLKALPDVMNGLTSLEVLTILRCPGIEKFPEGLLQRLQDLKCLHIKDCPELQRRYGQGWEYFDLVSSIPRKHIPAAEPKREKSLKRFLRHC >KQL01475 pep chromosome:Setaria_italica_v2.0:VI:20268733:20269550:-1 gene:SETIT_0149431mg transcript:KQL01475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDSFLATACPAWTCDRHFTLSVDEALAWALSAISAPCGGVTNSAAATTPSPPNAMALLPLLAAAFLPAPVAAAVAISSLASLALATDLSEEEYTKLNHAICAIYAYDNATSAVDHAPPVASHRVVCRRPLCINTNLDVCTLWVVLAERHGHRDDPLRVYCAVHSLKGAASPSIFFPWRNTWRAHLPIADPGAAAASGDRLCYVELAHMEYREGYYVLCPASDGHAHVSCTEFPDEAVAAAVWEHRRLTYRDTVVPKCERYKYGAAGQQFW >KQL02689 pep chromosome:Setaria_italica_v2.0:VI:33986687:33987584:1 gene:SETIT_014846mg transcript:KQL02689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIATPLEKGMSNLHPLLEEVHLSSPAQFVHSMSLKQLVREPLSQLKAHC >KQL01029 pep chromosome:Setaria_italica_v2.0:VI:7473926:7476579:-1 gene:SETIT_014471mg transcript:KQL01029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARAALLLRRQCLGAAAANPYLFSGHGLRYRKLEVILTTTIDKLGKAGEVVKVAPGHFRNHLMPKMLAVPNMDKFAILIREQRKLYQREEEVVKEVTKEDDDARLQEERLKQYQTAAKRLDNALLVLRRFISTGNELRTPVTKDEIVSEVARQLNINIHPDNLHLQSPLVSLGEFELPLRLPQDIPRPEGKLQWTLNVKIRRK >KQL01145 pep chromosome:Setaria_italica_v2.0:VI:9433066:9433942:1 gene:SETIT_015511mg transcript:KQL01145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDPRELWKSLSQRYEQQKTIVLPKATHEWNHLCLQDFKTVDEYNHVVHNVCSKLRFCDKEPSDEDKIEKTLSTMLPSERSITQQYRERKFIVYSSLIQALRQVEKNHEISVWNSTQRPLGTAPLPEVHANPKRARKPRGNFKKGKGISKPKNDNSNKITCYRCGCYNHVAKKCRTPKHLVDLYMKSIGQGQNSQKYEAHFTSQVLETGAMDPIPHGAGPSDTKTPPIEEDNFLDVDNMLVEYASNDMFGDII >KQL00679 pep chromosome:Setaria_italica_v2.0:VI:3946173:3949441:1 gene:SETIT_014204mg transcript:KQL00679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSRAAPCGAAPAASFARSSNSGFHAMIWPATANNPNRIILPSVRAAHRRPYRAGGRATAGVCCASQTVELLPALCPEIVVRDARLEDCWEVADTHCGSFFPGYKFPLDLVLRIDRYIALLSGFTVPPGCMRTCLVAVNSNSVDNSFDIECGDPRDAGFQKYSLSRGSIAGILTIDTVADYLPRRGSLKQRRTGIAYIANVAVREEERRKGIAKMLVQEAEARARSWGCRSMALHCDVNNTAALRLYENQGFKRIRVPEGARWPEPKIAKGVQYSFMMKLVPKI >KQL00678 pep chromosome:Setaria_italica_v2.0:VI:3946173:3949441:1 gene:SETIT_014204mg transcript:KQL00678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSRAAPCGAAPAASFARSSNSGFHAMIWPATANNPNRIILPSVRAAHRRPYRAGGRATAGVCCASQTVELLPALCPEIVVRDARLEDCWEVADTHCGSFFPGYKFPLDLVLRIDRYIALLSGFTVPPGCMRTCLVAVNSNSVDNSFDIECGDPRDAGFQKYSLSRGSIAGILTIDTVADYLPRRGSLKQRRYCIYSKRRCARGGTA >KQL00851 pep chromosome:Setaria_italica_v2.0:VI:5662226:5662762:1 gene:SETIT_014945mg transcript:KQL00851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFILAMILLASLATMFTTGAACDNVSSTKMEYACRACHAKWYHVCRETLQSAPDAAEVTTYALIATKKANLKYGDTMDKISMMLGDGNLPGKDREAISHCKERYGEAGSQMVSGANHLSGCDFRHTRQEYMDALTAIRSCLDKLHDSFQSLPLYGMVAADFALTGVANDLEALMNVD >KQL01129 pep chromosome:Setaria_italica_v2.0:VI:9014002:9015266:-1 gene:SETIT_014929mg transcript:KQL01129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECCRGGLLPRSTGLPDLVPDGEEDRISPLPDDMLLQILVRLGCARAAAHTGLLVRRWRGLWARLHKLTFHRISPDPLDAALAMVARLAPSLLDIHFFHHHMLEPARLDLYYVGFTLPPAGGFPALESLHMENCHIDITDMLPRCPLLKKLWELAVYANRQIRHINIVAPALKKLYLDAHCGIHKKFTLSFSAPAVEDLTLKRECRAISYRFGVIWRMWSLTFSTCLQPLGHTQLANNSESMYLYPLHRPRGVLSLNLETNVLSGDAAMTFEQGIYRFQVTDFSVLELDVTQSGHVYGAIVLHLLGLCTSIQRLKVTLVQRSDIYIIMRVHCS >KQL01944 pep chromosome:Setaria_italica_v2.0:VI:28354293:28359769:-1 gene:SETIT_015676mg transcript:KQL01944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLEGGTVNLLSRSSGEYNISELGFHKRKAGQDKARSSEKAYRCSSREMHIFGSVDSGSSSVVHRAIFIRVHRIMALKKINVFEKDKREQILNELGTLSEACCYPGLVEFHGAFYKPNSGAIYFALEYMDGGSLADIIRVKKFIAEPVLAHMLVKVLPALRYLHEVKHVVHRDIKPANLLVNLKGDVKITDFGVTSGLHDSVSTCATFVGTVTYMSPERIRNYSYAADIWSLGLTVLECATGRFPYCVNGGLSDLMLQILDDPSPTPAKYVYSPEFCSFISACLQKDADARPTCEQLLSYPFIDRYRRTGVDLPSYFKSVHDPTEILWQIAHMLAVHYYLIFYGSDNVWRYMKTFYREESVFSFLGEEHVGQSDIFRTLSRIRKMLKGKRPRGKIVHVIEKVRCCAHGEEEGVAIRVSGSFIVGNELLVCEDGKRVGRFREEFFMEPGHAMGCFIISRQKLQIVEK >KQL00481 pep chromosome:Setaria_italica_v2.0:VI:2405635:2409926:-1 gene:SETIT_013409mg transcript:KQL00481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQTGKRPRQHREYEREERKDQNKRPFSHAQESSNNDGLVVYRILCPDSVIGSVIGKNGNVINAIRQQANAKVKVVDPYPGADKRVILVYCYVKHRDLDADDGNDNEPVCAAQDALLRVHNAIVDALDTLHKNRKDSDKKNIEEANILVPASQAASIIGKSGVVIKHLRSTSKAFIKVSPKDPSDVTHSCAMGFDNFVQITGGAEAVKKALFGVSTILYKYPSKESIPLETSVPEPTPSIMVPSELPVYPASNFYSAPDLAIPSGHPSLSILGSTPHVPELTLSADGHGRLPVYQSVLPIIPTYSTPKCSGELEFRVLCPGNKIGLVIGRAGSTIKSIRQESGARIDVDDAKNDKEESIINITSTEATDDVKSAAVEAVLLLQAKINDYEEDRMSLRLLVPNKVIGCLIGRGGSIINDMRKKTKADIRISKGDKPRRASSSDELVEIVLRLREDVLKESVDSQNSDKDGKLTVSTTDSLYGSSLPLPALFPHTHSQQISPLGYDRRGETERGLEIFPRTSSYGYNSLQVADDDRYGLSSYTSKAYEGRLPRVEMTIPASGLSKVMGKRGTNLDNIRKISGADIEIIESKSSRHDHVAYISGTSEQRQSAENLIKAFIMST >KQL00482 pep chromosome:Setaria_italica_v2.0:VI:2406539:2409926:-1 gene:SETIT_013409mg transcript:KQL00482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQTGKRPRQHREYEREERKDQNKRPFSHAQESSNNDGLVVYRILCPDSVIGSVIGKNGNVINAIRQQANAKVKVVDPYPGADKRVILVYCYVKHRDLDADDGNDNEPVCAAQDALLRVHNAIVDALDTLHKNRKDSDKKNIEEANILVPASQAASIIGKSGVVIKHLRSTSKAFIKVSPKDPSDVTHSCAMGFDNFVQITGGAEAVKKALFGVSTILYKYPSKESIPLETSVPEPTPSIMVPSELPVYPASNFYSAPDLAIPSGHPSLSILGSTPHVPELTLSADGHGRLPVYQSVLPIIPTYSTPKCSGELEFRVLCPGNKIGLVIGRAGSTIKSIRQESGARIDVDDAKNDKEESIINITSTEATDDVKSAAVEAVLLLQAKINDYEEDRMSLRLLVPNKVIGCLIGRGGSIINDMRKKTKADIRISKGDKPRRASSSDELVEVSGEADKLRDALVQIVLRLREDVLKESVDSQNSDKDGKLTVSTTDSLYGSSLPLPALFPHTHSQQISPLGYDRRGETERGLEIFPRTSSYGYNSLQVADDDRYGLSSYTSKAYEGRLPRVEMTIPASGLSKVMGKRGTNLDNIRKISGADIEIIESKSSRHDHVAYISGTSEQRQSAENLIKAFIMST >KQL00491 pep chromosome:Setaria_italica_v2.0:VI:2506772:2511871:1 gene:SETIT_013367mg transcript:KQL00491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASSRSVQILLLICTCFLLPLSLAAQGADDKNSVQLVDSKFSFSFDFANESSYQADHLSFEGNASRQSNRIDLTCDSRGSIQSCKGRMSYNDPVTFYDDTGALACFSTSFTFAILAENYSTAGDGLAFFLSDYPSKMLPDSEGGHLGLISETTASQHFVAVEFDTYQNYWDPSTNHIGIDISSIRSSNYTNLPRLNGTMTAKVQFDNTTNMLLASLWFDDHLDIDPVVVTYVLTDPKSLLPGQVAVGFSSTTGTSTELHQLLAWSFNSTLPARSPHKGQDNRKSTIITVGGALALVMLVLGAWSIISCWKWRRNRHHGFEKLGKHEPTRFEYHDLEAATDHFSEERKLGAGSFGVVYRGYLKKLGCEVAIKKILDKSQVVGPNMDFYAELDTITSVNHKNLVKLVGWCRGKSWNFFEFLCWCWKKENDELFLVYELVPKGSLQDHLDNKEETLPWRTRYKIVKDIASALLYLHHECVPVILHRDIKPSNILLDNNFNAKLADFGLSRITDPGCSKVLTRAVGTEGYIDPQCRKDGVVEFSRNSDVYSFGIVLLEIACKQGMVRERVLQQYINRSLLQQAADDKLKDEFNRSEMENVIILGLWCSYPDDSKKRPSMQQVVAVLEHGRTFPDDLNSLLDTTSASTQQETYMVLQAPSSASSTSYDSMMHA >KQL00726 pep chromosome:Setaria_italica_v2.0:VI:4389044:4389075:-1 gene:SETIT_015743mg transcript:KQL00726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLRRSAA >KQL01403 pep chromosome:Setaria_italica_v2.0:VI:18830259:18831611:-1 gene:SETIT_015307mg transcript:KQL01403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSTTVALQIVVGLLLALTVTTESGSAPVNTSCVTGSAGATVSIGYGGARASAGAGMSLGVGVYRATCPRAEEIVRAAIERAVAADPRMAASLLRLHFHDCFVNGCDGSVLLDDKPPFFIGEKTAVPNANSLRGFEVIDTIKAELERECPETVSCADLLAIAARDSVVVSGGPNWEVEAGRKDGRTASLQGANTNLPAPTSGVATLVQKFRNVGLSAKDMVALSGAHTIGKARCTSFSARLVSGAGADVSAGGAVGAASKDMAFLQSLQQLCSGSAGSALAHLDLATPATFDNQYYINLLSGDGLLPSDQALASPSAGAMPGADDDGVAGLVATYAFDASVFFQDFAESMLRMGRLAPGGAGGEVRTNCRVVNSSS >KQL02001 pep chromosome:Setaria_italica_v2.0:VI:28896498:28898502:1 gene:SETIT_013646mg transcript:KQL02001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHYTMHATINYAALPPTSPLQLPLPYLPPPPPPPLSLPPLLSPPPPVAASSTDAGFQSRISPSVLLIILILAVIFFVSGLLHLLVRFLLRPSPRDAGDAYGGDANATAFQGQLQQLFHLHDAGVDQSFIDALPVFLYGAVVGAGGKDPFDCAVCLCEFADDDRLRLLPKCSHAFHVDCIDTWLLSHSTCPLCRRSLLADFSPCGGGCSPLVFVLESGSEASVSDRFDAVSSARLSFVMEQEEAGQDRKHVAAEPVEKKDEVVVPVKLGKFRSQATEGAGGSDNTGSQDVRRCFSMGTYEYVMDESSLLRVAVKPPEKKRPATRMPGHRVAMSECDCHSKREGFRGFDAPPKQQQPSKASVDKRESFSISKIWMRGGPRRKDVSSGGAIAAGSCSNSRRVSSFRLSSALQRAASDVGVAAAVPKRRADVVSPVTESEYNVSTWDKSASGSVVDWDLESAGGGHSLSSRADEAPSFARRTLLWIRGHL >KQL00142 pep chromosome:Setaria_italica_v2.0:VI:186816:187457:1 gene:SETIT_015337mg transcript:KQL00142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPATVIHMDGGKAPPTTGDAAGSSSKAAGRGLPLILRSSGGGGFRRCLAVIDFLLRVAAFGPTLAAAISTGTADERLSVFTQFFQFHARFDDFTAFIFFMVANAVAAGYLVLSLPFSAVGIVRPKATGVRVFLLVCDVLVMSLLTAAGAAAAAIVYVSHWGSLRANWVPICMQFHGFCQRTSGAVVATFLAVLVLLVLILMAACSIRRRRH >KQL02704 pep chromosome:Setaria_italica_v2.0:VI:34073534:34078058:1 gene:SETIT_013351mg transcript:KQL02704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSEDSLRRALAERQAAVDAQAEAVRALKAGGSASKADVDAAVEALKALKIEAGAAARRLQQAVGAGAGGAAREELRQAVVNTLERKLFYIPSFKIYRGVAGLYDYGPPGCRVKANVLSFWRQHFVLEENMLEVDCPCVTPEIVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCKEKLEKDLALPQEKADEFKRILAILDDLSAEELGAKIKEYGIVAPDTKNPLSDPYPFNLMFQTSIGPTGLSVGYMRPETAQGIFVNFKDLYYYNGQKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFFDVADLEFLMFPRELQLSGESAKLMKLGEAVSKGTVNNETLGYFIGRVYLFLTRLGIDKGRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSEKSGVPLVAHEKFSKPREVEKLVIVPSKKDLGLAFKGNQKMVLEALEAMSEKEALEMKAALESKGETNFKVCTLGKDVVITKKMVSISMEKKLEHQRVFTPSVVEPSFGIGRIIYCLFEHSFYTRPSKSEEEQLNVFRFPPLVAPIKCTVFPLVKNQEFDDAAKVIAKALTTAGISHIIDTTAISIGRRYARTDEIGVPFAVTVDSATNVTIRERDSKEQVRVDIGEVASVVKQLTEGQSTWADVSAKYPAHVGPQGDQE >KQL02482 pep chromosome:Setaria_italica_v2.0:VI:32822243:32824290:-1 gene:SETIT_014036mg transcript:KQL02482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTAKGKVCVTGASGFIASWLVKRLLESGYHVLGTVRDPGNHKKVGHLWDLEGARERLELVRADLLEEGSFDDAVMACEGVFHTASPILTKSDSKEQMLNSAINGTLNVLRSCKKNPLLKRVVLTSSSSTVRIKDEADLPPNVLLDETSWSSIEYCESLQIWYAVAKILAEKAAWGFAKEHKIDLVTVLPTFVIGPSLSPELGPTASDVLGLFQGETGKFTVYGRMGYVHIDDVASCHILAYEAAGAQGRYICNAVVLDCGDLAALLARRFPSYPIPKSLPNIYGEQSYDYDTSKARALGMRGYKGVEEMFDDAVESLVGHGHLPTENASTGSLL >KQL02942 pep chromosome:Setaria_italica_v2.0:VI:35417277:35418746:-1 gene:SETIT_014629mg transcript:KQL02942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEAAAAMGPGGDKLILRGLQFHGFHGVKQEEQTLGQKFVVDIDAWMDLTAAGESDSIADTVSYTDIYGIAKDVVQGSPHNLLESVAHSIAVATLVKFPQISAVRVKVGKPHVAVQGVLDYLGVEIMRRRKKA >KQL00517 pep chromosome:Setaria_italica_v2.0:VI:2718297:2722029:1 gene:SETIT_014185mg transcript:KQL00517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSEAVKSAEAVLEWNKQDNKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPDEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGEGFGHFGIANEDVYKLAENIKSKGGNITREPGPVKGGSTVIAFAQDPDGYRFALIQRAETPEPLCQVMLRVGDLERSIKFYEKYTIAKLGYADEDKTTVLELIYNYGVTEYSKGNAYAQVAIGTNDVYKSAEAVDLATKELGGKILRQPGPLPVINTKITSFVDPDGWKVVLVDHADFLKELQ >KQL00516 pep chromosome:Setaria_italica_v2.0:VI:2718297:2722029:1 gene:SETIT_014185mg transcript:KQL00516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSEAVKSAEAVLEWNKQDNKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPDEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGEGFGHFGIANEDVYKLAENIKSKGGNITREPGPVKGGSTVIAFAQDPDGYRFALIQRAETPEPLCQVMLRVGDLERSIKFYEKYTIAKLGYADEDKTTVLELIYNYGVTEYSKGNAYAQVAIGTNDVYKSAEAVDLATKELGGKILRQPGPLPVINTKITSFVDPDGWKVVLVDHADFLKELQ >KQL00515 pep chromosome:Setaria_italica_v2.0:VI:2718297:2722029:1 gene:SETIT_014185mg transcript:KQL00515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSEAVKSAEAVLEWNKQDNKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPDEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGEGFGHFGIANEDVYKLAENIKSKGGNITREPGPVKGGSTVIAFAQDPDGYRFALIQRAETPEPLCQVMLRVGDLERSIKFYEKALGMKLLEKKDVPDYKYTIAKLGYADEDKTTVLELIYNYGVTEYSKGNAYAQVAIGTNDVYKSAEAVDLATKELGGKILRQPGPLPVINTKITSFVDPDGWKVVLVDHADFLKELQ >KQL00514 pep chromosome:Setaria_italica_v2.0:VI:2719173:2720492:1 gene:SETIT_014185mg transcript:KQL00514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSEAVKSAEAVLEWNKQDNKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPDEKYTNAFLGFGPEDTNFALELTYNYGVDKYDIGEGFGHFGIANEDVYKLAENIKSKGGNITREPGPVKGGSTVIAFAQDPDGYRFALIQRAETPEPLCQVMLRVGDLERSIKFYEKALGMKLLEKKDVPDYKYTIAKLGYADEDKTTVLELIYNYGVTEYSKGNAYAQVRLKSFIHSIYLIRSCHFYDPPYIRAGCYWHQ >KQL01223 pep chromosome:Setaria_italica_v2.0:VI:11183976:11187733:1 gene:SETIT_013262mg transcript:KQL01223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAGARRSTRVFMPKAPKPLQPQDQADPATRVLRSGKRLAADRIRWDAKDAAAFHVDVNQDQQRQKEDPLKPVLPPLTKSFGIVYTRKRRRRRHPAAEVLAEDADRSRRFGIVYTRRRGKRLKVAPRLTQEPDVSSDLAAAIPCSSSQEFASRTGFLDAHFLALDGAAARSGALTLVVLVDTSCSGSSHRFLGLLLPVLRWMRCSQQRGKVWNLATFVLSAGVAAAFASQGVHFVKLQRRRASALLHRPLVQCGWCALHGAKKSEPLVSVIFSALPSYFWSLHSAVALDSMYLPAVIRQSSPLVGGAEEIYPHTPLYVDYGAQSTGIAKPTADVGSDEPCRAVQDYVPLVQVAGLVVHDLRLKKHQRKRRSMRHPRNRRRITSKLPDNGIGMKQSTAAIQTEVKLPSSRQEPPVEPVQPKAALEISLDLLENMDESDVSTPMGSTRRKRSSVKSPVDRMNERLALAEVRQNIDSVHSKANLLIIQADRCWREEGAEVMLELSDTNKWCIVVKIQGVTRYSLKPSDSRLHVINRHTQAYIWAVDDAWKLEFTDKWDWLLFKELHVVGQERNSQGKTIPIPGVHEVSVDMEGIVADPFSRPVPDYIRVVDDEVVRALSRDSIYDMDSEDERWLIQLNHADFNQNSSQRNHISYEDFEMIISIFEKDAYNNPQGTNDLGELLSRYPALGKDDNVHDVYEYWTNKRSKRAAPLLRIFQGVPLRRGHLSQKTAMKRKRSLKRQRSQAGRGKPEALLQDHAEEEAALQRVVQAERAAKHAVETAIRQRNRAQSLMANAELATYKSIMALRIAEAARISDSSRDIVCTILD >KQL01401 pep chromosome:Setaria_italica_v2.0:VI:18815212:18816479:-1 gene:SETIT_014890mg transcript:KQL01401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WVEFHEVELEHIFWRWDVSAMVFWKGNIREYGGQEYLRVILVDEQMEAVACGDHHMMFNSVLIEGETYDFLGVYFTPTYVDPIPNMYRLCEYYVVVFLPDTVVKTPQRPIWISECPRAFRKLEDVYRQPVDTFAGDSSIYTHFHLTKYDAWLYMRLRSKIGVTSGGGLTGTWKNFIIIHVNDPHLQRHIWEWRRAAYQFKTLAALHVKISTMQGGVTTTDYSQIIFSPICSDAYDLKDLSKRIRAERKQITMTARALTLDIINK >KQL01460 pep chromosome:Setaria_italica_v2.0:VI:19940935:19941259:-1 gene:SETIT_015434mg transcript:KQL01460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECDYMAMEQDGSAIVGLLPRKMTEELILSKLAGRVSCGKTCFTGTCDDSSCTCSYDFLPPLCVRGAESHSLPA >KQL00880 pep chromosome:Setaria_italica_v2.0:VI:5962021:5963034:1 gene:SETIT_014692mg transcript:KQL00880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLSFVPCGCRAGPIDDAPPAADHAGDAAAAARRRRRRRRAAAAAAHQWRPSLGDIYEEHSTDAAKAAAGGPARTRKAASWDVARVLPRSDESRHLESSSSMPAFAPTAYLF >KQL00917 pep chromosome:Setaria_italica_v2.0:VI:6519195:6521138:-1 gene:SETIT_013725mg transcript:KQL00917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSDAPGGCPRVVLFPLPYQGHIEPMLRLAAAFHARGLAITVVHTETRAPDRRKLPVDYDFVSIRDGVPPELAESSDVAPFVLTLNRSCAAPFREYLAGARDVVCVVADVDWFAPLGVARELGVKALPLMTSSAAKFRMYLAFPLLEEKGYLPIRESNLDTDVKELPPLLVRDLHHERDATRYRAYADLLTHMVAGVRQSSGLILNTLDAIEGTDISNIYQDIVVPVFAVGPLHILSPSVDSSLLLQDRSCIEWLDAQPPSSVIYVSFGSLVSIDAHELTEMAWGLAGSKRSFLWVVRPRLVRGCESSELPAELREEVRGRGRIVSWAPQQEVLKHPAVGAFLTHCGWNSTLESILGGVPMICRPLGGDQLCNARYVCQVWKVGVRLVEVENQLTRGDVQLGVERLMGREEGDRIRERIRDLRDAAVKCTSKGGSTDASLQRLVDFIVSP >KQL01586 pep chromosome:Setaria_italica_v2.0:VI:22521056:22522256:-1 gene:SETIT_014660mg transcript:KQL01586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDAPHVLVVDDSFIDRLVASQLLKNCNARVTIMEGPNQALEFLNREHDVKLIVTDYCMPGMTGYDLLMEVKESPKLKHIPVVIMSSDHIPERMKKCLDAGAKEYVLKPLNVVDMPRLLSYT >KQL01415 pep chromosome:Setaria_italica_v2.0:VI:19169532:19175373:1 gene:SETIT_015294mg transcript:KQL01415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFDDDDDGVQPEFKAVHKYSFEVAKDEYVCFSILPFQFDENDKVGDCDSEKKVYLRGVMDKSLYLVHKQVVAWRVGLDCEQPNISVLSSEGNWVKLLDPWKCYKDEIARSILITVQMLHFLRKQHRDKRSLWDRLWDHLNEVFNKLGTKPAIDDLRKHHPLIKLFQERDPAFMKLKPRASVTEVQFTGSDETHERNNDTDSDCGDDGNNDHSDYGDSNSDGDTNNDDGTDTLCALCDDGGRLLSCIGQCKRSFHPRKEDGRESKCKTLGYTSAQLQEVDSYLCKNCEYKEHQCFKCGELEPSAEPNAKVFKCNNPTCGHFYHPKCVAKLLLPDDSRGSCELAKRVRTGMSFTCPVHWCFECRRMEDRTQRAMQFAVCRRCPKSYHRECLPREISFETKDKNTKQRAWKLSGIVIIYCLDHKICKPTGNAERGHIKFPHTPKITKVGGLAKKKGKMAGKRKKSIDQCSKKSTKVLNWLPREKIEHTQNSLEHMVLEPECSAMNLKEDLQIEPSVVDSRTKK >KQL02517 pep chromosome:Setaria_italica_v2.0:VI:32987085:32989862:1 gene:SETIT_013670mg transcript:KQL02517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSGGRGPGPWRWPAALRRLSRLAPPTPAPADPVVVRVDSSNVARLGAPKPGPRPRQLLSLPPFPAGADPLPGRKVVPRRVTAVSWVKHYFADVPQEAVQAHFNRRMVFSECSEHEFSAESIRTQKHHLKKIKHNDVMEPGMRIHLPVSVAEGEIKKRYETIPTATLHPNKDEIEYLRRLVIHRDSSILVLNKPPKVPMKGHLPVHNSMDVLAAAALSYGNKEGPKLVHRLDRESSGLILMGRTKESFTQLHWLFTSVNLARTTSQTWNKACEAYVQKYWALVIGTPKEREGVISAPISKVLLDDGKAERVILAHPSGIDGAQEAITEYRVMGPTINGCSWIELRPLTGRKHQLRVHCAEALGTPIVGDYKYGWFVHQRWKQNPQPDFEPFTGEPYKIRRPEGLEVQKGSVLSKVPLLHLHCREMVLPNIAKFLSSIGEWHDGGAAWAKEKPNLLRFIAPMPPHMKISWNVMSSYLV >KQL02123 pep chromosome:Setaria_italica_v2.0:VI:30103010:30105130:1 gene:SETIT_015227mg transcript:KQL02123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLAKAWGWEMLLPYEGSVECWCNYLQQYYKENCSVIVEVTGSNLVDAAETCLKKENELVSLWDSKLKECTDDILPRNTFILSSLIQEFAGKVKRTGEGISDASTAALLCITKEANLICEVLSRGAKPMVYLIIQSTEIRMCTLSLMYHQLYGVAAAAAMVGIMKETDKICDWMSKNNKPFDPFDMDLDNDFELGRQVRFRTLHLMISILEKSPFSVPAAAGHKVVKEKSFSGNVPSNDENNITRAEAANTT >KQL02910 pep chromosome:Setaria_italica_v2.0:VI:35233329:35237532:-1 gene:SETIT_013616mg transcript:KQL02910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMDAASIIGYFNGKSILITGATGFLGKILVEKILRVQPQVHKIYLLVRGIDEPTARQRVQQEVIDTELFGLLREKHGKGFQQFVEEKVVALAGDIIHHNLGQEAPMLEALSKEIDVIVNIAATTNFYERYDVSLDVNVMGVKHLCQFAKQCARLKMFMQVSTAFVSGFSEGLILEKPIKPGESLREGTHLDIDTELRLVREVKKQLTMNDGAASDDKKAEERKAMKELGLQRARHFGWSNTYVFTKAMGEVLLGHLRGDIPVVIMRPSIITSVRADPVPGWMQGTRTIDTIIIGYAKQNLSCFLADLDLVMDVIPGDMVVNAMMAAMVAHSEEKGALVVYHSTSSLRNPAKYNVLYQSGRRHFYENPRVGKDGKAIPTREMYFFPTIARFHLYMIFTYKIPLEILHLVNLLLCGFFSRLYNDLNRKYKFVMHLVDVYGPFAFFKGCFDDMNLERLRLMMAMKTPEDQMFNFDPKTIDWDDYFTRIHIPGVLKYLCK >KQL02032 pep chromosome:Setaria_italica_v2.0:VI:29285392:29286569:1 gene:SETIT_014510mg transcript:KQL02032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRQSIFHLGEEGGAAVHHHRVGVVGAAAMAGANGRRARERERLVVGLQILVHHQHHHHHHNQHHSHGRHAHAANIVLKQVVRPRSAAASRHGAVSCSFLKACSLCRRDLSPSKDVYMYRGDQGFCSEECRWEQILVDEARERQAAGSKERQRRGQAHHHSPHRTPNRGRPPPRKTLAVA >KQL02810 pep chromosome:Setaria_italica_v2.0:VI:34720357:34721203:1 gene:SETIT_0148631mg transcript:KQL02810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSVLFNPVTGTFRRIKKFPGEPHPASPVPVVPLGVGGDSFFHAGRNEVGVWRAEDDKWTVRHVGYAVSLRMAALCGGSVYALDTEGYTFKIELPSLYATKVAAPSLRDKHHTALAGAVEKGYLVEAGGKILFVWPLYTTSRVRGKRDFDPELFDSDDDDGEDDYFFDDVTTLSGFDVYRLDMEEMRWVEDRLAGDVALFVSRWSSFSVRASEKGCVSNRVYFVCDEGAGNTWGAFSLAERRMLFEHAIGAGIYKERLWFYLSPKEPDQEDMDRRLDEIGYI >KQL00530 pep chromosome:Setaria_italica_v2.0:VI:2806717:2812930:1 gene:SETIT_013192mg transcript:KQL00530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKAASARLLLRSLSSSAPVPGKSRLAAARLALPRPRVAGPARSTAAAAAAAWRWSGTGARFAGARAQIGAAVPAVERLQRRMATQATEHAFKNILTSLPKPGGGEYGKFYSLPALNDPRIEKLPYSIRILLESAIRNCDNFQVTTNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQLNMELEFSRNKERFSFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANKMFVDYNEPQPERIYSSYLELDLDEVEPSISGPKRPHDRVPLKDMKSDWHACLDNKVGFKGFAVPKEQQGKVVKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSAAISENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGIGKDGKEVYFRDIWPSTEEVAQVVQSSVLPDMFKGTYEAITKGNPMWNQLTVPEASLYSWDPKSTYIHDPPYFKDMTMSPPGPHAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLSVFDAAMV >KQL00531 pep chromosome:Setaria_italica_v2.0:VI:2806717:2814321:1 gene:SETIT_013192mg transcript:KQL00531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKAASARLLLRSLSSSAPVPGKSRLAAARLALPRPRVAGPARSTAAAAAAAWRWSGTGARFAGARAQIGAAVPAVERLQRRMATQATEHAFKNILTSLPKPGGGEYGKFYSLPALNDPRIEKLPYSIRILLESAIRNCDNFQVTTNDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLAAMRDAMAKLGSDANKINPLVPVDLVIDHSVQVDVARSPNAVQLNMELEFSRNKERFSFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGILYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLTGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMGKLSLADRATIANMSPEYGATMGFFPVDHVTLDYLKLTGRSDETVSMIEAYLRANKMFVDYNEPQPERIYSSYLELDLDEVEPSISGPKRPHDRVPLKDMKSDWHACLDNKVGFKGFAVPKEQQGKVVKFDFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLEVKPWVKTSLAPGSGVVTKYLLQSGLQEYLNQQGFHIVGYGCTTCIGNSGDLDESVSAAISENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFEKEPIGIGKDGKEVYFRDIWPSTEEVAQVVQSSVLPDMFKGTYEAITKGNPMWNQLTVPEASLYSWDPKSTYIHDPPYFKDMTMSPPGPHAVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLMERGVDRKDFNSYGSRRGNDEVMARGTFANIRIVNKFLNGEVGPKTIHVPTGEKLSVFDAAMRYKSEGHATIILAGAEYGSGSSRDWAAKGPMLLGVKAVISKSFERIHRSNLVGMGIIPLCFKAGEDADSLGLTGHERYSIDLPTNLSEIRPGQDVTVRTDNGKSFTCTLRFDTEVELAYFNHGGILPYVIRNLAQN >KQL00615 pep chromosome:Setaria_italica_v2.0:VI:3454658:3455206:-1 gene:SETIT_015930mg transcript:KQL00615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGNSLSRHANFWSLELYISSHPMDGLAFCELINNVKHNVCIKL >KQL00614 pep chromosome:Setaria_italica_v2.0:VI:3454628:3455233:-1 gene:SETIT_015930mg transcript:KQL00614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGNSLSRHANFWSLELYISSHPMDGLAFCELINNVKHNVCIKL >KQL01446 pep chromosome:Setaria_italica_v2.0:VI:19813934:19815179:-1 gene:SETIT_014860mg transcript:KQL01446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPPLLIRLLQLGRIGPRQDPIPKLHQRLRPGATAGLQRGTQGPKVTYEWGCDGNEMTGVVVWDEQWPEARSCWKDDSDWDCRLVFEGNREVVLSTIAGRRVLGNVAIKECSKNLWGYGECTSRELGFSPGCLCNRDKRSRLWWLPFHPFGLGCTYPKHDHEYYGTIKS >KQL00967 pep chromosome:Setaria_italica_v2.0:VI:6956451:6957020:-1 gene:SETIT_0136561mg transcript:KQL00967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGDGLAGAPSSSPPPLPPPRPPPPPPAGNPNHNHQSHNHAAISSPLLQSAADADAPLSRWLRRLEAFLTAAGLAASTRLGVAAAASALAVLGLALPAAAVALSPCRGGRRLACDEFEVEAFEVCVLLSQAAAAAVALGCVSRKMAMYGLRKFLFVDPELGMRIRFQKEYVAKIQ >KQL01741 pep chromosome:Setaria_italica_v2.0:VI:25377213:25378686:-1 gene:SETIT_013639mg transcript:KQL01741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSDAAARRRWTLVLVNLASVLEKADEVLLPAVYKEVGAALGASPTALGSLTLCRALVQTACYPLAAYASARHDRARVVAVGAFLWAAATFLVAVSGTFLQMAISRGLNGIGLALVIPAINSLVADYTDDHTRGAAFGWLQMTCNLGSILGGSFGVLLAPVAFLGVPGWRLAFHAVAAVSVAVGALMWLFAADPRGKSSKAAATSATDEAKELLREARRVLGVPTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGVVGDLVARRFPNAGRIALAQISSASALPLGAILLLALPNDPSTGVVHAVVFFIMGFAISWNASSTNKYDAHRILHCKYSTTLYVFLCFIAHC >KQL01739 pep chromosome:Setaria_italica_v2.0:VI:25376417:25378896:-1 gene:SETIT_013639mg transcript:KQL01739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSDAAARRRWTLVLVNLASVLEKADEVLLPAVYKEVGAALGASPTALGSLTLCRALVQTACYPLAAYASARHDRARVVAVGAFLWAAATFLVAVSGTFLQMAISRGLNGIGLALVIPAINSLVADYTDDHTRGAAFGWLQMTCNLGSILGGSFGVLLAPVAFLGVPGWRLAFHAVAAVSVAVGALMWLFAADPRGKSSKAAATSATDEAKELLREARRVLGVPTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGVVGDLVARRFPNAGRIALAQISSASALPLGAILLLALPNDPSTGVVHAVVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKSFEAVFASFAPPIVGVLAERVFGYKPVSSDTSVDTDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRERARKELLMASDDQLGEEASDSEPSAVRTREDEESSVSSLNQRLISRGE >KQL01740 pep chromosome:Setaria_italica_v2.0:VI:25376417:25378874:-1 gene:SETIT_013639mg transcript:KQL01740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSDAAARRRWTLVLVNLASVLEKADEVLLPAVYKEVGAALGASPTALGSLTLCRALVQTACYPLAAYASARHDRARVVAVGAFLWAAATFLVAVSGTFLQMAISRGLNGIGLALVIPAINSLVADYTDDHTRGAAFGWLQMTCNLGSILGGSFGVLLAPVAFLGVPGWRLAFHAVAAVSVAVGALMWLFAADPRGKSSKAAATSATDEAKELLREARRVLGVPTFQIIVAQGIAGSIPWSALNFSAMWLELVGFTHWETSVITGLYLFATALGALFGGVVGDLVARRFPNAGRIALAQISSASALPLGAILLLALPNDPSTGVVHAVVFFIMGFAISWNASSTNNPIFAEIVPEKARTTVYALDKSFEAVFASFAPPIVGVLAERVFGYKPVSSDTSVDTDRENAAALAKAVYTEIAVPMAICCLTYTFLYCTYPRDRERARKELLMASDDQLGEEASDSEPSAVRTREDEESSVSSLNQRLISRGE >KQL02671 pep chromosome:Setaria_italica_v2.0:VI:33889234:33892104:1 gene:SETIT_013494mg transcript:KQL02671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPRLVEDDDDQQAGHSPKATWRQTAFTPAPCPSRSVLSSPRPPASPRAAVPSSSREVSSSLRLEVLGYSPSPADKAGGWLGSLAVVRVSTLSREARGVFCSAAMVRCVEMVAEEEDDDEYAKLVRRMNPPRVVIDNDSCNNATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMDVFNVTDQDGSKLQNREVIEHIQKCLESEDYLALPAIGLKDGAAPSEDQSTSIELTGTDRPGLLSEVCAVLASLSCNIVKAEVWTHERRAAAVIQITDEATGLAIRDAGRLSKVQELLRNVMQGDGTARRGGGSTCTGGVSVGAARAERRLHKLMLDDGAGGSAGEEAVDGEDRCGKARPKVAVMDCTERQYTVVILQCRDRPKLLFDTLCALTDLQYVVFHGTVDAERGSKEAYQEYYIRHVDGYPVRSDAERTRLVRCLEAAVERRASDVRTLTPSYAFLAMASDTIVGNWLSRDWSWR >KQL02672 pep chromosome:Setaria_italica_v2.0:VI:33889234:33892636:1 gene:SETIT_013494mg transcript:KQL02672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPRLVEDDDDQQAGHSPKATWRQTAFTPAPCPSRSVLSSPRPPASPRAAVPSSSREVSSSLRLEVLGYSPSPADKAGGWLGSLAVVRVSTLSREARGVFCSAAMVRCVEMVAEEEDDDEYAKLVRRMNPPRVVIDNDSCNNATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMDVFNVTDQDGSKLQNREVIEHIQKQCLESEDYLALPAIGLKDGAAPSEDQSTSIELTGTDRPGLLSEVCAVLASLSCNIVKAEVWTHERRAAAVIQITDEATGLAIRDAGRLSKVQELLRNVMQGDGTARRGGGSTCTGGVSVGAARAERRLHKLMLDDGAGGSAGEEAVDGEDRCGKARPKVAVMDCTERQYTVVILQCRDRPKLLFDTLCALTDLQYVVFHGTVDAERGSKEAYQEYYIRHVDGYPVRSDAERTRLVRCLEAAVERRASDGLELEVRTEDRVGLLSEITRVFRENSLSIIRAAIATRDGRAEDTFYVSDAYGNPVDGRTVDAVGEQLGHAVLRVKRGGGGRGPPVAEGGAVSVLGSLLKGSFQGLRLIRSYS >KQL02670 pep chromosome:Setaria_italica_v2.0:VI:33889234:33892636:1 gene:SETIT_013494mg transcript:KQL02670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPRLVEDDDDQQAGHSPKATWRQTAFTPAPCPSRSVLSSPRPPASPRAAVPSSSREVSSSLRLEVLGYSPSPADKAGGWLGSLAVVRVSTLSREARGVFCSAAMVRCVEMVAEEEDDDEYAKLVRRMNPPRVVIDNDSCNNATVIRVDRVKKHGILLEAVQVLVDLNLVITKAYISSDGNWFMDVFNVTDQDGSKLQNREVIEHIQKCLESEDYLALPAIGLKDGAAPSEDQSTSIELTGTDRPGLLSEVCAVLASLSCNIVKAEVWTHERRAAAVIQITDEATGLAIRDAGRLSKVQELLRNVMQGDGTARRGGGSTCTGGVSVGAARAERRLHKLMLDDGAGGSAGEEAVDGEDRCGKARPKVAVMDCTERQYTVVILQCRDRPKLLFDTLCALTDLQYVVFHGTVDAERGSKEAYQEYYIRHVDGYPVRSDAERTRLVRCLEAAVERRASDGLELEVRTEDRVGLLSEITRVFRENSLSIIRAAIATRDGRAEDTFYVSDAYGNPVDGRTVDAVGEQLGHAVLRVKRGGGGRGPPVAEGGAVSVLGSLLKGSFQGLRLIRSYS >KQL01349 pep chromosome:Setaria_italica_v2.0:VI:16918298:16920910:-1 gene:SETIT_013924mg transcript:KQL01349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLASPSVRSSFAAAAAGTRGCFPRSSRVATLAGVHARARPLRVGPKDDMLNATELVQWENGKSVNDIAASQGIRIRRHCRPTASLKVIEEELGAPRNILEKIIWDKEIEVAEGHAKKPLKELIEAAAKAPPSREFYGALEAAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAKAYEKNGAACLSILTDEKYFQGSFENLEKVRASGVKCPLLCKEFVIDKWQIYNARSKGADAILLIAAVLPDLDIKYFLRICKELGMTALIEVRYIIQFISSYLPINYKLASLMTKFNILLFSGKS >KQL01348 pep chromosome:Setaria_italica_v2.0:VI:16915445:16920869:-1 gene:SETIT_013924mg transcript:KQL01348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLASPSVRSSFAAAAAGTRGCFPRSSRVATLAGVHARARPLRVGPKDSILEVLAQDDMLNATELVQWENGKSVNDIAASQGIRIRRHCRPTASLKVIEEELGAPRNILEKIIWDKEIEVAEGHAKKPLKELIEAAAKAPPSREFYGALEAAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAKAYEKNGAACLSILTDEKYFQGSFENLEKVRASGVKCPLLCKEFVIDKWQIYNARSKGADAILLIAAVLPDLDIKYFLRICKELGMTALIEVHDEIEMERVLKINGVKLIGINNRSLETFVVDTSNTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQNAGVSAVLVGESLVKQEDPGRAIAGLFGKELLH >KQL01347 pep chromosome:Setaria_italica_v2.0:VI:16915103:16920910:-1 gene:SETIT_013924mg transcript:KQL01347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLLASPSVRSSFAAAAAGTRGCFPRSSRVATLAGVHARARPLRVGPKDDMLNATELVQWENGKSVNDIAASQGIRIRRHCRPTASLKVIEEELGAPRNILEKIIWDKEIEVAEGHAKKPLKELIEAAAKAPPSREFYGALEAAYKRNGVPALIAEVKKASPSRGVLRENFNPVEIAKAYEKNGAACLSILTDEKYFQGSFENLEKVRASGVKCPLLCKEFVIDKWQIYNARSKGADAILLIAAVLPDLDIKYFLRICKELGMTALIEVHDEIEMERVLKINGVKLIGINNRSLETFVVDTSNTKMLLEKHGDSIREKGILVVGESGLFTPDDVAYVQNAGVSAVLVGESLVKQEDPGRAIAGLFGKELLH >KQL01484 pep chromosome:Setaria_italica_v2.0:VI:20432839:20439515:1 gene:SETIT_013574mg transcript:KQL01484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVALASKGFPSPSNATMEQPISKRDKAVANDSSASKHTYLDPNANDSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFIILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGDQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKILDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAENGGIPIGIGKNSHIRRAIIDKNARIGDNVKIINADNVQEAARETDGYFIKGGIVTVIKDALLPSGTVI >KQL01485 pep chromosome:Setaria_italica_v2.0:VI:20434729:20439515:1 gene:SETIT_013574mg transcript:KQL01485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAMASPSSMTLIPARHHGAAPSTSGDSSLRLLSAQPRHGRRGRGVPVSTAPARRRPFVFTPRAVSDSKSSQTCLDPDASTSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFIILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGDQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKILDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAENGGIPIGIGKNSHIRRAIIDKNARIGDNVKIINADNVQEAARETDGYFIKGGIVTVIKDALLPSGTVI >KQL01483 pep chromosome:Setaria_italica_v2.0:VI:20432839:20439515:1 gene:SETIT_013574mg transcript:KQL01483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVALASKGFPSPSNATMEQPISKRDKAVANDSSASKHTYLDPNANDSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYGSNIGGYKNEGFVEVLAAQQSPDNPNWFQGTADAVRQYLWLFEEHNVMEFIILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGDQLKAMMVDTTILGLDDVRAKEMPYIASMGIYVFSKDVMLQLLREQFPGANDFGSEVIPGATSIGKRVQAYLYDGYWEDIGTIEAFYNANLGITKKPIPDFSFYDRSAPIYTQPRHLPPSKILDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDTLLMGADYYETEADKKLLAENGGIPIGIGKNSHIRRAIIDKNARIGDNVKIINADNVQEAARETDGYFIKGGIVTVIKDALLPSGTVI >KQL01182 pep chromosome:Setaria_italica_v2.0:VI:10249186:10251515:1 gene:SETIT_015656mg transcript:KQL01182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARAALPRPYIPFSPSTRRNPRLSLAPSPIPCLRGGAAATATAALPQQQVTTRLSDVIEAQQFDRDALNEIFEVAREMEAVERGSHGAPSRVLEGYLMATLFYEPSTRTRLSFEAAMRRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVLRHFESGAARRAADTADIPIINAGDGPGQHPTQALLDVYTIKREIGTLDGIKLGLVGDLANGRTVRSLAYLIAKYQNIKIYFVSPDVVKMKDDIKDYLNSKGVEWEESSDLLEVASKCDVIYQTRIQKERFGERIDLYEAARGKYIVDKKVLNVLPKHAVIMHPLPRLDE >KQL02074 pep chromosome:Setaria_italica_v2.0:VI:29697599:29701567:1 gene:SETIT_013331mg transcript:KQL02074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVPAMAAVYTSPPGAIYATPEHDAVSSRGPAPCAAAASPSAATSHRHAGGLSCLFSSPSAAPRAAAHEELGALWHDRSDEPAAVVGVGAGFGGGGYSHPQSSSASPSPFKLRDHLHRSPASLFHSPASSPASRSPSVSWLAGRERDRLFSSFVRNALGSCIDYAPVTSLPLGVPAAAGVDAAELAFELDENLSAAEPSCEPYAHELLAGAQARHRIFRDELVVKAFFEAERAHRGQKRASGDPYLQHCVETAVHLAKIGATATVVSAGLLHDTIDDSFMDYDHIFRMFGAGVADLVEGVSKLSHLSKLARDNNTASRTVEADRLHTMFLAMADARAVLIKLADRLHNMKTIEALPLVKQQRFAKETMEIFVPLANRLGIASWKDQLENICFKHLNPEEHKELSSKLVMSFDEALLTSTLDKLDKGLRDEGISYHNLSGRHKSLYSIYSKMIKKNLTMDDVHDIHGLRLVVETEQDCYRALDIVHKLWPRVTGRFKDYISHPKLNGYRSLHTVIMCEGVHPFEIQIRTKEMHLQAEYGFAAHWRYKEGGFRHSFVLQMVEWARWVLTWQCEAMSKERPSALASSVGIRPPCPFPLHSEDCPYSYSQQCNHEGPIFVIMLKHDKMSVQELPPNSTVVDLMERVGASSPRWSPYSFPLKEELRPRVNHKPISDPNRKLSMGDVVELTPALPHKSLTEYREEIQRMYERGGFALATTPRS >KQL00206 pep chromosome:Setaria_italica_v2.0:VI:512200:515339:1 gene:SETIT_014567mg transcript:KQL00206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGDNGGGAGDAEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESDIMKEDDSNWPEPDRIGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >KQL00207 pep chromosome:Setaria_italica_v2.0:VI:512200:515339:1 gene:SETIT_014567mg transcript:KQL00207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGDNGGGAGDAEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFVSPSVLREARRIIQESDIMKEDDSNWPEPDRIGRQELEIVMGNEHISFTTSKIGSLVDVQSSKDPEGLRIFYYLVQDLKCFVFSLINLHFKIKPIQS >KQL03012 pep chromosome:Setaria_italica_v2.0:VI:35778684:35781881:1 gene:SETIT_013183mg transcript:KQL03012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQWILSAGTNSFEAIQLNNELERLRDTLPKARVLICRGEWGMFKDKELAYLVSRLKDATYDAEDLLRELDDQVLRKRIEDADRSRAGQLLSSSLNLAKTLVRRSKTRIRETQDRLEKVLAEIEWMLNHMGLMSVELSQNMPETSSVISAPEVVGRDGERDALIEMLGVMIGREVQQDQVIKLLGVPLAGNRGCTGRTAGSNGKRAAASNGVASTSRAKQPKGNGGRAGLAETNCTNNVSVISIVGIGGLGKTTLAQFIYNDPRVKHYFGVMIWVCVSDFFDKRRITKEIIESIPGEEYNSSSSLNALQIELMKRLKMCPKFLLVLDDIWPNANADWEAFYAPLKYGPEGSMILVTTRSPVVATRVTTSNCKPVPLEGLPTGIFLDFFKKCAFGTNDQESYPLLQDIARSISTRLCGSPLAAKTLGRLLNMSLTERHWRAIQKSELWELQHEENEILPALQLSYLYLREEVKRCFVFCSMFPKDYSFERDEIVDIWVAQGFVAPGGSIRPEDVGITYLDELRNRFLFQTDPKFPNETRYVMHDLIHDMAVSFSMDECLVMQDLRNQNKSRMQNTVRHMSIEVDGESLTRMGDIQHLNKLHSLRFGIRFDVEITWFNQLSNILFLSLKGCKLVKLPDSICELNSLRYLDISCSTVRELPGKLWCLYSLQVLDARLSGLKEIHRDVTKLINLRQLALPPRASQAFEEEAAEARLVEKQYLKELVLHFRPYYAILARSDNGVLEGLRPHSRIECLKVHGFCGDRFPSWFKPEDLPNLRSLDLAYFGDIESLSIPCFADGTQVGLRGDDGTQHAAGSISRSNGIAPFAFSRLTDLRVSDCKNLTNLDQFLTPEKLPSIKSIALQNCCSLTSIPFHSFVGFVCLRDLIIYNSMKLECPQEMVLPPSLQRLCIVDCGELDRSFPACLENLTSLTLLQLGSCHSIKCISLNSIGSNMLKCLVIRNCRELSSIGGLQGLVSIQHVELRHCPKLTEVQLPFEKKELRTKEGEELLDFLWHY >KQL00324 pep chromosome:Setaria_italica_v2.0:VI:1374874:1375405:1 gene:SETIT_015830mg transcript:KQL00324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIDIAGVVDRLQVVFQGYPNLVRAFNTLLLMGYALKQDDQQGGGGAGDA >KQL01827 pep chromosome:Setaria_italica_v2.0:VI:26961242:26964576:1 gene:SETIT_014439mg transcript:KQL01827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKQGKKDVDSYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVAVVRCRTTQMISWYSATPAKTGSIHRAWA >KQL01828 pep chromosome:Setaria_italica_v2.0:VI:26961242:26964576:1 gene:SETIT_014439mg transcript:KQL01828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTKQGKKDVDSYTIRGTNKVVRVGDCVLMRPSDSDNQPYVARVEKMEADGRGSVRVRVRWYYRPEESKGGRRQFHGAKELFLSDHFDLQSAHTIEGKCVVHSFKNYTKLDNVGPEDFFCRFEYKAATGSFTPDRVAVYCKCEMPYNPDDLMVQCDACKDWFHPSCMGMTIEQAKKLDHFVCSDCVKENGSKRLSNAYATSPNSEPKAESKRQRR >KQL01090 pep chromosome:Setaria_italica_v2.0:VI:8028695:8036372:1 gene:SETIT_013257mg transcript:KQL01090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEEVPEAEAAAAVAPAPAGGGGDDAEMPDAAAPSGSDSDSSDSDDEGAGGVDELRIQALERTLQEQPLDYETHVQYIQCLRKSGNIEKLRAAREEMNKYFPLTPKMWQEWAKDEISLSMSEESFADIEKLYERGVQEYLSIKLWRDYLDYVEEHDPSVSQCTTSGLSKMRDLFERAITAGGLHVTEGSKLWAAYREYEMAILITISDDNDEERAKQVQRIRMLFHRQLSVPLAEMESTLSEYKIWEAEQGNENDPGSNFDGVPSNVVSAYKKANDMYNERKQYEDQLSNAGASEADKLQEFLKYLKFEESSGDPARVQVLYERAVSELPVSTDVWIGYTSYLDRTLKVPSVLRSVYHRATRNCTWVGELWVHYLLSLERVRASEEELRNVFERAVQCSFPTIQEYLNIYLTRVHSLRRRISDGLDFQLIRQTFKNAAEFLSPQLGTKELLHLNAYWAKLERSLGKDLSAARVVWENAIKKSGSVLEVWQQYISMEVEMGHIHEARSLYKRCYSKRFAGSGSEDICHAWIRFEEEHGTLDDYDLAVKKVTPRLKELMVFKSQEEAKVEAYSMLNDNSNADDSSQKRKASKMTNKQQPPAKKRKENPPKSVKSSDDQEKTQSGHSGAVTAVEVGEASTEMKVDSNCRTGNTGSNEPKPSFYNDKCTVFVSNIDLKATEDDLRRFFSDIGGATAIRLLKDKFTKKSRGLAYVDFSDNKHLEAALQKNKHRLLGKKVSIARSDPSRSKKSREAGPSSKGYDNLPQSGDDGAKAPGSSRPEKEVPKGDVKITGKNTAFAPRSVVKPLGWTTKDEKPDGGAGELKSNEEFRNLLLKK >KQL01089 pep chromosome:Setaria_italica_v2.0:VI:8028695:8036372:1 gene:SETIT_013257mg transcript:KQL01089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATEEEVPEAEAAAAVAPAPAGGGGDDAEMPDAAAPSGSDSDSSDSDDEGAGGVDELRIQALERTLQEQPLDYETHVQYIQCLRKSGNIEKLRAAREEMNKYFPLTPKMWQEWAKDEISLSMSEESFADIEKLYERGVQEYLSIKLWRDYLDYVEEHDPSVSQCTTSGLSKMRDLFERAITAGGLHVTEGSKLWAAYREYEMAILITISDDNDEERAKQVQRIRMLFHRQLSVPLAEMESTLSEYKIWEAEQGNENDPGSNFDGVPSNVVSAYKKANDMYNERKQYEDQLSNAGASEADKLQEFLKYLKFEESSGDPARVQVLYERAVSELPVSTDVWIGYTSYLDRTLKVPSVLRSVYHRATRNCTWVGELWVHYLLSLERVRASEEELRNVFERAVQCSFPTIQEYLNIYLTRVHSLRRRISDGLDFQLIRQTFKNAAEFLSPQLGTKELLHLNAYWAKLERSLGKDLSAARVVWENAIKKSGSVLEVWQQYISMEVEMGHIHEARSLYKRCYSKRFAGSGSEDICHAWIRFEEEHGTLDDYDLAVKKVTPRLKELMVFKSQEEAKVEAYSMLNDNSNADDSSQKRKASKMTNKQQPPAKKRKENPPKSVKSSDDQEKTQSGHSGAVTAVEVGEASTEMKVDSNCRTGNTGSNEPKPSFYNDKCTVFVSNIDLKATEDDLRRFFSDIGGATAIRLLKDKFTKKSRGLAYVDFSDNKHLEAALQKNKHRLLGKKVSIARSDPSRSKKSREAGPSSKGYDNLPQSGDDGAKAPGSSRPEKEVPKGDVKITGKNTAFAPRSVVKPLGWTTKDEKPDGGAGELKSNEEFRNLLLKK >KQL02573 pep chromosome:Setaria_italica_v2.0:VI:33294916:33302308:1 gene:SETIT_013143mg transcript:KQL02573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDDDAAARRKGVGSYGQSLPRASEPVPAPASAPAYAPYAAPLVHSFGDEPVASPASAQRHDPARCATFPQRHGEPAHAPAASFCAWPGVGGGSASAVLERGLSEYGGGGGRALPEFVGAGGGEGIFRVPLRAAMHPDRPPPLEVRPHPLRETQVGAFLRTLACDPRRRQLWAGAESGVRVWGLDEVFGGWPGGAARCRGDEESAPFRESVPTPPALCAAVDGANRLVWTGHKDGRIRAWRMDLATAAGVGGGNAHLFKEALAWQAYGRTPVLAIVVTSYGEIWSGSEGGIIKAWPWGAIAKSLSLTSEEKHMASLLVEKSYIDLRNHATVSNVCSLPAADVKHMLVDHCRAKVWSITSMTFALWDARTRELLKVFGIDGQVDLARLEAHVMPEQFIEEEIKVKATKKEKPQGSFTFFQKSRNALMGAADAVRRVATKGTFVEDNRQTEAVTQAMDGTIWSGCTNGSIIVWDGSGNKLQEFHYHSSSVQCIKALGERVWVGYASGTIQVMDVEGNLLAGWTGHSCPVIKMAIGGSFIFTLAHHGGVRGWPLTSPSPLDDILRTELANRELSYTRLENIKILVGTWNVAQEKASFESLRSWLGSALSDVGLVVVGLQEVEMGAGVLAMAAAKESVGLEGSANGQWWIDNIGKTLDEGISFHRVGSRQLAGLLIAAWARNDLKPHVGDVDAAAVPCGFGHAIGNKGGVGLRIRVHDRRICFVSNHFAAHQGNVSRRNDDFNHIYRTMSFNKPHGSTASATSVQLHKAVSANGNQADEDIPELAEADMVVFLGDLNYRLDGITYDEARDMVSQRSFDWLRERDQLRAEMRAGNVFQGMREGPIRFPPTYKFQRNQPGLSGYDSSEKKRIPAWCDRILYRDSRPVSIAECSLDCPVVAAVTAYEACMDVTDSDHKPVRCTFSVDIARVDELTRRQEFGKIIESNEKVRSLLHESHIIPDTIVSTNNIILENQEDVILRISNNCETSKAAFEILCEGQSITKQDGTKSELPPRASFGFPFWLEVQPSVGLIEPGETMEVSVHHEEFFTKEEFVDGVQQNSWCEATRGMGAVLLVNVTGSASTETITHKINVQHCCPVHSAPPPVNPHSITDKPSDVVSGSKNHQSNHLQRSDFANFGSSEVHDLCGVRKRNM >KQL00658 pep chromosome:Setaria_italica_v2.0:VI:3816244:3819535:1 gene:SETIT_013510mg transcript:KQL00658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICSAAATAPATQSAAEQDCRRQYAPSVWGDFFISYEPCTTEELLSMQEKARALKEEVRRIVLAASNDDDLVRKLELVDALQRLGVDYHFKKEIDDLLLAVYGDEDGGSNDLYVASLRFYLLRKHGYTVSSDVFLKFRDEQGHISSDDVGTLTTLYDAAHMRVHGEDILDNIIAFNKSRLQSLLMKANLDPALLEEVRVTLETTRFRRVERVEARRFISVYEKKAMRDDTILEFAKLDYNIVQVVYGNELKELTIWWKDLRSRVDLTFSRDRLVEMHFCMMGIVYEPYYSYARIMLTKQVLFLVLLDDIYDNYSSTEESNMFTSALERWDEKAAEQIPEYLRPFFTNVIRCTDKVIGELKLQNNKHAEVVKEMALHVTKSYHAEVTWRDEHYVPADVDEHLQISLGSVTAMQVVVLTFVSLGDVTSREAIDWALTYPKIVRGVTVIARIMNDIMSHEREQASDHMTSTVQTCMKQYGVTVEEAIEKLKVTS >KQL00657 pep chromosome:Setaria_italica_v2.0:VI:3816244:3818447:1 gene:SETIT_013510mg transcript:KQL00657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICSAAATAPATQSAAEQDCRRQYAPSVWGDFFISYEPCTTEELLSMQEKARALKEEVRRIVLAASNDDDLVRKLELVDALQRLGVDYHFKKEIDDLLLAVYGDEDGGSNDLYVASLRFYLLRKHGYTVSSDVFLKFRDEQGHISSDDVGTLTTLYDAAHMRVHGEDILDNIIAFNKSRLQSLLMKANLDPALLEEVRVTLETTRFRRVERVEARRFISVYEKKAMRDDTILEFAKLDYNIVQVVYGNELKELTIWWKDLRSRVDLTFSRDRLVEMHFCMMGIVYEPYYSYARIMLTKQVLFLVLLDDIYDNYSSTEESNMFTSALERWDEKAAEQIPEYLRPFFTNVIRCTDKVIGELKLQNNKHAEVVKEMALHVTKSYHAEVTWRDEHYVPADVDEHLQISLGSVTAMQVVVLTFVSLGDVTSREAIDWALTYPKIVRGVTVIARIMNDIMSHEREQASDHMTSTVQTCMKQYGVTVEEAIEKLKVRLERAWMDMVQGCLDQKYPMVLLEKVVSFAQSIDFLYKSEDLYTLPCNLKETLTSMYAKFV >KQL01733 pep chromosome:Setaria_italica_v2.0:VI:25319524:25320889:1 gene:SETIT_013900mg transcript:KQL01733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNSGSLHSSSGGDDEFDAACGGGADSSSSSPLSALLRQQGFGGGGASSLIYGLQELGAPPLSHWCSTTTAPLPPAGAGASAAPPASMPFHSGLAAASAPAADHAASAAPEAQQSAPAAPARGSRKRARASRRAPTTVLTTDTSNFRAMVQEFTGIPAPPFAGGAASGARSRFDHLFPSRSSSSAAAAALPQYLLRPFAHSKQLHAYPPPFTSPSTSPPAPANAAIGASTNSSAVAPGDGYQQQLTTAAPSALLGMQDHGSSGNSSYLSFQSTLGGAQLDGSDANKHPLFDHRGVSPPSPATRLQDPMDFLGLAHLHPRNGDRGDELSGLVGGCKATYSSAPPLLERNGPRPPPAGASTATTTATPVVAATAAMRTQGVDSWVCSTLE >KQL01947 pep chromosome:Setaria_italica_v2.0:VI:28396268:28397678:-1 gene:SETIT_013999mg transcript:KQL01947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVVNVKYRPAMFLNGAAGAAAVAKKMRPAAAAWGAGEAGVYRECLKNHAASLGGHALDGCGEFMPTPEADPADPASLRCAACGCHRNFHRRLPEAPPSPPLLALPPPLPPAQPAPALQHVMREAPEDRLPAAFDDDETDESDEGSDFDEDRPLSPLPAPAMGPPGYLQPAPHMLLALSTGAPGASIPAVVPRPPASLGPMPAPGAASAAARKRFRTKFSPEQKQRMQALSERLGWRLQKRDEAVVEESCREIGVTKGVFKVWMHNNKHNFVGGHSARRSASAAAATGAAPPILPPPHAAAVHPSAPAAPAAPPPPPPAPVHADFNINGAASAADYFRVQPATATASGGSPQSS >KQL02743 pep chromosome:Setaria_italica_v2.0:VI:34315800:34317661:-1 gene:SETIT_015198mg transcript:KQL02743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALELLKRIRELEEGQAELKREVSELLTTTKTERRGGGAQWQPSPSSSSRRRLPPPLLGRAGLSRRHHAMVMQSLGQAVHILDLQGKVLYSNRHAEHLYGYSASEAIGREVTELLVHSDDIGPAINIIGSIFTGKCWRGKFPVKNKSVERFSIITNGTPLCLSVNFCNTLYDDDFTMIGLICLSEDTRTLQEIISHATTLGYYYYPIT >KQL02856 pep chromosome:Setaria_italica_v2.0:VI:34983545:34984571:1 gene:SETIT_014156mg transcript:KQL02856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSKGIGGGAAADKPTPDQDQNASPNVPAAAAAAVDDGASAAATAAAAAEGRRPFTSLSQEEADLALARVLQEQERAYMMLTAHHDSSEYASSDAGSYDYDEDEGSDYEVEDGEGDALDVDEEVADAEGDAADLDPARYEDDEAFARALQDAEERDVAGRLMALAGIGDWRAMEQDDDEEVEDEEDGEDPQVTFLSILFVARSKWACSGNHELAELDYVR >KQL02857 pep chromosome:Setaria_italica_v2.0:VI:34983545:34986377:1 gene:SETIT_014156mg transcript:KQL02857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSSKGIGGGAAADKPTPDQDQNASPNVPAAAAAAVDDGASAAATAAAAAEGRRPFTSLSQEEADLALARVLQEQERAYMMLTAHHDSSEYASSDAGSYDYDEDEGSDYEVEDGEGDALDVDEEVADAEGDAADLDPARYEDDEAFARALQDAEERDVAGRLMALAGIGDWRAMEQDDDEEVEDEEDGEDPQDAWEDVDPDEYSYEELIALGEVVGTESKGLSADTIGSLPSVTYQAQDKQEGNMEQCVICRVEFDEGESLVALPCKHLYHSECINQWLQLNKVCPMCSAEVSTSVNKKL >KQL01222 pep chromosome:Setaria_italica_v2.0:VI:11125795:11125963:1 gene:SETIT_014950mg transcript:KQL01222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKDDHPFPVAGHGTRRKWWPLQLNDVENRSTYL >KQL00384 pep chromosome:Setaria_italica_v2.0:VI:1731723:1731908:1 gene:SETIT_015845mg transcript:KQL00384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRMQWLDSDNLTESVGLLVLSLLAVDSSR >KQL01547 pep chromosome:Setaria_italica_v2.0:VI:21502157:21503032:1 gene:SETIT_015908mg transcript:KQL01547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQIRPRLATSSIVASFPTIRKQLSRPLRRLTPRSRAESAGRLYSTCAMRMGVGGEAGSRKGQIRPGRPFLACQTTIAALPASCRGRRRRGKRAEQGKSPRQHLELTAKFAGGRAGAREEDVGEERKVGTAPGTLGSSAGALTVLAVAELLHGGELLGLAREGVDGQRRGAPGTGTGRRSSAAALLCSGEIEGERGWEERRAGPTCNSQSRAITGVAC >KQL02702 pep chromosome:Setaria_italica_v2.0:VI:34064162:34067222:1 gene:SETIT_015979mg transcript:KQL02702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPKFEVAAYWNESSGFADVFDVDYFIEQTRGYVEIVKEIPAEIASKEPFKVDCSKRKGHFDYVESVLPALLEHQYISLTPSANQRRDRYPSYAKASYCQGCYNALRLNKKVESKAIELLQTIPKPFVSLHLRFEPDMVAYSRCAYTGLSSKSLDSIEAARGEGRMVLTGDAARLWRNRGKCPLTPSETAFILQALGIPTSTNIYLAAGDGLMELEGFTSVYKNIYTKSSLLTNEDFERMHGNTKAALDYYVSINSDAYIATFFGNMDKMVTAVRTMQGLQKTLVLSRRAFANNSAAGLAGEQLAKAMWDAHREEYIRGRGSALPEQCFCEFRL >KQL01185 pep chromosome:Setaria_italica_v2.0:VI:10348536:10351558:1 gene:SETIT_013741mg transcript:KQL01185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQAPESYSPAKDGKTAQEKAIEDWLPINGSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGPGIAVMILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHVFGEKLGLWIVVPQQLVVEVSLNIIYMVTGGQSLKKFHDVICDGKCKDIKLSYFIMIFASVHFVLSQLPNFNSISAVSLAAAIMSISYSTIAWGASLDKGREANVDYGLRATTTPGKVFGILGGLGDVAFAYSGHNVVLEIQATIPSTPEKPSKHAMWKGALVAYIIVAMCYFPVTFVGYWAFGNSVDDNILITLNKPKWLIAAANMFVVVHVIGSYQVYAMPVFDMMESVLVKKLRFAPSLRLRLVSRTFYVAFTMFIGISFPFFGGLLSFFGGLAFAPTTYFLPCIMWLAVYKPKRFGLSWFTNWICIVIGVLLLVLSPIGGLRQIILTAKTYKFYQ >KQL01233 pep chromosome:Setaria_italica_v2.0:VI:11405711:11407445:1 gene:SETIT_013960mg transcript:KQL01233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEEEGEMAALREALRQQARAVEELRAELEEERQAAASGADEALAMILRLQAEKAAERMEAEQFRRVAEERIQHDEDTLAFLKAVVFQQEMEISSLNRRLLAVHATGDDPKLAKNGVASRRNASLPAAHLEELCSELDVAVGTDDAAADKKSAGDRRPARTVSDIGEVIGREDRARHNQSAPPRLYRSASHRLLRAPSYPAQSGVRSAGRTSPEIIAEEDEKSCKSNAAVEADIEQIKTTVQSLQTELTKLRESTLSVGDAQSRLLTEICSKLDGAMPRQESLQGSHGSSPVLLTPAIREASSSSKEQSYEPQSELLMNHFIEAMYIA >KQL01231 pep chromosome:Setaria_italica_v2.0:VI:11405711:11406857:1 gene:SETIT_013960mg transcript:KQL01231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEEEGEMAALREALRQQARAVEELRAELEEERQAAASGADEALAMILRLQAEKAAERMEAEQFRRVAEERIQHDEDTLAFLKAVVFQQEMEISSLNRRLLAVHATGDDPKLAKNGVASRRNASLPAAHLEELCSELDVAVGTDDAAADKKSAGDRRPARTVSDIGEVIGREDRARHNQSAPPRLYRSASHRLLRAPSYPAQSGVRSAGRTSPEIIAEEDEKSCKSNAAVEADIEQIKTTVQSLQTELTKLRESTLSVGDAQSRLLTEICSKLDGAMPRQESLQGSHGSSPVLLTPAIREASSSSKEQSYEPQSELLMNHFIEVCGLTFAATIVKPLIKVAASMSLLRCLLILILAVAIRKVLMSS >KQL01232 pep chromosome:Setaria_italica_v2.0:VI:11405711:11407248:1 gene:SETIT_013960mg transcript:KQL01232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVEEEGEMAALREALRQQARAVEELRAELEEERQAAASGADEALAMILRLQAEKAAERMEAEQFRRVAEERIQHDEDTLAFLKAVVFQQEMEISSLNRRLLAVHATGDDPKLAKNGVASRRNASLPAAHLEELCSELDVAVGTDDAAADKKSAGDRRPARTVSDIGEVIGREDRARHNQSAPPRLYRSASHRLLRAPSYPAQSGVRSAGRTSPEIIAEEDEKSCKSNAAVEADIEQIKTTVQSLQTELTKLRESTLSVGDAQSRLLTEICSKLDGAMPRQESLQGSHGSSPVLLTPAIREASSSSKEQSYEPQSELLMNHFIEMDFCCC >KQL02160 pep chromosome:Setaria_italica_v2.0:VI:30483838:30486018:1 gene:SETIT_015139mg transcript:KQL02160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAPAALPRLLAAISAAASSPADLRRLSHLLLAPSAPPPPIRCLNTLLMALARHRMLPDMESLAARMPARNLRTYTTLINAHCLAGDLPAAKRHLSSLLRAGLAPDSHAYTSFVLGYCRAGLLTHACRVFVLMPLRGCVRTAFTYAALLQGLCGAGMVREAMAVFSGMRPDGCAPDQHVYSTMVHGLCGAGLTGEADALLTEAMGDGFVPNVVVYNALIDGYCSTGDLELAVGVFEGMQSKGCSPNIRTYTELICGFCKSGKVERAMVLYSRMVEAGLAANVVTYTALIQGQCNEGHLECAFRLLHLMEASGLVPNEWTCSVLVDALCKRGRIEEAQQFLGSLVQKGIKVNYVVHTSMIDALCKVGNFDAAHNLMQKMATEGFVPDAHVYSSLIDGLCRENKLSEAMLLLDDMMENGVQANAVTYTILIDKLLKVFGSEGPKKIFDRMVIAGIKPDVFTYTVFIRSYCQEERMDDAESMMVQMIDLGVCPNLVTYNTLIKGYANLGIVNQAFSYFKIMVDNGCKPNEESYTVLLELLLKKNSSECCCRLKLLKEALRFLDSMAKNGYLPHLESYRFIICALCEEGNFHTAKSIFGDILSKEYNCDEIVWKILIDGLLQKGNTADCSSLLSFMEEQNYRPNAAIYARLK >KQL02838 pep chromosome:Setaria_italica_v2.0:VI:34881836:34885826:1 gene:SETIT_013792mg transcript:KQL02838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAEPTRGTKRPLAGEDDDDAGRERKPRFPKGKKAKYRDPGAEGGPSAAAAVDIDSMLNPELAAERRARQRHGQVRDGDEAKGGASDIKGFEVRYSDGVNFVDDGIRIEPFNLEREREEGYFDENGNFVEYARGNEIKDAWLDSVEVDPTFVAKVQNKGKEKVEEFQDLSSDDIGRIKRQIANMLEPGETIMQALKRLKGTSTDKRGKMSEGTKRIFDELTEAAVKLMENGDYNVYSDDRETFEREAAGYERLARARLGLPEVKDASAGTKDIQAPSSILEMDQPSSVLEMDLGASTANISAATAAFNDDDSNLDMFGDDDNNDVKRSSDANTVGSGSNPGPVPQGTLGTSGVEKAENGSVESDYVYDPSSGYYYSSSTGYYYDPNSGYYGSASTGTWYSYDEHTGTYKEVQNEQTGVIKEELGDAIKE >KQL02837 pep chromosome:Setaria_italica_v2.0:VI:34881836:34885826:1 gene:SETIT_013792mg transcript:KQL02837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAEPTRGTKRPLAGEDDDDAGRERKPRFPKGKKAKYRDPGAEGGPSAAAAVDIDSMLNPELAAERRARQRHGQVRDGDEAKGGASDIKGFEVRYSDGVNFVDDGIRIEPFNLEREREEGYFDENGNFVEYARGNEIKDAWLDSVEVDPTFVAKVQNKGKEKVEEFQDLSSDDIGRIKRQIANMLEPGETIMQALKRLKGTSTDKRGKMSEGTKRIFDELTEAAVKLMENGDYNVYSDDRETFEREAAGYERLARARLGLPEVKDASAGTKDIQAPSSILEMDQPSSVLEMDLGASTANISAATAAFNDDDSNLDMFGDDDNNDVKRSSDANTVGSGSNPGPVPQGTLGTSEAENGSVESDYVYDPSSGYYYSSSTGYYYDPNSGYYGSASTGTWYSYDEHTGTYKEVQNEQTGVIKEELGDAIKE >KQL01736 pep chromosome:Setaria_italica_v2.0:VI:25353006:25353209:1 gene:SETIT_015566mg transcript:KQL01736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLALRAAWHDTALLVFVGIVLAVSCCHGDWMEFACYHEYMDLLGTSVVACLYSAALFSRLMGKRIR >KQL02263 pep chromosome:Setaria_italica_v2.0:VI:31303438:31305466:1 gene:SETIT_014128mg transcript:KQL02263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVPIIQPIYRTLHHHGITQHGSSSPPPPPRHCRGAPRRRAPALHRRPGRHGSGGNRSMHCVWVGLAEGEHEFSYDPHAENGPDGWGEIKPEWATCSDGRWQSPIDLYHHRAIRRDLGYLNYSYKPAEASIVNRGHDIMVKFKGDAGSLVIDGTVYHLKQLHWHTPTEHALNGHRFSMELHMVHQTPEKKTAVVGILYRVSNLADPFLKSLQPAIERLRGKEEPIGKVNPNHVGLTGSSYFRYMGSLTTPPCTEGIIWTVIPTLRLVASDQLDLLREAVDDGFEMNARPLQEVNHRTIWFRPQPHVSVE >KQL02439 pep chromosome:Setaria_italica_v2.0:VI:32534046:32537458:-1 gene:SETIT_013408mg transcript:KQL02439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTARSDDPGVSPGTSAAAGGEIWGTWEELLLACAVRRHGTASWDSVAMEVQSRCPAAAAPRLTPTGCRLHFRLLHRRFAAGAGENGGGGDVDEDPDAAAADGWVEELRKLRVAELRREVERYDLSIGSLQSKVKRLKEERERSISGEANLPAVKAEEGEEPAAGKGSLEDDAGGGEDRVSGGESGRSCKESNSSDLKRPVHDAGTASAAVDGAAAAKEEGEEEEAAAGESLAVKREEVSGESVARSKETDKESSDVQSSASPSRRREREGGGGAGVEEAEAEEASASTSARAALPAAEAEALLAFLESVRTSKPGSVFERRLESQDDAKYRSTIRRHVDLETVRSKLEGGGGACYSSASEFYRDLLLLCTNALVFFPRGSPEHSAATRTRALVSKHIPASLRKDQPGTSGKAAAPASKKAKAEADVGSLLEKTAPIIVCRKRSSIAKAAAAAAKEEKGEKGETDKEENEASKKAGGTKDKARGLRTNKTRAAPVRKAAPNQKTEDNGSDSDAPAEGTKKPGKKGGSSAVGSGAGVAKKRNAVNFLNRMKQGSAPSTERVSLLETLKLSAAAEQKKAGKGSEGKKEPAGGSGSKRGRGTPPGRRNIGRPPKRAAAPPSPPPAKRARGGGTKRGGRK >KQL01799 pep chromosome:Setaria_italica_v2.0:VI:26311999:26312629:1 gene:SETIT_015655mg transcript:KQL01799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAVRNVAVAPLAAHGCLALICAGFLWLLNTWLFSAGVATAVTARFACGAGCPAVLVLAAVSLAATALALAIASTIAMFLLSHCVMDAGARAQMAAVTREALDGGSIVVFLGRLAFAAFVSLGILAFVVKVCPSLRRWERISSVALDAAALCASALCCFVFIPTFAIRMWRRL >KQL00233 pep chromosome:Setaria_italica_v2.0:VI:710995:711165:1 gene:SETIT_016009mg transcript:KQL00233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGAEEGGGGGCIVAAGADACGLPEGRLWLIRQIDGKTGIA >KQL00267 pep chromosome:Setaria_italica_v2.0:VI:877377:880285:1 gene:SETIT_014602mg transcript:KQL00267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRKELLSSAPWRTGEAAEDEEAARLSREGKVSVTNNPGETATMNVPRSRRQDLDLTVDDFDEEEIDPELRYSFQRNSRFLKRVFSVDTLVKPLPPVMAYSVSRNVNFFFRIFTQFWDEEGIANAQKSLGLGSDDGSRRMR >KQL00266 pep chromosome:Setaria_italica_v2.0:VI:877377:880285:1 gene:SETIT_014602mg transcript:KQL00266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKRKELLSSAPWRTGEAAEDEEAARLSREGKVSVTNNPGETATMNVPRSRRQDLDLTVDDFDEEEIDPELRYSFQRNSRMKKELPMHRSPLDWGVMMVPAGCADCSQTMFLF >KQL02240 pep chromosome:Setaria_italica_v2.0:VI:31144746:31151687:-1 gene:SETIT_013281mg transcript:KQL02240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRLKLNKAFQSEDISNGLVQSIHDAARSIELAFIEHSKSSKSSWFPKTWLGVDNNAWIKSLSYQAAVDSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLNPLESVIKNELSKRESTLYEWYSSDQNPLVVRQFVNIFESDPLFNSATAICCEGEPMNTSKSDLALLMLGLICLAAITKLGSTKVSCQQFSSMVPDIIGRFMDMLLEFVPLSKAYNLTKDIGLQREFLHTFGPRAAVPKLTNDHGLEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQGYLSSNGLTDLDDSVNGIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYYGDVPSATTDTEGRQEVSKGEVISRVLNVCSYWMTSFIKYSSWLEDPSNVKAAKFLSKGHAMLSDCMKELDTSKNNISKDRGLPEPEEELDTGTELASFDKSLESVEEALVKLEKLLQELHVSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLEADASSGPLSPTGEEGRGKTGSRTNDIAAPQKSGSRVDNKRRPFWDFFGRPSGKNVEPALQVADQDGTVANAEKKDMESNDILRFEQLKRELIELEKRVQKSADDALKDEEMGVTNETTAPSSVASVPSGQTTKKENVITKSVEKVKETTTTVLQGTQLLAIDTGAAMGLLRRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAMLAFIQKYVPSMIPSTYAPDRLDLLRQLEKVKEMEVAEGSSEDLLETVGSRTEPVK >KQL02239 pep chromosome:Setaria_italica_v2.0:VI:31144044:31151687:-1 gene:SETIT_013281mg transcript:KQL02239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRLKLNKAFQSEDISNGLVQSIHDAARSIELAFIEHSKSSKSSWFPKTWLGVDNNAWIKSLSYQAAVDSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLNPLESVIKNELSKRESTLYEWYSSDQNPLVVRQFVNIFESDPLFNSATAICCEGEPMNTSKSDLALLMLGLICLAAITKLGSTKVSCQQFSSMVPDIIGRFMDMLLEFVPLSKAYNLTKDIGLQREFLHTFGPRAAVPKLTNDHGLEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQGYLSSNGLTDLDDSVNGIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYYGDVPSATTDTEGRQEVSKGEVISRVLNVCSYWMTSFIKYSSWLEDPSNVKAAKFLSKGHAMLSDCMKELDTSKNNISKDRGLPEPEEELDTGTELASFDKSLESVEEALVKLEKLLQELHVSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLEADASSGPLSPTGEEGRGKTGSRTNDIAAPQKSGSRVDNKRRPFWDFFGRPSGKNVEPALQVADQDGTVANAEKKDMESNDILRFEQLKRELIELEKRVQKSADDALKDEEMGVTNETTAPSSVASVPSGQTTKKENVITKSVEKVKETTTTVLQGTQLLAIDTGAAMGLLRRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAMLAFIQKYVPSMIPSTYAPDRLDLLRQLEKVKEMEVAEGSSEDLLETVGSRTEPVK >KQL02241 pep chromosome:Setaria_italica_v2.0:VI:31146175:31151687:-1 gene:SETIT_013281mg transcript:KQL02241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRLKLNKAFQSEDISNGLVQSIHDAARSIELAFIEHSKSSKSSWFPKTWLGVDNNAWIKSLSYQAAVDSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLNPLESVIKNELSKRESTLYEWYSSDQNPLVVRQFVNIFESDPLFNSATAICCEGEPMNTSKSDLALLMLGLICLAAITKLGSTKVSCQQFSSMVPDIIGRFMDMLLEFVPLSKAYNLTKDIGLQREFLHTFGPRAAVPKLTNDHGLEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQGYLSSNGLTDLDDSVNGIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYYGDVPSATTDTEGRQEVSKGEVISRVLNVCSYWMTSFIKYSSWLEDPSNVKAAKFLSKGHAMLSDCMKELDTSKNNISKDRGLPEPEEELDTGTELASFDKSLESVEEALVKLEKLLQELHVSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLEADASSGPLSPTGEEGRGKTGSRTNDIAAPQKSGSRVDNKRRPFWDFFGRPSGKNVEPALQVADQDVWMLYCMLSSFCAFKNTPTFSIEGIYKMHP >KQL02238 pep chromosome:Setaria_italica_v2.0:VI:31145143:31151389:-1 gene:SETIT_013281mg transcript:KQL02238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIRLKLNKAFQSEDISNGLVQSIHDAARSIELAFIEHSKSSKSSWFPKTWLGVDNNAWIKSLSYQAAVDSLLQAVIDVSSRGNGRDRDINVFVQRSLSRLLNPLESVIKNELSKRESTLYEWYSSDQNPLVVRQFVNIFESDPLFNSATAICCEGEPMNTSKSDLALLMLGLICLAAITKLGSTKVSCQQFSSMVPDIIGRFMDMLLEFVPLSKAYNLTKDIGLQREFLHTFGPRAAVPKLTNDHGLEISFWIDLVQKQLLRALDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTQGYLSSNGLTDLDDSVNGIVRYLIGGSVLYYPQLSSISSYQLYVEVVCEELEWLPFYYGDVPSATTDTEGRQEVSKGEVISRVLNVCSYWMTSFIKYSSWLEDPSNVKAAKFLSKGHAMLSDCMKELDTSKNNISKDRGLPEPEEELDTGTELASFDKSLESVEEALVKLEKLLQELHVSSSNSGKEDLKAACSDLEMIRRLKKEAEFLEASFRAKAEYLEADASSGPLSPTGEEGRGKTGSRTNDIAAPQKSGSRVDNKRRPFWDFFGRPSGKNVEPALQVADQDGTVANAEKKDMESNDILRFEQLKRELIELEKRVQKSADDALKDEEMGVTNETTAPSSVASVPSGQTTKKENVITKSVEKVKETTTTVLQGTQLLAIDTGAAMGLLRRALIGDELTQKEKQALQRTLTDLASVVPIGILMLLPVTAVGHAAMLAFIQKYVPSMVFSL >KQL03030 pep chromosome:Setaria_italica_v2.0:VI:35871508:35873050:-1 gene:SETIT_014681mg transcript:KQL03030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGSRQPAAEKGKGSSRGGRRERGRLGFHPISPTARQAAMKNSASPAVDWGDDSAGEMDSEDTAAAASMGMVGIASMMEVDADDRHPPSAAPSLPIDADFFNAFPDDFDDQDLD >KQL03029 pep chromosome:Setaria_italica_v2.0:VI:35870851:35873050:-1 gene:SETIT_014681mg transcript:KQL03029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGSRQPAAEKGKGSSRGGRRERGRLGFHPISPTARQAAMKNSASPAVDWGDDSAGEMDSEDTAAAASMGMVGIASMMEVDADDRHPPSAAPSLPIDADFFNAFPDDFDDQDLD >KQL02453 pep chromosome:Setaria_italica_v2.0:VI:32625487:32627731:1 gene:SETIT_014855mg transcript:KQL02453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPAGAGAGAGGGRGRELRMSIEEVAKKLSLWHTATFRPILTHDELEPILALAGFVPAPPAPAPTNGQQEAECPPAGGGVAWREYAYLGCNADAVAAARRRRRADPGPRPRLPYPRVDGLHLKTYEAFLGAVEACLGADRVSNLFHVRLMPVTNPHDRAFDKVFRPMRNFTPEEDGLIVYREGTLDDLTFEMCSHHGAIGDLGHHVIPGISCSDLGYLRKVDGNCHLEGCCARYPATAAVAAAGCDFFTVHVKDLFPKY >KQL01255 pep chromosome:Setaria_italica_v2.0:VI:12303875:12309587:-1 gene:SETIT_013541mg transcript:KQL01255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLAAAIRRPHLSHLRRLLSSHHLRPSTSHSPSDQSDFEPDPDHPLPLPPVTDEDGELASFVHRISSAATSASSLKDALSLLLSSSSITGPSPAPASPALLVRALWELRRDPDAAALAVRYGDESSAVDGADGADAGPQPLPAEAWCLAVWAAGKARRFDLAWAVVRRMGRRGVLTRRAMVILMERYAAANEVNKAIKTFDVMEKFKVEVDQTAFYSLLRALCKSKNMEDAEELLLLRKKFFPLTAEGFNIILDGWCNVITDVAEAKRVWREMSNYCITPDGISYTLMVSCFSKVGNLFDTLRLYDEMKKRGWTPGSSVYNSLVYVLTRENCMKDAHNIFSKLIDEGLQPDVETYNNMIIPLCERCKLDDARIVMESMILKGIVPTISTYHAFLKQEGIDESLKLLRKMKEDGCGPNSDTFLMLMDKFFVLNESGNVLRVWNEMRKYDISPARSHYMTLVQGLVEHGCIPRALEYYDEMKEKGFASDSQLEKEFKTFLLANRDHWRGAGKYNIIPQRGKHFTRRSRTQ >KQL02490 pep chromosome:Setaria_italica_v2.0:VI:32843848:32844381:-1 gene:SETIT_015435mg transcript:KQL02490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAANGGGGGARPGVAPGRQKQSEWTGVRPRQGGRWAAEIRVPNTREKLWIGTFESPRLAALAYDAAVFCFYGERLPKTRRLNFPVAPTPELPGIVRARLTVGNVKAIAEQHARTVDALLPPPGFAAAAPLVVAAAAPVEAAPATYHGVPTAMADNNLVAGAADDSQFSFDADVIA >KQL00192 pep chromosome:Setaria_italica_v2.0:VI:423790:426750:-1 gene:SETIT_014028mg transcript:KQL00192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGKMGNATTIRAVLAILQWWGFNVTVIIINKWIFQKLEFKFPLTVSCVHFICSSIGAYIAIKVLKTKPLIEVASEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLIWRKYFEWRIWASLVPIVGGILLTSITELSFNTFGFCAAMVGCLATSTKTIMAESLLHGYKFDSINTVYYMAPFATMILSVPAIVLEGSGVVSWLYTYDSVAPALAIIITSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWMIFRNPISAMNAVGCAITLVGCTFYGYVRHLISQQAATTPGPRTPRGRMEMLPLTGEKQGDKI >KQL01297 pep chromosome:Setaria_italica_v2.0:VI:14280099:14280544:1 gene:SETIT_014740mg transcript:KQL01297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAASTLHQKDVVAVCLMMILLSTGPSAMAYIQPNCTADCDAACRAYGQAACRSNNGAWCQKLQECEDQIYFPCCVTCNKRCNSTPIQC >KQL02795 pep chromosome:Setaria_italica_v2.0:VI:34592213:34593200:-1 gene:SETIT_015343mg transcript:KQL02795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELVSSSSSPSTAPIFSPAGNLLELDFVSCDVPDQWMGGQDWLDEPLDLDGAAWADEAGSGGSASAGNADVSGEPPPAPKRQRGRKPGPRTNGPVISHVEAERQRRDKLNRRFCELRAAVPTVSRMDKASLLADAAAYIAELRDRVEQLEAEAKQASAATTVAAAAHPFAGGGLEENLEVRMLGREAAALRLTSAARHAPARLMLALRSLDLAVQHACVCRVGSTTVQDAVVDVPATLRDERGLRAALLHKLQSSSLHVLLVFRGRPPAWTYTYSGGESRRLHVIPCLFLRFSLLLMSLASMFAHT >KQL00803 pep chromosome:Setaria_italica_v2.0:VI:5199425:5199940:-1 gene:SETIT_015986mg transcript:KQL00803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGTRRWVWTWDLWRSYTATVQSPVRMAPSSLFYGTQC >KQL01298 pep chromosome:Setaria_italica_v2.0:VI:14335626:14337689:-1 gene:SETIT_015319mg transcript:KQL01298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAVLLGPPVIRGARPAAQATAAAEAPASHPFLDLLDACFNDNAPAATFDVGKGPRMTLTENNSATYASSGNACLDLFFQVVPDTPPERVRQLVTVAWAHDPLTALKLVANLRGVRGTGKSDKEGFYAAALWLHERHPKTLACNLPALAEFGYLKDFPELLYRLIHGPDVRKLAKDNVATEKIRRKVAEVRAARLAGSKRARGDSAAPQPAAAPVLADFVSAALSNPKTKSKRSNKSGAGASAAAAMETEEEVVVEQKSEAMEVDNKEIPMTKGVRKVAKLAVQSLETYYGDGAYRFLFDCVAQFFADLLASDLEQLAPGGKKRKIGLSAKWCPTPGSSFDRTTLLCEAIARRLFPRDSSPDYSDLSEEHYAYRVLHRLRREVLVPLRKVLELPEVYMSAQRWSELPYTRVASVAMRRYKALFKKHDETRFDKYLEDVEAGKAKIAAGALLPHEIAHAAFNDKADDVSELQWRRMVEDLRKKGSLSNCIAVCDVSGSMGGTPIEVCVALGLLISELSEKPWAGRVITFSETPEIHKIEGKTLTQKMSFIKRMQWNMNTNFQAVFDRILRTAVDGRLPKDKMIRTIFVFSDMEFDQASANPWETDYQVICRKFKDAGYGDAVPQIVFWNLRDTVSTPVTSTQPGVAMVSGFSKNLVKLFLENDGVVSPEAVMAAAISGAEYQKLAVFD >KQL01523 pep chromosome:Setaria_italica_v2.0:VI:21105235:21105582:-1 gene:SETIT_015453mg transcript:KQL01523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRWCGSHLMSPNSCRPPHAATWSARSDSSRVTNVTDRLNRGCNARVTCVYAKESSQENTYGLRHFALTLSIRWKGGLALSHVLSFQQRSGLFEHDIIVLSIYLTFAIKVVQYD >KQL02200 pep chromosome:Setaria_italica_v2.0:VI:30848031:30850012:-1 gene:SETIT_014331mg transcript:KQL02200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVFGGDSWARDAQQRKRRLDDLMLPASASSSPSTPDSFRRLPNGKLACLVCPNHPVLDSPLMLSIHNKGARHIAAESRLREKELSRKHEINKRLALSSDASHSNSGNPSTSIRPTNMKGKPLIEQTRRAILEAQSSRFNNFNGKKVSHDLKGTTNTSSWDPLVAPSDVPMEKLAGDTGSIQCNRRKGESFAGNQIQGNLVSDWQTEVQKRQEQELRFTASGWKRDGHGRWYRDENVEFDSDEDDPNICLC >KQL02897 pep chromosome:Setaria_italica_v2.0:VI:35171126:35171839:1 gene:SETIT_014451mg transcript:KQL02897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLRSASLPSSPCSNKAEVEQQLQSLKTTMASSSATIDMMCDGLWRLGNLYSEIEEMMKAVEEELGWSLVLLDLCNAMQENFIEMMMKVQELLFSLKRGDDAAAQLKAYIQITNKAHKQFKKVCKKTTSDEKDCRVIKLLAEARSITTSVLEYTSCLLCKQIEMPKRKVVCEEEQLKALECSIGDLESGVDLLFRRLIQCRVSLLNTLSS >KQL02924 pep chromosome:Setaria_italica_v2.0:VI:35295752:35296771:1 gene:SETIT_014910mg transcript:KQL02924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARPNAGVVRPPASSAKEPLFSFGVIADVQYADIPDGRSFLGVPRYYRHSICVLRRAVRSWNAHEGVRFCVNFGDIVDGFCPRERSLEAVRAVVREFDGFRGGPAYHMLGNHCLYNLPRSDLVPELRIPSPPGRSAAYYDFSPWPGYRFVVLDAYDLSALGRPRTHPSSAAARRFLDARNPNRDKNSPSGLEGTDRRFVMFNGGVGGAQLRWLDGVLRGAARRRERVVVCSHLPVHPGAASPTGLMWNYEEVMAVVRRHEGGGYAVDGCGVHHRTLEAAVECPPGTDAFGRVEVYPDRLRLVGSDRMASTEMLLLSSSSSTEVAAA >KQL01042 pep chromosome:Setaria_italica_v2.0:VI:7527940:7546142:1 gene:SETIT_0131122mg transcript:KQL01042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFERVVSQVLAGLLGRYVKGIQKEQLKIGFWNEEILLKNVELILEAFDYLQLPFALKNGRIGKLSIRIPWKKLGWDPIIIVIEDVFWSSDSLDKRELAGKLAKLNAIELAKFSRRVTDNQTGQSFLSYISAKILDNIQVSMRNFHIVYMDTHNDQGNFVFGLEFSSLSIQTDTQKQSFTMSLMARSRQDEVNKIIEISDVGIYCHQLEEQQDLCNVGALGNGHSRDDYLVNPFSVTVSVLANKAAKLDGAPQYDMTVELSALALSVDEIQLQQILNLYDYFTICDLRTKYGRYRPSQSSLSKRHKGWQRRWWQYAQNSVLADVRRRLKKTSWRYFKQRLNYRLGYVKLYRMKLELLQKGQIVSKDILQELENMDKECDIDDILNYRTMAEQQLQESLVKSTQDTSSPGSPRTDEQSAGASRGWLNWLSLGMLGAGGTADSSSFAGVVSEDIIKDIYEGTEFHPVSSAEYYLTKENYYSLFVRLSVSQIVTTVASRQVRFGMKLVDAVFAGLGMELKKWDDSATILAWLDSLQVINPSNDMKILMAEKCSTGDGLGAPVISIQVDFPKSNQGSEASTRVVVQEFSAIYEPEFLFNVLHVYDLFSSFQFQHDRVLSSLNRFDNLGARLVSKLKYMSSNRKKLIWDLRIHHFAIRLPSQNCERKELTMVVEAGDLFIRSKDNAEDVSQTQENNSFLDRISKSLPSYFSDDMLLGIQLDELYNHFEVGLTGFQVKVLLPDRHNVSSTLIKLDASIALQLCVFLDEPVLKQLEVGFIVPFIDMYLSQTMYSAIVNLPTVKGTNLVGNGTFDNAKTHGHKKLALNMSVSLKLAKLGLQIDLDGNYDESSGIMVAVEDIDIRYAVCELSDLSLVMKTINITSNKWKDESDSHVLCLSGNLTQCPENSVEACLNLHYRTHMYDDQMHHVYQLNIRDVDLHVNPSVIGQIRMFLRNLDSGPSVGSVIESAMIDQGSRKSGANNGMLPKFSLSNLCGADGTLFTGVSVDHFPFVDTDYTYGYSFGCLGTQDVQAQESSYSKNEQCHDSSGLNGSHASDLASNSLSITQHANCLSTSSNNHKNVSRTVLDLSLVSVRVHFPESCGTLATITIPESIATLTFFDASSWDLLLSANNLTLASPWTPPNIHELLWGTCSHHNASVLNVRVKKDLPALSTEVCVGIQNVCCVLPSKLLAMFVGFFLLDDWNPIAEQEHPLAGNNLECMGESHDCITYKFEICDCVVIFPVEEQDFFCLKLGVPHFFCEFIATGSSVEFAKRIPKEFFSSECIVSSRVDVICIYARNASISLLFVGEQTNFMLKLDENIPKRIHSLIEKLDAGIWIQVPCKEISWSQQPILPTSIMSKISQCNLVAEDLYFINGMETVIGVIDQLISIGKESKMYNGNALQFLEHRSFNEDNPDPNERTNITISIKDLRILLGRSKDKNLALERIATANLEFGVSAVLISEKPERMNLEIVSLALQSPGGDSCMNFKCDLERMKIMLEMIQENKGTSVPFLHISKVKSSSYIHQSAKGLEHVSVDLQAEYMDASFSHQIFSFWRNMELEFPAASSAPSFCSVTFKAGLRKGSLLLNDGRWSSHGPVIETLLKNLTVQFSQMKDQTEVSAFVDLLVNYNNIDKVMWEPFIEPSRFQLNMLRKCADCALDISPSTDVCLSSSKQLNLNISEPLIEAIIRLSKMITDSLDPSNGGGLREDPGILRLSHDDVRTRRYAPYILSNDTSLPFRFKVYRGAVNSDDIDNFSVIDENFVPAGYAVPIYVEETLDEFFFQHREARSSEHLIEKRMNAVSHYMISIEFDGTSGPSKPMSMDLVGIYFFEVNFSSSKKPILGEESLGAFSSNRKGNDGLIVPVVLDVSLQNYSKRIRVYSTVILYNETSMPLELRFDIPFGVSSKVIGPIPPNKEIPLPVHLSEAGQIRWHPVGRTYLWSETRSLSSLLSRESRVGFMKSSVCYPAHPSKDPFRCCISVEEYNVPSSISTRKGQFCSERLNAQPVLGSSSPSNTKQSLTRTHFIRHVRLNTPLLIKNYLPVCISLTIDNGGSARVVSLKEVGSASVFSVDPSNDLGITIDIQDYRSLAIKFPRAESFSTAAKSNGFKFSTTETITFYSNLSNSPLNVMLEKSMDARSGARELYLSVPFLLYNCTDLLLTVTESSYERSGSTLVIPPSFELDGHARHLLEKSGLSLVDPSIQHVVGKMPVLDLMDGSSSVISCTNNSESVKKEFDKEVKAYMFAPDGHTPATELSVKLNAYPPNNGTETTRRDWSNPFLLVPGSGSTNITIPQSSTSGAFLVAAASIPVSTELFGRTRAIAFRPRYVICNACSNDLFFRQKGTRFSKHLSSGQHSFLHWSDTARELLVSIRFDGPGWQWSGSFFPDHLGDAQLKMRNSASGVSYMVRVEVQNADLDIHSKKFSGRNNINTGTVLILLSDDKTGFVPYRIDNFSMEKLRIYQQRCESIETIVYPYTSCEYAWDEPCYSHRLTVEIPGERSLGTFNLDILNDDVHVLLPSTSE >KQL00795 pep chromosome:Setaria_italica_v2.0:VI:5122710:5125729:1 gene:SETIT_013287mg transcript:KQL00795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMEQHYFAPAPAPSQKVRCPVSAILELIQRTAVIKPHVLRVNVLTLGNAILAGILVGIGTYGTRYRHRAFVRALFQGATTLFLPILSYVVSSASATVSTFRQSTPGYIGDPSEIAFNFGCDASKHLFIDLIWAGLVNIVGIITCTMVAATDREGRNIGLPMALLVQAIWSCYLVVSLRPQIEAFLEIPYMLLPTIFALFFAKMALRYYAFLKARRSVALGHNPPLIVGYIEQLHKGSRYCIPQTVEHCPPPLVVTGEHRHDVVKVPHGFFFKRLHHNQDDSMTTMSNYSGLVTVDKIWLSDNKILTSAPWLKDVCLSFSLFKLLRCRFAGYSVAEAGFQEAYSFFLHVLLKDKDHERVYGVITDELSFLHDYYYSSIPIHYSNFWLPMLNIFVSLLTIIYCLLLARLGIPEFITDMEYHSAQVHCWISCSAYGHNFNGYYDRWSVRFGNIAFDAVPVAIVVVVVVLAEAREIATYICSKWTKVGLISYVVNNHDKWQGSPSMQEWIGRVLRCRCKLMKHWDDKMNQCSVLVLHPRRSDPIVSLISRLLHLPDRKRNVKVPRVVKAAIVDALRSNNNNNGFLADPATFLRQSLEDRSGTFLWASDGEGIADIILMWHIATGILGVRRQHQQPPSGDMIVATHLSRYCAYLVAYVPELLPDYDKWSKGLYMAVKKDSMRALAGRAARPAAESEYDKLVSLLQERAENEVLRNGVKLAEKLEREGEEAAWRLLAGFWSQMILYIAPSENLNGHADAIARGGELITFVWALLMHAGIARRPATGERV >KQL01433 pep chromosome:Setaria_italica_v2.0:VI:19424785:19427092:-1 gene:SETIT_015694mg transcript:KQL01433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGGGQLSLHWVTHWVVLRVGARQNLRYDAMCKQGCMWGVWSRLIRSTGQWRILKVVQPHTCRSSQPKRVHAQCTATYIGWRILGIICADSETSVLSLMESIFAFSGYHVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLTAMAYYNPGIKWFPHTSSMMQPNNGIFKHVLQRVFWYFPQCRVSFQHCHPVILVDTTFLIGKYKGTLMMVVVVDPEQ >KQL02221 pep chromosome:Setaria_italica_v2.0:VI:30976166:30976519:-1 gene:SETIT_014841mg transcript:KQL02221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIFMRDIISNMSQTNYQHFWITDLCTNIFFIHIQGAKGANKRIIYQSAN >KQL02848 pep chromosome:Setaria_italica_v2.0:VI:34949528:34950771:-1 gene:SETIT_014005mg transcript:KQL02848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDITDAATPAADAPAPPPALTKDNNLFMQIAVNPDGTVTRPEVPLVPASESAAAAGRAAVSRDVPLDASLGTYLRLYLPNPVPPPPSPATSNKNKLPVVLFFHGGGFVLFSPATVFYHAHCEAMAAAVPCVVASLEYRLAPERRLPAAYEDADAALAWLRGAVAGTDPWLAAHGDLSRCYVMGSSSGGNMAFFAGLRAAKGGADPSSSPAATAAVRGLLLHQPYLGGVERTPSEAGSEDDAMLPLEANDKLWSLALPVGADRDHEFCNPAKAMPPEALTGLPRCLVTGNRDDPLIDRQREFARWLQDSGGVEVVVRADHPGSHASELFVPERAEELFAAMREFLSADGGAR >KQL00237 pep chromosome:Setaria_italica_v2.0:VI:715278:716985:-1 gene:SETIT_015502mg transcript:KQL00237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPVEVCSLEAAFEAVVIRPTRDRRVLVVKELRPRHASALLKLVDLNPGLQGPGEPAWQIRNRGAVVQVEEAVKVLNLLMSAVLPKLREEPTSHLLVRALYQYKEAMRNAGAAAGGSFAAGLRTLVYTYGLYYNAATAVPNQHTSLFAELRAVPHTHPPPPPQRIRPHRPPPLPPPSPPSGRRPIGISEEGERTAAAEVVVGTGGGERARAILRSYAFCSISTQEISCWSHAHQGSMNGQLCNRRFRLLRQIDEGSFTFVFLISPWSSSPPPTPRLPGTTTPTDHQPAAPTLVEADKENFKEQFDVQIHVHSLLILRSLVTPQL >KQL01637 pep chromosome:Setaria_italica_v2.0:VI:23873836:23876521:1 gene:SETIT_013572mg transcript:KQL01637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMAAKVWVLMMALMMCAGVGLAADNDGAAGVGAGCRRLSSPPNYHSALAKSILFFEGQRSGRLPANHRVKWRGDSALNDGQAENVNLTGGYYDAGDNVKFGFPMAFSVTLLSWSAVEYRDEVAAAGQLRYLRSAVRWGADFLLRAHTSPTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITESSPGSEAAGEAAAALAAAYLVFRDDRDKGFATQLLAASRSLFDFANNYRGSFQSSCPFYCSYSGFQDELQWASAWLYRATRDSKYLDFLQNNQGGSSNMFSWDNKYPGAQMLATQEYLAGRTELEGYKRGLDSYVCAVMPNSGNTQIRTTPGGLLFTSDSVNMQYTTTAALLLSIYSKTLSSSGTGAVRCSGASFSPDQISSFAASQVGYILGDNPMGMSYMAGYSSKFPRRIHHRGASIPSIKALPRKVTCNEGFSSWFPTSSPNPNVHVGAIVGGPDGNDQFPDNRGDSTHSEPATYINAAFVGACAAALGQNQLRGPVDDIASVISSN >KQL02336 pep chromosome:Setaria_italica_v2.0:VI:31836738:31838545:1 gene:SETIT_013763mg transcript:KQL02336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWPLCYLSPSPLRLHGKHRWQTFAQEPSNKRRCFPASRSYISTRLPRRLVPTQARETRLPDSASGSMARFAAVLLAAAALLAAGRLSHAAPSTAEVFWRAVLPGSAVPDAVLRFLRPDSFVSKAEAEDADRSNSPFDYQNYERSSAPYGYDYKAPDKGAAGGGGARDDTPFGYDYKAPSKAAADGGGSRADTPFGYDYKAPGGHRHAGGDAPASATTTVFFHEEAVRVGERLAFRFPAASPAPLGLLPRHIADAIPFAAPSLPAVLALLGVAPGSAQAAAMARTLRACETPPLAGDPKFCATSLEALVKGAVAALGTRDVRAVTSTLPRAGAPLQPYTVRAVRRVGGAGFVACHDEAYPYTVYRCHGTGPARAYMVEMEGTRGGAVTVATVCHTDTSRWNPEHVSFKLLGTKPGGAPICHLMPYGHIIWANNEKRSPA >KQL01105 pep chromosome:Setaria_italica_v2.0:VI:8285233:8287566:-1 gene:SETIT_014429mg transcript:KQL01105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKEKEYIKLQEKLNQVLMEKKKESSRSGMEIMNLLQKEGRQRGTWNGKKNDNDYYKMIVDAYEVKKQELMQENADLRALLRSMQMDMREFLNAPNGSSQPTVAANGRKEAGSPQSPLGGKTDVFDLPFHMARDQIEESLRTKMASIKARMTQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHFTKSDKPSGRRHSSLDSEREASAEV >KQL01077 pep chromosome:Setaria_italica_v2.0:VI:7924209:7926864:1 gene:SETIT_013715mg transcript:KQL01077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFGWYIGRGPRRACIFYWVLQLAVGALKSFSALGCAWINNFILTLASSLFSFCFETWLVVEHEKQDQKQDLLFDTFWVMTFFESVSLIGSQEITNVLVSNDDNGFLLPYAFAATLSVVGILYMRNASSTTQHASAVGSYQKSFFAHVLRDKRVLILVLAQAGIHFAISAFWFLWAPTIVADGRYAQLSVIYPCFLASRMLGSAGFPWFYGATAPLRNEDSLTIAYIGAGLALSIVAYDYQEIGTLVILFCIFHACVGFILPSLARLRTKYLPNELRGGMMSFSLSLGNAAIFVFLLQGAHPRSIPNSTILGLASCGLLGAGGCIHMLRRWRKHTRQNARSL >KQL01076 pep chromosome:Setaria_italica_v2.0:VI:7922760:7926864:1 gene:SETIT_013715mg transcript:KQL01076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVIEREEWALTPLAYPLLSAAGLAAALLLPYFSAARTPAHAAGSSSSPFDVGAAPFLRFRRVFLVIFSLASVVEGIQSVFGEDEFVRCGLGREQMAARLAATAAAALFPGAISGVVADKIGPRRACIFYWVLQLAVGALKSFSALGCAWINNFILTLASSLFSFCFETWLVVEHEKQDQKQDLLFDTFWVMTFFESVSLIGSQEITNVLVSNDDNGFLLPYAFAATLSVVGILYMRNASSTTQHASAVGSYQKSFFAHVLRDKRVLILVLAQAGIHFAISAFWFLWAPTIVADGRYAQLSVIYPCFLASRMLGSAGFPWFYGATAPLRNEDSLTIAYIGAGLALSIVAYDYQEIGTLVILFCIFHACVGFILPSLARLRTKYLPNELRGGMMSFSLSLGNAAIFVFLLQGAHPRSIPNSTILGLASCGLLGAGGCIHMLRRWRKHTRQNARSL >KQL02177 pep chromosome:Setaria_italica_v2.0:VI:30683442:30690233:1 gene:SETIT_013290mg transcript:KQL02177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLEAQDGIRMAWNVIPGTKQDAINCVVPVSAIFTPLKPNPTIPVLPYAPLRCRMCRSILNPFSVVDFVAKIWVCPFCFQRNHFPQHYYTISENNLPAELFPQFTTVEYASTAETGPVVPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILDQMSFFAGKTKPTTGVIAGARDGLSTESIARFLLPASECEFVLNSVIEELQRDPWPVPADKRSSRCTGVALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLNKGSAPLYNKAVKFYEDISKQLVNQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSSDNDLDLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTIVGQGNTSAWKMCGLDRKTSLCLIFDIAKKDGSDSIGQSANDQFYFQFLTYYQHHEGQMRLRATTLSRKWVAGSGGVQELIAGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISLCSKFGEYHKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVSNNSPDETAYFTMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTVVIFHGVTIAQWRKAGYQDQEGHEAFAQLLQAPKEEADAVIKERFPVPRLVVCDQYGSQVISFFLISSFFFQDTVLHSGNFSAYLFK >KQL02180 pep chromosome:Setaria_italica_v2.0:VI:30683442:30691372:1 gene:SETIT_013290mg transcript:KQL02180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLEAQDGIRMAWNVIPGTKQDAINCVVPVSAIFTPLKPNPTIPVLPYAPLRCRMCRSILNPFSVVDFVAKIWVCPFCFQRNHFPQHYYTISENNLPAELFPQFTTVEYASTAETGPVVPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILDQMSFFAGKTKPTTGVIAGARDGLSTESIARFLLPASECEFVLNSVIEELQRDPWPVPADKRSSRCTGVALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLNKGSAPLYNKAVKFYEDISKQLVNQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSSDNDLDLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTIVGQGNTSAWKMCGLDRKTSLCLIFDIAKKDGSDSIGQSANDQFYFQFLTYYQHHEGQMRLRATTLSRKWVAGSGGVQELIAGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISLCSKFGEYHKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVSNNSPDETAYFTMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTVVIFHGVTIAQWRKAGYQDQEGHEAFAQLLQAPKEEADAVIKERFPVPRLVVCDQYGSQVISFFLISSFFFQDTVLHSGNFSAYLFKPDFYWQSSIHLSRITPTIRHRREGMFFSRMT >KQL02178 pep chromosome:Setaria_italica_v2.0:VI:30683442:30691372:1 gene:SETIT_013290mg transcript:KQL02178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLEAQDGIRMAWNVIPGTKQDAINCVVPVSAIFTPLKPNPTIPVLPYAPLRCRMCRSILNPFSVVDFVAKIWVCPFCFQRNHFPQHYYTISENNLPAELFPQFTTVEYASTAETGPVVPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILDQMSFFAGKTKPTTGVIAGARDGLSTESIARFLLPASECEFVLNSVIEELQRDPWPVPADKRSSRCTGVALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLNKGSAPLYNKAVKFYEDISKQLVNQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSSDNDLDLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTIVGQGNTSAWKMCGLDRKTSLCLIFDIAKKDGSDSIGQSANDQFYFQFLTYYQHHEGQMRLRATTLSRKWVAGSGGVQELIAGFDQEAAAAVMARLVSFKMEAEVQSLFCWM >KQL02176 pep chromosome:Setaria_italica_v2.0:VI:30683442:30689054:1 gene:SETIT_013290mg transcript:KQL02176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLEAQDGIRMAWNVIPGTKQDAINCVVPVSAIFTPLKPNPTIPVLPYAPLRCRMCRSILNPFSVVDFVAKIWVCPFCFQRNHFPQHYYTISENNLPAELFPQFTTVEYASTAETGPVVPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILDQMSFFAGKTKPTTGVIAGARDGLSTESIARFLLPASECEFVLNSVIEELQRDPWPVPADKRSSRCTGVALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLNKGSAPLYNKAVKFYEDISKQLVNQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSSDNDLDLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTIVGQGNTSAWKMCGLDRKTSLCLIFDIAKKDGSDSIGQSANDQFYFQFLTYYQHHEGQMRLRATTLSRKWVAGSGGVQELIAGFDQEAAAAVMARLVSFKMEAEVLMLLKLF >KQL02179 pep chromosome:Setaria_italica_v2.0:VI:30683442:30691372:1 gene:SETIT_013290mg transcript:KQL02179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFLDLEAQDGIRMAWNVIPGTKQDAINCVVPVSAIFTPLKPNPTIPVLPYAPLRCRMCRSILNPFSVVDFVAKIWVCPFCFQRNHFPQHYYTISENNLPAELFPQFTTVEYASTAETGPVVPPVFLFVVDTCMIEEEIGYLKSALAQAIELLPDQSLVGFITFGTYVQVHELGFGLLPKSYVFKGTKEVTKEQILDQMSFFAGKTKPTTGVIAGARDGLSTESIARFLLPASECEFVLNSVIEELQRDPWPVPADKRSSRCTGVALSVAASLLGVCVPGSGARIMAFVGGPSTEGPGSIVSKSLSEPIRSHKDLNKGSAPLYNKAVKFYEDISKQLVNQGHVLDLFACALDQVGVAEMKVAVERTGGIVVLAESFGHSVFKDSLRRIFQSSDNDLDLSFNGIFEINCSKDVKIQGIIGPCTSLEKKSPLSSDTIVGQGNTSAWKMCGLDRKTSLCLIFDIAKKDGSDSIGQSANDQFYFQFLTYYQHHEGQMRLRATTLSRKWVAGSGGVQELIAGFDQEAAAAVMARLVSFKMEAEADFDPIRWLDRALISLCSKFGEYHKETPSSFSLSPRLSIFPQFMFNLRRSQFVQVSNNSPDETAYFTMMLNRENVANAVVMIQPSLISYSFQSGPEPVLLDVTAIAADRILLLDSYFTVVIFHGVTIAQWRKAGYQDQEGHEAFAQLLQAPKEEADAVIKERFPVPRLVVCDQYGSQARFLLAKLNPSVTYNSDNPPPPGGDVLFTDDVSFQVFMDHLQRLAVQ >KQL01609 pep chromosome:Setaria_italica_v2.0:VI:23113003:23113348:-1 gene:SETIT_015971mg transcript:KQL01609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVATIATVEAKLCDGLVSCAKTACYLVVFELSLM >KQL01683 pep chromosome:Setaria_italica_v2.0:VI:24737547:24739878:1 gene:SETIT_015166mg transcript:KQL01683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPTPTQTVCLGPEPWVCLARDVPDRRTRPGGGSSSGSTTPRPHPAPPRRRPSRPPSAFLLSSPPDLSQSQRRVRAVPPPRLPLRFSSPASPAAAIFPVSKRWLCRLAGGGACRRQGRFGMDIHAAGIDAARQRVAAGVAASAVRTWSTWPRQLGGGRCALPPRPRPPLPPPPPPRVAEGRRGGGGEDAARDAGEAAGATLDARRGAGPGKAEEVAGGGSRATSRGGGVAWSGVVAQAGPDADTAGGNAVAGAVADASAGDGAAGVAVVVASREGREGGEVGSKRPFASAAAPRAYQPPKRRLVSATRRFPPGCGRGADDGGSAALPKKPAPPPSVGEAGSSAAAVPRLIAGGGHRGQDAGALRSPEPSCRRSVAAADGLLDTDSQGGSGRGGFGSCKQLVSAMRLLPKPTMVSAIRRFPPGCGRSKELSAAGGSSGLKKKVIVKCPAHIRMKVASACTMGSINKLDDIAASILQDDCFSKALAAYERKLELKFNVSSDVPSVRCQRQHGTQNVDARSKVKMMCKRFRFICRATVQFVEQRSLKVSRIDLVADKVIKKLPGFTQHDPIIGNVPGVEIGDEFVYRVELALVGLHRPYQGGIDITRDENGVPVAISIVASGGYPDELSSSGELIYTGSGGKLAGKKYGEDQKLKRGNLGLKNCIQTMTPVRVIHGFKSLSREEGSHSRARGASAFTYDGLYCVVDCWREGQAGSKVFKYKLQRIPGQPQLPYCSKTACSGKTGI >KQL02140 pep chromosome:Setaria_italica_v2.0:VI:30238825:30243503:1 gene:SETIT_013224mg transcript:KQL02140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVEPRQQFGRLEAVRGAAANGAKAYPPPASHIPRRADSPVRGCGFPPLVSPPRTKPDEASDDEDDEQEDWRELYGSHLQLEVEPAVHDSRDEGTADAWIERNPSLIRLTGKHPLNCEPPLTRLMHHGFITPAPLHYVRNHGAVPRGDWATWTVEVTGLVKRPARLTMEELARDFPAVEVPVTLACAGNRRKEQNMVQQTVGFNWGPAGVSTSVWRGARLRDVLRRCGVMPRKGGALNVCFEGAEDLPGGGGSKYGTSITREWALDPSRDIMLAYMQNGEPLLPDHGFPVRVIIPGCIGGRMVKWLKRIIVTPVESDNYYHYKDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPGHDEILPINSITTQRGYTMKGYAYSGGGKKVTRVEVTLDGGETWLVCDLDHPEKPNKYGKYWCWCFWSVEVEVLDLLGAKEIAVRAWDQSLNTQPEKLIWNLMGMMNNCWFKVKVNVCRPHRGEIGLVFEHPTQPGNQTGGWMARQKHLETAEAAAPGLKRSTSTPFLNTTDGKQITMSEVRKHASQESAWIVVHGHVYDCTKFLKDHPGGADSILINAGTDCTEEFDAIHSDKAKALLDTYRIGELITTGTGYNSDNSVHGGSVLSHLAPIREAIRAPAPVALSNPREKIHCRLVSKKELSRDVRLFRFSLPSSDQVLGLPIGKHIFVCATIEGKLCMRAYTPTSMVDEIGHFDLLVKIYFKNEHPKFPNGGLMTQYLESLPIGAYIDVKGPLGHVEYTGRGNFVINGKQRHAKRLAMIAGGSGITPMYQVIQAVLRDQPEDQTEMHLVYANRTEDDILLRDELDRWAADYPDRLKVWYVIDQVKRPEEGWKYSVGFVTEAIVRDHVPEGGDDTLALACGPPPMIQFAISPNLEKMKYDMANSFIVF >KQL02872 pep chromosome:Setaria_italica_v2.0:VI:35047249:35051301:1 gene:SETIT_013260mg transcript:KQL02872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGAAAAWELTKKGTVVSYDRRSLMIDGRREIFFSGSIHYPRSPPDMWPDLIVKAKEGGLNVIESYVFWNIHEPEKGVLNFEGRYDMVKFFKMIQEHDMYAIVRIGPFIQAEWNHGGLPYWLREVPDIVFRTNNEPFKMLMEKFVNLIVKKLKDANLFASQGGPIILAQIENEYQHLEAVFKEEGTKYINWAAKMAQATNTGVPWFMCKQTKAPGEVIPTCNGRNCGDTWPGPTNKTMPLLWTENWTAQYRVFGDPPSQRSAEDLAFAVARFFSVGGTMTNYYMYHGGTNFGRTAAAFVMPKYYDEAPLDEFGLYKEPKWGHLRDLHQALRLCKKALLWGTPSVQKLGKQFEARVFELKEQNVCVAFLSNHNTKDDVTMTFRGQPYFVPRHSISILADCKTVVFSTQHVNAQHNQRTFHFTEQTVQNNVWQMFDEEKVPKYKQAKIRTRKAQELYNLTKDKTDYVWYTSSFKLEADDMPFRRDVRPVLEVNSHGHASVAFVNNVFVGCGHGTKMNKAFLLQKPMNLKMGVNHVAVLSSTLGMMDSGAYLEHREAGIDRVQILGLNAGTLDLTNNGWGHIIGLVGEQKEIYTEKGMGSVTWKPAVKDKPLTWYKRHFDMPSGEDPVVLDLSPMGKGMMYVNGEGIGRYWISYKHALGRPSQQLYHVPRSFLREKNNVLVLFEEEGGHPDAIMILTVKRDNICTFISEKNPAHIKSWQRTDSQITAKGGGDLKPKATLSCPLKKVIQQVVFASFGNPQGICGNYTAGSCHTPRAKEVAEKACLGQRTCTLPVAADVYGGDLNCPGTTATLAVQVKCSKRSPGAPAAAAQ >KQL02972 pep chromosome:Setaria_italica_v2.0:VI:35563754:35568742:1 gene:SETIT_013225mg transcript:KQL02972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPGMDSVQGKESNGVAPDCNGGASPAKQQLEGKEALRYANILRSRNKFADAIQLYNVVLEKEGTNVEALIGKGICLQAQSLPRQAIECFTEAVKIEPENACALTHCGIIYKDEGHLVEAAEAYQKARTADPSYKPASEFLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDNHYAPAYYNLGVVYSEMMQFDMALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGSITLAIQAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYEAHREWGKRFMKLYPQHTSWDNSKVADRPLIIGYVSPDYFTHSVSYFIEAPLTHHDYTNCKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKRVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPGTKQKHVEELVHLPESFLCYTPSPEAGPVCPTPAISNGFVTFGSFNNLAKITPKVLQVWAQILCAVPNSRLVVKCKPFCCDSIRQKFLSTLEELGLESLRVDLLPLIHLNHDHMQAYSLMDIR >KQL02973 pep chromosome:Setaria_italica_v2.0:VI:35563754:35570080:1 gene:SETIT_013225mg transcript:KQL02973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPGMDSVQGKESNGVAPDCNGGASPAKQQLEGKEALRYANILRSRNKFADAIQLYNVVLEKEGTNVEALIGKGICLQAQSLPRQAIECFTEAVKIEPENACALTHCGIIYKDEGHLVEAAEAYQKARTADPSYKPASEFLAIVLTDLGTSLKLAGNTEEGIQKYCEALEVDNHYAPAYYNLGVVYSEMMQFDMALTCYEKAALERPLYAEAYCNMGVIYKNRGELEAAIACYERCLTISPNFEIAKNNMAIALTDLGTKVKIEGDINQGVAYYKKALFYNWHYADAMYNLGVAYGEMLNFEMAIVFYELALHFNPRCAEACNNLGVIYKDRDNLDKAVECYQMALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGSITLAIQAYERCLQIDPDSRNAGQNRLLAMNYIDEGSDDKLYEAHREWGKRFMKLYPQHTSWDNSKVADRPLIIGYVSPDYFTHSVSYFIEAPLTHHDYTNCKVVVYSGVVKADAKTLRFKDKVLKKGGLWRDIYGIDEKRVASLVREDKVDILVELTGHTANNKLGTMACRPAPIQVTWIGYPNTTGLPTIDYRITDSLADPPGTKQKHVEELVHLPESFLCYTPSPEAGPVCPTPAISNGFVTFGSFNNLAKITPKVLQVWAQILCAVPNSRLVVKCKPFCCDSIRQKFLSTLEELGLESLRVDLLPLIHLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGVSLLSKVGLGRLVAKTEDEYVSLALDLASDVNALQELRMSLRELMMKSPVCDGEKFTRGLEAAYRNMWHRYCDGDVPSLRRLELLQEHPVANKQDSDKTAEKLADLKAQKANATVEGDKQPPVMANGVSSPDSPASAKFEANGHCSQ >KQL02423 pep chromosome:Setaria_italica_v2.0:VI:32425189:32427639:1 gene:SETIT_013384mg transcript:KQL02423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPRALAVAVALLAALAASAAALNTDGLALLALKFAVSDDPGGALATWRDADADPCGWAGVSCAGGGGGRVAGLELANASLAGYLPSELSLLSELQELSLPYNRLSGQIPVAIAALQKLAALDLAHNLLCGQVPAGIGRLVSLARLDLSSNQLNGSLPPAIAGLPRLSGVLNLSYNHFTGGIPPEYGGIPVAVSLDLRGNDLAGEIPQVGSLVNQGPTAFDGNPRLCGFPLKVECAGGVKDEPRIPEANSGMSDPGAAAEVGRRPPKRRSSPTVPILAVIVVVAIVAGVVLQWQCRRRCAAAARDEEKESAKEKGGAVTLAGSEDRRSGGGEEGEVFVAVDDGFGMELEELLRASAYVVGKSRGGIVYRVVPGRGPAVAVRRLSEPDDGDSDGSGWRRRRAFEAEAAAIGRARHPNVARLRAYYYAPDEKLLIYDYLPNGSLHSALHGGPTASPTPLPWSVRLSIVQGAARGLAYLHECSPRRYVHGCIKSSKILLDDELRAHVSGFGLARLVAGAHKAATGGGSKKLGSAACALRGGAVSYVAPELRAPGGAPAAAATQKGDVFAFGVVLLEAVTGREPAEGEGGMDLEAWVRRAFKEERPLSEVVDPTLLGEVHAKKQVLAVFHVALGCTEPDPEMRPRMRAVAESLDRIG >KQL02038 pep chromosome:Setaria_italica_v2.0:VI:29344192:29347225:1 gene:SETIT_013266mg transcript:KQL02038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQMRLHCYYHHYPVLLLLLLALAAAAGGVAADDAGGRGAERTYIVRVDADAKPSVYPTHAHWYEAAVLSAAVAGDGSGEWPEGGPLIHTYSAAFHGFSARMSPAAAAALASAPGVAAVVPERVRRLATTRSPRFLGLLSSPPSALLADSDFGADLVIAIVDTGISPAHRSFHDRGLGPVPPRWRGVCASGPGFPPTSCNRKLVGARFFSKGYEATSGRMNETAEVRSPLDTDGHGTHTASIAAGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWSGGCFDSDILAAFDAAVADGVDVVSLSVGGVVVPYYLDAIAIGAFGATEAGIVVSASAGNGGPGGLTVTNVAPWMATVGAGSMDRAFPANVRLGDGQVLDGVSVYGGPALEPSKMYELVYAGASGGASSAADGYSASMCLDGSLDPAAVRGKIVVCDRGVNSRAAKGDVVRRAGAVGMVLANGAFDGEGLVADCHVLPATAVGAAAGDKLRKYIASSTKQRPATGTIVFEGTHLGVHPAPVVAAFSARGPNPQSPEILKPDLIAPGLNILAAWPSGVGPAGIPSDTRRTEFNILSGTSMACPHVSGLAALLKAAHPTWSPAAIKSALMTTAYVRDNSNGTMVDESTGAVAGAFDFGAGHVDPMRAMDPGLVYDISPMDYVSFLCNLNYTEQNIRAITRRQADCRGARRAGHAGNLNYPSLSATFVAAEAGTGRATTMRTHFIRRATNVGGGPAVYRASVSAPEGCNVTVQPRQLAFRRDGQRLSFTVRVEAAVAPGERMEPGSSQVRSGALTWSDGRHVVRSPIVVTVQAPLQ >KQL02412 pep chromosome:Setaria_italica_v2.0:VI:32338442:32339272:-1 gene:SETIT_015010mg transcript:KQL02412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPHTQRAPTTSPHRHRSASLSTAATVTMFPPSLLSRAPSPPLTTAPTSIASSQQQQQALRLPPSKQPPLATTLVAAAAAGLLLLSPAPAPSRADPEFKVYYGTAASAANYGGYGGNASKKDTAEYVYDVPEGWKERLVSKVEKGTNGTDSEFFNPRKRSEKEYLTFLSGIRALAPLNAVLNNLALSDVGLQDQIATADDVRSAERADGDGQVYYEYEVAGAGAHSLISVTCARNKLYAHFVTAPNAEWGRDEAVLRRLHESFRTIQPGAPPPATES >KQL00914 pep chromosome:Setaria_italica_v2.0:VI:6493330:6497044:-1 gene:SETIT_013676mg transcript:KQL00914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAIGSPSRAAAAAAAPSRSSTARSPLAVLRVASSASSAASRRGAVAAAAMRPAKAVAAEAPSAAVENGAAVAGMARPDTMGRFGKFGGKYVPETLMHALTELENAFHALATDEEFQKELDGILKDYVGRESPLYFAERLTEHYKRTDGTGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKQRIIAETGAGQHGVATATVCARFGLQCIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHKVIGQETRRQAMDKWGGKPDVLVACVGGGSNAMGLFHEFVDDQDVRLIGVEAAGHGVDTDKHAATLTKGEVGVLHGSMSYLLQDDDGQVIEPHSISAGLDYPGVGPEHSFLKDIGRAEYDSVTDQEALDAFKRVSRLEGIIPALETSHALAYLEKLCPTLPDGVRVVVNCSGRGDKDVHTASKYLEV >KQL01092 pep chromosome:Setaria_italica_v2.0:VI:8051886:8053299:-1 gene:SETIT_014105mg transcript:KQL01092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAQMKSFVRLLILVQVAAILAGHGAAELSMDYYGMSCPYAEYIVRNVVGQALMKDPTLAGSILRLHFHDCFVQGCDASVLLDSTDDNTAEKDAPANKSLRGFEVIDSIKEALEEQCPGVVSCADVLALAARDAVFMARGPYYGVPLGRRDGSRSVASDTFLTLPPPIKNVTVLIQIFDKVGLDVHDMVALSGGHTLGIAHCANFKNRIKDETETLDATLASSLGSVCKGGDSGKAPFDRTSTRFDAVYYRELTSKRGLLSSDQTLFESPETKEMVSMFAMNPDYFFYAFQQGMLKMGQINLKEGDDGEIRHTCRVVNS >KQL02647 pep chromosome:Setaria_italica_v2.0:VI:33726367:33730282:1 gene:SETIT_013642mg transcript:KQL02647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQILCTTLKRVQATYLQGGSTNVRGQLLGAVGERLEWAGEHAAVSVRPARHGPASGGREGAGGTGGAVGALDRVKPIECIAHLGVRSIVQVGVGPLLSVGLHVLHSVGLHVGHRDIARGRGGGELHGLPARGETTVKGGPPCRVPSPAVGRIVAAERNHPSSCGHIPPSTESFRARTPTASMAAADPATAASFSQLSFRRAVCPSPLRLPLSRASPPGRLRVSSTVVALHKRNPKQLKYAAERQFTRGDAGMLRVEVEPSGEDFWKLDPIIDLINRGAVGVIPTDTVYSIVCDLSNNESIERLRRVKGIGDSKPLSILCRSLRDIDTYTTGFPRGTNQGQANIFRAVKRVIPGPYTFILPATKQFPKQLIKHGSSTRYAKRRQVGVRIPDDPICQAILQNLDEPLICTSVKYLSEDEWILDPVIIADLYEPLGLDFIVDGGPRIADPSTVVDMTGTNPTIIRQGKGPKLDWMVAEDEEAQSMFAFKAA >KQL01939 pep chromosome:Setaria_italica_v2.0:VI:28246152:28246470:1 gene:SETIT_014848mg transcript:KQL01939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKDGYCKECSLYDSNCLSELETRIGRSVTVTFLVSCSIDVFM >KQL02700 pep chromosome:Setaria_italica_v2.0:VI:34058357:34063456:-1 gene:SETIT_013636mg transcript:KQL02700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKGGEDGGESRKYTTGARKSADRRNLRRCCAHQAAAGDIAGGPRRRRSRRVKALDLIGRWTVAARGERNPTSTPEPSTTTRSVRGWAPDHVPTPHPPHHTTHPARAPPTPHPNPTQPLRPAKLAREAADASARKRKAADGGEAAPEAATTDANAAPPIPAEEEEDAAMGDVPQAPHAADGGAEGEGPPAVPDPNPSPSLGGCSDPVSVELSMGGDYYRACCGEPDLDIPEGPKLPCVGDKEPLSSLAAEFQSGSPILQEKIKLLGEQYDALRRTRGDGNCFYRSFMFSYLEHILETQDKAEVDRIMIKIAECKKTLLSLGYIEFTFEDFFSIFVDLLESVLQGHEAPIGPEELLERTRDSQVSDYVVMFFRFVTSGEIQRRSEFFEPFISGLTNSTVAQFCKASVEPMGEESDHVHIIALSDALGVPIRVMYLDRSSCDTGNLSVNHHDFIPSTNAEGPDAATTSTPATEKPYITLLYRPGHYDILYPK >KQL00634 pep chromosome:Setaria_italica_v2.0:VI:3634237:3634886:-1 gene:SETIT_014705mg transcript:KQL00634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKKSSFAAEEAMAAASPAAIIIAFLARPLSLLRHVAHGLASRLKPAASASASASACRPQQEAAAAVVTEEVVVVEMRSRGMMAPQRPRGLREGKGGNGGAHH >KQL01452 pep chromosome:Setaria_italica_v2.0:VI:19855190:19855819:-1 gene:SETIT_014972mg transcript:KQL01452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRQYHFVCSHLVAAARHRNFDIESMIPHEFSVGTLVRTWSPHFVPFRDPREWPPYDGPKYIADPAYRWNKRGTRKRTRHNMTMDQVSGRTRRGRATPFLADPEQNECGKCGILGHNSRTCRWQISEDGALPPSRPGVRPDPPRSSHSGRAGNNLQFMIKALRASTSCVL >KQL01645 pep chromosome:Setaria_italica_v2.0:VI:24057098:24060723:-1 gene:SETIT_014639mg transcript:KQL01645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRRKLWWHTFRRIVTYLWHIHRLPFQDHQRWLSSRSGRHDLSKPASGGLLFDSHATSSSFTRETLERELTSYCRCLTFRHHLRLPWWGCRRFSQRGDANVSDRPVVWLLLLEEVAMVGAIIVPLPARGKP >KQL02300 pep chromosome:Setaria_italica_v2.0:VI:31593143:31595015:-1 gene:SETIT_013621mg transcript:KQL02300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSKEKHQISRHMLTHRLTVTAPTDRHHHHSSRSASREKINLNNPLNQGGGHGHSGGSNGGEPPRARPAGRRVLLRVLPLVGGRRVVGGRAGRVRDVPRAVAARPRGRPGRVGRGRGRRRGGLRGLEQLHGLQHGVHRVDGDGVPVVDRGPHPRGGVGGLRPDAHLVAHVLHREVVAPGSTVGGERVHGPVVGLEAPQRVVHGHRVEQQQRRLPVGAQVPVARHEGPEGVVGGAERRHAALHGRLERRERVGGLGHQGRERLAPALGHERRDVRRRRGRLRLRRGGGRRRLAAATGGGAGGQGEEDGDEQERRGGGEGGAAHCGERARGSEWDEWQQQPTLQQTSGVVMRASERVTLFAQLSLWWRCPWASVVIPRIAIPAALDFVSGTGGEVDPIPNPGARSAASHRCRTAALPLRSAPLAHWHLLALVVVVAHRHRHLRAVPTNCPVGGLTPDGGRMIDRRVALIWEEWGFARTAPRSRWISPGDADSRAP >KQL01709 pep chromosome:Setaria_italica_v2.0:VI:25096598:25097612:1 gene:SETIT_014293mg transcript:KQL01709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQHQDPPAEIFHPAHPAHDLKLVTAGGGATATFVCDGCKEPGGGARYTCGCGSASFDLHPPCALADEDEALRHPLFPGRDFFFLPEPPPPVDRTICDACGEAARGYVYHCFEGDLDLHPCCARLPERILQDGRVFDLRRKTSRRPCGLCGDNRRRDFWAYSSYFDGEAVDLHVACMKDVARLSWEAACEDRAGGGQIVQASLPNMDRTLQSLPRSKRRRSGFEQFIRIVSTVASIIIAVIFGNPVAMMAAIAGPGGFLRG >KQL00692 pep chromosome:Setaria_italica_v2.0:VI:4041788:4042242:-1 gene:SETIT_015962mg transcript:KQL00692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRSYLCVCVPQNRSSQYTVHYKLHPHLDLMVLNCHNGKAYRLDLAT >KQL01998 pep chromosome:Setaria_italica_v2.0:VI:28874936:28875444:-1 gene:SETIT_014840mg transcript:KQL01998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICYVCTISKVQILSHLLCLNLQLFVLSSILKARLLAFVRLLSVFTCNGS >KQL01512 pep chromosome:Setaria_italica_v2.0:VI:20985694:20990377:-1 gene:SETIT_014063mg transcript:KQL01512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGPVLCRPAMQAKLPAAALISNSLVKSGQLGTAFFGAMSKYRNVTRFISPISQPPAKNSSHVCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIVKLVRVNKRIQEAYCAELYLTKIEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPIEPARKAVAAGLSEGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMQLRSKRKNWA >KQL01509 pep chromosome:Setaria_italica_v2.0:VI:20987186:20989353:-1 gene:SETIT_014063mg transcript:KQL01509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGPVLCRPAMQAKLPAAALISNSLVKSGQLGTAFFGAMSKYRNVTRFISPISQPPAKNSSHVCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPIEPARKAVAAGLSEGLLFTELDRSFRKYTYYCC >KQL01515 pep chromosome:Setaria_italica_v2.0:VI:20985694:20990377:-1 gene:SETIT_014063mg transcript:KQL01515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGPVLCRPAMQAKLPAAALISNSLVKSGQLGTAFFGAMSKYRNVTRFISPISQPPAKNSSHVCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPIEPARKAVAAGLSEGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMQLRSKRKNWA >KQL01513 pep chromosome:Setaria_italica_v2.0:VI:20986409:20989353:-1 gene:SETIT_014063mg transcript:KQL01513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGPVLCRPAMQAKLPAAALISNSLVKSGQLGTAFFGAMSKYRNVTRFISPISQPPAKNSSHVCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPIEPARKAVAAGLSEGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMQLRSKRKNWA >KQL01510 pep chromosome:Setaria_italica_v2.0:VI:20987186:20989353:-1 gene:SETIT_014063mg transcript:KQL01510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGPVLCRPAMQAKLPAAALISNSLVKSGQLGTAFFGAMSKYRNVTRFISPISQPPAKNSSHVCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPIEPARKAVAAGLSEGLLFTELDRSFRKYTYYCC >KQL01514 pep chromosome:Setaria_italica_v2.0:VI:20986409:20989353:-1 gene:SETIT_014063mg transcript:KQL01514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGPVLCRPAMQAKLPAAALISNSLVKSGQLGTAFFGAMSKYRNVTRFISPISQPPAKNSSHVCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPIEPARKAVAAGLSEGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMQLRSKRKNWA >KQL01516 pep chromosome:Setaria_italica_v2.0:VI:20986409:20989353:-1 gene:SETIT_014063mg transcript:KQL01516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGPVLCRPAMQAKLPAAALISNSLVKSGQLGTAFFGAMSKYRNVTRFISPISQPPAKNSSHVCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPIEPARKAVAAGLSEGLLFTELDRSFRKPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMQLRSKRKNWA >KQL01511 pep chromosome:Setaria_italica_v2.0:VI:20985694:20990377:-1 gene:SETIT_014063mg transcript:KQL01511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEGPVLCRPAMQAKLPAAALISNSLPPAKNSSHVCCSFSSSSDGNGYMAGNFSESDEDYVNSTVLEAVEVRSGSEGYVIKMRDGKNLRCVHNNSQGRNIPESAPQPAIVLRIEDGSETLLPIIVLEMPSVLLMAAIRNVHIARPTIYQVVKEMIDKMGYEVKLVRVNKRIQEAYCAELYLTKIEDPTDSITFDLRPSDAINIAVRCKVPIQVHRSLAYSDGIRPIEPARKAVAAGLSEGLLFTELDRPDGQPCVEAQEFGLVRNMLIAAVEERYKDAASWKDKLMQLRSKRKNWA >KQL01966 pep chromosome:Setaria_italica_v2.0:VI:28530178:28533178:-1 gene:SETIT_014440mg transcript:KQL01966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASLLALAVALLVSAGFSGVDRGTAAPVESEGGEVTYGSVIKLMHEKTKHRLHSHDVPYGSGSGQQSVTGFPEGDDSNSYWIIRPTPDSSSKQGDAIETGSIVKLQHMRTRRWLHSHLHASPLSGNLEVSCYGGDELSDTGDYWRLEIEGSGKVWKRDQKIRLRHVDTGGYLHSHNKKYNRLGGGQQEVCGVREKRAENIWLAAEGVYLPVNGSK >KQL02799 pep chromosome:Setaria_italica_v2.0:VI:34640659:34644360:1 gene:SETIT_015823mg transcript:KQL02799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSGAADPGAARHHLSPQLGGQQPQPPVPRSPTPLDLASAAAAASGYRRLSPSLRPPAHPQARLPSPYGQIPAPGGGGHHARSLSQPLFFSLDSLPPPPYADLGAAAHAVPPSPPSSSSDPHPPPPPPLGLPPRKGGHRRSHSDIPFGGFAQLSPPLPPPAPVKREVTIAAEGCRSDGGDDAALYDLVNAYMDLDGLDPLNSSEDRHDDRDSRASGTRAGSAAESSENEAESQSTSVDRKDGGKSRHCRSLSMDSFMGKLNFAAGDESPKLPLPSPGGSLTRSGSGSWKFANGEFTESEKKKIMANERLAEIALTDPKRVKRILANRQSAARSKERKMRYIQELEHKVQVLQTEATTLSAQLTMLQRDSAGLATQNNELKIRLQAMEQQAQLRDALNEALTGEVQRLKLATGEISDGRMPKSLQQQMNSQMLQLQQLQIQQQQQQQAPQQQQQQHQHQQQHQQQPQKSA >KQL02603 pep chromosome:Setaria_italica_v2.0:VI:33489421:33491257:-1 gene:SETIT_014387mg transcript:KQL02603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQKNAHVPKFGNWDNDGNVPYTLYFENARKGKGAGGKMINPNDPAENPEAFSIAAPSPNRSDAGRSSSPAPPPASRHERRPSSDAPPLSPNPYAGSPYHRHAGGEAPRRGGGGRTGGGYSVEQSPVHPYSSESGGYGLVANSVERSRAKGGLRGNETVRLCSLSLCALP >KQL02605 pep chromosome:Setaria_italica_v2.0:VI:33489048:33491257:-1 gene:SETIT_014387mg transcript:KQL02605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQQKNAHVPKFGNWDNDGNVPYTLYFENARKGKGAGGKMINPNDPAENPEAFSIAAPSPNRSDAGRSSSPAPPPASRHERRPSSDAPPLSPNPYAGSPYHRHAGGEAPRRGGGGRTGGGYSVEQSPVHPYSSESGGYGLVANSVERSRAKGGLRGNETPTRGSAVPKFGDWDSNPASADGYTHIFDKVREEKQTQAGKPAASGKDAARGNGNKHYDDGYVSSSKFSCFGWCK >KQL02604 pep chromosome:Setaria_italica_v2.0:VI:33488736:33491457:-1 gene:SETIT_014387mg transcript:KQL02604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQKNAHVPKFGNWDNDGNVPYTLYFENARKGKGAGGKMINPNDPAENPEAFSIAAPSPNRSDAGRSSSPAPPPASRHERRPSSDAPPLSPNPYAGSPYHRHAGGEAPRRGGGGRTGGGYSVEQSPVHPYSSESGGYGLVANSVERSRAKGGLRGNETPTRGSAVPKFGDWDSNPASADGYTHIFDKVREEKQTQAGKPAASGKDAARGNGNKHYDDGYVSSSKFSCFGWCK >KQL01353 pep chromosome:Setaria_italica_v2.0:VI:17262718:17264128:1 gene:SETIT_015382mg transcript:KQL01353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLRMPVAALLMDEPQDSDGSEGHLESTSESTDDMKPTLI >KQL02097 pep chromosome:Setaria_italica_v2.0:VI:29898379:29899390:-1 gene:SETIT_016011mg transcript:KQL02097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRVLEALIAESPMLEIEDLPSIEEVVITTYDDTDGRDFVKLLTGLARVRKLNLEIQVSRFLYATPDLTFLFVIHTLALWLV >KQL00423 pep chromosome:Setaria_italica_v2.0:VI:1971967:1973864:-1 gene:SETIT_014653mg transcript:KQL00423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGSSSFSRTRSGLVRRKGIFAPNKASCSRTRSGLLRVKSFMRSRDGSCSRTRSGLVRIKSFKDSSDSSCSRTQSDPVRGSPHVVEDLIEDEAVLKGSPEEWVTEDSPVRTRNGLVRGSPAYKAC >KQL00500 pep chromosome:Setaria_italica_v2.0:VI:2592622:2594512:1 gene:SETIT_015376mg transcript:KQL00500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVKPSLLTVSSVRDWSELPLDALSTIFMKLGTIEILMGAGLVCRSWLAAAKSPELWRFVDMTGHKMVNNMVNGDPGILCAMAKVAIDRSDGQMESFRAQLFVTSELLDYIESRANSLKSIQLTNCWYLWTQKLSRFASKCPLLEEIEFSYQKMLPELIRHLGSVHPNLKRLRISLPSIWQNGVHMEYDESWEAGKNEEAFAIAESLHELRFLQMAGRCLSNDGVYAIIQGCPHLECLDITKCCHVYVNDELRAHCAKIKCVLFPK >KQL00177 pep chromosome:Setaria_italica_v2.0:VI:353362:353954:1 gene:SETIT_014591mg transcript:KQL00177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSSPTRPPPPTPRQAVTTTTTTSRSPEPHPFATSAPPACGLQFQSVLTTGGPVISSAPTTLPPCCSPTRSSRFGLKKYLGDSASKSCGFLQSHSFISFDLQVEMRLYISKRFVSPFTIFLIRFGYISIIKGAFTMRIVLVYLRQN >KQL02900 pep chromosome:Setaria_italica_v2.0:VI:35183428:35186633:-1 gene:SETIT_014368mg transcript:KQL02900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQALIYSFVAKGSVVLAEHTAFSGNFSTVAVQCLQKLPPNSTRSTYSCDGHTFNFLVDRGFVFLVVADEATGRSVPFVFLERVREDFMQRYGSSIDEEGQHPLADDAEEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCVNHPEEINKLSKVKAHLSEVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMVGGAIAALILILWLMVCRGFKC >KQL02901 pep chromosome:Setaria_italica_v2.0:VI:35183948:35186323:-1 gene:SETIT_014368mg transcript:KQL02901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKQALIYSFVAKGSVVLAEHTAFSGNFSTVAVQCLQKLPPNSTRSTYSCDGHTFNFLVDRGFVFLVVADEATGRSVPFVFLERVREDFMQRYGSSIDEEGQHPLADDAEEDDFLFEDRFSIAYNLDREFGPRLKDHMQYCVNHPEEINKLSKVKAHLSEVKGIMMDNIEKILDRGEKIELLVGKTETLQSQADSFHRHGRELRRKMWLQNLRFKLMVGGAIAALILILWLMVCRGFKC >KQL03031 pep chromosome:Setaria_italica_v2.0:VI:35870629:35871430:1 gene:SETIT_014539mg transcript:KQL03031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRTTGGPSKQAAEVLFVYLGPLGQTWNPGLPEPSSKRSPVVHFPRNKISVIPTSAPKQFHQLTEEGGEKSRAYIQCKCSDLYSIKSTRRESIITLQITAAKDSTSKNICINLRYCTTEHILIHVHTAARNQLRSNWNGTQTQLTIQHQSCNRRSSLTCGSWAAIRDVHI >KQL02053 pep chromosome:Setaria_italica_v2.0:VI:29476051:29477063:1 gene:SETIT_015365mg transcript:KQL02053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAAVEELIRRLLEGKKHGKAAGKTKVQLTEAEIRHLCAAAKETFLSQPNLLELEAPINVCGDIHGQFSDLLRLFEYGGLPPAANYLFLGDYVDRGKQSIETICLLLAYKVRYPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKLFTDCFNCLPVAAVIDDKILCMHGGLSPDLDSLARIREIQRPVDVPDQGLLCDLLWSDPDRDNSGWGDNDRGVSFTFGADKVAEFLNKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMNVDASLLCSFQILKPYRGKAQTE >KQL00314 pep chromosome:Setaria_italica_v2.0:VI:1263507:1266537:1 gene:SETIT_014346mg transcript:KQL00314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISWRLLEVGPLAPPPRGAHAACCVDDKFIVIHGGIGLYGSRLGDTWLLDLSNGLRSGSWHQIGKTWPLPPPRSGHSLTWIGGTRMVLFGGRGSEFEVLNDVWLFDISDQYPKWKELKYDLSTALGEMPFPRVGHSAILALGGKVLVYGGEDSQRRRKDDFWILDTPALLQYESGSKKMTKRMWKKLRIDGQCPSYRSFHGACVDTSGCCVYIFGGMVDGLVHPAEALGLRFDGQLYQVELVLHL >KQL00315 pep chromosome:Setaria_italica_v2.0:VI:1263507:1266537:1 gene:SETIT_014346mg transcript:KQL00315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISWRLLEVGPLAPPPRGAHAACCVDDKFIVIHGGIGLYGSRLGDTWLLDLSNGLRSGSWHQIGKTWPLPPPRSGHSLTWIGGTRMVLFGGRGSEFEVLNDVWLFDISDQYPKWKELKYDLSTALGEMPFPRVGHSAILALGGKVLVYGGEDSQRRRKDDFWILDTPALLQYESGSKKMTKRMWKKLRIDGQCPSYRSFHGACVDTSGCCVYIFGGMVDGLVHPAEALGLRFDGQLYQVELVLHL >KQL02475 pep chromosome:Setaria_italica_v2.0:VI:32803532:32804755:-1 gene:SETIT_014085mg transcript:KQL02475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAQTETNHGGPKEEESLTSRLPTREGWWKPFFLFQGFWFTPQMVRSTVLVQAQFRPRADDIILATYPKCGTTWLKALAFTVAHRSQHPVASHGHPVLTSHPQDLVPFLELPHRELHPIEELEALPSPRLLSTHLPCTSLPSGASTLGCRIVYLCREPKDVLVSMWHYTNKVRKDFHIDLGKAFEFFCEGVSLGGPFWEHCLGYWKWSMEEPGRVLFLKYDEMMANPAEHVKMLAEFLGVPFTEEEESAGVVEEVVRLCSFENLKSLPVNSTGVSDRVGGLPMENASFFRSGKVGDWKNHLTEEMARKLDCIVEEKLKGSGLSF >KQL01038 pep chromosome:Setaria_italica_v2.0:VI:7510596:7513334:1 gene:SETIT_014423mg transcript:KQL01038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIAVAVAAAGILVVSLRSLTATSRENQKHPKTLIRPPLLALAPLSPSSRRCRRHRRRKHPPAVARVKHRNAAMGRMHSRGKGISSSALPYKRTPPTWLKPATSDVEEMITKAAKKGQMPSQIGVLLRDQHGIPLVKSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >KQL02167 pep chromosome:Setaria_italica_v2.0:VI:30584100:30588261:-1 gene:SETIT_013619mg transcript:KQL02167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFLAVACVYVVALAVLVLLDASRRRRGGAAGRSGSPQTQGLKLPPGSLGLPYLGETLQLYSQNPNIFFAARLKRYGEVFKTHVLGCPCVVLASPEAARMVLVSRAHLFRPTYPPSKERMIGPQALFFHQGDYHLRMRRAVQGWLGPDALRALVPDVEAAVASTLRWWEGRETSTFHTMKRLTFDVGVVTIFGRRVAEHVKEELRRNYFIVENGYNSFPIPVVPWTRYSQAIKARQRLGAILSGILSERRALGDLGDDLLGTLMRYRDDGGTALSDDQVADNVLGVLFAAQDTTASVLTWILKYLHDNPKLLEAVKAEQMAVYEENDGGRLPLTWAQTRTMPITNLVILESLRLASIITFAFREAVEDVDYEGFLIPKGWKVMPLFRNIHHSPEFFQDPQKFDPSRFMVAPKPGTFLPFGSGVHACPGNDLAKLEMVVLVHRLVTNYRWQVIGSSDDVTYSPFPVPKRGLRARLLRATTAGAAEDYGRRAPAAAA >KQL00318 pep chromosome:Setaria_italica_v2.0:VI:1277353:1279510:-1 gene:SETIT_015941mg transcript:KQL00318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDVHMDGKDPIADKLLQLLPLMLAPHPSWKINHCIRYCFGCNAVNLVRSSSVYCINKPLHQASDCNNNKHGEGS >KQL00432 pep chromosome:Setaria_italica_v2.0:VI:2057643:2060016:1 gene:SETIT_014025mg transcript:KQL00432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENMLHLAPCRASVPDCFIVPPEQLPPAASVAAVSLPVVDLSGSHDEVCRAILDAGKEFGFFQVVNHGVSEQVMRDMEAVCDEFFELPAEDKAHLYSEDRQKPNRLFSGTTYETGGQKYWMDCLRLAFTFPVGDSTSEWPENPERLREIFEKFGVLTRGVGMELLQLLCEAMGLRPDHFDGGLSSGDAILSVNHYPQCPNPSMTLGLPPHCDRNLITLVHTGPVHGLEVLYNGDWIKVEPMPNAFVVNFGLQLEVVTNGILKSVEHRVMTNSMLARTSRAVFIQPKEDCLVGPADEFLSEDNPPSYRTVTFGDFRRTHSIVKLKSSLNLTTNLKNIQMKDI >KQL02851 pep chromosome:Setaria_italica_v2.0:VI:34960809:34962898:-1 gene:SETIT_014625mg transcript:KQL02851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKFVSKVVVEYCPLDPRKAAAVELLAQCNGRKAKDSNPACSVELRRLPAPPQSTGTGPQPPRVFVTYLNGAEEAIVAAEGATAQGIRDQILARGRLIDTEQMFRDGGEKWPVVIPEEELGMSFPGIKPKKAEDKPQA >KQL02122 pep chromosome:Setaria_italica_v2.0:VI:30095850:30100913:1 gene:SETIT_013537mg transcript:KQL02122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSAATRRKLQRKFRLRGFTLKVDALEEAAAFLERFPDAEDDALDLLLDELDKEPLQSSILDRDAVRRVVALLVEAEEAVDAASLAVTSARSALRVVDAFLVPRFHYDSIKKVFYEHTGRLPIHGEAGAKASLYRDRYQVLLQRLSRDKYFSKPAFDTVVTEDSSCEITSIQSLIGCTGRRWIMGVISQLEERQFYLEDLTGAHLALSSYSFSVCVCFFVENTVIVAEGELLSNGIFQVNTCGFPPLEDREASLSLLMGLDFFGGGVIPTEETLRLSSLEKKAINDMFVILSDVWIDNPETMEKLAVVLDGYDSVEVVPSLFVLMGNFCSRPCNLAFSSFEELRLQFGKLGEMIAARSRLKEHSRFLFVPGPDDAGPSKALPRCALPKYLIEELLKHIPNAIFVSNPCRVKFYTQEIVFFRQDLLYRMRRSCLIPPTTEETSDPFEHLVATITHQSHLCPLPLTVQPIIWNYDHCLRLYPTPHAIVLGDKSEQKAFKYTGITCFNPGSFANDSTFAAYRPCTKEVELSALEG >KQL02458 pep chromosome:Setaria_italica_v2.0:VI:32645857:32646596:1 gene:SETIT_014729mg transcript:KQL02458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQDLKIGDLESDVELLKGKVNEEIELYRKNQETSLEKITFAKGAVAIVGLIPLFAHSWSMSIGSSVVNLQDGPANGANVKDRKRKTVKKNGHPS >KQL01045 pep chromosome:Setaria_italica_v2.0:VI:7552100:7553135:-1 gene:SETIT_015044mg transcript:KQL01045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TADPSGERNPPAAMSSSEIACTYAALILSDDGIPITAEKIATIVKAANIKVESYWPALFAKLLEKRSVEDLILSVGSGGGAAPVAAAAPAGGAAAAAAPAAEEKKEEAKEESDDDMGFSLFD >KQL01576 pep chromosome:Setaria_italica_v2.0:VI:22172144:22173218:-1 gene:SETIT_014454mg transcript:KQL01576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEVRKSIVRISFDWKPKPEDKRFMVIAGIILSIEDDGSAVIAANSKFFGMKKRFVVNFPNATGYEQEQELDVRKPIKSAGGFYIFAAKPSENGYIKAVRFETQSVQVGDPVHSFVFPREGYITPTGYCRGSVIDVCCRVLFHDCDMHEYAYLGSPLFNLSGDLVGITYLDQGHWQAWTVWELLDTFKKWKSTIVSKGIEEPAGAEPQVEE >KQL01575 pep chromosome:Setaria_italica_v2.0:VI:22172144:22173471:-1 gene:SETIT_014454mg transcript:KQL01575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVRKSIVRISFDWKPKPEDKRFMVIAGIILSIEDDGSAVIAANSKFFGMKKRFVVNFPNATGYEQEQELDVRKPIKSAGGFYIFAAKPSENGYIKAVRFETQSVQVGDPVHSFVFPREGYITPTGYCRGSVIDVCCRVLFHDCDMHEYAYLGSPLFNLSGDLVGITYLDQGHWQAWTVWELLDTFKKWKSTIVSKGIEEPAGAEPQVEE >KQL02376 pep chromosome:Setaria_italica_v2.0:VI:32101567:32105335:-1 gene:SETIT_015062mg transcript:KQL02376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRNKRASRGATAVQEEDEGGGSGSSIYFLGAISSCEARRRATQVEPSESEAELRGGKTAAPEPMPLHFLRPIPRGAHPSVLHTVSTQFGWGGWGESGAIRGGCGRSAAVGRGAAAAAEVVAPDGCTKRCGDISIPYPFGVEPGCYLEPGFNLTCDRSRRAHKLFLGDGTVQVLEVSIANSTVRTNGSYVDFSDTPAGTPTTGAVATANGTWSSALGEGGLYTLAPRRNRLLAMGCDDRAVLLGDHNRTVSTCSTFCNQLFTGAMLGSADCSGAYCCQAKILLERSSYGFQAFQMNGEPSWLYVVEDEFSADPDLLLKVNEMWTLPAVLNWRINHTTCHGNASSPACRSSHSFCKNRTLTRLEAFLERGHVCHCQCAEGYQGNPYVTPGCRGNEAVPPKLRPQDLHVEGPVSISWDDRLRIALEISKAVAYLHSAASTPILHRDIKSSNILLDDNLTAKISDFGASNRPGEKSDVFSSGVLLIELLTRKKKPFVYQSDDGDGLVSHFASLLTERNLVDIIDPQILEEEGEQVHEVVTLAATCAKLNGEDRSTSREVEITLENLRVITKKHAHHNTTSRRNDRDQTAARYMSIMEGLDVETSRQYSMEEEMMLSASIARLAYPI >KQL01221 pep chromosome:Setaria_italica_v2.0:VI:11124229:11124789:1 gene:SETIT_015539mg transcript:KQL01221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSALFYATPTAAAGIMELQRGARQDLVNKWIKLLIQLLICSELPACLYHFGGKQSIYVYLQYN >KQL00855 pep chromosome:Setaria_italica_v2.0:VI:5683329:5683865:1 gene:SETIT_014995mg transcript:KQL00855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFVAAVLVSLAGMLIADEACNNVSSMSSADACLTFSNTMERWHSLCRETLLNAPATAEVTVYALIAMRLAKQRYKDAVAGMDQMLGTGKLPADEAAALEHCKVMYGEAGLLMAGVADQLFACDLPHVRQEYINTEVAVGTCRDGLLPVYDMVTVDFDLTMVSYLLGAIIVGR >KQL02961 pep chromosome:Setaria_italica_v2.0:VI:35518232:35520688:-1 gene:SETIT_013640mg transcript:KQL02961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASVPSSPRSNQTDVEEQLQSLKATISSPSSTIETIVDGLSKLGSIYSCIDELICFPSSQRQQRKAVEEELECSLILLDLCSAMQESFAELRASVQEMQLALKRGDDVAVQAKAKSYARLAKKAQKHVKKINNKVALDTESCRVVKLLSEAREIALSMLESTLHLLSKEIMMPSAGKWSLVSKAFQKKRVTCEEEQLQVLELEIVDLESEVQIVFRRLIQCRVSLLNTLSLGKRKHYRSHYSGTAMAYHLRSASVPSSPRSNEIDVEEQLRSLSTTISSSSSTIGTMCDGLRKLGEVYNCIGELAGLPSSQVTRQRKAVEQELENSLVVLDLCNAMQGSFGELKESIMDIQMALKRGDDAAVQTKIHSYIRVAKKTHKQFKKISKKPAAADQESCKLIKMMSETREIATSMMESLSQLLSKQIAMPSSSKWSLVSKTFQKRRVVCEEEKLQELEFDIVNLESEVETLFRILIQSRVSLLNALSL >KQL00312 pep chromosome:Setaria_italica_v2.0:VI:1249332:1249957:1 gene:SETIT_014775mg transcript:KQL00312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDMTPCISVKSQVHCIIVGNYRNLFTGRTDTDSCNNRMIRNSDMNRQKAPMAKKKGGREKEKCHIVWQNLYNMQSSN >KQL02656 pep chromosome:Setaria_italica_v2.0:VI:33827297:33828187:1 gene:SETIT_014822mg transcript:KQL02656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVALLQTYKPCSGNYIFWELKTEYPFIQVAVTSSRSCHSVQCACFLSVARKRRKCSK >KQL00896 pep chromosome:Setaria_italica_v2.0:VI:6137969:6138675:-1 gene:SETIT_015974mg transcript:KQL00896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSYAYLRHPFVSSKFELSVTVSWTNIWFSSKYVSIPILSFLLKSEQIVLHCS >KQL01678 pep chromosome:Setaria_italica_v2.0:VI:24664881:24673580:1 gene:SETIT_013238mg transcript:KQL01678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPAQFRGQARLPRFAAPLRYDLRLRPDLPACTFSGAAAIAVAVSAPTRFLVLNAAELDVDRASIRFQDLVPSEVVQFEEDEILVLGFDRELPIGEGVLTMDFTGTLNDQMRGFYRSKYVYNGESRNMATTQFEAADARRCFPCWDDPAFKAKFKLTLEVPSDLVALSNMPVVKETVSGPTKTVYYEESPLMSTYLVAIVVGLFDYIESSTSEGTKVRVYTQVGKTNQGKFALDVAVKSLDLYKDYFATPYPLPKLDMIAIPDFAAGAMENYGLVTYRDTALLYDELLSSASNKQQVAITVAHELAHQWFGNLVTMEWWTHLWLNEGFASWVSYLAVESLFPEWNNWTQFLDETTSGLRLDALAESHPIEVEVNHASEIDAIFDSISYDKGASVIRMLQSYLGAERFQKALASYIKKYAYSNAKTEDLWAVLEEESGEPVKDLMTTWTKQQGYPVIYAKLNGHDLELEQAQFLSDGSSGPGMWIVPITSCSGSYDAQKKFLLKDKTDKIHIKEFTASQSSDGEKGENIWIKLNIDQTGFFRVKYDDELAAGLVNAIKTKKLSLMDKIGIVEDSYALSVARKQTLTSLLRLLNAYHDESDYTVLSHVTSVCLSISTISVDATPDLNKDIKQLLINLLLPTAIKLGWDPKDGESHLDVMLRSLLLIALVRLGHNETINEGVRRFHIFFEDRKTSLLPPDTRKAAYLAVMRTVSTSKRSGYDALLKIYREAAEPQEKSRVLGSLSSSPDKDIVLEALNFIFTDEVRNQDSYYILGGISLEGREVAWTWLKKNWDHVLKTWKSSSLISDFINSIISPFTSEEKAAEVSEFFAGRIKPSFQRALKQSLERVRISARWIESIRSEPSLGQTVQELLQAGA >KQL00929 pep chromosome:Setaria_italica_v2.0:VI:6570568:6571302:-1 gene:SETIT_013527mg transcript:KQL00929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKCSIPCFKAHKQHALRRDALHDSLGSVHTTKLHYDDDDGDLASTPSWPAIIRYLSLRVSSVHSNPSSSPIMRWTPFATSRLELDAPPPPPVGTGARSRISYHGAGFMRKKTESSHLCPLTVEPWNMAPPARTATGVTSPPAISAKSGLNRSRYGSRQVVPSGHTTRSPCSSSDRMIRLSRRRSRVSRTVGIGAISSEKPARLYVTAVTFRRSTVERITGSISVWWLHANNVPRRRPAGPVAP >KQL02456 pep chromosome:Setaria_italica_v2.0:VI:32634211:32638076:-1 gene:SETIT_013956mg transcript:KQL02456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGSEQGLMAGRDLFGMPKSPPAQVPGPPPSAAMQSVRMAHTADGTPVFAPVTSSAAPPSYQPQGAAHGPSMSATAATGGNGAMAPPGMGEPVAKKKRGRPRKYGPDGSMSLALVPASAATRSPATGQGSSGTFSPAGLNPANSVPGVSPDGVKKRGRPKGSTNKPRMDALGSSGVGFTPHVITVQAGEDVSSKIMSFSQHGTRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLIENGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAASPIQIVLGSFNSEGKKEPKQHAPSDPASAPLKITPTTTMGPNSPPSRGTLSESSGGAGSPPPLHQGMAASNSNQPPIISSMPWK >KQL02457 pep chromosome:Setaria_italica_v2.0:VI:32634211:32637893:-1 gene:SETIT_013956mg transcript:KQL02457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGSEQGLMAGRDLFGMPKSPPAQVPGPPPSAAMQSVRMAHTADGTPVFAPVTSSAAPPSYQPQGAAHGPSMSATAATGGNGAMAPPGMGEPVAKKKRGRPRKYGPDGSMSLALVPASAATRSPATGQGSSGTFSPAGLNPANSVPGVSPDGVKKRGRPKGSTNKPRMDALGSSGVGFTPHVITVQAGEDVSSKIMSFSQHGTRAVCVLSANGAISNVTLRQTATSGGTVTYEGRFEILSLSGSFLLIENGGQRSRTGGLSVSLAGPDGRLLGGGVAGLLIAASPIQIVLGSFNSEGKKEPKQHAPSDPASAPLKITPTTTMGPNSPPSRGTLSESSGGAGSPPPLHQGMAASNSNQPPIISSMPWK >KQL00284 pep chromosome:Setaria_italica_v2.0:VI:1028919:1030169:1 gene:SETIT_014232mg transcript:KQL00284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSLLLPLLASLALMAAAVASADSWLYEKFNTDGTVRTDYDASGQQVAMLNLDRSSGAGFNSKEKYLYGEFSIQMKLIAGDSAGTVSCFYLSSGDGDDHDEIDMEFMGNSSGQPVVLNTNVWASGDGKKEHQFDLWFDPAADYHTYTIIWNPTNIIFKVDGNVIRCFKRYDGLAYPSSRPMALHATLWDGSYWATQKGKVPIDWSGAPFVVSYRGYSADACVSGGACPAGSDRWMNKQPDSAEWGTIKWAESNYMRYDYCQDGWRFPQGLPAECSRS >KQL01199 pep chromosome:Setaria_italica_v2.0:VI:10847195:10847723:-1 gene:SETIT_014703mg transcript:KQL01199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFATSRPASIGFCAFICFLNLFAFLLAVGAERRRSTGKVVPDEYDDRSYCLYDSDASTVYGVSAFFVLLLQQAIVTAATRCLCFGPALSSRCCAVAAFVLSWCVP >KQL01943 pep chromosome:Setaria_italica_v2.0:VI:28271650:28272344:1 gene:SETIT_015943mg transcript:KQL01943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACWSRPLRPRARPPSSTPAHSSRCRRLQFQQFDLGGFGGTQAAAEMRCGPVLSSEEGQVWYSNQTAVTL >KQL01559 pep chromosome:Setaria_italica_v2.0:VI:21670666:21671137:-1 gene:SETIT_015101mg transcript:KQL01559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKLRVRLEVVGDLREKLKQARKSEKLNVGQLAQKIGVEPQAIEDYQSGKEVPPQIIANIEKELIKLLSKK >KQL02756 pep chromosome:Setaria_italica_v2.0:VI:34377815:34380481:-1 gene:SETIT_015587mg transcript:KQL02756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMDTKPIAGGGGGGGGDAEGGGSCAAGNVPAMQSSCDAVAPSPAPHTECDMSRMPDSPVRKPGHRRALSDIIGLPNDLDLGAPGAGDGPVLSDENEEELFSMFLDVEKLNSRCGASESESSCAMAGGGGEATQMSAAPGAGLRPRHHQRHSMDASSSIDAENLFGTSAMDGVSPVEAKKAMSAAKLAELALIDPKKAKRIINNRQSAARSKERKMRYIAELERKVQFMQREATALATQLALLQRDTAGLTAENSELKLRLQNTEHQVHLQDALNDALKSELQRLKMATGQMGSGGGAMNFGASPHPFGANHHHQQMFHPNQAMPPPFAAMQQQQQQLLPNQPLHPLQTQQAAINLNMKGPAPAPNQWWGDAWSESSSS >KQL00638 pep chromosome:Setaria_italica_v2.0:VI:3678948:3680000:1 gene:SETIT_015441mg transcript:KQL00638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNYCFLLIVGLLLSSSCASKQVEAAEQTPWTVHVPKPDGAIQSLTVERIPLPHGITGAESLAFDRRGQGPYAGVSDGRVLRWDGDANGWTTFAYNANYKNNPICMEPARRPEDTESICGRPLGLQFHAKTGDLYIADAYLGLMKVGPDGGEAEVLAVEAGGVPFNFTNGIDVDQATGDVYFTDSSTTYTPARNTQIMIHRDTTGRLLRYDARAKRVAVLKAGLPYPNGVALSADQTHVVVAHTGPCQAFRYWIRGAKAGRYELLADLPGYADNVRRDTKGGYWFALNREKINATAPEHLVGVRVNSKGAEQEVMTAPKGVTLSDIAEKDGKLWLGSVELDHVGLVNFK >KQL02595 pep chromosome:Setaria_italica_v2.0:VI:33436804:33440198:-1 gene:SETIT_014460mg transcript:KQL02595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAANRARAAGGSIHDRLSALPNDLLRCVLSLLPAQQAVQTTALSRRAFISIGPGEFPPRSEARLIGSLCGVTNLELKGFQTMAMLDKEFDKVSIFGNMRTLCLDSCFYNPSNCDLHKFKAVMRFLQKAPNLEKLTLKNFRVSQVVEPVEYPKLENLRTLFLNKCDLHDNFGILQYCLRNSPNLEPLQALGWFHARGRKGQVKEDIFSS >KQL01151 pep chromosome:Setaria_italica_v2.0:VI:9677903:9678375:1 gene:SETIT_014838mg transcript:KQL01151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTFIKLTEQRNYTGQWNVHTAVRWLFPHEKPLTSPQSMPCRLVPTWFLHM >KQL01320 pep chromosome:Setaria_italica_v2.0:VI:15352328:15359495:-1 gene:SETIT_014078mg transcript:KQL01320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSSSIIAASSTVYPAYLSLHHRPNSQRASSRPSNANHDNTYKCIKSPKIVRRSLFSLPASFLLLHTSSSLAIDDTNTTSTSAIDTTITDRIFMDFSVCPSYFRSDRPLGAELSSCPDSEPLGRVVFGLYGRLLPTTTANFKATCTAAAYRGTLVHKLLQGQFFAAGRQGSRREKGEVQPPSGLIRNSETVDARAFKLRHARPGTLSLCLGQNDDDNDIKLNPNYHNVEFLVTTGPGPCPQLDGQNIVFGTVLEGMDVITSIAAIPTYKPAERIRLFNDFAQLIGDERAQTARAMWDRPLKTVYISDCGELRVTKQSLSPPSLP >KQL01319 pep chromosome:Setaria_italica_v2.0:VI:15352328:15356735:-1 gene:SETIT_014078mg transcript:KQL01319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSSSIIAASSTVYPAYLSLHHRPNSQRASSRPSNANHDNTYKCIKSPKIVRRSLFSLPASFLLLHTSSSLAIDDTNTTSTSAIDTTITDRIFMDFSVCPSYFRSDRPLGAELSSCPDSEPLGRVVFGLYGRLLPTTTANFKATCTAAAYRGTLVHKLLQGQFFAAGRQGSRREKGEVQPPSGLIRNSETVDARAFKLRHARPGTLSLCLGQNDDDNDIKLNPNYHNVEFLVTTGPGPCPQLDGQNIVFGTVLEGMDVITSIAAIPTYKPAERIRLFNDFAQLIGDERAQTARAMWDRPLKTVYISDCGELRVTKQSLSPPSLP >KQL01317 pep chromosome:Setaria_italica_v2.0:VI:15351808:15360088:-1 gene:SETIT_014078mg transcript:KQL01317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSSSIIAASSTVYPAYLSLHHRPNSQRASSRPSNANHDNTYKCIKSPKIVRRSLFSLPASFLLLHTSSSLAIDDTNTTSTSAIDTTITDRIFMDFSVCPSYFRSDRPLGAELSSCPDSEPLGRVVFGLYGRLLPTTTANFKATCTAAAYRGTLVHKLLQGQFFAAGRQGSRREKGEVQPPSGLIRNSETVDARAFKLRHARPGTLSLCLGQNDDDNDIKLNPNYHNVEFLVTTGPGPCPQLDGQNIVFGTVLEGMDVITSIAAIPTYKPAERIRLFNDFAQLIGDERAQTARAMWDRPLKTVYISDCGELRVTKQSLSPPSLP >KQL01318 pep chromosome:Setaria_italica_v2.0:VI:15352659:15356735:-1 gene:SETIT_014078mg transcript:KQL01318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPSSSIIAASSTVYPAYLSLHHRPNSQRASSRPSNANHDNTYKCIKSPKIVRRSLFSLPASFLLLHTSSSLAIDDTNTTSTSAIDTTITDRIFMDFSVCPSYFRSDRPLGAELSSCPDSEPLGRVVFGLYGRLLPTTTANFKATCTAAAYRGTLVHKLLQGQFFAAGRQGSRREKGEVQPPSGLIRNSETVDARAFKLRHARPGTLSLCLGQNDDDNDIKLNPNYHNVEFLVTTGPGPCPQLDGQNIVFGTVLEGHTR >KQL00876 pep chromosome:Setaria_italica_v2.0:VI:5938952:5940148:-1 gene:SETIT_015304mg transcript:KQL00876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRKYGGLPPPPVLPLVETRTAAALPTSGNGGTAAMASVKHSSTSSSYGAVDVVKNRPLRFSSLQLQEFTGNYAEKLGAGGFGVVYRGQIPLPDHGSLPVAVKVLGSEMGRRAEEQFMAEIGTIGRTSHVSLVRLYGFCFDADLKALVYEFMPNGSLDRHLFFHDAGGGGDKLGFDKLYDVAVGTAKAIRYLHDECERRIIHYDIKPGNVLLDEAFRPKVADFGLARLCERERTHMTMTGGGRGTPGYAAPELWMAAPATHKCDVYSYGMLLFEILGRRRNYVDGESKDESADERWYPRWAWRRLERGETEVLAARALAGEAGKEGRKKVERMCAVALWCVQYRPEDRPSMSGVVRMLEGDEDVAAPAVSPFAHLDSDQLVSQTFTAGTTTTTFGSAA >KQL01219 pep chromosome:Setaria_italica_v2.0:VI:11122122:11123453:1 gene:SETIT_014921mg transcript:KQL01219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLHSLGGRCGSSTMWRNFLARIVFDEMPTREIKSWNIHSTSGLAPLFPSRYLSPPLHVPPLAGPPPAAPPRAAPRWSSTHHPSTRGPAFGGGAAHADPAREMRDPARLLLSPRLRSYEYQGEDPLQPWLDCIKWVQESFPTDGECSELVVLYEQCVQTFWHDERYKDDLRFLEVWLEYAGNCTDAEVIYKFLEANQIGQGHAIYYMSYVSLLEAKNKLRKANEIFDLGIARWDFHETVTCCS >KQL01451 pep chromosome:Setaria_italica_v2.0:VI:19852928:19854846:-1 gene:SETIT_015708mg transcript:KQL01451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIQGQPVTGPCVSDGWRARVAAFLGREVEEQGTRTSGVLISWLREHFDQCPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWIHCLTNWHQAGFYTWGSAVLCFLYRQLCEACRRTSGSPSVGGCVYLLQLWMWSRLPIGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSPYDGDDPLPFPLSFMCATDDDIYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSIELHNVDRKKKRKVSDWPAFHHAYIQEWEQFEQNLDENNEPHTNSAYRQYQSWYQGATRHRLREAWTQDDYADIQSSDDEDTVYDQSTRAGRQVEAGPILDRMRLSNRFRRAAARCGCRTATTRDVHVPSLRVTGVGTSSQGPSGSKSIASEEVDDDDDEQRAEEIGPSQLQEAPLTQPTQVVGGTRLRRPRSPYTPGTDALGHKGKGKTRRQ >KQL02643 pep chromosome:Setaria_italica_v2.0:VI:33702735:33712125:-1 gene:SETIT_013218mg transcript:KQL02643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQAILPLRALPPDAPLPLPVPAPFHPQTPTPASAAPATPPNPTPPQPQTPAAPPPSSTRPPHPWEIAARAWLESFPDGRPPTEPEVDVYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYQWLESLEMDSLVATQQISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKMLKKKGKLPKTLQLSAARATAQPSAAPVAPVENIAPLQKTTPPVTGRIPSSSAGRQHSGATGRFQGGNATLRDKKTSLSKKKEALLKYELLTDLQNQLTAVLLKQCRTVAIKEADSSYVEFQNPEANMTVQEGATIASPSAPSEATEVFVNEKSIPAGATESELGQKRKRNPIIVTPAWCYSEASTETVRNEQNSSSNSDTARNFNIWKGHANPLFSHRDNKKNILFCLEGRETGASWSQASSCGGYAGRNSERWTPFLEGWNSPAVQFEGPAVPLVRKNYLSWCPTSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSSSNEAPNELLLFNLLSGRAIQLRGHNTKIQSVGFAVKGASIVSCASNLLKVWDCITGSCLYTLGGDNQNSVGHTQKISAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSVDTMEFYSENHLACGSDCDYGGSAVVQLWDIDSPESYLSFSASDSYITSLKVNPAGNTIITGSGDGTIGIFDIRTCSATNHLSVGSGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPINQSKDVSQSRDMRFFRPLHCLSHGNQMPTAEFTSQLPGHVDEGDQGVNATQWLHGEPVLVTVSGDGSVGMWDVTLGQPCVRHIVTHTRCANAVAVAPNDEYISTGGSDQKVVSCLFGLLVSYVALCCLGRLLFGLFCRSTTTEMNRKAIQSYSCGLLNSNN >KQL02642 pep chromosome:Setaria_italica_v2.0:VI:33702406:33712125:-1 gene:SETIT_013218mg transcript:KQL02642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQAILPLRALPPDAPLPLPVPAPFHPQTPTPASAAPATPPNPTPPQPQTPAAPPPSSTRPPHPWEIAARAWLESFPDGRPPTEPEVDVYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYQWLESLEMDSLVATQQISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKMLKKKGKLPKTLQLSAARATAQPSAAPVAPVENIAPLQKTTPPVTGRIPSSSAGRQHSGATGRFQGGNATLRDKKTSLSKKKEALLKYELLTDLQNQLTAVLLKQCRTVAIKEADSSYVEFQNPEANMTVQEGATIASPSAPSEATEVFVNEKSIPAGATESELGQKRKRNPIIVTPAWCYSEASTETVRNEQNSSSNSDTARNFNIWKGHANPLFSHRDNKKNILFCLEGRETGASWSQASSCGGYAGRNSERWTPFLEGWNSPAVQFEGPAVPLVRKNYLSWCPTSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSSSNEAPNELLLFNLLSGRAIQLRGHNTKIQSVGFAVKGASIVSCASNLLKVWDCITGSCLYTLGGDNQNSVGHTQKISAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSVDTMEFYSENHLACGSDCDYGGSAVVQLWDIDSPESYLSFSASDSYITSLKVNPAGNTIITGSGDGTIGIFDIRTCSATNHLSVGSGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPINQSKDVSQSRDMRFFRPLHCLSHGNQMPTAEFTSQLPGHVDEGDQGVNATQWLHGEPVLVTVSGDGSVGMWDVTLGQPCVRHIVTHTRCANAVAVAPNDEYISTGGSDQKVVLYHNRSGRTHLNWRLSHPLQGND >KQL02641 pep chromosome:Setaria_italica_v2.0:VI:33701578:33712125:-1 gene:SETIT_013218mg transcript:KQL02641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPQAILPLRALPPDAPLPLPVPAPFHPQTPTPASAAPATPPNPTPPQPQTPAAPPPSSTRPPHPWEIAARAWLESFPDGRPPTEPEVDVYIDAHRPELPSLPRSQLHQRLLALRGDQVLDADQSAFPYRFQRTDLWKPVYQWLESLEMDSLVATQQISDWLTSNPKIMDRLVEKHSKYHLIHYTQRMHLKMLKKKGKLPKTLQLSAARATAQPSAAPVAPVENIAPLQKTTPPVTGRIPSSSAGRQHSGATGRFQGGNATLRDKKTSLSKKKEALLKYELLTDLQNQLTAVLLKQCRTVAIKEADSSYVEFQNPEANMTVQEGATIASPSAPSEATEVFVNEKSIPAGATESELGQKRKRNPIIVTPAWCYSEASTETVRNEQNSSSNSDTARNFNIWKGHANPLFSHRDNKKNILFCLEGRETGASWSQASSCGGYAGRNSERWTPFLEGWNSPAVQFEGPAVPLVRKNYLSWCPTSCAYTSSAPSAQPHDRQGVRKVLDVKFHPEGLPQLVSSSNEAPNELLLFNLLSGRAIQLRGHNTKIQSVGFAVKGASIVSCASNLLKVWDCITGSCLYTLGGDNQNSVGHTQKISAMAVNKWQSCLVVTSGAKGDGKLLLWNALRGELASDLNSNLRSQDMVYPSVDTMEFYSENHLACGSDCDYGGSAVVQLWDIDSPESYLSFSASDSYITSLKVNPAGNTIITGSGDGTIGIFDIRTCSATNHLSVGSGCEVTSVSFSNCGTYFSASSTSNNTLVWDTRLVPINQSKDVSQSRDMRFFRPLHCLSHGNQMPTAEFTSQLPGHVDEGDQGVNATQWLHGEPVLVTVSGDGSVGMWDVTLGQPCVRHIVTHTRCANAVAVAPNDEYISTGGSDQKVVLYHNRSGRTHLNWRLSHPLQGND >KQL00744 pep chromosome:Setaria_italica_v2.0:VI:4582691:4586035:-1 gene:SETIT_014672mg transcript:KQL00744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKASKS >KQL00637 pep chromosome:Setaria_italica_v2.0:VI:3650467:3651192:-1 gene:SETIT_016036mg transcript:KQL00637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSPSLSLPLQLLRYGTSSSRLGLRALHRPSPPSVRLQQPATRGLRPVAAASYHDEAAAGRGDDGIAAASGLLLRQVVDDAAATELRAARAGRGPQPPKRPPKEGGGGGGGKIHPAPTLAAKRVPRAPAPPGRLPKEGRGGQGGSIH >KQL01228 pep chromosome:Setaria_italica_v2.0:VI:11372078:11372510:-1 gene:SETIT_015954mg transcript:KQL01228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDKYHCIYLKSLNMTHLYAVCFILTIVTPIS >KQL00804 pep chromosome:Setaria_italica_v2.0:VI:5200030:5203202:-1 gene:SETIT_014876mg transcript:KQL00804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAAAWPVCTICYEDLRPLSDQHLHCLPACGHVFHALCLEQWLEYCPGGKKKRTCPVCKQPCGAAHPPTRLYFQSTGACPTQAGPASEDSPGGPDPEALAAELARLEQKAASLGRVVEEQRDGIKNLNAEASAADVARWMAKVATAEAMQEAARKEKECVQMLLNARTEELSRKTSECGRLQEKSLALAKELAALKLSSDMNLQEEEILKLASLGNHGNLENAVDVLKRSLAIRNKSYKELMIQCNVLGRSESRMQQKVEKAKELVKKLKARVQELEKELEEKENDLLRDLRSSKKLKADQTKSGNITANDGFPCPSAGYRNQTTKLDQEMQDPCSDKPDSNRLKPEAKSDLNSNGNLDSKNSDVIELDADDSAFGDEHKTQFSAKPFGTDDSTLDSHNKSSLCQNDNRQSMTFECTTTHVAKESSFLKHREATGKSTSLENLRAKLHIPQESLFERSTKVTTSTWEKETLTIDGISKQATRLTSGTGPQQIHNFNSLSDDFQTPGISGMDGARKSIGKWCKSSTAPGYASANLNRGSLIAVGPDGRGGKVKILRDPGRFQDSKSQALWPKAQKVGSKGGQSQIDHFFGKR >KQL01186 pep chromosome:Setaria_italica_v2.0:VI:10368552:10372119:-1 gene:SETIT_014556mg transcript:KQL01186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMIDDDGSLSPTRAFDKCLDETVQAEAILMALNGVASSSNSSSKDDGWASSDDETDAVEQEDDPEADTSRLSFKEHRRAHYDEYRKVKELMRTGSLVEDEADENSRVDNSSEGKSVGKGAAVEDRKPSEEI >KQL01187 pep chromosome:Setaria_italica_v2.0:VI:10368552:10373897:-1 gene:SETIT_014556mg transcript:KQL01187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGRVKWNEDNLYEIESNKPVRQKITEPKTPYHPMIDDDGSLSPTRAFDKCLDETVQAEAILMALNGVASSSNSSSKDDGWASSDDETDAVEQEDDPEADTSRLSFKEHRRAHYDEYRKVKELMRTGSLVEDEADENSRVDNSSEGKSVGKGAAVEDRKPSEEI >KQL02990 pep chromosome:Setaria_italica_v2.0:VI:35678376:35680343:1 gene:SETIT_013917mg transcript:KQL02990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVAAPMAIVDLANTQLQQQATEEAVDQEELSYSSLMKGVRHLSDSGITRLPDSYVLPAPDRPRSSSGGGRVRLPVVDLACLRDPSRHAGALATLDAACREYGFFQVVNHGVDGGVISGMLDVARRFFELPLAERERYMSPDVRAAVRYGTSFNQARDAVLCWRDFLKLACSHPLRDVVASWPREPADLRGVASAYAAASHALFMELMEAALQALGIASGGVLGELAAGSSHMMTVNCYPACPQPELTLGMPAHSDYGLFTFVLQDHVEGLQVMHGGRWLTVDPIPGSFVVNVGDHLEIYSNGAYKSVLHRVRVNSTRPRISVASFHSLPAERVVGPAPELVDEAAGNPPRYMDTDFATFLAYLASADGKDKTFLQSRMLAL >KQL00737 pep chromosome:Setaria_italica_v2.0:VI:4498723:4499986:-1 gene:SETIT_015034mg transcript:KQL00737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVGRRLSGTFRKVTGSSSSHSHISTSTHHSIDYTPSSMQEEDMPQEEQSGPQAMEVEGPPLDLHGDWEIQAYALIKDRVFAHTQAFDTKLLENTVVVGKFAPRCNDMHNPTLRLMHISVLYLYKCRELTLPLAPQEETSRSNVSGRPPQSQHIMQQMYQAGWLPDGQMPGFTPRYQPGWDQPPQPHEAGGSG >KQL01990 pep chromosome:Setaria_italica_v2.0:VI:28820926:28821498:-1 gene:SETIT_015252mg transcript:KQL01990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDICIRRYSHTFMPEVSKFVHAMEKHTRICKTKEIRCPCFDCSNNIVWDDTDVIKRHLIKRGFVDGYTIWSHHGEVGGNFNKTDIDTSSDEVGGDDANENDHVMMNDDYDHGDQNSDQTDARVEPQVDEERDVDMKDMLCHIEPEVLLGSAKGLENFETIKKVAKDRMYEGCGKEWTMLRFVLSSSDSEG >KQL02328 pep chromosome:Setaria_italica_v2.0:VI:31766604:31767535:1 gene:SETIT_015764mg transcript:KQL02328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGVSEWERSIFDCFESSVVRLRVPCGGAGNGFVVFGRGNTRLIMTCEHVVHGLPLGTRNIMVSRSEPYLTAQSTPATLLFVDEARDLALLRVDAVQWDPCNVLAFFEAAPTSPGMDVVLLSFFHMGPLIVLRPGTFAGKIIGPHRLLVLGTLNVKLFAPTTQLSREHIRCTCFVQELGCKFSVSVPTIKAALRGWLRIADENVSILAMLARIH >KQL01950 pep chromosome:Setaria_italica_v2.0:VI:28423654:28425897:-1 gene:SETIT_013953mg transcript:KQL01950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPPDLIDDATTEILLRLPPDDPACLVRASLVCKAWRELLSGPAFLRRYRAFHGAPPLLGFLRNIYDEGPRARFVVAAATGSASPFSAPAFDRPNWWVVECRHGRALLQSFEQHEPRRLVVWDPITGDQQHVPVPDYPYFCRTAAVLCAADGCGHLDCHGGPFLVVVIGAYDDGDLSWASVYSSETGAWTTSSSIRLDAYIEERPSLLAGDALYFSILQGNRSRILKYDLVGRGLQLINVPDMYEETDSIVVTSEDGGLGLAGVKDGNLHLWTWQAGPQGVAEWVQGRMVKLRMLLPVIDPLVSLDVIGFIEGKGTIFISSDVGVFAVMPKSGQVKKVGERGSYTYCTIAPYVSFYTPDLAKGRLLPP >KQL00303 pep chromosome:Setaria_italica_v2.0:VI:1209136:1209648:1 gene:SETIT_014636mg transcript:KQL00303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGMSMNTRFKGGVGPTSKAGQKRAMRGRFRGVAAGSYGPHSTAAGAVPPRVDRTLLLGLGFFSPISPARRARGNRGRREGPPVGCRTGRAFVPASARPLAVLSPSFSNFHPLTFRRRIVIAGRCSCCKWC >KQL02820 pep chromosome:Setaria_italica_v2.0:VI:34818668:34821310:-1 gene:SETIT_014200mg transcript:KQL02820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSHRVERSEIKAGDHIYTWRAGYTYSHHGIYVGGSKVVHFTRKKEAGTAGLDSAIAVSSLLSQGPDECPTFPDCGFQLPDSGVVLTCLDCFLRGGALHRFEYGAPPAVFLAKLRGGTCTTACADGGPDAAVRRAMHLLQNGFGDYDVFENNCEDFALYCKTGLLPAAGGDDIGRSGQAASAVGVPLAALLSTPFKLFATGPLGMAAVTAGVYCAGRYITDIGVRKDVVKVEVENLAAHLGWRRAKAEEAVKKQQQQQQQPPPPPEKKPNSRLLPLKRKREICV >KQL00239 pep chromosome:Setaria_italica_v2.0:VI:722469:725637:-1 gene:SETIT_014141mg transcript:KQL00239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLVLANMYQRPDMITPGVDAQGQPIDPEKMQEHFEDFYEDIYEELGKFGEIENLNVCDNLADHMIGNVYVQFREEEQAAAAYNALQGRFYSGRPIIVEFSPVTDFREATCRQYEENSCNRGGYCNFMHVKQIGKDLRRKLHGRSSSMRYHGRSRSPPPQRRGHRDRDDYHRGRDDYRGGGGGGGRGRGSRHDRYDDGGGRGGRHERYDDGGGRGGRHDRYDDGGRRRHGSPPPRRGRSPVRESSEERRAKIEQWNREREAKQ >KQL01811 pep chromosome:Setaria_italica_v2.0:VI:26516885:26520561:1 gene:SETIT_013539mg transcript:KQL01811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGAAPPPKQEELQPHPVKDQLPSVFFCITSPPPWPEAIILGFQHYIVMLGTSVIIPSALVPQMGGGNEEKARVIQTLLFVAGINTLCQSFFGTRLPAVMGGSYTVVAPTISIIMAGRYSNETDPHQKFLRTMRGTQGALIIASTIQIILGFSGLWRNVVRFLSPLSAVPLISLAGFGLYELGFPGVAKCVEIGLPEIILMLIFSQYLPHAIHVAKPVFDRFSVIFTIAIVWLYAYILTASGAYKNARTKTQVHCRVDRSGLISGAPWINVPYPFQWGAPTFDAGECFAMMMASFIALVESTGTFIAVSRYASATMIPPSVLGRGIGWQGIGTLLGAFFGTANGTAVSVENAGLLALTHVGSRRVVQISAGFMIFFSILGKFGAIFASIPLPIFAALYCIFFAYIGACGLSFLQFCNLNSFRTKFILGFSLFMGLSVPQYFNEYTSVAGYGPVHTGARWFNDMINVPFSSKPFVAVLVAFFLDNTIQRRDTAVRRDRGYHWWDKFRSFKTDSRSEEFYSLPFNLNKFFPSV >KQL02337 pep chromosome:Setaria_italica_v2.0:VI:31838600:31842327:-1 gene:SETIT_013377mg transcript:KQL02337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGALHSSCFMAPLLWVVLLLVVSPGNAFYLPGSYMHTYSQGELISAKVNSLTSIETEMPFNYYSLPYCRPQGGIKKSAENLGELLMGDQIDNSPYRFHVNVNESIFLCTTKGLNENDAKLLKQRARDLYQVNMMLDNLPVMRFTEQNGVTVQWTGFPVGYSPAGSSEDYIINHLKFKVLVHEYEGNNVEIIGTGEEGSGVISEIDKKGMSGYQIVGFQVVPCSVKRNAEDFSKLNMYDSIDPVDCPVELKKAQVIRQQERITFTYDVEFVKSDIKWPSRWDAYLKMEAGSKVHWFSIMNSLMVILFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPTCSKLLCIMIGDGVQILGMAIVTIVFATLGFMSPASRGMLLTGMIVLYLFLGIAAGYASVRFWRTIKGTSEGWRSVSWLTACFFPGVMFTVLTVLNFVLWKSGSTGALPISLFFTLLALWFCISVPLTLVGGFLGTRAEQIEFPVRTNQIPREIPARKCPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVVVCAEVSVVLTYMNLCVEDWRWWWKAFFAPGSVAIYVFLYSINYLVFDLRSLSGPVSAMLYVGYSFLMAFAIMLATGTIGFLTSFAFVHYLFSSVKID >KQL02824 pep chromosome:Setaria_italica_v2.0:VI:34822768:34826177:1 gene:SETIT_014074mg transcript:KQL02824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENYWRYADARQQQQAAAPPLKRARPDFGDVSGGQDMTGYYPRETDRAGYHSLRENEAIGASYDRYLRNGMPSVGANDTSRAAGMAAGMAAGMGAGMAAGMGAGMAGYGVDERRMMGVVGMDSRGMGYGGRPEPPLPPDASNTLYIEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATIALEALQGYKFDEHDRDSAHLRLQFSRFPGPRSAGGPRGRR >KQL02822 pep chromosome:Setaria_italica_v2.0:VI:34822768:34825619:1 gene:SETIT_014074mg transcript:KQL02822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENYWRYADARQQQQAMVAAAAAAAGMAPAASVAAAQTVGGAAAGMNPQAAAAMAQQAAAPPLKRARPDFGDVSGGQDMTGYYPRETDRAGYHSLRENEAIGASYDRYLRNGMPSVGANDTSRAAGMAAGMAAGMGAGMAAGMGAGMAGYGVDERRMMGVVGMDSRGMGYGGRPEPPLPPDASNTLYIEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATIALEALQGDDTYNYGYGVCSGVDYLTNFCEMQFPMCILVKFCYTSLHLLLVCCEACFQIGNYTCPFNLTLCKSCNIVFKFIR >KQL02825 pep chromosome:Setaria_italica_v2.0:VI:34822768:34826177:1 gene:SETIT_014074mg transcript:KQL02825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENYWRYADARQQQQAMVAAAAAAAGMAPAASVAAAQTVGGAAAGMNPQAAAAMAQQAAAPPLKRARPDFGDVSGGQDMTGYYPRETDRAGYHSLRENEAIGASYDRYLRNGMPSVGANDTSRAAGMAAGMAAGMGAGMAAGMGAGMAGYGVDERRMMGVVGMDSRGMGYGGRPEPPLPPDASNTLYIEGLPANCTRREVSHIFRPFVGFREVRLVNKESRHPGGDPHVLCFVDFDNPAQATIALEALQGYKFDEHDRDSAHLRLQFSRFPGPRSAGGPRGRR >KQL02823 pep chromosome:Setaria_italica_v2.0:VI:34822768:34826177:1 gene:SETIT_014074mg transcript:KQL02823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENYWRYADARQQQQAMVAAAAAAAGMAPAASVAAAQTVGGAAAGMNPQAAAAMAQQAAAPPLKRARPDFGDVSGGQDMTGYYPRETDRAGYHSLRENEAIGASYDRYLRNGMPSVGANDTSRAAGMAAGMAAGMGAGMAAGMGAGMAGYGVDERRMMGVVGMDSRGMGYGGRPEPPLPPDASNTLYIEGLPANCTRRETYFAHL >KQL02821 pep chromosome:Setaria_italica_v2.0:VI:34822768:34825619:1 gene:SETIT_014074mg transcript:KQL02821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENYWRYADARQQQQAMVAAAAAAAGMAPAASVAAAQTVGGAAAGMNPQAAAAMAQQAAAPPLKRARPDFGDVSGGQDMTGYYPRETDRAGYHSLRENEAIGASYDRYLRNGMPSVGANDTSRAAGMAAGMAAGMGAGMAAGMGAGMAGYGVDERRMMGVVGMDSRGMGYGGRPEPPLPPDASNTLYIEGLPANCTRRETYFAHL >KQL02904 pep chromosome:Setaria_italica_v2.0:VI:35200849:35203163:-1 gene:SETIT_014045mg transcript:KQL02904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSARFNSMGRYSPSLVSPSEIPSDQYALELGAWKVKEESKIGQCKRPEKPIEIYEFEGCPFCRKVREMVSVLDLDVLFYPCPQKGPTFRPKVLEMGGKKQFPYMVDPNTRVAMYESDDIIQYLADTYGDGTVPIMLKLGLLTAITAGLAMLGRIGKGNSYTASKVPPQPIEIWAYEGSPFCKLVRETLVELELPHCYTAALVAAPGARNFSRKWELSRRLTSKIQIPESRCSKALKS >KQL02905 pep chromosome:Setaria_italica_v2.0:VI:35200577:35203604:-1 gene:SETIT_014045mg transcript:KQL02905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSMAPPAALHRAPLLLPCRVAAPSAAATARARAASLVAVRAQPDTTAAAASTSSPDPPQPPEFKPPPGFKPPEPKKFAVREGQQGGVLGASLAIPLRLGTGVFVLGYSPSLVSPSEIPSDQYALELGAWKVKEESKIGQCKRPEKPIEIYEFEGCPFCRKVREMVSVLDLDVLFYPCPQKGPTFRPKVLEMGGKKQFPYMVDPNTRVAMYESDDIIQYLADTYGDGTVPIMLKLGLLTAITAGLAMLGRIGKGNSYTASKVPPQPIEIWAYEGSPFCKLVRETLVELELPHCYTAALVAAPGARNFSRKWELSRRLTSKIQIPESRCSKALKS >KQL00488 pep chromosome:Setaria_italica_v2.0:VI:2467272:2467707:-1 gene:SETIT_015140mg transcript:KQL00488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKEALQLAVVFLVFSRFTTHQAWAEQECYQEKIKVMNDCKKTITKHGRYIAPSPLCCHVVEASGMACICSILLPEDEDEIGPSKLVRQAKDCQKPVPVGSKCGIWHTLHPYQVRNVQSPNYFSGPFV >KQL01580 pep chromosome:Setaria_italica_v2.0:VI:22197293:22198367:-1 gene:SETIT_015731mg transcript:KQL01580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEVRKSIVRISFDWKPKPEDKRFMVIAGIILSIEDDGSAVIAANSKFFGMKKRFVVNFPNATGYEQEQELDVRKPIKSAGGFYIFAAKPSENGYIKAVRFETQSVQVGDPVHSFVFPREGYITPTGYCRGSVIDVCCRVLFHDCDMHEYAYLGSPLFNLSGDLVGITYLDQGHWQAWTVWELLDTFKKWKSTIVSKGIEEPAGAEPQVEE >KQL00211 pep chromosome:Setaria_italica_v2.0:VI:544225:545843:-1 gene:SETIT_014364mg transcript:KQL00211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNPNPPGLGFPYFPPNPYYPRPTPRPQAPPPPQRFPPPIRAPPPPQPPPPPHIHPPPTPVPPPPSPPHHIVIIVVFVSLGGLLLLGCLAAFFCWHKKKGRKRETKAEVLNYSDHVHVHKETMSGPHGADVVKLSIDEDIKFQEAVKKQEAIGESSSAAAAGKTAHHLPWTWHKKHESKEEKKAELINVTKHKHIDEKIMPGPLGEKIEVLSEDEDIRFEEAGQKEEEFEKSKAHITKS >KQL02138 pep chromosome:Setaria_italica_v2.0:VI:30217055:30218371:1 gene:SETIT_0138961mg transcript:KQL02138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLSRGAAASAPPASHSDSDAAAAGVRVPSPRRNRERTPRVHSDPDSDNSIATASEDGGGDASDAFPSPRARLSTHIKTSSIKPIRTRPMDVSSRDAAAASSELRTKHRPGYQKRPSRVWSPEDEVTILNALIEYRAKKGRLPASFQDTSDLYLQIFGQLTANVSTTQLSDKVRRLKHKYKLLVKRAKNERDPDLPTEHDHNVYELCEKVWRLKSLEGGDAESNEEQEIKESDEEMENEREHRERTSKKPKTSRFENANGNATVTAVRASHGNGSGRDDAEKGKQMYPYLWAAIEELSKEHPSGPIFRKAFGVLEKAKARAIEEKLRKFRMSVVRLQLNRMDLTKLSVGMVLDALEGPH >KQL00597 pep chromosome:Setaria_italica_v2.0:VI:3350058:3358761:-1 gene:SETIT_013188mg transcript:KQL00597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGGGQLFSVDPLERQAARGHGVVTSMAAGSDVIVLGTSRGWLVRHDYTFEDAHDLDLGSGRSGDHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKPLPRLRGLLVNAVAWNRQSITEASTKEVILGTESGQIFEMAVDEADKREKYVKPLFELTEQREGIKDLQMETAVVGNSTRYYVMAVTPTRLYSFTGIGSLETVFASYSNRAIHFMELPGEIPNSELHFFIKQRRAKHFGWLSGSGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGDSGTKPRSFALSEFHFLLLIGDKIKVVNRISQQMVEELVVDNTPETSRGIVGLCSDASTGLFYAYDESSIFQISTSDEGRDMWQVYLDMNHYAAALSHCRNPFQRDQVYLVQADAAFAAKEYYIAASFYAKMNYILSFEEISLKFISIGEQDALRTFLLRRLDNLTKDDRMQITMISTWVTELYLDKINRLLLEDSTGTTTNSVAESNSSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQYEIVVHHYIQQGEARKALEVLQRRNVPVDLVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYAKKEDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALKVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYNSQIELLKQEMDDATRGADNIRSDIGALAQRYTVIDREQDCGVCRRKILTVGGLHQAERILDLQKRLSLMDRKAAKDNGANVNGESIMSTTPVDKLRSQLDDAVASECPFCGDLMIKEISQPFIPPEESDEKASWEIKPQPTPQKILPMTMSI >KQL00598 pep chromosome:Setaria_italica_v2.0:VI:3350425:3358617:-1 gene:SETIT_013188mg transcript:KQL00598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGGGQLFSVDPLERQAARGHGVVTSMAAGSDVIVLGTSRGWLVRHDYTFEDAHDLDLGSGRSGDHSVHRVFLDPGGKHCVATVVHPGGAETYYHHARWPRPKPLPRLRGLLVNAVAWNRQSITEASTKEVILGTESGQIFEMAVDEADKREKYVKPLFELTEQREGIKDLQMETAVVGNSTRYYVMAVTPTRLYSFTGIGSLETVFASYSNRAIHFMELPGEIPNSELHFFIKQRRAKHFGWLSGSGIYHGELNFGAQHSSTSGDENFVENKGFFDYSKLGDSGTKPRSFALSEFHFLLLIGDKIKVVNRISQQMVEELVVDNTPETSRGIVGLCSDASTGLFYAYDESSIFQISTSDEGRDMWQVYLDMNHYAAALSHCRNPFQRDQVYLVQADAAFAAKEYYIAASFYAKMNYILSFEEISLKFISIGEQDALRTFLLRRLDNLTKDDRMQITMISTWVTELYLDKINRLLLEDSTGTTTNSVAESNSSEYRSIVNEFRAFLSDSKDVLDEATTMRLLESYGRVDELVYFAGLKEQYEIVVHHYIQQGEARKALEVLQRRNVPVDLVYKFAPDLIMLDAYETVESWMMARNKLNPGKLIPAMMRYVSEPHAKNETHEVIKYLEFCVKDLNNEDPGVHNLLLSLYAKKEDESQLLQFLDTKFGKGQTNGPEFFYDPKYALRLCLQEKRMRACVRIYSMMSMHEEAVALALKVDLELAKAEADKVEDDEELRKKLWLKVAKHVIEQEKGVKRENIKKAIEFLSETNNLLKIEDILPFFPDFVLIDDFKEEICKSLKDYNSQIELLKQEMDDATRGADNIRSDIGALAQRYTVIDREQDCGVCRRKILTVGGLHQVGRSYTSVGHMAPFYVFPCGHAFHANCLIGHVTRCSSQAQAERILDLQKRLSLMDRKAAKDNGANVNGESIMSTTPVDKLRSQLDDAVASECPFCGDLMIKEISQPFIPPEESDEKASWEIKPQPTPQKILPMTMSI >KQL01817 pep chromosome:Setaria_italica_v2.0:VI:26721976:26724045:-1 gene:SETIT_013551mg transcript:KQL01817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNKKRVAIVGAGPSGLTACKHVLAKGFRPVVFEAADAVGGVWTRTLASTRLQTPAAAFLFSDFPWPADVEDDEFPRNDQVAAYMAAYARQFGVLECVRFGSRVLGAEYAGASEQEVAAWERWSGNGEAFGDGTGEWHLTVKHGECEEAQTYKFDFLILCVGRYAVAKHPKFPHEAGPEVFHGQVLHSMDFSRMPHADADELTRGKRVVVVGSGKSGIDIVAQCAEANGSKYPCTLVYRTANWTMDPNLKWGAFFEKMMTSRLAELMVHKPGEGFVLSLLATVLTPMRWLIARATETYYKALMPMRKHGMVPDHSFSAAMLGWRISVLPDRFYDAVDEGGIVLKRCDSFSFCAGGVVLDGTGERVDADVVILATGFDADRLLSGVFASPWFREIIVAEPSDTMLPLYRHCVHPRIPQMAVVGYAESAASIYPYEMMAKWVAHLLDGTVHLPSVAAMERSVAEWESWGQWAKRRSGGFFLKSCIATATTWYHDQLCRDMGYSPRRKRGEGFLADWLQPYGPTDYAGIQ >KQL02067 pep chromosome:Setaria_italica_v2.0:VI:29647342:29648110:-1 gene:SETIT_014583mg transcript:KQL02067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAEKKPAAKKPAEEEPAAEKAEKTPAGKKPKAEKRLPAGKSAGKDGEKKGKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMSIMNSFINDIFEKLAAEAAKLARYNKKPTITSREIQTSVRLVLPGELAKHAVSEGTKAVTKFTSS >KQL02736 pep chromosome:Setaria_italica_v2.0:VI:34271467:34272039:-1 gene:SETIT_015061mg transcript:KQL02736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLGKTTLIKDVYESQKHVVMRPFILKEFLKSLIMQLNVQSSEKKGAIDFAHGARNTAPIMMGVEALIKELARLLEGRKCLIVLDDLSSIAEWDNIFGSFPKLDSSCRIIVTTREESIAKHCSEKQKNIYKLKVLECKDAQDLFTRKA >KQL01198 pep chromosome:Setaria_italica_v2.0:VI:10837804:10838440:-1 gene:SETIT_014798mg transcript:KQL01198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHDLVSCATLRKGVFAAAAAMMIINLVASLVYYWSYSKAATGGFMKHQNEVGVGMTDYGLDKGVPGP >KQL02757 pep chromosome:Setaria_italica_v2.0:VI:34383426:34391990:-1 gene:SETIT_015531mg transcript:KQL02757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYRKSIDDKFSKLHPSLPVDTRIGIVGAGPSGLSTAYALAKLGYRNVTVFEKCQNVSGMCESIDIEGSEFEEMDSHKLALIDSQTGNIRDLEVAEDYVSMVSLTLKLQDEANRSGRVGIHAVSGLASDPTLEFLKKHGLNSVPKSVAYGYTASGYGFVQDMPYAFIQEFTRTSMAGKIRRFKHGYMSLWEKLSKSLPFEVLCGTEVLRVKRDSCGASVLIKKNNDEIEVREFDKIILSGAIAFKNCKTYRSSSLTDGENEVVELNDFERELFSKVQTIDYYTTVVKIEGFENMPKGFYYFGEYMEDPTTLGHPVAMQRFFADTDIFLFWSYGNSADIKGSYVAKCVTDVVISMGGTVQKVLLQRRFKYFPHVSSEDMKNGFYERVESELQGFQNTYYVGGLLAFELTERNALYSISTVCKHFAIDSDLPTIPYVKRLFPLSIRNPSPPRDIGELEGVEFPDLPSLDGYLEYWGTHKVTAKKVIYTWINEEGKIVNRRTYQELHDNASHIAYRLLTSTKPIIKPGDRVLLIHLPGLEFVDAFFGCIRAGVIPVPVLPPDPMQRGGQALLKVENISKACNAVAILSTSSYHAAVRAGYVKNIVTLAKSAQKCSAQWPDLPWIHTDSWIKNYRRSPDSYNSESAESMITKPQPSELCFLQFTSGSTGDAKGVMITHGGLIHNVKMMKKRYRSTSKTVLISWLPQYHDMGLIGGLFTALVSGGTSILFSPMTFIRNPLLWLQTINDYHGTHSAGPNFAFELVIRRLEAEKNKIYDLSSMIFIMIAAEPVRQKTIKRFIELTQPFGFSEGVLAPGYGLAENCVYVSCAFGEGKPIFIDWQGRVCCGYVDPDDPDITIKIVDADSLTEHEEDGAEGEIWISSPSSGVGYWSNKEISQKTFCNQLKNYPSKNFTRTGDLGRIINGKLFITGRIKDLIIVAGRNIYSADVEKTVEGSSDVLRPGCCAVVGVPEEVLTQKGISIPDSSDQVGLVVIAEVREGKAVSEEIADNIKTRVAEEHGVTIASVKLIKPRTLSKTTSGKIRRFECMKQFVDNTLSLANSNHISKKKSLFRSLTTGTGMEIRRSSLKQTVDPLVLPQPRRKVKNLMEIIEFLTQLVSDQAGIPKEKISPTNSLPSYGFDSIAVVRAAQKLSDFLGTPVGAIDIFTASCISELANFLENLVHKSQPQLAPQPRGKVKTSKEIIEFLKQIVSDKTGIPKDKISPTDSLPSYGFDSITVVRTAQKLSDFLGIPVGAIDIFTASCIAELASFLENLVHKSQPQMEPDVSCSAEDENLETIDASTSDLSVFAIGTLQILALTYVCFILLLPAYFASSMYMAMLSLVSMVKLSLLTYLSSLVLAPIAWICYALFTSLSLSILGKSFLQPNYVLTPGVSIWSVDFVKWWALNKAQSLAAKMLAVHLKGTIFLNYWFKMQGARIGSSVVIDTVDITDPSLLAVADGAVVAEGVLILGHEVRNEVLSFRHVKIGQNASIGPYAVLQKGTVVHNGAVVPPLHKTEQGKSAYLASKTSAYMKEEVRTANMALEHLVSIYAIGFLGALSSATVFMLYNHFSGATVSLQHFSFACIAGAFHWLPAVIAAYAVIVRETTTSPVSFALLTAFAYLSYGIILSLLTSITNKALATRSGAKKDMASLIQRRITIAAHLRFAKMLSGTEAFCMYLRLLGAKIGRHCSIRAINPVANPELISIGDGVHLGDFCNIVPGFYSKGFTSAEIKVQDNTVVGSGSLLLPGSVLQENVILGAVSVAPQGSVLQRGGVYVGAQSLTLVKNTLHTEDERIEEMDPLYKKIVGNLAANLAITTMNVKSRYFHRIGVSGRGVLKMYQDIPSLPKHKIFGAGKSFPVIVRHSNSLSADDDARLDARGAAVRILTDDGEVPLLDLTLKSGKAFYARTIADFTTWLVCGLAAREEQVKQAPHIRDAVWGSLRNTDSYTVLHYYSNICRLLRFEDGKEMYAKFKLRPVDKDVSEDSGQVVPRGILPPETGAIPRAEDDTRPLLFLADDFRRKVGSPDGVRYVFQLQLRDVPADSAARDVALDCTRPWDEAEFPYIEVGEINLASNVPTEETEKLEFNPFLRCPEVDVIPATSCTQSASIDHGRSLVYEICQRLRNGEPLPASWRAFLEQSDTKIDLSGCPVIAATRSSSNLSHGTKVTLARTWYQAVWATLCQPLLQTLVPYFTMGLVIFSPLRALLAASTATGTPLYWTLPIFWVTSGAAAMAACAAAKGALVGRRAEGDTVHIWSPAVFLDTVWQAVRTAAGEYFAELTPGSVPFAVWMRAMGASVAAADGVYVDSMGALLNPEMVRLERGASVGRDALLFGHVYEGEGGEVKFGAVHIGEDGFVGSRAVAMPGVRVDDGGCLGALGLAMKEEIVRNRM >KQL01984 pep chromosome:Setaria_italica_v2.0:VI:28751845:28756333:1 gene:SETIT_013842mg transcript:KQL01984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPRARGNAAPDEDPNAATGVRVTKRARRKSGPRESPSQRSSAYRGVTRHRWTGRFEAHLWDKDARGGSRGKKGKQGAYDDEDAAARAHDLAALKYWGPGTVLNFPLCGYDEELKEMEGQPREEYIGSLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVLGNKYLYLGTYATQEEAAVAYDIAAIEHRGLNAVTNFDIGHYVNHWHRHRRGAGGGDSLGAMDAATPVVVPFQLPDDLPERPAATGLNGTMGAADLHDGEDQMPHHACSFLGDGAQLADQVGPPAHHAAPTPSALDLLLQSPKFKEMMEQVSEAAAMADGNSSSSAAETSWASSSSSSPPQPLSPQRQPEISGGSTPARCSFPDDVQTFFDFENETDMGFTYAEVDTFLFGDLGEYAAPMFQYCELDV >KQL02454 pep chromosome:Setaria_italica_v2.0:VI:32629122:32630603:1 gene:SETIT_014742mg transcript:KQL02454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTELKVEMVALHEKRVRKCLSKVKGIERVEVEASLQKVVVTGCVNRSKILKALRRVGLRAEPWSPHNELLSAYATTSLMFNNSYSFF >KQL02673 pep chromosome:Setaria_italica_v2.0:VI:33892716:33895373:-1 gene:SETIT_014310mg transcript:KQL02673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRGGKAPVPAKKKTVVTNPLFEKRPKQFGIGGALPPKKDLHRFVKWPKVVRIQRQRRILKQRLKVPPALNQFTRTLDKNLATNLFKMLLKYRPEDKAAKKERLLKRAQAETEGKTVEAKKPIVVKYGLNHVTYLIEQSKAQLVVIAHDVDPIELVVWLPALCRKMEVPYCIVKGKARLGSIVHKKTASVLCLTTVKNEDKLEFSKILEAIKANFNDKFDEVRKKWGGGIMGSKSQAKTKAREKLLAKEAAQRMT >KQL01924 pep chromosome:Setaria_italica_v2.0:VI:28097047:28100079:1 gene:SETIT_014251mg transcript:KQL01924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTGSAVGRGAVRPLMRLVTMSGAPIMQQLHLEERLLRRTADNWCVINDGTAPPTIVMGVSGRVSELVEIEPVLRDRVSVVRRFSGGGTVIVDQGTVFVTFICNKSAVAGLQPFPRDIMSWSGQLYGKVFDKFGEFHLRENDYAFSRRKFGGNAQSITKNRWVHHTSFLWDYDVKNMDYLKIPKRAPEYRLARNHTDFLCRMKEYMPSRSAFTDGVITALGEHFSVQPADLETVLPDDEEFVPSTKLLSEQDLEEIVSSKGYSLGAQKAEA >KQL00836 pep chromosome:Setaria_italica_v2.0:VI:5561915:5562896:1 gene:SETIT_015271mg transcript:KQL00836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKRGGADLTKRIRRRCCPEHSPMTNYLQVNHVFNIFVEKNFQFVERQGVLSPSVCAQDVPNAQLALNGSSVH >KQL02455 pep chromosome:Setaria_italica_v2.0:VI:32631490:32633901:1 gene:SETIT_014114mg transcript:KQL02455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAELAADQHVRYIVTVEKKKDSFESLVMEHIRLNGAYWGLTTLDLLHKLHAVDAAEVVDWIMSCYHPESGGFGGNVGHDPHVLYTLSAVQVLCLFDRLDVLDVDKVADYVAGLQNEDGSFSGDIWGEVDTRFSYIALCTLSLLHRLHKIDVQKAVDFVVSCKNLDGGFGAMPGGESHAGQIFCCVGALAITGSLHHIDRDLLGWWLCERQCKDGGLNGRPEKLADVCYSWWVLSSLIMIDRVHWIDKEKLTKFILNCQDKENGGISDRPDNAVDIYHTYFGVAGLSLMEYPGVKPMDPAYALPLDVVNRIFLRK >KQL00821 pep chromosome:Setaria_italica_v2.0:VI:5347134:5348212:1 gene:SETIT_014448mg transcript:KQL00821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLVLCVVALAIATMATDAHAQLLNCPNRCGKQGDGMECPNNLCCSKDGYCGIGSLYCGDGCQSGACHTNQPCGAQAGGAVCPGNLCCSRNGRCGFGSEYCGAGCQGGPCRADIKCGRQAGGKECPNNWCCSQYGYCGMGVEYCGVRCQSGPCIADRPCGLNANGAKCTNNYCCSSSWFCGLGKEYCGDGCQGQFGSCYLQAVADALRLCVIP >KQL02581 pep chromosome:Setaria_italica_v2.0:VI:33359733:33359999:-1 gene:SETIT_015601mg transcript:KQL02581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLRRRPDEAPAPGDGGLPASSLMNNKEQTTTGAGGGGGRRMLVGSRAPTTCTYNECRGCRHRCSVQVVPIDASDPINSAYHYKCVCHL >KQL02981 pep chromosome:Setaria_italica_v2.0:VI:35607707:35611054:-1 gene:SETIT_015928mg transcript:KQL02981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKYQLEYEQKRDMQAPIVKLRETYESLEKELKGLQERESGAKVEAEEILTQMDELKAEAEDWKSKSDECEKVIDELKEQNGSIASTLAKLDRRVKSKEGQLLQLMSRQRDIHEKCELEQLKLPTVNDPMDTGPSSQEPVLDYSQLSEIYLQDMRPSERDKHEAVFKQKTGALLAEIERTAPNLKALDQYDALQRKEKEITEKFEATRKEEREISDKYNSIKQRRYELFMEAFDHISKGIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCERVADEQGRNGECGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYREA >KQL02980 pep chromosome:Setaria_italica_v2.0:VI:35607332:35616226:-1 gene:SETIT_015928mg transcript:KQL02980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKYQLEYEQKRDMQAPIVKLRETYESLEKELKGLQERESGAKVEAEEILTQMDELKAEAEDWKSKSDECEKVIDELKEQNGSIASTLAKLDRRVKSKEGQLLQLMSRQRDIHEKCELEQLKLPTVNDPMDTGPSSQEPVLDYSQLSEIYLQDMRPSERDKHEAVFKQKTGALLAEIERTAPNLKALDQYDALQRKEKEITEKFEATRKEEREISDKYNSIKQRRYELFMEAFDHISKGIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCERVADEQGRNGECGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYREA >KQL02979 pep chromosome:Setaria_italica_v2.0:VI:35606156:35616226:-1 gene:SETIT_015928mg transcript:KQL02979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLKYQLEYEQKRDMQAPIVKLRETYESLEKELKGLQERESGAKVEAEEILTQMDELKAEAEDWKSKSDECEKVIDELKEQNGSIASTLAKLDRRVKSKEGQLLQLMSRQRDIHEKCELEQLKLPTVNDPMDTGPSSQEPVLDYSQLSEIYLQDMRPSERDKHEAVFKQKTGALLAEIERTAPNLKALDQYDALQRKEKEITEKFEATRKEEREISDKYNSIKQRRYELFMEAFDHISKGIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCERVADEQGRNGECGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYREA >KQL01147 pep chromosome:Setaria_italica_v2.0:VI:9461575:9463534:1 gene:SETIT_014758mg transcript:KQL01147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPVHPASPSVSLARGREAAACDALASTDALWVRSGAPARRRGPLMRGKACCEMSCGPIAARGAVVPGHAAPMVFSQRARVRGH >KQL01619 pep chromosome:Setaria_italica_v2.0:VI:23408892:23413589:1 gene:SETIT_014278mg transcript:KQL01619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDGLGEPFPSANPLRTVSPPPSTRAPPPPAAEAGLAARLPRGGSVSLPSAETRPGVDRSRGKMINLFKIKGQKKDDAATANGKPAVKKQSPGELRLHKDIAELNLPKTTKISFPNGKDDLMNFEATIKPDEGYYVGGKFVFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLNLLFTLLFFVTTRRSLRIMSGGRWLEVILVKPTSLGVCKRENGDPLSDNMQLQLIIACPSYVNAGAVM >KQL01620 pep chromosome:Setaria_italica_v2.0:VI:23408892:23413277:1 gene:SETIT_014278mg transcript:KQL01620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDGLGEPFPSANPLRTVSPPPSTRAPPPPAAEAGLAARLPRGGSVSLPSAETRPGVDRSRGKMINLFKIKGQKKDDAATANGKPAVKKQSPGELRLHKDIAELNLPKTTKISFPNGKDDLMNFEATIKPDEGYYVGGKFVFTFQVPPAYPHEPPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIVYGLNLLFTQPNDEDPLNHDAAAVLRDDPKKFENNVRRAMAGGYIGETHFPRCM >KQL02547 pep chromosome:Setaria_italica_v2.0:VI:33135550:33136110:-1 gene:SETIT_014789mg transcript:KQL02547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKTLEAIDRQAVTHARRVVSPELASFEIYHNPNYVRNTSIASLQLVTRWPIVTIWQIFASFSSNPPGKQY >KQL01539 pep chromosome:Setaria_italica_v2.0:VI:21414207:21414739:1 gene:SETIT_015248mg transcript:KQL01539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFATAARWVAKKGKPKIGPIELTAPPEQTQSITRTIFDVVREHGPLTISDVWDHVKDVGLRGLTSKRQMKIMLRWMRERQKLRLICDHDGPHKQFLYTTWFTNPKNAPQRPKGELKAKAEKLSPSLPKQP >KQL01991 pep chromosome:Setaria_italica_v2.0:VI:28822283:28822867:-1 gene:SETIT_015879mg transcript:KQL01991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPARAPKLARTRAPEPAHTGAPVLARTRGPEPAPTLAAAMASEQLACGVPRLYCSTVYTHIHSYVCAKKN >KQL01310 pep chromosome:Setaria_italica_v2.0:VI:14691876:14695796:-1 gene:SETIT_013728mg transcript:KQL01310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRPGAGCFLLLPLCLLVLPRGGVLLLADAARVAFADASSPPAPSPAADVPFLPDVSSAPAGGPAVRIQTGGDNHYQKQVLLAVILALVVVIVMVLSAVFACIFWRKAQEALDSKEIKISNATRGTMLPTQGKRNLLKMSKKEVITMMDFSVLESATSKFNEKNILGKGGFGCVYRACLDKSSVAAVKKLNCCREEVEKEFENELDFLGKIRHPNVISVLGYCIHEDTRLLVYELMQNGSLETQLHGPYRGSALSWHIRLKIALDTARGLEHLHEHYNPMIIHRDIKSSNILLDSDFNAKISDFGLAIYGGNHNKDDINPSGTVGYVAPEYLLDGQLTEKSDVYAFGVVLFELLLGRKPVEMIGESHCQSIVSWAMPQITDRTKLPSIIDPAIRNTMDLRHLYQVAAVAVLCVQPEPSYRPLITDVLHSLVPLVPLELGGTLRVVEQSH >KQL00437 pep chromosome:Setaria_italica_v2.0:VI:2089540:2093463:1 gene:SETIT_015277mg transcript:KQL00437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGGITAVFWLLVLTQVHGGASLSSGTADGMERWGYVEVRPKAHIFWWYIQSLQRVPSPMKPWPTILWLQGGPGQSGIGLGNFAEIGPLDVDLNPRNSTWLQKADLIFVENPVGVGFSYVDDLSALAKTDLQAAKDLAELLKELAKEIPTLQSSPLFLVGESYGGKLAAKTGVLVARAIRAGTLELTLGGVVLGDSWISPDDYALSYPWLLEGVSRLDDNAVGKGIMMAVKVKQQMAAGQFVAAYTTWVNLLDMIDSRSGSVNMENFMLDTTVSSVLSDSAARPLLLPGNSQAANNGSNKVSDTVNGFLKQKFKIIPKDFIWQQVSLQVFDALANDFMKPAINEVDELLSYGVNVTVYNGQYDVICSTLGAEAWVKRLKWDGLHNFLSLPRKPLHYCHPYYLTNGFVRSYKNLHFYWILGAGHTVPVDRPCTALYMISSIVQSPAN >KQL01202 pep chromosome:Setaria_italica_v2.0:VI:10943794:10944924:1 gene:SETIT_014786mg transcript:KQL01202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTSDDPLLIKDPAYHLSCLIFLNVPEIWITHWWIACRIPMLRLLSVVSAGMTDDQINPAANGHSNQRAGGD >KQL01356 pep chromosome:Setaria_italica_v2.0:VI:17307887:17313174:1 gene:SETIT_013436mg transcript:KQL01356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDFSRASRTDRYEKGITDPYLIVGVTCGPARHGMIPPSCPARHGSFVPGPTRARAVPDGQTGRVYFIGHFACNLFVVFEKTGAESGEPRAPTQLLLLLLPSLPSHSPALKAPCPVGCRSVPRSRTPGRRSVWRAEPPCARASRRPAGTMLAASARVCSRLASPHASSPAGAAAAATAAAALTSSPVLASGMSALERQPAPPGAGFGDTGRGPAADDPPPRHCTSSGESREGYQLSRVPYQRHPMLDRGTVTAGSNHHVSAASCSSQYHQSYRYFSSSSDQQRIWAGNKHICDLPRCVKIVEVGPRDGLQNEKDIVPTPVKVELIRRLAASGLPVVEATSFVSPKWVPQLADAKNVMEAVRNIEGVRLPILTPNLKGFEAAIAAGAKEIAIFASASEGFSKSNINCTIKESLARYNDVALAAKEREIPVRGYVSCVVGCPVDGPVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEATMSAVPVEKLAVHFHDTYGQSLSNILMSLQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKMGVDLGKVMAAGEFICKHLGRQSGSKAATALRKVTANASKL >KQL01357 pep chromosome:Setaria_italica_v2.0:VI:17307887:17313174:1 gene:SETIT_013436mg transcript:KQL01357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDFSRASRTDRYEKGITDPYLIVGVTCGPARHGMIPPSCPARHGSFVPGPTRARAVPDGQTGRVYFIGHFACNLFVVFEKTGAESGEPRAPTQLLLLLLPSLPSHSPALKAPCPVGCRSVPRSRTPGRRSVWRAEPPCARASRRPAGTMLAASARVCSRLASPHASSPAGAAAAATAAAALTSSPVLASGMSALERQPAPPGAGFGDTGRGPAADDPPPRHCTSSGESREGYQLSRVPYQRHPMLDRGTVTAGSNHHVSAASCSSQYHQSYRYFSSSSDQQRIWAGNKHICDLPRCVKIVEVGPRDGLQNEKDIVPTPVKVELIRRLAASGLPVVEATSFVSPKWVPQLADAKNVMEAVRNIEGVRLPILTPNLKFFIFVITHQGFEAAIAAGAKEIAIFASASEGFSKSNINCTIKESLARYNDVALAAKEREIPVRGYVSCVVGCPVDGPVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEATMSAVPVEKLAVHFHDTYGQSLSNILMSLQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKMGVDLGKVMAAGEFICKHLGRQSGSKAATALRKVTANASKL >KQL00954 pep chromosome:Setaria_italica_v2.0:VI:6768003:6772578:1 gene:SETIT_015500mg transcript:KQL00954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCWAPTRPPINVPVRPTISVAQAARIAGYGTAFAIMARSISRPLSLRSPSGGSTTNWKCQGTRRPPSVVSMVQNHQAKTPAFASLRAVHSPAPAPLPGSKSILPSRSSRGQKNASLVTRVTLNSFTGEIMNIVALAREETQHLVLKIGSNQMLWSHISQCICIFLLDLILNAAFQIIDGRVGRGRSETSLKTQSSETSAKTKGKKSTPTLDEYGTNLTNLAKEGKLDPVVGRQKQIDQVVQILSRKGKNNPCLIGEPGVGKTAAVEGLAQLIARGDVPETMQGKKCGDIILFLDEVHTLVGAGAAAEGAIDAANILKPALARGELQCIGATTTDEYMKHIEKDPALERRFRQVKVPEPTVDEAREILEGLRERYETHHKVQYADEALTTAAELSHKYISDRFLPDKAIDLIDEAGSLVRLRHAQRKLSKEVKDLETELQKIMEEKNDAIHSQNFKRAKELRDRELELNSQIIALSGKSKEMTNDEALHRHIVGQDEAVTAISRAIRRARVGLNDPRRPIASFVFAGPTGVGKSELAKTLAAYYYGSEEAMVRLDMSELMERHAVSKLIGSPPGYLGHGDGGQLTEAVRRRPYSLVLFDEVEKAHRDVMNIMLQILDDGRLTDGMGRTVDFTNTLIIMTSNIGGAAIVAANGDGHGGDGSKDLVEEEMKRYFRPEFLNRLDDTIVFRPLTKVEVKEIAGIMVKDVAARIREVGVELLVTERFVDLVVAEGFDPSYGARPLRRAVVRLLEDTLADKMLDGEIMKGDSVIVDADAAGNGTA >KQL00449 pep chromosome:Setaria_italica_v2.0:VI:2164816:2164938:1 gene:SETIT_015356mg transcript:KQL00449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIDLKDFSLNPVGLIPSMEFNVVSVGQLANQGLMICLGK >KQL02298 pep chromosome:Setaria_italica_v2.0:VI:31578413:31578910:1 gene:SETIT_015900mg transcript:KQL02298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFYPSIRASRHTQIVVSLSHTSLKLADRFLLFLI >KQL01754 pep chromosome:Setaria_italica_v2.0:VI:25540962:25544157:1 gene:SETIT_014298mg transcript:KQL01754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASAAAASAAALLLLPLLAAAGEGSVCPRPPAAAAVLRHAPASCTAADASGPRRRHAGVVEGDDGALQKAIALVLHNREDFVAILFYASWCPFSKIFRTDFQKLSSFFPTIAHFSFEESHIKPRILSRYGVRAFPTLFLVNSTMRVRYHGSRTMNSLAMFYKDVTGMNPVSLDALSLERVEDTVTTIDNDKKSEMEDSLLSWARSPDRLLHQDTCLALASSFVLLRLLHFILPKLNACMKQAWRMRLYELNRLFPSLS >KQL01003 pep chromosome:Setaria_italica_v2.0:VI:7297993:7299360:1 gene:SETIT_015589mg transcript:KQL01003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSDTQEQYSSMASQDEQHTPTTTTPCRCKRYWPSTRRWPRRRRSSRQISPMRRNGSTGSRASSEGSAATATAAATSRRAWWPSAPTTMACRTCRRWRRSSSRRPTTSAGAPDTPRWRCTRGCAPSRAPPAPATYDAADPSAAGLGDGEFAAMMFLDGCFLLQYMVDDTDTAPPVLRNRMTLSTGPSIQKDIFLLENQIPWLVLDALTEFMAVDVSQFVDDMGAKFLPGKAKPKNGRRRMRWGSACIPAPAPPSARSRAWFGDMRVRRCRLASELLLSPVFLSEVTACWLVNMAALEASTAGARRESNGFVVSSYLSVLAMLMDRKEDVHELRRRRLLHGALSNKKALGFFKGLGQNLRFGGRYFAALEEIDSYKRHRSLRITVYWFVYNNYRFIAAFLSVTSVLIGIFNP >KQL01581 pep chromosome:Setaria_italica_v2.0:VI:22241387:22246669:1 gene:SETIT_013453mg transcript:KQL01581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREFSRASRTDRYEKAITDPYLIVGVACGPARHGMIPPSCRARHGSFVPGPTRARAVPDGQTGRVYFIGHFACNLFVVFEKTGAESGEPRAPTQLLLLLLPSLPSHSSALKAPCPVGCRSVPRSRTPGRRSVWRAEPPCARASRRPAGTMLAASARVCSRLASPHASSPAGAAAAATAAAALTSSPVLASGMSALERQPAPPGAGFGDTGRGPAADDPPPRHCTSSGESREGYQLSRVPYQRHPMLDRGTVTAGSNHHVSVASCSSQYHQSYRYFSSSSDQQRIWAGNKHICDLPRCVKIVEVGPRDGLQNEKDIVPTPVKVELIRRLAASGLPVVEATSFVSPKWVPQLADAKNVMEAVRNIEGVRLPVLTPNLKGFEAAIAAGAKEIAIFASASEGFSKSNINCTIKESLARYNDVALAAKEREIPVRGYVSCVVGCPVDGPVPPSNVAYVAKELYDMGCYEVSLGDTIGVGTPGTVVPMLEATMSAVPVEKLAVHFHDTYGQSLSNILMSLQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKMGVDLGKVMAAGEFICKHLGRQSGSKAATALRKVTANASKL >KQL01759 pep chromosome:Setaria_italica_v2.0:VI:25593420:25594002:-1 gene:SETIT_014730mg transcript:KQL01759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGKIVLLLVVFAIVSPSHVMADCTQEQKAAILYDCRKYLSRMARRIVAPKTWDHCCRDVRAVPNRDMDCIRKLLTYTEVMRIVEIRITNLAYLC >KQL02469 pep chromosome:Setaria_italica_v2.0:VI:32726257:32730797:1 gene:SETIT_013575mg transcript:KQL02469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEPLAPDFLLESLHPHHARLSVTPPWSRGQRRHATRDLFFPLRRSLSLPCQLHLHALETRSDQSLTETKNEITEHPPPNAPTLAHAMSQPGAAAATDPSPSPASPPVVEWPEGGALTRDWVAGLAATLDWCSRHLPADRLPTVLPPALVQRLVLAAAAILHREPNLVRVDPRPGQAVVVVGDVHGQLHDVIFLLRDAGFPSEERIFVFNGDYVDRGAWGLETLLLLLAWKVLLPNCVFLLRGNHESKYCTSVYGFEREVMAKYKDQGPQVYRKFLRCFEDLPLATIIAGCVYTAHGGIFRGTVVLPSKRLKKGKKGRKNKADFTVDPTSMRLGSLDELLKARRTVLDPPWEGQNLIPGDVLWSDPSLEMGLSPNKERGIGLLWGPDITQQFLRTNNLKLIIRSHEGPDARDKRHDLLGMDKGYTIDHDVECGKLITLFSAPDYPQFQASEERYNNCGAYIVLNPPDFATPVFHSFEAVKPRPPAHPFYDFEEVIDSDEELNLDAMDSGTSSQ >KQL02468 pep chromosome:Setaria_italica_v2.0:VI:32726257:32730797:1 gene:SETIT_013575mg transcript:KQL02468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEPLAPDFLLESLHPHHARLSVTPPWSRGQRRHATRDLFFPLRRSLSLPCQLHLHALETRSDQSLTETKNEITEHPPPNAPTLAHAMSQPGAAAATDPSPSPASPPVVEWPEGGALTRDWVAGLAATLDWCSRHLPADRLPTVLPPALVQRLVLAAAAILHREPNLVRVDPRPGQAVVVVGDVHGQLHDVIFLLRDAGFPSEERIFVFNGDYVDRGAWGLETLLLLLAWKVLLPNCVFLLRGNHESKYCTSVYGFEREVMAKYKDQGPQVYRKFLRCFEDLPLATIIAGCVYTAHGGIFRGTVVLPSKRLKKGKKGRKNKADFTVDPTSMRLGSLDELLKARRTVLDPPWEGQNLIPGDVLWSDPSLEMGLSPNKERGIGLLWGPDITQQFLRTNNLKVT >KQL00982 pep chromosome:Setaria_italica_v2.0:VI:7136452:7137114:1 gene:SETIT_015520mg transcript:KQL00982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIFVRSPTGRTIRLRVQPSDTLRTVKAKILEQHRLVFDGEQLDENLTLADYDIQHQSTLDLQEKMQIYVMETLKGKTITLEVDNLDTIDNVKSRIEYTEGFPKGQQCLIFDNKQLDDSSTLADHNIWKESTVLLVLHPFPRGRMQIFAKTLYGTTIPLEVESSDTIDAVKLKIYEKDGTRPKQQRLIFFGRKMEGSRTLADYNIQHQSTIHVVLCLCGC >KQL00334 pep chromosome:Setaria_italica_v2.0:VI:1462062:1465158:1 gene:SETIT_013898mg transcript:KQL00334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFVDRLGPDASAAVFAVLRDPADLAHAAAVSRSWRTLVMVVHMSKIQCLRLCPEVASFTRIELEQPTNSASGSDSGVNEEDAGSTAATTTWENYKTEKMVYMHLVHALFSPHTWMSCITACLGASSTDNFPGESIQNTLEPRERVNNWPCYWSSGGQEDPAVPEFLVYKLCSDLCLIDEIRIQPFRAYFQRGLPIYSSQYVRFKFGCPKLPLRLEDLVSEENEGQLTADDNYIWMYTSSEFPMLQKNVLQSFKLPRPVLCIGGVVKVEFLGRIQKQREDDQYYICVSHVQVLGTPLPREFGAAPCQNGPVLKYYPDHEPSDDSGGRINWKGFEESMWRALVINGQGIGLNQELLSRLLGPSLQLAVEEERMAKAKRRRSLTHYLRRFSGM >KQL00479 pep chromosome:Setaria_italica_v2.0:VI:2399796:2402691:1 gene:SETIT_013265mg transcript:KQL00479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWRQPRCSAEWFRRSKVFPWEVASCPFSVSAASVQSDDSSGDEKLNSAPDNEPICKRHRSLSSDSVVQTLRCLRRKPAVAFAYFKDTHSLGFHHDFSTYSEIVQILSHSFKGEMLVSLFCEIISATDGGGPDILTHIDHLRKTCVTSHVLSFAVNCLIKAYTTCHDAQATIEKFCHLCRLGFVPTVWACNFLLKFVSQSGESDMVVTAYDQMKCFQLMLDAYSLNIVTRSLFQAKKADEAFKVWVGMIEMGVKPDVHGYSSFIIGLCDCGKYDLAYNMLSRYTVLQEITQERVPIEAMAYNMVIYGLCKEMKLEEAEKVLENKTKHGSAPDRYCYSYLIHSYCKIGNLEKAWHHVEAMVSHGIEINCHIVGYLLQCLRKLGMTSEVIVYFQKFRDLGLHFDGVLYNIGMDAYCKLGNMNEAVQLLNEMMAKGLTPDKIHYTCLIHGYCLKGETDNAWQAFEQMLKANIKPDVVTYNILASGYSRNSLVMKVFDLLEHMMDQGLEPNSLTYGVVIAGFCRGGNLSEAEVLFNIVEEKGIDNIEVMYSSMVCGYLQSGWTDHAYMLFLRVARQGNMVDQFSCLKLISGLCRDGKVEGASTVCSMMLEKDIVPDVISYSKLISAYCQMGDMRSACLWFDDMVERGLSDVIAYTALMNGYCKVGRLKEACLLFDQMINFGIKPDVVAYTVLLDVHLKETLYRQWQGIAKDTRSLILRSKHKTWLSNMKNNEIEPDVAYYTVLIDGQCKAAYLDEARELFDEMLAKGLTPDVYTYTSLINGYCSQGETAKAEDLLQEMMDKGMKPDALTFSVFNQRTVRG >KQL01891 pep chromosome:Setaria_italica_v2.0:VI:27582230:27585773:-1 gene:SETIT_014314mg transcript:KQL01891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVSLIKEYRGKIEAELSNICDGILKLLDSHLVPSSSAAESKVFYLKMKGDYHRYLAEFKTGAERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGAEEGKEAPKGDAGEGQ >KQL02504 pep chromosome:Setaria_italica_v2.0:VI:32935315:32937144:1 gene:SETIT_015815mg transcript:KQL02504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRGGRGGGSEQPAARKGPWTAEEDEVLLRHVREHGPREWSSIRSKGLLPRTGKSCRLRWVNKLRPDLKTGCKFSAEEERVVLELQAQFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARLLRAPMPRRRPGKQSCGASSSHAYELPAPKSPCMEMIPFQETTTTHRIGESSSSSQEPTTANQHTAAAHFPGAYGLPPPPIPLPIMHAGAERGSSGACPTTALLLPYGSGTGNPVNGVDPLVFVDPAASPEPLEVVPRGPFFGLDDAYVHAGRALHGDVGGVRFDDLPPETFDFFELPHLPAFPPPFPPPPPSPSDQL >KQL01846 pep chromosome:Setaria_italica_v2.0:VI:27059616:27059875:-1 gene:SETIT_016022mg transcript:KQL01846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMPLTDPLYVVSIQQNFMRKCSKNYTPFIYIQAF >KQL02225 pep chromosome:Setaria_italica_v2.0:VI:31072702:31076297:1 gene:SETIT_014858mg transcript:KQL02225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQEEARAPLLQPQPRGGAGETAAAGGTKAGRARRAAVEWWVESKKLWNIVGPAIFQRIALYGINVVTQAFIGHLGDLELAAFSIASTVVAGFNFGFLLGMASALETLCGQAFGAKKHHMLGVYLQRSWIVLLIFAAGLTPTYIFMEPLLLLIGQSPELSRLAGRMSIWLIPQHFAMAMLLPLTRFLQSQLKNWITAVTAGAALAVHVVVTYLLVQYFQLGIVGAVAAADMSWWLVVLGQLVYVVGGWCPLSWKGFSMEAFNDFWDFIKLSSASGVMLCLENWYYRVLVLLTGYLKNAEIAVDALSICQTINGWEMMIPFGFLAATGVRVSNELGAGSGKGARFAIIVSITTSVVIGLVFWFLILYFDDRIALLFTSSEVVLAAVHKLSRAARPLRQAADEPDMAWPTRAHGVAIGSGWQALVAYVNVGTYYLIGVPLGIILGWPLHLGVGGIWSGMIGGTAVQTVILAYLTVKCDWDEEAKIASTRMQKWAADLK >KQL01265 pep chromosome:Setaria_italica_v2.0:VI:12743465:12744277:1 gene:SETIT_014707mg transcript:KQL01265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLSDALSIAAPLKFKSFKNWRHVPVKVPVQKATSDSAFFAMKFLEFYDGDGHGSLHTSIAAERSKELRAETLYYLTFHKQNKVVALLDEILQYRRDDHHPFFY >KQL02933 pep chromosome:Setaria_italica_v2.0:VI:35371403:35371840:1 gene:SETIT_015111mg transcript:KQL02933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDDLAHALKNLSQSSFIPLILVKEHVLAYVFFWNEDRKASFFIYDILDVLHNDEFKQSVEALLFIPDNWNQNDHNGLLTEMDNNRKNKGLSGYKSGQYQYVLFVSGSYTHEHELATQGVDNIITKQCPRLCLEVVKIVRDLGYP >KQL00730 pep chromosome:Setaria_italica_v2.0:VI:4414455:4418762:1 gene:SETIT_013369mg transcript:KQL00730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMELKALPLGFRFHPTDEELVRHYLKGKITGQINSEVEVIPEIDVCKCEPWDLPDKSLIRSDDPEWFFFAPKDRKYPNGSRSNRATEAGYWKATGKDRIIKSKGDKRKQHVIGMKKTLVFHRGRAPKGERTGWIMHEYRTTEPEFDSGEQGGYVLYRLFRKQEEKPERASPEEVDRSGYSPTPSRSSPDNIEANEEANTPLNRESPESGLHESPIELPNPVETHAMPITRWLAGRNDNLVPTAADVSHMPFNGHADVPEVNPSAGASAQLINPQNGNDNLTNFAPDIAPNLQHGNAFVPDLQEIAFGFDGNMNPPDALDVFLNQALVDPDEHSSTTSRVQYDSDIPTEFENNGVAQGEDDQSWWANLDFLSDEPNPLNSAYENTPLLPYDANDQDVLSVDSGADSLHELFNNMEDSNARRAGMNIEYGLQGTGISLMPRQLQSSAQPNYVLTNQGTASRRLRLHLPADFESAESITRDESEDEVSCVVTADRDVVSDGDEAESTGIVIRSRPAPSSSSESSFTQQGTTVRRLRLQSDLKTGPCPSTDDTSSCIINETESHHQPEKSEIAEDASKNFAGSVDDLSVNCDDNEQKTMPQHVAEMAVPEAKPVPRLRKASEKSDKDTIKQESGLESLVRAPVQKGGFQSYTAWLVLSVVLLLLLSVGVYGWM >KQL00928 pep chromosome:Setaria_italica_v2.0:VI:6562968:6567433:1 gene:SETIT_013851mg transcript:KQL00928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETDCPEGSVPERIGEQQEASLPLPPAFLEFLSENGLDPAVYSMAATIPRYIRLKPGMESQVAEIEGELKCGLEKVSWLPGFYAIPPEIQIAGSKAYQQGKIYGIDAASGAAILALDVRPGDHVLDLCAAPGAKLCMLADMLGSTGSLTGVDVAKHRLSACRTMLQKYSLGDRTRLFVADGTLFSILPVNSNLQRREGSIGLEENGSTFSEWTSRRSWKDRQKAKKANATGSQHLLSSSEPELIYYGKHSGLVGLRKSDVLCPAVDDEACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDNLFQLQLHLLTNGFRLLKIGGSLVYSTCSMTVAQNENVVQQFLSTQPSADLQKNDLSDSWPCRSGGIPKTLRFDPATSQTSGLFVAKFSKLPT >KQL00927 pep chromosome:Setaria_italica_v2.0:VI:6562968:6567433:1 gene:SETIT_013851mg transcript:KQL00927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETDCPEGSVPERIGEQQEASLPLPPAFLEFLSENGLDPAVYSMAATIPRYIRLKPGMESQVAEIEGELKCGLEKVSWLPGFYAIPPEIQIAGSKAYQQGKIYGIDAASGAAILALDVRPGDHVLDLCAAPGAKLCMLADMLGSTGSLTGVDVAKHRLSACRTMLQKYSLGDRTRLFVADGTLFSILPVNSNLQRREGSIGLEENGSTFSEWTSRRSWKDRQKAKKANATGSQHLLSSSEPELIYYGKHSGLVGLRKSDVLCPAVDDEACTSGYDKVLVDAECTHDGSIKHIQKFEFWGWKTLDRRVLDAERTDNLFQLQLHLLTNGFRLLKIGGSLVYSTCSMTVAQNENVVQQFLSTQPSADLQKNDLSDSWPCRSGGIPKTLRFDPATSQTSGLFVAKFSKLPT >KQL01336 pep chromosome:Setaria_italica_v2.0:VI:16451083:16451357:-1 gene:SETIT_015825mg transcript:KQL01336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGSMVSRSITKGMWLGLKHYAASRNVGPPNQGEPHSSNRRQPK >KQL01597 pep chromosome:Setaria_italica_v2.0:VI:22611637:22614823:-1 gene:SETIT_014365mg transcript:KQL01597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEEGPGADMEALVRRLRLHRAAPSPYEPSDAVAPAPCAGELFRPRRAAVLVCLFRGAAGELRVILTKRSSSLSTHSGEVALPGGKAEEGDADDAATALRESKEEIGLDPSLVTIVTSLEHFLSKHLLVVVPVVGILSYIQVFKPVLNAAEVDEIFDVPLEMFLKDENRTSDELEWMGQAFTIHHFNYKKGNKKYRIWGLTAGILIHAASVVYERPPDFAEKRPKLNLPKYSKECRSMP >KQL01363 pep chromosome:Setaria_italica_v2.0:VI:17629218:17632412:-1 gene:SETIT_014532mg transcript:KQL01363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPSNPTKSAKAMGRDLRVHFKNTRETAFAIRKLQLTKAKRYLEDVIAHKQAIPFHRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHVQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAETQIATRKA >KQL02362 pep chromosome:Setaria_italica_v2.0:VI:32000596:32003314:1 gene:SETIT_013425mg transcript:KQL02362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKPRAAAGGGDDGGGSRGEASGSSEGGGLVERLPEALLVEVLGRLEVDDACSAAASCRALRGAASAAISAITTIDLSAFAPSNAILSRILAGNGAVRSLTVNCSLLDDSAASVIAKGSLRELSLLKCSFTMSFFMAIGERCGNLRSLKLEMAVAPEVLYPRYSGFGTCLARIYAGCGCLETLWVKFPLLDPCTADYENGMHFIPSTVKELLLQPVSHLRAKTVFTKTTSLKKHITDSLGSLSLVLDTITDELVILITSNVRNLVELCLEDGPVTQPNLPEDLTNIGLQALGLCHNLRHLSLTRRYCDFRRVNDFGILMLADGCKQLRTIRFGGFSKVSDAGYAALLHSGKDLKKFEVSNGSCLSDLACLDLDKAAPNITEVRLLNCALLTSDTAISLAPCTNLKVLDLSGCKSIADSGLVSISQLSRLTLLDLAGADITDAGLSALGNGRCLISSLCLRGCRRIGSNGIASLLCGTGTINKTLVSLDIGNVPRISCRAVTVIVKNCEQLSSLCLRNCLLITDSSLEVLGSMGRDSKCSLRMLDLAYCSKLSRNFLRLFEPPLFRGLRWLGVGKNVVQRRGCSPTVAELLEQKPGLTICGNACDMGCRNKCHPDIRFIQ >KQL00412 pep chromosome:Setaria_italica_v2.0:VI:1912515:1917125:1 gene:SETIT_014024mg transcript:KQL00412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESELAVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIVLPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCKLASAALGLQLRPLVDLTCGALARIIGGKTPDEVRDIFHLPDDLTEEEKLEPLKNINDDPTIRLLNRLYAKKRKELQERQKLKDVQTQEEQKDERSLDELLCFINGDGGSRGGKAAKSKKKNKRRKDQAKNPARANSEPVNKEGAGCVVPRKADSGNISELPCQSQDLQDDIEYPFDDADLDDGLDPAMREEIDREVADFAMKLNLVWPERVLLGQDQRMESHVGARW >KQL00413 pep chromosome:Setaria_italica_v2.0:VI:1912515:1917125:1 gene:SETIT_014024mg transcript:KQL00413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSESELAVIKPEALKTYIWLQCFDGSIQQVEEEVAMFCPMICREIVKNGTGSSKNHAIVLPERVNPASLSLILDYCRFHQVPGRSNKERKSFDEKFVRIDTEKLCKLASAALGLQLRPLVDLTCGALARIIGGKTPDEVRDIFHLPDDLTEEEKLEPLKNINDDPTIRLLNRLYAKKRKELQERQKLKCLRFALFQDVQTQEEQKDERSLDELLCFINGDGGSRGGKAAKSKKKNKRRKDQAKNPARANSEPVNKEGAGCVVPRKADSGNISELPCQSQDLQDDIEYPFDDADLDDGLDPAMREEIDREVADFAMKLNLVWPERVLLGQDQRMESHVGARW >KQL01031 pep chromosome:Setaria_italica_v2.0:VI:7476880:7481963:1 gene:SETIT_013998mg transcript:KQL01031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRGGRHGLFGFVDPFAGFGGFGRPGSLPSSFFGGANPFDDPFFTNPFGSVMQRGFPTPFSGMMQPSFMDPFASMMQPSLLGPSMFGPHSVLNGGMFGSQTNLNQGISNASDFIQQAPEPSRPKGPIIKELSSDDEDDARDDKEDDKKKVNFRKHPRESKGAYVEEPDEEVEADNKRPKHGQFGREFSRASTSHSQPQTFMFQSSTVSYGGPNGACYTSSTTRRAGVDGITLEESKEADTTTGKATHRISRGIGSKGHSLTRNLKSDGHVNTLQTLHNLNEDELATFEESWRRNARDNLPGWDPRMNMLGNGNAHPDFRDANQMPALPAPDQFLGTNSSRNSRNGSSMDRARCT >KQL01030 pep chromosome:Setaria_italica_v2.0:VI:7476880:7481963:1 gene:SETIT_013998mg transcript:KQL01030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGRGGRHGLFGFVDPFAGFGGFGRPGSLPSSFFGGANPFDDPFFTNPFGSVMQRGFPTPFSGMMQPSFMDPFASMMQPSLLGPSMFGPHSVLNGGMFGSQTNLNQGISNASDFIQQAPEPSRPKGPIIKELSSDDEDDARDDKEDDKKKVNFRKHPRESKGAYVEEPDEEVEDNKRPKHGQFGREFSRASTSHSQPQTFMFQSSTVSYGGPNGACYTSSTTRRAGVDGITLEESKEADTTTGKATHRISRGIGSKGHSLTRNLKSDGHVNTLQTLHNLNEDELATFEESWRRNARDNLPGWDPRMNMLGNGNAHPDFRDANQMPALPAPDQFLGTNSSRNSRNGSSMDRARCT >KQL01873 pep chromosome:Setaria_italica_v2.0:VI:27410934:27411399:-1 gene:SETIT_014826mg transcript:KQL01873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKYQIDSLRVFTGKKELAVISTGTYKDGCRMIEDAPQRRRRSSSRPSRTSSRRLLIT >KQL01942 pep chromosome:Setaria_italica_v2.0:VI:28266319:28267857:1 gene:SETIT_014183mg transcript:KQL01942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCEKTGVKKGPWTPEEDLVLVSYVQDNGPGNWRAVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFSVQEEKLIIHLQALLGNRWAAIASYLPERTDNDIKNYWNTHLKKKLSKAGAAEAKSGRSAADKGQWERRLQTDIHTARQALRDALSLDPMLPPAKTEPLPLPPAPAPASQAAYASSTQNIARLLEDFMRPRAGSGSGGKASSGSRSSASAVSGGEGAASASHSGSGTTRTPEGSTGTSKAEDAGPAPPFSMLESWLLDDGMGHGDAGLMGVPLSDPCQFF >KQL02079 pep chromosome:Setaria_italica_v2.0:VI:29759345:29760328:-1 gene:SETIT_015040mg transcript:KQL02079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASAVVPSCPPPSLHGRSRLSRASGVAVPPPPPRLFAGDDARTTPLTNVELRALMRRQCELVPFSDDDDDLPPPLLLSRENNLQLQAQLLCSQFQSPPLSLDNLNAPVSEDDVDVLAPMFSEEEIQVLWPAGTGSDERQAGEKRRSPPWPSCISDDDDNDEPPPPPVKRARTRTKTRSRRRDGARATRLRLRLREWHNAIARLILRHQFRGPELSRGRTALGCQCHEIALAGVGGCCALHQDGPQPDDRAWMYSAQGRVPLVGGPGEVLVPTLSAGNSKATVVQYARWRRGVRMPTRFYVENAVQQQRGMAAADQQPNDDWMVTD >KQL01922 pep chromosome:Setaria_italica_v2.0:VI:28089424:28093600:1 gene:SETIT_013868mg transcript:KQL01922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQNQAISMVSYYASSSEPAQVRGKTVYIQYSNRQEITNNKGTGDSSGNVLLVTFEGVQPNDVTIEVIHLVFSAFGFVHKIATFEKAAGFQALIQYTDAPTALEAKNSLDGRSIPKYLLPEHINACHMRITFSAHKDLNIKFQSHRSRDYTNPYLPVNQTAIEGIVQPTVGPDGKIKEPESNVLLASIENMQYAVTVDVLHTVFSAFGTVQKIAMFEKNGGMQALIQYPDVTTAAVAKQALEGHCIYDGGYCKLHLSYSRHTDLNVKAHDERSRDYTLSDPNAQLQAAAQAPAPGVAWQNTAAPAAPFYASTAASPPAGVGQVPAWNPNMQAGAFASASTPYPSQPMMANAMPHYPAVGTSSGAPPVPFQASQQMPQYGIPPGAPPHAPPAGQPMYFPK >KQL02518 pep chromosome:Setaria_italica_v2.0:VI:32992095:32993237:-1 gene:SETIT_015685mg transcript:KQL02518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYPDHSKLHGFVRFFNLSTGDFVRIHLPLFRDHCVLDSIDGILLLHRDHDTAIRLLNPFTGDILDFPPLETLLRYVSPTIIAAASINVSLDEVVPIMIVGSPAMKVAFATSREQQWRVSSWSLQQTFSPSPFQGKLYVVRDCGGFTGPEILEIDPPQLEGMEPRVPPPRSIAKCPVSKSDGPTRYHLVERSSEILVIARSFGITKKISAYRLADLMLGRNVLMTCIDGDALFIGERNLCVGSNAFPTIVGDTIVFHHREKRYLAQYHVSSGTLSPASDGSIVGCAIPSPCSIIFHIYTCCYRQQWNKGQIKFQGEMNWWRVKGKWRIG >KQL02152 pep chromosome:Setaria_italica_v2.0:VI:30372936:30374616:-1 gene:SETIT_014201mg transcript:KQL02152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPARHHPRGLAAGAALLAAAVLLLFSAAAPGARAQQETEDEHEFSYDPRDEHGPAHWGRIKAEWANCSAGGMQSPIDLAHERVTLVRALGYLDHSYRPAQASIVNRGHDIMVRFKGDAGSVVINGTAYYLRQLHWHAPTEHTVDGRQYDMELHLVHQSAEGKAAVIGVLYEVGAHDAFLHALEPAIHRIADRRDREEPVGVVDPRGARGRASVYYRYMGSLTTPPCTEGVIWTIVKRVRTVSKYQLELLREAVHDDMEKNARPLQEVNDRDISIFRPKPHRHY >KQL00988 pep chromosome:Setaria_italica_v2.0:VI:7195019:7196033:-1 gene:SETIT_015336mg transcript:KQL00988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HRSSDDDPDSVGKVRSRQRKHLRSSDEDAPSDSNNHKHHRSRSLEESSDDRAADESEKIRNGKRSHENSHHHHRHHRHHHHERSSSSAEPNDDQKALQAQFASPPAGHTRSRSQLPAGSRQASPTNSYKT >KQL01606 pep chromosome:Setaria_italica_v2.0:VI:22727945:22733161:1 gene:SETIT_013967mg transcript:KQL01606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEPSLISSKIEEVDLRDKGALEMVFASTRFDAVVHFAGLKAVGESVQKPLLYYDNNVIGTINLLEVMSAHGCKKLVFSSSAAVYGSPKNSPCTEEFPLTPNNPYGKTKLVVEDICRDIYRSDPEWKIILLRYFNPVGAHPSGYLGEDPCGIPNNLMPYVQQVAVGRRPALTVLGNDYATRDGTGVRDYIHVVDLADGHIAALQKLFENSSIGCEAYNLGTGRGTSVLEIVKAFEKASGKKIPLIFGARRPGDAEILFSVTAKAERELNWKAKYGIEEMCRDQWNWASKNPYGYGSPDTTKQNGHQTNGSADSPRQNGHHTNGSVGSPKRNGHYAYGSADSPRRNGHYAYGSSDSRQNGNGRLH >KQL02786 pep chromosome:Setaria_italica_v2.0:VI:34527060:34531485:-1 gene:SETIT_013135mg transcript:KQL02786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLLLPVVRGVVGKAADALVQSITRMWGVDQDRLKLERHLVYVQSLLADAEAKSETNHAVRTWMKELKAAAYQADDVLDDFQYEALRREALSGQSMASKVLSNFTSKNRLVFRHKASRDLKNVLEKIDELVTEMTKFGLVALPEGPPQALPRQTHSALDESMEIIGRKDDKDGVVELLLDQQDRQHVQVLPILGMGGVGKTTLAKMVYNSDKIQKHFELRMWHCVSENFEAIPLVRSVIELATNSRCDLPDTIELLRGKLQEAIGRKRFLLILDDVWNEDKKKWEDDLRPLLCSSIGGSGSMIVVTSRSRQVASIMGTLPPHELVCLSEDDSWELFSKKAFSKGVQEQAEFVKIGRCISKKCKGLPLALKTMGGLMSSKYQIQEWEVIADCNISDTDRGKDEVLPILKLSYKHLSHEMKQCFAFCSIFPKDYVMEKDMLIQLWMANGYVNEEGTMDLTQKGEYVFNELAWRSFFQDVVLVRKPYDPSYYSKYASKQEINGCKMHDLMHDLAKDVANECANAEVLIQQNLPVNDVRHLHISRDDQLNKISQLLGGTMYLRTLLTPESSYKDPVKLKLMSSRALSIRCGDTSIVHMELTHTAHLRYLDLSRSNIVSLPNSICMLYNLLSLRLNGCSQLQYLPEGMRTMRKLCHIYLLGCCKLERMPPKLSVLHNLRTLTTFVVGTKDGCGIEELEDLRQIGNRLELYNLREVKCGSKANLHEKHNLNELLLYWDHCRDEYDKSTIGEATNHEQVLESLEPHDKLKILEVHSYGGLTISQWMRNPQMFRCLRELVMIGCRGCKDLPIVWLSSSLEHLCLRGMESLTTLCKNINVKAEAYNTSLQIFPKLKRMELIALPELDRWAENSAGEILSSLTFPRLEKLEIEKCDKLASLPRLPVLTHLYLSGFPWNNSTGALISMRMSLGSLPSLVHLEISHLLVDVVMPPDGEESQSQRPLCTLRSLALKGDDAFITIFNKSKLQLGLRDWLVSVEELNIMSCHNIVRWPVEELRCFPRLRSLNIWYCSKLEGKGSSSEEDGILPLLPEFPASLEELRIDNNRSLVALPSNLGDLVKLRRLSVLFCEALKALPDGMDGLTSLDIGLCPGIEKFPQGLLQRLPALKYLYIHHCPDLQRRCREGGEYFDLIASIPHKYIEAPAQATEVAIHKGHVRKWFLPSCGGGSPGN >KQL02787 pep chromosome:Setaria_italica_v2.0:VI:34527101:34531485:-1 gene:SETIT_013135mg transcript:KQL02787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLLLPVVRGVVGKAADALVQSITRMWGVDQDRLKLERHLVYVQSLLADAEAKSETNHAVRTWMKELKAAAYQADDVLDDFQYEALRREALSGQSMASKVLSNFTSKNRLVFRHKASRDLKNVLEKIDELVTEMTKFGLVALPEGPPQALPRQTHSALDESMEIIGRKDDKDGVVELLLDQQDRQHVQVLPILGMGGVGKTTLAKMVYNSDKIQKHFELRMWHCVSENFEAIPLVRSVIELATNSRCDLPDTIELLRGKLQEAIGRKRFLLILDDVWNEDKKKWEDDLRPLLCSSIGGSGSMIVVTSRSRQVASIMGTLPPHELVCLSEDDSWELFSKKAFSKGVQEQAEFVKIGRCISKKCKGLPLALKTMGGLMSSKYQIQEWEVIADCNISDTDRGKDEVLPILKLSYKHLSHEMKQCFAFCSIFPKDYVMEKDMLIQLWMANGYVNEEGTMDLTQKGEYVFNELAWRSFFQDVVLVRKPYDPSYYSKYASKQEINGCKMHDLMHDLAKDVANECANAEVLIQQNLPVNDVRHLHISRDDQLNKISQLLGGTMYLRTLLTPESSYKDPVKLKLMSSRALSIRCGDTSIVHMELTHTAHLRYLDLSRSNIVSLPNSICMLYNLLSLRLNGCSQLQYLPEGMRTMRKLCHIYLLGCCKLERMPPKLSVLHNLRTLTTFVVGTKDGCGIEELEDLRQIGNRLELYNLREVKCGSKANLHEKHNLNELLLYWDHCRDEYDKSTIGEATNHEQVLESLEPHDKLKILEVHSYGGLTISQWMRNPQMFRCLRELVMIGCRGCKDLPIVWLSSSLEHLCLRGMESLTTLCKNINVKAEAYNTSLQIFPKLKRMELIALPELDRWAENSAGEILSSLTFPRLEKLEIEKCDKLASLPRLPVLTHLYLSGFPWNNSTGALISMRMSLGSLPSLVHLEISHLLVDVVMPPDGEESQSQRPLCTLRSLALKGDDAFITIFNKSKLQLGLRDWLVSVEELNIMSCHNIVRWPVEELRCFPRLRSLNIWYCSKLEGKGSSSEEDGILPLLPEFPASLEELRIDNNRSLVALPSNLGDLVKLRRLSVLFCEALKALPDGMDGLTSLDIGLCPGIEKFPQGLLQRLPALKYLYIHHCPDLQRRCREGGEYFDLIASIPHKYIEAPAQATEVAIHKGHVRKWFLPSCGGGSPGN >KQL01080 pep chromosome:Setaria_italica_v2.0:VI:7946847:7948564:-1 gene:SETIT_014252mg transcript:KQL01080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALPMELCFAARAVTPAPAGRAPARPRKRRAGAVRCAATAPAPMGEKTEYRDGPLERAFMGLFARKMEKYATKKKQQQQQQTELEKKKKAVWEWDYESFVDVSRRVMVGRTRAQQQEAVREVLLSMLPPGAPEQFRKLFPPTRWACEFNAALTVPFFHWLVGPSEVIEVEVDGVKQKSGVLIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMNPNFEDMSCEMIYGQVPPPLEEDPVSKQPCYPNLCSMSTPSAPVCPKLQT >KQL02373 pep chromosome:Setaria_italica_v2.0:VI:32076081:32079906:-1 gene:SETIT_013347mg transcript:KQL02373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGETLGRGTKMILYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAENKDDYNKFYEAFCKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLDETEDEKKRQEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALKDSSMSGYMSSKKTMEINPENAIMDELRKRAEADKNDKSVKDLVMLLFDTALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETPEADSDMPPLEDDAGESKMEEVD >KQL02433 pep chromosome:Setaria_italica_v2.0:VI:32492575:32495908:-1 gene:SETIT_013870mg transcript:KQL02433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASAAGGSGGSGSGSGGGDDHLHGLKFGKKIYFEDAGGSGSSGSGGSASASDAPPPQPLPPPSASPGRAPGRRSRGAAGGASGSPAPARCQVEGCNVDLTGAKTYHCRHKVCAMHAKAPLVVVNGIEQRFCQQCSRFHQLHEFDQQKRSCRRRLTGHNERRRRPPAGPLASRYGRLATSFGEPGRFRSFLLDFTYPRVPSSMRDGWPAARPGERVPASIQWQASLDPHQHSAITGYGAHSYGSHGSSSSGPPVFPGPELPPGGCLAGVPADSSCALSLLSTQQWDTTQSAGHSRAASMPATAGFDGNPVAPSLMASSYIAPSPWTGSRGHAGGRDVPPQLPPDLPLDEVHSGSSSHHGQFSGELELALQGNRPAPAPRIDHGSTSTFDQASNTTDWSL >KQL02734 pep chromosome:Setaria_italica_v2.0:VI:34253400:34259256:-1 gene:SETIT_015284mg transcript:KQL02734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYICYLLQCIFQRWLKSLLDWGTRNLLQGCPAAGRRPGGGQAAGASGAGSRRLRRGRPAAGRCPGSRRLRRWSRIQGDGASTGGGGAQAGAEGASGGGGGAQRPAAGASGAGAGGGGAQASGGAGVRRLAARGGSLAGAAAGGREAARPTPGAAPGSAGGRRRDLERRRRDLERRRREERRWSPGGRCPRVPGGKFLRLPQKRGLTRVGDKLSASCISDSPISGLRDKRGISKAFRAYAKSAVAEEVALQLGIQRDHAFIRDELAMMQAFMRATHDERDNHEVLMTWVKQVRDVAYDAEDCLQDFSVHLHKPSWWHLPRTWQERCRIAKQMKELRARVEDVSQRNLRYQLIKSAGSKPVTSAEQSSITAATIFGIDDARRAAKNDNSKVDLVHLINTPGEDLRVIAVWGTSGDLGQTSIINAAYENPDIKKKFSCRAWDCQDQIKIPVVVSSSDKSISTNVALGHQSQGGNEIKVFTKSLTRIKTMASALEESQLIGRQKEKTDIINLISNRSNQEHAVISVWGMGGIGKTTLIKDVYESQKLVGVFEKHACVTVMRPFIRKEFLKSLIMQLNLARLLEGKKCLIVLDDLSSIAEWDNIFGSFPKLDSSCRIIVTTREESIAKHCSEKQENIYKLKVLDCKDAQDLFTRKVFKEAKDLDKHPELIKEAKMILKKCNGLPLAIVTIGGFLAKQPKVAVEWRKLNEHISAELEMNPELGAIKTILGKSYDGLPYHLKSCFLYTSIFPEDHKVSRRRLIRRWSAEGYSREIRDKSPEEVADNYFMELIERSMILPSQLSVNSRKGIDSCQVHDLMREISISKSTEENLVFRMEEGCSSNTQGTVRHLVISTNWEADKSEFENKVDLSRIRSLTVFGKWRSFFISDKMRFLRVLDLEGTSGLVSHHLEHIGRLLHLRYLSLRGCDSIFHLSDSLGNLKQLETLDISETAILKLPKTITKLKKLQYLRAGAVGKDDDSLTFEELPKVVNNRPCFCMGWLLGFCMVCCAPQLVKEVMGVDGDMNRCDVCTQCCCWWFPLLMAREGPTWMPRGIGKLKSLRTLGLVNLAWDKAILRDLKGLTQLRKLAVTGINKENSQEFCSVVANLSCLESLLVQAWGMPGLHGCLEGLASAPKTLQSLKIYGNLVKLPGWVQGLPNLVKLVLRSSRILEHEPALQVLGKLPNLVSLRLWAKSFQVDDLRFTFHPEAFPSLIVLELNDIDGLKSVEFEEGAMLQLERLDFRGKLEETNTGMFSGLPLLRSLKEFMLDSKTYEHAFMEDLQGQLGANPNGPALKRW >KQL02060 pep chromosome:Setaria_italica_v2.0:VI:29578360:29578767:1 gene:SETIT_015474mg transcript:KQL02060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNFLRPGAPGVQPVGDRCTAKSAIFIGVVNGLVSPPYLQRCLCAGRCDDDSDVEERYYLLANFVVVVLGVALLVVDMAALSLTVVLSSPRWPAAVRWMVWITKVLTCGTLQLGVNVLYFCIRMLCARLMLAFA >KQL00182 pep chromosome:Setaria_italica_v2.0:VI:371743:374452:1 gene:SETIT_014887mg transcript:KQL00182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCPRGWRSFPLCFFLVICLMVQLGACNVVLMANNTTLSFDDVEATFTPAVKNSGANGVLYAAEPLDACSPLTTKAVKGSASPFALVIRGGCQFDDKVRNAQNAGFKAAIVYDNEDNGVLVSMAGSSSGVHIYAVFISKASGEVLKKYSGQTEAELWIIPTYENSAWSIMAISFISLLAMSAVLATCFFVRRHQIRRDRARIPQAREFHGMSSQLVKAMPSLIFTKVQEDNCTSSTCAICLEDYTVGEKLRVLPCRHKFHAACVDLWLTSWRTFCPVCKRDANAGTSNPPVSESTPLLSSAIRLPAESTALASFRSTVAASPPRPISRHPSSQSISRNYSISSSGIPRTPNPHRSCANSPPIYRSGSNVDLANMSSPWSRTSHLASAHSLYGGHLSPPINIRYTSPHVSCSGYGSPSRYIGSSHFSHGSPRYYPGSSGQQHPYLRHCTLSGPSLFTMVPPSPQQTQLQHGGDSETSLSAAASTQSFCQLYLQHCPDSDTSSQSLPGC >KQL00848 pep chromosome:Setaria_italica_v2.0:VI:5650365:5651338:1 gene:SETIT_014319mg transcript:KQL00848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFFPGIYGPKGVTVPEPIQSVCTRWGSDPFCSGSYSHIRVGSSGADYDILAESVNDRLFFAGEATNRAYPATMHGALLSGLREASKIHRATESILNSDQKKYSIPKSMRPPNGALEDIFSEPDLAFGRFSFVFSSVTPDDPEALGLARVSLGMLMPPKDHEPKEDQKDQDPAADKIVIETFHLYATVSREQADRLRNSGSDDKSGLGLLCEDLSAKLMGYDSTCDTCSDMISSILSAKKARKRLQRPKNFKISQ >KQL02811 pep chromosome:Setaria_italica_v2.0:VI:34735473:34737124:-1 gene:SETIT_013545mg transcript:KQL02811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQCSEPTQCHHQLLLPPTKACPALGDRPRPARRGDGGGGGAAATEAASILRLAAPMVGAGLLMYMRSLVSMLFLGRLGRLPLAGGSLALGFANITGYSVLSGLAAGMDPVCGQAFGAGRTSVLAAALRRTVALLLAASVPIALLWLAMRRVLVAAGQDPDIAAAAYDFILCSLPDLAVQSFLHPLRVYLRAQSVTLPLTYAAAAALALHVPINCLLVHSLRLGIRGVALGAVCANLNLLLFLAAYVYLSGLMHGDGDGKATTPAAEDGAVEWGCLLRLSVHSCMSVCLEWWWYEIMVLLCGFLADPKAAVAAMGILIQTTSLLYIFPHSLSCAMSTRVGHELGAGRPERARLAARVGLCGGAALGIVACAFAASVRGVWARMFTADAAVLRLASVALPILGAAELGNCPQTAGCGVLRGSARPGEAARINVSAFYGVGMPAALALAFWPARLDFPGMWVGMLAAQLVCAALMLHAVQRTDWAEQAARARDLTTGGGGVTVVVADVKGGHADAAKVKADNGMLVVTVLT >KQL00923 pep chromosome:Setaria_italica_v2.0:VI:6542963:6545477:-1 gene:SETIT_013872mg transcript:KQL00923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVFGALFGSHRRAGRAPAVRHRGLATQPSSAYDGGRRRAMLSKKYSYIPDTYTSLDQVAAALRQQGLESSNLILGVDFTKSNEWTGKQSFGGQSLHRLGDTPNPYEQAISIIGKTLAPFDEDNLIPCFGFGDATTHDYNVFSFHHDNSPCHGFEEVLACYRKIVPHLRLSGPTSFAPIVEAAVDIVERSGGQYHVLVIVADGQVTRSVDTGDSDLSPQEKRTVDSIVMASSYPLSIVLVGVGDGPWEDMQKFDDKLPTRDFDNFQFVNFTSIMARSTTAQQKESAFALAALMEVPIQYKATVELGILGRTTGKAKRVQPAPPPLPQRQSSLRRGSSNVSSTSAPSPRDDQVCPICLTNAKDLAFGCGHMCCRECGESLTRCPICRQPIRSKLRLYSG >KQL01563 pep chromosome:Setaria_italica_v2.0:VI:21771924:21778214:1 gene:SETIT_014151mg transcript:KQL01563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATATTTAMEGIEATAAATTTEAAAGQSAAIPEKYMHKNRLQEFAVRTQKKLPIYNVEREGEYHHPKFRCTVEVGGQKFSSTGSFSRKKEAEQDAARVAYEILAPIEEGDVNKEVFGLIDQDVVFCKSILYEFAVKTKTARPSYSEDCLKEPFTMFVGSVVFDGNTYTGESASNKKDAQQNAARAVIKSILATGGPDHVAPASQDESSSLGVQEHSIVPAVGTSANPSAKAVSGSKKRKGRVGGADVNGTMVAKEH >KQL01562 pep chromosome:Setaria_italica_v2.0:VI:21771924:21778214:1 gene:SETIT_014151mg transcript:KQL01562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATATTTAMEGIEATAAATTTEAAAGQSAAIPEKYMHKNRLQEFAVRTQKKLPIYNVEREGEYHHPKFRCTVEVGGQKFSSTGSFSRKKEAEQDAARVAYEILAPIEEGDVNKEVFGLIDQDVVFCKSILYEFAVKTKTARPSYSEDCLKEPFTMFVGSVVFDGNTYTGESASNKKDAQQNAARAVIKSILATGNSCMSGIIRSKKHLITAIKSSESTPTAFTPIKFTRAVTYAAYGGPDHVAPASQDESSSLGVQEHSIVPAVGTSANPSAKAVSGSKKRKGRVGGADVNGTMVAKEH >KQL01772 pep chromosome:Setaria_italica_v2.0:VI:25831315:25833787:1 gene:SETIT_013335mg transcript:KQL01772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPHERGQPPSRRRMLALPAVCPCEAIAPAPLLASLLSLAADAAAGSRLGALPALRGAAREAVRIAGLLLAFLEAVRDAASDDGAPLPEAAVLGLSELHVALQKLRLLLADCGRKGARLWVLMNAETAASELRVVMGSVATAVEVLPEGVAAASDEARELAGMVSAHAWRAAARLRPDLEDGRAARGVRSVLARFAGGATPDAEDARHVLARVGAATWSGCAEEAAFLEAEMLERLETGGEDDNDLVLIAGLLAFLLYCRVVLFDRIDDSDNKAAAVARPAARCAAWINPEALQCPITLELMTDPVTVATGQTYDRASIKKWIKSGCRTCPVTGERLRSAELVPNAAARGIIEQLLLSRGVVPPHEPSGKHRCAVDRTASPFGAAAAGGARLAAAFLVARLSRGAPEQQRKASYEARKLSKRNVFYRACLVEAGAVPWLLHLLSSADATVQDNAVAGLLNLSKHPAGRAALVEAGGVGLIVDAVNVAGRVEARQNAAAVLFYLSSNPEYCEEISRIPEAIPTLVGLARDGAYRGRKNALVSLYGLLQCADAHGRAVSAGAVAALAGLLLGSAAVGGGGSEGDDLAVDAVALLARLAEQPAGARAVAASSELVTRLVDFLGESASRSAREHCASLLAALGRHGGDRVLALLGKLPALMPALYALIADGTPQAVRKARWLVNEIHQHYEQRHPPAAPPATGDHRIIRV >KQL01383 pep chromosome:Setaria_italica_v2.0:VI:18312623:18314128:-1 gene:SETIT_015477mg transcript:KQL01383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARLALIVVALLASASAGAGNVVNVKNYGAKGNGVNDDTKPLMTAWKAACATAGAVTMVVPAGTYYLGPVQFHGPCKASTLTFQLQAITTSLCLGTLKAATDLKRFGNDWIEFGWVNGLTVAGGVIDGQGAASWPFNKCPVRKDCKVLPTSVLFVNNQNTVVRDLTSVNPKFFHIALLSVKNIKMSGLKITAPSNSPNTDGIHIERSAGVSITDTHIATGDDCISVGQGNDNVEVARVQCGPGHGMSVGSLGRYAGEGDVTRVHIRDMTFTGTMNGVRIKTWENSPTKSNAAHMVFENLVMNDVQNPIIIDQKYCPYYNCEHKYVSGVTIKDIQFKNIKGTVTTPVAVMLRCGVPCQGLVLQDVHLKYKGQGTASAKCENAKAKYVGVQLPKPCP >KQL00937 pep chromosome:Setaria_italica_v2.0:VI:6607586:6609964:1 gene:SETIT_014107mg transcript:KQL00937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIKRTKAEKKQAYDRKLCSLLDEYTKVLIALADNVGSKQLQDIRRGLRGDSVVLMGKNTLIRRCIKAYADKTGNHTFDPLMDLLVGNVGLIFTKGDLKEVREEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSESALLAKLGIRPFSYGLQIVNVYEDGSVFSPEVLDLTEDDLVEKFATGVSMVASLSLALSYPTLAAAPHMFINGYKNVLAVAVETDYSYPHADKIKEYLKDPSKFAVAAPVAAVGSGAAAAPKEEEKAPEPAEESDEEMGFSLFDD >KQL00685 pep chromosome:Setaria_italica_v2.0:VI:3971051:3973464:-1 gene:SETIT_015588mg transcript:KQL00685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGLARFWNEWGIQVLVLFSFTLQVMLLAFAETRRRKSSAPLRLLLWLMYQLADSTAIYTLGHLSVASSKAQDQHLVAFWAPFLLVHLGGPGTITAYALEDNRLWLRHLLTLSVQILGAAFVIYKYIVRRRTLLLLAAILVFVVGVLRYGERTWALWCGNTSSIRSTLKHPKGETAYNRSHWKKLRWPNPRQDDQDKSFGDEELLLGAHSLFHVCKIRFVDATERMSEFDQTCTRWYGGKDLSGLLEMELSLMYDILYTKAAVVHTWYGYTILVVSPLATSAALLLFHYSSDKHGKSKVNVGITYTLLSGTLALETISVARAIGSTWACALMYCCGWERLLGAVTYLRRRIGAASKRRWSGSMGQYNLLHLCTRDRTELGGRLAAKLGLRSWWNKVHYSGTIAISTTDLKDRMLPVFSYVHPKARGIHALNGMGIFKNSAQYIHSTLSDFAFDDSILFWHIATDVYLSESKGYNGPAFFHGRGIASWLLATDSGSTLEVIFRVWLEMLCYAAHHCSRDSHARQLNNGGEFLTVVWLLSHWQNRA >KQL01125 pep chromosome:Setaria_italica_v2.0:VI:8901079:8901659:1 gene:SETIT_014690mg transcript:KQL01125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRLPSQHQQPIQGYHDAYREYAATADATTMAPRASSTGLLVLCFASLLLLVPSFADESSAAHDAGRSMTSGGVMDDHSHPIYSPPDYGAVNKIMARGVLVIFCCFFSFLF >KQL02483 pep chromosome:Setaria_italica_v2.0:VI:32824574:32828250:-1 gene:SETIT_013289mg transcript:KQL02483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQDGGADAWGGGDDGSSLFEGMVLFAPEPAAAAEEAAPVPDPEPPAPLPDADAGAAASSSSPPPLDEDLFSDLTLLAPQEPLPQGEDRGHATPAPAPAPSPPAPAAALSRQPSSSSLRKKKRAVRIGYGRSPQPAPPSPPATVRASTAPTVSARSIAFLDASPHPAAPPTPDQYPDRQADVYANGDEVDAEVVVVPDANPPRPDEQAKEEDDQKEDEVAGVAAVGIEERLALLRSQISSKLDSIQQRAAAVVARRRQLAGRRRKVAEEATSVASRHKDLERELEEACEAEDFERAERISDSLAALEKEKDRLLTALRNAELDYDSVDSELQGVLESRIAVEEEAAALLKQFAKDATDHADSESKQAEEISLKEIEEWQTSMELLETKKLEMEVETQLVLEARSGLEGSIEHLVEEDKIEKDMLSKNGEILAEELAGLLELVRLKEAEIAENNARIHEVQERISGVVSRFHGSQSDIDLKLNSLKETQSKVDLETEALVLKKNEIDKFISLTEQKDSELREIIGACSSEAKTCQQSVEIRRKLASSILKSREDRIGLLKMEEEILQDIQMLRQKITDARTSLQEISSRRTSIQQEMDSFKQKLSFIDKRGPELEAEKKVAAAARNFKEAGRIAAEAKALNSEKDELHTMLEKAATDLEIIEKDIVATTDKIQECEGLILQKEKESAMTSYKRLRLDSAAARAELTAATETDDNEEVEILHKEAEAAESKALELKTCYDLQLEDDEFMFQPVVPIAFITNSTGQHLVEIASSFGLSPQK >KQL02041 pep chromosome:Setaria_italica_v2.0:VI:29374825:29375223:1 gene:SETIT_015324mg transcript:KQL02041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGGLGKLRCMIRRWHSSGRIARAPSPGEDGNIADVDARGASFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQTLVDRTGSGGGAGEAGCTVVGCEVVLFEHLLWMLENADPPPESLDELVEYYAC >KQL02488 pep chromosome:Setaria_italica_v2.0:VI:32837191:32840128:1 gene:SETIT_014032mg transcript:KQL02488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTSIPYLASSSSSSFFYSSYSRCTCRGKRDGKLVITASAAGGRCAADLACSQLFEQENEDALSGSRSSWHYRRREFASAILLPFLLPHIDISSAAETYNGSVIRSGVRNVLTKAKAAGVLRLVFHDAGTFDVSEKSGGMNGSIIYEVDRPENTGLSRSIKILGKAKAGIDDIQKVSWADLIAVAGAEAVALCGGPEIPVRLGRLDSSTADPAGKLPEETLDAAALKTLFSTKGFSTQEMVALSGAHTIGGKGFGSPIVFDNSYFKVLLEKKPQTSSSGMEAMVGLRTDWALTEDEECLRWIRIYAEDQARFFDDFRDTYIKLVDSGATWRAA >KQL02487 pep chromosome:Setaria_italica_v2.0:VI:32837191:32840128:1 gene:SETIT_014032mg transcript:KQL02487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTSIPYLASSSSSSFFYSSYSRCTCRGKRDGKLVITASAAGGRCAADLACSQLFEQENEDALSGSRSSWHYRRREFASAILLPFLLPHIDISSAYFLRAETYNGSVIRSGVRNVLTKAKAAGVLRLVFHDAGTFDVSEKSGGMNGSIIYEVDRPENTGLSRSIKILGKAKAGIDDIQKVSWADLIAVAGAEAVALCGGPEIPVRLGRLDSSTADPAGKLPEETLDAAALKTLFSTKGFSTQEMVALSGAHTIGGKGFGSPIVFDNSYFKVLLEKKPQTSSSGMEAMVGLRTDWALTEDEECLRWIRIYAEDQARFFDDFRDTYIKLVDSGATWRAA >KQL02874 pep chromosome:Setaria_italica_v2.0:VI:35057224:35058576:1 gene:SETIT_014546mg transcript:KQL02874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFAEGQRREVKGLDSSASRSGQHGGRAKRGMEPERGDAAELLVWGTTGAGNNDDGAAAACLCPAAVAAAPGSVFPRHALEQEMLRRGDLQLQGGVGDRRRERKMKNRESAARSRARRYAYVNELEKEVSALRAENEELRKLCEELKEAAEAPAKKANQRLQRTSSATF >KQL01016 pep chromosome:Setaria_italica_v2.0:VI:7384702:7387375:-1 gene:SETIT_014164mg transcript:KQL01016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLRLAARSLSRRAPAPAPARGLASSAAALAEDDYWSDWEDEEEEARRARASAPIPGTDPAGGGPRGVQWVVMGRPGPQKHAHAARLAEVLDVPYISMGTLVRQELNPASQLYRKIANSVNEGRLVPEDIIFGLLTKRLEEGYNKGETGFILDGIPRTRMQAEILDEIVDVDLVLNFKCADDCFMKTRSRGDICSHCGQLFDVRNSASTNSSPCVSSYTWHSQVEPASVLGLEDSRLERMRTYAKQTKLLEDYYKQQRKIVELKTSARPGETWQGLVAALHLQHLDAPPTPHKLTM >KQL03034 pep chromosome:Setaria_italica_v2.0:VI:35880442:35888952:-1 gene:SETIT_013125mg transcript:KQL03034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAANWRPTQGADPAAVAAAGGVDPNAAAPAGGDWRTQLQPEARSRIVNKIMETLKKHLPVSVPEGLSELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMESQTKTQQNPGNAQVIPNQNPPGPAPGLPPQGSNPAQSSAIPLMSQQQTRQPNASASVQGSLPSIGQSLPGVSQTSTLQNMSGMPQNTMNSGLAQGAPQDMYAAQRQMAGRQQQQQQQQQQAQNQLIYQQQKILMNQKLQQNSLMQPHIQQQQSLLQSTQMQSSQQPMMQMSPGLQPAQSIISQTQPMAMQSATQSGIQQNPLNSVQSVQSLLQQPTQSVMRQQQHPQSMHQQPSLQQTQQIQQPNIPLQQQQPQLMGQQSNLQQNQLMSQQNGAGLPVQSNNLLNMQQTQQMLNQQSMPLHQSQQLAPQGNMSSLHQQQQQQQQNQQQQQQQQQQLLGTTPNVANSQRMHMLQPAKAMQQPQQQQHAQQPSMGMMQPQSQQNQLQQSQQHMMSQFQSQSNQLQQQLGMQQRLQTSAGMLLQQNNIDQKQYIQAQRGLQEVSSSTSVDSTAQTGHTGAGDLQEELYQMIKSLKDQYFAELNDLYNKISMKIQHVDNHMPAQKSSDQYEKMKNFKALLERTLHFLQVNKSSIQPGFREKIPIYERQIVNILNSQRRKPVPAPGQQQFQQPGGQASGSNISQQHQNSQGLQQHDSHTNQMPQASLPSMSTGVQSSGAPGIQHVPAPQATNFGVSTTQQNVTNAPQAGSNLEGAQGNNFNPVQHGSMGAALQQGSTGPMQGAMNAQQQSSSNLLSNNAMSTMQPNTNSMQANASSLQQLKQQHQEHQIMQSQQMKRQQMLHQQIHQKQLHQSQLPIQQQLQKQQQQGQMQVPQLHSGNDMNELKVRQGAAMKSGMYQQLGQRNYYQQMKQGSAFPISSPQNLQASSPQISHHSPQVDQHNLLQSQIKTGTPLHSANSPFVPSPSPPVAPSPIPVDSDKPLSNLSSLTSTGQAGHQQTSLAHQSQSIAVNTPGISASPLLAEFTSADGSQANVPTQVPTKSNAAERPLDRLLKALRTTQRQSLTAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGSGTSKKMKRDTSAMPLNVSSPGSVNDSLKQSYSMDTPELQSTATSRVKWQKAEVNHALMEEIQEINQQLIDTELHVSEDDAESFAATSEGAEGMVIRCTFTAVAVSPSLKSMFASAQMSPILPLRLLVPASYPKCSPVLLDKFPDEQSRNSDDLSTKAKSKFGILLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWESCVGA >KQL03033 pep chromosome:Setaria_italica_v2.0:VI:35880129:35889143:-1 gene:SETIT_013125mg transcript:KQL03033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAANWRPTQGADPAAVAAAGGVDPNAAAPAGGDWRTQLQPEARSRIVNKIMETLKKHLPVSVPEGLSELQKIAVRFEEKIYTAATNQSDYLRKISLKMLSMESQTKTQQNPGNAQVIPNQNPPGPAPGLPPQGSNPAQSSAIPLMSQQQTRQPNASASVQGSLPSIGQSLPGVSQTSTLQNMSGMPQNTMNSGLAQGAPQDMYAAQRQMAGRQQQQQQQQQQAQNQLIYQQQKILMNQKLQQNSLMQPHIQQQQSLLQSTQMQSSQQPMMQMSPGLQPAQSIISQTQPMAMQSATQSGIQQNPLNSVQSVQSLLQQPTQSVMRQQQHPQSMHQQPSLQQTQQIQQPNIPLQQQQPQLMGQQSNLQQNQLMSQQNGAGLPVQSNNLLNMQQTQQMLNQQSMPLHQSQQLAPQGNMSSLHQQQQQQQQNQQQQQQQQQQLLGTTPNVANSQRMHMLQPAKAMQQPQQQQHAQQPSMGMMQPQSQQNQLQQSQQHMMSQFQSQSNQLQQQLGMQQRLQTSAGMLLQQNNIDQKQYIQAQRGLQEVSSSTSVDSTAQTGHTGAGDLQEELYQMIKSLKDQYFAELNDLYNKISMKIQHVDNHMPAQKSSDQYEKMKNFKALLERTLHFLQVNKSSIQPGFREKIPIYERQIVNILNSQRRKPVPAPGQQQFQQPGGQASGSNISQQHQNSQGLQQHDSHTNQMPQASLPSMSTGVQSSGAPGIQHVPAPQATNFGVSTTQQNVTNAPQAGSNLEGAQGNNFNPVQHGSMGAALQQGSTGPMQGAMNAQQQSSSNLLSNNAMSTMQPNTNSMQANASSLQQLKQQHQEHQIMQSQQMKRQQMLHQQIHQKQLHQSQLPIQQQLQKQQQQGQMQVPQLHSGNDMNELKVRQGAAMKSGMYQQLGQRNYYQQMKQGSAFPISSPQNLQASSPQISHHSPQVDQHNLLQSQIKTGTPLHSANSPFVPSPSPPVAPSPIPVDSDKPLSNLSSLTSTGQAGHQQTSLAHQSQSIAVNTPGISASPLLAEFTSADGSQANVPTQVPTKSNAAERPLDRLLKALRTTQRQSLTAAVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFITHDGSGTSKKMKRDTSAMPLNVSSPGSVNDSLKQSYSMDTPELQSTATSRVKWQKAEVNHALMEEIQEINQQLIDTELHVSEDDAESFAATSEGAEGMVIRCTFTAVAVSPSLKSMFASAQMSPILPLRLLVPASYPKCSPVLLDKFPDEQRNSDDLSTKAKSKFGILLRGLAEPMSLREIARTWDACARKVIAEYAQQTGGGSFSSSYGCWESCVGA >KQL02084 pep chromosome:Setaria_italica_v2.0:VI:29791381:29792385:1 gene:SETIT_014277mg transcript:KQL02084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWVLHARMQIIQDLHDDTDDEGGLACLRRYGTDAELGLLLSDLRLIDEGDLAHDVRPQGVAEERVWGDLQAWVGAAVRVDRDGGRVADVDLEVQQALREHEEVALVQRLGVGRVGLGADEADGDGSLDDEDELGAFRVGVEGHDSADADVDARGADAEPVQARDLLDVGRGQASVEGGEDRAGSGEVVGVEVVGVDESLGLARVAGRRVWEEQVGDAEVLGQSEGGEGKKQQGEQGHEHEVEHGGLGRHGYRPGYLTARVGVSR >KQL01465 pep chromosome:Setaria_italica_v2.0:VI:20169203:20170921:-1 gene:SETIT_015897mg transcript:KQL01465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWRGGYACEEAHGEHVTAGHICGCWPKPSSQPWSSGCQAAPACAPSGCVPRGGDTGGRTAAETRQGSERPNL >KQL00194 pep chromosome:Setaria_italica_v2.0:VI:454002:454688:1 gene:SETIT_014906mg transcript:KQL00194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAREATARHLCRTQYLKPDVWRG >KQL02224 pep chromosome:Setaria_italica_v2.0:VI:31038049:31041213:-1 gene:SETIT_013759mg transcript:KQL02224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVPRKRKGAPPACSAARSLQDLASRKRACRGSDPHQPPRAGATAGPPAVVMTAPAASGASASAGVLPGRGLKRKVGCIDSATRIGRRKRLESEYDLGEEIGHGKFGSVRVCRAKAGGEEEFACKALPKNAGETAHREVEIMQHLSGHPGIVTLRAVFEDADTFYLVMELCRGGRLLDEVAREGRLSERRAAYVIRELMTVLEYCHEMGVVHRDIKPDNVLLTKAGRLKLADFGLAVRVADGQKLTGVAGSPAYMAPEILLGDYSQKVDIWAAGVVLHVLLMGTLPFQGNCVEAIFKAIKTVELDFHSDQWGSVSLLARDLISKMLDRDASSRFAAADVLRHPWVLFYNECPLKAEFSTLWSTNKAAAAPMVDWERVRSCCESSSSESSSDNSEEQDECGIVDALTTAITQVRISEPKRSRQCSPATAVFPPSRDALRT >KQL02862 pep chromosome:Setaria_italica_v2.0:VI:35017089:35017573:-1 gene:SETIT_015842mg transcript:KQL02862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFICHIPCGRIKIVQAYQIGYKEDALAAALCIPILGNHVIFMCM >KQL00569 pep chromosome:Setaria_italica_v2.0:VI:3078860:3079237:1 gene:SETIT_015742mg transcript:KQL00569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPGTTAMFAGLAILLFSVSAGFNSGAGGFGLLLCFAGVLAGANIVAVGIQMSDADLAPVVPAVLAEVRALAEFLRRNVAVVGLVMASCAVTAVSGEAGQVLCFGMFALLLLGLSLISVGILGE >KQL03045 pep chromosome:Setaria_italica_v2.0:VI:35938476:35941201:1 gene:SETIT_015293mg transcript:KQL03045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEKSLPFLLPLQLPAPHHRPPVAAMASASDTDTPNPIVLGCGAASVDYLATVASFPNPDDKIRSLSLKVQGGGNTGNALTAAARLGLRPRIISKPTTQGRNVLKELQDDGIDTSFMLVAEDGKTPFTYIIVDNQTKTRTCIHTPGYPPMSPEELTKENLSAALDGADIVYFDVRLHDTALVVAQEASQRKIPILIAAEKKREGLAELLNFASYVVCSAKFPQAWTGASSIPVALVSMLSRLPLIKFVIVTLGEKGCLMLERSMIDAFEAEEIDVEALLDSLEKKVEQSSHTPNCITSKANLRISADGVGSISGRLLLGAAEVIPPDELIDTTGAGDAFIGAVLYGLCTSMPPERMLPFAAQVAGCGCRGLGARSSLPCRTDPRLADY >KQL02244 pep chromosome:Setaria_italica_v2.0:VI:31166308:31167360:-1 gene:SETIT_015916mg transcript:KQL02244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAAASGSLRGGSVRQLAARGRCRRGSPAAPAAHVWLRPIPAAPLLAVPPLRSTSAALLLHLRRPIRRQPCSSTPN >KQL01920 pep chromosome:Setaria_italica_v2.0:VI:28076826:28078360:1 gene:SETIT_015100mg transcript:KQL01920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGDSLKQTVVLCPGIGGAGHVGPMAQLAELFLEHGYDATVVLIEPPISSSDSDFAGSRPSVSFLEHQLLGRIAAAHPSVSFHVLPPLPPPDFAGSDEHPFLLVLRLLRRYNERLEAFLRSVPRARLHSVVVDQFYVQAIDVAAALGGVPVYTFVSSGASALAVFIQIPALLAGRQTGLKELGDTPLELAGDLLEHPEDDMCRAMPDILKRGMETHGVLVNTFESLESRAVQALRDPLSISLPGGRVLPPIYCVGPLVGNGKSARDRGEERAECLAWLDAQPERSIVFLCFGSMGSLPEEQLREIVAGLEASGRRSLWVVRTPPGRADLKKILEQRGEPDLDALLPEGFLERTRGRGLVVRSWAPQAAVLRHPATAAFVTHCGWNSALEGVAAGVPMLCWPLYAEQLMNKVFMAGDMSVGVGMEGYAAGLVGAGEVEAKVRLVMDAEQGRELRARVAARREEAEVGLMLGGSSQRAFAQFLSDAETIRESRALGQVK >KQL02722 pep chromosome:Setaria_italica_v2.0:VI:34165260:34166486:-1 gene:SETIT_015642mg transcript:KQL02722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRKNRTPQGQIAQVVVEFLEVAVSCIVFLKGFYPPRAFERRRYMNVVVQKAVHPELASYIHSTTTGLLPFIQKGLVERVVVIFYDKEHVPIEKFVFKLAVNQSYGSKLEEADLEFALRAFLIKLTVAEPVTKSLPSDGSWEITAYFRSLPADGDREAQLWIPTDTKLWMQPPQITPIKSVSCDPLKMQLYLEHPSPTEPKNPTG >KQL01194 pep chromosome:Setaria_italica_v2.0:VI:10789809:10790337:1 gene:SETIT_015850mg transcript:KQL01194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRHDKQFPINTLLAVSNIFLLMAGSSHWETAAADLHERCDRIQ >KQL02091 pep chromosome:Setaria_italica_v2.0:VI:29856474:29860720:-1 gene:SETIT_015206mg transcript:KQL02091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASPPRRRPRPKAGRRHQASTPSLSATPCAPPIRTLPGDHPWPLLQLACPPEVRRLTPGRHQQHPRAVRMPRPRVPPRFRPQKAYGHVEEWLRLLAGKERGPYYIISHFTLRFREYLRVEIYMLYPTVFSCCELTHLTLHNCYLLAAPSSFTRFPKLTWLSLTTVGFHEHGERDLEVMIHMSPLLETLELRDVWILGDEFDEWVIQVPNFRNLTFVADEDYELQIGDLPSLEEANISIETYYYVDRDFTIFSRVAEVNVLERLSCSFRILKSLILHTNFCNVSRILSIFSLLRSAPNLQQLKTKIMDCQTQNDEIDLDFFSALCTNSLFTKLKIVTISNAILWSNEMHFIEFILSKARLLCAPFVYQDDDGDPSKPSEEAVIQLTKFRRAPPKVRVLEPSM >KQL02014 pep chromosome:Setaria_italica_v2.0:VI:29107248:29112119:-1 gene:SETIT_013423mg transcript:KQL02014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRALLPTPHASASPAGARARLPAAASLPFAAGRSAARLRVRCAVVSSPAPVAPPPSESPARRISRAGSDGALRPKPAVLVAEKLSEAGLAVLREFADVECAYGMSPADLLAKVAQFDALIVRSGTKVTREVLEAGRGRLRVVGRAGVGIDNVDLQAATEAGCLVVNAPTANTVAAAEHGIALLASMARNVSQADAALKAGKWQRSKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEAIARADFISLHMPLIPTTNKIFNDESFAKMKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTVEPPPKDSKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVVGALRGELAATAVNAPMVPAEVMSELAPYVSLAEKLGRLAVQLVAGESGIKGVKVVYTTARGPDDLDTRLLRAMVTKGLVEPVSSTFVNLVNADYTAKQRGLRITEERVSHDSPTAEAPLESIQVRLSQVQSKFASAISDGGDIVLEGRVKYGVPHLTLVGPYEVDVSLEGNLILCRQIDQPGMIGKVGNILGQMNVNISFMSVGRTFRGKQAIMAIGVDEEPKKETLEKIGGIPAIEEFVFLEL >KQL00131 pep chromosome:Setaria_italica_v2.0:VI:128289:136500:-1 gene:SETIT_013163mg transcript:KQL00131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGGRRGAKQGRKWTREPQLGDLVLAKIKGYPAWPAKISRPEEWGQTPTPRKFFVYFYGTREIAFVPLADLQEFTEKAKDDLLDRAPSIKVQKKYVRAYNEAVEQICKAYNELPKSSEAASGALPDQSEKTTEHLVRSPDDGENLGLGRMEADSPTDDSIASGQGSGAEDVKDGGHEIGGHSLSVSQKKTSLLQDPEHPKTKKPVASKSALDMYREQEHSPTSVRADRDEEVKNEKESCPPEGFVLDPNLEVVCALEVPKKSKANKLLRNAERKEKRADIGSSTGRTAPEAASDVLNMGADKESREFKKSKIMTKQSLATGSEKRDHNEIVHGKPDKQLSRKSSAGFSSNKKSLPGSGQRTTDCITDTRPAKKPRLMDRGGETDKTVAQSDTKLSIDCEKHNAMKYERSTTVETGKNTVPKTGISDGRARRSGSVLSPVSRLHSEVVEPTSGSATQSTVADSAKKGSIMKEDASRVDRQSAKPKRRACRFDDEEDEGQRTPLHRTSAKSISTHIVPADKAGTRGKISSHAGNASIKKSGPAREEKSKSVGMSPVRQELVCSSPSQDKMHARHQAIGRRSITGSVDTSAGMGNKTNLVDRKSSGQVKMPTSSEVKKIHSSSKQLHLTSGNSHSRNYPASEKNSLPKSEDTKAKSKPGAQAVEHKVSTTVTVSAERGAKRDHLKEERSISVDKAASSEPNSDSVKSMKHLIAAAQARRNLMASAHGKFDGSLTDNAGMTSTQYGLPGLSPSPVFRIPSPSRIAFPESPGERIVLKSPTELDHEHGKSPKSRQVSGSPSIGTDAAIARDALEGMIETLSRTKETALPRLLGAAAPPGAGARENRRQCLKVLRLWLERKIMPEDILRKYMGDIEPVESDGIQEAEDTVAPASVEEQIRPENVTADAAMEGIGNTANFVPGGPVNGQAAVNFVPSMPAEYGNNNVFMAPQTSSGNYQFRPTGVPFQQGNFSAFPSTQTPPVHSHPRIAHMNPMGQQAVPPPCNPYVVQSFPNSQSHYPSEERWRMASGNFSPDDQHNNWLAGGRALSCSEGSFVQDGHVVPQMLPARSDIHTLNCWRPS >KQL00832 pep chromosome:Setaria_italica_v2.0:VI:5511630:5512532:1 gene:SETIT_014670mg transcript:KQL00832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSYLKLKGLLVDVGYGVICKQLSSSQVISAFSSVTIRTRTLQSLEILLIRLLLFYFESNVHNNCAAKLNLLYLFPFFISDEEVCGTQLRHMIERWFLTIASQFIFLSSSRLLLEVEIY >KQL00215 pep chromosome:Setaria_italica_v2.0:VI:585214:586076:1 gene:SETIT_0138541mg transcript:KQL00215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REALVSKAIELNEELHKVLVRHDALLSVQPTTAVASNPEEEEEEDAESLYRRLRKGKALSQDYTDDSVPSFRSIPDDKMRRPLTIQPPLPDKKLGALNIRSPDREEPRPELAPLIPPPPAKHAERERFFREKSIDGLASLPGHLRGLSQHSRDGSSSCSGSTDYGD >KQL01419 pep chromosome:Setaria_italica_v2.0:VI:19192958:19204864:1 gene:SETIT_015193mg transcript:KQL01419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDDDDAEPRLRAVQGYYFVDDDDALVSFDVLPFQFDAAEEVPSFKKDVYLRGLADGGLQNVYKQVVAWKLGLDGDSPEITVLCTEGSWIALLKPRPSYEETVRSVLVTVEMLHFVRRSPTASEENIWGYLRRVFDKYEVKPSDDDIRDHVRLIKLFSERDPAIAKSQTLQLFIKEESMEKIDEAGSNDLDNKQPFVVDDKEREEVVEDNNKHEPSNDDDEEDEDDGDLFDSVCAICDNGGELLCCEGSCMRSFHAKLGDGEDSYCATLGYTKAEVKAIKNFLCKNCEYKQHQCFVCGELEPSDGTNAKVFLCNNATCGHFYHPKCVAQLLHPNNKNEALELEKSIVAGISFTCPVHWCFQCKGLEDRTQESLQFAVCRRCPRSYHRKCLPMEISFEDNDAEGIITRAWELSKRILIYCLDHDMDIDIGTPSRDHLKFPRISKPLDSVKKKVKELAEKKKRTSDYLYVNEPMQKSSRRVGMKGSFDQSGFEPEKKKAKNLKGMIQPEEPVVKRAALVSSLKHLKEEEQELESLSSLATGKTPQSSFPVIDGDTEKRVLALVEKEVCSLTLDDISRRCAIPSTYKTSGRQIDKIIARGKLERSIQAVQAALQKLEHGGTIDDAKAVCEAEVLRQLTRWNNKLRVYLAPFIHGMRYTSFGRHFTKKEKLNEIVDKLHWYVQPGDTIVDFSCGLNDFSQFMKEKLDKVGKKCNFRNYDVIRPKNSFCFEKRDWMTVRPKELPHGSKL >KQL03009 pep chromosome:Setaria_italica_v2.0:VI:35766642:35767120:-1 gene:SETIT_014704mg transcript:KQL03009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRGRAGLAEAHISAWAAGGVEGRAVASSRMARVEREICIYIYTDSHLTCRHVNTAFANCHGHTVHNRHVNALSKTLFTPRTTTSINTACLYRWLFQRKMIRIDG >KQL02885 pep chromosome:Setaria_italica_v2.0:VI:35114565:35115072:-1 gene:SETIT_014597mg transcript:KQL02885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKKVAQLSKKWQGMGAIGRRRVTTVDKEINPSCSSIVAGKGNCIVYSSDGKRFEIPLMYLRTTVFAELLKLSQEEFGFTSDGRITLPCDTAVMEYVMCLLRRDATEDVEKALSSILMPCRHQSRMVQPSSGLNQQFAVCSS >KQL00203 pep chromosome:Setaria_italica_v2.0:VI:501705:504820:1 gene:SETIT_013835mg transcript:KQL00203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSAFARNIQGKKGQANGVRRRTPTAGPNRLVSSLPPDLTHCLPLAAAAAAAAPGMSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRTEKDLDEVLQSHTVYSNVSKGVLAKSKELIKAFGTDDQTKICIEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLIEHFPIKRAPLRVRFTAPKPNFAGLMEKVAEWNATVISKDESGTQPSIVCEIEPSILHSCEERLKDVQGRVEVLSVSAHAEGGLSVEQDDNVEVLQAAPAKQSDAVAQITETMQKQNISTETQGSAQGKQQRRCKECDVLVDDKLYREHCKSGWHKHNYTRHKNGLPPLSQEECMVEMELADSKKDLKDYDF >KQL00202 pep chromosome:Setaria_italica_v2.0:VI:501705:504815:1 gene:SETIT_013835mg transcript:KQL00202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSAFARNIQGKKGQANGVRRRTPTAGPNRLVSSLPPDLTHCLPLAAAAAAAAPGMSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRTEKDLDEVLQSHTVYSNVSKGVLAKSKELIKAFGTDDQTKICIEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLIEHFPIKRAPLRVRFTAPKPNFAGLMEKVAEWNATVISKDESGTQPSIVCEIEPSILHSCEERLKDVQGRVEVLSVSAHAEGGLSVEQDDNVEVLQAAPAKQSDAVAQITETMQKQNISTETQGSAQGKQQRRCKECDVLVDDKLYREHCKSGWHKHNYTRHKNGLPPLSQEECMVEMELADSKKDLKDYDF >KQL00204 pep chromosome:Setaria_italica_v2.0:VI:501705:504815:1 gene:SETIT_013835mg transcript:KQL00204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSAFARNIQGKKGQANGVRRRTPTAGPNRLVSSLPPDLTHCLPLAAAAAAAAPGMSRTLVQPVGQKRLTNVAVVRLRKHGQRFEIACFPNKVLSWRSRTEKDLDEVLQSHTVYSNVSKGVLAKSKELIKAFGTDDQTKICIEILEKGELQVSGKEREAQLSSQFRDIATIVMEKTINPETRRPYTITMIERLMHEIHFAVDPNLTSKEQALKVIKKLIEHFPIKRAPLRVRFTAPKPNFAGLMEKVAEWNATVISKDESGTQPSIVCEIEPSILHSCEERLKDVQGRVEVLSVSAHAEGGLSVEQDDNVEVLQAAPAKQSDAVAQITETMQKQNISTETQGSAQGKQQRRCKECDVLVDDKLYREHCKSGWHKHNYTRHKNGLPPLSQEECMVEMELADSKKDLKDYDF >KQL02449 pep chromosome:Setaria_italica_v2.0:VI:32609428:32609883:1 gene:SETIT_015931mg transcript:KQL02449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATAAGPRRCAFSPPSSPSPTARHVPLVARGHPPRMPSGSG >KQL01800 pep chromosome:Setaria_italica_v2.0:VI:26345333:26346952:1 gene:SETIT_013966mg transcript:KQL01800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCAKEEVSTQDLHQGYMEIWHHGLFHVKSSALLCAVGLGIPSAIHRRGGAATISDIVTDTGVQPSKLSYLRRLMRMLTFCGIFAADQPNNEDEAIYKLTPVSQILVEDRASSSTPYDMSPLLRVIVRPSTAVSTFFSLEAWFRDAGDRTLFEVAHGVHPWTLTRNDPDYNKAVNESMVMDSSVLMDIMLKEVGGTDIFRGLTSLVDVGGGLGVAAMAIARAFPHIKCTVLDLEQVISQAPSSDGTVEFITGDMFEYIPPADAVFLKLIFDCWDDDDSVKILRQCKRAIPARDAGGKVIIVNCVLGYGAQNSVAMETQVLFDVYMMRYGGAQREEHEWKKIFLEAGFSDYKITPIFGFQSIIEVFP >KQL01427 pep chromosome:Setaria_italica_v2.0:VI:19328149:19328572:-1 gene:SETIT_015848mg transcript:KQL01427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSELELRLLVVTEVCPAAVKTYFPLTVSMFNQHATNVCPSVIFFLMHAEHSQDE >KQL00976 pep chromosome:Setaria_italica_v2.0:VI:7076358:7079284:-1 gene:SETIT_015171mg transcript:KQL00976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTQAPETNFCPKGERSAGEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGTVVLLLSWIITLYTMWQMVEMHEMVPGKRFDRYHELGQHAFGKKLGLWIVVPQQLIVEVGGDIVFMVTGGKSLKKFHDVICGNECKDIKLTYFIMIFASCHFVLSQLPNFNSISGISLAAAVMSLSYSTIAWGATVHKGKLPNVDYHVRATTTLEKVFDFFGALGDVAFAYAGHNVVLEIQATIPSTPDNPSKKPMWKGVMVAYIIVAICYFPVSFSGYWAFGNQVDDNILITLNKPKWLIALANMMVVIHVIGSYQIFAMPVFDMMETILVKKLRFPPGLALRLIARTTYVAFTTFVAITIPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFSLSWFTNWVCIVLGVILMILSPIGALRQIILSAKTYQFYS >KQL00894 pep chromosome:Setaria_italica_v2.0:VI:6132477:6134944:1 gene:SETIT_013610mg transcript:KQL00894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSGVRDALAPPPTPSISFRMEALPEEIQLLVLSLLSLKDAARASTVSRNWRKLWTWHPNLCFDGTKSGSADEDSVKIEKAKFIETVNAIIQQHSGIGLNKFSIRCSLQNNSSDHLDRWICFASAAKAKIIDLNLQPKRNDACRTENVYHFPLEALSAQGFPFIQSLFLTNVSIEALSDICSFTKLRRLHLHHVQIIGDLPGLLLNCSILEDLELIACSGVADLNITHQLAKLRHLLISNMCVHKVDFHVAGLTHFGYKGDVIPIVLHGCTKLEKVTLTFQMPLHEQVSNKGLGHAITGIPSISAVKELNIRAYMQEDQPIWSSQVPRMTRPTFMFVNLRHLTCEITIFTNSPNTHTGILQLAHCLDCAPQLETLKLHVSTLLFSFCFSFFMQYHVMGSRCWPGEGILPMRRLDHLKTVYMSGFRCYRPQVELLHGILENGAALEHVTIEPTVTLYVDSIANIGVPEDKICEWAHRASERFGKAITVVKAHRRRWS >KQL00992 pep chromosome:Setaria_italica_v2.0:VI:7247613:7249578:-1 gene:SETIT_014750mg transcript:KQL00992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCRSAAAVRSAALRSRSPVERLFQAARSPLAPPRIRRPVVAAALASLDTLMPLHSAVAAARLRSCIAADSACWSCLSQDFALPR >KQL00993 pep chromosome:Setaria_italica_v2.0:VI:7248010:7249578:-1 gene:SETIT_014750mg transcript:KQL00993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCRSAAAVRSAALRSRSPVERLFQAARSPLAPPRIRRPVVAAALASLDTLMPLHSAVAAARLRSCIAADSACWSCLSQGLTKRI >KQL00872 pep chromosome:Setaria_italica_v2.0:VI:5852281:5853831:-1 gene:SETIT_015803mg transcript:KQL00872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDTSPTGLQLPDGAIDDAAADVFRPLDSDDVRSYLHKAVDFVADYLQSVESLPVLPDVEPGYLRRLLNPTPPASSAPFDVAMKELRAAVVPGTTHWASPNFFAFFPATNSAAAIAGELVASAMNTVGFTWQAAPAATELEDLALDWLAQLLRLPPAFVTCGGGTGGGGVILGTTSEAMLVTLVAARDAALRRTGRGGVSGLPRLAAYATDQTHSTFFKVCRLAGLDPANVRTIPTGTETEYALDVAELYRRMQADVDAGLVPTYVCATVGATSTNAVDPIADTADVARHFGAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLLTCLDCTCLWVRNTRRLTDSLETNPEYLRNDASDSGAVTDVKDMQVGVGHRFRGLKLWMVMRTYGAAKLQQHIRSDVAMAKMFEDSVRADDRFEVVVLRNFALVCFRIRARGAMTEEDADEASRELMARLNRTGNVFLAHTVVGGRFVLRFAVGSTLQEERHVRGAWELIEKTAGEILHGRA >KQL00445 pep chromosome:Setaria_italica_v2.0:VI:2127335:2132720:-1 gene:SETIT_013583mg transcript:KQL00445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARGLFRSNAAASQFSRLVKYVASAGSLQGTHNFAEIPARYYSSEPSLKETEENGFKGHSMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWCTALGGNEPRLIKAATEQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRFRLSDETEEEFSTRLANNLENLILKEGPDTIAAFIAEPVMGAGGVILPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYNIKPDLVSIAKALSSAYLPIGAILVSPEITDVIYSQSNKLGSFAHGFTYSGHPVSCAVAIETLKIYKERNIVEHVNKIAPRFQEGIKAFSGSPIVGEIRGQGLILGTEFVDNKSPNDPFPAEWGVGAIFGAECEKRGMLIRVAGDSIMLSPPLIMTPGEVEEIISKFGHALKATEERISELKSKKI >KQL00444 pep chromosome:Setaria_italica_v2.0:VI:2128020:2132605:-1 gene:SETIT_013583mg transcript:KQL00444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARGLFRSNAAASQFSRLVKYVASAGSLQGTHNFAEIPARYYSSEPSLKETEENGFKGHSMLAPFTAGWQSTDLHPLVIERSEGSYVYDINGKKYIDALAGLWCTALGGNEPRLIKAATEQLNKLPFYHSFWNRTTKPSLDLANEILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARSKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRFRLSDETEEEFSTRLANNLENLILKEGPDTIAAFIAEPVMGAGGVILPPKTYFEKIQAVLKKYDILLIADEVITAFGRLGTMFGCDMYNIKPDLVSIAKALSSAYLPIGAILVSPEITDVIYSQSNKLGSFAHGFTYSGHPVSCAVAIETLKIYKERNIVEHVNKIAPRFQEGIKAFSGSPIVGEIRGQGLILGTEFVDNKSPNDPFPAEWGLYLS >KQL01541 pep chromosome:Setaria_italica_v2.0:VI:21438173:21440220:1 gene:SETIT_013989mg transcript:KQL01541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRTNYMIAPTDTHLGPSVRLEVLVLDGDFCCDDRDAWTADQFNASIVKAREGKRPLIVGSLIVPMNNHGVSVIDDVSFTDNSSWIRCRRFRIGVRIMPGSHFGARIQEAVSESFTVKDHRGELYKKHYPPLLTDNIWRLKNIGKDGPIDKRLESEGIRNVQEFLKLNTIDPEKLRALVGMSDKLWSATLNHAKTCDMGRKCYVFKAAGCDITFNPIGEILTARIGDQTFPLHELLPQQLFHVKHLATQAYQLWDQLEEVTTEMPHAANKSLIQSGRQPSDSQESMISSGSQNAKYLDYTGTATSSAAAAMSTNSSSTSDSAAATSANDDAMFWTPSIPPDDLFGWQNSSGCWDQVD >KQL03005 pep chromosome:Setaria_italica_v2.0:VI:35757135:35760887:1 gene:SETIT_013632mg transcript:KQL03005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGRELDDVAGAVARRGGLIANLLHSLPCASPIHPPSIHSSAALLLLLLLLLMGLSTAYSPAGSRLATPPLGAAATARRRSVQPLRPRRHHLLATVRCSVDAAKQVQDGAATVAAEAPASRHAECFGVFCTTYDLKADDKTKSWKKLVNIAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYEVFEDVDWALLIGAKPRGPGMERAALLDINGQIFADQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKIDGRPVKEAIKDTKWLEEEFTMTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVTPTPEGDSFSSGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVSDVLMDDFLWERIKK >KQL03004 pep chromosome:Setaria_italica_v2.0:VI:35757135:35760887:1 gene:SETIT_013632mg transcript:KQL03004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGRELDDVAGAVARRGGLIANLLHSLPCASPIHPPSIHSSAALLLLLLLLLMGLSTAYSPAGSRLATPPLGAAATARRRSVQPLRPRRHHLLATVRCSVDAAKQVQDGAATVAAEAPASRHAECFGVFCTTYDLKADDKTKSWKKLVNIAVSGAAGMISNHLLFKLASGEVFGQDQPIALKLLGSERSIQALEGVAMELEDSLYPLLREVSIGIDPYEVFEDVDWALLIGAKPRGPGMERAALLDINGQIFADQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKIDGRPVKEAIKDTKWLEEEFTMTVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVTPTPEGDSFSSGVYTTGNPYGIAEDIVFSMPCRSKGDGDYELVSDVLMDDFLWERIKKSEAELLAEKKCVAHLTGEGNAFCDLPEDTMLPGEM >KQL01382 pep chromosome:Setaria_italica_v2.0:VI:18311109:18311605:1 gene:SETIT_015824mg transcript:KQL01382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLFSSTQSSFSFAESTFFCAESVEGARTDARGARPPMEMLAHPAARVQRSSFHAVVFASRCAPHGGGRTRRRCSPALVGGARTW >KQL01280 pep chromosome:Setaria_italica_v2.0:VI:13237447:13237827:-1 gene:SETIT_015298mg transcript:KQL01280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAEEKRKKDEELEKQKQLEEESAKEDADSKLSKVLDRLDTLEGVVKEIVDDKNKVPSADIQTKEGVVKKDEVSPSKASDLKSSASDTQPVTVKSKDSNCAANASANTAQPNSKGNGDKASPADSKS >KQL02878 pep chromosome:Setaria_italica_v2.0:VI:35078181:35081896:-1 gene:SETIT_013638mg transcript:KQL02878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEDVQMNDSEPQPAAPTPAAAAPALSTLHHLKEIASVIEAGSLSKEVRRISRAVRLTVALRRRLAARDVSAFLGFALPASSEAYARLTALVPKEDDTEMDVDAAAPATQISIKHGLPEIEIYCYLLVLIFLIDQKKYDEAKACATSSIARLKNLNRRTVDVLASRLYFYYSYVYELTNSLAEIRGNLLALHRMATLHRDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPTSARGFRIQCNKWAIIVRLLLGEIPERTVFMQKGMKKALTPYFELTNAVRVGDLELFRAVADKFASTFSADRTRNLIVRLRHNVIRTGLRNISISYSRISLADIAKKLRLDSENPVADAESIVAKAIRDGAIDATIDHANGWMVSKETGDVYSTNEPQIAFNSRIAFCLNMHNEAVKAMRFPPNSHKEKESAEKRRERLQQEEELAKHMAEEDDDDF >KQL01012 pep chromosome:Setaria_italica_v2.0:VI:7360429:7361112:-1 gene:SETIT_015593mg transcript:KQL01012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AATIAIASSTSTTAAVPLTPSPPSPHIRMRAARFRSYDDYLRHQLNKTLDPRLRRVWATRDWRRKVDAFARAFSSLQRQGLLRNASRALCVGARLGQEVAALRQVGVADAVGIDLAPAPPLVVRGDFHAQPFDDATFDFEFSNVFDHALYPDRFAAEIERTLRPGGVAVLHVAVHRRGDKYSANDLLDVQGLVGLFRRCDVVRVSKVDAFGLDTEVILRKKRSAAHP >KQL01714 pep chromosome:Setaria_italica_v2.0:VI:25162193:25163995:-1 gene:SETIT_015097mg transcript:KQL01714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQKSKRTSTPTPMEIPLPVPQLVRAPGTQHVPLPSIPSMFGPGTWCLPRPPQSLPHSSSPYWLASGVQQPGMTGSAAQGAWWTPAGFGGSWHSDVSEDSDVQAWGLHAHPPDGFLNMLKSTPQAANNGTASQAIHIESDNNDVDCNRCEKRLTWTKEEDLKLYWNGVADLFNKTIPKNRVRSAKQIKDHFGRIKKRVTWFCGNWKEANSMWGSGESDEDVMKRALQSYEEDHKKDGPFAYRHCWEVLSKEPKWKAYLERLEDVESEKRKFSVDEEVEQQFSLDDVRDERPIGGKKAKEQHKKKKKDQTSIIDIEDELHSFLDAQKAANEGRTKILETQKRVSSEKLESQRLAHLAAKEHKEAVMIETYRSLLMQNTSGMDDNVKAEHVLALRCLRESIFK >KQL01909 pep chromosome:Setaria_italica_v2.0:VI:27836097:27836544:-1 gene:SETIT_015918mg transcript:KQL01909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHLNAVGRVFRSGCRYLFLLNSVVLGGSPGTSVHRSSFLVGL >KQL02076 pep chromosome:Setaria_italica_v2.0:VI:29708291:29712172:-1 gene:SETIT_015547mg transcript:KQL02076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTLPLFAVDICRSLASALKLLNRKKIKDDVDAILVNAEKAAACSFDFRGIVESNMCIPVLYFLPLDHKAIGDEATLLRPGTNTIKKPLDANDVCDLWRGIAWRKCSLEAKADLAGGLINRRRVLPAAVVEALLAGGRGRKRKNSSNPGGSSGTSLSGNQAAMRQQQMNLTEGQERDNLVSQLQECRGMEARKKNNGKAPLFQQPRTMDGRQQQQQQSLFVQSVLRTLDVPPYNPRIYADTAGLSDNAGACASNTDALPPALATPPVNPSPVPAPAPLPVYPAACNVFGATAPSAAVATMAAGGRPPLMLRPFSASHQGPRPLIEQQDMFALGTRGGAGMRRRETGTITLNFQQPPAGDLFTGMASGAVMTFGASAAATENAGAYGNAACSLLPSLNLGTDDHDELSTMVTMHNGSNSNPPVAPPPQHVGVASNEAAMEALYDNNYCYRSLMAPRLVDHRVAPANQVAAMGTLGSDGYIDYSTASAIAPMQADHGVAAGMEEEALNANNFSNYSSASPLAPDHQVLGTASNVNELTISGGAPLGDNASASFTASQNLVAAAPNGDQFAPGALDDDDLSGLAMGSHQGPGTEMDANAGFAAMSPADQYPANTIFSMEELLGPDDEQGGATDGAATDDAAGTSLIGGEGGNGTLDIGAADNLDDDFFLGDLWDFRPQPYDVNNGRK >KQL00810 pep chromosome:Setaria_italica_v2.0:VI:5227951:5228222:-1 gene:SETIT_015924mg transcript:KQL00810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHACIHAITSLYKNPINSHSFSLHSKNQKRKQRLNQSKWKKKREKNPSK >KQL01358 pep chromosome:Setaria_italica_v2.0:VI:17314428:17314920:-1 gene:SETIT_016025mg transcript:KQL01358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLQTWLWDKLKLWWKSMGFAAVICIDDRHNKHVIVTNEIF >KQL00141 pep chromosome:Setaria_italica_v2.0:VI:183163:185436:1 gene:SETIT_014290mg transcript:KQL00141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVYALVARGTVVLAEFAAVSGNAGAVARRILEKLPPDAEARLCFAQDRYIFHVLRGDAGITFLCMANDTFGRRIPFLYLEDIQMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEVSEASTLLIFQTYLTYLSNTFVVHGMAYWHQLHVHHICPLRFLQIHTVMVDNIEKILDRGDRISLLVDKTSTMQDSAFHFRKQSKRLRRALWMKNAKLLAVLTVAIVVLLYLIISAFCGGLSLPSCRS >KQL00140 pep chromosome:Setaria_italica_v2.0:VI:183163:185436:1 gene:SETIT_014290mg transcript:KQL00140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVYALVARGTVVLAEFAAVSGNAGAVARRILEKLPPDAEARLCFAQDRYIFHVLRGDAGITFLCMANDTFGRRIPFLYLEDIQMRFMKNYGRVAHSALAYAMNDEFSRVLHQQMEFFSSNPSADTLNRLRGEVSEIHTVMVDNIEKILDRGDRISLLVDKTSTMQDSAFHFRKQSKRLRRALWMKNAKLLAVLTVAIVVLLYLIISAFCGGLSLPSCRS >KQL00537 pep chromosome:Setaria_italica_v2.0:VI:2852267:2853430:-1 gene:SETIT_014420mg transcript:KQL00537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASSYFLVAAFLALVTSQAIASDPSPLQDFCVADMHSPVKVNGFVCKDPLAVSADDFFKAANLDKPRDTMKSKVGSNVTLINVMQIAGLNTLGISLARIDYAPMGENPPHTHPRATEILTVLEGTLYVGFVTSNPNKLFAKVLNKGDVFVFPKGLIHFQFNPIHDKPAVAIAALSSQNPGVITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHY >KQL01793 pep chromosome:Setaria_italica_v2.0:VI:26238057:26238593:-1 gene:SETIT_014922mg transcript:KQL01793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQFQVFKILSYEEVDPTNTERVERLMEEGNMMVGHFLLSRNYFSLKPGQVYVFDKEKPFLHPKSGMAVSHAVMMIGVGHQPTAASGQLEHHMVLQNSEGRLFGINGIGKVCKKDVDGLYLIKVENEKPKPEPAPCHLTQAAEWLASDNVQVVTDVDHHHEEPMQRETMHYLISSDEVI >KQL00362 pep chromosome:Setaria_italica_v2.0:VI:1613223:1616517:1 gene:SETIT_013463mg transcript:KQL00362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPQQQAQGSADSMISLQSEAVLENNPSKSASSKEQVISGTENMAAGNARGASSLKSPKGAPEKASSVGKGGEQPFLYQHNVYAPQPQALYPGGYMNPSGQWEEYPHYVNMEGLHSVSPGIYNDMLSPGYANNPQMMYGAYSPVSTVGDGQQYLPVHFPFSSSYYQPPASPSMGYSNSVTGMSQGDHMLQPEYFLPDGLLYSPTPGYHQPFSSFDRAPTQPNNTPGLFGQGNMPLASGMHHGSPYGPGSYKARQQGGKLGGTAPSWNSGRRFGAFDLSANQQRPFGSHNGSLEFMNEQNRGPRATKPKIQDTENSSADEKSEKTVPLIDSELYNRPDFITEYKDAKFFVIKSYTEDHVHRSIKYSVWASTASGNRKLDSAYRAAKEKEDHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKCT >KQL00361 pep chromosome:Setaria_italica_v2.0:VI:1613142:1617352:1 gene:SETIT_013463mg transcript:KQL00361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPQQQAQGSADSMISLQSEAVLENNPSKSASSKEQVISGTENMAAGNARGASSLKSPKGAPEKASSVGKGGEQPFLYQHNVYAPQPQALYPGGYMNPSGQWEEYPHYVNMEGLHSVSPGIYNDMLSPGYANNPQMMYGAYSPVSTVGDGQQYLPVHFPFSSSYYQPPASPSMGYSNSVTGMSQGDHMLQPEYFLPDGLLYSPTPGYHQPFSSFDRAPTQPNNTPGLFGQGNMPLASGMHHGSPYGPGSYKARQQGGKLGGTAPSWNSGRRFGAFDLSANQQRPFGSHNGSLEFMNEQNRGPRATKPKIQDTENSSADEKSEKTVPLIDSELYNRPDFITEYKDAKFFVIKSYTEDHVHRSIKYSVWASTASGNRKLDSAYRAAKEKEDHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKLEQGLQMLTIFKNHEAETTILEDFDFYEQREKALQENRRQQQPGNTIPQKPADTKAQALVADMSDAFAKAVQLEEAENSGKPPKAESASAENGSTATAKVEEGDTNVKADPVEGSG >KQL00360 pep chromosome:Setaria_italica_v2.0:VI:1613142:1617352:1 gene:SETIT_013463mg transcript:KQL00360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPQQQAQGSADSMISLQSEAVLENNPSKSASSKEQVISGTENMAAGNARGASSLKSPKGAPEKASSVGKGGEQPFLYQHNVYAPQPQALYPGGYMNPSGQWEEYPHYVNMEGLHSVSPGIYNDMLSPGYANNPQMMYGAYSPVSTVGDGQQYLPVHFPFSSSYYQPPASPSMGYSNSVTGMSQGDHMLQPEYFLPDGLLYSPTPGYHQPFSSFDRAPTQPNNTPGLFGQGNMPLASGMHHGSPYGPGSYKARQQGGKLGGTAPSWNSGRRFGAFDLSANQQRPFGSHNGSLEFMNEQNRGPRATKPKIQDTENSSADEKSEKTVPLIDSELYNRPDFITEYKDAKFFVIKSYTEDHVHRSIKYSVWASTASGNRKLDSAYRAAKEKEDHCPIFLFFSVNGSGQFCGVAEMIGPVDFDRSVDYWQQDKWSGQFPVKWHIIKDVPNNLLRHIILENNDNKPVTNSRDTQEVKCEAGTGPPDVNHLQEP >KQL01258 pep chromosome:Setaria_italica_v2.0:VI:12358798:12359580:1 gene:SETIT_015773mg transcript:KQL01258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWREEELTHGRFKVVYLHSARINEPKHKLKMTGMIKAQIEAAETEADKDAIKRKAHREEMQKVSIYIAKVTKKKADKDYIMASYSFENHWICIIILPKLGEAVVLNSASYHRDRYKDSIGIIQNCITNSCVYILKCHKQPPSSVLCGYYVCKFIRNNGR >KQL02793 pep chromosome:Setaria_italica_v2.0:VI:34579190:34579981:-1 gene:SETIT_015072mg transcript:KQL02793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELVCPASSSSSPSSASFFSTAGHHQELEFMPCDVLEGLLGDEDWIDEAPDGVTLGYEGSSARSPGNDLPGEPPAPEPKRRGRRPGPRSDAPVLSHVEAERQRRDRLNRRFCELRAAVPTVSRFDKASLLSDAATYITQLRDRVKQLEAETRRAAMTSAAAAAPAMAATSSLGVQAEELELEVRMVGGEAAALRLTTAVRHRHAPARFMLALRSLDLPVQHACVCLVGGATVQDAVVDVPAGLRDEHGLRAALLHMLQQTGW >KQL01396 pep chromosome:Setaria_italica_v2.0:VI:18776451:18776858:1 gene:SETIT_015599mg transcript:KQL01396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLGGFLGKEEKTHPKGKETQFQPIKKEHADPRTPHQGAHQAVSQVKGNARGGYQGSPEPLVGPLVPSLHMTASGGFLMVV >KQL01757 pep chromosome:Setaria_italica_v2.0:VI:25565813:25567610:-1 gene:SETIT_015844mg transcript:KQL01757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITPSMYARQWFITVFAYSFLFHLTLRVWDVFLYEGIKVVFQFVLALLRFCHDGLLLQALRNSPRSRLIQMFYCQFPLHLRSSGVLQSS >KQL01132 pep chromosome:Setaria_italica_v2.0:VI:9043750:9044749:1 gene:SETIT_015439mg transcript:KQL01132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDPNMKQLQENLVDIETGAEQVLLARHQVLMKEMEGSSGKQLIKEICPTCGDHDPKEHTWLMFPGSDIFARVPFHVAHTVLEKDQERLDIGSKKLQSFVKEKSLVIAEKGALAGRFGADTEILGWST >KQL01528 pep chromosome:Setaria_italica_v2.0:VI:21276905:21280766:1 gene:SETIT_014276mg transcript:KQL01528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVQCAAHAVRALAALPAPASPRRRATCVSLSLLPRRPGRAVAPVRAAEPSSPPAAAPAAQPSGASTGKAVIPDDEFSLAKVSFGVIGLGVGISLLSYGFGSYFNLLPGSEWSALLLTYGFPLTIIGMALKYAELKPVPCITYADAFALREKCATPILKQVRSDVTRFRYGDEQHLDEALQRIFQYGLGGGIPRRSAPILQKIREEVTEDGKYSLVLVFEAKALELSDFEKRQAKFTSFFGPGIKAEIGKGGDDLYEVRLISEST >KQL00948 pep chromosome:Setaria_italica_v2.0:VI:6710405:6712981:1 gene:SETIT_013659mg transcript:KQL00948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMAAGAGDPAPFAEAAGFGSNHLPPRWGPPPPSPSPAVGKLLPSGAVPRHSYVFDGEGGFAEAPWGLAASGKASRPGEFTWHHVELPRAAPGGAGAGAAAMAKPLHHAQALIELLCPPLTLQEILAFVATGPHCASAAGGGDALLLRVSSPGPVGSAYALRLAARVAETSVVTVSVGGVPRLAFGTTQASLLSEVPLGVAVSLSDEGHGGGRAVDGGVVIEERLLESLLAMNHADGAHTDNPVPRTVSNLLVHVLGTHVDHVHDIVTRLEMDLDAIELQLDKGGHFMRKLLLDGRRFPKMHLDLQRLLQVVSHGEQVFPRVKEKCASKSWFSTGDIAALEDLIGRLRRLKENLGFITNRVTTLQASLDSWQSEQINKSLYYLSFLSIIFLPLSIVTGVFGMNVGGVPWTEQNKNPKNRDGFMNVMLICVAILLLLLLCFLFPSLYSHVTTWRTRRELKRNNSQNKRHLKLFKGHKEGYMRL >KQL00121 pep chromosome:Setaria_italica_v2.0:VI:89265:94680:-1 gene:SETIT_014234mg transcript:KQL00121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKVAVALTLSLVGGLSTSLGALLAILNRAPNNKTLGVLQGFATGLMLSMSFFDLAYDAVNAIGFLKGNLWFFAGALLFSTIADVFPEPDCTLEDENGKQTVGGSIAGKQLMMRHRRRVIFSVVVTAIVAGVSLQNFPVGTAAFLGTTKGFRVGLNLALAIALHYIPEGISVALPAYFATCSKWQAFKLATLSGFAEPLGVVIVAYLFPSNLNPELLEGLLGLVGGVMAFLTLYEMLPLAFEYAGRKDAVKAVFVGMAFMSMSLYFLDVSLPKEMGA >KQL01633 pep chromosome:Setaria_italica_v2.0:VI:23674391:23679719:1 gene:SETIT_013811mg transcript:KQL01633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGCSRGSLPTWMTAAASRVDLTGGAVSPSHQGSASPSPSSSSSGPAQPRGRTRSSGCSSARSRRRRAFVSAIIVTPRRSPGRHSLSLPPSQAPPVVGECSARQTRLQAQAAGVLYHHPPQMAALGPDAILSEFKCSPSCTRGLILGEPVCPPDCFQYKGTLDVFLKVVRQEGFGRLWRGTNAGLALAVPTVGIYLPCYDIFRNKIEDFTRSNAPGLTPYAPLVAGSVARSLACIACSPIELARTRMQAYKEFRPGVKPPGMYKTLVGVLSPLASSSQNVQNYRALWTGVGAQLARDVPFSGICWSTLEPIRRKLLGLVGEEGNAASVLGANFAAGFVAGSFAAGATCPLDVAKTRRQIEKDTEKAMRMTTRQTLTEIWRSGGVKGLFTGVGPRVARAGPSVGIVVSFYEVVKYALHQSHTS >KQL03044 pep chromosome:Setaria_italica_v2.0:VI:35932939:35937036:-1 gene:SETIT_013620mg transcript:KQL03044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQHTADSSRKKKRRRRNWLLLSTRSAAQEEGQGEGQCCCSQSQSQSQGHKLMIMSPLLVLALLILAAPPLAFAASPRRMARIQSHLDRINKPAVRSIRSADGDTIDCVAAQSQHGLEHPLLEGHAIQTEPPEVPRRGAFRFPAAAAAAAGGGATNLTKTTTNSNNNNERLGAWQTWHHGGHCPRGTVAIRRTTAEDMLRARSVARFGRKKKKNSKRSVDAARAANAPDVVSGNGHEHAIAYTAPSSQQQPVYGAKATINVWDPAIQESNGFSLSQLWILSGSFNGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDAYEATGCYNALCPGFVQTSSRIAIGASISPVSSPGGAQYDMTLLVWKDPKLGNWWLSYGDQLVGYWPAQLFTHLSDHATMVEWGGEVVDTRPAGVHTATQMGSGRFAAEGFARASYFRNLETVDADNSLAEVPLDAIQTLAENAACYDIRKAYDDAGHSGAGWGTHFYYGGPGHNPACP >KQL00232 pep chromosome:Setaria_italica_v2.0:VI:708176:710832:-1 gene:SETIT_014508mg transcript:KQL00232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFHAFHYPLSSFIAGLCLILEYLPPDFGCRCCCSKLDLKNGRMFNNVYHRRVIQLECSQRDWMAFFTVIAVVSFCLEISHHFVQVARTRRCGFAPPWSTAAAETEPTEFILETLEQSDQRSLMQYLAYQDLCVEPWHQGAFFEESGETYKRIVTACPVYSRGLLCRTLFSYFTVLHHGKAAL >KQL02696 pep chromosome:Setaria_italica_v2.0:VI:34020681:34021892:-1 gene:SETIT_015129mg transcript:KQL02696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKKMAHLINKDFTELAVDGNNYLTWAMDVKIVLTTKGFIGTLDESNPQAHIPEADKFRTLHFLRHHLHPNLKNEYFMEDDPKKLWDSLKECYNQQQSVILPEARREWSLLCLMDFKSIAKYNSAVHKICFKLHFCNQSLDDAEMIEKTLSTFLPANRILQQQYRHHNYTKYSDLIYDLVQEEKHDELLTKNHQLRPVGVAPLPEVHFNAQNNNKKFGGKKFKKNFKGKWKKKCHTTKHLVDVYQKYTRKQVHGDKFEAYFTTQSTDTSCSKDVPTEHNNEKIPPQLDDLFNIDDMLVDSTDDMLVNFQSNNIFGDIN >KQL02335 pep chromosome:Setaria_italica_v2.0:VI:31835756:31836187:-1 gene:SETIT_015400mg transcript:KQL02335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPAKNDAHIVEIPVSVDGGEAEAAASLDKTTVEVEAGGAHPLGEIAASAGHLLLLKLWQREEDRLGRRACALESRMDAARRDAFYLCAAFLAFHGLSLALLFAASVAAAAADGGGGQASAACRRWWAPSSLSLAASLALAAA >KQL02310 pep chromosome:Setaria_italica_v2.0:VI:31673776:31675099:1 gene:SETIT_015120mg transcript:KQL02310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEGCWDFPSDAFAEILLRLPATSRRRFRLVCRHWCDVIDDRAPARPSEPKPLTYVIRDRGWSCATGSAYVIDDPAAEGRCREVWRGGPLPATGCCFDTRMVGTCNGLLCLCDNTRPGGAVSLVNPATGESLALPPLPGHARIGGIITRWHETYGFVQHPATGRYTVVHLPCYSDRTGQLDAVRAFTLGEAVAASAWRDVAAPVGANCRLDAGLVAVDGAAYWVTKGSERVMSFDAEDERVAPVAALPVAVVDGNCCHLTEVRGRLGFVVTVDEPKLARVEVWVLEGGRDGRAWSCRYRVQVHGAPKASRQQLARPHFVFARAGECVLTIGPEDRESVVFAHRLAGAGRLQCGEVRTNERKPGTPVARARNAHIRTFAYVETREPLSVYSRAR >KQL02002 pep chromosome:Setaria_italica_v2.0:VI:28913116:28916425:1 gene:SETIT_015575mg transcript:KQL02002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAMQTSRFWSRQGDTDSDDYESKSVESLPDDVPPRPIRNPEDHKYYLGNSSDSDESDTHRVVRPLKVKLNEEMWSTVEQIRNAMKINDWVSLQGCFENLNKQLEKVVRVNESNKLPNAYILSLTLLEDFLTESLANKEAKKMNSSNSKALNSMKQNLKKNNKQYAELILKCRENPECFYKEGANDKGKDDSDDEYDSDPDVDINRLASDKREDNNHRYGQNEEPLNKITDKDNPMDKQFSKDPSEITWEIVDKKLKEIVASRGKKGTGRVERVDQLMFLSHVAKTPSQKLKVLCHVISAQFDINPSLLGHMPINVWKSCANNILLVLDILQQYPNIVIDNLVEPAEKETCNGADYGGTIHVSGDLAAFLERLDSEYFKSLQYTEPHTKDYVQRLRDEPLFMVVSQNVQGYLERVGNFRASAKVALHQVELIYYKPQEVYDAMRNLAEQAENEDRDAKAIDGCIRPTPFVVIPEVVPRRPIFPPSSRTLMDGLTSIIYKYGDERTKARAMLCDVYHHAISDEFPVARDLLLMSHLQDGIKLMDISSQILFNRVIAQLGLCAFRAGLTAEAYDCLSELYATGRVRELLAQGVRYGRYHEKTPEQERLEKRRQIPYHMHINYELLEAAYFISAMLIEVPSMAASTYGNRKPVNKTFRALLEFSERLTFVSPPENVRGHVMAAARILKMGDYQKAFDVISSLEIWKLWRSREHVLDMLKLKIKEAALKTYLISYSSCYGSLSLGQLSVMFDLTESHTHNIVSKMMIQEELHARWDQPTWSIVFQNAEQTRLHRLLSQMADNLSVIVERNEMAYGGKSLVEEAPRRRAENQDPSKLGRLQENY >KQL02443 pep chromosome:Setaria_italica_v2.0:VI:32571676:32573713:-1 gene:SETIT_015498mg transcript:KQL02443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHQAMGDALWDLLGEEMAAAAAGEHGLPPGFRFHPTDEELVTFYLAAKVFNGACCGVDIAEVDLNRCEPWELPEAARMGEREWYFFSLRDRKYPTGMRTNRATGAGYWKATGKDREVLNTATGALLGMKKTLVFYKGRAPRGEKTKWVLHEYRLDGDFAAARRSCKEEWVICRILHKAGDQYSKMMMVKNPYYLPMGMDPSSFCFQQDPAAAPLPNPSGCTTVAGVPFHPGAHPGMQLPLLPSSQGKITSGVCGFPASACMQEPPAHGSGGGSSNAMGMPPYHHPFASLAAGGKPAPPPPSQVGVNGAGPQEPPPPPPASWLEAYVQHGGFLYEMGPGAAPRGE >KQL00631 pep chromosome:Setaria_italica_v2.0:VI:3614896:3615030:-1 gene:SETIT_014878mg transcript:KQL00631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTCFNNKPSALRRITAYSPQQRASNHPWKQKKKWFCNTASQT >KQL00350 pep chromosome:Setaria_italica_v2.0:VI:1569032:1573423:-1 gene:SETIT_013804mg transcript:KQL00350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLLAFESHQGLWNSGYYSQLFGIGGVMITIAILMLSTGYFGGIGAPFAPYFWPYLGQVPKKRERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYSIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKDADTDFNIDVDEKAGAKPNDEVKSHLSHFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQSIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVAEGNPAGEIDPYAVPKSMGIFKTITSPKSITSVSVATRIVDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >KQL00352 pep chromosome:Setaria_italica_v2.0:VI:1569515:1572135:-1 gene:SETIT_013804mg transcript:KQL00352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLLAFESHQGLWNSGYYSQLFGIGGVMITIAILMLSTGYFGGIGAPFAPYFWPYLGQVPKKRERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYSIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKDADTDFNIDVDEKAGAKPNDEVKSHLSHFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQSIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVAEGNPAGEIDPYAVPKSMGIFKTITSPKSITSVSVATRIVDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >KQL00351 pep chromosome:Setaria_italica_v2.0:VI:1569032:1572179:-1 gene:SETIT_013804mg transcript:KQL00351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLLAFESHQGLWNSGYYSQLFGIGGVMITIAILMLSTGYFGGIGAPFAPYFWPYLGQVPKKRERKRPVRVYMDGCFDLMHYGHANALRQAKLLGDQLVVGVVSDEEIVANKGPPVLSMEERLTLVSGLKWVDEVIPNAPYEITEEFMNTLFNKYSIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRILLTFKQKDADTDFNIDVDEKAGAKPNDEVKSHLSHFLPTSRRIMQFSNGQAPSPGARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVHDDQSIRDRRGYRPIMHLHERTLSVLACRYVDEVIIGAPWEVSKDMITTFNISLVVHGTVAEGNPAGEIDPYAVPKSMGIFKTITSPKSITSVSVATRIVDNHEAYKKRNLKKKASEDRYYTQKKFVSGD >KQL01126 pep chromosome:Setaria_italica_v2.0:VI:8955527:8955799:1 gene:SETIT_015332mg transcript:KQL01126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCLALPTPTYIIYILEHASTTATRTTMTTTVRASSRGFVALCFASLLLFSSFAAAETSGTRGKTRTDLSKFLNTNNNAYSKAITMTPNA >KQL02192 pep chromosome:Setaria_italica_v2.0:VI:30764525:30767735:1 gene:SETIT_014145mg transcript:KQL02192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPGCGASPQPQARFCRRCRAAHPLDRVPRRLELPPLSSLRRRRVPPSRTRELPTDPLRPRPRSGSGMSSSASSADGYAASGGDFTEVVIVRHGETSWNAARIIQGHMDAELNDIGRQQAVAVAHRLSKEVKPAAIYSSDLKRAAETAQTIARICNLPNVVFDPALRERHIGDLQGMKFQDAATERPEAYKAFMSHKRNQQIPGGGESLDQLSERCVSCLHNIVEKHKGERVIVVSHGGTIRELYRYASPTRPLHGKIHNTSVSVILVSGITGRCIVKMCGDISHLQETGVLENAFGGDKNSA >KQL00366 pep chromosome:Setaria_italica_v2.0:VI:1630333:1638165:-1 gene:SETIT_013297mg transcript:KQL00366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLKIAEGGPWIKSGNNNIGRETWEFDQNFGSNEEKEAVDSAREEFQKNRFQMRHSSDILARMQLAKENNFSLVQKAKGETPVDINPNTVSEILRKALSYFSAIQAHDGHWPGDFPGPLFTTATMIIVLYVTESLGITLSSEHRKEIIRYLYNRQNVDGGWGLHAEGESSMLSTALNYTALRLLGQSVDDGPDMSMPKARKWIHDHGGATMIPILGKVWLSVLGVFEWSGVNPIPPELFLLPSLVPVQPGRLWSHFRMAFIPISYLYGKKFVGPITRLVMSLREELHIHPYRKIDWKKARKLCAKEDVYNPHTWLQECLSDCLYSFGEPFLTQWPISYMRKKALQQIAEFLKYEDENSQYICIGAAQKALSMLCCWIENHNSDAFKSHLARVADFLWVGEDGMKVRVCAGQLWDVAFAVQAILACNIAEEYINTLKKAHNFIKASQIMDNPSGDFSRKYRHISKGGWAFQVADQGWQVSDCTAEALKALLLLSKFSSDVAGDQIETGRLHDAVNILLSLQNPNGGYGTWELARTYPWMEIFNMTEIYADIMVEHQYVECTSSVIQALALFREKYPGHRKDEIDQCIRNATEFIEKLQNDDGSWFGSWGICFTYGTWFAIEGLSAVGQSYGNSTGIRKACKFLLSKQLHNGGWGESHLSSRTKAYTNLDGEKSHIVNTAWAMLALMKAGQVERDPTPLHKAAKLIMNMQLGNGDFPQEEMIGSFLKNGPLCYMAYRNIFPIWAIGEYQKLVLSVTSNGQPSS >KQL01286 pep chromosome:Setaria_italica_v2.0:VI:13687617:13689065:1 gene:SETIT_015117mg transcript:KQL01286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDNRADLASGHGHTVCVTGASGFIASWLVKLLLEKGYTVRGTVRNPDDAAKNAHLKSLDGAAERLTLLRADLLDKESLTAAFRGCEGIFHTASPVTDDPEKMIEPAVNGTQNVINAAADVGSVRRVVFTSSIGAAYMDPRHGPDAEVDETCWSDLDYCKNTKNWYCYAKTVAEQAAWELAKQQRVDLVVVNPSLVLGPLLQQSINASTWHVLKYLDGSVQTYADAAQAYVHVRDVADAHARVYEEPGASGRYLCAGRTLHRGEVCRILAKMFPEYPVPNECKGGAGETNKGCRFSSRRLNELGVGVTPASLCLYDTVSSLQDKGLLPRRTAAVVDPSVIP >KQL01820 pep chromosome:Setaria_italica_v2.0:VI:26798874:26799947:1 gene:SETIT_014444mg transcript:KQL01820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPIKPLDGHGGYLRWKESLLLRAHTLGVACVLFEAPPAGDGDEAAAKKWARYDALCRGHILATLSDRLLPDYARFATAADLWRALARTYDVKMPSVWHDRFLEFSFEESTGDVFLEQLAHAEALGAAAGYSDDAVAVCLRGKLPLAVSMGVITRSGPDNKRGMGVVWDVAQTVVSNDQEGGYSDGLMPEQNTPSRKRGNRGHVVSRNCGRRA >KQL01049 pep chromosome:Setaria_italica_v2.0:VI:7592438:7592944:1 gene:SETIT_015925mg transcript:KQL01049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCASKSSCFSRKVLTTSAAAVHPWTPPPSEVPPGQRVTVRMRASEFRALAVGRAAAGAATDDGVVGWLILDGCAAGRWAWSPAPE >KQL02371 pep chromosome:Setaria_italica_v2.0:VI:32059632:32061122:1 gene:SETIT_015375mg transcript:KQL02371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPRHLPVLLGRLLVAGEIRQSPYHLGRIIPLLPSHPHLAAALSSLYFPHFPSSATFLQNLLIRASAASPSPRLSFAAFSSLLRSGCLPDHFTFPPLIKSASRLPSFPRTGAQVHAQSARRGFLADIYVVNSLLAMYAAFRDTASMRAVFDSCAEVADVVSWNTVIGGYVKCGEIGNARRVFDEMPQRNGVSWSAMVGAYAGAGELDVAREMFDRMPAIGRNVVTWNSMVTGFARHGLLPLARKMFDEMPVRNLVSWNAMIRGYTMNGEMDGARELFDVMPEKDVISWTCVISGYAQAERYAETLELFRSMQSKSSIRPNEVTMVSVLSACAHLTALEEGRWAHTFIGKHKMVLDNEFNLGAALIDMYSKCGRTDMAVKIFYSLDQKNVSAWNALITGLAVNGDASQCIDVFEQMKRSGENPNDITFVSVLTACAHAGLVDEGRRCFQSMVSAFEVQPELKHYGCMVDLLGRAGLLDEAEELIRSMPMAPDVKVL >KQL01680 pep chromosome:Setaria_italica_v2.0:VI:24706585:24710364:-1 gene:SETIT_013992mg transcript:KQL01680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAPAQAPRKWEGLVDQALEREVLGACLDQAPERRRVREAFKDVQLSIDHCLFKGQHSGIGTKESYERNSRGVEIFSKCWFPENHHMKAIVCLCHGYGDTCTFFLDGVARKIASAGYGVYALDYPGFGLSEGLHGYIPSFDTLVNDVAEHFAKVKGNPEHRGLPSFLFGQSMGGAVALKVHSKQPNEWNGAILVAPMCKIADDVVPPWPVWQVLIFVAKILPKEKLVPQKDLAELAFKEKKKQEQDKPRLRTALEMLNTTQEIERCLEEVSLPIIILHGEADLVTDAAVSKALYKKAKSQDKKLCLYKGAHHAILEGEPDDTIFQVLDDIISWLDQHSTKEGSSY >KQL01681 pep chromosome:Setaria_italica_v2.0:VI:24706807:24710364:-1 gene:SETIT_013992mg transcript:KQL01681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAPAQAPRKWEGLVDQALEREVLGACLDQAPERRRVREAFKDVQLSIDHCLFKGQHSGIGTKESYERNSRGVEIFSKCWFPENHHMKAIVCLCHGYGDTCTFFLDGVARKIASAGYGVYALDYPGFGLSEGLHGYIPSFDTLVNDVAEHFAKVKGNPEHRGLPSFLFGQSMGGAVALKVHSKQPNEWNGAILVAPMCKIADDVVPPWPVWQVLIFVAKILPKEKLVPQKDLAELAFKEKKKQEQCSFNVIAYKDKPRLRTALEMLNTTQEIERCLEEVSLPIIILHGEADLVTDAAVSKALYKKAKSQDKKLCLYKGAHHAILEGEPDDTIFQVLDDIISWLDQHSTKEGSSY >KQL00440 pep chromosome:Setaria_italica_v2.0:VI:2104237:2104832:1 gene:SETIT_014983mg transcript:KQL00440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACHFLLIVLVASILHVAYATAATTSANLTADAAATAYDILEKNNLPRGLLPKGVQSYNLSPDGKFEVTLPGECDFPVTFGGQDFKFRFASTVGGVIQAGSIHEVYGVRVQIKFGWLGLRQVDRAGDQLTLQVQQFTQTFPTSTFAVSPSCS >KQL00580 pep chromosome:Setaria_italica_v2.0:VI:3234734:3236140:-1 gene:SETIT_015526mg transcript:KQL00580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASSSRASHRVSLSAYLWTPHGAEASVKYLCLTVHFIDSDWKLQRRIIKFGLFQPLTNLDRMIQFSEVTILDSESGPFNIIWEAIRDWNLDQKLFSLTCVSEIRNDERTSKLKDFLGQRKCLPIGGELYNIACVDDVLNNIVSKGQPLLHLVADILERFIQVHMSTALTQELLIEVVTHMGLKCPQEDAKWWHKIYFGLEVVLHFKKTFSSQDFLSAEDTKTVESACKILRAFYHAIEVVSGPVCSTANRYFNELWIIRTTLEEESSTNHTELAGLVWEMQEAFDEYWQNSYLWLSVPVVLDPRFKLTLIEFCLKQAFGTDTAKCVSAVRDTIRELLLEYCSALDKPSVEISNSVVQVGGFYRDTLEDWDKYIYEQTRTLALIELKNYLEDGLVPRKDDFDILNWWMSNPTSYPILSIVARDVLAIPASAMHGEAALSSEGPVIHKQWSTLNIKTIEALVCMRDWIK >KQL00875 pep chromosome:Setaria_italica_v2.0:VI:5931028:5933695:1 gene:SETIT_014948mg transcript:KQL00875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGTFYILLWGNWYPVHLLSLKQRAQIKEEEVRQIILATIASSDLARKMELVDTLQTIGVDYHYKKEIDELLCSVYDDKDDIGSEDLYITSLRFYLLRKQGHTVSSDVFQKFRDEQGNISSDDVTCLLMLYNAAHLRTHGEEILDSIITFNKIRLQSLMTKKLEPELAEEVQCTLETPRFRRVKRVEARRYISVYEKKATRDETILEFAKMDYNILQAIYCDELKELTIWWKDFQLGTDLRFTRDRMVELHFWMMGVVYEPYYSYSRIMLTKFTIYATMFDDLYDNYGTTKESNIFTTAMERWDEQITEQLPAHLKALFINILNSTNKMEEELKFQKNKHAELIKELVIHTAKSYHAEVKWRDEHYIPTNVEEHLQISMSSSVCMQITNLVLISLGDVTTREDVNWAFTFPKIIRGACIVGRVGNDIVSHEREQASEHVVSTVQTCMNQYGVTMEEANEKLRVIIEQAWMDIIEECLERKRPMTLLEIPVDLARTMDFVYKRDDAFTLSFSLKDVIASMYVNSV >KQL02021 pep chromosome:Setaria_italica_v2.0:VI:29144403:29146710:-1 gene:SETIT_013531mg transcript:KQL02021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTAEPQAETVFRSTLPDIAIPDHLPLHDYVFERLADRRDRACLIDGATGETLTFGDVDRLSRRVAAGLRASLGVRPGGTVMLLLPNSVEFALAFLACSRLGAATTTANPLHTPPEIAKQAAASGATVVVTEPAFVAKVRGLSGVAVVATGEGAEGCVSFSDLAAVDGSALPEAAIDVANDVVALPYSSGTTGLPKGVMLSHRGLVTSVAQVVDGDNPNLHLREDDVVLCVLPMFHVYALHSILLCGMRAGAALVIMKRFDTVRMFELVERHGITIVPLVLPIAVEIAKTDAIDRHDLSSVRMVISGAAPMGKELQDILRAKLPRAVLGQGYGMTEAGPVLSMCMAFAKEPSPVKSGACGTVVRNAELKIIDPETGLSLHRNQPGEICIRGEQIMKGYLNNPEATAKTIDAEGWLHTGDIGYVDDDDEIFIVDRLKELIKYKGFQVAPAELEAMLIAHPGIADAAVVPIKDDSCGEIPVAFVVRSDGSEITEDEIKQYVAKQVVFYKRLHKIFFVETIPKAPSGKILRKDLRAKLAAC >KQL02591 pep chromosome:Setaria_italica_v2.0:VI:33424970:33428934:1 gene:SETIT_013504mg transcript:KQL02591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFEMPPAGAEGMMGDDEMDDFGGDEGPVMKVGEEKEVGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGDPFKFKLGQGQVIKGWDQGIKTMKKGENAIFTIPPELAYGESGSPPKIPPNATLQFDVELLSWTSVRDICKDGGIFKKILKEGEKWENPKDPDEVLVKYEARLEDGTVVSKSEGVEFTVKDGYFCPALAKAVKTMKKAEKVLLTVKPQYGFGEKGRPAAGEEGAVPPNATLLVDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNESAVVKVKITGKLLDGTVFTKKGHDEEPFEFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVVYEVELVSFVKDKESWDLNNEEKIEAAGKKKEEGNTLFKLGKYARASKRYEKAAKYIEYDSSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRVQAYIQLADLELAEADIKKGLEIDPDNRDFKLEYKTLKEKIKEYNKKDAKFYSNMFAKMTK >KQL02592 pep chromosome:Setaria_italica_v2.0:VI:33424970:33428934:1 gene:SETIT_013504mg transcript:KQL02592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFEMPPAGAEGMMGDDEMDDFGGDEGPVMKVGEEKEVGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGDPFKFKLGQGQVIKGWDQGIKTMKKGENAIFTIPPELAYGESGSPPKIPPNATLQFDVELLSWTSVRDICKDGGIFKKILKEGEKWENPKDPDEVLVKYEARLEDGTVVSKSEGVEFTVKDGYFCPALAKAVKTMKKAEKVLLTVKPQYGFGEKGRPAAGEEGAVPPNATLLVDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNESAVVKVKITGKLLDGTVFTKKGHDEEPFEFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVVYEVELVSFVKDKESWDLNNEEKIEAAGKKKEEGNTLFKLGKYARASKRYEKAAKYIEYDSSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRVQAYIQLADLELAEADIKKGLEIDPDNRDFKLEYKTLKEKIKEYNKKDAKFYSNMFAKMTK >KQL02593 pep chromosome:Setaria_italica_v2.0:VI:33424970:33428934:1 gene:SETIT_013504mg transcript:KQL02593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEDFEMPPAGAEGMMGDDEMDDFGGDEGPVMKVGEEKEVGKQGLKKKLLKEGEGWETPEVGDEVEVHYTGTLLDGTKFDSSRDRGDPFKFKLGQGQVIKGWDQGIKTMKKGENAIFTIPPELAYGESGSPPKIPPNATLQFDVELLSWTSVRDICKDGGIFKKILKEGEKWENPKDPDEVLVKYEARLEDGTVVSKSEGVEFTVKDGYFCPALAKAVKTMKKAEKVLLTVKPQYGFGEKGRPAAGEEGAVPPNATLLVDLELVSWKTVTEIGDDKKILKKVLKEGEGYERPNESAVVKVKITGKLLDGTVFTKKGHDEEPFEFKTDEEEVIDGLDRAVLNMKKGEVALVTIPPEYAFGSTESKQDLAVVPPNSTVVYEVELVSFVKDKESWDLNNEEKIEAAGKKKEEGNTLFKLGKYARASKRYEKAAKYIEYDSSFSEDEKKQSKQLKISCNLNNAACKLKLKDYKQAEKLCTKVLELDSQNVKALYRRVQAYIQLADLELAEADIKKGLEIDPDNRDFKLEYKTLKEKIKEYNKKDAKFYSNMFAKMTK >KQL00819 pep chromosome:Setaria_italica_v2.0:VI:5312903:5313226:1 gene:SETIT_016017mg transcript:KQL00819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRIDACIHFRCILQLEKYESIDQQCTGLRNIEVALQKFQDL >KQL01879 pep chromosome:Setaria_italica_v2.0:VI:27474640:27475642:-1 gene:SETIT_014483mg transcript:KQL01879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEFHLRNKFISYTKAQIQDKEDQLKRDYKMLKAARMQSGSKCNEKRNMVEGPPAMWDNLIVEIHEVRDEDDEEKEARDEEKEAREKESDAAAVETSGQRRTAAPRKKSEKEEQRPRKSTKIEGTMERYLEMRAKQAEDEAAQLAREKKVAKGDEFSIKRCISIVNAMEVIKQERAKAYAVFTKSKENKRHSYVPMK >KQL02598 pep chromosome:Setaria_italica_v2.0:VI:33456025:33458321:1 gene:SETIT_015154mg transcript:KQL02598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFRRTLLETPSGFAIFYVSEDVFKQPRRIWARFTDEMDAHEVVLALGFVNVHDKSVARNSYDGTGQELSSLIQDLCAHKTKLIVQDYALKSVIKKKLKVKCCTKFSNDDDVLGNLMWGLKNVLHEFIPQEKDDLTKENYLPMSKGLQSALVSYGISVSLGQMDRKFVNILGYLVNLDWSSSVLPIIFRKSFDRHVCRIGKLIEDKVLYAKVVGQILVPGSIFQIDFYE >KQL02571 pep chromosome:Setaria_italica_v2.0:VI:33278560:33280433:1 gene:SETIT_013559mg transcript:KQL02571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTPMILHLLLVTLFILSSKTASSSASSDVDAFLGCLSAAIPPSLIKTPATNSYSELLMSSVRNLRYVLPGTTRPFVIVAATEPAHVQTTVVCGRRHSVRIRTRSGGHDYEGLSYASVDPHEHFAVLDLGELRAIHIDASRAEAWVGSGATLGELYYAAAAANQTFGFPAGNCPTVGVGGHLSGGGFGALSRKYGLSADNVLDAVVVDAEGRLLNRSTMGKDLFWAIRGGGGESFGVVLSWKVRLVAVPETVTVFSIRRSRNQSAVDLITKWQAIAPALPRDLYLRVLVQNQQAAFVALFLGRCDRLVDTMRAHFPDLGMAERDCQEMSWVKSTVFFFFNTANIPNEVLLNRSNADYFLKVKSDHVQEPMPRQAWESLWSKWLEKPEAALVMLDPYGGVMGSISPSATPFPHRNYLYQLQFFSFWFENGTAALEKRMSWVRGVYEDLTPYVSKNPRAVYVNYRDLDLGTNELEGGVTSYAKARVWGEKYFKGNFKRLAAVKSKVDPFDFFRNEQSIPPLPAKKW >KQL02947 pep chromosome:Setaria_italica_v2.0:VI:35445209:35448977:-1 gene:SETIT_013405mg transcript:KQL02947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPAMLRWATVALVALLAAAPAAAFYLPGVAPNDFQKKDPLLVKVNKLTSTKTQLPYSYYSLPFCKPNTIVDSAENLGEVLRGDRIENSPYVFEMREPKMCQIVCKASIDDKQAKELKEKIEDEYRVNMILDNLPLVVPIPRQDRDAVVYQGGYHVGVKGQYAGSKDEKVFIHNHLTFLVKYHKDETTELSRIVGFEVKPFSINHQFEGQWNDKNTRLITCDPHASKLVRSSDTPQEVEAGKEIIFTYDVGFEESDVKWASRWDTYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISRYNQLETEEEAQEETGWKLVHGDVFRPPENSDLLCVCVGTGVQFFGMLLVTMIFAVLGFLSPSNRGGLMTAMLLTWVLMGLFAGYASSRFYKMFKGSEWKSITLKTAFLFPGIAFSIFFILNALIWGEKSSGAVPFTTMFALVLLWFGISVPLVFVGSYLGFKQPAIEAPVKTNKIPRQIPEQSWYMNPAFTVLIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFLVFIILIITCAEITIVLCYFQLCSEDYNWWWRSYLTSGSSAIYLFLYAGFYFFTKLQITKLVSGILYFGYMLLASFAFCVLTGAIGFCACFWFTRLIYSSVKID >KQL00358 pep chromosome:Setaria_italica_v2.0:VI:1596357:1597639:1 gene:SETIT_0136451mg transcript:KQL00358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YVAVGNEPFLETFNGTYLNTTFPAMQNIQSALMKAGLADKVKVTVPLNADVYQSSTGKPSDGDFRADIHGLMLTIVQFLASTGAPFVANVYPFISLYSDPNFPLDYAFFQGSSSPVADGGVTYQNTFDANHDTLVAALRRNGYGNVSIVVGEVGWPTDGSANANLDYARRFNQGFLDHIAGGRGTPLRPGPVDAYLFSLVDEDRKSIQPGNFERHWGIFNYDGTPKYPLSLAGGNSGSTLKPARGVRYLEKRWCVLKPAADLADQKVGDSVSYACGLADCTALGYKTSCGGLDARGNVSYAFNSYYQTMDQDDRACDFRGLATTTSVDPSTGTCRFIVEIDVGAAAAISAARGVAAGAASVLAAIALMSMLL >KQL01061 pep chromosome:Setaria_italica_v2.0:VI:7745848:7750008:1 gene:SETIT_015669mg transcript:KQL01061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFGSHIFLFRKQERQDAASMSPRAPSRRQRDTQLAPSAAASLLQRNDGESAIAGGALSEAVMGDASPTPSTPSEWPEFYGGRRCSAPPRVQSADPLVGQRCLPSGSNKTRAHGPRNECRPTVSSGMHWRTTTPLRTFSRWRIISRRRWNRPLRGKTGRFNFFTGLCRSFSNAGRPRARRAGAGGRHGRGSDGPRLGRGRGSGHSGGEDYYEEEDGYYGGGDFHGTEHGFAPGYGDYYDSGRGRGWPRRGFRPRGTRGFAARRGGRTGRPGRGAAPGRAAAGRHGPALEGRSAPAGREAPKAAPILPSGVDGGHPDPALFAPYAGEVDVARNGGKAKTSRCSLKGHAAADCKTEIYCDICDGHEHVNHRCPVLNHLDMKTTRKNNFDRILVVVLDCKLIPTQLDTVIGAHYFELKFTVERLGFDENGDKVEMDFSHGEDKGNGDMDKDNVHEDQDAARDPKRSRCDDMIMEDMTNSSKDNGAANKQSLEGDANIHAVECGVPSEDEIQRLANEIIDGAVMKTLDFCCDKVLAESDKDLMDGALEDVLSEEEEDLFGAVVNDSQGKGVSVVAEDSNLPPSVMHIEVAT >KQL00201 pep chromosome:Setaria_italica_v2.0:VI:495931:499343:-1 gene:SETIT_014030mg transcript:KQL00201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSFCVDDNDLEDGKPSKERDGSLQLLKLPTIQNQALLSGLAYCISSCSMILVNKFVLSGYGFNAPIFLMLYQNIVSVSIVSTLSLSGAIPTEPLTWNLIKVWLPVNIIFVGMLITSMFSLKYINVAMLTILKNVANVLTASGETYFFKKQHGIQVWIALMLMIISAVAGGITDLSFHAVGYTWQTLNCFLTASYSLTLRHVMDSAKQATKSGNLNELSMVLLNNILSLPLGIILILGFNEVEYLLETSLLRMPEFWVVITGSGVLGLGISFTSLWFLHQTSATTYSLVGSLNKIPLSVAGIVLFNVRTSLQNSMSILFGLLAGVFFARAKLRDNSPS >KQL00975 pep chromosome:Setaria_italica_v2.0:VI:7056173:7058660:-1 gene:SETIT_013742mg transcript:KQL00975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METQAPENYSPPKDGRSDHEKAVENWLPINASRNAKWWYSAFHNVTAMVGAGVLGLPYAMSELGWGAGVTVLVLSWIITLYTLWQMVEMHEMVPGRRFDRYHELGQYAFGEKLGLWIVVPQQLVVEVGVNIVYMVTGGTSLKKFHDTVCESCKQIKLTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKLEDVDYSLRATTTPGKVFGFLGALGTVAFAYAGHNVVLEIQATIPSTPEKPSKKPMWRGVVVAYIVVAVCYFPVSLVGYWAFGNRVDSDILITLSRPKWLIALANMMVVIHVIGSYQIYAMPVFDMIETVLVKRLKFPPGLTLRLIARTVYVAFTMFVAITFPFFDGLLSFFGGFAFAPTTYFLPCVMWLAIYKPRRFSLSWFTNWICIVLGVLLMVLAPIGGLRNIILNAKTYKFYQ >KQL00425 pep chromosome:Setaria_italica_v2.0:VI:1977936:1978313:1 gene:SETIT_015225mg transcript:KQL00425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSRATVCALLFLLLVLSHDGSYGGGMLAAEARVCTGKSERHSFPCVSDRHCSEDCIKQPGGWTAGYCRRATCRCQKAC >KQL01585 pep chromosome:Setaria_italica_v2.0:VI:22503201:22510820:-1 gene:SETIT_013140mg transcript:KQL01585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDTDERPLVELAASATTPTATPTASASAPAPFFPPPAAPGFSRAVRCNAPSSFPADGGGFGGAGQQQHAAAYPGNAISTTKYTAASFVPKSLFEQFRRAANCFFLVVACVSFSPLAPYRAVSVLLPLLVVVSAAMAKEAVEDWRRKQQDIEVNNRKVEVYDGTQSFHETEWKKLRVGDIVKVKKDEFFPADLLFLSSSYDDGICYVETMNLDGETNLKRKQALDVTMGLNDEQFFHSFKAFIRCEDPNEKLYSFLGTLYYNEQQYPLSPQQILLRDSKLRNTSYIYGTVIFTGHDTKVMQNAMEPPSKRSSVERRMDKIIYLLFAILFAIATFGSIVFGMKTKHEISPGNYAWYLRPDQANIFFDPNRASFAAFCHFLTSLMLYVTLVPISLYISIEIVKVLQSTFINQDQNMYCAESDKPARARTSNLNEELGQVHTILSDKTGTLTCNSMEFLKCSIAGVAYGNSPTEMETSYGEIAETTANYGHKNTTEFKRLVKGFNFTDGRLMNGRWAKECSRDSIEMFFRVLAVCHTAIPVADRNSAGMPYEAESPDEGALVTAAREFGFEFYHRTQTTISVHEYDPVFGGKVDRTYKLLNILEFSSARKRMSVIVRTEVGRLFLFCKGADSVIFERLSKDNGKACLSKTKCHINEYSEAGLRTLVLAYRELTEEQYVLWNQKYSAAKNSVHTDHDEAVEKASEDIEKDLVLLGATAVEDRLQSGVPECIHKLAQAGIKIWILTGDKLETAVNIGYSCNLLRKEMEEIFITLDNSSTSASEGCSGEGNRMAPYEEIDRKLLDARTKVFMRGTSTLFALIIDGNALTHALTSSLKNSFLDLAVNCASVLCCRISPKQKALVTRLVKIRTGKTTLAIGDGANDVGMLQEADIGVGISGAEGMQAVMASDFAIAQFRFLERLLLVHGHWCYRRIAAMICYFFFKNITFGITLFWFEAHAMFSAQPAYNDWFISFYNVAFTSLPVIALGVFDKDVSSRVCLEVPSLHQDGVNNVFFSWSRILSWMLNGLCCSIIIYFGSLNAILIQAVRQDGRVAGFDILGVTMYTCVVWTVNCQLALYISYFTWIQHFVIWGSILIWYAFLVIYGLFPPAISTTAYHVFLEACASSPLYWLSTLMIVVTALIPFFVYKISRTLYYPQYHDKVQRTNSKNW >KQL02096 pep chromosome:Setaria_italica_v2.0:VI:29894777:29897033:-1 gene:SETIT_015771mg transcript:KQL02096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IISLLPLLDAVRTSAVSRAWSRLWESAPGLALEWPCRTDPAAVDAVLARYSLPVHSFDFFLRQGSFRRVGDWVSLLARKGVQILKLHFSRNRDRRCDWDGLLDDAIFSCRELTSLHLSGGCEIPAAPLGFAGFPKLTTLCLQHVRFPDNGMTGLEALISASPLLEVLRLVGLWFTEDHWLRRFNTLEGLSCSFENLKKLTLHTDFCALPTILFTLCLLKNAPYLENLYFKIMWGKTQDVEVVVDLLNAQWIGGSFGNLKNVTMDRVACKPKETMHFIEFVLSKAPRLQELHVIPVDDYCPKSKEELLEEIIKYRKASPQAKLFASRI >KQL00786 pep chromosome:Setaria_italica_v2.0:VI:5002250:5004016:1 gene:SETIT_015432mg transcript:KQL00786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAYQLLQVITTPLALPLLLLVPLLLLLHSTSRRSRHGSKQQPKRPVLPPSPPALPIIGHLHLVGDCPHVSLRSLAAKHDSGGLMLLRLGTVPNLVVSSPRAAQLVMRTHDHAFASRPTSRVSDALLYGSSDIGFCPYGEHWRQLRRLVTTHLFSVKKVNSHRLARQDEVRLVMEKIREAVAGRCKAVDISEMVNTFANDNVCRAVSGKFFRAEGRNKLFRELIDTSAHLIDGFNLEECFPGLANVLGSLTSWFASNQAEKTHKIWDELLETIISDHEGRGRSSEHGHVVGGGVEQEETDFVDDMFVAGTDTVSLVLELAMAELMRSPQLMTKLQAEVREKTPKGQEMVAQDDIAIMTYLRAVVKETLRLHPPLPLLVPHISMVDCEVDGYTIPSGTRVIINEWAIGRDPESWEKAEEFMPERFLEGGSAAAVDFRGNDFQFVPFGAGRRICPGLNFGMATVEIMLANLVYCFDWELPAGMKEEDIDLTEVFGLSVHLKEKLILVPKPR >KQL00850 pep chromosome:Setaria_italica_v2.0:VI:5659553:5660071:1 gene:SETIT_015563mg transcript:KQL00850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAFFSALPPVSACHDLPSMTVEQACRAATGTELMYELCKDAMRDVSYPSNGVDLYALVAAKRALASFDDTAHALDALLADGSLTGDEKNAYALCKESYGQATDTMNGVAAAVVGCRFMEGDLGQVYRDDVAQVERCRDRVRSLPASPIYARNLVDRNMAVLAYFVGRLLGVQ >KQL00509 pep chromosome:Setaria_italica_v2.0:VI:2680636:2681908:-1 gene:SETIT_015452mg transcript:KQL00509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPLSISTIIQSSLIKERALAICGTMGEFSVSSAVAFVCITKEADLMCELLKHGAEPTDDMIEQSSVIRMCALGLLNLKGYQCIASAAAMVGMAKEAKRMSDWMKRENKLLTLSLSVPS >KQL02034 pep chromosome:Setaria_italica_v2.0:VI:29290990:29298577:-1 gene:SETIT_013293mg transcript:KQL02034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIQSTSRHNSVLLAVLCRKFAEKQQTPARLAPEPKRVRRSYPFPELVSSGRLEVHTLINPSVEQFREAQQAVQPNLLYLQGQQLENEEIGSLVWGDTDLSDLQTFSSLISPPFPTIVYLEVPIGEKLAQSLHSQGIPYVIYWRNSFSMYAASHFRQALMSVIQSSCSHTWDAFQLAHASFRLYCVRNNHVQSVKLGPRLLGDAPKINIVPSENEVDEEEGGSEASLAIKIHDEDVNMKVLVCGLPCTLDACLLGALEDGLNALLNIEIRGSKLQNRVSAAPPPLQAETLSHGVVTMRCDITTCSSSHVSLLVSGSAQTCFDDQLLESHIKNEIIEKSQLVHALSNGEDNKPSSAEPLSSMCLACGASTFEVWMALPKWAAKVLKHLAPEISYRSLVALGIACVNGTPVSSFDRQDADRLLFFCSNQCKDQDIQNGPYAHLSRWSASLTKDRITGSLESKQISSGTNGVTEDRKHLTEGSSLSSLKPRLKPATMRPLPHSPKQQMHLFMGLPQSIIHDASQVKPSLPAPPPVKHSVVPVVPATQRKSSYGPPRVQPSVPLMNPLPMKKHGCDRLPIRICSEGDFLKDVMQFLLQRGHTRLIPQGGLAEFPDAVLNTKRLDLYNLYKEVVSRGGFYVGNGINWKGQVFSKMSNHTVTNKMTGVGNTLKRHYETYLLEYELAHDDVDGECCLICHSSALGDWVNCGLCGEWAHFGCDRRQGLGTFKDYAKTDGLEYICPQCSLAKNKKPPLPVRVGNGFVASADSAP >KQL01874 pep chromosome:Setaria_italica_v2.0:VI:27415439:27419225:1 gene:SETIT_015741mg transcript:KQL01874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEVASLLEELAREATIDDVAVLVNGNGEEQHGNGAVLKSAEALQNLRGILAKEVPQHEGKEVILVDDNDSGQEDGGKAKVDENAPRFGLRFKTYDDVLKYYKQYAEDSGFAAIILKSSYLKSGAERGRANACYLSRESTKINCPARISLKLRQDRWLHIYDAKLEHNHPLNQSSVALTNCYQKLTDAKNGEPASRLKGRSNEDDEYIQKFFGNMQDKNPYFFYLVDLDYQGRLRNLFWSDARSRAANDYFGHDVVYFDTSYLTEKYDLPLVFFTGMNNHGQPVLFGTGLLSDLSADSYAWLFRAFLACMKGRCPMAIITEHYNAILDAVREVLPEVRHRLCLYRIMKDVAENLKEHAEFKTIKKALNKVTYGSLKIPEFEADWKKIIDEHGLGENECLSSLYEHRQLWVPAYLRDKFWAGMSISQRGESISSYYDGFVYPKTSLKQFFSKYEMILENKYKKEWQADEESSHRTPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMPIKVDGRLVTFEVKECSYMEDGKDTESRTYEVYFCKEGKVEIVCECGFVQFTGVLCRHALSVLKLQEIFEIPSNYVLDRWRRDYKKLYYKANKPNEMPLSGIVERSDYLFSQCSQLLNLGFVSESRYLVALKLLRDAERSLLDDGLPARDRQSTLLSFEADAPENGQGLFNPQFSESVKNSQSAHAKRRGRPPKKVTESNGETVTQPNKEQDFLRSSFVTDHTNMVQGPPSASHLEGPHMGVQGGIDLMEGIPNLSLVIILEWILITNIKYPATKGCSRTISCRVQAEPHGFASQWVYHPMLQDNPVLRTPTRRTG >KQL00640 pep chromosome:Setaria_italica_v2.0:VI:3687754:3688691:1 gene:SETIT_014801mg transcript:KQL00640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAAQLKDKLFGLFGRITSCGRAHKDAAGVAEPKSVASQHVEIRSRGGAPGGVNGGSKSINDVDI >KQL02387 pep chromosome:Setaria_italica_v2.0:VI:32173950:32174535:-1 gene:SETIT_014806mg transcript:KQL02387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESQAFFSIQSRFRFPLLGDCCCFCCSFSFFLQTICSFVFYCFKNIIYRSLEIEMVLWILYIYV >KQL01937 pep chromosome:Setaria_italica_v2.0:VI:28236657:28237755:1 gene:SETIT_014318mg transcript:KQL01937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEKQEVTTAATPMCANGCGFFGSAATKNLCSQCYKEHEIKTAAVAPVAEKKVVDAAPAPAEEGKHEGSSSSSAAVAEKKVDAAPAPAEKKENVSSAETTEKHEAASVAAASAAPVMCVNGCSFFGSAATKNMCSSCYRDFLKNAHAVPAVAEKVEVVAPAHQSAPSEISSAATSSAPPRVEAPAAKAAPSRCAGAGCKKKVGLLGFVCRCGGTFCSVHRYTDKHACDFDYKTADREQIAKKNPLVVAPKINKI >KQL02400 pep chromosome:Setaria_italica_v2.0:VI:32248730:32250685:-1 gene:SETIT_014383mg transcript:KQL02400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTLPCRLLPLPSVQRHHDCPGSRWPGRVKTGRAGRNSGKFCARGLFGSGGGGDGLRTVMRMVKLNSAIQNRSVRELLELIGDECLYFLSNLRSIDVSQLGKDMFLLLHALMVRHHVSFVLKPTPDETGFDLGVKWSLEWKGQKLPWDLDCNVSTTHVYRGLLLISQVNKTCVPLLQRILGIIQQNLDAVILTIVNKVLPEGTLDEKKTSTIIFCVIIGLVVMLLFYALFKNL >KQL02674 pep chromosome:Setaria_italica_v2.0:VI:33897527:33901073:1 gene:SETIT_013732mg transcript:KQL02674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLADTEILFQCTCACANITCGHVSDTHSRNKGDIPIAQGPGCNSGRPSPERSNSNRTPSPRQAGSSITRRHPRSVAAAQAQRRQTPPLRPPPSFSAAAAALPSQRVNMSASALKDLNISQPADLENGKDRSMKSCISKPVLNGSKCANKEENAPSACPDAVTNGNEAGNADVEYIDSENLVDLPDADATLSTLVKRLDSKDWVMTCEALNNVRQLAIYHKERLQELLEPLVPLIVKSVKNPRSAVCKTALMTCADIFKAYGDLIVDSIDPLLVQLFLKASQDKRFVCEAAEAALISLTSWISPSVLLPRMQPYLKNRNPRIRAKASVCFSKSVPRLDVEGIKEYGMDKLIQIAATQLSDQLPESREAARNLALELQVLYEKSQACLPPVCVGEPSASPDAESWEAFCQSKLSALSAQAILRVTSTTPKEGAPAGVTSAPKEGVAVGC >KQL01481 pep chromosome:Setaria_italica_v2.0:VI:20422937:20424229:-1 gene:SETIT_015468mg transcript:KQL01481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSGNQDVAIGGNVSNPTDSQPPVVPQGATTSAQGGGVGGASTSQRRRHDSDDSSSDDSDYDDTRSNRSGRHGSRREYYQISFNYNRLNVNTSSGSINLGKPPHFDGVWDTLFINHQGTKRVREGRIRALESELNRFIIKKDETPQEMYNRLNKIVNKIRSLGSDKWGRREVVDKILSAYMARDVQLPILIREKRGFKKFTPTDVIGRIEEHLITVKEFKLSQEMSKIHEQIEKNNGVALKASHKSKEKEASSSSKATTKKIDDDSDSESMDEEEMALFMRRIRRMMKRGGFFEKNKDKEKNKRKSKRSCFGCGKEDHFIANCPNVKIKRNNTSKRDKSKYKKKIGEAHLGQE >KQL00867 pep chromosome:Setaria_italica_v2.0:VI:5792149:5792646:1 gene:SETIT_014716mg transcript:KQL00867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSKIPSFLAFPSSLLPLPSVSTLPRRAGATTGTTSMALSLLPPHGAGARIVDASAGASSWRCGRPLIRFSSSGAPPALPGRSYENLDLGHGLLEGEGQS >KQL02024 pep chromosome:Setaria_italica_v2.0:VI:29179544:29180458:1 gene:SETIT_0151821mg transcript:KQL02024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VWPWLMHISKQDGTCKMFNPLHCEQYTLQDGWVVASAGLDNDDIFVVNPFTEDIVEPPMFETYCRFQGVTFSSAPASPDSVVFGACSSTSGKYFRMETWRPGEDSWSEKGNLAIFNASDNTWRVLDKPEPIYAELQVFDDEHEGAKFCYLVELGEDLVSVFMRDADEPPRDIGGAALFVDYRASFGLVSPGDGNGNRIYFPRYSEDGKHAAFYDMENKVYDPTFYGVIKEPLNCVWVVSNLQ >KQL00759 pep chromosome:Setaria_italica_v2.0:VI:4742428:4746787:-1 gene:SETIT_014367mg transcript:KQL00759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSGSFLEIQPSELAFPFEIMKQSSCSMQLTNKTDHYVAFKVKTTNPKQYCVRPNIGVVSPGSTCDVTVTMQAQKEPPPDMQCKDKFLVQSVAAENGVTAQDINAAMFNKEPGKVVDEFKLRVVYVPTTTPSPIPEDSELGSSAHSFAHENGINHSAMSQSVSRSPADTTKEKSSEATSVISKLTEEKMSAIQQNQKLRQELELLRKESSKNSGGFSITFLLVVGLLGIVVGYILKRT >KQL01425 pep chromosome:Setaria_italica_v2.0:VI:19294212:19297905:1 gene:SETIT_014431mg transcript:KQL01425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRTSARYGPATTFTGPVRKWHKEWVPVAAAAANANTSASANGSAASSTGTGSGSGSRGNNLLLFKWTPVNGANGGGGGSDGEQQAAAADTATRRRRYVPVSVVEEQRQESAKSDDENKANDGDPSPNETEASNGKTDINDTPMDESQASDEDARDSGKNGGGTDLNLNLGLKDPDGDNEADTAEQQEAAKNPQTENNRFKRKSVTPDLEMRM >KQL01424 pep chromosome:Setaria_italica_v2.0:VI:19294301:19296939:1 gene:SETIT_014431mg transcript:KQL01424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGVGARLGRTSARYGPATTFTGPVRKWHKEWVPVAAAAANANTSASANGSAASSTGTGSGSGSRGNNLLLFKWTPVNGANGGGGGSDGEQQAAAADTATRRRRYVPVSVVEEQRQESAKSDDENKANDGDPSPNETEASNGKTDINDTPMDESQVLNYNSDLLTA >KQL02003 pep chromosome:Setaria_italica_v2.0:VI:28917596:28918869:1 gene:SETIT_014976mg transcript:KQL02003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPRGVVAVLSEALCLARRSKKHMLPCLLLALIPTSLQLVGGHVSVYSLLLGFIARLRSLGREHPVTPRFYDLLLRLKSDADALSHVNAALAAASHLGRFASTVVIVHAASAACAGRHLPAKDLHLKLAASWKAPLVTYLYATLLSVGYTAVSVALIAIPVLNMAAAAGGPASRLAVIVAAAVVAAARLLYIYLAMVWAVGVVVSVVEDGCCRGLEALHRAGEAVGARSAQGFLIALVLAAADALVGGIGGNGGGGGRGWRDAFACAVRLLLGMFSPMVYTVFYNECKRSHGDGAPPQEDCNSLALTALHLIH >KQL02460 pep chromosome:Setaria_italica_v2.0:VI:32655357:32656209:1 gene:SETIT_014335mg transcript:KQL02460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCTRPLGTGTHIHSPAAYFTLYSARAMATCRSLLMVPVTLCFFLLVLPAQSKENLAVVIFYGTARCKSNPSKIISNATLHVTINGTTLATGKTTSMGRFLMAARVTSKDQLSSLVSKKAVITAPRQACGMPAASVAARKLAAQADLNGHRILADNSGDLVDDDDIREIIDLLGDPTVPDPIQRLIDDILSSGETSAGYMDNITVFTDQVPVPEVAAFWNFIENSGLDASVFVDVLNNFVGIPNIERNI >KQL00503 pep chromosome:Setaria_italica_v2.0:VI:2625525:2630940:-1 gene:SETIT_015621mg transcript:KQL00503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGRLLLAGLLRSASTASSAPRMPTEQLDPFVLIKDEVSEVTDRLRSMVVAEVPELTSAAGYFFRAGAEGKRTCPTVLLLMASAISMGIADGLENKPRARHMHLAEITEMIHISSLIHDDVLDDADTRRGMDSLNFKVGKKFAVLAGDFLLFRAFSAAVSLDNTEVVSLLATAVNNLVTGELMQMSITPAQRCSMDYYLQKTYYKTAALISNSCKAIAVLAEQTTEVQALAYQYGRHLGIVTAPILFAMEEFPEVHEIVEQGFDDPLNVKTALKYLSKSQGIERTRSLAAEHAKLAASAIDDLLDSEDQVVLNSRQALKDLAQKFMRRTK >KQL02844 pep chromosome:Setaria_italica_v2.0:VI:34933370:34938641:-1 gene:SETIT_013875mg transcript:KQL02844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSGKDLIALAQTGSGKTGAFALPILQELLENRDVQHSFFACVLSPTRELAIQIAEQFEALGSAIGLRCSVLVGGVDRMQQVLSLGKRPHIVVGTPGRLLDHLTDTKGFSLKKIKYLVLDEADKLLNVEFEKALDDILQEIPKDRRTFLFSATMTKKVNKLQRACLRNPVKVEAASKYSTVDSLKQEFYFVPADYKDCYLLHVLNERRESMIMIFVRTCESTRLLALMLRNLGLKAMSISGQMSQDKRLGALNRFKAKDCNILICTDVASRGLDIQGVDMVINYDIPMNSKDYVHRVGRTARAGRSGYAVSLVNQYEAQWFVQIEQLLGKKIDQCKVDPDEIMILKEPISDAKRIALTDSGGHKKRRKLGDDDDEEEDRAHSKRSKSFKRSNRR >KQL02845 pep chromosome:Setaria_italica_v2.0:VI:34933686:34938588:-1 gene:SETIT_013875mg transcript:KQL02845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSGKDLIALAQTGSGKTGAFALPILQELLENRDVQHSFFACVLSPTRELAIQIAEQFEALGSAIGLRCSVLVGGVDRMQQVLSLGKRPHIVVGTPGRLLDHLTDTKGFSLKKIKYLVLDEADKLLNVEFEKALDDILQEIPKDRRTFLFSATMTKKVNKLQRACLRNPVKVEAASKYSTVDSLKQEFYFVPADYKDCYLLHVLNERRESMIMIFVRTCESTRLLALMLRNLGLKAMSISGQMSQDKRLGALNRFKAKDCNILICTDVASRGLDIQGVDMVINYDIPMNSKDYVHRVGRTARAGRSGYAVSLVNQYEAQWFVQIEQLLGKKIDQCKVDPDEIMILKEPISDAKRIALTKMKDSGGHKKRRKLGDDDDEEEDRAHSKRSKSFKRSNRR >KQL02744 pep chromosome:Setaria_italica_v2.0:VI:34330093:34335238:1 gene:SETIT_0135992mg transcript:KQL02744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDVEMNAASATRTSTTTATANTFAVLREEDISERQEEDISKVSSVLSIAREEACVLLHHYKWNISKLSDEWFADEEKVRHTVGLLLNGNDRPRSRKLTCGICFEGYSSDMMSSAGCAHFYCHECWEGYISAAISGGPGCLSLRCPDPSCGAMVLQRMINKLAKEEDKEKYARFLLRTYVEGSKKTKWCPAPDCTCAVEFLGDENYDVSCNCKFNFCWNCTEEAHRPVNCETVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYESAKKEGVYDETEARRERAKNSLERYMHYYERWASNQTSRQKAQADLHKAEKEQLAKLTDIFGIPETQLKFIVEAWSQIIECRRVLKWTYAYGYYLDDKVKSEFFRVPA >KQL01075 pep chromosome:Setaria_italica_v2.0:VI:7919060:7920745:1 gene:SETIT_014830mg transcript:KQL01075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPDELRFQTSEKLKWLLKVPDLNESTSEVQQIMLESDVPNMIRTSAGVAHLRLQR >KQL01621 pep chromosome:Setaria_italica_v2.0:VI:23454263:23456198:1 gene:SETIT_013588mg transcript:KQL01621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFILEGLGWLVVGLFSLYIFQLIRDSRRRLPPGPWPSKPIIGDLLDLGEPGQQHRTFQKLSERYGDLMCLRFGSVPHVIVSSPEALREVFHAGENGKKVDNIAGIPSLDVLTAWGHDSHTIFALPSLDDKWRAVRKFAAAEMLAPRRIAGAGAMMQARIVESLYRYLSDHAARGTTVAIRNAVMDPILSLLLGLLYSIDLEPKERAMFRDTIEEIVEILGTGNVSDMFPAIAPLDLEGLRRRTKTLLGIVYHPFDEQVVLRRRSREAGEPRKNDVLDTVLDKESEWEKEGSLLSPEVIRVLLSDIYGAGGSSTAALMEWGMVDLIQNPETMHKAKEELKRVLGDKPFIEESDVAKLPYLQAVIKEMLRLRVALPLMPRKTEADIEVNGYRIPKNTNVLVNAWAINRNAQAWPDPHKFIPERFLDGETRSHVGQDFDMIPFGLGRRICPGMPLAMKMIPLILGTLLHRFDWELPAEVKESGIDMKEKCGVVLTLVTPLKAIPREI >KQL00446 pep chromosome:Setaria_italica_v2.0:VI:2145771:2148546:1 gene:SETIT_013673mg transcript:KQL00446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLKPVVTGTKISRQRNRTPSIHSRYPSLSSGPPLPNPTYPPPPALTRDPSPNPWPAATSRPRRGCRRRCCPRRRRPWPTSPPRPPPTASASATSSSSPWAPASCSPGTPSSPPSTTSPSSTLAPPSTASSPSPTCSPASCPSSSSCSASRNPAPPRASTRGSRSSRSRSSSSRPWTPHTSRGGPGCTGHSTSPSRQPSCAAPPTRSCRGESSGSPGSCRRGTCRPSSPEPPPPGVLVSAMRVFTKALYPQDAHGLRQSANLYFIVGIVVMIMCIVCYNVAGKLPVVMYYKNIKKRAQKADVGGGMTGPAWRSTLWSIVGTVKWYGIGIIFIYAITLSIFPGFITEDVHSEALKDWYPILLISAYNVFDLIGKALPAVYFLPNANIAVAGSFARLLFYPLFYGCLHGPSFFRTEIPVTILTCLLGLTNGYLTCILMTLAPKAVPIHHSETAGIVIVLFLVVGLVVGSFVSWFWVI >KQL00776 pep chromosome:Setaria_italica_v2.0:VI:4868682:4869356:1 gene:SETIT_014928mg transcript:KQL00776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EPSGKHAVLAVQTIRNNIMASTLLASTAITLSSLIAVLMSSGGGGGSSSTTATDSSNDGGLLPGAPLVLGATGVPALSAKFFAILVCFLLAFLLNVQSIRYYSHASLLVNVPLGAWDDGRGRRRLRPGRAAAVVYVTDVLNRGSYFWSLGARAFYFSCPVFLWLFGPIPMLVACVAMVCALYFLDVCKDWKEEEEGGGGGGDDDECVGDERAAGRQGKGAEQQV >KQL00435 pep chromosome:Setaria_italica_v2.0:VI:2082286:2082744:1 gene:SETIT_015116mg transcript:KQL00435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHFLLIVLVASILHVAYATAAATSANLTADAAATAYDILEKNNLPRGLLPKGVQSYNLSPDGKFEVTLPGECDFPVTFGGQDFKFCFASTVGGVIQAGSIHEVYGVRVQIKFGWLGLRQVDRAGDQLTLQVEQFTQTFPTSTFAVSPSCS >KQL00755 pep chromosome:Setaria_italica_v2.0:VI:4688936:4694122:1 gene:SETIT_013247mg transcript:KQL00755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVIQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKTDDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLDLGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNILMWWQDFDGKIPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHSETETGFITPGDTMVRIEKGELLSGTLCKKSLGTGSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQQGFSIGIGDTIADAATMEKINETISKAKNEVKELIKQAHENHLEAEPGRTMMESFENRVNQVLNKARDDAEGSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGFLFSCLH >KQL02495 pep chromosome:Setaria_italica_v2.0:VI:32875496:32875962:-1 gene:SETIT_015933mg transcript:KQL02495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMREMARRHVEELRRVAQGVGAEAHGGCPRSRRSSVHESASTPTPTLAPGTNP >KQL01271 pep chromosome:Setaria_italica_v2.0:VI:12882926:12883299:-1 gene:SETIT_015384mg transcript:KQL01271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISELDADGVVGYFRGKSILITGSTGFLGKVLVEKILRVQPGVKKLFPLIRDIDAESAKHRVQTEVNGFLLSLPVTPFEVTSIQSDFFLLE >KQL01823 pep chromosome:Setaria_italica_v2.0:VI:26907730:26908812:-1 gene:SETIT_015729mg transcript:KQL01823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHTPIQKFSAPEDEFLEPLPSAKLITTFGYELYPGIIAMVRGLTFYGFENENPYLHLQEFEELSLRSDILEFELLEKESIGVAWARFSHLLASSPSLSIPDDASLHIFCMEEDKSSYEGPSTVIFEPFHLSSLAVETSPEPQTPKEEEIQPSEFSFPFKDDPYENLRNTSNYLYEKRPTFTFHDHMLLHEGNCRRSPSRPHCRSLYHVRIPHGHFLRTHI >KQL01374 pep chromosome:Setaria_italica_v2.0:VI:18092762:18098898:-1 gene:SETIT_013817mg transcript:KQL01374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGGLDRFKKAQTLEPFSVQSGLGAKSTPAAARTAKAPPALLTVPQNSNFFAGQNHHSPQGSSRVAGQDGVAPGHVGTHVGGGQSTWQPPDWAIEPCPGVYYLDVLKDGEVIDRINLDKRRHIFGRQVPACDFVLDHQSVSRQHAAVIPHRNGSIYVIDLGSVHGTFVANERLTKDNPVELEVGQSLRFAASTRTYILRKNTAALFPTPSLPSDVSLPSPPDPNDEDAVVAYNTILNRYGISKSDLSSSSKGSSGGSSGANDNNHTVERPLKRSKKLRVSFRDQVGGELIQVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQISPKESASPSGVTDKLQEVLKKVKSTTRSGIYDDLYGDSIPAKVGSSWAYKSDDQSDKDKAIEEKTHSLNLSMSSTDDSDDLFGDS >KQL01695 pep chromosome:Setaria_italica_v2.0:VI:24972464:24973952:1 gene:SETIT_013980mg transcript:KQL01695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASTLTALLKKARRAQLQLTSWIVETNLSLLLVRTVSAQQTSEWAVGTMFIRALQLVVREKKSGVIASGCLGVVNAFSSASAAQSQRLAGKVAVITGGASGIGKATAAEFVRNGAKVVIADVQDELGHAVAAELGPDAASYTRCDVTDEAQVAAAVDLAVARHGQLDVMFNNAGIGGNHGPPPPLDAVDLAEFDQVMATNARGVLAGLKHAARVMVPRRRGSIICTASTTSLVGGIAAPAYGASKAAVAGLVRTVAVELARSGVRVNAISPHIIPTPLVMEPLALLLPGKSAEELRRLVEVGMNVTVGGTVLEVDDVARAAVYLASDESKYVNGHNLVVDGGFTVSRSLENAGGSTTRE >KQL02249 pep chromosome:Setaria_italica_v2.0:VI:31191501:31193342:-1 gene:SETIT_014301mg transcript:KQL02249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGTREEMVYMAKLAEQAERYEEMVEFMEKVVTAVGAGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEETRGAAGHAAAARGYRGRVEAELSNICAGILRLLDERLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADATLAAYQAAQDIAMKELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGGDEMRDASKPEDE >KQL02250 pep chromosome:Setaria_italica_v2.0:VI:31190887:31193637:-1 gene:SETIT_014301mg transcript:KQL02250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGTREEMVYMAKLAEQAERYEEMVEFMEKVVTAVGAGELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEETRGAAGHAAAARGYRGRVEAELSNICAGILRLLDERLVPAAAAVDAKVFYLKMKGDYHRYLAEFKTGAERKDAADATLAAYQAAQDIAMKELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQDDGGDEMRDASKPEDE >KQL00505 pep chromosome:Setaria_italica_v2.0:VI:2645983:2649887:1 gene:SETIT_015377mg transcript:KQL00505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHCSILLVASLLLASPAWTSASAGSDGTGADHRALMQFRSLITDDPYRALASWGAGNMTAPAPCGWHGVTCGVRGRRRSRVTALDLHGLGLASSGTAAPSSLSGLTYLRRLDLSRNRLGGGVPSPLPPSLEHLNLSHNVLQGPVPPALGSLHRLQKLSLSYNNLTGAIPASLGNLTSLTILGLANNNLAGAIPGALGNLKALTGLYLSSNNLTGAIPASLGNLTSLTILGLASNNLADAIPGSLGNLKALTGLYLNQNMLQGSIPSAMFNISSLQDLNPRQQQPAGAIPGALGNLKALTGLYLSSNNLTGAIPASLGNLTSLTILGLANNNLADAIPGSLGNLKALTGLYLNQNMLQGSIPSAMFNISSLQDLNVQFNNLTGAIPASLGNLTSLTILSLTSNNLAGAIPSALGNLEALTGLYLGFNMLQGSIPSTLGNLKALTGLFLHYNMLQGSIPSAVFNISSLQKLDVQMNNLTGTLPPNAGGRLPRLTWFAVNNNRLHGTIPPSLCNASKLELAQIGQIPQEIGNLVNLKRIYMDRNNLTGQIPPTIGNLTVLTSLFLEDNMLTGPIPSSLGSCPLQSLSLEHNRLTGPIPKEILLISTLSDYATFQENMLTGSPSWFLIFQEVGHVKGLEQLHISFNNFDGEVPKHGIFLNASAFSFEGNSGLCGGITQLKLPPCSDNGSTSNNKPSHKLVMMVSIATAFLGISLLLALCVLCHQRRKLIKAEHALPLINDQYARVSYVNLMNATNSFASENLIGIGSFGSVYKGTMISHDQEVVVAVKVLNLQQRGASQSFIAECETLRCARHRNLVKILTVCSSIDSGGLDFKAIVFDFLPNGNLDQWLHHRLREHGTHSRIDLVQRIDIAIHVASALEYLHHYKPTPIVHCDLKPSNILLDNDMVAHVGDFGLARFVHQDQTNPSDISSGWATRRGTIGYAPPEYGLGNEVSIYGDMYSFGVLLLEIFTGKRPTDSDFVQDLNLHRYVQIALQDEQVTSVVQDPELEGRTSSS >KQL00868 pep chromosome:Setaria_italica_v2.0:VI:5792838:5793240:-1 gene:SETIT_016018mg transcript:KQL00868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFASEFSGFGDSDSESSGSDGYSVKEGKRLSVFLARTVHLYFSLLISCP >KQL01426 pep chromosome:Setaria_italica_v2.0:VI:19298843:19302185:-1 gene:SETIT_014157mg transcript:KQL01426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARLLARISRQGVASAAVAGVVRRRPEAASLLGASALAAAAEPCASIKVIPLLNQPARYSTSAFQRFGFSTSAPQQDDKEANKHADDGVNKTAGASTEASDSEDLDLSKEDLVKLLLEKDESLKSKDQEVKDMKDKVLRSYAEMENVLARTKRESENTKKYAIQNFSKSLLDVADNLSRASSVVKESFSKIDTSNNSDEAVPLLKTLLEGVEMTEKQLGEVFKKFGVEKFDPLNEKFDPNRHYALFQIPDPSKPSGTVAAVVKVGYMLHDRVLRPAEVGVTEGGPVEEEPEEKSSKSE >KQL01570 pep chromosome:Setaria_italica_v2.0:VI:21912638:21916130:-1 gene:SETIT_014159mg transcript:KQL01570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMDIVGKSKEDVSLPKSTMFKIIKEMLPPDVRVARDAQDLLVECCVEFINLLSSESNEVCSREEKKTIAPEHVLKALSDLGFREYIDEVYAAYEQHKLDTLDSPKAGKFTGIEMTEEEAVAEQQRMFAEARARMNNGAPKPKEPEQEAQQQPHPQLQLHTPPQQPMQPQLQLHSPTQQSVQPQLQLHPQVQPHPQQSQVQPHPQQSQVQPQQAPQVQLHPQPQQAPQVQLHPQPQQSPQVPLQSQPQQTPQVPLHPSPEQPSQPQPQPQVHLQSQEPPQVQLQAQLQPQVQTEHGVDS >KQL01735 pep chromosome:Setaria_italica_v2.0:VI:25347828:25351500:1 gene:SETIT_015572mg transcript:KQL01735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGACTDSRGTENSEYLMFSTCSMKVNTVEETFGDAYPYGVWGEFKKGVRFNIFENVVALASFNGDFTFFECTGFFIDHVDKCPTILTSASLVRNPDSTDEIIEGLRIKALLSNKECIEGKLEHYSLHYNIILVSAKNYNVDSPASLKHDKIDYYTKVVDLGCRFESGVLMAASGKHTWWLGSLGCEGLRYTTCEITKAGIGGPLVDVNSNFVGMNYYNPKMGTPVPAL >KQL00623 pep chromosome:Setaria_italica_v2.0:VI:3536197:3543285:-1 gene:SETIT_013412mg transcript:KQL00623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGATRRRWAVWALLLLRLLLHPAARVLANTEGDALHSLRTNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGTLVPQLGQLKNLQYLELYSNSISGTIPSELGNLTNLVSLDLYLNNFTGPIPDSLGNLLKLRFLRLNNNSLSGSIPKSLTAITALQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPNLCGPGTTKPCPGAPPFSPPPPYNPPTPVQAGSSSSSTGAIAGGVAAGAALLFAVPAIGFAYWRRRKPQEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDGFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERPESEPPLDWQTRRRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKRLESLVDEDLQHNYIDVEVESLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVVRQEVELGPHRTSEWILDSTDNLHAVELSGPR >KQL00853 pep chromosome:Setaria_italica_v2.0:VI:5672072:5672638:1 gene:SETIT_015094mg transcript:KQL00853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAAVVTLVFAVLASLATFFIASGDACPGVPSMTWEDACLKSRDKQEPRWYQLCQDTLRTGLNTAQVTFFALMATRKASLRYESYPRSAGSARMLQTTSAMAKPPLEHCKQRYGAARGLVDKIMEQLPRCRRQAGVLRRALLAVQGCRSDLWSGYRSSPSPLYAAVLADLDLTVVALIVNPE >KQL01106 pep chromosome:Setaria_italica_v2.0:VI:8322780:8327464:1 gene:SETIT_014332mg transcript:KQL01106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQCLLRRAMPLPPPPPPRTPGVTGGRLLSSLSPPPRLQSRREVHIWYLSPDELNDASQLKMYMELLSPSERKNALSMNGENLQKVAVLSRALIGIDIEEKKRNTAKNVLSLADRYFTPSEFDHLVNLPDPDAQQKEFIKLWTLKEAYVKALGRGFSGAPFNKFSIILAANNGIQISVEPKALNDSNSSCDCLSENWKFALAELYGSYYMAACMEDDSRSSGLFFEYFSLHTNSSVTLFFFPDIFTACYRF >KQL02693 pep chromosome:Setaria_italica_v2.0:VI:34004290:34006033:1 gene:SETIT_014693mg transcript:KQL02693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVPLSAISGYNPSQQYPYPPPSQGYGHPPPGAYPPPQGQGPYPPPGYQGYFNDQQHPYYPPPQQQPPPYGGYQHQEQEDSSCGFLKGCLAALCCCCVLEECCGLF >KQL02694 pep chromosome:Setaria_italica_v2.0:VI:34004290:34006298:1 gene:SETIT_014693mg transcript:KQL02694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQKAPHEESYPPPGYNPSQQYPYPPPSQGYGHPPPGAYPPPQGQGPYPPPGYQGYFNDQQHPYYPPPQQQPPPYGGYQHQEQEDSSCGFLKGCLAALCCCCVLEECCGLF >KQL01657 pep chromosome:Setaria_italica_v2.0:VI:24263479:24263847:1 gene:SETIT_014774mg transcript:KQL01657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDEKSCALNAWKSRRRTRTRSEHEQPHHHAAAYFSRFQQCICCFLRKQCICRSAGIFMIHKWIHLYITQGKLEVCTP >KQL02779 pep chromosome:Setaria_italica_v2.0:VI:34497412:34499117:1 gene:SETIT_014051mg transcript:KQL02779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVRRIAGIGKAKVPSAVQKDRDESIVFFRELYKREKDKDVNLLEPMYSVEFEAIQGGHGCKVPPGKRDFLIPVDEKHDYDWLMTPPAAPLFPSLEIEANSSQMVFQRVPIPTRQVKPSAPRLLAKPEATKASARPASPTSNSSSRKTFIKGAPAISKEKKQPHTAGQRSDHKVPVNGHQKAAAAAVPAGTRTSGAPKKHSERCYATQTGGTSATKGVTDQETPFKAPKNLITTTRSIFRRRTPSAENARSKDPGSGADVKKENGKARRQSYPPPAIRGMTELQLQDRRDALPPRGKSVAGSGGELATGNGGRAGRASLMKGTGRTDGRAWV >KQL02777 pep chromosome:Setaria_italica_v2.0:VI:34496586:34498964:1 gene:SETIT_014051mg transcript:KQL02777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVRRIAGIGKAKVPSAVQKDRDESIVFFRELYKREKDKDVNLLEPMYSVEFEAIQAAPLFPSLEIEANSSQMVFQRVPIPTRQVKPSAPRLLAKPEATKASARPASPTSNSSSRKTFIKGAPAISKEKKQPHTAGQRSDHKVPVNGHQKAAAAAVPAGTRTSGAPKKHSERCYATQTGGTSATKGVTDQETPFKAPKNLITTTRSIFRRRTPSAENARSKDPGSGADVKKENGKARRQSYPPPAIRGMTELQLQDRRDALPPRGKSVAGSGGELATGNGGRAGRASLMKGTGRTDGRAWV >KQL02778 pep chromosome:Setaria_italica_v2.0:VI:34497412:34499117:1 gene:SETIT_014051mg transcript:KQL02778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPAAPLFPSLEIEANSSQMVFQRVPIPTRQVKPSAPRLLAKPEATKASARPASPTSNSSSRKTFIKGAPAISKEKKQPHTAGQRSDHKVPVNGHQKAAAAAVPAGTRTSGAPKKHSERCYATQTGGTSATKGVTDQETPFKAPKNLITTTRSIFRRRTPSAENARSKDPGSGADVKKENGKARRQSYPPPAIRGMTELQLQDRRDALPPRGKSVAGSGGELATGNGGRAGRASLMKGTGRTDGRAWV >KQL02776 pep chromosome:Setaria_italica_v2.0:VI:34496586:34498964:1 gene:SETIT_014051mg transcript:KQL02776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRVRRIAGIGKAKVPSAVQKDRDESIVFFRELYKREKDKDVNLLEPMYSVEFEAIQAAPLFPSLEIEANSSQMVFQRVPIPTRQVKPSAPRLLAKPEATKASARPASPTSNSSSRKTFIKGAPAISKEKKQPHTAGQRSDHKVPVNGHQKAAAAAVPAGTRTSGAPKKHSERCYATQTGGTSATKGVTDQETPFKAPKNLITTTRSIFRRRTPSAENARSKDPGSGADVKKENGKARRQSYPPPAIRGMTELQLQDRRDALPPRGKSVAGSGGELATGNGGRAGRASLMKGTGRTDGRAWV >KQL01698 pep chromosome:Setaria_italica_v2.0:VI:24990625:24991980:1 gene:SETIT_014053mg transcript:KQL01698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVRALQLIVSKKSGVIAPGSLGFVNAFSSASASQSQRLAGKVAVITGGASGIGKATAAEFVRNGAKVVIADVQDDLGHAVAAELGPAAACYTRCDVSDEAQVAAAVDLAVARHGQLDVMFNNAGIGGNPLRPPLGAADLADFDRVMATNARGVMAGLKHAARVMVPRRRGCIICTSSVAGVVGTAMNPAYSASKAAILGLVRAVAAEMARSGVRVNAISPAGVPTPAAMEIFSLWFPGKSVEEIRRIIEVDMNVMDGTVLEAEDIARAAMYLASDEAKYVSGHNLVVDGGLTVSRSVGNVSASTARE >KQL01697 pep chromosome:Setaria_italica_v2.0:VI:24990862:24991860:1 gene:SETIT_014053mg transcript:KQL01697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRLRPLRVRGSLSWHNLHLAASALWHLVDGIELACAELRFLIRSRLTEEPFCCAGLVCRLAGKVAVITGGASGIGKATAAEFVRNGAKVVIADVQDDLGHAVAAELGPAAACYTRCDVSDEAQVAAAVDLAVARHGQLDVMFNNAGIGGNPLRPPLGAADLADFDRVMATNARGVMAGLKHAARVMVPRRRGCIICTSSVAGVVGTAMNPAYSASKAAILGLVRAVAAEMARSGVRVNAISPAGVPTPAAMEIFSLWFPGKSVEEIRRIIEVDMNVMDGTVLEAEDIARAAMYLASDEAKYVSGHNLVVDGGLTVSRSVGNVSASTARE >KQL02045 pep chromosome:Setaria_italica_v2.0:VI:29411976:29413643:1 gene:SETIT_014628mg transcript:KQL02045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLDQLWDETVAGPRPESGLGRLRKYSSFSPSSSSSLAPAAAADAPPPAVTRSITIARPPSLSVDQSPRGESYSSSVPSSPASAPDSPFATATTPKADSWRRLRRKPKASEGPEPAVGRRSPTVYDWVVISSLDR >KQL02796 pep chromosome:Setaria_italica_v2.0:VI:34603221:34604027:-1 gene:SETIT_015024mg transcript:KQL02796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELACPSSSCSPPHSPASLFSFAGGHHPVVLEFESCDVPEQWLEDDGEGLQETLWANGAVWRDGASPPSAGKNMSGNPTPPPAPTKRRRGWKPGPRTNGPVISHVEAERQRRDKLNRRFCELRAAVPTVSRMDRASLLADAAAYIAELRGRVQHQLEAESKKASAAVTTVTAAAHSFAGGGLAVEEDLEVRMLGREAAVLRLTSAARHAPERLMVALRSLDLPVQHAYVCRVGGMMTVKDAVVDVPAALGEEGRLRAALLQSLQGGG >KQL02048 pep chromosome:Setaria_italica_v2.0:VI:29434993:29440303:-1 gene:SETIT_014089mg transcript:KQL02048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEAPLGALNLSEYASAGARTVDCYRRIRKIGEGTYGEVFEAVDIITGERAALKKIKLDDGKEGFPRQILREIKLLKKLDHENIIRLKEIVVSPGSAHGTGGSDNNQMYRGDIYMVFEYMDHDLKKVLHHSTPSQVKVYMGQLLKGLHYCHVNNVLHRDIKGANLLISGGKLLKLADFGLARPFTREGTFTNHVITLWYRPPELLLGATNYAEAVDIWSVGCIFAEFLLKKPLFPGRTEQDQLSKIFELCGSPNEENWPGVSKLPLYKTMAIHPATPTKRRLRDMLQNFDYHAVDLIERMLILDPTKRISAKDALDAAYFIN >KQL01063 pep chromosome:Setaria_italica_v2.0:VI:7802151:7802688:-1 gene:SETIT_014582mg transcript:KQL01063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSDGWVWYWLHRSMYPYKGTRPRVTCTTGLLYTIIKIKASLLAVFVLLFFTISPQGPKEASLGLAKQKKASRCSCCLASVLLPAGEPDWVEGGERYRYGAYAQSLKTETLTTGLGDGESQQKAPTLANAKGFACLSRLPKRFPNSPPTAR >KQL01250 pep chromosome:Setaria_italica_v2.0:VI:12155238:12158949:-1 gene:SETIT_015816mg transcript:KQL01250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IYPPAYHGARLRAATMAAAHRLVLLVLALLAVATIAAAASGARRAAVVGKSAVVSLLELDGRGAARETRSGSCYADAKRAEMLGESRKSGAARTATVLELKHHSLTTIPDDPAARDQYLRRLLAADEARANSLQIKNNRGAAASTQSGSSAEVPLTSGIRFQTLNYVTTIGLGGGSSGSAATNLTVIVDTGSDLTWVQCKPCSSCYAQRDPLFDPAGSATYAAVRCNASACAASLKAATGTPGSCATTGGGGSEKCYYALAYGDGSSSRGVLATDTLALGGAARIDGFVFGCGLSNRGLFGGTAGLMGLGRTELSLVSQTASRYGGVFSYCLPASTSGDASGSLSLGGGGGASPSYRNTTLVAYTRMIADPAQPPFYFLNVTGAAVGGNPVAAAGLGAGNVLIDSGTVITRLAPSVYRAVRAEFTRQFGAAGYPATAGFSILDTCYDLTGHEEVKVPLLTLRMEGGAEVTVDAAGMLFVVRKDGSQVCLAMASLSYEDQTPIIGNYQQKNKRVVYDTAGSRIGFADEDCSFV >KQL01503 pep chromosome:Setaria_italica_v2.0:VI:20972297:20973594:-1 gene:SETIT_014289mg transcript:KQL01503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAVASNLHAITTSPVVPRGRFTSTSPSWRVPKRGSVRVRSMRNGSTDSLDHLQRASKPRQQQHGNGAPRRRVIQTSPFGLWDSFPEARTLDQMVRTMERIMDGEGDDDRVFVVPANSVPRGNNGVAAVPAAATAPAYRRGRTPWEVRERAGEYLVRFDMPGMTREDVRVSVQDRTLVVAAEKAAAADKGAGAEEEDDDEAGEPWPAASFGRYRTRVELPENVDAERIAAEVKDGVLYLTIPKLSAGGKVVNIQVQ >KQL01502 pep chromosome:Setaria_italica_v2.0:VI:20972615:20973529:-1 gene:SETIT_014289mg transcript:KQL01502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAVASNLHAITTSPVVPRGRFTSTSPSWRVPKRGSVRVRSMRNGSTDSLDHLQRASKPRQQQHGNGAPRRRVIQTSPFGTPSDWLWDSFPEARTLDQMVRTMERIMDGEGDDDRVFVVPANSVPRGNNGVAAVPAAATAPAYRRGRTPWEVRERAGEYLVRFDMPGMTREDVRVSVQDRTLVVAAEKAAAADKGAGAEEEDDDEAGEPWPAASFGRYRTRVELPENVDAERIAAEVKDGVLYLTIPKLSAGGKVVNIQVQ >KQL01587 pep chromosome:Setaria_italica_v2.0:VI:22548792:22560197:1 gene:SETIT_013187mg transcript:KQL01587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKRLQCEQQLKTRVRPEPNTPPSDHPRGRAHASGGTLAPPEMEPEPEAAAAAGALASEAAAPEHHPSQLDVFKNRIRLLRNRTGNFDAWVSLISVAEGTSADDIEVISLVYGSFLLEFPLCYGYWIKYAAHKARLCMNRDVVDVYEQAVQAVPHSVDLWVSYCGFGVCAYEEPADIRSLFERALSLVEKDYLCYHLWDKYIEFESSQKQLIQLAIIYINTLKFPTKKLHMYYESFRKLVTLLEQEVTSCAAGRLSDKIHTSEMIEAEDSELDISTIIADLFDQKGGHFSPEALKNYLAAGERLYKRSSKIDKEICCFEASVKRPFFHVKPLDIDQLENWHQYLDYVEKNGDFDWAVKLYERCLIPCANYSEFWIRYSEYVDAKGGREIANHALVRASSCFVKGVPTFCMYYALFKEQIGDASAARSLFVKASSNFTSGFYANINRLANMEKRMGNSKAASKIYETAIEDAMQKQNIELLQDLYSNFAQFIYAASHSIVEAKEVFVKGINRVPCKPLIKGLIQFMSTHGGPTEIPLLDSVISNAVTPGSDVSTALSPEDREDISLLFLEFVDLYGGVEELRKAWARHSKLFPHSTGNMSQHYPTIGNSVQENNKRRKTEHSIVAHDHSLEDIRKLKQTTKTDNFSLIFDKEVESQVERDIVDSGKGHRDAGEQKALENLDSHEETSRASQECTHSLDKYGMQNQMNSFAKEKTDQDLSLHEQNAEKTSHEAQSHEAPVAESGDCNSPSKAIASSESINSQDKVAVVSARIHREMVCSKSDLPSGSSMPKEGGSSSDPARISPELEERHHVEVQVKLDTEDGLSVSNANLERSNDSPNTTDCDKVNSALVHESQNHVQSSPAEQLAVCAKPSSSELVNTKADTLGFQAQLQNQVANSQTHQSNNLSLPVQNIQQQGPSYTMAQNVQTSAQTQDQLFAQSNQGNQQYLQMTQGNNCITCRLSTINRCRVCNNNTFQLSIFSKISCSKCNSCSSKWYFGSNKCSSSSK >KQL01868 pep chromosome:Setaria_italica_v2.0:VI:27330296:27335021:-1 gene:SETIT_014248mg transcript:KQL01868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGIFQSFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHYDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRATRTVVMTPEFSQRGRGSSSRPLSNPSNRFNNRGGFQAGRGRGQFQARGRGRGQFQARGRGRGQFQGRGGRGRKPEKTADELDKDLESYHAEAMKTD >KQL01869 pep chromosome:Setaria_italica_v2.0:VI:27330296:27336828:-1 gene:SETIT_014248mg transcript:KQL01869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYARRGGDRGTGRIQGSGGKGGHALRGRSGLPPRGPLGVSSRPSARTIAKSFSRTKDMTWRPDLFSDSMAASGIETGTKLYISNLDYGVSNEDIKELFSEVGHLKRFAVHYDGYGRPNGTAEVVFTRRSDAIAALKRYNNVLLDGKAMKIEVIGSDLGLPMTPRINVVGASNGRATRTVVMTPEFSQRGRGSSSRPLSNPSNRFNNRGGFQAGRGRGQFQARGRGRGQFQARGRGRGQFQGRGGRGRKPEKTADELDKDLESYHAEAMKTD >KQL02129 pep chromosome:Setaria_italica_v2.0:VI:30141445:30142473:-1 gene:SETIT_014668mg transcript:KQL02129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTPHILLVDDSCVDRLVASRLLQSCNIRVTAVEGPKQALKFLDMEHDVQLILTNYYMPEMSGYDLLVEVKKTPKLNHLPVVITCTEDISKRIKMCLDGGAKDYIIKPIKVVNVPHLVSYI >KQL00798 pep chromosome:Setaria_italica_v2.0:VI:5185476:5186660:-1 gene:SETIT_014171mg transcript:KQL00798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQKRGMGVAAVTVPPMLASESNKISIIISPRAASSKVMPFELLNTGSVSSHPHADPAESSDAHAAHYHRWNKGLPKIKAVPLIKKVVAEFLGTFILIFTVLSTIIMNEQHDGVESLLGIATSAGLAVTVLVLSLIHISGCHLNPAVSIAMTVFGHLPLAHLLPYVTAQILGSIAASFSVKGIYHPVNPGIATIPKLGTTEAFFLEFITTFVLLFIITALATDPNAVKELIAVAVGATIMMNALVAGPSTGASMNPARTLGPAIATGRYTQIWIYMVATPLGAVAGTGAYVAIKL >KQL02566 pep chromosome:Setaria_italica_v2.0:VI:33255954:33256964:-1 gene:SETIT_014942mg transcript:KQL02566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLDGRPTDFRFQEFRLDYEKNKHLEAGHAIFSDPFSAGGHMWRISCFPRGINSVYDKGEYLSVFVEVVSKPWVSGVSAVFEVFLMDKNGEPSVTEGHIRIVCGIMVVNDRSIPVPPSDIVEHLCNMLDSTDGADVSFIVDSETFHAHRAVLAARSPVFRAELLGSMAEATAPSITLHDIAPATFKLMLRFMYTDTFPVDGELLGDCPTEMIRHLLAAADRYALDRLKLMCAQKLWDNVSVNTVAATLACAEMYNCLELKIKCIDFFAMEKNFRKAVLTDGFVQLVQQFPFIIVELRKRPAT >KQL01646 pep chromosome:Setaria_italica_v2.0:VI:24062627:24063837:1 gene:SETIT_014606mg transcript:KQL01646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNMALAGNGMKKLILAVLLLCLVIGQIQVEAKSCCPSTTARNVYNTCRLTGASRPTCAKLSGCQIISGNKCKPPNDHLTLDPDTEEVNVLNFCKLGCASSVCNNINAALGNEEANDAVESCDQACSSFCSVHVGSATVVA >KQL02826 pep chromosome:Setaria_italica_v2.0:VI:34827456:34827632:-1 gene:SETIT_015014mg transcript:KQL02826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGHQSSISQKGYTQTFGYTSEAILTDLSNVVRYTQSTGKAQKSRTVKPIEDIRSNK >KQL02207 pep chromosome:Setaria_italica_v2.0:VI:30878826:30879647:1 gene:SETIT_014356mg transcript:KQL02207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVMTEDGEEERRAPAPASRKKERGRSHSEAERKRRQRINAHLATLRTLVPSASRMDKAALLGEVVRHVRELRGKASDAAKGVGAGVIPGEGDEVGVEEDDRWRPAGRHYGGVGGLGTDADDACDRRLRPPRRVRAWVCCADRPGLMTDLGRAVRSVGNARPVRAEIATVGGRTRGVLELDVCCDDGDGDVVAAAATDRGNRAVAMSTLRTALRAVLLDREEHLSAAEGYKRPRFSAQIAEVQ >KQL00220 pep chromosome:Setaria_italica_v2.0:VI:607847:611351:-1 gene:SETIT_014186mg transcript:KQL00220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSMAAACAAAAASLSPPQSTAQRPGSQGRLLFPGAPASSRSLRLRTAGRRSPATRSLRRASKAVVAALADPLKVMISGAPASGKGTQCELIKAKYGLVHISAGDLLRAEIAAGSENGKQAKEYMEKGQLVPDEIVVNMVKERLLQPDAQENGWLLDGYPRSYSQAMALETLEIRPDTFILLDVPDELLVERVVGRRLDPVTGKIYHLKYSPPENEEIASRLTQRFDDTEEKVKLRLQTYYQNVESLLSTYDNVIVKVKGDVTVDEVFAEIDKQLSSILDKKTETVASA >KQL00743 pep chromosome:Setaria_italica_v2.0:VI:4571228:4573131:1 gene:SETIT_014975mg transcript:KQL00743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGVSMSWPDVLTICGLFAVQCIFGLYMMFLNGLLAAGVPSLFIIVVACAASSVVVLPFAVVIERKKWPKVWSPMLVLQLVIISLGGVSIYQVFMMLGVERTSPAIASAMPNLGPAFIFVIAACLRFERFNWKCQYTRAKILGTLVCLSGAMCVSFLKNPTPSVSPKSVPDELELSNGKSRKDWILGCFYLLTGVTIFACNTVMQAAALKRFPAPLSICSITAMMGSIFSAIIQVLMEGKLTAGTSDNITRIIGEIVLVGGVVIGLCTTFQVSSIGRKGPVLVSMFSPFQTVFSSFISLIVFGQWIGLGCCVGIVLMFVGLYVVLWAKNREDKMFTELTVPSETECDIEAPLLQ >KQL02342 pep chromosome:Setaria_italica_v2.0:VI:31892095:31895491:1 gene:SETIT_013769mg transcript:KQL02342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYDGELTGVYPEENEDHHAVQMAESDYEDDDSDQPTCKETEDTTAMDVKKGKDIQGISWETMNTTRDRHRQARLQQYANFENIPNSGRTAEKDCTPAKKGQLYYEFQHNTRSVKSTILHFQLRNLVWATTRHDVYLMSYYSVLHWSALTREKQEIIDLQGHVAPCEKHHGNFSEGFSRTQVSALAVKNNLLVTGGFHGEIICKFLDRQGISYCCKSTHDDNGITNSLEIYEKPSGSLHFLASNNDCGVRDFDMEKFQICNNFRFAWPVNHTSLSPDGKLAVMVGDSPDGLLVDANSGKAVHDLRGHLDFSFASSWNPDGRTFATGNQDKTCRVWDIRNLSKSVAVLGGNIGAIRSIRYTSDGKFMAMAEPADFIHIFDVESGYSRKQEVDFFGEIAGISFSPDTESLFVGVHDRANSSLLQFNRRRFYSYLDSVL >KQL02340 pep chromosome:Setaria_italica_v2.0:VI:31892095:31895491:1 gene:SETIT_013769mg transcript:KQL02340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYDGELTGVYPEENEDHHAVQMAESDYEDDDSDQPTCKETEDTTAMDVKKGKDIQGISWETMNTTRDRHRQARLQQYANFENIPNSGRTAEKDCTPAKKGQLYYEFQHNTRSVKSTILHFQLRNLVWATTRHDVYLMSYYSVLHWSALTREKQEIIDLQGHVAPCEKHHGNFSEGFSRTQVSALAVKNNLLVTGGFHGEIICKFLDRQGISYCCKSTHDDNGITNSLEIYEKPSGSLHFLASNNDCGVRDFDMEKFQICNNFRFAWPVNHTSLSPDGKLAVMVGDSPDGLLVDANSGKAVHDLRGHLDFSFASSWNPDGRTFATGNQDKTCRVNSLHIRWEVHGDGRTCGFHPHLRCREWIQQETGSGFLW >KQL02341 pep chromosome:Setaria_italica_v2.0:VI:31892316:31894477:1 gene:SETIT_013769mg transcript:KQL02341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLYDGELTGVYPEENEDHHAVQMAESDYEDDDSDQPTCKETEDTTAMDVKKGKDIQGISWETMNTTRDRHRQARLQQYANFENIPNSGRTAEKDCTPAKKGQLYYEFQHNTRSVKSTILHFQLRNLVWATTRHDVYLMSYYSVLHWSALTREKQEIIDLQGHVAPCEKHHGNFSEGFSRTQVSALAVKNNLLVTGGFHGEIICKFLDRQGISYCCKSTHDDNGITNSLEIYEKPSGSLHFLASNNDCGVRDFDMEKFQICNNFRFAWPVNVKSSASSLIVYF >KQL00662 pep chromosome:Setaria_italica_v2.0:VI:3851222:3854053:1 gene:SETIT_013497mg transcript:KQL00662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSELQARARFVQTSSANAGVNFDEERWLKRVRQSLEREAAEALGAAAKVFDVPRVLRATRPEAYLPQHFALGPYHCNRPELRDMERYKLAAAKRAEKLFAEGRKFDDLVQRLLEAQGKMRAPYHRFLELSDQTLAWMMAIDTCFLLDFLESYHREEVTDMVSSATNWINATARDAMMLENQLPLFLFSQALSLRHPAEQAATDALHAVLGRFIREVSPIKTAAELVVADVARHAHMLELLYHFLVPDASVFDGDADREPPPMVPEEFTIDMLDPSQQLPDYDKVKQACVAMSSLDVAPVRFIKKNLISRPMGLASSLPGMIMRKVPLLSAVAPLVTKLMSSPDVEARLKGVNFGTILNSPLAQEIMIPSVSTLARWGVRFVPAPEGIAGISFDAATTTMSLPIITLDANTEVVLRNLVAYEAVAVRGPLVLARYTELMNGIIDTARDVKILRQGGVVVNQLKSDREAADMWNGMCRATRLSKVPRLDAAIREVNAHRSRRAAARAQKLLKRYVFRSWRILTLLAAVVLLLMTAMQTFCSVYPCKSWFGAVFQLPVAAGGEGR >KQL01024 pep chromosome:Setaria_italica_v2.0:VI:7431465:7432184:1 gene:SETIT_015391mg transcript:KQL01024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHLRSASVPSSPCSNEIDVDEQLQSMKATISSSSSTNGTMCDGFRKLGDIYNYIGELACSPSSQVTRQRKAVEQELERSLVLLDPCNTMQESFGELKENILDMQLVLKRGDDAAVQVMIQSHIRLAKKAQKQFKRISKKFDIFDQESYKVVKLLSKAREIAVSMLESSLHLLTRQIATPSSSKWSLVSKTFQKRRVVCGEEQLPELELDIVDLESGVEALFRTLIQSRVSLLNALCL >KQL01814 pep chromosome:Setaria_italica_v2.0:VI:26570828:26571256:1 gene:SETIT_014997mg transcript:KQL01814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATFPINLLDGADGYLRWKESVLLLLHSVDVAYVLFDEPPSRAGGDGSQAAKWARDDGLCRGHILAVLSDRLLPVYVRHATGRALWQAVARTYEPDATSWELSFEELEFREDETLRERVARAESLAVATCRLPEPRDELVAYM >KQL00302 pep chromosome:Setaria_italica_v2.0:VI:1207862:1209078:1 gene:SETIT_014163mg transcript:KQL00302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDLMSCGGAYEHLAFQEAAAAGLRSLELLASSLSPRAAGRAESPPLGEIADQAVSRFRRVINLLDRTGHARFRRAPAVSPAAETTPPASPRPAPPAVAAPPQKNSLTLDFTKPSPAAATKQAAAPAVSATSTSFLSSVTAGGEGSVSKGGSLAVSSGKPPLPKRKHPAACPTPAAPGAHHHHGEPGAAGRCHCSKKPKRSRQGLSRRTVRVPAVGTAAPGSQAPASSDIPADDYSWRKYGQKPIKGSPYPRGYYRCSSAKGCPARKHVERAADDPATLVVTYEGDHRHDGPAGHAA >KQL00908 pep chromosome:Setaria_italica_v2.0:VI:6436488:6438483:-1 gene:SETIT_015806mg transcript:KQL00908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GETLSNLMFSIVLPGEIPPSIFSLPILNHLDLSFNQLSGPIQGFDKAGPQLETVRFENNELSGFIPKAIFQLTSLVCIDVSSNNLIGSVDLAHFRRLTYLTVLHLSYNKLHVMASEDNNPVETSYLAGLGELGLASCKITQFPRFLRHVDCITYLDLSCNKISGDIPNWIWEKTWNVVQSHLNLSHNMFTGMQLNSDVVPFNTSIGVLDLSFNRLSGMIPMPKLSGDVLDYSNNMFSSLLPNWTSYLRDTNRLKGMLPSNITTRCALQMIDLHGNKIEGRLPRGLSNCTDLEVIDFGSNKIADAFPSWLRGLPKLSVLVLRSNQMYGTIGDIVGDTKREECFPSLQIIDLASNNFSGTLRPQWFKQLKSMMAEFNSSGKTLEILNTINVNDREHSYQYSVEIMYKGADMPFGRMLTTVTAIDFSKNSLEGTIPETFGSLVSLRVLSLSHNAFTGKIPAQLGSMTDLESLDLSCNQLSGDIPQELTDLTFLGSLNLSYNHLVGKIPQSRQFSTFDSSSFEGNAGLCGLQLPKFPCGSSPHSPGVAHGDKSSRHIDVVLFLFVGLGFGVGFAAAIVHYTFLEAKGAMMRH >KQL01212 pep chromosome:Setaria_italica_v2.0:VI:11042408:11042568:-1 gene:SETIT_014849mg transcript:KQL01212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFPLVNESRILADNHHFWKELSRTVLHHILKEIFPP >KQL01450 pep chromosome:Setaria_italica_v2.0:VI:19834763:19837533:-1 gene:SETIT_015704mg transcript:KQL01450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSIGEIWEKIKTDFMWGQVNQPSVVDEPYSMPPHGGQAETHASMEEDDCDENIIFEEDEEEDEGHLFGGQDADARHFRANIRYFNGHFSFTSLYCKLDRVTIDMKNCGIYTFRAHGRIYHNIRSFGKEDGHEPRHLELYFYDDDPSLEHRLRKCREKSAKKTGNVVLQGKDRSIHGIRSYHGCYDALSYPLFFPRGELEWHNCIPKVVIHKARVDGGGDDDAGKF >KQL02000 pep chromosome:Setaria_italica_v2.0:VI:28888869:28890125:-1 gene:SETIT_014722mg transcript:KQL02000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKDLLVVRKRTPPKRRRKLVAPAKASGNGGARGLAKAIAAYLASDSYMYASLVPAPPPPPPAAAAPSAAPPISTPEKEVTLVQKYRGSWQATFTAN >KQL02429 pep chromosome:Setaria_italica_v2.0:VI:32462770:32468591:-1 gene:SETIT_013679mg transcript:KQL02429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGEEKRAALLRQITEEGGFAFVASAEKAAAGDVRAAEAAREMAWEQLHSAPRDEVGAAWRDAYALACLHVAALRAAGGNGGDLRAALRALDMGLIMGGGLLRAELEDAIARVVADRSGGEAEAVTGGNVERWKEGIAGDRDPADVLKLLPVKALSCKQIERRSCISLEAFIRDYFICESPVILSGCIDHWPARTKWKDINYLERIAGDRTIPVEVGKNHACTEWKQELITFSQFLERMWSSDSANLAYVARHPLFDQIKELREDITVPEYCCAGGGELQSPNAWFSPHGTVTPLHHDPHHNLFAQVLGRKYFRLYPASVSVDLYPHTEAKLSNTSQVDLDSIDEKEFPKTADLEFVDGILEEGDLLYIPPKWWHYMSYGADHLFYLAVDLAFVIIDDKALTANHTVHIKYSKHFLEESVTIIWFWMPCMFIWDSQKHLRGRRGMLHIQVCSPSNIVFFLQPSTLKPLIRK >KQL00845 pep chromosome:Setaria_italica_v2.0:VI:5642226:5642681:1 gene:SETIT_015861mg transcript:KQL00845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEDCIELIYVPLISLINVHSIEFVLLLDPSYEN >KQL00461 pep chromosome:Setaria_italica_v2.0:VI:2290181:2291956:1 gene:SETIT_013372mg transcript:KQL00461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKDAAAQGAPGGGGRGVDRLSGLRDETLFRVMAHLKAWEAVRTCVLSTRWRKLWASAGHLDIRQPCLCAGRGVLPAARLERREVKFSVFVKTLLLRRRPLVPLESLRLCWSHETVHGGANIWVAHAVRRGAVEIELSGKHHNKYPSPECMNFIARDSDTVTIRLKILKLIHIRLDGTTLTQLCSRCTCLEELELKDCQILEAPEIRSTMLKCLTMIRCQIRKGLSVHAPNLVALQFSRNFWHVPWIQNLGLLAASNIYLQAPHKYNIYLQAPHKYTECSDLSSCNLKILKLSCVKLDDTTLEQLYSRCTSLEELELIDCSVVGKKIQSTSLRCLTMIDCKFAIGSWVNVPNLLSLRCTRPFQQVPCFWNMKFLVTAIIALDDSCIPSDSWWTWADDHKDESDHDGDFFAHSRAEDSDDNDESGIDGAHSGAEESDDNIDVGIEKSGDDNDDESYLNDNTFAHAGAEDSDENHGSGPGDEVDGCTVRYDEIAEEYNGDCGGMFGGYGMLCSLSNVRKMALSAHSGEVQLNHLFLYALQHSVK >KQL00462 pep chromosome:Setaria_italica_v2.0:VI:2289889:2292848:1 gene:SETIT_013372mg transcript:KQL00462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKDAAAQGAPGGGGRGVDRLSGLRDETLFRVMAHLKAWEAVRTCVLSTRWRKLWASAGHLDIRQPCLCAGRGVLPAARLERREVKFSVFVKTLLLRRRPLVPLESLRLCWSHETVHGGANIWVAHAVRRGAVEIELSGKHHNKYPSPECMNFIARDSDTVTIRLKILKLIHIRLDGTTLTQLCSRCTCLEELELKDCQILEAPEIRSTMLKCLTMIRCQIRKGLSVHAPNLVALQFSRNFWHVPWIQNLGLLAASNIYLQAPHKYNIYLQAPHKYTECSDLSSCNLKILKLSCVKLDDTTLEQLYSRCTSLEELELIDCSVVGKKIQSTSLRCLTMIDCKFAIGSWVNVPNLLSLRCTRPFQQVPCFWNMKFLVTAIIALDDSCIPSDSWWTWADDHKDESDHDGDFFAHSRAEDSDDNDESGIDGAHSGAEESDDNIDVGIEKSGDDNDDESYLNDNTFAHAGAEDSDENHGSGPGDEVDGCTVRYDEIAEEYNGDCGGMFGGYGMLCSLSNVRKMALSAHSGEVLLMRESKLCPDFKNLKTLSLGEWCITPDFDILARVLQSSPNLENLFVHLDMANKSRVDFDKRASLFVSTNLKKVEITCCKHNKMVDILAELFRVNIVTNKKVFVHHTACTCDVNRGTGSQAKRKAQTEAKKRPVKQIRPGN >KQL03043 pep chromosome:Setaria_italica_v2.0:VI:35914713:35919239:-1 gene:SETIT_013435mg transcript:KQL03043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLRRLRLPLPRPLLVSSSSSSSSSSCWSSTTKGRPAMASSSSSASAAVVAEGSAARRFWIAASTREAAFAAYTPFLLSLAAGNLHLDAFRHYIAQDAHFLHAFAHAYEMAEDCADDDDDRATIAALRKAILQELNLHASVLKEWGVDPTKEIPPSAATTKYTDFLLATAAGKVDGAKGSDKMVTPFEKTKIAAYTVGAMTPCMRLYAYLGKELTVFLKQDENHPYKKWINTYASSDFEDNALQIEELLDKLSVSLTGEELEIIGKLYQQAMKLEVEFFSAQLVDQPVIAPLSRYCGPKYKLLVFSDFDLTCTVVDSSAILAEIAILSFQKSSQSGIDNTLDRTKSADLRNSWNMLSKQYMEEYEECMERLLPPEESQSLDYDQLYKGLEVLAEFEKLANSRVVDSGVLRGMNLEDIRKAGERLILQDGCKNFFQKIGRTRESLNLDIHILSYCWCAELIRSAFSSVGCLDGLNIHSNEFAFEGSVSTGQIDRKIQSPLDKVEKFKSIKSGTDSTAPLLSVYIGDSVGDLLCLLEADIGIVVGSSTSLRRVGKQFGVSFVPLFPGLVEKQRQLTEQEASMFKARSGVLYTVCSWSEIHAFILGSDFS >KQL01658 pep chromosome:Setaria_italica_v2.0:VI:24351239:24357531:-1 gene:SETIT_013201mg transcript:KQL01658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSGGALAWQQYRSLLRKNATLTWRHRRSAALQLFSSLVFIFLIFCIDRAVRSRFSSTTAYRNVPDPEALVAPPIPPCEDKFFIKSPCYDFLWSDGGSARVKGLVDAIRRNNPGRPIPAEKVLGFRTPDDVDAWLFQNPMRCPGALHFQDINATQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSTMGLYESAYWLSWFTWEALLTTLSALFTVLFGMMFQFDFFLNNSFGILFLLFFLFQLNMLSFAFMISTFVAKAASATTVGFAIFIIGFLTQLVTTFGFPYSADYKKLYRTLWSLFPPDVFAKALNILGKATATPEDKGISWNQRGKCPSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNILPNVNGVRKSVFYFLIPSYWTGKGGKMQEGGLFSCFGSSRPADDAAPTDEDVLAEENLVKEQAANNEVDPGTAVQIHGLRKTYPGTFSMGCCKCSTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAFIYGQSVRSAVGMTNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSAIVSVAEESLAKVKLSQVTNVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYITNVNFSGNGHMQSPNINSNTEAMVNPNIEAVKWFFKERLDVDPKEESRTFLTFVIPHHKEPLLTRFFGELQDREGEFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGATIQKSIQEVSWLRFTGIRMKMDRSAFPVTLMRCQCQSMLSSGGRPQFLVEPQWPVKDQLGISLTQTRSHEKDKSGVQS >KQL01661 pep chromosome:Setaria_italica_v2.0:VI:24351239:24357447:-1 gene:SETIT_013201mg transcript:KQL01661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSGGALAWQQYRSLLRKNATLTWRHRRSAALQLFSSLVFIFLIFCIDRAVRSRFSSTTAYRNVPDPEALVAPPIPPCEDKFFIKSPCYDFLWSDGGSARVKGLVDAIRRNNPGRPIPAEKVLGFRTPDDVDAWLFQNPMRCPGALHFQDINATQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSTMGLYESAYWLSWFTWEALLTTLSALFTVLFGMMFQFDFFLNNSFGILFLLFFLFQLNMLSFAFMISTFVAKAASATTVGFAIFIIGFLTQLVTTFGFPYSADYKKLYRTLWSLFPPDVFAKALNILGKATATPEDKGISWNQRGKCPSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNILPNVNGVRKSVFYFLIPSYWTGKGGKMQEGGLFSCFGSSRPADDAAPTDEDVLAEENLVKEQAANNEVDPGTAVQIHGLRKTYPGTFSMGCCKCSTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAFIYGQSVRSAVGMTNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSAIVSVAEESLAKVKLSQVTNVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYITNVNFSGNGHMQSPNINSNTEAMVNPNIEAVKWFFKERLDVDPKEESRTFLTFVIPHHKEPLLTRFFGELQDREGEFGISDIQLGLTTLEEVFLNIAKQAELESSTAEGTLVTLNLSSGATIQIPKGARFVGIPGTETEEHPRGVMVEVYWDQDENGSLCISGHSDEMPVPVDVELRRPPSISRRASMAREGPVGYIIDPNQVS >KQL01660 pep chromosome:Setaria_italica_v2.0:VI:24352347:24357447:-1 gene:SETIT_013201mg transcript:KQL01660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSGGALAWQQYRSLLRKNATLTWRHRRSAALQLFSSLVFIFLIFCIDRAVRSRFSSTTAYRNVPDPEALVAPPIPPCEDKFFIKSPCYDFLWSDGGSARVKGLVDAIRRNNPGRPIPAEKVLGFRTPDDVDAWLFQNPMRCPGALHFQDINATQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSTMGLYESAYWLSWFTWEALLTTLSALFTVLFGMMFQFDFFLNNSFGILFLLFFLFQLNMLSFAFMISTFVAKAASATTVGFAIFIIGFLTQLVTTFGFPYSADYKKLYRTLWSLFPPDVFAKALNILGKATATPEDKGISWNQRGKCPSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNILPNVNGVRKSVFYFLIPSYWTGKGGKMQEGGLFSCFGSSRPADDAAPTDEDVLAEENLVKEQAANNEVDPGTAVQIHGLRKTYPGTFSMGCCKCSTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAFIYGQSVRSAVGMTNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSAIVSVAEESLAKVKLSQVTNVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYITNVNFSGNGHMQSPNINSNTEAMVNPNIEAVKWFFKEVRA >KQL01659 pep chromosome:Setaria_italica_v2.0:VI:24352347:24357447:-1 gene:SETIT_013201mg transcript:KQL01659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLSGGALAWQQYRSLLRKNATLTWRHRRSAALQLFSSLVFIFLIFCIDRAVRSRFSSTTAYRNVPDPEALVAPPIPPCEDKFFIKSPCYDFLWSDGGSARVKGLVDAIRRNNPGRPIPAEKVLGFRTPDDVDAWLFQNPMRCPGALHFQDINATQIKYGIQTNSTPVARRGTYEDPTFKFQIPLQVAAEREMARLLIGDPNFSWTVGFKEFAHPATETFSTIAQAGPTFFLAIAMFGFVFQISALVTEKELKLRQAMSTMGLYESAYWLSWFTWEALLTTLSALFTVLFGMMFQFDFFLNNSFGILFLLFFLFQLNMLSFAFMISTFVAKAASATTVGFAIFIIGFLTQLVTTFGFPYSADYKKLYRTLWSLFPPDVFAKALNILGKATATPEDKGISWNQRGKCPSFETDCVITIDDIYKWLISTFFLWFVLAIYFDNILPNVNGVRKSVFYFLIPSYWTGKGGKMQEGGLFSCFGSSRPADDAAPTDEDVLAEENLVKEQAANNEVDPGTAVQIHGLRKTYPGTFSMGCCKCSTTKPFHSVKGLWVNLEKDQLFCLLGPNGAGKTTTISCLTGITPITGGDAFIYGQSVRSAVGMTNIRRMIGVCPQFDILWDALTAKEHMELFASIKGLPPSAIVSVAEESLAKVKLSQVTNVRAGSYSGGMKRRLSVAIALIGDPKLVFLDEPTTGMDPITRRHVWDIIEEAKKGRAIVLTTHSMEEADILSDRIAIMAKGKLRCIGTSIRLKSKFGTGYITNVNFSGNGHMQSPNINSNTEAMVNPNIEAVKWFFKEVRA >KQL00742 pep chromosome:Setaria_italica_v2.0:VI:4559565:4562961:1 gene:SETIT_013908mg transcript:KQL00742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAADVAAASLFGADRRLCSADILAPAEVRARIEVAVLNFLAALASPTSPAISVLPLISRSSANCSLRSGLLSDVSSIYLSYAFCKRSLMRESNGKAFVRVWKVMEMCYKILGEGKLVHQRELFYKLLSDSPKYFSCQRHVNRAIQDVVSLLRCTRQSLGVMASSRGALIGRLVLHEPGEEQIDCSILGASGHAITGDLNVLSKLNLSSDARYIIVVEKDAIFQRLAEDRLYNQLPCILITAKGYPDIATRFILHRLSQTFPNMLIFALVDWNPAGLAILCTYKYGSISMGLESYRYACNVKWLGLRGDDLQLIPDRAFQELKPRDLQIAKSLLSSKFLQESHRAELMLMVETGKRAEIEALYSHGFDFLGKYIARKIVQGDYI >KQL00374 pep chromosome:Setaria_italica_v2.0:VI:1682875:1683197:1 gene:SETIT_014823mg transcript:KQL00374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIKHQFISIYGQHSYLNDLTAKTSDNTELFYLICFQAIYSTTKCTRNVAVLVHFVVL >KQL00754 pep chromosome:Setaria_italica_v2.0:VI:4682546:4683265:1 gene:SETIT_015167mg transcript:KQL00754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAQPLLSAAVRHLSRSAYGVAVTPARGFQVFRIDGYSWAKTLAAGERITSGHFIVGGRYWLIDYYPNGTDSARDTDSGSISLYLRLAGGAGYEKERVRAQYKFSLLDPSGFAAYEIPPETSIFTYPGRQYGHHGDEVTGDIGVGLANFVTKEELERRSETLLKDDCLAIRCDVGVTELGVLAVAPKESHKTMQQDDGDDSDWEGGNRESNRRRRQPPLDDREYIRRSLAKNRRASII >KQL01432 pep chromosome:Setaria_italica_v2.0:VI:19422365:19424724:-1 gene:SETIT_015562mg transcript:KQL01432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIRRYVLGPSRQVCMISDHHHGLLNCANDHMDGFPPLVHRWCMRHFAANMWRCQKNKEVIGKLKVLCIVHTEKEFDEKLEDLVKDLNDEAKQWLKGEVEDKDKWAQAFKWSVNKLPEPYGLERMVYCVRGVGSTNVGDESHGGQNYRESSFEPYLDPSQWPPYEGLEMADPAYPLLEAAYDVNHCGHLLADHHEELKPLQLRVHSPLRWDECYASYLQRAGFLDIARVVAGGLPLMDGPMLTTMVNRWRLETHMFHLPCGEMTVAMILGLPLEGLPVTAIIQSDNWHDMVELHIRIRPPEPEEGDKEKKTSEVSLAWLREQFSVCPQGAYEEVVERHLRVLLWHFVSGFLLTDAAGNTVSWMDNIRGYSWGSVLLAWLYRQLCDACRRTAKDANFGGCAYLLQIWIWERILACTRQDSFLMFAYVWKHADPIRGPPARWYKFYTNELDCVTQTQINSAP >KQL01439 pep chromosome:Setaria_italica_v2.0:VI:19578066:19580916:-1 gene:SETIT_015162mg transcript:KQL01439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGLVDWRGKPIDKKVHGGVRAAWFLYFLVVVNFLVHVPNLQNMVTYLRGGMHIGVSDSSTTITNFIGAMCGFALLGGFISDSYITCSRTMLLSVPPVILGYGLLALQAYLPSLHPPQCNAEADPSSCKEVDGWRSALLYIGLYIIALGEGVMRACIPALGADQFDGDDLSEARQQSSFFNWFTFCLSIGSIAGLILIVWLENTKGWDVGFGLSALLILLGFLVSAAGLPFYRNRVPQGSALTRVLQVFVVAFKNRKLELPENMEEAQENSYGVDSQGVPRPTNSLKCLDRACINTGRDGPWSVCSSAKVEETKIVLRMLPLVFSSTVSHVSSPLLVAFTVQQGMTTNTRLGRVHIYPAMLFIIPSIFQMLMLAVYDWFLVPFLRRRTGYMGGISHLQRVGVGFLATAVAPAIAAVVERQRKETVASGGQMSLFWLAPQFFLIGVADTTSFVGLLEFFNSEAPNGMKSIGVALFWCQIGLSSLLGTLLVKLVNKVTQSSSAHGWLEGPNLNSSHLDLFYWVVTAVAFLGWFNYLYWAMRYKYRQDPRIATKSAHEDSVP >KQL00368 pep chromosome:Setaria_italica_v2.0:VI:1651088:1652901:1 gene:SETIT_013601mg transcript:KQL00368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSAATLIFLSLISLPILATLLSRNSAPSPKRKRPPGPRNLPLIGSLLHFLKSHPHVALRDLAKKHGPVMFLRIGQIDTVVISSPAAAQEVLREKDVNFASRPSLVVSEIFCYGNLDIFFSPYGPYWRTLRKLCTVELLSGKMVRQFAPVRDDETLSLVSKIQAAGNGGEPVNLARLLLSCSNSIVAKASFGQACSSELQEQFLSAVDVAFKIGGGFSIGDLFPSLWFIDAFTGLRRKVRRAHRQMDTFLEKIIAQSEAQRGDSLVGVLLRIRDEGELEFPIDTTNIKAIILDMFAGGTETVSTAAEWVMSDLMRNPEVMAKAQAEVRRVFDNKRPQDHEGKMDELHYTKMVIKESMRLNPVAPLLLPHLCQETCDISGFEVTKGTRVMVNTWAMARSPEYWHDPEKFKPERFEDDMIDYKGSRFEYLPFGTGRRRCPGDTFGLNVLELLVARLLYYIDWSLPGGMRPDELDTDILVGATVKRKNQLHLVASPYKVVPVKS >KQL00969 pep chromosome:Setaria_italica_v2.0:VI:6980391:6984810:-1 gene:SETIT_013443mg transcript:KQL00969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAVTATGSGPVGRLAARYKKGQFASLLSSSSHYFAAARRRTAAPPSSRAGEAKGREAGRRGAEAMATASVTFKSREDHRKQLELEEARKAGLAPAEVDEDGNEINPHIPQYMSSAPWYLNAEKPSLKHQRKWKSDPNYTKSWYDRGAKLFQANKYRKGACENCGAMTHDKKSCMERPRNVGAKWTNINIAPDEKVESFELDYDGKRDRWNGYDPSTYTRVIADYEAREEARKKYLKEQQLKKLEEKDSEKDGENAGSEDDEEDGLRIDEAKVDESAQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAYYDPKTRSMREDPLPDADPNDKFYVGDNQNRLSGQALEFKQLNIHSWEAFDKGQDIHMQAAPSQAELLYKSFKIKKEMLKSEHKDKIMEKYGNAASEDTMPRELLLGQSEKEIEYDRTGRIIKGQDVSLPKSKYEEDIFINNHTTVWGSWWKDHQWGYKCCKQTIKNSYCTGLAGIEAAEASADLMKANMARKEAAEDEPVQQEEKRLATWGTDVPQDLVLDKKLLEESLKKENARRKEEKDERKRKYNVKWNDEVTAEDMEAYRMKRIHHDDPMRDFLS >KQL00245 pep chromosome:Setaria_italica_v2.0:VI:763293:768725:-1 gene:SETIT_013210mg transcript:KQL00245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAELEQAKVLSGMDCSKPPSRTWQRRFDDEGKKVAMFSMTMRDMISIVPLIVKALQLQVEDSAKGRATVYDPLRKWMDNCYRGVPLGGIGAGSIGRSYRGYFQQFQIFPVTNEEKPILANQFSAFISRPNGKKYSTVLSAPTADLLKGVDKAGIGSWDWKLKEDKCTYHALFPRSWTVYDGVPDPEIKIACRQISPFIPHNYKESSFPAAVFTFTVHNSGSTPADVTLLFTWANSVGGKSELTGNHSNSKMKDQDGVSGVLLHHRTAGGHPPVTFAIASQETDGVGVSVCPSFTMGPSSSGGFTATDMWDQIKKHGSFGHAGAGDAPTAASKPGSSVGAAVAASVAVPAGARRVVSFSLAWACPEVKFAGGTTYHRRYTKFYGVDGDAAAEQLAHDALLEHMNWESQIEEWQRPILQDETLPEWYPIGLFNELYYLNAGGTIWTDGHPPKKAGFGSSGPFSLDTPRVADGAAANVTGGGGSAVDGIMSAMASAADRSHAASAAFGPALLRGGEENVGQFMYLEGMEYNMYNTYDVHFYSSFALLALFPQLELSLQRDFAMAVLIHDPRLRHTLDGKTVRRKVLGAVPHDVGLNDPWVELNAYMLHDAARWKDLNPKFVLQVYRDAAATGDAAFAAAAWPAVYVAMAYMDQFDRDGDGMVENDGVPDQTYDIWSVSGVSAYTGGLWVAALQAAAAMARIVGDRAAEAYFMVRYKKARRVYDGELWNGTYFNYDNSGGATSSSIMADQLAGQWYARACGLEPVVEEAKARSALATVLDYNVMRVKGGAVGAVNGMRPDGAVDASSTQSKEVWPGVTYAVAAAMVHEGMTESAFRTAKGAHDAAWGKDGFGYAFQTPEAWTEDGGYRSLHYMRPLGIWAMQWALSPPELHKDLRAAASEESPADAALGQAQFEKVASMLKLPEEEQPKGYLWAIYNLIRQMVFPA >KQL00792 pep chromosome:Setaria_italica_v2.0:VI:5073698:5075212:-1 gene:SETIT_015569mg transcript:KQL00792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGSKSAKALDFGDRISGLPDELLHHVMSFLPARDAVRTCVLSPRWRYLWASARHLNVDTEGFTTQRTFKKIVTALLLSRGYTPLDSFCLDADVPGFYLENFRDTAYLWICHALRSNVHTLSITDHDRNDAFIEFQDFEDDPDAFQIDHCPFTSSYLKRLHLCGVFILECFLKKLFSGCPALEDLDIINCVIHDTEFSSATLKNLSIDFDSFPSCEMYGFKNIVINMPSLVSLHIGAFLGALPSLVNVQSLRTASICLDDQGATFADGCNILGALSNVKNLELLFLYGVSGRYSLQSDMQLCQVSFTNLTTLSVSDWCLHDNCKALLYMLEHSPNLEELTLKMRKLRLHEYIDSSCSAAAADSAAPFNCEKLRKVEIVCPKGDKTVGMLVTILLTELMSPPEISIKPFSGFSW >KQL02492 pep chromosome:Setaria_italica_v2.0:VI:32850914:32851665:-1 gene:SETIT_014894mg transcript:KQL02492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQLQVTCGSHGVLGGERQAGSSGAARKVRTRRPSVARARPAAAAAVAAPAAGGARIHRDMGWVGVRERLWGGYAAEIRIPSSRKRIWIGRFQHAMQAALAYAAAMFLFYGRNLPKLRRYNFPAGPRPNFSEFVRRALTVANVKAIAEDHARRFWRFVPLPPPVIPAPPAAPAPPLQVVEAGAGVGATTTTVDGRGNDEIYIDVEILTAADCQFSGAPDEDFVKLIREMDKDLVFSEI >KQL02836 pep chromosome:Setaria_italica_v2.0:VI:34877188:34880598:1 gene:SETIT_013389mg transcript:KQL02836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYNVAFLFLLVAHLAAGEPTSTTTLTAAPATLTKSDQAITLQWSNLTSPSPLDYVAIYSPPSSGDLDYLGFLFLNASASWATGSGSLTLPRLPDLRAPYQFRLFRWPPGERSKNTRVDQGGDPLPDASRRAAVSGNVAYQGSGARPTQLHLAFTDGADEMRVLFVCGDDGRRFVRYGPTGLREEQWEESLTEARTYDRRHMCDYPANDSVGWRHPGFVFDGVMKGLQPGRRYSYKVGSDSEGWSETYSFISRDTEADETVAFLFGDLGTYVPYNTYFQTPLESLSTVKWILRDLEALGDKPAIISHIGDISYAKGYAWLWDHFFEQIEPIAANTPYHVCIGNHEYDWPSQPWKPSWAANIYNGKDSGGECGIPYSIKFRMPGNSSFPTGTGAPETRNLYYSFDAGVVHFVYMSTETDFTQGSDQYNFIKADLEHVNQSRTPYIVFQGHRPMYTSSNETKDTAHREQMIQHLEPLFVKHNVTLALWGHIHRYERFCPMKNYQCLNTSSRFVFPGAPAHVVIGMAGQDHQPSWEPRPDHPNVPIFPQPQRSMYRGGEFGYTKLVATREKLILTYTGNHDGQVHDKVEIFSLQMDNDTTPDKLVSGLPKNMTYVEIAGCALLALLLGFVAGFGVRKKKDSARWTPVQNEES >KQL02087 pep chromosome:Setaria_italica_v2.0:VI:29826887:29829535:-1 gene:SETIT_013569mg transcript:KQL02087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLAYMDRAAAAAEPEDAGEPAPVAAGCAAATDFGGLVSAIPAAVVRPASADDVASAIRAAARTAGLTVAARGNGHSVAGQAMAEGGLVLDMRALALSRRPQMQLVGCPGGGNNGPCYADVPGGALWEEVLHWGVKNHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAELEVVTGDGDCRVCSPSSHPDLFFAVLGGLGQFGVITRARIPLRRAPRAVRWTRVVYASFADYTADAEWLVTRPPEEAFDYVEGFAFVNSDDPVNGWPSVPIPGGARFDASLLPAGAGPVLYCLEVALYQYPDGAVEDDEDKAAAAAVSRMMAPLKYVRGLEFAAGVGYVDFLSRVNRVEEEARRNGSWDAPHPWLNLFVSSRDIADFDRAIIKGMLADGIDGPMLVYPMLKSKWDPNTSVALPDGEVFYLVALLRFCRGGGPAVDELVAQNGAILHACRANGYDHKAYFPSYRGEAEWARHFGAARWRRFVERKARYDPLAILAPGQKIFPRAPASVVAV >KQL02088 pep chromosome:Setaria_italica_v2.0:VI:29826529:29829671:-1 gene:SETIT_013569mg transcript:KQL02088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLAYMDRAAAAAEPEDAGEPAPVAAGCAAATDFGGLVSAIPAAVVRPASADDVASAIRAAARTAGLTVAARGNGHSVAGQAMAEGGLVLDMRALALSRRPQMQLVGCPGGGNNGPCYADVPGGALWEEVLHWGVKNHGLAPASWTDYLRLTVGGTLSNGGVSGQSFRYGPQVSNVAELEVVTGDGDCRVCSPSSHPDLFFAVLGGLGQFGVITRARIPLRRAPRAVRWTRVVYASFADYTADAEWLVTRPPEEAFDYVEGFAFVNSDDPVNGWPSVPIPGGARFDASLLPAGAGPVLYCLEVALYQYPDGAVEDDEDKVGPEHVGGAAGRRGLLPGGAAAVLPGRRAGGGRAGGAERRDPPRVPRQRLRPQGLLPELPGRGGVGAPLRRRQVEALRGAQGPLRPAGDPRAGPENLPQGPGVRRRRVARAPAGGGGPGLAWHGRMGWGEDQPGVSCWW >KQL00134 pep chromosome:Setaria_italica_v2.0:VI:155553:155954:1 gene:SETIT_015387mg transcript:KQL00134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSRRLLAEAATLLLLVLLLAARTDAEEEKAIAALPAHVHLASISTNGGGAGSSSPSSSPSPAGVGGGGEKKESSKEDKNKEKKPGSSKCVTTKDCHLKRLVCAKKCTMAAHKKCAAKCSRACTAAGLPICT >KQL00375 pep chromosome:Setaria_italica_v2.0:VI:1671078:1687088:-1 gene:SETIT_013127mg transcript:KQL00375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRGASPAPAAAVQVRCAGCRGVLAVAPGMTEFICPKCRMAQRLPPELMPPSPPKASPTPPPSAPTPPPPPPSLPLPQPQPAPHPPPARRSAPRAQGVDPTKIQLPCARCKAVLNVPHGLARFRCPQCGVDLAVDMSKLRHFLASAGPGFVPPPMPPPPPVPMPHMPFLPMMPPHLQVPMAPMFPPAEPPEEINEVAVDVERDEDEGGTFGETFTDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTHKLTIMEELDETNALSCLQIETLVYACQRHLHHLPTGARAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKALWISIGSDLKYDARRDLDDVGAKCVEVHALNKLPYSKLDSKAIGITEGVVFVTYSSLIASSEKGLSRLQQLVQWCGSDFDGLLVFDECHKAKNLIPEAGSQPTRTGKAVLEIQELLPQARVVYCSATGASEPRNLGYMVRLGLWGDGTSFENFHQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDVLEAPLEERMMNMYKKAAGLWVELRVELLSAIEYYAEDKGNSAQIWRLYWASHQRFFRHMCMSAKVPAVVRLAKEALAEEKCVVIGLQSTGEARTEEAIAKYGVELEDFVSGPRELLLKLVEDHYPLPPKPDCFQQDEEKVMEFQRKRHYGPDVSLKGRVSKLGKLEDVSDDGSDGHPPPESDHESTDSDEEFYTCQICNTEEEKNLLLYCSVCAARVHPGCLTPPWTETLTDDWSCYSCKEKVENYLKKRDAYLTELSKRYDAAVERKSKILDIIRSLDFPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVTYQARNTKEVALDMINMHEKQQFMNGEKNIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPEYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMMYRGIMEQDAFPVVPLGCSENQSTLEEFITKAKAALVSVGIIRDPVMCNGKNGGKLTGRILDSDMHDVARFLNRILGLFPDIQNRLFDLFTSILDLVIQNARTEGQLDSGIVDIKAKSVEMKESPKTVHVDTLSGATTILYAFTIDRGVSFELANAILEERLKDEAGSSSDGFYESRKEWMGRRHFLLAFEGSTEGMYRVIRPAVGEASREMPLVELKSKYRKVSSVDRIGKGWQEEYDASSKQCMHGPKCKLGSHCTVGRRLQEINILGGLILPVWGVVEKALAKQVRQIHKRIRVVRLETTNDNRRFVGLIIPNSAVESVLKGLQWVQDIDD >KQL02709 pep chromosome:Setaria_italica_v2.0:VI:34105539:34106350:1 gene:SETIT_015953mg transcript:KQL02709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCYPEPGTVKVRDGEALTVVSNYTGERRRTGVMGHFYILVAEEQELPAPTKQQRPSSSLCFSFPVPWCVVPPWMSGYLHQ >KQL00433 pep chromosome:Setaria_italica_v2.0:VI:2077951:2078546:1 gene:SETIT_015454mg transcript:KQL00433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACHFLLIVLVASILHVAYATAASTSANLTADAAATAYDILEKNNLPRGLLPKGVQSYNLSPDGKFEVTLPGECDFPVTFGGQDFKFRFASTVGGVIQAGSIHEVYGVRVQIKFGWLGLRQVDRAGDQLTLQVEQFTQTFPTSTFAVSPSCS >KQL01896 pep chromosome:Setaria_italica_v2.0:VI:27664877:27665137:1 gene:SETIT_015559mg transcript:KQL01896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEYCPKVPSDDRNKFVATMKKKLVDGSFTFNQQRSRDLMIAWCVRADVAFNKFDDEGFEPWMESLQPAFSCIGR >KQL02548 pep chromosome:Setaria_italica_v2.0:VI:33142321:33143570:1 gene:SETIT_014747mg transcript:KQL02548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAPFHRLQLSLIAGRILLSKVSGLRQLPHPVTTWTRGCSTEKIANAVRESIKKQEASLRRRMKDLDDKYSSELQTHKVAYLYLTFLS >KQL02828 pep chromosome:Setaria_italica_v2.0:VI:34833396:34833515:-1 gene:SETIT_014853mg transcript:KQL02828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDIKTYFSVAPVLSTLWFEALARLSIEINHLFPDALPFL >KQL01854 pep chromosome:Setaria_italica_v2.0:VI:27135055:27137380:-1 gene:SETIT_014397mg transcript:KQL01854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDEPAKPAANGEAAPSSGARPVDVVSGGGGGEEDTLPGVLRGFVDGVWSSPGGGGGGGDEPLLRRLRAASCEAAPRLRGASRNSARDLLEWTRRGSGLRAILVISVGTVMLVALTGLLIFMFFLLVATANAVVVSVLMSLAAAGGFLAIFFACLVAVYVGAVSVAAFAISATVISAIVGVTIATARIIYYEKQYVGTTSVN >KQL01855 pep chromosome:Setaria_italica_v2.0:VI:27133772:27137435:-1 gene:SETIT_014397mg transcript:KQL01855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDEPAKPAANGEAAPSSGARPVDVVSGGGGGEEDTLPGVLRGFVDGVWSSPGGGGGGGDEPLLRRLRAASCEAAPRLRGASRNSARDLLEWTRRGSGLRAILVISVGTVMLVALTGLLIFMFFLLVATANAVVVSVLMSLAAAGGFLAIFFACLVAVYVGAVSVAAFAISATVISAIVGVTIATGWVGFFWMIWFAARKSMDLTKHSIGATSSAIQSYSASRHANWKPVD >KQL00197 pep chromosome:Setaria_italica_v2.0:VI:468205:469506:-1 gene:SETIT_014956mg transcript:KQL00197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPPAWADLLPEVLGEIVACLCDADVARLRAVCRAWHSAVACKLQDQEQEAMPWIVIPNGSFCTIGDTGVFFNRNSRLPRNVTCLGATDSWLALDCTDDVFRRTNSFDAYIRDGKFPEPRSDVKHEHNYLLHNPFYEKTVSLPELDSIAGHVNETFEIRKVLMRSASPNDLVAVTTNNDNCTIILCRPGKGSWVLPYLGVFDVAFFKDKLYGITRHEDLVAFDLADDDDGSPIVPNFKRVIRHPLADGEEDPWSWMDDDYDTDDGEESGDEAADSFNPDDGQLVPSDDEDGVDEEVPYEPKDYITTSRLLVESCGGQELLMVRRQVQSPPFHPPYTRKVELFKADIDAGRWVSVTGRDALPEGEALFLSRSFSKSIRVKRADGKWLTWLFPPKLVV >KQL00539 pep chromosome:Setaria_italica_v2.0:VI:2876135:2878573:1 gene:SETIT_015745mg transcript:KQL00539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVPKIRIPRLRDLLARPLGCLTGHPLQAFNELFDQFDQTLSENTCAIQASLCNIARAPYRLAEKCGPVIEELIAAQRSASDPNNIGETSRRNNSGTEDDFVDPHNDQLFEHGNCGVFRTPSSCYRDDVLRDGNGQNSYTTDPATSKTGGTSPCTKPHQEACRDDPARTTTCSDHIPPSSSLPDSNHDMNRINNLVDVIYCEEQSNHMHTLLSPRTTQFEDQAKTDQNNMVSGTLHVSEQRTGKRMTRKPAKYSSPFKYGIMSRPAPNVDAAMSLFGHMCADDSTLKSMPVIQFGSTPLTCDMIAQSFADGAIPDSTFITGFVNCLSYDDYWIRPECHGYRIFFDADLSAILNVEWHKRDSSEPKYSQFAAVTAIQRSLPFTDLKKTKMILLPVLHQHHWSVYCVNFGQSRIDVLDSFLYNPESDNNWDNYHLEFGKKIMHRLRTI >KQL00147 pep chromosome:Setaria_italica_v2.0:VI:194480:199664:-1 gene:SETIT_013501mg transcript:KQL00147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSGEVVASISSALAVAVVLLACVELGDAAAAVSVYRLIQYDLAGAPLGSRSAALNHHAAALPLPPGADLSRSALVAPLLDLPLSFLREYLVEKKHLGGLLILLPTKLSDKDGTGNDVDRGQVKGVLAELEKLLVHEEVPYPVYFAFHDDDFDNLLADIRKIASSGQPASATTGGYKLVVSSAEPRKVSSPTISNIQGWLPGLKGEGDTEQLPTIAIVANYDTFGAAPALSVGSDSNGSGVVALLEIARLFSRLYSNPKTRGKYNLLFGLTSGGPYNYNGTSKWLRSFDQRVRESIDYAICLNSVGSWSNDLWMHVSKPPENPYIKQIFEDFSDVSKEMGVSVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSEMSSPPEFLESTGGLHDTRESTNADSVIRTVRLVSESLARHIYGLKGRNIDVFAENSSLAINPHYIQSWLDLLSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQNDALDGMFTFYDATKATLNVYQVASVTFDLLFLLLLGSYLIVLFCFLVITTRGVDDLINIFRRPPSRKLKGA >KQL00148 pep chromosome:Setaria_italica_v2.0:VI:194997:199664:-1 gene:SETIT_013501mg transcript:KQL00148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSGEVVASISSALAVAVVLLACVELGDAAAAVSVYRLIQYDLAGAPLGSRSAALNHHAAALPLPPGADLSRSALVAPLLDLPLSFLREYLVEKKHLGGLLILLPTKLSDKDGTGNDVDRGQVKGVLAELEKLLVHEEVPYPVYFAFHDDDFDNLLADIRKIASSGQPASATTGGYKLVVSSAEPRKVSSPTISNIQGWLPGLKGEGDTEQLPTIAIVANYDTFGAAPALSVGSDSNGSGVVALLEIARLFSRLYSNPKTRGKYNLLFGLTSGGPYNYNGTSKWLRSFDQRVRESIDYAICLNSVGSWSNDLWMHVSKPPENPYIKQIFEDFSDVSKEMGVSVGIKHKKINVSNPRVAWEHEQFSRFRVTALTLSEMSSPPEFLESTGGLHDTRESTNADSVIRTVRLVSESLARHIYGLKGRNIDVFAENSSLAINPHYIQSWLDLLSRTPRVAPFLQKNDPFIAALKKELSEHTADVHVQNDALDGMFTFYDATKATLNVYQVASVTFDLLFLLLLGSYLIVLFCFLVITTRGVDDLINIFRRPPSRKLKGA >KQL02085 pep chromosome:Setaria_italica_v2.0:VI:29799886:29800765:-1 gene:SETIT_014441mg transcript:KQL02085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAVVLLLVVTPFLSLLPFSSLALNQDFCVADLPRGDTPAGYPCKPQTAVTADDFYYRGLGTTGPTINPFNIGLSSAFVTRFPGVNGLGISAARVDFAPGGVVPLHSHPGGTELLYVIEGAMAAGFITSLTNQVYTKTLYKGDLMVFPQGLLHFQYNLGNDTAVALSSYSSANPGLMILDFALFANNLPSDIVSKLTVLDELEVKKLKALFGGSG >KQL02914 pep chromosome:Setaria_italica_v2.0:VI:35262354:35263911:-1 gene:SETIT_013773mg transcript:KQL02914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLWNLFDSLKKNVELLFHSSEHAPIMLFSGIELILSTEIVKVDLASKTLTSAAGATFTYEILLIATGSSVIKLTDFGVQGAESNNILYLRDIADAEKLVAAMQAKKDGKAVIVGGGYIGLELSAALKINNFDVTMVYPEPWCMPRLFTSGIAHFYEGYYANKGIKIVKGTVAVGFDADANGDVTAVKLKDGRVLEADIVIVGVGGRPLTALFKGQVADEKGGLKTDAFFETSVPGVYAIGDVATFPLKLYNEQRRVEHVDHARKSAEQAVRAIKAKESGESVPEYDYLPYFYSRSFDVAWQFYGDNVGDDVLFGDNDPASAKPKFGSYWVKDGKVVGVFLEGGSAEENQAIARVARAQPAVADVEALKQEGLEFAAKV >KQL02915 pep chromosome:Setaria_italica_v2.0:VI:35262060:35265105:-1 gene:SETIT_013773mg transcript:KQL02915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEARHFKYVVLGGGVAAGYAAREFAKQGVNPGELAIISKEPVAPYERPALSKGYLFPQNAARLPGFHTCVGSGGERLLPEWYSEKGIELILSTEIVKVDLASKTLTSAAGATFTYEILLIATGSSVIKLTDFGVQGAESNNILYLRDIADAEKLVAAMQAKKDGKAVIVGGGYIGLELSAALKINNFDVTMVYPEPWCMPRLFTSGIAHFYEGYYANKGIKIVKGTVAVGFDADANGDVTAVKLKDGRVLEADIVIVGVGGRPLTALFKGQVADEKGGLKTDAFFETSVPGVYAIGDVATFPLKLYNEQRRVEHVDHARKSAEQAVRAIKAKESGESVPEYDYLPYFYSRSFDVAWQFYGDNVGDDVLFGDNDPASAKPKFGSYWVKDGKVVGVFLEGGSAEENQAIARVARAQPAVADVEALKQEGLEFAAKV >KQL01913 pep chromosome:Setaria_italica_v2.0:VI:27860734:27863587:-1 gene:SETIT_014398mg transcript:KQL01913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLAGCLTALTVMTALSACLGWAAPNLISRKWTHHVTTLLFFGFGIWSLWEGFKEDGDSEELAEVEAELDAAFKSNKGESKNKSKANEDTKKQQRPFLMQFFSPIFIKAFSITFFGEWGDKSQVSCRKLLFDLLSFTC >KQL01911 pep chromosome:Setaria_italica_v2.0:VI:27860047:27862741:-1 gene:SETIT_014398mg transcript:KQL01911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPRKLVLAGCLTALTVMTALSACLGWAAPNLISRKWTHHVTTLLFFGFGIWSLWEGFKEDGDSEELAEVEAELDAAFKSNKGESKNKSKANEDTKKQQRPFLMQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGIIAQALCTTAAVLGGKSLASQISEKMVELSSGVLFLLFGIMSLLSGPEGEL >KQL01912 pep chromosome:Setaria_italica_v2.0:VI:27860047:27863678:-1 gene:SETIT_014398mg transcript:KQL01912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSLLGGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRKLVLAGCLTALTVMTALSACLGWAAPNLISRKWTHHVTTLLFFGFGIWSLWEGFKEDGDSEELAEVEAELDAAFKSNKGESKNKSKANEDTKKQQRPFLMQFFSPIFIKAFSITFFGEWGDKSQIATIGLAADENPFGVVLGGIIAQALCTTAAVLGGKSLASQISEKMVELSSGVLFLLFGIMSLLSGPEGEL >KQL00924 pep chromosome:Setaria_italica_v2.0:VI:6549132:6554593:1 gene:SETIT_013253mg transcript:KQL00924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQASSAAALLRRLRRHRTGPAAAAALLPRRLISSDPVPASSSLGALPGPSHLLGDGGGSGCGHSACSASHPRSRFPRRQHAAAMATRAVHQAASRYRFPRNCSSLASSLLRKCWPCLRLFDVLPLSCSSECPAAAASSEARTSTTTVASQSEIVDFIKSTFGKLEGQNHCWLNTMNDIWRNMNEEGIYLVLLYQSCGTLNISSNHSVAFERLKYLQQRYPRLNVFAVQHGSDITSLAAQSQAVHTIATEYIAFPILMIDKDFSNVRLTPMPIKCPLMSVQMTNGACYLLFEGSKDPMLFTNWVEEPDVIIKAIEELSLLKEPSENVLSRVSWQKEDVVREPYVGSFRNLLLNHPACISVDEDGNRIFISDSNHHRIIISNSDGMILDCIGSSPGFEDGEFESAKFLRPASSFYHADEDCLYIVDSENHAVRKADLGRRTLETVYPVSNKSSGIWSWITDKLGLRKEIAPTIQDFDADLVALPWHLIQISEDDLLVADRSGLYNLNPDLPHALCLLTELKLCEGRAEVMESYQQTVNERFSLLKDIHTNRSSTAKELSDLLEKVTSKELVSSVSRFHNYIIFGDTDGQRVLKHDLDTKNTSNINFSNCEVLGLPYWSICNLERVSTWGRSTEQFEEHVRQVDVLPGRCNITVYIDIPADTELAAPLAENCICRQVRGSGAEVSGSDGPDTPTEKVGIAQQWYDELDNLAFSEVAQEPTTAHGGDDKPADQSYQDQRRVQFTCAVNVSPGTCELVASAALYLKLARATDDRADQEALVKQIMCCQRREEHACVELLMGSRGDARDLVVMKPVHLRLRLECGDHPAGATNKETISTESRLKINVSLD >KQL00603 pep chromosome:Setaria_italica_v2.0:VI:3399295:3400125:1 gene:SETIT_015725mg transcript:KQL00603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAHKECITGAYNIYSCHKQPPGSVLCGYYVCEFLRNNGRYRTNPKDLPRIDTRNSAFADQGIVDICRDMARFVQQEIFHETGQFFDPNGELAADRCERLHAWTI >KQL01035 pep chromosome:Setaria_italica_v2.0:VI:7498560:7501609:-1 gene:SETIT_013353mg transcript:KQL01035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRSSLPRLLLLLLLLLLLSSTNASSSSSRNAELDALMDLKAALDPSGRALASWARGGDPCGRGDYFEGVTCDARGRVATISLQGKGLAGAVPPAVAMLPGLTGLYLHYNDLGGAIPRELGGLPDLAELYLGVNNLSGDIPAELGRLASLQVLQLGYNQLSGSIPTQLGQLKKLTVLALQSNQLTGAIPASLGDLPALTRLDLSSNQLFGSIPSKLAEIPQLSTLNLRNNTLSGSVPSGLKKLHEGFRYENNSELCGAQFDSLKPCPDDSNDDGKMPHKPESTSVKPQQIQKTADLNRNCDNGGCSKPSTLSAGAVIAGTIIIVAGAAACGLSVFSWHRRQKQKVGSSVEHLEGRLSLDQSKETCQRSASSLINVEYSSGWDTSSEGSQHGARLSSEGSPSVRFNLEEVECATQYFSDVNLLGKSNFAATYKGIMRDGSVVAVKSINKSSCKSEEADFLKGLRLLTSLRHENLVGLRGFCRSRARGECFLIYEFMANGSLSRYLDVKDGEAGATVLDWPTRVSIIKGIAKGIDYLHSSKPNKPPLVHQSISADKVLIDHLFVPHLSGAGIHKLLADDVVFSTLKDSAAMGYLAPEYTTTGRSTDKGDVYAFGVVVLQVLTGRRAVSAHLRLGAAEPGTLDDLVDPRLGGRFSRPEAAQLAGVALLCTAEEPAQRPAMFAVLQQLGTSQ >KQL00439 pep chromosome:Setaria_italica_v2.0:VI:2098652:2099678:-1 gene:SETIT_014857mg transcript:KQL00439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDGESQLPASLQPSGTTRLTTLGGNFWCDFHQIDGQFGNSKLGEEPGEPLLSRAPAVSVGLSQDVFRYFRDSVSMRGGSLWSTRADPVRADFVCDYYL >KQL00809 pep chromosome:Setaria_italica_v2.0:VI:5225925:5227824:1 gene:SETIT_015546mg transcript:KQL00809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPAVSTTTTSSSVTAATSPPCSTAASDAAAALGHHLQTPFSAAEHQLDALISQSCLALPSKLSGGGQNSPPAPPLPPHCPFFMFDTSSVSPPSSLTSPVAQLAQHPFLTFAAASMDAPGYQLPPLVDGMGMGMAAMDCGLGEESRGNNSQAAAAMANGGGWQQQKQEEEQLGQDQWDEESAQHLLMWDDDQELTPSNLEAMESGAHSLLFMGPNDHE >KQL01375 pep chromosome:Setaria_italica_v2.0:VI:18100796:18104033:1 gene:SETIT_015536mg transcript:KQL01375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENVVSIYYGGTVERDEYGCVKFVGMQCEVVIFDEKPSFSELVARAREELHCHENDEITVEGILHLGSPLNIQRKIVPIRCAGQWEKYVRTVMNGHSPSVEVVVHPVLPLLRSRAHDGFLALQYDDRYTPLLQMAGLDVISYQVRRGMPKFNSAAITALVDRYCYH >KQL02182 pep chromosome:Setaria_italica_v2.0:VI:30695948:30696976:1 gene:SETIT_014909mg transcript:KQL02182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSHDLMDSATACTVGRLGNNGVLGTSTRLPLLVYFHGGAFLVHSAFSGAHFRFLNALVSAAPAVAVSVEFRFRLAPKHPLPAAYDDAWAALRGPWRAAPPQARRPRRLFVAGDSTGGNIAHNVAMRAGRSGGLPGGARIEGMVLLHPYFRGKELVPSERAEPRSLERAERWWAFVCAGRYGIDHPFVNPLAMAAAEWAALGCRRALVTVAELDKMRDCGRRYVDALRASAWAGDEAALCEDQGERHVFFLRKSNGSDKAKKDMIAAVASFMAS >KQL02803 pep chromosome:Setaria_italica_v2.0:VI:34681813:34684755:1 gene:SETIT_015389mg transcript:KQL02803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFCSDVEDVISMSLTVVASLLQKYNVDPKLIGRLEVGSETVIDKSKSIKTWLMQIFEESGNTDIEGVDSSNACYGGTAALLNCLNWVESKSWDGRYGLVVCTDSAVCHPKSPSCQCFRYIHFVPVQHLALNCLMQLFMFRFYPEGPARPTGAAAAIAMLIGPDAPISLERKYRASHMAHAYDFYKPDLASEYPVVDGKLSQTCYLMALDSCYRRFCTKYEKLVGQQFSISDADYCVFHSPYNKLVQKSFGQLFFNDFMRNCRQASTFTNLSSQESYQSRDLEKASQQVSKHLYDIKVQPSTLLPKQIAGQRIIMFSYGSGLTSTLFSLRSHEGQHPFSLANIASVLDVTAKLESRYVTSPEKFVDTLKLMEHRYGAKDFETSKGISLLLPGTFYLTKVDSMYRRFYDKKASDDIVGGKIKVNDGIANGL >KQL00428 pep chromosome:Setaria_italica_v2.0:VI:1996101:2000112:-1 gene:SETIT_015735mg transcript:KQL00428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASISDSETTNRGSMWELDQNLDQPMDEEASQLKNMYREKKFSSILLLRLAFQSLGVVFGDLGTSPLYVFYNIFPHGVDDDEDVIGALSLIIYTLTLIPLMKYVFVVLRANDNGQGGTFALYSLLCRHAKVSTIPNQHKTDEELTTYSRQTYEENSLAAKVKRWLEGHAYKKNCLLILVLIGTCTAIGDGILTPAISVLSASGGIRVQNQNMSTDVVVIVAVVILIGLFCMQHYGTDKVGWLFAPLVLLWFILIGSVGVVNIHKYNSSVLRAYNPVYIFRYFRRGKSEIWTSLGGVMLSITGTEALYADLCHFPVLAIQIAFTLVVFPCLLLAYTGQAAYIIDHKDHVADAFYRSIPGAIYWPALIIATLAAVVASQATISATYSIIKQALALGCFPRVNVVHTSKKFLGQIYIPDINWVLMILCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPVMLLVWKSHWILVVIFLVLSLTVELPYFTACINKVDQGGWVPLVIAITFFIIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPLIFSHFITNLPAIHSVVVFVCVKYLPVYTVPVEERFIMKRIGPKNYHMFRCVARYGYKDIHKKDEDFEKMLLDRLFLFVRLESMMDGYSDSEDFTMMEQKPERSTRALLAEKAGSNTMSSIGDMSYSSHDSIMPAKSPLTGNSLTRYSSQTFGDEQEFLNRCKDAGVVHILGNTVVRARRDSGIVKKVAVNYVYAFLRKLCRENSVIFNVPHESLLNVGQIYYI >KQL00775 pep chromosome:Setaria_italica_v2.0:VI:4859865:4867985:-1 gene:SETIT_013446mg transcript:KQL00775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLTIGAKYKTTLKDPGTPGILRMNDDKFTFTPNDPRSAMKLNVEFRSIKGHKFNKVDGNKPALLNLSKDSDKGGGYMFEFDNVGNRDLCRDFVARVLGKHQGMPPRPTMPPEISVPSAGMEQLSAAEVERRVKLLREDSELQKLHKKFVLGNILQESEFWATRKNLLDDVANKASKQKPGFKTAMSDVRPSADGRTNKVTFNLTPEMIHQIFAEKPAVRRAFLDFVPKKMTDLEFWRKYCRAEYLLRTKNTAAAAAEAAEDEELAVFLKNDDILVKEAKLKIKQVDPTLDMEADAGDDYIHLPDHGILRDGSKETVDNDSEFARRTLSQELNRHAAVVLEGIASDVELTDAKSVAEALARSKKEPPSTSAVDDTSHERLLKVARMTEIEDLQAPRSLPYAPLCIKDPREYFDSQQANALRSLGASNDGRKSRNCSLSIEEAFHHLTDQISSIKVNKSNCTVIQSDMAVKVLNELNEGISRSRRLNLKNPQEGLLGRVPQHTRDELMDHWTAIQELLRHFWSSYPITSTVLYNKVQRVKDAMTQIYQKLQAIKESAQPDVRHEISRLVKPMTQALDAAFSHDLEQQQKSAKAGNKSNGF >KQL02143 pep chromosome:Setaria_italica_v2.0:VI:30253997:30254329:1 gene:SETIT_0155281mg transcript:KQL02143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTRVLANKPLRHSARLAAKDDGVYQNATAKATQLKSLQNSLALCSVAVQTHVVKKKLLTKTKKPIANIDLVKLADVAGLGDAAIKALDQVLTIGAATAHQLDSALAGPDA >KQL00465 pep chromosome:Setaria_italica_v2.0:VI:2317078:2319268:1 gene:SETIT_015595mg transcript:KQL00465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPRATKRRVPVNGPGEASSSRGHTGGDGGGTDLAADRLSAFPDALLHHVMMFVKAWDAVRTCVLSRRWRDLWASAPCFVYRLLLAREAFAPVDTLRLRLRGKDDDDEFDTDVRMWIRHAIRRKVRVIQLTGHAIVFARLDSMDFVSRHLKILKLSYANVFDSFTMKLSSQCPSLEELELKDCLVEGHGIIHVSLKRLTMVKCAFLKNFLVDAPNLVFVRCIAPERWVPLFKNFEALVTGSVMFDDSLLSYEFGYQVDDDEFAQTSDDDDDDNNSTYARSIGKPITASDDSDEFISDDSDFSDDFCDEYSDDVKDSHDYGSDINSDSGTYEYSEIANGHEYKQFENLNDGHDCTKGSNYHGCNAMYATSDYKSLGGQNVLHSLSNAQNLELLGHLGEVVLRRESISCPTFSNLKTLTLGEWCISTGADFDILVLLLQYSPTLEKLYLHLEKNPDIQKALEGGIKPKGGLFSCKHLSMVRIRCTKGNPRVHMLAQLFRYNGVLLEKIYVRRSGSFCEYYLMSISL >KQL01520 pep chromosome:Setaria_italica_v2.0:VI:21075514:21077874:1 gene:SETIT_014523mg transcript:KQL01520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLGGTFSSGSGTSSGSSHGTRSFGSEGDMELQARMELKRKRRMESNRESAKRSRQRKQQHLDDLNSQVDQLRTTKQQLLTALNNTTQNYAAAEAQNSVLRTQMIELESRLSALRDIICYMNANLQVSNSATINANPSTIMGVTANYDAFDASAWNSGMPMVQQPIDHLLYQCF >KQL01519 pep chromosome:Setaria_italica_v2.0:VI:21077083:21077424:1 gene:SETIT_014523mg transcript:KQL01519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHKKQVDQLRTTKQQLLTALNNTTQNYAAAEAQNSVLRTQMIELESRLSALRDIICYMNANLQVSNSATINANPSTIMGVTANYDAFDASAWNSGMPMVQQPIDHLLYQCF >KQL01521 pep chromosome:Setaria_italica_v2.0:VI:21075514:21077874:1 gene:SETIT_014523mg transcript:KQL01521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQILSEAILSGFMINSTLRRGTHLVLSFSVVFLYWFYVFSVDQLRTTKQQLLTALNNTTQNYAAAEAQNSVLRTQMIELESRLSALRDIICYMNANLQVSNSATINANPSTIMGVTANYDAFDASAWNSGMPMVQQPIDHLLYQCF >KQL02627 pep chromosome:Setaria_italica_v2.0:VI:33619360:33620808:-1 gene:SETIT_015597mg transcript:KQL02627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIVFLRASAADLTAGKPPLRGVPASAPLSAAAAAIPASQEADVAVWRDGASPLAPAAATVIGLLSSFDVVAFLASHVGGPAAALRTPAGDVVAHEPALVREVEPHTRLIEIVELMKQGARRVLVRKNITEACTVDKKPFAPFYKAVLKITGTPRAAAAAKQTVNRSSSSSPPPTTFGCDRYCCLTREDIVRFLINCLGALAPTPLQSISSLGAVNRGYAHVEASSPAIEASWSVPSEPRAVAVVQTNRDGSHKVLADVSAHRLWRRDYVAAADAMASLSSLSFAAGVTAHGMLPPDGDAEAAAPSSSSSLGGGRDDGFEASLVGQMMMASHGGNAALRCRSTSSLAAVMAQMLSYRTTHIWVTDGEDDVLVGVVGYMEIFNAVTKGVVAPPV >KQL01300 pep chromosome:Setaria_italica_v2.0:VI:14350336:14352779:1 gene:SETIT_015201mg transcript:KQL01300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDGASTERAFEAEPLPTLSETITVRSIAVSLVLGTVVSVVAMKLNLTSEFLPSLSIPAGLLGFFVARAWIRVNDVFGVPHLPFTRQENTVIQTCVVACSGIAYSGFGTYILGMSRKWDNGHVGSDQKNVEEPNIGRLMAFLFLINFAGLFMIVPLRELMIIRHRLTYPSGTATAHLINSFHTPHVARQVRQQVFTLFKSLGATVLWPLFQWFFAGGKDCGFQVFPTFGMTAYRRGFYFDFSTTNVGVGMLCPPMITVSMLAGSILSWGILWPCIETKEGQWYPENLEPSSLSGITGYKVLIGVSMILADSLFTFITALVRTLYLLLKRRSRVQTATLAGPPPGRCLCATERTMKSFDDRRRTQVFLRDMIPGGVAVAAYAALAAVSTAGVPRLYPQLRYHHVALAYLVTPALAFCNAYGAGITDMNLSTTYGKVALLVVGSCVGAERGGVVAGLVACGIVAAAVSGASELAQDLKTGYLTLTSPRVVLVGQVVGTALGCVVNPVVFWVFYRVYDMGVLDLDDADAPYAEVYRGIVVLSAGSRHGLPRHSLLLCGLFFALALALSAAREAAERRRWRALAYIPSTVGVAVAFFAPPKMPVGMARADRSGARMLSAAVASGLICGDGLGSTVPSMLTFFKAQAPICIKFFSQPVNAEILGGNAT >KQL01011 pep chromosome:Setaria_italica_v2.0:VI:7335079:7337416:1 gene:SETIT_014122mg transcript:KQL01011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGCGGRRGRKPRARARGKGKKTRYLSLSDVLIKVEAEAGTPPRSQEDEPAPVWEEAEVKQEDAGDGGGQQQPPQQVEPFALHNEASTLFAALPAPSLSDILGASAGGGDGDESPSPSASPDGGSGGSELPCAQEEDLARRALRGRERWVYCSSGSSPTATTATTSSSSPCSSAASTGASVRSLLLKLDYGEILAAWADRGSLYIGGGGGGADSDAAPELELNAVLVEVEPPEHAASAPPPPAPAAFAWTAAETEAAGRERAEKVRRYKEKRRNRLFAKRIRYEVRRVNAVKRPRFKGRFIKEHEDIKLQPT >KQL02719 pep chromosome:Setaria_italica_v2.0:VI:34153221:34155264:-1 gene:SETIT_013839mg transcript:KQL02719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAVAVLLVLLIVALSSAIIAELPSAEAALDVRGHRRLKSMTVLSPPFFLRPGAVANKYYHDIAFPRGHVALKSFNGEVVDDRGAPVPLHEAYLHHWVVHPYYAATNATAAGRPATLPARNSGVCEGDTHGQYYGLGSETRRTATWVPDPYGVEAGDPAAPPEGYEERWMLNVHAIDTRGAADRMGCTECRCDLYNVTVDEHGRRIADDYAGGLLCCYDQTRCRVEEGFVDGEARKLFFRYTVMWLDWSDAVVPVKIYIFDATHRPLPVGCKVEYEVEECSPERRAKNDCVHVQVAKQVVPRGGDLVFGVAHQHAAGIGSSLHGQDGRLLCESIPTYGDGEEAGNEAGYIVGMSTCYHPAPGAVTVRDGETLTVVSNYSSERRHTGVMGLFYILVAEHQRPAPDTKPSLL >KQL02718 pep chromosome:Setaria_italica_v2.0:VI:34153221:34155362:-1 gene:SETIT_013839mg transcript:KQL02718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAVAVLLVLLIVALSSAIIAELPSAEAALDVRGHRRLKSMTVLSPPFFLRPGAVANKYYHDIAFPRGHVALKSFNGEVVDDRGAPVPLHEAYLHHWVVHPYYAATNATAAGRPATLPARNSGVCEGDTHGQYYGLGSETRRTATWVPDPYGVEAGDPAAPPEGYEERWMLNVHAIDTRGAADRMGCTECRCDLYNVTVDEHGRRIADDYAGGLLCCYDQTRCRVEEGFVDGEARKLFFRYTVMWLDWSDAVVPVKIYIFDATHRPLPVGCKVEYEVEECSPERRAKNDCVHVQVAKQVVPRGGDLVFGVAHQHAAGIGSSLHGQDGRLLCESIPTYGDGEEAGNEAGYIVGMSTCYHPAPGAVTVRDGETLTVVSNYSSERRHTGVMGLFYILVAEHQRPAPDTKPSLL >KQL02194 pep chromosome:Setaria_italica_v2.0:VI:30771470:30772511:-1 gene:SETIT_014292mg transcript:KQL02194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASMGYTIAILSRDLEKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPADDAAPPRPTPFLAVTPDAFHRSLAVSAAGAFHCAQQVIPGMVERGRGTIIFTGSSASVTGFAGYSDLSCGKFALRGLSQSLAREFQPAGVHIAHVIIDGVIGERRSVTALFIANNPMRAYVLRSLNETKI >KQL02193 pep chromosome:Setaria_italica_v2.0:VI:30768643:30772511:-1 gene:SETIT_014292mg transcript:KQL02193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSVSGSNSSRGIAAVVGVGPRLGSAVARKFASMGYTIAILSRDLEKLSQLAEEIAQEAKAQVFALRVDCADARSVREAFEGVLSLGPVEVLVYNACEPPADDAAPPRPTPFLAVTPDAFHRSLAVSAAGAFHCAQQVIPGMVERGRGTIIFTGSSASVTGFAGYSDLSCGKFALRGLSQSLAREFQPAGVHIAHVIIDGVIGERRSPRSSRAGGAGDPAAAATGAGADPDAVAQSYWHVHAQDKSAWTQEMDIRSSSFM >KQL02107 pep chromosome:Setaria_italica_v2.0:VI:29993293:29996021:1 gene:SETIT_014050mg transcript:KQL02107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAHAQAGIGRSVGRSQDELGRGEWAWCRPPLARMAASSDRLLCLALAARGGRRKAGRGRHGPLAAPSACSPRRRPLGSARSSSRPHNTEQARGDGESRAAMAGTGCHSLLSPASRLSPEFFSRRRASAVGRGACRPSKVRPQIRCCAKDDDSKGCADMPKGKDEETRPSRRKCLVCLGAVTLISATGPTISTPNGLAADMMNKPGIQKAVCRNCNGSGAVIFPFSWSGFIFSWIQVICVVAQENGKPSTERGQKMFTNSQSARIATVEGSWYAQSVLELACRTTKAYSGDQKQSNCLIRCTTARYCQGHSVVGHTAKGFCFSHRVGITFETM >KQL02108 pep chromosome:Setaria_italica_v2.0:VI:29993293:29996021:1 gene:SETIT_014050mg transcript:KQL02108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAHAQAGIGRSVGRSQDELGRGEWAWCRPPLARMAASSDRLLCLALAARGGRRKAGRGRHGPLAAPSACSPRRRPLGSARSSSRPHNTEQARGDGESRAAMAGTGCHSLLSPASRLSPEFFSRRRASAVGRGACRPSKVRPQIRCCAKDDDSKGCADMPKGKDEETRPSRRKCLVCLGAVTLISATGPTISTPNGLAADMMNKPGIQKAVCRNCNGSGAVICDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPEAKQLLDKMYNGKILPRS >KQL02105 pep chromosome:Setaria_italica_v2.0:VI:29993293:29996021:1 gene:SETIT_014050mg transcript:KQL02105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAHAQAGIGRSVGRSQDELGRGEWAWCRPPLARMAASSDRLLCLALAARGGRRKAGRGRHGPLAAPSACSPRRRPLGSARSSSRPHNTEQARGDGESRAAMAGTGCHSLLSPASRLSPEFFSRRRASAVGRGACRPSKVRPQIRCCAKDDDSKGCADMPKGKDEETRPSRRKCLVCLGAVTLISATGPTISTPNGLAADMMNKPGIQKAVCRNCNGSGAVICRGKLVCPVCLGTGLPNNKGLLRRPEAKQLLDKMYNGKILPRS >KQL02106 pep chromosome:Setaria_italica_v2.0:VI:29993293:29995280:1 gene:SETIT_014050mg transcript:KQL02106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAHAQAGIGRSVGRSQDELGRGEWAWCRPPLARMAASSDRLLCLALAARGGRRKAGRGRHGPLAAPSACSPRRRPLGSARSSSRPHNTEQARGDGESRAAMAGTGCHSLLSPASRLSPEFFSRRRASAVGRGACRPSKVRPQIRCCAKDDDSKGCADMPKGKDEETRPSRRKCLVCLGAVTLISATGPTISTPNGLAADMMNKPGIQKAVCRNCNGSGAVI >KQL00339 pep chromosome:Setaria_italica_v2.0:VI:1482978:1484210:-1 gene:SETIT_015541mg transcript:KQL00339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKRKRKRTPRLPPYLKEEVIIEILVRLPVKALSVSKAWRAIISDPIFIQAHLRRSASNWQEDPCFIYVVADEDGPGRWPTTFCNHIRFYQWQLGNKVATFIHDDNEYSCNSFDQRYFSHCDGLVLAPTDTRLYVFNPATRDAITLPESGRNDLKRRGGEPRACYCFGLGLDPRIGKYKVVQGFYWSKDCTSMGMEVCTIADDDDHRGIWSWGKIRNDPPYLPQGCQTAPSINGYMFWRIADQPGKQQQPPRALLHLSLDDEEFGITRLPDSLDPSLVHTFHLDVLHGRELCLTARTIGTMLTIWTLPVVDKGLNSPWERRYSINVSGIFHTMALPPCSSSGIILRRAEAIYRYDLKTCKLTTLCEMDRMALQGRRTKRQRKYDLFTFDIKRYTESLVRITNRLQ >KQL02379 pep chromosome:Setaria_italica_v2.0:VI:32122250:32125373:-1 gene:SETIT_013316mg transcript:KQL02379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAGSRGFIALAAVVGSLLLLLLLPPPFAGAAPVPAAAIRPDGPGTGSNCTRSCGDIDIPYPFGPPQLFLGDGTVQVLEISVPDGTVRINSSQLMYTVDVGISTASGPWGGGLPRGGPFFLSESRSSVALVGCGAQVELRGGDDNSLIASCAAVCPLDTDRRIVVEKRSSACTGVGCCQADIVLGYDFYDIQINKLNGSVYALASSVYLVDQGFSYTDEMSGVVYGHFPEALPATLDWVISNAGCGSVYRNDTTVDECRSTDSFCLEAQYNIGSRGRRCSCDDGYQGNPYVQDGCRDINECLDQEGHSCYGICLNLPGTFQCQCPIGTHGNASRKLGCVAYKNSSAGLGIGLGVSGGTSLLLLAFGSPFIIRKIKERKVKKMKEKYFSQNHGLLLQQLLTHKADIGERMIITLEELEKATNNFDKARVVGGGGHGIVFKGILDLHVVAIKKSKIVVQKEIDEFISEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGPISLPWDDRMRIAMEVARALSYLHSATSMPIFHRDIKSANILLDESLVAKVSDFGASRYIPVDKTGITTAVQGTIGYLDPEYYYTGRLTDKSDVFSFGVLLVELLTRKKPFVYRTDDGDGLVSHFISLLTEGKLVGLIDPQIMEDEVAVVYEVAILAAMCTKLRREDRPTMREAEMRLENLLVKKKQIPCTTAPREYNEHNTLVHCMSIERVNKEASRQYTMEDEIVLSANYPR >KQL01993 pep chromosome:Setaria_italica_v2.0:VI:28829437:28830324:-1 gene:SETIT_015196mg transcript:KQL01993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFPIVPYPAQGHMIPFFDRKNWVGTAHKLPAPRAVFLASRGVRVTVVATPATAPLLAAHRDTVRALVLPFPSHPALPAGVECARDAPPTLFAALIVAFAGLRGPLGSWVRERSGTPEDRVVAILADHSCGWAQPLAAELGIPGIVFSPSGVYGSAVLHSLFHRAPRPEDETDDEGLIGFPDLPGDPAYSWRQHRTYREGDEVSEGVRRNFLWNLEASAFVSNTFRRLEERYLGAPLADLGLRRVYAVGPLAPGPDAAGGRGGETAVSAADLCAWLDGFAEDGSVVYISFGSNGGA >KQL01771 pep chromosome:Setaria_italica_v2.0:VI:25826798:25828581:1 gene:SETIT_015564mg transcript:KQL01771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSESSTTTIRGRGKNKRKWTVAEDDELVKALYEISLDPRWKGEGGFKNGYCSVLETHLAEELPNCGISVVPHIESRVRHFRTKFGALEVMLNKSGFNWDENRKMLQCEKTQYEAHCKFHPEAKGLYGVVFPYYDSLAAVYGSDIATGEGAKGLSEAVGNIEKELVVEGGNPQEEEEDMMSRETPRHSTDSASSSLKRWKTDRKGKDHVSTTSSDPILDMLHEVQGDLKGVATNMGKMAAVMEHEVAGQEKNFKEDHQQKLREKATAKLRKLGFTGSEQVKAASVFVRISNQMSMLLTLDETLRREFILNMLADHGCFVMHVMPSEHGCFVMHVMPSERADYGG >KQL00319 pep chromosome:Setaria_italica_v2.0:VI:1313496:1317218:1 gene:SETIT_013322mg transcript:KQL00319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRFLQLGLGLLLLLAAKHDPSLAVPSSQCLAQCGGVEIPYPFGIGDNCSLSSGFNLSCQIHDGVYKALLSGMEVLNISLAQGTIRVLNPISTYCYNLSSGQMEDNHWLLETGGSPYRFSDIHNKFTVIGCNTIAYIYDNTGIGYQSGCVSTCKNLSDLVDGSCSGIGCCQTAIPRNMNYYNVYFNYAFNTSKVWRFSRCSYAVLMEAEAFNFSTKYISTTTFNDTRIGQAPVVMDWAIRNGTMTCEVAKHNMTGTYACLSTNSGCVDSANGLGYLCNCSKGYQGNPYLQGGCQDVDECRSSPCPSSGVCHNTEGGYRCSCRAGRKFNGKRTTCDLDTGLIIGLGIGLGVSSGMLLAFGSPFIIRKIKARKVKKMKEKYFSQNHGLLLQQLLTHKADIGERMIITLEELEKATNNFDKAHVIGGGGHGIVFKGILDLHVVAIKKSKIVVQREIDEFINEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYHHLHVEGPISLPWDDRMRIAMEVARALSYLHSATSMPIFHRDIKSSNILLDESLVAKVSDFGASRYIPFDNTGITTAVQGTIGYLDPEYYYSGRLTDKSDVFSFGVLLVELLTRKKPFGYQTDDGDGLVSHFVSLLKEGKLVGLIDPQIMEDEVAVVYEVAILAAMCTKLRREDRPTMREAEMRLENILVKKKQIPYTTAPREYNEHNTLVHCMSIERVNKEASRQYTMEDEIVLSANYPR >KQL02780 pep chromosome:Setaria_italica_v2.0:VI:34492599:34496845:1 gene:SETIT_013159mg transcript:KQL02780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLLLPVVRGVVGKAADALVQSITRMWGVDKDRLKLERHLLYVQSLLADAEAKSETNHAVRTWMKELKAAAYQADDVLDDFQYEALRREALSGQSMASKVLSNFTSKNRLVFRHKASRDLKNVLEKIDELVTEMTKFGLVALAEAPLQALPRQTHSALDESREIFGREDDKDGVVKLLLDQQDRQDVQVLPILGMGGVGKTTLAKMVYNNDKIQKHFELRMWHCVSENFEAIPLVRSVIELATNSTCDLPDTIELLRGKLQEAIGRKRFLLILDDVWNEDQNKWEDDLRPLLCSSIGGSGSKIVVTSRSRQVASIMGTLPPHELVCLSEDDSWELFSKKAFSKGVQEQAEFVKIGRCISKKCKGLPLALKTMGGLMSSKQQIEEWKAIADCNISDTNRGKDEVLPILKLSYKHLSPEMKQCFAFCSLFPKDYEMEKDMLIQLWMANGYVHEEGTMDLTQKGEYVFNELAWRSFFQHVILVRVPYSNFIHDSKHEINGCKMHDLMHDLAKDVAHECANAKELIQQNLPVNDIRHLHISRHDQLNKISQLHGGTMYLRTLLIPPSLYKDLSKDMVKSKLMSSRALSIRCRDTSIVHMELTRTTHLRYLDLSGSMIVSLPNSICMLYNLLSLRLNGCSRLQYLPEGMRTMRKLCHICLFGCRSLERMPPKLSVLHNLRTLTTFVVGTKDGCGIEELEDLRHIGNRLELYNLREVKCGSKANLHAKYNLNELLLCWDHFRDEYDESTIGEATNHEQVLESLVPHDKLKILEVRSYGGHTISEWMRNPQMFRCLRELIMMECRGCKDLPIVWLSSSLEHLCLRHMDSLTTLCKNIDVEAEADNTSLQIFPKLKRMELIALPELDRWAENSAGEILSSVTFPRLEKLVIKNCDKLASLPRLPVLTYLNLSGREGNNSTGALISMPLGSLPSLIHLRISFLLVDVMMPPDGEESQSQRPLDTLRYLTLEGDDAFITIFNKSKLQLGLGALHINLTKLRRLSVWSCNALKALPDGMDGLTSLEELVIDGCPGIEKFPQGLLHRLPALKYLQIGGCPDLQRRCREGGEYFDLIASIPDKVIEAPAQPRKWFLPSCGGGSQGN >KQL00895 pep chromosome:Setaria_italica_v2.0:VI:6135222:6137559:1 gene:SETIT_015113mg transcript:KQL00895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYAAGKLRRLVHPRGVSNALGPSSSFHLEDLPEVELLCGILENGTALENVTIQPLVTPYGKNSLNIYIPEYKIRDWVRRVSQRYGKVITVVEAPRQCLGHVY >KQL01715 pep chromosome:Setaria_italica_v2.0:VI:25185405:25188579:-1 gene:SETIT_015977mg transcript:KQL01715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAGCSHGAIAARSRTLFCAGAALHAQPHPRHLLCRIDSLSMGTRTRGHLHARRDPAATGAVRWSRRAQPTPPSGIDFGLSREDGC >KQL01702 pep chromosome:Setaria_italica_v2.0:VI:25023733:25025832:1 gene:SETIT_015438mg transcript:KQL01702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLPSRRHLSYASASAASLSELASLIAAGRFHRSVDLAKSLLLSSHPPAANVPDIYHALAAAAAADCRGDLPSHSFLCDASSALVVASARLRQPDGALRLLSLLASAGNGADAGAARAPLPSLSSCNLLLESLLSLGRHADARAAFGVLVAAGARPDTFAWNKAIQASVAAGDLDEAAGMLRRMGRDEGAPPPDAFSYNVVIAGLWRAGKGDDALKMFDVMAERGVAPNRITYNTMIDGHVKGGDLEAGFRLRDQMLHAGPKPNVVTYNVLLSGLCRAGRMDGTRALLDEMASHRLVPDGFTYSILFDGITRNGDSRTMLSLFGESLKKGIMIGAYTCSILLNGLCKEGKAAKAEQVLEMLVHTGLIPTRVIYNTLINGYCQIRDLQGAFSIFEQMKSRHIRPDYITYNAIINGLCKAEMVTKAEDLVMEMEKSGVDPSVETFNTLIDAYGRGGQLEKCLIVLSDMQEKGIKSNVVSFGSVVNAFCKNGKIQEAVAILDDMVHKDVLPNAQVYNSIIDAYIESGAIEQAFVLAEKMKNSGVCASIVTYNLLLKGLCKSSQIDEAEQLICNLTDHGLRPDVVSYNTIISACCNKGDTDRALELHQEMHKYGIKPTLRTYHTLLSALGSSGRVHDMESLYRQMLHKNVEPSSSIYSIMVDTYARCGNELKMESLKKEMSEKGIVIDDTERTNCELDRSIA >KQL01161 pep chromosome:Setaria_italica_v2.0:VI:9906883:9907155:-1 gene:SETIT_015342mg transcript:KQL01161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ILEKQVLTAAKAVEDKLDEEISALDRLDPDDIEALRERRIQQMRRAAERRAKWRAQGHGEYAEVPEKEFFSAAKASERLVCHFYRDNWPCK >KQL02125 pep chromosome:Setaria_italica_v2.0:VI:30108274:30108926:-1 gene:SETIT_015834mg transcript:KQL02125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSTMPEGVQEPPMDARLTAKGPRSWQKSKAWQNANLSKGPGEES >KQL02526 pep chromosome:Setaria_italica_v2.0:VI:33061379:33063346:1 gene:SETIT_015093mg transcript:KQL02526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAIRPGSVPAGSAKSSNGGKRLKRTPRVEEDGVPLGDEILLQVFAGHSLETDDLVRCAATCRRWCRLVSGEAEFICRLRRRPSHDDDETGAPPRFIPFDSFSRRAPIYSNSAAVLGGDLFKNCRLIASRNGRLVLELRRASRGAALRLAVFHPVTGDVSILPTLSGKDMPGSSACALLSAAADVPADPPRPASSTAFRLLIVYKPAGIRRQDRRRAPGQDAKMDAGVPARGAVFWLHGATVFSLRVDTLAAAVETLFPLRKRSSELCRCLCNRRLAVSPSRRTGGSARCRSAEAAGTNFVISIFRRDDESATKRTWAKPQDVELNRFLPWWNMRRVCIRAVCEKSGLVFFATGANVYGQPDARLRDLALYVLDLETKEAMREVPAPEGGCFVRKSSWSLYGYEMDLVSYT >KQL02550 pep chromosome:Setaria_italica_v2.0:VI:33151537:33152240:-1 gene:SETIT_015521mg transcript:KQL02550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVDQQQLDYGGGSNNPRRCAACKYLRRRCAPDCVLAPYFPASQPRRYADVHAVFGTSNATRLLQSLPVQERGRAADTMAAEARWRVQDPVYGCTGVIDRLQQEIRAVQHELATTRAQLAVHAHRGAPPPPQATPQLMSLPPPPHQPLAAAAAAAVAVHAGARGAVAVREADEEEEPLMDPDEFLDLDGRF >KQL01118 pep chromosome:Setaria_italica_v2.0:VI:8635716:8636029:1 gene:SETIT_014757mg transcript:KQL01118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKEMKEQIVVSRQLYISLGCRLKGRSCGARSSPRFAIAQINRESLRNKSKLQYRWEKKTHLFLLYEWKAPGNNLQLNLVFLWLD >KQL01014 pep chromosome:Setaria_italica_v2.0:VI:7379684:7383831:-1 gene:SETIT_013810mg transcript:KQL01014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEALVAHIQGLSGSPEEVAHLHSLLKQADGDSLRSHAAALVPFLTHLSPEAHSLGYLYLLEACATSGANLGADFLVTVADFLTACSADQIRLAPDKFLNVCRVFKDQVMLLNTPIRGIAPLRAAVRKIQASPEQLTPVHAEYLLLCLLAKQYKAGLSVLEDDIFEVDQPKDLFLYCYYGGMIYIGLKKFPKASELLHNAVTAPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYTSATAQRNMKNHAQIYVELSTCYGNGRYTDLETFVESNAAVFQSDNNLGLAKQVLSSMYKRNIQRLTQTYLTLSLEDIARSVQLDTPRDAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSVEMVEHIDTSIQRLTALSKKLASIDENMACDPAYLLKGGITGDSTTTTSTPFHTSIFEMI >KQL01015 pep chromosome:Setaria_italica_v2.0:VI:7379973:7383685:-1 gene:SETIT_013810mg transcript:KQL01015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLEALVAHIQGLSGSPEEVAHLHSLLKQADGDSLRSHAAALVPFLTHLSPEAHSLGYLYLLEACATSGANLGADFLVTVADFLTACSADQIRLAPDKFLNVCRVFKDQVMLLNTPIRGIAPLRAAVRKIQASPEQLTPVHAEYLLLCLLAKQYKAGLSVLEDDIFEVDQPKDLFLYCYYGGMIYIGLKKFPKASELLHNAVTAPMSSLNAIAVEAYKKYILVSLIQNGQVPSFPKYTSATAQRNMKNHAQIYVELSTCYGNGRYTDLETFVESNAAVFQSDNNLGLAKQVLSSMYKRNIQRLTQTYLTLSLEDIARSVQLDTPRDAEMHVLRMIEDGEIHATINQKDGMVSFHEDPEQYKSVEMVEHIDTSIQRLTALSKKLASIDENMACDPAYLLKTGRDNRRFDYDDFDSVPHKYF >KQL01416 pep chromosome:Setaria_italica_v2.0:VI:19172821:19173211:1 gene:SETIT_015978mg transcript:KQL01416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPKEISSTLKFLPCLFKDKKNVLLHVEAYPCYVNYVIDLS >KQL01259 pep chromosome:Setaria_italica_v2.0:VI:12401102:12403025:-1 gene:SETIT_013611mg transcript:KQL01259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSAATLIFLSLISLPILVTLLSRNSKKRRPPGPRNLPLIGSLLQFVKSHPHVALRDLAKKHGPVMFLRLGQIDTVVISSPAAAQEVLREKDVIFASRPSLVLSEIFCYGNLDIVFSPYGPYWRTLRKLCTVELLSSKMVRQFAPIRDEEILSLVRKIQAAGQGGKPVNLGRLLLSCSNLIVTKASFGQVCSSDLQEQFLSALDVALKVSGGYTIGDLFPSLWFIDVFTGLRRRVWRARWRMDTVLEKIIAQSESQRGDSLLGVLLRIRDEGDLEFPIDTTTIKAIILDMFSAGTETTSSSAEWVMSELMRNPEVMAKAQAEVRRVFDNKHPQDHEGKMDELHYTKMVIKESMRLNPVAPLLLPHFCRETCDIHGFEVTKGTRVMVNVWAMARSPEYWHDPEKFKPERFEDGTIDYKGSRFEYLPFGIGRRRCPGDNFGLITLELIVARLLYYIDWRLPNGMRSDEIDMDILMGATLRRKNQLHLVASPYKVVPVQS >KQL02967 pep chromosome:Setaria_italica_v2.0:VI:35541395:35542051:1 gene:SETIT_015315mg transcript:KQL02967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSASAPSSPRSNETSVEDQLQIITETISSASATTETMYDALRRLVSVYNNIEEIMCLRSSQVSLFQPKQRKAVEDELERSLLLLDLCRGVAAVEAKIQFQSYIRFAKTAQKQFKKISNESTSINQQNCRVRMATRSCSKWSLIHKTFQKKRVVFDGEKLQALELEIADLEGGVETLFKTY >KQL00459 pep chromosome:Setaria_italica_v2.0:VI:2270896:2272422:-1 gene:SETIT_014867mg transcript:KQL00459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDDDMMLHLHVERLARRLTQRQQDAAVTEQHRIMMNTHRVSRVPGHIRTLNPDAYTPGLVAIGPLHARDAERRLRQGNQLKLAYLNSLISRGHPDDSRHLALAVIKDYVRLVAAREWEARAMYAAEDVDDLSTEDFIEMLVLDGCFIIEHLVNVATGKEEQWLHATPFGPAQLSVDLVLAENQIPFFVLVDLITSTRLPEFDSLGYDPPVLLMKLVLFYLGGELGRDMTEALPPANAVCHILHLVHAMVTAARTRWEPPPRIHAGGVLMEKMQEAARLLRRLLLLLLVLLLYPTLPEESRWSARYGPEVLPSARDLKRMLLRFTKSPGNPSKAVAGIASVLGHVPLATKLAHQDLLLLPQLRIEFGTAALLLNLMAYEQSAEQRAGDVSAYVWFMSKLVQSSEDATVLMAADVVRSGTSGREGMEEVARFFQQVGTASEAAAELDKSYLGEMLQKLRERSQYPLLMKLADVKRYYVNVPWLLVAFVTVVTTVATVLQIFAPFKQKP >KQL02291 pep chromosome:Setaria_italica_v2.0:VI:31514908:31516368:1 gene:SETIT_015553mg transcript:KQL02291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPSAPQNHATGSRACNGGEGNPHVLVVPYPAHGHMLPLLDLAALLAARGLAVTVAITAGNAPLLQSLLASCPSGRPSARSRCNTKDLPAHLFRPFIVSLAALRAPLLAWCKAQAQSRHRVTAVVSGLFTGWTQPLAAELGVPHVTFSPSNALHLAVSHSLWRHLPSGRLPEDADEPVTFPNIPGSPSFPWRQLSWLFTRRVPGDEVSEAIRQFFLWNLGSACFVVNSFAALDGAYVERALPDLASKRVFAVGPLSDAVSLCRDRRGGKPVVPAASVAAWLDAFPDGSAVCVSFGTQHALSTPQAASGTAVPEGFEAATASRGVVIRGWAPQVEILRHRAVGWFLTHCGWNSVLEAAAAGVALLAWPMGADQFTNAWLIAAAGVAMPVAEGAEAVPDAGQMANAIAAAVGEEGKPVRKRAAELGKKAVAAVAEGGSSHGDLEDLVRMLRKVD >KQL00966 pep chromosome:Setaria_italica_v2.0:VI:6952437:6954059:-1 gene:SETIT_0136562mg transcript:KQL00966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DFFSILTWWILPCFVVKVARELFRFSHIFQESVWRACVVFSASIMSWMYLTTIILSSCMLFHLVCNLQVIHFDDYGKLLEQDADPLVYLKEHLQLRHNLSKISHRFRMFLLLLFISVTASQFAILFKTTAYIGPINFTNGGDIAVSSVVQVVGLVLCLHAAAKISHRAQNISSIASRWHALATCSTDSNYVTTPNSSGNLMPFPAHLFLRDYSESDLESLESAASLHGNSQGTAQLASYMSSYHKRESLVLYLLANPGGITIFGWIVDRAFLNTILMLELTLVLFVLSKTVVIPSKTLMQSYIGLT >KQL01489 pep chromosome:Setaria_italica_v2.0:VI:20507542:20510864:-1 gene:SETIT_014013mg transcript:KQL01489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTYLVLTCVHASLPRPLPSLHVTRIALAGITRRSTAGAPLFASPAACRTGERRRGWAQICRDSSLQGPPGADSPAQDQEDKKKSEAVAAAAARIASGGGGGGKLSDWTTSVLIFGIWAGFMYYIFQLAPNQTPYRDTYFLQKLLNLKGDDGFRMNDVLVALWYIMGIWPLVYSMLLLPTGRSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPPIDEDEIEQWPLKFLESKLTAGVVFALGIGLIIYAGKAGGDDWKEFIRYFRESKFVSSAA >KQL01488 pep chromosome:Setaria_italica_v2.0:VI:20506666:20510864:-1 gene:SETIT_014013mg transcript:KQL01488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSTYLVLTCVHASLPRPLPSLHVTRIALAGITRRSTAGAPLFASPAACRTGERRRGWAQICRDSSLQGPPGADSPAQDQEDKKKSEAVAAAAARIASGGGGGGKLSDWTTSVLIFGIWAGFMYYIFQLAPNQTPYRDTYFLQKLLNLKGDDGFRMNDVLVALWYIMGIWPLVYSMLLLPTGRSSKSKIPVWPFLVLSCIGGAYALIPYFVLWKPPPPPIDEDEIEQWPLKFLESKLTAGVVFALGIGLIIYAGKAGGDDWKEFIRYFRESKFIHATCLDFTLLSAFSPFWVYNDMTARRWKNGSWLLLLALIPFVGPSLYLLLRPSLSSLLAASASSSDEIEK >KQL00718 pep chromosome:Setaria_italica_v2.0:VI:4300623:4302409:1 gene:SETIT_013570mg transcript:KQL00718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWLYHSLSITFCLAVSLLLSSLRPVTGKPPLPPGPPLLSLIGPLIFLGRTNFSIERIISAARSRYGPVFTLYLLPSRPAIFVADHAVAHRALVQRGAAFADRPPANLPSRIFSSNQHNITSGAYGPLWLVLRRNLTGKVFQPSRLRRFAGARRRAVAGLVAGVTRQMSADGVVVVEGLLHRAMFRVLTSMCFGEGLAADGVVASVEALQREFLTSAIGFQVFGVCPAVTKLVFRRRWKRMLSLRHRQEELLVPLIRACRAQRDTAVAGDSAAVDSYVDSLLGLRIPEDGGTRNLTDGEMVSLCSEFLVAGTDSTAAVVQWIMANLVAQPEIQGRLRAEIRQVAGASIQEEHLPRMPFLRAVVLEGLRRHPPGHFVLPHAATETDGGGVTLEGFSVPRHTSVNFTVAGMGLDEAVWPDARRFRPERFLPGGEGADVDLTGGKEIKMMPFGAGRRICPGMALALLHLEFVVANLVAEFEWREVAGEPVEFAEKQELSVVMRRPLRASVVRCTRNERT >KQL02706 pep chromosome:Setaria_italica_v2.0:VI:34081604:34086722:1 gene:SETIT_015681mg transcript:KQL02706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYIQAGQSVNISFRCGCQTLAKSPFSSYLAGSFPYKVASGEIYSSIAGHFNGLTTTDWLDKANSYPTNNIPDTGTVNVTVNCSCGNPGISKEYGLFLTYPLNGQTLASVAANYSFNSREQLDLLKKYNPGMDTNTSGLVFIPVRDANGSYHPLTSPGRRKAKKAALLPSSEESTQLAATSSMDKTALSSSQADSASAVPGITVDKSKAAIKKMDMQATNEFLAELKVLTHVHHLNLVRLIGYCIESSLFLVYEFIENGNLSQHLRGTGYQPLSWAARVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNYRAKVADFGLTKLTEVGNTSLPTRGIVGTFGYMPPEYARYGDVSPKVDVYAFGVVLYELISAKEAIVRSTESSSDSKGLVYLFEEALNEPDPKEGLQRMIDPALGEDYPIDSILKMTSLARACTQEDPKSRPTMRSVVVALMTLSSTSEFWDMNALQDNQGLVNLMSGR >KQL01155 pep chromosome:Setaria_italica_v2.0:VI:9710413:9711519:-1 gene:SETIT_0154832mg transcript:KQL01155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVQAGDRRGGEEEDAMQDGHFAFAQAASVLLGAYLVGTTVLGSAVKLSDAASYALFGVTVLLLLAPLAIPVKMTLFRKKKPTLVEPPASAEEEPLLIPSDLPPADEDSEKVDVLLAEGEGAVVKRKRRPRRGEDFKFTEALVKADFWLLWFGYFIGVGTGVTVLNNLAQIGAAAGIADTTILLSLFGLGNFLGRLGGGAISEKFVRSVLLLPRPIWMSLTQTVLAVAYLCLAYALTPGVVYACAAVVGLCYGVQLAVMIPTVSELFGLKNFGLFYNLMAVANPLGAVLFSEELAGRLYDGEAARQRHSGGHPHACIGPECFRVAFVVLAGCCALGTAVSLVLAARIRPVFRALYAGGSFRLPNSNQQH >KQL03056 pep chromosome:Setaria_italica_v2.0:VI:35971132:35976323:1 gene:SETIT_0131291mg transcript:KQL03056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDVIQFDRALEKAVLYAVGNTLVCDKLDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMEARSNKWDDSRIESLKKKKNQLESEMSELGSPRELQRKELAISEKITGLEKKLQYLNVEHSNLTAKLLKVASERNNIEEEINRLEPEKEELEIRLAEKEAEVTKLEKKINEIVDKVYRDFSISVGVKNIREYEERQLKDAQALQERKLTLNTQMSKLKYQLEYEQKRDMQAPIVKLRETYESLEKELKGLQERESGAKVEAEEILTQMDELKAEAEDWKSKSDECEKVIDELKEQNGSIASTLAKLDRQVKSKEGQLLQLMSRQRDIYEKCELEQLKLPTVNDPMDTGPSSQEPVLDYSQLSEIYLQDMRPSERDKHEAVFKQKTGALLAEIERTAPNLKALDQYDALQRKEKEITEKFEATRKEEREISDKYNSIKQRRYELFMEAFDHISKGIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHR >KQL03057 pep chromosome:Setaria_italica_v2.0:VI:35971132:35977320:1 gene:SETIT_0131291mg transcript:KQL03057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLKEQRLPPQTFIPLQSVRVKPIIEKLRTLGGSAQLVFDVIQFDRALEKAVLYAVGNTLVCDKLDEAKTLSWSGERYKVVTVDGILLTKSGTMTGGISGGMEARSNKWDDSRIESLKKKKNQLESEMSELGSPRELQRKELAISEKITGLEKKLQYLNVEHSNLTAKLLKVASERNNIEEEINRLEPEKEELEIRLAEKEAEVTKLEKKINEIVDKVYRDFSISVGVKNIREYEERQLKDAQALQERKLTLNTQMSKLKYQLEYEQKRDMQAPIVKLRETYESLEKELKGLQERESGAKVEAEEILTQMDELKAEAEDWKSKSDECEKVIDELKEQNGSIASTLAKLDRQVKSKEGQLLQLMSRQRDIYEKCELEQLKLPTVNDPMDTGPSSQEPVLDYSQLSEIYLQDMRPSERDKHEAVFKQKTGALLAEIERTAPNLKALDQYDALQRKEKEITEKFEATRKEEREISDKYNSIKQRRYELFMEAFDHISKGIDKIYKQLTKSHTHPLGGTAYLNLENEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCERVADEQGRNGECGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLTKYREA >KQL02195 pep chromosome:Setaria_italica_v2.0:VI:30786200:30786958:1 gene:SETIT_015001mg transcript:KQL02195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLIDYSPAALRSLLRPSSTDERRAKLSGAGAGAGGALGLFKVFKILPMLTTGCKMAAMLGRHKHSRALLADHAPTVTLFGHRRGRLSLAIHEDTRSPPAFLIELPMLAAALHREMATGTVRLALESDTRGVAAAARRRRPLLEEYVWAVYCNGREAGYAIRRKDASDDERHVLRLLRGVSIGAGVLPPPPDGRAAAGATPSAGPDGELTYMRARVERVVGSKDSEAFYMINPDDGGDSAPELSIFFVRNK >KQL01738 pep chromosome:Setaria_italica_v2.0:VI:25362007:25363133:1 gene:SETIT_015345mg transcript:KQL01738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGAAAAGQGQHGVPGKNRESQPLERRHAMTGCLNTLCRGFVVVSQTAAPAIVLRPGFAGISISKINNLNLNGTDLPDQLLYLKKNCTGFTHAQCDHTPHECFYQYAKCQDGLTGNWQVFLNQEMVGYFPKELINNMAGATQVQMGGIIYAPPSQKSPPMGIRVAPVPGKVTLASKFAQARVQGANIAK >KQL01137 pep chromosome:Setaria_italica_v2.0:VI:9116991:9122901:1 gene:SETIT_013489mg transcript:KQL01137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEQQPEPVAAAAAVEEASPEIIFRSKLPDIAITNTLPLHRYCFERLPEVADRPCLIDGATGTVRTYAEVDRLTRRLAAALRREPLGLRRGAVVMNLMLNSAEFVLSFFAASRVGAAVTTANPMSTPHEIANQIAASGATVVFTESMAVDKLPASAKDGGALTVVLIDARRDGCLHFWDDVMASVPDEEVAAGDEDSASGDGEFDPDDVVALPYSSGTTGLPKGVMLTHRSLSTSVAQQVDGDNPNIGFHSGDVILCSLPMFHIYSLNTIMMCGLRVGAAIVVMRRFALTAMMKLVERHRITIAPLVPPIVVDVAKSGEAAAHDLSSVRMVLSGAAPMGKDIEDAFMAKLPGAVLGQGYGMTEAGPVLSMCLAFAKEPFKVKSGACGTVVRNAELKIIDPNTGKSLGRNQPGEICIRGQQIMKGYLNNPEATKNTIDADGWLHTGDVGFVDDDDEIFIVDRLKEIIKYRGFQVAPAELEALLITHPSIADAAVVGKQVEPEIGEIPVAFVAKAQGSELSDDDVKQFVAKEVIYYKKVREVIFIDKIPKAPSGKILRKELRKQLQEQQQAV >KQL02073 pep chromosome:Setaria_italica_v2.0:VI:29692855:29693380:-1 gene:SETIT_014829mg transcript:KQL02073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQNPRSKCGNQMKTKPNLEHSSRRLNACSLCRKRTHNLPLNGIAPKPNPTGEGK >KQL00119 pep chromosome:Setaria_italica_v2.0:VI:64643:69978:-1 gene:SETIT_013246mg transcript:KQL00119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEAASLLEELAREATIDDVAVLVNGNGEEQHGNEAVLKSAEALQNLGGISAKEVPQHEGKEVILVDDNDSGQEDGGKAKVDENAPRFGLRFKTYDDALKYYKQYAEDSGFAAIILKSSYLKSGVCRRLVLGCSRAGRGRANACYLSRESTKINCPARISLKLRQDRWLHIDDAKLEHNHPLNQSSVALTNCYKKLTDAKNGEPASRLKGRRNVPAEKEQGNFTEIGRLKFGEGDDEYIQKFFGNMQNKNPYFFYLVDLDYQGRLRNLFWSDARSRAANDYFGHDVVYFDTSYLTEKYDLPLVFFTGMNNHGQPVLFGTGLLSDLNADSYAWLFRAFLACMKGRCPMAIITEHYNAILDAVREVLPEVRHRLCLYRIMKDVAENLKEHAEFKTIKKALNKVTYGSLKIPEFEADWKKIIDEHGLGENECLSSLYEHRQLWAPAYLRDKFWAGMSISQHGESISSYYDGFVYPKTSLKQFFSKYEMILENKYKKEWQADEESSHRTPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMPIKVDGRLVTFEVKECSYMEDGKDTESRTYEVYFCKEGKVEIECECGFVQFTGVLCRHALSVLKLQEIFEIPSDYVLDRWRRDYKKLYSKANKPNEMPLSGIVERSDYLFSQCSQLLNLGFVSESRYLVALKLLRDAERSLLDDGLPARDRQSTLLSFEADAPENGQGLFNPQFSESVKNSQSAHAKRRGRPPKKVTESNGETVTQPNKEQDFLRSSFVTDDTNMVQGPPSASHLEGPHMGVQGGIDLMEGIPNLSFGNHFGMDINHQHQVPSHQRMQQNNFMQVQPEPHGFASQWVYHPMLQDNPVLRTPTRRTG >KQL00120 pep chromosome:Setaria_italica_v2.0:VI:63349:69978:-1 gene:SETIT_013246mg transcript:KQL00120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVEAASLLEELAREATIDDVAVLVNGNGEEQHGNEAVLKSAEALQNLGGISAKEVPQHEGKEVILVDDNDSGQEDGGKAKVDENAPRFGLRFKTYDDALKYYKQYAEDSGFAAIILKSSYLKSGVCRRLVLGCSRAGRGRANACYLSRESTKINCPARISLKLRQDRWLHIDDAKLEHNHPLNQSSVALTNCYKKLTDAKNGEPASRLKGRRNVPAEKEQGNFTEIGRLKFGEGDDEYIQKFFGNMQNKNPYFFYLVDLDYQGRLRNLFWSDARSRAANDYFGHDVVYFDTSYLTEKYDLPLVFFTGMNNHGQPVLFGTGLLSDLNADSYAWLFRAFLACMKGRCPMAIITEHYNAILDAVREVLPEVRHRLCLYRIMKDVAENLKEHAEFKTIKKALNKVTYGSLKIPEFEADWKKIIDEHGLGENECLSSLYEHRQLWAPAYLRDKFWAGMSISQHGESISSYYDGFVYPKTSLKQFFSKYEMILENKYKKEWQADEESSHRTPLTVTKFYMEEQLAKAYTINMFRKFQDELKATMYCDGMPIKVDGRLVTFEVKECSYMEDGKDTESRTYEVYFCKEGKVEIECECGFVQFTGVLCRHALSVLKLQEIFEIPSDYVLDRWRRDYKKLYSKANKPNEMPLSGIVERSDYLFSQCSQLLNLGFVSESRYLVALKLLRDAERSLLDDGLPARDRQSTLLSFEADAPENGQGLFNPQFSESVKNSQSAHAKRRGRPPKKVTESNGETVTQPNKEQDFLRSSFVTDDTNMVQGPPSASHLEGPHMGVQGGIDLMEGIPNLSFGNHFGMDINHQHQVPSHQRMQQNNFMQVQPEPHGFASQWVYHPMLQDNPVLRTPTRRTG >KQL01162 pep chromosome:Setaria_italica_v2.0:VI:9925521:9928315:1 gene:SETIT_014525mg transcript:KQL01162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRHTLEPEPWVRKEALDFTKIWPAATGFGECELRFHGIGGGVSRSEKVTNDNFFGPMLLPQGGVANSHTAHPTVLTHPRIPLPVFLHLHPHAAAARRERRNATMSATTAAVPFWRAAGMTYIGYSNICAALVRNCLKEPFKSEAASREKVHFSVAKWADGKQEKPNSDQ >KQL01163 pep chromosome:Setaria_italica_v2.0:VI:9925521:9928315:1 gene:SETIT_014525mg transcript:KQL01163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRHTLEPEPWVRKEALDFTKIWPAATGFGECELRFHGIGGGVSRSEKVTNDNFFGPMLLPQGGVANSHTAHPTVLTHPRIPLPVFLHLHPHAAAARRERRNATMSATTAAVPFWRAAGMTYIGYSNICAALVRNCLKEPFKSEAASREKVHFSVAKWADGKQEKPTVRTDSDQ >KQL02072 pep chromosome:Setaria_italica_v2.0:VI:29692197:29695604:1 gene:SETIT_013719mg transcript:KQL02072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGSINGLRFKQLKLVILAFFMLFLFWKWDKGTYYDSGILQPDPLVLTHPANSKFVDQHTSSEEDFPSADPLPQSVVKVEKQITGAPPPLTMVGYSVDVADENEVPPPEKKECNYRNGKWVSDNRRPLYSGFGCKQWLSESWSCRLTQRTDFAYEQFRWQPEACEMPEFEASQFLRRMQDKTIAYVGDSLGRQMFQSMMCMVTGGKQRPDVEDVGAEYGFVLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLNPSDHATSYAMHLDRPPAFLKNNLHRFHVLILNTGHHWNRGKLKANKWEMYLGGAPNNNRNIAVIWKAKNFTIHSVINWLDAQLPNHPQLKVFYRSISPRHFFNGDWNTGGRCDNTNPLAKGSGIHLNHSEDTDAEGAVRGTRINLLDVTALSRLRDEGHISRYSIKATPGVQDCLHWCLPGVPDTWNEILAAQL >KQL02070 pep chromosome:Setaria_italica_v2.0:VI:29692154:29695847:1 gene:SETIT_013719mg transcript:KQL02070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGSINGLRFKQLKLVILAFFMLFLFWKWDKGTYYDSGILQPDPLVLTHPANSKFVDQHTSSEEDFPSADPLPQSVVKVEKQITGAPPPLTMVGYSVDVADENEVPPPEKKECNYRNGKWVSDNRRPLYSGFGCKQWLSESWSCRLTQRTDFAYEQFRWQPEACEMPEFEASQFLRRMQDKTIAYVGDSLGRQMFQSMMCMVTGGKQRPDVEDVGAEYGFVLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLNPSDHATSYAMHLDRPPAFLKNNLHRFHVLILNTGHHWNRGKLKANKWEMYLGGAPNNNRNIAVIWKAKNFTIHSVINWLDAQLPNHPQLKVFYRSISPRHFFNGDWNTGGRCDNTNPLAKGSGIHLNHSEDTDAEGAVRGTRINLLDVTALSRLRDEGHISRYSIKATPGVQDCLHWCLPGVPDTWNEILAAQL >KQL02071 pep chromosome:Setaria_italica_v2.0:VI:29692197:29695847:1 gene:SETIT_013719mg transcript:KQL02071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGSINGLRFKQLKLVILAFFMLFLFWKWDKGTYYDSGILQPDPLVLTHPANSKFVDQHTSSEEDFPSADPLPQSVVKVEKQITGAPPPLTMVGYSVDVADENEVPPPEKKECNYRNGKWVSDNRRPLYSGFGCKQWLSESWSCRLTQRTDFAYEQFRWQPEACEMPEFEASQFLRRMQDKTIAYVGDSLGRQMFQSMMCMVTGGKQRPDVEDVGAEYGFVLAPGAKRPDGWAYRFPSTNTTILYHWSSTLCDLEPLNPSDHATSYAMHLDRPPAFLKNNLHRFHVLILNTGHHWNRGKLKANKWEMYLGGAPNNNRNIAVIWKAKNFTIHSVINWLDAQLPNHPQLKVFYRSISPRHFFNGDWNTGGRCDNTNPLAKGSGIHLNHSEDTDAEGAVRGTRINLLDVTALSRLRDEGHISRYSIKATPGVQDCLHWCLPGVPDTWNEILAAQL >KQL00549 pep chromosome:Setaria_italica_v2.0:VI:2959911:2960686:-1 gene:SETIT_014417mg transcript:KQL00549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNLLLHFVLLALIAYGAMASDPGPLQDFCVADKYSPVRVNGLPCKDVKDVKVDDFFLAANLDKPGDTTKSKVKSNVTLINAMKLPGLNTLGISMARIDYAPQGQNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKFFSKMLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITISNAVFGSKPPIADDVLAKAFQVDKKVVDWLQAQFWEDNHN >KQL02242 pep chromosome:Setaria_italica_v2.0:VI:31153706:31157328:-1 gene:SETIT_013529mg transcript:KQL02242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAPPSSAWCARTSGLLCDTSILLSATLNNLRTIRSIRLENALRFRNLHVSYAGGDSSENVIINGKVNPSNAVQADAVALGTIAADMAPVVDGFSADDDELDLDFPTEGFSSIPEAIEDIRQGKYVIVVDDENRENEGDLIMAASKVTPEAMAFIVRHGTGIVCVSMKEEDLERLQLPLMVTTKENEEKLRTAFTVSVDAKEGTTTGVSAKDRANTILALASPNSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLPPVAVLCEIVDDDDGSMALLPKLQQFAKRENLKIISIADLIRYRRKRDRLVECVCVTPLQLQWGSFTSYCYRSLIDGMEHIAMVKGDVGDGQDILVRVHSECLTGDIFGSARCDCGNQLALAMTMIEKTGRGVVVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANLELGLPADSREYGIGAQILRDLGVRTMRLMTNNPAKYTGLKGYGLSVLGRVPLLTPITNENRRYMEAKRLKMGHVYGNRPSSHTSGSGMTDGSAKEEGRQEQTPEA >KQL02124 pep chromosome:Setaria_italica_v2.0:VI:30105692:30107489:1 gene:SETIT_015015mg transcript:KQL02124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIKKEEELVSLWKARVVHFPDEILPVNTIIRSNLERAHSIICAAGGKHSVASTVAFACITKEADLMCELLKHGAGPTDDIIQQSSVIRMCALSLVHLQGFHAFDAAATMVGITKECKLMCDWIRKEDKLITFGIFPRHELLECRLIRIRTLDVMLTY >KQL02792 pep chromosome:Setaria_italica_v2.0:VI:34567067:34567856:-1 gene:SETIT_015213mg transcript:KQL02792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGFVFPTSSSSSPSPASFSTAGHDKALQFAPCEVLGQGWAGNVGLHEPLDGAAWGDGGSTSTTTRGRKAGPARPDEPAIPHVEDERHRRDKLHRRFCELRAAVPNVSRMDKASILADATAYITELRGRVEQLEAMAREAAARQGAPSAASHSFRNLEAEKLEVRMVGPKAAALRLTTAAARHRHAPARLMDALRSLDLPVRHACICRVAGVTVQDAVVDVPAAALRDEGGLRAVLLHRLQVSG >KQL02554 pep chromosome:Setaria_italica_v2.0:VI:33172805:33173195:-1 gene:SETIT_014708mg transcript:KQL02554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLILHYRQRTQCPSFFQKKNVMLLLVPASWEDACLLSLRLDPPITRIFWPNLAPQKPSSVCARSQAVSHTIAPSIALPFAPPLILSELPLPLRVVLLHPLHMAP >KQL01582 pep chromosome:Setaria_italica_v2.0:VI:22247201:22249787:-1 gene:SETIT_014213mg transcript:KQL01582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALRAAALRRSAPHWSTAAASFFSPPFRRCRCRRAPAPAATTRTPRSRAGAKARAKLLLEAEPRDPWLASLSLLPADDSSGAGAAPNGWAIGVDPDTGGAIAVLSPDGSSQVFDNPLVHIVVSKVIRKRLDTKSIIQLLHGLDAPPGTTAYIEKSSPFPTDGKLGWWSTGFSYGLWIASLVSLGFSVVPVASQTWKAYFGLSRSESPKDDSRQAASILFPDKALSLKLKKHHGRAEALLLAAYGKGLVLPQLDIDVDC >KQL02144 pep chromosome:Setaria_italica_v2.0:VI:30270254:30271803:1 gene:SETIT_013764mg transcript:KQL02144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSTFVLLFALLLASLAATSDASFDLRAELNHPYAGSPLSNHEMLRDAAMASKARRRAWNDAASVAIAADHGAISDADVLVRPFGRSEHTLTVGVGTPPQPRTLLLDTGSDLIWTHCKLLGGKHREPLYDPAGSSSFAAVPCDGRLCETGSFNTKNCSSNKCLYTYDYGSATTRGELASETFTFGEHHKVSVSLDFGCGRLTSGSIDGASGILGISPDKLSLVSQLQIPRFSYCLTPFLDRDTSHMFFGAMADLSKYRTTGPIQTTYLVRDPSGRNYYYYVPLIGISVGTKRLNVTASSFAIGLDGGGGTFVDSGDTTGMLPSVAMEALKEALAEAVKLPVLNGTDLGYELCFQLPKGMTVEAVQAPPLVYHFDGGAAMVLPRENFFVEASPGEMCLVISSGGARGAIIGNYQQQNMHVLFDVQNHKFSFSPTQCDQI >KQL00341 pep chromosome:Setaria_italica_v2.0:VI:1500123:1501250:-1 gene:SETIT_015693mg transcript:KQL00341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDRFPHDTFLRILAEGMTTTKSTETASRSTATVEKGTHVFEIVGYSLEKGFSAGHLVRSGTFTVGGFDWALSFYPDGGDEASGSSPWIILELVSNGAEARAQCGVGLLKQPSGLLGCGWRMRHPRTFNSSDSSRFAPFRLKSEAELKASGFLVGDCLKMKCTLMVVKGSQLSEIKEDSEIEVPPSDITKHFGTLLEDKVGADVTFSVGGETVTAHKIVLAARSPVFKAELYGPMSETRTSCVTIQDMQPAVFRAMLHFVYTDSLPRMDDLKGGDCSEMIRHLLVASCRYALDRLKMICQNILAKNLDAETVVTTLALADQHDCERLKKVCIEFITSPNEMDAVLATQGYASLKRTCPSVLVEVLEKTSRLRRS >KQL01032 pep chromosome:Setaria_italica_v2.0:VI:7482053:7484760:-1 gene:SETIT_015583mg transcript:KQL01032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TASHGRFPILPGLSIPSLLHPRSLLPKPRRPMSLAAPSTGNRTPFCFPPNNSAAATSSGVFAGDDPLKFYFPQLLSNVCIVFVVSRAIHAVLRRANIPIVISQIIAGALLGPSFLGRVLPHIKDLFATPEGWAQINTVGGYAFMLQIFIIGVKTDLSVFVKSGRKAIAIAIFGTAAPNFAMHATGAALRARMPAAWNATFMVTGLSSSWSLSAFIVVCCTLDDLNLLSSKLGRLAMSAALIGDFANNFFVATVTSILLASSPSEKIQRIGFLSITTFCMFIGFMVFVARPAILRLMRDVPEGALLCEARLVAVLLITTVCSFASEILGLHATYGPFMLGLMLPGGAPLGVTLAERLDRLVAGVLLPLMFAQGGMRLDVYTLSDASTCLLLEVLLVVGAVAKFVSCTLPCLYCGMSYREALTIGLMMNFKGITDVVYASGFMDAKVFDNQVYAAFMINVLVVGATTASMVKRIYHPEEKYVAYQRRTVQHKKLGEELRVLACVHSQADVEPMLALLDASSPTPLSPVAVYLLHLAPLAGLTTSVLRSFKHGDRNCAPSGSTHSERIVNAFQLFVQQRPLGSASLLPYVCIAPYATMHDDVCAIALDKRATLIVVPFHKRLAIDGSVENTTANACAVQTANFNVLSYSPCSVAILVNRGSLSVVVPGASSAADADEFPHRVVLYFLGGPDDREALALATYMAEDAQIGLTVFRFLLPPEWRKGGDAEEDQLDDAAVQEFVRRWVDNQRLVYSEHVVSDSDEMVTVIRKAIPSSDLLIVGRRADSPESQLTAGISDWSEHLELGVLGDLLTSTDFGCRVSTLVVQQQTRAAAGEISRSPEKNAEQRPESDGHV >KQL02018 pep chromosome:Setaria_italica_v2.0:VI:29124188:29128355:-1 gene:SETIT_013844mg transcript:KQL02018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAGPVSMGSAATPATGAQSSRWPRAVARLRLRSSEAPASGSGSGRWMACFKPAPSPAAAAAGAAAVKEAKGRPPEVEVEPARGGGEDVWSADADAEVAQGGGFPEHLVIMVNGLVGSADDWKFAAEQFVRRMPDKVIVHRSQCNSATQTFDGVDLMGERLANEVLSVVEQRSGVKKISFVAHSLGGLVARYAIGRLYEPNNRSNSYAGKSRDDVEHLEGLIAGLEPMNFITFASPHLGSSGNKQLPFLCGLPFLERRASETAHLIVGRTGKHLFLTDNDDGRRPLLLRMVDDCDGLQFRSALRSFKRRVAYANANFDHMVGWRTSSIRRQHELPKHRLLVRDEKYPHIVYVEKEVTNNNETEAHADFYDPEEEMIRGLTQVPWERVDVSFQKSSQRLVAHNTIQVHLF >KQL02358 pep chromosome:Setaria_italica_v2.0:VI:31975502:31978324:1 gene:SETIT_015463mg transcript:KQL02358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPRSSSTSDDLAAAPPRSSWVILGSIPRVAQGGGGAEATDVSLALAAPPRVSRLAVSERVFPDRPTPQNFPFLLAADPSGLLLLSAILAAPRTRVDIDRPGNQSFEWRDYDSRFFVLDATTGSAFRLPDPEPQEPIEHQSLVGVLARPGSYMVAELLPLIGTDEADLRCFSSDLGEWVNKSVHYPLPARPLAPLCTISHQGKLWWADYSWGIINADPCADNPVLGFVELPRACVLKSREGWGVLDKFRYVGVSAGKLRFVDMYRRLGASKKVTVWTLPDADATEWTLEHKASFADIWADATYKATGLPKKVPVLALIHPHNPAVVYFFLKDHLFAVDVRASKVVECDRYHLVAPPRNYGIANRFVRAWELPPMVFSGLGNWSSDIGSSEPAEAPPSRPRPRDYHLVGNTRMTFIG >KQL00149 pep chromosome:Setaria_italica_v2.0:VI:203293:203742:-1 gene:SETIT_015047mg transcript:KQL00149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMEGTMERMKLSAVEKKGIRIGAAESARVRSANPQAISKVLAEKLMNADGLAQALGRIWFPIKGVTYKDLAGKRRALEEGPWMFGKDLLVMVNYDETKTIEEMEFAFIPIWVRVTKLPFGMMNKPTGEGIGEEMG >KQL00453 pep chromosome:Setaria_italica_v2.0:VI:2184372:2190867:-1 gene:SETIT_013178mg transcript:KQL00453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRCSTSGGGSHGRLLWLLLAWSCVAAARAQQTARTDPVEAAALNTILRRWGLRASPRWNISGELCSGVAVDDTEVDNDPDINPAIKCVCSYNNATVCHIIKLRVYALNVVGQIPAELQNLTYLSNLNLMQNYLTGPVPSFIGKFPMQYLSLAINPLSGTLPKELGNLTNLISLGISLNNFTGELPSELGNLAKLEQIYFDSSGFSGPFPSTFSKLKNLKILWASDNDFTGKIPDFIGSLTKLEDLRFQGNSFEGPIPESLSNLTKLTSLRIGDIVNGSSSMSFISNLTSLHVLILRNCRISDNLATVNFSNLAGLTLLDLSFNNITGQIPPSILNLAKLEFLFLGNNSLSGSLPDVKSPLLNNLDFSYNQLSGSFPSWATQNNLQLNLVANNFVLGSSNSSILPSGLNCLQQDIPCFRGSPEYSSFAVDCGSNRSMTGSNNTFYEIDPTNTGAASYYVSGQTRWGVSNVGRFNEAPNGSYIIHSSRQFQNARDSELFQTARMSPSSLRYYGIGLENGNYTVELQFAEFAYPESPTWDSTGRRVFDIYVQGHLKEKNFDIRKTAGGKSYVAVYKKYNATVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGAIAGIVIGASVLGLAALLGVFMLVKKRRRVAQQQEELYNMVGRPNIFSNAELKLATDNFSSQNILGEGGYGPVYKGKLPDGRVIAVKQLSQTSHQGKNQFVTEVATISAVQHRNLVKLYGCCIDSNTPLLVYEFHENGSLDRALFGDSGLNLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDIDLTPKISDFGLAKLFDEKKTHVSTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETIAGRSNTDNSQEEDKIYLFEPGRCMKGIKHSAYWIQGSKISTVKRPRELSMSRSSARRDHLTSDHQCRGS >KQL00451 pep chromosome:Setaria_italica_v2.0:VI:2184449:2190103:-1 gene:SETIT_013178mg transcript:KQL00451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRSTTTPTSTRPSSASAHTTTPPSATSSNCKFPLPRSHPEHRLPLLPTTCSTKRLRGACVSLLEIRRVYALNVVGQIPAELQNLTYLSNLNLMQNYLTGPVPSFIGKFPMQYLSLAINPLSGTLPKELGNLTNLISLGISLNNFTGELPSELGNLAKLEQIYFDSSGFSGPFPSTFSKLKNLKILWASDNDFTGKIPDFIGSLTKLEDLRFQGNSFEGPIPESLSNLTKLTSLRIGDIVNGSSSMSFISNLTSLHVLILRNCRISDNLATVNFSNLAGLTLLDLSFNNITGQIPPSILNLAKLEFLFLGNNSLSGSLPDVKSPLLNNLDFSYNQLSGSFPSWATQNNLQLNLVANNFVLGSSNSSILPSGLNCLQQDIPCFRGSPEYSSFAVDCGSNRSMTGSNNTFYEIDPTNTGAASYYVSGQTRWGVSNVGRFNEAPNGSYIIHSSRQFQNARDSELFQTARMSPSSLRYYGIGLENGNYTVELQFAEFAYPESPTWDSTGRRVFDIYVQGHLKEKNFDIRKTAGGKSYVAVYKKYNATVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGAIAGIVIGASVLGLAALLGVFMLVKKRRRVAQQQEELYNMVGRPNIFSNAELKLATDNFSSQNILGEGGYGPVYKGKLPDGRVIAVKQLSQTSHQGKNQFVTEVATISAVQHRNLVKLYGCCIDSNTPLLVYEFHENGSLDRALFGDSGLNLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDIDLTPKISDFGLAKLFDEKKTHVSTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETIAGRSNTDNSQEEDKIYLFEWAWEMYERDQALGILDPRIEDFDSEEASRVIHVALLCTQGSPHQRPPMSRVVKMLTGDIEVTEVVTKPSYITEWQRRGGNTSYATSNYSGDTTGEFSAQRETIAPLTPSPAMTGVIDEGR >KQL00452 pep chromosome:Setaria_italica_v2.0:VI:2184449:2190852:-1 gene:SETIT_013178mg transcript:KQL00452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRCSTSGGGSHGRLLWLLLAWSCVAAARAQQTARTDPVEAAALNTILRRWGLRASPRWNISGELCSGVAVDDTEVDNDPDINPAIKCVCSYNNATVCHIIKLRVYALNVVGQIPAELQNLTYLSNLNLMQNYLTGPVPSFIGKFPMQYLSLAINPLSGTLPKELGNLTNLISLGISLNNFTGELPSELGNLAKLEQIYFDSSGFSGPFPSTFSKLKNLKILWASDNDFTGKIPDFIGSLTKLEDLRFQGNSFEGPIPESLSNLTKLTSLRIGDIVNGSSSMSFISNLTSLHVLILRNCRISDNLATVNFSNLAGLTLLDLSFNNITGQIPPSILNLAKLEFLFLGNNSLSGSLPDVKSPLLNNLDFSYNQLSGSFPSWATQNNLQLNLVANNFVLGSSNSSILPSGLNCLQQDIPCFRGSPEYSSFAVDCGSNRSMTGSNNTFYEIDPTNTGAASYYVSGQTRWGVSNVGRFNEAPNGSYIIHSSRQFQNARDSELFQTARMSPSSLRYYGIGLENGNYTVELQFAEFAYPESPTWDSTGRRVFDIYVQGHLKEKNFDIRKTAGGKSYVAVYKKYNATVSKNFLEIHLFWAGKGTCCIPTQGYYGPMISALSVTPNFTPTVRNGVPKKKSKAGAIAGIVIGASVLGLAALLGVFMLVKKRRRVAQQQEELYNMVGRPNIFSNAELKLATDNFSSQNILGEGGYGPVYKGKLPDGRVIAVKQLSQTSHQGKNQFVTEVATISAVQHRNLVKLYGCCIDSNTPLLVYEFHENGSLDRALFGDSGLNLDWPTRFEIILGIARGLTYLHEESSVRIVHRDIKASNVLLDIDLTPKISDFGLAKLFDEKKTHVSTKIAGTFGYLAPEYAMRGHLTEKADVFAFGVVALETIAGRSNTDNSQEEDKIYLFEWAWEMYERDQALGILDPRIEDFDSEEASRVIHVALLCTQGSPHQRPPMSRVVKMLTGDIEVTEVVTKPSYITEWQRRGGNTSYATSNYSGDTTGEFSAQRETIAPLTPSPAMTGVIDEGR >KQL01301 pep chromosome:Setaria_italica_v2.0:VI:14466895:14473524:1 gene:SETIT_013387mg transcript:KQL01301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGSGGGTGSSSTPTATGGRRRVSVRGAAAASTGSASVWETRMRMDEVKGGVKVFSAGGADEPADEEGMRVYRRLRRNQSEGNAGAGTGAAAAAAKKRRNWKASEPVTAIGDLRKSRSDAAAAVTTTTTTTTAVVARRAVARVTTPEKKVAPAAAVGGGEVKEVVVVEVHKAAPEEEEAKGVSEEPVEEELDDGVDELDDEELETEEEEEKEMLDQDHMAIDDDETALHQVDDDDQDLEPPTKARIKPTLSVEDERAANPEPVKPPPEKKLASAIDLRAINPESMTPPSVEKKTTPIVVHRMTNFETAKPSPEKKSLPAIARRIPKQEPVSTPPEYEEIQGRPSQPSRSHERMQNIVNLVMWRDVSKSALVFGLGTFLLISSSYAKDLNFNTITAASYAGLIYLGLRFLRKSIMNRGETVECDDERDEERCYLVGEEEAIWLLRLVLPYINEVLLNLRCLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCASYSTQLARYGKFWLERFSDAWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKLYQQRMVEFGWSSSVDGGAMADDEAAADEAHGEEPAAKPSPSRTQGEAQVFGTVAAPRYRRAPVSGEFARERLRVRGGIQPR >KQL01302 pep chromosome:Setaria_italica_v2.0:VI:14466895:14473524:1 gene:SETIT_013387mg transcript:KQL01302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQGSGGGTGSSSTPTATGGRRRVSVRGAAAASTGSASVWETRMRMDEVKGGVKVFSAGGADEPADEEGMRVYRRLRRNQSEGNAGAGTGAAAAAAKKRRNWKASEPVTAIGDLRKSRSDAAAAVTTTTTTTTAVVARRAVARVTTPEKKVAPAAAVGGGEVKEVVVVEVHKAAPEEEEAKGVSEEPVEEELDDGVDELDDEELETEEEEEKEMLDQDHMAIDDDETALHQVDDDDQDLEPPTKARIKPTLSVEDERAANPEPVKPPPEKKLASAIDLRAINPESMTPPSVEKKTTPIVVHRMTNFETAKPSPEKKSLPAIARRIPKQEPVSTPPVEEYEEIQGRPSQPSRSHERMQNIVNLVMWRDVSKSALVFGLGTFLLISSSYAKDLNFNTITAASYAGLIYLGLRFLRKSIMNRGETVECDDERDEERCYLVGEEEAIWLLRLVLPYINEVLLNLRCLFSGEPATTMKLALLLFAMARCGNFVTLWTLAKLVFFGVFIIPKVCASYSTQLARYGKFWLERFSDAWESCSHKKAVVAAVFTLVWNVSSTVARVWAVFMLVVAMKLYQQRMVEFGWSSSVDGGAMADDEAAADEAHGEEPAAKPSPSRTQGEAQVFGTVAAPRYRRAPVSGEFARERLRVRGGIQPR >KQL02501 pep chromosome:Setaria_italica_v2.0:VI:32910194:32913008:1 gene:SETIT_0132771mg transcript:KQL02501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YRDTVRQFIKGTDGFAGAFAECLCGSPQLYQSGGRKPWHSVNFVCAHDGFTLADLVTYNNKYNLSNGEDNRDGENHNLSWNCGEEGEFASLSVRRLRKRQMRNFFVCLMVSQGVPMFYMGDEYGHTKGGNNNTYCHDHYVNYFRWDKKEEQSSDLYRFCRLMTKFRKECESLGLEDFPTSEQLHWHGHQPGKPDWSEGSRFVAFSMKDETKGEIYVAFNTSHLPVVVGLPERPGFRWEPVVDTGKAAPYDFLADDLPDRALTINHFSHFLNSNLYPMLSYSSIVLVLHPDA >KQL02280 pep chromosome:Setaria_italica_v2.0:VI:31411873:31412651:1 gene:SETIT_014549mg transcript:KQL02280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSHITGDDGGEGCNSCESGWTMYLASPMHGDDAGGSGKGSGSEGSSVDDGYGYIISDRRSGKKAYEDYADADDDDSLASDASTGPAKVKSPSSPPEDGRKEDGGHGKNGVAGKEDEEEEGDVRTKFPSTSRKKGGKVDKGGEGNSSRRGNSKRGSSSRRSFFLW >KQL02531 pep chromosome:Setaria_italica_v2.0:VI:33097875:33098079:-1 gene:SETIT_014916mg transcript:KQL02531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKDLGIGSEVGFSKNAETVKRSPVLPAMNHKSGIIHGYRRQPGSLAVDSWYLPSKLDL >KQL01888 pep chromosome:Setaria_italica_v2.0:VI:27542251:27545704:1 gene:SETIT_014270mg transcript:KQL01888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRVPRHYEEPRGYRDAPPPPLARTRVVSPRRLEEELSSRRAEMRRIREENQRLADEIVGLRQTIPHMKEDLHASSQAVPKLRADKELESRELTQRNLKLEAELRALEPLRQDALHLRSEAGKLQSLRQELAAKVQGLLKELEHHKSESQKIPAMIAERDALRQELIQARAALEYEKNAKPELTAQVQAMEKDLVAMAQEAEKLRADIAKRRAPSFSSHGTYGAPVSTPGMGLQGMYDGGYSSIGSRYGTGPWGSHDLHGYPHP >KQL01887 pep chromosome:Setaria_italica_v2.0:VI:27542251:27545704:1 gene:SETIT_014270mg transcript:KQL01887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHRVPRHYEEPRGYRDAPPPPLARTRVVSPRRLEEELSSRRAEMRRIREENQRLADEIVGLRQTIPHMKEDLHASSQAVPKLRADKELESRELTQRNLKLEAELRALEPLRQDALHLRSEAGKLQSLRQELAAKVQGLLKELEHHKSESQKIPAMIAERDALRQELIQARAALEYEKNAKPELTAQVQAMEKDLVAMAQEAEKLRADIAKRRAPSFSSHGTYGAPVSTPGMGLQGMYDGGYSSIGSRYGTGPWGSHDLHGYPHP >KQL02222 pep chromosome:Setaria_italica_v2.0:VI:30991305:30992201:-1 gene:SETIT_015340mg transcript:KQL02222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDEHDDGDGDEEMTPMPVTSSYDAPMQSGLGLGGGGGTPKPGDSGGGGSFRTQGPGGGGGGGGGGVGGGGGGGTRYRECLKNHAVGIGGHAVDGCGEFMAAGEEGSIDALRCAACGCHRNFHRKESDSPTGGAAPADPTAALSPAAITAYGAAAHHHQFSPYYRTPAGYLLHQHQQLAAAAAGHMQRPLALPSTSHSEGDDMSGLIGPMVVAPMVGMSLGGSGGGPSGSGSGKKRFRTKFTQEQKDRMLAFAERLGWRIQKHDEAAVQQFCEEVCVKRHVLKVWMHNNKHTLGKKP >KQL00860 pep chromosome:Setaria_italica_v2.0:VI:5727179:5730598:1 gene:SETIT_013174mg transcript:KQL00860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARATQLIYLLLCFCSYALLSQGNNSSTDEHALLSFKFMLSSGQSSLLASWNMSSHYCSWPGVVCSSRHPDRVVALNLGSFNLSGHISPFLGNLSFLRKLVLCENQLIGQIPLELGHLGRLQVLNMSQNHLEGSIPKTLGGCRKLKKLDLHDNQLQGEIPYEIGTLGNLVSLNFGRNGLTGEIPRSLSGLISIKQLSLYTNRLFGEIPHFGGNFTNLQLLELHENMLSGAIPSSLGMLPSLSGLSLGFNSLSGLIPTSLWNISSLIRFSVHHNMLSGTIPRNAFNNVPHLRVLFMDNNQLYGPIPVSISNSSDMMMLQVMNNSFSGILPPALGRLRSLSFLQLSFNYFQAKEPKDWDFLTALTNCSELQVLDLSLNSFGGVLPDSLSNLSASLEHLFLLDNEISGNIPKDIGNLISLQTLALGGNFFSGVLPSSLCSLKSLVRLYLVDNDITGTIPLAIGNLSELADLELSSNYIRGTIPSTLGNLTKLSMLGLSQNYLAGPIPREIFSISTLSLGLYLSNNNLEGSLPQEIGNLKNLISFDAESNSLSGEIPTTIGDCELLLNLFLQNNTLNGSIPLALSQMSGLEIIDLSSNNLSGQLPKSLGNLTMLHYLNLSFNNLSGEVPDFGLFTNFTAISIQGNDKLCGGVPGLHLPACPVQLSKKKHKFLVIPIVISLVTTLVVLVLLCMLLIWRKKIKTNILSTHSMQGHPLISYSQLLRATNSFSETNLLGSGSFGSVYKGELNAQEGGSTNLVAVKVLKLQTPKALKSFTAECEALRNMRHRNLVKIHTICSSIDTMGNDFRAIVYDFMPNGSLESWLHPDINCLAEQRYLNLLERVTILLDVAYALDHLHCHGPEPVVHCDIKSSNVLLDADMVAHVGDFGLAKVLVQGSSLLQQSASSMGFRGTIGYAAPEYGAGNMVSTHGDIYSYGILVLETITGNRPTDSRYRQGLDLREHVDLSLHDRTMDAVDTRLLVDLENELHTIGDSSYNRKIDCIVSLLSLGVSCTQELPSQRMPTESIIKELLAIKDSLLREQRT >KQL00734 pep chromosome:Setaria_italica_v2.0:VI:4438639:4440619:-1 gene:SETIT_014685mg transcript:KQL00734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTPSDQASSCWTIPFYFCFFFLDLEMEVNSSGEETVVKVRKPYTITKQRERWTEAEHKRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKVLSLSDWLVPLFGSPLFP >KQL00735 pep chromosome:Setaria_italica_v2.0:VI:4438639:4440257:-1 gene:SETIT_014685mg transcript:KQL00735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTPSDQASSCWTIPFYFCFFFLDLEMEVNSSGEETVVKVRKPYTITKQRERWTEAEHKRFLEALKLYGRAWQRIEEHVGTKTAVQIRSHAQKFFTKVLSLSDWLVPLFGSPLFP >KQL00826 pep chromosome:Setaria_italica_v2.0:VI:5398359:5402483:1 gene:SETIT_013936mg transcript:KQL00826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVRYGIVGVGMMGREHLHNLAHLAAEVEGEQSVKVRVTGLADPHQESLRLGLQLANELGLPAPQTFSGHRELLDSGFCDAIIVSSPNMTHYEILMDIISHREPHHILVEKPLCTTVQDCKKVIEAAKNRPDIIVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVCVMASGAIDVNHKDEMYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFVPESIVRFGKRTEGRDGVVTIMAEDERIKYALFCCPISTF >KQL00827 pep chromosome:Setaria_italica_v2.0:VI:5398359:5402483:1 gene:SETIT_013936mg transcript:KQL00827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVRYGIVGVGMMGREHLHNLAHLAAEVEGEQSVKVRVTGLADPHQESLRLGLQLANELGLPAPQTFSGHRELLDSGFCDAIIVSSPNMTHYEILMDIISHREPHHILVEKPLCTTVQDCKKVIEAAKNRPDIIVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVCVMASGAIDVNHKDEMYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFVPESIVRFGKRTEGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRAQGASGPSVNLSDGLLSVAIGVAGQLSIEQGRFVTMEEVLGS >KQL00825 pep chromosome:Setaria_italica_v2.0:VI:5398359:5402483:1 gene:SETIT_013936mg transcript:KQL00825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHYEILMDIISHREPHHILVEKPLCTTVQDCKKVIEAAKNRPDIIVQVGLEYRYMPPVAKLIDIVKSGTLGQVRMVAIREHRFPFLVKVNNWNRFNCNSGGTLVEKCCHFFDLMRLFAAANPVCVMASGAIDVNHKDEMYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSRNEQEISVVGDIGKGEAFVPESIVRFGKRTEGRDGVVTIMAEDERIKYQGLHHGSSYLEHLNFLSAIRAQGASGPSVNLSDGLLSVAIGVAGQLSIEQGRFVTMEEVLGS >KQL01371 pep chromosome:Setaria_italica_v2.0:VI:18080047:18082556:-1 gene:SETIT_013466mg transcript:KQL01371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSVAGLPAPSWGSWLMEAGSLEGEFFEGGNHQSIVEAHSARDTTDQDLSVGEHIILKMGNGTPLHSKLLSESLSTKMHNRITDKPNANNISQHGRYQVVEEPWILESIAASKKPVARVKDKKGKKKFSNNKHDFSKASENVPQEDQPVEEPWLFESMVEANETIVHADGKIEAKDIIRKLRKIEKPPAPLDENKPPTCEPSSRVILINSSVCTMQRIAVLEDGKLVELLLEPIKNNVQCDSIYLGIVTKLVPHMGGAFVDIGILRPSLMSIKQNRDPFVYPQVVKNSRADPVDDSYYNEDNLPTYDEDDDMSDDEFADEETHDGSSSFPVENITDNEEGMADAKIDIVDSTEFEGISGYDEDKDDENDHMEDEYSEEILQADQSEISNDLKTLSSIQHALRESDDDTNGSRWSQVRKGTKIMVQVVKEGLGSKGPTLSPFPCLRSRFWILVSRGNKVGVSKKITGIERTRLKGITKLLRPPGFTLTARTVAAGHSWEELQRDLDGLLSAWKGITEHAQSAALAAEEGVDGAVPVMLHRAKGQALSVVQDDFNEKVKRLVVDSPRTYHEVRNLMTKMGKHSSYLNRN >KQL02309 pep chromosome:Setaria_italica_v2.0:VI:31660156:31661171:-1 gene:SETIT_014544mg transcript:KQL02309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISSMPAPKDSLMGFVLYNTAVSVAILAGLVRAALVFLGLAAPSPWEWEGLAADDHHHHHRPVVSITPTGPSLADRFRSRFRPSRFGRRRGGVGGGAGAAADCRVCLARFEPESVVNRLPCGHLFHRACLETWLDYDHATCPLCRLRLLPPADDDYPALAAAGPAVRF >KQL00396 pep chromosome:Setaria_italica_v2.0:VI:1769059:1774760:1 gene:SETIT_015317mg transcript:KQL00396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIPRRSPDHSLSSSRRRVHLHRRRSLSPREQHQHRPADDDRRQGRRPYYPAAEAWPRGSAVATKVSLRGFDDRLSARDLADHLESVAGTVWRCRVKTSVTPTGSYPDFQLRLPLPGAAAAAASPYDDGMLPAHAFVHFADPRARSRAARSGLQLCSRGSRRTRPSAENTHASSPSAADRRRRGIARPMLFPDSRVEVGDLAAPDTFLAAWRGADDPASASAVDFVVDPSAGRCRLLFARDAAFASPAGAAAVLCCDVKLELPVGDVAEVLAFQSDDSLLLRLSAAPLLYYRTAGDDVHGPVPFDLIDDDDDPWVRTTDVTPGGAIGRCWVYRISFKTWFWPTMRVALEYMKMQGVLVEVIDSRGPGLTVHDELEFGMPMQDMFFSLHHAEGISFPVLYLVNALMHSGIVNRHQLTPEFFGLLKGECDAVNIAALTKLFGGKFQLFDVCRRLRNEQDWAARKSKHLRLLSSGKIGPDDYNAEVRRLVITPTRAYCMPPQLERSNRVIRHYHQLADRFLRVTFMDEGMQRLNTSAMNFCAAQIVKDMMPNSFQQKTTIYRRVQTILTNGFHMCGRNYSFLAFSSNQLRNRSAWFFAEDGTTTTASIREWMGQFPSKNVAKHTARMGQCFTSTYPTVMIQPDEMEFLEDVNHNGYNFSDGIGKITPKLALEVAKKLPLMDNYVPSAFQIRFAGFKGVVAVWPGENEEARWLSLRPSMKKFESAHSVFEVVSWTKLQPAFLNRQIITLLSTLGVPDTVFRQMQNTMLHNLDRILTDSDVAYEVVKTCCPEHGNTAGLMLSAGFAPANEPHLRAMLLAIWSSQMQGLLEKSRICVPKGRWLVGCLDEFGILEQGQCFIRASTPSFNKRFVRHSSIFSSANKNSEIIVGTVVMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKKGERPHANEASGSDLDGDVFFVTWDKKLVPPGKRSCTPMDYSPTEAKQLQREVLTHDIIDFYLENLVTDNLGRISNAHVVHADRSEYGAMDDNCIQLAELAATAVDSLKTGEIVTMPTYLRPTEYPDFMGKEDAISYKSTKILGELYRSIKAAYGSDFVSEGTCTSDDLPYDSDLEVSGASDFLEDAWICKCSYEAQLNSLLNQYGVRTEAELVTGEAWSLTGNNKQQQYVTKEMLSYAYFQLHLEFRSIFESIDEISVEKKNLAYETKASAWYQVTYHPNWIQRSREMPLRLSFAWIAVDYLARIKMRRRQEAK >KQL02043 pep chromosome:Setaria_italica_v2.0:VI:29393881:29395046:1 gene:SETIT_015668mg transcript:KQL02043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERATWSYTYEKGLVDILKELANVPMFKGQNGWIAEGWRNITNKFNDMFPTTHFTKQQVQEKEKELKGNYKIIKEARKSGDNHKVAKFRKKPFPLFNSLELLYEGSVATGDLNFTSIQPPPQRTEPTPHNSELPTESTTQTSISEQSNHSMASIDRNLLSFGLGGVESIEVQSAPASRNSEDQDVTGGKKRKQSQMAAKLGDYIDFRKDQIGKTLEKLEEKKRHEEDYSIEKCIDIVDAMEGLSDEQKANANEVFQSETNRKILVGTKTQMSG >KQL00251 pep chromosome:Setaria_italica_v2.0:VI:792240:796812:-1 gene:SETIT_015821mg transcript:KQL00251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPRSRGAAAARSAPLAMWRSCVSRGLSRAKASASRLLSTASSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDSIQYMCREAPKAVIELENYGLPFSRTEEGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHAMLHTLYGQAMKHNTQFFVEYFALDLIMDNEGNCQGVIALNMEDGTLHRFRASNTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVDIKGDNPDSVISGLLAAGEAACASVHGANRLGANSLLDIVVFGRACANRVADISKPGQKQKPLEKDAGEKTIAWLDKLRNANGSLPTSKIRLNMQRVMQNNAAVFRTQETLEEGCKLISKAWESFHDVKLSDRSLIWNSDLIETIELENLLINACITMHSAEARKESRGAHAREDFTTRDDEKWMKHTLGYWENEKVRLAYRPVHMNTLDEEVESFPPKARVY >KQL02575 pep chromosome:Setaria_italica_v2.0:VI:33304695:33306960:-1 gene:SETIT_013909mg transcript:KQL02575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPRLLVLFVLAVAVAPRRAAAACGAEKFSANRVFAACADLPRLGASVHWTYDAAASSLSVAFLAAPPSGGWVAWGLNPTGEGMIGTQALVAVPKGGGYEVQTYSISGLSLGSPGPLSYKTSDLTAESGGDGRVRIFGTLQLQNGTGEVNQVWQVGPFSGGAIGMHSTTNSDNLNSKGKLNLLTGASTAASGGGNILRKRNTHGVLNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSVHRNIGITVFSLGTLQIFALFLRPKKDHKYRFYWNVYHHSIGYTIIILGIINIFKGMSILSVDQKWKTAYIIAICILGAIALILEVVTWGIVLKRRKEDNKTYNGNGNGHLPLSM >KQL02574 pep chromosome:Setaria_italica_v2.0:VI:33304465:33307084:-1 gene:SETIT_013909mg transcript:KQL02574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFPRLLVLFVLAVAVAPRRAAAACGAEKFSANRVFAACADLPRLGASVHWTYDAAASSLSVAFLAAPPSGGWVAWGLNPTGEGMIGTQALVAVPKGGGYEVQTYSISGLSLGSPGPLSYKTSDLTAESGGDGRVRIFGTLQLQNGTGEVNQVWQGKLNLLTGASTAASGGGNILRKRNTHGVLNAVSWGLLLPMGAIFARYLKTFKSADPAWFYLHVACQLIGYGVGVSGWATGIHLGNLSKGITYSVHRNIGITVFSLGTLQIFALFLRPKKDHKYRFYWNVYHHSIGYTIIILGIINIFKGMSILSVDQKWKTAYIIAICILGAIALILEVVTWGIVLKRRKEDNKTYNGNGNGHLPLSM >KQL01368 pep chromosome:Setaria_italica_v2.0:VI:17846093:17858739:-1 gene:SETIT_013259mg transcript:KQL01368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRVSIPAGVRRTVADIKEIAGGHTDEEVYAMLRECNMDPNETAQRLLLEDTFHEVKRKRDKKKEGSKEPSDSRWRPALQGRGGKSGRGNYSSRSLSSSNDSSGRSAISGKENGIIHVMEKGSGSTLTINTNMDVKVSTSMASSSSGFPNGPSQSVDPIAVVVTSSNAAGDTIKSGSAASADLKGDLLSKDVVATVGPNVPQSLRPASPSDSVAVPSLDSHGLGDAGAGASRQAIGSNKTLVEHKVGRDVPIDNKGSSQQSVSSSFGRSSGSRPSSSYSSRSQQSCGSQKVIPSKEWKPKPTSSAASQATENVAIPNSVPLAADTITQSSISNSVGTEDLLKVDKSFNGLQLSDKQHVIIPDHLQVSESEKYGLSFGSFNASFQQTMGSSDPECVKSSLPEYNSSHELNGSDDEPQPVQSDQNSSSPVQDEADHVPQQLPSAKLENCAPSAMEISFIAPTESDECRDDSAASGVPQSTSVCTAPSYMTYGLAPQSHGNEIAVIDKSESQVQAPIDFSTSYSTQSYQPATDADERLSPFLAAESAHKYGNIPVIPAQTGQDHEGNNSPVIASSVSASVATPASGVLLTSVAIPQQSVPVFRQPLGVHIPHFPTNYVPYNQYISPFFIPPPTLHPFMSNATFPQPPSTGAMYPAPGSAGILPPVKYSLPPFKPGPNTGSQASIGIPGGYGTYGSSPSVYTNSTNNTTVSSGNPAENDDVTSSQFKENSIYIAGLQTEGSALWIPTPGRDISALQANSFFGLPPQGQQVTFAPQAGPFGGIYHPAHTVAGAAVHPLLQPSHTMAGAVEIVGAPSSVYQHPQAQMNWGSY >KQL02727 pep chromosome:Setaria_italica_v2.0:VI:34189282:34194366:1 gene:SETIT_013782mg transcript:KQL02727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERSPPASPAEAAGGGGGGGGGGAACSICLDPVLARGGGRSVAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYARGHRPSADIDMGGWTTSENYDIATDLPFGFQWCPFSGFTQLASVFEEREAEPTSYHTIGDHPSAAASSSLVCPYLALRGFLHPVHVPSTSNSGAESTSFHRHSTGLEGHATPDLRLPRYDTSSQQRSRSYAHHHPLIHRPTPRSGSNLVAPLGSVPAVVTETRGHGHGARGHMYQQSMHSSMQSSPFPPTTRRVRPRALTITSFIAASSSAEVGGPHGFSAPGAVNRSVPDAEGISRPIDRPYAWGREGFAPFPWIPAEGEAHWWGTFNPMQNHAHGSFTRRPAGERMPQNHPENGYQPVPPPQRMPPFL >KQL02729 pep chromosome:Setaria_italica_v2.0:VI:34189282:34194366:1 gene:SETIT_013782mg transcript:KQL02729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERSPPASPAEAAGGGGGGGGGGAACSICLDPVLARGGGRSVAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYARGHRPSADIDMGGWTTSENYDIATDLPFGFQWCPFSGFTQLASVFEEREAEPTSYHTIGDHPSAAASSSLVCPYLALRGFLHPVHVPSTSNSGAESTSFHRHSTGLEGHATPDLSNAQVFHATESRNHDRLPRYDTSSQQRSRSYAHHHPLIHRPTPRSGSNLVAPLGSVPAVVTETRGHGHGARGHMYQQSMHSSMQSSPFPPTTRRVRPRALTITSFIAASSSAEVGGPHGFSAPGAVNRSVPDAEGISRPIDRPYAWGREGFAPFPWIPAEGEAHWWGTFNPMQNHAHGSFTRRPAGERMPQNHPENGYQPVPPPQRMPPFL >KQL02728 pep chromosome:Setaria_italica_v2.0:VI:34189282:34194366:1 gene:SETIT_013782mg transcript:KQL02728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLERSPPASPAEAAGGGGGGGGGGAACSICLDPVLARGGGRSVAKLQCGHEFHLDCIGSAFNAKGAMQCPNCRKIEKGRWLYARGHRPSADIDMGGWTTSENYDIATDLPFGFQWCPFSGFTQLASVFEEREAEPTSYHTIGDHPSAAASSSLVCPYLALRGFLHPVHVPSTSNSGAESTSFHRHSTGLEGHATPDLSNAQVFHATESRNHDSEHRHLSNLPVSGIPDHSMTPFGIGLPRYDTSSQQRSRSYAHHHPLIHRPTPRSGSNLVAPLGSVPAVVTETRGHGHGARGHMYQQSMHSSMQSSPFPPTTRRVRPRALTITSFIAASSSAEVGGPHGFSAPGAVNRSVPDAEGISRPIDRPYAWGREGFAPFPWIPAEGEAHWWGTFNPMQNHAHGSFTRRPAGERMPQNHPENGYQPVPPPQRMPPFL >KQL00222 pep chromosome:Setaria_italica_v2.0:VI:620140:620929:1 gene:SETIT_014923mg transcript:KQL00222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSSSDALSLKLKQEQASAGGEMQGSLELRLGISSDNGGDDPWLGVGAHPWSLASRQEKATLEQAAHQRPPPPPQPVGWPPVGAFRKSLHLAGAKAAEEPSKAKPAVSGETASMFVKVNLEGCAVGRKVDLRAHRGYASLSRALQGMFHGFVLSDGHWRIAGREDDDDDDERRRRPELGKKGPTKNGKTYILLYEDNEGDRMLVGDVPWELFMASVKRLYIAQDSRNK >KQL02586 pep chromosome:Setaria_italica_v2.0:VI:33379862:33388465:1 gene:SETIT_013139mg transcript:KQL02586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAHAQHQRRTAMSDDGDHTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTPYDKDRIVKMAANCERTVTEKNAEKKHKTQKVKPKAVAAAAAAATSSTVEAKKHLASVRVIQRNLVYIIGLPAHLCNESVLERREYFGQYGKVLKVSVSRPTGPPSQQASANNNISVYITYAKEEEAVRCIQSVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHDVGSQEDSFTKDEIISAYTRTRVPQMASSVSQRRAGTILPPPADDFSYSAVVSAKHTVKNGTPNTTNQPRVSPPNSSSGRSTLPPAASWGHRDSNARTTATGVTSLQSQKSKSEPQSNSFSSSSTTSSTRIPSAWNDDTSTAPTMSEGWQVPEQDGTSKTVQPYKPGIAKETQAVSSLESSVDIDFSTIPSAWNDDDIVVSDGMSKGSDEIQAGNENGKLTHLASKSPISPKKDTTVNITSKSPSDSVSSIEISKSDVKTGDGDCSINNIAPESPTSNDVNCQSTCHAAGEKILEDTVHRDAGIDSLSVQISSVTLDGKDEVHSMVGNHQPDAVPCTSVAVPMGQNFDKDQSHLKLDGFLPSENKDTLSCQYSSDNHLDWSSELQSSSATALSDIVNSLMITEKLNSRLMDGSDQPSYSSFARIPNTFDTSLWNDTETNPALTIGTRTSSQMQTGFSSINNTCSLLSGGQDGLGAAYTLGNVSGHPGMGSHQPGAMRSVRTDSVGSFGKTLSVNKDESRIISDMLSSEFNPWDDSYSTANNFVRMLRESENNDASFAMSSWRSGSGSKESRFSFARQDNQGNILESSLRNTGSEQNFSLLPQISQGNAYRNGPAFQSLENDFSSSNSLAVSDMATTGTSWSKISAPPGFSAPARVPPPGFSSGFLPSQDGMNPPPGFSSGISSHDGSLPPPRFPSGISSQEVSRPPPRLPSPFSSGFSSLDRPKSPSRFPTAFSSGFSSLDGSNQVYGSKYPETLLRDNVLGAISNHYQAPFGRHASDVEFNDPAILAVGKGRMPGMGDLGLDTPAFPAQLQTSNNDLRFQLGVQPNVQSHQNLRFTDHMQDAFNPMNDNHLASRFLAQNHGPISPYEQRPQQPGNSQLINGHWDGWSDLRQGSNTPMPDMSRMLYPSEVNSLHRLGSNDIYNRAFGM >KQL00304 pep chromosome:Setaria_italica_v2.0:VI:1213033:1215683:-1 gene:SETIT_014898mg transcript:KQL00304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIKDELETIGAFLGVVEDLQEGYPKYQMVKPWAEQVKDLVYDIEDCLEEHTIALTHNSSWSQRILNNNNALRQIVEVSERNRRYNLFSPYESTSSYMAITVVLDHMRPHFLKGTTQNDSSGDPKKEVDSWESASPKETSKGAPKVAAIAGMCGSGKTTLAREIYNEKYHHFACHAWIELSQDVNATKVFRDMIVQLSLVSSSQTGYIGEEEDLVNHIQEKLKDKLFFVVFDGLWTLRAWDRIKRVLPDISISGSMIIVTTEILHIAEACTKSADHVYCIPLLPEWKSLELLKDLVLKSENGEMSPEDKEDFQDLDLDCLKKCGGLKLAITTVAQLFTSEAPHKWGGLCEDLPSLLYNDPRLKKIKIVMTRSYRGLPPYLKPCFLYLSIFPEDSDINVATVLRRWVAEGFVREMTGMSTEAVAVRYLFELFDRNLIKATKLTRNRSCKTCWIHPMMRDILVMVAQEEKFSTTVGKNINSMLPANRFRHLTLDGRSNRKLVKSVDISGVRSLTQLRVLDFSNARFLITQQDIGHIGELCHLRYFNLYESNICELPLSIGMLMFLQLLNVRKTQIRRLPSEITRLERLQILSASRKMEDSCHYRNKHCSCNSEGATVPKGIKNLENIEGLEIVDVRGSTHSSIKDLGKLTRLKYLGLTGLTKKNSEEVSNTLQKLSPSLIYLYLAAYRKNGTLCCLPTDKGSMEFPHLRTIELDGHIGTMPEWISHSFTLSVVKLHRTSLHQNNIRTLEGLRSLITLALLDSSNIGEELVFYSGTFRGLQRLELVGLPSLEAVRFQEKAARSVQEITIKSCRLSLFGRRNLKRLWDVCFDSGAEVVD >KQL02204 pep chromosome:Setaria_italica_v2.0:VI:30865552:30866453:-1 gene:SETIT_014257mg transcript:KQL02204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSHPNWNEATTKILLDLCIAEKNQFNWSNRCLTKLGWQHVYRNFKQQTGLNLGSKQLQNKLNAMRRAFQSWKDMQAQSGLGRDKETGSVAADSSFWDDNEGETSSAKLPPFLDELYMLYGRDTQDKGTLLTAGGIREATPSVGTEANAHDFYMDPMAASSARNLSKRPTRKISVDSPPKKKSGSLEDYVRELSETVATRSQKRGDREQEELDRAMQLIEEDGIEEGSELYYQALYLCKNAVYRRAFTKMKMKEGRVNWIQFNWDRENK >KQL01550 pep chromosome:Setaria_italica_v2.0:VI:21542398:21544662:-1 gene:SETIT_014027mg transcript:KQL01550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQAYATSSLVVGYGLCSSLLAIINKYAITKFSYPGLLTALQYLTSVVGVWLLGKLGFLYHDPFNFQTAKKFAPAAVVFYLAIFTNTHLLKHANVDTFIVFRSLTPLLVAIADTTFRKQPRPSKLTFMSLVIILGGAVGYVMTDSAFTLTAYSWALAYLITITTEMVYIKHMVTNLGLNTWGFVLYNNLLSLLMAPVFGLLTGEHLSVFRAIESRGQSWFELDAFVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVMIWDKHASVFGLVCLLFTLAGGVLYQQSVTIKGNSPAQREVAAKQGTDDNDTAGLDEENQRLVSSPKVV >KQL02768 pep chromosome:Setaria_italica_v2.0:VI:34450561:34454073:1 gene:SETIT_013138mg transcript:KQL02768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLLLPVVRGVVGKAADALVQSITRMWGVDNDRLKLERHLVYVQSLLADAEAKSETNHAVRTWMKELKAAAYQADDVLDDFQYEALRREALSGQSTASKVLSNFTSKNRLVFRHKASRDLKNVLEKIDELVTEMTKFGLVALAEAPLQALPRQTHSALDESREIIGRKDDKDGVVELLLNQQDRRDVQVLPILGMGGVGKTTLAKMVYNNDKIQKHFELRMWHCVSENFEAIPLVRSVIELATNSTCGLPDTIELLRGKLQEAIGRKRFLLILDDVWNEDQNKWEDDLRPLLCSSIGGSGSTIVVTSRSRQVASIMGTLPPHELVCLSEDDSWKLFSNKAFSKGVQEQAEFVKIGRCISKKCKGLPLALKTMGGLMSSKQQIQEWEAIADCNISDTNRGKDEVLPILKLSYKYLSPEMKQCFAFCSVFPKDYEMEKDMLIQLWMANGYLCEEGTMDLTQKGEYVFNELAWRTFFQDVILVREPCWPYFIYASKQEINGCKMHDLMHDLAKDVANECANAEELIQQNLPVNDVRHLHISEYYQLNKISQLLGGTMYLRTLLMPLSSYKDLVKSKLMSSRALRICCGHTPIVHMELTRTAHLRYLDLSGSMIVSLPNSICMLYNLLSLRLNGCSELQYLPEGMRTMRKLCHIYLLGCCRLERMPPKLSVLHNLRTLTTFVVGTKDGCGIEELEDLRQIGGRLELYNLWEVKCGSKANLHEKHNLNELLLYWDHFRDEYDKSTIGEATNHEQVLESLVPHDKLKILEVHSYGGLTISEWMGNPQMFRCLRELIMVFCPWCKDLPIVWLSSSLEHLCLQGMESLTTLCKNIDVEAEADNTSLQIFPKLKRMELIALPELDRWAENSAGEILSSVMFPRLEKLEIENCDKLASLPKLPVLTYLNLSGREGNNSTGALISMPLGSLPSLIHLRISFLLVDVVMPPDGEESQSQRPLDTLRYLKLQGDDAFITIFNKSKLQLGLRDYLVSVEELDIRSLDIVRWPVEELRCFPRLRFLSIWDCSKLEGKSSSSEEDGILPLLPKFPASLEEIWIDNNRSLVALPSNLGDLTKLRRLTVQCCVALKALPDGMDGLTSLDIGYCPGIEKFPQGLQQRLPALKFLYIWGCPDLQRRCREGGEYFDLIASIPHKYIEAPAQAQPRKWFLPSCGGGSQGN >KQL00751 pep chromosome:Setaria_italica_v2.0:VI:4649248:4649355:-1 gene:SETIT_015191mg transcript:KQL00751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFYKYGLAFLAGTGFGAATTSLRNDRCHASPRRRQ >KQL00970 pep chromosome:Setaria_italica_v2.0:VI:6984937:6988747:1 gene:SETIT_014176mg transcript:KQL00970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATAAAAAPAFALTPSLSRRGFLPLPRRAGRPIPHSLRLVASAVRRPRGAVVVAANAAAAAGSGEFGDEENPYELLGIRPLDSFDQMKMAYKKKRKDAEETADDEFLAKLDRAFDTVMMQQLQYRKKGVTYGSVQVSKDIKYADNQPVVPWGPRFSRSTVKDMRINMAISAAFVVWIAIMGNADWKPLQFLCFAFFYRILQKLRATEPPITPIYNEYGEVEGRGIRMAKRVVRALGLIFGCVFTASLGYTAAINLIELSWQYTPRIVYYYQEMIVTAAAAFLLYITASYYR >KQL01304 pep chromosome:Setaria_italica_v2.0:VI:14519432:14520544:-1 gene:SETIT_015942mg transcript:KQL01304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVPIGQKVDLTAYGGYAELSTAVGKLFRGLLAAQRDPAAAAVGRCCGEEAAGEEAEEPVISGEYTLVYEDEEGDRVLVGDVPWELVA >KQL01305 pep chromosome:Setaria_italica_v2.0:VI:14516075:14520888:-1 gene:SETIT_015942mg transcript:KQL01305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVPIGQKVDLTAYGGYAELSTAVGKLFRGLLAAQRDPAAAAVGRCCGEEAAGEEAEEPVISGEYTLVYEDEEGDRVLVGDVPWELVA >KQL01862 pep chromosome:Setaria_italica_v2.0:VI:27293737:27294750:-1 gene:SETIT_015126mg transcript:KQL01862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSESSSTTIRGRGKNKRKLTVAEDDELIKALHEISLDPRWKGEGGFKNGYCSVLETHLTEKLPNCGISVVPHIESRVRHFKIKFRALEYHHEEKGLYGVAFPYYDSLAAIYRSDIATSEGAEGLSEVVGNIEKELAVEGGDLKGVATNIGKMAAVMEREVVVQEKNSKEDHQQKLREKATTELRKLGFTGSEQVKAASVFVRIPDQMSMLLKLDETLRREFILNMLSGM >KQL01537 pep chromosome:Setaria_italica_v2.0:VI:21338510:21342593:-1 gene:SETIT_014447mg transcript:KQL01537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRHPEVKWAQRIDKVYITVQLPDAKDAKVNLEPDGVFTFSGSAGTNLYELKLDLNDKVNVEASKISVGVRSIFCIVEKAEAKWWKKLVRDDQRAPHFVKVDWDKWVDEDDDGGDVNLDGMDFSNFGGMGGMGDMAGLGGMGGLGGMGGMGGMGGMGGLGGMGGLGGMGGMGMDEFEDESDDEEEVSKPQAAEKAGEAEKTEAAEAKTETAQSS >KQL02690 pep chromosome:Setaria_italica_v2.0:VI:33984319:33987672:-1 gene:SETIT_014037mg transcript:KQL02690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTFSLHESFIFVVSSGFIGVGPPKAIKFYRSAPFAHHDQIWDFNLGRSRDHDEKSSIEVGFGSNHGGFMIKSYSDMLKEISSGTTKDLEDIYDSRYCSTAEDIMSSNICQVSSKNVSTGSNKRKVSPSTSTMDGPTTSGNHVPTSGPALTREISFGDQTVSPAGAERPAAMRIDSETLAQNRDSAMQRYREKRKNRRYEKHIRYESRKLRADTRKRVKGRFVKSTEALNAGNGG >KQL02691 pep chromosome:Setaria_italica_v2.0:VI:33984319:33988590:-1 gene:SETIT_014037mg transcript:KQL02691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGADPELRDLYVPCDPPEGAAHAGGARRLKGEALCDQLAEMARREADTSHPHQPHSDLSPRTPRRNSAASSGRLPGKMAPPAPPPHHPPAAVQEVPLPYTSLLMMASANCTELIGGHDRMADDDEQLLWDCAPPSVPPTQIWDFNLGRSRDHDEKSSIEVGFGSNHGGFMIKSYSDMLKEISSGTTKDLEDIYDSRYCSTAEDIMSSNICQVSSKNVSTGSNKRKVSPSTSTMDGPTTSGNHVPTSGPALTREISFGDQTVSPAGAERPAAMRIDSETLAQNRDSAMQRYREKRKNRRYEKHIRYESRKLRADTRKRVKGRFVKSTEALNAGNGG >KQL02416 pep chromosome:Setaria_italica_v2.0:VI:32389773:32393384:1 gene:SETIT_013852mg transcript:KQL02416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPCAQQLQLPTAPLHTPCRQAGRWDFPLPRPRRRPRRAIAAAMASGSANPVVLGCGGIAVDYLATVASFPNPDDKIRSLELKVQGGGNTGNALTAAARLGLRPRIISKVANDAQGRNILSELRADGIDTSYILVAENGNSPFTYIIVDEQTKTRTCIHTPGSPPMVPEELTKANLSSALDGADIVYFDVRLPDTALLVAEEASQRKIPILIDAERKREGLDELLNFASYVVCSAKLPQAWTGASSIPIALVSMLSRLPNIKFVIVTLGEKGCLMLERSMTDASEAGEIDVEALFESLQNQVDQDSTMPKCIASKSNLRISADGVGSISGRLLLGTAEVIPPGELIDTTGAGDAFIGAVLYALCTGMPPERMLPFAAQVAGCGCRGLGARSSLPHRTDPRLAGY >KQL01492 pep chromosome:Setaria_italica_v2.0:VI:20545940:20547458:1 gene:SETIT_014927mg transcript:KQL01492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLHAMDTREYRDYNYLKTAQDSICQQVKEITSAFHTIQRSLIDIKCYNQPEEQINEFGLAQQNVAQGRLISSTAREAPRPIDEKVSNDDDIIIKIKGIVLTRKEIHTLTIKYDYDSFNKCLDDKVFLPMRVQTHWFLVVVNAYLRTVQVEGLHCYLEIIQTDEKVDYHRWKDFNVRTWDIDMLGGLPQQDDRTSSGLFMLKYMEHWNGYRLQKGFTQNLIDEFRSKLAAILVNSVFNEEQTMKGSPEI >KQL02131 pep chromosome:Setaria_italica_v2.0:VI:30170075:30171304:1 gene:SETIT_013845mg transcript:KQL02131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPRQWWRRAAAAVKDRRSLYLTRVAALRPAASSGFAAALRSPELEAAVIRATSHDERSVDYGSAARVFALARASPPSLQPLMWALARRAGRTRCWAVALKALMLAHGLLLRSDLAPRAARLGRVPFDLADFRDRSSPPSRSSGFSAFVRAYFRFLDTRSLFAAQELDDAGGGSGSDDDEDARLDRVTKQQHLLDLLMQIRPYGDGMEQGLILEAMDCVVIEIFEVYSQVCTGIARFLVAVLGSAPTPPPRRPGETMAEARRRRGAQGMRVLRKAAEQSAQLSSYFELCRGLGVLNAAEFPAVERVPDDDIRDLEKLIMSHVVEEGSRVLEKEAKALVAVEEKNEAKALVAVEETGLASRTVVTKEWVVFDDDDNAAAGARQGHFGGYVNPFVAAPWDAVAGSRDLLV >KQL01544 pep chromosome:Setaria_italica_v2.0:VI:21483361:21484521:1 gene:SETIT_014661mg transcript:KQL01544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLARAPLPRAPLRPAPAVQLVPRGRLRATAASGGGAAGPVLRTCKNCKQQYDPVANHPSACRYHTAHFGGETKRKFESVYAGGTMDTPDSGKVFQYWHCCGSEDPFDAGCTASPHCSYDD >KQL01835 pep chromosome:Setaria_italica_v2.0:VI:26980591:26985912:-1 gene:SETIT_013473mg transcript:KQL01835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLAAGRRQQLLHLLPRGAPALAAAYHSSAAATAGGGAAPASASVLPDTLDRGSDAYARNTAAVGGLLADLRARISQVMRGGGAEAVKRNAARGKLLPRDRIDRLLDPGASFLELSQLAGSDVYEEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLALGRNIVKNLHLAAKGTNIQSSACDYQEPLYDIEELRSIAPSDLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCTQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQVRTLAPVIATIGLSLI >KQL01836 pep chromosome:Setaria_italica_v2.0:VI:26980052:26985912:-1 gene:SETIT_013473mg transcript:KQL01836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLAAGRRQQLLHLLPRGAPALAAAYHSSAAATAGGGAAPASASVLPDTLDRGSDAYARNTAAVGGLLADLRARISQVMRGGGAEAVKRNAARGKLLPRDRIDRLLDPGASFLELSQLAGSDVYEEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLALGRNIVKNLHLAAKGTNIQSSACDYQEPLYDIEELRSIAPSDLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCTQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQAAGVLSQIEKNNKKRQGVEACFINPSPRFNVKITFLYDQFLFVDTYSGPRTRKKPSKQR >KQL01834 pep chromosome:Setaria_italica_v2.0:VI:26979888:26985912:-1 gene:SETIT_013473mg transcript:KQL01834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLAAGRRQQLLHLLPRGAPALAAAYHSSAAATAGGGAAPASASVLPDTLDRGSDAYARNTAAVGGLLADLRARISQVMRGGGAEAVKRNAARGKLLPRDRIDRLLDPGASFLELSQAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLALGRNIVKNLHLAAKGTNIQSSACDYQEPLYDIEELRSIAPSDLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCTQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQAAGVLSQIEKNNKKRQGVEWTKDEEEAFKAKVAEAYDREASPYYATARLWDDGIIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRM >KQL01839 pep chromosome:Setaria_italica_v2.0:VI:26980953:26986048:-1 gene:SETIT_013473mg transcript:KQL01839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLAAGRRQQLLHLLPRGAPALAAAYHSSAAATAGGGAAPASASVLPDTLDRGSDAYARNTAAVGGLLADLRARISQVMRGGGAEAVKRNAARGKLLPRDRIDRLLDPGASFLELSQLAGSDVYEEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLALGRNIVKNLHLAAKGTNIQSSACDYQEPLYDIEELRSIAPSDLKQSFDIRSVIARIVDGSEFDEFKKLH >KQL01838 pep chromosome:Setaria_italica_v2.0:VI:26981263:26985912:-1 gene:SETIT_013473mg transcript:KQL01838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLAAGRRQQLLHLLPRGAPALAAAYHSSAAATAGGGAAPASASVLPDTLDRGSDAYARNTAAVGGLLADLRARISQVMRGGGAEAVKRNAARGKLLPRDRIDRLLDPGASFLELSQLAGSDVYEEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLALGRNIVKNLHLAAKGTNIQSSACDYQEPLYDIEELRSIAPSDLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCTQRHIPLIFLQNITGFMVWILFTLQNTSMSSFISQPTMRIDKLIIYYLY >KQL01833 pep chromosome:Setaria_italica_v2.0:VI:26979671:26986048:-1 gene:SETIT_013473mg transcript:KQL01833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLAAGRRQQLLHLLPRGAPALAAAYHSSAAATAGGGAAPASASVLPDTLDRGSDAYARNTAAVGGLLADLRARISQAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLALGRNIVKNLHLAAKGTNIQSSACDYQEPLYDIEELRSIAPSDLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCTQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQAAGVLSQIEKNNKKRQGVEWTKDEEEAFKAKVAEAYDREASPYYATARLWDDGIIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRM >KQL01837 pep chromosome:Setaria_italica_v2.0:VI:26979671:26986048:-1 gene:SETIT_013473mg transcript:KQL01837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGGGAEAVKRNAARGKLLPRDRIDRLLDPGASFLELSQLAGSDVYEEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLALGRNIVKNLHLAAKGTNIQSSACDYQEPLYDIEELRSIAPSDLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCTQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQAAGVLSQIEKNNKKRQGVEWTKDEEEAFKAKVAEAYDREASPYYATARLWDDGIIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRM >KQL01832 pep chromosome:Setaria_italica_v2.0:VI:26979671:26986048:-1 gene:SETIT_013473mg transcript:KQL01832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRLAAGRRQQLLHLLPRGAPALAAAYHSSAAATAGGGAAPASASVLPDTLDRGSDAYARNTAAVGGLLADLRARISQVMRGGGAEAVKRNAARGKLLPRDRIDRLLDPGASFLELSQLAGSDVYEEALPSAGIITGIGPVHGRLCMFVANDPTTKGGTYYPLTVKKHLRAQEIASECKLPCIYLVDSGGANLPRQAEVFPDRDNFGRIFYNQAKMSSDGIPQIALVLGSCTAGGAYIPAMADESVIVKGNGTIFLAGPPLVKAATGEEISAEDLGGASVHCKVSGVSDHFAQDELHGLALGRNIVKNLHLAAKGTNIQSSACDYQEPLYDIEELRSIAPSDLKQSFDIRSVIARIVDGSEFDEFKKLYGTTLVTGFARICGQPVGIIGNNGILFTESALKGSHFIELCTQRHIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITIIVGGSFGAGNYGMCGRAYSPNFLFLWPTARISVMGGIQAAGVLSQIEKNNKKRQGVEWTKDEEEAFKAKVAEAYDREASPYYATARLWDDGIIDPADTRRVLSLCLSAAAKPVPEDTKYGVFRM >KQL00217 pep chromosome:Setaria_italica_v2.0:VI:596574:599457:1 gene:SETIT_013809mg transcript:KQL00217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSASSSSRALLRRIGGALLRRSFCASASAGTDSAAAAAGYHVAGGPSYMRGAVFWEPGRPLTLEEFRMPRPKAGELLIKTKACGVCHSDLHVMKGEIPFSSPCVVGHEITGEVVDHGMHTPAEIINRFPVGSHVVGAFIMPCGNCFYCVKGQEDLCESFFAYNRAKGTLYDGETRLFLRSNGKPVYMYSMGGLAEYCVVPANALAVLPNSLPYTESAILGCAVFTAYGALRHAAEMRAGDSVAVIGVGGVGSSCLQIAKAFGASEVIAVDVLDEKLQNARTLGATHTVNAAKEDAVERIKEITGGRGVDVAVEALGKALTFSQCTKSVRDGGKAVMIGLAATDVVGEVDITRLVRRQVKIIGSYGARARQDLPQIVKLAESGAFNLQNTISRKCKFEEANGAYEDLNQGKIVGRAVVEIME >KQL02275 pep chromosome:Setaria_italica_v2.0:VI:31379797:31384183:-1 gene:SETIT_014111mg transcript:KQL02275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTTMAWTSLFLPTTAGATAAATGSRHPSFSHRSHCRVPMTRLRRAPRLVVSASASPASPASSLDALIFDCDGVILESEHLHRQAYNDAFAHFGVRCPPASADPLYWDEAFYDELQNRIGGGKPKMRWYFGENGWPSSNIFETPPSTDSDKEKLVDIIQDWKTERYKEIIKSGTVEPRPGVLRLMDEVKGAGIKLAVCSAATKSSVIMCLENLIGLERFKGLDCFLAGDDVKLKKPDPTIYVTAAEKLGVQSKNCLVVEDSVIGLLAAKGAGMSCIITYTPSTASQDFTDAIATYPDLSDVRLEDLKLLLQKTLVTG >KQL02323 pep chromosome:Setaria_italica_v2.0:VI:31726782:31726877:1 gene:SETIT_015408mg transcript:KQL02323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHISDIKLIRTDTTLDLSQKAEKGMIWKRAQ >KQL00469 pep chromosome:Setaria_italica_v2.0:VI:2346200:2348934:1 gene:SETIT_013468mg transcript:KQL00469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKVTKRWVPVNRVEGAPCGGGGGDPATARRRVLPDAPLHHRLVPPKNTTPPKGTMRWVPVNRAGGTAASRGREGGVPDADRLSALPDALLHHIMSFLKAWEVVRTCVLSWRWRHLWASAPCIDLRIRGDDNGNTPEDFPDFVRHLFRRREVSAKLDTVHLRSSDDAGAHDVRLWIRTAIKQGARVIHLVGHRKEHWLRGSSLAVLEHASFVSCHLKILKLSYALIDENILRQLSSHCLSLEELNLKDCLITGHEISSASLKVLTMFKCQINVNLSISAPNLVVLRCISPITQAPSFENMGFLVTGTIILDDYAFGDDFEDISKYERDATTDEDDDCSDSNWKNKTRYGFGAPLEGYGLGYKDDYGYGSDIESDGNTFEYSEIAIDCDEYGINGDGHNSTMDGNRQISGENSGCNDNKIKGGHNVLQSLSNATSLELLADAGEVILTRELKRCPSFSNLKTLSLGEWSMDAGFDALVFLLQHSPNLERLFLELKLNFNNKKPLVSSVKPKEKSFACKHLQLVKIKCSKDDARVHKLAHLFRTNGISVDKIFVRRTGSAYLRGKKLMKDLARLELEFWGEVMPTCPV >KQL01849 pep chromosome:Setaria_italica_v2.0:VI:27074305:27075675:1 gene:SETIT_014613mg transcript:KQL01849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATPPWPPPVATRADPGHRRHKKSAERNTSVFMPRVATYSSGHALPVANRVPSLGFDSATKEWDNIHQHKVQSINFLSSLERPSENSVIAGKMAGGKLNNHSVEPTKRNHLFSLIELVLQKRPKTQNLAVCNFHQNLTCL >KQL02760 pep chromosome:Setaria_italica_v2.0:VI:34412314:34414031:-1 gene:SETIT_014288mg transcript:KQL02760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGDEASRTVPPLALGGTTEELAFVKETVLKKRKENADWAVRNRERKAAKRQRIRAENKVVVKRPEEFVTAFRNKERDFLRMRTRLKVRKQPSVEALSSKLIFAIRIPGSVDLHPHIRKKLRKLRLTKVLTGVFLKATELTLKMLLEVEPFVTYGFPNLKNVKELIYKKGRGFVDKEPFPLTSNDLIEKALGDNGIICLEDLVHEIATVGPHFRDATKFLMPFKLKCPERRLQMKKKPYKDGGDSGDRGDKINELIEKLN >KQL02375 pep chromosome:Setaria_italica_v2.0:VI:32096574:32100927:1 gene:SETIT_013385mg transcript:KQL02375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESRIARISVTWRGRQLDVDADPSCTVKEFGQLLQDLTSVKPETLKLIVPQSTSKGSKLITPFSDPHSSLSLNEAAISEGKPIRMMGVFDDEIEEVSDNGKRPDLRIIGFDEEEQRLRQRSSGRPQISLKLPQGQYIFCDFRTLHLPGIELNPPPSEALKRMHMLACDPGIIAIMNKHKWRVGIMTEMAPVGYVGVSPKCILGFNKNMGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMVHSEHDANFFALNKQLNEEAASLDWTKSTGHMLSGRKIFDSYEDEFVLEPGIAAAGHRLGGESSSLASARALSGAAAYQRFLNASAKEYHVSGTEIKYSPDVVPQDFVQETVKVEPDPDDAMHVDLAIVTSGSLDSRLLAEQHTIGYSEPDPNDVGKQSSVGCLEPDPDDSSNVDILNLELRFDGRQHSEPDPDDGTNEFVLEYGNKMEVDSELTNNITVLKSEPDPDDSSNAMLVIDGKQGGEPDPDDTTCLVSKSGDETEVITAQRRSSAVLKSEPDPNNCIGDLKSNELQMIEEPVAALCARLQKSIEMLRLQATPAEADSAIQTLFKIIKNVIEHPNDIKYKRLRKSNPHFQRSVANYKAAMEVLELIGFCEDVVSDEIGRAETYLVLKRNDPGLLWLAKSSLEVTLA >KQL00998 pep chromosome:Setaria_italica_v2.0:VI:7272588:7275780:1 gene:SETIT_014792mg transcript:KQL00998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSLLQETQFWHRTKMKTQDSGDVTTKELCRHWSQLWLALWHKHEALRQDFASSELGVMLKSRAQWIYM >KQL00455 pep chromosome:Setaria_italica_v2.0:VI:2203123:2203323:-1 gene:SETIT_015459mg transcript:KQL00455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWWWPELLRHPATAAVIMKIQQDRLDVAMEVLPPGAPLPPELNLERVRVFIDAASLVAQIPMRLDR >KQL00617 pep chromosome:Setaria_italica_v2.0:VI:3477202:3486186:1 gene:SETIT_013180mg transcript:KQL00617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGPAPAPLLRCRGSAPPWFASSSPFFSRRRARRSSPPPALSGCRRQNYSHSSDMEVRGSSTFKLGFYANLNVQNIAQEWLVESRRLFYLRTVNSVTNNIFKGTTPLRAENLQNELSEDRRGLNHPSLHNLREFISSKSIVNRHENTELVRHSMINHVQSVFPVSAVNNSVKQSMPRGPNVTLRDISNTEAVLELDDKVHDGDDKKVKKPVVKKWVSSLPPKASFSEESVKARKALAGIYNKVLVVDNIESARTIVQLLTTKYKNFIHACDTEVSNINVKEETPVGHGHVTCFSIYSANSNAQVADFGNGKTCIWVDVLDGGRDVLMEFAPFFEDPSIKKVWHNYSFDSHVIENHGIKVAGFHADTMHLARLWDSSRKTDGGYSLEGLTNDRRVMDAVLEDLPNAGKTSMKTIFGRKKVRKDGSEGKVISVDPVEKLQREDKELWICYSSLDSMSTLRLYESLKRKLETKEWIFDGCPRGTMYDFYEEYWRPFGAILVKMETEGMLVDRGYLSEIEKAAIAEREVAANKFRKWASKYCPDAKYMNVNSDTQIRQLLFGGIENRHKSGETWPLSKTFKVPNEETVDTEGKKTSKYRTIKLCSIVEDLKIDMFTPSGWPSVSGDALRSLAGKIPTEHIYTMDDCDEDSSGSEDPEQEIDENSSYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGDHISCAEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNSLIVADYGQLELRILAHLTNCKSMLDAFKAGGDFHSRTAMNMYQHIRDAVDEKKVLLEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAVGLSRDWKVSVKEARDTLKLWYGDRKEVLAWQKRQKKLAHEKCEVYTLLGRSRRFPNLTQFGPGQRGHIERAAINAPVQGSAADVAMCAMLEIERDTRLKELGWRLLLQVHDEVILEGPSESAETAKAIVVECMSKPFYGTNILNVDLAVDAKCAKSWYAAK >KQL01506 pep chromosome:Setaria_italica_v2.0:VI:20979151:20980033:1 gene:SETIT_015999mg transcript:KQL01506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPQDILQYCIRVCLTSVCTMEDITTSSQMMKLYANHWLDCRFRICILVLACSVLQRFFCVEF >KQL01157 pep chromosome:Setaria_italica_v2.0:VI:9766626:9770533:-1 gene:SETIT_013296mg transcript:KQL01157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSSWWGGEEQRGTPVVVKMDNPYSLVEIDGPGMPASDKARGKNAKQFTWVLLLRAHRAVGCVAWLAAGFWGVLGAVNRRVRRGRDADEEPDAEASGRGRAMLRFLRAFLLLSLALLALETVAHLKGWQFPQHLPGNLQELEEQLQHLPEHLQHLPEHLRHLPENLRQLPEHLRVPERREIQGWLHRAYVAWLEFRVDYIAWAIQKLSTFCILLFMVQSVDRIVQCLACFWIKIRGIKPRIATAAASGKRRGKKKSADVENGDADDAAAGGYFPMVLIQMPMCNEKEVYETSISHVCQMDWPRDRLLIQVLDDSDDEVCQMLIKAEVTKWSQRGVNIIYRHRLSRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVYLNFFGFNGTAGVWRIKALEDSGGWMERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCELPESYEAYRKQQHRWHSGPMQLFRLCLPAVFKSKIPFWKKANLVMLFFLLRKLVLPFYSFTLFCVILPLTMFVPEAELPIWVICYIPVLMSILNILPAPKSFPFVIPYLLFENTMSVTKFNAMVSGLFQLGSSYEWIVTKKAGRTSSASDILALAEETHVPTRPAAKLVRGVSEGGLEEWGKLREHEAAEWTNKEDAAAALAAAPATPKKSSKAKKPNRIFKKELALAFLLLTAATRSLLSAQGLHFYFLLFQGVTFLAVGLDLIGEQVS >KQL02344 pep chromosome:Setaria_italica_v2.0:VI:31908871:31910203:-1 gene:SETIT_014344mg transcript:KQL02344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGDTMAQVHEGLDSSNKTLLKSEALYKYVLDTSVLPHEPECMRELRLVTDKHRWGFMQSSPDEAQLLRMLIKLTGARNTLEVGVFTGYSLLATALALPDDGKVIAIDVDREYYEIGRPFIEKAGVAHKVDFREGPALDHLDKLLADEANVGAFDFAFVDADKPNYVKYHEQLLRLVKVGGTIVYDNTLWAGTVAMPPDTPMSDLDRRFSAAIRDLNVRLSADERIEVCQLAIADGVTICRRLV >KQL02480 pep chromosome:Setaria_italica_v2.0:VI:32820185:32821829:-1 gene:SETIT_014054mg transcript:KQL02480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVSNGKSLTQWLRENGFDEETVARMARRCKNLHSLDAGEASGVWDYLLTSVKIERRRLRHVVAKCPKVLTLPVDGKLVPTVQCLATLQAKPGEVAQAIAKFPQILFHSVEEKLCPLLAFFQTLGVSEKQLAKLLMVNPRLISYSIEAKFSQMVNFLVDLGMDKEGMIGKILTKEPYIMGYSVDKRLRPTAEFLKSEVGLQGSDLKRVIMSFPDILSRDVDKILRPNLAFLRSCSFNKGQVMALVAGYPPVLIKSVKHCLEPRIKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQKNSSCSLSEMLDCNQKKFAMKFGLVAAV >KQL02479 pep chromosome:Setaria_italica_v2.0:VI:32819023:32821829:-1 gene:SETIT_014054mg transcript:KQL02479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVSNGKSLTQWLRENGFDEETVARMARRCKNLHSLDAGEASGVWDYLLTSVKIERRRLRHVVAKCPKVLTLPVDGKLVPTVQCLATLQAKPGEVAQAIAKFPQILFHSVEEKLCPLLAFFQTLGVSEKQLAKLLMVNPRLISYSIEAKFSQMVNFLVDLGMDKEGMIGKILTKEPYIMGYSVDKRLRPTAEFLKSEVGLQGSDLKRVIMSFPDILSRDVDKILRPNLAFLRSCSFNKGQVMALVAGYPPVLIKSVKHCLEPRIKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQKNSSCSLSEMLDCNQKKFAMKFGLVAAV >KQL02481 pep chromosome:Setaria_italica_v2.0:VI:32820359:32821357:-1 gene:SETIT_014054mg transcript:KQL02481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGVSNGKSLTQWLRENGFDEETVARMARRCKNLHSLDAGEASGVWDYLLTSVKIERRRLRHVVAKCPKVLTLPVDGKLVPTVQCLATLQAKPGEVAQAIAKFPQILFHSVEEKLCPLLAFFQTLGVSEKQLAKLLMVNPRLISYSIEAKFSQMVNFLVDLGMDKEGMIGKILTKEPYIMGYSVDKRLRPTAEFLKSEVGLQGSDLKRVIMSFPDILSRDVDKILRPNLAFLRSCSFNKGQVMALVAGYPPVLIKSVKHCLEPRIKFLVEEMGRDMGEVVDYPQFFRHGLKRSLEYRHKVLKQKNSSCSLSEMLDCNQKKFAMKFGLVAAV >KQL01140 pep chromosome:Setaria_italica_v2.0:VI:9179045:9180217:-1 gene:SETIT_013928mg transcript:KQL01140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKTTQLRTHLLAHDHDRLPCAPPLPLSPPLNDDVPPVLSRCAVRRSLLLATSYAALFAGSLSSSLLSRFYFAHGGADRWLATLVQSAGFPFLLLVLLARPPAMGKMFGGFTPRLVLYCVLLGLVMGLNNLLYSCGTSYLPLAFTLVLAAGLVRVPLSFANINAVVLLTLSSLLLALRHEEVGGGGRRANTGDATATSPDYYLLGVAATLGAALLFALYLPAAELLYRHGGVTGFRMVVEAQVIMEAVATTVSGAGMAVSGGGKGPWSGVEATWDLSPAAYYAVVGAAVLSWQMCFFGTAGTVFLTTSLHGGICMTALLAVNVAGGVVVFGDDFGAEKGVAMVLCLWAFSSYIYGEYKKGGKSVDGGSRISYGVLGQV >KQL02409 pep chromosome:Setaria_italica_v2.0:VI:32304565:32308346:1 gene:SETIT_013492mg transcript:KQL02409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILPVLFSYEHRPTSVMISPAAASQPLPSESPTRRHPLDLRRPRRRRALPGGRHACGSPAMMAGRAHGHRNRLRRLIPRVLLLLFAAYAACFAIYLLLHPHHPSPPDPTPRTDVRDDEGVRAPPSSQKPWPRLPSFLPWVGGPPPPPHTCEAYFGNGFSRRVEVLPARGGGGGWFRCHHSETLGSSICEGARVRLDPSRIAMSRGGEPLEQVMGRAEEEELPKYEPGALQVEGPAAGRAAPLVDAAFLNTYVPTGGIEMHTMRALLESARVVPPGELHCSQWVEEPTLLVTRFEYANLFHTITDWYSAYVSSRVTDLPNRPNVVFVDGHCKAQLEQTWEALFSSVTYAKNFSGPVCFRHAILSPLGYETAMFKGLSESFSCEGASAQTLRGKPDYEKTARLSEFGEMIVASFDLLQDDIMSSKKSNGLNVLFVRREDYLAHPRHSGKVESRLSNEREVYDAIEKWAKGLKCKVNVVNGLFAHMTMKEQLRAILEASVVIGAHGAGLTHLASATPDTKVLEIISSMYRRPHFALISQWKALEYHAINLPGSYARITDVISELSKILTGLGC >KQL00275 pep chromosome:Setaria_italica_v2.0:VI:947595:950398:-1 gene:SETIT_014303mg transcript:KQL00275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAALLFLCLAVVLVAAATVHDEHSQCLDNPPDLSLRGVEAGKVVDDLPGGFRAYVTGPSMSIRAIVLASDVYGFEAPILRNIADKVAATGYYVVVPDFFHGDPYNDSKILSEWIKSHSPVTAAQDAKPLLASLRNEGKSIGVGGYCWGGKFAAEIAKTDDTEVVVLSHPAYVTVDDMKEVKWPIEILGAQNDTITPQEQVRQFEQELRERMDIEYFVKIFPRVAHGFACRYNTTDPFAVKSAEKALAYMLDWFHKYL >KQL00864 pep chromosome:Setaria_italica_v2.0:VI:5762000:5767497:1 gene:SETIT_013476mg transcript:KQL00864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWAAFLWEGASGGARRRPGVSNLLLVVAAASSGGLVAYADSGSDAAVEKPQLPQRKKVVVLGTGWGGTTFLRNLDTRLYDVQVISPRNYFAFTPLLPSVTSGTVEPRSIVEPIRRVLEKKGEEIKFWEAECFKIDPQNKKIHCRSNLGTNLDGNGEFLVDYDYLVVAVGARVNTFNTPGVVENCHFLKEVEDAQKIRRSVMDCFERASLPFLDEEERRKNLHFVVVGGGPTGVEFAASLHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITNFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPGTGDISVPYGMAVWSTGIGTRPFIVEFMKQIGQGNRRVLATDEWLRVRECDGVYAIGDCATINQRRVMEDISEIFRVADKDKSGTLTVKEIQDVLDDISMRYPQLQLYLKSKQMNGIADLVRSAKGDAEKESVELNIEEFKKALSLVDSQVKFLPATAQVASQQGQYLARCFNKMKDAEEHPEGPIRIRGEGRHRFRPFRYRHLGQFAPLGGEQTAAQLPGDWISIGHSSQWLWYSVYATKQISWRTRMLVVSDWARRFIFGRDSSCI >KQL00863 pep chromosome:Setaria_italica_v2.0:VI:5762202:5766747:1 gene:SETIT_013476mg transcript:KQL00863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWAAFLWEGASGGARRRPGVSNLLLVVAAASSGGLVAYADSGSDAAVEKPQLPQRKKVVVLGTGWGGTTFLRNLDTRLYDVQVISPRNYFAFTPLLPSVTSGTVEPRSIVEPIRRVLEKKGEEIKFWEAECFKIDPQNKKIHCRSNLGTNLDGNGEFLVDYDYLVVAVGARVNTFNTPGVVENCHFLKEVEDAQKIRRSVMDCFERASLPFLDEEERRKNLHFVVVGGGPTGVEFAASLHDFVTEDLSKLYPSIQHLVKISLIEAADHILTMFDKRITNFAEDKFGRDGIDVKTGYKVVKVSKDAITMQNPGTGDISVPYGMAVWSTGIGTRPFIVEFMKQIGQGNRRVLATDEWLRVRECDGVYAIGDCATINQRRVMEDISEIFRVADKDKSGTLTVKEIQDVLDDISMRYPQLQLYLKSKQMNGIADLVRSAKGDAEKESVELNIEEFKKALSLVDSQVKFLPATAQVASQQGQYLARCFNKMKDAEEHPEGPIRIRGEGRHRFRPFRFLFHHSFAQCFHSWPNPFHGLHSDPIAEYQALPEHSSKSVHLLFSESDSITVLILQVPASGPIRPSRR >KQL00590 pep chromosome:Setaria_italica_v2.0:VI:3325095:3325808:-1 gene:SETIT_015872mg transcript:KQL00590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEWKSRRRRATARWGGSAREGGRGSFDLLELTAQPLPPPTKTATTATTATARGGVEAPRATRHSSEQTMKTI >KQL01885 pep chromosome:Setaria_italica_v2.0:VI:27523586:27527608:-1 gene:SETIT_013148mg transcript:KQL01885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKLNIPGASHCIYDRPLGSSYSAPDMKPLFATTPTSSENLVESASPPKVNCSYYSVLNSANKIVMASDAKSSSIAMANSSGNPEKIIGCSQDADVQTNHANATHDSRLHWDLNVPMEAWDTDCGGDDPTISTISDHNDAGNDMNKQQSSHDHFDLTDAGDVANSSVDKIQMADVPKDVCINTKDEGDSPADSSAHPSLHQSSQNLQLLESGSVGIDALAETMDLPDQQKNGFASVMESRIGSNPEPALIMERFPSTYVEKVDASHPPPVDCEGLSHMSFVNGHVGCNSLQTSELGSTVKPLASRLVSEESTNFPTVTPLHKKVTDFGWSKNKLEEASEQSISESKNQELLDVDSGTSKMDQSVSKNSGHDIDVFYVNKSTDAENLTHPGDNPGSSDCDMAHVHEEDGTDAVINSKDCLITCANSSSAETFYISGVAPQVPVASSECHKPGVTDADSIVDSRENDHGKVASNIYSEHCYETDTSRISENLAGVGKIDVEEDDSQYEDGELRESGDRYWVGDGYEEVKPANWHYQVSDYKNGEAIPGLAPLPVDSVAKNVGIHVSSYNETQSRKEDVPVSPISSKRSWLTNCLDGGPVTDGKAQSIHSRGDTQMYGINPGRVAVGSAAIVSQSERCNDGLGDDLSSIRMKNTGWDMLPEDQKHSRHDPRDGADSSNRCVLSSLDAAGDDESLRKMGLSNKDVQRVEQQKSFDRPQRNGLSRSDDGYGSGSKAERPIDSHRSHGIYDASRHIQTGNRGQWMENSKHPRSARRKSPEYYNYGPSGPRNAAEAAVAKMESNGFVVAPDGTLVRAVDAANAGQMTRRMRNTSSGSYRSLSGRGSPIDRDGACGMSRGPVHARESSPERHFGANGNRSGRYGPEMEKDHSTDGNLSSVRCSLPNRQRGIPTGRASLNLSRAHSRSPSGSRSRSPHDWASPRNRRKIIANGGSALRRNSRSPPNHMAKVRMGRMASPKRQPGYDDRSMRYSPSRNHTYSQHASTWVDGRNGSTVDLSDHNKRYSRRSPPSRITSRNDRFDVMDSQGRSRSGEFYRSTQGRLPYGYDRANKHDGNGDDQREYADRYGNHSVKPYDRDGAVKQFRNNTGDKFRTRISAPRSPEPQRRVSPRRFDRSFER >KQL02984 pep chromosome:Setaria_italica_v2.0:VI:35632438:35634479:-1 gene:SETIT_014521mg transcript:KQL02984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAAMDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGRIINIASVVGLTGNVGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEELEKKFLSTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >KQL00128 pep chromosome:Setaria_italica_v2.0:VI:107710:111664:-1 gene:SETIT_013309mg transcript:KQL00128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLDQSSPAKHQRAKSTAPLSLTPKISIFGTKAGFVIPKNKLAGSLVTRGATTKNETPTASKEDNSRHAQRKTKWGPDLTTDPAVCKGRALAYQTRVEQITKQLKSGTLDMGKIEGSVSTGKGTNSVGSDNLKENEQGKVELLELERREITGEILRLNPGYKVPENYKPVLKETKIPLPAEAHPGHNIIGVLIGPESNTLKRLHEETGAVIQVYGTKKINGEKSEIHHQDISDARAAYEDLYINVSADSYDKVDTAVALIELLLAPVSVKSTSTPTTTTVSSAVTSDVNPVQNTISPPGLLHYQSQNAPWLSTPQTDAPSITSSGPVLSTLPNNSLQPQPFAGSFSMPPFTGHPPHMNSTPRNPFPVPGPQQSMPSNQQHPPQFRANSSIGPFGQPPGIVSPQMTPSSSVPPPVRPLQIPHASGGWPSFSPITPQSQWPPQASPNFVPVRPISVSPLGATPPHGPAALTPPSNMPTMYHSQQPALANFTCSATLVSRPPGGVQSFSTVAPQCPSPVAFPGGGGSSTQSGYPLSIGPPPAFSRVGPTPGMVPPSCPPASGPASTSSGQAPIAALRPPRPVAGDFTFRPVVSPAPTPDFAASGGQMGIQGRSHPGAPFFHPGNQSPNQGFQRPCDGRPLNAMGQARMHAPPHPPQHLHGGFPRNPSHLELPAGFPGIPPAVQAHPMLGPSNFLPSRPFQPRPPSQANPFASRDRQGGNPIYNPFAPTAAQKTEGADPEYEDLMASVGVK >KQL00129 pep chromosome:Setaria_italica_v2.0:VI:107340:111664:-1 gene:SETIT_013309mg transcript:KQL00129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKLDQSSPAKHQRAKSTAPLSLTPKISIFGTKAGFVIPKNKLAGSLVTRGATTKNETPTASKEDNSRHAQRKTKWGPDLTTDPAVCKGRALAYQTRVEQITKQLKSGTLDMGKIEGSVSTGKGTNSVGSDNLKENEGKVELLELERREITGEILRLNPGYKVPENYKPVLKETKIPLPAEAHPGHNIIGVLIGPESNTLKRLHEETGAVIQVYGTKKINGEKSEIHHQDISDARAAYEDLYINVSADSYDKVDTAVALIELLLAPVSVKSTSTPTTTTVSSAVTSDVNPVQNTISPPGLLHYQSQNAPWLSTPQTDAPSITSSGPVLSTLPNNSLQPQPFAGSFSMPPFTGHPPHMNSTPRNPFPVPGPQQSMPSNQQHPPQFRANSSIGPFGQPPGIVSPQMTPSSSVPPPVRPLQIPHASGGWPSFSPITPQSQWPPQASPNFVPVRPISVSPLGATPPHGPAALTPPSNMPTMYHSQQPALANFTCSATLVSRPPGGVQSFSTVAPQCPSPVAFPGGGGSSTQSGYPLSIGPPPAFSRVGPTPGMVPPSCPPASGPASTSSGQAPIAALRPPRPVAGDFTFRPVVSPAPTPDFAASGGQMGIQGRSHPGAPFFHPGNQSPNQGFQRPCDGRPLNAMGQARMHAPPHPPQHLHGGFPRNPSHLELPAGFPGIPPAVQAHPMLGPSNFLPSRPFQPRPPSQANPFASRDRQGGNPIYNPFAPTAAQKTEGADPEYEDLMASVGVK >KQL00673 pep chromosome:Setaria_italica_v2.0:VI:3916355:3919984:-1 gene:SETIT_013608mg transcript:KQL00673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATPAVIGLSAGNRLLAASFGPAADLAPPDAHPSLQFAPAAPKLAVVVAHRASASSSYPAGHARAHAVRALRNHSAPALAPPPPPPPPEDPAALAPDLDSAFEFESSLEAIVLLQRSMLEKQWELPFEDEDEEEHHSESLFAKSTVVVARSGVSARQRRMSGRRRGTGRKSVAISPELMQSRNRIYLRGTVSKELLTHKQVVQLSKKIKDGIWLQHQRSKLKEKLGNEPSYKQLAQSLRISAPELRARMRESFLAREMLTMSNIRLVISIAQKYDNLGVELADLIQGGLIGLLRGIEKFDASRGFRISTYVYWWIRQGVSRALAENSKTFRLPTYLHERLIAIRSAKYALEDQGIAPTTENIAGSLNISEKKVNNATEAVNKVLSLDQQAFPSLNGLPGETLHSYIEDENVANDPWHGFEERYLKEEVNNLINSTLNERERDIIRLYHGIGKQCHTWEDISRQFGLSRERVRQVGLVAMEKLKHAARRKHLDALLEDY >KQL02978 pep chromosome:Setaria_italica_v2.0:VI:35612568:35612939:-1 gene:SETIT_015837mg transcript:KQL02978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYHTVVNQSLGMISLIELLPHFTSHLVTSIKCSLCLLPPR >KQL02089 pep chromosome:Setaria_italica_v2.0:VI:29837365:29837898:1 gene:SETIT_015894mg transcript:KQL02089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSLAQFTSKASQYIFFNHIIFATGNLVWIAEALEEKFT >KQL02277 pep chromosome:Setaria_italica_v2.0:VI:31396330:31398808:-1 gene:SETIT_014087mg transcript:KQL02277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFERYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRVKEAVEKSQDRSRSRSPRPRHRDRDHRRRSRSRSRERHGRDRDRDYRRQSRSRSRSRSPSSSPDLKSRRRARDDCKRQSRSKSRSRSRSRSRSRSRSYHSGSPARRSASPRKSPPPRRSPTPEKHTNGKDSPPSRSVSPSPKRAGSRSPRSVSPSPKRAGSRSPRSVSPSPKRAGSHSPGRDSKE >KQL02278 pep chromosome:Setaria_italica_v2.0:VI:31395978:31398918:-1 gene:SETIT_014087mg transcript:KQL02278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHFGRSGPPDIRDTFSLLVLNISFRTTADDLFPLFERYGKVVDVFIPRDRRTGDSRGFAFVRYKYADEAQKAIDRLDGRNVDGRNIMVQFAKYGPNAEPIRKGRVKEAVEKSQDRSRSRSPRPRHRDRDHRRRSRSRSRERHGRDRDRDYRRQSRSRSRSRSPSSSPDLKSRRRARDDCKRQSRSKSRSRSRSRSRSRSRSYHSGSPARRSASPRKSPPPRRSPTPEKHTNGKDSPPSRSVSPSPKRAGSRSPRSVSPSPKRAGSRSPRSVSPSPKRAGSHSPGRDSKLVDLVMPKNNLPWDCRRLTEHNGSSTELLFKVASCP >KQL02705 pep chromosome:Setaria_italica_v2.0:VI:34078852:34080950:1 gene:SETIT_013518mg transcript:KQL02705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMESPLMEPLLDSNDRAPAHVDTPGEHGDAAADESSAVVGNGTDAEESIEKKTVDGDDDGEEGDEMSASVQRRLDEIGGAGNEEEEEEEAGDDAEADEMAARMERRLAALPGKPHVSELYTIFRVAGPMRDRNRHLYEPQMVSLGPFHRGAGRHLDAMEAHKWRYLRDLLARGGGGKSGGATLADYARAARAMEPRARRRYAEPVALPPGEFAEMLLLDGCFVVEFFLKGEDREDDALIDASWAMQNVFNDLILLENQLPFFVLERFYNIATGGLGRDHFVTKVLVNYLTVDMGAARDAEPRRAPDGEIHHLLHLYYHWFLPPEDRPAGSDPAAAGSGSGKSEEDAFDEWISKPMEERVPLTLPSASDLKNAGVTIRAKKSPRSLVDVTFDPRGGVLEIPAVESYTNHVVFANLLAYEQSRGRWELQRLVSYVLLMESVVSAAHDVEILQRAGVLVKGGEDTAAFYAHLAGELCPPPEFVNNCYADLFRDVREHCGRSWNRHRAVLVHDYFSNPWTSMSAAAAVFLLVLTVVQTVYTVLPYYNPP >KQL00151 pep chromosome:Setaria_italica_v2.0:VI:216828:217700:1 gene:SETIT_015322mg transcript:KQL00151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKKQQLAVGGLSSLFRSSSNKQQDTSPTPGAVLPCRHSSSSSMSSSSYSAWQWTSCGLHPRTLSFRQLQQQEEDASRYGCQHRDDDSHMAMMKKQAAYYKTMNSSYSVDSCFSTNSLDSVDSFSTASHAEAEAVIRAVRSDRLLFEPEDASSFKAASKPNTKLIIKAMDDDDKDDTTTTASEAATAAFGGAMAMSMESENPYRDFRESMEAMVMSQGGVKDWFWLEEMLGWYLRANGKSTHGLIVGAFVDLLVALSTAASPADSSSPATPAAANCYSASDCSCSFSSSPC >KQL01923 pep chromosome:Setaria_italica_v2.0:VI:28094352:28095681:1 gene:SETIT_014351mg transcript:KQL01923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVTARTPVAALRPSASLRSSAFVGHSSRLGRAAAPTRRSLRAEAKGEWLPGLPSPAYLDGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLIPEVLTKAGLINAPQWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPHECGYPGSVFNPLNFAPTLEAKEKELANGRLAMLAFLGFLIQHNVTGKGPFDNLLQHLSDPWHNTIIQTFSG >KQL00965 pep chromosome:Setaria_italica_v2.0:VI:6947347:6952287:1 gene:SETIT_013940mg transcript:KQL00965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLDFFIGDEALSRLRSSGLYTLRSPIHNGQVDDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVSTPESRECTGEIMFETFNVPGLYISVQSVLSLSAGYAYLKSISDENSDPSSDMTGVVVDIGDGASHIVPVVNGYVIGSSIKSFPLSGSDVTQFVSQLLQERGELVPPEDSLDISRKVKEMYCYTCSDIVKEFKKHDKKPDKYIKHWSAIKPKTGVPYTIDIGYERFLGPEIFFKPEIYSADFATPLPQLIDRCVQSAPIDTRRALYKNIVLSGGSTMFKDFHKRLQSDIKKIVDERVAATNAEHHVEVRPIEVNVVAHPIQSYAVWFGGSVAASNPEFYEYCHTKEEYEEHGASICRTSPVFKGMY >KQL00964 pep chromosome:Setaria_italica_v2.0:VI:6947486:6951239:1 gene:SETIT_013940mg transcript:KQL00964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLDFFIGDEALSRLRSSGLYTLRSPIHNGQVDDWDTMERFWQQCIFNYLRCNPEEHYFLLTDSPVSTPESRECTGEIMFETFNVPGLYISVQSVLSLSAGYAYLKSISDENSDPSSDMTGVVVDIGDGASHIVPVVNGYVIGSSIKSFPLSGSDVTQFVSQLLQERGELVPPEDSLDISRKVKEMYCYTCSDIVKEFKKHDKKPDKYIKHWSAIKPKTGVPYTIDIGYERFLGPEIFFKPEIYSADFATPLPQLIDRCVQSAPIDTRRALYKVLSLNSAGT >KQL00635 pep chromosome:Setaria_italica_v2.0:VI:3641723:3642557:-1 gene:SETIT_015828mg transcript:KQL00635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASSARTLFLLLRPRQQLLQMRFAAAPARLPERRYCFLLLQQQPHRSWAAIGAATSRGGSSCEVGYPQQQAPAVRPEGAPAPPREEGEAAAAACGCGKAMGARDDDDDDNYKGAAPPAPPEALRAAGRRRPSSSTPPKRRPGATQRQVGGAAPAKRKGKGGPAPPGGGPKEGPGGRGGVHH >KQL01472 pep chromosome:Setaria_italica_v2.0:VI:20261593:20264631:-1 gene:SETIT_015288mg transcript:KQL01472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIGKEGGLVGQFLGTIARNGGYCPTKFLYPHSCENWIVKSIGRDWRKYKSYLYKICPNDVDQDQWNNLIKYRKSTEGKKNKTSCKMRKTTPTMGAKSYAHCSEDLPTLQKKWPHRAKVYLATHKKRVQWTYEDVIQLENLIVIKQELARSSEGRVAWEGDALHHAHMLEEIRQLKKHARKQDKVIDELKNKKRHEENEEPAMLGSKMLLKTSNYRNKAIVAYATLWSSTPKENVGGVEIGKQFYKVFINHPNVQDEPLVRLMTSCKTIGDAHAKGVPIAWPSICVEMINGWIQILA >KQL00470 pep chromosome:Setaria_italica_v2.0:VI:2354633:2359056:-1 gene:SETIT_013326mg transcript:KQL00470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQSDHPHHEHGMADQAFRPLTSLLLSAPAVAREHASSSSDNNLPSLPSLSPPDDGYIWKKCAQNIVPGCGYPTVYYKCTQEGCEVNKLVVCSADGHQVFETVLSGCHNHPRPRDAPAGFDGGEQLSSSSDSEEDNDIEAGVEEDVAVDLCISHIVSKKLYTSIVLLYNTDTTQSLKSRIDQCWDPGNKLSRMVIKRRSKSKVWEEFTAVLRGGKIQSAECKHCKRLLSGTSTGRTTHLRQHLKICPARPATGRMQQQRSSPHPGSTVDWKSDQDRSLEFLTRAFVSNLFSPPLTSSATFRQFWAGICPTNNAVSQGAIEEKFLSIFQNEKTKLKEEIALAPGGVFLTVKKSYLDTKHFIILAVHFIDKEWNLNRKIIGCCFSGCDIDAVYYVSLSHCFQSSRNFTIGDWKAVEEEKAKEAVQNWSLEWKLLGIISPNPLVGDTAVSTLEKNLTEQNYLLAKCKLLHLPCIIDALHDFFGYELNEYVLTTSQSWCEYMTCSPLRKDKYKEILSRMQISRPSFGSQRWYLIFYALEAALQFNNELPNPQQIDYKSYPSKPSYAQLQAAENFCDLGRSIYHAIKVISRPGNATLNSHFHAIWNLKIALRRSSSKVNIDQVLDIERMQLKFDQLWRKWYLWLSLAVVLDPRYKIRFLVICFKEAFGSHAKKYILEVRGKLYELFLQYSFHVDQQNCENFNQRNNDLHKQHMRNWEKSLHTLKESLFPKMSVSMF >KQL01344 pep chromosome:Setaria_italica_v2.0:VI:16704242:16705103:-1 gene:SETIT_015392mg transcript:KQL01344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTKVNALYNPTVGANIISSSYALTFLGYEPLAPIDKTFRSFSGDLLEWFRVLENMSIGHRGADAILDFHVFKVQDFDILIRHPTENFLLDAPTLGKLDVQLGKETSLKPTPSRHHGRVGH >KQL01153 pep chromosome:Setaria_italica_v2.0:VI:9692000:9694460:1 gene:SETIT_015276mg transcript:KQL01153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDRHLAIVLTYLRTLIDMFFVIHIATRFFTAYIDPVSIVLSKGELVTDPKRIAYRYIRTNFFIDLAAALPVPLILVWAILPSLSFRHIDTSLSIDIIETVGFLAKSGWNGSIYNLFLYLVASHVVGSIYYLLAVGQQKTCWETQCSVKDQKTDNAPCDFKFLDCIYATSNRSQTWAKSTEVFAKCDVNSNSINYGIFIQAMENGVVAISFHEKYFYSLWWGLQQLTTYGNPLVTSSYIGENLFAIALTLLSICLFAQLIGSMMFLEYKWISTQGVEEDSILKQLPVDLRRKIKQWLCLDLVQRVPLFSAIDHQLLDAICEHMTYFLCTEGTYIIHEGGPVKVMTFIFRGKLESCTTDGGRTDFFNSIILKPGDFCGEELLTWALLPSSGDSYPSSTRTVRTITEFEAFSLQADELKFVASTFRMMHSKHLQHIFRFHSHQWRTWAARFIQSAWRRHRSQDKTAEKGLSRSSSMSQAAEFPFSKIATIFLQAQNDQPEEPDFSIGDHPN >KQL02159 pep chromosome:Setaria_italica_v2.0:VI:30480214:30480744:1 gene:SETIT_014827mg transcript:KQL02159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYLYDMSCSWELYILVIKLKVLCNIIFAVFRHIYVHSDNRTAIPYLESMCVLPFT >KQL01721 pep chromosome:Setaria_italica_v2.0:VI:25191039:25196378:1 gene:SETIT_013382mg transcript:KQL01721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHHKPDEATSDETNISTQPLSYNPSQAPPVYKVGYPPQRNLTTEFTNTLRETFFHDNPLRQYKDQSGSTKFKMGLQFLFPVFDWSRTYNLSKFKGDLIAGLTIASLCIPQDIGYSKLAYLDPQYGLYSSFIPPLIYAAMGSSRDIAIGPVAVVSLLLGSLLQNEVDHEKNKEEYLRLAFTATFFAGITQAALGFLRLGFLIEFLSHAAIVGFMGGAAITIALQQLKYVLGIRNFTKETDIVSVMESVWGSVHHGWNWQTVVIGFTFLAFLLLAKYIGKKNKKYFWVPAIAPITSVILATLFVYLFRADKHGVQIVNNIKKGINPSSVHKIYFTGPFVAKGFKIGVVCGMIGLTEAVAIGRTFAAMKDYQLDGNKEMVALGTMNVVGSMTSCYIATGSFSRSAVNFMAGCRTPVSNVVMSMVVLLTLLVITPLFKYTPNAILGSIIISAVIGLVDYEAAILIWKVDKMDFIACMGAFFGVVFKSVEIGLLIAVSISFAKILLQVTRPRTALLGNLPGTTIYRNTDQYPDARHVPGVVIVRVDSAIYFSNSNYIRERILRWLTDEEEKVKADGLSKINFLIVEMSPVIDIDTSGIHALEDLNKNLQKRGIQLLLSNPGSAVIEKLRSSKLTEHIGSNHIFLTVADAVRFCTSKSMQEP >KQL00402 pep chromosome:Setaria_italica_v2.0:VI:1820704:1823801:-1 gene:SETIT_013411mg transcript:KQL00402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGKHNGMSLMDKRQLVYEVARWPQGAVEILQCWTRRDLLELICVELGKERKYTNVPKSKMIAYLLKLVSRNSGQLKDGNAEAMLSGQDNKDETQMKECEEQVQHSLKTANPDSSMRREARAGTVVCSNVACQAIRNAGDKYCKRCSCCICNKYDDNKDPSLWLVCSSDNPYSGCSCGISCHLKCALKNKKAGIVKNGCNKLDCSFYCVSCGKINWLMRSLRKQLAIAREARRVDMLCERLSLSHKMVKGSEHYKEIASIISSAVKTLEKEVGGALDQVSAIMGRGIVNRLSCSAEVQNLCSSALEIVDSTVDNILEFELNDNPQVLGPQPRILFDEITPFSLVIVLKYQDNIGKEHIDGCKVWHRSAKVLNYSSEPTCHILRPNTRSLVSGLSPSTEYFFKVLPFGSIQGFTEQEAKCTTRSLDQGSSQCSTQNSESVCLKEDSVQHQKKDLNLQNHQRAIQHDSPKGSTNSSENNLSCDRYSKRAKIARLDGASDNDESQLPPTSEVLPFPSSNSSPSEAPSKPDVLIGTPDSSSKNYVEQQYEYCVKVIRWLEHEGHMDSDFRVKFLTWFSLKATAQDRRIVGAFVDALIGDPPSLVAQLVDAFMDVVCIKEKPPQPQQKGACCKLWH >KQL00401 pep chromosome:Setaria_italica_v2.0:VI:1821369:1823793:-1 gene:SETIT_013411mg transcript:KQL00401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGKHNGMSLMDKRQLVYEVARWPQGAVEILQCWTRRDLLELICVELGKERKYTNVPKSKMIAYLLKLVSRNSGQLKDGNAEAMLSGQDNKDETQMKECEEQVQHSLKTANPDSSMRREARAGTVVCSNVACQAIRNAGDKYCKRCSCCICNKYDDNKDPSLWLVCSSDNPYSGCSCGISCHLKCALKNKKAGIVKNGCNKLDCSFYCVSCGKINWLMRSLRKQLAIAREARRVDMLCERLSLSHKMVKGSEHYKEIASIISSAVKTLEKEVGGALDQVSAIMGRGIVNRLSCSAEVQNLCSSALEIVDSTVDNILEFELNDNPQVLGPQPRILFDEITPFSLVIVLKYQDNIGKEHIDGCKVWHRSAKVLNYSSEPTCHILRPNTRSLVSGLSPSTEYFFKVLPFGSIQGFTEQEAKCTTRSLDQGSSQCSTQNSESVCLKEDSVQHQKKDLNLQNHQRAIQHDSPKGSTNSSENNLSCDRYSKRAKIARLDGASDNDESQLPPTSEVLPFPSSNSSPSEAPSKPDVLIGTPDSSSKNYVEQQYEYCVKVIRWLEHEGHMDSDFRVKFLTWFSLKATAQDRRIVGAFVDALIGDPPSLVAQLVDAFMDVVCIKEKPPQPQQKGACCKLWH >KQL00629 pep chromosome:Setaria_italica_v2.0:VI:3606451:3607297:1 gene:SETIT_0136772mg transcript:KQL00629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDANATGAAAAVRVLAVTRVAPVPTAERGAGAGEGGRVKLSFFDTPWVVLPPIQRVFLYDLPGDDGDAFQAAVRRLKDSLAATLALYLPLAGKLAYVAETGDVFVDCADDPGVAFVEAEADAMDVRRLATDEAHDIAAFLALVPGLDTAVLPAPVLSVQATRLPGGLALGVSVHHAVADGQAVWRFVGAWAAAAREGSPVTKALCAPHYDRGVVGVPNGDEFAREMLRKVAPNLPV >KQL01788 pep chromosome:Setaria_italica_v2.0:VI:26115167:26115694:-1 gene:SETIT_015980mg transcript:KQL01788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHPPACLLESRHPCIIPHISLVFGLEEKQKVGDEESLDSREKTSG >KQL02351 pep chromosome:Setaria_italica_v2.0:VI:31954682:31961126:1 gene:SETIT_013313mg transcript:KQL02351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDLEPLRAGAAALPSSSDPDSPATPRRSRMRELLRNLDRRLSNRSRGGEGAATAGRGGGEAGASPRRGEEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHVIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGIDFMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCANGCAAMMENNRERSIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLQAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVAFRQLVVWFTKTFDLIRKKFGLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSGSKDTFKATSPRHGYSSLLPPTDRNETDWRRQDGDDVELAILDVDPYHYGSNNEEMLLDDLKVDYN >KQL02352 pep chromosome:Setaria_italica_v2.0:VI:31954682:31961126:1 gene:SETIT_013313mg transcript:KQL02352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDLEPLRAGAAALPSSSDPDSPATPRRSRMRELLRNLDRRLSNRSRGGEGAATAGRGGGEAGASPRRGEEDSDELGDGAPPEWALLLVGCLLGLATGICVAAFNRGVHVIHEWAWAGTPTEGAAWLRLQRLADTWHRILLIPVTGGVVVGMMHGLLEIFEQIKQSLSSQREGIDFMAAIFPTIKAIQAAITLGTGCSLGPEGPSVDIGKSCANGCAAMMENNRERSIALVAAGSAAGIASGFNAAVAGCFFAIETVLRPLQAENSPPFTTAMIILASVISSTVSNVLLGEKAAFIVPTYELKSAAELPLYLILGMLCGVVSVAFRQLVVWFTKTFDLIRKKFGLPAVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKSASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNTAVAHPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVVNQSGSKDTFKATSPRHGYSSLLPPTDRNETDWRRQDGDDVELAILDVDPYHYGSNNEEMLLDDLKVSQAMSKHYVKVTPTLTIKEATRLMQDKQQSCVLVVDNEDFLEGIVTLGDIRRKGFEPSENSNSTGENSSTLDANSSPVSSCLTRGFQFHGNERGLVTCFPDTDLSTAKVLMEVKGIKQLPVVKRGAGRRNDGRRKVLGLLHYDSIGWCLREELERWKAIYQRENFQQTTVNGH >KQL03022 pep chromosome:Setaria_italica_v2.0:VI:35820301:35823054:-1 gene:SETIT_014665mg transcript:KQL03022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRRDFRNHRTALFDGIEEGGIRAPAYSSREIHEHENDQAMDSLHDRVSILKRLTGDIHEEVENHNRMLDRMGSDMDASRGFLSGTVDKFKMVFETKSSRRMATMVASFIAVFLLVYYLTK >KQL01314 pep chromosome:Setaria_italica_v2.0:VI:15191989:15192401:1 gene:SETIT_015628mg transcript:KQL01314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSKPTVSANITYNSFALTFLGDEPFAPTDRTFRSSLGYLLEGFGVLKSVSIGHRDIEAALDFYIFEVQDFDILIGHPIENFLLDASTLGKLNFQKNPSRRP >KQL01899 pep chromosome:Setaria_italica_v2.0:VI:27694658:27697774:1 gene:SETIT_013233mg transcript:KQL01899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLAMKCVLLLLTASLVLLLLNFQVLEGAPRLPSHERGGVVVVTRDASSSFVSRFRMLIGLDHHWSRHQRHRHDSEAPAPAPATSPHQARAPVPAPAPLPHTSHSRMPLKTRSHTAPVRSVARKLGGGGHTKLPKAAIVALATVGACLLVLGIAVAAVSLRRSRKIQKKPFKLLFHGSRSHRSPCATMKVSSHPSPDMLFLSSAVQRLEDYPILKESSESKSLCTPSKSAELIIRDYTVRTNVNLQSDEADSFHSVPCSRSSGGSNAESPLQICNKTVTDPSPSSPHADDSPSGSSYQSLSPDFRSHFSPKTPTSTASDHTHVSNTFCHPPAKQYYQETGKRANTSGSMAHPESPRIEQDNSNCYMNPSSGYKCTSHGTEITPSETNTAFSASSAKFNLDSKETSRSSAAEAEFKPSSATSVLKSPPPPPKSPPPPPPPNKHLSSLKGQNTGQPPLPPPLPIQVQVGKDGLPLPRLKPLHWDKVRAAPNRSMVWNDIQSSSFEFEFDEQMINSLFAYNFQGPVKTEDNKNKTLSSSNHVIEHHKLQNTTILLKTLNASTEQVCDSITEGTGLSVQQLEALVKMKPSEEEEKKLLDYDGDINILDPAENFVKVLLTIPMAFSRIEVMLYKETFDDEVSHLRMSFTLIKGACSELRSSKLFLRLLEAVLKTGNRMNVGTIRGGASAFKLDALLKLSDIRGADGKTTLLHFVVQEMVRSQGSKASDKISGTPGPCHATPAGREEYLEMGTEFVSELSNELANVKKVASIDLDTLKSSISNLSQGLAQLRRLVGKDLTCNDRNQNFLHCMRSFQTHAENTMQELKVAEAEVLQQVRELTEYYHGDIGKNESNLLHIFIIMRDFLGLLDRVCREMRGSKHIQYLNIVLPLR >KQL00422 pep chromosome:Setaria_italica_v2.0:VI:1968110:1971838:-1 gene:SETIT_013267mg transcript:KQL00422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRTRSGLVRKSPTVKAVRKDGPVINVFPDGCHGEDMPDGIRSGLVTGSPAIKIQSKDQPVIKGLADGWLKEGTPLRTRSGLVRGSLAAKAPVRAKLVTKGQPNGWTTNEKPTGTQSGLVRGTPAAKTQSKNERVIEGLPDGWLKEYRPRKTGSFQDPFYIDPVSGYEFRSLKDVHRYLETGDINQCIMRPKKSTTICDVHITESQPHTITSSQHTRPSTADKGIQCEILTSEGIMVLWEELVTPYSGNDTEHTVLPESENLKAMQGNGDKLETLEHTNVQPVSAQRGPRQTKSLKRKEQNVEVKSKKHKTSSAVTPVRVSPRLAALNVQQELSIEPEDEPINVNPVNLVRTMEENSNDQSQMSQSGTLNQIHGNLESTSNQLQLSQADTAKGTEAIQENTTNHSQPSQALTVDHTETNQEKTANQVESILADIPVLHDRSITDHADIPIQTMQECTTDPLTQADILNHIQTDQEYTASRLQSSQAGTVIPARPFQEYNIDYSQPGKADTINQIQANQETTCDEFHLSQVDTVTEMQIIQENMTRQSQMSQADAVGQIHIDLESTIGYSQPSKADTINQLQANQENTADQLHFSQVDCVTQIEIIQGNMCKNPQLSQEDSVDQIHINLEDTTNHLQPNYAENSMLQAGFSWAPEQNGGASITDFWKNVENQDSSVPMPVDGSTVASFPANVRFQNAAGAEEPALPVQSAAPETCSDQSGLAFQSLFGNAWSDPCIEFAFKTLTGDIPVLDDTIAVTDYFPEQQDLNKDPSPNCSASVLDNTRNHTQVDVNLPAPMPSDKLYNGSWFPPQ >KQL00421 pep chromosome:Setaria_italica_v2.0:VI:1967704:1971859:-1 gene:SETIT_013267mg transcript:KQL00421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRTRSGLVRKSPTVKAVRKDGPVINVFPDGCHGEDMPDGIRSGLVTGSPAIKIQSKDQPVIKGLADGWLKEGTPLRTRSGLVRGSLAAKAPVRAKLVTKGQPNGWTTNEKPTGTQSGLVRGTPAAKTQSKNERVIEGLPDGWLKEYRPRKTGSFQDPTITSSQHTRPSTADKGIQCEILTSEGIMVLWEELVTPYSGNDTEHTVLPESENLKAMQGNGDKLETLEHTNVQPVSAQRGPRQTKSLKRKEQNVEVKSKKHKTSSAVTPVRVSPRLAALNVQQELSIEPEDEPINVNPVNLVRTMEENSNDQSQMSQSGTLNQIHGNLESTSNQLQLSQADTAKGTEAIQENTTNHSQPSQALTVDHTETNQEKTANQVESILADIPVLHDRSITDHADIPIQTMQECTTDPLTQADILNHIQTDQEYTASRLQSSQAGTVIPARPFQEYNIDYSQPGKADTINQIQANQETTCDEFHLSQVDTVTEMQIIQENMTRQSQMSQADAVGQIHIDLESTIGYSQPSKADTINQLQANQENTADQLHFSQVDCVTQIEIIQGNMCKNPQLSQEDSVDQIHINLEDTTNHLQPNYAENSMLQAGFSWAPEQNGGASITDFWKNVENQDSSVPMPVDGSTVASFPANVRFQNAAGAEEPALPVQSAAPETCSDQSGLAFQSLFGNAWSDPCIEFAFKTLTGDIPVLDDTIAVTDYFPEQQDLNKDPSPNCSASVLDNTRNHTQVDVNLPAPMPSDKLYNGSWFPPQ >KQL00701 pep chromosome:Setaria_italica_v2.0:VI:4077167:4082333:1 gene:SETIT_013983mg transcript:KQL00701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGCSVSSLAARFAFFPPEPATYAVRKDEATGRLVASGVPRDNALDVLLVDTRRGSKVVAFYFRNPCARLTLLYSHGNAADLGQLYDLFVQLKVNLKVNLMGYDYSGYGASTGKPSEENTYADIEAVYQCLETEYGISQEDIILYGQSVGSGPTLHLASRLPRLRGVVLHSAILSGLRVVCHVNFTFCFDIYKNVKKIKKVKCPVLVIHGTDDDVVNWSHGKELWKLAREPYDPLWIKGGGHCNLELYPDFIRHLSRFIREMETITTKIRLKKIRQSLQPRKKAHRVNTATTTTFTTNCCCRIRVRKPTCPSCNFSCGCCGLRNCFTSRFFRCCPSCFSCGSCCSCRSCFKCCCCGDAR >KQL00379 pep chromosome:Setaria_italica_v2.0:VI:1708799:1709726:1 gene:SETIT_014469mg transcript:KQL00379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRSTSPAGSSSSGSGVFAPAAAAEQQPRLRGVRKRPWGRYAAEIRDPVRKARVWLGTFDTPEQAARAYDAAARKLRGPGATTNYPAADAKGAASGNTVLSEDASSSSSSSRDSPLAVTVAVAAAPPSLDLSLALPATPSSCQMFLDPTMMVAGAPALLQFLPPKSEEGQSLSCSSSSSVVLDAAPAAGLGLDLNLALPAQMVV >KQL00989 pep chromosome:Setaria_italica_v2.0:VI:7212947:7214694:1 gene:SETIT_013793mg transcript:KQL00989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDQLTAAARDLAAFSVLLVRHLGGHHQEETTAPNKLQTTNMAFSPMSFHAILSLLAAGATGAARDQIASFLGPAGADAHEALASKVASYVLATHENIDWVEEDDEEEAPTPPPGVWCAMGVWVDSSLVLKPAFATVAASKYNAEARAISFRNTPAQAREEINEWFESKTGGHLQGLLPESYISASTLLVLANALYFRGYWYDPFFPEMTRDGTFYVSPGHEVTVPFMERNHLHEWMQIGCHPGFKVLRMAYINRKREHCFSMYIYLPDDRDGLPDLVRELSSNPVALLHGKVVPDRKVLVGELQIPKFDVSLQADVSRLLADLGLDLTQFRPAGHSFSEMVALAEADDEDMLPPMAVPSIIQQCSVRVNERGTVAAAATELEILGFAMGKPEPVVDFVADHPFLFFIKEDHSRVVLFAGQVLDPSSPR >KQL01915 pep chromosome:Setaria_italica_v2.0:VI:27876558:27876887:-1 gene:SETIT_015901mg transcript:KQL01915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNHIIKHVIGRTYMEQSKLHPETEQKTEKN >KQL01377 pep chromosome:Setaria_italica_v2.0:VI:18259058:18263695:1 gene:SETIT_013637mg transcript:KQL01377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVTMLVAAALLLALLPRAADAAVGVNWGTVSAHRMPPPVVVDLLRANGIAKVKLFDADPAVLRALAGSGVQVMVGIRNEALAGIAASPAAADAWVAQNVSRYVAARGGVDIRYIAVGNEPFLTSYQGQFQSYVLPAVTNIQQSLVKANLASYIKLVVPCNADAYQSASVPSQGVFRPDLTQIMTQLAAFLSTTGAPFMVNIYPFLSLYQNSDFPQDYAFFDGSSHPVVDGPNVYYNAFDGNFDTLISSLSKIGYGQLPIAIGEVGWPTEGATTANLATARAFNQGLISHVLSNKGTPLRPGVPPTDVYLFSLLDEEQKSILPGNFERHWGIFSFDGQAKYPLNLGLGSPVLKNARDVPYLPPQWCVANPGQNLNNVGNHLKLACTMADCTTLYYGGLCNAIGDKGNISYAFNIYYQLQKQDAKSCDFDGLGMITYLDPSIGECRFLVGIDDRRVLARSSYSDSSSPVSCGLWLMTIWVFVWFTIVGSF >KQL02814 pep chromosome:Setaria_italica_v2.0:VI:34766576:34770378:-1 gene:SETIT_013552mg transcript:KQL02814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDEPLDPMFNRPLFPRTLGNPFELLGPGFADITAADIFGRGPQVTHPREVRQVPIEVKDTNTQTSSSGQGPVIEDVTGRESFYGPEVHGTVIVDEDDEDLPSTPSAHDPNIPSSTSHPNHFMPSAPPPVNVSDYNNDIEEEMIRAAIEASKREAEGMTNDLNSGETENTSCGRGDDELARAVSLSLETAERERALRQEGMHVTDPSPDLSDKEDTEGTSGMNERQGLTTGKVGTSEQTVDGENFQEDIEDDDEQPLVRQRSRRVRGRTTDPVEVVQMADSPPLSPQPHNIQNDHQHNGGFPSEEWGGISSEEHDEAVMLEAAMFGGIPEGAPYPFSFPTRGRSTHYPRVARPPSPALTAQRLLREQQDDEYLAALQADREKELKAVEEAELRRAEEAAAREAALERQKKEEEEKLKKQREEEELESELAAKQASLPKEPLQNDEGAVTVVVRMPDGSRRGRRFLKSDKLQYLFDFIDISRTFKPGTYRLVRSYPRRAFTDGESQMSLSDLGLTSKQEALFLEKISG >KQL02815 pep chromosome:Setaria_italica_v2.0:VI:34768096:34770378:-1 gene:SETIT_013552mg transcript:KQL02815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDEPLDPMFNRPLFPRTLGNPFELLGPGFADITAADIFGRGPQVTHPREVRQVPIEVKDTNTQTSSSGQGPVIEDVTGRESFYGPEVHGTVIVDEDDEDLPSTPSAHDPNIPSSTSHPNHFMPSAPPPVNVSDYNNDIEEEMIRAAIEASKREAEGMTNDLNSGETENTSCGRGDDELARAVSLSLETAERERALRQEGMHVTDPSPDLSDKEDTEGTSGMNERQGLTTGKVGTSEQTVDGENFQEDIEDDDEQPLVRQRSRRVRGRTTDPVEVVQMADSPPLSPQPHNIQNDHQHNGGFPSEEWGGISSEEHDEAVMLEAAMFGGIPEGAPYPFSFPTRGRSTHYPRVARPPSPALTAQRLLREQQDDEYLAALQADREKELKAVEEAELRRAEEAAAREAALERQKKEEEEKLKKQREEEVL >KQL02078 pep chromosome:Setaria_italica_v2.0:VI:29746258:29748925:-1 gene:SETIT_014411mg transcript:KQL02078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSAGPLLAALLLPALLLSAASAADSTNNPADQLVSLVNSNRTASKASSLSDNQGLGCIALQYIKAYQGQCSDVRDKKPPESSFAEKFAPDCGVQVATLSKITGRLVACQSKYPSPPEALDILVNDAKDLQVLHSKNHTEIGVAVSGTDGGGPYFWCVLFSGGKPATSFKVDGEVPKTAMHPGCFSGNNDDCAGPSPTNGAVPTIAGASRLVAALLFVMACALAL >KQL02232 pep chromosome:Setaria_italica_v2.0:VI:31113736:31115273:1 gene:SETIT_013925mg transcript:KQL02232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAVVFCEGLFGSWAMAAAPGGGGGWSWGHGGNEHGGAMEGMMDLEGGTAAAAAYWEVDASSSVMMKGPDQEPDGSSAAPPPPENGCGGGNAAAAGAGFSQEVLAVATTATVMSPPSAAAGRRKRRRTRSVKNMEEVESQRMTHIAVERNRRKQMNEYLAVLRSLMPASYVQRGDQASIIGGAINYVKELEQLLQSLEARKHARRHDPSPGAGAGDAAPAPFAGFFTFPQYSMSAGARSPAATADTPPADDEQGPNNADADGNNGGDDDDASGSRPSSVAEVEVTMVESHANLKLLSRRRPRQLLRLVAGLQGHRLTVLHLNATSDDARHMALYSLSLKVEDDCALSSVDDIAAAVHRIVEAIDQEEGGAELRSQAEE >KQL02816 pep chromosome:Setaria_italica_v2.0:VI:34796436:34798768:1 gene:SETIT_014191mg transcript:KQL02816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEHECTMNSYDGLINYDKDERAASDKQPEPVPRQQQKPSNFYTAAPQIHFKNNAAEFNPNAVNQVDTVKSAVGGFGSQLPRVPKEPALLDERALLACIVRAVPAGPEAAAIRISTTVSSTLGTICAQITGLLDLEERLPSAPDLRRRGCAAHGAGRGGVPPAALEAPASRRGVLSLLPCVAVTPVAQSTRQKRGPAADSRSSNAIPSGNGFTDQFNIIQRVSDVTISGKVRNTQDNGFSDEVRTGQPSMHAAAVNGVRHDKGASNIRHGYGGKQQGRSTGTAYNSRR >KQL02013 pep chromosome:Setaria_italica_v2.0:VI:29094978:29096295:-1 gene:SETIT_015717mg transcript:KQL02013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVNIEIHADVGNSTQVTTKGKGKPYCYRCLTKGHVNIECTTVIRCDMCYSDNHVAKACPYQKGAKPTASLCGYAVEGLGFYYIPYAGKQKAQSENKVAMVKVTEGSITVTQVTVELDYLLPSYKGTWTVVEKGKNQFRTTFPSSDELQRMVLWGPVQAKTIQATMEIEESNDTKEYKYEIPKVWIQVRGLSKELREFPIIWAVGSILGVTKMVAVLDPELIPDLVEVVIGDFVYELQFRVEMEENADNPLPINMDIDPKTDKDDGGDGNKDVDPEGPQGKDIPPEMKKGNKSDMSASANTPQSGVGKTVQLTSGISTPKVLLSQTGPDDSWMAEPISGVPHGQKANAYATPTRSSKRSAAASDGDSLEKASKLKARKNLDVSNIFRTSTMWVYL >KQL02964 pep chromosome:Setaria_italica_v2.0:VI:35523884:35528289:-1 gene:SETIT_013186mg transcript:KQL02964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSDDPFGVAAMMNFDGYSEVCSPSVADQIFSMLNDPSAAQQMFAMWSSLGSSPCTSAVREDMPFDTYSEPVDMTAAPAQRINSASALGPTGVNRELKVSDELVPNNGSQQGTNIIPRSVGNVLADKMLMALSLFRKSLSDGVLAQVWMPIEHNGRIVLSTFEQPFLLDQDLAGYREVSRNFLFSVKEEPGLHLGLPGRVFISGVPEWTSSVLYYSKPEYLRMDHALRHEIRGSLAMPIYDPSKGSCCAVLELVTNKEKPDFDAEMDSVCNALQAVNLQTATDRSSQKVPLFTSNMLHVYSENQKSAFIEILDVLRAICHAHMLPLALTWVPTSNGIDNGYCVRNNIGVDSQSGKTVLRIHESACYINDAKMQGFLHACAERHLEKGQGIAGRALKSNLPFFSPDVREYNIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCKGCGEQQMLLNNLSSTMQRICKSLRTVSEAEADSVSASAASMNKKSNGSLPTGNSESSSHDDQPITESAFQDLSLADKQEQDIESDQAQASSMRVAEKKRSTSEKNFSLDVLRKYFSGSLREASMSLGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPAASLPDKMPFSACDSLPTSSVGKTMEEKSSPKSEQGFSSPDGWQRGDCQFHVSNISKREGEGDEVRMLANNNNGSRNYAPDVAKFTPHSNSEDAQGPLYPIVVNSLRIGETGYTNSPTSLHPSIDDQTMGRNSSFVQQADVTMVDGHDTKEHTHPSTSGMTDSSSGSASSQPTFKGNPGYVIKDRSSPALTVKATYNGDTVRFKFLPAMGWYHLLEEIAKRFRLTTGAFQLKYKDDEDEWVILASDSDLQECVDILDSIGSRNVKLQVRDLPCLISSSGSSSCLQVTGRET >KQL02965 pep chromosome:Setaria_italica_v2.0:VI:35523884:35529078:-1 gene:SETIT_013186mg transcript:KQL02965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSDDPFGVAAMMNFDGYSEVCSPSVADQIFSMLNDPSAAQQMFAMWSSLGSSPCTSAVREDMPFDTYSEPVDMTAAPAQRINSASALGPTGVNRELKVSDELVPNNGSQQGTNIIPRSVGNVLADKMLMALSLFRKSLSDGVLAQVWMPIEHNGRIVLSTFEQPFLLDQDLAGYREVSRNFLFSVKEEPGLHLGLPGRVFISGVPEWTSSVLYYSKPEYLRMDHALRHEIRGSLAMPIYDPSKGSCCAVLELVTNKEKPDFDAEMDSVCNALQAVNLQTATDRSSQKVYSENQKSAFIEILDVLRAICHAHMLPLALTWVPTSNGIDNGYCVRNNIGVDSQSGKTVLRIHESACYINDAKMQGFLHACAERHLEKGQGIAGRALKSNLPFFSPDVREYNIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCKGCGEQQMLLNNLSSTMQRICKSLRTVSEAEADSVSASAASMNKKSNGSLPTGNSESSSHDDQPITESAFQDLSLADKQEQDIESDQAQASSMRVAEKKRSTSEKNFSLDVLRKYFSGSLREASMSLGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPAASLPDKMPFSACDSLPTSSVGKTMEEKSSPKSEQGFSSPDGWQRGDCQFHVSNISKREGEGDEVRMLANNNNGSRNYAPDVAKFTPHSNSEDAQGPLYPIVVNSLRIGETGYTNSPTSLHPSIDDQTMGRNSSFVQQADVTMVDGHDTKEHTHPSTSGMTDSSSGSASSQPTFKGNPGYVIKDRSSPALTVKATYNGDTVRFKFLPAMGWYHLLEEIAKRFRLTTGAFQLKYKDDEDEWVILASDSDLQECVDILDSIGSRNVKLQESVEATAEVSKTFDEKIGKYCDVTRMSLVYAGTGNVLKV >KQL02966 pep chromosome:Setaria_italica_v2.0:VI:35524731:35529003:-1 gene:SETIT_013186mg transcript:KQL02966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQEIRSATSSMTSVPKPLKFLRPHYGALKSYFETMPESELKKYMADILSVLALTMSVEGERESLKYRLLGSEGDIGSWGHEYVSPMGPSDDPFGVAAMMNFDGYSEVCSPSVADQIFSMLNDPSAAQQMFAMWSSLGSSPCTSAVREDMPFDTYSEPVDMTAAPAQRINSASALGPTGVNRELKVSDELVPNNGSQQGTNIIPRSVGNVLADKMLMALSLFRKSLSDGVLAQVWMPIEHNGRIVLSTFEQPFLLDQDLAGYREVSRNFLFSVKEEPGLHLGLPGRVFISGVPEWTSSVLYYSKPEYLRMDHALRHEIRGSLAMPIYDPSKGSCCAVLELVTNKEKPDFDAEMDSVCNALQAVNLQTATDRSSQKVYSENQKSAFIEILDVLRAICHAHMLPLALTWVPTSNGIDNGYCVRNNIGVDSQSGKTVLRIHESACYINDAKMQGFLHACAERHLEKGQGIAGRALKSNLPFFSPDVREYNIEDYPLAHHARKFGLHAAVAIRLRSTYTGNDDYILEFFLPVNCKGCGEQQMLLNNLSSTMQRICKSLRTVSEAEADSVSASAASMNKKSNGSLPTGNSESSSHDDQPITESAFQDLSLADKQEQDIESDQAQASSMRVAEKKRSTSEKNFSLDVLRKYFSGSLREASMSLGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVINSVHGVDRSLQYDPATGSLVPAASLPDKMPFSACDSLPTSSVGKTMEEKSSPKSEQGFSSPDGWQRGDCQFHVSNISKREGEGDEVRMLANNNNGSRNYAPDVAKFTPHSNSEDAQGPLYPIVVNSLRIGETGYTNSPTSLHPSIDDQTMGRNSSFVQQADVTMVDGHDTKEHTHPSTSGMTDSSSGSASSQPTFKGNPGYVIKDRSSPALTVKATYNGDTVRFKFLPAMGWYHLLEEIAKRFRLTTGAFQLKYKDDEDEWVILASDSDLQECVDILDSIGSRNVKLQVRDLPCLISSSGSSSCLQVTGRET >KQL02633 pep chromosome:Setaria_italica_v2.0:VI:33648186:33651968:-1 gene:SETIT_014536mg transcript:KQL02633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDLRVHFKNTRETAFALRKLPLAKAKRYLEDVMAHKQAIPFRRYCRGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNADVKGLDVDNLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPDNIVAPRKQ >KQL02634 pep chromosome:Setaria_italica_v2.0:VI:33648186:33652166:-1 gene:SETIT_014536mg transcript:KQL02634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSQEAGNPTKSAKAMGRDLRVHFKNTRETAFALRKLPLAKAKRYLEDVMAHKQAIPFRRYCRGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNADVKGLDVDNLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEPDNIVAPRKQ >KQL00831 pep chromosome:Setaria_italica_v2.0:VI:5501181:5502755:1 gene:SETIT_015443mg transcript:KQL00831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPEIFKATTDFLRRENLLHDTRGVAVEKQIGMFMAIGVLKKRFPILKIAAVAIAFHNIIRGKNGQDGWLDDQPEYIPTDQFVDVPKGDNNYPSEAEAAWNSAYEKKGRRIITSKFNEKFPVAHFTKKQVQEKEKELKANYKALRDAKRDSGNGWNESLCMILTEPKV >KQL00171 pep chromosome:Setaria_italica_v2.0:VI:323162:323522:-1 gene:SETIT_015610mg transcript:KQL00171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTALQQKIVELESKLRQPHQSESEVAVLKQMIEEMELKLKATPIKIKTFIHFI >KQL00870 pep chromosome:Setaria_italica_v2.0:VI:5818984:5820530:1 gene:SETIT_015746mg transcript:KQL00870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIDANPAAFAAFGAAGVDDGFQPLNAEDVRSYLHKSVDFIYDYYKNVESLPVLPGVEPGYLRRLLQSVPPTSSAPFDIAMKEVREAVVPGMTHWASPNFFAFFPSTNSAAAIAGELIASAMNTVGFTWQANPAATEMEVLALDWLAQLLRLPASFMNRTAGGRGTGGGVILGTTSEAMLVTLVSARDAALRRIGSDGVAGITRLTVYAADQTHSTFFKACRLAGFDPANIKSIPTGADTDYGLDPAKLLEIMEADVAAGLVPTYICATVGTTSSNAVDPVGAIADVAAVACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLWVRDTHRLTDSLETNPEYLKNDASESGTVTDLKDMQVGVGRRFRGLKLWMVMRTYGSAKLQEHIRSDVAMAKMFEESVRADDRFEVVVPRNFALVCFRIKPQGAMTEEDAEEANRELMERLNRTGKAYLAHTAIGGKFVLRFAVGSSLQEERHVRSAWELIKKTTTEIIKGEM >KQL01299 pep chromosome:Setaria_italica_v2.0:VI:14338173:14340047:1 gene:SETIT_015138mg transcript:KQL01299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPASAALLGPPVATLNTNTAAASEAPRSGRSLAYASSGDPCVDFFFKVVPGATSGADMAALLDVAWSRDAHAALRFVCHLRGVRGLGKGDREGFYAAALWMHARHPKTLAGNLATFTRFGCLKDLPEILYRVLHGDRMEEEGDPRKQQQDGRHGTKRRRSDGEFQAAKEKKRQEEAQLARTALARYESDETFRFLYDRVAEMFAEMLKSDVEHLRAGETAKIGLAAKWCPSLRSSYDRATLLCEAIARRIFPRESSQEYLNISDKHYAYRVRDRLRREVLVPLRKALDLPEVYMCSCKFKELPYARVASVAMRKYKEVFQKHDKHRVTSFFDEVRTGHARMPADGVLPHELITGALKGEHDEVAELQWRRMVASLSAEGLLANCIAVCGLSGAAAAVADQPASAAIALGLLISELSQEPWKGRVITFDETHQLHKVHGTNLKEKLRPLVAAMGAHKKGANLQGVFSKILQLAVAGGLRKDMMVKRVFVLSDMDFDGWTGASSAWKTEYQGICDKFAAEGFSVPQVVFWNVGTSKASMPVVAAQEGAALVSGYSKNLVRLFLEADGELTPAAVVADAISGPEYDALEVFD >KQL01479 pep chromosome:Setaria_italica_v2.0:VI:20389802:20390796:-1 gene:SETIT_015506mg transcript:KQL01479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGDGPHGRPQRPLPRCDPPSPPLPGYLARAAAVCRHWRRVASSPALLRLFRRLHPPPLLGFFICNDGFIVEKVNGVLIGEILSPTFFTSNPEPPGLSGVVSRCINFSLDSLPDVERWALADTRDGILLFCASFSAHDRLQIPSHFVVCDPVTGRSVLIGDAPLHESAYLGASLLLTDGRLSFEVIVVTYFMWGPRLLVFSSHSGGWTVHPYADVGGRSIMTMLGSVGYDMHANGCVYWVINDEEHEASEYLMALDTRLDSIHAGVKLTRLDARWFDAKIVALSVTWVLTWQGAWRQRQ >KQL01662 pep chromosome:Setaria_italica_v2.0:VI:24446806:24453840:-1 gene:SETIT_015445mg transcript:KQL01662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESVEFFEGPSNFTDLVDHAMRKYGCKVDEMTLRGRFDYGKVRAHYVLMNLASDSNWKNYKDVVHEENVACLEVIVEIVRMPGPNVVLRDEVAVVNRNGTQELEILQHVLGETKSAFDLAIANDDFPNDTFERDETNIDDDDVSMGSEDSEFEEDGVNELRLLKERDAELPSVPNDKDIRIPFSESPVIKKGMKFKSLEELKFFLTDYAVRLHRPFSVVHSNKNLRYNVMCKQGCHWRVWSQLISSTKQWRISNVVQPHTCRPSQPKRVHVQCTAKYLGRHILGIIRKDSETLIPSLVESIFAFSGYHVKYSKAWRVKQHTVALLWGDWKVSYGMVPRVLTAMVYYNPGLNVLKHVLQRVFWCFPQCSKAFQHCHPMILVDGTFLTEKYKASNTRPGRICLTPVRVIALVCFARRASQSNLLIDKERKVLNFQRFRSAKDRHHGLLNCANDHMDGFPPLVHRWCTRHFAANMSRRQKNDRVIGKLKTLCKVHTEIEFSEKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMTTNYSKSLNVVFKGIRSRPVSGIIEYSFEKCNTYFVDRWKKAYKFLSQVELRSGHHLAEPYGPEWMVYSIRSCATTNVGGESHGGRHYRVDLNKVSCTCNVPQLLHLPCSHFIIACKARSLNYESPLYMSPLYFREHTVRIWESSFQPYLDPSQWPTYEGVGYVPNHNLMRNKVGRRLKKRLRGDMDVASRDSHLPPPVWRDDHHMQDIAMILDLPLEGHPVMGIIQNENWRDMVAMYIGIRLPEPKDGDNSKKTSGVSSAWLREHFNVCPQGANDEVVQRYARVWLWNFVSTFLLPDAAGNTVPWMVLPILGQDWDNIALYSWGSTVLAWLYRQLCEACRRTARDSNVGGCTYMLQIWIWERMPVGRPCRLRVDIELWRCMTPMILYYVVEFHMLHRVMRQFGRMQPCPPLELSTLQQLHRIDLRKRYKENDWRLKHAQYLIQWENMQRYNPEGPSRRSRGKAPASPQASKESEGNRSEDGDPTYGEELEMSGMIDASPVTQTQGESSQSNSQSVIHIHAFFSIFS >KQL01564 pep chromosome:Setaria_italica_v2.0:VI:21778638:21781021:-1 gene:SETIT_014381mg transcript:KQL01564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPAVRIPVFGLVRLLGLAAAAGILFWAVHYRGGMALSTNEESKLSIFNVHPVLMLIGLVALNGEALLAYKTVPGTKKLKKLVHLALQFFAMFLCIIGLWAVWKFHDERKIDHLYTLHSWLGLTCIIFFSLQWATGFWTFWYPGGSRSGRASLLPWHVFFGIFIYVLAIATSVSGLLEKSIFMQSTKMIGRFSTEAMFMNSLGMLLVVLGALVILAIVSPGPGKIDTYRGSSE >KQL02968 pep chromosome:Setaria_italica_v2.0:VI:35544109:35544813:1 gene:SETIT_015696mg transcript:KQL02968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCHLRSASVPSSPRSNKASIEEQLQSLKATVSPSATVETMVDGLAKLGSICSLINELTCLPSSQRQQRKALEEELDCSLILLDLCNAMQESLLELKATVQEMQLVLKRGDNAAVQAKVQSYARSAKKAQKQFKKINVKAAPDMEGCRVVKLLAEAREITASMLESTLHLLSKQITATSASKWSLVSKAFQKRKVVCEEEQLQVLELDIVDLENGVEALFRTMIQSRVSLLNTLT >KQL02289 pep chromosome:Setaria_italica_v2.0:VI:31497865:31500925:-1 gene:SETIT_015106mg transcript:KQL02289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQTRSRLRLKDASLHPPSLPTTTAPPGSPGCSSTGKLAYVADLRNATTASSETWEGHEIQVTLRLARPPAARLLPVRLLPRAGGSTSCSPWSRGSSPRRTTRPPPHHRHLEKRHPEGRRLLRLPGRRRGSRRGERLPRPPSPYGFDPYSVRILRSGRTSDTTAGGFYFVAGLGRAPNAYAMDPGEFVLCLHNSNFPTSWRSIGASMAAASTMSTARSSRSEVTPAPWASSTSGGASSSATCSRLSKANPPLRYVTLPPPLLPAEDSGDVRNLLGTSPSSNVVAPLSMSRCSLDGSLAQPAGVATSRMAVSRTWSTVAADCSKGCRDPGCRQESSGIPVDGNPHFELLSKCPKLLDHGGEPLESSFKGLDICQPTLSLDDDDDDDDDIV >KQL01445 pep chromosome:Setaria_italica_v2.0:VI:19806680:19807393:-1 gene:SETIT_015475mg transcript:KQL01445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGLNRRLRAAVIITAAIAVADVIPAPLPAAAFQRQPEVVPLTPRPEADNAVFKGCFITDKNGRKWPCSRVNNPAANLTMFDCNIENRMGTEMYLDCDDGDQRAYYYSPGAMRYERYASVFDPAPRPRYLSRRRTSPTVACEWGCAGNVMTGVVVWDEQWPEAWSCREVGGDGRCRIVFENNKEVVLVTRAGRRVLGDLPIKECSKNWWGYGGWLPFGLGCTYPKHDQNYYGTVKS >KQL03061 pep chromosome:Setaria_italica_v2.0:VI:35994783:35995261:1 gene:SETIT_015914mg transcript:KQL03061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGKLSLGTKFPWEAHLEKSQEPDRIFCSQRSPKRTYVGALFCFFSHGTEKFKSVLKSE >KQL03014 pep chromosome:Setaria_italica_v2.0:VI:35790928:35791800:-1 gene:SETIT_015786mg transcript:KQL03014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRYEVEVTVGSASNLKNVNWRNGDLKPYAVLWVDDGPKCSTRVDLDNGENPVWDDKLVVPLPPPSAARLDDAVLCIDVVHANAAEGVKPLVGSARLPLRDVLDDAGIGAKVSRTLTLKRPSGRPQGRLDVRVAVREAARYYDPNPYPAPGYGNPAGAGSRDPYYAGGGQAPYGQPAYAAPPAGYPAGGSATYGGGYGAAAAPPPAAYGAVGGDPAAYATAAPGKSSKMGMGTGLAVGAAAGVLGGLALAGGASYLEDKLEDHVAEKVEEDLYAGGDDGDYDDDY >KQL02141 pep chromosome:Setaria_italica_v2.0:VI:30249643:30250968:1 gene:SETIT_014982mg transcript:KQL02141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVLLLILLVPAMSLPVAHCDDNDVGFQLKLTHVDAGRSFTKLQLLNRAIARSKARVAALQAVAAVTSPAVDPITAARVLVTASTGEYLVGLAIGTPPLYFTAIMDTGSDLIWTQCAPCLLCADQPTPYFDARKSVTYRAVPCRSTRCGQLYYPACFQKVCVYQYYYGDTASTAGVLANETFTFGAGNSTKVRAPNVSFGCGSINAGDLANSSGMVGFGRGPLSLVSQLGPSRFSYCLTSYLSPTPSRLYFGVFANLNSTNTSSSSGSPVQSTPFVVNPALPSMYFLSLKGISLGTKRLPIDPLAFAINDDGTGGVIIDSGTSITWLQQDAYDAVRRGLRSAIPLPAMNDTDIGLDTCFPWPPPPNVTVTVPDFVFHFDNANMTLPPENYMLIASTTGYLCLAMAPSGVGTIIGNYQQQNLHILYDVANSFLSFVPAPCDII >KQL00408 pep chromosome:Setaria_italica_v2.0:VI:1877138:1877780:1 gene:SETIT_0135052mg transcript:KQL00408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLIKLFNDWEIQLLVLLSFTLQLFLFFAGSLRRHWTNMFLRFSIWMAYLGADMVAVFALGYLSRHVGSTTAGRDTLGRAEPLAFFWAPFLLVHLGGQDTITAFSMEDNNLWLRHSLNMVVQVVLTTYILWMSIGRHNMQLLISGIFIFAAGVIKYGERIWSLKYGSMESLESSTGNQYMRQLARSVDVDAGYPRTVCTGLRSMPRVHEVFTS >KQL01069 pep chromosome:Setaria_italica_v2.0:VI:7852013:7853861:-1 gene:SETIT_013602mg transcript:KQL01069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPKSTNRRSRVLAAAAALHLLLLAPAPAAAFNYADALAKSIIFFEGQRSGKLPPGNRMTWRGDSGLRDGAQHNVDLTGGYYDAGDNIKFGLPMAFTTTMLAWSVADFGKFMGAELPHARAAVRWGADYLLKAATSTPDTLYVQVGDPNQDHRCWERPEDMDTPRSVYAVTKDTPGSDVAAETAAALAASAVAFRRADPAYSSRLLHAAMRAFELADRHRGSYSDGSLGGAVCPFYCSYSGYQDELLWAAAWLHRASNNASFMSYVRANGLQLGAGDDDFSFSWDDKRAGTKVLLAKGFLRRNHNLPGLELYKAHSDSYICSLVPGTASFQPSQYTPGGLLYKEGGSNMQYATAATFLLVAYARYLRSAGAGVVCGGREVAPAELVALARRQVDYVLGKNPAGTSYMVGFGPRYPRRLHHRGASMPAVREHPGRIGCDEGFRYLHSGEPDANLLVGAVVGGPDARDGFVDDRDGYGQTEPATYINAPLVGALAYFAGTAKY >KQL02944 pep chromosome:Setaria_italica_v2.0:VI:35427470:35428938:1 gene:SETIT_014999mg transcript:KQL02944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDVEFSDASSDDDCSESDGDSLSDDEVVVPYAVLTEQEIGQRLSQEVAATAELFSVPADWALALLGHYRWNPLRLQDEWFADQDRVRDAVGLGDAAVGSVPGAGGEELMTCAVCTEGKPVEEMASAGCAHYYCHDCWRGYVAAALDDGARCLVLRCPDASCSRAVLRGMAERFATGAGRDAYARALARAYVEARHLWFKPCTAPGCGCAIEISRSGGDGDLACRCGNAFCWRCGGSPHWPASCAAVARWAREADEASADWILLNTKACPRMVCAKPCGYFFCWGCLGQTAVSRMGEHDMCGKDEEWTATGEEVRAEQALDRFLYYQDLWMAYLRRRRDAERELRRLRDERLPRAGGPAVRGYLEAVAAAWEQVAEGRRVLGNACAHGQSLRAAADPAARELFEYQRGEADEALERLRLRAIKWPQQEDLVGFGAELAKLTGVTRQCIENFAKAVEEGTPELCAPVSCGESGSG >KQL00665 pep chromosome:Setaria_italica_v2.0:VI:3879373:3879834:-1 gene:SETIT_015141mg transcript:KQL00665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PHQTRRPTVLPRGRDRDRLCAVCCRRRGACGRTDRALGWPAIGRRRARGQHAWLLHPLSLGILNVDRVTSLCFSFFKRSGPVHPI >KQL01973 pep chromosome:Setaria_italica_v2.0:VI:28568578:28569370:1 gene:SETIT_014686mg transcript:KQL01973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAADSDADYHSSDGSVMPDVLAKGREACYKARDAFYACVEKHADKRPTEIATMGLLYPADCKKSRANFVSNCRPTWVKHFDRQYCAKKRVQRLLDGDEDRRGPISLPQPYTFKQ >KQL01878 pep chromosome:Setaria_italica_v2.0:VI:27464393:27466187:-1 gene:SETIT_015272mg transcript:KQL01878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIISLKYWKIPWEGPPMAAAPKREPELGKRWGNGLRRDEEEFGNAPLTGGWIRVVTDPKPSSWWNSSSRWPAGPSALDLAGGRTDTDCGIKKMPPGFTEAWSMTTHSRCLFNLQTSILMSMEPSMLGCHSSYVAATFSRARTRFSLASLCSALLLLHLLLLELVDDDLCLRIHGAMSSLKAREVLVWRRFVAQLPLTSGCSHCGPTDPLLLMQVTQFSRGGFVVGVTWNHSVADGAEMAQFLQAAGELAVGMSSPSISSDRWDNSLPIILPPSMLDPLDLSFHGITIPSSSTDRIKAEFCDHRFGNGRACTAFKAIATVLWRCRTRATTAITDGDPDSLTLLSFARVMAASGVVASAGIVDLVDMIKSAKEEIPDRFKKDEDDRDQKLQPSIDQQIVKDRYNTLMMSSWRNVGFERADFGGGTPARVMCHLRRRWGFPICVINPPWKGKDGHDYF >KQL00644 pep chromosome:Setaria_italica_v2.0:VI:3719103:3722204:-1 gene:SETIT_014112mg transcript:KQL00644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRPPSRCSARPRSPATAAVWSLPAAALLLVLLRWPPMGSYPPVSPRGGSASVPARRAELYAKMARDLDERGAAFLRGGETSQSLTLTDLFDTADDGAVVPRLKAADPPVRANVLYLDPEFAAVISKAVKEVFLPYFDQVIWFQNTSMYHFSLFHASHHLEPIVATDDEIEAEVEAVKKVTKTICPLKIVLDRVVLTSTGVLLGLWQVESGTDPAEIRSRLREALPRAPQKQLYDSVLLHTSFARILGHPKLPHEQSASSFDHTKFFHGLVAQVNEKIRGFQARVSELWYVEEYDVLALALNGKMKVRRLHLDCNR >KQL01176 pep chromosome:Setaria_italica_v2.0:VI:10227308:10228740:-1 gene:SETIT_014224mg transcript:KQL01176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRSEGSTSPAAGGAACAVCGGVAAVYCAADAAALCTPCDAAVHAANLLASRHERVPISMAAVAAASGVYDDLFAPDDVDAASSWPAAVAQGQGSPQNGSSSASFTTSDSGTEGRSLFDLLSDVDLAAACVTGGGGYLPDGVAPVHHGGAPLWAQPGMAAAWATTWSPADAAAVVVPGAAAVVAAAAERVARVQRYREKRKNRKFQKTIRYASRKAYAEARPRIKGRFVKRAAGAATSDNAAAATNASDSSKFWLSFSDDARDDGVGFYVDAAAYGVVPSF >KQL00661 pep chromosome:Setaria_italica_v2.0:VI:3841000:3844501:-1 gene:SETIT_014291mg transcript:KQL00661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATANAHHLLVLPHRLAPIRHNSSCSPLLHPASRCSVTGRPPVQLQATPARAERAAKFAARVAFNPSGNFDLSLSMEQDDTPQVQPPPPPTEGRFEIVINKDIIRALDLSPVQEALGDLSSLTAADARNLLDRTVGFTINYEREDEYDTRELSEFPDIRLWFVRLDAAYPWFPVVLDWRAGELARYAAMLVPHQMSMRLGVVFNPEALELFVMKKVFAVDAWLKQQNHPKPRLKTADMGRMLGYGIGDELFDLIEKYPVHRS >KQL02213 pep chromosome:Setaria_italica_v2.0:VI:30915448:30916082:1 gene:SETIT_015997mg transcript:KQL02213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSTSLLNMGTSPPFRPHARRGPPADQAFLKPRSPGATQQAEDDKGSCSAGWELLGRAPAACAAAGGFVRSAL >KQL01397 pep chromosome:Setaria_italica_v2.0:VI:18782142:18793837:1 gene:SETIT_013117mg transcript:KQL01397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDCYNPHAGLLQSNVPLVNYCRQLASTLSVHETCFTQTADSLFFMHDGLQQARAPIYDVPFATEVLRTGSYRKLPKCIEEIGTQNMLLQDERRPTLKKLNTLVRAKLLEMPLPKEISEVSVTDGIANLRVDGEFKVLLTLGYRGHFSLWRVLHMELLVGEKTGPIKLEETRRYALGDDIERRMANTDNPFMILYTVLHELCISLVMDTVIRQANVLRQCRWKDAIKSELISDSSAAQGGNAPVQLGQDGELDLSGFRIPGLKVHYWLDENSSGSAEPDLSPFISIEAGKDMLISCLHSTFILDPLTDKEANLSIDLRCIDVEALILKAIACNRHTRLLDIQRELSKNIQISQSPTDVVLKRGVHVEGLGKKVDGKNFENSCTDEVLQIRAYGQLYIHLGMNIRSGRLLLQSSKNILLPSALLESEEALNKGSSTAIEVFVSLRTKSILHLFAATGRFLGLKVFSQSQVTLKIPKSVLHGSDFVVMGFPQSANAYYLLIQLDNYLRPVFHLLETQTDESNNSNADANQVIRFNRIDISHMQIGEDEYSVNLFDTGKVLQDIEGRSFRPSGNGKLLPLTPSFSPSFSSLVDEVFEHNTSSSTIENQLLPPSSLPSTHLSSFQVGPEGLSGTACLPELDGNLMHSDINNSEVTPDVSLNSDPLSNSSGPARISSMSSDCKSGHGLSSLRSLGGHNIVHGSKSLQLFYSDGQEVLGNISTTKLGGPSRKRSLSEILLNTPSLQQSRISNGPRKRRKASELMKDGVLYKEYSSGKPLTYGNIFTEENHCVTSAIYASVLRHVIKHCSVCIKYAQLTTQMDSLGIPYAEEAELGTPSSNLWLRLPFLKEDSWKHVCLRLGKTGRMSWDVRINDPYYGSLWKVHGGSTATEWGIGVRIANTSEIDSHITFDDDGVVLTYHTVEVTSVHRLVSDLQRLSNARAFSCRMRRLIGVKVDDKRDEKVTSAGTNLLPARKGSKHRLSDQIRKIFRIEAVGLMSLWFSYVAAPMVHFVVEWESGNDGCTIHVSPDQLWPHTKFLEDFVNVGEVASFLDSIRLTAGPLLALSIAIRPAKMPVTTTSYSSVPKQNIFRAQGAPANDSSSTTMQNVSASLGPSAAHITQNVSAPLSPSAAHSNNHNLQSSVLSATGRGGPGLVPSPSLPFDVTVVLRGPYWIRIIYRKIFSVDIRCFSGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQGLNAFESNYMSARHSGAQLKANVNTASGSQQSAPALNRFHGAHGVAISRPTANVGNQVAPTFTRTGSAMVASSKFASGIAGAPHLSPGSNLPVHMKGELSSAFTGLGDDGGYGGAWVPLAALKKVLRVILKYLGVLWLFSQFPELLKEILGSVLNENEGALLNLDQEQPALRFFVGGYVFAVSVQRAQLLLQVLNVKRFHHQQQKQQQQAQSPAQEELATSEINEICDYFSRRVACEPYDASRVASFITLLTLPISVLREFISLIAWKKIQSQAHGEIASAQRVRVELCLEKHHGSESNDHTESSSSSKSNIKHDRANRSVDFGLTFVLDQALKHHTNIGGAAWLPYCVSVRLRYNFGDNGHVTFLAMEGSHGGRACWLQYEDWERCKQAVDLAVESANGSPATGEIGQGRLRLVAEMIHKQLQLSLQQLRNGQLSAS >KQL01496 pep chromosome:Setaria_italica_v2.0:VI:20581691:20587805:-1 gene:SETIT_014152mg transcript:KQL01496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPNPSIGPTQSNPAPHDQMGPGDGAMVPHNGGNSNPNMAARQRLRWTNELHDRFVEAVTQLGGPDRATPKGVLRIMGVPGLTIYHVKSHLQKYRLAKYIPDPSTDDNKAEKKDPGDLLAALEGSSTMQISEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLQKIIEEQQRISGAGASRDTSSEQLPDSERTNPSTPVPTSESPLQAVPFSKDNGSRVEPMESASHDDLPHGEPVTPDSNCRPGSPTLSPKHERAAKRQRGSSDGTPIADGDFALPHHIFESSTDSEFQQCSMPYSSH >KQL02801 pep chromosome:Setaria_italica_v2.0:VI:34673294:34673798:1 gene:SETIT_014737mg transcript:KQL02801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTVSGGTMFTFSWAWGGADSSFLGNGSGNGHSPNPHICFYLRAQAIGCKLRRRPVCSRPAIPVRMQCMRLLLVPAFKILYGVCVRRSLESRY >KQL00162 pep chromosome:Setaria_italica_v2.0:VI:253916:256399:-1 gene:SETIT_014041mg transcript:KQL00162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGGGAADSRVETISRLAQWRIESLGPCSYRRSEPFRLGIWNWYLSVEKSRSVCIRLFPEPGRVAKDQPPLARFILRVTCPGPPRRSCASPVQEQLLRTSDDFVWQVDVMSHGRFTIDVEFLDLRVATNNATESSSSIWPNEGMVQRIASKSTLGCLSRLLTESIHTDVTINTTDGVLKAHKAILAACSPVFESMFVHDLKEKESSTIDISDMCLESCSALLGFIYGAIEPEQFWKHRLPLLAAANKYGIGDIKGCCEESLLEDINSSNVLERLHVAWLYQLERLKKGCLSYLFVFGKIYDVRDEIHSFFHHADRELMLEMFQEVLSVWKPI >KQL00370 pep chromosome:Setaria_italica_v2.0:VI:1659663:1662428:-1 gene:SETIT_014697mg transcript:KQL00370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVACTGLIWSRYSLVITPKNWNLFSVNVAMAGTGLYQLSRKIRQDYLSDEKDAAPQPEA >KQL00369 pep chromosome:Setaria_italica_v2.0:VI:1659663:1662420:-1 gene:SETIT_014697mg transcript:KQL00369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATKLQAFWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQVAVACTGLIWSRYSLVITPKNWNLFSVNVAMAGTGLYQLSRKIRQDYLSDEKDAAPQPEA >KQL01236 pep chromosome:Setaria_italica_v2.0:VI:11482842:11484651:-1 gene:SETIT_015367mg transcript:KQL01236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHKEFVPDSKAKGRCTPKPSKFHADILRIGDCQWTSRYQGDLVAKFYYSNRRLVWEILNGGLKMKIEIDWENIYALKFTYPESGLGTLEIMVCTFSKEANLQLGRNTAWMRTVDFTGGQATIHRNHRLYSLSQENTMHPCFENLHSESEVLESSNAQDLYSRNDYQQFGGHKLGAQLPMPVGTKVVGRNHDGSQSMR >KQL00534 pep chromosome:Setaria_italica_v2.0:VI:2833857:2834447:1 gene:SETIT_015075mg transcript:KQL00534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRDQEPKGIFTFQCLLAHVWKRITAARGLNPETFSQVRVAVNCRGRADPPVPADFFGNMVLWAFPRLRVGDLLGSSYGGVVGAIRDAVARVDGGYIQSFVDFGAAADAGGERVAATTAPPGHDAVPGPGGGQLAGVPVPPDGPRHRPPCAFVAPDLPVDGLMVFMPSATAKGGVDLFIGLVEGHVEEFHRICYSGLI >KQL02077 pep chromosome:Setaria_italica_v2.0:VI:29739723:29745155:-1 gene:SETIT_013222mg transcript:KQL02077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLRSRAKAAAAAASPSSPPAGVPQGTPAPSPSTSLRLGLGPASPDDDGFGLKSPAAAPPRRSLRLAGGAAAAGTPNTPAARDGGSSGSGSGGSVKRTGRARARASASSPSSASPRDGNSGSDGGGAGTDGASAGGGPDGAVPFMSLRSGSRIAKRRMEAAGAQADGEAGPGSSAGGGQVHDGMLRRAAGAPTKRQRSILVGGVETEYVADSESDSDEDSVMLGQDGVKMPVAQVRSGPSEVELNAAAAMNMDMIEVGARDDPAKAGNGEPTDNLVWKEVVCGVIEQLSYPVGSASSPEAERFADMYFKEELGQYNPRKEGNVKEKLVLGNNNSRADANVGSQVGTSSRRFGTDSKGKGKMAAEDSLSSLNSSEDEFDSEPVNSKERVVEDSSSSLSSSEDEPDSKPVDSKEIQNNSGSVAASASASMEPLRRQAARERAIRLAPKFAFFKADKDEHSEDDEEEELEPGAAPQDWPGPFATAARIYEEREAKLRARELNSSKVDKSANKAIVWSPSKDKRNPFPARAAPSLTSLCLNTLAEHSEGIESLGGIPEELKHKLLKILCHSRRMNTHLLNELMCDSPTELHLSECSWLSDDDFEKTFGKCNTDSLQDLQLDISGRCMPDYILPTTLAKAPNCMPLLRKISLKGNYRLSDNGLDTIISAAPSLSSLNLCQCSLLTSSGIVILADKLHSVLRELYIDDCTNVEAMTILPALQKINHLEVLSMSGIQSVCDKFVNELIPVHGSNMKELAFAGCLKLTSSSIKTIGENCPQLISLDLRNLNRLRDSAMRHLRNGCRLIRKLKLQRNTFSDEAMSRYLEESGGCLTELMLNSVEKVGDLTALAISRKCSVRLEALDLSFCRQLTNEALGLIADSCPSLRILKLFGCTQITDFFLKGHSNTSVKIIGIEGSILEQIDSR >KQL02271 pep chromosome:Setaria_italica_v2.0:VI:31347436:31351549:1 gene:SETIT_014029mg transcript:KQL02271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGWRGILGFDYGIVQAPLGPDISGPELAAAVANAGAIGLIRLPDFPAPEYVRELIRKTRSLTSRPFGAAIVLPFPYDENLRVVLEEKLAVLQVYWGEFPRERVEEAHRAGVKVLHQVGSLEEAGKAKEAGVDGIIVQGREAGGHVIGQEGLFPLLARVVDLVSDSGIPVIAAGGIVDGRGYVAALALGAQGVCLGTRFLATEESSAHPIYKEKLIEMSRTDYTNVFGRARWSYAPQRVLETPFYSVWKNLPDQETEENQPIIGQSIIHGVHNDIYRFAGAAPNVTATGDIGSMVMYAGQGVGLITEIIPAGKVVQRLVAEAKNIIRERLSDFQEKSC >KQL01568 pep chromosome:Setaria_italica_v2.0:VI:21881149:21882945:1 gene:SETIT_015612mg transcript:KQL01568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASEGETSAHADSLTAKLAEHCQHLVSIQGLINQLKEAILAMQQSIEELSEEVNCVTFRLQTFKHESSSEISEMTSKLSSAYRDKPGCSPALNPWYFLLFACPFLSLGCTSSFLLIEKNDDYFAHDIRWSVREAALSKPLRNTERPQDKNSDNGSEHFFILLLGLSSPQMKFPKSTSDIYYNADSPINTCPVLLSELNGHDPISAMNFLDPVSVFSPPLWMESSQFHDADEDLLGMSSQTQRISFWKRLMQH >KQL01279 pep chromosome:Setaria_italica_v2.0:VI:13232067:13232906:1 gene:SETIT_014969mg transcript:KQL01279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGSSSRTASMEVEGTGLQVVEEGEEVQVPDKEDEAPAKELDLLGALGSEVPAPEMKVVEAQAVAPGKGKEKAVVAEEEKAPATAMASTGGEKKRSFKCNYCQRKFYTSQALGGHQNAHKRERSLAKRGAAAAGRGLYGGADPFLPPHHLRFPHAWPYPSGGGRLSSSFVGLGRGSAAAAPFYGGVHHGWAAHAQPSMAGLARHAGAERPVYAPHGYGYSTSSRAPSPAVLDSGMAGLRWAGVANGASAGGDNGVAREATTSQQEEAQSCKIDLNLRL >KQL01393 pep chromosome:Setaria_italica_v2.0:VI:18759797:18761333:1 gene:SETIT_015184mg transcript:KQL01393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHARHVFVSVFLFLLALCLVALLPPTGLPPSPRSFFQPWLPPVSSRSGTEHGSAGTECDYSDGRWVRDDAGVTAYTEGCPFLDPGFRCLRNGRRDDSFRFNATDMLERSRNGRIVFAGDSIGRNQWESMVCMLAGASPAGASRVYEQSGKPISRHKGYLSMVFADYNLSVEYYRAPMVVMVDRFPANATRGGGGVRGAVRLDVLPRHADRWAGADVLVLNTGHWWNVHKTVKAGNYFMVGDRLNMTMDIREAFWLSLQTVKDWELSSAQFSQSYFFFRSYSPSHYSNGTWNTGGSCAGRLDPLMTTTDHFGEEYSWMNAMIAKTMEGIRSHGRKAQFLNITHMTELRLDGHPSRYREPGTPPDAPEDCSHWCLPGVPDTWNEVLYAHLLSMGYDTRRKHR >KQL02219 pep chromosome:Setaria_italica_v2.0:VI:30974167:30974876:1 gene:SETIT_015858mg transcript:KQL02219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIAMHPPILAASRPFASLMETTKEPPVFN >KQL02220 pep chromosome:Setaria_italica_v2.0:VI:30974167:30975017:1 gene:SETIT_015858mg transcript:KQL02220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIAMHPPILAASRPFASLMETTKEPPVFN >KQL02231 pep chromosome:Setaria_italica_v2.0:VI:31102783:31106162:-1 gene:SETIT_013558mg transcript:KQL02231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRLPRAGLFVFGILLSAFRMAHSSTDNNFSKVRAVNLGGWLVVEGWIKPSLFDGIPNRDMLDGTQVQLKSVGRQKYVSTGDGGGGNVTVDRDAASSWETFKLWRISMNEFQFRCFNGQFLTASDGDAISATADSPGDSETFYIERNNTLLHIKLLNGSYLQVTDNNQLTSNYPSQPGWDDDMATFDMTIVANNLHGDYQLANGYGPEQAKSVLTEHRKKFVSGSDFLFIAQNGINAVRIPVGWWIAYDPDPPAPFIGGSLNALDRAFYWAQIYGLKCIIDLHAAPGSQNGMEHSASRDGSLDWPSEANIQKTLDVINFLAQRYADNPCLLGIELLNEPSAAGVPLDTLVSYYKTGYQIVRSYSDTAYVIFCQRIGNADPMELYQAYLGATNTVVDLHYYNLFDPYFEKLNATENIQFIYKNRLPQVQSLNRANGPLVFIGEWVNEWNVTNASQLQYQLFGNAQLEVYGEASFGWSYWTVKCNSVHWDYEWNIRNRYLIDGSPLISPNYMLLVAGCLIYLLPVLT >KQL02366 pep chromosome:Setaria_italica_v2.0:VI:32029612:32037725:1 gene:SETIT_013324mg transcript:KQL02366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRHLITGQNSCAPDGASSSNPLNAFANAVLGQSSKTRSIKELPGSVSVPSTSDFGTAAPLSTIPGSDDEFKQDQRPLARGADFIRGGPANDWIESFRPPGVPEFGVTESQVAEFDQIYNNTGTTIGPPLDGPPQRVLSGVLHSFLASGRAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDNRMRGPMHGQYPELEQYWNQSQSSMGPASMHNAADKWITEFGNQNNNPESWANSFEQQYGPNGWASEFEQHQSQMAMGQMGGANMASLAAMEQSRMLAQTLASNNDPKFQLSQGADKWASEFSSQHNQGALNESWVDEFSKLNVTDEWAEEFSGGGFGESSADPWADEFQEQLSSFKQSSGASRGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEANPTNLEVLLALGVSHTNELEQGEALRYLYRWLQNHPKYGGLAPPQSTDSPYGPDVVRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQKALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDARNLDALQKEFPL >KQL02365 pep chromosome:Setaria_italica_v2.0:VI:32029612:32037725:1 gene:SETIT_013324mg transcript:KQL02365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRHLITGQNSCAPDGASSSNPLNAFANAVLGQSSKTRSIKELPGSVSVPSTSDFGTAAPLSTIPGSDDEFKQDQRPLARGADFIRGGPANDWIESFRPPGVPEFGVTESQVAEFDQIYNNTGTTIGPPLDGPPQRVLSGVLHSFLASGRAGVPFQPVPVPALGLSESDKQCIRDRSCIMARHILADQPEEYIQAQVNTLLHSLDIDNRMRGPMHGQYPELEQYWNQSQSSMGPASMHNAADKWITEFGNQNNNPESWANSFEQQYGPNGWASEFEQHQSQMAMGQMGGANMASLAAMEQSRMLAQTLASNNDPKFQNSKFFQFVSKMSRGELIIEDNQVKQGSASQSNGWADEFQTQYNANANTWADQFAHEELSQGADKWASEFSSQHNQGALNESWVDEFSKLNVTDEWAEEFSGGGFGESSADPWADEFQEQLSSFKQSSGASRGVYVFSEMNPYVGHPNPMQEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGVTHAENDDDQQAIAAMMRAQEANPTNLEVLLALGVSHTNELEQGEALRYLYRWLQNHPKYGGLAPPQSTDSPYGPDVVRLFNEAAQMSPEDADVHIVLGVLYNLSREYDKAIASFKTALQLKPQDYSLWNKLGATQANSIQSADAILAYQKALDLKPNYVRAWANMGISYANQGLYEDSIRYYVRAVAMNPKADNAWQYLRISLSNASRADMIAACDARNLDALQKEFPL >KQL01474 pep chromosome:Setaria_italica_v2.0:VI:20267949:20268189:-1 gene:SETIT_0149432mg transcript:KQL01474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEEQRRGEMRRWRRRREQRPREGLATGAAGGRPGAAGVGEGRAGGRRQGRRLVACGGWPGGGRGAGGGEGVRGEAGISF >KQL00115 pep chromosome:Setaria_italica_v2.0:VI:5467:5811:1 gene:SETIT_015358mg transcript:KQL00115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTNEDLPANVPSHGLAETSQAPITPIQGPITQSRARKLQQEVNYLLTKFDYNTNENFILPKRSTFVLLRFTHIGAAAGPKETSYTEKETSYRLLRSEPCSKRHTHKLVKIHHA >KQL00619 pep chromosome:Setaria_italica_v2.0:VI:3512103:3513299:-1 gene:SETIT_015777mg transcript:KQL00619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSQFVVYVDVDMDAEEMGEDEEYEPLTEESLVERYDSDHGDEDEEAGVDMALVAAPAGLIVPDGVSLGPPRFAAVKNTAGFMRIAAAEAATTGSHHEGSREIVVLYRYTRFSRTWSGRRGVEACRRTKMHWLRFAVPPAGDMASSLAWAGASLSPLIYPGLFRRELRDLWSGLAAPAVTAAAIPPGAARLQVVVDAGILRREDHTAERMEHMRGALEDIMGEAWPEYYHVGMELNLPEPVRRREDDGGGAPPPAKRRRIVAVEEECCLCLDPLESGLAAWPGCGHVFHGGCVEETLAGRETCPLCRHKLSDALVC >KQL02086 pep chromosome:Setaria_italica_v2.0:VI:29811105:29812121:-1 gene:SETIT_014453mg transcript:KQL02086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKMVLLPVLLSFLLLPFASLALTQDFCVADLSCSDTPAGYPCKSSVSANDFYYHGLAGMGKINPLIKAAVTPAFVGQFPGVNGLGISAARLDMEVGGVVPLHTHPAGSELLFVTQGTVAAGFISSGSNTPYTKTLNAGDIMVFPQGLLHYQYNAGSGPAVALVAFSSPNPGLQITDFALFANNLPSAVVEKVTFLDDATVKKLKSVLGGSG >KQL00436 pep chromosome:Setaria_italica_v2.0:VI:2084276:2084871:1 gene:SETIT_015305mg transcript:KQL00436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACHFLLIVLVASILHVAYATAAATSANLTADAAATAYDILEKNNLPRGLLPKGVQSYNLSPDGKFEVTLPGECDFPVTFGGQDFKFRFASTVGGVIQAGSIHEVYGVRVQIKFGWLGLRQVDRAGDQLTLQVEQFTQTFPTSTFAVSPSCS >KQL01600 pep chromosome:Setaria_italica_v2.0:VI:22638035:22640128:-1 gene:SETIT_015792mg transcript:KQL01600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHRHHLTLAAAKSHAALLKSGISSPTPWNQLLTAYSASGSGLASARRVFDEIPLPDAVSWNSLLAAHVAAGAHPEAWRLLRDMHARGLAASTFALGSALRSAAAARRPALGAQLQSFAVKSGLADDVIPASALLDVYAKCGRLSDARRVFGGMPERNTISWNALIAGYSESGNLAQAMALFLEMEREGLAPDEATFAALLAAVEGPNCCFLMQELHGKIVKYGETLGLVVLNAAITAYSQCGALADSRRIFDGIESRDLISWNSMLRAYAYHGMDDEAMEFFVRMMQESGVHPDMYSFTSIISVCSEHGRDDHRGRGIHSLVIKIGLEGVTHVCNALIAMYTRFTENCMMEDAYKCFNSLVLKDTVSWNSMLTGYSQHGLNADALRFFRCMQSENIKTDEFAFSAALRSCSDLAVLQLGRQIHCLVIQSGFASNDFVSSSLIFMYSKSGMLGDARKSFEEADKSSSVPWNSMMFGYAQHGQAQAVTNLFNEMLGLKVPLDHVTFVGLITTYSHAGLVDEGSEILNTMETRYGIPLRMEHYACGVDLYGRAGQLDKAKELIESMPFQPDAMVWMTLLGACKIHGNMELASDVASHLLVAEPRQHSTYVLLSSMYSGLGMWSDRATLQKVMKNRGLSKVPGWSWIEVKNELHSFNAEDRSHPRMDEIYEMLRTLLQVARRLCSLEDAEILVTKSSDT >KQL01722 pep chromosome:Setaria_italica_v2.0:VI:25196926:25197833:1 gene:SETIT_0135402mg transcript:KQL01722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAAAPPLRRRLLNPSSPRTLAARADTLVLPGDERSPTPPRLPHQTRQDYGGGGGGGAPGTIAAIVTSLGGGPAAVGIVRLSGPDAVAVAGRVFRPARREPAQAPWRPRSHFVEYGLALDADGSVIDEVLVVPMLAPRSYTREDVVELQCHGNDLCLRRVLRACLEAGARLADPGEFTLRAFLNGRLDLAQAENVSRLISAKSTAAADSALAGIQ >KQL02911 pep chromosome:Setaria_italica_v2.0:VI:35242949:35248934:-1 gene:SETIT_013605mg transcript:KQL02911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHDSLDASRIVGYFKGKSILITGSTGFLGKLVVEKILRVQPDANKMYLLVRGTDAASAQQRVQQEVIDTELFGLLREKHSDGFQQLIQEKVVALAGDIIYENLGLEAPMLKELAKEIDAIVNIAATTNFYERYDVSLDVNVMGVKHLCHFAKQCPRLKMFMHVSTAFVCGDREGLILEKPIQPGESLREGTYLDIDAELRLVGEAKKELKTMMNKDDKKTEERKAMKELGLQRARHFGWSNTYVFTKAMGEMLLGQLRGDMPVVIMRPSIITSVRADPARGWMQGTRTIDTLIIGYAEQNLSCFLADLDLVMDVIPGDMVVNAMMAAMVAHSSEEKGAQAVPVYHATSSLRNPVTYSVLYESGRRHFYENPRVGKNGEIIPTREMRFFTTIARFHLYMMLTFKLPLEILHLVNLLLCGFFSRLYNDLNRKYKFVMHLVDVYGPFAFFKGCFDDMNLERLRLMMAMKTPEDQMFNFDPKTIDWDDYFTRIHIPGVLKYLCK >KQL00175 pep chromosome:Setaria_italica_v2.0:VI:336126:337826:-1 gene:SETIT_015580mg transcript:KQL00175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSRRPTLAVVVLGAFLCSLAAALSSAEAKVHHHTWDIAYRRKSLDCFEKLAVTVNGEAPGPTIRATQGDTVAVTVRNMLETENTGIHWHGILQRGSPWADGTVGVTQCPILPGESFTYRFVVDRPGTYLYHEHYGMQRVAGLDGMLVVSESDGVVKPFTYDEEPPVYEQAVGLASDPLEFVGEPQSLLINGRGMFGCFPAAPGGACNASCALPALFTAIEGHSMTVVEADGHYVRPVVVDSLFVYSGETYSVLVKADQDPSRNYWAASHVVGRRRETPSALAVLSYAGNDPRSPPPAGPAWDDAAPRVAQSRSPAAAHPDHVLPAPPRPDRTLLLLNTQNRIDGHVRWAINGLSLRFPATPYLVSMKRGLRGAYDDQRPPADAYDNYRSFDIASPPAANGTVASAAYRLALGSVVDVVLQNTAALNGRSETHPWHLHGHDFWVLGYGEGKLRRLR >KQL01307 pep chromosome:Setaria_italica_v2.0:VI:14523154:14528841:1 gene:SETIT_014189mg transcript:KQL01307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHEARGDDFEKKAEKKLSGWAIFGSKYEDAADLFDKAANSFKLAKNWSRAASVYIKIANCHLKGDSKHEAASAYVEAANCYKKFSPQEAAQALNQAVNLFLEIGRLNMAARYSKDIGEIYQQEQDLEKATDYLERAADLFDSEGQSSQANTIKQKVAEIAAQLEQYPKATEIFEGIARQSINNNLLKYSVRGILLNAGICQLCRGDPVAITNSLERYQEIDPTFSGTREYKLLADLAASMDEGDIAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >KQL01306 pep chromosome:Setaria_italica_v2.0:VI:14523154:14527360:1 gene:SETIT_014189mg transcript:KQL01306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHEARGDDFEKKAEKKLSGWAIFGSKYEDAADLFDKAANSFKLAKNWSRAASVYIKIANCHLKGDSKHEAASAYVEAANCYKKFSPQEAAQALNQAVNLFLEIGRLNMAARYSKDIGEIYQQEQDLEKATDYLERAADLFDSEGQSSQANTIKQKVAEIAAQLEQYPKATEIFEGIARQSINNNLLKYSVRGILLNAGICQLCRGDPVAITNSLERYQEIDPTFSGTREYKLLAVWMFICPFFLILVHCMPDSNNIISSAINEI >KQL01308 pep chromosome:Setaria_italica_v2.0:VI:14523154:14528841:1 gene:SETIT_014189mg transcript:KQL01308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHEARGDDFEKKAEKKLSGWAIFGSKYEDAADLFDKAANSFKLAKNWSRAASVYIKIANCHLKGDSKHEAASAYVEAANCYKKFSPQAAQALNQAVNLFLEIGRLNMAARYSKDIGEIYQQEQDLEKATDYLERAADLFDSEGQSSQANTIKQKVAEIAAQLEQYPKATEIFEGIARQSINNNLLKYSVRGILLNAGICQLCRGDPVAITNSLERYQEIDPTFSGTREYKLLADLAASMDEGDIAKFTDAIKEFDSMTRLDPWKTTLLLRAKNELKKKEDDEDDLT >KQL00830 pep chromosome:Setaria_italica_v2.0:VI:5492690:5498655:1 gene:SETIT_013465mg transcript:KQL00830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKRGGADLTKRIRKNRRACKNESLFSGRFLGDSNQDVRSGLSDGLKSYLSKRVSSITLCDGDRILFSCSGIAMEHQGHLTRFLTSASLVRALDGTNEDHDDLKIEVRHEGNEVHMGIVGEFDLDHNFAVVNVHAFLDVQVGPFQSAPEILAHGEILVAIGRGVCGEIVTKSVELDDDSRVSEDDEDLDCKISEAWEGGPVHSIDGTVVGMNLFLTMRRAVFLPWGTILKHLEHYWTSQEKKTGLAKVYRIGPVCEKYNSHPEVHGAFVNQELLDLDSMGYPKLPSSMLGDGMILVNTFEETFGDMHGEGVWRKFSKRASNINRNIVALASYDGEKRFFACTGFSIEWNVSTIILTSASLVRNSGDENKIVENLRIEVLLENQCIEGTLQHYSLHYNVALVSVKDYPAPCASNTELFWTESKSFKVAAVGRCFKSGALMATIGNLVSWTGTLDCDFLARSTCKISKVGIGGPLVNLDGDVIGMNFYDQRIGTPFLFWEDICEILTSFETKSKFGEVGNDSDPSGSPFWKMNKDRNTKLNRWPVPMPRWCHLEDRDEDKSDDELGFEPKSGRKRRYGYIRGRKVVLF >KQL00829 pep chromosome:Setaria_italica_v2.0:VI:5492690:5498655:1 gene:SETIT_013465mg transcript:KQL00829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKRGGADLTKRIRKNRRACKNESLFSGRFLGDSNQDVRSGLSDGLKSYLSKRVSSITLCDGDRILFSCSGIAMEHQGHLTRFLTSASLVRALDGTNEDHDDLKIEVRHEGNEVHMGIVGEFDLDHNFAVVNVHAFLDVQVGPFQSAPEILAHGEILVAIGRGVCGEIVTKSVELDDDSRVSEDDEDLDCKISEAWEGGPVHSIDGTVVGMNLFLTMRRAVFLPWGTILKHLEHYWTSQEKKTGLAKVYRIGPVCEKYNSHPEVHGAFVNQELLDLDSMGYPKLPSSMLGDGMILVNTFEETFGDMHGEGVWRKFSKRASNINRNIVALASYDGEKRFFACTGFSIEWNVSTIILTSASLVRNSGDENKIVENLRIEVLLENQCIEGTLQHYSLHYNVALVSVKDYPAPCASNTELFWTESKSFKVAAVGRCFKSGALMATIGNLVSWTGTLDCDFLARSTCKISKVGIGGPLVNLDGDVIGMNFYDQRIGTPFLFWEDICEILTSFETKSKFGEVGNDSDPSGSPFWKMNKDRNTKLNRWPVPMPRWCHLEDRDEDKSDDELGFEPKSGRKRRYGYIRGRKVVLF >KQL02692 pep chromosome:Setaria_italica_v2.0:VI:33993789:33994376:1 gene:SETIT_014751mg transcript:KQL02692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRVLVALSPWLAWLTLLAHASIASLCYHFHTANTYASLNLFSDRTNAWISQYNNDGSSGRTRGRMKASEVCCMKLAGEDTMMMIH >KQL02748 pep chromosome:Setaria_italica_v2.0:VI:34340841:34343819:1 gene:SETIT_014165mg transcript:KQL02748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATAAEDAPPAGKGAKGEPNRKRSNEQGEGGSRGGGEQKRKKKEVFIYGNYRNYYGYRIDRNVGEDPRLEAFNKQWFENKDCLDIGCNQGLVTIGLAMKFKCRRILGVDIDSGLIETAKWNLRRITRQDKVATKNAKAQESSNSPSQSSPGEVASELSNGNEHHDLFKIVSFRRENFVESLDGCSERYDTILCLSVTKWIHLNWGDEGLVTLFVKIWRLLKPGGVFIMEPQPWTSYKRNRLVSEVAKENFNTICLYPEKFREILLDKVGFRSVELIMDKLVGAVTGFDRPIEVYHK >KQL02747 pep chromosome:Setaria_italica_v2.0:VI:34340841:34343071:1 gene:SETIT_014165mg transcript:KQL02747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATAAEDAPPAGKGAKGEPNRKRSNEQGEGGSRGGGEQKRKKKEVFIYGNYRNYYGYRIDRNVGEDPRLEAFNKQWFENKDCLDIGCNQGLVTIGLAMKFKCRRILGVDIDSGLIETAKWNLRRITRQDKVATKNAKAQESSNSPSQSSPGEVASELSNGNEHHDLFKIVSFRRENFVESLDGCSERYDTILCLSVTKWIHLNWGDEGLVTLFVKIWRLLKPGGVFIMEPQPWTSYKRNRLVSEVGSFDSD >KQL02081 pep chromosome:Setaria_italica_v2.0:VI:29767331:29772091:1 gene:SETIT_013880mg transcript:KQL02081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCARALHRVVLAPMTRCRAPRAVPGPALEEYYAQRSTEGGLLISEGTIISPAGPGFPRVPGIYNQEQIDAWKKVVDAVHAKGAIFFCQLWHVGRASHQVYQPGGAAPISSTDKPISSRWRILMPDGSYGKYPTPRRLATSEIPEIVEQYRQSAINAIKAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRVSPAIDHLDASDSNPLQLGLAVVDRLNALQQEAGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRMMRALRGAYRGTFMCSGGYTRELGVEAVESGDADLVSFGRLFIANPDLVERFRRDAPLNRYVRKTFYTPDPVVGYTDYPFLGQPKARM >KQL02080 pep chromosome:Setaria_italica_v2.0:VI:29767104:29772091:1 gene:SETIT_013880mg transcript:KQL02080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADRSPAAEDPRPRPTLFSPYQTPRFRLAHRVVLAPMTRCRAPRAVPGPALEEYYAQRSTEGGLLISEGTIISPAGPGFPRVPGIYNQEQIDAWKKVVDAVHAKGAIFFCQLWHVGRASHQVYQPGGAAPISSTDKPISSRWRILMPDGSYGKYPTPRRLATSEIPEIVEQYRQSAINAIKAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLSNRCRFLLEVTRAVVSAIGADRVAVRVSPAIDHLDASDSNPLQLGLAVVDRLNALQQEAGRLAYLHVTQPRYTAYGQTESGQHGSAEEESRMMRALRGAYRGTFMCSGGYTRELGVEAVESGDADLVSFGRLFIANPDLVERFRRDAPLNRYVRKTFYTPDPVVGYTDYPFLGQPKARM >KQL00714 pep chromosome:Setaria_italica_v2.0:VI:4255827:4258815:1 gene:SETIT_014603mg transcript:KQL00714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVPLAPYPTPPVPFTPPNGAQSQLVCTGCRNLLMYPAGATSVCCAVCSTVTAVPAPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTSVGASPGAEQKPSS >KQL01216 pep chromosome:Setaria_italica_v2.0:VI:11061494:11063643:1 gene:SETIT_015813mg transcript:KQL01216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AGSLFRWKSTLVLANGGEQPPSPLLSWLPAKLMCSWLGLTCDSAGHVAEISLHGAGLSGTLGAFDLPALPALTRLNLRGNNITGTILANTTNLVYLDLSQNSLSGVIPDTLSSMMRGLRYFNLSSNELHVPIPWSLSDMREVRMFDVSRNKLIGVIPLELFMNWPEITIFHAQNNSLAGSIPPGISHATKLESLFLYRKSLSGQIPVEIGRLTSLRRIMLAWNSLTGPIPHSVGNLTSLVFLGFPYNNLIGKIPLGITNLTALEALDLGINQLEGEVPATISSLQSLRFLVLSNNNLSGVIPYLNITKLVGTSLADNSFTGEFPIAFCQTNPVPLELAGSAVHGLVKQHFLWECPNVEGLELFVPRISASGEQIELAIPLLRVLRLQSNKLHGSIPWQLSQLSFLQLLDLSGNSLVGSIPRSLSNLTSMMQPKSDFNIPLQISYLISHQLVFYRQYHTFEGAIALMTGIDLSSNSLSGEIPPELANLEGLRFLNLSRNHLSGGIPKDIGNLMILDISQLMSLDSLNLSNNHLSGKIPTGSQLQTLAEPSIYSNNFGLYGFPLNISCSNDSNPTAALSAHSHEIEVLNCYYSILTGLAFGFWLWSGLLLLFKPWRVVIFHHIDHIQEKAAKMEFRHLYNV >KQL01230 pep chromosome:Setaria_italica_v2.0:VI:11375230:11376419:1 gene:SETIT_014595mg transcript:KQL01230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGILALSLIFLLILAAEGSAGVSAGHRLRPHLQIQEELHGKKDLKIQSPSRRLGFGLGLGHEVRTVEMKHHRRMVTGHKGGSMGGAAGAGGAAGAAGGRNVGGGGAVTRPHNTMNGAAALPVPVASVLALALGCCVALSAFGF >KQL02174 pep chromosome:Setaria_italica_v2.0:VI:30671859:30672276:-1 gene:SETIT_015984mg transcript:KQL02174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERKQLVNGNGQMALGLFLPQLVKGMES >KQL00150 pep chromosome:Setaria_italica_v2.0:VI:205346:206022:1 gene:SETIT_015902mg transcript:KQL00150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVYGEVWVGWLPLLVTDGRELFTLGLLGAELEPDDVPPFATRLDWCPVFLKASVRQFEGLEDADAVLVNSFHDMEPKEADYMALTWRAKTIGPTLPSFYLDDDHLPFNK >KQL02111 pep chromosome:Setaria_italica_v2.0:VI:30001134:30001986:-1 gene:SETIT_014680mg transcript:KQL02111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFGRKKGTHGDVQTGLHLQVPREPPLLSIAADAYPQPTSCRRRADARQCALKPHTCPAPTRTPPALPLWLCPGVERQDNFLQTSPGSKVESDWFLVLSHCYQTVLQLLFICLVFA >KQL00329 pep chromosome:Setaria_italica_v2.0:VI:1418251:1418678:1 gene:SETIT_015254mg transcript:KQL00329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PALHPYEEERLRQCMWNSARLKQLGIPALSMKTMNLSTKPSHDDTSEGDLIDDDNAKQASKEKSGKKTSDVPAGGVKFWSRKRVYPAAT >KQL00553 pep chromosome:Setaria_italica_v2.0:VI:2972406:2973424:-1 gene:SETIT_014415mg transcript:KQL00553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNFFLLTVLLALAASGAIASDPSPLQDFCVADKDTHVRVNGLPCKDVKDVKVDDFFLAANLDKPGDTTKSKVKSNVTLINAMKLPGLNTLGISMARIDYAPQGQNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKFFSKMLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITISNAVFGSKPPIADDVLAKAFQVDKKVVDWLQAQFWEDNHN >KQL00746 pep chromosome:Setaria_italica_v2.0:VI:4589305:4593570:1 gene:SETIT_014266mg transcript:KQL00746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAPATAGELLRIDPLELRFPFELKKQISCSMQLSNLSNDYIAFKVKTTSPKKYSVRPNTGVVSPRSTCDVVVTMQAQRETPPDMQCKDKFLVQSIVAPAGIITMKDVTADMFTKESGNKMEEVKLRVTYVAPPQPPSPVPEESEEGSPSRASESENGDGPAGGFTRALRERMEPQEKSSEAGALISKLTEEKNSAIQQNHRLRQELDLVRREISKRRGGGFSFIVVIIVALIGIFLGYLMKS >KQL00745 pep chromosome:Setaria_italica_v2.0:VI:4589305:4591432:1 gene:SETIT_014266mg transcript:KQL00745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAPATAGELLRIDPLELRFPFELKKQISCSMQLSNLSNDYIAFKVKTTSPKKYSVRPNTGVVSPRSTCDVVVTMQAQRETPPDMQCKDKFLVQSIVAPAGIITMKDVTADMFTKESGNKMEEVKLRVTYVAPPQPPSPVPEESEEGSPSRASESENGDGPAGGFTRALRERMEPQEKSSEAGALISKLTEEKNSAIQQNHRLRQELVSEASHFIFLSYCTTASYCECCKFIKKRNLCRIIHLLDDNALIPFSLSHQYKRKKITK >KQL00738 pep chromosome:Setaria_italica_v2.0:VI:4542652:4542909:-1 gene:SETIT_016050mg transcript:KQL00738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYTPSMHGQSRCYPTTCWELKFWRAHTLKT >KQL00264 pep chromosome:Setaria_italica_v2.0:VI:873431:877125:-1 gene:SETIT_014308mg transcript:KQL00264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHAVSPAAVSSPLRALAHRLAQRPGCGCLPKQLVSIYSSANFAGLQAGPLVLRHSDKRAVLTHATIEEIEAEKSVIEEQAKEKMEKAIETVQTNFNTVRTGRANPAMLDRIEVEYYGTPVNLKSIAQISTPDATSLLIQPYDKSSLKLIEKTIVAANLGVTPSNDGEVIRVTVPPLTSDRRKELAKTVAKLAEDGKVAIRNIRRDAIKAYDKLQKEKKLSEDNVKDLSADLQKVTDGYMKKIESIQKQKEEELMKI >KQL00559 pep chromosome:Setaria_italica_v2.0:VI:2995219:2999285:-1 gene:SETIT_013907mg transcript:KQL00559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSVRLSPAPAAFSGSSLRSKSASIPSISSLKPSKYVVSSLRPLYLAPLDGPRTAELKAQRQPLEFRCAASAADDKESKAEVVPVQSEGAQKLKISIYFATWWALNVIFNIYNKKVLNAFPYPWLTSTLSLACGSAMMIFSWATRLVEAPKTDLDFWKVLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSAEPAFSVLVSRFILGETFPVPVYLSLLPIIGGCALAAVTELNFNMVGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSIMSLVILTPFAIAMEGPQMWAAGWQKALAEVGPNVIWWIAAQSVFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVRPVNALGAAIAILGTFLYSQAKA >KQL01663 pep chromosome:Setaria_italica_v2.0:VI:24455663:24456715:-1 gene:SETIT_014006mg transcript:KQL01663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDSVGKFVEISIALPVAAGAAAVSKEIAFDDHVWMVHCYPRGAPGEAGGHLSIHLVNHTNARNAKVLFEAIVLCRADAEATAAGVVVAPPCKNYHASSVFEYPPEGSHRFPWPRVVSATDLQQHCAVGGHATVVCGLAVLRHNPIPAPPSTYAADAAGLLEARRKFGDPDAAFAVGGRTFDVVRKVLAARSAVLTAELDGAEVEPPTTDGAGAAVPVARPRHEFGASTFCAVLLYVYCDRLPRGNECGCPVTIELVRDILAASDWYKLDRLKLLCARRLWDGLSVETVSKTLWYADTYRCPELKSLCIDFLTVGDNFKNAFSTEDFGWLIQNSPSVLDDLRRRFEAKNA >KQL01341 pep chromosome:Setaria_italica_v2.0:VI:16671069:16680676:-1 gene:SETIT_013170mg transcript:KQL01341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDAGGAAGEISAAAGGGGGGEGPAGEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLSKRRLEREKARQYAAADLSEDLSEGEKGENNNDPSIHDEITRTRMPRIGSTDAIEAWASQQKEKKMYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQIPAPDVDWSYGEPTEMLSPISSDNFGHEVGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQILKQGRQTRDEINATYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCFGRYMPRMIAIPPGMEFSHIAPHDVDLDGEEGNEDGSASPDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNAAVLTSVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKHLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSNVATEISEADSPEDSLRDVHDISLNLKLSLDSEKSGSKEGNSNTVRRNLEDAVQKLSGGVSASRKEGPSENGRWPSLRRRKHIIVVAVDSVQDADFVQVIKNIFEASSNGRLSGSVGFVLSTSRAISEIHALLIYGGIEASDFDAFICNSGSDLCYPSSSSEDMLSSAELPFMIDLDYHSQIEYRWGGEGLRKTLIRWAAEKNNESGQNVIVEDEECSSTYCISFKVTNTEAAPPVKEIRRTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYVRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHSARSYSLEDVVSFEKPGIASVEGHGPDNLKSALQQFGILKD >KQL01342 pep chromosome:Setaria_italica_v2.0:VI:16670188:16680758:-1 gene:SETIT_013170mg transcript:KQL01342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDAGGAAGEISAAAGGGGGGEGPAGEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLSKRRLEREKARQYAAADLSEDLSEGEKGENNNDPSIHDEITRTRMPRIGSTDAIEAWASQQKEKKMYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQIPAPDVDWSYGEPTEMLSPISSDNFGHEVGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQILKQGRQTRDEINATYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCFGRYMPRMIAIPPGMEFSHIAPHDVDLDGEEGNEDGSASPDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNAAVLTSVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKGVFINCAFIEPFGLTLIEAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKHLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSNVATEISEADSPEDSLRDVHDISLNLKLSLDSEKSGSKEGNSNTVRRNLEDAVQKLSGGVSASRKEGPSENGRWPSLRRRKHIIVVAVDSVQDADFVQVIKNIFEASSNGRLSGSVGFVLSTSRAISEIHALLIYGGIEASDFDAFICNSGSDLCYPSSSSEDMLSSAELPFMIDLDYHSQIEYRWGGEGLRKTLIRWAAEKNNESGQNVIVEDEECSSTYCISFKVTNTEAAPPVKEIRRTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYVRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHSARSYSLEDVVSFEKPGIASVEGHGPDNLKSALQQFGILKD >KQL01343 pep chromosome:Setaria_italica_v2.0:VI:16671069:16680676:-1 gene:SETIT_013170mg transcript:KQL01343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNDWINSYLEAILDAGGAAGEISAAAGGGGGGEGPAGEKRDKSSLMLRERGRFSPARYFVEEVISGFDETDLYKTWVRTSAMRSPQERNTRLENMSWRIWNLARKKKQIEGEEASRLSKRRLEREKARQYAAADLSEDLSEGEKGENNNDPSIHDEITRTRMPRIGSTDAIEAWASQQKEKKMYIVLISIHGLIRGENMELGRDSDTGGQVKYVVELARALGSTPGVYRVDLLTRQIPAPDVDWSYGEPTEMLSPISSDNFGHEVGESSGAYIVRIPFGPRDKYIPKEHLWPHIQEFVDGALVHIMQMSKVLGEQVGSGQPVWPVVIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQILKQGRQTRDEINATYKIMRRIEAEELCLDASEIIITSTRQEIEQQWGLYDGFDLTMARKLRARIKRGVSCFGRYMPRMIAIPPGMEFSHIAPHDVDLDGEEGNEDGSASPDPPIWADIMRFFSNPRKPMILALARPDPKKNITTLVKAFGEHRELRNLANLTLIMGNRDVIDEMSSTNAAVLTSVLKLIDKYDLYGQVAYPKHHKQSEVPDIYRLAARTKAAAYGLPMVATRNGGPVDIHRVLDNGILVDPHNQNEIAEALYKLVSDKHLWAQCRQNGLKNIHQFSWPEHCKNYLSRVGTLKPRHPRWQKSNVATEISEADSPEDSLRDVHDISLNLKLSLDSEKSGSKEGNSNTVRRNLEDAVQKLSGGVSASRKEGPSENGRWPSLRRRKHIIVVAVDSVQDADFVQVIKNIFEASSNGRLSGSVGFVLSTSRAISEIHALLIYGGIEASDFDAFICNSGSDLCYPSSSSEDMLSSAELPFMIDLDYHSQIEYRWGGEGLRKTLIRWAAEKNNESGQNVIVEDEECSSTYCISFKVTNTEAAPPVKEIRRTMRIQALRCHVLYSHDGSKLNVIPVLASRSQALRYLYVRWGVELSNMTVVVGESGDTDYEGLLGGVHKTIILKGSFNAVPNQVHSARSYSLEDVVSFEKPGIASVEGHGPDNLKSALQQFGILKD >KQL01171 pep chromosome:Setaria_italica_v2.0:VI:10156988:10161208:-1 gene:SETIT_014096mg transcript:KQL01171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGIHPFHQQWPPAAAAPPPPGAPGTAAVPPPPPVPGAPGTAAADEVRTIFITGLPVDVKERELHNLLRWLPGFEASQINFKGDQPMGFALFATAHQAVAAKAALQDLVFDAETKSALHTEMAKKNLFVKRGVGTDANAVDQSKRLRTGGDYTHSPYAPPPFHPPPPAVSMWGTAGYIAAPPPYNPYAYPVPPVAMTSPSPVPGPTAYAPVQNTKDNPPCNTLFIGNLGETVVEEELRSLFSVQPGYKQMKVLRQDRNTVCFIEFEDVNAATAVHHTLQGAVIPSSGRGGMRIQFSKNPFGRRKDSVGGVAGILNGASAN >KQL01414 pep chromosome:Setaria_italica_v2.0:VI:19132018:19133110:-1 gene:SETIT_014913mg transcript:KQL01414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATCTAEKGTCLGTPYDDSSKCAVPSVQNPPVDKDVELDNVIFRIREGKYDNEGEKAREDCPGKERGANMEFHKSQKQNDVLANLYMDKHADGSQLESGKERDMEWQKNVYALESISGQKKGTSMRENSRSERELIPRDDSSKFDSRSSEFALDHVNRSAVKRVHATNVHKVTTTTRVVTNRGCRPGEDKEVKEGCSRHYEPNCCKCGDCSQAIDMHTSGDKSRKRREPVEKVTDNKVDFNKNNKHILIEDGRDVPAAYTSKYKHRGRTQLDRTEESVGRNDRKRSRSHSPKRRWTDHRDSHPGTNNRHRYESWSHDNSSRNDHDDRWNS >KQL01213 pep chromosome:Setaria_italica_v2.0:VI:11039934:11043878:1 gene:SETIT_014463mg transcript:KQL01213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLTPNKIGGASRGGPSSAGRKQRPQTWHDGFCLTVQNQQESGFAGLSSSLDRRQYSDGSPGPLSHSKGRRVLSSPLRPTTSQCLSPPPSPPRRPAKPDPDPGFKLINYIATMVLDSLSSPHRRSQNTFFVSSAKKPQSSRDDSWSALVERHRFLLTTLVVLAFLCTIYLYFAVTLGASDACAGLAGAERIECQAKSLLRHGKLKFL >KQL02618 pep chromosome:Setaria_italica_v2.0:VI:33576173:33576710:1 gene:SETIT_014724mg transcript:KQL02618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDGPKGPSVLTTLVNFINIMVDEVGEVPHPYVEKKQIQSKLYATVVLKSTLDEKKEIQAGSYDTMVLNFIIDGGETKGFFKLIYDLGPQSYVTPI >KQL02620 pep chromosome:Setaria_italica_v2.0:VI:33596479:33598349:-1 gene:SETIT_015697mg transcript:KQL02620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLYFALGLGYGSVRWCRLFTPEQCGAINTLVAHFSMPFFTFDFLARANPYAMDRRVLAADAASKALTIAAVACWWWWARCCRCGAGGAKDAGARSSWCVTGFSLAAFNNTLVVGVPLLDAMYGAWARDLVVQLAVVQALVWVPLLLLGFELRKAWVVRNGIASAAAGDGQAAAGGGRVEPAVSSQAKKASSPPPAAEKGDVEMNAAAEPDAPPPAGMIRRLWPIVRTVGLKLAGNPNVYASVLGVVWACIAFRWDLRMPGVVSESLQVMSRTGTGMSMFSMGLFMAQQEKIVACGAGLAALGMALRFVAGPLATLAGAAAFGLRSDVMRFAIIQTVLGGV >KQL01955 pep chromosome:Setaria_italica_v2.0:VI:28470728:28474653:1 gene:SETIT_014989mg transcript:KQL01955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRKHCESRVALARIVMVLWLPQNGGGNYISSETRDAQPRRGGELGEPRGEEPVRVPNQRSKKVGTGASQPAATMRRASKKSTSSAATASAGAEQVNEKQNRKRKGVSTNLTSRKAQRGPTKAVSKEVERIDQFFYTYADSSSGMIDPEGIETLCSHLEVPHTDVRILMLAWKMGCEKQGYFTLDEWRTGLKALRADSISKLKKAFPELVQEVTRPSNFQDFYTYAFRYCLTGKLLDILSYYKCAAN >KQL00529 pep chromosome:Setaria_italica_v2.0:VI:2800486:2800884:1 gene:SETIT_014810mg transcript:KQL00529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLAKKKRNEEVEGWWMRSLSPLLQRKKWAKVALMMYTTWNIWKEKKRQVDFGGEESEANTGF >KQL03027 pep chromosome:Setaria_italica_v2.0:VI:35860794:35866527:1 gene:SETIT_013123mg transcript:KQL03027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSAAADPDEIRARVVVLGAPHADAADEWARPELEAFHLPSPAAAAFLEAPPQQHPETESAPAPPPAPAPEQSPAPPPPPNAAARASSSGNDDAKKATPPAALRDLFRFADGLDCVLMLVGTLGALVHGCSLPVFLRFFADLVDSFGSHADDPDTMVRLVVKYAFYFLVVGAAIWASSWAEISCWMWTGERQTTRMRIRYLDAALRQDVSFFDTDVRASDVIYAINADAVLVQDAISEKLGNLIHYMATFVAGFVVGFTAAWQLALVTLAVVPLIAVIGGLSAAALSKLSARSQDALSGASAIAEQALAQIRIVQAFVGEDRAMRAYSAALAVAQKIGYRSGVAKGLGLGGTYFTVFCCYGLLLWYGGHLVRAHRTNGGLAIATMFSVMIGGIALGQSAPSMAAFAKARVAAAKIFRIIDHKPGISRDGQVELESVTGRVEMRGVDFAYPTRPDVPILRAFSLTVPAGKTIALVGSSGSGKSTVVSLIERFYDPSAGQILLDGHDLKSLKLRWLRQQMGLVSQEPTLFATSIKENLLLGRESETATQAEMEEAARVANAHSFIIKLPQGYDTQVGDRGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQGGAVSEMGTHDELMAKGEQGTYAKLIRMQEQAHEAALVNARRSSARPSSARNSVSSPIMTRNSSYGRSPYSRRLSDFSTADFTLSIAHHHDSSSKQMAFRAGASSFLRLARMNSPEWGYALLGSLGSMVCGSFSAIFAYVLSAVLSVYYAADPRYMERQIAKYCYLLIGMSSAALVFNTVQHVFWDAVGENLTKRVREKMFAAVLRNEMAWFDADENASARVAARLALDAQNVRSAIGDRISVIVQNSALLLVACTAGFVLQWRLALVLLAVFPLVVGATVLQKMFMKGFSGDLEAAHARATQIAGEAVANLRTVAAFNAERKITGLFESNLRGPLRRCVWKGQIAGIGYGVAQFLLYASYALGLWYAAWLVKHGVSDFSRTIRVFMVLMVSANGAAETLTLAPDFVKGGRAMRSLFETIDRKTEVEPDDVDAAPVPDRPRGEVELRHVDFAYPTRPDVQVLRDLSLRARAGKTLALVGPSGCGKSSVLALVLRFYEPSSGRVLLDGKDVRKYNLRALRRVVAVVPQEPFLFAASIHDNIAYGREEGATEAEVVEAAAQANAHKFISALPEGYRTQVGERGVQLSGGQRQRIAIARALLKKAPIMLLDEATSALDAESERCVQEALDRAGAGRTTIVVAHRLATVRGAHSIAVIDDGKVVEQGSHSHLLKHHPDGCYARMLQLQRLTTGAAPGAGPSSSAS >KQL00200 pep chromosome:Setaria_italica_v2.0:VI:492039:495336:1 gene:SETIT_013695mg transcript:KQL00200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPHCDVPGFENTRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAEGAPVVPISAQLKYNIDVICEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIRGGVAGGSILRGVLRVNQKIEVRPGIVMKDESGNIKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYVELEINFFLLRRLLGVRTKGTEKAGKVSKLTKGEILMLNIGSMSTGARVLAVKNDLAKLQLTAPVCTSKGEKVALSRRVEKHWRLIGWGQIQAGSTLEVPPCPL >KQL01864 pep chromosome:Setaria_italica_v2.0:VI:27308437:27310952:1 gene:SETIT_014617mg transcript:KQL01864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPMNSAQSGAHFPVQHRSLSLDVKGNKTDIVISKYEDTFLVIVTQIGCMGTILAAKKDESVFSDPTYNVNVLFGKRDEPLLLACAHQLIEHISGSGSARSMVISLGLKDHSQGTLKDIIAAVIENRLW >KQL01865 pep chromosome:Setaria_italica_v2.0:VI:27308437:27310952:1 gene:SETIT_014617mg transcript:KQL01865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPMNSAQSGAHFPVQHRSLSLDVKGNKTDIVISKYEDTFLVIVTQIGCMGTILAAKKDESVFSDPTYNVNVLFGKRDEPLLLACAHQLIEHISGSGSARSMVISLGLKDHSQVRLGNSLCVTVFANGFMVSSLQISAC >KQL00688 pep chromosome:Setaria_italica_v2.0:VI:3981843:3983921:-1 gene:SETIT_015417mg transcript:KQL00688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRALLRRRRPLLPAPAPTPAAGFFTSSGSDAPAPPPQPPPRPPPSSEGPRDKPGQEASLAQRVEGAASVCAAMRGWMADGRAVHRGHVFHAVNRLRRHRQHRTALQVMEWIMRERPYKLSELDYSYLLEFTAKVHGISEAESLFLRVPQEYQKELLYNNLVMAALDLGLIKHSYAYMRKMRELSLPISPYVYNRMIILHSSPGRRKTISKILSQMKADRVTPHTSTYNILLKIPANEHNIDGVARVFNDMKRAKIEPNEITYGILAIAHAIARLYTVCQTYVEAIENSMTGTNWSTLEILLILYGYLGKEKELKRTWEIMQGLPHIRSKSFTLAIEAFGKVGSIDQAEKIWVNMNSTKKLSLTEQFNSILSVYCRHGVVDKASAVFKEMRASGCQPNAITYRHLALGCLKSGLVKEALNTMDMGKKEVVTKKVRSSTPWLETTHMLLEKFAEIGDLENAKRVYRELSESKYCRNSFVYNTLLKAYVKAKVYEPDLLRTMILRGAMPDAETYSLLRLIEQFKI >KQL01584 pep chromosome:Setaria_italica_v2.0:VI:22488176:22492702:-1 gene:SETIT_013457mg transcript:KQL01584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHLHLLLLPPALAIATPGRRVGAEPAAGPRARRARAAASFSCSPGRGRRWTRLGVAAAAEGAEPGAGGPAGAMRLNEYMVTVDRPLGVRFALGVDGRVFVHSLRKGGNAEKSRIIMVGDTLKKAGGGEQGLVTIKDLGDTEIALRDKSGQCSLVLERPFAPFPIHQLHQNEDYHILFNRGRVAVASWNKALLSTKLNESSTGDGKSGFAIFSPRLLSSQGWSSLSGEKGGLNQSSTNLANRISEIVGLYSDEDDVNAEWAHGSFPLEEYIKALDRAKGELYYNHSLGMQYSKITEQIFVGSCIQTERDVKMLSETVGITAVLNFQSESERINWGINSEAINNSCRENNILMVNYPIREVDSMDLRKKLPFCVGLLLRLIRKNYRIYVTCTTGYDRSPACVISYLHWVQDTPLHIAHKFITGLHSCRPDRAAIVWATWDLIALVENGRHDGSPTHSVCFVWNSGREGEDVELVGDFTSNWKDKIRCSHKGGSRYEAEVRLRHGKYYYKFIVGGQWRHSTSLPTETDEHGNVNNVIRVGDIARIRPAPSQLHIRDPTVVKVIERALTEDERFSLAFAARRMAFAICPIRLSPKQ >KQL02699 pep chromosome:Setaria_italica_v2.0:VI:34045411:34053459:-1 gene:SETIT_013305mg transcript:KQL02699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFTIALREIGTYKEVLRSQVEHMLNDRLLNFVDIDLHDVKDAHKRFDKASLAYDQIREKYLSLKKGTRPDITTAIEEELHSARSSFEQARFNLVTSLSHIEAKKRFEFLEAVSATMDSHLRYFKQGYELLHQMEPYINQVLAYAQQSRERANKEQASLVERMHEYKRQIDRESRSSVNGLNDCYNGDGIPTIGRSSHKMIEAVMQSSTRGKVQTIRQGYLSKRSSNLRADWKRRFFVLDSRGMLYYYRKQITRPPAGCSIQRGVNPPEHGSGLLSRLFSSHYHGIVHDEKSVARHTVNLLTSTIKVDAEQSDLRFCFRIISPTKVYTLQAESAVDQMDWIEKITGVIASLLSSQSPEQCFMSSPKGSGHDRSASESSSFSSSVEFEPSISDDLVLEKNSGNGQHDVRGTHHHRTNMKPEKPIDLLRKVDGNNMCADCGASEPDWASLNLGALLCIECSGVHRNLGVHISKVRSLTLDVRVWEPSVINLFQSLGNMFVNNIWEEMLPSSNNGRPDDNLSVDGSDTSQYLSVKKPKHKDAFSAKEKFIHAKYVDKEFIQNYSMDESQLAQQMWNSVSANDKKSAYSLIVRSRANVNLVWGDMPSSSCLTLGKALQLEHPASTPGGSPRFFDCNSHDKIYAGEPLSPASTSSRTDDMEDSCEGLSLLHLACRVADVGMVELLLQYGANVNMIDSRGRTPLHHCILKGRHQHAKLLLSRGADSQAMDQDGRTASQYAIDCRTTDEDILILLEDHS >KQL02209 pep chromosome:Setaria_italica_v2.0:VI:30884236:30887704:-1 gene:SETIT_014326mg transcript:KQL02209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRASPRLRSLPLLFSQPDAAAAVRRSFSCASASPSPAPTRAMASPSSSSATPTPYTTLVGRVSCEREIKRSKFIAIAAPVVNERAAMAFLDEVKDPRATHNCWAYKLGEQFRYNDDGEPSSTAGKPIYSAIISSGIDMVMVVVIRYFGGIKLGTGGLVRAYGGVASECLKDAPTNLVKPKARAGMEVPFDLLGTVYHQLQHFQAEDIKQDYDTGKDGTVMVMFRVEYEKIEDLGSAVNSACSRKIELFL >KQL03003 pep chromosome:Setaria_italica_v2.0:VI:35752818:35753663:1 gene:SETIT_015425mg transcript:KQL03003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPATSRRGPLVVPLLLLLAALDVAGASGHKPLTPGGRLVHHNHGKFTAGPWKPAHATFYGGRDGSDTTAGACGYKDTRAEGYGVQTVAVSTVLFGDGAACGGCYEVRCVDSPHGCKAAAAAPLVVTATNLCPPNYQQSSDNGGWCNPPREHFDLSMPAFLQIAEEKAGIVPISYRRVACVKQGGIRYTITGNRYFNMVMVTNVGGAGDLAAVSVKGSKRVKWTELKRNWGQVWQTGEDLTGESLTFRLMTSDHRKATSWHVLPTNWQFGVTYEATKNF >KQL00332 pep chromosome:Setaria_italica_v2.0:VI:1441048:1442193:1 gene:SETIT_015726mg transcript:KQL00332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSQGPVSTTASTCTPETARGRHTFKISGYSLHKGLGAGKFIRSATFYVGGHGWSIRYYPDGHTGKDGSKDFICVYLELMAEKGCCAAAAVVRAVYDLRLVDQLTEESKVIFKPVTPRAFSGESPAWGSRWFMRRTELEASTYLREDRIVIECNVTVIVAKPEGEPQAIGEVQAPPSDLSADFGKLLQIGESADVVFKVQDETFRAHRIVLAVRSPVFKAELYGPLKDNKNSGIILVEDIHPVVFKALLHFMYTDSLPAMHDLDGDENKEIIKHLFVAADRYGMERMKLVCASILSKRLDVESVSDTLALADRHSCSKLKDTCIQFIISSNSMDEVVASRGYKELKRVCPAATVELWEQASKSQKIVYAEQRERVVDELD >KQL02346 pep chromosome:Setaria_italica_v2.0:VI:31926950:31928109:-1 gene:SETIT_014142mg transcript:KQL02346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGEVKNIHTNDSTKTLLKNEALYEYMLNTMVYPRENEHLRELRHITEQHAYGFMLSPPDEEQLLSLLLKVMGARNTIEVGVFTGGSVLAAALAIPDDGRIVAIDVSREYYDLGRPVIEKAGVAHKVDFREGPALGHLDALLADEGNAGAFDFAFVDADKGNYGNYHEQLLRLVRVGGVIAYDNTLWGGSVAMPDDAPLTEKDREVREAIRAFNARIAADTRVEAVQLPVADGITLCRRVV >KQL02347 pep chromosome:Setaria_italica_v2.0:VI:31926950:31929511:-1 gene:SETIT_014142mg transcript:KQL02347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMAAGTTAVGLAPISWVRAAATTRRPFDGRRRRSSWRPESPARGAVGIHSARKVAQGARSTAMAADGEVKNIHTNDSTKTLLKNEALYEYMLNTMVYPRENEHLRELRHITEQHAYGFMLSPPDEEQLLSLLLKVMGARNTIEVGVFTGGSVLAAALAIPDDGRIVAIDVSREYYDLGRPVIEKAGVAHKVDFREGPALGHLDALLADEGNAGAFDFAFVDADKGNYGNYHEQLLRLVRVGGVIAYDNTLWGGSVAMPDDAPLTEKDREVREAIRAFNARIAADTRVEAVQLPVADGITLCRRVV >KQL01443 pep chromosome:Setaria_italica_v2.0:VI:19639886:19643680:1 gene:SETIT_015344mg transcript:KQL01443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHCVIVDSIINEPNTLRLTRTAKTLRNKGGTTDYTKGVSREQRAINRRNKLESSLVSSGIKYPKSCSDKRAWKRMKKERFECRKAREAFRKFEENQRAIAVQRFGEPFRDREVSNIAFEERSFGEIVKPGQITYPISTNYVVDLSSLVVSLALFDGDKMLFACSGIPLPHGRTRESLTTFVTSAHLVRQFNENRNKDDKLRVAVRLPNNRTTDGFLGLYDKDIAIVTCYGFLGVRPIDLDLMATPSPGDSVQAAGRAFNSGSLMAMRGSLYEKRHLQNLDHLNTWGSDSQDISKALLGGPLLGCDNKILGINLDICDPGDANLRCTFLSMDLLCKRLKHFQILNPKKLHFRGYTLPKHVSSVVPSGFMQTICRLKSCGYPIPPPLVLEFNGQLLNHFEECFGELLPWKGYPYHQTPRGSGERVWNQLPKEIVTDVSRRVVSLASFNGYVRSFACTGLLIKWHGSKAKHTVILTSASLVRGRCNEDSIDNSLTIEVFLPPNQRAGGTLEFYNLDYNIAIVSLKKNFSAIHPDDIFIESAQNSSKKVVAIGRDAKFGILMAASGEAKRGNRGCKLDCKDVQVSTCKIKKAGIGGPLINLNGSFVGMNFYDGSGVTPFLPRHKIVEVLSGVNSLPSECGYNHPIPVNVGGGTKNGIQKNRWPVPEPYWYHGSLDVNIRDHLGGKHIGRRLQ >KQL01552 pep chromosome:Setaria_italica_v2.0:VI:21566324:21569101:1 gene:SETIT_013249mg transcript:KQL01552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAPNAAPVELPGDSSEYKLRKQLLLLAALVVSVTYVAGLEPPGGVWKEDGGAGGGARAGAPILWSTHRPRYLSFYYCNSTALVASLVVIFLLLLKNPTRVQLAVLRLVMVLDLLGLMGAYLAGSCQEKSATVFAASLVLALSAYVGVHILQALSHSHHAQAAQPVADEEEEDDAAAAPGVLRAMERRKVVLLLATFLVAVTYVSGLNPPGGFWDSPAAHGGGYQPGDSLVEAHHKGHYGMFFYCNTTAFVASLYIIVVLLEEKLSARTARSIALYVFVFGALLGLVAAYTAGSCRDSNCSVYVVSLFGAVLAFIFLVMGMVMAMMMALSTKKRLRANTPSAVTANVYGGQSDTIDQATKKVKSLVVLLANLAATITYQAGLDPPGGFWPEDGHDGHRAGDAILLSAQPARYKAFFYCNSTAFVASVVAIVMVQNARLVRSHTLLAVMVLDMFALVGAYAAGSCRDLMTSVVVVALAASVVLYVVVQVLFFTLRAAETTSTLPEKKHKHLLLLAILVATITYQVGLTPPGGFWISDDRRLGHYAGHAVLLDSNPRHFKAFFYCNTASFMASMALILLLVNPNLHRLAIRCYPLYACQVAGLFGLIGAYAAGSARSLRTSGFLFVLVGAVIAVIVLNITVLDFVQRSTAPGEEHGPRNADETEYRDEVYAKRKYLMLLGILAASVTYQAGLAPPGGVWQDNGGNGARRREAGNSVLQDTNRRRYHVFFYSNSTSFVASVVVIALLLQQILRRRRANPAESPDLLLVATNTAVVVDLLGLLAAYAAGSTREWESVVVVTVLVVLFMVIHATVWLYRERRRRGSVAHANQGHPQMEGQVPNGQGHGQTSRCEEAT >KQL01624 pep chromosome:Setaria_italica_v2.0:VI:23558356:23559129:-1 gene:SETIT_015880mg transcript:KQL01624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLLHVLSSPEPRLVDVANYFHQPYLFLSYVTLLVDAHDAVSASSIMGLWLQRPCQKVYVIFHDAHANS >KQL02876 pep chromosome:Setaria_italica_v2.0:VI:35072890:35073345:1 gene:SETIT_015399mg transcript:KQL02876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RERRAISCMEAEIAKLPAAASVSMSSSLPASASAASGAAGAGGAEFTADDLAAADQLVQLSVSGGGDDEEEEEDQREALSSSSARSVNNAVTAAARDEEEEEDSGVVDRRAKKRYRLVSDLYAATRQVKDGAGGGGGKSKRRKSSRDGMRN >KQL01883 pep chromosome:Setaria_italica_v2.0:VI:27516648:27518645:-1 gene:SETIT_015770mg transcript:KQL01883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGVAGLVLALPLLFLLTRAAWVTVTCYYLTPMRIRRILTGQGVHGPPPRLLVGNLRDVSALVADATAGDMGSLSHDIVGRLLPHYVRWSKMYGRMFVYWYGSEPRVCVTDAGMVRELLSSRHAHVTGKSWLQREGAKHFIGRGLIMANGATWSHQRHVVAPAFMADRLRGRVGHMVECAGKTVRALREAVARGGNEVEVGAHMARLAGDIVARTEFDTSYDTGKRVFHLIEELQRLTARSSRYLWVPGSQYFPSKYRREIKRLNGELEQLLKESIQRSREIADEGRTPSSACGMGLLGMLLAEMEKKEKKTTRPREGGELGYDTQTIVDECKTFFFAGHETSALLLTWAIMLLATNPSWQDKARAEVAAVCGGAPPTADHLPKLTVLQMVIKETLRLYPPATLLPRMAFEDITLGGGELRVPRGASVWIPVLAIHHDEAVWGADAHEFRPDRFAPGRARPWAGRFLPFASGPRNCVGQAYAMVEAKVVLAMMLASFRFGISDEYRHAPVTVLTLRPRHGVPVRLLPLK >KQL00656 pep chromosome:Setaria_italica_v2.0:VI:3803249:3805853:-1 gene:SETIT_014711mg transcript:KQL00656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPARHSSKAEELRKATTFHPSLWGDFFLTYQPPTAPQDCRWWGCYTNPSTCLMTTTSLVAISRLAVLEAPSLRPHFNPSFCENFTPSLASGSGNYCCVLN >KQL00727 pep chromosome:Setaria_italica_v2.0:VI:4394562:4396265:1 gene:SETIT_015778mg transcript:KQL00727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALALFSLVLRLFAVQAVGGGDGSGSGSSLTACLAAAGVRNVTARGSPAYDAALRVSIQNLRFAGAGAPKPAAVVVPASLGELRAAVRCARAAGLVVRIRSGGHSYEGLSYTTEDRNAFAVVDLAALGRVRVDADADGGGATAWVESGATLGQVYYAVAASSPTLAFSAGSCPTVGSGGHIAGGGFGLLSRKYGLAGDNVVDAVLVDAGGRVLDRAAMGEDVFWAIRGGGGGTWGAVYAWRVQLRPVPERVTAFVANRRGTVESVARLVSTWQHVAPWLPDEFYVSAFVGAGLPESDRTGISVTFKGLYLGPRHEALQILTARFPEIGLSDLNPREMSWIESVVFFSGLPEGSSVSDLTDRVLHKKNYFKAKSDYVHRPMPLDQLIRAVEVLSKQPKAYVILDPYGGAMDGFKSSDLPFPHREGNIHGIQYLIEWTADEDGRRDEYMDWLRHFYDLMGAYVPKNPRTAYINYMDLDLGTNNWSSDRRSNIISKIPNPEVEAARAWGERYFLGNYDRLVRAKTMIDPDNVFRNAQSIPPLGGPGMTRSLPPAAISPKVTCNGSTNDS >KQL02755 pep chromosome:Setaria_italica_v2.0:VI:34372946:34374449:-1 gene:SETIT_014413mg transcript:KQL02755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGANAKKRKAPATAKGKKSKESSALAGEQKDPDAKRCKTEGAEEKEEESPVKPKSEQAGSDSSIEDGRQKPRGRGRMPSRWSPQGLRLCPCPCRRGQATHTLTERALMLDEIINYVQSLQQQVEFLSMKLATVNSLDFSNLPTLLHKDMFQACGPLESSVFSLESSSSGFPFSDQGDIFRSLVPNSLENNCSLNPLDLAVSQATNTFQDGTASTNLQVTDPKDT >KQL02137 pep chromosome:Setaria_italica_v2.0:VI:30216790:30216886:1 gene:SETIT_0138962mg transcript:KQL02137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPESSAAAAATGSGSDASDGEADAGRFYSTR >KQL02316 pep chromosome:Setaria_italica_v2.0:VI:31696647:31700250:1 gene:SETIT_013153mg transcript:KQL02316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLRATIAARLLALLLCFSSPALLAPCHGVNEQGQALLRWKASTNATRGALDSWNAGDATPCRWLSVSCDARGDVVSLSIKSVDLGGALPSADLRPLGRSLKTLVLSGTNLTGAIPKELGDLAELTTLDLSKNQLSGAIPAELCRLRKLQSLALNTNSLRGAIPDGIGNLTSLTYLTLYDNELSGAIPASIGNLKKLQVLRAGGNQALKGPLPPEIGGCTDLTMLGLAETGLSGSLPETIGQLKKIQTIAIYTAMLTGSIPESIGNCTELTSLYLYQNSLTGPIPPQLGRLRKLQTVLLWQNQLVGTIPPEIANCKELVLIDLSLNSLTGPIPSSFGTLPNLQQLQLSTNKLTGVIPPELSNCTSLTDIEVDNNELSGEIGIDFPRLRNLTLFYAWQNRLTGPVPASLSQCEGLQSLDLSYNNFTGPVPRELFALQNLTKLLLLDNDLSGFIPPEIGNCTNLYRLRLNNNRLSGTIPAEIGRLKNLNFLDLGSNRLVGPLPAALSGCDNLEFMDLHSNALSGALPDELPRSLQFVDISDNKLTGLLGPGIGLLPELTKLNLGKNRISGGIPPELGSCEKLQLLDLGDNALSGGIPPELGKLPSLEISLNLSCNRLSGEIPSQFGDLDKLGSLDISYNQLSGSLAPLARLENLVMLNISYNAFSGELPDTPFFQKLPLSDIAGNHLLVVGAGADEASRHAAISALKVAMTILAVVSALLLLAATYVLARSRRRDGAIHGADETWEVTLYQKLDFSVDEVVRALTSANVIGTGSSGVVYRVGLPNGDSLAVKKMWSSDEAGAFRNEITALGSIRHRNIVRLLGWGANRSTKLLFYTYLPNGSLSGFLHRGGVKGAADWGPRYEIALGVAHAVAYLHHDCLPAILHGDIKAMNVLLGPRNEPYLADFGLARVLSGAVASGSAKLDSSKPTRIAGSYGYIAPEYASMQRITEKSDVYSFGVVVLEILTGKHPLDPTLPGGAHLVQWVREHVHAKRDTAELLDPRLRGKPEAQVQEMLQVFSVAMLCIAHRADDRPAMKDVVALLKEVSRPAEGGEEGKEQPACNSASAAATTPPAVQAQRSPARSPLPKGGSSSCSFAMSDYSS >KQL01013 pep chromosome:Setaria_italica_v2.0:VI:7376836:7379294:-1 gene:SETIT_015219mg transcript:KQL01013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITGGLDYSPLPLPRTRRRAPLPSPYPHPCSLRLGDSRGLRPCRASLAAPPAPIDDEPRKSPLDLALLLAATAVAAAVSPHAALAASGGAMGGRSYSSSSRSSSPSTSSSSSSFSSSSSSSYSSRSSPSSSSSSSSSSWSSPSSSSSTSASSWLTPSSSPSSSTEQQVEATHVSVGTARPPPVATAAQRDAEMRFWGYLASASVSAAALFLAVRHYTQPRTTVVKLQVALLGLAKSFQRDLNEIAEKVEASNQRWYKFILTDTGDSWEQHFDKISVEERSKFDEETLYNLEGIKKKKSYSRKPDGFRNEYIVLTILVAADGALKFPQVRNYADLEAVVEKLNSIPARQIQGIQVLWTPQEENDIVSEEKLLEDYPYLKALCDD >KQL01813 pep chromosome:Setaria_italica_v2.0:VI:26567320:26567866:1 gene:SETIT_014856mg transcript:KQL01813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFPFPIKPLDGAGGYLRWKESVLLGLHMVDVAHVLSDDPPAPARAGGDGDGAQAAKKWARDDALCRGHILEALSNRLLPVYVRHATGRALWQAVARTYEPHASSLELRPSWRLERVARAEALVIAGFPWDPDSMLARKVRAKLPCAGDETSMDGVWRSAQDMETLDNYTQ >KQL00522 pep chromosome:Setaria_italica_v2.0:VI:2739836:2740223:-1 gene:SETIT_0146711mg transcript:KQL00522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSFKLEHPL >KQL00523 pep chromosome:Setaria_italica_v2.0:VI:2739836:2739872:-1 gene:SETIT_0146711mg transcript:KQL00523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSSFKLEHPL >KQL01121 pep chromosome:Setaria_italica_v2.0:VI:8704598:8705018:1 gene:SETIT_015945mg transcript:KQL01121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLLATYISNEFVTELGIQLNFLEPLEIP >KQL02538 pep chromosome:Setaria_italica_v2.0:VI:33113199:33116590:1 gene:SETIT_014168mg transcript:KQL02538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAASRVASQHNTADLESSRLRRSPPPPHQAQPRPPLPARSEDQDEDEDEEGAMTGGAAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVQPHHRLLLVGCGNSVFGENMVDDGYQDVVNIDISSVVIEQMKKKYHDKPHLKYMKMDVKNMSDFESGSFEAVIDKGTLDSIMCGQNSQENATKMLEEVNRILKENGVYMLVT >KQL02539 pep chromosome:Setaria_italica_v2.0:VI:33113199:33116590:1 gene:SETIT_014168mg transcript:KQL02539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAASRVASQHNTADLESSRLRRSPPPPHQAQPRPPLPARSEDQDEDEDEEGAMTGGAAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVQPHHRLLLVGCGNSVFGENMVDDGYQDVVNIDISSVVIEQMKKKYHDKPHLKYMKMDVKNMSDFESGSFEAVIDKGTLDSIMCGQNSQENATKMLEEVNRILKENGVYMLITYGDPSYRLRLLKDMENWTVKLHVIERWERSANQDKWELTKPLPLDDDNASVVSVLGPKPDVHYIYVCVKGNDGARADSSAGEVVD >KQL02537 pep chromosome:Setaria_italica_v2.0:VI:33113199:33115716:1 gene:SETIT_014168mg transcript:KQL02537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAASRVASQHNTADLESSRLRRSPPPPHQAQPRPPLPARSEDQDEDEDEEGAMTGGAAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVQPHHRLLLVGCGNSVFGENMVDDGYQDVVNIDISSVVIEQMKKKYHDKPHLKYMKMDVKNMSDFESGSFEAVIDKGTLDSIMCGQNSQENATKMLEEVNRILKENGVYMLVT >KQL02540 pep chromosome:Setaria_italica_v2.0:VI:33113199:33116590:1 gene:SETIT_014168mg transcript:KQL02540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAASRVASQHNTADLESSRLRRSPPPPHQAQPRPPLPARSEDQDEDEDEEGAMTGGAAASQAYGEAWYWDERYRKEAGPFDWYQKYPALAPLLRLYVQPHHRLLLVGCGNSVFGENMVDDGYQDVVNIDISSVVIEQMKKKYHDKPHLKYMKMDVKNMSDFESGSFEAVIDKGTLDSIMCGQNSQENATKMLEEVNRILKENGVYMLITYGDPSYRLRLLKDMENWTVKLHVIG >KQL02802 pep chromosome:Setaria_italica_v2.0:VI:34677558:34678310:1 gene:SETIT_015228mg transcript:KQL02802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YAAGAAVASGDAPAAARVGCSFLRRLLAEDSSPSTMARDEGLEEEEDCVGMRRTPSTVVAIAGTVPVPVAAMMAGSDCCSSHRMVSPSDLCPSSRVSWKILAAHAAGMRIRRPRPSTLVCRSRFRGGGLPRTTAIPPKYSTGSGLGTMNPCMGIAPIAIPAAAAAVAAPTTCCCRAASPSSALSCACASMARIETRESGRGQRERLDCALVIARRARLVPLLPACERGGGGARLGRFTWLAACASGERES >KQL00243 pep chromosome:Setaria_italica_v2.0:VI:743992:753605:-1 gene:SETIT_013211mg transcript:KQL00243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPEESWAREDVPGDASSSGASTSRAAGGPAAEVGASPDSLRNTASNIRRLEDAIKHCAARHKYLARTNSPSDGQEVRWYFCKLPLADKVLSSSVPRTEIVGKGDYFRFSERDSLALEASFLEREEELLAYWWKEYAQCSEGPRGSLVQSDDSEYLYKVEEERVGVPVKGGLFEVDLMRRHCFPVYWNGENRRVLRGHWFARKGGLDWLPMREDVSEQLELAYNCQVWHRRKFQPSGLFAARVDLQGSTPDLHALFTGEDDTWEAWLVFDTGPKLGSNTIKLRRGFPLSDPAKSSQDELRQRKEEEMDDYCSQVPVGHLVFMVHGIGQRLEKANLVDDVVDFRRVTANLAERYLTSYQRSTQRVLFIPCQWRKGLKLSGEHTVEKLTLDGVKGLRVALGATVHDVLYYMSPIYCQHIIDSVSNQLNKLYMKFLKRNPGYSGKVSLYGHSLGSVLSYDILCHQESLWAPFPTEYLNMEYASDRSQGAKSANEVAAHDSVTEDHGTSTLRHSCAVSVNGAVDEDSTRTDSSHMDGVLPSCVLENSPDNDDTVVSPGAVDAEQNEEENKVENHQTIYTEEGTTSVVSTKDAEGSSVSRSAEEVHEEILEKDKLIVSLEEEVKHLKARLEQLEQQNHVLTKSINGVEHHEGKSANQAMNSVKLFTAQGSTNQSYSPQIRYTKLNFKVDTFFAVGSPLGVFLSLRNVRIGIGKGQGYWQDENIIEEMPCCRQMFNIFHPFDPVAYRVEPLVCEDYLKKRPVIVPYHRGGKRIHVGVQEFTEDIAARSQAIARQLKSLKVKAVAAMLALSRNDTEEDCVSANEKERSYGSMMMERLTGSPDGRIDHVLQEKTFQHSYLSALGAHTNYWRDHDTALFILRHLYRDIPEEPPENEIERVPIKLFYERDPFVEETPLTFADEALVKEFSRKVRTCSRRKENDANCETS >KQL02255 pep chromosome:Setaria_italica_v2.0:VI:31233210:31237743:-1 gene:SETIT_013399mg transcript:KQL02255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPPLFLNVQPDHHILDMCAAPGSKTFQLLEMIHQSKEPGLLPGALVMANDLNVQRCDLLIHNTKRMCTANLIVTNHEAQNFPNCSLAKDYSEAYKDNCKSQRLEFDRVLCDVPCSGDGTIRKGHDMWRKWNSGMGNQLHLLQVNIAMRGIALLKVGGRMVYSTCSMNPVENEAVIAELLRRNGKSVELLDVSSELPELVRRPGLSTWKVQDRGSWFQTHDDVPHDRKNVVLPSMFPSSNITEESHAVCGYVEINTDNRGSFSRNCDIEETSNINHDMDGVSTDPTRNLDCTSNIVNSKFPLHRCMRIVPHDQNSGAFFIAVLHKLSPLNGSQIQGTKVQHTLGKGRIKQLHKEPEPETRPCETILTCQQNNVLEVDDAELLGRRQNLSGDNQTSKDKNSTEVEMVFNDVKSGREESGDIMKLQKQSRRKGVDPVLFFKDELVIKSIKSFFGIKESFPLEGHLVTRSTDNARRMYYISKSVKEIVELNEEVGEQLKIASLGVKMFERHRSKDGCSCAYRLSYEGLSLLLPYISTRILYASPLDFHRLLQYRTINFAHFVDSRFGEEAASMMPGCCVVVLLEGHENADSILKDPTTIAIVCWRGKGTMNVMVSPPDRTDLLERMAYRFGIKASAVEDEKLLSQG >KQL00682 pep chromosome:Setaria_italica_v2.0:VI:3950801:3951308:1 gene:SETIT_015904mg transcript:KQL00682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNDLPFDVRPKRGSNAFGPSWLLSSSYCLSFHDAFGCKMGNSLLVLVSLGFL >KQL02484 pep chromosome:Setaria_italica_v2.0:VI:32828852:32829426:1 gene:SETIT_014799mg transcript:KQL02484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTDNRINTITCTLMLTYYGCNNGSTTHLYTALASVYADNRNNGGGGRLMTPLFPLLHKWCTMQMI >KQL00395 pep chromosome:Setaria_italica_v2.0:VI:1762508:1765000:1 gene:SETIT_014020mg transcript:KQL00395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERETPEPAAPATFLRGEEGASPESARARFERMIRRVQAEVCAELEAVEGGASDGGGGGALFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYRAARPEAAAAAGGEKDGPVPFFAAGVSSVIHPVNPFAPTMHFNYRYFETEAPEDAPGAPRQWWFGGGTDLTPSYIIEEDVRHFHSVQKQTCDKFDSSFYPRFKKWCDEYFYIKHRGERRGVGGIFFDDLNDYDQETLLQFATECADSVLPAYIPIIEHRKDIPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHKPEVGSEEWKLLDTCINPKEWI >KQL00394 pep chromosome:Setaria_italica_v2.0:VI:1762508:1764592:1 gene:SETIT_014020mg transcript:KQL00394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERETPEPAAPATFLRGEEGASPESARARFERMIRRVQAEVCAELEAVEGGASDGGGGGALFREDAWTRPGGGGGISRVLQGGRVFEKAAVNVSVVYGVMPPEAYRAARPEAAAAAGGEKDGPVPFFAAGVSSVIHPVNPFAPTMHFNYRYFETEAPEDAPGAPRQWWFGGGTDLTPSYIIEEDVRHFHSVQKQTCDKFDSSFYPRFKKWCDEYFYIKHRGERRGVGGIFFDDLNDYDQETLLQFATECADSVLPAYIPIIEHRKDIPFTEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWEYDHVS >KQL02982 pep chromosome:Setaria_italica_v2.0:VI:35613669:35614059:1 gene:SETIT_015874mg transcript:KQL02982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDHTASPPLPPSLQMCCYRPQPLLPAASLQIFFCKIIASSYSRIGHY >KQL00997 pep chromosome:Setaria_italica_v2.0:VI:7261347:7261952:-1 gene:SETIT_014478mg transcript:KQL00997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMSLLVRIVVALSITISFTVVAGNCPGARRNMTIEAACHEVCGAATAPARGMYQLCVDTLREEDTLAWSVVDEAGEYAQRAAWRAIWAYLDTMGRAWHFITGNTTALAGGEEERAAYASCVGGRYQEAEAAMDKVRSRLPDHCGPDVAGEYKRALRDVEACRDRVAKLKPPPPPLLAMVEADYNRTLLAYLLGKLIGVK >KQL00196 pep chromosome:Setaria_italica_v2.0:VI:462291:465415:-1 gene:SETIT_015982mg transcript:KQL00196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREARAVGVGVLGGEMVWWGCRPCRLKEGVYDRIFIRGHEERHRIEESKAREAREREARDRQERKEASATRRRGRRGRRKH >KQL02372 pep chromosome:Setaria_italica_v2.0:VI:32062502:32065036:-1 gene:SETIT_013561mg transcript:KQL02372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFCKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLDETEDEKKRQEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSGYMSSKKTMEINPENAIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDEPNTFGSRIHRMLKLGLSIDEDETTEAETDMPPLEDDAGESKMEEVD >KQL02658 pep chromosome:Setaria_italica_v2.0:VI:33832404:33833657:-1 gene:SETIT_015883mg transcript:KQL02658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDYRFVYKDVEGTSTQWGDSQRRLGNLPPKPEPFKSPAFAPKVEADEQPKSKEWLDAREPEELEELEDDLDDDRFLEQYRKMRLAELREAAKAARFGSLVPITGSDFVREVSQAPSDIWVIQTEYGI >KQL00216 pep chromosome:Setaria_italica_v2.0:VI:586784:593307:1 gene:SETIT_013231mg transcript:KQL00216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAADADDPSLAATLEKFRLYETRARFYVIGSSREKRWFRVLKIDRSEPSELNVSEDPVWYSLQEVKSLLQRIDEGNRSTGGLTFVTKAYGIAGCIKFLESYYLILVTKRRQIGCICGHAIYCIDESQMITIPHSSVQTDVATSKNELRYKKLLASVDLTKDFFYSYTYPIMQSLQQNVTSAGMKEMPYENLFVWNTFLTEPIRSRCRNTLWTVALVHGHFKQVKLSFFGREINVVLISRRSRHFAGTRYLKRGVNDHGKVANDVETEQIVFEEEAGSWKGRMSAVVQMRGSIPLFWSQEASRLSPKPDIFVQRYDPTYEATKLHFDDLARRYGHPIIILNLIKTVEKRPREMMLRREYFAAVGYLNQNVPEEKKLRFIHWDFHKFAKSKSANVLGVLGKVAGEALDLTGFYYSGKPKVQKKRTTQLSRTSTARDGSLDIRASSGDLSRLSSNADALCSTGFQDMRNEANKQEPLGDAPSYQTGVLRTNCIDCLDRTNVAQYAYGLAALGRQLHAMGVTDVSKIHPDSSIASALMEMYQSMGDALAHQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDTDYYLHVTTAGDDLTSDSYDSSCTPGNNAFLGSGAALIPGSTLSPVPACKEDFSRMKLTSFEKLMERTCSSIRSVSFHCDADLKLSGGAGTSGMAPDAAEIQLKSPNWYFGQRKHTETIPTAGVVPVENANEANKDELNVSLCGELNWLSSSESCEEDIFRRYLAFTTADVENGWYGGTLIYDQDENSGAYKHYSELCQGAVMDPFEHDPEKERHYAEALSVDLDISNDARVAAEMQAALDEYQVIGSDLSIIPSCGALAEDPSQLTRWIIGDEKLRVGTAQ >KQL00504 pep chromosome:Setaria_italica_v2.0:VI:2638539:2640298:-1 gene:SETIT_013565mg transcript:KQL00504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVSSAVVNETVSQILSSLVQNYGDKEESNANRNLERLEMANIRLEAALEVSGKWQITDASLLRWRRKLKRAAQECDDTLHKCKQRILEDVETEKRVRNSSFPVRMAHTTKSFVFSVISFNKDESNSSIARRFEWLADGAREFLRLVELGGTPRCHMPFDPLIMHLLACKKLQHRIIRANKCPLFLQLVPYITSDHGIEARLIFIRTDGNVSEDDFFLSLMLQLSESTDIVGTAIKCLQLYGPIFKSTVETITKELMQLPTEHFSWVPVVDTHHKEHWDNLHNFGTDWFRPNPLCCKQNVQHKLYHGTELNKSRLLGAFLEPVIEVHLQCYVSLSECIQHRSLLFETKNSVQDSPYLKVGVLLTPHGHLEDILLVDRSPAIPAIYSEEQHSLHVNFTLGQLEEIMLPKAIDYFCKNDEATVYQMLWKLKHGASYIMIEKASMSSQRTSMRPWRTFQGPREGKMLLCHDQEIGRRTNVIFQFLNLWFVHAPVQLRGTIVDWIQKEKESRCIASQLHLNF >KQL01391 pep chromosome:Setaria_italica_v2.0:VI:18609419:18618215:1 gene:SETIT_013708mg transcript:KQL01391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGGAVDSSGTDDDLPPSYQNSRGMKGSGRMTGNGRDTIAAGPYTRVQPQTDMETQIHQLEQEAYCSVLRAFKAQSDAITWEKESLITELRKELRVSDKEHRELLNRVNSDDIIRRIREWRESTGGLQMNSVNNAQRLHDPMPSPTTSARKRQKISQPIPSASVPAPSAMHSQPLAAPMQPSSSGAKKAAPPGTKVKKTKPGQKIPVGPAVKPMPTSTGPSGRGPAINRNTSAGLPPEGPQLNPLIGRKVMTRWPDDNSFYEAVITDYDAAKDLYALVYDMNTAHETWEWVDFKEMAPEDVRWEGEEPDLNLLGRGAPIHGIKKSTSRGGHMSGAGRGRGPQKNSFKKDYPPSQNGVGKKSSDYIEILHTETLIKEVERVFTAGNPDPLEMERAKKVLKEHEQSLIDAIARLAEASDVEGDDHARPLEYNRGWRNQHGGRYADDMGIDGLMAGDADAM >KQL01390 pep chromosome:Setaria_italica_v2.0:VI:18609419:18618215:1 gene:SETIT_013708mg transcript:KQL01390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYGGAVDSSGTDDDLPPSYQNSRGMKGSGRMTGNGRDTIAAGPYTRVQPQTDMETQIHQLEQEAYCSVLRAFKAQSDAITWEKESLITELRKELRVSDKEHRELLNRVNSDDIIRRIREWRESTGGLQMNSVNNAQRLHDPMPSPTTSARKRQKISQPIPSASVPAPSAMHSQPLAAPMQPSSSGAKKAAPPGTKVKKTKPGQKIPVGPAVKPMPTSTGPSGRGPAINRNTSAGLPPEGPQLNPLIGRKVMTRWPDDNSFYEAVITDYDAAKDLYALVYDMNTAHETWEWVDFKEMAPEDVRWEGEEPDLNLLGRGAPIHGIKKSTSRGGHMSGAGRGRGPQKNSFKKDYPPSQNGVGKKSSDYIEILHTETLIKEVERVFTAGNPDPLEMERAKKVLKAC >KQL02151 pep chromosome:Setaria_italica_v2.0:VI:30350459:30351877:1 gene:SETIT_015326mg transcript:KQL02151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDLHFEILKLANSNCWATPQGWILVRDAASSSTYLVDPHNTTDTGRIPLPHLPEENLSTYCTCLLSDYPADPCQTSTSTGSSCIVLLVETDAPVIWYCRVGDGGEGWARHEYDIGTLDLGEGRSEKRVMCPIAACRGKFYFNGCDFREVGVLEFRPGPVFSNIVTRKDIAGPKGFRKTFMVESEQELYMVCLMSGLDLDVVHRFSVHRMDFAGDEWRDVDDIGDRVFLLAYWYFGASRSVVYPSNRRVVIFSLGDRTAKVLELDGAPASDQALWMLPTHP >KQL00960 pep chromosome:Setaria_italica_v2.0:VI:6907502:6908064:-1 gene:SETIT_015110mg transcript:KQL00960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQDNSIVIECKLTVIHVPDDEPITESFCKIEIPPPEMAQQFGMLLDVRHGSAYVYTETLPNMDGADRGNRHEMLCHILEVADLYAIERLKTICERMLWMDLDVENVAMTLALTEQQHCKNILQMLA >KQL02026 pep chromosome:Setaria_italica_v2.0:VI:29222474:29222984:1 gene:SETIT_015934mg transcript:KQL02026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCTWGWLGVWAIAGRSLTPVKNQCTPGTPTPPPSMRRAPARAPHRTRWHAAVLPSDEKGTRDSPCREPACESRGDDWTSRI >KQL02154 pep chromosome:Setaria_italica_v2.0:VI:30394922:30396692:-1 gene:SETIT_014238mg transcript:KQL02154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVRHLRLAVAALLAAALLLLVAMAQEETEHEEEFSYVHGDEHGPEHWGEIKAEWAACGAGRMQSPIDLHHERVSLVRGLGYLDHSYRPAQASIVNRGHDIMVRFEGDAGSLVINGTAYHLKQLHWHSPTEHTVDGRRYDLELHLVHESAEKKAAVIAILYEIGRGHDPLLHQMEPFIRQIADKRDREERVSVVDPRAVRGRASVYYRYMGSLTVPPCTEGVIWTIVKRVHTVSKYQLELLREAVHDVSLSYTSSLFEHA >KQL02153 pep chromosome:Setaria_italica_v2.0:VI:30394525:30396692:-1 gene:SETIT_014238mg transcript:KQL02153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVRHLRLAVAALLAAALLLLVAMAQEETEHEEEFSYVHGDEHGPEHWGEIKAEWAACGAGRMQSPIDLHHERVSLVRGLGYLDHSYRPAQASIVNRGHDIMVRFEGDAGSLVINGTAYHLKQLHWHSPTEHTVDGRRYDLELHLVHESAEKKAAVIAILYEIGRGHDPLLHQMEPFIRQIADKRDREERVSVVDPRAVRGRASVYYRYMGSLTVPPCTEGVIWTIVKRVHTVSKYQLELLREAVHDDMEKNARPLQEVNSRDVSLFRPSPSRKHH >KQL02116 pep chromosome:Setaria_italica_v2.0:VI:30068535:30070051:1 gene:SETIT_015686mg transcript:KQL02116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHALWLLWAATLGVTSLLYHYLANTRPRFATGRRRQLPPGPSPLPLLGNLLDLRGGNLHHTLARLARTHGPVMRLRLALTTAVVVSSRDAAREAFTEHDRALSARAVPDMACAAGFADRSVIWLPSSDPRWKAMRGVVAAHAFSPRRLAAARGARERKVRELVSYLRDRAGQEVEVGRAVYGGVLNLVSGALFSADVVDVGAESAQGLRELVEEIIEAIAKPNVSDLFPFLRPLDLQGWRRWTAGRFHKVFRVLDDIVDRRLADASSSSADMQGDFLDALLELVSTGKMTRDDVTTIMFDVFAAGSDTVAITVEWAMAELLRNPSIMAKLRAEIEGAIGSKETVEEPDAASLSYLQAVVKEAMRLHPDGVEIGGYAVPKGCTVIFNAWAIMRDPAASERPDEFVPERFLGREDGTGFRGKELKFIPFGSGRRLCPSLPMAERVVPLISASLLHAFEWRLPDGVSAEELDVNEKFTTANVLAVPLRAMPVVIT >KQL02417 pep chromosome:Setaria_italica_v2.0:VI:32394560:32396191:1 gene:SETIT_014663mg transcript:KQL02417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKKGAAARKEDVVTREYTINLHKRLHGCTFKKKAPNAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSSGIRSVPRRVRVRIARRRNDEEDAKEELYSLVTVTEIPAEGLKGLGTKVIDEAD >KQL02436 pep chromosome:Setaria_italica_v2.0:VI:32521632:32525762:1 gene:SETIT_015728mg transcript:KQL02436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHAPPPDDVIVQVNAAAVAAVDERSSTSQIEEVGGDDDIKFIGAAGGLTRRTFSESYRIRHRNPLEFTPWQVALLGYQSLGVVYGDIGTSPLYTFSSFTLPDPSKDDLLGILSLILWTLTLVSLVKYVFIVLHADDHGEGGTFALYSLLRQHVNFTGKKMPVPVTRLASDSNLKFHSKKSSLQPRMLKFLEGSVIAQAVITYLVLIGTCMVMGDGALTPSISVLSAVQGIQSRSSRITQGHVVLLCVIILVFLFLYQRYGTSKVSFTFTPIMLVWFVLIASIGLYNMIKYYPPVLKAISPHYIYMFFAKNKKAGWEQLGTVVLCITGAEAMFADLGHFNKKSIQMAYSCLVYPSLILAYAGQAAFLIKNPSKLSSTFYSSIPEPLFWPMFVVATLSAIVASQALISASFSIIKQSIALGCFPRVTMKHTSKKYEGQVYSPEINYFLMIACILITVGFKGGPEIGQAYGVAVIWVMLITTHLITVVMVIIWQTNIALAGSFYAVYAILEGLFTISLLYKIAQGGWVPFAITAFFLIITLSWTYGRSKRNEYETNNLMDRQEFIKTVTMSNRVPGVCIFCTDLMNGIPPIVRHYVQHMGCLRELMVFVTVRYLPVTSVLPEERFLFDRQEPFGVYRCIVQYGYMDNQNMDDDEYVGSIIASLKEIAQSADEVAMMDSALANGSTFVFGRVILKMGDKQNCFKRFIINNLYRFLQKNFRSNLSSLKIAPSKTLQIGIQYEI >KQL02680 pep chromosome:Setaria_italica_v2.0:VI:33937856:33940278:-1 gene:SETIT_014788mg transcript:KQL02680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPEMQRFLQQEQQKAMMGEMVGKLTSVCWDKCITGTPGSKFSSGETTCLTNCAQRFLDMSVLIAKRFEMQ >KQL02996 pep chromosome:Setaria_italica_v2.0:VI:35704134:35704508:1 gene:SETIT_014770mg transcript:KQL02996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETADMERIFKRFDTNGDGKISLSELTEALRTLGSTSADEVQRMMAEIDTDGDGCIDFNEFITFCNANPGLMKDVAKVF >KQL02283 pep chromosome:Setaria_italica_v2.0:VI:31451228:31451437:1 gene:SETIT_015495mg transcript:KQL02283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCVGAGKRSNSSGGGRYAVDGVTVFGRQHEANLTARNGRVYRFIKIRIMRRRMFLTLTEKDHVTLIVG >KQL02951 pep chromosome:Setaria_italica_v2.0:VI:35478307:35481999:-1 gene:SETIT_013393mg transcript:KQL02951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPSPAGAGAVDATDGVRVKVSNRYVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGYWDLVWNPPGQKTGIFDVIKGTEFRIIYHDENQAEVSFTRNWDPSLEGKAVPLNIDKRFIVLRGSSGFYTYGIYEHKEGWPDFGLGETRVAFKLRKDKFHYMALADNRQRIMPMPDDRLPPRGQPLAYPEAVLLVDPINPDLRGEVDDKYQYSCEDQCNNVHGWMSFDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTTLAMFLSAHYAGDDLSPVFTNGEYWKKVHGPVFMYLNSSWDGSDPTMLWEDAKVQMMIEKESWPYSFALSEDFQKTEQRGCISGRLLVRDRYIDDEDLYASGAYVGLALPGEVGSWQRECKGYQFWCRADVDGSFYIRSIVTGNYNLYAWVPGFIGDYRLDATLTIASGDDIYLGDLVYEPPRDGPTMWEIGVPDRSAAEFYVPDPNPNYINRLYINHPDRFRQYGLWERYAELYPDSDLVYTIGQSDYSTDWFYAQVNRKVDDNTYQPTTWQIKFTLDSVSPGSTYKFRVALASSARAELQVFFNDQNRGVPHFATGLIGRDNAIARHGIHGLYWLFNINVDSAWLVQGMNTIYLKQPRNQSPFQGLMYDYLRLEGPCGC >KQL02950 pep chromosome:Setaria_italica_v2.0:VI:35478307:35481997:-1 gene:SETIT_013393mg transcript:KQL02950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPSPAGAGAVDATDGVRVKVEIKNGIFELTLSNPDGIVTGVRYNGVDNLMEILNKEDNRGYWDLVWNPPGQKTGIFDVIKGTEFRIIYHDENQAEVSFTRNWDPSLEGKAVPLNIDKRFIVLRGSSGFYTYGIYEHKEGWPDFGLGETRVAFKLRKDKFHYMALADNRQRIMPMPDDRLPPRGQPLAYPEAVLLVDPINPDLRGEVDDKYQYSCEDQCNNVHGWMSFDPPIGFWQITPSDEFRTGGPLKQNLTSHVGPTTLAMFLSAHYAGDDLSPVFTNGEYWKKVHGPVFMYLNSSWDGSDPTMLWEDAKVQMMIEKESWPYSFALSEDFQKTEQRGCISGRLLVRDRYIDDEDLYASGAYVGLALPGEVGSWQRECKGYQFWCRADVDGSFYIRSIVTGNYNLYAWVPGFIGDYRLDATLTIASGDDIYLGDLVYEPPRDGPTMWEIGVPDRSAAEFYVPDPNPNYINRLYINHPDRFRQYGLWERYAELYPDSDLVYTIGQSDYSTDWFYAQVNRKVDDNTYQPTTWQIKFTLDSVSPGSTYKFRVALASSARAELQVFFNDQNRGVPHFATGLIGRDNAIARHGIHGLYWLFNINVDSAWLVQGMNTIYLKQPRNQSPFQGLMYDYLRLEGPCGC >KQL00467 pep chromosome:Setaria_italica_v2.0:VI:2331680:2333716:1 gene:SETIT_015739mg transcript:KQL00467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVLLAISLLVRGGAADRVPAVIVFGDSTADTGNNNFIQTLLRGNYTPYGRDFAGGVATGRFSNGRLAVDYVSQALGLPPVVPAYLDPGHSIHRLGSGVSFASAGSGLDDITAQIFSAVTLTQQIEHFKEYKEKLARAKGDAAASHAIARSLYLLSVGATDLLANYLLFPIRRYRFTLPEYEEYLVGAAEAAVRAIYGLGARRVRIPGLPPLGCLPLQRTVNPARPGDCNKWHNMVARSFNRRLRAMVWRLNRDLPEARVVYVDQYRLLGDMIAKPWVYGFENSVRGCCGTGYVETGVLCSLDNALTCEDADKYVFFDAVHPSERAYKIIANAIVHAASHGLK >KQL03023 pep chromosome:Setaria_italica_v2.0:VI:35824524:35825598:-1 gene:SETIT_015156mg transcript:KQL03023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLGSPCGACKFLRRKCVKGCVFAPYFCHEQGAAHFAAIHKVFGASNASKLLMHLPISDRCEAAVTMSYEAQARLQDPIYGCVAHIFSLQQQVVSLQAELESFKAQTTQGYGDGSLTSNPQKEKREILTPSMQDGQLFFHPTMASNSSVKSESQLFSTNDCFTSVSTQYSEGYEPDLCMPDYNSNPSCNMQGSGYHDMDDLQSVAFSYLNEA >KQL02166 pep chromosome:Setaria_italica_v2.0:VI:30571725:30581100:1 gene:SETIT_013627mg transcript:KQL02166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGPSHSQAGAQPGRPRRRWGLLLPLLVGAAFLAEIAFLGRLDMAKNAEAVESWTTSFYSRSTHWGEGARGGGGDEGDDEIRRCQERLEREDAVPYDRDFDREPVLVGGAAKDWNKCSVGCEFGFSASKTPDATFGIAPDRSVDSILRSMESSQYYSENNIDVARGRGYKIVMTTSLSSDVPVGYFSWAEYDIMAPVPPKTEEALAAAFISNCGARNFRLQALEMLENLDVKIDSYGSCHRNRDGKVDKVETLKRYKFSLAFENSNEEDYVTEKFFQSLVAGSIPVVVGAPNVQEFSPGEGAILHIKELDDVASVAKTMKNIASNPDAFNQSLRWKYDGPSDSFKALIDMAAVHSSCRLCIHIATKIHEKEERTPKFTNRPCSCSSKKGTVYHLFVRERGQFQSESIFLRSGQLTLGALESAVLAKFRSLNHVPVWKDERPPSIRGGDDLKVYRIYPVGLTQRQALYRFRFRDDSELEQYIKDHPCAKLEVIFV >KQL00466 pep chromosome:Setaria_italica_v2.0:VI:2320212:2321042:-1 gene:SETIT_014578mg transcript:KQL00466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEMDWIAARFLLSTILGVHPLVVLDDGDRDDERFPVDDGVQQRVTVPAAVEAPEGVAGVSVCAVCTEEVAAGQAVVRLPCAHWYHAGCIAPWLRIRTTCPTCRAELPREGGPERSRPAVVHAARLAEVAMETAGGRLRREASYTMLAGMLPS >KQL01974 pep chromosome:Setaria_italica_v2.0:VI:28573570:28579411:-1 gene:SETIT_013961mg transcript:KQL01974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVVEAVSAAAAAVVPPAGNGQTVCVTGAAGYIASWLVKLLLEKGYTVKGTVRNPDDPKNAHLKALDGAAERLVLCKADLLDYDAICRAVQGCQGVFHTASPVTDDPEQMVEPAVRGTEYVLSAAAEAGTVRRVVFTSSIGAVTMDPNRGPDVVVDESCWSDLDFCKKTRNWYCYGKAVAEQAAWDAARQRGVDLVVVNPVLVVGPLLQPTVNASIAHILKYLDGSARTFANAVQAYVDVRDVAAAHLAVFESPAASGRHLCAERVLHREDVVRILAKLFPEYPVPTRCSDEENPRKQPYRFSNQKLRDLGLEFRPVSQSLYDTVKSLQEKGHLPVLGDGEQKPEAEKEEQAPAATEVQQGGIAIRA >KQL02929 pep chromosome:Setaria_italica_v2.0:VI:35359655:35361229:-1 gene:SETIT_015661mg transcript:KQL02929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVQRISQETLLHLAPSSTTRRAAQGGRRGAPLLRYRRELEWPAEDILRERRASNPSAVRKLVAFGGEEAARYLAILDGIEPHLEIAHPPGVASLVLRRDAFNEFHTGASIAGADRNIIAMYAGPYRPTLPPPGTSRGLGFSSGCLCNRDKRSRLWGFYLVCDSLANSLAAVPPLPPSSASQFTNHGVGSGAGVLRHHDPPSEYVLAELLYHRNSCTMATLFTWQVDQQGGCTPLPSDPADIFRADIVFALGDSSICRVDLLKGILICDKVMDGLPKFRFISLPEGCSQGTSAPCAVFAATLSAGDIDDLITLRTWTLCISNSEWTKGGSFRIVDVWADPTYEGRKLPKKVPRS >KQL00897 pep chromosome:Setaria_italica_v2.0:VI:6139219:6139970:-1 gene:SETIT_014749mg transcript:KQL00897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGARFMHWAGARYFWGNQCFPRSHPLSTLHHPAAVSIDVFLARMFCCLLKIILLSLTCWLRRCEETEASESFRVDNTSNGLQSSLIA >KQL00283 pep chromosome:Setaria_italica_v2.0:VI:1019732:1020175:1 gene:SETIT_014812mg transcript:KQL00283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSHDILSVCLVQKLLACRPVFQLDFSSGFNLKQKINVKRTIIYTIYIRGITTRNLKSWK >KQL02532 pep chromosome:Setaria_italica_v2.0:VI:33100651:33102540:1 gene:SETIT_014437mg transcript:KQL02532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSSPNGASNEQRTNGTNETGVPACLLELLNKTMPGTKARRSAPPPCSLHSIPSLSRNPRLPPPQKQLRKGEGGEEGAEMVVRIRLARFGCRNRPFYRLMAADSRYPRDGKHLEVLGYYNPLPGIGCPLGHSLQILWSACSFVQEFFLHLQC >KQL02533 pep chromosome:Setaria_italica_v2.0:VI:33100651:33102540:1 gene:SETIT_014437mg transcript:KQL02533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCSSPNGASNEQRTNGTNETGVPACLLELLNKTMPGTKARRSAPPPCSLHSIPSLSRNPRLPPPQKQLRKGEGGEEGAEMVVRIRLARFGCRNRPFYRLMAADSRYPRDGKHLEVLGYYNPLPGKDGGKRMGLKFDRVKYWLSVGAQPSDPVERMLFRAGILPPPPMLAMEHKGGPRDRRPIDPMTGCPLDIEGLTIVDDPNTLDGENGASVEETA >KQL01611 pep chromosome:Setaria_italica_v2.0:VI:23116196:23117656:-1 gene:SETIT_014260mg transcript:KQL01611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPMLTALLVLFAVGQQSHAFYYKYSLPASSVAARATEELADTKMAVFFREEALRVRQSLPFRFPAAVTAPLGFLPRHIADAIPFSSSALPGVLAQFNVAEGSAQAAKMEETLGMCEDPGLEWEAKFCTTSLEALVEGAQGVLGTRSITEMISRVPRAGSPLQPYTIRAVQPVRGSSFVGCHQKEYPYTVYMCHSTGPARAYKVEMEGAGGDKVTLFSVCHTDTSEWDKDHVAFRFLDTKPGGPPVCHVLPYGHILWAKKYAGLSSA >KQL00496 pep chromosome:Setaria_italica_v2.0:VI:2545538:2547419:1 gene:SETIT_015847mg transcript:KQL00496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAGRDWSELPLDALMLVFARLGPVEILMGSGLVCRSWLQAAKEPELWRSVDMANHRVVEEMKGDVLCAMAKLAVDRSMGQLEVFLGKYFVTDELLKYVGARSASLKILSLTSCHEVSNKGFTELVTKSPLLEDLSLELCPKVGGRNVYESTGKACRQLKRFSLRRECFRFSLNYPRRVAEALGIAATMSELRSLSLISSNITNEELVAIVDGCPRLEILCLRDCYKVIADDPLRAKCDRIKTLTLPEYDR >KQL01724 pep chromosome:Setaria_italica_v2.0:VI:25219549:25220731:-1 gene:SETIT_014496mg transcript:KQL01724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGKRSGRPAPARHHMRRTTSMTEFAPPDLLAGVPEEELEDEEAELQLLPAHAEGDHHQVQAAGEDPFGWAVGGCAAGRADWLAAYRARAAPALAGLRRNSADFSAAETAAFLRACGLCNRRLGPGRDTFMYRGDTAFCSLECRQQHITIEEWKEKCALATPPTAPPPASEPVVPQPAAARSDKPGTLAAA >KQL02927 pep chromosome:Setaria_italica_v2.0:VI:35316004:35319204:-1 gene:SETIT_015812mg transcript:KQL02927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARSLNLDDDDLKEVNQLAAEESYYGYGMVSDILVQKAFGVDYHHWSHVQRLLFGVLLPRSNIRSRIPGMIREMLQGKRRLLLVENLQVPVPMDVLFLSTESMPTAFLWPNGWVISTTSKDVCNQSREHGLSYDSRWGLEYYHTLHFDDLRGQDWITLIKEALQDAAGSIHSMLIHEQQEDKFWLHVAQKCLYYGILYCPMQGAAARHDITSDELVRCWVAEDLLSPQVTTGRNYRSALEAGKIVIQALQEYSLLPNPPSNTCSREEASSGWSGPWSSWWSSLKFTAKPAVKHLSTGVSVLAMGVPRLKEEELFDHWWSNRLRWVSFMNDDGRHVSWDWRENWPVSANWDRSFPGERISTLILRGCSNISSFPFDRVLDHHLHVLDLSYTPINSLPPSLSRLSNLRLFSLRGCSKLETLSSPQHTCEEETRPLSYLGSLETLDMNGVPLLELTQQDCSNKSNLHFLDLSGSRITILPSEFFSEMSSLEELMLGNLKELPPSLPQLSNLLILHLEGTQIISFPEDTFEAMQRLHTLKLIDNMALMSLPTSLSKANGLRELHINNCKRLRLQFLWELVPGLEDLYIQTWEALEDIKIHGHPNLRTFSVFGPWIRCLSLRGCSKLKIVNVSDDLTALEDVDLSGTAIEEVPHSLPNLPQLRRLLLLNVPCFKRFPWHRLVRFPKVFCLDNCSDDHLSQKETDNIAQININDSRIFHSFNEDAANKLVKEGQFFQSFNVQIKPCSVRGKEPRDKPCTVIQRQLPYLDVSCSEAATIVPMMKLQPRRRHFEISTMNQHPNGLRILSVTNSLFITDDASIRCVSDLNCNLMSLEVCQLQHCHKMSVVFGMQSDQAYVWAKALEILQASNLNNLVCFLETSALAYIERRRWSWTVELKLLKHIHLEHCPRLEKIFPSCLSLPALETLVILFCPSLKTIFYKKYEYELVARCPLPNIESIYFQELPQLQHIHEDAMFRLATPKWETLFVRGCQSLRRLPFLREHPMSNVKVSGERDWWDRLQLSLPEQGKYYLQVPPPPEFASRKKKVIIKSYLR >KQL00123 pep chromosome:Setaria_italica_v2.0:VI:103605:103930:-1 gene:SETIT_015946mg transcript:KQL00123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAQRRLGCREAGELLETFLAKGRMGLSPFPT >KQL02945 pep chromosome:Setaria_italica_v2.0:VI:35436745:35437435:1 gene:SETIT_014466mg transcript:KQL02945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQQPAGDDVVNLDLRLIHPSAAAASRGVGGRHRDLPPAAAAAADPDRTFSCTYCRRKFFSSQALGGHQNAHKLERSLAKRSRELSGAVAAGAAISSSSSVGAAAASELGSWPPPPRPSSAGSPTAGAGTRTTACKPPPETPTISTSRSSCKLVANQCAPGTSDDARSFKHRHPTRKLAFDLVNCFSVLAARLLVIRLISCSSTVT >KQL01372 pep chromosome:Setaria_italica_v2.0:VI:18092776:18093296:1 gene:SETIT_015856mg transcript:KQL01372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHELPVIWSCANYRRVSLYPTKLHAQYLSKSTQKNLKLSHCKYSCTFQEFYIIQQP >KQL02962 pep chromosome:Setaria_italica_v2.0:VI:35521337:35523813:-1 gene:SETIT_014449mg transcript:KQL02962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLCNLSSSYYKEAAHLFCVIIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMLPRMLLTVDEDLKPLPVPVQVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTPVLKGFVILKKNPDRYDADFWLACTATS >KQL02963 pep chromosome:Setaria_italica_v2.0:VI:35521337:35523208:-1 gene:SETIT_014449mg transcript:KQL02963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLCNLSSSYYKEAAHLFCVIIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMLPRMLLTVDEDLKPLPVPVQVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTPVLKGFVILKKNPDRYDADFWLACTATS >KQL01188 pep chromosome:Setaria_italica_v2.0:VI:10471303:10476669:-1 gene:SETIT_013655mg transcript:KQL01188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILGMMGDSFGCSATGERLVSAARDGDIQEARALLELNPRLARYSTFGIRNSPLHYSAAKGHHEIVSLLIESGVNINLRNCRGQTALMQACLYGHWKVVQILVLFKANIHRRDCFSGATAIHFATLKGHTRCIRLLVADYVPSLSEFWNVMHGKSTDETKKDTFDATSLRRLINGKSDGGVTPLHLAALHGHAESVQLLLDLGASVSEVTVNDGSTIDLIGSGSTPLHYAACGGSAVCCQLLIAAGANMGAENANGYTPLHVARSWHKTSVEGILSKQPEGRIRILPSPYLCLPLMSIIKIARECGWRKTSASSTCQDPCVICLEVECTVAAEGCGHEFCTKCALYLCSTTSSSTSTRGVPGSISCPLCRHAIVSFMKLTSTTPIKELPWTSTSLALCAAGAGTAPNRASSLHRRPDTRRLRSSSVQLGCSSFRSIGSGKLSSLKLNCTGAEEAVPCLISCLRPDVQRSSSYRERIRRYSEF >KQL01623 pep chromosome:Setaria_italica_v2.0:VI:23550383:23556156:-1 gene:SETIT_014571mg transcript:KQL01623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSTRPLLRRLAALAGGRVRANHRLLSSSPSAVSAERASQSPAEPEAVRMTEGCVRRLKQLHGKEPSAEGKMLRLSVEAGGCSGFQYSFSLDDKKNPDDRVFETDGVKLVVDDISYDFVKGATVDYEEELIRSAFVVSTNPSAVGGCSCKSSFMVK >KQL03021 pep chromosome:Setaria_italica_v2.0:VI:35816687:35817997:-1 gene:SETIT_014296mg transcript:KQL03021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSSKDGDATEQPTSGDDRENGTGEPKEGAVVTGNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEVAGGADVAESIAHFARRRQRGVCVLSGAGTVTDVALRQPAAPGAVVALRGRFEILSLTGTFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGTLTAAGPVMVIASTFANATYERLPLDEAEEESAGQAAQLPPGPGGGPPLMGGMADPSAMPMFGGVPPNLMPGGGGASGAGLQLGHDGLAWAHARPPPY >KQL01860 pep chromosome:Setaria_italica_v2.0:VI:27249621:27254536:1 gene:SETIT_013263mg transcript:KQL01860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISRVETGSPLGNTRKKGRAKAEPKDNASMERCSGGSRGKNNLEEQMEAVRDNDAVDMDWEEGHVEQNEYSHDLGETVTVEFADDVPSSTSKKTVRRATAEEKELAELVHKVHLLCLIARGRVVDKACNDPLIQASILSLVPNHVLWSFTDVTNLRAVNLRNLVSWFHRTFCVTAQSTDRGSFVSNLAFTIQDRVGTAEEVCALSVALFRALNLTARFVTNLDVAGLKPDTKVMGTLNQDASRLCTRSLPYSSPAADGNVVSSPALLKDNTQDSVNMNQQRGGPGKSKQTSSCKRSLSKTLSSIKADNESSCISASSQLPSTSGNAEVPKRKGDVEFELQLEMALSATAAETQNNNQATHMSQSISSLQDSTPPMKKLRQNTEATSTSSAVWSRSAGAPLYWAEVYCSGQASTGRWVHADVVNDLLDAERKVEASSAVCKKPLRYAVAFAGNGAKDVTRRYCLQWHRIAQGRVNPEWWEDVLAPLKQMELTATNNSEDMELQTRALTEPLPTSQQAYKDHHLYALEKWLHKNQILHPKGPVLGFCKGHPVYPRSCVQTLQSRHGWLREGLQIRENELPAKVVTRPKRAFNAQSVESSANEDALKPNLELYGEWQLEPLQLPHAVDGIVPKNERGQVDVWSEKCLPPGTVHLRLPRLFQVAKRLGIDYAPAMVGFDYRSGRCLPVFDGIVVCTEFKHAILEAYAEEEEKRRAEERKQEEAQALSRWYQLLCSIVTTQRLKESYKTPSHGLGHEGPPRNDNNIQKNSYSSRRSEREPSSSKLQTDQDHEHVHEYPEEDQSFDEETFVRTKRCPCGFSIQVEEL >KQL01931 pep chromosome:Setaria_italica_v2.0:VI:28221621:28223670:-1 gene:SETIT_014400mg transcript:KQL01931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARLSLAAAILLMVLAAVAPGRAAPPDYDFFYLVLQWPGSYCDTRQSCCYPKSGKPAADFGIHGLWPNRDDGSYPQNCNPDSEFDPSKVSDLLSSLRAKWPTLACPTNDGLRFWGHEWEKHGTCASNVFDEHGYFQAALRLRDRLGVLRALAAGGVRPDGGYYTLDQIKGAIRDGTGFEPYVECNRDESGNSQLYQLYFCVNAAGDSLVECPILPRGRPCGSRIEFPAF >KQL02186 pep chromosome:Setaria_italica_v2.0:VI:30711862:30712833:1 gene:SETIT_015570mg transcript:KQL02186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDDEVAFEFVPVIRQYKSGRVERLHHVHPVPPSVDAATGVTSKDVTIDAVTGLWARLYLPDLSTRPCGGGEDGRLPIVLYFHCGDLVVGTASDAPEHAFLNRLAARAGALAVSVEYRLAPEHPVPACYDDAWSALLWATTAAADPWVRDHGDAARVFVLGFSSGGNVAHNLTLRAGSEPDRLLLPGGARVEGMALVHPYFLSPSCDTAAGKEWLRGKLAELWVFACGGRTTGSDDPRVNPLAEGAPSLRRAGCRRVLVCLAEDALVAEGRAYHDALLASGWAAEDAELLDSRSADHEFHLREPESAKALLLMDRLVALITGN >KQL02519 pep chromosome:Setaria_italica_v2.0:VI:33007039:33016242:1 gene:SETIT_013124mg transcript:KQL02519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYIFVTNHADAWVMWRLKVPVRKRRLVTLSVPSPPLIKPRHEPQSTPPRSRPAQQQGGSRVLEATDRYGRTHPFIQPPPSLVVHRRLHRVAKEKEGKAEEEVEEERKASGGERVFSEGVDKAAGVVGGSGERGARLVARASIRRRAAAGGGGGGGAGGAARQLRVSGSAVRPARRPQGRAFPPSPCLVFPPVDCLMRVPNVRVCIVLLPHNPAVLVHLTQTMPCDPVCPIPTACLPKHATTVAPSLAAQAQVVAGFISDRSVRPHPFDPACHPSSCPLSHKRKRVKRRWRKGKLMPERVSVLNGVDKAEVIGASGEREKLVTASFGRGTTGGGAGAWSPRVSGCRPAGSGATSLMATESAVRLIGGTGARDWSKGFGAFDSSVGGLSGEDLGFVDNGTGVYSGWRESVPNRSGSAPPSMEGSLAALGHLIGQQSGSFEASLASLDNMTDSSKSEEQLRGDPAYFEYYGSKVNLNPRLPPPLISRESRRLMNRVGKAKEWRVVSQDNSSKGSIYIPRSTLSTHKEEPEDDKSPRLDSSSVEDAQIISSTSNFESQDFMLESFQQSVASLPDSSYSNPSNNNTGDAMAARSDINLSRSLSVDAVKQSDLNSWTPKSPLKSTISNDLSSSPLSSSSYSGSKTGMQTSQQEKLAIDTKLGNAVLGSGAAVTELDNVESNMKNLKLSLDDHSSSSVKQKWQDNVLQQYGPLLPAQGDPIQMTPQGPHLSHVPFADNLAHTQLKLPTGDMQQFLPQLGMTTPFFTPNSFGSPYYQNLHPAAFPTSIGTGGYPVTGSVLPPFMGSYPPQGSLATPLDSPMTPSFSGRPSGFPSTGNPTGGTDFMQSYKMYGQLGVGMQPSIPDPNFIHFFQQPSLLQYNGGNQYNPMVPRFTVVGNPAESFDPQKMIPQTAYPSDQRLQLPRTGFPNSPTARRGGAVPNYPGMSPYVGVPMSYPTSPVFQGQTLPGALPPGRRNDSGFQSPSRNITANSGIQGQRERQKFDEPKACSFLEELKSNRARRVELSDITGRIVEYSADQHGSRFIQQKLENCTAEEKASVFTEVLPHAASLMTDVFGNYVIQKFFEHGTREQRRDLATKLVGHVLPLSLQMYGCRVIQKALEVMEIDQKIDLVRELDGHVMRCVRDQNGNHVIQKCIECVPTEHIGFIVSAFQGQVANLSMHPYGCRVIQRVLEHCGGNSQGQCIIDEILQSACILAQDQYGNYVTQHVLERGKAHERSQIITKLAGQVVTMSQNKFASNVIEKCFQHGDIAERDLLIRQIVEQTEGNDNLLAMMKDQFANYVVQKILETCNESQRELLISRVKGHLQALRKYTYGKHIVSRVEQLCGEGPAESDS >KQL02426 pep chromosome:Setaria_italica_v2.0:VI:32442118:32442619:-1 gene:SETIT_014727mg transcript:KQL02426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSTVCGSSPCPRRWSSQRRSVGARLVGPRPSARRCGAPGELNVVGSFRTAQMSGCRVQSKSLTLEDPIPNTWGEVEFPRRPQVPRPRFQDENEQ >KQL01768 pep chromosome:Setaria_italica_v2.0:VI:25788087:25790714:1 gene:SETIT_013821mg transcript:KQL01768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAHPLAILLLLPLLAAANLVLEDGYTVTTFSDLNPLPASGPHPYAVLPRPRAGDLLLLDSAGSALYTLALSSPGDPRGLAGGKRDAGFNDGGPGDAAFDRPRSVAVDGADNVYVAHRVRVAGQLHGVVRKVSPNGYTTTIAGGLSSGPGHRDGPAQNATFSPDFELAYVPKICALLVADRGNRMIRQINLKPEDCAREKQSGLGTTSVSVIAILCALVGSIIGFLARHFYPVNEISINHFFSRIQKQYQRTQRKATLISFCDIKSAVASSMAYTLLLKVVRVSCGYLAVVFPSVRLQRDVPRKPSRRPGLRKTSTSPNIGLHNKSPLAPTEQLEDLISFAGDANDKDDSSNANCQEGEEPSFERDLMGLVYTPQGSVKKIDHMIEANLAGFSGHEEHSCLTVSRCSISKRRVHGDK >KQL01918 pep chromosome:Setaria_italica_v2.0:VI:28068610:28072398:1 gene:SETIT_013994mg transcript:KQL01918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKIGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKSISGTLTGTADDKSAKVAASLSHMAQWESARLEAEARLARESKMRTAAPTPTTLHGQQTNVPASTASPCLDVLHAWQGAKIDLESPTSTLTFTGSNSGMLPTPRTNRLEVSESNSVMWERSDELEGEESDWQFYSRHQVLGLEGKEREEDFIGCEEPWFSGMAGVGAGFTGMLLDGSNEHDASECWGESSNGQTEHSNQASDEEDKNYWNGVLGMVNSELPPQSPPSPLV >KQL00290 pep chromosome:Setaria_italica_v2.0:VI:1076247:1077557:1 gene:SETIT_013772mg transcript:KQL00290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGDSAVPNWVMLERLAFRRDDPASFREDRRTFASGTTSAGTQFDVSFILAEPPTPSRLYLSWPEGPKQGSQGLVMAANRNLVLLRLEYRVDESVQFGEVVHDYFIYIADPSSQRKPLLRRLPRCTEYNDYFERQVTRAFPVLAVGLLCHGEDEFAVAHLAIRPRKKKSGSRIKKSAMQAELCVLRSSLSSSDDAKWETKVFPLQYQYDDLYYDFLNWEVDGVVPFKNALCWVNYCRGILFCDGVFEDRPKVSYIRLPLDTYFRGTDADGRKGMYHGLCVTEGGHRLVFVDVARHDGKCYGPRMPNTGFTLTSRTLKMTGNCTTPWEWNQDAVVTSDELWHANTMESLPRDIVMLPLLSMDKANVAHLSLLEWDGGRFSLVSIDLSNMQVMGPVITYLKGEDDTADADIVKAKQGLCAHFIPSEFPKFLDLR >KQL01565 pep chromosome:Setaria_italica_v2.0:VI:21795784:21796551:1 gene:SETIT_015128mg transcript:KQL01565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTPEIMAARQPPQLLAALPTEVTKEITGHLAVTSERPMDDFRSLRVTCSFMDDMSWNELARYTALLTRLTLISNPDTYFFTGIVEFFGEHHDPQPSFHELSCAAMGGHNVAAYLVTLILYKNNGGTSNNDTVRWYIRWIEGEEHSMASDSDGPRMLSNKGCRLCHEQAAFWIGRTIWRKAGEPLPQAPVRGDPSCANSGCGVTFECPQKTLFCSEDCKIHREIVVFQRKLGIDK >KQL01892 pep chromosome:Setaria_italica_v2.0:VI:27588639:27592370:-1 gene:SETIT_014574mg transcript:KQL01892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAARPVPLRRPGALLRSPGPCSLLASRVAAPFPRRTDSLKINQLRLAAARFSKESNSDEDDDLLSELRDKWDAIENKSSLLLYGGGAVLAVWISLVVVRALDSVPLLPGLLELVGLSYSGWFVYRYLLFQENRKELADNLDDLKKRITGDE >KQL02916 pep chromosome:Setaria_italica_v2.0:VI:35269302:35274894:-1 gene:SETIT_013391mg transcript:KQL02916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASESETTAHSTITTTTLDDGGTHESKRDLGNGAATTPSVGLGEEEEMIGPGPAPAKQRQKRPLQFEQAFLDALPSAAMYEKSYMHRDVVTHVAVSPADFFITGSADGHLKFWKKKPAGIEFAKHFRSHLSPIEGLAVSLDGLLCCTISSDRSVKIYDVVNYDMMFMMRLPFVPGAVEWVHREGDVKPKLAVSDRNTPFVHIYDTHSGSNDPIISKEIHGCPVKVMKYNHVHNVVISADAKGLLDYWSPSTLEFPEQEVRFRVKSDTNLFEIAKCKTSVSAIEVSNDGSQFAVTSPDRRIRVFWFKTGKLRRVYDESLEVAQDLQRSDVPLYHLDAIDFGRRMAVEKEIEKTENVPQPNAVFDESSNFLIYATLLGIKIVNLHTNKVSRILGKVENNERFLKIALYQGDKGNKKVRKIPSVAANVNDTKEPLSDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPEELLAVSDLGKTATTSLPDNLVMHTSMGDIHLRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGSEFEDEFHKSLRHDRPFTLSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQQIEKVKTDKNDKPYQDVKILNVTVPKT >KQL01653 pep chromosome:Setaria_italica_v2.0:VI:24201309:24202414:1 gene:SETIT_014609mg transcript:KQL01653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNMALAGNGMKKLILAVLLLCLVIGQIQVEAKSCCPSTTARNIYNTCRITGTSRPTCAKLSGCKIISGDKCKPPNDHLTLDPDTEEVNVLNFCKLGCASSVCNNINAALGNEEANDAVESCDQACSSFCNVHVGAATVVA >KQL01654 pep chromosome:Setaria_italica_v2.0:VI:24201309:24202414:1 gene:SETIT_014609mg transcript:KQL01654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNMALAGNGMKKLILAVLLLCLVIGQIQVEAKSCCPSTTARNIYNTCRITGTSRPTCAKLSGCKIISGDKCKPPNDHLTLDPDTVNVLNFCKLGCASSVCNNINAALGNEEANDAVESCDQACSSFCNVHVGAATVVA >KQL02850 pep chromosome:Setaria_italica_v2.0:VI:34956917:34957268:-1 gene:SETIT_015576mg transcript:KQL02850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRRPENAQPPTYSTVQLFHGGVFISSGSNWEYVDAKTVWYDFCEMDKWSPLVVEDIIEDLGYEMAGRVIVFLKRASRELLLY >KQL01756 pep chromosome:Setaria_italica_v2.0:VI:25561116:25563685:-1 gene:SETIT_014699mg transcript:KQL01756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAFKAFLNSPLGPKTTHFWGPVSNWGIILASVADTKKPPEMISGNMTGVLCVYSALFVRFAWMVRPRNYFLMVTHSCNECVQLYQLSRWARAQGFLGKKKEPEAQE >KQL01272 pep chromosome:Setaria_italica_v2.0:VI:12886299:12891758:1 gene:SETIT_013149mg transcript:KQL01272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSTGAGPPVVNVYHEKSMILPDVSRVLTCLYEKNVKFETIKASYKDILSLQASRSVPVPFYDGPLFLQDSRAICRYLAETYEHQGYPFLLGKDVLERASIEQWLRNEEHAFDPPSRALFCHIAFPLHDEDDENNEDINREKRKLEEVLEVYEQRLGESDYLAGNKFTLADLVHLPGTHHVITSERFAYLYDSRKNVQNWWNRISARDSWQQVLRDMKTVEEEHIKEEYERQQQQRWQTEPQFVRDIHISHRQQEGTKSQTVLVAPPSTGTIITSISPAPREHENTTEHKPSSPVERNQGGFFTTTEKTQPPSRQTDSTTQKPPSSVQSTKSNFFTQPSTPTTAKMHQRTDAEKPIHKDASSPSKTSQISPKEAPDKPHLSGFFKVSGYKYEAGSVAKPSPQAPSGTPGARQASEAVASDKPSQGSAKSPHRITEPDYSESESKPFGVHPQVDKPDTQKQQTPYGKPPEQTVTHTYVGPEAGEKQKSAMGTHYAQGETAKQSTYSGYPAIAKRATEADQNRAPPPRAQEVQSGVQDAQKQAKALPADQKASGTSPLQVESEEDTQGGIDEDERFSTKRLRRMFDPDAPDSQDPAMEGEAPAISNMPSDVHDREKKTTTVPATPPTGTRAPYTPETADERGAILPPKGVPYNDRATSGPEKTPPIQQVPPAAPRTDKLSKTEDASMRGPQGAPQQTTVDARSGSALVQGADAHARVISDEQTYKNSTMGGKAPEATRKASDSQGTSALIQEPILDTRGKQAPVGQEEIPGVRDIGDRDTTKKSIINKRAAEPTSGSQQITEPVKGAGPTSPKTPGDKSTRAATADPGAELTAPVGAPALGGQNASAVLREGNLDANSKNEAAKPSPGDLRTTLPTTPGRLAPSPETQSHDTSGQLSKPSPPVSSLSDTRKEKTGIAETIQTSVVSPNDQLGGQATRNAGPASVPPAVKSPEDNKTYQEEAARQELPRDQSRAQLAEKKKQGDDATPTTRIGKRKDEDSLANTSDNITEQAQGTTHDAPSKLQIQSDKKEPQPSKDGGKQMKETTNSSSLSTSKEVLPSLPEKRKQEQQLKGDRSGISLQENVKQGYETTPLGSGTVQQKKDISTDADKNYEKTSEVNPEEKNPL >KQL01220 pep chromosome:Setaria_italica_v2.0:VI:11123693:11124067:1 gene:SETIT_015881mg transcript:KQL01220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCGCFKIRIELPVIVLVRINLKLIILISCIKERVIGNKHGDGDAGNLKCLAS >KQL02869 pep chromosome:Setaria_italica_v2.0:VI:35040068:35040741:-1 gene:SETIT_015081mg transcript:KQL02869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDDRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTPDEDDLIVSLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIRRKLLARGIDPVTHRPVAADVGGVTTISFHPPPPQQQQLKPPPRCPDLNLDLCISPPCHQQEEEDDQPLDVEVDLIKPVVVVKREVLQAGHGHGSRGALCFGCSLGLQKGGP >KQL00138 pep chromosome:Setaria_italica_v2.0:VI:171802:172759:-1 gene:SETIT_014375mg transcript:KQL00138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDINKAAGASPAAVDARTVVAHVLAVAATVLVLVWCVHFRGGLALRSQDKQLIFNVHPVLMLLGPIVLAGEAILCYRSLPLLSRGARKKAHLALHAAGLGAGVLGVYAVFKFHVESGIPNLYSLHSWIGIATISLYALQWAAGFLAFFFPGASPATRRRALPWHAVGGLLVFALAVGTAQLGFLEKLTFLQGPPLRLPRHGAEALLVNFTALVVLLLGVAVVLATVTMDATRYNTIM >KQL02867 pep chromosome:Setaria_italica_v2.0:VI:35032752:35035528:1 gene:SETIT_014338mg transcript:KQL02867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGVDTVVLGVEKKSTPKLQDSRSVRKIASLDTHIALACAGLKADARVLINRARVECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPYTQKPALYQTDPSGTFSAWKANATGRNSNSMREFLEKNYKETSGKETIKLAIRALLEVVESGGKNIEVAVMTHKDGLRELEEAEIDEYVAEIEAEKAAAEAAKKGAPKET >KQL01283 pep chromosome:Setaria_italica_v2.0:VI:13447368:13448150:-1 gene:SETIT_015306mg transcript:KQL01283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSPPHPPPPLFVLDCRFSKMPSHKTFQIKKKLAKKMRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >KQL01530 pep chromosome:Setaria_italica_v2.0:VI:21282661:21282933:1 gene:SETIT_015273mg transcript:KQL01530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPSAKALVATILSALLILLFVFLVCASPSPQQQQHSVMRSRRLLSTQCRDASSCSTPLSGLSRFFKAPATVFESLKKMPKSRSNPSHN >KQL00681 pep chromosome:Setaria_italica_v2.0:VI:3950282:3953049:1 gene:SETIT_014741mg transcript:KQL00681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQEEFEEYAEKAKTLPDSTSNENKLILYALYKQATVGPVNTSRPSMFNMRDKAKWDAWKAVEGKSKEEAMNDYITKVKQLQEEAASS >KQL00854 pep chromosome:Setaria_italica_v2.0:VI:5675231:5679688:1 gene:SETIT_015370mg transcript:KQL00854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICSAAATAPVTQSAAEDCRRQYGPSVWGDFFISYEPCTPEELLSMQEKARALKEEVRRIVLAAAAAAAASDDHDLVRKLELVDALQRLGVDYHFKKEIDVLLLAVYGDEDGGSNDLYVASLRFYLLRKHGYIVSSDVFLKFRDEQGHISSDDVGTLTTLYDAAHMRVHGEDILDNIIAFNKSRLQSLLMKANLDPALLEEVRVTLETTRFRRVERVEARRFISVYEKKAVRDDTILEFAKLDYNIVQVVYCNELKELTIWWKDLRSRVDLTFSRDRLVEMHFWMMGIVYEPHYSYARIMLTKQVLFMALLDDIYDNYSSTEESNIFTSALERWDEKAAEQIPEYLRPFFTNVIRCTDKVTGELKLQNNKHAEVVKEMALHVAKSYHAEVTWRDEHYVPADVDEHLQISLGSIAAMQTVVLTFVSLGDVTTREAIDWALTYTKIVRGVTVIARIMNDIMSHEREQASDHMASTVQTCMKQYGVTVEEAIEKLKEILERAWMDMVQECLDQKYPMALLEKVVSFAQSIDFFYKSEDLYTLPCNLKETLTSMYAKFV >KQL01079 pep chromosome:Setaria_italica_v2.0:VI:7934899:7935168:1 gene:SETIT_015666mg transcript:KQL01079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHHPSTSKKAVVTEAAVDVCLAGAAVAGAALLAWWAVAFHPTYAHLWMVPLGLVLAGTPPVVCLALRCSGDGGPRVPLPRSLPTVPTAC >KQL01925 pep chromosome:Setaria_italica_v2.0:VI:28183820:28185679:1 gene:SETIT_014218mg transcript:KQL01925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGGGQQQQGEGRPRLRWTSQLHGRFELAVAQLGGADKATPKSVLRAMAVPELTLYHLKSHLQKYRLAVSRGLITTSPGDNGEGANDRSSSSENEYDEDAVAELHGAFTADDGAGAKEGLCDSSRSMARMHREVQRKLQEQIEVQRHLQLRIEAQGRYLQSVLHRAYEVLSDDHNLGSPAATELSELASAVESGCLSSSSSLSPSPPRRRAAGSCVTSSSSWEAESHAAAGSKRPCTCAVEQPAQGKRTFLQQSHDHGAEEADADAEAEDGSSSEIDLNR >KQL02065 pep chromosome:Setaria_italica_v2.0:VI:29609839:29610586:-1 gene:SETIT_014962mg transcript:KQL02065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNCFRRRRGGRPARPPSSGVGSRWRQSLGEAARSSFSDPDPNQDKGVTTANIMAGLRPSQPTLAASTTPTSRPHGCRSHEVIANDVLQSECIVRLQDFNADEALRAMPYSHAFHHHCISEWLSRKASCPLCRRHLPTAPTPMLDEEDDIGRVEWVDTSGKVEWVDGGGGESS >KQL02817 pep chromosome:Setaria_italica_v2.0:VI:34799454:34803905:1 gene:SETIT_013147mg transcript:KQL02817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLLLPVVRGVVGKAADTLVQSITSMWGVDDDRRKLERHLVYVQSLLADAEAKSETNRAVRTWMKELKAAAYQADDVLDDFQYEALRREAMSDQSVASKVLINFTSKNRLAFRCKASRNLKNVLKKIDELVTEMAKFGLVKLAEEPPQALPRQTHSALDESMEIFGREDDKDGVVELLVDQQDRQDVQVLPILGMGGVGKTTLAKMVYNNDKIQKHFELRMWHCVSENFEAIPLVRSVIELATSSRCDLPDTIELLRGKLQEAIGRKRFLLILDDVWNEDRKKWEDDLRPLLCSSIGGSGSTIVVTSRSPKVALIMGTLPPRELVCLSEDDSWELFSKKAFSKGVQEQAEFVKIGRCISEKCKGLPLALKTMGGLMSSKQQIQEWEAIADCNISDTNRGKDEVLPILKLSYKHLSPEMKQCFAFCSVFPKDYEMEKDMLIQLWMANGYVHEEETIDFGCKMHDLMHDLAKDVANECAIAEELIQQNLPMNDIRHLHISENYELNKMSRLLGGTKYLRTLLTPESSYKDLMKSKLMSSRALHIYEDTSIVRMELTRIAHLRYLDLSDSYIVSLPNSICMLYSLLSLRLNNCSRLQYLPEGMRTMRKLCHIYLLGCIKLKRMPPKLSVLHNLRTLTTFVVGTKDGCGIEELEDLRQIGNRLELYNLRKVKCGSKANLHEKHNLNELLLYWNNFRDEYVESTIGKATDHEQVLESLVPHDKLKILEVHGYCGLTISKWMRNPQVFWFLRELIMTKCRGCKDLPIVWLSSLEHLSLRDMESLTTLCKNINLEDEADNTSLQIFPKLKRMELMSLPQLDKWAENSAGEIVSSVTFPQLENLEIEYCEKLASLPRLPVLTYLKLYYWHWNNSARTLISMRVPLGSLPSLIHLQISDLLVDVVMLPDSEESQSQRPLDTLRYLKLEGDDAFETIFNKSKLQLGLRDWLVSVEELDISSLDIVRWPVEELRCFPRLQSLSICGCSKLEGKGSSSEEDGILPLLPEFPASLEEIRIDSNRSLVALPSNLGDLTKLRRLTLWRCDALKALPDGMDGLTSLEKLQIGYCPGIEKFPQGLLQRLPALKSLNIYDCPDLQRRCREGGEYFDLIASIPDCYIPAPAQAQPRKWFLPSCGGGSQGN >KQL02327 pep chromosome:Setaria_italica_v2.0:VI:31756555:31760066:1 gene:SETIT_015690mg transcript:KQL02327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPRRWRCRRWKCQPRDVVLALLLASVLAPLALYSGAPVSPFSGPLRTIARSDMDKRLNTLAQNTFGVAVKEPAQTVASAIGAHGKEGVVVAMDHGSQLGQDGVIRRNVGRRSVSDRSRESTVRKHNILWNGQEMKEMESQDEAKRHDDDVAISGKEGVARLGKESGRGSDVEARYHNATAMHSNPNASLKKENTSDRSSEKLRGATSEDSDAKAISSSTSHHTSLPDATIRAIKNQLRTAKTYIGLLPSRGRHAFVRDLRRKMRDIQQALGDATSGRRLPKNVHGLVRAMELTLAKIKQVHENCAAVIDKLLATLHSTEDQVQAHKQKANYVAQIAAKALPKRLYCLTLRLTNEYYSSTTNKKQFPYEERFEDPRLQHYALFSDNVLAAAVVVNSTLINAKNPVSHVFHIVTDKHNYAAMRMWFLANPIGETAVQVQNIEEFTWLNSSYSPVLKQLESHFMINYYFNTHQDKPDKNPKFQNPKYLSILNHLRFYLPEIFPKLSKVLFLDDDIVVQQDLSYLWSIDLKGKVNGAVHTCGETFHMFDRYLNFSNPLIAKKFDRRACGWAYGMNMFDLSEWRKQNITDAYHYWQNLNANRQLWKLGTLPAGLVTFWNHTFPLDRSWHLLGLGYKPNVNQRDIERAAVVHYNGNRKPWLEIGLPRYRQFWSKYVNFDHVFLRECNINP >KQL01796 pep chromosome:Setaria_italica_v2.0:VI:26282398:26283426:-1 gene:SETIT_015114mg transcript:KQL01796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLKPLLGAFEHIDAAIEAAAGADGCRDEFRRARARIIEMVCDAAAADDGAGDEKAEGLCALLDEAMAGSLATLLAVPAEKTELVSGGLVGAVDALMREHPSERVRGLAGDVVRGWRAGAKIEIARARAKLDALPSTPPPPPPHDDTAPAAGSDTTAKKIPEEQPRSRPRKSAVVSSSSRRISTAESYAPLSTKKSAPIVVATSSAKPSANMGAPTAVPAKPKKTPLVVVSTSSAKPSVSMGAPSFVPAQPKKTPLVIVRSTAEEKKLEVTKRKLHERYQEAEDAKRRRTIQVIKPPRPPPATTGQRQRIVHSGVRARGPASCASERIFNKSCSLRMRI >KQL01289 pep chromosome:Setaria_italica_v2.0:VI:13859747:13862263:-1 gene:SETIT_013662mg transcript:KQL01289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKICCIGAGYVGGPTMAVIALKCPAIEVVVVDISKPRIEAWNSDQLPIYEPGLDDVVKQCRGRNLFFSTDVEKHVAEANIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINYQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPEGRKAVQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAISALCEATGANVSEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKINDYQKSRFVNRVVSSMFNTVAGKKIAVLGFAFKKDTGDTRETPAIDVCKGLLGDKAQISIYDPQVTEDQIQRDLAMNKFDWDHPMHLQPTSPSAVKQVSMVWDAYEATKDAHGLCILTEWDEFKTLDYQKIFDNMQKPAFVFDGRNIVDSEKLREIGFIVYSIGKPLDAWLKDMPAVA >KQL00765 pep chromosome:Setaria_italica_v2.0:VI:4799886:4805143:-1 gene:SETIT_014494mg transcript:KQL00765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGYYDIDDILMEDEPISVVFQVTANGVGLLDPGAESNFVEKGAKVDLPFWLAHGLLSLEQAVSMNPPPCFTQKTRKEIQADAACVDLRVRCPYFYELGCKIVPLVNDKSIGMFLRYAFTSRYKEVLSKSHSSSTMTVPKFVPRLTKEETRVFESARESMAAFKKWRAGGVRLQKATILGRKRKTKLPDGPSTP >KQL00784 pep chromosome:Setaria_italica_v2.0:VI:4946970:4950701:-1 gene:SETIT_014079mg transcript:KQL00784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEVVETAYAGHAKVLASTVDLKKFPDGIVCVGGDGIVNEVLNGLLGRDDFEVAIRLPIGIIPAGSDNSLVWTVLGIKDPVSAAIALAKGSFTPIDVFAVKWIQAGVTHFGLTASYYGFVADVLQLSENFRLQLGPFRYVAAGLLKFLSLPQYRFEVEYLPLSPGTNPELKSPTEKSHEQLSDDGKVTGGIQLDGRIGDSWVTRKGEFLGIFVCNHFCKPARGLLSPIIAPKAQHDDGSLDLILVRGSGRLKLFCFFVAYQLCWHLLLPYVEYVKVKQVKIRPVGNTHNGCGVDGELLRGEGKTEWQCSLLPAQGRLLGRHPGSSK >KQL01018 pep chromosome:Setaria_italica_v2.0:VI:7401162:7401443:-1 gene:SETIT_015152mg transcript:KQL01018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLGHLLTRLHLARSRSSPADVPRGHLAVYVGEGRKRLVVPTACLSHPAFVTMLKRVEDEFGFDHRCGGLTIPCADEGDFADIVGGVDGHH >KQL00397 pep chromosome:Setaria_italica_v2.0:VI:1806870:1811913:1 gene:SETIT_015045mg transcript:KQL00397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPREPAATAQVSVGGFRAGLSARGLADHLESVAGRVWRCRVKASCAPPDTYPDFQFQRRRRLLPAADASGRAAPSRSPSYTPRRRRAPDGDYDDDDAAFRGAPSPCRPAAPPHAFVHFAHPEAARRAAAGSELIVRAQNGNGSARAAARHRDTAEPFRFAGSRVELGNLWAPDAFAVAWRAPEPEDADAAAPAGGLVFEVDPSDGACRLVFSCDAAFALPGARGAPAVLRCDVKLEFSFLEIAEVMAFQADDSLLLRLSAAPLLYYRTAADDVHQPVPFHLPDDDDDPWIRTTDITPSGAIARCWVYRVSFRAWCWPKMKDALAYMKRQEVPVVFCDIGRRGLNVNDEPAFGQPTEDLFFCVEREGLRFPVLFLVNVLVHKGIVNEHQLTSEFFGLLEKEDDDVNVAALTELLGEKLQVFYLCRRLKNAQDRAAKNNRLLHLHGSKKVTGDHNAVVRSMMITPTRAYCLPPQVELSNRVIRHYHRVADRFLRVTFMDEGMQPLNINALNFCVAPIVKDMMSNSPQQKTTVHRRVQTILTKGFCMCGRKYSFLAFSSNQLRKRSAWFFAEDGTTSTASIRQWMGQFPSNNVAKHAARMGQCFTSTYATVTMQPDEVNEFLEDVKRNGYNFSDGIGKITPGLAMEVAKRLPLMHNYPPSAYQIRYAGFKGVLAVWPGKNDGIQISLRPSMRKFESTHLVLEVVSWTKFQPAYLNRQIITLLTSLGVPDAIFWQMQEAMLHNLDRILSDRDVAYQVVTNCCSEHATIPALMLSAGFTPATEPHLKAMLLAIRSSQLQGLLEKTKIFVPKGRWLMGCLDELGILEQGQCFIRASVPSLNKYFVKHGSRISLANKSAEVIVGTIVMAKNPCLHPGDVRILEAVDVPELHHLVDCLVFPKKGKRPHPNEASGSDLDGDVYFVTWDKNLVPPRKKSWPPMDYSPVEAKQLPRRVRQHDIVDFFLKNMISEILGLISNAHVVHADYSKYGAMDDKCIQLAELAATAVDFPKTGKMVTMPPSLRPQEYPDFMGKEDDISYKSEKILGKLYRSIQQYNLGRSLEDSIRNDVPYDTKLEVPGASHFLADAWQCKCSYESQLNGLLNQYGVRTEAEVVTGEIYSLTERNKKKKNEIKERLKHAHSKLHQEFRNIFESIGADHGEISDDKKNLVYEMKASAWYQVTYHPYWIQFSRKMTEFDGKEMPARLSFAWIAVDYLARIKMRCRGE >KQL00951 pep chromosome:Setaria_italica_v2.0:VI:6729234:6730664:1 gene:SETIT_014664mg transcript:KQL00951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVNLIKPTIALESSVLEGGTCKKFLISMFHTILFVILSGRLTSHEYCLEDTHGISVDTHVHRISNRLGWVFREGMKQENTKPEQTRISAGQKSTFGFEQIVYSTTAQMQHLWHQFPLPLSF >KQL01276 pep chromosome:Setaria_italica_v2.0:VI:13212113:13213393:1 gene:SETIT_0138761mg transcript:KQL01276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVRPQPGSSGKQGDPIAHGTIIRLQHMRTRKWLHSHLHASPITGNLEVSCFGGENESDTGDYWRLEIEGSGKTWRQDQRIRLRHVDTGGYLHSHDRKYTRIAGGQQEVCGVGDKRPDNLWLAAEGVYLPVIQRK >KQL01316 pep chromosome:Setaria_italica_v2.0:VI:15348266:15350406:-1 gene:SETIT_016034mg transcript:KQL01316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVHIGDLVFYFLQGHLEQVLYNVLEQRFIMPRRLLLTGTPIQNNLSELWALMHFCLPSIFGKLDEFLSTFKEAGDSLTGITFKF >KQL01315 pep chromosome:Setaria_italica_v2.0:VI:15346811:15349499:-1 gene:SETIT_016034mg transcript:KQL01315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTYDIALMDQDFLSQIPWHYAIIDEAQRLNNPSSVLYNVLEQRFIMPRRLLLTGTPIQNNLSELWALMHFCLPSIFGKLDEFLSTFKEAGDSLTGITFKF >KQL00636 pep chromosome:Setaria_italica_v2.0:VI:3646176:3646632:-1 gene:SETIT_015651mg transcript:KQL00636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPSSAPTSPLPRQLPRNVPSSLGVALYRPAAPPAISLASVPRGVDAHATAAGPAAADGEERRRSSSERGARRAQARRRRESPRCAVGRDGASEGSGGKVHAAPVMAVAHVVVRGPASRGSPAEGAGGRGGSVHAAAS >KQL00501 pep chromosome:Setaria_italica_v2.0:VI:2597274:2598693:1 gene:SETIT_015381mg transcript:KQL00501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQYPIPLPKSPVRDWSQLPLDPLSSIFMKLGAIEILMSAGHPELWRFVDMTRHKLVFLKNVGTMCAMAKVAIDRSGGRMESFWAQQFVTSELLDYIASRYEKCPLLEEIECSYHARPAEFYGYVGNVRPQLKRLRIHVEKGYDSDEIEREMEEERRQQLGSDEEDEDEESEEESFEAWKARKNKDAFAIAESLHELRLLQMAGNSLTNKGLYAILDGCPHLECLDISSCSNLPLDNKLRARCARLKHVWLPGQLNRVRCPDLHVIREHEGEDYSNIVRSLSEDEDMHLCAEEETDDGSYGGNYWQDYSSSPPSSPDASSGPDELSKVTCDDTRFYTDIHEYYSL >KQL02509 pep chromosome:Setaria_italica_v2.0:VI:32947742:32949791:1 gene:SETIT_014177mg transcript:KQL02509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLENSMIGSTVNSVSLPSGKRQKTLSDTDGDQYDGASQQVVIYGNDKSVMGQDEQSESHFTLEPSKPSKTPKRASGKKIGAFAVQCAKCQKWRLVSTKEKYEEIRERIREDPFVCEKAREWKPDVTCNDPSDVSQDGSKLWAIDKPDIAQAPQGWERLIKIRGEGSTKFADVYYRSPTGIQLRSTNEVEKYLAEHPEYVAQGVDLSHFSFKSPAPLQKDYVRKRSQTSQSGVTCTGSNKLLQPKEAWDICVIACSSHVDMYSCPHPNHLCNPSPGQLHWGTTSSW >KQL02508 pep chromosome:Setaria_italica_v2.0:VI:32945408:32950164:1 gene:SETIT_014177mg transcript:KQL02508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESNLENSMIGSTVNSVSLPSGKRQKTLSDTDGDQYDGASQQVVIYGNDKSVMGQDEQSESHFTLEPSKPSKTPKRASGKKIGAFAVQCAKCQKWRLVSTKEKYEEIRERIREDPFVCEKAREWKPDVTCNDPSDVSQDGSKLWAIDKPDIAQAPQGWERLIKIRGEGSTKFADVYYRSPTGIQLRSTNEVEKYLAEHPEYVAQGVDLSHFSFKSPAPLQKDYVRKRSQTSQSGVTCTGSNKLLQPKEVQPISWAAPLGNNKQLVIYNEDQPEAVQSEPLELTKPESPPAPAV >KQL02601 pep chromosome:Setaria_italica_v2.0:VI:33474084:33477486:-1 gene:SETIT_015809mg transcript:KQL02601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCFPFPLPGYEARPRSEQQHKDLLKRRSTERKKTGGKERKEKDRDHRKDKHNKKHKREKHGERRKNEDRDKDKKQSLGQETQKNYKHGNRKPEERGQNEAVKDIKPTDELKERNSLGRMVNKSVEATQDKHGKVQNSSDSMVRANKKGMGRGVDSKAKTKNGKSLQVGSAEMHSRRKHGCNGVDVRQDYSNAQSSEDVHTANPVVSDSRREANGRITPSPNTLQKAEEMQPDPEISVHSAKGENDRISTKDGMMGKENQSANNCRGKMNQQFKRKIQYKNKEKEMEKNGTVNEHKHENLGARKNKVHNLMDLGFHNEKKFTSDNINKRKDLSTNSSPHELLGTNACEIGRDKPQDVYNNGTTGSHCSAEDIASVSSSGYMSNKGYLKQPHPDTKYLSQLYSLPPAQDFSYYIDQDWLFSQDRDERKTAAFEAAESDQVWSDAQLIDTADVIALP >KQL01293 pep chromosome:Setaria_italica_v2.0:VI:14122260:14122586:-1 gene:SETIT_015470mg transcript:KQL01293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFPSPPPLGWSIGFITTPLNTGRSPSQHLDPVVPKLFWFTPTLPTCPTVAKQFWDTKRTSPDGNLKVANLPSFAISFATAPTALANCPPLPCVISMLCMAVPKGIL >KQL00885 pep chromosome:Setaria_italica_v2.0:VI:5984453:5985013:-1 gene:SETIT_015505mg transcript:KQL00885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLLAVAVAVAVLATAASGATYTVGGPGGSWDLQTNLTAWASSIDFRSGDELVFKYDASAHNVVEVSSDDYRSCSAASPRSDVLRTGSDVVQLGGVSRYFICGVPGHCAAGMKLEVDPGCTTTLPPPAPPGAPGGITICSGGSGSPTVIMTPGVVSYGSGAAPVSSASLSSMLTTMASLLLAGLTIA >KQL00577 pep chromosome:Setaria_italica_v2.0:VI:3213964:3214362:1 gene:SETIT_015240mg transcript:KQL00577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVTPMSTRGSSRAMYTGLIILLLAVGAGINFGDCDGLSGLLSFVGVVAGANMIAAVVRTADDPAAPIGPAPAVFAGARAFMRRNLAVVGLVMVSSASTAVVGETGPAFSFMMFVLLVFGVSMINIGVHGA >KQL02054 pep chromosome:Setaria_italica_v2.0:VI:29485461:29487826:1 gene:SETIT_013407mg transcript:KQL02054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSAAELEAAERVVMRWDSASAGAGADEPMLFDGAGDRAEADRFLRAVDDLRRLAPPSPAAVGSPRRLSSSSVSSAAAGSGAVQVAMARLEDEFRHVLSSRALDLEIEALADLSSLSINSDRSNSASSADLPAADEDDSVSASIGRRSSAYRSLRSIREIDLLPDDAVADLRAIASRMAAAGYGRECAQVYASVRKPAVDASLRRLGVERLSIGDVQRLEWDALEAKIRRWIRAARAAVRGVFASERRLCFHIFHDLPISSSTISAAAAPATHDTPFAEAVKGAALQLFGFAEAISIGRRSPEKLFKIIDLHDALSDLLPDVSDIFAASKAAESIYVQAVEIRSRLADAVRGILSEFENAVLRDPPKTAVPGGTIHPLTRYVMNYSSLICDYKVTLSELIVSRPSASARLAAEGNELVPSLADLELPELENQLPLASHIVWIIVVLEHNLEGKAALYKDLALSHLFMMNNVHYIVHKVKDSPDLWGMIGDDYLKRLTGKFTMAATNYQRTSWLKILNCLRDEGLHVSGGFSSGISKSALRERFKSFNAAFEDAHRVQSGWCVPDNQLREELRISIAEKLLPAYRSFLGRFRHHIENGKHPELYIKYSVEDLEIAVGDFFEGVPPSPHNRRRSHG >KQL02374 pep chromosome:Setaria_italica_v2.0:VI:32082558:32086483:-1 gene:SETIT_013346mg transcript:KQL02374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIHIVPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGEPLGRGTKMTLYLKDDQLEYLEERRLKDLIKKHSEFISYPISLWTEKTTEKEISDDEDEEDKKDEEGKVEDVDEEKEEKEKKKKKIKEVSHEWQLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTRKKQNNIKLYVRRVFIMDNCEELIPEWLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFCKNLKLGIHEDSQNRTKIAELLRYHSTKSGDELTSLKDYVTRMMEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAIGQLKEFEGKKLVSATKEGLKLDETEDEKKRQEELKEKFEGLCKVIKEVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSGYMSSKKTMEINPENAIMEELRKRAEADKNDKSVKDLVMLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDETPEADTDMPPLEDDAGESKMEEVD >KQL02493 pep chromosome:Setaria_italica_v2.0:VI:32870850:32878349:-1 gene:SETIT_014197mg transcript:KQL02493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVDSRSQSAGKRARTDGSRREDDWVCPSCKNVNFAFRTTCNMRNCNQSRPADHTAMQKPMQTPPHYAASGGYMGPGTPPSMYLGGGAPPYGSSMFNGPAMPPRYGIPQFHGSSAYPYGYGGRIPIGSPYGPMQMAGPPPYSGGSMMGAGGMYGMPMDRYGLIPASPGAMGTRAGSYSDEGSQKKPAGAGRDNDWECPNCHNINFAFRTVCNMRKCNTPRPENQGSKPDGSRGSKQKMPEGSWKCEQCNNINYPFRTKCNRPQCGAEKPLQTNSTNDSVTDQDNQ >KQL02494 pep chromosome:Setaria_italica_v2.0:VI:32872301:32878128:-1 gene:SETIT_014197mg transcript:KQL02494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQVDSRSQSAGKRARTDGSRREDDWVCPSCKNVNFAFRTTCNMRNCNQSRPADHTAMQKPMQTPPHYAASGGYMGPGTPPSMYLGGGAPPYGSSMFNGPAMPPRYGIPQFHGSSAYPYGYGGRIPIGSPYGPMQMAGPPPYSGGSMMGAGGMYGMPMDRYGLIPASPGAMGTRAGSYSDEGSQKKPAGAGRDNDWECPNCHNINFAFRTVCNMRKCNTPRPENQGSKPDGSRGSSKF >KQL00716 pep chromosome:Setaria_italica_v2.0:VI:4260300:4260813:-1 gene:SETIT_015966mg transcript:KQL00716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCLLTTNCFKFGTCEHEQTWVTVMHQTYIRYLDLVRTFLERVEVSGETG >KQL02320 pep chromosome:Setaria_italica_v2.0:VI:31712791:31714942:-1 gene:SETIT_013824mg transcript:KQL02320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFFSKENQPGGRQPHDYNHSHNQNNGAATASRGGKNRYAKIGDDYHTLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGKVSYNNQSLHAMGNTPNPYEQAISIIGRTLARFDEDNLIPCFGFGDATTHDRSVFSFNHDNQPCDGFEQALSRYREIVPQLKLAGPTSFAPIIETAIGIVDSSGGQYHVLLIIADGQVTRSIDTGNGRLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTQIMSRPIPTSKKEAEFALSALMEIPEQFKAAMSLQLLGYFSCLYSCSHTHF >KQL02321 pep chromosome:Setaria_italica_v2.0:VI:31711970:31715338:-1 gene:SETIT_013824mg transcript:KQL02321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFFSKENQPGGRQPHDYNHSHNQNNGAATASRGGKNRYAKIGDDYHTLEQVTDALAHAGLESSNLIVGIDFTKSNEWTGKVSYNNQSLHAMGNTPNPYEQAISIIGRTLARFDEDNLIPCFGFGDATTHDRSVFSFNHDNQPCDGFEQALSRYREIVPQLKLAGPTSFAPIIETAIGIVDSSGGQYHVLLIIADGQVTRSIDTGNGRLSPQERETIDAIVKASDYPLSIVLVGVGDGPWDMMRQFDDNIPSRAFDNFQFVNFTQIMSRPIPTSKKEAEFALSALMEIPEQFKAAMSLQLLGKRRGFPNRPVLPPPVSNQQQYSGFSAVKQTQSTSYSGCSAAKQTQSTGYGAAPKTPSAPREDSDIGDPQTCPICWNQAKNLAFGCGHQTCSDCAKDLKVCPMCQKAISVRLKLY >KQL02791 pep chromosome:Setaria_italica_v2.0:VI:34551227:34552280:-1 gene:SETIT_014241mg transcript:KQL02791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHARPTSSTSNPPSPASFFSAAGNGGLVLEFPYTEVLEQWLMDDDDDNAQDQPPLEHGAVSGDGGGSSADLSSMNNNNPPAAAATKRRGRKPGPSSGAGPAFSHVEAERHRRDKLHRRFCDLRAAVPNVTRMDKASLLADATAYISELRARVEQLEVEATRAALRKASPFLVVDDDGAAPAAGSFGLEEDEKLEVRMVVGQESAALRLTTAARHRHATARLMDALRSLDLPVQHACACRVGGVVVQDAVVDVPTALRDEGRLRAALLHRLQHSG >KQL01017 pep chromosome:Setaria_italica_v2.0:VI:7389017:7389361:-1 gene:SETIT_014994mg transcript:KQL01017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVSTRAASLQLQLQVCKTREMGGGGKKLQQLMARLHLARQQGGVVPRGHFVVYVGEARARFVVPTAYLKQPAFVALLESAEEDYFGLDHHCHPGGLTIPSCSERDFATLVRSFG >KQL02568 pep chromosome:Setaria_italica_v2.0:VI:33268596:33269591:-1 gene:SETIT_015136mg transcript:KQL02568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFLEFKLDLSRTKDLAAGAGEFVSSVDFSVGGQVWKINCYPPGSRREKYGDHLSIYLQLVSKPPKSVKVIFEVFVMRRDGKPCLSHFYSRRCMQVYPLPDGFKEWGWHRFTTGSDLKFFYMVDGVVTLVCGVIVVGGEYEPVSSVPHSDLASHLGGLLDCTAGSDVSFVVNGETFPAHRAVLAARSPVFKAQLLGSMADAKMPSITLHDMDPAAFKVLLRFMYTDSLPGDDELGFPPCEMFQDLLALADRYALDRLKFICANKLWEYVSTDTVGAALHCAEMYNCPELKRNCIAFVAKEENVKKTLLTDWFLLLVQKILSIIAELRKKLGV >KQL01802 pep chromosome:Setaria_italica_v2.0:VI:26383888:26384840:1 gene:SETIT_015484mg transcript:KQL01802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAVVVIPTPSPPPSPPQTAWRNIAMVQARSRVGLTAAAAAMYLLLAIMWLLFASLAALRIKGIACGEDCPVAAAAPKAMAVGLVSFWLVLPVAAAAWLISKTAFNTHVEEAPAPKLITPAEQQMVYAVKLGMLAIVAFLLLGFAGVLLKGYSSVEGSHRDIVSSVIIDVASLGSAVLYCFIILPALALYVWKMILVLRQRI >KQL01398 pep chromosome:Setaria_italica_v2.0:VI:18794064:18795862:-1 gene:SETIT_015396mg transcript:KQL01398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKNTKAAAALRNAKIGSSYEAFPWERKMTDLLPVPNSSRFLSLLMLPQAADESQTRYLCLEDTLARADAWLMSSQSSGVPIVQKNVQIEPLLTKISGDTALSSVNMGSLGDLANVATMSLYGFEDYHGVDIGIVRAVRLWYAPVAGELALEIKLQPGDTRLGFAISRTEEGFIYVSSVADESTPGVASTRSGLLELYRRARRASRLLVVSRVGRDKVLPWAVSAAGDVRCADTVSLSQLLSLHRHALRPVTLGFLMWEDLSAAALLRSAGASRPSAAAAVMLPAQAAAGDDGEASSDEIAFDGDGPEIVLSKDSDDCSFRFQHIGLPDSWL >KQL01850 pep chromosome:Setaria_italica_v2.0:VI:27083675:27086048:-1 gene:SETIT_015289mg transcript:KQL01850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIIIRSDNIDDAAEEIIDFLERTRQDGVIYLDGWYGAGIGASTILKAVVERYRSSSSGDATRKAAGLDKIIHIGCSLWQSKRSLQKVMAFFDQGDEEEDFDGVHQAARGVIPQVKWAILNELSNSKFLVVFHNGSGSYVDLWECGVPIMGVMNKRVLWTSRGRGNDINWGTHAANYRVCNGIIQDTNGCGRSAWEISDALHRNMNLGFIKKMLDMMAELRELNVIGPDNWSMSHLHSCSGVGSNSRKLLKLGVVGEPKDSDDIIGNSTGSHMHRQPSSFPDLSSWEILKTEIGYNTLAPLLESFSFTSNTTTKIKSISFRGCVMLKSLLLKGLFDMLEELDMSGTSVKTLDLREIQALHLIRLPLLGCEKLRGILWPEQKDELIMLEVLRIDHTTHALGASQALVHDSEQKVQAINMQNAAGNLYVDDLISTFEDNSQTVVANEGDVADVPVIKWMWPCPLIPMDSDWAHCYIRIQDSDWAHCPLRGLGFSASFKS >KQL00596 pep chromosome:Setaria_italica_v2.0:VI:3345564:3347111:-1 gene:SETIT_015663mg transcript:KQL00596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGVVVSAAGGKTYPGRMTAFVFFTCLVASSGGLIFGYDIGISGGVTSMDSFLKQFFPSVYAQAEASKDANQYCKFNSQLLTLFTSSLYLAALATSFVAASVTRVFGRKWSMFCGGITFLVGSALNGAATDVLMLILGRILLGIGVGFANQSVPLYLSEMAPAKLRGMLNIGFQLMTTIGILAANLINYATVGIEGGWGWRVGLGLAGVPALVITIGALALPDTPNSLIARGYNDDAKAVLVKIRGTDDVHDEYDDMVAASEEAAAVAHPWRNILERKYRPQLTVAVLIPMFQQLTGINVIMFYAPVLFLTIGFGDDASLMSAVITGLVNMFATIVSIVSVDRLGRRALFLQGGTQMFISQIVVGTLIALQFGTAGVGEMSRSNAMLLVLFICLYVAGFAWSWGPLGWLVPSEVFSLEIRSAGQSIAVCVNMTLTFIIGQAFLSMLCTFKFGLFYFFAGWMFVMTAFIALFLPETKGVAIEEMHLVWSQHWFWGKYVNGDGDGSRHGGGNRRMNG >KQL00630 pep chromosome:Setaria_italica_v2.0:VI:3607647:3608844:1 gene:SETIT_0136771mg transcript:KQL00630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AMMDYDFSQRFRLGRRTFHLAADDIRSLKRRIDALAAAEEEEAAGDSTTTSSKKKPVSTFVALAALGWTAFVRAKSLAAGDDTYLVFLADLRARLDPPVADGYLGNCIKGCLASADAGDLVGARGLLGACRAIQAAVAEMEAAPLGGSERWIEKMMSLPFQRLCNVAASPRFRVHEASDFGFGRPARVELVSMNHDGEMVLVAGREDGEVQVSVSLDPACMEEFKAHVLAAPAPAEN >KQL02164 pep chromosome:Setaria_italica_v2.0:VI:30509268:30512764:-1 gene:SETIT_013348mg transcript:KQL02164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNGLIVENDPLNWGAAAAELAGSHLDEVKRMVAQARQPVVKIEGSTLRVGQVAAVAAAKDASGVAVELDEEARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGAGSDGHTLPSEVTRAAMLVRINTLLQGYSGIRFEILEAITKLLNSGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFRLNPKEGLAIVNGTSVGSALAATVLYDANLLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILEGSAFMKHAKKVNELDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLGNPITNHVQSAEQHNQDVNSLGLVSARKTAEAIDILKLMSSTYIVALCQAIDLRHLEENIKTSVKNTVTQVAKKVLTMNPAGELSSARFSEKDLLTAIDREGVFTYAEDAASASLPLMQKLRAVLVDHAFSSGDEPSVFSKITKFEEELRAVLPQEVEAARVAVAEGTAAVENRIKDSRSFPLYRFVREELGCVFLTGEKLKSPGEECNKVFVGISQGKLVDPMLECLKEWDGKPLPIN >KQL01150 pep chromosome:Setaria_italica_v2.0:VI:9536488:9538076:1 gene:SETIT_013607mg transcript:KQL01150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVELPLSVLFLPLLIAIPFLFFHRSARAHASGRLPPSPWALPVIGHIHHLAGALPHRAMRDLARRHGPLMLLRLGEVRVVVASSADAAREVTRTHDLAFATRHLSRTGRVLVGEGGSGIIFAPYGDEWRQLRRICTTELFCARRVRSFRAVREEEVHRLLCSVAASASPVDLSERMSAYVADAAVRAIIGSRFRDRDTFLRLLERRVKIMPASSLPDLFPSSRLAMFISPTPRLMMREREKMMAFIDTIIQDHQDNRAAGVDEEDLLDVLLRIQREDELDPPLTTENIKNVIIDIFAASSETSATTLSWIMAELMRNPRVMRKAQDEVRRVLDGEESVTEDSLSDLRYLPLVIKEALRLHPPATLLIPRECRSPCQVLGFNVPAGAMVLVNAWAIGRDPSNWDAPEEFMPERFENNDVDFKGTDFEFIPFGAGRRMCPGIGFGLASMDLALASLLYHFDWKLPDGMEPGELDMTEALGITTRRLSHLMLIPTVRVPLRGE >KQL02649 pep chromosome:Setaria_italica_v2.0:VI:33784994:33791353:1 gene:SETIT_013937mg transcript:KQL02649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQACSRRAHWLPGSPPAIDASAGGSDGRGPRTGTTRPNRWMPVACAKPGGSERRRLVDASWSGQRPGRRPGAGRRRGRTCPPGSSLYLSCAWFRFALLLLLVRAGASGEVVDLAAEAEGSGAAGKAGAAAMGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTQSMPKTLEKYQKCSYAGPETALQNRESEQLKSSRNEYLKLKARVENLQRTQRNLLGEDLDSLDIKELEHLEKQLDSSLKHIRSTRTQHMVDQLTELQRKEQMFSEANKCLRRKLEESNQVIWQQAWEHGEPQPEVQQQQHQLQGGNGFFHPLDAARGEPTLQIGFPPEALTSSSCMTTFLPPWLP >KQL02529 pep chromosome:Setaria_italica_v2.0:VI:33075669:33079740:-1 gene:SETIT_015243mg transcript:KQL02529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQERRRFANLRSVRWRVDLGILPASPEASVDELRRATADSRRRYVSLRRRLMVDPHLPKEEDRSSNLVVDNPLSQNPDSSWGRFFRGAELEKTVDQDLSRLYPEDGSYFQTPTCQAMLRRILLMWCLQHPECGYRQGMHELLAPLVYVLQVDIDKLSQVRKLHEDCFNDDFDGVPFPDTDMVFSYKPRKDSKWHSGADNGNDSERASKVNSLDELDMDTKEIILLSDAYGAEGELGVVLSERFMEHDAYSIFDGLMDGGSGVVRMAEFFSASKVGSSSSLPPVIEASSSLFHLLSIVEPSLHSHFIELDVEPQYFALRWLRVLFGREFCLNDLLVVWDEVFACSNDMLLRENEEYSFKILCSSRGAFITAMAVSMILHLRSSLLATEINTSCLQRLLNFPNNVDVHKLIEKAKSLQSIAIDANTPSPSFLSKDSHDYDRVYSNLATSTPPRTPLHPLPESYWEEQWRNLHNDGTAPKEIEKVQSYKRSLKKSLSQRLGLSRTESDPSPVIISAKNSVRRCLLNSYDDVVRAGEVAGKIEQDEFPISIHKERERPSRLKLRAASENLTLSSSVETANPLVDSPVKPTDESSTKGIEACSTGENSPVFYAASAGTEQDNCHNNDSERSSITSNSCAGDDDRDEVLQDESSSSIYEDQIVSEVAASEKDADPVGPSEKTAVSNERKPFINKLQWFLRFGRPSGEGNVEKSTGEVSDDKHAVVPPSTSPPDVSSDSSRGGINLASGDKKVMGTLKNIGQNMLENIQVIESAFQQDRGQPSAMENFSNNILGGKGQVTAMAALTELRKISNLLREM >KQL02549 pep chromosome:Setaria_italica_v2.0:VI:33143795:33146244:1 gene:SETIT_014787mg transcript:KQL02549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHLQARVCMCLLQEQGIDEKPLLKTHIAGIYRNTSCSCRALTYYHWTCFFCVNFTPTNMWIYDGICQNTS >KQL01774 pep chromosome:Setaria_italica_v2.0:VI:25873592:25877807:-1 gene:SETIT_014228mg transcript:KQL01774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPGRVGVDSGGARRGGGGGGGGGAQMLLFGGGGSANSNGFFRGVPMAVLGMDDAVRVGKRPFFTTHEELMEEEYYDEQAPEKKRRLTAEQVQLLERSFEEENKLEPERKTELARRLGMAPRQVAVWFQNRRARWKTKQLETDYDRLKAAYDALAADHQGLLADNDSLRAQVVTLTEKLQGKETSPSATNAAQEADDLDEHTAASGTEKLLAQQLRDDLLSSGDCTGHGVLSSEEEDGGVVSDEGCSFHLPDALFAAAEVIHHGAEEAQLFNWTSWYWNN >KQL00528 pep chromosome:Setaria_italica_v2.0:VI:2800258:2800458:-1 gene:SETIT_016010mg transcript:KQL00528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHETNPMQAHANLVEHINLHKQATLVLLPHCRTLL >KQL00624 pep chromosome:Setaria_italica_v2.0:VI:3550734:3551928:1 gene:SETIT_014286mg transcript:KQL00624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSRKGYGQQQGHLLSPVGSPPSDNESGAAAAAAAAWCGSSAGYCGGDSPAKEQDRFLPIANVSRIMKRSLPANAKISKEAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDLLWAMTTLGFEAYVGPLKSYLNRYREAEGEKAAVLGGAGARHGDGGGGVADDGADMLGAGGGAAAAGIDRAGGHDAGGGGSADVGLMMGVSVGFGAGGGTSYYAAAAGKAYGAGDGSKVVEFDGDEENGGGMQRGFGGHLHGAVQW >KQL01809 pep chromosome:Setaria_italica_v2.0:VI:26476451:26477594:1 gene:SETIT_015373mg transcript:KQL01809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPELVLEVLPQPPPREPAVALRDLAVPRLVQYLYLASTWVGCAGVAASTVARRALGEGSPVPYAFLKVSIGSLVVPALVIVVLTLQLLRAMCAAGFRSSLRNSAKEIQFQIQSRKMFGGLTWKVLRNPVLVMLATFLLLLLLGAGALVLGGLLPVEESQREKIGYALFDTGVLGTMAMFCFVIIPSFALKVWRSK >KQL01964 pep chromosome:Setaria_italica_v2.0:VI:28522246:28522655:1 gene:SETIT_015451mg transcript:KQL01964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSSVIPDGISTGSSKWIVCNRYSVQVKPKLEC >KQL02868 pep chromosome:Setaria_italica_v2.0:VI:35034223:35034696:1 gene:SETIT_015899mg transcript:KQL02868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSRHPQGLYLLPYSSVDHRVHEHSYGILCSFPAFVWLWLVNSDSLICRVVLLFCLM >KQL03032 pep chromosome:Setaria_italica_v2.0:VI:35875437:35877990:1 gene:SETIT_013383mg transcript:KQL03032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHLPCLLLLLCLPLSSASSDAELLLTKVKPALQGKGNSNPPNAQLATWNASTPLCLWRGLRWSTPDARSLRCDTPAARANLSLAADPALLLLSVRLPAAALAGTLPPELGAFSALDSLYLAANHLSGPVPLDLGNAPALSVLDLSDNRLSGALPTSLWNLCDRATDLRLHGNALTGAVPAPAGPSTTCDRLRVLDLGDNRFSGGFPSFLTAFRGLQRLDLGGNRLQGPVPDALADMPLLRALNLSYNNFSGQLPPAFSRFAAEPSSFSFLGNDPSLCGPPMRQCVSSSGLSSRGVAGMVIGIMAAAVVLASVSIGWAQGRWTRRDSTQGQQEEEEDGEGRLVVFEGGDHLTLEEVLNATGQVVDKTTYCTVYKAKLGTSGGSIELRLLREGCCKDAASCAPVVRRIGRARHHNLVPLRAFYQGRRGEKLLVYDHFPRARTLHDLLHGGGAGAGEALAWARRHKIALGAARALAYLHAGQGEAHGNVRSSNVVVDDLFVARLAEHALDRLLVPAAAEAVLAAAKADGYKAPELQSMRRCSARTDVYAFGILLLELLMGRKPSATSDLPSAVKVAVLEEAALEEVLDAELLKGPAEEGLVKALKLAMGCCAPVPAARPTMAEVVRQLEETRPRSMQTRSAMYSPTESRSDAGTPTTA >KQL00416 pep chromosome:Setaria_italica_v2.0:VI:1924647:1926123:-1 gene:SETIT_015115mg transcript:KQL00416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVVTSRISQIRRISCVLTILRTGDDDVAIKVLYCGICHSDLHTIKNEWKDTIYPCVPGHEIAGAVTEVGASVTRFKAGDRVGVGCMVNSCQSCDRCAGGFENLCPGIVWTYNSVDLDGTVTYGGYSSNVVVHERFVVRFPDAMPLDQGAPLLCAGITVYSPMRRHGLDAPGKHVGVAGLGGLGHVAVKLARAFGARVTVVSSSPAKRREALDRLGADAFVLSSDAYEMKAAAGTMDGIINTVSANIPLTPLLGLLKPNGKMVLVENKALVGSSIGGMRETEEMMELAARHGVAADVEVIGADYVNEAMERLARADVRYRFVIDIGNTLRDNAE >KQL02295 pep chromosome:Setaria_italica_v2.0:VI:31553701:31554582:-1 gene:SETIT_015086mg transcript:KQL02295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPVQPAASRSPPRPPPPPLPLPPPPPQHDTTLTLALALPPPAFACALSRARCKRGGRGRTALPAPLIRQGAVLAHGDTLPCTECGKQFASWKALFGHMRCHPERQWRGITPPPHFRHNHHHQQHAVGVPPLAVAAAAAGQQFTVQEREIAASLLMLAGARTPGVGKGKKGVLASSSAKKESCSTPASSPTAAAPPKCDDHKCSVCARGFATGQALGGHKRCHWEKACAEVAAAAAAATTLDLNLPPPGTMLALPWKSDEDGSLNAALDLKLGY >KQL00655 pep chromosome:Setaria_italica_v2.0:VI:3785981:3788196:1 gene:SETIT_015719mg transcript:KQL00655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLTLIRFPAFTESPATECERVRAEMIMRNNRVFQSLGINATKDILNKTIQTKKDALLNKTTAAKKAMARENSGSLYDPRDSDGSEEGVVDKVFVELRFHRMCLATPSLLMEEQEVQRECQSNRTIDRWNKGKSMGRDLDRISRGLNTRIPVVIAKGKKRPEPPMQAAKLASEGGIILRQHIPIFTHWKAYKDNKNKDILRGYMGKISKVYFNGVPADKVRTTSPLKSMTDNQWRELVEMWSSPKYKACCTRTA >KQL02708 pep chromosome:Setaria_italica_v2.0:VI:34100721:34102004:-1 gene:SETIT_014001mg transcript:KQL02708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDAGRRQGRTTTAIVVPAVPLSSGKPMPRVGFGTATPTLGQTEGDAAAVTDAVVRAIGAGFRHFDTAAVYNAEAAVGDAVAQAVRAGTIASRDEVYVTSKLWIADAHPGRILPALEKTLQNLRMEYVDLYLVHHPVSLRPSEVEGGPVLVKKDLVAMDMKGVWEEMEECHRRGLARAIGVSNFACKKLEHLLSFAKIPPAANQVEVNPCCRQNKLREFCRARGIQLCGYAALGANGTPWANNSVMKSPVLKQIAQDRGKTVAQVCIRWVYEQGDCVITKSFKESRMRENLDIFDWELTEDDHRKISELPESRGNYNFLVHESGPFKTVEDLWDGEITAGQCNQTALVSSD >KQL02932 pep chromosome:Setaria_italica_v2.0:VI:35366949:35368550:-1 gene:SETIT_013968mg transcript:KQL02932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAPAAAALLAAAVLLLASAPAPASAVDFGAEDLASEDALWALYERWRGVHAVARDLGDKARRFNVFRENARLVHDFNQRDEPYKLRLNRFADMTADEFRRHYAGSRVAHHRMFRGDRRQGGFMYAGARDLPASVDWRQKGAVTDVKDQGQCGSCWAFSTIAAVEGINAIKTKNLTSLSEQQLVDCDTKANAGCNGGLMDYAFQYIAKHGGVAGEDAYPYKARQASCKKSPSPIVTIDGYEDVPANDESALRKAVAHQPVSVAIEASGSHFQFYSEGVFAGKCGTELDHGVAAVGYGVAADGTKYWVVKNSWGPEWGEKGYIRMARDVDAKEGLCGIAMEASYPVKTSPNPKAVVAAAGDEHDEL >KQL02215 pep chromosome:Setaria_italica_v2.0:VI:30924486:30926167:-1 gene:SETIT_014081mg transcript:KQL02215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGEAAAAVPPQPALRPALAFHAGGHTVALPHHHDIPAPPRKPGPVAVGAQILRSEGAAALFSGVSATMLRQTLYSTTRMGLYDILKTKWTQENGGVLPLHRKIAAGLVAGGVGAAVGNPADVAMVRMQADGRLPLAERRNYRSVGDAIGRMARDEGVRSLWRGSSLTVNRAMIVTASQLATYDQAKEAILARRGPGADGLATHVAASFTAGIVAAAASNPVDVVKTRMMNMKVAPGAPPPYAGAVDCALKTVRSEGPMALYKGFIPTVMRQGPFTVVLFVTLEQVRKVFKGVEF >KQL00554 pep chromosome:Setaria_italica_v2.0:VI:2974099:2974936:1 gene:SETIT_015205mg transcript:KQL00554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTTFLVLTALLALLSWQAIASDPSPLQDFCVADKDSHVRVNGFVCKDPKDVKADDFFLAANLDKPRDTTVSKVGSNVTLINVMRIPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNQDNKLFTKTLNKGDVFVFPEGLIHFQFNPCPDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKKTVDWLQAQFWSDNHN >KQL00441 pep chromosome:Setaria_italica_v2.0:VI:2108331:2109111:-1 gene:SETIT_016004mg transcript:KQL00441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAATLLVIFYCHWSLFPHSAVAFRALVFVSATLHISIFIWACFDGDM >KQL02637 pep chromosome:Setaria_italica_v2.0:VI:33662049:33663406:-1 gene:SETIT_014480mg transcript:KQL02637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREHGASAALWGLGNLPLLARAGSKESLEYILQALWRTRRTGLDAADRAVARDALQLASDAELDPLLVCLRILIRRCVSENVSKDDIPKLFPDEVPPELQKLLTLLLQKFQPEWQEDASKDRASAQHSCTAEHQLNQNGDTSEQPAAPDAEHQNSTASVEDSIKSGEKEVKKFPLAKDSLDKMLKDLFSTKDHMPIALN >KQL02731 pep chromosome:Setaria_italica_v2.0:VI:34230678:34231517:-1 gene:SETIT_016042mg transcript:KQL02731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKMNCMSATDVHHGGNGQGTSSGSRHTPVVAVEPLGPPTQGMHQHPLLQDLAGDHEARQSRPLRLLRSLKVMSPRMRTLPMVSIWR >KQL02236 pep chromosome:Setaria_italica_v2.0:VI:31129491:31130690:1 gene:SETIT_014393mg transcript:KQL02236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDPAAAADERGKKGAPGGGGGGGGLEWELERQYDFEREMMLMAAAAPGAGPQQKPKQQRHRPFTADLLQNCDLPPPAKLFGPVPTLQRLESAAGADQKGDAIGGGGGGNNNDSLMRALRLSQSRAREAEEKLAAAGASNGELAALLVRDSVALSAHRRWVMMLEAENSLLRGGGGGAAPRGADPDRDGDGDGDGDARCGGGSGGLAAWWVAVAVCVGIAGVGLALGRFLC >KQL02895 pep chromosome:Setaria_italica_v2.0:VI:35166571:35167278:1 gene:SETIT_014935mg transcript:KQL02895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHQRSTSWPSMAHPNKIDIQEALHSISSLISTPSATIEVVCDGLRRLGDVYSCINEAICLHSNQVHGKRLEEEMERSLQVLDLCNTVQESFTDLKMTIQELQMVLNRGDLPVAQKAKHHLKKAVSKSTSHEDAWLVSLLITARGIAVLALKSAVELLSKQMATCSASKWSLITKPIQKKRVSCEEVQLQALELGIVGLESSVENLFRNLIQTRVSLLNTLSS >KQL00442 pep chromosome:Setaria_italica_v2.0:VI:2121529:2122357:1 gene:SETIT_014779mg transcript:KQL00442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQAGYPPPAGQQAYGGAPPPPAYAAPPPTYPPTTQDGGYQQQQQQETTSRGGDGFWKGCCAAICCCCLLDMCF >KQL01139 pep chromosome:Setaria_italica_v2.0:VI:9158875:9159369:1 gene:SETIT_015286mg transcript:KQL01139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFEPNCRGAAAMMGSGAAMEDCEARVEFFSLEIKVEGFCTTDSLGRKSYSKGIVIKWNVEIGTFTFELLMNTLRNEVKWAPNQDATVWFFDKRISEDVRLTNEIQMLDLYEMYKSEKKFMFI >KQL02104 pep chromosome:Setaria_italica_v2.0:VI:29994272:29994685:-1 gene:SETIT_014831mg transcript:KQL02104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSMPGLWLLKITALKRIYHIEQNYEHSCIWGLGSFNLMHPLMLLKLVIPNKTEN >KQL03026 pep chromosome:Setaria_italica_v2.0:VI:35852731:35854356:1 gene:SETIT_014865mg transcript:KQL03026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDLQVLSALDAAKTQWYHFTAIVVAGMGFFTDAYDLFCISLVTKLLGRIYYRVDGSPAPGTLPPHVSAAVNGVAFVGTLSGQLFFGWLGDKLGRKKVYGMTLMLMVLCSVASGLSFGHTPASVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGIMAGGLVAIVVSAAFKVRFPAPAYAADPAASTPPQADYVWRIILMLGAMPAALTYYWRTKMPETARYTALVARNAKQAAADMSKVLQVEIMSASGAAAADEDQQAAGNANDDDHKQKQKEKQFGLFSGEFVRRHGLHLLGTSATWFLLDIAFYSQNLFQKDIFAAVGWIPRAATMSALEELFRIARAQSLIALCGTVPGYWFTVALIDVVGRFKIQMMGFFFMTVFMLGMAFPYQHWTSNHVAGFVVMYGFTFFFANFGPNATTFIVPAEIFPARLRSTCHGVSAASGKLGAIVGSFGFLYLAQSKDPAKTEHGYPAGIGVRNALFLLAGCNALGLLFTLLVPESKGKSLEEMSGDNDRDNRTVPV >KQL00881 pep chromosome:Setaria_italica_v2.0:VI:5967270:5971860:1 gene:SETIT_013777mg transcript:KQL00881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRMKGVSEGLIIGITVGVVIGVLLAVGILLCFRYRRSRAQIRSSSSRRASTVPIRTNGVNACAMLSNSTTGQESPRELEDRGSSLWIEGPGRKSMISASGIPKYAYKELQKATSNFTTVLGQGAFGPVYKADMSSGEILAVKVLSNNSKQGEKEFHNEVLLLGRLHHRNLVNLVGYCADKGQHMLLYAYMPNGSLASHLYGENSAPLKWDLRVNIALDVARGLEYLHDGAVPPVVHRDIKSPNILLDQSMQARVADFGLSREEMVTRNGANIRGTYGYLDPEYVSTRSFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAINADGKTGWEEIADSRLEGAFDVEELNDMAAVAYRCVSRVSRKRPAMRDVAQALTRVLKHSRSRKHHSKRHPQARADDESVDLEGSEVQSSFSGLQREESVGSVSDLPDV >KQL02128 pep chromosome:Setaria_italica_v2.0:VI:30121802:30122240:1 gene:SETIT_015868mg transcript:KQL02128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSIAVCLLLSMWLICLSIAILSRPSCFSDCVSDWELQNSC >KQL02348 pep chromosome:Setaria_italica_v2.0:VI:31933029:31934643:-1 gene:SETIT_014108mg transcript:KQL02348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVSSKILAKSGSYQEKGSLGHSFQRSNVIEEIILSSSKSNGDQFLALLCASNSTARKAKEPEQSPAAAVAEPAAKIETINVSELLAGLEEENAVEQSDRKDGDRSPALCISDGAAAGRARSFRTVEEFDALVTQGGSSERAAELEEPRAAAAATADAAESSGGSSRQGDPAGQGEETEAAGARRRARARQLGELKVPAAFDFSKSGSLRDWLRQGGQTFSPGSYVTPKFGTAPEVPAEHGGDQNHGEQQQEHALFDPELVALFERAMEQLSEDGGRVLDEILEALELEAGEKDGAAAFGRVSNDQPAPAAVAAQQV >KQL00901 pep chromosome:Setaria_italica_v2.0:VI:6183641:6185522:-1 gene:SETIT_015723mg transcript:KQL00901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIPPSIFSLPILNRLDLSFNQLSGPIQGFDKAGPQLETVRFKNNELSGFIPKAIFQLTSLVYIDVSSNNLIELRLASCKITQFPRFLRHVDHISYLDLSCNKISGDIPNWIWETTWNDMESHLNFSHNMFTGMQLNSDVVPFNTSMEVLDLSFNRLSGMIPMPKLSGEILDYSNNMFSSLLPNWTTYLRDTMYLSIGVLNLRENRLKGMLPSNITTRCALQMIDLHGNKIEGRLPRGLSNCTDLEVIDFGSNKIADAFPSWLRGLPKLSVLVLRSNQMYGTIGDIVGDTKREECFPSLQIIDLASNNFSGTLRPQWFKQLKSMMAEFNSSGKTLEILNTINVNDREHSYQYSVEIMYKGADMPFGRMLTTVTAIDFSKNSLEGTIPETFGSLVSLRVLSLSHNAFTGKIPAQLGSMTDLESLDLSCNQLSGDIPQELTDLTFLGSLNLSYNHLVGKIPQSRQFSTFDSSSFEGNAGLCGLQLPKFPCGSSPHSPGVAHGDKSSRHIDVVLFLFVGLGFGVGFAAAIVVKWDRFGRRFYCNCKSLAYLITTRR >KQL01649 pep chromosome:Setaria_italica_v2.0:VI:24121124:24122209:1 gene:SETIT_014608mg transcript:KQL01649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNMALAGNGMKKLILAVLLLCLVIGQIQVEAKSCCPSTTARNVYNTCRLTGASRPMCAKLSGCQIISGNKCKPPNDHLTLDPDTEEVNVLNFCKLGCASSVCNNINAALGNEEANDAVESCDQACSSFCSVHVGSATVVA >KQL01648 pep chromosome:Setaria_italica_v2.0:VI:24121124:24122209:1 gene:SETIT_014608mg transcript:KQL01648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKNMALAGNGMKKLILAVLLLCLVIGQIQVEAKSCCPSTTARNVYNTCRLTGASRPMCAKLSGCQIISGNKCKPPNDHLTLDPDTVNVLNFCKLGCASSVCNNINAALGNEEANDAVESCDQACSSFCSVHVGSATVVA >KQL01156 pep chromosome:Setaria_italica_v2.0:VI:9713598:9713702:-1 gene:SETIT_0154831mg transcript:KQL01156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGNAYTFPLYSTALQSVLGYYQRLLAMLSVAYD >KQL00258 pep chromosome:Setaria_italica_v2.0:VI:827538:829051:-1 gene:SETIT_013658mg transcript:KQL00258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVKHGGVRVVSRRTVRPFTPPPMVNGNSCHPAKEEVEVIHLTPWDLRLISIDYIQKGILLPKPPVSGDVLVNALESSFSRALERFYPFAGRLASEERGDGTMSVFLRCTSEGAEFVHAVAPEVAVADIVSSLYTPPAVWAFYSNSFDQVLGADAAIKSLPLVSVQVTELADGVFIGMTLNHSAGDGTAFWHFLNTWSEIHRRAGGGVGVSDDDLRDLSTPPPVLQRWFVETCPVPIPMPFSKLEHIVKKFERTAVQECFFTFSAASVKKLKAQANAEIAGTDTAAATISSLQAVLAHLWRAVCRARRLPREQVTFYSVVVGCRGRVNGIPPGYVGNAMVFGKAVATAGEIDDKGLGWTAWLLNRAVASFDEASMRESLESWVRDPDFTYMGNLSSAGTALVTGSSPRFDVFGNDFGWGKPVAIRSGAGNKADGKATVFEGPERGGSISLEVCIAPDALKRLVADEEFMDAVTTDELAGRR >KQL01655 pep chromosome:Setaria_italica_v2.0:VI:24203721:24204143:1 gene:SETIT_015915mg transcript:KQL01655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLHTVYMHMLMVTPSYLNLELGYIAVAMSYVICLMFISGRI >KQL00320 pep chromosome:Setaria_italica_v2.0:VI:1327477:1328622:1 gene:SETIT_015431mg transcript:KQL00320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTSRTTFKVPGLATSDGILVIWPHFHRSAVFTAGGYYDWSICYYPDSLYDSDSIDLCLQLESRGTEVTISSSVSLLDPKATLPPLNLVKPSPPLRFDSEDEDRRMVTHWVPKRVLREALRHGYLQGQSGLLFQWTITVIYVPEPELMIPVPELMPMPMLEPELTPVQEPRPEVPTADVVPEPMSDVPDVAPLSMVPATDVTYSVWGLLFHAHKAILAAQSPVFKAELSEAMKKKQAIGAPAAIIEVDGMRPDVFEALLSYIYTDALPDTEGQSDEDDDATQMMCDLLVAADRYDLERLKLLCERELSKIVDVENVAKMLAFADDHYCCALQDACIEFMVTSGRMEEVVESPGYQLLRRKHPLILVDVLEKFLIFHNVY >KQL02142 pep chromosome:Setaria_italica_v2.0:VI:30252700:30253815:1 gene:SETIT_0155282mg transcript:KQL02142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRPSGTTDKKQSLSTAKGTVHLHSAYHQFFCTIMRIKITATTQPPREQISTLLKPKASALPARSTLVLASFVQGMARHLLRPLLCFTVVVVVTAAAGSVDFRAELNHPYASSSLSSEEFIGHAASYLSSVLSFHLTAPPDLIRARAVKVGVFCAYLSNRNVVNLLLVQGHLEFHHQVFRLHASEAQAAAMARTLREEDPPINAKPLSVPNTRATRGHADHTPATRAAPRIRRDSAFTPNAAPGLLPQPRHDSTSPIGTERRVPVTSFCPPAFTPPPTLAGQPYLQAALTVTPSLTSPTPPPPPPIPLRHQRCHRCLARDHRVRDCRDPIRCRLCRGSGHRGYACPMVFPRELTPHPRRRPTIPLPASRVP >KQL02615 pep chromosome:Setaria_italica_v2.0:VI:33547348:33550843:1 gene:SETIT_014011mg transcript:KQL02615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHVKAVPATLGAGGMPGGSSGADGEVDAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHAPIIPQQLPPPSYARPGRPRLSDFHDRSFEGDYGREVDDIGNGISESGVRGKLIKRNKKSSGELDIYGGHRINVEAIGTLGEDSRSEIRSRGDRDMDNQQILQAEEKEVVSSVATVLSDLCGPGEWMPMTKLHTELLEQFGNVWHPSRVRKYLTTDDWSPTETKGRPWFGLLALLRKYPEHFVINTRSKGRVTSEFVSLVSLLS >KQL02614 pep chromosome:Setaria_italica_v2.0:VI:33547348:33549997:1 gene:SETIT_014011mg transcript:KQL02614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHVKAVPATLGAGGMPGGSSGADGEVDAGFAKLQGEDFEYYMQTYSIMLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALDVIGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKKFYFLLPTRSIFASAAAARHAPIIPQQLPPPSYARPGRPRLSDFHDRSFEGDYGREVDDIGNGISESGVRGKLIKRNKKSSGELDIYGGHRINVEAIGTLGEDSRSEIRSRGDRDMDNQQILQAEEKEVVSSVATVLSDLCGPGEWMPMTKLHTEVLIALSAILRCGV >KQL01783 pep chromosome:Setaria_italica_v2.0:VI:26038787:26039804:1 gene:SETIT_015029mg transcript:KQL01783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGKGKVDGDGPSRERTINWDDGQTKSCWIVDRHFRHYKEHWKYVSKALSNSGNVFDGTRCMGGYFRDTCMNDYDDSESDDSQGLNDMSGNALPEDLNGDDSNTLPSPLSNKPGGESSSCTSQVGTKRPRGSKSPSKKPKKPKSHFSESTEQLNSTLLSLQKFLNAPAPQVPQPPNPHASLWERLEAMTITTYNKITVCQYLAHNDRKGLRDFLSSASDTTLQTWVYKFLT >KQL02025 pep chromosome:Setaria_italica_v2.0:VI:29214790:29215770:1 gene:SETIT_015736mg transcript:KQL02025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHVLLVDDSCVDRMVASRVFESCNVKVEGAKQALKFLDMKSPKLNHLPVVITCTNVFTERVKRCLDGGAKDFIAKPIKVDDVPHILSYI >KQL00355 pep chromosome:Setaria_italica_v2.0:VI:1583279:1586777:-1 gene:SETIT_013813mg transcript:KQL00355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSAVRSNGIALRFLIEDRIRRRSACAYKMFTLGSQRRRVRHPVVFATKRRRPKKWERPWWKTFFYDGNEDEESLAGWREVDELSEEIGNDQELSENEKFETWRRKAEAIVELREAQQDAMNAEERSWEDWISGGSTSGGGDGGGGASMLDQITDDPAEIMRDKSVIEVFRDPLNEDYDDMLFEDRVFMYASTNSAKFLAILIVVPWVIDFLVHDYVMLPFLERYVQKVPLAAELLDVRRSQKLQMVKDLNIEKARYRLEVEIGKSPPLSDEEVWSELREKALELRDDWRLENRKAFANIWSDMVYGIALFLIICFNQSKVAMLKFTGYKLLNNISDSGKAFLIILISDILLGYHSESGWHTLVEVILEHYGLEADEAAVTFFVCLVPVAFDVLIKFWVGIQIPSKIVTQCGQHFG >KQL00354 pep chromosome:Setaria_italica_v2.0:VI:1582380:1586777:-1 gene:SETIT_013813mg transcript:KQL00354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSAVRSNGIALRFLIEDRIRRRSACAYKMFTLGSQRRRVRHPVVFATKRRRPKKWERPWWKTFFYDGNEDEESLAGWREVDELSEEIGNDQELSENEKFETWRRKAEAIVELREAQQDAMNAEERSWEDWISGGSTSGGGDGGGGASMLDQITDDPAEIMRDKSVIEVFRDPLNEDYDDMLFEDRVFMYASTNSAKFLAILIVVPWVIDFLVHDYVMLPFLERYVQKVPLAAELLDVRRSQKLQMVKDLNIEKARYRLEVEIGKSPPLSDEEVWSELREKALELRDDWRLENRKAFANIWSDMVYGIALFLIICFNQSKVAMLKFTGYKLLNNISDSGKAFLIILISDILLGYVILISFFY >KQL00356 pep chromosome:Setaria_italica_v2.0:VI:1582380:1586777:-1 gene:SETIT_013813mg transcript:KQL00356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCSAVRSNGIALRFLIEDRIRRRSACAYKMFTLGSQRRRVRHPVVFATKRRRPKKWERPWWKTFFYDGNEDEESLAGWREVDELSEEIGNDQELSENEKFETWRRKAEAIVELREAQQDAMNAEERSWEDWISGGSTSGGGDGGGGASMLDQITDDPAEIMRDKSVIEVFRDPLNEDYDDMLFEDRVFMYASTNSAKFLAILIVVPWVIDFLVHDYVMLPFLERYVQKVPLAAELLDVRRSQKLQMVKDLNIEKARYRLEVEIGKSPPLSDEEVWSELREKALELRDDWRLENRKAFANIWSDMVYGIALFLIICFNQSKVAMLKFTGYKLLNNISDSGKAFLIILISDILLGYHSESGWHTLVEVILEHYGLEADEAAVTFFVCLVPVAFDVLIKFWVYKYLPRLSPSVVNILDEITRH >KQL01148 pep chromosome:Setaria_italica_v2.0:VI:9462165:9462681:-1 gene:SETIT_014784mg transcript:KQL01148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGTNSEIPVLGRYNASSPGSNNALFTEAFQQIASVQHRKKTFRTANNQFYWKHNALHHSEQSRAGTIEAVK >KQL01615 pep chromosome:Setaria_italica_v2.0:VI:23208701:23209300:-1 gene:SETIT_015994mg transcript:KQL01615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVPGRYSSSVWFFWAQFKAFVRWYFVWPRCSCRSSSAPAVASATTAVDDERSVW >KQL01614 pep chromosome:Setaria_italica_v2.0:VI:23208079:23209294:-1 gene:SETIT_015994mg transcript:KQL01614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCVPGRYSSSVWFFWAQFKAFVRWYFVWPRCSCRSSSAPAVASATTAVDDERSVCVRLQLSYRISTRSASAFGDAASVLNLFIFMMTSQSSS >KQL02381 pep chromosome:Setaria_italica_v2.0:VI:32138876:32141554:-1 gene:SETIT_015160mg transcript:KQL02381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSNRRVRVVFKVAVVAAVLLQLLRAAAAAQATANCSTSCGNISISYPFGIEPGCYHVGFNLSCDHSHNPPKLFLGDSTVEVLEISIPSGTVRINSSSIVPSSPSASIDGKMNKSGRYHTWSGLRKGGPFFVSPDKNRFLVLSCNNVQVLLLGEDNSTVNACATYCPPAPGKNQSFQYPLRNECSGIGCCSASIPKGYSSYSIQVQVQPPNNISEFDAESSVYIAEEGSYNTTRLIFETLDTLPALLDWVISNSTCKDSPTASACRSRNSYCQNYTSYVYNGYRCRCSAGYQGNPYIQDGCKDIDECARWELHSCYGTCINMPGTFHCQCPHGTYGNPFTEGGCIKIKNSSQGLTISLLISCGSILLALAFVGPFILRKIKLRKAQKAKERFFNQNHGLLLQQLISRNADIGERMIITLADLEKATNSFDKSREVGGGGHGVVYKGILDLHVVAIKKSKIVVQREIDQFINEVAILSQINHRNVVKLLGCCLETEVPLLVYEFISNGTLSHHLHVEGPISLPWDDRLRIAGEIARALAYLHSASTMPIFHRDIKSSNILLDDSLTAKVSDFGASRYVPINETGVTTAVQGTFGYLDPMYYYTGRLTDKSDVFSFGVLLVELLTRKKPSLYNSDSGDSLVSHFVSLLTEGDLIDIVDPQVMEEGDGEVQEVAALAARCTKLDGEGRPTMREVEMALENLRLKKKPSPRNTLFSSERCDGDEIATRYLPARGVANEASRQYSMEEEILLSARYAR >KQL02840 pep chromosome:Setaria_italica_v2.0:VI:34890192:34895257:-1 gene:SETIT_013177mg transcript:KQL02840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPGEEMTAEAKEERIMVSVRLRPLNGREAGDSSDWECISPTTIMFRSTVPERAMFPTAYTYDRVFGPSCSTRQVYEEGAKEVALSVVSGINSSIFAYGQTSSGKTYTMTGITEYSMLDIYDYIDKHPEREFILKFSAIEIYNEAVRDLLSHDSTPLRLLDDPEKGTTVERLTEETLRDYDHLRDLLAVCEAQRQIGETALNETSSRSHQILRLTIESSTRQYLGRGNSSTLVACVNFVDLAGSERASQTASAGMRLKEGSHINRSLLTLGKVVRQLSKGRNGHIPYRDSKLTRILQSSLGGNARTAIICTMSPAHTHIEQSRNTLLFATCAKEVITNAHVNVVMSDKALVKHLQRELARLENELKFPGSASCTTHTEALREKDAQIKKLEKQLKELMEERDTVQSQLNCLLKGDGDDHHNEHTAKPWDEHSRSSESLARNVSEEALSVADAYGAAHQDQDYASFNGSYVCSSDHNDSAFLGETRELPRQTWDQKLVSPWHPPSNHSSDGIEPYHMKEAASRTASEVSEEHCREVQCIDIHEHVRSRSHEFNELLPEDTKIQTPDVEVITKDAVPQSDEQQGLESITKKIEDHVRSYPSKDEQQADNIAKIEEDSVKMYQCESDRIRENAVKLYTCDSNHSFDISKPYPHECLSLKRCIMSSRDRALARSNSCRASFVVIPNSWFDDSDNTSRTPPDEIFRYAPRRLGKVRRSLYAENDDCQNEDPLLDCSVVACEVASDEVVKDMSTSDEVAKKMSTSDELGKEISTNDEVANEMSTSDEEQEIQINDISCVTELKENTKNCHEDQPVAFHAQLVMQAIRDESTAMKTVKDVGVDIALSPIESPSHPTVDFEKKQQQIIELWHECNVSIVHRTYFFLLFRGDPADNIYMEVEHRRLSFIKNSFSAESTAQGELNPAIASSLKNLRRERDMLYKQMLKKLSNGEKESIYSKWGIDLSTKQRRLQLSRLIWTQTDMEHIRESASLVARLIDLLEPGQALKEMFGMNFSLAPRTDRRSFGLVGSYSMK >KQL00254 pep chromosome:Setaria_italica_v2.0:VI:806592:808203:1 gene:SETIT_013686mg transcript:KQL00254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGATVEVQIVSRRVIRPEPATSPDGGPPEPEIMHLTPWDLRMIAVDYIQKGVLLPKPQTGGEAAHLVDSLASSFARALDRFYPLAGRLTVAEATDGGVPGPGIVVSLCCNGEGAEFVHAVAPEVTVGDITAPVYIPSVVWSLFPLNGALGTDVSLPVLAAQVTELADGVFVAMSLNHGVADGTTFWHFFSTWSEISRSGSSDAGGELSTPPPVLERWFLETSSVPITLPFGKLEDIVRRPEYPPVQECFFNFSAESVRKLKARANAEMAGTATATSTISSLQSLLAHTWRAVCRARELAPERETTYFLLVGCRGRVKGIPRDYVGNSVASAVARWTAGEVVEKGLGWAAWLLNRAVASFDEATVRDNLASWPQDPKFLYVKPPGEAAAAIMTGSSPRFDVYGNDFGWGRPVAVRSGAGNKTDGKVTVYAGRGGAGSMALEVCLEPEVLARLVADEEFMEAVSAAATA >KQL01093 pep chromosome:Setaria_italica_v2.0:VI:8063383:8064531:-1 gene:SETIT_014489mg transcript:KQL01093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNDYLNNYLAPNYDTRRRYDPHQFAGLLARQFASQLTRLYKAGARKFVVAGVGSMGCIPTVLAQSLAGRCSPEVDGLVLPFNANVRAMLDGLNADLPGARFTYLDNFRIFKAILANPAAFGFSVVDRGCCGIGRNGGQITCLPFMPPCADRERYLFWDAYHPTAAVNVMIAREAFHGGADVMAPINVGQLARL >KQL00527 pep chromosome:Setaria_italica_v2.0:VI:2790448:2799808:-1 gene:SETIT_013121mg transcript:KQL00527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMALLPRSPLCSRGRSAPVVRPTTLDGGSAQPFLRTSGFARSRIIWCTVASSDCPNRKSRKMVYPKVKLTAFSGYVPRLPAESSTEKSEHHDSDEGDGIYNRLLSTDIEGLETAEVDLPENASSSSAYGEVDVAEEAALDMVEVELSRNALTNMSLGEVEAVDEAAVEEDKFAVDLSGIELSIAAVCELDPKDEAKAKEDIFMAVESSDETSDVENEQWQYPALPSTSIEDKANNETHGSLKPQSMPLVRVQEQGKSIVGVPEQIQSIVVYRKPDQSIVASHRKDESIAVVPEQIQSVVGYSKPDQSIVGVPDQIKSIVGYSKVEQSVVHIPKEEQSVVGFPKQDLSIVGTTKESQTKKLDFPGSHHAFRTEGVEAKEGDYTPQKPDGDMLHAKSDVDDLLQKHKKDFSEEESETITSIEEQINTSMEEEQCIVTEEGIPEVEDEMETGEDRFLHQLSEEESSWAEDEVETTEDEEQYEVEESSFVSAEQDTQESPQDYLDPQALQRMLQELAEKNYSLGNKLFVFPEVLKADSTIDLYFNRDLSSLANEPDVLIKGAFNGWRWKFFTEKLHKSELGGDWWSCKLYIPKEAYRLDFVFFNGRTMYENNGRKDFVIPIESTMDEHSFADFLVEEKQRELEREAQRKSQTEEQQRLEEERAANEADRAQAKAEVQTQKIKLQNVLDSAKASVDNLWYIEPITTMQGATVRLYYNRHSRPLVHSTEIWMHGGYNNWIDGLSFSERLVHIDDKDGDWWYADVALPQRAYVLDCVFADGPPGNARNYDNNGRQDFHAILPNSIMTEEGYWVEEEQRIYTRIQRERREREEAIKMKAERRAKMKAEMREKTMRMFLVSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLKGKPEVWFRCSFNRWMHPGGVLPPQKMVKAEHGSHLKATVNVPHDAYIMDFVFSESEEGGIFDNRNGLDYHIPVFGSIAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHNVEVILPKHDCLNLSNVKNLHIHQNFSWDGSEIKVWRGLVEDLCVYFLEPQNGMFGVGCVYGRNDDRRFGFFCHSALEFLHQRGSSPNIIHCHDWSSAPTAWLYKENYVQSSLANARVVFTIHNLEFGAHHIGKAMKYCDKATTVSNTYSREVSGHGAIAPHLGKFYGILNGIDQDIWDPYSDSFIPVQYTSENVVEGKRAAKRALQQKFGLQQNDVPIVGIISRLTAQKGIHLIKHAIQRTLERNGQVVLLGSAPDPRIQGDFTNLAHDLQNQNHGRARLCLTYDEPLSHLIYAGSDFILVPSIFEPCGLTQLVAMRYGAIPIVRKTGGLYDTVFDVDNDKDRARARGLEPNGFSFDGADSNGVDYALNRAITSWFDARDWFHSLCKRVMEQDWSWNRPALDYIELYRSASKL >KQL02564 pep chromosome:Setaria_italica_v2.0:VI:33241594:33242674:-1 gene:SETIT_015518mg transcript:KQL02564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRTSRLEGRTIDSAFHEFRVDYEQNKNLGIGKAIYSDAFSAGSYMWRVQYYPHGCTKSHKSYVSIYIELFVLRTDLEKYYLTGGNITFVCAITVVHDRSIPVPPSDIKKHFSNLPGSTDVSFNIGVLKALMSSITLHDITPATFRVMLQFMYTDALPGDDELGDPPSEMMKQLLAAADRYGLDQLKLMCAQKLGDNVSVDTVAATLTCAEMYSCPELKNKCIDFFVNLTL >KQL01123 pep chromosome:Setaria_italica_v2.0:VI:8854333:8860561:-1 gene:SETIT_015329mg transcript:KQL01123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATVVSVGKSVLNGALNYAKSMLAEEIALQLGVQRDVTFVADEMEMMQSFLMTADDEQDEHKVLKTWVKQVRDLAYDVEDNLQDFALHSDKKPSRWCVLRTLWERRGIAKGVKELKARVEDVSSRNLRYRLIKDASSSKTAAAVEQVATADATVFGFGITKEAMVNLADLVSSKEVDLRVISLWGTGGDLGKTSEIRKVYDDESVKKRFGCRAWVRLMRPFNPREFVKSLVRQFYENSPDKIAKGHEGETVGATALVKIEKMEQMDLVLSKFHELVNGNRYLVVIDDLSTIVDWDCIKTYFPNRKNGSRIVVSAQQAEVASLCTEQPYQMSELKWVSADQTLYLFYKKVEPVETSVGTSSGSNAPPGQNEIAPVASSPRNLSKSPRTPELLNNEDELGSGRATKASAFEEALLIGRAEEKAEVIKMISTAEQRSVISVWGMGGIGKTTLVKGVYQSPELAGLFRKHAWVTVKHPFSLEVFLRGLAERLQENEQSVSSGEKKRPDITRMAGRYGKNVATMAYEDLKRELAGLLQEPECLIVLDDVSSTLEWDAIKRYMGQTRRIIVTTRERSVAKHCSSLESDIYQLHAMGEEDDFKLFKKKVLKKDEYIDLRSDLEEQAKLILKKCHGLPLAICTIGEGYSSEMRHMSAEEVGDKHFAELYDRSMILPTNRVTHSTGEIDSCQLHDLIRDISISKSMEENLVYILEEGCSSKVQGTIRHLSVSSNWAREKDVYESTLDFGHIRSLTVFGEWRSFLISDKMKLLRVLDLEDTSGLRDHDLAHIGKLRHLRYLSLRGCRGVTQLPECFGNLRHLQTLDVRDTFIMTLPKTIVNLERLQYLRVGFVRQDVPKDDIYEEFVDKILQQISGRGACRNWCCFYSCSLLDLCGYLCRPKLLHAGMNRHDISKFCSFFLTAGWMHHTLQGVRVTKGIGDLKALRTLSVVNVAWGRHAMKVLKRLSHLRKLTVTGVYDQNSKDFWSAIAPHNRLRSLSVQRLFESIGMPIIDGCLGENPYMDGATNDGPAAAEAPDTVNGVLPSSGGTSQSKHGQSLAGEVLFPPKDLESLKVEGRLVKIPQWIHQLQNLSKLQLCYTRLKLDAIQVIGRLPNLSILRLRSLSFLGKELHFLHSSFPSLVVLELSDLPQVQVVYFEERTMPRLEVLQVFSCLSNGVLSGLRLLTSLKEVSLTRVHYSSIMRVQNQLKDCPKPLWHPGPQGWPLTPGSSLGYQEFPYRPTQVFSAHFVLTHAHPGRTSRSVTHPEIAPGQARLTLEFFEDELPEKKLQLVGMSILSILLSLGPGCHTSTDADARRWIAPAVLSNGVSRAPHRQLATRMSPT >KQL01402 pep chromosome:Setaria_italica_v2.0:VI:18819108:18822491:-1 gene:SETIT_015260mg transcript:KQL01402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGIFNPILYGNRLFQQFAVDTYVKIESSRLDYIRNNQDILRADLYQGLVDSWRIGVEDADEVGKRTVLSPTFIGGPRNMRPRYMDAMALVRKFGKPDIFLTMTCNHNWDEIKNELYPGQSPQDRPDLVTRVVRAKLEELKKMLMEKDILGKVRAFVYVVEFQKRGLLHAHFLLIMQRKYKITCPEQYDLLIFAELPNKKKYPDLYRMVTKHMMHGPCGTLNPLCPCTTGRISCKNRYPRPFCDSTSQGKDSYPIYRRRDDGRKEIIRGHILDNQWVVPYNPCLLRTFNCHINVEACSSIKSVKYLFKYIYKGHDRASVAVREAGKKDDKGNVDEITQYREARWVTPPEAMWRIYGFDLSKNHPPVQQLQLHLPDMHMVTYHKWDKIERVVKRPGADESMLTAYFDYNRLHEEGRGILYRDFPEHYTWESNGKFWKPRKNAVYQVGRLVSAHPAEGERYFLRVLLNHAAGATSYRDLRTVDDVLLPSFREAAERRGLIEEDNTLDECLTENSLFHMPSSLRRLFVTILVFYEPNDVFGLWTKHFDAMSEDYRRNNANPILVEQMVLIDIRNMLQSMGKEIRSFPLPGIDDAYDDASGIPREIFEEASIDQHQEDVGLPDSLNEEQRAAYEEIMSKVDTEQGSLFFVDGPGGTGKTFLYRALLGTLRNQNKLAIATATSGVAASIMPGGRTAHSRFKIPLTLEDGGCCCSFTKQSGTAKLLQQASLIIWDEASMAKRQAMEALDNSLRDIMGRQDLPFGGKTVVFGGDFRQVLPVVRKGSRAQIVDASLQRSYLWESMHHLKLVRNMRAQSDPWFAEYLLRIGGGTEEVNGDCDSGDSEKDLDRLIECIFPNLNANMTNKDYITSRAILSTRNDWVDNINMKMIAMFQGGKMVYHSFDSAIDDPHNYYPSEFLNTLTPNGLPPHLLKLKIGCPVILLRNIDPANGLCNGTRLVKKRVPTVDGTYTKNIVYKEVLTP >KQL02853 pep chromosome:Setaria_italica_v2.0:VI:34969699:34972072:-1 gene:SETIT_015412mg transcript:KQL02853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPWTAEEDKKLISFILTHGRCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSTAEEQLVIDLHAKLGNRYTSLHGRLPGRTDNEIKNHWNTHIKKKLIKMGIDPATHQPLANSKMSTSQSTDTTKSAKSSDTRDELSVKEGSRRDMALPTDPLEQSSWLESGNNAGRQDQEHLVNWLSETDLPMDEPWLNFTSSDNDVLGIVEGPLPWDGATDWLLDYQDFGMCSSNLVDNNSTLHSTDGSNF >KQL02006 pep chromosome:Setaria_italica_v2.0:VI:28955463:28959347:-1 gene:SETIT_013150mg transcript:KQL02006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVFWPWISLFFTFVASSWSLSSDGQALLALSKNLILPSSVSSSWSASDATPCTWNGVSCNKRNRVVSLDLSSSKVSGSLGPEICRLKYLQILSLSGNNISGSIPPELGNCSMLEQLDLSQNFLSGNIPASMGNLKRLSQLTLYSNLLSGTIPEELFKNQFLEQVYLHSNQLSGSIPFSVGEMTSLTALWLHENMLSGVLPASIGNCTKLEVLYLFDNRLSGSLPETLSKIKGLRIFDATTNSFTGEITFSFENCKLEIFLLSFNNIKGEIPSWLGSCRSLTQLGLVNNSLSGKIQTSLGLLSNLTHLLLSQNSLSGPIPPEIGNCHLLEWLELDANQLEGTVPKELAHLRKLSKLFLYENRLIGDFPENIWSIPTLESVLIYSNSFTGKLPSVLAELKYLQNITLFDNFFSGVIPQKLGVNSRLVQIDFTNNSFVGGIPPNICSGKALRILDLGFNHLNGSMPYNIVDCPSLERLILQNNNLDGTIPQFRNCANLSFIDLSHNSLSGSIPESLSRCVRITDINWSANKLSGGIPPEIGNLLNLGRLDLSDNILHGSVPVQIANCSKLNSLDLSFNSLNGSALSTVSNLKSLLHLRLHENKFSGGLPDSLLHLGMLIELQLGGNILGGSIPSALGRLLKLGTLNLSGNGLVGDIPPQLGNLVELVSLDLSFNNLTGGLATLGSLHSLHALNVSYNQFSGPVPDNLLVFLNSTPSSFSGNPGLCISCSTSNSYCKGTDVLKPCGGSKKRGVHGRFRLALIVLGSLFGGALVVLVLTCILLKSRDQKNSEESMSTMLEGSSSKLNEVIEATENFDDKYIIGTGAHGTVYKATLRSGEVYAIKKLVISAHKGSYKSMMRELKTIYKIKHRNLVKLKEFWLRSDDGFILYDYMDKGSLHDVLHVIQPAPVLDWCVRYEIALGTAHGLAYLHNDCRPAIIHRDIKPSNILLDKDMVPHISDFGIAKLMDQPSAPQTTGIVGTVGYMAPELAFSTKSSMESDVYSYGVVLLELLTRKTAVDPSFPDNTDIVGWVSAALNGTDKIEAVCDPDLMEEVYGTVEMEEVRKVLSLALRCAAREASQRPPMAAVVKELTDTRPAAGAGGRSLFKKQGKQGPGSQSHSSSY >KQL00788 pep chromosome:Setaria_italica_v2.0:VI:5017166:5018843:1 gene:SETIT_015346mg transcript:KQL00788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVITRSKRQRLEEESRRQQQRPPPAPRGEEGDAQRLDLIRRLPDDVLGAVITLLPATDGARTQILSRRWRPLWRSAPLNLEAKNLAAAQAILGSHHGGPGRRFSLTCCSDRTDGYPVINDDMLRPPGLDGLQEFCLRLHFSFPIAVALKNGRRNPATAPLSVFRFSATLRVLTIICFDHGGLEFPAESAAACGTLGFPRLEQLTLSGVSISECTLHGILSRCLVLQSLLLQDNLGYRRLRISSSTLRSLGVSDLLSRERMLEEVIIEDAPLLASIITDGLTCVRGLKIRVIQAPKLKVLGYLGDRVNEPMLGSMIFKNMKLVSLPQAMRTVKILALKVASDNLDAVIDFLTWFPCVAKLHMDVWGKSNNARDLVSLEHLKTLELISYRACTSEVGLIRFFLSNARVLESLKVLADRRVVCDVDWIPRQHKRLRRIGTAASQGVKICLEPEPIHQPSSYEPMNHVHNLALDDPFGI >KQL00309 pep chromosome:Setaria_italica_v2.0:VI:1238076:1239188:-1 gene:SETIT_015124mg transcript:KQL00309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNKMRKMRDKLRKIEEDHLNFKFESCHRIDIEHQFTDRETTSKVIEAGILGRDKERRRVIELLSACNDKDGLQILPIFGLGGIGKTTLAQLVFNDIHFNDYDHRVWVYVSQIFDLRKVGNTIISQVLKEGIHHGDSREFIYQRLQELLHDKKTLIILDDLWETDDTHLKELKLMLNVSSKMKVLVTTRNEEIANRICTITPCRLRPLNNAMCWDIIKKNMNFESREDKQQLEQLGLVIASKCGGVPLAAQALGFMLSRMDLKQWEEVSSSDIWNEPFAENSVLPSLKLTYIAMPPYLRLCFSYCAIFPRGHIIAKDSLIHQWIALDFIKPSNIFSDVQIAEKYVGQLLCMSFLQHSKLPTVSYIYTCTT >KQL01622 pep chromosome:Setaria_italica_v2.0:VI:23503772:23505105:1 gene:SETIT_014313mg transcript:KQL01622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPPLQLALLLLVVALPAAMSASCAGEHFPSGRTYVTCEDLPSLGAALHWTYDASVPSLSLAFVAAPAAPGGWVAWGINPSGGGMVGAQALLVLAGDAATSAASAVRTYNITGYAPLGKASTPIAFQATGLAADVGVGGKVRLYATLRLDTGMKKVVNHVWQVGSSVTRGAPDIHAMDAENLAARGKLVLSDGAAASPPALAGGPSSSGEGSGDGSPPLSRTISRAADTARVSAPALVLLALLGFLTTTMVLVA >KQL02317 pep chromosome:Setaria_italica_v2.0:VI:31700776:31705681:1 gene:SETIT_013250mg transcript:KQL02317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAAGELAGARGEGGGMEVQRVFIGAGCNRVVNNVSWGACGLVAFGAQNAVALFSPERGEIVTTLPGHKAPVNCTLWLPTKKDVLQVRGRETYYLLSGSADGAIMAWKIGSGKGDWSHVLQLPGMHKKGITCLAGRMVSDTVAIFASTSSDSIVVIWEMVIEPTPGGSCKVSCLHTLSIGSKPMVSLSLAVLPEQGSHLILAMGGLDHKIHIYCGDKSGKFIKACELKGHSDWIRSLDFSLPVMMSSGKHNLFLVSSSQDRTIRIWKMDSEAVSSGSTAQLRKANIEMTSYIEGPLFVAGNTSYQVSLESLLVGHEDWVYSVEWQPPTLLPGDEAHQAMSILSASMDKMMMIWRPEKNTGLWINSVTVGELSHSALGFYGGHWQPDGKSILAHGYGGSFHMWRDVGLDSENWQPQIVPSGHFAPVSDLTWARSGQYLLSVSHDQTTRIFAPWRNQVKPGDMIYWREIARPQIHGHDINCVAFIQGSGNHRFVSGADEKVSRVFEAPLSFLKTLQQATLLKPDVSDDFDNVQVVGANMSALGLSQKPIYTHGVKESLSSNSTDGPDSMETIPDAVPTVFTEPPVEDQLAWNTLWPESHKLYGHGNELFSICCDYEGKLVASSCKAQSAPVAEIWLWEIGTWKAVGRLQSHNLTVTQMEFSRDNVFLLSVSRDRHLSIFSIRKTKEGVEHHLVAKHEAHKRIVWACSWNPFGYEFATGSRDKTVKIWCVQDASSVKLLATLPQFRDSVTALAWMGRDRASNAGILAVGMDNGLIELWGVSGGRVSADSTPDSSPLSAACMLRFDPLLCHVSTVHRLRWREPNSSDEKSALELASCGADHCVRVFDVHCRT >KQL02975 pep chromosome:Setaria_italica_v2.0:VI:35593632:35594417:-1 gene:SETIT_015199mg transcript:KQL02975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEAQQEMRLEHGAHWIKAWRRHPERRSKHVLVQTMERHRRRASDRSVVVWERAGDGRMEATDTLRGHKKAILCLAAAGDVVCSGSADRTVRVWRRRTENTGYTCLAVLEGHGAPVTAVRSVALVCSGALDGEVKIWSVLVPCLLER >KQL02742 pep chromosome:Setaria_italica_v2.0:VI:34306238:34309689:-1 gene:SETIT_013958mg transcript:KQL02742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPADEGGAGTGGRCGGGARWVLVGLALGQFVSLLITSTGFASSELARRGVNAPTSQSLLNYILLALVYGSVLLYRRQPLTIKWYYYLILGIIDVEANYIVVKSYQYTSLTSVMLLDCWSIPCVIVLTWIFLKTKYGLRKFFGVGVCVAGLILVVFSDVHASDRAKGPNPLKGDLLVILGSMLYACSNVTEEYVIKKSNRVELMAMLGLFGAIVGGIQISILERKELHSVTWNAGAVLPFLGFAVAMFLFYSTVPTVLKICGATMLNLSLLTSDMWAVLIRIFAYHEKVDWIYFVAFACTAAGIIIYSYKDSKEAEETAQVAGASYEQGKEGDEEAGAHNSGEGSGSSVHSKEVPSAAGTSRRLLG >KQL02600 pep chromosome:Setaria_italica_v2.0:VI:33461376:33465095:1 gene:SETIT_013142mg transcript:KQL02600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLLEYILLALVSLACHMTNVAQTSLAAHGASIPNVPDHHALISFKSYIRSDPMRALASWGNLSVPMCKWHGVVCGLSGNRHGRVVALDLAELNLLGTIAPALGNLTHLRRLHLPWNHFHGILPSQLGNLQELTHLNLSLNSIGGQIPLSLSNCSRLVNISLYDNNLQGTIPSNFRSLHNLKVLELDHNRLMGTFPPEIGSFESLTLLNVAHNDLNGQIPEEIGKLVNLVMLGLSYNQFSGTIPTSIGNLTALTFLSTFSNNLIGSIPPLQGLSSLSVLHLGENNLAGRIPHSMGNISSLTAIDLYQNELVGQIPESLGNLNLLTYLGLDVNNLSGPIPHALGNLRSLKRLYLAFNQLEGELPPTIFNLSYLESLVVGNNILNGSLPSDMTSNFPKLKNFSIEFNNFYGMLPSSLCNNSILVELDATNNFLSGKIPQCLGVQQKNLLVVSLDSNQLKATKDADLGFLSGLINSTYLMILDISNNNLQGALPHSAGNLSKHLSYFSVHNNKITGTIPEGIGDLVNLEYLSMGENLLEGSIPTSISKINKLGRLYLQNNKLSGFIPPSLGNLTNLEVVALQGNAFGGVIPSTLSSCPLEQLDLSYNILKGRIPKELFLIPTLSVSMRISHNLLSGPLPSELGNLQNVAALDFSDNRISGEIPSSIGGCQILEYLNISGNGLQGEIPSSLEKLNGLLVLDLSHNNLSGAIPEFLGTLRALSSLNLSFNKFEGQVPQDGIFLNATAISIIGDDGLCGGIPELKLPACTQNIFNKSSSKLIKIVSISSAIVLITLVSALFTFYQRKKVTEAKLQASLQQYMRVSYGELVRVTNGFASENIIGAGSFGSVYKGIMISTDQQEVVAVKVLNLSQRGASQSFIAECETLRSIRHRNLVKLLTVCSSTDHQGHEFKAIVYEFLPNGNLDQWLHQNFREDEERKALDLSSRLQIAIDVASSLEYLHQHKPFPIVHCDLKPSNVLLDTDMVAHVSDFGLARFLHQDLQQSNSWVTMRGTIGYAAPEYGLGNEVSIHGDVYSYGILLLEMFTGKRPTDSKFGEDLGLRKYVQMALPESVANIVDQNLLQDAWDCNARSTTSCSNKDTENSCIASTLRIGISCSAEMPADRPRIRDALKELESIRDKLHKDLLLEGASRS >KQL02055 pep chromosome:Setaria_italica_v2.0:VI:29503096:29505810:1 gene:SETIT_014493mg transcript:KQL02055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEEMTATEVAALLDLKPHPEGGFYNETFRDGSITLSTSQLPPQYKVDRAVSTAIYFLLPAGSVSRLHRIPCAETWHFYKGEPLTVFELHDDGHIDLTVIGPHLEAGQRPQYTVPPNVWFGSFPTLDVESFASDGSVLVKSRKRDREQHYSLVGCTCAPGFQYEDFEMATFEDVRSLAPKAEPFLKFLIPCTE >KQL02421 pep chromosome:Setaria_italica_v2.0:VI:32414818:32416429:-1 gene:SETIT_013935mg transcript:KQL02421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSPAVATALLLLAAVLAPLAAAAAACSAADRDALLSIRAALSEARLGVFSSWSGADCCAGWYGVACDPTDGRVADLTLRGEAEDAVMAPAGRPASGVMSGYVSDAVCRLGRLSSLVLADWKQISGPIPSCVATDLPYLRILELPGNRLTGGIPPAVGSLSRLTVLNLADNQLTGAVPGSITSLASLKHLDLANNQLTGRVPADLGKLVMLSRALLGRNRLSGPIPASVSSMPRLADLDLSENQLTGAIPGGLGSAHVLTSLYLGGNRLSGGIPASLLRNSGLGILNLSQNALEGAVPDVFTPQSYFMLLDLSRNRLSGGVPRSLASAAYVGHLDLSHNRLCGAIPAGPPFNHFDAESFGGNSCLCGGPLGKCT >KQL01917 pep chromosome:Setaria_italica_v2.0:VI:28063255:28064476:1 gene:SETIT_014973mg transcript:KQL01917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFLPAGFRFFPTDEELITYYLAPKAMDDSFTSPAIRDVDLYKSEPWDLPCEQQAAAAAADLQEGYFFCRRGSKYPSGVRARRATRLGYWKSTGKDKAVHGRDDGGRLVGTRKTLVFYRGRAPRGEKTGWVMHEYAMGDKSSSALLRGAQSEWVICKVFMRKQHSSSGERKVTTEETVHDQASITPGHVLLPMLPDGCDGEQEAAPPPVVTDSRSRHTVSDSGAQAMDGNEKDPHHHRPSWFNHDDQLGAHCSTLSVMQKQSDDDADYYLPELLEYDDYDDLSNPGLGLLDSGGEASQSSEIISAAIGPLYLDGLYWNFCF >KQL00271 pep chromosome:Setaria_italica_v2.0:VI:906079:909636:1 gene:SETIT_013996mg transcript:KQL00271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFVRQDIYYNRGPQPMVVSTNLSSHLPSSVPPATHSPPMDHAAEHLDPTAPAPASASSSAAVAEVNAWLASLAAEAGSGGAAVGRGGAGGGAAAELSLGPDPTPRGVAYLRALAAASQARSQAAGIAAAGLRAQALEYRAEAARLREALERAGLARDALPPPAAAAARAVAAVGNLLAIRDTEMSSFVVASADLSLRRAEVEEKRDKVHKESKALLDYTRKAINKLTELKKMLEKFKNDVEKQQAEQMTDWQTKLVMMDSKERQYILQVSNYKAMLNRVGYTPEINHGVLMEMAEHKKDLERKTKPIADTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALTTTGL >KQL00719 pep chromosome:Setaria_italica_v2.0:VI:4302877:4307671:1 gene:SETIT_013699mg transcript:KQL00719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAAGGRAVFHLQHLRPRPPCRASPAPATAVLPHSAPASRAYHRRLPKGFLPTLEPGVSAVYLCRRVHSTTCSVSLDGRLQGELDGAKGEVRKHETVGAFQKIPMVMPATDILMSAQRKSRNVPPTKGIANIAKRERNKGAKQLDALMKELSVPLRTYTENFPKRRDLHPYERSLIELTFGEGYYEQVLGRVDALRKRITSVGKQHASVCAKSTTKREAEERLAEGKKRLEEAFQHGQHAIDDLVNVAKALRSMPVVDLHIPTLCLVGSPNVGKSSLVRILSSGKPEVCSYPFTTRGILMGHIVSNHERFQVTDTPGLLTRHDDDRNNIERLTLAVLSYLPIAVLYVHDLSEDCGTSVADQYTTYKHMKERFGDRLWIDVISKCDLLGKKAPISFDDADEDVTQYRKFGPEGALRVSVQSEIGVKELKERVHQLLTSQMARIKADKAEHETQEAGVSVPWRS >KQL01124 pep chromosome:Setaria_italica_v2.0:VI:8879943:8880886:1 gene:SETIT_014768mg transcript:KQL01124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRASSKGLVVLCFVSLLLVSSFADTTSRAQDTGRKMKRELVAADEEYAPLPPSYGVTPPLPPSYGPPSQTVPTYTPTQP >KQL02267 pep chromosome:Setaria_italica_v2.0:VI:31327708:31329243:1 gene:SETIT_014124mg transcript:KQL02267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPARRHLHHAVGAALLAAALLLSAAVPGATAQQETEDEHGFSYVPGSPNGPDRWGKINTLWAECSNGEMQSPIDLSDDRVTLVRSLGFLNYSYRPAEASIVNRGHDIQVKFSGDAGRLVINGTVYHLRQLHWHTPSEHTVDGRRYVMELHLVHQTTENKTAVIGVFYETGNIPDLFLKTLEPSIRRIGDTRDREEPIGVVDPNGARATGSVYYRYMGSLTTPPCTEGVVWTVFKTVCLNLNAWMAASRVAGMGWVGLASLTSSPQPFFRKPMSSTFQVLCLCRFAMWPSTSWIFSGRQWLTAMRTTRGRFRR >KQL02266 pep chromosome:Setaria_italica_v2.0:VI:31327708:31329243:1 gene:SETIT_014124mg transcript:KQL02266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPARRHLHHAVGAALLAAALLLSAAVPGATAQQETEDEHGFSYVPGSPNGPDRWGKINTLWAECSNGEMQSPIDLSDDRVTLVRSLGFLNYSYRPAEASIVNRGHDIQVKFSGDAGRLVINGTVYHLRQLHWHTPSEHTVDGRRYVMELHLVHQTTENKTAVIGVFYETGNIPDLFLKTLEPSIRRIGDTRDREEPIGVVDPNGARATGSVYYRYMGSLTTPPCTEGVVWTVFKTVRHVAKYQLDILREAVADGYEDNARPLQEVNNRDISIFRPKPFIWK >KQL01572 pep chromosome:Setaria_italica_v2.0:VI:21922410:21923724:-1 gene:SETIT_015889mg transcript:KQL01572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSLIFCCFAALPCRNTKMHRKFLCRQLILDQQAIYPLWFSMWFQFFSNFSYSSEFCHKTLIKFWTTSSQYHIISVGYSFVKK >KQL02861 pep chromosome:Setaria_italica_v2.0:VI:35012700:35014873:1 gene:SETIT_014115mg transcript:KQL02861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRSRLHGSPASIAVLILSFLQGSVRGITFTFTNRCPDTVWPGLLSGSGTPPLETTGFALEPGQSRSLYAPQGWSGRFWGRSGCNFDASGKGSCATGDCGSGEVECRGAGASPPATLAEFTLDGASGKDFYDVSLVDGYNLPMLVQAAAPECPDTGCLVDLNERCPDELRADDGRACRSACEAFGSPEYCCNGAYGNPNTCHPSQYSQLFKSACPKSYSYAYDDATSTFTCNHTDYTITFCPKSTPSSDKSKHSSRRPSHEQLEDSVWLASLRKSDAGALKVELWSASIILQSALAIAVVIALVALEQPLFSLL >KQL00126 pep chromosome:Setaria_italica_v2.0:VI:100064:106895:-1 gene:SETIT_014226mg transcript:KQL00126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDMAATDSSSMAMAMAFYPLQGQGLPTTSSYNPPHHHNHMLSFTSSTPDPTLMPPATTSSLPSAPKKYKFVTASPADWTAHEVATLEEGLIRYAHEPNITKYIKIAAMLPAKTIRDVALRCCWTPGNETRRRKPDDYYAGENMTYLKNKMVASTSAANVPMPPTNSAFLLPLSLHHPSQNSLVPMEAPVLDSAIQHLLEENNQLLSQIAANIKTFKTEENMDLFLRMNNNIRAISERMRETRGTMGQMPSLPVHVNEEHLSSLVHLHSGGKLDMKLS >KQL00124 pep chromosome:Setaria_italica_v2.0:VI:100064:106895:-1 gene:SETIT_014226mg transcript:KQL00124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDMAATDSSSMAMAMAFYPLQGQGLPTTSSYNPPHHHNHMLSFTSSTPDPTLMPPATTSSLPSAPKKYKFVTASPADWTAHEVATLEEGLIRYAHEPNITKYIKIAAMLPAKTIRDVALRCCWTPGNETRRRKPDDYYAGENMTYLKNKMVASTSAANVPMPPTNSAFLLPLSLHHPSQNSLVPMEAAPVLDSAIQHLLEENNQLLSQIAANIKTFKTEENMDLFLRMNNNIRAISERQA >KQL00125 pep chromosome:Setaria_italica_v2.0:VI:100513:106883:-1 gene:SETIT_014226mg transcript:KQL00125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDMAATDSSSMAMAMAFYPLQGQGLPTTSSYNPPHHHNHMLSFTSSTPDPTLMPPATTSSLPSAPKKYKFVTASPADWTAHEVATLEEGLIRYAHEPNITKYIKIAAMLPAKTIRDVALRCCWTPGNETRRRKPDDYYAGENMTYLKNKMVASTSAANVPMPPTNSAFLLPLSLHHPSQNSLVPMEAAPVLDSAIQHLLEENNQLLSQIAANIKTFKTEENMDLFLRMNNNIRAISERMRETRGTMGQMPSLPVHVNEEHLSSLVHLHSGGKLDMKLS >KQL00127 pep chromosome:Setaria_italica_v2.0:VI:105851:106895:-1 gene:SETIT_014226mg transcript:KQL00127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGDMAATDSSSMAMAMAFYPLQGQGLPTTSSYNPPHHHNHMLSFTSSTPDPTLMPPATTSSLPSAPKKYKFVTASPADWTAHEVATLEEGLIRYAHEPNITKYIKIAAMLPAKTIRDVALRCCWTPGNETRRRKPDDYYAGENMTYLKNKMVASTSAANVPMPPTNSAFLLPLSLHHPSQNSLVPMEGWSINR >KQL01941 pep chromosome:Setaria_italica_v2.0:VI:28251535:28253577:-1 gene:SETIT_014673mg transcript:KQL01941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGTAVRERIIRAFLVEEQKIVKKVLKIQKTKDKAATK >KQL00229 pep chromosome:Setaria_italica_v2.0:VI:676717:677862:1 gene:SETIT_015988mg transcript:KQL00229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRSAGKIKVSILDTCDFLLPGTTYNFISNALDSAGGGIGSMIRLESIPVYVGAESGIQICW >KQL00666 pep chromosome:Setaria_italica_v2.0:VI:3884091:3886622:-1 gene:SETIT_015801mg transcript:KQL00666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQVVDLEDDSINFWASLGVSPHVDQMPLHNVHIVDHQAQPPPAAAAAQPQSVCRDLFPVESDACLEPRLGMEFESGEAAKTFYIAYAGRVGFSVRIARSRKSKCSESIIMLRFVCSREGFSKEKRAATAGKKTRKRPASIREGCNAMLEVLRRGDSKWVVTKLVKEHNHEVGLPSRVHYIAIEGDAVVDPYLGMEFESLESAKTFYYSYASRAGFEARVRQSRKSQDESLKMLKLVCSRHRYHSGRENIGEDTKRVRALDPSRDGCDALFEIIRKGKDVWTVSKLILEHTHELNPAPASRVHCVRSQAMHMGPPISLVTELNREMTAAIAKVLPHTHHIFCGKHILDTVKEELHGTFPDLEPFITDLRKCIDGSRIEELFESGWSSVITKHGLSNNELLQSLYDIRQQWAPSYTKKVFYPGNQMPTTCENIEKVIEKYFSSKTELRVAVWQLGEAISSSFEAEVQADYFTIFQMPPLSTASPLEKQGSSIFTSTIFGIFQGQFAESFGYHAERLEDETVHKYRVTRYEGDEEIHTVSFSPDQSTVNCSCCLFESCGMLCRHALRIFIIQGVRALPKAYILKRWTKHAKNIITSDNYVDLRGDREDPSTARFNDLCCDAVKCAKEGSKSAEIYAVAKDALHKAFDEVVRSSKNFRGQQNLQSCTTSIKRPIKKFGKAKDSSGKSLKRSASKSPLMESDDIR >KQL00750 pep chromosome:Setaria_italica_v2.0:VI:4632729:4634652:-1 gene:SETIT_013563mg transcript:KQL00750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPVPPSWAATFTVLLATAVLFLTAVLRCHHRRQARKHNLPPGPRPWPVIGNLNLIGPLPHRSIRELSARHGPLMFLRFGSFPVVVASSVDAAEFFLRTQDLAFLDRPRMACGKYTVYNYSGMLWSHYGAYWRQLRKLWLTELLSAKQLRLTESVRADEVRAMLRDLRAASAGGGGAVVLKDHLLMATLNVVSRMVLGRKYVGEGAGADAAAATPDEFRWMIEEIFFLNGALHVGDMVPWLGWFDPHGYVARMKRLAKMFDAFVEHVLREHDDRRRREGPAFVPKDMVDLLLQLADDPSLDVPIDRNGVKASILELITGGTDTSSVTMEWAMSELLRKPDVLAKATEELDRVVGRDRLVAEGDIPSLPYLDAVVKEAMRLHPVVPLLVPRVSREDTSVAGYDIPKGTRILVSVWAIGRDPAVWGDAAEEFRPERFVGSEVDVKGQHLQLLPFGSGRRMCPAHGLGLRMVQLVLANLLHGFTWRLPDGVVAEELSMEEKFGISVSRVDHLKAIPEPKLPDHLY >KQL02408 pep chromosome:Setaria_italica_v2.0:VI:32301078:32304254:1 gene:SETIT_013459mg transcript:KQL02408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASHGEGARRSLHASEQPGSDHPSADRPISRARPAGRSPRAMDKHGSFGGSSSSEDVEAPLLLPPPPPGRDDGANRKDRPAAWVRAVLAHTYPAVASGPAACAAVCALVDLGPAHRGARNMLAVLAWVFLWWVTGAVPLAVASMAPLFLFPLFGVAGADAVAKSYMDDVISLIIGSFILALAIEHYQIHRRLALNITALFCGDPVRPPLLLLGITGTTFFVSMWIHNTACTVMMMPVATGILQRLPRGGAGAPGCQEEEEEEVRRFSKAVVLGVVYASAIGGMATLTGTGVNIILVGMWSTYFPDQEPITFSSWMSFGLPMALIIFVALWVTLCFMYCSKNTGKALSAYLDRSHLRRELSLLGPMAFAEKMVLAVFGGLIVLWMTKSLTDDIPGWGALFHNNVGDGTVTIMMATLLFVIPSGKNKGEKLMDWNKCRKLQWDIILLLGAGFAIADGFSSSGLTDILSDGLRFLKDAQTVVIVPVACVVAAVITEFTSDDATTTLVLPLFAELAKSINVHPVLLMISGAVGAQLSYLLPTGSPSNVVGFSTGHITIKDLVTTGLPLKIVGIASLTILLPSLGSQIFGTNSRS >KQL00887 pep chromosome:Setaria_italica_v2.0:VI:5998143:5999144:-1 gene:SETIT_015050mg transcript:KQL00887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRASKLPQLATILFAVLLVLPSAAVAKAIDATNTQRLELPDGLIGPESVAFDRHGAGPYVSISDGRVLKYAGEGAGWTTFAYSPSYTKNDCGVTSELPAVARESSCGRPLGLRFHNNSGNLYIADAYMGLMRVGPNGGEATVLATEAGGAPLRFTNGVDVDQVTGDVYFTDSSTTYTRAQHQMVTSSGDSTGRIMRYNRRTNKVTVLQSGVTYPNGIAISADRSHLIVALTGPCKLMRYWIRGPKAGTSELFTDLQGYPDNVRPDGKGGYWVALHREKYELPFGKDSHLVAIRIGSQGEKLQEMTGPKDVRPTEVVEREDGKIYLGSVELSY >KQL01806 pep chromosome:Setaria_italica_v2.0:VI:26442213:26442327:-1 gene:SETIT_015149mg transcript:KQL01806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGERAGPAQMTAVATRVAAICDMIQEHRRAGTTISA >KQL01895 pep chromosome:Setaria_italica_v2.0:VI:27654067:27657950:1 gene:SETIT_015244mg transcript:KQL01895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYHGFCILILIICFVPSSAFAEHSDISTLLDLRDAVTEGKGFLFNWFDSETTPCSWSGITCRGHAVVAIDLSSVPIYAPFPSCIGSFESLVNLNFSGCGFSGELPDAWEGLHQLQYLDLSNNQLTGALPVSLYGLKMLKVIVLDNNFFSGQLSPAIAQLQYLTKLSISANSISGVLPPELGSLQNLEKMDLHMNALNGLIPATLGNLSRLLHLDASQNNLSGSIFPGITSMVNLVTVDLSSNGLVGPLPREINQLKNLQLLILGHNRFSGSIPKEIGELNLLEVLELSDCQFTGTIPWSIGGLRSLKELDISENKLNTELPASVGELGNLTRLFAKGAGLSGNIPRDLGNCKRLVLVDLSFNSFTGSIPKALVGLEAIATFLVEGNNLFGHIPDWIQNWVNLRSISLAQNMFDGPLPVLPLPHLVTFSAATNMLSGSIPVEICQAKSLQSLILHNNNLTGNIMETFKGCKNLTELNLLGNHLHGEIPHYLSELPLVRVELSQNNFTGKLPDKLWESSTILEITLSYNQLTGPIPESIGGLSSLQRLQIDNNYLQGPIPRSIGTLRNLTNLSLHGNRLSGNIPLELFNCTNIVTLDLGSNYLSGHIPRAISQLTFLNSLSLSYNQLSGTIPAEICVGFGNAAHPDSEFVQHHGMLDLSYNRLTGHIPTAIKNCSMVMALNLQGNMLSGTIPPELGELTNVTTITLSYNALVGPMLPWSAPLVQLQGLFLSNNYLNGSIPSEIGQILPKIEKLDLSGNALTGTLPESLLCINDLIHLDVSNNNLSGQIPFSCPKEKEYSSSLLFFNASSNHFSGNLDESISNFTQLSSLDIHNNSITGSLPFSLSDLSSLNYLDLSSNDFHGVIPCGICSIFGLTFANFSGNHIGMFSLADCATEGFCTGNGFDRKALHPSDRVLRVAIICVIILAIIFVLVLLMVCLRWKLLRSRPSALVPASKARATVEPTSSDGLLGKKFREPLSINLATFQHALLRITADDILKATDNFSKVHIIGDGGFGTVYRAALPEGRRFQGDREFLAEMETIGKVKHPNLVPLLGYCVCGDERFLIYEYMENGNLEMWLRNQADAIEALGWPDRLKICLGSAHGLSFLHHGFVPHIIHRDMKSSNILLDENFEPRVSDFGLARIISACETHVSTNIAGTLGYIPPEYGLTMKSSTKGDVYSFGVVMLELLTGRTPTGHEEVEGGGNLVGWVRWMTAHGRENELFDPCLPVSSTWREQMACVLAIARDCTADEPWRRPTMLEVVKGLKMAQTMESGPLVVTVTGDM >KQL02827 pep chromosome:Setaria_italica_v2.0:VI:34828417:34828774:1 gene:SETIT_015919mg transcript:KQL02827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSNVFDHQFYAKKKKSTDLGSDLEVLQPPG >KQL01136 pep chromosome:Setaria_italica_v2.0:VI:9104092:9107005:-1 gene:SETIT_015622mg transcript:KQL01136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVMESRNLSCVFQVPISCSAADTLKLLDQMIQAARVVHMDELELYFAGGDIGPFSARNELESLNLLFKIMDKLLLTSNDAAKEVLQTLQNEIVVRLRSVGKTDDAQMVSQTENHEAEDSLLKWGEHRGVKSKLRIAFFQGAGRGMVASESIGVGDTALEIPESLIISEELLCQSEVFLALKDFNNINSETMLLLWSMRERYNLSSKFKTYFETLPANFNTGLSFGIDALASLEGTLLFDEIMQAKQHLRQQYDDLFPLLCINFPEIFRKDVCTWDNFLWACELWYSNSMMVVISSGKLSMCLVPIAGLLNHSVSPHILHYGRVDEATKSLKFPLSRPCDAGEQCFLSYGKHPGSHLVTFYGFLPRGDNPYDVIPLGCDIDESTTTEADIKENDRVVLETLLSIFNPMLEELPEPDESDGESASWDLKLALEYKNLQRRIISSIVTSCTSALGNA >KQL02306 pep chromosome:Setaria_italica_v2.0:VI:31626642:31630029:1 gene:SETIT_013452mg transcript:KQL02306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSVEAAKQNVPGHQVKPGADPVPAKENKKDVDGTPELPGKEILEEQKPSHRRQESSASMLDKGPSSVCSDSGVLDEPLTPQGDSGELKDIQILDCNANQEKNTSQKSSISESFATAKASDGTNSLRKTSGSAKISDRADFPESGKSSMCRPSASSNISDESSCSSMSSSTTKPHKGSDSRWEAIRVIRSRDGILGLSHFRLLKKLGCGDIGSVYLSELNGTKSYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYFSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCDVSPTVVKSSNPGPDALQRNNQAYCVQPACIEPSCIQPACVAPTSCFGPRFFSSKSKSKKEKKPKPKPEIVNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDLIRGLLVKDPQHRLGYKRGTTEIKQHPFFEGVNWALIRCASPPDVPKPVELDRPPKPVPANDKAAASAANQKGTDNFLEFEFF >KQL02410 pep chromosome:Setaria_italica_v2.0:VI:32310018:32311793:-1 gene:SETIT_015089mg transcript:KQL02410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LMKNFSDDRMIGMPGAFGQVYEGKLKEKKYPDCPEKVAVRSPNSKMSTALKCVRPVYLSFLATSQCEKSKAQRNSELLELLTTSNKVELDALLIGAARALRAVHLAEFIHWDIQSRNLILMWVVADFGLTSKRDQKMVYFEDRRVSIFSVNDTVEAKEKYDVLCFGNVMEN >KQL00652 pep chromosome:Setaria_italica_v2.0:VI:3753242:3756899:-1 gene:SETIT_015362mg transcript:KQL00652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSPPALLHSSDAEGLRNYTKFHPSIWGDFFLTYQPPTAPQSESMKERAGVLREKVREILKSPKELPETLNLIITLQRLGLDSYYESEIDELLHGVYNSDCYDEKDLNLVSLRFYLLRKNGYNVSSDVFLKFITKDGNFVDADTRSLLSLYNAAYLRTRDEKVLDEAISYTTCRLQDALQYLKSPLATEVSSSLDIPLFKKVGIIEARNYIPIYEKESTRNEVVLKFAKLNFNLQQLDFCQEIKECMMWWKDIHAKSKLSFVRDRIVELYFWMNNACYYPTCSLSRIVLTKTTAIVTILDDIFDTYGTNEECMQIAEAIYRWDESAVHLLPEYVKGFYLCLLETFISFEDELGPEKSYLVFYLKERAIIVHILGPSTAQADHNDLAMKHLVHLYCKELKWREENYVPSMSEHLQVTMESVGSAALTCAAFVGMGDIITKEVFEWILSYPQFFKSFAIFVRLANDLVSTQREQTGDHSPSSIQCYMKEHGTTMQDAYKKIKQLSEDSWKDMIQGSLVLEDQPKVVPRTVLDLSRTAVYMYKQCDAFTTSEILQEMIKLLFVEPIPE >KQL02985 pep chromosome:Setaria_italica_v2.0:VI:35650801:35655489:1 gene:SETIT_013458mg transcript:KQL02985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLALTSPSLPAAGPVCGRRRRRLQRVRATAVSDEPKLNKYSARITEPKSQGASQAVLYGVGLTDADLRKPQVGVSSVWYEGNTCNMHLLQLAEAVREGVREAGMVGFRFNTVGVSDAISMGTRGMCYSLQSRDLIADSIETVMGAQHYDANISIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGNSYDIVSAFQCYGEYVSGSISDEQRKNVLRNSCPGAGACGGMYTANTMASAIETMGMSLPYSSSTPAEDPLKLEECRLAGKYLLELLKMDLKPRDIITEKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLHLTLDDFQKVSDQVPFLADLKPSGKYVMEDLHKIGGTPAVIHYLLEQGLLDGDCMTVTGKTLAENAKIFPPLSEGQQIIRSLDNPIKSTGHIQILYGNLAPEGSVAKITGKEGLFFSGPALVFEGEEAMITAISENPANFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKECALLTDGRFSGGSHGFVVGHICPEAQEGGPIGLVQNGDTITIDVVKRVIDVDLTEEQLEERRRKWSPPSYKATRGALWKYVKLVAPASRGCVTDE >KQL01542 pep chromosome:Setaria_italica_v2.0:VI:21450888:21450995:1 gene:SETIT_015616mg transcript:KQL01542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATARLPAFMNSVRHSITLTPIDGCEISFDCPGPLF >KQL00601 pep chromosome:Setaria_italica_v2.0:VI:3388211:3388847:1 gene:SETIT_016015mg transcript:KQL00601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYEAAAAVALRDQSQPRSREDKGSARSRRQQQAEEEKVVVRCGVLRILRRVLPLPVAPAPSEKK >KQL02294 pep chromosome:Setaria_italica_v2.0:VI:31539381:31541603:-1 gene:SETIT_013396mg transcript:KQL02294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAVLDHSLSTDYPSKNISEGRPLSWKRVFVQTDNGSVLGIELEPGENAHTVKKKMQIALNVSTEESSLTFGDQVLNNDLSYVRNDSPLLLTRNHMHRSYSTPCLSPKGKEGQQCDRSKVIEILGCSSPSAAMKQLVKDIIKGITNGVDPVAVSGGMGGAYYFGDILGQRVAIVKPTDEEPFAPNNPKGFVGKTLGQPGLKRSVRVGETGFREVAAYLLDHKSFANVPLTMLVKVTHSVFHVNEDVNCKNKTSKNISQAHSKIASLQQFIPHDYDASDHGTSSFPVSCIHRIGILDIRIFNTDRHGGNLLVRKLGNESGRFEAHAELIPIDHGLCLPESLEDPYFEWIHWPHASIPFSEEELEYIRNLDPVKDAEMLRMELPMIHEASLRVLVLSTTFLKEAAAYGLCLSEIGDMMSRQFTGKEEEPSALEVLCMEARNWVKERELLLPEADFEEEDDDDDDCDPDFTQFDLDSGDDAATCGASFFNKYGSIGVSCRNPLSKLTEGNEDNEEEDRNEVSQDDVDACTSPVPKCTHSTSKLPVSLKGLCFSGNSKRRNGVPKNRVSAKTDYCSGYHSEYQSAGWSANEMLPPSSSFVKLSDLSAIEWSAFLEKFQELLPSMFHDRKQTSACGPWLTQRLGTSCQF >KQL02724 pep chromosome:Setaria_italica_v2.0:VI:34174801:34175075:1 gene:SETIT_015404mg transcript:KQL02724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTPEPSASRLSKWWWLAISSVPKEVRRGLNSLIILVAWEVWKHRNSCVFENARPCTLWCSAGARKLQELLLRSPTLGI >KQL02839 pep chromosome:Setaria_italica_v2.0:VI:34885986:34889657:1 gene:SETIT_013750mg transcript:KQL02839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNSPSLTKPLAQAHGREAAAARSYQAGLCARRATRGGAAAALAAMSISGELPGEGSDGEEEVFINEEDIIHEITIDDEDLPDRDDDDEDGGDGMGDSEDVDDSTYIFQGHKDEVFTVACSPTDASLVASGGKDDRGFLWRIGSAEGALELTGHSDTVSTVAFSSDGNLLACGSFDGQINVWNTAIRSLHGTLEGSGSGFEWLKWHPRGHLIIAGSEDCNVWMWNADHNAFLNTFAGHSSTVTCGDFTPDGKLICTGSDDATLRIWDPRSAQSRHVVRGHGYHTDGLTCLSITLDSQTVVSGSKDNSVHIVNVNSGQVVGSLVGHTNSIECVGISSSYGWAATGSMDQKLIIWDLAHQSSRCICEHDEGVTSLAWLGSSRYVASGCIDGKVRIWDSLSGDCAREFSGHADVVQSLATTADGNALVSASTDGSARVFDISMFK >KQL01861 pep chromosome:Setaria_italica_v2.0:VI:27255128:27257197:-1 gene:SETIT_015781mg transcript:KQL01861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQRRSIGGARAAAVTLVVVAALLAAAVPGRCVAQDLARDRTALLALRDALDRGRLLPWDPAAASPCGWRGVACAAHSQGGDRVVELRLPGKRLSGTIPPGTVGNLTALRKLSLRHNAISGQIPADVGSCGELRVLSLRNNRFAGTVPEGLFSLAVLKHVDLALNGLTGGVSQEFNRLNQLGTLFLEGNDLAGELPAGLYLPGLSRFNVSFNARLAGPVPASLARMPATAFLGTGLCDGPLSACAPPPSGDRKRKLSRWAIVGITVGAALLLLLVMGLVAFLRRRRAPAGRPAGAAAAANVHAGTAPITVTVARTDRDAAVKQSHAPPLAPAMVGEGKKLVFLGSAPERPYDLETLLRASAEVLGKGAFGTTYRATLDGGEPVLAVKRLREVRLSEREFQDKAATIGALRHDNLPRLRAYFYGKEEKLLVYDFVGAGSLAALLHDGGAEGRARLDFTARARIALAAARGVAFIHRGGGAESAHGSIKSSNIVVTAARDGAYVSDYGVAQLAGAAAAEQPRRDAGYHAPEVLLAGARAAPAQSADVYSFGVVVLELLSGRAPGRAAAPILAGGGRNGGVDLPRWVRSVVQEEWTSEVFDAAIANEPRVEEEMLRLLQLGMDCTEQHPDRRLSMAEVEARIESIVENACRKADFSSTDGSRSVSA >KQL01781 pep chromosome:Setaria_italica_v2.0:VI:26022436:26028506:1 gene:SETIT_013820mg transcript:KQL01781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPFIYRPRTGNDSSIVASLGKRNGDQAAVFSFEKAGVGVLHCAACAGHLEVCKYLVEELGGNANMTAAGGVTPFMTAAQSGDVSTVKYLLDRGGDLMKADDKGRTVLHHAACSGSTKVTEFLLSKGIPVDTDYGHGTALHQAANNEQDKTVKILLDHHADPNSTTFNGAHTPLMGALIYHSLKCMKLLIKAGADVNGKGSLISPLVIATMRGGYTNEVRLLLKAGADPNIPDDLGRLPVELAALNDCMEEVEMLFPLTSPIPGVPNWSVDGVISHAKLEHKKPLEERHIARRKAMFKSQASKAFKLKDYDLASKCYGLAIDHAPDATLYSNRSLCRLQMGDGEGALSDAYKCRMMRPDWAKGCYRLAAAHMLLGEHKQAYDALLDAQKLDPGNEEIERELRKAMELMKASPDEDEL >KQL00221 pep chromosome:Setaria_italica_v2.0:VI:613705:614460:-1 gene:SETIT_014515mg transcript:KQL00221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAVETRPIRRIRDERAHMAGNGGLVASGECSSRSAAGKAATAAVRIRRLAPRCEGEVESLSRFVGFCQAQTGLPLLLLLLLQDGDLMICNQTTVVELSLFCSSPTQNTWQGKHPGLLLFFCSVGSPSPLSEEKPSLSSRLPCVLLPSTPCSCFSFRLSAPAEEELFMASLSDDAKLQLLL >KQL00978 pep chromosome:Setaria_italica_v2.0:VI:7087095:7091845:-1 gene:SETIT_013740mg transcript:KQL00978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDAPESYSPAKDERSAKEKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGIAVLIISWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVNIVYMVTGGKSLKKFHDVICDGKCKDIKTTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKLPDVDYHVRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPEQPSKKPMWKGVIVAYIVVALCYFPVALIGYWAFGNKVDDNILITLNNPKWLIALANMMVVIHVIGSYQIYAMPVFDMMETVLVKKLHFPPGLTLRLIARTIYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWFTNWICIILGVLLMILSPIGGLRQIIMDSKTYKFYS >KQL00977 pep chromosome:Setaria_italica_v2.0:VI:7087095:7091234:-1 gene:SETIT_013740mg transcript:KQL00977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAGVLSLPYAMSELGWGPGIAVLIISWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVNIVYMVTGGKSLKKFHDVICDGKCKDIKTTYFIMIFASVHFVLSQLPNFNSISGVSLAAAVMSLSYSTIAWGASVDKGKLPDVDYHVRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPEQPSKKPMWKGVIVAYIVVALCYFPVALIGYWAFGNKVDDNILITLNNPKWLIALANMMVVIHVIGSYQIYAMPVFDMMETVLVKKLHFPPGLTLRLIARTIYVAFTMFIAITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWFTNWICIILGVLLMILSPIGGLRQIIMDSKTYKFYS >KQL02784 pep chromosome:Setaria_italica_v2.0:VI:34521522:34522155:-1 gene:SETIT_014782mg transcript:KQL02784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIGKRYSSPFYLRKMKAQVKLLTYGMTMNPKLADVHPCCSVDCCPDTELDQYRADSAISMGTAQRLVQQPMF >KQL01281 pep chromosome:Setaria_italica_v2.0:VI:13241537:13241818:-1 gene:SETIT_015295mg transcript:KQL01281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLPALRLRRRPPVASLRRLLSSTTSSAAAGGEGDPPVDAEAATAAKAKARAEAAARARMEAYKQVQNFDWSSGADWKTAANILFTVPPKRKEF >KQL01074 pep chromosome:Setaria_italica_v2.0:VI:7920218:7920745:-1 gene:SETIT_014821mg transcript:KQL01074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYIHHEYVCFIHYTNHEFTGLICFIHYLKRDQLVLDYYKATLTEYIVFTRESTWKQE >KQL01115 pep chromosome:Setaria_italica_v2.0:VI:8465294:8465965:-1 gene:SETIT_014652mg transcript:KQL01115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSSIGSPASLFVAVTLMLAASKQAQAWPPAPVPRSAPTPAPTPVPTPSPAPSQAFCPPGFKNLLELLKAIPEYAERGIVLSPSIYSPSSGGPGIVIAPRTCVCYAPTIIGLVFGPIECVGAPA >KQL02007 pep chromosome:Setaria_italica_v2.0:VI:28999195:29000667:-1 gene:SETIT_015429mg transcript:KQL02007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVFWPWISLFFTFVASTWSLSSDGQALLALSKNLILPSSVSSSWSASDATPCTWNGVSCNKRNRVVSLDLSSSGVSGSLGPEICRLKYLQILSLSGNNISGSIPPELGNCSMLEQLDLSQNFFSGNIPASMGNLKRVSFLSLYSNSLSGTIPEELFKNQFLEQVYLHSNQLSGSIPFSVGEMTSLTDLWLHENMLSGVLPASIGNCTKLEVLYLFDNRLSGGLPETLSKIKGLRIFDATTNSFTGEITFSFENCKLEIFTLSFNNIKGEIPSWLGSCTSLTQLGFVNNSLSGKIQASLGLLSNLTYLLLSQNSLYGPIPPEIGNCHLLEWLELDANQLEGTVPKELAHLRKLSKLFLYENRLIGDFPENIWSIPTLESVLIDSNSFTGKLPSMVAELKFLRNITLSDNFFSGIVPPKLGVNSRLLRINFRNNSFVGGIPPNICSGKGLRVLDLGLNHLNGSIPRGIITDCPRLQKLVLRDNNLSGSIM >KQL03058 pep chromosome:Setaria_italica_v2.0:VI:35982161:35988602:1 gene:SETIT_014119mg transcript:KQL03058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAAAAAAVSSPAAPVAGAAAATSRRGFVTFGGGAARSSPALRSGRGLSGVRTHVAAVEQAVAKDATKLEAPVVIITGASRGIGKATALALGKAGCKVLVNYARSSKEAEDVSKEIEASGGEAITFGGDVSKEADVESMMKAAMDKWGTIDVLVNNAGITRDTLLMRMKKSQWQDVIDLNLTGVFLCTQAATKVMMKKKKGRIINIASVVGLTGNVGQANYSAAKAGVIGFTKTVAREYASRNINVNAIAPGFIASDMTAELGEELEKKILSTIPLGRYGQPEEVAGLVEFLALNPAASYITGQVLTIDGGMVM >KQL01275 pep chromosome:Setaria_italica_v2.0:VI:13210617:13211078:-1 gene:SETIT_015920mg transcript:KQL01275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNVSVQDLLNHFFSAICCFLKHTLSWLGLPGEW >KQL01693 pep chromosome:Setaria_italica_v2.0:VI:24961989:24963013:1 gene:SETIT_014348mg transcript:KQL01693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEYLSWWPTSSWISPGAALFLLCNVLVGAIVVTSSRGEQGGGRAAASTRRLCRSASSMVLGRLRSFSMFAVHAVPMEDGYHPSLELEAEAYQPQPDAAEPAIPTATASAPVVAAASATLSESAKEVAEVGKKDEPISSDEVQGTKGHAQQPPSPSPAVATAAAEATAAAERPATVVGSIVQRARACRREFVEEALEGKAALNARAELFIRQFREDLKLQRLNSIINYTRGLRRGAGGSAPAAGE >KQL01916 pep chromosome:Setaria_italica_v2.0:VI:27970688:27973630:1 gene:SETIT_015074mg transcript:KQL01916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRSKRVASLPDEIVSEILLLLPARSVLRCRAVCRRWAAELSSPGFMDAYAARAGARRTSKLELVVFAASPGHRSTAAYSCSGQGGAHAADPLFAVDHLRTDFLSLSSRPCRGLMLFSDTRTVGSYWVCNPSTGERRPLPLPPQHRGQLTLSSAGLVIDGRNMECKVVHLFYKVGSEWGLKCEVYTLGERSRRWRRVTVGLEWLRVNETKVTRALQTEEAVTRVPPVHADGCLYWLLYPCDMGDPPGQNRDGATAILRFSAADESFGFVDVPAGLPMEDYVSLEEHSPAVPVHLAELKGSLCMVHDLRHQGHGPSSLDVWVLRGRDAGGWSLDYRIPATPLMARDVHGPRFITVLGCIVTGKQEKLLVATSEHKVYAFATDTGRVETVFSIGETTGIGLQKEAAAALWIGLYEDSLVRIGGGASRREEEVLSAVAEILLRLPLKSIAQSMLVCRRWRAVIESESFVRRHAMSSMESAKKTLMVTSGRARRAFFNFTPMERWLDQASPAGLAAALANGKIICSKPCHGLNLISTSSDDYLCNPCTGDIKCLGIRGKSRFGPRCNDPQAAGRHAFTVGRQIGFGFDRSTGEHVAVEIGHLCGTLACMLKTSESDSWTCAGTPPMPVTDMPPAHVDGTLYWMAERTQEATASSSHQGLVIVAFDISARRFDTLRCDQPRLDDDHGAFLVELNNMLSLVATNRQVEEMVIWVMRGKRGAWVEAHRVCLRGQPSISPRTTVAVPLEISSGDGRILLSTGRTLGYYDTRTGALDTVYSLDHLQLAPYELAFPMLCQESLVRVPDDDELPVGRVAPPPVSSHNGRHGSCNDHPELVDVDDAGRTPRSIFYECQNAGCRGNGDVYSACCKRLICVECLRQCPGHYRGQHFPLSTIFDDGVVEGIRRHGLPMEHPFVPDPDCYGYYYSAIDDDDVARHVFVSLRDFVRCNQPCHLIECAYRMDGHGKVIETWVRRYLKVDFGELPLA >KQL00902 pep chromosome:Setaria_italica_v2.0:VI:6218897:6219386:-1 gene:SETIT_015840mg transcript:KQL00902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLFKFFSPTPTGGLLLSVQEPLPSSPFLLPLFPSFQWKGAPRKPRSHCPPPGASRRAPRLIGGT >KQL00533 pep chromosome:Setaria_italica_v2.0:VI:2822030:2825509:-1 gene:SETIT_013370mg transcript:KQL00533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPASRPAAAPSAPPPLPRAPRRLRRRRPLKATQPASAGAAARRGAGPATPQHRWAARGGEGNAGGEKPRGDPPSSVRRLAAAVWRLRPPEEAPAAGQRDNAAATRVGLEHIPRHLQAQLLRKDPLGHHCHLKDDISSPNSVLEPHSGELHKVHLRLASGMEDATKWEPISIKSIEPDGAYVIASQLNLVEEQHGGSYVANLEMELQQARDRVSKLEAERVSAKKQLDHLFKKLAEEKAAWRNREHKKVRAILEDMKADLEHEKKNRRQLETINFKLVDELKEVKMAAKQLLQEYDNEQKTRELTEEVCNKLVREIEEHKSEIEALKQDSVKLRGELDEDRKLLQMAEVWREERVQMKLVDAKLTLEAKYDQLSKLQEDVEAFISTFSSSKEDSTVVETACNIVQAIGAVRNEEVEFTYEPPRASEDILSIFEELRPSGETETKETEPCPKQSFAICESEIQEATPTADIFLENRAKLFPDGSHSDESETEDGSSWETMSHEEMQGSSHSPYGSEPSVNKIFDRISWTSGNDSEGGQTNRLCDDLSNMYLTDMKQPKKKESAISKLWKSSPLKHCEFRTKDAAEMTNGRSSSASLPNGVFSTAKGLNLDMGDSTPSTAQWSSPDSMNSQLNRDFRGCMELVQRQSLKAKLLEARMESQKIQLRHVLNQKT >KQL00154 pep chromosome:Setaria_italica_v2.0:VI:230417:231199:1 gene:SETIT_015774mg transcript:KQL00154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSSTSATTTSSSHRGGGRELQGPRPAPLKVRKGSHKIRKPPPQQVREPVIIYTLSPKVVHADASEFMSVVQRLTGATASSSSSSSVPDHQIQASSLPPWFPFFSSGQSSASAALLQPPAPHFPFQLQHQQAAGGPHDLNQQVISPAARLAAIEQARSSSGVTAGGLLPPFPSILSPGSLPAIQPSFFSPPAGAGGGGINLFGELISPAAAFLGAGAGATQAGATTSHQNPVMLQQAEASPSAGAYYCWDLFNNRHHQN >KQL00782 pep chromosome:Setaria_italica_v2.0:VI:4911925:4925397:1 gene:SETIT_013141mg transcript:KQL00782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSTSGGGARPWRTALLTLRDESLASPSPTALLALLRGVLLSPTSPSLAASAAALSPHEVGSDVAFLAETAAAAASCPGADDALRGVGHLIHDIMCKTNMEIDSSGWLAILKFLDALVKCSIEGACVKGLSSRTAALNTASECLQILRFWSRDYGRSISLTENSHSLVVLISIVSCLLAELNLSDKPNGTGISSHDSGSANNKISNTWDMIISAFSIVEDILCKIASSMTEDLWQSVIVVLRKVMDFVTARNLIIESSVMSRFYTSFLHCLHLVLSDPKGPLSGHVAGFVANLQMFFVYGLRSASPPALVPKQIGTDSKPRASHRGRYRPPHLRNKAGRENDSLEGPSSDSEYSRYDLSSSDSDLSDSDGYAKNGDRFRSSKARLAAILCIQDICRADPKLLTSQWPVLLPENDVLQQRKHQATLMTCLIFDPVTKVRVEAASAIATMLEGQALVLTQVAEYKESSKRGSFTALSCSLGQILMQLHTGALYLIQRETQATLLAALFRVLILMISATPYARMPKELLPTVIKVMCSRLPNTHSNKNEHYVLLVNVLSCLEAAFSKVLPTLDVFAVLTQDCVAGPSHGQQESSVIAVLLHCIEEEMHFSIRCGAFQVLRSAVHNYPSCANMIWEKIRDNVLDLLQIQSFEDQKLDANFGPPGPKEESSIKGRCLVAGIKVMDECLRVSSGFKGADDIKEYRLMDIQHISDCTINKVIKSAPHFEVEVAGSSQNCTLDITLGTSRWIEVIETHLPRGLSHDSAMVRTASLTCFAGMTSDVFFSLPENKRDYVTTTSVHAALSDVVPSVRSAACRAIGIIACFPEILASPSLPGKFIDAIEFNTRNPSAPVRVTASWALANLCSCIRFKALEVHTDPYGGVLNKSSISLLVEIALRLAKDVEKVKSNAVRALGYLSRFIRFNHQVDAINDPSDSGFYGDPVWLERMVQALISCVTTGNVKVQWNVCHALSNLFMNDSLRLQDMPWASSVYSILLLLIRNSNNYKIKMHAGVALAVPVSRLDYGSSFPDVVQGLVHALEALCSNNSSLPSNFKQKGNLEKQLTFTALHLLGFVSPNDDPSLKDFLIKKASFLEDWLKSLCTSFSNTEHQPLPMEAINEEDGFSPNVTQKVMLSSAVQSLLGIYAGRNQQAITQRFEQLAASLVNGE >KQL01428 pep chromosome:Setaria_italica_v2.0:VI:19333252:19333646:1 gene:SETIT_015826mg transcript:KQL01428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIYCGGFPHSFRSKKNDHQPMKVMNYQIMIHV >KQL02234 pep chromosome:Setaria_italica_v2.0:VI:31121734:31123775:1 gene:SETIT_014246mg transcript:KQL02234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLARLLLPALPACQCQPPLRLRFPERHVAPAAARSRGHRGAFAARAAASAPAAPAPAAPEAEEQVGPRTRLVAQNIPWDYTGDDMRALFEKHGSVVGVELSMYNASKNRGLAFVTMGSEEEALAALNNLNSTTLDDRKIKVDFARPRKKQPKQVKQPVVVSDSTEKYILFVGNLTWRVRNRHLRELFASAPGVLSAEVIFHTTTPRRSAGYAFVSFSSKEAAEAAISSLNGQKLMGRPINVMFKEESAKKNESSVPKEEEAEEESSEQSDS >KQL01330 pep chromosome:Setaria_italica_v2.0:VI:16255906:16261424:1 gene:SETIT_013300mg transcript:KQL01330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKRRHARNPTAGGPRHGAGAGRRRPVPELPSFVSPASIAAAFSSSPASCSRGRGRGQGGGGRRGGSTADGDSSHAVPFSYPSLRPGSAHSEREAQALEVTIDTAPCADPAASVPVYSYRPEFVGGLGLGFHEDEDADEEGAGEAVHLGLGFRDGGNAAMDLELEELEEEDASFKTPKRKPQQKANRNPGFLSIGGVRIYTEDISSPESEGMSGSDEDSESESGDGERFENDDGESDEEGSEDEEGGSEIDGESLGSDSDEDLSIGDSSSVDDEVVADYMEGIGGSEELLSSKWIAGMNLGDTDPAEQMDTDDDDDDEDGFLKKGKEKLEGYALMTASEQYGMKRPNSAERRKGKGMVCDRDVPSMRVMGLEDMFMVKDVRMANRSRKGSKTGSSSSQLSRSWPNEGRKSKKYHSVPGEKKKHRKELIAKKRQQRMLSRGVDLGQINTKLRKMVVDQVDMLCFQPMHTRDCSQVQRLASIYQLKSGCQGSGKKRFVTVTLTGQSSLPSADGQVRLEKLLGTEPGDFSVNWESSKGPGRKGLSAPEKLAKHWESIGKKSSSKKQVSFAERPVSFVSCGTMAESVTETIAVDSSGGHTSPGKAAESNTTELGSFEVHTKGFGSKMMAKMGFIEGTGLGKDGQGIVQPIQAIHRPKSLGLGVEFNSEAEAIKARTEPMKARSEPSKVRPELRRNVRALETSGVGSFERHTKGFGSKMMAKMGFVPGSGLGRDGQGIPTPLTAVRRPKSQGLGAKDKY >KQL00183 pep chromosome:Setaria_italica_v2.0:VI:375623:378665:-1 gene:SETIT_013648mg transcript:KQL00183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGVNMPGGDGNPGAASSSSTTECRLRRRRRLAPPRATVAAGGPSEEKRIRPSSASEEEEGQEGEADAVLKEEEEGGTQQQQLPLSAAGPQPPPSPGAAARAWPVAFGWLSVAGRSREMEDAVSLRPGFCTWIDGSPMHFFGVFDGHGGSHVSTLCRDRMHEFLAEELAAEGAALQKQQQQQQATEGEAGTTTSAQPQVVEDEKEEEEERAWRAALDRTFRRVDALASLACACGRIVRPPCRCLLSCNSDIVGSTAVVAVLVRGCVVVANCGDSRAVLCRGPAGAPPVPLSRDHKRQSLIPVARGTRVGIGGGTWGDGSGGRGGRRRHLPASCWLAAPDRPDELARIEAAGGRVIYINGHRVRGILAMSRALGDRMLRPEVIAEPEITVTDRTPQDQCLILASDGMWDVISNEIACSVARQCLEDGNPSPADDEPAAGGGEGAAAGPEQEARCSRAASLLVRLALGRTTWDNVSVVVIDLKQRE >KQL02044 pep chromosome:Setaria_italica_v2.0:VI:29398239:29400142:1 gene:SETIT_014498mg transcript:KQL02044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGAKNGVSLYAVLGVASDCSDAELRSAYRKLAMKWHPDKCACAGSSAGGADAAKARFQKIQGAYAVLSDPNKRILYDVGAYDSDGDDDGAGEILGDILDAMSQNGAENGKGESLEDMQRQFEELFLRPSPSSSFPPVRVAVGLQHPLTISFHLFSPGHSRGRRGHGLFALLVQDDAGKSASKRRAARK >KQL01078 pep chromosome:Setaria_italica_v2.0:VI:7928132:7928758:-1 gene:SETIT_014753mg transcript:KQL01078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTGQAIKHISNQGGNVKASTCSASGSLRSSSNILEIAKPEGVSNSTKIIPWCSKWRAANTSQANLSKQDYKKYREAINCPFMYHV >KQL02616 pep chromosome:Setaria_italica_v2.0:VI:33551727:33553122:-1 gene:SETIT_015671mg transcript:KQL02616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGEHQRHSKGRQRLLFVVEERRILDRGCDQYLVFMINLKDMFASAAGKDDDEDWAVAMRSLPRPVAQIDTLRGRHERLDLAAVSGAGGSTSIVVAVSCDGRTVIYDVAAAAGAPCGRELRYSMPGGTTLISSGTQLYAVPNHLWPPAGGDYAPSFQALQPPAQAGGGRDRRCWSWRELSCPQRPSPAGLAVELYGARLSGSLRHGAIQLPRLSGEEDRLPEPLTAVNAQSGAASAGSCGQKVPRVDGISNTVHPLVVASAPPALLPVARRRRAAILRGAATHTICRARWRTRWGSSPSAGAGSQQGRT >KQL00600 pep chromosome:Setaria_italica_v2.0:VI:3368832:3371384:-1 gene:SETIT_015036mg transcript:KQL00600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSKYRSKSYAMANAGRKLPYAFLLLLVLAAGVLSVVVLQKVREQRIFAGRLQERDRQLVSLRILLQKEKAFNREMKRKLEEMKATTTSLRTQKIEQKTKLKGLEATIANLKKTQKELEAALTEKDSHINLMEEAATNLKKARKELEATLKEKDRHIRQMDEKATNAMNTKNELEAILRQKDSRIRRMEEKATGSNPDQMAALMEILQRKEAELEEIKTRFQDYKKTDRVAVNSMSTPVQTNNTRATPDIVVVKKPMNSSSVGKSEEKRSANGTVVESAKSEEKRSANTTVVENAKPEEKGSANTTVAESAKPEVKRSANTTVVESAKPEEKRSANTTVVESAKPEEKRSTNTTVVESKHPKDRSLEEKPVKLTTKMEDVGIQGNLDDFDEDIDFDDIYGESHSKKAAPPRRNKKFLTNNVDGIGQSGNSLDQDSDRVRYNRLLEKASAKLAKETKKNNTNVHAGHTTSEKSVQGMAGAADVKPSINMPLNNDEARQQNRKQKKKKSKSKKKKTGDTADTNVGGEVAKQRTPDGTLVSK >KQL00779 pep chromosome:Setaria_italica_v2.0:VI:4885524:4889527:-1 gene:SETIT_014047mg transcript:KQL00779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDLRPSADGQTNRVTFSVNKEIIHQIFVEKPAVHRAFLDFVPEKMSEDHFWSKYREAEYLLRTKNTALAAAQAAEDEVLAVSLKSDDVVAKEAKFKIKQVDPTLDMEADAGDYYIHLLDHRILRDGSIQTVDPDSEFVMRTLSQDLNQHAAVVLEGRSQDIKLTDTKTVAEALARLKKEPSSSYNTDDANHERLVKVARMTYIEDLQAPRNILYAPLCIKNPQEYFDSQQANALRSLDGSNDGKEACNCSLSTDDAFFHLIDQVSSIKANGLNCDVVHSNLALKVFSELNKGISYSRRRNLKNPHENLLGQLPCQTRDELVDVRCCTYLLPPS >KQL01639 pep chromosome:Setaria_italica_v2.0:VI:23885274:23890862:-1 gene:SETIT_013216mg transcript:KQL01639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLFRSSDPAAGATSAPPLPSPLPDLGVPLSAADLRATAYELLVAASRATGARPLTYVPQSATAAGKLKGAFGFGSSASSNGGTAAALELVRVRMGVTVEADARIRRALLRVAAGQLGRHAQSMVWPLEFLQKFKSSDFPDPLEYDAWQTRNFKLLEAGLLVHPLVPLKKSGIPAKRMRQIIHEAYDGKLEIGRNSESMQRLRSAVMSLACRSLDETSDECHWADGFPLNLHIYKMLVEACFDIEEGTVVQDFDETMELLKRTWPIFGVNQMLHNLYFTWALFNHFVMLGQEDNQLLSATENLLVEVAKDAKITKDPDYCDVLSSSLSSIMGWAEKRLLAYHETFNTSNIYSLQYILSIGISTAKILVEDQDKSYEYHSGAKGDINVVHSRIETYIHSSLRTAFAQKMEEGASKRLSRNHTPILSILAKKTSDLAIKEKNVYSPILKKWHHLALGVAVATLHGCFGNELKQFIAGLTELTPDTAQVLKAADKLEKDLIHIAIEDSMDIDDVGKSLVRQMPPYEAGTVMANLVKAWVKEQVDKLKGWADQKLEQETWNPKDNNMDSFAPSSVEMLHLIKETFDVFFELSIPMHSALLADLTAGLDKCLHYYVSKVKSGCGTQSTLFPQLPHLTRCDVGSKLFKKNEKPQLLVKRGSQVGSTTGNESSSLSGLCLRINTLHYIQNELENLDKKTKACLRNAELAQPDVVDGLNINFELSQAACQEGIRQLCKTTAYKVIFSDLSHVLMDALYVGSPAPASNRILPFLKELGPILRSISSTVRNEVRNCLITALMKASFDGFLLVLLAGGPTRAFCCQDYQIIEDDFRALRGLYLTYSEGLPEDLVAKASSEVKSILPLLRTDTETLIERFKKTISESHEFTTKSRFPMPPVPAHWSPDNANTILRVLCYRNDEAATKFLKKTYDLPKTL >KQL01102 pep chromosome:Setaria_italica_v2.0:VI:8277730:8281974:-1 gene:SETIT_014235mg transcript:KQL01102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMAVTVAAAGTLRPCTGVSARGAAVLGRWRPLVPAAPAMLRLSSPAVRVPRAASPAAVEDGSNTDAVPTPKVIIDQDSDPDATIVEVTLGDRLGDLLDTMNALKNLGLNVVKASVCLDSTGKHNKFAITKASTGRKIDDPELLEAVRLTIINNMIQYHPESSSQLAMGATFGPEAPAEQVDVDIATHIDIYADGPERSLLVVETADRPGLLVDLVRIISDINITVQSGEFDTEGLLAKAKFHVSYRGKHLTEALKQILSNSLRYFLRRPTTEDASF >KQL01103 pep chromosome:Setaria_italica_v2.0:VI:8278870:8281974:-1 gene:SETIT_014235mg transcript:KQL01103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMAVTVAAAGTLRPCTGVSARGAAVLGRWRPLVPAAPAMLRLSSPAVRVPRAASPAAVEDGSNTDAVPTPKVIIDQDSDPDATIVEVTLGDRLGDLLDTMNALKNLGLNVVKASVCLDSTGKHNKFAITKASTGRKIDDPELLEAVRLTIINNMIQYHPESSSQLAMGATFGPEAPAEQVDVDIATHIDIYADGPERRYDVFLNWCNVLHIIRKSIHFCHYLKKFILSNKKQHKNMFA >KQL02063 pep chromosome:Setaria_italica_v2.0:VI:29597991:29600935:-1 gene:SETIT_015173mg transcript:KQL02063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLVSYPSLCCVTLIIGWLVHWAYKWANPPCKGTLPPGSMGFPIVGETFQLFKPSPSFDIPSYYRQRLERYGTLFKTSLAGQRVVVSLDPEVNHFIFQEEGKLFRSWYPEAANNIFGKKSVTKFNATVHKFVRSFASKLFGVENLREVLIRELEDAIRQSFAAWAAKPSIEVKDGVADMIFDLVAKKMISMEPGESRELRKNFEDFFRGMLSFPIYFPGTLYYKCMKGRRNVHRILSDILKERLSTPGKKHGDLVDLLVEELRSEKPLIDENFAIDALAAILFGSFVTASAALTIGFKFLTDNPKVVETLKEEHEIILKKREDKNSGFTWEEYKSLTFTTQVMNEITRMGNIAPGIFRKTLKDVQVNGYTIPAGWLVMISPMTVHLNPKFFEDPLKFNPWRWSTQDEAKQIAQKRNFMPFGGGIRLCLGADFSKLFISLFLHVLVTKYRWIEIKGGEVLRAAEMVIPQGYHIQLVPTS >KQL00812 pep chromosome:Setaria_italica_v2.0:VI:5231810:5236257:-1 gene:SETIT_014031mg transcript:KQL00812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDSRPAGMRLFGVTIAPAPPPAPEADPPDRDPSPNPPVAVREDVMRKCKSMGNLAALGAGADAVGAGADGVGAGDGYLSDGGLMQSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMPSELSPVPNCPILPSSMAKVHDVVAMTRQLQNSNLEGGSSSNAANIAPQVGRDLPPVPSFRATNIDSSVSKLNHMEPLWRTPYPFRPIPRAPDGTSSSTSIAANIASPDAKANPTACTSTFLSPRSDTSSVPPKADPPAEMKDLELTVATPSQQNMTNMSSQNAVGVIQVI >KQL00811 pep chromosome:Setaria_italica_v2.0:VI:5231546:5236257:-1 gene:SETIT_014031mg transcript:KQL00811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQDSRPAGMRLFGVTIAPAPPPAPEADPPDRDPSPNPPVAVREDVMRKCKSMGNLAALGAGADAVGAGADGVGAGDGYLSDGGLMQSSGKRRRAQERKKAVPWTEEEHRTFLAGLEKLGKGDWRGIAKNFVTTRTPTQVASHAQKYFLRQTNPNKKKRRSSLFDMMPSELSPVPNCPILPSSMAKVHDVVAMTRQLQNSNLEGGSSSNAANIAPQVGRDLPPVPSFRATNIDSSVSKLNHMEPLWRTPYPFRPIPRAPDGTSSSTSIAANIASPDAKANPTACTSTFLSPRSDTSSVPPKADPPAEMKDLELTVATPSQQNMTNMSSQNAELAQA >KQL02173 pep chromosome:Setaria_italica_v2.0:VI:30671157:30671766:1 gene:SETIT_015568mg transcript:KQL02173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNPEQQPPPPSHPMAPPRMSFSSDFALEPPPPRGPPGRADADFEFSPVGSRPMMAADQLFSKGRILPLREAARAPTTLRDELRAHDAAAGADRGATRRGPRWKELLGLKRAHNKKGAGPAADAHVDLGEHGEAGE >KQL00780 pep chromosome:Setaria_italica_v2.0:VI:4893529:4902065:-1 gene:SETIT_014932mg transcript:KQL00780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVQEKDRPTESSVPNIADGKKSSGPASMAPETEAEDKPFPFLGLLSYADALDWLLMVSGTMGSFIHGMGPALSYYILGKSVDAIGNNIGNKEATVHELNKLIPYMWALAIVTLPGGMIEIACWMYTSQRQMARMRMAYLRSVLSQDIGAFDTDLSTANIIAGATNHMSVIQDAIGEKMGHFMSNFSTFVVAIIVAFACCWEVGMLSLLVVPMLLMVGATYAKMMIDMSLARISYISEATTVVEQTLANIKTVFSFVGENSAIKSFNKCMYNQYMLSKKEAMAKGLGLGMLQIATFCSYSLVIWVGAAAVTGGKAKAGETIAAVINVLSGAIYISNAAPDLQAFSQAKAAGKEIFKVIKRNPAISYESNGKILKKITGDIEMREVHFMYPSREDNPVLQGFSLAIQAGNIVALVGSSGCGKSTVISLVQRFYDPTSGVVLIDNQNIKELDLKCLRRNIGSVSQEPALFSGTIMDNLRIGKMDATDEEITEAARTANVHSFISKLPNQYSTEVGERGVQLSGGQKQRIAIARAILKDPPILLLDEATSALDSESEKIVQEALDRAMQGRTVILIAHRISTIINADRIVHVENGRVAQSGTHEELLEKSKFYSSVCSMQNLEKDSGKSETRFTGQVKEENEEAEYGTYNKPSFTSSEQEKKLDLTEQPKQPIRKRTSTFYRIFLGTFKLLPGKVLMGSTAAAISGISRPIFAFYIMTVGMAYLEPDAKSIVSKYSIILFLIGLLTFFSNIFQHYIYGLAGERATNNLREALFSGWFEQPKNSVGFLNSRIVGDTSMIKTIISDRMSLIVQCISSILIATGLSTVVNWRMGLVAWTLMPFHFIAGLVQVRSAKGFATDFSTSHRKLISLTSEAVSNIRTVASFVQEDEILRKADLSLQEPMRKSRVESIKYGAVQGTALFLWHTTHAIAMSFTIMLLDKDLATFKNCVRSYQAFAMTISSITELWSLIPMVLSAIAILDPALDILDRETQIVPDVPKLHSEERLAGDVEFQDVSFSYPSRPEVIILDGFNLAIEPGQRVALVGSSGSGKSTVLALLLRFYDPCEGQVLVDGKDIRDYNLRYLRKHIGLVQQEPILFNMSIRENISYGNEGVSESEIIEAAMEANIHEFISGLSNGYDTMVGDKGSQLSGGQKQRIAIARTILKRPTILLLDEATSALDSESERVVMSSLGTKEWKNKGELSRKITSITIAHRISTVTSADVIVVMDKGQVVEMGSHESLVSASNGVYSKLYRMHSKGVKD >KQL00756 pep chromosome:Setaria_italica_v2.0:VI:4696783:4705451:1 gene:SETIT_013115mg transcript:KQL00756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFPYSPAEVAKVQLVQFGILSPDEIRQMSVIQIEHAETMERGKPKPGGLSDPRLGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHIGFIKTVLSIMRCVCFNCSKILADEDDTKFKQALKIRNPKNRLKRIYDACKSKKVCAGGDDLDVQEQQDTDEPVKKRGGCGAQQPNITVDGMKMVAEFKAPKKKTDDQDQLPEPVERKQILSAERVLNVLKRISDEDCLLLGLNPKFARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERNGAPAHIITEFAQLLQFHIATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIEKDVFMNTLMWWQDFDGKIPAPAILKPRPIWTGKQVFNLIIPKQINLIRFSAWHSETETGFITPGDTMVRIEKGELLSGTLCKKSLGTGSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQQGFSIGIGDTIADAATMEKINETISKAKNEVKELIKQAQDKHLEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIESQKLDSLKMKKGEFDNVFRYELDDENWRPNYMLPEHVDDLKTIREFRNVFEAEVQKLEADRFQLGTEITTTGDNSWPMPVNLKRLIWNAQKTFKIDFRRPSDMHPMEIVEAIDKLQERLKVVPGDDAMSIEAQKNATLFFNILLRSTFASKRVLKEYRLTKEAFEWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNKKKELAKNVQCALEYTTLRSVTHATEIWYDPDPLGTIIEEDVEFVRSYYEMPDEDIDPDKISPWLLRIELNREMMIDKKLSMADIAEKINREFDDDLSCIFNDDNADKLILRIRITNDDAPKGEIQDESAEDDVFLKKIEGNMLTEMALRGIPDINKVFIKHGKVNKFDQSEGFKADNEWMLDTEGVNLLAVMCHEDVDATRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAEADLLRGVTENIMLGQLAPIGTGGCALYLNDQMLQQAIELQLPSYVEGLDFGMTPARSPISGTPYHEGMMSPSYLLSPNIRASPINTDASFSPYVGHMAFSPFPSPGGYSPSSGGYSPSSPVFTPEKGYSPLSPSYSPASPSYSPTSPSYTPGSPTYSPTSPNYSPTSPTYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPVYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPSSAKYSPSHAYSPSSPRMTPYSQTSPNYSPTSPTYSPTSPSYSQPSPSYSPTSPFNTSGGPSPDYSPTSPNYSPTGSYSPTAPGYSPSSTGQATNDKDDESAR >KQL02594 pep chromosome:Setaria_italica_v2.0:VI:33429137:33433519:-1 gene:SETIT_013228mg transcript:KQL02594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGHKSGGGGAAGGDGVLCHACGYQYPNGHPSAKQRRAHRKHCGKPASAAATDAAAEGAGEHEGGESLPGGVIGGVGEGIGASAAECGGGLPGSAPEAASAVDGGDSAEQSSGNGTGHQVIGDESAEDHLISSSNIPSEITSEASKMDDDNLTTVDTQYSEKETPIEDGDPSDPAVGSEQLKDIPTSILLSEPEDGAKCTSEISEHGKQNSLSEYETQNSTAVPLESNATGGGTSEQMDDVASQVDGTAVIEEDDTINTIGKNKFSEDKSIDGDDFDLSCQENLQTKIGEEHSNTGVVEESSDKNLNAIHNKEIPSDEAESNQQNKLMITDSFENIANIDVPVESSTEKSVGTEDDMLKLGTVGSYSETPDVKPQQQPDSTSETEGHLAVSEGADNVDEQHYPISGGTANVTENICSSGVTMDGSMQQNVTAGTVVTSQVDIVELSTPAMPHEINMVARTNNVDENRQNEKDGTDLTSYGGNELHIVENFEEKQENKEVIVDPIPHEANTASSVVQSMSSAEEKEQIEEFIANLAPEVTSVTSSRDIVEEKQGEIDVKTSGEIDGARSMETAGENNDATWEINAGTSTDDVEDKVQNEEFTTGPISDGINMICSSTNEGKMHKEEVTESLGCHENIVVRGTDDVEEKTIEETMADATSSKFSLVTPTDSVEERKDEETTADPTLLERSAEHTIDNIDERKNEEPVLDPTTTTATIRSIGDVEGKKQNEETTADPSSGECNGLPGTDNAEAENKKEKGDIATTGPATTNVVKEIGETEDMSSKEISTIESTDDLKRAADQNEEIADKEMVMDSDKNHVSLKVLLADKNVETKDKEKKTSTKERVLSFRRRTSKDNVSPVKPGSPKVGSGQQDWNSPARLPVEKKPKGRKQQWVPFICCSSVQ >KQL02750 pep chromosome:Setaria_italica_v2.0:VI:34350797:34351051:-1 gene:SETIT_015348mg transcript:KQL02750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWSTRRRLLLLASLVVLLVGGARSAGGARAAPEARLDGGEVVQRRGSGCHATAVVERIITTKEAAEMLMARLPAGPSPRGPGH >KQL01097 pep chromosome:Setaria_italica_v2.0:VI:8143224:8143457:-1 gene:SETIT_015859mg transcript:KQL01097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASPASRGFHFVEIPGNSNIIAMIENLFVVQRLVLFDLF >KQL02570 pep chromosome:Setaria_italica_v2.0:VI:33274475:33275383:-1 gene:SETIT_015471mg transcript:KQL02570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEDISVGGLVWRVNCYPHRYSKEFAPQHLSIYLRLVSESENVKAIFEAFVMDKNGKPSSSSHPWRRGLLVYTPSKEWGWHQFMKGTDIESIYVTDGSVTIVCGVIITHDDDPLDVPPSDMGSHLGRLLNSNDGSDVSFIIKGETFLAHRALLAARSPVFKAQLLGSMADAKMTSITMHDISAATFKAMLRFMYTDTLPADDELGASPLTEVFQDLLAMADRYALDRLKLICARKLWENLSTENVGATLACAETYNCPELKRKCITFVAKDENLKKTVLTDGFLQLVQKYPSIVAEMREKFGE >KQL00327 pep chromosome:Setaria_italica_v2.0:VI:1403645:1403884:-1 gene:SETIT_014791mg transcript:KQL00327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFSLSGHSHSMSVKQTQNNGHKQSLLAWCAAQHEQHRDVQPRPMKVENADRFIRRPRHQRHHHLPGGRRP >KQL00608 pep chromosome:Setaria_italica_v2.0:VI:3437518:3438672:1 gene:SETIT_014851mg transcript:KQL00608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPELMEELIEEILLRFPPSDPASLVRAALVSTSWCRIVSGAAFRRRFRAFHRTAPLLGFLCDSRVKMDGFRWDGVLVPTSSAFRPREPFAWWQPLDARHGRVLFHDCSSSSYRPHVWNPITDACITLPEMPNDDRDDISLRWTSRWTSAVLCAAAATGECDHLDCHDGPFTVVISGRWSEPTYADCRNYSISWDHSVLMGNALYFKFDTNNCGILRYDLGTREMTMMDLHLPRYEDYDASSVPSIQLMTTEGGRRLGFIRLEDTRLCLWSRDDEADVGWAPNRVIELEKLLPFDVSLAYDTFLLGFAEGVGVIFMRVGDGVFTVDLKSSKVMKVYEGSINSVVPYMSFRTPGTDLGTMTIFFK >KQL00364 pep chromosome:Setaria_italica_v2.0:VI:1620981:1621366:1 gene:SETIT_014805mg transcript:KQL00364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDIFGQTQNLKDFLLLVRQPQSTGFKFQFKVVKVEETSIFVSWFATHCQPTSRQFVVILMLGYS >KQL01956 pep chromosome:Setaria_italica_v2.0:VI:28475126:28475484:-1 gene:SETIT_014835mg transcript:KQL01956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKTVWNAAAKNCSCSIHGMGDISAFALLSKYTSGWAKIDVIQTETIVHLHS >KQL01882 pep chromosome:Setaria_italica_v2.0:VI:27483359:27484398:1 gene:SETIT_014347mg transcript:KQL01882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRKPPATRTLTLPLFSLSPSLSLSPPYRPLSLHALLPTAASPLLSSLPPPLPTIRNPRPLPRSSAPTLLRPTTPRSSSARPHASPPRISGPRLRRSPAPPRAVMPSPRPARALCTRLRAGSGDGRQGIGVGRPRIAWAEEVGICMSSSRPAPPTSNAPASDNAIYSWITDGISKKVVAICMSAVLHKTVKLSEDLSCVVIRMHQSRAVCRIGVYNGGIGNCIHVWRRFLKMPIHQQNFVILKAV >KQL01518 pep chromosome:Setaria_italica_v2.0:VI:21062332:21062863:1 gene:SETIT_014985mg transcript:KQL01518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVCGDRAVSRRVPARYATLLTHLTLIGNPDVCFYAKIPSLYELSRAVAGGHNTEAYLVTIILYRNNGGTGDDDTTRWYVKRIEGEEDSTMSGGSGPRMLSNKGCQLRGDVSCAGSNGGITFGWPQKTLFCSKDCRICREIVLFQRKLGIDN >KQL01064 pep chromosome:Setaria_italica_v2.0:VI:7814192:7816159:-1 gene:SETIT_015782mg transcript:KQL01064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPSRRRRRRGPPPRCSSTDCRSRPHSSTATASPPNPPAGAAVTSTPPLLHWERKAKDLKHGILCLCEELKLLQGTPCETEPPVVSCRCHFFDGCGDLPPPRPGVDGEHWVEFRILWRFSTAFLVELSDSILARVNLMLNLLVHREACSSFATFSHQAVDFILGKLQLFCYVETRSYIGHLIIISVSQKISSISEGLLLADPFD >KQL02682 pep chromosome:Setaria_italica_v2.0:VI:33940676:33943899:1 gene:SETIT_014004mg transcript:KQL02682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPAATRAAAAGLCRIAASASSSPPSSAPARRERELPLALLAERGKMVVGGHRGMGMNAVGAPPGARVGAARERENTLLSFGRAAAHAAVAFVEFDVQVTKDGCPIIFHDDFILTQGIGAVYERRVTDLLLEEFLSYGPQKESRKVSKPLLRRTGDGRVLNWSTEEDDSLCTLQEVFECVSPHLGFNIELKFDDNVLHHKKDLERALQAILQVLFLTEGGTSKHHDSRRNSLNNAIQVCLEHDLHGIVSDVRGILKNPAAVVRAQESNLALLTYGQLNNVWEAVYVQYLMGINGVIVDQVEEISNAVAGFSKPDLNRSSAGVDGATHQAFSQQQLGFLLRLIPELIEQRH >KQL02681 pep chromosome:Setaria_italica_v2.0:VI:33940676:33943247:1 gene:SETIT_014004mg transcript:KQL02681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLPAATRAAAAGLCRIAASASSSPPSSAPARRERELPLALLAERGKMVVGGHRGMGMNAVGAPPGARVGAARERENTLLSFGRAAAHAAVAFVEFDVQVTKDGCPIIFHDDFILTQGIGAVYERRVTDLLLEEFLSYGPQKESRKVSKPLLRRTGDGRVLNWSTEEDDSLCTLQEVFECVSPHLGFNIELKFDDNVLHHKKDLERALQAILQVVFQNARDRPVFFSSFHPDAAMMTRELQSLYPVLFLTEGGTSKHHDSRRNSLNNAIQVCLEHDLHGIVSDVRGILKNPAAVVRAQESNLALLTYGQLK >KQL00331 pep chromosome:Setaria_italica_v2.0:VI:1433121:1438535:-1 gene:SETIT_013597mg transcript:KQL00331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCAPFPNLDRTSAADLRDTEHLLSYICSCVPDPPVSTTARLVARRGAAASKDGVDRISGLSDALLRDIVSRLPFKDAARTSVLATRWRRVWLAAPLAVADAHLLDHWPPAPADAPAVTAAVSGALAAHPGPFRCAHLVSTRMDAYQPQLKRWLRILAAKGVQELVLVNRPGPREVPLPDTLFRIANLTCLYIGFWKFPHASHLQGASFPNLRELGICSVVVKDGDIDSLVARSPLLEILNIQGSMKGLCLVGQSLRCVQICASMVESITLVSTPCLERLILWEVRASPNPASGLRTRIKIGTAPKLCVLGYLDPTQHLLEIGGTSIMPGIAPSASTILTSVKCLSLKVCFGANDAMKVPAFLKCFPNVEALHIMSAKCDEPADKLNLKFWQEVGPIRSVVLCVKVMTICEFRGGQHELAFLQFIYQNARVLNFSAIVSANVRVTGISANQIFSIVQNMDSSRWARNFNLAILGSKGPEGGRPWKFQRGANFSNDDPFAPVKS >KQL00823 pep chromosome:Setaria_italica_v2.0:VI:5366341:5371144:-1 gene:SETIT_013349mg transcript:KQL00823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSSAVNWWEEWQLRILVLGSLVIQLYLAIFAPIRKSPSLSHLCRPLIWLSYLGGDALAIYALATLFNRQKKLQCHPSYVVAGSHDLEVLWAPILLIHLGGRMTISAYNIEDNELWLRHIGVAVSQVSVTVYVFTTSWSPSADKRLLAAAILLFVLGVFRCFEKPFALRRASFSNLVTSFHPAPWTETTNREVVLEKYIQEAADFVQRNQGPPTLDMAEKQDHLQRLSWHDMLFVDFAYAYDDRFEKLKSFWLLDAETGFEALRRGLSNTFNIIYTKLWQLRDENRDVDFLGGMFSILLFTITTTFPIASIILFHVSKKEGYRGSDIKVTYLLLYITYFSEIISAPARLHMDWRTRLPKWRARLLCPRRPVMVAQHSLIGGGLLARDNKYSILLSIGKCFKCQDFLRQHIGRGYSPKGEGITSMVRDHVKDGWIFYIRDVESYWEFNDSRGHLSLEHNVCEENLGWSIEKPFDESIIIWHVATDFCIHRKPNGPAVDSKSARIISNYMMYLLSAKPEMLLPGSRITLFNTASKEISDILQGDDGVSPLDKKQLTENIINKAEKSEVGFLHEAWLLAEALMQLGDDAKMWEVIRGVWVEMLCFSAGRCRGYLHAKSLCIGGEYLTFVSLLMSQAGLEIFPDKQQRMKLRLPKEQRVSIAKQMIEEANQPAAMVLVFGEENAATQPSASQDVVVAEV >KQL00874 pep chromosome:Setaria_italica_v2.0:VI:5911311:5913633:1 gene:SETIT_013519mg transcript:KQL00874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVLATSQSSPEHNPRPYTPSPWGDFFLTHQLCTPAELLSMKEKAQVKEEEVRRILLATAASPDLVSKLDLVDVLQRLGVDYHYKKEIDELLRAIYDDEDGGSDDLYVTSLRFYLLRKHGYNVSSDIFLKFRDEQGNITSDDVNCLMTLYDAAHMRTHGEKILDSIITFNKTHLQSVMETDLQPELAEEVRFTLETTRFRQVKRVEARRYIPVYEKKATRNEAILEFAKLDYNILQVLYCEELKELTIWWMDFKSRTDLRFARDRLVEMYFWMMGVVYEPYYSYSRIMLTKLVMSVSLLDDLYDNYSTTEESQIFTTAMERWDEKAAEKFPSYLRALYKNIIGTTDEIVEELKLQNNKHAELVRKLIIDVAKCYHAELKWRDENYVPTNVDEHLQISVCSSACMHVTNLAFISLGDRASKEAVEWAFTYPKIIRGVCIVARIVNDIMSHEREQASNHVASTVQTCIKQYGVTVQEANEKLRIIIEKAWMDIVEECLDQKQSMELLEKAVNLGRTMDFMYKREDAYTLSFSLKDIIASMYVNFV >KQL02401 pep chromosome:Setaria_italica_v2.0:VI:32255540:32257078:1 gene:SETIT_014273mg transcript:KQL02401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVEVQVPTATLPVEEAPVVAEPVPVQPAVVAEEAPKEEAAAPVVEETPAAEAAPAEPEAAKEPEAEAAPAETDTREVEAVAAPAEAEAKEAEPEAAPAETETKEAEPEAAPAEGETKEAEPEAATAEVEARETETEAVAAETETKEAEPVAAPAEAETKEAEPEAAPAVAETKEAEQDAAAPAEAETKEAEPAAAEAEVEAAPVVDTKPAEAEAETAATEAEAKELEAKEEAAPAAAAAAEEAAAPAEAEAAAAPAAEAAGKSE >KQL02698 pep chromosome:Setaria_italica_v2.0:VI:34044959:34045386:1 gene:SETIT_015935mg transcript:KQL02698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKDDQIHLQAYYCIICKQSQTVCQMIKSGPLMLKKQKG >KQL00257 pep chromosome:Setaria_italica_v2.0:VI:819044:821523:1 gene:SETIT_013484mg transcript:KQL00257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHNVNPSNCSKLNRAQLYAGYTPNAWNPEPPRLLVSYSPTPPHQANRPARGSRRLEAHARSSAACRRTSRTRPQPISSSTRRSSERTQEVREVIEWTINPSTFLNSYFVLSALDAAKTQRYHFTAIIVAGMGFFTDAYDLFCISLVTKLLGRIYYTDTTKPDPGSLPPDVAFCGTLAGQLFFGWLGDKLGRKSGMTLMPMVLCSIASGLSFGHTPTGGFGILAGGIVTLIISASFRAGYPAPAYQANAAASTVPQADFVWRIILMLGAAPALLTYYWWMKMPETARYTALVAKNAKQAAADMSKVLQTEIVDEQEKLDTMVTSTGNSFGLFSMEFARRHGLHLLGTASTQNLFQKDIFTSINWIPKARTMSALEEVFRISRAQTLIALCGTVPGYWFTVALIDVVGRFAVQLLGFFMMTVFMLGLAVPYHHWTTPGNHIGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQNQDKSKADHGYPAGIGVRSSLFVLEGVNMLGFLLTFLVPESEGKSLEEMSGEADDGEEEAVGGRAERPSETQMV >KQL03011 pep chromosome:Setaria_italica_v2.0:VI:35771225:35774486:-1 gene:SETIT_014052mg transcript:KQL03011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWAAIENDPGVFTELLQQMQLKGLQVDELYSLDLDALNDLQPIYGLIVLYKWRPPEKDERPVIKDTVPNLFFANQIINNACATQAILSVLLNSPGITLSDELKKLKEFAKDLPPELKGLAIVNCSSIRILNNSFARSEVPEEQKPSSKDDDVYHFISYVPVDGVLYELDGLKEGPISLGKCPGGVGEAGWLRVAQPVIQEHIDRFTQNEIRFSVMAILKNRKEMYTAELKDLQRKRENLLQQMGDPSARHVPSVEQSLAEVAAQIEAVTEKIIMEEEKVKKWKTENMRRKHNYVPFLFNFLKILEEKQQLKPLIEKAKAKHKSHSHSTNPR >KQL03006 pep chromosome:Setaria_italica_v2.0:VI:35761345:35765454:-1 gene:SETIT_013361mg transcript:KQL03006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPSSSSSSAPPPPPAAAEATSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKLEPWDLPSRSRLRSRDSQWYFFSRLDRKHANRARTNRATSGGYWKTTGKDREVRHGPRIVGMKKTLVFHAGRAPKGERTNWVMHEYRLEGDEAAGIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEGDAVVDHEVPPGPKEIPGALEKGYLQMSDLIQGLGDQNGNGTIGLPISDTSNNSNHSEDVDGNSGDILSDPNLGSNFLQYVEPGEQNSLMLSDNMISNANAGDFFNNSSPSDGFLELKDFADAANLDYPLGNESTVWPSDGWAWKTPNSLEAVNGANNDIPPLPDDQTFQPDELEQLLQSIQEDSHFGSSIIDPSHSSITNSVMPEDDSLMFYDAPFDSTICDDGFRQVNGILSSPATNLSGIGMVDDGMPYYDATDDNLFNDILGSIQQPAGSSHAFNGPVLTQEVNNTMYTYSPTQKVLEPNFVVGAPSSARLPEAGSQLNCVVLPDGQAKSSTYGKGFVKILDSISAPPAFALEPANLCKSLAPISGARPNTIHVSAEVISIGSLAVASGPDKWAQQKDQGMELLFTDFEPGTRVHCGCNPITTVLRGGFCLVFFSAIMLLVSYEVGMCIYGK >KQL03008 pep chromosome:Setaria_italica_v2.0:VI:35760987:35765568:-1 gene:SETIT_013361mg transcript:KQL03008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPSSSSSSAPPPPPAAAEATSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKLEPWDLPSRSRLRSRDSQWYFFSRLDRKHANRARTNRATSGGYWKTTGKDREVRHGPRIVGMKKTLVFHAGRAPKGERTNWVMHEYRLEGDEAAGIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEGDAVVDHEVPPGPKEIPGALEKGYLQMSDLIQGLGDQNGNGTIGLPISDTSNNSNHSEDVDGNSGDILSDPNLGSNFLQYVEPGEQNSLMLSDNMISNANAGDFFNNSSPSDGFLELKDFADAANLDYPLGNESTVWPSDGWAWKTPNSLEAVNGANNDIPPLPDDQTFQPDELEQLLQSIQEDSHFGSSIIDPSHSSITNSVMPEDDSLMFYDAPFDSTICDDGFRQVNGILSSPATNLSGIGMVDDGMPYYDATDDNLFNDILGSIQQPAGSSHAFNGPVLTQEVNNTMYTYSPTQKVLEPNFVVGAPSSARLPEAGSQLNCVVLPDGQAKSSTYGKGFVKILDSISAPPAFALEPANLCKSLAPISGARPNTIHVSAEVISIGSLAVASGPDKWAQQKDQGMELLFTDFEPGTRVHCGCNPITTVLRGGFCLVFFSAIMLLVSYETQLGPPASLSVLHCMTWTCGVV >KQL03007 pep chromosome:Setaria_italica_v2.0:VI:35761001:35765568:-1 gene:SETIT_013361mg transcript:KQL03007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHPSSSSSSAPPPPPAAAEATSLAPGFRFHPTDEELVSYYLKRKVLGRPLKVDAIAEVDLYKLEPWDLPSRSRLRSRDSQWYFFSRLDRKHANRARTNRATSGGYWKTTGKDREVRHGPRIVGMKKTLVFHAGRAPKGERTNWVMHEYRLEGDEAAGIPQDSFVVCRIFQKAGPGPQNGAQYGAPFVEEEWEEDDEDVGLLPVEGDAVVDHEVPPGPKEIPGALEKGYLQMSDLIQGLGDQNGNGTIGLPISDTSNNSNHSEDVDGNSGDILSDPNLGSNFLQYVEPGEQNSLMLSDNMISNANAGDFFNNSSPSDGFLELKDFADAANLDYPLGNESTVWPSDGWAWKTPNSLEAVNGANNDIPPLPDDQTFQPDELEQLLQSIQEDSHFGSSIIDPSHSSITNSVMPEDDSLMFYDAPFDSTICDDGFRQVNGILSSPATNLSGIGMVDDGMPYYDATDDNLFNDILGSIQQPAGSSHAFNGPVLTQEVNNTMYTYSPTQKVLEPNFVVGAPSSARLPEAGSQLNCVVLPGNLLWKILQ >KQL02465 pep chromosome:Setaria_italica_v2.0:VI:32714276:32718817:1 gene:SETIT_013486mg transcript:KQL02465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRRKVGPGSAATPAARHPQPPPPPPRAARVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRNSTPLHAVGLAEMLAIFGLIASLIYLLSFFGIAFVQSIVSSSDEDEDFLGVGSGAPAPPPVAAPARQAPAPAPCALLGNPAAAPEKMPEEDEEIVASVVAGKIPSYVLETRLGDCRRAAGIRREAVRRITGREIEGLPLDGFDYASILGQCCELPVGYVQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGANSVVLRDGMTRAPVARLPTARRAAEVRAFLEDPANFETLSMVFNRSSRFARLKSVQCAMAGRNLYMRFTCSTGDAMGMNMISKGVQNVLDFLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNTIKNLAGSAIAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEPINDGRDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRDSPGANARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSIKDMSKAVP >KQL02464 pep chromosome:Setaria_italica_v2.0:VI:32714276:32718817:1 gene:SETIT_013486mg transcript:KQL02464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRRKVGPGSAATPAARHPQPPPPPPRAARVQAGDALPLPIRHTNLIFSALFAASLAYLMRRWREKIRNSTPLHAVGLAEMLAIFGLIASLIYLLSFFGIAFVQSIVSSSDEDEDFLGVGSGAPAPPPVAAPARQAPAPAPCALLGNPAAAPEKMPEEDEEIVASVVAGKIPSYVLETRLGDCRRAAGIRREAVRRITGREIEGLPLDGFDYASILGQCCELPVGYVQLPVGIAGPLLLDGQRFYVPMATTEGCLVASTNRGCKAIAESGGANSVVLRDGMTRAPVARLPTARRAAEVRAFLEDPANFETLSMVFNRSSRFARLKSVQCAMAGRNLYMRFTCSTGDAMGMNMISKGVQNVLDFLQDDFPDMDVISISGNFCSDKKPAAVNWIEGRGKSVVCEAVIKEEVVKKVLKTNVQSLVELNTIKNLAGSAIAGALGGFNAHASNIVTAIFIATGQDPAQNVESSHCITMLEPINDGRDLHISVTMPSIEVGTVGGGTQLASQSACLDLLGVKGANRDSPGANARLLATVVAGGVLAGELSLLSALAAGQLVKSHMKYNRSIKDMSKAVP >KQL00460 pep chromosome:Setaria_italica_v2.0:VI:2276879:2282674:-1 gene:SETIT_013795mg transcript:KQL00460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAVEQRSTLLWERLRRASETKELLAAEAGSWQAEHGEGSDDDLGGAREPPVSRWRWLKAVSMVAFGGRPAYKPVAQIMPLSDQPPKSGSDHNNLLEAADTDLGADRPQPRVTAQAQARLSPRDVAKIRSNNPVPQVVMPVPSNLPPSTETVQVPSFLTPRHGVKFGNNNSVPEVVVDVPSNLPRSTETAQVADQMKKKQQERCLKYSQKALAFAVCTFIGYASAAKASRKGSEDSEPSNINITFKLAMVPFFIALCADLFSLKTKAKYGRAFVFLSSFHLVLMVYLVFISFDTRYAYTMIFLPLVAVSSLLQQKLWPGQQQGTDEKANKNLDSIFELSSLILNWSTFISALMVIFRELKPNEKYEDIAHGAVGLFFFLTIVLGLYLMLVTTVRIPELVRVRYLDVLLICLLVGTIISALISFLHFN >KQL02976 pep chromosome:Setaria_italica_v2.0:VI:35594737:35596257:1 gene:SETIT_014026mg transcript:KQL02976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPLRAPPDELVEEILLRSPPDDPASLVRAALVCKRWCRLVSAHPFRLRFRGLHRCPAPMLGFLCNDVFHAGGDEACSARFVRTAASCPPLASRRGWHALDARAGRVLLHRAAAAQAICLAVWDPLAAGDSRHLDLPAPALPRRPRSWNAALLCDDDPDGGPFRIVLHPKDHVDAVRGALVGDTIYFVCQRRTRVLRYDLATRAMSVVHLPPASHNQRIVLTTAEGGGLGFARMDGYWLGLWSMDVGGGGGAVEWTQDRVIDLRTLLPVIDLLGFAHGLGIVLVGTVDGFFSVDQKSGSINKVGDGPGFYNVVPFVSFYTPALGTASTTDEEGSSSNA >KQL01437 pep chromosome:Setaria_italica_v2.0:VI:19446335:19450848:-1 gene:SETIT_013131mg transcript:KQL01437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAARMAAPLVVLLLQLLLHLMGAAAAEADDEAAALLAFKRASVSADPNGALAGWREANSTSASGSPCAWAGVTCADGGRVRELNLSGMSLAGRLRLDALLALPALQSLDLRRNGFRGNLAAHHAAPPPQTQPPCALVHVDMSSNALNGTLPRAFLKSCTGLQSLNLSRNNLTGGGFPFPPSLSTLDMSRNMLSDAGLLNYSLTGCHGIQYLNLSANQFTGPLPEFARCSQISVLDLSGNLMSGALPGRLLTMAPANLTHLSIAGNNISGDISRYDFGGCTNLKMLDWSYNRLSGMGLPQSLANCSRLETLDMSGNKLLSGTIPVFSAVFQQLKQLALAGNNFTGEIPDELSLLCRTLVELDLSSNQLTGGLPASFSKCRSLKLLDLGNNQLSGDFVVTVISKISSLRVLRLPFNNITGTNPLPTLAAECPLLEVIDLGSNVLDGEIMPNLCSSLPSLRKLILPNNYLNGTVPPSLSNCSNLESIDLSFNLLHGQIPPEVLFLPKLIDLVMWANNLSGEIPDKLCSNSTTLETLVISYNSFTGGIPPSITRCVNLIWVSLAGNNLTGSVPSGFGNLQKLAILQLHKNSLSGPVPAELGSCSNLIWLDLNSNNFSGTIPPQLAAQAGLITGGIVSGKQFAFLRNEAGNICPGAGVLFEFLDIRPERLAQFPAVHSCASTRIYTGMTVYTFNQNGSMIFLDLSYNSLTGTIPASLGDMAYLNVLNLGHNGLTGAIPDAFTGLKVIGALDLSYNHLTGVIPQGFGCLHFLDDFDVSNNNLTGQIPTSGQLITFPASRFENNSGLCGIPLNPCMHNASTGDSSQHSPSGRRKFLEEFVLLAVALLVLIMATLVIIYKLRRPRGSKTEEIQTGYSDSLPSSTSISWKLSGSREPLSINLALFENPLRKLTYAHLHEATNGFSSETRIGTGGFGEVYKAKLKDGSVVAVKKLMYFTGQGDREFTAEMETIGKIKHRNLVPLLGYCKIGDERLLVYEYMKNGSLDVMLHEKAKIDVNLDWKARKKIAISSARGLAFLHHSCVPHIIHRDMKSSNVLLDDNLDAYVSDFGMARLVNALDSHLTVSKLLGTPGYVAPEYFQSIICTTKGDVYSYGVVLLELLSGKKPIDPTEFGDSNLVDWTKQMVKEDKCNEIFDPILTDTKSCELELYQYLKIACQCLDDQPNRRPTMIQVMAMFKELQIDSDNSFLDGFSIDSANIEESSEKSV >KQL02655 pep chromosome:Setaria_italica_v2.0:VI:33812367:33814644:1 gene:SETIT_015525mg transcript:KQL02655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein THGSVSLACRLGRLDLFPSSLVISFLARCRATCRPSPCSGEKWQARAHSESLVIYWCWAEETMEEEVAARRAELELLQPEKASKVKKKRGRVELRRIEDRTSRQVRFSKRRSGLFKKARELAVLCDARVALVVFSPAGRLYKFASADSSIEEIFGRHWDLPTTVNDLNIEAQDSRINNNIQVKHK >KQL01340 pep chromosome:Setaria_italica_v2.0:VI:16647801:16651860:1 gene:SETIT_015030mg transcript:KQL01340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFYSRVLDGGIVVENPRYVVIIVMPHSSAWPLCCNLWICPNFTLVLGVVFFVFVVISVATPRRSIRDAMLGGVLGGGGRQLYQPLRCGFYDGAAGCGGLPVDGLAAALSEEAADAVRVSAGGKAAKNVLILMSDTGGGHRASAEALRDAFLIEFGDAYQVFVRDLGKEYGGWPLNDMERSYKFMIRHVRLWKVAFHGTSPRWVHGMYLAALAYFYANEVVSGIMKYKPDIIISVHPLMQHIPLWVLKWQSLQPKVPFVTVITDLNTCHPTWFHHGVTRCYCPSAEVANRALIQGLQLSQVRVFGLPIRPSFCRAVLEKDEIRKELELAPELPAVLLMGGGEGIGPVEETARALGEELYDYRRRRPMGQIVVICGRNQVLRSTLQSLRWKVPVKIRGFEKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDFIPGQEVGNVPYVVDNGAGVFSKDPREASRQVARWFSTDADALQRFSRNALQLAQPEAVFDIVKDIHKLQQQSAAVTRIPYSLTSSFSYHI >KQL01008 pep chromosome:Setaria_italica_v2.0:VI:7315550:7319172:-1 gene:SETIT_013278mg transcript:KQL01008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEGRRHSVDIPISRALVAIMRSRSLRDPDTNSLAKFSAKKTIWEGCSLEEDEPEGNNYGRHSFSYNAYDHLQRRREEFGDSLRSGRLANSPINIIKANAMAKAALHNQSCCSAISGMSRAAKDRAFSLVMEGEEIGRREASTFQESSRSLLQKYRPKSFSELVGHDVIAQSLSSAVLKGKLAPIYLFHGPHGIGKTSAARIFAAALNCRSPGGNQPCGRCEECMAIFSGSSSSVVEVDASKLDCKSRVAVLLRNACEVPDSSHLKVLIVDDCQHMDKEGWYSIYNSLEGIPDSTIFVMITSDVDKLPSNSIGWCQSYRFCKIDDAAIARRLIKICTKEDMEFEAEALELLARKANGSIRDAIQMLDQLTLLGKRISKSVTHELIGDVSDEELLDLLNLAMSSDAATIVRRARELLSSKVDPLQLLAQLANLIMDVLASKHLSDSSEVRRVTGKHTSADVDVHKLRNALEILSETEKQLKTTKNQSTWLTAALLQFNMREPYCLDDTAVSSMFTESQTDDGTAILKDESLDTSSHLCSQNKVSSLDMNLGDPDVLETIWIKALENCSSQPLQNLLRKDGKLSSLYTSQGVAVAELQFCHPEDVPTSETFWKPLCASLQNLLRCNVDIRINLSPISSNRMGSKDSSVSLVMQSREDRETQDPVATNCKTVASSRRDCPSPLAGQAKEKPSHILGCLHGTADGDAVDTESRILSYQKISVVPAVSTPGNAPKKAGGDTLKVDEGRVRRGCFSNLLPCGACAPRRKSQTREKRRASLFSCCFCKIRPESKTKAEAG >KQL02899 pep chromosome:Setaria_italica_v2.0:VI:35176588:35177295:1 gene:SETIT_015596mg transcript:KQL02899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHLRSASVPSSPRSNKTSIEEELLSLKAIISSSSSTVETMCQGFTKLGSIYDCIDELTSLPSGQRQQRKAVEEELERSLILLDLCNALKESFLELRSIVQEMQLVLKRGGNAAVQAKFQSYIQSARKAQKQFKRISNKAASDKEGCRLVKLLAEAREIAMSMLESTLHLLSKQIAMPSASKWSLVSKALQKKKIVCEEEQLQVLELNIVDLESGVETLFRTLIQSRVSLLNTLS >KQL02546 pep chromosome:Setaria_italica_v2.0:VI:33129147:33141307:1 gene:SETIT_013162mg transcript:KQL02546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRSPPPEIRSPDERGAAEDAAEAEAEEEEVFDDAFDIPHKNAPHDRLRRWRQAALVLNASRRFRYTLDLKKEEEKEIIRRKIRAHAQVIRAAFLFKEAGQKDIREAYSGINLATASRSFPIELEKLTTLNREHDTVLLQEVGGVKGLSDLLKSNLDKGVSPNEDELLQRRSIYGANTYPRKKRKNILRFVFEACQDLTLVILMVAAAISLTLGMTTEGADDGWYDGGSIFLAVFLVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRSAASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGLVGLSVAGAVLVVLWIRYFTGHTENPDGTTQFVAGTTGVKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYFAGTKLDPCDDISQISDDSAAIILEGIAQNTTGTVFLPEDGGEPELTGSPTEKAILSWGLKIGMDFHDMRSKSSVIHVFPFNSDKKRGAVAVQSDEGVHVHWKGAAEIVLSSCKSWLSVDGSVQPMSTEKHDEYKKSIEDMAVNSLRCVAFAYCAPDGEMIPKEDIANWKLPEDDLILLGIVGIKDPCRPGVRDAVRLCTTAGVKVRMVTGDNIETAKAIALECGILDANSLISEPVVIEGKVFREMSESARADAADKIIVMGRSSPNDKLLLVQALKKKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRNPVGRREPLVTNIMWRNLFVQALYQIAILLIFDFAGVRILRLQNESRYNAEKITNTFIFNTFVFCQIFNEFNARKPEERNVFKGVTKNHLFMGIIGITTVLQILIIQFLGKFFKTVRLDWRLWLVSVAIGVISWPLAYLGKFIPVPVRPLQDYFKPRCCRRARRDEEEGRQT >KQL02545 pep chromosome:Setaria_italica_v2.0:VI:33129490:33140242:1 gene:SETIT_013162mg transcript:KQL02545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRSPPPEIRSPDERGAAEDAAEAEAEEEEVFDDAFDIPHKNAPHDRLRRWRQAALVLNASRRFRYTLDLKKEEEKEIIRRKIRAHAQVIRAAFLFKEAGQKDIREAYSGINLATASRSFPIELEKLTTLNREHDTVLLQEVGGVKGLSDLLKSNLDKGVSPNEDELLQRRSIYGANTYPRKKRKNILRFVFEACQDLTLVILMVAAAISLTLGMTTEGADDGWYDGGSIFLAVFLVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRSAASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGLVGLSVAGAVLVVLWIRYFTGHTENPDGTTQFVAGTTGVKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYFAGTKLDPCDDISQISDDSAAIILEGIAQNTTGTVFLPEDGGEPELTGSPTEKAILSWGLKIGMDFHDMRSKSSVIHVFPFNSDKKRGAVAVQSDEGVHVHWKGAAEIVLSSCKSWLSVDGSVQPMSTEKHDEYKKSIEDMAVNSLRCVAFAYCAPDGEMIPKEDIANWKLPEDDLILLGIVGIKDPCRPGVRDAVRLCTTAGVKVRMVTGDNIETAKAIALECGILDANSLISEPVVIEGKVFREMSESARADAADKIIVMGRSSPNDKLLLVQALKKKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRNPVGRREPLVTNIMWRNLFVQALYQIAILLIFDFAGVRILRLQNESRYNAEKITNTFIFNTFVFCQIFNEFNARKPEERNVFKGVTKNHLFMGIIGITTVLQVQTI >KQL02544 pep chromosome:Setaria_italica_v2.0:VI:33129147:33141307:1 gene:SETIT_013162mg transcript:KQL02544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGRSPPPEIRSPDERGAAEDAAEAEAEEEEVFDDAFDIPHKNAPHDRLRRWRQAALVLNASRRFRYTLDLKKEEEKEIIRRKIRAHAQVIRAAFLFKEAGQKDIREAYSGINLATASRSFPIELEKLTTLNREHDTVLLQEVGGVKGLSDLLKSNLDKGVSPNEDELLQRRSIYGANTYPRKKRKNILRFVFEACQDLTLVILMVAAAISLTLGMTTEGADDGWYDGGSIFLAVFLVILVTAISDYRQSLQFRHLNEEKQNIQVEVVRGGKRSAASIFDLVVGDVVPLKIGDQVPADGILISGHSLAIDESSMTGESKIVHKDQKAPFLMSGCKVADGYGSMLVTGVGTNTEWGQLMANLSEDNGEETPLQVRLNGVATFIGLVGLSVAGAVLVVLWIRYFTGHTENPDGTTQFVAGTTGVKQGFMGAIRILTIAVTIVVVAVPEGLPLAVTLTLAYSMKKMMRDKALVRRLSSCETMGSATTICSDKTGTLTLNKMTVVEAYFAGTKLDPCDDISQISDDSAAIILEGIAQNTTGTVFLPEDGGEPELTGSPTEKAILSWGLKIGMDFHDMRSKSSVIHVFPFNSDKKRGAVAVQSDEGVHVHWKGAAEIVLSSCKSWLSVDGSVQPMSTEKHDEYKKSIEDMAVNSLRCVAFAYCAPDGEMIPKEDIANWKLPEDDLILLGIVGIKDPCRPGVRDAVRLCTTAGVKVMGRSSPNDKLLLVQALKKKGHVVAVTGDGTNDAPALHEADIGLSMGISGTEVAKESSDIIILDDDFTSVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNAVELLWVNLIMDTLGALALATEPPTDNLMKRNPVGRREPLVTNIMWRNLFVQALYQIAILLIFDFAGVRILRLQNESRYNAEKITNTFIFNTFVFCQIFNEFNARKPEERNVFKGVTKNHLFMGIIGITTVLQILIIQFLGKFFKTVRLDWRLWLVSVAIGVISWPLAYLGKFIPVPVRPLQDYFKPRCCRRARRDEEEGRQT >KQL01919 pep chromosome:Setaria_italica_v2.0:VI:28074379:28075664:-1 gene:SETIT_013903mg transcript:KQL01919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRAPRPPNPAHGTTTLTISTLNPPAMLPAALLPSPAGRLLAPAPLRCHSPTQQSSTAAARGLILRGAGSPVVKRVPDGGGWLLWHQSGARVALATSPDGLRWSAPVSPDPLLPSEDWWAFDTAAARPSDVLLISGPAASSRRFPSSAVYWLYYTGSTDGRFGSAFPEADVPALPGLAISQDGRNWARIEGDHHTGALLGVGEEGEEPRGWEARCIAAPKVVMHADGDLRMYYHSFDEMSRRHAIGLARSRDGIRWTKIGKVLEGGRAGSFDECGVRHGHVVRDRAAGRYVMVYDGVDADGKVSIGMAVSEDGLKGWRRSSEMPVLCPSEEGEGWDGAGVGSPYLVQMDGAYDWRLYYMGVGRNGEASIGMAYSEGQALQKFEKCDAVLM >KQL02638 pep chromosome:Setaria_italica_v2.0:VI:33664891:33669248:1 gene:SETIT_013420mg transcript:KQL02638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRGAGTTAAAAAAAALAVLLAAAAAAAVRASPAEGFQPLSKIAVHRTTVEMQPSAYVQATPSLLGDQGEDTEWVTVKYGQTTPSVDDWIAVFSPADFISGTCPNPSRYPGEPLLCTAPIKYQYANYSANYIYWGKGHIRFQLINQRYDFAFALFTGGLENPKLIAVSEPISFKNPKAPVYPRLAQGKTHDEMAVTWTSGYDISEAYPFVEWGAVASGAQPARTPAGTLTFNRGSMCGEPARTVGWRDPGFIHTAFMRDLWPNKEYYYKIGHQLQDGSVVWGKPYTFRAPPSPGQNSLQRVIVFGDMGKAERDGSNEFANYQPGSLNTTDALVRDLENYDIVFHIGDLPYANGYISQWDQFTAQVAPITAKKPYMIASGNHERDWPNTGGFFDVKDSGGECGVLAETMYYYPAENRANFWYKVDYGMFRFCVADSEHDWRKGTEQYKFIKHCLSTVDRKHQPWLIFAAHRVLGYSSNSWYAMEGTFEEPEGRESLQKLWQKYRVDIAFFGHIHNYERTCPIYQSQCMTNEKSHYSGTMNGTIFVVAGGGGSHLSDYTTAIPKWSIFRDRDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTIDRDYRDVLSCVHDSCFPTTLAT >KQL00639 pep chromosome:Setaria_italica_v2.0:VI:3683267:3684262:1 gene:SETIT_015797mg transcript:KQL00639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFGAIYPAPGRPADDARSLPPSAGATTPADSTRTSSSFDGRASYDAGQQQHPPARAPGHSLEVPSRRHRAGRHGGASRPARLFQKLRHALPVLTTLTPRCGRLQVGTPAEVAAAGAAASSSSSASSSSCSRLVPKGASFSGASRPCRRVTGTLYGHRRGRVVLALQETPRCLPSLVVELALQTHALLRELGNPAGARIVLETERRPAPGPDADSGGARRKRYAPPLLDEPAWTMFCNGKKTGYAVRREATDDDLTVMETLRAVSMGAGVLPGTRCSSPTPDAAAADDEVPYMRGCFDHVIGSRDSESLYMIAPQGGGTGPELAVFFVRL >KQL02245 pep chromosome:Setaria_italica_v2.0:VI:31174406:31176099:1 gene:SETIT_014231mg transcript:KQL02245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRPSGAVSGGGGSPGLLPIVQSDDGFGGVGVEEADEDMVLCSGGVGACGGGEKKRRLSTDQVRALERSFETENKLEPERKARLAQDLGLQPRQVAVWFQNRRARWKTKQLERDYAALRHSYDALRADHDALRRDKDTLIAEIKELKAKLGDEDAAASFSSVKEEPAASDVEPPAAAAAMAAQGSSDSDSSGVVNDAEMAPEKAHPPAAAAGAEAVVPCGVASSLALHHGEVFFHGHLLKVEDDEAAFLGDDDAACGGFFADLQPPSLPWWTEPTEHWA >KQL00959 pep chromosome:Setaria_italica_v2.0:VI:6877587:6882244:1 gene:SETIT_013523mg transcript:KQL00959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKQPTPFSPLSPTLRAPSRPASGTTRVWPPPPTSWPRRRRSRGGGPSPSFCLPRPSPAGSRRPPTKSPSCTVTEAVGGYWVGAARPGRLARCLRGTGRFRATKEMEALHVGQNDEIKENLIKQDKVASSTSAVLASKSSPPEDDDDEAQADGPSQDGAPEAVKKKKKKNKSKKKKDPLQQTDPPSIPVDELFPSGDFPEGEIQQYKDDNLWRTTSEEKRELERLQKPMYNSVRRAAEVHRQVRKYMRSIIKPGMLMVDLCETLENMVRKLIKENGLQAGIAFPTGCSLNWVAAHWTPNAGDKTVLQYDDVMKLDFGTHIDGYIVDCAFTVAFNPMYDPLLQATRDATNTGIKEAGIDARLCDVGAAIQEVMESYEVEINGKVFQVKSVRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGFVREDLECSHYMKNFDVGHVPLRLAKAKQLLGTINNNFGTLAFCRRYLDRLGETKYLMALKNLCDNGIIQPYPPLCDVRGSYVSQFEHTILLRPTCKEVISRGDDY >KQL01755 pep chromosome:Setaria_italica_v2.0:VI:25558339:25560807:-1 gene:SETIT_014701mg transcript:KQL01755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLKSFLNSPVGPKTTHFWGPVANWGFVIAGLVDMNKPPEMISGNMTAAMCVYSGLFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWARAQGYLEKKEPEAQQ >KQL02997 pep chromosome:Setaria_italica_v2.0:VI:35716245:35718070:1 gene:SETIT_013886mg transcript:KQL02997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAGEGRRVCGMPEKAQLHVAMLALQFGYAGFHVVSRLALNMGISKLVFPVYRNIIALCLLVPFAYFLEKKDRPQLTLNFVVQFFLLALCGITANQGFYLLGLDNTSPTFASAIQNSVPAITFAMAAALRIEKVRLDRRDGVAKVVGTLACVAGASVITLYKGPTIFGPSSGGDKQLIMQQLVAGPELAMGLSKAVEGEMKNWTLGCVYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGVIQFLIIAAFMERDADAWKFHSGSELFTILYAGFIASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASLTLGEKFYLGGIIGAALIIVGLYLVLWGKSEERARLARDAAAAVVIMPPSSDAGATARSANKLTQPLLLPPSTENNV >KQL03013 pep chromosome:Setaria_italica_v2.0:VI:35782158:35785119:-1 gene:SETIT_013714mg transcript:KQL03013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENGSSSSADETSTVTVTGTRTVAPAKSRCALATFDLPYITFYYNQKLLLYRAAAAGPLDFPDAVARMTAALADALRVFNPLAGRIRQDADGALAVEGDEGAEVLEAEAQGVAVDDLARGDCDEEAQRVMQRLVPYTGVMNLEGLRRPLLAVQFTKLKDGLAVGCAFNHAVLDGTSTWHFMSYWAELSRTGGGKEASSQQLPPQPIHERSLARSVRVRLDLPESAEAHEKTDPNGPKKALVARVFSFPEPSVARIKAAANAALPPGAKPFSTFQSLGAHIWRAVSRARGLGPADITAFAVFADCRARLDPPLPPAYFGNLIQAVFTGVPAGMLLGGPPELAAGLLQKAIDDHDAAAVTRRLEEYEAAPKLFHYSDAGPNCVAVGSSPRFKVYDVDFGFGRPERVRSGGNNKFDGMVYLYPGRGGEGGIDVELALQPEPMQRLEKDADFLLLSA >KQL01039 pep chromosome:Setaria_italica_v2.0:VI:7513634:7516106:-1 gene:SETIT_014049mg transcript:KQL01039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATASGSTYCCNTLLHLPRPTHFRRISPPPTHRLELSLSLSLAARFATCRAAAVESGTARFGSRARASSGPPPPPVFETVEEEEEEEERGWSDAEAEFSDGVEDEQEWAGGNGAARGEDLGADAGEDLSGWTRQWPRPRELFVCNLPRRCDVEDLLQLFRPHGTVLSVEVSRDTETGISRGTAFVTMRSLAEARTAINALDGFDLDGREIFVKLASDVISNRKNVNLTHITPTKDHIFESPHKIYVGNLAWSVQPQDLRELFTQCGTVVSTRLLTDRKGGRNRVYGFLSFSSAEELEAALKLDRTVFFGRDIVVKAAHVEVKAAHVERQSP >KQL01203 pep chromosome:Setaria_italica_v2.0:VI:10948522:10951959:-1 gene:SETIT_015555mg transcript:KQL01203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQQQQQHEVTGGRRAGRETANGGHSAAAADMPAGGHRTERAERPGAGHRVERAETYATHAAERAGDARRGAAAAEMPARRTERKKSLESFLDAPDNARGGQHQHKHRGGGGGGGGHVPVRPVPAPGEKVMNFPGQGLEFKELSYSVIKKQKKDGVKVKKEVYLLNDISGQALRGQVTAILGPSGAGKSTFLDALAGRIAKGSLEGSVSIDGRSVTTSYMKQISSYVMQDDQLFPMLTVLETLRFAAEVRLPPSLSRAEKLKRVWELIEQLGLQTTAHTYIGDEGIRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAHSGDIVLMTIHQPSFRIQMLLDRIVILARGRLIYLGSPITLPTYLAGFGRPVPDGENSIEYLLDVIKEYDESTLGLEPLVSYQRDGSKPNEAAKTPIPKTPRTPYQKSVQFRQIQLKSNQFSVTTATPHAHPFSNFESYNIDEEEENFDNSLERKSQTPLHTGTSTYHPRLASQFYKDFSVWVYHGVTGTPHRKPTWTPARTPARTPMSSYQRSRVATPHHQPPPSPHEPVFKPEEPTYHEYQLDLEPLDAPEDGPKFANPWLREVAVLSCRTALNVVRTPELFLSREIVLTVMALILSTLFHRLSDANFTTINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRERSHNAYRASSYVISSLIVYLPFFAIQGFTFAVITKFMLHLQSNLVNFWIILFASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRTNIPIAWRWLHYISAIKYPFEALLVNEFKGSRCYVGTQNELSPGPLGQIKPSDLHISLNLNLTTCPLIGQDVLSTMDITIDNIWIDVAILLAWGVLYRLFFYVVLRFYSKNERK >KQL00842 pep chromosome:Setaria_italica_v2.0:VI:5601055:5608028:1 gene:SETIT_013445mg transcript:KQL00842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPPRTVSNGARESRLTRLTRQRVRTLRATSASCRGGVALPRRRWHVVGSSLSPSDSVWPVATVGQKPVILRPSSQYTRGPRSKPAHGAGPLCFRLAQIYTRGPRSKPAHGPPLPKSTHAGVVDVAATRRDASIGPSPPLRLSTTRAPCLAAPPALCFFPFPARLRSHHPRASSERRSENMGGEDDAPAVRAEESVSGGVDVWSDAVSSHDPDHLLVMVHGILGSTADWQYAANEFVKQLPDDVIVHCSEKNSSMLTLDGVDVMGERLADEVLDVVSRRPELTKISFLAHSVGGLAARYAIAKLYRHPDGVSDGNTKGTICGLEAINFITVATPHLGSRGNKQVPLLFGSLAMEKVACRVVHWIFRRTGKHLFLTDDEEGQPPLLQRMVEDHGDLYFISALRAFKRRVVYANADCDHIVGWRTSSIRRNTELPELAVSSSEKYPHIVHEEYSEEIDDEKCQDSMTDCNLDILEEKMVTGLRRVSWEKVDVSFQSSITSFAAHSIIQVSTIGFAPFTIFLHVLICNNPAVQPCEIIKTFPPLVVTSGEVRVHERWSGRDTAHHRSLPALRMSPLAVVGEKVFFFLVDTRHVRSLGVLIQ >KQL00843 pep chromosome:Setaria_italica_v2.0:VI:5601055:5608032:1 gene:SETIT_013445mg transcript:KQL00843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPPRTVSNGARESRLTRLTRQRVRTLRATSASCRGGVALPRRRWHVVGSSLSPSDSVWPVATVGQKPVILRPSSQYTRGPRSKPAHGAGPLCFRLAQIYTRGPRSKPAHGPPLPKSTHAGVVDVAATRRDASIGPSPPLRLSTTRAPCLAAPPALCFFPFPARLRSHHPRASSERRSENMGGEDDAPAVRAEESVSGGVDVWSDAVSSHDPDHLLVMVHGILGSTADWQYAANEFVKQLPDDVIVHCSEKNSSMLTLDGVDVMGERLADEVLDVVSRRPELTKISFLAHSVGGLAARYAIAKLYRHPDGVSDGNTKGTICGLEAINFITVATPHLGSRGNKQVPLLFGSLAMEKVACRVVHWIFRRTGKHLFLTDDEEGQPPLLQRMVEDHGDLYFISALRAFKRRVVYANADCDHIVGWRTSSIRRNTELPELAVSSSEKYPHIVHEEYSEEIDDEKCQDSMTDCNLDILEEKMVTGLRRVSWEKVDVSFQSSITSFAAHSIIQVKYAFMNDGADVIQHIIDHFQL >KQL01370 pep chromosome:Setaria_italica_v2.0:VI:18064029:18069205:-1 gene:SETIT_014392mg transcript:KQL01370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGQGTSQEKAILEVNLEAAKQIARELRLRDIGGIIVVDFIDMTDDSNKRLVYEEMKKAVEKDRSTVGVSELSKLGLMEITRKRVRPSVTFMISEPCTCCHGTGRVEALDTSFSKIEREICRRLAASRRKSDPEKPKSWPRFVLRVDHEMCTYLTSGKKTKLGLLSSSLKVWILLKIARGFSRGAFELLPYSEEKENGEEKETSSESPQKEGSPKLSVFPIKKWMSRAKRAK >KQL03064 pep chromosome:Setaria_italica_v2.0:VI:36009261:36009497:1 gene:SETIT_0143861mg transcript:KQL03064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALKGNMRVFCRVRPLAAKDNPNDQKLIIFPESLEYSGRGLQVVHNGKYTFQSSRIFQNH >KQL01495 pep chromosome:Setaria_italica_v2.0:VI:20572400:20574524:1 gene:SETIT_015279mg transcript:KQL01495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNDLIRQERNADEARGKAIHETRRQYVQFEDLPLDVLYSIVSRLPPKEYARTSVLSSSLVCNCNTDDVHLHTDEFIHKVNAVLQKHQDMVVETLEINDGSISRLQHMQLSFVYLKLPLQFKGFPNLKKLYIQVVHASRKDLEHVLSHCCKLEWLRIDRCNINDELTVDGPLPHLLYLYVENCKLTEIKFHAVNLATFKYEGAFIPIDLSHSSKLQNAYFRLNEAVFQHALISLLKGLPNVQNLTLRILWQHLEKHWLWDSPLKFSNLRHLQLFMFSYSEHVDKILYLVSFLRATPFIEKLDVHVRFKAARGQVELLLHVVENAPALEGITVDTKQRKPPFEEARRIAKESLSTISLPQNARLCVI >KQL02738 pep chromosome:Setaria_italica_v2.0:VI:34284229:34287988:-1 gene:SETIT_013255mg transcript:KQL02738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSALEESQLIGRQKEKADIIQLISNPSSQGLSVISVWGMGGLGKTALVKDVYESQKQVGIFEKHACVTVMRPFILKEFLENLIMQLNAQSSGKKGAIDVGHGTRNTVAMMRVEALIKELARLLEGKKCLIVLDDLSSIAEWDNILGSFPKLDSSFRILVTTREESIAKYCSEKLENIYKLKVLEYKDAQDLFTRKVFKEAKDLDKHPELIKEAKMILKKCNGLPLAIVTIGGFLAKQPKVAVEWRKLNEHISAELEMNPELGAIKTILGKSYDGLPYHLKSCFLYTSIFPEDHKVSRRRLIQRWSAEGYSREIRDKSLEEVADNYFMELIERSMILPSQLSVNSRKGIDSCQVHDLMREISISKSTEENLVFRMEEGCSSNTQGTVRHLVISTNWKADKSEFENKVDLSRIRSLTVFGKWRSFFISDKMRFLRVLDLEGTSGLVSHHLKHIGKLLHLRYLSLRECKGIFHLSDSLGNLKQLKTLDISGTLILKLPKTITKLKKLQYLRAGTVGKDDDSLKVFFEELPKVVNNRPCFCMGWLLGFCVACCALQLFKEATDGDDDMNRCDVFTQCCCVMLPFLMVKEGATWMPRGMGKLKSLRTLGLVNLDKAILRDIKGLTQLRKLAVTGINKENSQELCSVVANLSCLESLLVQACGMPGLHGCLDGLTSAPKNLQSLKIYGNLLKLPGWVEELKNLVKLVLRSSRILEHEPALQVLGKLPNLVSLRLWAKSFQVDDLRFTFHPEAFPSLIVLELNDIDGLKSVEFEEGAMLRLERLDFCGKLEETNTGMFSGLPLLRSLKEFMLDSKTYEHAFMEDLQGQLGANPNGPALKMW >KQL00547 pep chromosome:Setaria_italica_v2.0:VI:2931840:2933091:-1 gene:SETIT_014259mg transcript:KQL00547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLSVAAYISPPSPCPKHQQDIHNQKQLNSLLQRAQTQKLRQTQMAASYFLLTAFLAMVTSQAIASDPGPLQDFCVADIHSPVKVNGFVCKDPMAVNADDFFKAANLDKPMDTKKSKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNKLFAKVLNKGDVFVFPQGLIHFQFNPVYDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHN >KQL00306 pep chromosome:Setaria_italica_v2.0:VI:1223782:1224153:-1 gene:SETIT_015430mg transcript:KQL00306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRLLVFTTFCPFSAPKSQQQISPSSPTPYLSRRPSTSSRTYQFESEAQVARMAAAACCGLEEETVVGEQKAPGACPRCGGAVVATDVESARRVLCCLPLCVKNKRKFSCARCRRSLAALYVHA >KQL01613 pep chromosome:Setaria_italica_v2.0:VI:23196727:23198219:-1 gene:SETIT_014258mg transcript:KQL01613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPMLTALLVLFAFGQQSHAFYYKYSLPASSVAARATEELADTKMAVFFREEALRVRQSLPFRFPAAVTAPLGFLPRHIADAIPFSSSALPGVLAQFNVAEGSAQAAKMEETLGMCEDPGLEWEAKFCATSLEALVEGAQGVLGTRSITEMISRVPRAGSPLQPYTVRTVRPVRGSSFVGCHQKEYPYTVYMCHSTGPARAYMVEMEGAGGDKVTLFSVCHTDTSEWDKDHVAFRFLGTKPGGPPVCHVLPYGHILWAKKYAGLSSA >KQL02413 pep chromosome:Setaria_italica_v2.0:VI:32340095:32348197:1 gene:SETIT_013339mg transcript:KQL02413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAADGGASFTAAGEELLRGRVREKLRELMMSEPDTSLLDYVMVLLKNRRCKEKAIKELHVFLGDDSEAFVSWLWEHLSLHLHLYVQAQEQNQQLKDDEAPKEVSEAPKEVAGRPKSSDALPESKGQTHSVHTTESSTATRGRNKREWKGIGRDGNENFPLRSVLTDILHGEEKRTQKSNEIRHPLSKQQNGRKRERDDEPQQTKRDVSSRPMIGGGASRRLLQFAVRDAVKAVQPTSSSSEPASKRLRSVVSTTSAENMHDRRSERSQDNLSDRTSARSQDNPSDRRSARSQDNPSERRSERTRPVLQLQGAALALRAAAEAAADSTKVRSTGSVFKRLGHGNVVKQPSRSREEKRDYEDFEPVMAVDERDSDRYVNNEESEEESGELTIADRVAAMNVDLSSEDDMERDEGISRYQTCVSPDGAFSSFEDKKALSAKCSGELETDAIRPSGVIDKERSIPSSTETASKAVPISVDVNTVVPLSYETPKDVHVVEKPYTPMNSNATSVATNAKESGHAEVQKDSQRAAPSVAVSYSTAHPTEDADSRTLYVSNVHFAATKDSLSRHFNKFGAVLKVVIVTNAATGQPTGSAYVEFLHKESAERALSLNGTSFMTRILKVVRRSSHEAAHFYGWPGSGRSSLYGRHSRMAYPRAVLPGGSFRGRAPMKPGARSLQWKREPSGTDSGTKTDMSVPLSSEQVLPPAT >KQL01786 pep chromosome:Setaria_italica_v2.0:VI:26094426:26095257:1 gene:SETIT_015617mg transcript:KQL01786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSEASAAAPPRTPPATNAAGTGAAAALRGRSFTVFEAAAAPPHAPPPARATAAAALRGVLARVADALVLVFCCFSWANIAANGAAVAARWACGEDSRAPAVAKEAADAAFLAMAVLIPVAGPRLFWIIERWPKAGERQSGSGVTRRSDVQLGRTASGGGARPCQNAGPASVTLALVVVYFCVPVMLVGQLIQAHAPAMGSYQEKFGSAISSMATLVATLVLCFFLIPGMITQLRRYG >KQL01616 pep chromosome:Setaria_italica_v2.0:VI:23262265:23262379:-1 gene:SETIT_014874mg transcript:KQL01616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPLLAQAELLPAGNRGYRERQAAIAAELDLTVDSSP >KQL01995 pep chromosome:Setaria_italica_v2.0:VI:28859686:28860114:1 gene:SETIT_015178mg transcript:KQL01995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLEEDGDKKEAAAGTLARLVGRGGLSPVEYGCCRPPASCNFTYAGGTEWTKPRPRGGAPPAADPDCGKWDNDDDKLCFGCRSCKAGVEGALRRDWKRAAIVNAVFLAFIVAVYAVACCAFRNSRRDNFAYHSSREWKQGGDA >KQL01684 pep chromosome:Setaria_italica_v2.0:VI:24740929:24742828:-1 gene:SETIT_014425mg transcript:KQL01684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEAAAAPAPAAAGEQGLEIYPLSRYYFGAKDAAGAPRGVETAADRALRLKANFAAHGLRTSVHGVLLVELFGHPHVLLLQVRNSSFVLPGGRLRPGEEELQGLKRKLSSKLSILDESEGDAEDEDEDDWQVGECIGMWWRSEFEAIPFPYMPPNFRMPKECIKLFLIRLPMSRQFIVPRNMKLLAVPLSQIHNNAQVYGPVISGIPNLLSKFALNVISD >KQL01958 pep chromosome:Setaria_italica_v2.0:VI:28486277:28489612:-1 gene:SETIT_015811mg transcript:KQL01958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRLLPCLLLLVAALTAAADAESTTTSCPDSCGGMRIQYPFGIGAGCFRKGFEIVCDGGRPVLAGATAPIPVTHLSIRTAGVRVMLPVGWECFNASDEVRAWSDGDVRLNRDDADAYRVSSAHNQLVVLGCNTLGYIQGQRDEGNDYSFAYYTGCLSFCNDSGSAADGACAGVGCCRVDVPPGITDNKMNFRAYNHKERLGYSPCDYAFFVDRENYTFHTADLRMEINRTMPVWLDWAIRDNLTCDEAKKAQGYACVSSNSECHKSFNGPGYVCNCSMGYEGNPYVVDGCTDINECERQEYPCRGVCRNTLGSYECKCPSGFHSADPFKEPCNLKFPLGVVIASGAAGCLFIISVVVFVWLLRKEKRKTKEYFQKNGGPTLEKVTKIKLFKKEELMPILRSNNLIGEGGFGEVYKGLLGDEPVAVKKPKNVNLADQFTNEVIIQSRVMHKNIVKLIGCCLEVDIPILAYEFVPKGSLDDILHGSREPLDLDQRLDIAAQSARGLAYLHSDTITTILHGDIKPANILLSDDLVPKISDFGISRMITVDKKYTRNVIGAVSYVDPVYLQSGRLTSKSDVYSFGVVLLELITRKKVTDSNNLLRNFIDAYTKDKRVIELVDSEIATTENMELLHSLAGMIVECLDLNIDQRPEMIDVAENLRAMLKGSRSKTNEI >KQL02260 pep chromosome:Setaria_italica_v2.0:VI:31255018:31256789:1 gene:SETIT_014062mg transcript:KQL02260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAAGAHEDSDEPPRRSALKKSAPAQPWSDPETLHLIDAYDERWTALGRGQLKAQQWEEVAADTAARCAATPGLAVQRKTGTQCRHKLEKLRKRYRTEAARPVTSLWTFFRRMDQLERGPNAASSAYPAAGGSPPAAASDEEEEEEEDEEENQEDEEEEEEELIPRNNTRSINGIIREFGSGLAPRHPQLQLQQQQQPPPPPSITPSTAPPRKRVAYEAFQAKAAAAAAAAVAADKAKEEEVELVRRRPSGPGGASAQLSAVLRDFSDGVMRLERRRMEMQWEIERGWQETEARHAQMLQDAQRQLRDAIAAACSLPPKKARRDYGSSGDS >KQL02666 pep chromosome:Setaria_italica_v2.0:VI:33854885:33858640:-1 gene:SETIT_014123mg transcript:KQL02666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSRFGPFGETVSTFEPNALHAQRLGPTFGLRPILQIQDMRPGRPSLSVLLREFSSESIALLLPPPGRWKRRPDRRRRPATSLTPTGRLCAISNRSWQHNMGVPSWRAVCLSVLCAVLFLRPAAGIRFVIDREECFSHNVEYEGDTVHVSFVVIKADTPWHYSEEGVDLVVKDPNGAQVRDSRDKTSDKFEFIVQKRGVHRFCFTNKSPYHETIDFDVHVGHFSYFEQHAKDEHFAPLFEQIAKLDEALYNIQFEQHWLEAQTDRQAILNENMSRRAVHKALFESAALIAASVIQVYLLRRLFERKLGTSRV >KQL02284 pep chromosome:Setaria_italica_v2.0:VI:31455271:31456482:-1 gene:SETIT_014222mg transcript:KQL02284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSIHHPLLAAGEIRHRTLDPSSSSRSASYCADDAFVPVFRPDPSAPSASAAAAAVDRVRSLFSSVDVALFRGALLAPAGEDLGFTEVDAEPDYDGDLTSICWDCLEIEDADEPDLPLVVGSPAEEFEWEEVASPSGAGGEPPEPEWEVLADVPPPADADAEEEGFVYTSHRGMEEVLVAGGDGLFLKSKPPAARLAVESLPSAVVAAGEEGEGDECAVCKDGVAAGQRVKRLPCSHRYHDECIVPWLQVRNSCPLCRFELPTDDPEYETWKAGRTVAA >KQL00340 pep chromosome:Setaria_italica_v2.0:VI:1486925:1488851:-1 gene:SETIT_013694mg transcript:KQL00340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTMVGSCERARGFKATIFFVALYLVALGSGCVMPNMTTYGADQFSGGGAFEKDAKRLSTYFNLSYFGFCAGELVALTAMVWAQTRYGMDVGFGLAAAALGAGLISLVSGVVFYRNKPPRGSIFTPIARVFVAAFTKRKQICPSGSSNPANGGAGDPAAPVDDNFRHANKFRFLDKACIRIAPEPDTEPESPWRLCTAAEVRQAKTLLAVMPIVACTVVFNTVLAQLQTFSVQQGSIMDTRLAPGSSSFAIPPASLQAIPYAMLLALVPTYELLLVPVMRRLTGTRSGITPLQRIGVGLCVVALSMAAAALVERRRRDASVSGGGRLSVLWLVPQFLVFGVSELFTNVGLMEFFYKQAAAGTMQAFFMALFYCSFSFGFFLSSVLVSLVNRATARGGRRGWLGDNDLDKDRLDLFYWVLAGLSVLNFFCYLLCARWYNSGAGGDPDEASSGEVVSEDDDDGKGLI >KQL00259 pep chromosome:Setaria_italica_v2.0:VI:832817:834588:-1 gene:SETIT_013688mg transcript:KQL00259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGATVEVQIVSRRVIRPEPATSPDGGPPEPEIMHLTPWDLRMIAVDYIQKGVLLPKPQTGGEAAHLVDSLASSFASALDRFYPLAGRLTVAEATDGGVPRPGIVVSLCCNGEGAEFVHAVAPEVTVRDITAPVYIPRVVWSLFPLNGALGTDVSLPVLAAQVTELADGVFVAMSLNHGVADGATFWHFFSTWSEISRSGSSDAGGELSTPPPVLERWFLETSSVPITLPFGKLEDIVRRPEYPPVQECFFNFSAESVRKLKARANAEMAGTATATSTISSLQSLLAHTWRAVCRARELAPERETTYTLLVGCRGRMKGIPRDYVGNTVAFAVARCTAGEVVEKGLGWAAWLMNRAVASFDEATVRDDLASWPQDPRFLYVKPPREAAATIITGSSPRFDVYGNDFGWGRPVAVRSGAGNKTDGKVTVYAGRGGAGSMALEVCLEPEVLARLVADEEFMEAVSAAATA >KQL02201 pep chromosome:Setaria_italica_v2.0:VI:30852914:30854172:1 gene:SETIT_013857mg transcript:KQL02201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPARRREAERSMSIAEKLLMARDLEGCKQFVAEALSADPRAPGGDDLYAAADALLAAQRRRLPSGAPDPYAVLGLDSAVPANRDPDVVHSHYRRLSLLLNRSHPDRPCSHAFADAARLVADAWAFLSDPLRKASLDSDLDAAAAAANAAATAKAAAAAASAAARVPAAPSPEKQHQPQLPPPPPQPASPPPAPQPRQTVSATPPSKRGRPPRAAETPPAVERNQEGVAPQAPPFWTACPSCCHLHQYDRSYEGQTLLCPSCHQPFAGTAMSTPPPIVPGTDMYYCSWGFFPMGFPGGPAFAGPVSSPGQQPPSALGFYPMGPYLPLPGQGGIVEGNTAVGAGSGIPVTPTVTAPVPVTPTVAAPAPAAAMPVNSSHQKVEARKRGRPKGSKNKKVVIEIS >KQL00550 pep chromosome:Setaria_italica_v2.0:VI:2963789:2964653:-1 gene:SETIT_015798mg transcript:KQL00550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNFFLLTVLLALAASGAIASDPSPLQDFCVADKDTHVRVNGLPCKDVKDVKVDDFFLAANLDKPGDTTKSKVKSNVTLINAMKLPGLNTLGISMARIDYAPQGQNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKFFSKMLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITISNAVFGSKPPIADDVLAKAFQVDKKVVDWLQAQFWEDNHN >KQL02860 pep chromosome:Setaria_italica_v2.0:VI:35007397:35009292:1 gene:SETIT_013413mg transcript:KQL02860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLRASLARPIQLAEQLIKWADEAQACRSECQNLKSRIERVTTLLRQAARAELYERPARRILEDTVRALDKAAALLERCCCGGLLRRVLTIIPAGSFKKAASLLDNSLGDLTWILRVSSYAAADDDGDDQDDEDDHIGLPPIAQNEPILFLIWEQIAVLQYGGLEARADAAASVVSLARDNDRYGKLIIEEDGVPPLLRLIKEGRADAQESAALAIGLLARDPECVDLMILAGVCTSFVKILKEAPMKVQGMVAWAVSELAANHPKCQDAFLQHNVIRLLVSHIAFETVQEHSKYAVASKMSIHSVVMDKKTNDTSSQDPPDATAAKPVVGCSGTAGTSSSSAAAPGPSSARPTSLAGTRVHNASMSAASTRGRESEDPEIKAYLKSHAARALGTLATGNPAICKNITESRALLCFSILLEKATCDVRYNSAMALMEICRVAEQNPELRRSAFKPTSPSARAVVDQLLRVVEKADYDELLVPCITCLGCLSRTFRATETRVIGPLVRLLDEREAEVSLEAAAALTKFASTENYLHVDHCKAIVAHGGAKHLVQHVYFGEQAVQTAALILVCFLAHNVPDSEELVQAEILTVLDWACKQGYMSQDPLIESLLPEAKIRMELYQSRVAKGYY >KQL00464 pep chromosome:Setaria_italica_v2.0:VI:2304979:2311899:-1 gene:SETIT_013241mg transcript:KQL00464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVAVVGGGLSGLAAAHELARSGGARVTVYEKEEHLGGKTMAVDDGAGGRVPADLGCMVFNRMTCPNMMRWFEDLGVEVETSDMSFSASLRLDDKGGGFEWGSHNGISGVLAQKSNLLSPRFWLVIREIIKFKNHALKYLEEDHGRNPDRNETLGQFIQSHRYSQLFQDAYLIPLCSCIWSSPPQGVLGFPALSVLSFFRDNHLLELFGRPHWHTVKGGSVSYVNKVREELESMGCQIKTGCEVSSVSKFNQVYRILEVDGSEEMYDRIIFGLQAPDALKVLGAEATHEELRILGAFQYIYSDVYFHCDESLMPHNFYAWSARNFLGTSSGVCVTYWLNIVQNIESSRPFLVTFNPPRAPNHVFLKWHTSHPIPSLASAKATVELNNIQGKRGIWFCGPYQGYGCHEDSVKAGKVAASELLQRKCNLLVNPKPMVPSWTEAGARLLVLKRFDQYINIGNVSIREEGGTTFSFGKACERCHVKSVIQVHDPQFYWKLVTEADLGLAYAYINGYISFSDNREGLLNFILINFANRGERKRLMRSSASKSSSIRKGWWSPWLRITGIACAKYILRHASRNNSVSKAAKNISKHYDLSNDFFALYLDPSMTYSSGIFKAEDESLEAAQLRKINSLINKAKVESGHHVLDIGSGWGTLAIELVKKTGCKYTGITLSEEQLKYSKRKVKEAGLEDRISFLLCDYRQIPTDQKFDRIISCEMIEHVGHEYMDDFFGSCEYHLAEHGLFVLQFIAIPEELYDKMRTRPEFLKEYIFPGGCLPSLARVVSAMTNASRLCIQHVENIGDHYYPTLMHWRDNFMANRKKVAALGFDEKFMLTWQYYLTYCAAMFRSRTLMDYQIVFSRSGDAKVPSYVAIA >KQL00814 pep chromosome:Setaria_italica_v2.0:VI:5255676:5256834:-1 gene:SETIT_015217mg transcript:KQL00814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVSVSIPAAASPMENMSDDKIAIVIPHRSPSNKILPLGFQLQQHEPSPHPPPTGFAERVALPLIKKVAAELLGTFLLVFTVLSALITDEAHGGALGLPGVAAAAGMAIVVLVSSLAHVSGGHMNPAVSAAMAAFGHLPRPHLAPYVAAQLLGSTAASFAAKALYDPLNMGATVATVPTIGGAEAFAVEFATTFVFLFVVTALATDPKAVKEMVAVGAGAAVVMSALVSGKWTGASMNPARTLGPAIATGTYAKIWVYMVAPPLGAIAGSGAYHALK >KQL00227 pep chromosome:Setaria_italica_v2.0:VI:663394:664758:1 gene:SETIT_014363mg transcript:KQL00227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDGVGGAGGGAASGASGGATAARRRRRRGEERRLAMGRGRKARGVADRSEGKAADLSQGKEDSRSKRGREREREAMTAVQVSSLTEAFDQVVARRSLIVQSLRPCDAAALLKMVELQAGFQEEEGEEVCVIKNKEAVMKVEESVNILSLLMSTVLPKLREYPTDRPLLEALCRYKEDMKKSAADAGGSYCTDINVFASRVTEYIDKALELPDPAALVRLIDDLEGQGRRILDTTYTINHN >KQL02584 pep chromosome:Setaria_italica_v2.0:VI:33367964:33376761:1 gene:SETIT_013136mg transcript:KQL02584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHLQQQLGTSMSDDGDHTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTRYDKDRIVKMTATCERTVAEKNAEKKHKTQKVKPKAALAAAASTTTSTVEAKKHLIGVRVIQKNLVYITGLPAHLCNEIVLERREYFGQYGKVLKVSVSRPTGPPSQQASANNNISVYITYAKEEEAIRCIQTVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHEVGGQEDSFTKDEIISAYTRTRVPQMASSVSQRRAGTVLPSPADDFSYSAVVSAKHTVKNGTLNTTNQPRLSPPNSSSGRSTLPPAASWGHRDLNARTTANGVTPSQSHTKSKSEPQINSFSSSLTISSTIMPSSWNDDTNTSTAPKISEGRQVSQQDSTSKTIEPYKPGIVKETHALSSLDIDFSTIPSAWNDDDIVVSDGMSKGSKEIQAAKENGKLTHLASKSPMSPKKDTTVNITSKSPSDLVSSLAILKSDVKTGDSDSSVSNITPKSPTSNDVNCQSSLAANEKILEDIGPPREIDTEKLSVQISSITLDGNDEAHSMAGNHQPDALPRTSVTVPIGQNFDRDRSYMKLDGLLSLENKDTLLSCQYGSDKHLDWTSEQQSCSASPLNDMVNSTAITDKLHGRLMDGSDQPSYSSFARFPNTVATSLWNDTESNPTLMIGTKPSEMQTGFSSINKTHALLSGCQDGLGTVCTPGNVSGHPGMGSHQPGAMGSVRTDSVGSFDKTASVNKDESRIISDMLSSEFNLWDDSFSSANSFVRMLRESENNDVPFTLPSWKSGSGSRESRFSFARQDNQGNLDSSLRNCGSDQNFSLLPQISRGNFYQNGHAFQSLENDVHNSNSLAVSDMATTGSSRSKISAPPGFSAPARVPPPGFSSGFPSQDGLNPPPGFSSGISSQEVSKNPPRLPSPFSSGFSSQDGPNPSYRSFSAFASGLPSQDGPNPPSRFPSAFTSGFSSHDGSNQVYRSTYPETLLRDNVLGGNSNHYQAPFGRHTSDIEFNDPAILAVGKGRMPGIGDSGLEMKNTPAFPAQLQTPNNDPRFQLRMQPNVQSHQNLRFADHMQDAFNPMNDNYLASKFLQQNHGPVSPYAQMTQQPRNSQLTNGHLDGWSDLRQGNNALMSDMSRMLYPSEVNSLHMLGSNDIYTRAFGM >KQL02585 pep chromosome:Setaria_italica_v2.0:VI:33367964:33376750:1 gene:SETIT_013136mg transcript:KQL02585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRHLQQQLGTSMSDDGDHTCPLCAEEMDITDQQLKPCKCGYDICVWCWHHIIDMAEKEETEGRCPACRTRYDKDRIVKMTATCERTVAEKNAEKKHKTQKVKPKAALAAAASTTTSTVEAKKHLIGVRVIQKNLVYITGLPAHLCNEIVLERREYFGQYGKVLKVSVSRPTGPPSQQASANNNISVYITYAKEEEAIRCIQTVHNFVLEGKVLRACFGTTKYCHAWLRNMTCGNPDCLYLHEVGGQEDSFTKDEIISAYTRTRVPQMASSVSQRRAGTVLPSPADDFSYSAVVSAKHTVKNGTLNTTNQPRLSPPNSSSGRSTLPPAASWGHRDLNARTTANGVTPSQSHTKSKSEPQINSFSSSLTISSTIMPSSWNDDTNTSTAPKISEGRQVSQQDSTSKTIEPYKPGIVKETHALSSLDIDFSTIPSAWNDDDIVVSDGMSKGSKEIQAAKENGKLTHLASKSPMSPKKDTTVNITSKSPSDLVSSLAILKSDVKTGDSDSSVSNITPKSPTSNDVNCQSSLAANEKILEDIGPPREIDTEKLSVQISSITLDGNDEAHSMAGNHQPDALPRTSVTVPIGQNFDRDRSYMKLDGLLSLENKDTLLSCQYGSDKHLDWTSEQQSCSASPLNDMVNSTAITDKLHGRLMDGSDQPSYSSFARFPNTVATSLWNDTESNPTLMIGTKPSEMQTGFSSINKTHALLSGCQDGLGTVCTPGNVSGHPGMGSHQPGAMGSVRTDSVGSFDKTASVNKDESRIISDMLSSEFNLWDDSFSSANSFVRMLRESENNDVPFTLPSWKSGSGSRESRFSFARQDNQGNLDSSLRNCGSDQNFSLLPQISRGNFYQNGHAFQSLENDVHNSNSLAVSDMATTGSSRSKISAPPGFSAPARVPPPGFSSGFPSQDGLNPPPGFSSGISSQEVSKNPPRLPSPFSSGFSSQDGPNPSYRSFSAFASGLPSQDGPNPPSRFPSAFTSGFSSHDGSNQVYRSTYPETLLRDNVLGGNSNHYQAPFGRHTSDIEFNDPAILAVGKGRMPGIGDSGLEMKNTPAFPAQLQTPNNDPRFQLRMQPNVQSHQNLRFADHMQDAFNPMNDNYLASKFLQQNHGPVSPYAQMTQQPRNSQLTNGHLDGWSDLRQGNNALMSDMSRMLYPSEVNSLHMLGSNDIYTRAFGM >KQL00877 pep chromosome:Setaria_italica_v2.0:VI:5941813:5943521:1 gene:SETIT_013997mg transcript:KQL00877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWPDDFRCPITLEVMTDPVILPSGHTFERRSIQRWLDGGHLTCPVTNLPLPPSPPLIPNHALRRLIATVAPSAVAAPVPSEGGAQGRQEAAASAAAVQPSSPVPTLLRLAKSGAAGRREVLESGNAAVLLRHAAAGDEAAARALLLLTLDGDDTRVGLVADGAVDALSSAVRRGGAVAALAATALTSLATVDVNKCTIGAHPLVIPELVGLLRRGGPRERREAATALYELCKLPENRRRAVREGAAPALATLAAAGSARAVEVLGLLAKCREGRQELCKIPGIVAVLSGVAGSGNSRAIEQALLVLNWICSESNELALEAIKLGAFQLCETLVNDDNCKIAKNALELARTLETA >KQL02887 pep chromosome:Setaria_italica_v2.0:VI:35127555:35128262:1 gene:SETIT_015578mg transcript:KQL02887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHQRSTSWPSMAHPNKIEIQEDLHSISSLISTPSATIEVVCDGLRRLGDVYSCINEAICLHSNQVHGKRLEEEMERSLQVLDLCNTVQESFTDLKMTIQELQMVLNRGDLPVAQKAKHHLKKAVSKSTSHEDAWLVSLLITARGIAVLALKSAVELLSKQMATCSASKWSLITKPIQKKRVSCEEVQLQALELGIVGLESSVENLFRNLIQTRVSLLNTLSS >KQL00270 pep chromosome:Setaria_italica_v2.0:VI:893586:896632:-1 gene:SETIT_014732mg transcript:KQL00270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAWWRRKVVPRARRAWAAVAARLRARKPGSGGILKLHEDVQTCGYKDVQVMFDMLTSELEAAAQAQKPPPSPPRKQAPPPVWPGRSSSTIAAAQ >KQL02463 pep chromosome:Setaria_italica_v2.0:VI:32713709:32714230:-1 gene:SETIT_016012mg transcript:KQL02463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPTSRCAYRTTGPRDHVVGGPLGAPSRLASELACAGGPLAFSARTLSRLYWALETCGEDEQRSHRANAFRC >KQL01870 pep chromosome:Setaria_italica_v2.0:VI:27365774:27367253:1 gene:SETIT_014144mg transcript:KQL01870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRQPCCDKVGLKKGPWTAEEDQKLVSFILGNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSDAEEKLVIDLHAQLGNRWSKIASQLPGRTDNEIKNHWNTHIKKKLKKMGIDPLTHKPLSPPQEHQQSPPPAGGSSEAQPPSPPPERNPPEEKAATGSSSEHGDDELILRKSPGFCTDEVPMMHPDEIMVPLGDQPPPPLPALTCAPTAAAAVSTPTTSYSTSGSSSCLTRDVESPFAFMDMGLPEFVFQTTGLEDDMVDDARWHDLLLPPSPAYEDPFDSYQFQRNGALFSSY >KQL00857 pep chromosome:Setaria_italica_v2.0:VI:5694290:5694820:1 gene:SETIT_015447mg transcript:KQL00857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLVLATMATLFIAGDACDNIPSLTWNMTCAMACKTPQLFDVCKEVLKDSPYISAMTVYAVAAATHATKTYDDTVYQMAKIVASGLPGDERAAYLSCTNRYATARIQMEAAIADMNSCQFARTTQEYVEAVAAVKTCGEKLSAGWPLVAAVTADLDVTTVAANLGALIIGRSRSKP >KQL01085 pep chromosome:Setaria_italica_v2.0:VI:8000235:8001596:1 gene:SETIT_015509mg transcript:KQL01085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADLLDGNGKNKPPPQPRRRRWYCSTTAVTLLMFLLTNTVSIVVSSGAGPSLLRRYKPSTIRLWDGSAALLADLNATQADLAASRAELAGLYARVGTANELLRTLLDSMAARDAADIVAIAGGWKREPSGELKLAVGPHNTSMTAGHGRNATGEAATAVFPALGHGCVRVQDDLERYMNYTPGGECPSDEALAHRLMRSGCEPLTRRRCRAPSPKGYRQPSPLPTSLWVTPPDTSVLWDAYYPCKNYSCLASSRGVDLRRVGREKARWARDDGALSYSIATVLATRPNGTVRVGLDLAGGGSSYSPGTFAARMLDHGVTVVTAAVSAAAPLNSFVASRGLISVHVTAAHRLPFFDRTLDIVHAAAGGLGDGGGSRVPADVMLEFALFDVYRVLRPGGLFWLDHFPCPGAQLNATVAPMLGRVGFKKLRWNTGRGKEKDQWYVSALLEKPMA >KQL02228 pep chromosome:Setaria_italica_v2.0:VI:31085535:31089796:1 gene:SETIT_013652mg transcript:KQL02228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATGTAPLLQPRGGDGSTTTTRGRARRAAVEWWVESKKLWRIVGPAIFQRIALYGINVVSQSFIGHLGDLELAAFSIAATVVAGFNFGFLLGMASALETLCGQAFGAKKYHMLGVYLQRSWIALLLFAVALTPTYILMEDLLLLIGQSPELAHLAGKMSVWLIPQHFAMAMQVPLTRFLQSQLKNWVTAATAGVTLVIHVVATYLLVQRFQLGIVGAVVAADLSWWLVALGQFLYVVGGGCPLSWKGFSMEAFADFWEFIKLSLASGVMLCLENWYYRVLVLLTGYLKNAEIAVDALSICLTINGWELMIPLGFLAATGVRVANELGAGSGKGARFAIVVSTTTSVLIGLFFWCVILYFNDHFALLFTYSEVVLGAVHKLSVLLAFTVLLNSVQPVLSGVAVGSGWQALVAYVNIGSYYLVGVPLGVILGWPLNFGVGGIWSGLIGGTAVQTLVLAYLTTRCDWDEEAKKASTRMRVWPSSK >KQL00337 pep chromosome:Setaria_italica_v2.0:VI:1479625:1480773:1 gene:SETIT_015720mg transcript:KQL00337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTTNVRSASTCQPPETVQGMHVFQILGYSKQRGIGSNSFIRSAVFDVAGYNWVIFFYPDGFGDEIAAAAGYDLVSAYLRLLSTGCGKVRASCDLRLLNPATGSSTSAHPALITTREFDPDSDGGSKVCHCLCIGRSELEGTYVKDDRLTMECVVTVRKEPKVSKSKTFPSIKVPPSNLKRQLANLLESREGSDVTFSVAGETFAAHRLVLAMRSPVFKAELCGPMRESGTVQHPIVIEDMQPDAFRAMLYFIYTDSMDYNDDLLRDYHSGNCDMVHHLLVAADRYAVERLKLTCQSILCKNLHVRNVATTLALADQHHCDRLKNACIEFMCCSNDMDAIVAAQGFKDLATTSPSVLADAMVRMSKVGKKLTKRALKDGFN >KQL01178 pep chromosome:Setaria_italica_v2.0:VI:10234597:10240697:-1 gene:SETIT_014507mg transcript:KQL01178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVFTRLFSSLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNTLKLLLQAQ >KQL01180 pep chromosome:Setaria_italica_v2.0:VI:10238048:10240849:-1 gene:SETIT_014507mg transcript:KQL01180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVFTRLFSSLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRYWLFIITVKLLTVTSDANSTSPFI >KQL01179 pep chromosome:Setaria_italica_v2.0:VI:10236813:10240849:-1 gene:SETIT_014507mg transcript:KQL01179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVFTRLFSSLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEVMLAEDLSFAPSSLRCFSWPHLIEI >KQL01177 pep chromosome:Setaria_italica_v2.0:VI:10234206:10240849:-1 gene:SETIT_014507mg transcript:KQL01177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVFTRLFSSLFGNREARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVTAKEEFHAILEEDELKGAVVLVYANKQDLPGALDDAAITESLELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGSS >KQL02725 pep chromosome:Setaria_italica_v2.0:VI:34175274:34179080:-1 gene:SETIT_013818mg transcript:KQL02725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKAQLSDSNRRIMEVDAPPRRVYQAWKGSNLFFLGGRLIFGPDVRSLVLTVCLIVVPVIFFAAAVCPQLGNEFRSQIGGWVASVAIIFTAYILVVLFLTSGRDPGIIPRNAHPPEPEDIGESSNLPDWPGGQHGSTGLPLTRDVLVNGISVKVKYCHTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTILCLYVFAFSWVNLRRIMDMHECKIGRALLKSPISGLLILYTFIAVWFVGGLTSFHLYLISTNQTTYENFRYRYDRKTNPYNLGVGQNFIDVLFSRIPSSKNNFRAKVKEDSATFTSSLSMGRVLSPPKMSMDLEMGMKRQAVDAEDLEDLHSQIGSAMGLERCGTEPPHIVGRKGCSEISSDIEAFAEEFAMERGFSERKKIERHTNDDS >KQL01700 pep chromosome:Setaria_italica_v2.0:VI:25013550:25014334:-1 gene:SETIT_015125mg transcript:KQL01700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPRPGLPTDCQSWLGKVAMITGGASGIVKATAFELVCQERCQGHHHRRAGCRAVAAELGAGNACYACCDVAAAIERHGGLDASSVDQGPFDRVVAVDARGVLAGAKHATRAMVQCSIVCTASTAGAAVVGLARASAPELARYGMRVNDISPHAIPPPLAMATMAQCQAVRQS >KQL02607 pep chromosome:Setaria_italica_v2.0:VI:33506459:33510215:1 gene:SETIT_014095mg transcript:KQL02607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPQVDKKMLGELEAMGFPTVRSIRALHFSGNSNLESAVNWLLEHESDPDIDQLPLVPREINIECGDTATEVRNDVQGMRDSMQEQKPEERTETGRQNETSQLEPELNADEQEEGDRKRILALYKQKRDEEGRARGRIRNQIQEDQRERIRAAKDLMEAKRTLEENQRKRMMESRIADQEEEKRARERIRQRIADDRVKPVERVVTSEQLRDCLRTLKKNHKDDNARVTRAYQILLKIIANIVKNPAEERFRRIRLSNPIFKDRVGNLQGGIEFLELCGFQRLSASGYLVMPRDKIDVALLNAAGVEIASVMENPYFGLLSK >KQL01471 pep chromosome:Setaria_italica_v2.0:VI:20257568:20259968:-1 gene:SETIT_015369mg transcript:KQL01471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALPEGILEHILGFLPAPEAVRTSVLARSWRDLWKSATGLRIGCRGDDSLKSVKELREFVDHVLLRRGDAPLDTCDFRFGEFQQEDVPHVNQWLRHAIVCKVRVFILSIISDESPSPWLELDDLPHASQQLTRLELSFVQVRNSFLNFTSCPLLEHLVLEYNDLEGRTPILDSMPSLIKAFVRITPDCEDACDKLLDPNCSQCECQYCGTSVNVGDGNNSHVLLKGLSEAKDLDLESAHDMLKTLLLNEYWCMPGDFGALACILEHSPVLEKLALELFSEGPKYKVEMEGSFTVEKSATISEHLNIVKVKCQDVDERVLKVLKFLCTFNLLCSELVCHISSLIISLHRKFNCSKLKWFQFLIRGASRKYELPRDLLPLTV >KQL01982 pep chromosome:Setaria_italica_v2.0:VI:28733234:28736454:1 gene:SETIT_014250mg transcript:KQL01982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFVTANRKEQKYREIKNWNHFCNQTTGGGVGFIFTIGFAVSIRFGSSGVEAGIATRRTKFSSATRIPRPVTIVSSGSGDLLLPLFPAAAVRGGPAEMGSTSSMLTQYDIEEVQDHCNHAFSQPEIVSLYHRFCQLDRNGGGFVSADEFMSVPEFAVNPLSQRLLRMLDGLNFKEFVAFLSAFSPRTSLQQKMEFIFRVYDTDCNGKVAFDDMLSILRDLTGSFMTEQQRQKVLTHVLEEAGYTKDSHFTLPDFMKILGNSELKMEVEVPID >KQL00406 pep chromosome:Setaria_italica_v2.0:VI:1848652:1850457:1 gene:SETIT_015421mg transcript:KQL00406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLIKLFNDWEIQLLVLLSFTLQLFLFFAGSLRRHWTNMFLRFSIWMAYLGADMVAVFALGYLSRHVGSTTAGRDTLGRAQPLAFFWAPFLLVHLGGQDTITAFSMEDNNLWLRHSLNMVVQVVLTTYILWMSIGRHNMQLLISGIFIFAAGVIKYGERIWSLKYGSMESLESSTGNQYMQQLARSVDVDAGYPRTVCTGLRSMPRVHQVFTSRSSDIDMDESRLDDDELFKLVGFELGMLHNDLYTKAVVLRTRTGIILRCISQITVIVAFVTFLVSGNKHSYHRADIAVTYSLFIGGFFLDFCAIFISLRSPWTWLWLKARGCNMLARFSWFLFSNDAIGWSEKRTLRSIVAGQYNLRGWLVHTEQPRSFFSPLMMTVRKSLTLCGAQEDKIFWLSKILGWEYAKGDKIMECLQSKMYGRWYFAYATPRSVWNEYEKIMEYIQGFVGQPKNSELNDFGNMLVYMHIQTEAHLREDLFSDVESTVCRQLSRYMMYLLVTHPSLLALDTSAVATLDLWRAAANYKCSSYAGDFWERINPWSSSTEALQDLVDFWVWVIMYAAAKSRSEMHAALLARGSGELLTFVWLILAHLKWRDLSGLS >KQL02512 pep chromosome:Setaria_italica_v2.0:VI:32967468:32968853:1 gene:SETIT_013701mg transcript:KQL02512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSPELESLRRIAPYRFVSFSFPNPFLGHASNPYGGGGGGGDDAGECLRVAALDSPLPSPPVPRTAAMLVPAGRHRDWIFSTRAGHLHLLLSTQFSRLILVGPELSAPSPRVIPCVALSDPDPAHARLLPLLLALCPMAAFRDNAVPDVPLLSFQDDLLLLAPVKFVTGPVVGEMVIEDVAIDSAPGPPELHRRLRFKRMPCLVQTQVRLCQSPAAASSLLLEALEGSDGLLQPEVRGSLVQPYLQAMVAGLAVIAPSIEESIQSGVRPRCLCAGVGGGSLPMSIRVGLRFSVLGVEADGVVLDVARNHFGLVEDEFLRVHVGDAIQMIEDFSRRGESDMNFSAVMVDLDSSDAMCGVSAPPLEMIRGSVLLAARKILDQHGVLILNVIPPPADGSFYKGLVDVLRQVFLELYEVDVGNGENFVLAATVSPETALADNSGHFLTELRKLAGGFLDHIRKI >KQL00568 pep chromosome:Setaria_italica_v2.0:VI:3062478:3062837:-1 gene:SETIT_015712mg transcript:KQL00568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPGTTEMFAGLAILLFSVSAGFNSGTGGFGLLLCFAGVLAGANIVAVGILAPVVPAVLAEARALAEFLGRNLGVVGLVMASCAVTAISGEAGQVFCFGMFALLLLGLSLISVGILGE >KQL01760 pep chromosome:Setaria_italica_v2.0:VI:25603723:25604472:1 gene:SETIT_015402mg transcript:KQL01760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDAKFRRERDALQSRIDELLEKLRRLTEILEAAAQIHEHDDGADSYYRQHRDPIPVVSGDDFYPGNDNPSDEPEEYPMQWEHHQYNHAAAYDDTFGQELITTPDGTGHLQAAETVEAAGSGGDNGGQYLLSDPALYDDDHDMTAGEATPARFSDGGFGGVPASAAAIAGLKKQRHDGSSGADNMCIICMRHYKKGKRLYVMPCAFKHRFHRKCLKKWLSRSHLCPLCRHALPTNDQGVHRPQARNMAVN >KQL01490 pep chromosome:Setaria_italica_v2.0:VI:20525990:20528095:1 gene:SETIT_014911mg transcript:KQL01490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNLVTQEIRTDNACGEAICEIGRPNVRLEDLPLDVLYKIVSKLPPKEFARTSVLSSSWRCMWSECPRLTFDAVVMCKCKRVDLHQHTERFIHEVNAVLHKYHGRVVETLEIRIDYVDSLVHHLNNWIDFAVSSRTKNITLDLKPKRFWEFNDPYVFPFKLFENGIISCLQHIQLSFVSLKPPSQFSGFPNLRKLHIQVLYASKKDLEHVLSHCCKLEWLHIDRCDLNAELTVDTPLSHLLYLYVEHCKLTKIKFHAVNLAAFKYEGDFIPVDLSHSSKLQNAYIKLSEAVLQHALLSLLKGLPYVQNLTLRIFWQHLEKQWFLDNPLKFSCLRHLQLFMSIYSEHVDKVLYSVSFLRATPFIENLEVHFTGYSLWLADVGPHRQDFGQCKYNYLKSMCITGFKGARGQVEFLLHVVENAPALEVLSVDTNERARNEFWPHRGSPPFEKAKQIAITLSESKTPAKC >KQL00741 pep chromosome:Setaria_italica_v2.0:VI:4549915:4553473:-1 gene:SETIT_013899mg transcript:KQL00741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMEGPGGGGGGAGGVGGCLGLGHGGEAQIKGTHTHGGRYVQYNVYGNLFEVSAKYVPPIRPVGRGAIGIICAAVNAQTREEVAIKKIGNAFDNQIDAKRTLREIKLLRHMNHENVISVKDIIRPPRRENFNDVYIVYELMDTDLHHLLRSNQPLTDDHCQYFVYQLLRGLKYVHSANVLHRDLRPSNLLLNAKCDLKIGDFGLARTTTETDFMMEYVVTRWYRAPELLLNCSEYTQAIDMWSVGCILGEIVTREPLFPGKDYVHQLRLITELVGSPDDTSLGFLRSDNARRYVRSLPQYPKQHFRARFPTMSSGAMDLLERMLVFDPSKRITVDEALCHPYLASLHEINDEPVCPAPFSFDFEQPSLTEEAIKELIWKESLKFNPDPIH >KQL00133 pep chromosome:Setaria_italica_v2.0:VI:152299:153969:-1 gene:SETIT_014434mg transcript:KQL00133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNKSTGNAARSRKRVEATILKRSRDGSAFTRCEACNKDVPVVLIDMHSCSLDAKIRETLEAQVVERTVEVTKPADRKKPAKAGAANKDGKRKRTPTAFFLFMDDFRKEFKAANPDNKNVATVAKEGGERWKSMTDEEKKPYHDKAAELKAHAENGEGSGENNVAAEKPKADDTEGGQEVDQPAKRLRRKVDDEDEACDEEDEEEKNVLDDDLDDDM >KQL01938 pep chromosome:Setaria_italica_v2.0:VI:28241459:28244540:1 gene:SETIT_014106mg transcript:KQL01938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPCLLRLRPCPPSCLRSRSRSQCLHLSKQSLHPLNSPRPCLLPVGAPVAERLVPRPPGTGRWTGIFACRCSYDAENGPPTPPPDREESSDEWPVLRRWDVPWEWPTISLTMVACAVSSTTVVVLGSIFGITNTFRPFSDDIFRYKFEEPLKLQNGWLLWAGIGLLVAIIAIALAGAAMTFLNGETPQRETDSLVILLPLIGSSGISTACLLGITGILAPILEETVFRGFLMVSLTMWFSTPYAVLITAAVFAFAHLTPGEFPQLFVLGVVLGFSYAQTRNLLTPITIHAVWNSGVILLLTFLQLQGYDIKELLQAS >KQL02384 pep chromosome:Setaria_italica_v2.0:VI:32153446:32155657:-1 gene:SETIT_015285mg transcript:KQL02384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLIQLAAAAATPLAANITLDGCERKCGEVDVPYPFGTSYGCHRRGFKVICDRAYSPPKLFLGGDGAGLEVLGISVQNRTVRVRATIWSFAADNTSDVVVKIIPANLEPYVLSTDRNSLVIVGCGFRASARTTVSSLQLQGDAVIASCAPSCTVNNQQKHQQPNLCEGNGCCEAAIPTGLSSLSIHFSWLDTNAATVRAPWMTPNVSVLAVEQEWWRETPDIAFKIWLLSSGHVTGLVIPVVLDWTVGQSSCTAAETLPEFGCVSKNSECLNSTSSAYGYVCRCNDGYDGNPYVPDGCQGSRRNHLTAGVLVSIGIGIGLFFLLLGLAATFGTRRLNIRKAKKMREYFFKQNHGLLLRQLVDKDIAKRMIFSLEELEEATNKFDEARVLGDGGHGTVYKGILSNQRVVAIKKSRAVVQKEIDEFINEVAILSQINHRNIVRLFGCCLETEVPLLVYEFISNGTLYAHLHVDDPKKPLVWKNRLRIAFEVASSLAYLHSAATTSVVHRDIKTTNILLDDQLVANISDFGASRGISIDQAVVTTGIKGTHGYLDPEYFYTGRLTEKSDVYSYGVILVELLTRKKPSVYISPEGLSLVAHFIMLLNQDKLSEILDEQVAEEGEDEAKQVAAIAAKCLRLKGQNRPTMRNVEMRLQRLQGSDIDISGVEEHLAELGGPAYVGGNGEAGYNYSSRQYSMEEEILLSASLER >KQL00913 pep chromosome:Setaria_italica_v2.0:VI:6490648:6491673:1 gene:SETIT_015221mg transcript:KQL00913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTTKLPQIATILLAVLLLLPSAAMGKAIDATKTQRLDLPDGLIGPESVAFDRRGAGPYVSISDGRILKYAGKSVGFTTFAYSPSYIKNNCDAPSELPSVATESSCGRPLGLRFHNNSGDLYIADAYMGLMRVGPNGGEATVLATEAGGAPLRFTNGVDVDQVTGDVYFTDSSTTYTRAQHQMVTASGDSTGRIMRYNRRTNKVTVLQSGVTYPNGIAISADRSHLIVALTGPCKLMRYWIRGPKAGTSELFADLPGYPDNVRPDGKGGYWVALHREKYELPFGKDSHLVAIRIGAEGEKLQEMRGPKDVRPTEAVERGDGKIYLGSVELSYVSIVSSV >KQL00178 pep chromosome:Setaria_italica_v2.0:VI:355666:357765:1 gene:SETIT_014859mg transcript:KQL00178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLGLVVLLLLTFASLTSSCTEDEQSSLLDFLAQLSPGSDGNLRMSWMHGTDCCAWEGVTCRGDNTVMGVSLASKGLTGSVSPSLGNLTGLMNLNLSHNSLHGILPTELVMHNSIAVLDVSFNHLTGPLKELRPLNHNLPLQVLNISSNFFTGQFPSTIWGAMKNLIALNASNNSFAGQIPASICKSSPSFSVLDLCYNKFSGNIPPELGNCSMLRLIKVGHNDLSGALPHELFSATSLEHLSFPNNGLQGVFDGSQVVKLNNLTVLDLGSNGLRGKIPDSIDRLRKLEELHLDNNLMSGELPSTLGNCSNIRYINLRNNSFSGELSKVNFTLLDLRTADFSLNYFTGKVPESICLCSNLIALRLAYNDFHGQLPPRIGNLRSLSFLSLTDNSFTNITNVIQILKSCKNLTTLVMGTNFNGETMPQDETIDGFENLQILTLDGCPLIGQIPPWLSKLKKLEVLDLSSNRLTGLIPSWINRLELLFFLDISSNMITGDIPMALMEMPMLQYKKNSAKLDMKFLELPVYWTQSHQYRMLNAFPSVLNLGNNRFTGTIPPEIGQLKMLNVINFSSNGFSGEIPQEICKLTNLQMLDLSNNHITGELPSALSGLHFLSLFNVSNNELEGPIPTGAQFDTFSNSSYGGNPNLCGALLSNHCISVSSHQSSISQEHKLHHALIYGFVFGGLAALALLAFFLIAKL >KQL01412 pep chromosome:Setaria_italica_v2.0:VI:19114811:19118948:1 gene:SETIT_015740mg transcript:KQL01412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQINENESTVPEHSNINDPYDLVYSNIPDNTHKLKPVENCKYCDAKKFHHEPEGLCCRKGQIKLANLETPHQLMRLWTSNDSDAIHFQKNIRFFNGHFSFTSLYCRLDRDTTTMRNSGIYTFRAHGQIYHNIRSFGKDGSDPKHLELYFYDDDPTLEHRYRYCRKEMYEQDKHVLLIITNTLRNNPYSEQFRTLGQEENLEEYRVMLNLDQRLDQRTYNAPITSEVAAIWVEGNERRNTFDRNVILHGNNNEIQGIRSYAGCYDPLSYPLFFPRGELGWHADIPKVGITTKDVKKARANQNNKNNDPDSSGRLWVTMREYYCYKFHVRPNIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDAVGKRTVLSSSFMGITNELEFGQTPQDRPDLVVRVFRAKLEEMKKELLEEHILGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHGPCGTLNKNCACTKNRKSCKNYYPRPFNATTIQGKDSYPLYRRRDDGHNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITKVINREGVEKSMLTAYFEANKIHEKARGILYRDFPEHYTWQTQGKFWQQRKRKMLYQVGRIVSAHPAEGERYYLRVLLNHVKGATCYEDLRTVDGKILPSFREAAERRGLIEADNTLDDCLTKAELFRMPSSLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNARTVEQMVLINIREMLQSMGKDIRSFPLPEIDEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKAAYNEILTAIDRDEGGLFFVDGPGGMGKTFLYRALLATVRGQGKIALATATSGVAASIMPGGRTVHSRFKIPLRIDDGAICSFTKQSGTAKLLQAASLIIWDEASMTKRQAIEALDKSMRDIMDVPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSELDRLIDSVYQMNNACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHSFDSVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFAMTINKA >KQL02523 pep chromosome:Setaria_italica_v2.0:VI:33047857:33051847:-1 gene:SETIT_013749mg transcript:KQL02523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFKIKKFGKGKKGAGGGGEIVESDDEASAAGNGASEEQQKGDILGEKAEEIEGAVAGNGVVEEVEEDDDDDFITNEVKRRLKELRKNSFMVLIPEEECAEVEEDGGEEGEEEGSSSREWLESDVGDGFPLCGFDSLYDKYCERMLVFHKMIAQLMKDPESLNMSKKSPRSASKLASTLRNLSFKRRDELQEDCEQLQQKQSEDDPYQTLETAYVAHVSLSWEALHCTYVHLSLIVAAQPDNPTTYSCAAQAFQQFQVLLQRFVENEPFEHGTRVEIYARSRSLLSKLLQVPTFQVADKKDNTEDQMEPSIFAPDLIKLLEESILTFRLFLKKDKKKNSAHMSAHGHTGSSIHQVQSSLDKKEAKVKELFKKKKGWKSKTWPGTMEEVQLLFALIDVKVVSRVLRMGKLSKEQLLWCEEKMSKLDLSENRLRRDGSPILFPC >KQL02031 pep chromosome:Setaria_italica_v2.0:VI:29255230:29265370:1 gene:SETIT_013288mg transcript:KQL02031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPAPASALPKSGAVSKGYNFASTWEQNAPLTEQQKAAIAALSHAVAERPFPANLEKSSGKDGGAAVPEKESALEEAGAMDAVLVNTHQFYKWFAELESAMKSETEEKYRLYENTLEERVNTCDGILKQVDDTLNLFEELQSLHSSVATKTKTLHDACDQLLVEKQRLIEFAEALRSRLNYFDELENVSSSFYSQNMSIGNEQFLPLLKRLDDCISYVENNPQYAESAVYLVKFRQLQSRALGMIRSHVLSILKGASSQVQAAIRGSDSGKNIVTEGIEASLIYVRFKAAASELKPILGEIESRSSRKEYAQILSECHNLFCEQRLYLVRGMVQQRISEFARKEALPSLTRSGCAYLMEACQFEHQLFAHFFPSSAADVSSMAPLMEPLCTYLYDTLRPRLIYEGNIDSLCELVDILKVEVLGEQLSRRGESVASLRPILQRILADVHERLAFCARTHIREEIANFRPTDEDLDYPGKLERSVDTSSSATVGDNSDVYLTWYRPLEKTVSCLSKLYRCLEPSVFTGLAQDAVEVCSTSLQSASKVISKKATPMDGQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLDHLRRILRGQVSLFDWSRSTSLARTFSPRVLENQIDARKELEKSLKATCEEFIMSITKLVVDPMLSFVTKVTAVKVALSSGSQGQKLDSVLAKPLKTQAFASPDKVAELVQKVATAIQEDLPKVMTKMRLYLQNPSTRMILFKPIKTNIVEAHIQLQSLLKSEYSTEEMQSISMLPIPDLQSQLDSLL >KQL00676 pep chromosome:Setaria_italica_v2.0:VI:3930484:3933879:1 gene:SETIT_014067mg transcript:KQL00676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGLRKGRLLEMLLQARLNVTMELVASNATRFGCQDEIKPSSIPLSSATKPYPGAPPIKTLLPLRPLLSRRHFPPVPLAENPSAPHRSPPPQRAPPLPPSTMATQISKKKKFVSDGVFYAELNEMLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFPENGVELYAEKVVNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVNEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKLGPITPLPDLVTIHAPKEEDELRPPVLVPEV >KQL01312 pep chromosome:Setaria_italica_v2.0:VI:15046908:15048774:-1 gene:SETIT_014568mg transcript:KQL01312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVMACWELEQNLQSLTGASPGEGTGATMSDGEDDQADSEESERSLMERVRQELKHELKQGYKEKLIDIREEILRKRRAGKLPGDTTSTLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSSSSTNAKSKRKSNAGDGNS >KQL00543 pep chromosome:Setaria_italica_v2.0:VI:2906011:2906889:-1 gene:SETIT_015058mg transcript:KQL00543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSYFLLAVFLALVACQANASDPSPLQDFCVADKHSPVKVNGFACKDPMAVNADDFFKAAKLDEPRNTAHSKVGSNVTLISALQLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKILNKGDVFVFPQGLIHFQFNPIHDKPAVALAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >KQL00903 pep chromosome:Setaria_italica_v2.0:VI:6221309:6223318:-1 gene:SETIT_013450mg transcript:KQL00903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGWVLLLFLAQLHTLLSTSIAHRADGGNLTHLPVSFLCHPNQAKALLQLKKSFSFSRSTTRLSSWRNGTDCCLWEGVGCDPSSGHVTILDLNNRCLSSHGLDPALFSLISLWRLDLSMNDIGGDNIQSAGFERFTFLTHLNLSNSGLYGQIPPSISKLVNLLSLDLSTYNIDYSLGFYGPNYYDYYNNLWESSFDTFVANLSNLRELYLDSVDLSNSGEEWGTSLAAYVPQLQVLSLADCSLSGPIHKALSRLHSLVVINLQGNFDAAAGPFPEFFMDFPNLTVLQLSETNLEGRLPSRPFQSKNLRVLDLSYNMNLSGHVPNFSNASSLETLRLDGTNFVYAIPTPSSNFASLKELALNRNLISVDFLSAFGRLKSLHQLDLHCFLDNELDIDLDSGSDLGPIFSWIGQHKNLTSLGLFGCNFSGVPPILLSNFMNLKNLEIDNCDLPRPMPDAIGELTNLRNLYIEFAWFSGPMPAAIGELTNLRNMYIAHCGFSGPMPPAIGKLTNLRNMYIVDSGFSGSMPAPIGNLTNLEAMDIHGCQISGLIPYAIGQLNKLRWLVLRGCNFSGSIPSSIVNLTQLTMLDLSSNSLNGT >KQL02391 pep chromosome:Setaria_italica_v2.0:VI:32214469:32214996:1 gene:SETIT_014618mg transcript:KQL02391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEILDGSTVRSFVEDEGAFNSSVDGRFAALDADHDGLLSYEEMAGELMSLRVLEKHFGVDEAALEPDELAMLYRGLFARFDRDGSGDVDRNEFRAEMKEVMLAVANGLGFLPVQMVVEEGSFLKIAVDRELGQLAKAA >KQL01978 pep chromosome:Setaria_italica_v2.0:VI:28656101:28658525:1 gene:SETIT_015310mg transcript:KQL01978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLEELSMTGCMITGRIDIALTKFRFLSKLTLDGTHFSGPAPVPKRFVEFSSLAVLSLQNCGLTRTTFPSWIFHIKSLMSLDASGNENLCGELPEFIQGSALQVLIFSGTKLSGRITESIGNLRNLTMLDLSYCQFHGPIPPFAQWPKIEMVQLSSNNLNGSLPTDGYLSLHNLTSVYLRNNLISGVIPASLFSHPSLMDLDLSQNNFTGNFLLYPTVSSSLRSIDLSFNKLQGPLPKLLSKFVELEWLDVSSNNLTGSVDLSFIKNYEMPSLSLSHNKLSVVEEDVNHSYFEYPAIGRLGLASCNLSSVPKFLMHQRSISDLDLSNNNIGGHIPDWIWGMGVLSLNLSHNLFTSINTNLSNTSISDLDLHSNKIEGALPLPPSGTDRLDYSNNHFNSSIMPEFWSHRNKHLEILNLRGNNFHGSLPQYITEECALQIIDFNGNKLEGKLPVSIINCHLLQVLDLGNNLIVDTYPEWLGVLPLLKVLVLKSNGFHGPIDYYRMNKQTHTLFPELQVLDLSSNSFNGSIPARFLKQFKAMMVVSSGAPSMYVGIIESSSAAASPSSHRNYKESITVTLKGQQTTLVQILSVFMYIDLSNNNFEGVIPNEIGDLKLLKQLNLSRNSFTGVIPPRIANMLQLESLDLSYNQLSGEIPPAMTAMSFLEVLNLSYNHLSGQIPQSSQFLTFPTTSFLGNDGLCGKPLRRLCDINHAPSAPATSGSSKELNWEILSVEVGVISGLAIVVATMLLWGNGRRWVYFHVDKFWLLVLQPWICRRRC >KQL00289 pep chromosome:Setaria_italica_v2.0:VI:1061035:1064547:1 gene:SETIT_013752mg transcript:KQL00289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGDSAVPNWVMLERLAFRRDDPASFREDRRTFASGTTSTGTQFDVSFILAEPPTPSRLYLSWPEGPKQESRGLVMAANRNLVLLRLDSLIDESDPFGEVVHDYFIYIADPSSQWTPLLRRLPPCTEYDDYFERQVTRVLPALAVGLLCHGEDEFAVAHLDIRSRKKKSGSRKKKLPIQAELCVLRSSLSCSDDAKWETKILPIQYQYDDLSSDFLYWSVDGVVPFKNALCFVNYCRGILFCDGVFEDSPKVSYIRLPLDTYIRGADGEARKGMYHGLCVTEGGHRLVFVDVARHDGKSYGPSMPNTGFTLTSRTFKMTGNCTTPWQWNEDAVVTSDELWHANTMESLPHDIVMLPLLSMDKANVAHLSLIDWDGGFSLVSIDLSNMQVMGPVITYLKGKDDTADADIVEEKKGLCAHFIPSEFPKFLDLRKRENHP >KQL02891 pep chromosome:Setaria_italica_v2.0:VI:35147477:35148252:-1 gene:SETIT_014596mg transcript:KQL02891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKKLAQLSKKWQGTGAIGWKRVTTVDKEINTSCSSIVAGKGNCIVYSSDGKRFEIPLAYLHTTVFSELLKLSQEEFGFTSDGRITLPCDTAVMEYVMCLLRREASEDVEKALLSSILMPCHHPSRMVQPTSGMDQQFAVCSS >KQL00163 pep chromosome:Setaria_italica_v2.0:VI:258537:259563:-1 gene:SETIT_014149mg transcript:KQL00163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHQQQQPAPCVPPGFRFHPTEEELVGYYLARKVAAQKIDLDIIREVDLYRIEPWDLQERCSYYGGAGGQDEEPAEYYFFSYKDRKYPSGTRTNRATAAGFWKATGRDKPVLSSSSSRSSSLGGVGVIGMRKTLVFYRGRAPNGRKTDWIIHEYRLQNNEHAPAQEEGWVVCRAFQKPMPNQQQRHACYAAAAAAGYPAGGYPSYYYMSGAGAAAPALLHHDHNNSLAAESKLQVQLLADMPPLQSPAIDAAAHSYDQQSAVESSAVDWNLLSSLLPSAQLNFHQQPASPPSCSKNNNNDL >KQL01055 pep chromosome:Setaria_italica_v2.0:VI:7695175:7699613:1 gene:SETIT_014988mg transcript:KQL01055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQINENESTVPEHSNINDPYDLVYSNIPDNTHKLKPVENCKYCDAKKFQYEPEGLCCRKGQIKLANLETPHQLMRLWTSNDSDAIHFRKNIRFFNGHFSFTSLYCRLDRDTTTMRNSGIYTFRAHGQIYHNIRSFGKDGSDPKHLELYFYDDDPTLEHRYRYCRKEMYEQDKHVLLIITNTLRNNPYSEQFRTLGQEENLEEYRVMLNLDQRLDQRTYNAPITSEVAAIWVEGNERRNTFDRNVILHGNNNEIQGIRSYAGCYDPLSYPLFFPRGELGWHADIPKVGITTEDVKKARANQNNKNNDPDSSGRLWVTMREYYCYKFHVRPNIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDAVGKRTVLSSSFIGGPRDKMRRYLDAMDLVRKYGKPDIFLTMTCNPNWEEITNELEFGQTPQDRPDLVVRVFRAKLEEMKKELLEEHILGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHGPCGTLNKNCACTKNRKSCKNYYPRPFNATTIQGKDSYPLYRRRDDGHNEIVRGHKLDNRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIRQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLPNMHMVSYHGKKEITEVINREGVEKSMLTAYFEANKIHEKARGILYRDFPEHYTWQTQGKFWQQRKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVKGATCYEDLRTVDGKILPSFREAAERRGLIEADNTLDDCLTEAELFRMPSSLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNARTVEQMVLINIREMLQSMGKDIRSFPLPEIDEQNDTKDNTPREITEEANIEVDPEDMELPKHLNDEQKAAYNEILTAIDRDEGGLFFVDGPGGTGKTFLYRALLATVRGQGKIALATATSGVAASIMPGGRTSHSRFKIPLRIDDGAICSFTKQSGTAKLLQAASLIIWDEASMTKRQAIEALDKSMRDIMDVPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNCMRHMKLVRNMRAQNDPWFAEYLLRIGNGTEETNDKGEIRLPKNICIPRTMDDSGLDRLIDSVYQMNSACLEDPNYITSRAILSTCNDCVDRINLKMIERFQGEEMVYHSFDSVEDDPHNYYPPEFLNTLTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFRFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLLEPVFSHGQLYVALSRATTAKNIKILTAENDDKDEDNKQDNKIKPSEKNKKRKKRKTKSDMSDKKEVNQKDTTDRYTKNIVYSEVLTK >KQL00859 pep chromosome:Setaria_italica_v2.0:VI:5723556:5724436:1 gene:SETIT_015457mg transcript:KQL00859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVSTVATCEDYKRALRNATEVPPDNPIVQNRLAVTNTTSNSEIVEEESEQNTYLKVDSLRTSPTHQSAERAQLVENKTFISPEVEHALGILDTAIAVLRGDKTANITTLQNLLSYDATLGESIVGSRSSRANIRNADSLLTGGHSTTQLQDSREIRQAYSLPSGKGMEEDAIDRDSLKNSAAYTVTKTMSMTLRSAISVHGEESLDTNGFHQNGFRNNKESKRGRKTKSWPCCLTPTTIG >KQL01819 pep chromosome:Setaria_italica_v2.0:VI:26793654:26794364:1 gene:SETIT_015082mg transcript:KQL01819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFTIKQLDGPGDYLRWKESVLLRVHTLGVARALSEPRPAGDGAGEDGVAQEAARKWARDDAVCRGHVLTTLSDRLLPDYARYATAADLWRALARTYDVEAPRAWRDRFDAFEFDEGAGDVLLEQIAHAEALGVAAKLPDDRVADALCGKLPEVVGAAVIIRSGPDNEMGMSLVWDVARRVVASGIGPEHLWKTAAMGDEDQEGSYFDGQKPEQNTGRRNRGEHGHVARNCRRRV >KQL02832 pep chromosome:Setaria_italica_v2.0:VI:34853447:34853828:1 gene:SETIT_015890mg transcript:KQL02832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFFNLCLFDTKLFLSSIIYGPAYCLFVKLLIFLNPHFGPIDQD >KQL00884 pep chromosome:Setaria_italica_v2.0:VI:5980878:5983852:1 gene:SETIT_014339mg transcript:KQL00884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPAPAEGGGGGGSAGDDWFLDCGILDDLPAAACGAFPWDASPSSSNPSVEVGSYVNTNDVFKEPGSNKRLRSGSSGRPTSKASREKQRRDKLNDRFLELGSTLEPGKPIKADKAAILSDATRMVIQLRSEAQQLKDTNGSLEEKIKELKAEKDELRDEKQKLKLEKESLEHQMKLMTSAPAYMPHPTLMPAPFPQAPLAPFHPQGQAAGQKLMMPFVSYPGYPMWQFMPPSEVDTSKDSEACPPVA >KQL01712 pep chromosome:Setaria_italica_v2.0:VI:25120844:25121521:1 gene:SETIT_015395mg transcript:KQL01712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRLYENPPAEIIHSGRKLKLTETDDVLFRCDGCKEPGYGRRYTCDCGGNSFDLHTCCAVTEDTLKHPLFGDLTFEFLKEPPPAADQKTKCDACGEEASGFVYHCKKEDRDLHPCCASLKECVIQDTRVFERRQKASRPCGMCSKNNGNFWAYRTYLDGKAVDLHLACMKKMARLSWESASQNRVGGAQIVRPSDASIESMLRSLRGNTRSSDGFDTFTRQIAGTV >KQL01270 pep chromosome:Setaria_italica_v2.0:VI:12823777:12829989:-1 gene:SETIT_013488mg transcript:KQL01270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDPEPAPAPAPADDKCPAPAAAVEVEEARPPPPEGTAEVKLPSQEASAAEVETRPPPEAPGATPAEDSEKEAEAKPKEGKKGEKEMGDETKEKRLEEDKGKGAKQDKEETKEKEKVDAKGKVLAVVKVEGTEKEVKATRRPAGASAETPILAVPVVAVPCFIAPPGFAGQFAMTHQAALASVTAHAQMHMQSPTTSGCSEAPSSPFYITPRSIVPLQQSPSVAEGNVCRQLADKPFSSEPKSPHHAVVNMVADGFNWRKYGQKQVKSSENSRSYYRCTNSGCSAKKKVEHCPDGRVVEIIYRGAHNHDPPPKTRFAKEKVTPIGVPSGGETLRLVNTEILESSTPTTYKLDHSSVSETSEQQLFCSSDCEGDAGNKSEDEHPSAEPLPKRRVIETTAPNLTPVLRTVREQKIIVQAGKMSDGYRWRKYGQKIVKGNPNPRSYYRCTHGGCPVRKHVEKAPDDVNNIVVTYEGKHNHDEPFRSNSIPVSAISQPVAAIEQPSTSITTADERPPTITQKDANGVSDKETTLEFGGEKALESAQTLLSIKTNSDDMKNSVLKETSAAVPVQNS >KQL03001 pep chromosome:Setaria_italica_v2.0:VI:35743462:35746147:-1 gene:SETIT_013478mg transcript:KQL03001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRPTRRRAAATATASSPSPGRNKVWVEPPGKSHHQTPARSPPPASAPAPASPGAKRVAVVYYLCRNRHLEHPHFIEVPLASPEEGLYLRDVINRLNVLRGKGMASMYSWSCKRSYKNGFVWHDLSEDDLVLPAQGNEYILKGSELLDRSPPPDRQQNGASNPKVEGLKHPKEESPQSRGSQEGCSSSSSPSAVVKDISPPPATPRPQQQGQSTLLPSSSASTNHEDEQCRTTHSGSSGNQSPEPAGRNAPLSEASSPGPSEYRVCKPVGAQDAATQTDDSERYVPEKDTRVTGMSMEAGTSDAEIQECHERSSLVSPEGPEIVRESPGVCSSDASPGGRVETLESLIRAEASRRSSFRTLEEEHMFGPMGVKLKPANLLMQLITCGSISVKEHRGFGIIPTYRPRFTQVEFPSPVFSTPVALRHLDKIPCNARTIGMRAPESECFSGSLVETKKQDESGRGISALKRSSSYDEDRVYREAHSKSDTESSVESGSFRCLPQTIRIISCKQSRNGTILSPVSDVRNSSSRQEYSARSSPLGSSKSASNRMTDPSLGKLSSARIESFHEEKDLIKIEES >KQL01734 pep chromosome:Setaria_italica_v2.0:VI:25340184:25341500:-1 gene:SETIT_015469mg transcript:KQL01734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKVQAEEDAKKWDEELAKANSCHHAPTMPPATPSRGDARRGYRTPFPRGDALRVFRRIDNTFACPVCPGTRHQWRILNEVKDHILGMAKSMPLRGENKKKWSCHRVVARNEGWMV >KQL01494 pep chromosome:Setaria_italica_v2.0:VI:20568441:20568835:-1 gene:SETIT_015835mg transcript:KQL01494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTACYLQCRGHRDGPLDARTKQNTGNLRRAQTPLEHLRRDCLLRFFSF >KQL01752 pep chromosome:Setaria_italica_v2.0:VI:25461052:25462350:-1 gene:SETIT_014181mg transcript:KQL01752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVTASALMGVMNPLLGRLGSLLEREDVNLQGVHRQVIFLREELRSMSTTLEMVSESEEASLQVKEWMGQLRELSYDVEDCIDIFMHRLGHVATCNGFIQKIISKVITLKAHYHIGIQINELKERVMEVSDRRKRYKIDPSTLSPKSVAIDPRLPALYEEADRLVGIDRQIDKLVQWLNDGISLHTQRKVVSIVGFGGLGKTTLANQVFQKVQSQFNCTAFVSMTRSPNVTKILSDTLLQFLKSSPITEDQNQHIARLQEDLYLKTLEYSQLVKMNRDYLQNKRHLKFN >KQL02765 pep chromosome:Setaria_italica_v2.0:VI:34421555:34422343:1 gene:SETIT_014502mg transcript:KQL02765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRAQAGKSRRPERRRFTFVVPEQGDEVAGDAGPVLHPLVDVGHRGHEAAAFGERLLAAVGGALRVPGLAPSRPRPGPWRWLARSNQARTRSPGHIHADARHAGASSQANHTIHGWHRIMGKRQRLAYALCHAPGLWPGGLDAQARHVGCSPSNDPYPVHIPALIKSCSSYRKFKQNFCRNFTGKLYSS >KQL00654 pep chromosome:Setaria_italica_v2.0:VI:3774289:3774602:-1 gene:SETIT_014845mg transcript:KQL00654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGHEDGPCSGHEKMKKPATSTFHPTLWGDFFLSHKPPTSPQVYYRLC >KQL01130 pep chromosome:Setaria_italica_v2.0:VI:9024352:9026839:1 gene:SETIT_014575mg transcript:KQL01130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAALLAGAGVPAAAAPPAAAAGAAVMGVFKYNFAAQFLSRVIPFVFNIWFVRQLGADDGAVSAFALQLPLFMNCILFLSREGFRRACLRNDSQSDVLTDEAVLKVAWMVVPFAIVITSIGSLFVLSVKKLKLSDPYAKAILIIDQKREPDTDS >KQL02029 pep chromosome:Setaria_italica_v2.0:VI:29238262:29248916:1 gene:SETIT_013116mg transcript:KQL02029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGSPEGRLVELFGAVKSWMPRRGEQSPPPPHAPQPAVAAGQPQQQPLPHDLSRDFWMPDQSCRVCYDCDAQFTILNRRHHCRHCGRVFCARCTANSVPRSPGDAAREDGERIRVCTYCFQRWLEEEAAARGDMAAQQPCSPSASVGSDKSSFTGTNGQMSSYANVSYADFASMPVHGEGNCGEDEGYPEKQLPGMEPAPTMEPAAYVDNSSDPFNFCVQRSDDEDDDYTVFRSDLEGQHLQNSDEYYGPMYFDGHQVDCSDGAKESTSPRKDVTSLVDSLGADKNEDHSVDECCNARSSSMYSMEVLDNEPVDFENNSSLWVPPEPEDEEDDLDGERDDDEGVDATGEWGYQRSTSFGSGHCRSRDKSAEEHKKAMKDIVDGHFRALVSQLLQAEKVPLIDKTGKESWLDIVTSLSWEAASLLKPDTTSKGGQMDPGGYVKVKCLACGHPSESFVVKGVVCKKNVAHRRMSSKKEKPQILILGGALEYQRVSNLLSSFDTLLQQETDYLKMAVAKIKAHQPSVVLVEKSVSRYAQDLFLEKNISLVLNIKRPLLERISRCTGAQIVPSIDYLSSQKLGRCDLFHVEKYVEEHGTAGEGGKKMLKTLMFFEGCPKPFGCTILLKGANGDELKKVKHVVQYGVFAAYHLALETSFLVDEGATLPELPLKSPIIVALPDKPSSADRSISTIPILPIPSASSPNSSLEALELRNDDLTFSSTKRMEQTALGVRSDEINVQNGHITRLLDVAPQSSIGPLVQHSNISSCQCPDCTRDVNRNMNLQYSQPGTTRHVLVNDLGALPSDSTNLLSVKSDNSFAKNSEIGYKVEDMPAAPLNVQISHDDDNAKDNSVAKTDEIPASPADNQSILVSLSSRCVWRETLCERPHLLRIKYYGNFDKPLGRFLRDQLFDQSNLCQSCELPPEAHVYCYVHPQGSLTISVRKLSVKLPGDSEHDGRIWMWHRCLRCPRVNGLPPATKRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGEMVACFRYASIKVHSVYLPPPKLDFTSQRQEWVEQEAKEVDDSAELLFSEVLNALHKISGGRPITGSFDGNLKILELRRNIGELEEILLAEKADFMESLKNLLKSDMRKGQPFIDILEVNKLRRHLLFLCYLWDQRLKFIANSGGKYCDALAGLRIGSGNCDFNDKSVNASAAPKLEKGSKVIEVPSIGKEGPLQQSSSHPLHGEDEGLSQAHQSNENSLRNVEELNHATSADVKDRLDNEESRIGVRRVVSDGQFPVTTDIPDTLDAKWRGQNGPAPDSTLAKPLHSAEGTGVDVKSQTKAVPSHTPTFTVRSGDAGEELLRWLKVPFMTSNSSLNTTSGSPLRFASLTEYTPKYVELFCELSQKGGARFFLPTGANDIVIPVFDDEPTSIISYALVSPMYCFQLSDESIKNREKDSSLPLPVYDSGNFNPFHLFDEFGSHYDVTSSVSGGRGSVAPDQVHLSVSFEDGGPLGKVKYNVTCYYANKFEALRRSCCPSELDFLRSISRCKKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFLKFGTEYFKYLSESISTGSPTCLAKILGIYQVTIKHVKGGKESKMDLLVMENLLFGRNITRLYDLKGSSRSRYNADSNGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLAGIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTVVSPMQYKKRFRKAMSAYFIVIPEQWMPAIINPSKSSSNVCEEDSQRALQE >KQL00487 pep chromosome:Setaria_italica_v2.0:VI:2452922:2453138:-1 gene:SETIT_016024mg transcript:KQL00487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISDGAGYFEKNKKLEQGYPPPIVRYKGHRSH >KQL01210 pep chromosome:Setaria_italica_v2.0:VI:11030522:11037182:1 gene:SETIT_013582mg transcript:KQL01210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVVRSLRQLRRFTQHHAESHSSTTRLIRQQNALIMCSSTSRSLSTLHRSGEITRFASPCVDLMRSMFSTVAADSIKDIGRGGPMVEYERRIASGELVDGDSFQVDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLIAQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRQLFSKGVILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIAHPIGSAVDYRQLGSAKQGFYFVGKHYSTLLKQKLQSLIGDEEPSPQTVEVIMGRKLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGVPKFGSSNRTAAYRFVTLVDVMYENKARLLCTAEAGPIELFENIVTVAEAHKVSPRSSRSQKSDDPDLCVDNELGFAKDRTISRLTEINSREYLEGFEARLLQQQQQPLQGVDNGADIVLA >KQL01208 pep chromosome:Setaria_italica_v2.0:VI:11030522:11037182:1 gene:SETIT_013582mg transcript:KQL01208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVVRSLRQLRRFTQHHAESHSSTTRLIRQQNALIMCSSTSRSLSTLHRSGEITRFASPCVDLMRSMFSTVAADSIKDIGRGGPMVEYERRIASGELVDGDSFQVDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLIAQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRQLFSKGVILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIAHPIGSAVDYRQLGSAKQGFYFVGKHYSTLLKQKLQSLIGDEEPSPQTVEVIMGRKLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGVPKFGSSNRTAAYRFVTLVDVMYENKARLLCTAEAGPIELFENIVTVAEAHKVSPRSSRSQKSDDPDLCVDNELGFAKDRTISRLTEINSREYLEGFEARLLQQQQQPLQGVDNGADIVLA >KQL01207 pep chromosome:Setaria_italica_v2.0:VI:11030522:11037182:1 gene:SETIT_013582mg transcript:KQL01207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVVRSLRQLRRFTQHHAESHSSTTRLIRQQNALIMCSSTSRSLSTLHRSGEITRFASPCVDLMRSMFSTVAADSIKDIGRGGPMVEYERRIASGELVDGDSFQVDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLIAQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRQLFSKGVILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIAHPIGSAVDYRQLGSAKQGFYFVGKHYSTLLKQKLQSLIGDEEPSPQTVEVIMGRKLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGVPKFGSSNRTAAYRFVTLVDVMYENKARLLCTAEAGPIELFENIVTVAEAHKVSPRSSRSQKSDDPDLCVDNELGFAKDRTISRLTEINSREYLEGFEARLLQQQQQPLQGVDNGADIVLA >KQL01209 pep chromosome:Setaria_italica_v2.0:VI:11030522:11037182:1 gene:SETIT_013582mg transcript:KQL01209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSVVRSLRQLRRFTQHHAESHSSTTRLIRQQNALIMCSSTSRSLSTLHRSGEITRFASPCVDLMRSMFSTVAADSIKDIGRGGPMVEYERRIASGELVDGDSFQVDTIQQLQRLYEELIENEEDCQLDRYKSSEKSGRSRWLWSRLIAQPSTYAPVKGLYLYGGVGTGKTMLMDLFYEQLPSNWRKKRIHFHDFMLNVHSRLQMHKGVSDPLDVVAAEISDEAIILCLDEFMVTDVADAMILNRLFRQLFSKGVILVSTSNRAPDKLYEGGLQRDLFLPFIDTLKERCIAHPIGSAVDYRQLGSAKQGFYFVGKHYSTLLKQKLQSLIGDEEPSPQTVEVIMGRKLQVPLGANGCAYFPFEDLCDRPLGAADYFGLFKKFHTLALDGVPKFGSSNRTAAYRFVTLVDVMYENKARLLCTAEAGPIELFENIVTVAEAHKVSPRSSRSQKSDDPDLCVDNELGFAKDRTISRLTEINSREYLEGFEARLLQQQQQPLQGVDNGADIVLA >KQL01309 pep chromosome:Setaria_italica_v2.0:VI:14530323:14533924:-1 gene:SETIT_013696mg transcript:KQL01309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPLPEAGLTDYERRREENIRRNETILASLRRKAAELSASIRPSSPKRPKKQHPRASPAGPIVLRRSLRTRGIPPGESSASPGANSSPPTPPSPTKPRTTRFSSSLASSLRDATATESTPRPEGGICAADGFDAGRELVLRPANVRRVVPGRILSVRVLPLADRTVVVAGNKVGHIGFWDVDGLVEDDEDDDGADGLFEYFPHRGAVGGIVVHPTDPRKIYSSSYHGEICLMDVEKESFNTIHLCDSPIFSLCQAPDSHSSLYFAEGNDLKHFDERAGKVSTTWNLHDNRINSIDFRPENPYLFATSSTDRTVRIWDMRSMKNKGPGCLKVWEYSRAIQSAYFSPSGNMLATTSLDDTVRIFNVDNFDDSCVLDHNNRTGRWLSTFKAIWSWSDSNLFVGNMKRVIDVISVDRSEKSLSASYTASLESEHMTAIPCRFSLHPYKVGHLAGASSSGKVFLWTRV >KQL00985 pep chromosome:Setaria_italica_v2.0:VI:7159166:7162291:-1 gene:SETIT_014034mg transcript:KQL00985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTEYMTYMFKYDTVHGQWKHSDIKIKDTKTLLFSEKPVTVFGIRNPEEIPWAEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEDKYTSDINIVSNASCTTNCLAPLAKVINDNFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRLQKGASYEDIKKAIKAASEGPLKGIMGYTDEDLVSTDFTGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSNRVVDLIRHMFKTQ >KQL00984 pep chromosome:Setaria_italica_v2.0:VI:7158789:7162391:-1 gene:SETIT_014034mg transcript:KQL00984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKIKIGINGFGRIGRLVARVALQSEDVELVAVNDPFITTEYMTYMFKYDTVHGQWKHSDIKIKDTKTLLFSEKPVTVFGIRNPEEIPWAEAGAEYVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEDKYTSDINIVSNASCTTNCLAPLAKVINDNFGIVEGLMTTVHAITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPELNGKLTGMSFRVPTVDVSVVDLTVRLQKGASYEDIKKAIKAASEGPLKGIMGYTDEDLVSTDFTGDSRASSTPRLESL >KQL00802 pep chromosome:Setaria_italica_v2.0:VI:5194261:5198717:-1 gene:SETIT_013590mg transcript:KQL00802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSALRRKAAEMASAAAAAAQCFSSYSSSPAAWALRQRGGSGARVLQLSLRRSFCVSAAAGGFDNQNREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEPVPPYERPALTKGYLFPPEKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPEKIGGKLPGVHYIRDVADADSLVSSLGSAKKVLIIGGGYIGMEVAAAACGWNLDTTIVFPEDHVMPRLFTPSLAKKYEDLYQQNGVKFIKGALIDKLEAGSDGRVSSAVLKDGSVVEADTVIVGIGAKPVVGPFEAVGVNPKVGGIEVDSLFRTSVPGIFAIGDVAAFPLKMYNRIARVEHVDHARKSAHHCVETLLTSQTKAYDYLPYFYSRVFEYEGSSRKVWWQFYGDNVGETVEVGNFDPKIATFWIDSDSRLKGVFLESGTSEEFSLLPQLARSQPIVDKSKLQSATSVEDALEIARSSLQSGASV >KQL00801 pep chromosome:Setaria_italica_v2.0:VI:5194261:5198507:-1 gene:SETIT_013590mg transcript:KQL00801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAAQCFSSYSSSPAAWALRQRGGSGARVLQLSLRRSFCVSAAAGGFDNQNREYVIVGGGNAAGYAARTFVEHGMADGRLCIVSKEPVPPYERPALTKGYLFPPEKKPARLPGFHTCVGSGGQRQTAEWYKENGIEVLYEDPVVAFDGKTQTLKTSSGKILKYGSLIISTGCEASRLPEKIGGKLPGVHYIRDVADADSLVSSLGSAKKVLIIGGGYIGMEVAAAACGWNLDTTIVFPEDHVMPRLFTPSLAKKYEDLYQQNGVKFIKGALIDKLEAGSDGRVSSAVLKDGSVVEADTVIVGIGAKPVVGPFEAVGVNPKVGGIEVDSLFRTSVPGIFAIGDVAAFPLKMYNRIARVEHVDHARKSAHHCVETLLTSQTKAYDYLPYFYSRVFEYEGSSRKVWWQFYGDNVGETVEVGNFDPKIATFWIDSDSRLKGVFLESGTSEEFSLLPQLARSQPIVDKSKLQSATSVEDALEIARSSLQSGASV >KQL00909 pep chromosome:Setaria_italica_v2.0:VI:6443941:6445722:-1 gene:SETIT_015600mg transcript:KQL00909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGWVLLLFLAQLHTLLSTSIAHRADGGNLTHLPVSFLCHPNQAKALLQLKKSFSFSRSTTRLSSWQNGTDCCLWEGVGCDPSSGHVTILDLNNRRLSTHGLDPALFSLISLQRLDLSMNDIGGGNIRSAGFERFTFLTHLNLSNSGLYGQIPPSISKLVNLLSLDLSTYNIDYSLGFYGPNYYDYYNNLWESSFDTFVANLSNLRELYLDSVDLSNSGEEWGTSLAAYVPQLQILSLADCHLSGPIHKALSRLHSLVVIKLQENFYEPSGRPFPEFFMDFPNLTVLQLSQTNLEGRLPSRPFQSKNLRVLDLSYNMNLSGHVPNFSNASSLETLRLDRTNFVYAIPTPSSNFTSLKELGLNRNLISMDFLSAFGRLESLHQLDLDCFLDNELDHDLDSGSDLGPIFSWIGQHKNLTSLGLFGCNFSGAVGNLTGLQTLEMHDCNTYGSMPSSIGNLTNLRNLYILYGFSGPMPTAIGELTNLRNLYIEGADFSGPMPAAIGELTNLRNMYIQYSGFSGSMPAPIGNLTNLEAMEISGQISGLIPNAIGQLNKLRWLVLQDCNFSGSIPSSIVNLTQLTMLDLSFNSLNGT >KQL01292 pep chromosome:Setaria_italica_v2.0:VI:14056554:14058513:1 gene:SETIT_014641mg transcript:KQL01292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRNADALALYFGEDPARCPFEQVTTLQNFVRLFTRSHEENCKQLDLEKKKALKEAEENSKQLDLEKKKAQKEAETDKTKNKSDNVEGCKKESENDKASIKESADEKAKLNNSIKELDISLQSPAQTASAK >KQL02359 pep chromosome:Setaria_italica_v2.0:VI:31980196:31981347:-1 gene:SETIT_013784mg transcript:KQL02359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVALDNLAGTHVDAEEVVLQQEVDDGWVVGVDEREHGGLLLKAGGLVGVVGPDVGEGGLVLQRPLRGVGVGQGPDRDLVGGASAAVGVDEAQLAGADPDVAEHVQHSAGLPVPEQARPGERQEAEHGVVGEGVGGDDAPGVVDPPEPAVVGERADRRQGPRRERVVHALDDPLAEVGEVAAEAGLVAAEHGHELSNHVAAAAAGAGDEADERLVLDGLLRAGVREAERGAGGGVEDEIARLGVPPVEGLVARAVDVDAGERGGEDGREEEQARGVRGEHEREVLRRGAVREDALGDGQARHARGRCERERQVGGLGSAAAALDDARDAAEDDPRGPRRRGGGVVGGGGARRGRHLRMICGGAVVVVVLLLGRRARGRRVLLG >KQL00785 pep chromosome:Setaria_italica_v2.0:VI:4964238:4967396:1 gene:SETIT_013816mg transcript:KQL00785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERYSITPIMLGRLVAVTSSALSTDSTCLQSPFLPPLPFLPLLPRPARNLLEDATNPSSQLPTQSIIRPHQIPASLSQAPIGFRFQTRVNSSRRCCSRISSRRATSPVGRQYPKMASSNGETEHQWIENIKSGGAVPCLAPESCPNGWATPPGDSFMVRGPEYLTNKVKIPGGESLLKPLGFDWIKGPAKICEILKDKNHRVRKAIDEEVSHGKQHFVWAFNLQLPKDNYSAIFYFVSLEPVPEGSLMDQFLKGDDAFRKSRLKLIANIVKGPWIVRTAVGEQAICILGRALTCKYVQGSNFIEVDVDIGSSIVANAIVHLAFGYITTLTVDLAFLIESQTESELPERLLGAVRFSELNPGSAGHYERQSEEHQESTQSRSVGFWQGFWSNNQGNPTEPSPSLQNTNGNLHKEEANENAK >KQL01960 pep chromosome:Setaria_italica_v2.0:VI:28499871:28500608:1 gene:SETIT_015096mg transcript:KQL01960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSARNVMFRRLKTLTISPALASSVTSQHHQLQQRAPMSGTAKEKAKLKAGQPLKRSSIGAKKGTPSTGGGGGGRGCREAMERITQISQSCLKCPYSPKALGGAGWHVLMGPPGLDYISLGLVDEEAIPKYELTIEDGRQLTKEYSYVLMRRHRARQTAESSLLTLKKEAIAVLPEKLRAAAMVPDLTPFPANRYMATLTPPI >KQL02746 pep chromosome:Setaria_italica_v2.0:VI:34337871:34339674:-1 gene:SETIT_015574mg transcript:KQL02746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGCYSAYACSRKLRSRISFVLPVTERDRDDRSSSDGASTASPSPSPSPRKDADAAPAVVRTTAAEFARRYALGRELGRGEFGVTRRCRDAATGEALACKTIRRPRRPRGGGGRLGGPAAAPAAGNPGASPGQDRAAAAAAAHAADVQREVAIMRRMSARGGAAVVRLREACCEDGGGAVHLVMDLCEGGELFDRIVARGHYSERAAANIFRTIVGVVQLCHSDGVIHRDLEPENFLFANKSEDSPLKRSVHGGGGERYYMAPEVLKRNYGPEVDVWSAGVILYIILCGVPPFWGDNDEKIAQAILRGGIDFNREPWPRVSAAAKDLVRRMLDADPSTRPTARQVLEHPWLKNADAAPNVSLGDAVRARLQQFSAMNKFKKKALGVVARSLPVEELDKYVQMFRLMDKDHNGNLSLEELMEGLHINGQPVPEPEIRMLLEAADTDGNGTLDCDEFVTVSLHLKKKASDEYLASAFRYFDKDGSGFIELEELREELGPNEQVILDIIRDVDTDQDGRISYQEFELMMKAGTDWRNGSRQYSRANFSSLSRKLFKDMP >KQL01249 pep chromosome:Setaria_italica_v2.0:VI:12156703:12158045:-1 gene:SETIT_014599mg transcript:KQL01249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRPALARFGPNDQALAAVVVAPQRRRMARFLATTGEEGIKKGWGLGRSEGGSQCSARGGVRAAGGAAGEERRPTATDALPCRRGEKRGGRRGSAWGGVRAARARRCSARVRKGGQWCHAWGGLEERGRSDGRSSGRGVATAE >KQL00575 pep chromosome:Setaria_italica_v2.0:VI:3205684:3206040:1 gene:SETIT_015761mg transcript:KQL00575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTGLIILLLAVGAGINFGNCDGLSGPLLSFVGVVAGANMIAAGVRTADDPAAPIDPAPAAFAGARAFMRRNLAVVGLVMVSSASTAVAGETGPAFSFMMFVLLVFGVSLINIGVHGT >KQL02155 pep chromosome:Setaria_italica_v2.0:VI:30445739:30446461:1 gene:SETIT_015349mg transcript:KQL02155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFDMLNSHPEAQLELMNAMLQLEQLTAFPDHGAMMMVPSTPPSPPCMQQAPHHHFSTVPHHMSTGGGANGGRATYHDDHQYSTVTARSESYVARDVYPAGGGGDNGGDQGGATAAVGSSAMREMIFRVAALQPVNIDPEMVRPPKRRNVRISTDPQSVAARMRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAAAASGRRPAAPPADDGGAAPYPGRVNGQW >KQL02938 pep chromosome:Setaria_italica_v2.0:VI:35401972:35406325:-1 gene:SETIT_013414mg transcript:KQL02938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWFEDGFIDAPGSTANIQGTHLPEKPDIASGTNSPTSACRSSLDGRPNRGGLAAGDTNVDLRSQPPKRSRGCLLLGLVCALFLKEDCRKFDDSENQVDGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMTTSLLWLAIEIGVGIAVLVICFTNNNSERIIQDRLGNGFPRPAFATIVIIFTLLSLVACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEEPQEEEDQEGVNIVYSPTNSATTGFSGATSLSLHYKGSWCTPPRIFVDQDEVIPHLEPGMVPSTVDPDAAGHTERAIKTKKQVKISAWKLAKLDSNEAMRAAAKARASSSVLRPLDARRGPGSSLSSSGNASMRSSMSADYSASATKEKWADNKLSSLHSSSYPQSLASQDDYESGTQSASSVSSPVHIHKPVPHTQISVPPRAPPPPPRPAPVVPRPPPVPTTQISNPVFQSATSYVRENRKVSVVWDQEAGRYVSVAPAPTRPGAAGGDQAAWAPRFLANPGGEPSNRRNLAPVNASSSALPSGQPSERLAYTGQSIFFGGPLLGAAAAAGPRRSDDAGTRARLEESWELTARQNDAGGDRRRTTESLPVFAPGTFQKNPPPFNR >KQL02939 pep chromosome:Setaria_italica_v2.0:VI:35402408:35405051:-1 gene:SETIT_013414mg transcript:KQL02939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWFEDGFIDAPGSTANIQGTHLPEKPDIASGTNSPTSACRSSLDGRPNRGGLAAGDTNVDLRSQPPKRSRGCLLLGLVCALFLKEDCRKFDDSENQVDGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYFTFLALMTTSLLWLAIEIGVGIAVLVICFTNNNSERIIQDRLGNGFPRPAFATIVIIFTLLSLVACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEEPQEEEDQEGVNIVYSPTNSATTGFSGATSLSLHYKGSWCTPPRIFVDQDEVIPHLEPGMVPSTVDPDAAGHTERAIKTKKQVKISAWKLAKLDSNEAMRAAAKARASSSVLRPLDARRGPGSSLSSSGNASMRSSMSADYSASATKEKWADNKLSSLHSSSYPQSLASQDDYESGTQSASSVSSPVHIHKPVPHTQISVPPRAPPPPPRPAPVVPRPPPVPTTQISNPVFQSATSYVRENRKVSVVWDQEAGRYVSVAPAPTRPGAAGGDQAAWAPRFLANPGGEPSNRRNLAPVNASSSALPSGQPSERLAYTGQSIFFGGPLLGAAAAAGPRRSDDAGTRARLEESWELTARQNDAGGDRRRTTESLPVFAPGTFQKNPPPFNR >KQL00493 pep chromosome:Setaria_italica_v2.0:VI:2534373:2536674:1 gene:SETIT_015551mg transcript:KQL00493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAASRRRGRNEPPPPAPHPEPVEQEMGPAAVPRLPPPAVFAGKLDPAPDTAPVERARGPVAAPCLPPPANFARMPGPAPRVASSPSPSPHKLQARPPTPPPPSSSSSSSRRRRRKASEDQEARDWASLPRDALADVLRKLGHIEILMGAGQACRSWRRAALEDPLLWRRIDMRGHADLFNQVNLHGMAQAAVRRRRPVAPSLKSLRLISCYDVSNEGFAEAIKKLPLLEELELSLSSNVFGQEVFETVGKSCPQLRRFRLSKHGFCSFEAADYNMDGEALGIANMTELRSLQIFGCSFTKFGLKVILDSCHHLESLDIRHCFNVEMDNILRAQCAGIKTLRLPDDSIDDYEFVVAAPIWDDHSQSEDDSDGDYMGSDVYYELDTELDDDDDIYDPSNYIDGMDKDDEEARMILRSLWALMK >KQL01303 pep chromosome:Setaria_italica_v2.0:VI:14516075:14518209:-1 gene:SETIT_015849mg transcript:KQL01303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCRWQHKRQRWVQPEWQHDNIQMLELKWDPGVMAEEHLLLQNAHQKMTILTVVSCLPILSRAGLVNGMYDQPVKRPTGST >KQL00380 pep chromosome:Setaria_italica_v2.0:VI:1712791:1717937:-1 gene:SETIT_013336mg transcript:KQL00380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASLSTFVMSMDSRFTLVHCQTSAAPSPAPSSPSPPPPSSSSSTATNSTFWSNVVALLDALPSAAAPTGFASLSRGNGTNRAFVRGICRGDSAGGDCARYLRSAAMGIRSRCNSNSRRAAIWYDDGSTVTYPAPMFCFVSFADTNTSTPDEQKYRHEMHNTGQARDKGAFENTYYTLMTRLAARVVNGSGDTAPASFPEAPMFATGEAVYDPGVPNGTMYGLMQCMRDLTAAECDKCLQDSVPRLPSCCYGNLGGVVLGYNCYLRMEMYTYYDLKLDPPPPPAPAPSNFIGQSAGKKGLGSTLAVALPGGGTVLIALVILGVFLRRRNANRKRTPDDNSSKEEDIGYVEPEQLNLAVLRDATDNFSEENKLGEGGFGEVFKGTLQDGEEIAVKRLSQNSSQGFQELKNELVLAAKLEHRNLVRLLGVSLQEEKLVIYEYMPNRSLDTFLSDPVRRQQLDWSKRFSIICGIARGLLYLHEESRLKVIHRDLKPSNVLLDAKMNPKISDFGIARAFGGDQTRDITRRPVGTLGYMSPEYAYWGHVSTKSDMFSFGVIVLEIVTGRKNNSAYNDNSDSISVLGHVWDKWRAGSMVDVVDPALAESGYPESELLNCIEIGLLCVQENPADRPDASAVVLMLSSPTSTSDDRHRAPSRPAFVFSSGFTESDHPSRSSVEISDGVPLINGKQSSTTSVSENEMSISELQPR >KQL02745 pep chromosome:Setaria_italica_v2.0:VI:34335881:34336538:1 gene:SETIT_0135991mg transcript:KQL02745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTRNYFENLVQALEAGLEDVHATGQAAATSSTATSSKKGGTKSKKKHAKPSSDHTDDGWPCERCTYLNPPSVDVCSICEKSRYQT >KQL01282 pep chromosome:Setaria_italica_v2.0:VI:13294510:13296227:-1 gene:SETIT_015361mg transcript:KQL01282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSIRAGRRVVCFPFPYQGHFNPMLRLAGALHAGGVAITVFHTDLRAPDPDDYPSDYRFVPVPVHVPTELMGSEDIARLVTELNVSCAAPFKERLAALLAEEEEEEEEPGGVRCVVTDVIWYSAQAVARELGVPALGLMSSSAASFRNFMVYPALIEKGYLPVQEDHTDGPVDVLPPFRVRDLQRIETSSLNDFASLLGLIINTIEAIETVELDKIHEDMSIPVFVIGPLNKFSPPQDRRCLDWLDTQTPGSVIYVSFGSLAAMDPHEFVELAWGWADTFQPAYKLKRTSPGDRGRIIDWAPQDEVLAHPTVCAFLTHNGWNSTMEAISEGVPMISRPLLGDQYGNAMFVCEVRRVGVEVEVENQLERLRIRDAIEKLMSSKEGKEVRERMTSLKETAENGIKESGSSHTAFLNLADLIFSL >KQL00790 pep chromosome:Setaria_italica_v2.0:VI:5055143:5057187:1 gene:SETIT_013549mg transcript:KQL00790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDWLFYSLTTLLCLLCSLLLRAGRARSLGGSKAHAAADSLPPLPPGPAPLPVLGPLLFLARRDFDVEPALRRIARDHGPVFTFAPLGRSRPTIFVAGRAAAHRALVQRGAAFASRPPTTGAGVVLTSGGRNVSSAPYGATWRALRRNLASGVLNPARLRGAFSPARRWVLDVLVSRVRSDGRGGESPVPVMEPFQYAMFCLLVYMCFGGDRVVDDDDARVRDIEATQRELLANFLSFQVFSFLPSVTKLVFRRRWEKLVSLRRRQEELFLPLIEARRDAGADGDCYVDSLLKLTIPEDGGRALTNGEIVSLCSEFLSAGTDTTATALQWVLANLVKNPEMQGRLRDEVAGVVGAAAGEVREEDLQAMPYLKAVVLEALRRHPPGHFLLPHAVHEDTTLDGYRVPAGAPVNFAVGDIGLDGEVWDAPSEFRPERFLPGGEGEDVDLTGSKEIKMMPFGAGRRVCPGMALALMHLEYFVANLVREFEWREADGEEVDLAEKLEFTVVMKRPLKARAVPLRSPPPTVAAA >KQL01205 pep chromosome:Setaria_italica_v2.0:VI:10952309:10953681:-1 gene:SETIT_015917mg transcript:KQL01205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCASACGPPLPFPDLFRGSLGAGGRAARAPHSVCGEAIRLRTNAGFGAIAVERECRARRAGRCFTFARSRRLLLCLAYCGRP >KQL01204 pep chromosome:Setaria_italica_v2.0:VI:10952638:10953681:-1 gene:SETIT_015917mg transcript:KQL01204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCASACGPPLPFPDLFRGSLGAGGRAARAPHSVCGEAIRLRTNAGFGAIAVERECRARRAGRCFTFARSRRLLLCLAYCGRP >KQL02149 pep chromosome:Setaria_italica_v2.0:VI:30313955:30315640:-1 gene:SETIT_013814mg transcript:KQL02149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPDGVVQHILSQLSNARDVAACAAVARCWRGCVPYLPSLYFPRGAFEAASGGSASSSAVAAADDAIGRMVAAAARLEELVVYCPFSASLLPRWLAARAATLRVLELRVDSAAADKSGHLDCIGVAAGLEELRLWGLTMTRPPAWGRMERLRVLEIIGTELGEAVVNGAVAACPNLTDLALLGCECAGEAVISLPLLERCRLDFVGGGNCSLRLAAPRVESLEVQGFSWISMQGGDRLKHLTISKNTGTVYHVEMGKLPELDHLSLRGVQWSWGAISSVLQCASEVKHLVMKVEFCGDIDQLQPFPEIDLVQFFNSHTKLCKFEIHGAMFAALCQKNSLKNLDSRFVIPCLEQVLVTVRSPLNAEQKLSTLESLVRYSVRLRRMIIRISQMKNCHEAADDFFAEICKFTYMNSESVCIE >KQL02246 pep chromosome:Setaria_italica_v2.0:VI:31180937:31183170:1 gene:SETIT_015737mg transcript:KQL02246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTQPPSSPDDPEPPVAREMDDEDLVEELLVTVNSARAFAEFRRTQRKECANLLRWLQLVLPLLEELRDAAPRLTDDAYRRLALLGRALAAARRLLRSCNDGSKIYLALECETVLAKFRNVYEKMHSALDGMPYAELAISDEVKEQVELMNAQLMRCKKRTDTQDMELSMDIMVILQNNEDERNADRAILERLAKKLELQTLAELRAETKAIKKIINERNGQQADSTKQIIDLLNRFKEIAGIDEKNVLGDVSMPKSLDKCPSLMIPNDFLCPITLEIMTDPVIVASGQTYERRSIQKWLDSGERTCPKSRQPLDHLSLAPNYALKNLILQWCEKNMVELQKREPEPAAGEQDDKRKEDIPSLVEGLSSIHPDVQRKAVKKIRMLSKESPENRQLIADGGGIPALIGLLACPDKKVQENTVTSLLNLSIDDKNKLLITRGGAIPLIIEILRNGTPEAQENSAATLFSLSMLDENKAAIGSLGGLAPLVELLRNGTARGKKDAATAIFNLVLTPQNKARATHAGVVPALLGVIDDKGLGMVDEALSIFLLMSSHAACRAEIGTTAFVEKLVRLIKEGTPKNKECALSVLLELGTNSKPLLVHGLRFGLHEDLSKISKNGTSRAQRKAKSLIQLARKL >KQL01455 pep chromosome:Setaria_italica_v2.0:VI:19867177:19867820:1 gene:SETIT_0152531mg transcript:KQL01455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPELDLVEMELSEMSWVKSAMQFARLSSVEELTSRVSKMKYYGTNKSDYVKQPIQRDALAEILRYLSTGPVGYSVQYGITWKAGKDDGEAGMTWLRTLYEYMALHVSYDPRAAYVNYIDLDLSMEVAPATTTNASNFDRLVQAKTHIDPANVFNNAQSIPPLERHD >KQL00618 pep chromosome:Setaria_italica_v2.0:VI:3497285:3501270:1 gene:SETIT_013368mg transcript:KQL00618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQRAGPILHTWRYTDTRHGARHLEAIASILLLHGCAPVSRRVSSSRRAASCIDWFVTLVRGMPQEIGIPAVRSGDRNPSLLSSEANPSPSLLTPARVLLSPDFAQIESPAARSSDQAAHRPRRAGGVMSMLDAFFKGGGGGFRGAKCKTLLKLTIPRIKLLRNRRELQLRQMRRDIAKLLEAGQEATARIRVEHIIREENMMAAQEILELFCELVAVRLPVIEAKKECPIDLKEAISSICFAAPRCADLPELMQVQMMFATKYGKEFVAAASELMPDCGVNRQIIELLSIRPPPVDAKLKLLKEIAEEHEVDWDPSETETEFLKPHEDLLNGPTYFNGSTLPLPKEKHEETLAASAAEQPDEDYESDTGLDSLDLPEVPKAAIRPPTDAPSTPDIGPHDQSSQSTPHEFSNPNLPSVPKAAVCPPPDVPSTHDIGPHAQTSQSIPHEFSNPTDLEENPTADGIFKIQMKSLEHLISGPSAQSSMPDLPNEKKQFIPFASPPPVVATSSMEKNESIPSPSPSPVNPVEPEIFTKKIDEVPPTDYMFSKQPEQVHTISPTGSGAEIDLDDVLSAAQAAAESAERAASAARAAANLAQLRIADLKKNTRSYSDGVPQESHHQTEPTQKPVFDHQDSFTNNTQDYVPSHVPQRSPSLEDDPYFSYPNLFSPPKP >KQL03036 pep chromosome:Setaria_italica_v2.0:VI:35893808:35898872:-1 gene:SETIT_014640mg transcript:KQL03036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAANSRPTQGADPAAVAAAGGVDPNAAAPAGGDWRTLLPPEARSRIVNKIMEALKKHRPVSAPEELSELQKIAVRFEEKIYTAATNPSDYLRKISLKMLSMENPGNAQVIPNQNPPGPGIAYDPTHKRT >KQL01665 pep chromosome:Setaria_italica_v2.0:VI:24457446:24460322:-1 gene:SETIT_014312mg transcript:KQL01665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWIRQAESWAGQAESWIRQQPPEQIYVAAAVVAITILVLIAASCLKSSKPNTIVLSGLNGGGKTTLFYQLRDGSSHQGTVTSMEENNDTFVLHSEQERKGKVKPVHIVDVPGHARLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKAIVVKKKVPLLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADITDEVQLGVPGEAFNFSQCQNKVTVAEGAGSTGNVSAVEQFIRECVKA >KQL01666 pep chromosome:Setaria_italica_v2.0:VI:24457446:24460322:-1 gene:SETIT_014312mg transcript:KQL01666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWIRQAESWAGQAESWIRQQPPEQIYVAAAVVAITILVLIAASCLKSSKPNTIVLSGLNGGGKTTLFYQLRDGSSHQGTVTSMEENNDTFVLHSEQERKGKVKPVHIVDVPGHARLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKAIVVKKKVPLLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADITDEVQLGVPGEAFNFSQCQNKVTVAEGAGSTGNVSAVEQFIRECVKA >KQL01664 pep chromosome:Setaria_italica_v2.0:VI:24457227:24460630:-1 gene:SETIT_014312mg transcript:KQL01664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWIRQAESWAGQAESWIRQQPPEQIYVAAAVVAITILVLIAASCLKSSKPNTIVLSGLNGGGKTTLFYQLRDGSSHQGTVTSMEENNDTFVLHSEQERKGKVKPVHIVDVPGHARLKPKLDEVLPKAAGVVFVVDAQDFLSSMQAAAEYLYDILTKAIVVKKKVPLLIFCNKTDKVTAHSKEFIKKQLEKEINKLRESRNAISSADITDEVQLGVPGEAFNFSQCQNKVTVAEGAGSTGNVSAVEQFIRECVKA >KQL00699 pep chromosome:Setaria_italica_v2.0:VI:4067187:4069005:-1 gene:SETIT_014696mg transcript:KQL00699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATRRLLPALLKTLAPTGARGLSTEKAVGAAAVVGSHTAKWMQDTSKKSPMELINEVPPIKVDGRIAVCEGGRQQN >KQL00698 pep chromosome:Setaria_italica_v2.0:VI:4066823:4069005:-1 gene:SETIT_014696mg transcript:KQL00698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATRRLLPALLKTLAPTGARGLSTEKAVGAAAVVGSHTAKWMQDTSKKSPMELINEVPPIKVDGRIAVCEGASEGVGLGHPIEYICLDLEAPNVCKYCGLRYVQVHHH >KQL02841 pep chromosome:Setaria_italica_v2.0:VI:34908550:34909611:-1 gene:SETIT_015301mg transcript:KQL02841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFPLGGGGGGGGHHHDGRGDHHHPHVNPSSSGSDSATAAAAAAAAYLYTTAAAAPRGGGFQLWPHHPAQEHHHFYAPNIIRFADDPAAGSSRGGRGSASGAGAGTISCQDCGNQAKKDCAHMRCRTCCKSRGFDCPTHVKSTWVPAAKRRERQQQLTTSGGGAEPSKRPRDTQPSSTTATTTSSGEQQQQMAMVGERFPREVSSEAVFRCVRLGPVDEADAEVAYQTSVSIAGHVFKGILHDVGPDPSVAAGGGGYRHAAEGSSPSTAAAGEGSVAGPVSSSAVVMDPYPTPGPYGGAPFFHGHPR >KQL00674 pep chromosome:Setaria_italica_v2.0:VI:3921645:3922592:1 gene:SETIT_014445mg transcript:KQL00674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPPYKPAPRHHADPSPPAVVSSQSTPIPFLPTRHRPRFAGAMTRGGDMKSFFRQQKAHAATKPTGGVSKKTAAAAAAHHHQKAAPALHVNAATDHGRQQEEAEAEERERAARSFDMDMRYGPCLGLTRAQRLRRAAALGLAPPPALLALCTDDQPCLWEGRV >KQL03042 pep chromosome:Setaria_italica_v2.0:VI:35911398:35913808:1 gene:SETIT_014543mg transcript:KQL03042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPIPMPPDMTLRRLPTAILGLSPSLPCLLSRPRLYLAARRPRAVAARASSSSSSPDSSFGARMEDSVKKTVADNPVVIYSKSWCSYSMEVKALFKRIGVQPHVIELDHLGAQGPQLQKVLERLTGQSTVPNVFIGGKHVGGCTDTVKLHRKGELASMLSELDININNS >KQL03041 pep chromosome:Setaria_italica_v2.0:VI:35911459:35912687:1 gene:SETIT_014543mg transcript:KQL03041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPIPMPPDMTLRRLPTAILGLSPSLPCLLSRPRLYLAARRPRAVAARASSSSSSPDSSFGARMEDSVKKTVADNPVVIYSKSWCSYSMEVKALFKRIGVQPHVIELDHLADPFQALKDHNYKRF >KQL00695 pep chromosome:Setaria_italica_v2.0:VI:4058171:4060097:1 gene:SETIT_014023mg transcript:KQL00695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTDYYNVLKVNRNATEEDLKKSYRRLAMKWHPDKNPGDAKEEAEAKFKKISEAYEVLSDPQKRAIYDQYGEEGLKASADGGGSSSMNGSANQRFNPRNAEDVFAEFFGSSKPFENMGRAKSMRFQTEGAGTFGGFGGNENKFRSHKDSVGTSSNQARKPPPVETKLPCTLEELYAGSTRKMKISRNVVKPNGQIGTESEILTIDIKPGWKKGTKITFPDKGNEHPNQLPADLVFVIDEKPHDLYTREGNDLLVHRKISLVDALAGTTVNLKTLDGRDLVIKLTDVVTPGYELVIAKEGMPIVKENGRRGNLRIKFDVDFPKRLSSEQRHNIRKVLGGQPQ >KQL01394 pep chromosome:Setaria_italica_v2.0:VI:18762783:18763430:-1 gene:SETIT_015758mg transcript:KQL01394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGNAHRRSASSAFVSLAVVVLACSSFLTSSVAEAASPCSSHTFTGNGGGRLYASCADLPRLGATLHYNYTAATNTVAVAFRAPTQGEDEGGWVAWGINPSGRAGMVGTNAVVAFRRANGTLAAYPTVLDSYAPSMAPAAPGDLAFPVSGVAAEHDAEGKEMVVYATVVLSGGKGSKFTQVWQRGNAVVNDVPAAHPTTGDNVLSTATIDFSD >KQL01751 pep chromosome:Setaria_italica_v2.0:VI:25458204:25460402:-1 gene:SETIT_014931mg transcript:KQL01751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCFIFNKLPDRYLVIIDDLWSKQAWKEVQCAFPQNNNASKIITTTRIEDVAKYCSIPHKEYIYPMMPLDSDDSKSLFLKRIFYHKDDCPLELKEVTDDILRKCHGLPLAIVNIASLLATKPICKREWERVRNSLGSANEQDHQLELVKRILFLSYSDLPHYLKICFLDLSIFPEDHVIGRLCLIRKWIAEGFIAEQQGQRLEDTAENYFGELINRNMIEPVGTDYSGRPRACRVHDIMFDLIISLSVKENFVTIMDNHKLTPSVNKIRRLSLQGNCAEQSLWLGANSLSQVRSFTVFGDVGKIPSLLNFHILRVLDIQNCPTLEDRDIENIGSLSHLRYISLYNSNIGKIPSQIGRLQHLQTLDLRATRIKELPATIAQLHQLVRLCVPNGVGLPNGIGDMTALEELSMLDASKNSPEVVQQLGNLTKLKVLGIKWCGDNAINDEGSFKKSLISSFSNLGERNLHSLRIDTTERCSMDFLFDSLCPRPCHVQMSSNIPIFTRLPKWISYLSVLTNLVIFIEEVGGGDVDVLKDLPALRCLQIFTTEYPQESLTISPNGFQCLEDFHFRPSMYMKKKKGMMSLIFEAGAMPRLNRLWFRFVVHDTLSTYGANFDFGISLLSSLKCLWVSINCRGSMVWEVEAAKATITNAAALLPNCPRHEIHIFGEEEMVEDEEQKENSGTADQPDGAPTRQSKILKVFGISFSSFEVVFQAQLAAPVFILFTYVVGLGYD >KQL02971 pep chromosome:Setaria_italica_v2.0:VI:35560114:35561440:1 gene:SETIT_015190mg transcript:KQL02971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSYPSLPPLAPPSPSPHRRRSRDGALFPSSPRHWSQPIHLPVCLICSRVPLQAPKKDKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNSVLFDQATYDKLLTEAPKYKQITPSVLSERLRINGSLARRAIKDLMARGLIRMVAQHSSQQIYTRATNT >KQL02208 pep chromosome:Setaria_italica_v2.0:VI:30883148:30884092:-1 gene:SETIT_014706mg transcript:KQL02208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTWRPGMVGIVVGIVVGIDGMVVGIEGIGGSVTGTAGMFGRDGMAPAAAGGRVTFGMGMDGIGGIAIFGIAGSGMEGTGGSVVGTAGMEGTGGSVVGTAGVDG >KQL02957 pep chromosome:Setaria_italica_v2.0:VI:35512028:35512518:1 gene:SETIT_015939mg transcript:KQL02957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQRLLELLLHCIPLMRAAKATLAARGAHRVLNVVVTLLQAHHPLSHW >KQL01181 pep chromosome:Setaria_italica_v2.0:VI:10236337:10236698:-1 gene:SETIT_015952mg transcript:KQL01181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCRGCGSSPTGQGFFTIHTDCNTVACDFLGYFLMSKLMPHACI >KQL01296 pep chromosome:Setaria_italica_v2.0:VI:14227029:14227391:-1 gene:SETIT_015186mg transcript:KQL01296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGQVTTVTFSVKVTVGGQTGIALIDTGSSSQLTSGAIIADTAFCFLVFQRSRGAEEFLVEKGQALANPSIPTLEKKKPIFRHLLPSGNIII >KQL02033 pep chromosome:Setaria_italica_v2.0:VI:29287633:29287923:1 gene:SETIT_014912mg transcript:KQL02033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARALLSSRLAGVCGAKVADCGRRTYSAAAAAAARKEPVEVASKARLPGAAADKPKEEESFWMRDPRTGCWMPETHMGDVDAADLRARLLFAKKD >KQL02042 pep chromosome:Setaria_italica_v2.0:VI:29385323:29386811:1 gene:SETIT_014323mg transcript:KQL02042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSVASVTLHEPATRLQLYKEWQLCSSLRQVQAFGTASYCCTPALQLLTAPAVASPAFPIITSSPPSSSILSLKPLRFPLSLHFIRESRVRVSLAAASPASKEEEAEGGGRSDRPMAKGGLSKLRCMIRRWHSSSRIARAPPSPGEDDGAIAGVEEDARGASFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFRTLVDRSGGGGSAGAAEAGCTVVGCEVVLFEHLLWMLENADPPPESLDELVEYYAC >KQL02855 pep chromosome:Setaria_italica_v2.0:VI:34978416:34978912:1 gene:SETIT_015913mg transcript:KQL02855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSLGLGEPSVKILCLYHCVNPREGYKFAPVRFHGFLCLNLEVNLAFRLFRV >KQL01119 pep chromosome:Setaria_italica_v2.0:VI:8685832:8686864:1 gene:SETIT_014547mg transcript:KQL01119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPSPSAVAVLGTAAYEHRCPSSPDDDYYEDDDFEFTPLLFRPRRRHGARTERPRTAEAAATQPPQQRARRGAPTSVAVVATPTPASRKVRWHDMAFGSVRVPAAMDMGEIRRRLHARRQAVAAAGAGNEPSSATAAAGWAPWRLIRSLSCKGVEAVAAAAAPVRLV >KQL01499 pep chromosome:Setaria_italica_v2.0:VI:20799211:20800683:-1 gene:SETIT_014725mg transcript:KQL01499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKKEAKNPSAPGTSTAELPSLGGPQAGQTPYDVPQQNQYSADYAPAHIGIMQTPLQDAGNGCTPKTSQPPYEPEKRNNSTSKKHAANGMSRGVKY >KQL02491 pep chromosome:Setaria_italica_v2.0:VI:32847118:32847507:-1 gene:SETIT_014944mg transcript:KQL02491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRREQAQRVGVQHHSAAAARQQKRSGWTGVRLRPWGRWAAEIRVPYTREKVWIGVFDTDKEAALAYDAAIFCFYGEDLPRRRRFNLPAVPHPDIAEDVRHQLSVTDIKDIAKKHARDALQEEEEEAAAA >KQL01101 pep chromosome:Setaria_italica_v2.0:VI:8272461:8277311:1 gene:SETIT_013208mg transcript:KQL01101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLEEIKNEAVDLENIPVEEVFQTLKCTNKGLSSEEAQARIDVFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALANGGGRPPDWQDFVGIVVLLIINSLISYMEESNAGSAAQALMANLAPKAKVLRDGRWSEQEAAVLVPGDVISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKGPGDSIYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLKAIGNFCIAAIAVGIVVEIIVMYAIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAKQGAITKRMTAIEEMAGMTVLCSDKTGTLTVNKLSVDKGLIEIFVKGVDPEEVILLAARASRVENQDAIDAAMVSMLSDPKEARDGIEEVHFLPFNPVDKRTALTYISRADGSWHRVSKGAPEQIMTLCKCRDDVVNKVHNVIDKYAERGLRSLAVARQEVPENRKDSPGGPWQFVALLPLFDPPRHDSAETIKKALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSALLGQCKDEAIASIPVDDLIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMILVIAILNDGTIMTISKDRVKPSPHPDSWKLNEIFITGIVYGSYLALMTVIFFWAMRSTDFFTNTFGVRSLHGSREEMMSALYLQVSIISQALIFVTRSRGWSFTEMPGLLLCGAFIVAQIFATLLAVYPTIRFAHIRGIGWGWAGVIWLYSAVTFLPLDVFKFAIRYALSGKAWDTLFEHKIAFTRKKDYGREEREAQWATAQRTLHGLQTPELAGILNERSSYRELSEIAEQAKRRAEVARLRELSTLKGQVESVVKLKGLDMEGIQQHYTV >KQL01910 pep chromosome:Setaria_italica_v2.0:VI:27842586:27845373:-1 gene:SETIT_013911mg transcript:KQL01910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWEAGGVHGSHEALLLQAAGSGAGADYGHAGPALLPWLGTAAAPGFSYMAPHHHHHQGPPPFGAEAAPFGFGGGYGEGAGGVGPQLGVFGLEPPHLPAPPPHGLLAAAGGGAGVPQGSRMVSGLLGTLQAELGRMTAKEIMDAKALAASRSHSEAERRRRQRINSHLARLRSLLPNTTKTDKASLLAEVIEHVKELKRQTSAVLGAAGEGEEEEASAAARRNLLLPTESDELAVDAGEDGEGRLLVRASLCCEDRAGLIPDIARALAALRLRARRAEIATLGGRVRNVLLITTADDDDGGEEEEGRQHDDVGGGHGGEDDDDAADGCGARASHHHRRHELVASIQEALRGVMDRKTASSGDTSSSSGGGGSIKRQRMSGAHEQGSL >KQL00393 pep chromosome:Setaria_italica_v2.0:VI:1758471:1761185:1 gene:SETIT_014404mg transcript:KQL00393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAVSYEEQRRRQVEANKRKLEELQLHHLSAAVREAAVKPSPAKKRKARVPRDAAAEPLRRSGRVANLPDKPKYREEVLDFGRKVRRTYSSGRKDLDNRVYATDEERTHAITKAEELEEELGSRFPIFVKPMTQSHVTGGFWLGLPTPFCRKHLPKRDETITLVDEEDDESDTLYLARKMGLSAGWRGFSIEHKLVDGDCLIFQLIERTKFKVYIIRARSYYKNED >KQL02254 pep chromosome:Setaria_italica_v2.0:VI:31227304:31229878:1 gene:SETIT_013317mg transcript:KQL02254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLITLMIIAVLVSAWPAAATPPSSCQRRCGDLDIPYPFGIGRGCYLYTGEGDTTFGLTCKLTADGTYKAFCYDVQVIGISLRRGQARIRSDIRSWCYNRTSMSMDKNNVWWSDFTDSQFRLSDEDNRFTVIGCNSLAYVKSANTGSKYMTGCMATCPAAGRLENGSCSGMGCCQAAIPRGINTYEVQFDDRFTTSRTRGFGRCSYAVLVEAAAFDFRTTYVTADDFMESTGGKAPLVLDWVVGKGTCREAERNATAYMCVSGNSECVDSRNGPGYLCNCSRGYDGNPYVPDGCQDVNECDDTRFKYPCSVPGTCVNTAGGYLCSCPDKTTGNAYNGTCDAKKSQLGVRMALGISIGVVVLVVATSCAYMIHQKRSLAAVKQRYFRQHGGLLLFEEMKSKQGLSFTLFTKEELEEATGKFDERNVLGKGGNGTVYKGVLKDKRLVAIKKCKLINERQEKEFGKEMLILSQVNHRNVVRLYGCCLEVEVPMLVYEFIPNGTLYQLIHGRPHGSRISFTTRLKIAHETAETLAYLHSWASPPIIHGDVKSPNILIGEDYTAKVADFGASALAPTDEAQFVTFVQGTYGYLDPEYMQTSKLTSKSDVYSFGVVLLELLTCRKAMNLQALEEEKNLSSHFLLAESENRLDEILDEQIKGEQSVELIEQVAELAKECLEMASDKRPSMREVAEELDRVRKMLQHPWGQQTCDEERKALLIGSPSTCPKVELSNGYVSLSDSAYLGVQSPR >KQL01531 pep chromosome:Setaria_italica_v2.0:VI:21284004:21288972:-1 gene:SETIT_014133mg transcript:KQL01531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIQLGCHTIRSHGPKVARLHMYDWIILLCLAVLDGLLNIIEPFHRFVGRDMMTDLRYPLKGNTIPFWAVPLIAIVLPWVVFAGIYFKKKNVYDLHHGILGILYSVLITAVITDAIKDGVGRPRPDFFWRCFPDGIPNYDNVTTDVICHGEKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLAGKLKAFDRKGHIAKLCLVFLPLLVASLVAVSRVDDYWHHWQDVFAGGILGLTVASFCYLQFFPYPFDNDAIWPHAYFQQLAETHSNGNANSFEVRPAELEDEEGHGGIALRDTSPILESMESGRRP >KQL02953 pep chromosome:Setaria_italica_v2.0:VI:35495392:35500123:-1 gene:SETIT_013482mg transcript:KQL02953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQSRRRGGNFRCPRRAALPAAAALLLFLLAAVALLYVSPPPVSDHPVLASSRRRRSAHALLNSSDGGGTDESERREIFRVPTNGSNVRDGLWGSKLASKFYGCSNSSSKFLDSNITTQPDCYLMIVTSGGLNQQRTGIIDAVVAARILNATLVVPKLDQASFWKDSSNFSEIFDANWFISSLSKDVKIVKELPEIGGKLRAPHRMRVPRKCTERCYLNRVLPALLKKHVIRLTKFDYRLANRLQTDLQKLRCRVNYHALRFTAPIQEMGEKLIQRMRERSKHFIALHLRFEPDMLAFSGCYYGGGEKERRELGAIRKRWKGLHPNPEKGRRQGRCPLTPEEVGLLLRALGYSMDVHIYVASGEIYGGPRTLAPLKALFPNLHTKETISSKEELAPFSKYSSRMAALDFIVCDESDAFVANNNGNMAKILAGRRRYFGHKRTIRPNAKRLYPLFLNRGNMSWDAFSSKVHMVQKGFMGEPKELRPGRGEFHENPSTCICERTDGKVKPRNDQVLDSSSDRGKAIGEPAVPNYTAEEVGESDDDEDAPAEKEMFDMEMDDDVLVRPEDPELEQILSD >KQL00565 pep chromosome:Setaria_italica_v2.0:VI:3045357:3047233:-1 gene:SETIT_015132mg transcript:KQL00565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYKMKGVFKGLKVISQIFVVKEHQMEIGHPTDVKHVAHIGWDSPTGSAASPSWMNGMKGSPDVSSLSSIGPSARTSWASQDFDEPRDISPFGIFPENASREATPYLDIPKPPRKSRRKKSKNDSPRASARSSRSSRSRSKSSFSSTADNIGANDRQPEIQTV >KQL03062 pep chromosome:Setaria_italica_v2.0:VI:36004895:36005521:1 gene:SETIT_015567mg transcript:KQL03062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYRQPNQEELHFTRTITGAGGSEYRIDGRLVSWDDYNGLFVATDDGHCRVIDVESIASKNLKELTALLEQISGSDELRREYDELEEQKKRTIVMERKQKKAQKEEAEKHLRLQQDLVC >KQL02524 pep chromosome:Setaria_italica_v2.0:VI:33055410:33060495:1 gene:SETIT_013713mg transcript:KQL02524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRPLAVALLLLIAVAGAAAEEEPAVVVADSAAGAVEAAAARAEEAAEVAALRAELEQLRAKISGLESDMVERSKELKNKDGDIAKLEKAIEERSQKIASLQAEIASLQAQGSIAAEEQAGKANARAVELEKQIQKLKKDIETQSSQRTALESRANDAEKKVEELTAKLNAIQKESDDKKRKIKKTERALKVAEEELMRLQLEATAKAKQLTEVHGAWLPPWLAAQYAQYLEVVSGHWNQRGKPAMQFFLQKASEKSAQAKKWAEPHIETAKTKWIPVAKEKLVVLKKNAEPYVQKISTRSVELYESSRVAVTPHVVKVKELADPYYQEAKKFSKPYIDQVAEITKPHVEKARTALKPYTKRVVHAYGSFLESATTYHRQVQATILDNLHQHEITKSLATKELVWFLASALLALPVFIIFRLLIDTFCTKKNKRSRGGNGNHGHRRHKRRHTDK >KQL02525 pep chromosome:Setaria_italica_v2.0:VI:33055410:33060495:1 gene:SETIT_013713mg transcript:KQL02525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRPLAVALLLLIAVAGAAAEEEPAVVVADSAAGAVEAAAARAEEAAEVAALRAELEQLRAKISGLESDMVERSKELKNKDGDIAKLEKAIEERSQKIASLQAEIASLQAQGSIAAEEQAGKANARAVELEKQIQKLKKDIETQSSQRTALESRANDAEKKVEELTAKLNAIQKESDDKKRKIKKTERALKVAEEELMRLQLEATAKAKQLTEVHGAWLPPWLAAQYAQYLEVVSGHWNQRGKPAMQFFLQKASEKSAQAKKWAEPHIETAKTKNAEPYVQKISTRSVELYESSRVAVTPHVVKVKELADPYYQEAKKFSKPYIDQVAEITKPHVEKARTALKPYTKRVVHAYGSFLESATTYHRQVQATILDNLHQHEITKSLATKELVWFLASALLALPVFIIFRLLIDTFCTKKNKRSRGGNGNHGHRRHKRRHTDK >KQL01748 pep chromosome:Setaria_italica_v2.0:VI:25413553:25416941:-1 gene:SETIT_015418mg transcript:KQL01748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ELLVETVPEMKLSKLACPICYYPFVSASDQSGDASSLECSTCKKVYPNKQDYWDLTVAVGSTEYTESMPAATELFRTSLVSFLYERGWRQTFRWGGFPGRTREFEMAKTYLNPTIGGTIVDASCGSGLFSRLFIKSGLYSLVVALDFSENMLKQCKEYVEHENISDERLALVRADISRLPFVNGSIDAVHAGAAIHCWPSPSCAVAEISRVLRPGGVLVASTFVEDIPLAIPILRIGRPYISRITGMNIFLSEAELEDLCRACGLVDFEFVRNGFYIMFSATKAS >KQL00198 pep chromosome:Setaria_italica_v2.0:VI:476973:478448:-1 gene:SETIT_013833mg transcript:KQL00198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPPAWADLLPEVLGEIVACLCDADVARLRAVCRAWHSTVACELQDQEQEEMPWIVIPNGSFCTIGDTGVFFNRIPGLPRNVTCLGATDSWLALDCTDDVFRRTNIVDLKCLEPRSDVKHEHNYLLHNPFYEKTVSLPELDSIAGHVNETFEIRKVLMRSASPNDLVAVTTNNDNCTIILCRPGKGRWVLPYLGVFDVAFFKDKLYGITRHEDLVAFDLADDDDGSPIIPKFKRVIRHPLADGEEDPWSWMDDDNDTDDGGGDHSFNPDDGQLVPSDHEVGVDEEVPYEPKDCIRTKLLMVRRQVQSPPFHPSYTRKVELFKADIDAGRWVSVTGRDALPEGEALFLSRSFSKSTRVYGDMTEGCIYFVDVDQVFDTRSSSCRPFRLPWQRIRADDNWLTWLFPPKLVV >KQL01326 pep chromosome:Setaria_italica_v2.0:VI:15723928:15726291:1 gene:SETIT_015299mg transcript:KQL01326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPPRLHPRRLLLLLRRRFSSTADAAADAAELAGALAAAPSLDAKRDLSAVLRRLGGRGLASALSSLPAPLPATFAHRLLQHVLSDSPARASPGEDLLTPRVSALLIPSLVADRAALPSARRLLSRLIRLHPLPIAAAAVADVACTATSDLLIHACLNSPAPRPLSHATDAFLTCNILVEALGCAGQLDAARKVFDKMRDGKAVGPDEYTYTAMIKALCRAGEVDAAFVMLAELRRAGLQPTVVTYNVLMNALCKSGRVEEAFRLKGRMVKGRVRPSVVTFGILIYGLARGERFGEVGAVLQEMEGFGITPNEVIYNELIGWHCRKGHCSEALRLFDEMVSKGMKPTAVTFNLIAKALCKEGEMERAEQILDEMLLSGMTVHCGLFNTVIAWLLRRTARPDSVLRLIREMVARFVKPNDALMTACTQELCNRGKHLEAAEIWFKVLEKGLGVSIATSNALIHGLCEGNNMKEATKVLRAMMNNRVELDNITYNIMIQGCCKDGKMEEAIQLRDDMIKRGFKPDLFTYNTFLCAYCNLGKMEKALDLLDQMKSEGLKPDIVTYGTLIDGYCKAKDIEKGKEYLTELTKVGLKPNVIIYNALIGGHCRNGNISDAIGVLGTMKSNGVQPTAVTYGSLMHWMCHSGLVKEAKAIFAQCIIKNIELGVIGYTIMIQGLCKIGKIDEAVICFKDMRSRDIPPNKLTYTTLMFAYCKSGNNEEASKLFDEMVSSGIVPDSVSYNTLISGCCEADSLDKVIAMPAEMPSRVFKQDGCSYNPL >KQL01331 pep chromosome:Setaria_italica_v2.0:VI:16262321:16264327:-1 gene:SETIT_015413mg transcript:KQL01331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLFTSTISAFLLILLTHSGHCPAAAFYLPGSYPQRYRPGDTLAAKVNSLTSPSSKLPYPYYSLPFCAPQDGVHHAAESLGELLLGDRIETSPYRFSMLNNTAPLFLCRTDPLTPGATELIKSRIDDTYQVNLLLDTLPVMRYVKSPIAPDTLLRSTGFPVGVRADDGEYYVYNHLKLTVLVNKQNGTTRVETLMATADGAELLSLNGGKDGGGGGYTVVGFEVVPCSVDHDAAAVRDKSMYDEIASKAAAGCDPSVVGMRVQGNRPLVFSYEVAFVESGIEWPSRWDAYLEMGGAKVHWFSILNSIVVVAFLAAIVLVILLRTVRRDLAQYEDLGGESGAQADELVAGWKLVAGDVFREPSHPVLLCVLVGDGVRILGMGVVTIVFAALGFMSPACRGALVTGMLCFYLVLGVAAGYTAVAVWKTVRRGDAAGWKSVAWRASFAFPGVGFAVFTVLNCVLWYNGSTGAVPFLLFLVILLLWFFVSVPLTLAGGLVASRARHVEFPVKTNKIARQVPAAQCSPWVFVAVAGTLPFGTLFIELFFIMSSLWLGRVYYVFGFLLVVLALLVTVCAEVSVVLTYMGLCVEDWRWWWRAFFASGSVALYILGYAVYYLVFDLHSLAGPVSAALYVGYSLLMVLAVMLATGAVGLGASFCFVHYLFSTVKLD >KQL00968 pep chromosome:Setaria_italica_v2.0:VI:6964017:6967078:-1 gene:SETIT_013849mg transcript:KQL00968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASRPLPLRLAVPLALALILALALVTDFLRASSSSFSGRISALSSSTKTVKKGKRAKDDVERLMGHLNATYADLPAPRWDWEEMPAAPVPRLDGAAVQIGDLLYVFAGYGSLDHVHSHVDVYNFSSNTWTERFDMPKEMAHSHLGMVSDGRHVYAVSGQYGPQCRASINRNFVLDTETKEWHELPPLPLPRYAPATQLWRGRLHVMGGGKEDRHEPGLEHWSLAVKDGKALENEWRAEVPIPRGGPHRACVVANDKLFVIGGQEGDFMAKPGSPIFKCVRRHEVVYGDVYMLDDGAKWKQLSPMPKPDSHIEFAWVVLNNSIVIVGGTTEKHPITKKMILVGEVFRFDLETLTWSVIGRMPFRIKTALAGYWEGWLYFTSGQRDRGPDNPAPKKVVGSMWRTKLHL >KQL02629 pep chromosome:Setaria_italica_v2.0:VI:33629460:33631292:-1 gene:SETIT_014131mg transcript:KQL02629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWLLASPEESLPFILADRGFDVWIANNRGTRWSRRHVSLDPSSRLYWNWSWDDLVVNDLPAMVDYICSQTRQKPHYVGHSMGTLVALAAFSEGRLVDQLKSAALLTPVAYLAHITTPIGILLARAFVGELLSDLLGVAEFNPLAPPVTNLIRAFCRRPGMNCYDLVGSITGKNYCLNSSAVDVFLEYEPQPTSTKTMVHFAQTVRDGVLTKYDYVLPEWNIASYGQAEPPVYEMSNIPAGFPLFLTYGGRDSLADPADVRLLLDDLRGHDRDKLTVQYLDQFAHLDFVIGVCARDYVYKDMIAFFDRFH >KQL01610 pep chromosome:Setaria_italica_v2.0:VI:23113983:23114593:-1 gene:SETIT_015871mg transcript:KQL01610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWRGGYACKRRRTGSMSPQGTSVAAGRSLHLSHGVLAARLLQHALLADAFLVETTLEAGLRPRLRQCSERPNL >KQL02360 pep chromosome:Setaria_italica_v2.0:VI:31988232:31992227:-1 gene:SETIT_013374mg transcript:KQL02360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTDNRRALMEDWMLPSPSPRTVMSSFLNEEFSSCPFSSIFSDNGSSKPLDAIEKSKTLVDSSVEETVQNTKAPLQLESNLFRANQESTSHGGLAERMAARAGFGVLKIDTSRVSSSAPIRSPVTIPPGVSPRELLESPVFLPNAIAQPSPTTGKLPFLMPNNFKSMISSVPKKAEDYFHDDCAFSFQPILMSKPPSFSTVDKGLSAVHQNQSLANYSQELSLQANTTATKDETEENLVKPSTCDSMLDNDHPSPADEQEESEENQNEEDSSVPVIAPAEDGYNWRKYGQKQVKNSEHPRSYYKCTHPNCPVKKKVERSQKGHITEIVYKGSHSHPLPPPNRRPSVPSSHVNDLQADGSENFCSKPVKFLKRNLSGSLTTTEIADTCVMESQEAVDVSSTLSSNEKDERATQCTIPSTYRGDDDETESKRRKMEVSAAANTTTNAIDMAAMASRAVREPRIVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTYAGCTVRKHVERASNDLKSVITTYEGKHNHEVPAARNSSGHPNSGSNAAPQGSNLHRRPEPAQPSIPQLNAAAAYGSLGLPPQLSAASGGFSFGLLPPGMAVPVPSLGTFMPAPIPGHPPTMQGCTGLVVPRGEVKVNLEEQSRLQVANGNAMAAYQQFMGRLPQGPQM >KQL02596 pep chromosome:Setaria_italica_v2.0:VI:33446612:33449502:-1 gene:SETIT_015068mg transcript:KQL02596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHKNRNRKRKETHGGSSTGDRLSALPDCLLHEIMSRMKARQTVQTCVLSRRWRHLWRSAPCLDLDQTEFFESSYGHPTQEQLDRFQDFGDSLLLNREDGSGLDTLSLHLLDTHASRTDAGRWMRYAFNYCSLRALRYEIFGGGSHTWIKMPPDLGPSLTVLHLANVSLDERFAEVVGSVCVALEVMELEECKICCRSVTSNSLGSLVIDDCYIDNGGHDDDHDDDQLVITAPRLTSLRILDWGTQLISVNEMLSLEKASILIGYPEFKTSFRNYQLKLLSTLYNVTSLELSGFLQKILPDVKPVEFPTFINLRTLLLFDCSFFQEMNYFGFSDNFQLLGPLLQNSPNLEKVTMRSQLVTYMNPSRWKQLRGSAIFKRPKFAELVYNNGDDIQELVHFLLGISDRAPKNILALTKV >KQL01785 pep chromosome:Setaria_italica_v2.0:VI:26079929:26082267:1 gene:SETIT_015957mg transcript:KQL01785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRRPSRHASAVLFLLGLASVRMAVLLPGLCWLSSSVVCSWRFIGLCRQRVPALRRLVPGSVILEPFLPRLHSAGSFFRTWPLSC >KQL02959 pep chromosome:Setaria_italica_v2.0:VI:35514033:35514737:-1 gene:SETIT_014936mg transcript:KQL02959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHQRSISLPSRPASKVEEELQILEACTSSPSLTIETTSDGLRRLGDIYSSIEEIMCLPSNQVCSSQQRKMLDGEMECSLELLDLCNAMHEDFAELKAIVQDLQVSLRKGDDAAVQAKIQSYFRLVKKAKKHFKKAAKKVISAEDCRILRLLSEAREITTSLLESTVQLLAKQIAMPKSSIVLKAFQKKTSVVCKEEQLQVLECNIRDLEDGAGHLFRRLIQSRVTLLNILSP >KQL01473 pep chromosome:Setaria_italica_v2.0:VI:20265965:20267038:1 gene:SETIT_015130mg transcript:KQL01473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAVRSSHLALICLAFLLTGATTGERLLVHSRTNATTTTTFPPHAPILLLASDAGRPTSSHHHLRASSPSPSTLTGVSPPRSLDVPVIEREDTATAPATTAPTTPPAPPCPGVSAVHAHEPAVDDGTLQAPRGTTTAPSKVAALLNALPLLAIPFLPPPVAVLVALSSLATPVRACSAPSASCSGLTHGRCTVYRYHHDNGTVDRAQPFYRLRAVCPHPRCDAGTDTRALSTAYGRCRGRLDDPLHGYCSVRTLEAEVLPEGKAWRIMPVHLPVADPAAVVAAGGDVCYVELEHMNYREGYYIRCPVRDCRHVPVLCCTEFPHDAIAAAVWEHRRLTYRNTVGWHTNDTARTQLQGP >KQL01019 pep chromosome:Setaria_italica_v2.0:VI:7414313:7417265:1 gene:SETIT_015232mg transcript:KQL01019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHLRSASVPSSPRSSETSVEKQLQSLKATIALPSVTVQTMSDNLTKLGSIYSCIDELTCLPSSRQRKAAEEDLERSLVLLDLYTKKAQKQFKKINSKAASYIEGGRVVMLLSEAREIVVAILESTLQLLSKQVVIPSSGKRSLVSKPFQKKRVVCEEEQLQMLELDIVDLESGVETLFRRLIQSIVSLLNALSLGKQSTTSSATAMAYHLRFASVPSSPRSNEINVDEKLQNMKTMFSSSFVTIETMCDGFKKLGERGVVEQELERSLVLLDLCNTMQESFEDLKRSIMDIKISKKSIAADQENCRIIKLLSEAREIAISMLEMSLHLLIKQIEVPSASKWSLVSKTFQKKRVVCEAEQLQELELDIVDLESGVEALFRTLI >KQL00650 pep chromosome:Setaria_italica_v2.0:VI:3744334:3744787:-1 gene:SETIT_015635mg transcript:KQL00650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPTHASARRPSRTTHDNEQLLFFLLAPSSSLSKSAPSRRGGRPSGLGIDLFPRSFRIRLLHGGFLLIRSVPLSASLVRPIKIFVVFVSGGARSIG >KQL01290 pep chromosome:Setaria_italica_v2.0:VI:14009299:14012310:1 gene:SETIT_013490mg transcript:KQL01290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTTSTAAAAGRRHCHRLLALPMLLLVPSCLVLLAEASRIPTTLDGPFPPATRAFDRALRRGSDDVPLTDPRLAPRAQPPAPEQIALAASADADSLWVSWVTGRAQVGSNLTPLDPAAVHSEVWYGECSATAAGNAAYSYPYVATGSSEVYSQLYPYTGLLNYTSGAIHHVRLRGLRPATRYYYRCGDSSLPGGLSDERSFTTLPAAGAGSYPRRIAVVGDLGLTGNSTATVDHLAQNDPSLVLMVGDMTYANQYLSTGGKGVPCFSCSFPNAPIRESYQPRWDGWGRFMEPITSKIPLMVIEGNHEIEPQGHGGEVTFASYLARFAVPSKESGSNTKFYYSFNAGGIHFIMLGAYVDYNHTGAQYSWLEKDLQRVDRRVTPWVVAAWHPPWYNSYSSHYQEFECMRQEMEDLLYRHRVDIVFSGHVHAYERMNRVFNYTLDPCGPVYITIGDGGNIEKIDIDHADDAGKCPSPGDNHPEIGGVCHLNFTSGPAKGKFCWDQQPEWSAYRESSFGHGILEVVNSTYALWTWHRNQDAYGENSVGDQIYIVRQSDKCLLQPTIPLKDALLR >KQL02302 pep chromosome:Setaria_italica_v2.0:VI:31606333:31606864:-1 gene:SETIT_014679mg transcript:KQL02302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCRTNVSSGAYYSRELRKKSTCRIMPRMWCRSLDSGESAVTRRKSCCWTVHPTGTRRRRVLGPAHPRLARRRIGTCPRRRQRHHAPPPRTAPPRRQPGGARRGTWHGPLLLALAWQ >KQL00891 pep chromosome:Setaria_italica_v2.0:VI:6096757:6098321:-1 gene:SETIT_015064mg transcript:KQL00891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPSLRPNCTTADQRGIKVKVTHTICESEMARTKTTARNSTGGKAPRKQLVARMFAAARKTAPVTGGVKKPRRYRPGTVALREIRKYQKGTELLIRKMPFQRLVREIAQLHKSDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVHLATRIRGERP >KQL01173 pep chromosome:Setaria_italica_v2.0:VI:10185792:10186274:1 gene:SETIT_015550mg transcript:KQL01173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FGKSDIFLTMTCNPNWDDIKNVLYPIQSPQDYPDLITRVWAKLEELKRTLMDKNILGKVRAYIYVVEFQNRGLSHAHFLLIMQRKYKIMCPEQYDLLISVELPNKKKYPDLYRIVMKHMIHSTCGTLNPFCPCTRGRISCKNLRDMGTLWVPTNLDIGRT >KQL00769 pep chromosome:Setaria_italica_v2.0:VI:4818189:4822994:1 gene:SETIT_013883mg transcript:KQL00769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVSAPTSSSLLPPSRQVGRWSPLARSAKPVPFSLRSSPLAARAAAGNAPTSPVDEIVTELDAVAGFSEIVPDTVVFDDFERFAPTAATASSSLLLGIAGLPNTKFKSAIDTALADGECNALDKLEDRMSCYLTKALANVGAQLAHQVPGRVSTEIDARLAYDTQGIIQRVHELLNLYNQHDVLTDRLLFKIPATWQGIEASRLLESDGIQTHLTFVYSFAQAAAAAQAGASVVQIFVGRLRDWGRNHSGDPEIDEALKKGEDAGLALAEKVYAYIHRNGYKTKLMAAAIRNRQDVFSLLGIDYIIAPLKILQSLEESVTDPDVKYSYAPRLTPALGKTYNFTEEELVKWDQLSLAAAMGPAAEELLASGLEGYVNQARRVEELFGKIWPPPNV >KQL00858 pep chromosome:Setaria_italica_v2.0:VI:5698316:5698894:-1 gene:SETIT_014955mg transcript:KQL00858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKRAALTTAVLAISLAAASFVAAFAGGDPWSLKAGPTMTWSDACLKACATPALYNLCQETLLHAPDAAAANVYALAAAERAKASLDATAARAERLLGGGWFPGLQREAYWQCVDDYLDARGGMVGVVAGLVSDCVVEHSARADYAQAVAGVERCAAALKDFQGSPLVAMNTADHERAVLASELGALILGKQ >KQL00940 pep chromosome:Setaria_italica_v2.0:VI:6619271:6619720:-1 gene:SETIT_015831mg transcript:KQL00940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRYCTLLFGAETWRVLRIRLRFCSHFQMWCMTQADVTF >KQL00383 pep chromosome:Setaria_italica_v2.0:VI:1724490:1728712:-1 gene:SETIT_013723mg transcript:KQL00383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVLVVARNFMDMVAALPASKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVLAPVAAAAMEEWVLDEYASKHRVAIDKLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPLSVTGRLPASADLEAYALDQWECFLLQLINSSQVEKGTSFSSSMMKTFQRGLLSSRDGEAPKLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHKLGAAYSLNTLTDVERIAIRDLAELGLVKLQQGRKDSWFIPTQLATNLSASLSDSSSSKEGFVVLETNFRMYAYSTSKLHCEILRLFSRYMRCPMSDTT >KQL00382 pep chromosome:Setaria_italica_v2.0:VI:1722282:1729028:-1 gene:SETIT_013723mg transcript:KQL00382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVLVVARNFMDMVAALPASKLDMLYDSAFICEAVLRSLPPLAKKYALQMLYVLAPVAAAAMEEWVLDEYASKHRVAIDKLLQLRVFVEVRDRRKEVSYKMNQKFQGNMQKYLVDGGSLPREPIPLSVTGRLPASADLEAYALDQWECFLLQLINSSQVEKGTSFSSSMMKTFQRGLLSSRDGEAPKLTENGFQFLLMETNAQLWYIMREYISSAEERGVDPTELISFLLELSFHKLGAAYSLNTLTDVERIAIRDLAELGLVKLQQGRKDSWFIPTQLATNLSASLSDSSSSKEGFVVLETNFRMYAYSTSKLHCEILRLFSRVEYQLPNLIVGAITKESIYGAFENGITAEQIISFLRQNAHPRVVDKIPVVPENVTDQIRLWETDRNRVEMIPSHLYEDFPSKEWFEQCCDHARDHGYLLWEDSKRMRLIVRGEFHPEMREFLRRQR >KQL00181 pep chromosome:Setaria_italica_v2.0:VI:371312:371328:1 gene:SETIT_015372mg transcript:KQL00181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGAP >KQL00670 pep chromosome:Setaria_italica_v2.0:VI:3894690:3895382:1 gene:SETIT_015163mg transcript:KQL00670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSWDHSQQHNGNHRRDDGVRPHRRPRYNDDYRGHRSGVVEWTVAVVFTVLAVVVLLAAVAVLVVVLLLQPRSPYLAVRTASLDALVYDQNGALDDVQLSLLVEARNGNAHSAAAFSRLELRLSFGGGVVLAKLRADPFQLPPKGTLPLAYVARSQGVPLDATRSAAVEAALRDGVVPFRVDGEAKTAWKIAGLVGIHHWTRMACELRFFWPNGSALHFSCNSKSKFLF >KQL01857 pep chromosome:Setaria_italica_v2.0:VI:27186440:27186610:-1 gene:SETIT_015105mg transcript:KQL01857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTRRQLALSKEARVGIIQEVNTTTTMEVITTMEVTTPIKKKRAVKKKLTPRKANN >KQL02368 pep chromosome:Setaria_italica_v2.0:VI:32045265:32049724:-1 gene:SETIT_013567mg transcript:KQL02368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLSSEAPAAGDGPAWRKRRRGSREGAACGGAFSSGGKKVPGGGGEMTEDELARVSGRMFGNGASAVACLHTQQGRKGTNQDAMVVWESFSSSDSIFCGVFDGHGPYGHFVAKKVRDSLPVKLRTQWQTGANGASSPHQNGSISGSINSEETGSVVDDEWGESINGDESEKLPEMFLPLKQSYLKAFKLMDKELKLHPTVDCFCSGSTAVTLVKQGLDLVIGNLGDSRAIMGTRDASNNLTSVQLTVDLKPNLPREAARIQQCKGRVFALQDEPDVARVWLPNNDSPGLAMARAFGDFCLKDYGLISVPEISYRRLSEKDEFIILATDGVWDVLSNKEAVDIVASAPSRATAARALVDCAVRSWRLKFPTSKSDDCAVVCLFLDHEKSTDSVQESESKEETPETTGEEVSTPDASAEVDEDIADVNAHISSEEHIATATLQHSNTLREVDEIVPVDEPPVLKEPERCGSARSLADCISTNEEEEWSALEGVTRVNSLLNLPRKLSGDKRSASWRKRR >KQL02440 pep chromosome:Setaria_italica_v2.0:VI:32553920:32554233:1 gene:SETIT_014832mg transcript:KQL02440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGLGMGKLYHTQAFFISPYLKFSLTQLSPSSNPTGSGELLRVRGFGNTCVPPT >KQL02431 pep chromosome:Setaria_italica_v2.0:VI:32479701:32486755:1 gene:SETIT_013194mg transcript:KQL02431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTPAGMALLIKPSGSSSSSSHTCCGCSTAARTSPLFLLSAASSSSSLSRPSSSVKLGRPRRGGKKKVVIGCVASSSASARSEAPSRRGGSGSRGAEAAPADSAMAAAAARVTAVATIKVTVGGFLNSLRPSRALDNVKDLIGKSLYLELVSSQLDAKTGQEKPTLRSYAHKVADNDDEVVTYEADFDVPAGFGAVGAVLVTNEHQSEMFLEDIKLISGGSAAAGSSSSSSSDDDGAAAADAPLLAIRCNSWLQPKSSGDGDGDAQPGKRVFFANKPYLPSQTPPGLRSYRNKDLEQKRGDGRGQRKSTDRIYDYDTYNDLGDPENDAGKARPVLGGSKQFPYPRRCRTGRPMSTKDPKTETRKGDNYVPRDEAFSEVKQLQFSVTTLRSVLRAAVPAVQSTLIDPNRGFPTFFVIDKLFEDGVKLPEAEDLGFLRGVLPRLLQRLRDSPGDQVLLFDTPANVKKDKFAWLRDEEFARETLAGINPYAIELVREFPLKSKLDPAVYGPAESAITADMLEVQMRRVMTVAEAVKQKRLFMLDYHDLFLPYVHKIRAQEHTTMYGSRTVFFLTDDGTLRLLAIELTRPASPSQPQWRRVFTPSTDTTESWLWRMAKSHVRAHDSGHHELVSHWLRTHCAVEPYIIAANRQLSEMHPIYQLLHPHFRYTMRINALARSALINAGGIIELSFSPQKYSMELSSVAYDKFWRFDTEALPADLIRRGMAEEDPTAEHGLKLTIKDYPFANDGLLIWDAIKGWVQAYVSRFYPDASSVAGDAELQAFWTDVRTVGHGDKKDAPGWPALDSPDSLAHALTTIIWVASAHHAAVNFGQYDFGGYFPNRPSIARTSMPVEEPVDAGKLAAFLDNPDQALRECFPSQVQATLVMAVLNLLSSHSPDEEYLGGLETAPWNDDSEVQAAYGKFHARLKEIEGIIDGRNTDRKLKNRCGAGIVPYQLMKPFSQEGVTGKGIPNSTSI >KQL02955 pep chromosome:Setaria_italica_v2.0:VI:35500496:35506200:-1 gene:SETIT_013756mg transcript:KQL02955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRRCPSRHVHRRPVIRFLPRATSPSASSSPLALRLRSPNTPPLEYAFIPPKRAPFSVKSVEGGWLPAVSSVSDSERAISRGEDPKRARMQGGSSGIVYGGLKYQARCIADVRADAGSTTFLAGTLSLKEENEVHLIRLSPAESELVCDGLFYHPDEIWDLKSCPFDHRVFSTVYTSGEGYGAAVWKIPEQHGQSNSPQLEQLFELTGHTGKIRRVLWWPLGKHDKLISIDDRNIYLWNIDTSNKSAKMISQGSADMLPNLRGGAWDPHNHNSIAAISDSSLHLWDLRSMDKSIAIEHAHIRDVDYNPKKQNIIATAEDEFGIRLWDLRMLKHPLKDLPGHSHWTWTVQHNPEYDELLLSAGTDSTVNLWLAQVSSNDSGTDSPGSPKRQEEPLLNSYTDYEDSIYGIAWSSHDPSLFASLSYDGRVVLESVKPYLPRK >KQL00767 pep chromosome:Setaria_italica_v2.0:VI:4809703:4814309:-1 gene:SETIT_013227mg transcript:KQL00767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNTNNPVLFDNDDDDGPISFKRSSNSVKSSRPTPSKQEGSSGGAGVPVRSQKHVAPNQQKNGVSASVSRPMHMKPPPSSPNQRPSGSGQPNSSAAHSSKSNSNDKSKLKRPLVKEENSDDSDDDVPIGLRKKVEEKKLKRVDEKADDSDDDKPLSLKINSSKMSSNCASRPVLQKAVAKVEQPDEDSDDDKPLASRLPTNAAPKSGGNASEDSEDEKPLAARFSKVSGSGNLKLTSSSKGLNNDSNGPRNLGKRPLDNSNQTSLALKKAKPSNVSASASVKREIKADDNDNTPLAQRLKIGESSKGKPSAKNVVKKSPASMKKGIKKMKGKVKTKMMKNSQFSKTMKVPPGSGGGKKWTTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTDYAGKPTFIENFFTDWRTLLGKNHVIKKFELCDFTPIYEWHLREKEKKKQMTTEEKKALREEKLKQEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGEDAPVPECPIPGERWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRKLKGYIQDIRDNYTKDFRSKDVAKRQIAVATYLIDKLALRAGNEKDEDEADTVGCCTLKVDNVTCLPPNKIQFDFLGKDSIRYFNTVEVEELVYKAIEGFRAGISLLFSSSFSFVLNLRYLCPHTSGLVGKKPGKDLFDQLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDGIVS >KQL00766 pep chromosome:Setaria_italica_v2.0:VI:4808118:4814309:-1 gene:SETIT_013227mg transcript:KQL00766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNTNNPVLFDNDDDDGPISFKRSSNSVKSSRPTPSKQEGSSGGAGVPVRSQKHVAPNQQKNGVSASVSRPMHMKPPPSSPNQRPSGSGQPNSSAAHSSKSNSNDKSKLKRPLVKEENSDDSDDDVPIGLRKKVEEKKLKRVDEKADDSDDDKPLSLKINSSKMSSNCASRPVLQKAVAKVEQPDEDSDDDKPLASRLPTNAAPKSGGNASEDSEDEKPLAARFSKVSGSGNLKLTSSSKGLNNDSNGPRNLGKRPLDNSNQTSLALKKAKPSNVSASASVKREIKADDNDNTPLAQRLKIGESSKGKPSAKNVVKKSPASMKKGIKKMKGKVKTKMMKNSQFSKTMKVPPGSGGGKKWTTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTDYAGKPTFIENFFTDWRTLLGKNHVIKKFELCDFTPIYEWHLREKEKKKQMTTEEKKALREEKLKQEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGEDAPVPECPIPGERWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRKLKGYIQDIRDNYTKDFRSKDVAKRQIAVATYLIDKLALRAGNEKDEDEADTVGCCTLKVDNVTCLPPNKIQFDFLGKDSIRYFNTVEVEELVYKAIEGFRAGKKPGKDLFDQLDTTRLNAHLKDLMPGLTAKVFRTYNASITLDGILHKETEDGTLLEKIAVYQRANKEVAIICNHQRSVSKSHESQMTRLNERIDDLKAQRDELKVDLSKAKKGKPLGYDKEGKQKRNLAPDAIEKKIAAIETKIEKMEMEKKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLVAKFGWAMDVDPEFRF >KQL00768 pep chromosome:Setaria_italica_v2.0:VI:4810124:4814309:-1 gene:SETIT_013227mg transcript:KQL00768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVNTNNPVLFDNDDDDGPISFKRSSNSVKSSRPTPSKQEGSSGGAGVPVRSQKHVAPNQQKNGVSASVSRPMHMKPPPSSPNQRPSGSGQPNSSAAHSSKSNSNDKSKLKRPLVKEENSDDSDDDVPIGLRKKVEEKKLKRVDEKADDSDDDKPLSLKINSSKMSSNCASRPVLQKAVAKVEQPDEDSDDDKPLASRLPTNAAPKSGGNASEDSEDEKPLAARFSKVSGSGNLKLTSSSKGLNNDSNGPRNLGKRPLDNSNQTSLALKKAKPSNVSASASVKREIKADDNDNTPLAQRLKIGESSKGKPSAKNVVKKSPASMKKGIKKMKGKVKTKMMKNSQFSKTMKVPPGSGGGKKWTTLEHNGVIFPPPYKPHGVKMLYNGQPVDLTPEQEEVATMFAVMKDTDYAGKPTFIENFFTDWRTLLGKNHVIKKFELCDFTPIYEWHLREKEKKKQMTTEEKKALREEKLKQEEKYMWAVVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIKPSDITINIGEDAPVPECPIPGERWKEVKHDNTVTWLAFWNDPISQKDFKYVFLAASSSLKGQSDKEKYEKSRKLKGYIQDIRDNYTKDFRSKDVAKRQIAVATYLIDKLALRAGNEKDEDEADTVGCCTLKVDNVTCLPPNKIQVLIFNIQHVFSI >KQL01256 pep chromosome:Setaria_italica_v2.0:VI:12310569:12311113:1 gene:SETIT_014930mg transcript:KQL01256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADGLPLIMCTECGLRRVVRCTSKQKWSLGQIFYCCPLHKEYIDVLASRGLLPASASAYKRAGSMQVAESSMAWTDSKMKEDVGLASILSLKEGQELIAICRLVVVLLKALCFLGVCMLLVMLVHLFK >KQL02922 pep chromosome:Setaria_italica_v2.0:VI:35292259:35292513:-1 gene:SETIT_015921mg transcript:KQL02922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFRVLNLFCSTSNCWSIIFDQFNITSFICVCLPWIIL >KQL01769 pep chromosome:Setaria_italica_v2.0:VI:25791314:25796908:-1 gene:SETIT_013243mg transcript:KQL01769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKSYSNLLDMTSGDGFDFRQPFKSLPRVVTSPGIISDPDWDTRSDDDSVGSASFSERKIIVANFLPLNCTRDEAGQLSFSLDDDALLVQLKHGFSNETDVVYVGSLKIQVDPSEQDQVAQKLLREYRCIPTFLPSDLQQQFYHGFCKQQLWPLFHYMLPICLDKGELFDRSLFQAYVRANKLFADKVMEVINTDDDYVWVHDYHLMLLPTFLRKRLHRIKLGFFLHSPFPSSEIYRTLPVRDEILKSLLNADLIGFQTFDYARHFLSCCSRLLGLHYESKRGYIGIEYFGRTVSLKILSVGVHVGRLESVLNLPATVSKVQEIEQRYKGKMLMLGVDDMDIFKGISLKLLGLELLLERNPKLRQKVVLVQIINPARSTGKDVQEAITETVSVAERINRKYGSSGYNPVVLIDHHIPFYEKIAFYAASDCCIVNAVRDGMNLVPYEYTVCRQGNEEIDKLRGFDKDTSHTSTLIVSEFVGCSPSLSGAFRVNPWSVDDVADALCHATDLTESEKRLRHEKHYRYVSTHDVAYWARSFAQDLERACKDHYSRRCWAIGFGLNFRVIALSPGFRKLSSEHFVSCYNKASRRAIFLDYDGTLVPQSSINKAPSAEVISILKTLCNDPKNNVFIVSGRGRDSLDEWFSPCEKLGIAAEHGYFVRWSKEAEWESSYPRTQREWKHIAEPVMKVYTETTDGSSIEPKESALVWHYLDADHDFGSCQAKELQDHLERVLSNEPVVVKCGHYIVEVKPQGVSKGLAVDKLIRSLVNNGKAPDFLMCIGNDRSDEDMFESINGMTSNTVLSPTVPEVFACSVGQKPSKAKYYVDDTTEVIRLLKNVTRSSSQREDVSHGRVTFRDVIDFVE >KQL00753 pep chromosome:Setaria_italica_v2.0:VI:4680918:4681688:1 gene:SETIT_015613mg transcript:KQL00753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEASTCSASTFYSWSKTISGGESIRSVFTVGGCGWHVHYYPNGADAARPDSGSISFYLRLDDHEARVRASPPSTGPDDDDYGRVHYYEDYFDEDGNEIEKEVGPPEELGRGYADFISKEELERRRETVLKDDSLAVLELNGLYLGQRYRQRVPRLNYTDELKRIPTTTKRRAAASRMIGSTSAGASPNSATASERRRQAIK >KQL02630 pep chromosome:Setaria_italica_v2.0:VI:33637806:33644360:1 gene:SETIT_013653mg transcript:KQL02630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVMDSAVGPHFSGLRLDSRRLSSSSSSLPSPPSANGNGNGNGTADTAAKGFVSPKADGARRPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTEEQSEHVQDYNFDHPDAFDTDQLLECMGKLKSGQSVNIPIYDFKNHRRCSESFRKVNASDVIILEGILVFHDQRVRDLMDMKIFVDTDADIRLARRIRRDTVERGRDVTSVLEQYGRFVKPAFDDFVLPSKKYADVIIPKGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVFVVHTTFQIRGMHTLIRDRNITTPDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYMGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRVGDNGQQLIYHKLPLDIAERHVLLLDPVLGTGNSANQAIELLIRKGVPEERIIFLNLISAPEGVNCVCKRFPRLKIVTSEIDGGLNEEYRVIPGLGEYGDRYFGTD >KQL00520 pep chromosome:Setaria_italica_v2.0:VI:2737742:2738680:-1 gene:SETIT_0146712mg transcript:KQL00520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDADGFLYMTYSGENTFGLF >KQL00521 pep chromosome:Setaria_italica_v2.0:VI:2737096:2738682:-1 gene:SETIT_0146712mg transcript:KQL00521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRQAEANRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDADGFLYMTYSGENTFGLF >KQL00675 pep chromosome:Setaria_italica_v2.0:VI:3922699:3926488:-1 gene:SETIT_014442mg transcript:KQL00675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGLGRNQKTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLFGTLTEFCTPESCPTMTAGPKYEYRWADGVQIKKPIEVAAPKYVEYLMDWIEGQLDDESIFPQKLGTPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTNEFGLIDKKELAPLQELIESIIVPY >KQL02446 pep chromosome:Setaria_italica_v2.0:VI:32593279:32595733:1 gene:SETIT_013334mg transcript:KQL02446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSRIVLLCVAVAAVWAVLAAADVVAAQRSPSCATRCGNVEVPYPFGLDEECAINSGFHLNCSTAGGTTTLFHSNMEVIKISVQANKAWVKTLISRQCYNRSTKQVEISNEKSLNLTGTPYVLSADDNKVTVIGCNNLAYMRSNDYIIGCMSTCNGISPKNGSCSGAGCCQMNLPRGVRNHQGYFNPLYNTTDRKWRATPLGSEKTIVMEWAITRNTCQEAIVDKNTPYACVSNHSHCITNDAGYACKCSIGYEGNPYIVNGCTDIDECLDNVTYPCAGICKNTLGSFTCSCPRGKSMKIGVCVKDHMPIWIVPVVGTSLLLLALCLGGPFIMRKIKLQKVKKMKQKFFIQNRGLLFQQLISHNSDIGERMIITLRELEKATNNFDRARVVGGGGHGDVFKGILDLHVVAIKKSKIVVQREIDEFINEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGTLYNHLHVDGSISLLWVDRIRIALEVARALSYLHSAASMPIFHRDIKSSNILLDDSLTSKVSDFGASRYIPIDQTGVTTVVQGTLGYLDPMYHYTGRLTDKSDVFSFGVLLVELLTRKKPSIYRSNDGDNLVSHFEKMLATSNLFDIIDPQVMKEEDGDLQEVATLAAKCTKLRGEDRPTMREVEMELENLVVKKKLVPSITTPGRNDKDEDQVQYMSVQPATNESSKQYTTEEEILLSASYPR >KQL00841 pep chromosome:Setaria_italica_v2.0:VI:5597727:5599488:-1 gene:SETIT_013948mg transcript:KQL00841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDGAPPAAPAAGVGGGGDGPRRCSQCGHHGHNARTCTARPVKLFGVRIGDKPMRKSASMGNLAQLAAEGSGGGGREEGYGSDGERPHKKRGEAWTEEEHKKFLLGLNKLGKGDWRGISRNYVISRTPTQVASHAQKYFNRQTNVHRRKRRSSLFDMVIDDVSIALTPLFSW >KQL00839 pep chromosome:Setaria_italica_v2.0:VI:5594661:5599488:-1 gene:SETIT_013948mg transcript:KQL00839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDGAPPAAPAAGVGGGGDGPRRCSQCGHHGHNARTCTARPVKLFGVRIGDKPMRKSASMGNLAQLAAEGSGGGGREEGYGSDGERPHKKRGEAWTEEEHKKFLLGLNKLGKGDWRGISRNYVISRTPTQVASHAQKYFNRQTNVHRRKRRSSLFDMVIDDFYQITAMQFAMNSTVMVCIYPNIIETISHL >KQL00840 pep chromosome:Setaria_italica_v2.0:VI:5596458:5599488:-1 gene:SETIT_013948mg transcript:KQL00840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRDGAPPAAPAAGVGGGGDGPRRCSQCGHHGHNARTCTARPVKLFGVRIGDKPMRKSASMGNLAQLAAEGSGGGGREEGYGSDGERPHKKRGEAWTEEEHKKFLLGLNKLGKGDWRGISRNYVISRTPTQVASHAQKYFNRQTNVHRRKRRSSLFDMVIDDSSDQLPLSRSSSQEVEQHLDDPQPVGAVPAPVVSPAAVAPPPVSVEAPASLPPPVQVPIPVTAPVVTPQLMEQDSVPSSSSAGETGVVMPEAMPPYLYPMMIPPPYYHPAFVPVPCYGYVPFYYGPPGAAQAPHQVVKPVAVHSTPPLNVKDLYNMSELSLKGDDSNANSGVPASPLPPKPIGRPERQSAFHGKGPSGGSSGGLIPAVK >KQL02797 pep chromosome:Setaria_italica_v2.0:VI:34613712:34614521:-1 gene:SETIT_015513mg transcript:KQL02797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELVSPASFCSPASPPSFFNAAGHHSVLEFVSCGLPEQCWLMGDEALDDKTMHDGAEWVSGGSHLAGSDLSAGNPHAAAAMCEPSARRRGRKPGSRSDGPTISHVEAERQRREKLNRRFCDLRAAVPTVSRMDKASLLADAAAYIAELRGRVEQLEDAARQAAARKQGGGNPAACPASGDLEEKVEVRMVGREAAAVRLTTAAARHAPARLMGALRSLDLPVQHACVSRVGGAATVQDAVVDVPAALQDEGCLRAALLHVLQQQDESA >KQL01065 pep chromosome:Setaria_italica_v2.0:VI:7841165:7843731:1 gene:SETIT_013471mg transcript:KQL01065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVLFFLLLPVLLLSRLAGSSPPPDPVSCARGTSDCTVTSTYGSFPDRTICRAANATFPRTEQELVAAVAAAAAAKRKVKVATSHSHSFPKLACPGGRDGTIISTERLNRTVSVDKARRLLTVESGMLLRDLIKVAAGAGLALPHSPYWYGLTVGGMLATGAHGSSLWGKGSAVHEYVVGLRIVTPAPASQGFAVVRELAAGDPDLDAAKVSLGVLGVISQVTLELQPQFKRSVTFVTRDDKDMAAKLAAWGGLHEFGDVSWLPRQGKAIYREDNRVDVSTPGNGLNNYIGFRAQPTLGLLTARAAEERLEENGTDIARCLAARLPAATFELQAYGFTNDGVFFTGYPVVGFQHRIQASGTCIDGRDDALLSACTWDSRIRGPFFYQSGFSVAVSKVPAFVADVQRLRDLNPRAFCGMDAKMGVLMRYVKASSAYLGKAEDSLDFDVTYYRSYAEGAPRAHADVYDELEQMALRKYGAMPHWGKNRNFAFDGAIAKYPKAAEFIKVKDRYDPDGIFSSEWSDQVLGINGSPNVVGARCAIEGLCVCSDDSHCAPEQGYFCRPGKVYTEARVCRFERTRLVDEL >KQL01354 pep chromosome:Setaria_italica_v2.0:VI:17264415:17265114:1 gene:SETIT_014862mg transcript:KQL01354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLHWQIVKLLLIRIVPVSMDVYVTVTVFLGVQSAKEHRKGSMLP >KQL00205 pep chromosome:Setaria_italica_v2.0:VI:508693:510819:1 gene:SETIT_015084mg transcript:KQL00205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEMPRGLPFAVDTWTPASSLKRHRFLTHAHRDHLAGIAATSAVSASSPVYASRLTILIALRIFPQLHRAAFVELEAGAPPLRVPDPDGDLTVTAFDANHCPGAVMFLFEGPFGAVLHTGDCRLTLDCLSALMPLLARRIDYLFLDCTFSRCPLQFPTKEDSIRQVINCIWKHPNAPVVYLVCDMLGQEDVLIEVSKAFGSKIYVDRDKNSDCHQTLSHVAPEILAGAAASSTRFHVIPFPRLSERATEILALARARQQPEPLIIRPSSQWYAYYDPPEGSAQQKPLLTEPMRDEFGVWHVCLSMHSSREELEQALGILKPKWVVSTTPPCMAVDLSYVKKHCALSRFGPDDPIWKLLGIPDGMSTGISKPQAALTVEAVGEREEEFSSCTDECGSDDRSQAEAAEPTPADFEIRIEPPVTLFGSARFGLVQHESELWGHEYQIVEMIDNAELEAKDSATKTGCCHNSKPDESVEIIDLTEVATKEQTSVPESELLKGSKSDDGAEVVDLTEDGRKQMSFIAEPEQSMDDKGNGEVESVEAQEQDQTVHYDLQEVCRHKVTDAGKNRMKVTKEISISAVHVKVSATINKDDDTSTSETGENSDQGSERSSDSSTTVGSSKGLNTNLRRLYRSMNVSVPRPLPSLVELMGASKRPRVSQTVQL >KQL01441 pep chromosome:Setaria_italica_v2.0:VI:19587006:19595811:-1 gene:SETIT_013223mg transcript:KQL01441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLAVAGPHPGAAFTARHRRLHPSAADRDSISQRCWRCERTQDRSFGNSLRISRLPGTPHRDVGKNLLTPTAAVSVEQAEATADLPKGDMWSVHKFGGTCMGTSQRIQNVADIVLRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYITVLDEVFEKHMGTAKDLLAGEDLARFLSQLHADISNLKAMLRAIYIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGTPCSWMDTREILVVNPSGSNQVDPDYLESEKRLEKWFARGPAETIIATGFIASTPDNIPTTLKRDGSDFSAAIIGSLVKARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNIFNTSAPGTMICQQPANENGDLDACVKAFATIDKLALVNVEGTGMAGVPGTASAIFGAVKDVGANVVMISQASSEHSVCFAVPEKEVASVSAALHVRFREALAAGRLSKVEVIHNCSILAAVGLRMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIVGPGLIGRTLLNQLKDQAAVLKENMNIDLRVMGITGSRTMLLSDIGIDLTQWKEKLQTEAEPADLDKFVHHLSENHFFPNRVLVDCTADTSVASHYYDWLKRGIHVITPNKKANSGPLERYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFR >KQL01440 pep chromosome:Setaria_italica_v2.0:VI:19584451:19595811:-1 gene:SETIT_013223mg transcript:KQL01440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTLAVAGPHPGAAFTARHRRLHPSAADRDSISQRCWRCERTQDRSFGNSLRISRLPGTPHRDVGKNLLTPTAAVSVEQAEATADLPKGDMWSVHKFGGTCMGTSQRIQNVADIVLRDPSERKLVVVSAMSKVTDMMYNLVNKAQSRDDSYITVLDEVFEKHMGTAKDLLAGEDLARFLSQLHADISNLKAMLRAIYIAGHATESFSDFVVGHGELWSAQMLSYAIKKSGTPCSWMDTREILVVNPSGSNQVDPDYLESEKRLEKWFARGPAETIIATGFIASTPDNIPTTLKRDGSDFSAAIIGSLVKARQVTIWTDVDGVFSADPRKVSEAVILSTLSYQEAWEMSYFGANVLHPRTIIPVMKYNIPIVIRNIFNTSAPGTMICQQPANENGDLDACVKAFATIDKLALVNVEGTGMAGVPGTASAIFGAVKDVGANVVMISQASSEHSVCFAVPEKEVASVSAALHVRFREALAAGRLSKVEVIHNCSILAAVGLRMASTPGVSATLFDALAKANINVRAIAQGCSEYNITVVLKQEDCVRALRAAHSRFFLSKTTLAVGIVGPGLIGRTLLNQLKDQAAVLKENMNIDLRVMGITGSRTMLLSDIGIDLTQWKEKLQTEAEPADLDKFVHHLSENHFFPNRVLVDCTADTSVASHYYDWLKRGIHVITPNKKANSGPLERYLKLRTLQRASYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFEGARTFSDVVTEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLRLELSDIPVRSLVPESLRSCTSADEYMQKLPSFDQDWASERNDAEAAGEVLRYVGVVDVVNKKGQVELRRYKRDHPFAQLSGSDNIIAFTTSRYKEQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >KQL01218 pep chromosome:Setaria_italica_v2.0:VI:11076852:11080171:-1 gene:SETIT_013438mg transcript:KQL01218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHRRPSSRVDSEDKFGFQSGSDFTLEEFQKYADDYKQEYFGMKGSDEISLSEIKNHKKIWEPSVEEIEGEYWRIVVGSTDEVEVDYGADLDTATFGSGFAKLSSDANKQDPYSASCWNLNNLPRLPGSVISFENEDISGVVVPWLYVGMCFSSFCWHVEDHFLYSLNYMHFGEPKVWYGVPGGEAVKLEESMRNNLPKLFEEQPDLLHELVTQLSPSVLKSEGVSVYRAVQKPGEFVLTLPRAYHSGFNSGFNCAEAVNIAPVDWLPHGQYAVELYREQHRKTSISHDKLLLKTAKEAVKQLWMNLFNCNIDEGKYRWLNTCGKDGVLTSAVKTRVTMEGAAREVNGNLKSKKMDKDYDSTDRECFSCFYDLHMSAISCQCSPNRFACLNHTNILCSCEMERKIAFFRYSMDELNTLVAALEGDQTAVCLWGQDHLGLVCPSGNVQKRKMDSGKSTEFSGSAIDVNVVSGFGGSQDGCRDLQKLAGFLQEYGIQNNYVDLNSRIKEEHGKDRMFTDHGLLQNTDSPFRLTSECSSSSSLNCCSSNLITPSRNQASNSDLTWNTTKKLFGVDIGNLAKHSDSQVSQMVKVSSRSDAASRPTSRHQVP >KQL00931 pep chromosome:Setaria_italica_v2.0:VI:6576795:6578534:-1 gene:SETIT_015765mg transcript:KQL00931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVLLSILLCLWIFIVVYWRRRNSMRQRLPPGPPTWPIFGNLLQLSPLPHKDFARFCTKYGPLVYLRLGTIDAITTDDPEVIREILIRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPNWKRMRRVCMEHLLTTKRLESFAAHRAQEAEHLCQFVWAKAQSGKPVNLREVLGAFSMNNVTRMLLGKQYFGIQSAGPGEAMEFMHITHELFFLLGLIYLGDYLPAWRWVDPYGCEKKMREVEKKVDDFHQKIIDEHRKAWEAKKSAAASLDDDDTKEEMDFVDVLLSLPGENGKEHMDDVEIKALMQDMIAAATDTSSVTNEWVMAEVIKNPRVLRRIQEELDAVIGRDRMVVESDLAHLPYLRCVVRESFRMHPAGPFLIPHESLKPTTIMGYHVPARTRVFINTHALGRNPRVWDAVDEFRPERHLPTAEGGRVEISHLPDFKILPFSAGKRKCPGAPLGVALVLMALARLFHCFDWSPPDGLRPEDVDTREVYGMTMPKATPLVAVATPRLPPHMYASLA >KQL01948 pep chromosome:Setaria_italica_v2.0:VI:28401850:28405003:-1 gene:SETIT_013375mg transcript:KQL01948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPLPIPALAAARLPFRILSPRAPPPCLLPFLPPPFLSQRRRLSCSAVSTSRRGRPLAPVISEGSDGEDAAVGRPVCPGCGVFMQDADPNLPGFFKNPSRSSQDEMGESEKVLLTADTVAFREDEEAGVVEDVLMSESDDELEGLDSDIDEFLEEIEEDEEDESAVKAGADIDGFASDWDSDWEEMEEDEDEKWRKELDGFTPPGVGYGNITEETIQMLKKEKLSKSERKRREREAKRAEAEENLAVVCARCHSLRNYGLVKNDKAENLIPDFDFDRFISSRLMKRSTSAPVIVMVVDCADFDGSFPKRAAKSLFKALEGRRNSKVSETPRLVLVGTKVDLLPWQQMGVRFDRWVRGRAKAFGAPKLDAVFLISVHRDLAVRNLISYIKESAGPRSNVWVIGAQNAGKSTLINAIAKKQGVKITRLTEAAVPGTTLGILRVTGVLPAKAKMYDTPGLLHPYIMAMRLNNEERKMIEIRKELRPRSFRVKVGQSVHIGGLTRLDVLKSSAQTIYITVWASSNVPLHLGKTDNADELRDRHFGIRLQPPIGPERVNELGHWTERQIEVSGASWDVNSMDIAVSGLGWYSLGLKGTATVSLWTFEGIGVTERDAMILHRAQFLERPGFWLPIAIANAIGEETRKNNERRKAEQRRKEEEEEELLLEEMV >KQL00706 pep chromosome:Setaria_italica_v2.0:VI:4144946:4148976:-1 gene:SETIT_015467mg transcript:KQL00706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASLVRRMGSDLPMARSSLLPFLRVPPLIHTEDPGSPPPESILLDRYGYLSCRLNGTTADGFTADGKRIQVTFWAASPPRVSCFTVHCPDVKPSAFDWLPTVIYSKDDLVLLRIPILRQDDSLDAESCHYFVYQAGTENNRPSLTMLPIPCDFTFSYDELVLLRCRDQDMFYFALLHRIIDPKNNGKRFDLHLYNSKTGTWNTENQFVDSVNYLNYSYPNIAVTIGGEFGSVGWVDLWRGMLICDLLRDNHSLRYIPLPLPLVPKLLKGYPMYFRDIVVVGDCIKYFEMSYDVRPGSGLTSATQDLVAATKKMKISDIGSGNNWEEDCTFKFSDIPVDSPKFARMLMLPNLKQVKNTKLTLMRLCAGYPALSLHDADVVYIMHTPDPDEDKALVIALDMRKKTLKDVADFGSGRPLGYTFTYLKSGISKHLNIWSSSRSAADLSA >KQL01000 pep chromosome:Setaria_italica_v2.0:VI:7287072:7288358:-1 gene:SETIT_015398mg transcript:KQL01000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATSAAAAVSSSRSSALLQPNPTPTSVSFPRRPLPPAATLALSAPSQSQPSARGSALVPAANPKYHNAKADAGDEDVGGEELLRRFTWQVSRAGVMEEIRRRRRHEDARDKRKRKARSAAWRFRRRRFKGPYPFGAEQGTKEQGTDDEENDNWELPGGELPSYR >KQL02467 pep chromosome:Setaria_italica_v2.0:VI:32723094:32725219:1 gene:SETIT_014557mg transcript:KQL02467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHFHMGWTAHLMPCFPSHRSPFSPSQLFFLADQFETRRRGRSGVGDASAAGRSRLLRSGGGRGREMAPASGFGRVVGNARSFVGNALGGLRGWSNLASWTVAGGLAYYLWVKPARQLQKEQEERAALAAASDPYRYVEKRKPIPDPQDTGLIYGKKKEPTKSED >KQL00642 pep chromosome:Setaria_italica_v2.0:VI:3714894:3716105:1 gene:SETIT_015534mg transcript:KQL00642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSSREARGGVVSPTAAPVERQQGLRSRSLTSRAALALTAVGMCRGGHDGVRAYAPLPSEEAAKGASDPAAAEEELPGEGAAAAEEDQRDDAASTPAAVMVSVEDAASVTSSWTAQWSAEPAPSLPPALDLDPAILPGFGQPVVVPSPSHPALLYPEQENPAPPPQETTEETCDVDMGTPPARDIPEVTGFVRARVDEFHEKMEKKKAATAAADEAPGFFGDGDDLTVPRLRPPLRAGKPVVLYFTSLRSVRRTFEDCRAVRAILRCYRVRLDERDVSMHAAFKSELRGLLLAGGGEGAALPRVFIGGVHDLGGAEDVRALHEAGELARALAGCDAAPAAGRPGHVGPCAACGEARFVPCGTCHGSCKVFVDDEGCRFAGFFRQCPDCNENGLIRCPVCCY >KQL00770 pep chromosome:Setaria_italica_v2.0:VI:4825585:4830429:1 gene:SETIT_013462mg transcript:KQL00770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAMAAAVVLAAVVAAAAAQAEVRWEVSYLTLEPLGQAQKVIAINNQFPGPLLNVTTNQNVRVNVQNNLDEPLLITWDGIQMRMNSWQDGVSGTNCPIPPGWNWTYQFQLKDQIGSFFYFPSLGLQRAAGGYGPITINNRATVPVPFGQPDGDITLFIGDWYTNSHIELRNMLDDGKDLGVPDAILINGRAPYRYDTTLVPDGLQYETVGVEPGKTYRFRVHNVGISTSLNFRIQNHKMLLVETEGTYTNQQNYTNLDIHVGQSYSFLVTMDQNASTDYYIVASPRFISNPQWSQVTGVAILQYSNSKGKASGPLPDAPNDYYDKYYSINQAKSIRMNTSAGAARPNPQGSFHYGSINITQTFVLKNEAPLRINGKQRRTINRISYSPPETPLRLADLHNLTGVYTTDFPAMPSNIPARVASSVLNASYKGFLEIIFQNNDTDIQTYHLDGYSFFVVGMDYGEWTPDRRNEYSRWDAISRCTTQVFPGGWTAVLISLDNVGIWNLRAEKLDNWYRGQEVYVKVADPLGYNITEMIMPDNVLYCGILKERQTPQVHESNSKSSAQAEAGWSNRLLASVMLVVAAVIFS >KQL02663 pep chromosome:Setaria_italica_v2.0:VI:33845225:33847951:-1 gene:SETIT_014043mg transcript:KQL02663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRRSVPLLVAAVFLAVAAMPAYPGEAATPLREDYYRYSCPNLESIVRAEVARKINETVVTIPATLRQVFHDCMAGGCDAAVLIASKKNDAQKDADDNESLAGDGFDTVNRVKTAVEKECPGKVSCADIMHLAARDVVFLAKGPYWHVELGRRDGLVSRKSDVAGKLPDPDMHVKDLSSMFESKGFSPVDLVALSGAHTVGFSHCTRFLNRLYGYSSSAPTDPSFNPEYADQLKQACPRNVGETIAVNMDPVSPITFDNKYYSNLQYGLGLFTSDQVLYTDDSTKPIVNKFAADQKAFFDAFGLAMVKLGRLGVKTGTDGEIRRVCTAFNHY >KQL00153 pep chromosome:Setaria_italica_v2.0:VI:221913:223034:-1 gene:SETIT_014446mg transcript:KQL00153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIKYCDQHKDCERQRLYRRFCAAVAGIILLALLIVLIVWLVLRPSKPRFFLSNVDIVCINVSSSSALAVTMQATLAARNPNERVGIFYDRADVYAEYRGLQVTVATALPPMFQGRGDATVWAPFLSAAGVPLPPYLATALAQDETAGYLLVTIRVDGWIRWKAGAFITSHYHLRVRCPALLTVNDGQGSYGSNAGGGLGYFKFNRAAPCVVDI >KQL02118 pep chromosome:Setaria_italica_v2.0:VI:30080704:30082839:1 gene:SETIT_015730mg transcript:KQL02118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESESWLLLVTTLAVSLLYYLASVRRRSSSGARRPRGLRPLLLIGNILDLCIGNLHHTLARLARAHSPIMRLELGLTTVVVISSRDAAAEAFTRHDRRLAAQALPNATHALEFSERSMVCMLSSDPRWKHLCGVMAANIFTVHAARKRKVRDLVGYLRGSDTIVITVEWAMAELLRKRDAMDKVRAEIKATIGGKEAIEEPDTASLLYLHAVMKEAMRLHLVAPILLPNQAIEDGVEIGGYTMPRGWPEGVPRHTIAERVVPFILASLLHAFEWRLRDGMSTEKLDMTKKFTTDNVMAVPLKAVPVVV >KQL00856 pep chromosome:Setaria_italica_v2.0:VI:5686867:5687400:1 gene:SETIT_015364mg transcript:KQL00856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAALTLVAALAAAMSIVGGEACNQGDLMKWNEACLMAAGNTVELYNLCQEMLQHAPDAAEMSEYARLAARFTNRSYSGTVAAAQRLLAGGSIPAGQRPAYRHCVERYSTASAQMASVMAELASCDYGRLKQRYVDAKAAMESCGRELAAGTPLAAMNAADKGWTTVTHSRYVWAP >KQL01134 pep chromosome:Setaria_italica_v2.0:VI:9092031:9093557:1 gene:SETIT_015090mg transcript:KQL01134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGNDDGNVKKSKKKETKLGMTCKKDVSFGDWYSEVIVHGEMIEYYTVSGCYILMPSAVGVWELLKGFFDGEMRRLKAQPYYFPLFVTESALQKEKDHIDGFAPEVAWVTKSGDSDLEAPIAIRPTSETIMYPYFSKWIRSYRDLPFRCYQWCNVVRWEFSDPTPFIRSREFLWQEGHSAFATREEADEEVLQVLELYRRIYEEFLAVPVIKGRKSEMEKFAGGLYTTSVEAFVPNTGRGIQAATSHCLGQNFADMFGIKFEDDRGSRSNVWQNSWGYSTRSIGVMVMTHGDDKGLVMPPRVAPLQVIVIPVLYYKDADMMAALKEACESTVHTLSQSWIRAEFDDRWKYSHWEMKGVPLRIEIGPRDLANKLVRMVRRDNGVKVDVPVANLVEEVRVLLDGIQENLFRAAKERRDACIRVIHTWDEFIAALNDKRLILAPWCDEEEVEKDVKARTKGEGELGAAKTLCTPFDQPELPDGTLCFASGKPAKTWSFWGRSY >KQL01875 pep chromosome:Setaria_italica_v2.0:VI:27430004:27430285:-1 gene:SETIT_014769mg transcript:KQL01875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATGRSLEQFRASVVGGLACKLLLQTVAVQLWLGERCASDDWSCHVLIHCSLLRVAPNWAAWSPVEPSKSEKGSDATRRV >KQL01500 pep chromosome:Setaria_italica_v2.0:VI:20819379:20821060:-1 gene:SETIT_013674mg transcript:KQL01500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDQQGQADDIHVVNILPLAEELREQLAINHSSEEQLGGGSPSCPITIAEVGRLTRNVDAAMYDPHHISIGPYHLLRNPDLVRDAEKIRSLEAILSAASGGTVLEEYVDELERLEGDARRCYAHSFPEITSRVFVRMLLLDGCYLLAQFGTIGGGGRRSHAGADMANGHVEGGTLLAPAAPSGDRLETLAVVRDVFYLAENQIPLFILDKILQINVSDPSAHAADWILWFVQAILQTYSVASLPSPRPGNLLHLLHMHLQPTNPPSSDTAGDTTGGKKLGRWRTATEYYFVGVTLKPRPLDGAVGTVRSVLDVHLDSGGGTLEIPPLIIDAETWRLLHNLMALEQRNPGPAAAGSHVTAYCVFMSQLACTAADVDLLSSKGIIAHALGNNGEVASRFADLCKGILFSLDDPRGNYLRGTCQALEERFQSRARRWMAWLGRKYFDNPWLFIGLLAAAVGLACTVVQAVYAVLSDK >KQL02015 pep chromosome:Setaria_italica_v2.0:VI:29109514:29110113:1 gene:SETIT_014816mg transcript:KQL02015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITCVKVCCNNAFERKEQFEVPTSISRHTNSANTQPILNYNASLLVKLIRRYLLPMVNW >KQL02879 pep chromosome:Setaria_italica_v2.0:VI:35082018:35082532:1 gene:SETIT_015895mg transcript:KQL02879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLLGTVIEEIKTIIPDQVVILELPILFYEVVVSNVNLRPYSIY >KQL01605 pep chromosome:Setaria_italica_v2.0:VI:22725375:22725785:-1 gene:SETIT_016052mg transcript:KQL01605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWPNNSKLTCNAQLDICKAESLLFNNPAFNCKL >KQL01329 pep chromosome:Setaria_italica_v2.0:VI:15948594:15952986:-1 gene:SETIT_014269mg transcript:KQL01329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAVVLEGEEDPVVVRAVQILVSLRSRKLRERPAWLPVPPGEASSSSPGTAAPEEETERWARRRPRSGGRRGSSIPWRKALRLLDLAAAAPAGSGGDERDVGSARRPVGAALHYSAPVGSGSASTSSADSAARAQTRPRHADEKAAARAHAAAPAKEPMNAPSPDTPLDYGAGGSGASSSADDAARPQAKRKGPGARGYGSSRDDEDEGCSSPAKRARVAAGEEKPIPAEPIMQSETLASSGKVFAIDLNLPPPSDDDDSFPDAY >KQL01764 pep chromosome:Setaria_italica_v2.0:VI:25714206:25718344:1 gene:SETIT_013596mg transcript:KQL01764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVEGMGSVVATVSGYHGDERHRLVKLISETGASYVGTMSKSITHLVCWRLEGKKYDIARKLRTRVVSHRWFLECLREGRRLPEGPYLMESGEEAGSVPELPARPSTRGNKNEVTEDRVLKELPDGFCDIPTASHTIKIDDSDCDMEHQTWFESALLKENFVGDGDSEKNDSSDVKEKRKRLKRVKKSTDKDVLHLQDNVSSVMTRKRLHESSHTTSRNTSKQKGERKDLTEIDCFSDSFDEPQTLDTLSIGVRRKHTKTNILSSSLCQSTLDSMYEYGESSRHEPDRRKELENVDLRESSTSLPPCDLSGQEPAFCTQEQIDKCSLGAIADDEMRDDKKPSEKTSNLEKQEELSCAICWTDFSSTRGILRCGHRFCYSCIQEWADCLASRGKVSTCPLCKASFTWISKVDEAGTSDQKIYSQTIPCEASTDVFVFRNEGYGFSRSWVGLGACYQCHSREPEELLLSCHVCRSQWVHSYCLDPPLTPWTCVHCRDMRMMYHRYR >KQL02112 pep chromosome:Setaria_italica_v2.0:VI:30009336:30012031:-1 gene:SETIT_014010mg transcript:KQL02112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKYGEGDKRWIVEERADGTNVHNWHWAERDCLEWSRARLSALLAGLTVLDGEGGLTLRTVALDKLDGEAYVNIRKGKVIPGYELSLTLSWEAEAASESGAAKVAGAAEVPYLADENADEDPDLRVTVRGDETPLARRAKDAFLARGKPLVLEKIREFVAAMAKGGPAKDELESKKTPAKAGAAPAAKKEEPPAPAPAAKEKKAKGKDKEGFKTIEMTEKFYCRAKDIYEILMDENRWKGFTQSNARISREVGGEFSLFDGSITGINEELQEGKLIAQKWRFGSWPDDLYSSVRLVFDEPESGVTIIKLKQTDVPEEDKYGNSTVVENTERGWRELIFQRIRGVFGFGI >KQL02206 pep chromosome:Setaria_italica_v2.0:VI:30871345:30875484:1 gene:SETIT_013586mg transcript:KQL02206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIMLFSNVSRTGSAPPAALHAVGPCSALHAPLPSCSSSPAGAAAPSLPTTSLFSLSLGLPFLAATTGRVALPVVRRATGASPATNIVRQGFALIVMVNESVQKDRDVDGSPPTSRVPEIKLRHNFCLGDVTWVKLDGSSWWPAQVIDESCVGSKPKKKDKYDCLVRLYGTCQYLYVDPWKSNSEFEMMLKQENKSAMETFREVIEKELSCDNSPSVYDEEAVNSKGGSTKGTSKKNSSRKVRKQGLKPQYSEEEDQDVGSTETTGVTARKRKGGRLRQSSSTYDAIDKASSESSAEGLRNKRQKHGVQSASVDRREGLRRSARSDAKQYLDAAEDSSEPLTDRHAGEDATGASMLDGTSAPHTEIKAMVRDILFKDIIDREHDAEMAYVDEVINGICGATEDIMSGGATASTKGGRGVKQSGSGVEGESSNVRQRRRDEATEDSLQATSPETKKGNTDTTHGSSGEDTGAT >KQL02205 pep chromosome:Setaria_italica_v2.0:VI:30871345:30875484:1 gene:SETIT_013586mg transcript:KQL02205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIMLFSNVSRTGSAPPAALHAVGPCSALHAPLPSCSSSPAGAAAPSLPTTSLFSLSLGLPFLAATTGRVALPVVRRATGASPATNIVRQGFALIVMVNESVQKDRDVDGSPPTSRVPEIKLRHNFCLGDVTWVKLDGSSWWPAQVIDESCVGSKPKKKDKYDCLVRLYGTCQYLYVDPWKSNSEFEMMLKQENKSAMETFREVIEKELSCDNSPSVYDEEAVNSKGGSTKGTSKKNSSRKVRKQGLKPQYSEEEDQDVGSTETTGVTARKRKGGRLRQSSSTYDAIDKASSESSAEGLRNKRQKHGVQSASVDRREGLRRSARSDAKQYLDAAEDSSEPLTDRHAGEDATGASMLDGTSAPHTEIKAMVRDILFKDIIDREHDAEMAYVDEVINGICGATEDIMSGGATASTKGGRGVKQSGSGVEGESSNVRQRRRDEATEDSLQATSPETKKGNTDTTHSREAVVKTPGQLSARQIRIMQSLGLIAPSGSPFGRDRAVATTNQQF >KQL01962 pep chromosome:Setaria_italica_v2.0:VI:28515656:28516688:1 gene:SETIT_014516mg transcript:KQL01962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRVVRDLDLERYAGRWYEIACFPSTFQPKTGTNTRATYTLNPDGTVKVLNETWTDGRRGHIEGTAWRADPASDEAKLKVRFYVPPFLPIIPVTGDYWVLHIDGDYQYALVGQPSRKYLWILCRQPHMDEAVYNELVERAKEEGYDVSKLRKTAHPDPPPESEESPRDGGMWWIKSIFGK >KQL02866 pep chromosome:Setaria_italica_v2.0:VI:35029872:35031265:1 gene:SETIT_015013mg transcript:KQL02866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSPERSDSTDNDDGKNGGAANLPDDQVFELLTRVPLDDLAACRVASPRWRSITYEPAFAPLHCRRATAVSGYFVQSMQRNRYRADFVSMHTSPPKAAVSLDFLPSAHVRLEAVAAHRGLACCVEADTRRRPCYYVCKPATRQWRALPNPRARFPTAATAMVARPSAAAAEFKIVRLSIPALHDRLRCEVFDSRRFAWRRAADVPLCPESLYPSAPAVRAHGGMHWLRWPDRTGAQDVFAFDLRSEVWRLIRLPREVEERDDPWARKHISAVEGRLCLMVTTMGVGEVDTLEVWEMAGYAEGRWEKKMTVSLKSLHAQEGDGVILQHLHSADVGFFKTFYRVMWYDFLRGKKAAEVKVDHVCVQEIFKFESDLIPCELGGKARAPSEEVQKGDYMF >KQL01036 pep chromosome:Setaria_italica_v2.0:VI:7503021:7504017:1 gene:SETIT_014590mg transcript:KQL01036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKDLTEDQIASMREAFSLFDTDGDGRIAPSELGVLMRSLGGNPTQAQLRDIAAQEKLTAPFDFPRFLDLMRAHLRPEPFDRPLRDAFRVLDKDASGTVSVADLRHVLTSIGEKLEPHEFDEWIREVDVAPDGTIRYDDFIRRIVAK >KQL01057 pep chromosome:Setaria_italica_v2.0:VI:7707554:7708026:1 gene:SETIT_014676mg transcript:KQL01057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSILLAAAVLAVLLAVGSCGSALTFKTGPGCSATRLVLIPSTAISEVEVKEKGASDFSELKEGPTGTWTLDSKAPLKGPFSIRFAAKSGGYRVVDDAIPASFKAGSVYKTSLQV >KQL02506 pep chromosome:Setaria_italica_v2.0:VI:32938640:32939810:1 gene:SETIT_014354mg transcript:KQL02506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLMEEFHGLTLKRKGTDEPELFDDAGDDRSSGFPLACRATKMRRLACHEDGGPQHQDAPAAGVAAMGQQDDVPMCDDEPAQVGAEGEEEGALVLYGGGGGRFDAARERGVARLALRGGADWVRAMLREADSMTVRELLAGAAQEQGSDGLALAIVPWVPPSPAAGEEAEPSTAAEEADGDGDSEGAAAMDVEESEAPARHWTSGQACSSGAPEGFVYRWPQHCMAPPQMPAVAQPSPVMWSW >KQL00276 pep chromosome:Setaria_italica_v2.0:VI:965192:968441:1 gene:SETIT_013220mg transcript:KQL00276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELMASAATSVMGSVIGKLTAMLGDKYQFARDVEQGIRFLKDELSTMDAVLQKLADKDDDQMDPMDKDWRNKKLWKDQGIAEEIQKLKILVTEQSERAKRYRALGSSPPHYLAASPQPVRLDPRATALFQEARDPVGIDGPREEIIQLLQVEEKQHKVVSIYGTAGQGKTTLAMEVYRKITQAFDCRAFVSVSQTLDLKKLLRDILSQIVSKSEFDQLQSERWETEQLMRKMIDYLIGKRYFILIDDIWNVSDWELVEAALPRNDNGSRIITTTRSKTVAETCAGIDAQMYKAKPLGDDESRRLFFKRLFHSTEHCPQDLMAVSSDILRKCGGLPLAIISIAGLLANRSKTKEVWVNALKYISAAVDKDSHIDKMKRIFLLSYFDLPLHLKSCMLYLSVFPEDYLIDCRRLILLWVAEGLIPGQGRENMEQLGRSYLNELINRSLVQPTKVGADGATVKQCRVHDVILEFIVSKAVEHNFVTIWNRNGFSENYSSNKIRRLSIQHNISRQAEEMTIKEHAAHIRSINIFDPMSMLLITSMFLSSQVLRVLNTETRVGCNLGHVKSFGQIKYLRLGLSFGFRPLISFGCKLPKDIEKLQHLETLDVTVCAGIDILPASITQLHRLVRLLVGARVKLPDGIGNLQALEELPSISLGFQTIKFIQGLGDLTNLKVLGIDWRYDHYLLRHVDVEGHKEACISSLSKLVTTLRELHVVQDARDDRLSFMASCGSTPPPLRRLVFHDSCGPSVVPHQIISSLVNLTRLSIGLVSQEGINILASLPMLLSITVRVLGNSGIRYTISSQGFQCLVKFNFDCYYMGALEFEPGAMPKLQRLKLYLVAWGQFNYEQGGLILGLQNLAGLRHVALCSNCKYATPDEVQDLEDDIMVAAGAHPNCPVVQQVKKINQEWMAQGCSRRPRDYPMLEAQ >KQL00281 pep chromosome:Setaria_italica_v2.0:VI:1019152:1019611:-1 gene:SETIT_015887mg transcript:KQL00281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSASRLELNILDCIILFQRFLYYTDPAALPKCF >KQL00974 pep chromosome:Setaria_italica_v2.0:VI:7025924:7028122:-1 gene:SETIT_014990mg transcript:KQL00974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LASLALAPSEGRTDSQEGRWRPPEKPVVEDWRAINSRRNAKWWYAAFHNVTAMVGAGVLSLPFAMSELGWGVGITVLVLSWIITVYTLWQMVEMHEMVPGKRFDRYHELGQYAFGEKLGLWIVVPQQLVVEVGLNIVYMVTGGQSLKKFHDMVCHDRCKSIKLPYFIMIFASVQFVLSQLPNFHSISSISLVAAVMSVSYSAIAWIASADHHKKNSAEVDYNLRATTTPGKVFDFLGGLGDVAFTYAGHNVVLEIQATIPSTPDKPSKKPMWKGVVVAYIIIAACYFPVALVGYWAFGNQVDENILITLNKPKWLIALANMMVVVHVVGSYQVYAMPVFDMIETVLVKKFWFTPNLRLRLIARTVYVAFTMFIAMTFPFFSELLSFFGGFAYAPTTYFLPCIIWLIICKPKRFSLSWFANWTCIFIGVPLMILAPIGGLRQIILKVKTYKFYQDYQPDAQHISGHR >KQL02652 pep chromosome:Setaria_italica_v2.0:VI:33794986:33797426:-1 gene:SETIT_014683mg transcript:KQL02652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLGSVQGWCGSSARGAVHFGSTPRRPKLPAAARNLAPPAGRSPPPSAASQRRPGPWPPPPPLPEDGFMDEVEEGTGEQGSSGGPVRGLDAVAAGRTSSFKPYHFLPRGITLV >KQL01795 pep chromosome:Setaria_italica_v2.0:VI:26278814:26279113:1 gene:SETIT_014868mg transcript:KQL01795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APSPKCITAEAMEVLCGPLSLGLLAALAFASLAAIGDLLQSDWAIWGLRERFGFVISIVGIMGMSTMYCFVIVPALSLRMWRIRQQLMLQHSGGINHLL >KQL02599 pep chromosome:Setaria_italica_v2.0:VI:33457538:33457899:-1 gene:SETIT_0160192mg transcript:KQL02599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFKAINLYPATQVQTILDKEMVIYAKGIVMRIVILVSEVK >KQL00167 pep chromosome:Setaria_italica_v2.0:VI:301466:303333:1 gene:SETIT_013542mg transcript:KQL00167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIVLQARHVLHEQAPAAVVSVLLIVCPLLAFLIVRRHRRPSTAREREQLLSKLPSPHSRLPIIGHLHLVGSLPHVSLRDLAARHGRDGLMLLRLGAVPTLIVSSPSAAQAVLRTHDHVFASRAYSPVTDILFYGSTDVAFAPYGEHWRQVRKISTAHLLTNRKVRSYRHAREQEVRLVVAKIREAAAAGTAMDLSDLLNSFANDIVCHAVSGKFFREEGRNKLFRELVEANSSLIGGFNVEDYFPALVKLGIVKRMVCAKAHRVNKMWDDLLEKLIDDHASRPPASERDGEETDFIDVLLSVQQEYRLTRDHIKAQLAIMFEAGTDTSFIVLEYAMVELMQNPRLMNKLQAEVRNNIANKGKDMVTEEELNDLAYLKAVIKETLRLHMPAPLLVPHLSMAECDVEGYTIPAGTRAIVNSWALARDPSYWEGDAEEFKPERFMEGGSAAAVDYKGNDFFYLPFGTGRRMCPGVNFAIAATEIMLANLVYHFNWELPLELAEKGIDMTESFGVTVHRTHKLLLVPVVPQV >KQL01037 pep chromosome:Setaria_italica_v2.0:VI:7506571:7508910:1 gene:SETIT_014486mg transcript:KQL01037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQTLAPNPSPNAARASSRPRAAAAAAAAAASPRSAVAGDKLPAAAMGRMHSRGKGISSSALPYKRTAPTWLKTPASDVDEMITKAAKKGQMPSQIGVLLRDQHGIPLVHSVTGSKILRILKAHGLAPEIPEDLYFLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKRTKKLPPTWKYESTTASTLVA >KQL01945 pep chromosome:Setaria_italica_v2.0:VI:28368255:28369649:1 gene:SETIT_015493mg transcript:KQL01945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QTSSIDMARKKNTATSLQRRPRTAARLLPLLVFAVICYLQFRTLIRFTPTVPCDDSSRRAAVDDLIDRLRTSVTFLPLRDTRRRAGEWFISALNDTSEPEGEAKNLVLPSAASSGRVLCVHAPTRSDAAYALAWRDALPRGAALRPGLTFVSEMSYDYRNLWHGLSALVPFASWHARTGCRAVPARWALFLHGAAVRSGATSGWLASLAEAATGAEMVVETFPDGAGGTPACFEEAVVFRRQMEGLSRARLLGAFDFMRCKARARCGVADAAPGAGAAGPSSALLRVTLLFRTGARAFKDEAAVARVFGAECARVAGCVVTAAHASNLTFCDQVRLLSATDVLVSAHGAQLANMLFMDRNSSVMEFYPLGWRQRAGGGQFVYRWMADRAGMRHEGSWWDPHGEPCPGSPDILSCYKNRQIGIDEAYFARWAARVFAAAKERKARRGGEVFGEERQQEAADCGCS >KQL02622 pep chromosome:Setaria_italica_v2.0:VI:33606569:33607141:-1 gene:SETIT_015799mg transcript:KQL02622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VITVFLPPAYSGQASTMVLGSNISIQKTLYEVLSVSEDATYGEIRAAYKSAALNTHPDKGHMTLESSVPSSEQQEFLSVQRAWEILRHPASRADYDKQLQSSRQNIEIIASEIKIGDMIVESTADTVELLYPCRCGDYFSITSCELGDMGILVSGDGEVEQQASDSSSAFVVLGCGSCSLKVRLIINETL >KQL00443 pep chromosome:Setaria_italica_v2.0:VI:2124620:2125283:1 gene:SETIT_014815mg transcript:KQL00443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQAGYPPPGTAAYPPPGQQQAYVAPPPAYPPSQDAGAYGQQQQHQTTSRGGDGFWKGW >KQL00910 pep chromosome:Setaria_italica_v2.0:VI:6465567:6469041:-1 gene:SETIT_013929mg transcript:KQL00910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLGPGQGLGCEAAEGSLVPSRKREYKPCGKHTEGKRPLYAIGFNFMDARYYDVFATVGGNRVTTYRCLENGSFAVLQAYVDEDKDESFYTLSWARDHVDGSPLLVAAGSNGIIRVINCATEKLAKSFVGHGDSINEIRTQALKPSLIISASKDESVRLWNVHTGICILVFAGAGGHRNEVLSVDFHPSDIERFASCGMDNTVKIWSMKEFWLYVDKSYSWTDLPSKFPTKYVQFPVLIAAVHSNYVDCTRWLGDFILSKSVDNEIVLWEPKTKEQSPGEGSIDILQKYPVPECDIWFIKFSCDFHFNQLAIGNREGKIYVWEVQSSPPVLIARLYNQQCRSPIRQTAVSFDGSTILGAGEDGTIWRWDEVDHGSSKN >KQL01411 pep chromosome:Setaria_italica_v2.0:VI:19105073:19110150:1 gene:SETIT_015458mg transcript:KQL01411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYNIESSNADCLDSRPLKKPKCEQLNDCDLSPSPRSSTSLASSCNNIESSHVYDLDARPLKEVKCEQMNDLDISLSPPSATTLPSSSPEKDPYIIDSIVAEKVSCSDWIHFESDNDRRILLDDEKHQNHHEQFKVDQTYDYLPQDYEMTDLDYCALITIEISLESDILVKIDDIFVTQSQLSCLLDPKKFLNDDVISAYICCIKYQAHLESRNDVKFYFENPFISVMLKRDGKLGVGQDGNHITKIVRNYLKHEMVLIPINIKETHWYLAIINTQKCEIQVLDSLCWDSNRGDLADTVRLQFHLDIIGRQQNLISHNWKDLQVISWIITEQVQEPMQKDGSSCGLFMLKFMEYWTGDSLSHPITQEDINCFRYKLAGILLCWKTNTAQTTPQNMSLLGSSDDQKETKATDSLLEETKYQSLMSILSKISENELVGGLCDYIKSINCPETLEKVWVRNSKPYSISLTVRKLQEILKEDLPMDRDCLNLVIRKFMFDEIQMMKKTKGTISKHYLDTRFWILIPIVQFNKTFILFILNQDTRTVYILDPTPLDLVYKYNPNARYVKKLLCIAEFLPKAMSKVSPGSRWSEDVFLWRQIILSDVPIENRELSGYLVSLFMCIWKDEELRLPILKDGYELRKQFMAQLLTYKENECEDNMPAGVRDFLSCINATQMRC >KQL01789 pep chromosome:Setaria_italica_v2.0:VI:26115994:26116384:-1 gene:SETIT_015958mg transcript:KQL01789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIATVATSKNNYCNTMRYLLQHSDPQQPACPLSAEREEMPPHLRRLPPAGVGEGQGLARILPGSGRGPLDPRALGAPAGLRTPEWGGGAPPG >KQL02127 pep chromosome:Setaria_italica_v2.0:VI:30113273:30115109:-1 gene:SETIT_015579mg transcript:KQL02127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSLDNTTTTTSGFLFGWDPQLSYFGLGAWGVGAGDLDTHERELELVVPKCMESPVSEASTVAVTGLPTPQDAMAMPGELDELLQSLWDSDEEKNAVGFTSCSGLEEASAISSQYDDHFALNTILPTSPEKALTQPQAEPPSSSSSHCNMDPWASDTGVAPGQTTCGNSSSKRSAPEEKEKGGDVSCKKSRKAPSSTAGNGAGTVAHPFTVVKPGGADGSVTLADINQWILTPPARPVRHPVGEFACAPRVSAGNRPAPSGKTVAGFTRLRTAGRGTITIVRTKG >KQL00176 pep chromosome:Setaria_italica_v2.0:VI:351148:351873:-1 gene:SETIT_014918mg transcript:KQL00176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATPAMLAAAAVAILAVVASLPLLRRLLSASSGGKKTKPPLPPGSFGLPFIGTADDWLRRYVVAYGPVSRLSLFGCLTAFLVGPAANKFIFASAAVTAKTAKTPESLARMVGRRTIREVVGDEHRRVRATMAQFLRVDAVKRYVAGMDGEVRRHLDAEWCCRGAVTVMPSMKLLTFDVMCTAIFGLGRDAAVRRELWTEFQQLVRGIWAVPVNLPFTIYSRCLAASRD >KQL01591 pep chromosome:Setaria_italica_v2.0:VI:22573277:22576538:1 gene:SETIT_014175mg transcript:KQL01591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAAPPLSSPSATAANPGRNPKRKRKPKPKAAGPSALNPNWAQLQSKLPQRPAATHLGKRKHDSGPPSPSRAPAEPSPPPEAVVKLEPTSDDASLTKAVAIDCEMVGVGSDGSKSALGRVTLVNSFGNVVYDEYVRTVERIVDYRTRISGIRPKHMNKAKEFWVVQKEVAELIKGRILVGHALHNDLKVLLLSHPKKDTRDTSEYEIFRRERKRRSLKDLANEVLGAKIQQSEHCPIEDARAAMFIYNKHKKTWEKNMKEQFRFKKKLKKRGKKKPAESNTNDPNVPTVLL >KQL02485 pep chromosome:Setaria_italica_v2.0:VI:32828852:32833230:-1 gene:SETIT_013893mg transcript:KQL02485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKDTDYYDVLGVDPSATESEIKKAYYIKARQVHPDKNPNDPDAAANFQALGEAYQVLSDPTQRQAYDLHGKSGISTEGIIDPAAIFAMLFGSELFEDYIGQLAMASMASLDNFGEDDHIDPRKLQERMQTVQKEREEKLAEILKNRLHIYVQGNKEEFIQLAEAEVSKLSNAAYGVVMLNTIGYVYSRQAAKELGKKAIFLGVPFVAEWFRDKGHFIKSQVTAATGAIALMQLQEDLRKYMSAEGLYTEEELEMYMENHKKVMVDSLWKLNVADIEATLSRVCQMVLQDSSARKEELRLRAKGLKTLGKIFQRVKLNPSEGEASQVRNIDNMDEDDGSSPDSSPNREPQFTPNQPHIPSPYVEAPQVNGTYCSFNFPMPTAPPGAQRDPRP >KQL01636 pep chromosome:Setaria_italica_v2.0:VI:23862791:23869435:1 gene:SETIT_015033mg transcript:KQL01636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSIATYRESLSRLAVEVDDAAADEVPVPSAPADARGGDLSATPPSSGRRRRYSRPGPSPGPGSDSSAEPDEISKLREDIQKLQASEAEIKALSFNYAAMLKEKEEQLGKLREENGSLKRNLESCKAVSANSNGTFERSPRAQRNSVQENSLNTTKQNGYGGGSSHGIQPNGLHSVTGQPKGNVLEEERASFALKQASLENEIKQLKQQLSNKSKKETEIERKLEDENKRNGFLQQELNELKINKERISTSMEELHKELNEKKLELRRVQDELSRRDKEHVSDGSFQSLRSMLMALQKENSDLKIERARLEADLKSTKSTSQKTADGTSDNKIPDSGKVKEEMESLKRALQDASRERDKAVQDLARLKQHLLDKDMEDQEKMDEDSKLIEELRVICEQQRAHIMQLERALKVEIAKQEESKRIINEEHQRSNEHVEDLKSKLASCMSALESKNVELLNLQTALGQYYAESEAKERLGGDLAVAREELSKLSQSLKREGRYSYQTSQAERMLTDGKRSMQKLEDDNSRLRRALEQSMTTVNRMSLDSDNSVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSEEDKQRIGFAQNNAGKGVVRGVLGLPGRLVGGIVGGGSSGKSTQASQDSQSFADLWVDFLLKETEEREKREASEAARQSQEESQTATSTSSSSSIPQPSQHPSNLAPGPSTTTRPHLFGRPDSEFSTVPLASSSYSSVPTPFLRPPPR >KQL01780 pep chromosome:Setaria_italica_v2.0:VI:25965982:25967428:-1 gene:SETIT_014110mg transcript:KQL01780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIARVDLRGVVPGGPGWEAARAAVTASMVAHGCVVVVAHDALDPDLRRALFGRALPELFALPLEVKQQTVSSKGMFRGYIGQRPGMDWESLRVGEPTNADNVREFADFLWPEGNPEFCETIVSFAKNLRKLEEMVETLVLEGLGARGESVAAHMDMLGQGIRMSHYGVPPDTETSMSMQAHYDDSMVTMIVQHEVEGLEVHVGNGRWAAVPPEPGTFAFIAGEQLRVVTNGRVPACLHRVRTPSNRERFSVLFGRRQKDGVAVRALDDLVDAEHPLAYNPLKHEEYSKWRYSEEGLKLEDPLKAYCGVEKDGATTVV >KQL00152 pep chromosome:Setaria_italica_v2.0:VI:219361:219999:-1 gene:SETIT_014917mg transcript:KQL00152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASTVSSLLCCPCRCLFCGVLSCLFSVLTCIFFSAGLVALVLYLLFRPHIIRATAVSADLSVFDLTPRMWILHYNLSLALQLRNPNKRIALHYRDVAAHAYYEGQRLADAALPDFFQDTGETSPLNPVFAGDAPLVGGVAAAGFRREAAEGATFSVDVKITAHMKLKLWVITVPGPKPKIDCPLRIQQRNHTDGGAPPPEFHPTECRVWF >KQL00672 pep chromosome:Setaria_italica_v2.0:VI:3909827:3911658:1 gene:SETIT_015504mg transcript:KQL00672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIWGQPVTGPCVSDGWRARVAAFLGREVEEQGTRTSGVLISWLREHFGQCPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWIHCLTDWHQAGSYSWGSAVLCFLYRQLCEACRRSSGSPSVGGCVYLLQLWMWSRLPVGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSPYDGDDPLPFPLSFVCAADDDIYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSIELHNVDRKKKRKVSEWASFHHAYIQEWELFEENVDENNEPHTNSAYRQYQSWYQGATRHRLREAWTQDDYAEIQSSDDEDMVYDQSTHAGRQVEAGPILDRMGRTLQTSVRDIEHIRPRVRDPEIRSVLERLSNRLRRAAARCGCRTATTRDVHVPALRVTGVGTSTQGPSGSKSIASEELDDDDDDDDDEQRAKEIGPSQLQEAPLTQPT >KQL02314 pep chromosome:Setaria_italica_v2.0:VI:31681920:31683086:-1 gene:SETIT_014155mg transcript:KQL02314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKISFPSSRRRRLRCDLHLMLPLALLCFLSGAPRLADSARVFTIVNLCETVIWPAVTPGSETFGAGGGFELRPRQSMVFTAPAGGWSGRIWARTGCTFDASGNGSCATGACGTALKCGGASGEPPASLAEFTLASPSDFYDVSLVDGFNLPVAVEPVNGRGNCSAAGCDGDLRRTCPPELAVKAGGRTVACRSACDVFDTDRYCCRGQFGGPGTCNATAYSKKFKDACPTAYSYAYDDRSSLLTCSNADYIITFCSDRKKRVCSYHNNRLVCSDSSRSWPVVSTLLFVLPLVFLALQISV >KQL02993 pep chromosome:Setaria_italica_v2.0:VI:35693932:35697480:1 gene:SETIT_013680mg transcript:KQL02993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVASLSQVAMAPRPFVALLLLLCAAAAFVLAADAAGTADGSEEWGYVQVRPKAHMFWWLYHSPQRVDNGRTPWPTVLWLQGGPGASGVGYGNFMEIGPLDSELKPRATTWLAKADLLFVDNPVGTGFSYVEGGDKSLMARTDAEAARDLVALLCALYRDNPRLRASPLYIVAESYGGKFAVTTALAALRAVEQGRLRANLAGVALGDSWISPLDFVMSWGPLLYQVSRVDEKGLQQCNSVAAKIKDQVEKQQFADAEESWSELESVVLENSNSVDFYNFLKDDAPSDATTTTAQRKRSTLSSFRSKKGYSGYLESMAAASQEGGFEGLMNTVIKKKLGIVPKDVSWGEESGDVFDALAGDFMKPRIQEVDQLLKLGVNVTIYSGQLDLICATKGTMDWVQKLKWDGLKSFMDSPRKPIYCNKEGQSGTQAFVRSYKNLNFYWILGAGHMVPIDNPCPALKMLADITRSPAK >KQL02992 pep chromosome:Setaria_italica_v2.0:VI:35693932:35695909:1 gene:SETIT_013680mg transcript:KQL02992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVASLSQVAMAPRPFVALLLLLCAAAAFVLAADAAGTADGSEEWGYVQVRPKAHMFWWLYHSPQRVDNGRTPWPTVLWLQGGPGASGVGYGNFMEIGPLDSELKPRATTWLAKADLLFVDNPVGTGFSYVEGGDKSLMARTDAEAARDLVALLCALYRDNPRLRASPLYIVAESYGGKFAVTTALAALRAVEQGRLRANLAGVALGDSWISPLDFVMSWGPLLYQVSRVDEKGLQQCNSVAAKIKDQVEKQQFADAEESWSELESVVLENSNSVDFYNFLKDDAPSDATTTTAQRKRSTLSSFRSKKGYSGYLESMAAASQEGGFEGLMNTVIKKKLGIVPKDVSWGEESGDVFDALAGDFMKPRIQEVDQLLKLGVNVTIYSGQVRN >KQL02288 pep chromosome:Setaria_italica_v2.0:VI:31472520:31477040:-1 gene:SETIT_013829mg transcript:KQL02288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFPPNPPSYGVVDEEEPPPPARAAQGTNATAAEEDACKVATRRVAMTGVRWSVGVEARRVRTRRGSEIIAMYVRHPGASLTVLFSHGNAADLGNMYGIFVELSARLHVNLMGYDYSGYGQSSGKPSEANTYADIEAAYKCLVDVYGTRQEDIVLYGQSVGSGPTLDLAVRLDHIRAVVLHSAILSGLRVMYSVKKTYWFDIYKNIDKIPHVKCPVLVIHGTNDDVVDWSHGKRLWELCRQKYEPLWIEGGDHGNLERFPVYARHLKKFLSAIKKLPAEKESAAESEKSPAENKTLSDDVAICEVPSMISRRLEPSRKSAIHEQPMLGTGHVDKRRRSTGHREKARSSTDRKERSRRSVDCFDRIDEHEQSEKPRKSFDRIGEKIRSMGLCNVDCFKEPSHSTEPCPSERKQQ >KQL02496 pep chromosome:Setaria_italica_v2.0:VI:32879344:32880811:1 gene:SETIT_015419mg transcript:KQL02496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSDEEEQGSSPASESEPECAPLLMPPPPPRAPSSQPPLLLNPAYARCKSVIHDELRSFRVFLQWCALDHSTRAGRAASYAAFLVLALLVPASISLSLRADASLSPVSASAITFNRVSQVPATGLAVISFVTLAAFFRRLGGLRQLLFLDGALRDDSPYVRRGYARELDRAFRLLAALLLPSLCVEAAHKAVFYFCTVRVDPPAALGAVLPPLLLPRVPWRAVALVATVASWVYRTGVFLLVCVLFRLTCELQILRFEGIHHMFDVEARAAAAEIFAEHRRIRTQLLATSHRYRVFIICCLVTITVSQLGALLVALSSRDEKSFSNTGDLLVGSAVQLSGFFMCLFGAARITHRAQRIVSIASQWHVSLVAMHHGSKSSPASTSASDVDASRVSGSSAAVSSQAEPGAACSYKSRQALVTYLRHNGGGITLFGFTLDRGLLHTIFVFEMTLVLWILSKVVVLE >KQL00733 pep chromosome:Setaria_italica_v2.0:VI:4431691:4434134:-1 gene:SETIT_013398mg transcript:KQL00733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGTSPGQAHDIDIPPPRPKRKPNSPYPRKNGLSSETPTKELPNDKSSKSNITLSSGNVQMVGDMSLQKFQRKEVSEKGSCSEVLNLFRDAPSASFSSVNKSSSNNGVPRGVEPTKTEIRDMTTTEKNSINPTMEEDVKEIDVPEIGRVNGIHVSSKCDYSNEEYLDFSMQQMKLKPKSSETTYVDKQTARTSHSLAERNGATSIPVTGTDGTRPDQTGDQVGVNGSMNPCIHPMLSTDPKFDSSATPQPFPHNYAAFAPMMQCNCNQDTYRSFVNMSSTFSSMLVSTLLSNPAIHAAARLAASYWPAAEGNTPVDPTQENPAEGVQGRNLGSPPSMASIVAATVAAASAWWATQGLLPFFTPPMAFPFVPAPSAAFTTADVPRPLDKDRDSPVENAQKGCQEAQKQGQSEALRVAVSSESDGTRKGDMSLHTELKISPVQNTDAAPTTGADISDAFRNKKKQDRSSCGSNTPSSSDVEAGNVPEKEDKANDKAKQASCSNSSAGDTNHRKFRSSGSTSDSWKEVSEEGRMAFDALFSREKLPQSFSPPQAEESKEVAKEEEDEVTTVTVDLNKNATTIDHDLDMMDEPRTSFPNELSHLKLKSRKTGFKPYKRCSVEAKENRVPASDEVGTKRIRLESEEST >KQL02892 pep chromosome:Setaria_italica_v2.0:VI:35150619:35151470:1 gene:SETIT_014795mg transcript:KQL02892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELRSAFSTSSEASLLSKHITYSITAVSQGSVILPSLVNPNSSCDSFRSSVKTVVRRYVRGISNRFPSEE >KQL01158 pep chromosome:Setaria_italica_v2.0:VI:9811795:9813477:-1 gene:SETIT_015012mg transcript:KQL01158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRSGRVELAPKGHRQFKYVAYNPKGLKYPSQVGAILKREYPGIIKVYDEQESYLVRRVSNMVYQLQLEAVKMYFHKREDTCDDTRARTIELTEEQYLTCRLEWCSKSAWACPEKSGTLNTYAVMKSGFKIVDSIGRVVPIASSNENSQELDGKALHIVGNGMKHGHVPIGDGAVDKAIVLVHSKSVGFKLINPTDYDRVLKENEQLKETNGILFEENSVNRALIMVIIFSLLLANNIEPPAELLRLLESIDALRQ >KQL01225 pep chromosome:Setaria_italica_v2.0:VI:11192238:11196072:1 gene:SETIT_014009mg transcript:KQL01225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSPSFRRHPLASSVDLVRWLPSSAASPFERLLAAAIYDPSSGPAASSIRLLPLSDPTSPLASLPLPSRATALRCSPSTLAAATSSGSLHLLPSSFNSDAAAAVPGGAAFHVGPVRGLDFGGEEWVTAGEDGRVHVVGGGGDGRLVARRVWDGKGMSGYEAARWASPAEFATGGAGCGVQWWDRRKGDGVAVQCNGIWGRGIVTGMVHSIDIHSSRKHICVVGGSSGTIFAWDLRWPQQPIPLSGVGLNGTAEPVCESEVWEVHFDTYTQSSDIISSASSKILPVMMCSEDGILAVVKQDERPLELLAEACAINSFDIDPQNPSDVVCALEWESIGVLTRDRDTLVEE >KQL01589 pep chromosome:Setaria_italica_v2.0:VI:22569437:22572210:1 gene:SETIT_014275mg transcript:KQL01589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTSLAMSGVYDEKPPTEVSTDPSSSRNSIDEDDDWVIVKKQRITILIPPPTPDAANPESDRPTVSSKHSTLTQSKRDWNAARKKHPKQLIAKTPKDFPPEDGISEKFQVEGSGSTVQKDVPRIVGDIPPQCPAAPVVKSEWTEGGCQAVEGLFHQGSGKVTNSSGIMDDPRMPVISSPVANKIMRARLLESRVACFGGLRNWLFYRGLGWFVGILDSEKLGMYQLVSLTMTQLKEMGLVAVGPRRKLIHAIDSLCHPSQVEMVF >KQL00844 pep chromosome:Setaria_italica_v2.0:VI:5612140:5613861:-1 gene:SETIT_013589mg transcript:KQL00844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAAPPSLTLHRPAVTATARVAAAAAPGNTVHLANLDKLFRNRGAALESSAAPAPAAVEPVVAGTKRRQQPLLRLPFLARAKGEALREDSAAPAMSPRRLERLLQPVAPDGPSPRGNIAAVWRRLHGEDGWRGLLDPLHPDLRREIVRYGEFVDAAYGAFLSRPDAEPGHRHRAPRVPLQDAAYRVTAPLFATSSAGFPAWLAAAAPCAAQRTSLVGYVAVCDSPAEVRRMGRRDIVIALRGTCTVLEWAENVRAGLVPAADSNADASPEYSKAKVECGFWNLYKTAGDRSPSLSEMVVSEVRRLLEQYKGEEVSITVTGHSLGAALAVLIADELSGGVAGRAKAPVAVFSFGGPRVGNRAFASRVEARGARVLRVVNAHDVVPRFPPRLPLQGYADVGRELRLDSRASPFLRPDADYACCHDLEAYIHLVDGFLGSHCPFRDNAKRSILRLVKNQGGNVKQLYMSKAKDMRIQLDGGADMPGSSMLGRVDMPGAASTVVECVH >KQL02169 pep chromosome:Setaria_italica_v2.0:VI:30624464:30626395:1 gene:SETIT_013789mg transcript:KQL02169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHSSSLGLLFLLALCSLVQAQVLFQGFNWESCKKQGGWYNSLKAKVDDIAKAGVTHVWLPPPSHSVSPQGYMPGRLYDLDASKYGTAAELKSLIAAFHRRGIQCVADIVINHRCADKKDARGVYCIFEGGTPDERLDWGPGMICSDDTQYSDGTGHRDTGEGFAAAPDIDHLNARVQRELSAWLNWLKSDVGFDGWRLDFAKGYSPAIAKMYVKNTRPSFVVAEIWNSLSYNGDGKPSPNQDQRRQELVNWVQAVGKPAMAFDFTTKGLLQAGVQGELWRLRDSSGKAAGLIGWTPEKAVTFIDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGVPCIFYDHMFDWNLKQEISTLTAIRARNGIHAGSKLRILLADDDAYVAVVDEKVMVKIGTRYDVGNVIPSDFHPAAHGKDYCVWEKGTLRVPAGLHL >KQL01444 pep chromosome:Setaria_italica_v2.0:VI:19803225:19804069:1 gene:SETIT_015646mg transcript:KQL01444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDHLNLAFLQARIGDGLEVAIKKYPTEIISISSQYNSEFEVLKKLQHANIIKLLRHCTGEGEMILVYEYMPNGSLDKIIFNVRGDASLDWLSRFRIIEGIAHGLLYLHTHELCIVHRDLKPSNILLDFDMNSKISDFGIAKMLCPGWSHDTCILSTKVDVYAYGVILLGVIAAKKSSVPFLQDDEYVNFTEH >KQL00193 pep chromosome:Setaria_italica_v2.0:VI:428103:432519:-1 gene:SETIT_013357mg transcript:KQL00193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRHYGRKKRREVLGGGWRFSLPPLLQFGLTLGSPAPLSSIPRLPSSPACAPPPLPRLAARDLQNSPQTLTPLPPPPRAPSPLFLLALPIPISPPFLGPGACSSLPPRMASDGAASRRPPLAPPPKRRAAGDLLQTDLLTYKRRRRATSAKPAEGSAVASGPDQMSMVPHASNSQQGAYRMLARHWRSWRDTLEGLLQSPAVSQGSGGIQSCIRDALRHNSCQPLENGSLGEGQGREDPSGVVHAIENNGALVKAEDAAANNGALVKLEDGTAASLEANKAICHNALFDILVSEKFALFCDLLLATFNVNKPDEDVIGLQKIDAKMKNGDYAQNPALLDHDIKQIWKKFEQVGQEMVGLASSLSVISQASCQKQASGVSEIDMTEHKIEETSLVGVAHKTLRESTPPCDSGHSTIPKRSGTSGLDGICKDCGRKADSEGRIICDRCEAAFHVSCLKPAIDDVPAKWFCPTCNELDAAIKNNNNGRSHEDCDVCEWLEVKVPEENPEDVSRTELAVKTQESSVSSMDGDSEPDLSTTALSNLCKHCGTCEDEDKKFLVCGHPYCAYKFYHVLCLKESQIAIQKQKNRACWYCPSCLCRGCFKAKDDEWTVLCDGCDDAYHIYCMNPPRIDIPKGSWYCTSCSARRSMDAMQKYEKSVLETVMHVPGAKRSKVVASGAPETK >KQL02886 pep chromosome:Setaria_italica_v2.0:VI:35125110:35126384:1 gene:SETIT_015680mg transcript:KQL02886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSICPSETLKHPGINYFESQACTGPDHTDIIPYVIPNDSCMLVRAQRRGSFAVAGHGDATYPQQLSRLRQHVLVASCSSPAAMAGALEGVARVYEHAEALARSARADQVDGELEASVALLDACAAARDALGAMRACALDAEAALRRRDGAAADRAARACARLAQRACADARRQRRRAGGSRSEHQAAGGGGHALQEARRLTVAVLERVVAALSRRVAAAAGTPRPASSWSTRVARAFRKSARVACEDAADEATVSLPALPSKDSHDGEAAVRVQRELRAVGDTIQQLEDGLELLFRRLVQCRVFLLNVRSC >KQL00164 pep chromosome:Setaria_italica_v2.0:VI:263706:265959:-1 gene:SETIT_014519mg transcript:KQL00164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAAAGSSVVGRAVEEVRSALNEHADVVAELFGRVSSELRTGFAPAVDSFIGFFHAVDWKEPWLISMLTFHAILLLVTIISRRNVNFQLILSALTFSGVFLAERINTFLGQNWKSFSSQNYFDSQGLFISVVWSGPLLLITILILVNTLVTLCMLIVRWKRAELRHRARQARNKQD >KQL00932 pep chromosome:Setaria_italica_v2.0:VI:6579781:6581829:-1 gene:SETIT_015407mg transcript:KQL00932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRLPRAPATATLAGAGVPHRFRTPEQPPRPPRVPNTAHLNALLTAYGRRGCIRDAQQLFDRMPRRDVISWTALLTAYADAGDPASARLVFDDMPRRNAASWNALLMLYLRAARWRPATAAAAAHALFAKMPAKNAVSYGAMITGLARAGMLREAEAVYGEMPPQWRDPVGSNAIMAAYLRAGELGMALRVFDGMAARDIFSWSALVDGLCKYGTVSEARRLFEAMPERNVVSWTSMIRGYVKRGMRRDGILLFLDMRDEGVQVNETTLSVVLDACSEASLVREGIQIHGLIIAMGFEKDVFLGDSIIIMYSRFGWMVDARRVFAFMEHKDIVSWNSLITGYVQNDMIEDAHVLFKLMPERDAVSWTSMVVGFASRGWMREATDLFEQMPGKDGVAWAAVISSFIANGDHVNAVRWFRRMSKEGCKPNTVAFSCLLSALASLAMVNQGLQAHAYAVNMGWVFDSAVYTSLVTMYAKCGRLAEAHRVFSSISSPTLIATNSMITAFAQHGLAEDALKLFNRMQNDGQRPNHVTFLGILTACARAGLVQQGYNYFESMKSVYGIEPNPDHYTCMVDLLGRAGFLAEALEMINLMPQKDYPDAWAALLSSSSLHSNLAFAKLAAERLLEMDPYNATAYTVLSNLFSKAGMEDDEEMLKVAQLSNMASKSPGYSLIIQEKNNTE >KQL01808 pep chromosome:Setaria_italica_v2.0:VI:26469799:26470047:1 gene:SETIT_015245mg transcript:KQL01808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGALTWEIILQDSTVLGLLGLFSSVLLIVIAGPVFMGLLPVVEPQRMRIAYALLDVGTLGSMAMSCFIMLPSLALKLWRLD >KQL00715 pep chromosome:Setaria_italica_v2.0:VI:4259230:4263201:-1 gene:SETIT_014236mg transcript:KQL00715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRGFFECLLKLLNLIVMAVGLAMVGYGAYLLVMWLQVVPPPAPPLPPSPAPVVVAAGGELVRLGRPLMLLVDASSLSDGTAERFSSAWFIFTFIGVGVVLFITSIFGCAGARNGCCLSIYSFLIILFILVELATGGFIFFNHSWKEVIPVDKTGNFDMMYSFLKENWRIAKWVALGAVIFEALLFTVAIIVQSGNQADYDSDDEYIVPRSGIRQPLVNQQQGAADPRVPNLDYRPIRNDAWSQRMREKYGVDSFDPNRFQQATISPAEQRNRCTIL >KQL02407 pep chromosome:Setaria_italica_v2.0:VI:32295904:32298380:1 gene:SETIT_0143841mg transcript:KQL02407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIYCLDGARIGIQYETFFAGEPCEIFHCVLVSKSFLEKMTVIEHTLPFFLPIRELESDLLSSNAIKFIDHLEEILQAYIDRREQVRLIKELYGNQIGELFHSLPYNLIEFVLEDFECKVTVSIRYSDLILTLPSQARVLAWPLRSSKRISTRSSSASAVQLVPFRLSYAEEALKTLCLPEAYADIVLDLPNALKRSFSSQHSD >KQL01708 pep chromosome:Setaria_italica_v2.0:VI:25086595:25087295:-1 gene:SETIT_014577mg transcript:KQL01708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEALCAAWRPAPSGEGAAGAASLHPFLSRPSPPPPPPFLTPSFLSDLALVELEGSMASEGSNGKVNGSTRRVRRPPASCKRLEGIERFPNLLFTMLGFFQPLDSQSMEANQGAFCSIPCDIIYKGGEQQFQVHPIYPVSLHVTQILQFFVTFD >KQL01707 pep chromosome:Setaria_italica_v2.0:VI:25085070:25087295:-1 gene:SETIT_014577mg transcript:KQL01707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEALCAAWRPAPSGEGAADLALVELEGSMASEGSNGKVNGSTRRVRRPPASCKRLEGIERFPNLLFTMLGFFQPLDSQSMEANQGAFCSIPCDIIYKGGEQQFQGIIGWGPFRGLYAWLRRPSGH >KQL01668 pep chromosome:Setaria_italica_v2.0:VI:24561159:24561625:-1 gene:SETIT_014738mg transcript:KQL01668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNYSTYSSVTNKVPAIGMGYRESPECSLPARHSSVPTMARSCQEHVKESHSPKTHSRVFKESHHQNHGKCSFITQKNVYGYMSGGLARGL >KQL01805 pep chromosome:Setaria_italica_v2.0:VI:26415176:26415601:1 gene:SETIT_015975mg transcript:KQL01805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARDSLSTKSLLMKMADPIKKFQRKRDIVTYS >KQL00994 pep chromosome:Setaria_italica_v2.0:VI:7252938:7254777:-1 gene:SETIT_015852mg transcript:KQL00994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTQCERLVHARYVMVKCFLAACLIKFFKEIAAAKPPEHPPPLPYGNY >KQL01164 pep chromosome:Setaria_italica_v2.0:VI:9929542:9938459:1 gene:SETIT_013172mg transcript:KQL01164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSFSSSAAPSPTPSERAEAPRSPTPPAPPLVGALIESLSFRGCGFGRAAASAFEKEDLRSRAALPRRLRAAVHAAMRARDPAAGAFALDDRDGACNPWFDAAAHDDAPESPLVAFVNPRSGGRLGPVLKTRLQELIGEDQAALVTSGRLRRSAELHDALIRALSRSARPHLAHPLYAHLLRAGLLPTPHTLPSLLKSVALSLAAPGASALALAVHAHAVKLGLERFLLVSNALIRVHAGLLGRLDDGLLLLRTAAAVDAASFNTLITAYARAGRVADARKLFDEMPAKNAVSWSAMVNGYVQAGDGREALEIFARMQAEGVCPDDTVLVGVLAACAQHGALEQGKWVHGYLKANDTRITVFLGTALVDMYAKCGEVQLAMDVFEAMKDKNVLAWTTMIKGLAIHGRGSEALTLFSQMESSGVRPDDIAFIGALCACTHAGLVDKGRELFDSMVRKYGIRPKIEHYGCMVDLLARNGLLGEAKEMVQKMPMKPDALIWGALMAGCRFHKNVELAEYVVKHWILLEPDKSGAYVLLANIYAASGRHNSAREIRHLMREKGVDKTPGCSTVEMKGIIHQFIVGDLSHPRIKDILAKWHEIDSRNAAQIFFQLLMTMSVGIQTQILFAALSRHSEKLAIAFALISTSVDMPIRIVKNLRVCHDCHHVTKLISKVFDLTVVKPSEFVEYALACLEQLADSGDHSAGFVRNNLRVMVAGGDGTVGWVLGCLGELYVQNREPVPPVAVIPLGTGNDLSRSFGWGASFSFSWKAAAKRSLYKAIFGSVSCLDSWHVVVSMPEDGEEEKEELDLPHSLRHLGGCTFFDDGTAKGELPETVSCFDGVFYNYFSIGMDAQVAYGFHQLRDEKPFLANGPLSNKLIYAGYTCKQGWFFTQCISDPELRGLRNIICLSIKRMDSSEWESIPVPSSVRAIVALNLHNYASGRNPWGNLKPEYLEKKGFVEAHSDDGLLEIFGLKQGWHASLVMVELISAKHIAQAAAIRIEIKGGQWRDAFMQMDGEPWKQPLSSEYSTFVDIKKVPYPSLIING >KQL01641 pep chromosome:Setaria_italica_v2.0:VI:23926893:23927711:-1 gene:SETIT_015270mg transcript:KQL01641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLLQNLYQGTRSVDEYADEFYQLVSHNNLSGSKSQMVARYVGGLRKSIQDLLALHINFTVS >KQL02093 pep chromosome:Setaria_italica_v2.0:VI:29889531:29889995:-1 gene:SETIT_015698mg transcript:KQL02093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARHKRRLPSPDAAEDAASPSPDSLPTEILEKIVSWLPIRDAVRTSAVSRAWRHLWESAPGLALDFRFDHLPEPSFSRADGWVPLLAGKGVQALKLCFSQERDDEPHYMGPCIFSCRGEICIFDTRDSYI >KQL01260 pep chromosome:Setaria_italica_v2.0:VI:12529279:12529702:1 gene:SETIT_015870mg transcript:KQL01260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDAASIRIQKHAHTHFARKAYLQVYESVIVIQTGLRAMAARNEHRF >KQL01047 pep chromosome:Setaria_italica_v2.0:VI:7572780:7575806:-1 gene:SETIT_013650mg transcript:KQL01047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVEKTSSGREYKVKDLSQADFGRLEIELAEVEMPGLMACRAEFGPSKPFAGARISGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLEEYWWCTERCLDWGEGGGPDLIVDDGGDATLLIHEGVKAEEEYEKTGKVPDPESTDNAEFKIVLTIIRDGLKSDPKRYRKMKERLVGVSEETTTGVKRLYQMQETGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAALKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETYPGVKRITIKPQTDRWVFPETNTGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLSKSQSDYISVPIEGPYKPAHYRY >KQL00581 pep chromosome:Setaria_italica_v2.0:VI:3250453:3253478:-1 gene:SETIT_013272mg transcript:KQL00581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLDEHDSDDMKVGAASDGTATRLRKKRSKIWEQYRPIFVDGAIQSAECLYCHIHMSCRGADGQSNGTSHLWRHQKICRAKDGFGPSPLQQDADLPYVVSEVDPVDQILPDSLDGVNLVTRSENSKFRSKVWKDFIPFYVEGRVQGADCVHCHKRLSADKGRSHLTRHTQTCPARSGNSINHQQGVLFQSSVPSFKSRLQDELSPALTNGKVQIAEYASKFLKGSSGNRSPVERHILALPAMDETNSTEQNTTSAQTAADISRKFDQEASYQELTKMIISHGYPLSIVEHEEMKRFAKSLNPAFNMASSIDIEEYSTLLFQKEKTDLKEKIALLSHRVSLSASVWAPHGAEASVKYLCLAVHFIDSEWKLQRKIIKFGVFWSSPTSLERMIHFKEACVLDSDSGPFNVIQEALRDWNLDQKHFSLTSVNAIRSDEGTSKLMDLLIQRKCLPIRGELYNVACVDDVLNSIVSKGQPVLHHVGDILEKFIQVQMSSSLTRQQLLEVVTHIGLKCPQEDAKWWHKIYFRLEVFLHFKKAFPSEELLSAEDTKTVESVCRILRAFYRAVEVISGPVCPTANIYFNELWKVRTTLQEEASSDHTELANMVWVMQEAFNEYWQSSYVWLSIPVVLDPRFKITFIEFRLKRAFGTDAEKYVSAVRDAIRELFHEYCGPSEKSGLDTSTREARIVEFDGFDSDSLEDWDEHLNAQTRSQLMTELDNYLEDGLVPRKDDFDILNWWMNNSTKYPTLSIMARDVLAMPASAVNCEAALSSEGNMIHKQWSTLNIKTIEALVCTRDWIK >KQL02331 pep chromosome:Setaria_italica_v2.0:VI:31812997:31813527:-1 gene:SETIT_014959mg transcript:KQL02331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPATFCASSGAGTPAKAAAFGGSAGHDGAGSDSSQRRRLQHPAGVSRGGRGPSAGDADDLEQAACGRGDSRSALPWVALVPIILVGLVAGQLPAVVLTESLCSAPCSSSRWREH >KQL01477 pep chromosome:Setaria_italica_v2.0:VI:20332862:20333649:-1 gene:SETIT_014533mg transcript:KQL01477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRTVAVAAFVLVFSTAISSMAQTTAQEQEFVALHNAARREVGVEDVVWNETVAAFARAYAARRAGDCKLEHSDQDERNKLGYGENIYMGPPGKDWTVAEAVQWWVDEKQFYDNVSGMCVVGKECGHYTQVVWGNTKAIGCARVKCDSGGIFITCNYTPAGNVIGKRPF >KQL02066 pep chromosome:Setaria_italica_v2.0:VI:29635187:29638124:-1 gene:SETIT_015347mg transcript:KQL02066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLVSYPSLCCVTLIIGWLVHWAYKWANPPCKGTLPPGSMGFPIVGETFQLFKPSPSIGIPSYYQQRLKRYGTLFKTSLAGQRVVVSLDPEVNHFIFQEEGKLFRSWYTEATNNIFGKKSVTKFNANVHKFVRSFASKLFGVENLREVLIRELEDAIRQSFAAWAAKPSIEVKDGVADMIFDLVAKKMISMEPGESRELRKNFEDFFRGMLSFPIYFPGTLYYKCMKGRRNVHRILSDILKERLSTPGKKHGDIVDLLVEELRSEKPLIDENFAIDALAAILFGSFVTASAALTIGFKFLTDNPKVVETLKEEHEIILKKREDKNSGFTWEEYKSLTFTTQVMNEITRMGNIAPGIFRKTLKDVQVNGYTIPAGWLVMISPMTVHLNPKFFEDPLKFNPWRWSTQDEAKQIAQKRNFMPFGGGIRLCLGADFSKLLISLFLHVLVTKYRWIEIKGGEVFRAAEMVIPQGYHIQLVPTS >KQL00818 pep chromosome:Setaria_italica_v2.0:VI:5310614:5312213:1 gene:SETIT_015031mg transcript:KQL00818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIKLRREKISDRMKNCKTLSLIPIRKIHRADKASVLDEIIDYVKFLQLQLKVLSMSRLGAPGAVLPLLAESQTEGCHGQPLSAATNAQGLLDTQDSEDALAFEEEVVKLMETSITSAMQYLQNKGLCLMPVALAPAISTQKGASGAATPRER >KQL01224 pep chromosome:Setaria_italica_v2.0:VI:11194209:11194567:-1 gene:SETIT_014808mg transcript:KQL01224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIGQSCISHFNILDYAQGKLLLIIYSCNHVHKRGDVDQQSIQLVTTALVTINSEAALIQAKPND >KQL00641 pep chromosome:Setaria_italica_v2.0:VI:3703868:3710418:1 gene:SETIT_013128mg transcript:KQL00641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLQADGDQSPDVADIDMMDFLNLDGDGEGDSPRKDSTKGERQPSVDADVDQFAMDVDLEGLPSVQDQGKEKQSAAMDVDITGIPPVEDERQSSPDLSTLVPVDFNVASLEKFCNEASRSFFSETGLVSHQINSYNDFVSHGLQDLLDSFGEVTVEPDYDPSNKPSNKDGAWKHATIKFGKVKLEEPVFRVENSDLEVQDLKLKPRHALLQKMTYSSRMNVEITVQVYTVDKIDKAKTGNAMHVSRRDIMKETKQVSIGMLPVMVKSNLCWLHKLQESECQFDSGGYFLIKGTEKVFIAEEQRCLSRIWISDRPSLDASYLSVIKREKIHVKLVQSKRNENRKVINISFLGAVMPIWVVFFALGVSSDKEAFDMIDIQDCDASLLNIISSTVKESHDECEGFRAPGRARQYVDKLIRKTKFPPEESFDEYVSKYMFPDVKGTRSKAIFLGYMVKCLLMAYSGNRKCDNKDDFRNKRLDLACQLLRRELWLHINSIQRRMVKLMQRDLSSDGNLQDLRRYVDASIVTNGLNRAFSTGSWRHPYKSERCSGVVATLRRANPLQMMSDLRKTRQWFAYSGTTGDARYPNPSYWGKLCFLSTPDGEKCGFVKNLAVTAMVSSAVKKQVCIDTFVSCGMKKLDDKIPLQDISGKDRIFLNGNLLGVCADPGDLVSRLRSLRRSKLIEPQVEIKRDRHHKEIRVFSDPGRILRPLLVVENLRRITRPKAGLYSFQELMHQNIIELIGVEEEEDIRCAPGIRHLFTREKEEGPSDYTHCELDPSFLLGLSCSLIPFANHDNARRVLMQAEKLSQQAIGYLPTNSRSRFDTLSQIFYPQRPLFKTVVADCLGKPDYSFGRKGDFTTPEYFNGQNAIVSVNVHQGFNQEDSLVLNRASLERGMFRTLHFKSYKAHVENKEITRRLKHKEKISFGKVQSKRGKVDSLDNEGLPYVGASLQSGDIVIGKVTESGEDHSLKLMYTEKGMVEKVLLSANDDGMNFATVTLRQSRSPCVGDKFASMHGQKGVVGLLDSQENFPFTCQGIVPDIVINPHGFPTRQTPGQLLEAALGKGIALGGKVRYATPFTTPSVDVITEQLHKAGFSMWGGESVLNGQTGERMKSLVFIGPTFYQRLIHMSEDKVKFRNTGPVHPLTRQPVEDKKRFGGVKFGEMERDCLLGHGAAASLHERLFMLSDFSQMHICQACERVANVIMRPDDCGKKVHGPYCLFCRSAERIVRINVPYGAKLLYQELFSMGICLKFETEVR >KQL01117 pep chromosome:Setaria_italica_v2.0:VI:8590771:8593143:1 gene:SETIT_015257mg transcript:KQL01117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNMIPREGMSFHTEAETKAFYMRYAQLAEFGVKMCNKKKFSRVMRCSCEGKGDFYKGDEALQVRNKTTVKTKCKAHLKFTRVYDSEGNEVDMVIEKANLFHNHLLHTPLKTKQMRSHKSTELVLYQIIDKLQEASMSTQSIKNVLQNMHGEHANDINKLIEFFKDCEAQNPQFRWEPKLDFEGVIHSLFWSHASMEGDYADCGDAMSFDTTRKTNIYEKPLAMFVGSNHHLQNTLFGCALLGDETAETFELVFKAFKKCMGQSRTRYILTDQDQAMGMVIAKEFPGVVHKICRWHMVNKHMPQLTNLFGMYVKKNFKDKFYSVLNHPLTPVEFEAAWQELLDEFDLQKDSTLDSLYCQRALYVPTYFKDQYCGKMALTQRSESSNFSKRLTKSKWPFEIQVSRTYTRNVFNDFEKKMIDCMAFDIEDNPIEGLFYVHLLRAFMRIQLNKIPEHYILRRYTKYAQQELGFNRNDKLLVGADGVTQLYRIKDLMSLAMAAVRFGSMSSAAHIRTREVLAMLDKDNKEIPPDIGPSSRSRRQEAPGE >KQL01578 pep chromosome:Setaria_italica_v2.0:VI:22191905:22192306:1 gene:SETIT_015833mg transcript:KQL01578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHNFCFHVFLLTIGLYSDMFCKYSVNISVIIFFILFAMAEDACFTCRAALPILCFFYNFAIANMKYFAYWIRKRSIL >KQL02030 pep chromosome:Setaria_italica_v2.0:VI:29252336:29252974:1 gene:SETIT_015776mg transcript:KQL02030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAECGEGKADCKKTPWSAEEDEALRAAVREHGRQNWAAIAGAVAGRGAKSCRLRWCQHLAPELDSRPFTPEEDARIVEQQRVHGNKWATIARYLHGRSDNAVKNRWNSALRKMQPAAAAQEDAADAAEDQQAAAPACLDLFPLRAGEMREATAADRLGVRAQGEVEEDVASIGLTLGSPGLSDAELELSLGPVRPSSNLGVGEAASFRLFL >KQL02251 pep chromosome:Setaria_italica_v2.0:VI:31194634:31195644:1 gene:SETIT_015995mg transcript:KQL02251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHSTNHHSRRFRCKVCGDLASQSRGKLKEPQDWSEGSQKQQILHKAYLPHPDFETAKLEKIYNFCIHGLDI >KQL00223 pep chromosome:Setaria_italica_v2.0:VI:621815:628373:1 gene:SETIT_013430mg transcript:KQL00223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRKRGRKPAGKKAAPASAAAESPSPDTPSSPSTEATNSTPDQAEEDSAPPATQAPVPAPEPPQPAAVVAPPPHSEPPQKPAAAAAPVNPPVDIPYAKPKVGAVYGRVKLKFKSSKVVDPPPPQQQGSSGAQAPAADAAKSETATVPEVAKEAEAEKAAILTDKQQADGQGSETSDADKEKVVRRVGGIKIKSVGLASIGNNTPDRKADPVDEPPPSKQEAVSENKGTEETVEPRSSQELEEKQSTPERQRDEKELSAALEAIKKVMKMDAAEPFNIPVDPVALGIPDYFDIIDTPMDFGTICKNLERGDKYMNSEDVYKDVQFIWDNCTKYNSKGDYIIELMKRVKKGFMKNWLAAGLYSDVPDSGGNDNTGDEDAKGHSKSKSKNKRRRPGNDRHKSDCICAVCQVTRRKKERDEILAVVDNETAAMDSNTSDQHDMEGNSGANHPGSHDTSSSHEQPPRTDVYKETVEADDSGIRMEDVGKFSSDRPSSLPHPDYDDEGSRQHFEGKEQVEYRDMNSNGEHTSTQPNEYSDVENHQHKAQIETSQEVEMEEDYPMLQLNPAFQQLCASLFPSNPSSTFGVRHSLFRPRRRAPMKESPLHAAMAELMKRR >KQL01449 pep chromosome:Setaria_italica_v2.0:VI:19831405:19834619:-1 gene:SETIT_015711mg transcript:KQL01449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGIFNPILYGKRLFQQFAVDTYVKIESSRLDYIRNNQDLLRANLYQGVIPYNPCLLHTFNCHINVEACSSIKSVKYLFKYIYKGHDRASVAVKEAGKKDGQGNIDEITQYKEARWVTPLEAMWRIYGFDLSKNHPPVQQLQLHLPDMHMVTYHKRDKIERVVNCPGADELMLTTDLRTIDGVLLPSFREAADRRGLIEEDNTHDELFTTILVFCKSNDVFGFWTKHLDAMSEDYRRNNPNPSLVEHMVLIDIRNMLQSMGKNIRSFRLPGIDDTYDDASGIPHEIFEEASIDQNLEDRAAYEEIMSKVDTEQGGLFFVDGPGGTGKTFLYRALLETLRSQNKLAVATTTSGVAASIMPASLIIWDEASMAKRQAMEALDNSLRDIMGRQDLPFGGKTVVFGGVFRQESMHHLKLVRNMRTQSDPWFVEYLLRIGGGTEEVNGDGDVCLLDNICVPYSEDSEKYLDRLIECIFPNLNANMTNKDYITSRAILSTRNDWVDNINIKMIGMFHEGEMVYRSFDSAIDDPHNYYPLEFLNTLTPNGLPPHLLKLKIGCPVILLRNIDLANGLCNGTRLGVRGFQRNSIDAEIVLGLHARKRVFLPRIPLCPSDDEMFPFQFKRKQFPIRLSFAMTVNKSQGQTIPNVGVYLPAPMFSHGQLYVAMSRATARTNIKILALLPNAEAEEE >KQL00689 pep chromosome:Setaria_italica_v2.0:VI:3985814:3987846:-1 gene:SETIT_013553mg transcript:KQL00689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPPAAAGFNSPWTLAVRAAADQGRPRHAVALYLSSLRASHRPCPFALAAVLKSVPRLPAHAALPAAASLHAHLLRLGLLSHPYPHAALAHLYSRLLPPHHHAARDLLDGTPALRRHSLLVSSNSLLASRLRAGDIPAARALFDTMPARDVVSWNSMVAGLAKAGHLDEAIELFDQMTERNAASWNSLVCGFIAQGQLARARELFERMPIRNNVSWITMISGYAKAGDVQAAADLFERMESKDLYAWNAMIACYAQNGCAREALGIFNQMLKPHVWVLPNEKTFSSVISACSQLGDLRFGLWVESFMGSVGVELDDHLRTALVDLYTKSGRMDRAFDLFRGLRTRDLVSYSAMIVGCGMHGKLSEAVGLFKEMSDANINPNAVTFVGLLSAYSHAGLMEEARACFASMSTKYRIDPSVEHYTIMVDLLGRSGKLDEAFQLIMQMPMRPHASVWGALLLACRLHNNVELGEVVASKCFELEPKETGYYILLGNIYAQAKKWEKVKRLRKIMAERGLSKVPGSSWLHVA >KQL00961 pep chromosome:Setaria_italica_v2.0:VI:6924313:6925459:-1 gene:SETIT_015188mg transcript:KQL00961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRVWMYGIQRHSHTFMSEAAEKHARICKTKQTRCSCFACSHNIVWEDTNVIKRGLIKQGFVDGYTIWSHHGEAGDTLNNTDIDIGSDEVGGGDANENDHVMMDDDYDCGYQNGDPNRCACRTTAKKIINPLNMHVQRIHMCRNQCILYRGEYAALEKCSNCGASRYKSNADFCEDHAGSSIGNKRKKSAKKGVGNQVEDEFCIGTDMMTRRRVPTFVMWNLPVVKRLKRLFSNPKTAEMMTWHAYRLSFQMKKGMYISC >KQL03050 pep chromosome:Setaria_italica_v2.0:VI:35951974:35955941:1 gene:SETIT_014505mg transcript:KQL03050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEVVAMAGQAYMKQLQTHPLRTKAITSGVLAGCSDAVAQKISRRHQAPTQRLLLIALYGFAYAGPFGHFLHKLMDRFFKGKKGKETTAKKVLVEQLTASPWNNMMFMMYYGLVVEGRPFGQVKSKVKKDYASVQLTAWKFWPIVSWINYEYMPLQLRVLFHSFVASCWAVFLNLKAARSIANTKKA >KQL01409 pep chromosome:Setaria_italica_v2.0:VI:19071841:19073476:-1 gene:SETIT_014938mg transcript:KQL01409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERIPTIFWSPCAAHCLDLMEEIGNLAAFKKHNARTGGSLHAMREETGGAYLVRPAATRLATSFLTLKSLYKHKDALKALFYSEKWTGNKLAKTKAGLDVHAIVFSTEFWNKVEYCLKASGPLLIVLRVVDGDEKPAMPEVKALMNHAKEKINLSFAIPFKKKLLDKIMEIIERRWEKQMDHPLYGAALCCFLDVLARMVEDEETRDKIDVDWWCSYGGRAIELQRFAKQVVSLCASSSGCEHNWSTFEFGKSFDPLVVEEFDWDNEWADSLHVPPHGARGCECDLTWDLVGEAVEASESLRRRNLPRRAHNKRARNSAPTVVQEELGSENEEEENQDPYDDADVTDCEDDPCDANGSGGDEETANILGEFDDGY >KQL02305 pep chromosome:Setaria_italica_v2.0:VI:31613495:31622252:1 gene:SETIT_015638mg transcript:KQL02305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALFMQAFERRDAAEAQMRQQVASYSETLTCALLAAGHLPPPWLLPHPAALEFSFAGNTVRKLSVDLADLKSADHVHHVFPEINHWVKQRTADEAVEVKPVIMNINSSEKFNQCQKCTCKTVIDSTIMCVSETSYTAKTECLEDPMCIATPLLKIDSFHSVKPASLEGLVSVAATNPENDPIATNPENDSLELPHSMVSLLVEKGTLHSDKANFLEGTNSMACLLLDKEQILTDSLEKDTLHFDHCLKMMHLRLLKMFLSNPPAEKDFLHCVESDFLQGTYCMPSLLLDEEAGHTFETTSLEGPFCLVSPMIEKDPLNTIDHTEKLRKRSSLFDRCDDESSRTLEQQSSGYNVLPAPINESALQPYQLADNTSEARDEPALTDSLGGPHFLASPLLEKATLHCIQTQTDLDKILLKYTDDGEACQNSIVSSGTIISHNGSCIANAFVSAEIHCGDSSTGSAEDAFVCAESESAQMQSSLSKIPLECVKTGKAVEAQMASAAQFTLVESVQREPILDSIKCQPRQSDHAHANFPNKAGVLHTTESKITTNESLQTVNDKQNNSPETSCEHSCSSVSGEMFSSLESHVQRTASHLDKLSAREIQVNWNSSCEKVEMNGQSDDELYGSSSIMSTSSSLDNQDDYDQKKTRGNLSGKALPSLSCLSSNQTSPLTHEVQAAANYSPEKSMSNLSDAIHCSSPILQASLCSGSSLNTKVTTIPQHSRSYSLANNLDSAFASVAGFSDFQADGERCYIRQENSSVECPNGGLKTARAEDHSKFDETMQECKNFSIPVRSNSPTISDRAFQAFCESTKLINLSSSLSAKYKMKPLDGVYQSLPSKFEKLMNRSLAYSLDGNLLDQSHDSKKLRDFGKYSLDLDGVFMMSDDLIYGSSYAYGVQEDSDVPLTLLVEKYNLEKLSGRTGSSSGYLVSIPKLDCYRIDEDSTILGKNENQVNLSGPVGRKYSRQGLTAKKPLGYATNIYKSKGTSSLDLTAGKSYTRKPDRHVHIRANQDIKNPKDNCAPSIRKAGKVIHPLLGRLSKAEMSSCKSERNRSKTNLEKGFRPRNIVSNMTSFVPNVKQKQRPLTSCGKRDVRVRALEAAEAAKRREEKKQTEREKRKAAVALERERLKQEKEHRQEQVEQQKKRDADIITRKRQRENDGKRGNGRKTKCAEEAAPKRQKKLVEIMYSTNVMKDACPNNTDGKDMVEHLVKGVKNPLLSDERMESVHRLIASESNSLKCISADWKSEGYGLQVQESLSDNVDMYYEMSPYEDSDEGDIDELELKREIRRSCRLIPSWA >KQL00972 pep chromosome:Setaria_italica_v2.0:VI:7010782:7016439:1 gene:SETIT_013340mg transcript:KQL00972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGKTHRFSKGHLLGFVPDYRHGVETVGESKGLGSPSRIDSGSSCAPPKRKCVSVNSEEGEGASGFNVRREVFSLARMTALDKKDLEMKLRDELAQVRALQNRLFPRGPAVNMNGGVVLAPGGGDVHPKKKVEKLKRSNSVQSDRGALPPVVAAPPVASTINYATSFKQCGNLLKSLMSHAWAGPFLVPVDVVKLNIPDYFDIVKQPMDLDEKPPQPDEKPPMREPSKKNKTKRDAIEKEDPIKKKPSKKSTPKQDIFQEEDLLDNPVLQPKKRKTSPLVSSPLLQDAPLVEAVVPTGKRIMSSEEKYELSARLQSYGALIPDHVVEFIRSHADDCGADEEELELDMDALGDDTLFELQKLLDDYDRVNPSRNLTEEDPHEVESRSQHELINPSVCNEEGNELIDEDIDIGENDPPVSTLPPVVFEVETADRSSKRSTSSSSSSDSESSSSDSDSSSSSGSDTDAKALPQNSGLKESVLPVDCLDQEKGSLNTSNLPEQSTEPVSVTADGEGENVSEKQVSPEKQIRAALLRSRFADTILKAHEKALDQVTKKDPEKLRREREELERLQREERARLQAEAKAAEDARKKAEAAAAAEAAAEAKRQRELEREAARKALQEMEKTVDINEGSLFLKDLEMLGSVTGEQMPNSVGEMSPADMPEALGFQLGGNPLEKLGLYMKNDEEDDDGDFTDEPAVDVEEGEID >KQL01749 pep chromosome:Setaria_italica_v2.0:VI:25441438:25443424:-1 gene:SETIT_013949mg transcript:KQL01749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYACASSSARWRSGRYWPMRRTSAVSMKSGSRSSTTDAAEAAAAAHRPPRIGRTPSAIPAFAADRYALEWCDSRARSGMSVSCSRAGRPRNSEMRSPVPSASSANTTSSSTSAPTVVSSSLTTVAFAFASATTCAFFPDLAGLTPASAVELAGFLAFPVAASDFAVFAGFPAFAPAFAALAGLAESSPLTLAGFADSSPLTLAGLAESAPLALAAAAVLSGFGTLALSALGTLAMAASPPAAAFPVAFLAAPFAAAADSDFFALATFVWFLASPASFFLIFPPAAAAFLAGLAAGASSPSSPAEDAASSALRPPAEALGLALDFPGSAVAQSAMWEQRSASSRSSTSRGRGDQGAIFPLLGRPTAATKI >KQL02956 pep chromosome:Setaria_italica_v2.0:VI:35510090:35510794:-1 gene:SETIT_015386mg transcript:KQL02956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHQRSISLPSRSASKVEEELQILEACTSSPSLTIETTSDGLRRLGDIYSSIEEIMCLPSNQVCSSQQRKMLDGEMECSLELLDLCNAMHEDFAELKAIVQDLQVSLRKGDDAAVQAKIQSYFRLVKKAKKHFKKAAKKVISAEDCRILRLLSEAREITTSLLESTVQLLAKQIAMPKSSIVLKAFQKKTSVVCKEEQLQVLECNIRDLEDGAGHLFRRLIQSRVTLLNILSP >KQL00471 pep chromosome:Setaria_italica_v2.0:VI:2368253:2368853:1 gene:SETIT_015877mg transcript:KQL00471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVDSLLNIGFRMAVPTSPDGCGATKPSDNLLVNQESSA >KQL00235 pep chromosome:Setaria_italica_v2.0:VI:711988:715248:-1 gene:SETIT_016033mg transcript:KQL00235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYLFQLYSYLSHCQISMSVSSAYKRDLTKESFVSSSITTTSTLCSRDRSNFVNCIRYSPDGSKFITVSSDKKGLIYDGKTGEEIGELSTEGSHTGSIYAVSWSPDSKQVLTVSADKTVKVRDIMEDASGKLNRTLACPGTGGVDDMLVGCLWQNDHLVTVSLGGT >KQL00890 pep chromosome:Setaria_italica_v2.0:VI:6050936:6051961:1 gene:SETIT_015041mg transcript:KQL00890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTSTLPLLATLLSVLLLLPSAAVAKAIDATNTQRLELPDGLIGPESVAFDRRGDGPYVSVSDGRILKYAGKSVGFATFAYSPSYIKNGCDAPSSELPAVATESSCGRPLGLRFHNNSGNLYIADAYMGLMRVGPNGGEATVDATEAGGAPLRFTNGVDVDQVTGDVYFTDSSTTYTRAQHQMVTASGDSTGRIMRYNRRTNKVTVLQSGVTYPNGIAISADRSHLIVALTGPCKLMRYWIRGPKAGTSELFTDLPGYPDNVRPDGKGGYWVALHREKYELPFGKDSHLVAIRIGSEGEKLQEMRGPKDVRPTEVVEREDGKIYLGSVELSYVSMVKSS >KQL02428 pep chromosome:Setaria_italica_v2.0:VI:32454463:32457228:-1 gene:SETIT_015805mg transcript:KQL02428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADATTSTSSSSAAAYLPPALPASRQDIQAAVAKAVELRALHAALLQGGANAGAYASASRSPAVIRLPPAASPALARPGLAAPAAGAEDYPVFAPTYDEEPLGGMNYIRQDNRSLSENWSGIGLDHDGLEDEVAFSDFDNHNTFSSSNSELHFSSSNEHLRNRMACRNHPSFLQPALSADSLLSSASQMTDLNEFKAVTTCNTCKPATISRDTEADAKSLKNLDSTAPLSNYHPAAFSRTRHKGPHILSWLLPKSKRKPKSDMSPNTIECENMSQLLKEWGVFSLDSLKKEVVEANEHRDAALQEVSEMKSSLGELTTKLVSLEAYCSELKKALKQATSTKNMQSHSKRSARSVSGSRDNSLPVSHGVMVEGFLQIVSEARLSIKQFCKVLIQQVEDADNGLSDKLNLLLQPYQITLNDKHPKLVLYHLEALMNQAMYQDFENCTFQKNGSPKCLDPKQDRQENFASFVALRNLSWNEVLKKGTKYHCEDFSRFCDQKMSCIVSTLNWSWPWAEQLLQCFFVASKCIWLLHLLAFSFSPPLTILRVEENRAFDQIYMEDVLFDKQRAQHHPSSQVKLMVMPGFYVQDRVLKCRVLCRYS >KQL01251 pep chromosome:Setaria_italica_v2.0:VI:12259297:12261803:1 gene:SETIT_015436mg transcript:KQL01251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGAIRIVALAGGQRCRRRHGSLLLTLGVTYRQLCEACRRTARDSNIGGCTYMLQIWIWERMPVDQPCYLCIDPVRGNPDRRYRAYTNELDVVTQHQVEWKPYDRQQLSQIVFSPMCYRDRELWRCTTPMILYYVVDFHMPHRVMRQFGRMQPCPPLELSTSQQLHRIDRGKRYKENDWRLKHARYLIQWENMQRCDPEDGPYWRAGPNNEYIRWYCASMRTKVKSSWSNVPIEDAPSDSSDDIADMYDTRVRKSCRRMAMRTNCMSSTDVHHGGNGQGTSSGSRRIPLANPPRTASPSTAACPSRRSRGKAPASP >KQL02237 pep chromosome:Setaria_italica_v2.0:VI:31136645:31137888:1 gene:SETIT_016032mg transcript:KQL02237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSALIALLVVVSCAAAASAATYTVGETQGWSLGVDYSTWVTGKNFSVGDKLGAYSVLGKLLFTRSASCLLFSSEITHFTVNLFIM >KQL00806 pep chromosome:Setaria_italica_v2.0:VI:5206745:5207496:1 gene:SETIT_014452mg transcript:KQL00806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGGRKAAGPGGEEAEVEALLRAAQDAVLLKLQANSHLVSSTSSASASAPTVPLDDATAPDPLEDDLARRFDALKSRAAAPTPKPAGAGAATAAASGMDELAARFAALKGGAGPEKEARVRLEDLGGESSEDEEDEVDKVMRWAMDAARLDVATAGAGAGDKAKRAEAEEEEDEKSSVDDDDDDERLQLEMARKKEMAKNKTKSKWFFL >KQL01732 pep chromosome:Setaria_italica_v2.0:VI:25301713:25303155:1 gene:SETIT_013916mg transcript:KQL01732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQAVVVPVTPEAAVAVPPNSAPLFPYPPPRAAAPGAAVRKKYLQMGGGGAGTVGAGAAGGGGPGRIGGWVESMRASSPTHARAAAALAAGVDVERHASWMVEHPSALSKFDQVVAASKGKQIVVFLDYDGTLSPIVDDPDAAYMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPVKGSRHTKAAKAKGVLFQPASQFLPMIEQVHESLVEKTKSIPGAKVENNKFCVSVHFRCVDEKVSVPVGQCNNVPFTHLLRVSKIPESLL >KQL01731 pep chromosome:Setaria_italica_v2.0:VI:25301616:25304294:1 gene:SETIT_013916mg transcript:KQL01731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQAVVVPVTPEAAVAVPPNSAPLFPYPPPRAAAPGAAVRKKYLQMGGGGAGTVGAGAAGGGGPGRIGGWVESMRASSPTHARAAAALAAGVDVERHASWMVEHPSALSKFDQVVAASKGKQIVVFLDYDGTLSPIVDDPDAAYMSDTMRRAVRSVAKHFPTAIVSGRCRDKVFEFVKLAELYYAGSHGMDIKGPVKGSRHTKAAKAKGVLFQPASQFLPMIEQVHESLVEKTKSIPGAKVENNKFCVSVHFRCVDEKSWSALADTVKSVLKDYPKLKLTQGRMVFEVRPTIKWDKGKALEFLLESLGFADCADVLPVYIGDDRTDEDAFKVLRRRGQGVGILVSKHPKDTSASYSLQEPAEVMEFLLRLVEWERLSKARPKW >KQL02281 pep chromosome:Setaria_italica_v2.0:VI:31416233:31418830:1 gene:SETIT_014581mg transcript:KQL02281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPWPPTAGAPPPGAAEDTTSTAELERRPVEGSRSPPAQKEGSVAAAAASAQESMARLPSEDYFSEVKTQEHGEKINKYQGMLAARLKAKYFSMEKGDMFEEIVIQSETIRLSRWPFTRLFADPAKFCREKSYTEKEISPSLAGTAMSNN >KQL00560 pep chromosome:Setaria_italica_v2.0:VI:3008738:3017518:1 gene:SETIT_013202mg transcript:KQL00560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPAAGQVVERFRARLREEAGGAGGEPGAAAVVRVYAEALRELTFNCKPVITELTIIAGQHAALAARGIADAVCARVAEVPPDQILPSLYLLDSIVKNIGREYVDRFAARLQKVFVDAYCRVHPSQYASMRRLFRTWWPVFPSSVLRGIEDDLQFSPSQEKRPAIATNPHQSESLSPRPSHGIHVNPKYLEAQQKLKQANVMHQPAVRGTRQMADVEEDLINGLTSNGLRGRPSMFQKSTVQYADDPDQQDTLRSLAGTIRATSPHLLSAHPSDAILDGPLDTSRRNLSRSPPLDVFPRNASPKRVLERLPPSRSILGPDPRRLPDRNGRSRWTFDDGARRPTISMLDEEYRKQSARELIDAYGNSQGRDADERVSKMQRLDSNGMASARNWLTSEEEEYSWEDMSPTLTDRIRSSVPSFPPGTMRAGFPGAKAGLLESDIGRHNFPSQAPRSSVDGPPLNLEDRITAASHANMPTSRRHPSNFGVQNGALLEYQSSEHTLNHGRTATMQAPPWQQPTGLPLRVQAPEHPSVLDRIPLPADGEMPVKRLEIGGIYNALSADIPLVEKHRPLTAAAPIEWPPLHHTQSQTLVPIPPDTKHVRNAADSLEIRPFVSQGASSSVFVPRHQYDALDRKTLSTGSLAQPPYQHQDLLPSSQQNQGAVLGNQAQPHHPQQFHPHSHPHHQEAFRGFGPGMSISPFPGQGGSAALPPVSLLPSSFSGPPAVPPYGMPSVSSFPRPPLPPGPPPGSLQIGSSSSQVGGPQPFVSGLLSNLMRQGVITLGPHSQPQDSIGVDFNIDLKVRNDSVINALYQDLSRQCKTCGLRFKCQEEHRAHMDWHVTKNRNSKNRKQSSRKYFVTAEEWLRAAETVGNDGVPAFVPSDPVPDSKEEKEMAVPADEEQTACALCHEPFEDFYSDETEEWMYKGAVYMNAPDGNIDGLERSQLGPIVHAKCRSGPSSTS >KQL00763 pep chromosome:Setaria_italica_v2.0:VI:4785143:4785424:-1 gene:SETIT_014971mg transcript:KQL00763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFFSYFKELVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMVWPCFCV >KQL00680 pep chromosome:Setaria_italica_v2.0:VI:3952655:3953941:-1 gene:SETIT_014495mg transcript:KQL00680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYWGSSGGSPAWAGSRGPSPVVPLLIVVALGWVICQETLMWWYEQVTEVQDTVTDNAVLLVLGAGALLLALAAAGGRSEVVLVPVTLVVVMFLIQNIMLTALLLLVAAYFAGIYYYRPDGRYGGGGFSGEWGGGGGGGGAGLGFYMLLLLCLLLCAMFSDGGGSWWVPGLLLVACVLCLNLFSGGKVWGYEYF >KQL00879 pep chromosome:Setaria_italica_v2.0:VI:5954884:5959010:1 gene:SETIT_014620mg transcript:KQL00879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVARSGFRRMFSISAFAPPKPPVPRPQADPSPNLFVSGLSKRTTTEGLREAFAAFGEVVHARVVTDRVSGFSKGFGFVRYATVEDATKGIEGMDGKFLDGWVIFAEYAKPRPPPQQAEMNSQPQQSWGPPSGSWGSQ >KQL01379 pep chromosome:Setaria_italica_v2.0:VI:18275494:18278290:1 gene:SETIT_015355mg transcript:KQL01379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMRPLLFVTAVVLLCAAEWHLVQAYKKASKMTSPNALRFHIPCQCASYIVYLGAHAYGRDASPKEHARATESHHELLGSVLGSKEMAQNSIFYSYTKNINGFAAHVEEEVANQIAKHPDVVTVLESKMLKLHTTRSWDFMDLERDGQILPDSIWKHAKFGQDVIIANLDSGVWPESNSFTDDGMGEVPQRWKGSCQDTVKYAVPCNKKLIGARYFNRDMLLSNPAVVDANWTRDTEGHGTHTLSTAGGSFVPRASLFGYANGTAKGGAPRARVAAYKVCWSGECATADVLAGFEAAIHDGADVISVSFGQDAPLADAQSLFHEPVTLGSLHAAARGISVVCSAGNSGPYDDTVVNAAPWVTTVAASTVDRDFPNVLTLGNSVHMKGMSLESTTLHSSQLYPMVDARHAGTAGTSPYAAADCGMGTLDPAKVKGKIVVCVRGGDVPRVTKGMAVLDAGGVGMILANDRMDGDDIVADPHVLPATMITFSEAVALHNYLTSTDNPVANISPSKTEVGVKNSPSIAGFSSRGPSGTLPSVLKPDIAAPGVDILAAFTEYVGPTELASDKRRSEYAILSGTSMACPHVSGVMGLLKAARPEWSPAAMRSAIMTTARTQDNTGAPMRDHDGKEANAFAYGAGNVHPNRAVDPGLVYDAAPEDYYSFLCSMGFGTADMGRLSAGKFACPAKAPAMEDLNYPSIVVPALRGTQTVARRLRNVGRPAKYLASWRAPIGITMEVKPAVLEFSKVGEEKAFNVTVTSQKDKIGMGYVFGRLVWTDGTHYVRSPVVVNALA >KQL01776 pep chromosome:Setaria_italica_v2.0:VI:25886363:25892770:-1 gene:SETIT_013248mg transcript:KQL01776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLTPAKSRSTRKPKPSSSSGMDAAAEPNPEPQRRPKQDRSAGGGGGKKAKSGGSKKAKSGGFESMGLCEEVYRGVRHKGYRVPTPIQRKTMPLILAGVDVAAMARTGSGKTAAFLLPMLNRLRRRDPGAGVRALILSPTRDLAMQTLKFTKQLGKFTDLRTSVIVGGDSMESQFEELSECPDIIIATPGRLMHHLNDVKDMTLRSVEYVVFDEADSLFSMGFAEHLHDILKKLSDTRQTLLFSATLPSALADFAKAGLRDPQVVRLDLDKKISPDLNLVFFTLRQEEKLAALLYLVRERISSEEQTMIFVSTKHHVEFLNILFREEGLEPSLSYGAMDQEARMIHISKFRARKTMLLIVTDVAARGLDIPLLDNVVNWDFPAKPKLFVHRVGRVARQGRSGTAYTFVTSEDMPYLLDLHLFLSRPLKPAPTEEELLRDMDGINMKIDQAIANGESVYGRFPQTVLDLASDGIKEVISGCTELNALEKPCANAFRLYLKTRAVPSKESIKRVKDLPREGLHPIFRDVLRSDELSALAFSERLKSFRPKQTILEAEGEAAKARNFKGSNQWLDVMKKKREVHEGIINLVHQKSSVDPRPEEEEDGILNWEKKEVCGTKRKSQSFRDEDYYISSVPQNHHLEAGLSVRNNEGFVQNRLDAAVLDLVDDEASGMQAQKTRYHWMKNKFVKLNSGDRVTATGKIKTEGGAKIKASATGIYKRWQQRTHKSINIGGKSGSFAEERTSSAGGYHGGNNKHFSGGRGRRSIPNANVPSEIRNPDQMQKSRQKKAMEITRLKNKSAKDGKFQNKFQKNRRPDGNGKGRGNGKGAGKGGFGKGLGKGGKGPNKGGKGKGKVKGKGGR >KQL02022 pep chromosome:Setaria_italica_v2.0:VI:29157304:29158378:1 gene:SETIT_014518mg transcript:KQL02022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGVRPSTEEEEASRSKSKKRSSILGTLREAIKKVRFLLSFSATRWMLLTSAGAARGAGPARRALSFDSRPPGLLDVEGGIVSPASSSSSRTSRSASMGTATTRSLSRASSAASPSPQVLTRASSSGGSPASSSAGDDDIDQRADQFIANFYKQLEMERQVSLQLRYVRGNSWDRTP >KQL02438 pep chromosome:Setaria_italica_v2.0:VI:32529461:32533286:1 gene:SETIT_014178mg transcript:KQL02438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRAFDGSGTDDVLPSTYQISGQRVHFSGNGRSLAGTLSQPRQPRLHSKLDSDIHQIEQQAYTGVLRAFKMQSDALTWEKESLITELRRELKVSDEEHRVLLNKVNEEEAVHRIRQSRQGGGMQSSLHHDSVVAHSLGPLKRQKKSHSVYSFPVGPQSPITPSHALAGNNADTMAPENIRWGSAYQALPNQVGWLASDGAMPGMGRRGRFHETPNGISLFNFNHIDVPNTGILVKKVEMVLSHPDVYAIQKAKKLLIDQEQSLLDAIAKLDEASDGESDDIGLVEGQIGVVG >KQL02476 pep chromosome:Setaria_italica_v2.0:VI:32806269:32808656:1 gene:SETIT_015406mg transcript:KQL02476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWHRPKCTAEWFRHSSWEVSSCQFSVSASSVQLEDLSGDEKLNCDPDNEPIRKRHHSLSSESVVQTLRCLRRKPAVAFAYFKDTHSLGFHHDFSTYSEMIQILSHSFQGKMLVSFFCEVISATDSGSPEILTLIDHLRKTCVTSHVLSFAVNCLIKAYSTCHDAQATIEKFCHLCRLGFVPTIWACNFLLKFVSQSGESDMVVTTYDQMKCFQLTLDAHSLNIVTRSLFQANKANEAFQVWVGMIEMVVKPDVHGYSSFIIGLCDCGKYDLAYNMISRYTVLQEITQERVPIEAMAYNMVIDGLCKEMKLEKAEKVLENKTKHGFAPDQYGYSYLIRSYCKMGNLEKAWHHVEAMVSHGIEINCHIVGYLLQCLRKLGMTSEVTVYFQKFRGLGLHFDGVLYNIGMDAYWKLGNLNAAVQLLNEMMAEGLAPDKIHYTCLINGYCLKGETENAWQAFEQMLKANIKPDVVTYNILASGYSRNGLVMKVFDLLEHMMDQGLEPNSLTYGVVIAGFCRGGNLSEAEVSMVCGYLRSGWTDHAYMLFLRVAKQGNMVDPFSCFKLISGLCRDGKVEGASSLCSMMLEKNVVPHVISYSKLISAYCQTGDMHSARLWFDDMVERGLSDVIAYTALMNGYCKVGRLKEACDFFDQMINFGIKPDVVAYTVLLDVHLKEILYRRWQDIAKDKDNMKNNEIEPDVVYYTVLIGGQCKAAYLDEARGLFDEMLTKGLAPDVYAYTALINGYCSQGETAKAKIFYKKCWIRE >KQL02578 pep chromosome:Setaria_italica_v2.0:VI:33342638:33344922:1 gene:SETIT_013867mg transcript:KQL02578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRAGGGRDYIASLLSSAPRLDFGALGAVPAMLDSAAAGADGGEDCLERFCGDPGFAERAARLSSFSGQRFAGAAAAAAGLFGLPPPAPAASNGEFAGSREASSVSDPASAMRDANAKKRKAPAAAKGKGKEASAQAGEQKDPDAKRCKTEGAEGKDESPVKPKPEQAGSDSSVEDGGGQKPGKGKNAKPVEPPKDYVHVRARRGQATDSHSLAERVRRERISQRMKVLQDLVPGCNKVIGKALMLDEIINYVQSLQRQVEFLSMKLATVNPLDFSNLPTLLQKDIFQACGPSASSVFSLESSSSGFPFSDQGDVFQSFVPNGLENQCGLNPLDLALSQATNAQYAFQDGTASTNLQQRNFWEEDLQSVFHIDNGQSQENGVSAQSFHGQLQAGHMKMEF >KQL02361 pep chromosome:Setaria_italica_v2.0:VI:31996234:31997607:1 gene:SETIT_015401mg transcript:KQL02361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLGAIRKLCAAFDAVALTVIAAGLSRPPSGRHPFSSAHAHSPYPADFPTIAACRAAVSASKGSSRSSSRRRQPSPSPSSSPAAAAKEEEQPVLVRIKHERDPERLYELFRANAHNRLLVENRFAFEDTVARLAGARRNDLVEEILEQHKALPQGRREGFVVRIIGLYGKAGMPDHALRTFQEMGMYGCPRTAKSLNAAMKVLIRARLFDEALRLFEEGSEKYGVDLDDISYNTVVKMFCDMGELRAAYRAMQMMEEAGVRPDVITYTTLMAAFYKYGQREVGDGLWNLMRLRGCNPTLTSYNVRIQFLINRRRGWEANDLVRKMYAAGIKPDEITYNLIIKGFFMMGEHEMATTVFGAMHGRGCKPNSKVYQTMVHYLCEKRDFDLAFRFCKDSMEKNWFPSVDTINQLLKGLMAISKDRNAREIMKLVTGRKPSYSDDDIMVFKDILSHGKAGR >KQL02782 pep chromosome:Setaria_italica_v2.0:VI:34499553:34503310:-1 gene:SETIT_013794mg transcript:KQL02782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDETPDPSASASASASGSSSASASASAAAAAPAARLNAAAPEFTPRSAAQHHGSNPHRRGSHHHQPHHHHQHYQPRHQHHHQGEDDGVAAAAGEDKEGPAGAGHHRLNDADARKVVKQVEFYFSDINLATTEHLMKFITNDPDGFVPISVVANFRKIREIVSDRSLLVAALRTSSELVVSEDGKKVKRLRPFNTEEVQSRIVVAENLPDQKYQALMKIFSDVGSVKSIRTCYPQDGGAAAASKTSRIEMLFANKLHAFVEYGAVEDAEKAVAFSAEGKWRDGGIRVRSLLACLKHGLGQGRKGGDEYAVDEDGPDTTGHPHDYGAEDTTQISEAHLDHQAEDGSHDKGGMRQGRGRGRGGRGRGRGQYYGHSRDAHHPIGTPPSDHPAVPKPPPGPRMPDGTRGFTMGRGKPLNPSPDAAPTTDAA >KQL02781 pep chromosome:Setaria_italica_v2.0:VI:34499259:34503310:-1 gene:SETIT_013794mg transcript:KQL02781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDETPDPSASASASASGSSSASASASAAAAAPAARLNAAAPEFTPRSAAQHHGSNPHRRGSHHHQPHHHHQHYQPRHQHHHQGEDDGVAAAAGEDKEGPAGAGHHRLNDADARKVVKQVEFYFSDINLATTEHLMKFITNDPDGFVPISVVANFRKIREIVSDRSLLVAALRTSSELVVSEDGKKVKRLRPFNTEEVQSRIVVAENLPDQKYQALMKIFSDVGSVKSIRTCYPQDGGAAAASKTSRIEMLFANKLHAFVEYGAVEDAEKAVAFSAEGKWRDGGIRVRSLLACLHGLGQGRKGGDEYAVDEDGPDTTGHPHDYGAEDTTQISEAHLDHQAEDGSHDKGGMRQGRGRGRGGRGRGRGQYYGHSRDAHHPIGTPPSDHPAVPKPPPGPRMPDGTRGFTMGRGKPLNPSPDAAPTTDAA >KQL00586 pep chromosome:Setaria_italica_v2.0:VI:3287809:3291486:-1 gene:SETIT_014130mg transcript:KQL00586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGVMRTAAKVGIAGGAAAASAAKGGRFRHAAPAFATAPAAASGAEAAPLVSSASGAEVPPAAAQWAASWELDDWEFADWRDDAASVVVAEREAAAAAAKPRLVFAPPSREEAEEATTELRDAIERAYFNESPVEVVKEQDKELNKLATDAIIPSMPGHVVQAFTLLKSSPEAQSVVASLASDRNVWDAVLKNEKVMEFYKTHQTTLVQTFPEEAATVESPEKFEDATSENAPTGSPFADFVDKAKKTMMDVVDNITHFFQDLLHPPAEAQAGAGSSAEKGPSLAEMAVGGSFMALTIAVILVVLFKRA >KQL00132 pep chromosome:Setaria_italica_v2.0:VI:148037:150913:-1 gene:SETIT_015586mg transcript:KQL00132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NAVQNPDQSLHPSFPLPFHHVPLHHPAPPGAPAAGPGADAAAAAPSTHNAAPHSQPSRGFSDWSASNSAFASVAAQPAPATTTTTPFHYNLSQSYALWTHYMLNKNALPYTSYPAAPQDENSHPLHHTHIPPDKDSGSASSLGFDSFTTMSLGPNICAHMSPMEGSISANEADNSEDLPTVVTNSDEMDTRNSDEVHPDSVATLPESKPSHESCTTKFNSGEYQVVLRKELTKSDVANVGRIVLPKKDAEASLPPLVQGDPLILQMDDMVLPVTWKFKYRFWPNNKSRMYILEAAGEFVKTHGLQAGDALIIYKNSVPGKFIIRGEKAIQQTNP >KQL00957 pep chromosome:Setaria_italica_v2.0:VI:6860672:6864458:-1 gene:SETIT_014090mg transcript:KQL00957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKPKSTCSRARGKIAHDEHEKPKSTCSRARGKIAHDEHEDGQSDKKPCSAVEDEQHSGKIGVHAIEEHKQEQGSARGDVQALLDMDDETIQEKILCLSKRLSLKFVDPEFCGYGPEYEPEQLRELYEQLALYRIRAYELTVDRKLTELDDVNLKLHYPPSKLYNNSFFEYYEDSLEWYFDLERCRNAQYDNYQRLVLRAPGYVDWDFYHKIINTYEQDQAYVQYFEEVANRTKEYICSIRFDSCFKRLDGLYFEIWKRVAKGKAADDKARQLIREAVVKIASPLKRKFYLDYARKKLEIARDIDLIPKGTQTFNRHTPFRSQ >KQL02528 pep chromosome:Setaria_italica_v2.0:VI:33072396:33072869:-1 gene:SETIT_014755mg transcript:KQL02528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCLDSAAVLSPVVLHLRSYSTCGWLRRELNWSRHGHASSDQRCHGGPSHRSIRLAPPASSQAVNRQRPQQGSERTAASGAAAAGG >KQL01691 pep chromosome:Setaria_italica_v2.0:VI:24898438:24898941:-1 gene:SETIT_015410mg transcript:KQL01691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKITVNYQFSICPTDADVRLTRRIRRGTIEKGRDIKTVLDQYSKFVKPASEDFILPTKKYADINNEWHYCS >KQL02707 pep chromosome:Setaria_italica_v2.0:VI:34087790:34089343:-1 gene:SETIT_015335mg transcript:KQL02707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLFLVLLIIAFTAATTSTAPLPTTQTLDLDDARGHGHGYDQQLKSRTFLSPAFTLRPGSVSNKWYADIAFPRGHVALKSFNGEVVDVRGAPVPLHETYLHHWLVEPYYAASGGGGGQENIIPRANSGVCKGGALGQYFGLGSETRRTATWVPDPYGIEIGDPAAPPEGYEERWSLTVHAIDTRGAVDRLGCTECRCVLYNVTVDEDDDGYAGGLRCCYDQARCAVEEGFADGETRELFLRYTVMWLDWSDAAVVPVRIYILDVTDRAMLEGKSEPECKVEYTVEACSSENRARNECVDVKVTQEVLPRGGDVVYAVGHQHTGGLGTSLHGEDGRLLCRSTPAYGDGEEAGNEAGYVVGMSACYPEPGGAARVRGGEALTVVSNYSSERQHTGVMGHFYILVADEQEQLPAPEKQQLPSLCFSFPVSWCVPAWLSLYQQ >KQL00495 pep chromosome:Setaria_italica_v2.0:VI:2541334:2541848:-1 gene:SETIT_014763mg transcript:KQL00495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATKVLLILALMVMLSNEVASMESINKGDCKPVVLRLGDKCTQKRCNSDCIHLGGTHGNCIDGPACNCVLCGPPSGPASAPQ >KQL01853 pep chromosome:Setaria_italica_v2.0:VI:27132412:27133625:1 gene:SETIT_014102mg transcript:KQL01853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLTVPPVPTWPRQDAIDLHRAFKGFGCDSTTVINILAHRDAAQRALIQQEYRAVFNQDLARRIASELSGHHKRAMLLWLLDPASRDATILKQALTGDVTDLRAATEVVCSRTPSQLQIIRHTYRARFGCYVEHDVTERTSGDHQRLLLAYLAIPRAEGAAAVDPSLAALDARELFKAGERRLGTDERAFIRVFSERSWAHLAAVARAYHHMYDRSLEQAVKGETSGNFGFGLLTVLRCAADSPARYFAKVLHKAMKGLGTSDSALIRVVVTRAEVDMQYIKAEYHRMYKRSLPDAIHSETSGNYRTFLLSLVGRDRTY >KQL02212 pep chromosome:Setaria_italica_v2.0:VI:30901124:30908308:-1 gene:SETIT_013189mg transcript:KQL02212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEVESSSAAAAVVLALVALVVVPALALLVRSRWRRAAARRDEVRRLARLAAEESELAERESVLAYYSELFPAVVHAAEVPEAPVWGPPPAAVAPAQEDVEAQPQPPAGAKGVCAVCFRPTTFRCKQCKAVKYCSFKCQIAHWRQGHKDECHPPSVNTRPDDEGRVEQERAAEENVSVGVKPIAETNKPVTIGDETSDENHSLKSSIGEGKHMPLEDLCTSTEVPGGHKSNGTVEIPQNVPVSVDGSKMASNTEHATFVEDGSSSKDLNEVLPCNSQATDPKTSGRSSSFNGESFNHSKEHHKAQDASIIEDCSQTSHNRELEDSGNPRAAASVVLETKSSRNPIRVELERSKTKSVGNDNVQSTKPVLTASTAEKATSIRGGCSVIPIPSKVSDNCSDRSSKPSERSGSTANNLATSLKKIVRQQTASKVVRHYPSELTLFPYELFVKLYDKVELHPFGLHNLGNSCYANAVLQCLMFTRPLTTYLLGGLHSKNCSKREWCFMCEFEKLIVEGKRRKTALSPTGILSHLHDIGSSFGPGKQEDAHEFLRYAIDAMQSVCMKEARKGGALRSAEETTLVQLIFGGYLRSKIKCSRCHISSEQCERMLDLTVEIDGDISSLDEALVRFTSTEVLDGENRYHCSRCKSYERAKKKLTIEEAPNVLTIALKRYQSGKFGKINKAIRFPETLNMVRYMNPETDDRSPVYSLYAVVVHHDVMNAAFSGHYVCYVKDTQGKWFKADDSQVKPVSLDNVMSKCAYMLLYARCSPRAPSSVRKVMVQDPARPKKAKQKVVPGGTPFGGSFSRHQGGHLHADYMADDLAHTSDEYGDAPYPPAESPSPSESSSLFSNSDAGSHSTVSTDSSDSTRNSTSTEEYEYLFGASDQMYPGAPVENEYPTYSRSRSSLNTSSSGGVADDAERFAEHKPQGGGAGGGWVVGDESPSLLYTDRSKHQSSSKLTDQYRQLDRSGHDPGETRGGVLLRRSARDRTAQTFY >KQL02773 pep chromosome:Setaria_italica_v2.0:VI:34472345:34478325:1 gene:SETIT_014861mg transcript:KQL02773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSTSTSHSRQDPSLLGDGFLLGYGFHDIGYTRSSGLNLSKSCSLCHHRLLDSKRHPPHKRSPWVLLRRVAGLKHRHHCQLHSSCKLLVRTAPLTLLHPLPSWQQRFMQIDQGGGTYFGELEEAFMHQVTSLRRTQQATTTSTAHHGDTKPFSTVATATGNGPICPRLPSLAYDDEEGSNLTVDNTDDSKSSSKNTNNHSSDHQLGAAAANMASQFDQVPQQIQHKDMATGSTPKTGKALDPKTVRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDVQRARSQGLFLGGGPGANTSSGAAMFDVGYARWLDDHGRRMAELQGALHAHLPDGDLRAIVDDTLTHHDELFQLKVVAAKSDVSHLITGAWTTPAERCFLWMGGFRPSDLLKTLLPQLDPLTEQQVVGICNLQRSSQEAEEALSQGLEQLHQSLADTMAGGSLIDDINMSFMGQMALALGKLANLEGFVIQADNLRQQALHQMHRILTVRQAARCFLAIGEYHNRLRALSSLWASRPREMLVADEGNCGEISVATQPSQSQFSSLLTHA >KQL00184 pep chromosome:Setaria_italica_v2.0:VI:381003:381580:-1 gene:SETIT_014667mg transcript:KQL00184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRERDMLMKHGTARTKVAGDDEMLRTGFHNGTPLEAGKIADSQPVDLFAPAPSQQQQQQQEDDDGRGSSRVIAESEPVDLATSARGVAEAANNGNNPAPPPSQGRQVMAAGGRRLGRQA >KQL01812 pep chromosome:Setaria_italica_v2.0:VI:26537409:26538216:1 gene:SETIT_015161mg transcript:KQL01812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEAAAVVIQHPGGKVERLYGPATAGEVMRSNPGHYVALVVLRVAGVGGAKPDPLSAGAAAAAGGGVTKITKVKLLKPKDALLLGQVYRLITSQEVAKAIQARRQDKTRRCEEALDDRRRRQPSQPGHAATAAGGDARGQPGADEVRKRAEKADRHHRGGSGGGGAAARGGRNWRPSLQSISESAS >KQL00135 pep chromosome:Setaria_italica_v2.0:VI:156027:160428:-1 gene:SETIT_013344mg transcript:KQL00135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGGGPLGAIIGRYPSAADEQDPGGGVIRHDRKCRDWPFLLLFAAFWVAMIVNSSFGFNQGNPLRLTFGLDYKGNICGSKHAVPDLRELDVRYWMNPNQVYQSGLKSSRINLPDVKAICLMECPLPAEDGLNFVCDYPEGDIRLSVDDWIDRDYDYFEYLTPDMRNSSLQLQGPCYPVIFPSVNVYWSCQFIARASNVSLKHWQQMGGVSIDENMLIDKTIHNTINSKSAVLKRYVADIGKSWPVLIVCGGLLPLFLSGIWLLMIRFFVAGMPWITVVVFNALVISVTMFFYIKAGWIGHDPLTVVIGESDPYVNIGGREINHLHAASVLMTVIMILAFLTSIAIARRIATSVLKVAAKVIGEVQALIVFPAVPFLILAIFYIFWFSATLHLFSAGQVIRNDCNTDCCSYDLKLGKVNCDSCCGYSIHYTPHIGIAILFHFLGCYWATQFFIGCSSTVIAGSVASYYWARGEISHDVPFRTVVSSLKRLLRYSLGSVALGSLIVSIVEWVRFILESLRRRLKFVNSAHDSWFGKTASSSSQCCLGCIDWTLKSVNRNAYIMIAITGKGFCKASALATELIMKNILRIGKVNVIGDVILMLGKLCVSLFCALFAFIMLDKHKYRSGHNKISSPLVPVLVSWALGYIVAKLFFAVVEMSIDTIMLSFCQDTEEHEGNARYAPPLLMETLDEESELRRLTEGP >KQL00693 pep chromosome:Setaria_italica_v2.0:VI:4039679:4042678:1 gene:SETIT_014484mg transcript:KQL00693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIWRIHGIGNRKIKHMHIHRTEVFNSCSASCSKPALCRKRLPAARCCCAQWSALGGGLWAMAPLQTLVRRSFRHRTPGDPRLMRSRAWGPALDAFARLGATAASFGGSFPFHQMSRRSHLPAPLESALAVSSSLVSPAPAGRRRSRLPRDLLQGSRFRVSSGVSSSPTTAELLDLRTRRYLLRLRIRGLQHPDEAYD >KQL00911 pep chromosome:Setaria_italica_v2.0:VI:6478041:6479492:-1 gene:SETIT_014485mg transcript:KQL00911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSGEELSSTANRSFSGDGSAAASEEESGWTSYIDYFMKTQRRQKGEASLSADALSTDDAGGCRSTSECSGDCGVGASTRLPALVEPSVVSRRLSLKEGWRKKKKVLYDESLEDTATSPISSPKLIEPRDSDATHQKKNNPSDEILHSKKNTTGDVNGANTTTDTTIKEEDGAYDNNELRKKGLCLVPVSAFRVYNS >KQL02890 pep chromosome:Setaria_italica_v2.0:VI:35133127:35133767:-1 gene:SETIT_014691mg transcript:KQL02890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRIATLLWIILLNSCSAPCSRSPMMHSNACNCSSLQTTTFLFWNALETRDLLGITPCLDTRRSANSRREAATNLALSNSLTSLHSLEVGFLLVFLDCFCAFLTKRMYERTLA >KQL01005 pep chromosome:Setaria_italica_v2.0:VI:7305842:7307014:1 gene:SETIT_015537mg transcript:KQL01005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDILPQQPISTKIPIACSTHPPRHRKTKSTILNEYGAMTGESRYYEKAASDLHVLHVKSKMFAASGAA >KQL02353 pep chromosome:Setaria_italica_v2.0:VI:31963115:31964319:-1 gene:SETIT_015633mg transcript:KQL02353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAHRRRPRPRPPTSPWVILGSIPRVVRGGGGGADAAADVSLALAAPPRVSRLSVSSRVFPDRPTPQNFPFVLAADGSGLLLLSAILAAPLTRVESADRKSLWRDSDPRYFVLDAATGSALRLPDPGPEQPVEHQAIVGVFACPGGGGRYVVSELLPVIGSDHADLRCYHSDAGEWVNKSLRYSLPPRHLAPICTLAHHGRLWWVDYSWGIITANPFADHPVLGFVPLPPGCVLEDGETWGVIDEFRYVGVSAGNLRFVDTYRRGGDPTKWRLEHEAAFADIWADDTYKATGLPKKAPVLALIHPHNPAVVYFFLEGHLFAVDVPARKVVECDRYHLVAPPRDYPIANRFIRAWELPRAISSYHSTVPLIPSVGSGHFIDRIASACCID >KQL02969 pep chromosome:Setaria_italica_v2.0:VI:35547242:35547946:-1 gene:SETIT_015606mg transcript:KQL02969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHRRSASVPSSPCSNETSIEEQLQSLKATVCSPSVTVEAMVDGLTKLGSIYSRIDEFICVPSSQRQAVEDELERSLVLLDLCSAVQESFAELKTIIQEMHLVLKRGDAAAVQAKVQCYVRIAKKVQKQFKKVSSKAASDTDRCRVVKLLADAREITASMLESTLDLLLKQVVVPSSNKWSLVSKALQKKRVVCEEEQLQALELDIVGLEIGVETLFRRMIRSRVSLLNTLSL >KQL00660 pep chromosome:Setaria_italica_v2.0:VI:3839529:3840404:1 gene:SETIT_014538mg transcript:KQL00660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGDRSHFHDFESQLQATPLFGAFTCFLLALEMRCSMLFGYLIIIILLARAHVVNCEYTLIVNLLHLRSIGRLDMQLLSALGKEEMPSALKWQRPPQPSSSAGGRSADTVSRATERHLMITAGAAAEEDGEVKKMRAHREEMAVRLIHQDYTRPSGHSPNHHRTIRCGPC >KQL01977 pep chromosome:Setaria_italica_v2.0:VI:28597800:28600696:-1 gene:SETIT_013919mg transcript:KQL01977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIARRAAATDCLDDAYLLPDHSPSHGRMSVDALRREFVKESILQEIILAELAERRELEPEVRRELGLEHAGPLSLGTRPGLQLTASSHHDTSPVRQGAQLHLHMPVLPEPCLVEGVMTPGGVLVPRVSVKDRIDEWYRTPWNKGFADEDMLIDWARLPKKTFSGVKRKRTAETSTSNKKRSSEKWICSLCHVNTYSEVSFEEHCAGYRHQSNLAEFEWTKEAAGAKRISTAEASIGMQHNPTAWNCSICQVKCLGELDLNNHLKGRRHQENAEVLWGESKESEGKSGFKEVELYEKKEVQLVNMDQRPTSRWNCSICKANCTSESDLESHLRGRRHEQTVKAQSIQGTRRPARQSASHLGGKNLQAEFNSFVGNYNVYGLS >KQL02632 pep chromosome:Setaria_italica_v2.0:VI:33645657:33647798:1 gene:SETIT_013954mg transcript:KQL02632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFFSDDPSSCVCSPPPSRPKDKKNMDWKARGGMSRLLPVIAFFLGAALTAAFVFLGATMDVNWRLSEMAVWGNGAGDEANAELSQLLKNASMEDKTVILTSINQAYAAPGSLLDLFLESFRLGEGTARLLDHLLIVAVDPGALATCRSLHRHCYLLRPDDGVAAAAAADLGTEKHFMTPEYLDMMWTRNRFQQTILELGFNFLFTDIDIMWFRDPMRHIGITSDIAIASDFFNGDPDSMHNQPNGGFLYVRSKNRTVEFYRRWREARAEFPAGTNEQYILARTQATLTRRLGVRMQFLDTANCGGFCQLSGDLRRVSTMHANCCTGLANKVHDLRNVLRDWRNYTAAPREVRRRGGFGWTKPGRCIH >KQL00468 pep chromosome:Setaria_italica_v2.0:VI:2338277:2341188:1 gene:SETIT_015249mg transcript:KQL00468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSPPRRRAGEEGAPDRLSALPDALLHRVLSSVKAWEVVRTCVLSRRWRHLWASAPCVDLRLRPGRADGDDAPEEFPRFVRRLFRHRDASAAVDTLRLRSSDVDGAFDEDDAKSWIRAAIKRKDRVVHLIGHRNGLAGLEPAAFVSRHLKVLKLSYAQVDDNILRQLSSRCPSLEEMDLKDCLIISGHEISSSTLKTLSMVKCNMFWGLSITAPNLVLLRCVKPIGQAPSFKNLGSLVAGTIILDDYCLSDDFEDFSKDELDETTDDDESDDSRDDGVDSDDDDSDDGKKRKRKAGAGYGFGLPQKRHRLGVCKDGNDYGSDIESDDNTFEYSDIANDCDVSSYDGVGQSPGKDGSRWVYGENSGSNDKKVLGGQDVLQCLLNATSLELLADAGEVILTRELKNCPSFSNLKTLSLGEWCVGADFDALVFFLQHSPNLERLFLELKLNSNFRKSLETGVKPKGRSFACKRLQMVKIKCSKDDVRVHKLAHLFRANGVPVEKIFVRRTGSTYLRGKKMMKDLARHELQFWGDD >KQL02218 pep chromosome:Setaria_italica_v2.0:VI:30973028:30973604:1 gene:SETIT_016005mg transcript:KQL02218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVFNVCMLSFSFKYVLSTFSIFSIIIVLSYLDPLVIIPSFQF >KQL02257 pep chromosome:Setaria_italica_v2.0:VI:31243636:31244093:-1 gene:SETIT_015266mg transcript:KQL02257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAPGNWLKHQHSYGRVVHSAVSQLFF >KQL02389 pep chromosome:Setaria_italica_v2.0:VI:32201314:32203576:-1 gene:SETIT_014283mg transcript:KQL02389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFKAGIFTVTMLVIVLSSPAIAQKAKSPPAPASPILPPSPAPAPAPHHVDLADLLSVAGPFHTFLDYLQKTNVIETFQNQANNTKEGITVFVPKDSAFAALKKTTFANLTQDQLKSLILYHAFPKYYSLAEFNKLSTLNPVTTFAGSQYTLNITYDMGTVQVESMWSNPKISSSVYSTRPVAVYEVNKVLLPMQIFKSDPPLAPAPAPAPDAKASDVAPSPTSGKAASAKAKAEEKNSSYQVSVSIVNYLVLAVSGGLMLLW >KQL01133 pep chromosome:Setaria_italica_v2.0:VI:9047907:9052877:1 gene:SETIT_015216mg transcript:KQL01133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPSGHRHGRGLLLLAMVASLSTFVMSMDSRFTSVDCQTSAAPSPAPSSPSPPPPSSSSSTTTKTSTGFASLSRGNGTNRAFVRGICRGDSAGGDCARYLRSASVGIRSRCNSNSRRAAIWYDDGSTVTYPAPMFYFVSFADTNTSTPYEQKYRNEMYNTGEARDKGAFENTYYTLMTRLAARVVNGSGDTAPSSLPEAPMFATGEAVYDPSVPNGTIYGLMQCMRDLTAAECEKCLQDSVPRLPSCCYGNLGGVVLGYNCYLRMEIYTYYDLKLDPPPPPAPAPSNFIGQRAGKKGLGSTLAVALPSGGTVLIALVILGVFLYRRNANRKRTPDNSSKEEDIGYVEPEQLNLAVLRDATDNFSEENKLGEGGFGEVFKGTLQDGEEIAVKRLSQNSSQGFQELKNELVLAAKLEHRNLVRLLGVSLQKEKLLVYEYMPNRSLDTFLLDPVRRHQLDWSKRFTIICGIARGLLYLHEESRLKVIHRDLKPSNVLLDADMNPKISDFGIARAFGGDQSRDITRRPVGTLGYMSPEYAYWGHVSTKSDMFSFGVIVLEMVTGRRNNSAYDSAVDSVSVLSHVWDKWRPGLMTDVVDPLLTEWGYPESEVLNCIEIGLLCVQENPVDRPDASAVVLMLSSPTSMSDDRPAPSRPAFVFSYGFTTESDRPRSGAWSSDGVLLIGNNKQPSTATVSENEVSISELQPR >KQL02556 pep chromosome:Setaria_italica_v2.0:VI:33182402:33184196:-1 gene:SETIT_015558mg transcript:KQL02556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDPKLRPPTAAEVVGRLKDEGDFDALRRSIVRKVKDNEVLRNKIITEVKQSVVLQEDGSEKLKLKDLSDAINKDIGSKIMGQISDEVWNTIQSNETDIRGTVEAVYNRILNPEKGPEPSSKKLKRMGKEQQVLPAKTPTTVAARDNQRNNIAAAAAAAQKQQSPPNLENCSEGKPNGGEPVVVDDTAGDDDDPAVPPGFG >KQL00144 pep chromosome:Setaria_italica_v2.0:VI:188867:191858:1 gene:SETIT_013981mg transcript:KQL00144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDDEPPVLLDRASRATRGKRITKLLEDEVEQDEVFWNQDALKDEEDDDNYEEEQDAGDEFDSDFDQDEPEPDDEPEKEERERLPIKKRLMFPGKTLRKTNVKKKVTPKLEDDEKAAKSADKASPSTPADVPDELETEKIIRKSTRTSVIVRQAEREAIRAEKEATAKPIIKKKKEGEEKRMTQEEMLLEAAETEIMNLRNLERVLAREEEVKKKAVVHKDTYDGPTIRFFSRDGESRLEFINGASFGSELCTTSAPYPEKSVCVVTGLPAKYRDPKTGLPYATMETFKIIRESFLKEEADRKRPNMSNMGELFESLAGEHSAPKKRRVEVRSPGISGGLRHGGRFRRIPALDMVDED >KQL01794 pep chromosome:Setaria_italica_v2.0:VI:26258475:26258984:-1 gene:SETIT_015549mg transcript:KQL01794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAVGQQQQCAPSTFTSSPPPRLRAIDTTLVPPSPTGSVLPESSLPLTFFDTLWLPFPPVERLFLYRLAPGADVPAILSNLKDALSRALSAFYPLAGRLVLTPSTSNRYELHYRPGDGVAFTVAEYDVGGGMGVDGIDGLGTDEPREVARIAPLVPALPAALGRRRARR >KQL00456 pep chromosome:Setaria_italica_v2.0:VI:2228462:2232014:-1 gene:SETIT_013213mg transcript:KQL00456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHCVSENFEAIPLVRSVIELATNSRCDLPNTIELLRGKLQEAIARKRFLLILDDVWNEDQNKWEDDLRPLLCSSIGGSGSKIVVTSRSRQVVSIMGTLPPHELVCLSEDDSWELFSKKAFSKGVQEQVEFVKIGRCISKKCKGLPLALKTMGGLMSSKQQIQEWEAIAACNISDTNRGKDEVLPILKLSYKHLSPEMKQCFAFCSVFPKDYLMEKDMLIQLWMANGYVHEEGTMDLTQKGEYVFNELAWRSFFQDVIPVRKPSWPSFEYASKQEINGCKMHDLMHDLAKYVANECANAEELIQQNLPVNDIRHLHISRDDQLNEISELLGGTMYLRTLLMPPPSSYKDLMKSKLMSSRALRVHCGDISIIHMELTCTTHLRYLDLSDSMMIVSLPNSICMLYNLLSLRLNGCSRLQYLPEGMRTMRKLCHIYLLGCDRLERMPPKLSVLHNLRTLTTFVVGTKDGCGIEELEDLQQIGNRLELYNLREVKCGSKANLHEKHNLNELLLYWDHFHDEYDKSTIGEATNHEQVLESLVPHGELKTLEVHGYRGLTISQWMRNPQMFRCLRELIMVFCPGCKDLPIVWLSSSLEHLCLRRMESLTTLCKNIDVEAEADNTSLQIFPKLKRMELWSLPELDRWVENSAGEIFGSVTFPRLEELEIKYCDKLATLPRSPVLTYLNLFGRKGNNSSGALISMRMPLGSLSSLIRLRISFLLVDVVMPPDGKESQSQRPLDTLRYLELEGDEAFITIFNKSKLQLGLRDCLVFVEELCIISCPNIVRWPMEELHYFPRLRSLGICYCSKLEGKGSSSEEDGILPLLPKFPASLEEIMIKNNISLVALPSNLGDLVKLRRLIVLRCDALKALPDGMDGLTSLELLTIRDCPGIEKFPQGLLQRLPALKDLDIHGCPDLERRCREGGEYFDLIASIPDKDII >KQL01992 pep chromosome:Setaria_italica_v2.0:VI:28826073:28827797:1 gene:SETIT_013651mg transcript:KQL01992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAEQAASGSTTGGPHVLVVPYPAQGHMQPLLHLASLLAARGLRLTVVATPATVYLLAPLLAAHPSSVRPLTFPSAADHDTSGPTSVGADFHAHAAALRAPLGEWLRSRARSDSGDGEGTGRVVAVISDFFCAWTQPLAAEVGVPRLVFAPSGVLATAATHSLFRRMPRAPEGDAGRGYAVSFPALPGAPAFPWRQISRMYRSYVEGGAGGSSDEHAEAIKDNFLWNLESAAFVCNTCHPLEGKYLDAQPLEDLAGKRVWAVGPVAPPPDSTGEHDPGTASDVTAWLDAFPDSSVAYVSFGTMMVPPPAHAAALASALERSGTPFVWASATTKLPDRFEERAAAAGTGLVLRGWAPQVAALRHRAVGCFVTHCGWNSVMESAAAGVPMLAWPMAADQFFNARLAVEEARVAVAASWGGFGGVPDAEDLARALSEVVGEAGAGVRARAKELAVMLEEAVGEGGSTRRELDGLVQELRELGSGR >KQL02766 pep chromosome:Setaria_italica_v2.0:VI:34427001:34431252:1 gene:SETIT_013318mg transcript:KQL02766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLVPGILLKLLQHMNSDVKVAGEHRSSLLQVISIVPALAGSDLFTNQGFYLKVSDSSHATYVTLPDEQHDLILSDTIQLGQFIHVDRFEAATPVPILRGVRPVPGRHACVGNPEDLVVTSSSSFLGSKKAQPSINGNAKDALSLEKEQSKLEKVNASVKNNGTESKKPQLTKSNSSLSKQALNSLTDKKDIVSSKAKCTTARSTPSSPTSVHSLPASFDRFSNDMKQRAKTKGAEKPSPSRLSLLEKAASVLKATTAGRRSSIGNSLSNTILSIESGPKALRRSWEGNADAKAKGNSDSKPAKAEKKSENRSSSTPRRKSVAEEKSLHKDDSKIQTPPRKSSASAPADDADKVVNKHSSPIRRTSGVLSNPNTTNLVKVAANSKKLTDANTSWTALPPSLAKLGKELLKYRDAAQMAAVEAMQEASAAESLLRCLSSYAEVSSSAEEQNPQPAVEQFLALHGALSRATVVTESLTKATATSSTATSPDRSAAGDASTADEETLVVAAERRRRAASWVGAGLATDLSAFSLYNLKPAPANVVSPLAVVLVDESAKPAVAAAKASPPAKSRLSPAKGKVRSASAAAAAAAAAAAAAAVPPPEWERGGGAEERGELARRLGEEARGWFLAFVERFLDADVAAAAPWDRDRAARMLPQLKRVNDWLSEIGKPSEMPPPPQHPPSEADGEAAAPAANGGVACGVPEETIERLRKKIYEYLLINVDSAAAVLGGGEAAPAPVANGKKG >KQL02624 pep chromosome:Setaria_italica_v2.0:VI:33609666:33610326:1 gene:SETIT_015544mg transcript:KQL02624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVQTHWFLVAVQGLHCYLEIIQNDEKEDYHRWKDFNVKTWDIDMLGGLPQQEDRTSSGLFRLKYMEHWNGYSLQKGFTQNLIDEFRPKLAAILVNSDFNEEQTMKGSPEIYYAARIRIRVSVSEGYGYADTAIS >KQL00646 pep chromosome:Setaria_italica_v2.0:VI:3729824:3731098:1 gene:SETIT_014255mg transcript:KQL00646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQGQRLNVVPTVTTLGVVKARLAGATRGHALLKKKSDALTVQFRAILKRIVSAKDAMGDAMRAASLSLAEALYAAGAPVRHAVQQSVSGPAALRVRAHQDNIAGVRLPRFESYLSDGGAAGGASSSSPVASLAGLAGGGQQVAACRAAHARALEVLVELASLQTSFLTLDVAIKTTNRRVNALENVVKPRLENTITYIRGELDEHEREEFFRLKKIQANKRRALEQQKEAAAHYAEEKAAGEVMLKRGVSMDTAASMLDNGDRDEDIIF >KQL02617 pep chromosome:Setaria_italica_v2.0:VI:33567592:33575328:1 gene:SETIT_013130mg transcript:KQL02617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALSVGKSVLDGALGYAKSAVAEEVALQLGIQRDHAFIRDELAMMQAFMRAAHDERDNHDVLMTWVKQVRDVAYDAEDCLQDFSVHLHKPSWWRLPRTLQERRRIAKQMKELRARVEDVSQRNLRYQLIKSAGSKPVTSAEQSSITAAAIFGIDDARRAAKNDNSKVDLVHLINTPGEDLRVIAVWGTSGDLGQTSIINAAYENPDIKKKFSCRAWVRISHPFNPNDFIQSLVRQFRSAVGVDVLLETKKTGKELAEEFSGYLSENSFLIVLNNLSTFEEWNGVKACLPPNHKKGSRIIVCSLQVEVASLCAGQECQALELKQFSAHQTIYAFYEKDCQDQIKIPVVVSSSDESISTNVALKHQSKGGNEIKVFTKSLTHIKTMASALEESQLIGRQKEKTDIINLISNPSNQEFAVISVWGMGGLGKTTLIKDVYESQKLVGVFEKHACVTVMRPFILKEFLKSLIMQLNVQSSEKKGAIDFAHGARNTAPIMMGVEALIKELARLLEGKKCLIVLDDLSSIEEWDNIFGSFPKLDSSCRIIVTTREESIAKHCSEKQENIYKLKVLDCKDAQDLFTRKVFKEDKDLDKHPELIEEAKMILKKCNGLPLAIVTIGGFLAKQQKVAVEWRKLNEHISAELEMNLELGAIKTILGKSYDGLPYHLKSCFLYTSIFPEDHKIRRRRLIRRWSAEGYSREIRDKSPEEVVDNYFMELIERSMILPSQLSVNSRKGIDSCQVHDLMREISISKSTEENLVFRMEEGCSSNAQGTVRHLVISTNWEADKSEFENKVDLSRIRSLTVFGKWRSFFISEKMRFLRVLDLEGTSGLVSHHLEHIGKLLHLRYLSLRGCDNIFHLSDSLGNLKQLETLDISETAILKLPKTITKLKKLQYLRAGAVGKDDDSLNAFEELPKVVNNRPCICMGWLLGFCMACCAPQLLKEVMDVDSDMNRCDVCTQCCCWFFPILMASEGPTWMPRGIGKLKSLRTLGLVNLAWDKAILRDIKGLTQLRKLAVTGINKENSQEFCSVIANLSCLESLLVQAWGMPGLHGCLEGLASAPKTLQSLKIYGNLVKLPGVQGLPNLVKLVLRSSRILEHEPALQVLGKLPNLVSLRLWAKSFQVDDLRFTFHPEAFPSLIVLELNDIDGLKSVEFEEGAMLQLERLDFRGKLEETNTGMFSGLPLLRSLKEFMLDSKTYKHTFMEDLQGQLGANPNGPALKRW >KQL01863 pep chromosome:Setaria_italica_v2.0:VI:27302692:27303509:1 gene:SETIT_014896mg transcript:KQL01863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTASRTIVRVLGGKLSLSIARARLALELRSVAYELMDEPLEPRKSDRLLTANPAYGKIPVLLLLDGFAICESVVIVQYVEDVAQAGGGAGGEGEAAALLLPEDPYERAMHRFWTAYIDDRLWLAIDAVSLGPTSETHVQATGDARAALRLLEGAFTDCSSGVGFFSGSDVGLRAPPRALPIDASTTPLMDRWSHRFAAHPAARRGLPDTNKVVEFTRFFQAKFKVDVSK >KQL01579 pep chromosome:Setaria_italica_v2.0:VI:22192407:22194141:1 gene:SETIT_014657mg transcript:KQL01579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWLFSLQSVRKTILCVFLLGFLDVAMVLLFLLVDPVSTTDLALEEKQVAAVRLLQLSSNLAVCPSGMFMAYLSTLCTDASAGKQCIRKAIDALFSPQPQDSDGSEGHLESTSESTDDMKPTLI >KQL02520 pep chromosome:Setaria_italica_v2.0:VI:33017359:33019552:-1 gene:SETIT_015320mg transcript:KQL02520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPNASTTAAADADTELAKIQASRAAIVSVLSAAAEAEIDIDDVGDRLAELLSGASPSSNLQSQAVAARALRARIDRAVAPAEPFLAALRRASALAEEAAPPADPADAGGAVAFVGRVDRLRDAIEEVVARGDEAVRRVEEAVGFLGRTKAAGRGRVRRLTEAAAALRAVYEAEAEQMRFEGPLDEALLGVQDLFEALLLRLKHPAAPVDDDIAGADGDDTAQYELGTDDEVEAATRMARTLAGNDCLDICLDIYVKTRYRRAAKAMMRLNPAYLKSYTPEAIDDMEWESLESAMALWSPHFHVAIASVLPAERRLCARVLEPLPPAVWPECFAKIAARIAAAFFRFADGVAAAAREPQRLFKLLDMLDAVVRERGRLEELFSGESATLAAIRERAREVERALARAATGVFFEFGLRIETHYVTGAGEGVGDVPKIVRYAVNYLKCLASDDYRGLMDAALRAERERGGDEDEDGSEGGGDRAPPLAEAASNVLEALRRHVEAARRACADTVASHVTAMNAYWYIYMRARGSELARLVGEDTMRRRYKAAAEEAAWEYQDAAWTPLVRLVAGGSSGAPRTWPPDDARGKAAAFADMLEDRVRRHGAEYKIPDGDLRGQIKAAAAKAVRGAYAGFLKANDKALAGGRREMLPLDVIEGMVGRVFDELGDGVAGSVGRARSSRSRRESRDSANLEGFGV >KQL00301 pep chromosome:Setaria_italica_v2.0:VI:1193830:1194886:-1 gene:SETIT_015667mg transcript:KQL00301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTKAVAAYLYDDIKVTWGSGCSYFYMDQDMDTLALCLDRSSGSGFSSNGSYLYARYDMDIKLVVNDSAGTVATFYLMPDDVPWEYHDEVDMEFLGNATGEPYTLHTNVYVNGAGGREQQFQLWFDPTQDFHTYSIEWNPKYIIFLVDDTPIRAYKNDRARGVPFPTWQSMRAEGSLWNAEEWATQGGRVKTDWAQAPFYAYYRNFRVTPCVPSPGVAWCGDEPPESTWFDQRLDAAALQRVQAENMIYDYCGDQKRFNETGFPVECTTA >KQL01959 pep chromosome:Setaria_italica_v2.0:VI:28491968:28493066:1 gene:SETIT_015194mg transcript:KQL01959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKLLTVKEFSEASLMPTMRSAWNTALEVTFRPIGKNIFVVQAFCLGDWKRIMEKGPWIFRGDFHRARVNLEASRPLLRFFTLAPERQDNILIQIKYEKIPQFCSHCDLMGHFGNWMIAGEKTWHPVTPRVRGNTGVEREQPKEERGAHPARGRGRGRGGWGSYPWGGVWMKKKVGSDDGLGLRKRASEEVGLDKGTDAELSDTATSPVKPMEEKHGRYGFPPPPKYISPREKKKQKKLIESEKEVPNTTMADFEKEDHREQ >KQL01571 pep chromosome:Setaria_italica_v2.0:VI:21922435:21925793:-1 gene:SETIT_015985mg transcript:KQL01571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGKSLLPRAAADVSPITDVTTIKDPRVFVSCPEWISTITCSSAVRLLPLFSVHQIIIGSSEVATKPRFDSCAAL >KQL01825 pep chromosome:Setaria_italica_v2.0:VI:26923198:26925529:-1 gene:SETIT_013440mg transcript:KQL01825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAERARRWKLLPFRSLSLPPASASKPKRRSPDVPVPLSPARTEKEVEEEVPAEFLCPILRAPMADPVILPPGRTYERACVRACADLGLSLGPDGVVAGEGNTQGGGGGAVAIPNDALRAAVRTWCARSGRAAPVAPSAEKASEAVLQAVPSARAQERTASNLSCASEVAMAPARSSSNLSCASDTALAPARSASNLSCSSEGAFAASSSSSSSSSGRSSREMAAAEVEVVRGKEQEAVKENEKEEEPVPVRAADAEEEAVAKAVESGDEMEVEATMAALRRATREGSARRRALCVPRLLAALRRVLLSSRHTAAARADAAAALANLSLEPENRVPIVRAGAVPALVDAVASAAAPPEAREHAAGALFGLALHEGNRAAIGVLGAVPPLLAVLAGRDGDHAPRARRDAGMALYHLSLAAVNQSKLARAPGAARSLLSVASDADEPAPIRRLALMVVCNVAACAEGRAALMDAGAVATASAILSGDGSRSSELQEWCVAALYAMSRGSPRFRGLARAAGADRQLMLIAEQASPGVHEDMAQMALRTMLGLADNSDDDQITECMSNEGNHGGGRVPHRRRVASWSAPPPATPASSHQWRSVCID >KQL02534 pep chromosome:Setaria_italica_v2.0:VI:33103670:33105101:1 gene:SETIT_015155mg transcript:KQL02534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPRSCSSSSCLLMVVLALAHWCSIATASCSFTVSNYCSQPIWPGTLAGAGTPQLPTTGFRLDPGQTVQVPAPTGWSGRLWARTGCAFDAGGNGTCQTGDCGGRLECAGTGATPPVTLFEVTLGKAAGDLDYYDVSLVDGYNLPVVAVPRPRQGAGGCNATGCTADLNRSCPRELQVDRGGGTVACRSACEAFGQDQYCCSGAYATPTTCRPTAYSSAFKSACPRAYSYAYDDGSSTFTCGSAADYTIAFCLPPSGLQSPGAVPLGSPPPAYGQSTSGGTSGAAGSTPPPAIGNNGVGSISPPPPTTDNNGVGSTNPPPDNNGVGSTNQPPASTDNNGFGSTDQPSPAATYGAGSTDQPPWMMLSSASMLHEQLSLVLPAVLLFLLWSFSP >KQL01626 pep chromosome:Setaria_italica_v2.0:VI:23583848:23587811:-1 gene:SETIT_013560mg transcript:KQL01626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDMKPEEMSHPPMDQLLGMEYCIDSNPSWGGAVVLGFQHFILCLGTAVMIPTMLVPLMGGNAHDKALVVQTVLFVTGINTMLQTLFGTRLPTIIGGSYAFIIPVISIISDPSLMQIADDHTRFKMTMRAIQGALIISSCIQIILGYSQLWGVCSRFFSPLGMVPVIALAGLGLFERGFPVIGKCVEIGLPMLVLFVTLSQYLKHVPVRHFPILERFSVLISIALVWVYAHILTVSGAYKHSSLLTQVNCHTNRANLITTAPWISIPYPLQWGAPTFSADHSFGMMSAVLVSLIESTGAFMAAARLASATPPPPFVLSRGIGWQGIGLLFDGLFGTVSGSTVSVENVGLLGSTRIGSRRVIQISAGFMIFFSILGRFGALFASIPFTLFAAIYCVLFGYVGAVGLSFMQFTNMNSMRNLFIIGISLFLGISIPEYFFQFTISAQHSPAHTRAGWFNDLINTIFSSPPTVGFIISVILDNTLEVRNRAKDRGMPWWARFRTFRGDSRNEEFYNLPFNLNRFFPPS >KQL01021 pep chromosome:Setaria_italica_v2.0:VI:7422951:7423652:1 gene:SETIT_014388mg transcript:KQL01021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYHQRSTSVPSSPCSNKVSIEEQLQGLKSIVCSPSATIETMVDCLSKIGSIYNRINEVTCLPSSQRNAVEEELDRSLVLLDLCNAMQESFAELKTSAQEMQSALKRGDDASVQIKVQSYARSAKKAQKQCKKISNNASSDKEGYRVIKLIAEAREIVMAMLESTLNLLSKQLVMPSSSKWSLVSKAFQKKTVVCEEQLQELELDIVDLESGVGTLFRTLIQSRVSLLNALSL >KQL02863 pep chromosome:Setaria_italica_v2.0:VI:35015805:35018129:1 gene:SETIT_014372mg transcript:KQL02863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSRRRSLSPPANCRPRGSPPANHRRSRSPPATASSPSFPPDLISEVARRLTSLQDFFALRAACRTYPPLLLVPFEDTESHAPFHPTLRRIHRFRFHRTPSRRRRRVASIIEPYKLHSLACVNGVLYALVTPGYILAVVELSEYKNRVELVLLGGNLDASIVRKHEESSPHARHPPPPPPPARQLHLAECCGELILISTMEFDPRVYHVFKWKLGEAKWERITSLGGCMLFLADDCL >KQL00622 pep chromosome:Setaria_italica_v2.0:VI:3531138:3532851:-1 gene:SETIT_015165mg transcript:KQL00622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLPGLLRRARAPPHLPPHRHLSRLLDRYGFVAPASLTPSPREPPRAAATDSAAAKKRRAKKPPYRPPSSLDRGGRPPAHSDLPFDFRFSYTESTTASKPIGLREPKYSPFGPGRLDRPWTGLCAPAVDATLRDVAAEDPLPDAERGLEEARRRERERVLGEPLTPAERAFLVEKCQKNRTKRQINLGRDGLTHNMLNDIHNNWKSCEAVRVKCLGVPTVDMQNVCHQLEDKTGGLIIHRQGGQLILYRGRHYNPKKRPVIPLMLWKPAEPVYPRLIKTTIEGLTVEETKQMRKKGLHVPVLTKLAKNGYYASLVPMVRDAFLVDELARIDCKGLPKSDYRKIGVKLRDLVPCILVSFDKEQIIVWRGKDDGSLQDQMQQPFPSVIDSDGASVKDESDDQEQAPSDWSSDECSGISSSNEEPDDKPVISNLDSSRLI >KQL02590 pep chromosome:Setaria_italica_v2.0:VI:33422233:33422866:-1 gene:SETIT_014952mg transcript:KQL02590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAPGAIATPVADSWASLPGDLVRLVASRVLDGDLLDYVHFRAVCTGWRSGAASPRCRGVVDPRFHPRRWMMLPEGHGLRPGHPDLRGYIRFLNLDTGTVEDSAVRLLHPFTGDIAELPPLATLLPQVVYNCPAPYKIRRLADLVSASVSFGAGAITVMLALHEVHRVAFATTLDRLGCPPAMSFQA >KQL01588 pep chromosome:Setaria_italica_v2.0:VI:22564025:22565980:1 gene:SETIT_014501mg transcript:KQL01588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVAAVLPACAAGPLSRAATPLPRRLLSVPSSPARSGISRACSLVGGGASARRAPTVRRNATAEMMVPYVPGSGKYISPDYLVKKVSAKEVEELVRAERKVPLIVEFYATWCGPCVQMAQDIEMLAVEYEDNALFVKVDTDDEYEFAKDMQVRGLPTLYFFSPDQSKDAIRTEGLIPMDMIRNIIDNEL >KQL02767 pep chromosome:Setaria_italica_v2.0:VI:34433140:34434024:1 gene:SETIT_014963mg transcript:KQL02767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPSSSFKFEAPRGYPRYFAGAFGSNVLAMQPMNSKFKNYSMHGILIYDVRKRSIIIGPPQRPDPVDPIYIPVGGRLFALAAGSFQLLDPPPYDETSSKDLRWMWHTLPEPPFSHGLVTSYAVHSDERTIFVSIGGQTPATFSFDTEESEMDGSCRWKHHGQWKMPFNGRGHFVPELNCWVGLWGALGRHNRRVCAIDVVSSNLALKLCEEGLNQFSADEPIGATLVSMGGGSKFCLLEYKYNNEKKVVMQLTTFSLKYDKNGDLTMSKSRRIQHYRVPEEVTWSMLQTPVAFWM >KQL01169 pep chromosome:Setaria_italica_v2.0:VI:10112502:10113622:1 gene:SETIT_015066mg transcript:KQL01169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPKAFLLAILGCAFLFSGALAARALSDDSAMVARHEQWMAQYSRVYKDAAEKARRLEVFNANVKFIESFNAAGNRKFWLGVNQFADLTNDEFRATKTNKGFKHSPMKVPTGFRYENVSIDALPATIDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESGYPYTAADGKCKSGSNSAATIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKASDGTNYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPTK >KQL00169 pep chromosome:Setaria_italica_v2.0:VI:310093:311193:1 gene:SETIT_014891mg transcript:KQL00169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSPPAWADLLPEVLGEIVACLCDADVARLRAVCRAWHSAVACKLQDQEQEAMPWIVIPNGSFCTIGDTGVFFNRNSRLPRNVTCLGATDSWLALDCTDDVFRRTNSFDAYIRDGKFPEPRSDVKHEHNYLLHNPFYEKTVSLPELDSIAGHVNETFEIRKVLMRSASPNDLVAVTTNNDNCTIILCRPGKGSWVLPYLGVFDVAFFKDKLYGITRHEDLVAFDLADDDDGSPIVPNFKRVIRHPLADGEEDPWSWMDDDYDTDDGEESGDEAADSFNPDDGQLVPSDDEDGVDEEVPYEPKDYITTSRLLVESCGGQELLMVRRQVQSPPFHPPYTRKVELFKADIDAGRWVSVTGRDALPEGE >KQL00952 pep chromosome:Setaria_italica_v2.0:VI:6733603:6739510:-1 gene:SETIT_013449mg transcript:KQL00952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGFEDDEPPSKRARASSVESASLPDCFSFSKSANPLGSTMARPLPSQGKEVMVGSKGVIKKEEFVRIITKTLYSLGYEKSGAVLEEESGITLHNPMVNLFREQVIDGNWDNAVVTLNKIGLLDENIVKSAAFLILEQKFFELLRDDNVMGAMKTLRSEITPLGVNRKRVHELSSCMISCSPQQLFVGFSKLGIDSSTSRLKLLEELQKVLPPTVMVPERRLENLVEQALTVQRDACYFHNSVDGLSLYIDHHCGKDQIPSRTLQVLHAHHNEVWFIQFSNNGKYLASASNDKSAMIWEVDEDGELLLKHTLNGHEKSVMMVAWSPDDCQLLTCGVEETIRRWDVKSGKCLHVYEKPGIGLISCAWFPDGKQILSGLADQHFCIWDLDGTEVDCWKGQRSTKTPDLTVGKDGKVIISMNRENTILLLDRETKQERLIEEDHTITSFSLSEDGDFLLVNLVNEVIHLWNIRNGPIRVSRYSGHKRSRFVIRSCFGGSEQAFIASGSEDSQVYIWHRATGDLIETLAGHSGTVNCVSWNPANPHMLASASDDHTIRIWGAKKASLKRKDVGSSSCSSNGIHANGNTHGNGFIHQCNGNSTK >KQL01434 pep chromosome:Setaria_italica_v2.0:VI:19433133:19441486:1 gene:SETIT_013416mg transcript:KQL01434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRRRSTSMEGLFTLDDRKERRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVEHRASSFTIEDVRDEQEERAVFTFQQELLNRNLLPDKHNDYHLLLRFLKARKFDIEKAIQMWSEMLQWRKEFGADTILEDFNFEELDEVLCYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFEKAFRDRFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLSRMQKIDSDYYPETLHQMFVVNAGSGFKLLWNSVKGFLDPKTASKIHVLGTKFQNRLLEVIDASQLPEFLGGTCTCAAEGGCLRSNKGPWNDPNIMKFAHNKEAKFTRHTRRLSEIEQRRSSFARLHLLKGRNSDTSTVESGSDIDDLGSPMMRSTVGCSRLAPVREEMRGRDSAAYYSCDDHFVVVDKTVDYGRGGSMSDKSRASEPRAKVRPLDTSTATHMAGPSTNRRGTVVPKDVLDEGTFHRFIKLLLALIVKGFAFFHIAYGQQETTVNNPLPPAEPEPVSDDYPAVETFSVDHISPIIERLQRLEGKVDELGSKPPEIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESLEEVIRSNLRRRRFCA >KQL01435 pep chromosome:Setaria_italica_v2.0:VI:19433133:19441486:1 gene:SETIT_013416mg transcript:KQL01435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRRRSTSMEGLFTLDDRKERRSDVENSEDERRRLSIGSLKKKALNASNKLTHSLKKRGKRKVEHRASSFTIEDVRDEQEERAVFTFQQELLNRNLLPDKHNDYHLLLRFLKARKFDIEKAIQMWSEMLQWRKEFGADTILEDFNFEELDEVLCYYPQGYHGVDRQGRPVYIERLGKVEPNKLMHITTVDRYMKYHVQEFEKAFRDRFPACSIAAKRHIDSTTTILDVDGVGLKNFSKTARDMLSRMQKIDSDYYPETLHQMFVVNAGSGFKLLWNSVKGFLDPKTASKIHVLGTKFQNRLLEVIDASQLPEFLGGTCTCAAEGGCLRSNKGPWNDPNIMKFAHNKEAKFTRHTRRLSEIEQRRSSFARLHLLKGRNSDTSTVESGSDIDDLGSPMMRSTVGCSRLAPVREEMQMRGRDSAAYYSCDDHFVVVDKTVDYGRGGSMSDKSRASEPRAKVRPLDTSTATHMAGPSTNRRGTVVPKDVLDEGTFHRFIKLLLALIVKGFAFFHIAYGQQETTVNNPLPPAEPEPVSDDYPAVETFSVDHISPIIERLQRLEGKVDELGSKPPEIPLEKERSLLESWDRIKCIESDLERTKKVLQATVMKQLEIAESLEEVIRSNLRRRRFCA >KQL01980 pep chromosome:Setaria_italica_v2.0:VI:28698248:28701483:-1 gene:SETIT_013787mg transcript:KQL01980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVDVMETTLVAPSEDTPRRELWLSNLDLAVPKTHTPLVYYYPASELGAGVGPEGSFFAAERLKAALARALVPFYPLAGRLGVGEGGRLQIDCNAEGALFVVAQADFAGDNVFRDYEPSPEVRRMFVPFVPSGDPPCVMAMFQVTFLKCGGVVLGTGIHHVALDGMGAFHFIQTWTGVSRGLGTAEACGPPPFHDRTLLRARSPPAPAFHHPVYSPALLSGRPRPFVTRVYSVSPKLLADLKSRCAPGASTYCAVTAHLWRAMCAARGLAPGVDTRLRVPANVRHRLRPPLPRSYFGNAIVRDLVTARVEDVLARPLGFVARAIGDAVGRVDDAYARSVVDYLEVESEKGGQAARGQLMPETDLWVVSWLGMPMYDADFGWSAPRFVAPAQMFGSGTAYVTQHASKDDDGITVQFALEPEYLQCFEKVFYGE >KQL01553 pep chromosome:Setaria_italica_v2.0:VI:21616268:21619600:1 gene:SETIT_014593mg transcript:KQL01553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGPIRQDWEPVVVRKKAPNAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTENLTHERVPSDLKKNLMQARLDKKLTQAQLAQSGNMMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >KQL01554 pep chromosome:Setaria_italica_v2.0:VI:21616268:21619600:1 gene:SETIT_014593mg transcript:KQL01554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIGPIRQDWEPVVVRKKAPNAAAKKDEKAVNAARRSGAEIETMKKYNAGTNKAASSGTSLNTKRLDDDTENLTHERVPSDLKKNLMQARLDKKLTQAQLAQMINEKPQVIQEYESGKAIPNQQIIGKLERALGTKLRGKK >KQL01165 pep chromosome:Setaria_italica_v2.0:VI:9938704:9939054:1 gene:SETIT_015882mg transcript:KQL01165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVSSTVLVADAKRGGSQVATLPFREYNSLKFRFNN >KQL00213 pep chromosome:Setaria_italica_v2.0:VI:571862:574108:-1 gene:SETIT_014506mg transcript:KQL00213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERKRKEMEKAKSGLRLAMEELCLCSPGDVEEKQEQVQPRSSTMDLLSVSKQLLHVLDEIGPTLLVLRQDIQQNIQRLQDLHERDSSKYVSLTAIVTEEVEQETAKKTKSCTRAIIWLSRSISFSKYLLERLLKAPELSLREIVEEAYASTLKPWHGWISSAAYKLSGSNEARPRKRSSHSAADG >KQL01656 pep chromosome:Setaria_italica_v2.0:VI:24259166:24260383:1 gene:SETIT_015048mg transcript:KQL01656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRFLPPPPPAEPQAPPDPTTIRALSDDLLREIFLLLPSLPSLVRAALACCAFLAAVRSSPAFRRRFRSLHPPPLLGFFFDPNGTEMPSFTPIRRRSDPDLAAAVRGIDVFLTRVPFHDDVFPGWQIRECRGGCLLLLNWESKQIASYNPLTRALDLVPMPPDEISIGHRGKFIPKSYFLLPSDDEATDRSSFRVVYTCHDKSRVRAAVFSSATKKWQILPWSDPAPAQPASGKYWLLGGRQVNGFLCWSHSWHAYKALLDTATLQFSFIDLPEDLKGQGHLYMTGDTNDGKLCIVAAIEFTLFIWFLRADADGVDKWMLESMVQLEGEVLQATEGSRDEHEELKVLAILDGIVYMSTFETFRDFTSPCWYLSFCLETRKLEKLFYGKTDGHFHPYIMAWPSSLL >KQL01507 pep chromosome:Setaria_italica_v2.0:VI:20979020:20979358:-1 gene:SETIT_015922mg transcript:KQL01507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATILFLRHCNVQFQYMIETIKINCNSQLLKVEYNDDEIKIIFMCLD >KQL02703 pep chromosome:Setaria_italica_v2.0:VI:34069344:34071882:1 gene:SETIT_013986mg transcript:KQL02703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVASLASLLSPPPRRERQAWIVPEKAPPPPPPPPTVARGRRAMPIQTRQAVACGGPGCCGRRRSAPSSSPRSRTSSAPGGGLLRHRPRRTTASARRRRSRRRPCWGTTSFSKQSDGWIWITGCDRNRPTLIARAISVMRQQAAAAPATAASSELPPPPPRYRGVRQRPWGKWAAEIRDPVKAARVWLGTFDTAEDAARAYDDAALRFKGAKAKLNFPPVAFRRPHQAGHQHAGGVFVAAPGHHHHHHAAAQLLHRQQSAGASSTSSTRRHPGPVHHLQSAAPGATAATSLAGSAARAHQEEFPDLSRYAHILQSGDLDLLAVAGGGLTPGQSSSTTTASSSLTAPPEELPPRREQAS >KQL00224 pep chromosome:Setaria_italica_v2.0:VI:629417:632471:1 gene:SETIT_013242mg transcript:KQL00224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMSRYARAGHRSAFPFLLVTRRPTTSLVPLPLIFFLLAGALGVCSASRQFSTVAISHAPNATLVCALVTANTNGGDAAATGGSSSKLHCTSLPDGQQFEYPSADIPYNAIAAGTDFLCGLMAPAGGHAAMRWWSFSEEATFNRSRPIGRRLYWGPSLRSLNAGGAHVCGLSDDHDPTCWEWKDLTLPKGLDFSRIALGKDFLCGIPKRDNTSMTCYGGMKAPSLAMPTPAAFRTVAAGPRHACAVDAEGGFACWGDGVPVVPPAELPASMSAIALGNDTTCILDGRGIARCWGGAPVPAQYRSTEFVAIEADGDAVCGITKYNYSVLCWGKSDRFGGGRLVYNATMPGACAPQRTCTCGIIPGTGALCGNGGGEGAAELAVCQPCPLKLNASRIVIANGMSKPAAAPGDDDEARKKKTLAVALGVAGAGAAVLAVAGAAFYLVALRKRERKKTLRLGESSSRRLCRDVEAMVMPAPQVSPLRPARPLGCEEFTLRDLSRLTGGFAEEKKIGSGSFGSVYRAKLPDGREVAIKRAERGAGGTGRRRRRFDAERAFRAELRLLSRVNHRNLVQLLGFCEERGERILVFEFMPHGALHDHLHGGATDGSPLFASWEARLRVALDAARGVEYLHCYAVPPIIHRDVKPSNILLDGDWTAKVSDFGLSLASGGAAAAAVASSSTTAGTVGYIDPEYYRLQELTERSDVYSFGVVLLELVTGRKAIHRTSQDGSGSPRNVIEFAVPAVETGSIARILDDRVPPPRGHEVEAVARVAKIASECVRPRGRARPVMSEVVAELEWAVTLCEESVVAAAGGAAGSRHGGSDLSRSRSRSESDDPSPFHTRELGSGFGFSHGPSRPGSHGRSHSTM >KQL01612 pep chromosome:Setaria_italica_v2.0:VI:23124839:23126290:-1 gene:SETIT_014261mg transcript:KQL01612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPMLTALLVLFAVGQQSHAFYYKYSLPASSVAARATEELADTKMAVFFREEALRVRQSLPFRFPAAVTAPLGFLPRHIADAIPFSSSALPGVLAQFNVAEGSAQAAKMEETLGMCEDPGLEWEAKFCATSLEALVEGAQGVLGTRSITEMISRVPRAGSPLQPYTIRAVQPVRGSSFVGCHQKEYPYTVYMCHSTGPARAYKVEMEGAGGDKVTLFSVCHTDTSEWDKDHVAFRFLDTKPGGPPVCHVLPYGHILWAKKYAGLSSA >KQL00195 pep chromosome:Setaria_italica_v2.0:VI:460553:461663:1 gene:SETIT_015327mg transcript:KQL00195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMAAASMTQDNGFLEGLQSSNQLKYLCLRGISRITELPASIGGLSNLRILDLHSCHNLERLTASITSLQMLTHLDVSECYLPEGMPRGVILLTELQVLKGFVIGGSTGNYNCRVTELARLDKLKKLSVYIGSKVIVIEDELIELENIKGLRVLKITWAVSLSKKERVHQTYDSTSLLTSLSLPLNLEKLDLRCFPGEKIPDWLSPSKLLRLKRLYFTGGMLNTFGGKKHVRGVEWIQVRLKFLNDLSVQWIQVLDILDV >KQL01902 pep chromosome:Setaria_italica_v2.0:VI:27701262:27702030:1 gene:SETIT_015967mg transcript:KQL01902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTDITASTKPEYPVVDRNPAFTKVVGNFSALDYMRLSTISAVSVTVGYLSGIKPGIRGPSMVTGGLIGVMGGFMYAYQNSAGRLMGFFPNDAEVARYKHKL >KQL01239 pep chromosome:Setaria_italica_v2.0:VI:11848469:11849763:1 gene:SETIT_015390mg transcript:KQL01239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFQYRAGDERRSRSLPPTTPSTPAAPSTSGSGSADSHGGGGPAQSVGNPVMPSLSPATPPPPATAAADSADELRRQAEKARIRERILREEAEQWELELEVRREIREQLLRLSWPALGSSAAGSGAPVAPPPARIVTGNASLPVAARE >KQL02168 pep chromosome:Setaria_italica_v2.0:VI:30612156:30614117:1 gene:SETIT_013755mg transcript:KQL02168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPHLPVLFGLLVVTAALGLLGSNTARAQVLFQGFNWESCKNQGGWYNNLKVQVDDIANAGATHVWLPPPSHSVAPQGYMPGRLYDLNASRYGTEAELRSLIAAFRGRGIQAVADVVINHRCADKQDARGVYCVFEGGDPEGRRLNWDADMICSDDTAYSNGRGNRDTGKDFGAAPDIDHLNPRVRRELTDWLRWLTADVGFGGWRLDFAKGYSAAVAKAYVDGAGPSFVVAEIWSSLNYDGDGKPANNQDGDRQELVDWANAVGGPAAAFDFTTKGVLQAAVQGELWRMRDGNGKAPGLIGWLPEKAVTFIDNHDTGSTQNSWPFPRDKVMQGYAYILTHPGIPCIFYDHVFDWNLKEEISTLAAIRKRNGIHPGSKLSILKAEGDVYVAMIDDKVITKIGPRYDVGGVIPSGFHVAAPGEGYCVWEKSGLRVPSGRYR >KQL03002 pep chromosome:Setaria_italica_v2.0:VI:35749599:35752502:1 gene:SETIT_014166mg transcript:KQL03002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTMYASVNIGTPIVLCQDTSNLLEGAPPYCAFWQDTNNPSGCLLHRTGSLWPPRSTRCGLDGASIIIRASPPYRRQSPTHPLTQDPPKLPKAAAAMAAQTFLLAPPAALFAAPSSSARPFHSLRLVAGPGGAAAARALVLADATKKAVAVLKGTSEVEGVVTLTQDDEGPTTVNVRVTGLTPGLHGFHLHEFGDTTNGCISTGPHFNPNNLTHGAPEDEVRHAGDLGNIVANTEGVAEATIVDSQIPLSGPNSVVGRAFVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGLTPL >KQL02378 pep chromosome:Setaria_italica_v2.0:VI:32120655:32121259:1 gene:SETIT_015839mg transcript:KQL02378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIHPLKAVTCRFQGIPPNKTGLVADTPLSLYTVVARSPLAGSVVCTLAAS >KQL01618 pep chromosome:Setaria_italica_v2.0:VI:23402138:23404726:1 gene:SETIT_013244mg transcript:KQL01618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPAPLPSVLPRPHQFPPQPASRPRHGRLQEPIMAFAQAPPLAFPLQEARSSSQAPRHSTRPPAQEPRIHSPPGLSLRSEPRIVSDTKLITMHSCAGRLVDARKVFDGMARRDLLSWSAMIGAYAIRGMYSEVIALAVTMVREGVIPDRFLITRILQACAYTEDLELGMAMHSLAIRKGFMGRVRDVPVGNSVLAMYVKCGELGRARRVFEKMRQRDLGTWNSMIFGCCRSNEWEEARRLLDDMRSEGTEPGVVTWNTLISSYARSGDLDVAMELLEQMEESGVAPDVVTWTSLVSAFVHSDRGDEALQCFIRMRLAGVEPNGMTIASAISACASLRLLSQGMELHCHAIKVGSVNNVLSGNSLVDMYAKCGEIVAAMRIFNEIPEKDIFSWNSMVAGYAQAGYCGKAYELFCKMESLGVRRNVITWNIMISGYIRNGDDERAFELFQMMESCGVKRDTASWNILIAGSVHNGHLDRALRIFRQMQALLVRPDYITILSIIPAFANLVAAWKVREIHACIFHHNLEMDGKIENALIHAYSKSGDLAGACAVFDRHSSRNTISWNCIILAHLLHGSPNEALDRFCQMKQEGVLPDHTTLTAVIKAYGLKGKVSEAKGIFYNMTHDYNITPDLDHYVAMVDLLGRLGRLEEAYELIDEMPLIPNLAIWEALLTAATVHGNVRLANLAARELLSIESSDPRIQRLVYNLQDLAGKFVDLPQTMLSNKGRELEEVDSCSVEIKNKVYLFSTGDNFVLERTVAELKLIMIQIGISKLNISNGIPDVEEEEEELSAIHCEKLAIAFAISNSPPFRTIRIIKNVRMCRHCHTFAKLVSEKYKRQILIKDSNCLHKFKGGKCSCEDYW >KQL01625 pep chromosome:Setaria_italica_v2.0:VI:23568539:23572202:1 gene:SETIT_014947mg transcript:KQL01625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKPPELSLGSMHLDIASAFYAGKPEIATTAAALSQALGQAQTCPRLQPSLQSLQSSLLLWPPVIWSTWAAVKHPMPLPHLPPAKKLKLLQTSSVLTPALPDTEALPVVELPLPKLPVRHPLPKLQVRRPLPKLQMHNPLQQASLVLEKESLKQEMPVTPLTSATSVNLYCECFAAGRYCKGFNCTNCYNNGSHDNARARQDAIDAVLERRPMAFMPKVENRSCSKQSSEGKEAEGPHVGKHTRGCNCRKSECLKKYCECFQSNVLCSDNCKCMDCKNYESNEERKAIRAQKHTVFVQNKQNYASSGILQPSSVLPRTTKNDSVTSMAASGIHHPTSNNGSSQIILFKHTFQ >KQL01856 pep chromosome:Setaria_italica_v2.0:VI:27168529:27173276:1 gene:SETIT_013716mg transcript:KQL01856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARAASAPDLSLHISLPSSAASPPPSTAPGRLGAAGGGARGAPAAGGDPWRRLNGSTASTELSLSPPPRQEAAGDALPWRLRPPAANYSSSAAATAEAASSFVPATVPRFSLDAAAEAQVARARPINGVPVYSSPRAAGHPFLGAASDYRHGHQKVGLYNPYHHASSWPSSLRSATATSPAVASDPGATAFLSPSAYHRMLSGTSRLHLHGVLADTLRGYGGGHHHQQQPHLGSLASARYMPKFPASRRGMRAPRMRWTSSLHARFVHAVELLGGHDRATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDKPATSSGPVDGGGSGDDDLPVPDAGQAPSAGGDTSPQTITGHRSASSEGAASHAGGDVECSSADDSDGGQPRSGASSRDQWLPPGACNAETHNSVGISSTIEDMEPCGSVGLQQVANHEVSCPSPSLEFTLGRPNWNRMEHD >KQL00889 pep chromosome:Setaria_italica_v2.0:VI:6047865:6049851:1 gene:SETIT_015721mg transcript:KQL00889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETARIKEEEVRKIIMEIIASSNLAQKLELVDTLQRIGVDYHYKEIADLLCSIYNDKDRGSNDLYITSLRFYLLRKHGYTVSADVFEKFRDKQGNISSDDVSCLLMLYDAAYMRTHGEEILDDIITFNKSRLQFLMMTNLEPDLAEEVRCTLETPRFRRVERVEARRYISVYEKKAVQHKTLLDFAKLDYNILQAIYCDELKELTIWWKDFQSRTDLSFARDSMVEMHFWILGALYEPYYSYSRTMLTKFTLLASLLDDLYDNYSTTEESNVFTTAMERWDGQTTEKFPAHMKALLINILNTTNKIEDELKLQKNRHAELVKKLVICTAKFYHAEVNWRDQRYVPTSVDEHLQISMRSSVCMQIINLVLISLREETTTREEDVDWVFTFPKIVRGVSIVGRIGNDIVSHERREQASIHVVSTVQTCMKQYGVTAEQTKEKLRVIIEEAWMDIVQEYLDQKRPMELDQL >KQL02477 pep chromosome:Setaria_italica_v2.0:VI:32810528:32811550:-1 gene:SETIT_015442mg transcript:KQL02477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPQFEKKEHSGGSEGEARDGLTQTPTTLPMREGWFLPLFRLQGCWLTPQRVESVKLVQAQFNPRPDDVLLVTYPKCGTTWLKALAFTVANRSRHPVAGGHHPLLSHNPHDLVPFLELPDRTLYLVAELEALPSPRLLCTHVPRALLPPGTLPLGCRRLVYLCRDPKDVLVSTWHHAQNARLIEFDKAFELFCEGVSVFGPIWEHCLGYWDLSVREPNNVLFLKYDEMMAQPAKHVRMLAEFLGVPFTVEEESGGVVEEVVRLCSFQNLRDLPVNSDGVVAGRIGAMPTKNSMFFRKGKVGDWENYLTEEMARKLDCIIEEKLRGSGLTFSQSVCHST >KQL01821 pep chromosome:Setaria_italica_v2.0:VI:26801354:26806981:-1 gene:SETIT_014949mg transcript:KQL01821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRDDAGGGGFHDLFDSVRRSISFRSGASALDEAAASPSSSFAAAGGGGFRERISSRLRRSRGMGLLGMAAKSPSPTRLLPPPQSPPPPTAGAGAGASASDGCGGVVGEGTGGGEENPPIRWRKGDLIGSGAFGQVYLGMDLHSGELLAVKQVLIGSSNATREKAQRYIGTVQEENTLNILLEFVPGGSIQSLLGRLGSFPEAGANILVDNKGCIKLADFGASKQVEKLATATAAKSMKGTPYWMAPEVIVGSGHNCSADIWSVGCTVIEMATGKPPWSHEYQEHLDNLDSYFREPEMRSAASDLLQHPFVTGGMEDFCQINHAAPKYCTEQVPRLLNRDLSRPGKLRNLNSYKSSDTRPVWDLHRNDDDMSQFPDNDDVPMVGSSFNPMCEPSDEWDRRLDISPEQRFSQSREFGGLGKLAERQMSENDFTFPCEGSCEEDDEFTESKIKEFLDEKAMDLKKLQTPLYEFYNTVNAGASQRVSDVSRASNMANPHLPPRAIKMVGGAAIEPICVNLNNACPRSCARRFSRSSVESSRVLREIASPQLNKLEDKVHDDIQDNPSLSFSKIQKWKEELDQELKREREMRSGGYGKASSPSPRSRRLTGKRDRSPVY >KQL01114 pep chromosome:Setaria_italica_v2.0:VI:8437693:8439914:-1 gene:SETIT_014361mg transcript:KQL01114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGADEASAAAQPRAAITHVIFDMDGLLLDTEGFYTEVQEKILARYGKVFDWSLKAKMMGKKAAESARIFVDECGLDGLLTPEQFLEERESMLQALFPSCTKLPGVLRLIHHLHANGIPMAVATGSHKRHFALKTQNHQEMFSLMHHVVMGDDPEVKAGKPSPDIFLTAMRRFEGNVEPSKCLVFEDAPSGVAAAKNAGMSAVMVPDPRLDVSYHKGADQVLSSLLDFKPSEWGLPPFNE >KQL00288 pep chromosome:Setaria_italica_v2.0:VI:1052259:1053950:-1 gene:SETIT_014215mg transcript:KQL00288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARRVLSVAVAVALLAASASADSWLYEKFTTDGNVRADYNSQGQQVTSLILNQQSGGAFSSRQKYLYGEFSIQMKLIPRNSAGTVTSFYLTSGDGPGHDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFYLWFDPSADYHTYTIIWNDKNVIFKVDDLFIRSFKRYADLPYPGGKPMSVHATLWDGSYWATQQGKVKVDWADSPFVVSYRGYNADACTPNGDGRPLSCPAGTDRWMNRQLNTAEWGTVAWAKKNYMHYDYCEDGWRFPKGFPAECSRH >KQL01096 pep chromosome:Setaria_italica_v2.0:VI:8086597:8088869:1 gene:SETIT_015658mg transcript:KQL01096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEAMGRRTVSGLLVTRGGSILLFREESPRHKSTACCTRLGCSSKLFPSKDRKMRKTSKETTTSQISQELRKSNRMSPQGSISYDRSSCRNATSTFDETDSRPRRKENAGRDLLARLKERVNSSRKHSLSGGSSPCLSSQHTSNSGSTSSSRSISRSICRQASRMRKDEGRITEAVRTHRARDSSGRTREDVLTRNSNQDPSGRFLSRSLLRHRSGLRRGPISSLEDSLDSSNDWRFDMDESEEVEDYYVFNDRHRGMRMDIDDMSYEELLALGERIGTVSTGLSDGALSECLKRSFYVPTDSTSHEDGDLKCIICQEEYFSGVEVAKMACKHYYHVTCIQQWLRQKNWCPICKSVASAVST >KQL01905 pep chromosome:Setaria_italica_v2.0:VI:27751402:27751658:-1 gene:SETIT_014885mg transcript:KQL01905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIEIKRIDNATSRQVTFSKRRSGLFKKAKELAILCDAEVGLIVFSSTGRQYDFASTR >KQL01152 pep chromosome:Setaria_italica_v2.0:VI:9678504:9681986:-1 gene:SETIT_014132mg transcript:KQL01152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPSLLSSPLPSPATVLSKKPASLRAVSSGTARRGVRVVAAAAATATPVSAASRARPSAAEVARTVVELAPSGTLSVVGPDGWPLGVGARFVADAAGAPALCLTAAEVAAPDAPSSFHVEFRQSGARTPQCTMLGALTKPSDESVLKKLSTRWQKKFGEDIDQDLLYLISVDRVLHTEDFNEDGMWVVPSEYTSAEPDPLRNFAENLVEEFNSTSAEDVHRIYSIYVESDLQVEDVKMIWVDRLGFDLHVHSGEGVFAVRIPFSREVSDEKGVKSSFNMMSHHAWEVEKSYASPEFEKIQFLKKVR >KQL00218 pep chromosome:Setaria_italica_v2.0:VI:601290:604946:-1 gene:SETIT_015494mg transcript:KQL00218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSAQGQGKASSRYTTVPTARRLGLAADAIPAARPRPATPESSCCARFLIISSLRDVQFLVFLVEFPCCVSTSLASLGRPRHKVLLALLMVFLGFSAPLAFLAHDASFSASLPFLIHAMGKEEKGARAAEMDSSLGKARLLEATDSVCGGAEADVTSDASNECEHFYFDTEEMYKFSDTIKRLKANPQCMDCKAPNGKLTLEETKSRFVMCSCCSQCFCAGLVTNEEGPMSQSHARSHANSKECHPVPVVLWIDQPDAAYCFQCDHSLSLKVIASAARAHEPYVIRGMQNEGYTCFVNALVQCLLALGKLRMWMFGPDAPMGPLGVALKDLFVETTAGKDAVAPLNPAKLLGIGALNAKYKDRSQQDSQELLLDLRYGLNEEELLKMPPYMQDVPTVVESIFQGQVSETLTCKTCSEASLKTVSFCELSLTMPPDGYPTKSEAPQRSGRKARKDKHKSADEHKIDSLPSIEECLEYYFEKEAVIRSCDSCAKHEEPSTSPRKVGGQMMASIKKRWLADWVQTERDHRKAKSDLFGAHDNQNASTLNVGEAPNEQKGIHADKTLVLSKLPPVLTLHVVRFEGKDKRPGHVKFEENLDVGKYLDPRSEDKNHARYRLVGAIEHFGNSLKEGHYVAYVRGSRTGTEQQQSSGSSTWFWASDLTIREVPLANVLKCEAYLLFYERIED >KQL00571 pep chromosome:Setaria_italica_v2.0:VI:3093718:3094269:-1 gene:SETIT_014542mg transcript:KQL00571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRKDTWTIVAQLYYLSPHGMRMIQHQQAHSSSSSDCDSSIGGRYEHQCIWERPRIPTLIRERPRRSRANMPKQSTWPASPEIAVTAQEAITSPTTARLRRMNSARARASANTAGTTGARMPTATMLTPARTPAKQSSKPNPSAPELNPALTENSKMARPANIAVSSA >KQL01206 pep chromosome:Setaria_italica_v2.0:VI:10956053:10957025:1 gene:SETIT_014979mg transcript:KQL01206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGCANWDDNTTKIFMDLCIDEKNKLNYNKKGLTKLGWHNLQYKDWRKLKDKSGTGWNNSTRTIDCDDEWWTSRIEENEANKHFRGKAFPFYDELTTLFGTTDKEGSPMLCVGGIGDRTPSSRSEGNPNTAADENEHVVDNPPPKRTKSMEYYVKRISESTMQRTMNERNLISREEEEVMEMLHLVEQDGVPNGLELYFIATELFRSPARRASYRSITAAENRIAWLRWTWDNVKRK >KQL02388 pep chromosome:Setaria_italica_v2.0:VI:32177891:32185022:-1 gene:SETIT_013234mg transcript:KQL02388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHADEETYSNVDLVCALCDNGGEIASCEGRCLRSFHATKDSGDGCATLGYSRQQFNAMKVFMCKNCEYERYQCFACGCLGSAKTNPPEVFPCASATCGYFYHAKCVAQLLFPENEAKSTEYTSKIASGAKFACPLHKCDICKYGENKEVKELQFAVCRRCPKAYHRRCLPRNIALEDITESGQCVFQRAWEDLLPNNRILIYCLKHDIDPKHRTPARNHIKFPEDPAAIKKPAAIKKPLSYVNGMNKKIVKIRRIDELPSAPLPGVKRSSGMVKRSSLGNFMNKRRKVPVSGEKSVVMEKPVVMSKLPFSSFPEIDRYTDMRIYEFAQKTSAAITMEDVQKKLVVPSTHAPHMHNADDITLGKVERSVEAVKAALHMLENGACIEDAKSVCAPSDLFQLAKWKNKLNIFLAPFLHGMRYTSYGRHFTKLDKLQLIVDKLQWYIQSGDTVVDFCCGSNDFSLLLKEKLEGSGKNCFYKNYDLIQPKNDFSFERRDWMTVQPDELPTGCRLIMGLNPPFGFKASLANQFINKALTFKPKLIILIVPKETERLDKKYPPYELIWQDSQQLSGKSFYLPGSLDAENKVMEQWNMSPPPLSLWSRSDWAKRHSEIAKSMGHLPSENAFSGDWQREVADGPSVPTAGHVETDDAEGAGIPPASVLEQLLSDTYHDPTSSLGDYWNDTNGRSRQPCNYETPGRSDPTYAHLLEMGVGSDMSISLSETDCERQDQASSISKHGDTDSQACNAVGSALPEEPAAAADCDEAGGHTAGVQYWRVEDSPILEEGELSDAPTVDRPAAGMQHQLTEDTTPPEVTPEADSPCEQPEESRPAARHNARTLPPRNTFPGLRFRQGCNTSRQFLSQGMGHPAVHQGPSNGWIEDDDY >KQL01994 pep chromosome:Setaria_italica_v2.0:VI:28843181:28845550:-1 gene:SETIT_014892mg transcript:KQL01994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFEGPPSFSDLVDCVMRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACFEIIVEIIRMPDLNVVMREEVAVVNHNGNQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSTGSEDCELEEDGVVGVEDISMVHKAICESSMVNAEGTSIGESLVIKKGMKFNSLEELKFFLVDYAVRLHRPFSIVHSDKNLKYNPKREHVQCTAKYLGRRILGIIRKDSETSVPSLMESIFVFSGYRVKYSKAWRAKQHALVPFAFALAESENNESWSWFMKLVRLHVLGPSRIVCMLSDRHHGLLNCAKDHMDGFPPLVHRWCTRHFAANMSRRQKSNRVIGKLKLLCKIHTEREFCEKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFNEGGMRWGIMTTNYSESLNGVFKGIQSRPIAGIIEYSFEKCNAYFVNRWRNAREMLDQGYRIGKVADDYLSEAELRSVHHLVEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLNEVSCTCNVPQLLHLPCSHFITACKARGLNYESPLYMSPLYSREHTVRIWEYSF >KQL02771 pep chromosome:Setaria_italica_v2.0:VI:34461172:34462808:-1 gene:SETIT_014376mg transcript:KQL02771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASPPESSRLRMGDDIAWSEINGVYDRDDSLKENTNPKCLLKNHPHHNNGSSQRFSGNLKPTAAPIIGLSGKLGGARRQHHYPPAIFPKKAKTGGGGRAPKAAVPEPGSPKVSCIGKVLSDRERARLGRPPRTRGSSRPPGCCGGFGFLMRRSRSRNSAVECVDQSPPPPSSLPPLAEAARRRETKEVEEEEDDDEVPAVAVPGLGGMRRFASGRRAAGWAAEMEDDGRVARSGPL >KQL01522 pep chromosome:Setaria_italica_v2.0:VI:21104454:21108489:1 gene:SETIT_015727mg transcript:KQL01522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQILLLLLILTLIIRLTSSSPPLDPVACSNGMSNCTVTNANGYFPDRSICRAANAIYPRTEQELLAAVAAAAVAKRKVKVATRYSHSFTKLTCPGGRDGTIISTRWLNRTVQIDGKKGLLTVESGMVLSDLMRVAAAAGLCLPNSPYWSAVTVGGLLATGAHGSSLWGKGSAVHEYVVGIRIVTPAPASQGFAVVRELGADHPDLDAVKVSLGVLGVISQPWGARGPSSTTRRPLRCAAAARCCSPWPCVDRYALAEAHATPTDSFEFVVAPLHHLLRCSWSRRGSQCPPSARAAAALRPSEERREEDNGGTDDDARCSAAQQSTVALERQGHGFTNDGVSFTSYPVVGYQHRMQASSACVDSPEDGLQSACFWDPRLRGPSLYNPAFTVALSRAPSFLADVWRLRDLHPSAFCTLHGAMGVQMRYVKASSAYLGKPEDSIAVDIAYYRSRTRGVPRAHADVVDELEQMALRKYGGVPHWGKSRNFAFDGAIARYPKAGEFLEVKDRYDPDGIFSSEWSDQVLGIKGSPIVVGKGCAIEGLCVCSDDSHCAPEQGYFCRPGKVYAEARVCRFEEDNEARIDDEL >KQL01968 pep chromosome:Setaria_italica_v2.0:VI:28540884:28545276:1 gene:SETIT_013613mg transcript:KQL01968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTGVFAEILDGEVYKYYADGEWHVSGSGKSVAIVNPTTRKTQYKVQACTQEEVNKVMDAAKVAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRVLGEGKLLVSDSFPGNERNKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESIADAVVQKVNAKLAKLKVGPPEDDSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTRDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKSTVINLPSPSYTMG >KQL01969 pep chromosome:Setaria_italica_v2.0:VI:28540884:28545276:1 gene:SETIT_013613mg transcript:KQL01969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAGTGVFAEILDGEVYKYYADGEWHVSGSGKSVAIVNPTTRKTQYKVQACTQEEVNKVMDAAKVAQKAWARTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVSEVVRSGDLVSYTAEEGVRVLGEGKLLVSDSFPGNERNKYCLSSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMVPLQMELGGKDACIVLEDADLDLVAANIVKGGFSYSGQRCTAVKVVLIMESIADAVVQKVNAKLAKLKVGPPEDDSDITPVVTESSANFIEGLVMDAKEKGATFCQEYRREGNLIWPLLLDHVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGMCFHKRHQQGNPHQ >KQL02609 pep chromosome:Setaria_italica_v2.0:VI:33529513:33532682:-1 gene:SETIT_015144mg transcript:KQL02609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKTPPKGELEGSPRKAKVPRLDLPALAAAASAPEASNLVEEGAEGTSGNNRCNHVLTFSARTRLSSSLLSKNDAGKCVGCRREDAKGRRYRKQRPEESSILMCLECGRHLCCGVGGIEYPFGHSRAHAMKKRHWVAALYDDAEKGYCFKCNAEVGMPAEFEVDGRVIGMDVIRDVVSSLPKRVPRLRCGVMEMTGQIQAEGRASGFGVVPGPISGLPNLRDRRHNHEFGSANTQGYAIKGIPNIANTCYMNAILQCLLALDKLRARLLEPDDKGLCALALKELYEETTSAAGGLLNPQKILACVCMQNRDFIGGLMHDSHELLSSLCNGLNEEEMAIKNFERKTTAPTFINSIFGFELSQILSCKCGTKSVTHAFFYDLELPMPSVGHPTKSVASPQTSESLKSRQMNIAVQLFPAHEQSNLDKMQTVAESGDFHLLGSELKEVILEETPKPLEVDFTEAQRICQSKDAVHSPLQTQENEVSRFELPQRIIEVPVKSVSFLPHNVSDVKVEEMNEMTADSIGSIEDCLLLFHEGLICWRCDNCAKEQKQASANQSKNGEQMVGSANEDTKVVGDQTEQSDRTVRSNEKSSGLSNLSVECKSSSNRQPHASDEQSQIIQTVDRITEGTSSGMSCGEKDSTARSITSKEHELQHNKFRANNSEVYQDMTEQLAMDHSSYQLKDKKNEQEDNVGDGIQTNLFKKLPPVLTLQLKRAFTCYEVRLKMSEHVRFKEYLDVGRFMDPRY >KQL00607 pep chromosome:Setaria_italica_v2.0:VI:3425507:3429803:-1 gene:SETIT_015060mg transcript:KQL00607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYCRYLVVVDDVWDVEAWKSIRFSLFNNRCGSRIIVTTRNVAVASWSVSDGGYVYQMEALSFADSLRLFCKRAFGSEELRYPHLKEVYEWCRVLTGIGRELAKDPNAGNMTSILSLSYFDLHYLRTCFLYLSVFPEDFRIGKQRLINRWIAEGFIYEDHGRSVYEIGESYFSDLANRSLIQPVDITYGEAQAYRVHDIILDFITSKATEENFVTPPLHAAEHGKISDYSVRRLFVDARNGNNVTIPSSLIISKARSLTIFGYPLQTSLLASRALRALDLGDCWGLKDHHLANIEKLFNLRYLCLGSKSITELPWKIGDMQCLETLDLRHLPPRLSPCERHGRRFVTSGLRRGGVRGPRPVAHRSGGSPDPTLPWADPASLPLDPPPPTHLVKRARKDGSSPGEDGGAGVRRRQWPSAPLAQVAEEERHGRAEDSGHERRGDGCHAGGGARRWGVSGEGEGGHGDLPSTVTKLQQLARLYVDSSIRFPVGMIVQMKSLKELAEFEVHPYNVEKSLHEFSQLAKLKTLVICWNLYCSFNLEGRKQLEDLLSLQKLHITFCFIHRVQIWMSSLGNLKELELSIYCIGPEDLEILGAIPSLVSLELVTLCGTNGRIVFSGNNGFRNLKYFSLYIMSCGTATEFEAGSMPKVEHLKLKFFVHKMECLNGVSNFGIQHLSTLTKVEVFTRDDDAWDIYDNQIVESVAKDIKAAVETLNNRPTIVFETEDDDNYCIHFKSVSSLSELQALINYFTLSVASFLLILPWRP >KQL00723 pep chromosome:Setaria_italica_v2.0:VI:4366575:4367138:-1 gene:SETIT_016049mg transcript:KQL00723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCAMDMKGTVWLIYLFKLFAYFILTLILILTHHLATKHT >KQL02147 pep chromosome:Setaria_italica_v2.0:VI:30294259:30295896:1 gene:SETIT_013775mg transcript:KQL02147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSGFILLLVAILASSGTTGNASFDLRAVLNHPYAGRPVSKYEMIREAVSASKARRAWNAARVAKACGRGGGGGGGTISSPDMPVRPLGKSIYTLTADVGTPPQRHTLVIDTGSDLVWVQCKLFGGGATPTDPLYDPDRSSSFAAVPCDGKLCREGEFESKNCSRNKCLYTYGYGSGRAVGELASETFTFGVRRKVPVTLDFGCGTFLEGDIFTASGFLGLSPDKLSFVSQLKIPRFSYCLTPYTDRKSGHMFFGAMADLSKYRTTAPIQTISFLNNRIGSNLYYFLPLIGVSVGTKKLNVPASSFDRTFIDSGYTTGALTAAARDALKGALVDALKLPRRNASDPDFDFCFQLPHGVPMEAVQTPPLLYHFEGGATMVLHRESYLAEPSPGEMCLVIGVDTQPVLGNLQQQNMHVLFDVQNQKFSFAPTQCDQI >KQL00616 pep chromosome:Setaria_italica_v2.0:VI:3472641:3475580:-1 gene:SETIT_014070mg transcript:KQL00616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVDPSIAAQAEAAARRSEEAAEAFFRAAPPLRDRDRVAASLNDFVARHSAGSGGVGGPAGVICITSGGTTVPLEQRCVRYIDNFSSGQRGAAATEYFLKAGYAVIFIHRRGSKQPYCRFLPEDSFLDLFELGEDSEIQVPQSHSAVVKAAISNYRKAIHEGLLLKLPFTTIFEYLQLLQMVATSMNCLGHRGMFFLAAAVSDFYVPWESMAKHKIESAVGPLNMQLNQVPKMLFILRKNWAPSAFCVSFKLETDPNILLQKAEMALKKYGMNVVVANELANYKDVVVMVTSSGRTTVSRSSKEEDLEKQLTELLVKMHSEHITQPSS >KQL00869 pep chromosome:Setaria_italica_v2.0:VI:5802962:5804658:1 gene:SETIT_013579mg transcript:KQL00869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDTNPAAFSVFGDDAAGFQPLNAEDVRSYLHKAVDFISDYYKSLESLPVLPDVKPGYLQNELQAAPPTSSAPFDVAMKELRASVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQANPAATEMEVLALDWLAQLLRLPASFMNRTAAGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSEGVAGLPRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPAKLLEVMEADVAAGLVPTYICATVGTTSSNAVDPVGAIADAAALFNAWVHVDAAYAGSACICPEFRHHLAGVERVDSISMSPHKWLLTCLDCTCLWVRDTHRLTDSLETNPEYLKNDASDSGAVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLQEHIRSDVAMAKMFEENVRSDDRFEVVVPRNFALVCFRIKPHGGMTEEDADEANHELMERLNRTGKAYLAHTVVGGKFVLRFAVGSSLQEERHVRSAWELIKKTTAEIMQD >KQL01629 pep chromosome:Setaria_italica_v2.0:VI:23660756:23661283:1 gene:SETIT_015433mg transcript:KQL01629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTDADPALFDVVYHGDHTCRPSSAASAAAARRAPHPQHNPHAQAALQGLAARLTVATATDNAMISGAAPALPPMTPESFPARGASSPWSLAASPVGSDYSNGCPHGVSPCPVPGYNADWGSDGGDLQEAVSAFVAASSGAAHLPALDNDEFMSLECFNFDQSFDMGGAMPSLFYP >KQL01952 pep chromosome:Setaria_italica_v2.0:VI:28432836:28433093:-1 gene:SETIT_015460mg transcript:KQL01952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLAKRLLTILLCLLLLAHQQKAYGLKGVSLFLRQGNKPRMLAERTVANLHNKGYPAKRASSVDPNRMSDRRVRRGSDPIHNRC >KQL01410 pep chromosome:Setaria_italica_v2.0:VI:19076455:19076824:1 gene:SETIT_015910mg transcript:KQL01410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGGGSNPGFFSFFFYLMSHNVLLGCGGY >KQL01723 pep chromosome:Setaria_italica_v2.0:VI:25200349:25202200:1 gene:SETIT_0135401mg transcript:KQL01723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGFSTLVKSLRSRCIELLTEIEARLDFEDEMPPLDPVMLISKINSMRQEVQDALDTSNYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDVVEANVSIHGIPVTLLDTAGIRETDDIVEKIGVERSEAAALGADLIIMAISAVDGWTDDDTMLVEHVLINKKSSGSAVPMVLVINKVDCAPFVSGEQFERYRGLFRKHVQTCAVTGKGISELESAVVEVRGIEHVPSGGRRWTVNQRQFEQLLRTKEAFTRLESSINEQLPMDFWTIDLREAALALATISGEDISEEVLSSIFSKFCIGK >KQL00212 pep chromosome:Setaria_italica_v2.0:VI:558676:559659:-1 gene:SETIT_015197mg transcript:KQL00212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNITHNSSSSSSWDLDMSLGSHHHPLLFDSHPNPAPPPPPPLPFHLVSTSSHHHGHHPPPHPPHHHHHLGLDPSPSSSLFPPHHHRLHHHLGLDIDPSSHHRHHHEYEQQEPGGHEQQEEMRQHEAGVAQEERGGAGVEDVEEELGAMKEMMYRIAAMQPVDIDPATIKKPRRRNVRISEDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIRYIKFLKRQVQELQHQPPTPTQQQYPAAAAAGAGAGPSTSVVGPRPGGPPFLPLGPGPLIDWAGFVRPVDIHGPTSSSSSSSMGGAHAALGFGFSSAGQSSHGMH >KQL01770 pep chromosome:Setaria_italica_v2.0:VI:25807911:25810704:1 gene:SETIT_015422mg transcript:KQL01770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PVKAEADTPHALPAETRLDPPPHDAAANASRLRGAEHLTCLQPPSTDASSASAVYLQIRRREVERRRSGRRRKKMSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLAAVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFVDIVETVYRGARKGRGLVIAPKDYSTKYRY >KQL01816 pep chromosome:Setaria_italica_v2.0:VI:26661718:26663665:-1 gene:SETIT_015135mg transcript:KQL01816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVNKKRVAIVGAGPSGLTACKHVLAKGFRPVVFEAADAVGGVWTRTLASTRLQTPAAAFRFSDFPWPADVEDDEFPRNDQVAAYMAAYARQFGVPECVRFGSRVLGAEYAGASEQEVAAWERWSGNGEAFGDGTGEWHLTVKHGECEEAQTYKFDFLILCVGRYAVAKHPKFPHEAGPEVFHGQVLHSMDFSRMPHADADELIRGKRVVVVGAGKSGIDIVAQCAEANGSKYPCTLVYRTANWTMDPNLKWGAFFEKMMTSRLAELMVHKPGEGFVLSLLATVLTPIRWLIARATETYYKALMPMRKHGMVPDHSFSAAMLSWRFSVLPDRFYDAVDEGGIVLKRCDSFSFGTGGVVLDGTGERVDADVVILATGFDADRLLSGVFASPWFREIIVAEPSDTMLPLYRHCVHPRIPQMAVVGYAESAASIYPYEMMAKWVAHLLDGTVRLPSVAAMERSVAEWESWGQWAKRRSGGFFPKSSVATATTWYHDQLCRDMGYSPRRKRGEGFLADWLQPYGPTDYAGIQ >KQL02334 pep chromosome:Setaria_italica_v2.0:VI:31832810:31833253:1 gene:SETIT_0150321mg transcript:KQL02334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGPAAQQQLQIFWNEQYREIEATTDFKNHNLPLARIKKIMKADEDVRMIAAEAPVVFARACEMFILELTHRGWAHAEENKRRTLQKSDIAAAVARTEVFDFLVDIVPRDEAKDAEAAAAAGMGAGIPHPAAGMPATDPMGYYYVQPQ >KQL00898 pep chromosome:Setaria_italica_v2.0:VI:6145755:6147729:1 gene:SETIT_015715mg transcript:KQL00898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLPKEIQNLVLSLLSLKEAARTSLVSRSWRKLWTRYPNLCFDGSKDGSTDVDSVKIERPKFIETVNSIIQQHSGIGLDKFSVRYSLRMDSDILNRWICFATGSKANIINMNLRPKGNYVGPTKQVHHFPLEALGAQGRPFIRCLFLTNVSIKPHSDICGFTKLRSLHLHCIQIIGDLSGLLLSCSILEDLELIACSGMIRFNVPNLSRFGYKGTAIPIVLHGCSKLQKATLTFHPTWLEQDNNKVLGHVFHGIPSVSAVKMLHVHANMHTNLPVWSSQVHTSTTRPACMFLNLKHLTYEILIFTKAPNRHSGILQLSRSLLLMMHFVPVAFGHCWHGEGVSYHMRRHDHLKTVYMSGFRCYRAQVELLCGILEMGAVLEHVTIEPMVRIPCSPGSVNLGIPQGEICEWAHRTQNGL >KQL00562 pep chromosome:Setaria_italica_v2.0:VI:3018231:3023315:-1 gene:SETIT_013285mg transcript:KQL00562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPARRMPAMIGRNGVAYGSSSALSLNQTDLLDSHHLQQAFQQQLFDQIPAGAVDSGDNIIHGRSDTLADEFESKSCSENPDGTSGDDGQEDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENAQLRADNDKLRAENLRYKEALSTASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDVVGAFGVQPSGLGADHLFGVGASTGELLRSVSTGQLDADKPMIVELAVAAMDELLRMARLDAPLWGASAAGAQLDEEEYGRMFPGGLGLRQYGLRPEASRDGAVVIMTRDSLVEILMDANRFAAVFSSIVSRASTHEVLSTGVAGSYNGALQVMSMEFQVPSPLVPTRESYFARYCKNNADGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHILYRPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPPGAAPHGESGSLEAGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVDRIKAAVCAEGNPQQPSI >KQL00561 pep chromosome:Setaria_italica_v2.0:VI:3018231:3023684:-1 gene:SETIT_013285mg transcript:KQL00561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPARRMPAMIGRNGVAYGSSSALSLNQTDLLDSHHLQQAFQQQLFDQIPAGAVDSGDNIIHGRSDTLADEFESKSCSENPDGTSGDDGQEDPNQRPNKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENAQLRADNDKLRAENLRYKEALSTASCPSCGGPAALGEMSFDEHHLRLENARLRDEIDRISGIAAKHVGKPMVSFPVLSSPLAAAAARSPLDVVGAFGVQPSGLGADHLFGVGASTGELLRSVSTGQLDADKPMIVELAVAAMDELLRMARLDAPLWGASAAGAQLDEEEYGRMFPGGLGLRQYGLRPEASRDGAVVIMTRDSLVEILMDANRFAAVFSSIVSRASTHEVLSTGVAGSYNGALQVMSMEFQVPSPLVPTRESYFARYCKNNADGTWAVVDVSLDSLRPSPVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHILYRPLVNSGLAFGAKRWVGTLDRQCERLASAMASNIPNGDLGVITSIEGRKSMLKLAERMVASFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDETSRSEWDILSNGGAVQEMAHIANGRDHGNCVSLLRVNSANSNQSNMLILQESCTDASGSYVVYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPPGAAPHGESGSLEAGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVDRIKAAVCAEGNPQQPSI >KQL01192 pep chromosome:Setaria_italica_v2.0:VI:10746562:10747585:-1 gene:SETIT_014965mg transcript:KQL01192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSRVFMAANRQQPSLETLPMKLLTVIAIHLVATSDQPMEDLGRLQATCTVMRRVCGQCAVVRHVALLRCWEEVQWNQPSRYYSLLRLLVDVGNPEASLLTGIPDFFGGY >KQL00663 pep chromosome:Setaria_italica_v2.0:VI:3855740:3856436:1 gene:SETIT_015268mg transcript:KQL00663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSKFGFSVAKQQRRGASVAARAVINGEAIVGSSSAAEDAAERRLGRRRNASPRRRCCCEDDGGGRTRDASARLIWSPPSTAAMRTSGFAIDARRGALSLLDTGACVCVEAANEAAGWPLCRAQRKDPGCVVGEHAHDGARLRGGAGWGAADGVPPFG >KQL02735 pep chromosome:Setaria_italica_v2.0:VI:34269444:34271305:-1 gene:SETIT_015071mg transcript:KQL02735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILKKCNGLPLAIVTIRGFLAKQPKVAVEWRKLNEHISAELEMNPEVGAIKTILGKSYDGLPYHLKSCFLYTSVFPEDHKISRRCLIRRWSAEGYSREIRDKSPEEVADNYFMELIERSMILPSQLSVNSRKGIDSCQVHDLMREISISKSIEENLVFRMEEGCSSNTQGTVRHLVISTNWEADKSEFENKVDLSRIRSLTVFGKWRSFFISDKMRFLRVLDLEGTSGLVNHHVEYIGKLLHLRYLSLRGCDYIFHVSDSLGNLKQLETLDISKTSIFKLPKTITKLKKLQYLCVGAVGKDDDSLNAFEELPKVVLGPCICMGWLLGFCMACCAPQLLKEVMDVDGDMNRCDVCTQCCCVMLPLLMAKEGPTWMPRGIGKLKSLRTLGLVNLAWDKAILRDIKRLTQLRKLAVAGINQENGQELCSVVANLSYLESLLVQARGMPGLHGCLDGWVEGLKNLVKLVLRSSRILEHEPALQVLGKLPNLVSLRLGAKSFQVDDLRFTFHPEAFPSLIVLELNDIDGLKSVEFEEGAMLRLERLDFRGKLEETNTGMFSGLPLLRSLKEFMLDSKTYEHAFMEDLQGQLGANPNGPALKRS >KQL00805 pep chromosome:Setaria_italica_v2.0:VI:5203722:5206692:-1 gene:SETIT_014337mg transcript:KQL00805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAPPAPAPAPDPGSCLEVRLFYVRLSPHGGAAPPPRLALELRPAGGEGPAPAIPLPLRLDRHDAASGEATYVSTAAARLAPPAAAFEVADHRGAALLRGSLRRRPDAAKADSPPAWEIDCVPAAGAAASASAFEVYVAGCCAGEPAVLTGALRLATPEEAAGGLVRRRPGALAAAGDEGDNDMNTSSMQYPEGWYSEDDDGQLSWFNAGVRVGVGIGLGVCVGVGIGVGLLMRSYQATTRNLKRRFF >KQL00846 pep chromosome:Setaria_italica_v2.0:VI:5639741:5643519:-1 gene:SETIT_013663mg transcript:KQL00846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKNWGFGSGPARPFGDRRWLLPFLASLLVTATLLLAAACGLFAPPYPGGGGDAVLFDVASFAGWDDGSSSGDGARSVESGIKDRVLGDNAAASDDENPDDAAVNSDDSDAEPPRIAYLLEGTKGDGLRMRRTLQAIYHPRNQYILHLDLEAPPRERIDLAMYVKGDPMFSQVGNVRVIAKGNLVTYKGPTMVACTLHAVAILLKEGLEWDWFINLSASDYPLMTQDDILHVFSSLPRNLNFIEHFQLSGWKVNIRAKPIVLDPGLYLSKKFDLTTTTERRELPTSFKLYTGSAWIMLTKSFLEYCIWGWDNLPRNLLMYYVNFISSPEGYFQTVICNSADFRGTAVSHDLHYIAWDYPPKQHPLILSMKDFNKMVKSGAPFARKFPKDDKVLDKIDRELLHRSEGRFTPGAWCDGSSDGGADPCLSRGEDSVFEPGPGAERLRGLMKKVLSWDYRNGSCSSLAYDQTKRDWYVPKSKG >KQL00328 pep chromosome:Setaria_italica_v2.0:VI:1414874:1416010:-1 gene:SETIT_015153mg transcript:KQL00328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCYSTGSSRRAETSEPALPHEAAPAEELSSYEAACRSDPELRTFDTTLQRCTSRAISTLAVGVEVRSLSLEYSLREVTDCLLDTNQNGLRVILDCKKDIWKSPELFDLIEEYFESSVHTLDFCTALDKCLKRARDSQLLLHVALQRFDDEEYAAAPSARYARTLHELRQFKAAGDPFTEEFFAAFQAVYRQQLTMLKKLQRRKHRLDKKIKTIKVWRRVSSIIFATTFAAVLICSVVAAAIAALPVAAALAAAAAVPQGSMRKWIDSLLKGYQDALRGQEEVVSAMQVAKFIGIKDLDSIRVLINRVEVEISSMIDCVEFAERDEEAVKFGVEEIKKKLENFMKSVEDLGEQADRCSRDIRRARTVVLQRIIRNPN >KQL00651 pep chromosome:Setaria_italica_v2.0:VI:3747175:3750325:-1 gene:SETIT_015022mg transcript:KQL00651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPHAVVVPYPGSGNINPALQLAQLLRCGGVFITFVVTEHNLRRAEAAGGGGDGAREGFRIETIPDGLLDADRGAQDYDLGLSRATVHHGAAPLRDLVARLRVGGGDVPPVTCVLPTALMSFALEVARTLASPACAAALMGHMRLRELKERGYLPLKDESSLTNGYLEKTIIDWIPGMPPISLGDVSSFVRTTDPDDFGLWFNITEANNCTKAGALIINTFDALEPDVLAALRAEYPRIYTVGPLGTLLRHRHDGDGDEDAGGDDSDSIDLSLWKHDTECLAWLDAQDPGSVVYANFGSLTVLTAAQLAEFAWGLAATSRPFLWVVREDLVPGASGGPAALPPAFLAGDGGAGSPGDVERVLRHPAVGCFVTHNGWNSTCEGLAAGVPMVCWPVFADQFTICKYACEVWGVGLRLDAEVRREQVAGHVDGVMESEGIRRSAARWKAEAEATAGRGGSSHENLLSLVKALGASPRSTPSPRHERSGISFVGLI >KQL01447 pep chromosome:Setaria_italica_v2.0:VI:19823490:19827962:-1 gene:SETIT_013709mg transcript:KQL01447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGEGASLPSPAGGEDAHRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASDADIRRFHSDEYVAFLASATGNPGMLDPRAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITINWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAAEGKHYALNVPLSDGIDDATFRDLFQCIMKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSYNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYTLHIQPKSVENTNTTKDLENIKNMILENLSRIEHVPSTQFHDRPSDPEAPEEKEEDMDKRPPQRSRLWSGGAYDSDTEDPDNVKSEGGTE >KQL01448 pep chromosome:Setaria_italica_v2.0:VI:19823864:19827917:-1 gene:SETIT_013709mg transcript:KQL01448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGEGASLPSPAGGEDAHRRRVSYFYEPSIGDYYYGQGHPMKPHRIRMAHSLVVHYGLHRLLELSRPYPASDADIRRFHSDEYVAFLASATGNPGMLDPRAVKRFNVGEDCPVFDGLFPFCQASAGGSIGAAVKLNRGDADITINWAGGLHHAKKSEASGFCYVNDIVLAILELLKFHRRVLYVDIDVHHGDGVEEAFFTTNRVMTVSFHKYGDFFPGTGHITDVGAAEGKHYALNVPLSDGIDDATFRDLFQCIMKKVMEVYQPDVVVLQCGADSLAGDRLGCFNLSVKGHADCLRFLRSYNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNDYYEYFGPDYTLHIQPKSVENTNTTKDLENIKNMILENLSRIEHVPSTQFHDRPSDPEAPEEKEEDMDKRPPQRSRLWSGGAYDSDTEDPDNVKSEGNDLIANSHMKEEPNDGL >KQL00168 pep chromosome:Setaria_italica_v2.0:VI:305325:308452:1 gene:SETIT_013617mg transcript:KQL00168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGDLDGAALILSLPEDVLALISARLCTRDLLALSAASRRLRHALYGGGADKAWLAQCRRLLPSPPHLLAWRAAAGGSSLAVCRFLHSAAPLLGALWAHQNPELGNLVAAVPGFLSVVAARAIPQELSPRLRWAPVFELLADAHGRPAILFLHGHQPADLFPARLSSLQPHANVLFLEAQTDQDPIAASSSHQFPRLAFGDRRRLLDSLVDACRVTLPPDLVAAPLFARSEEDLPVLAARREAMLRLHREAGGGMVRTPEVQGLLLEAKKKAAPSSPSDGGERIRLRRSLSAVAVAVRNGLRQMLTVQPPVPGREYSGLWGGTFGWPPGRPEDECKPRKALFFLLLSYEEDSEGKPLLIATKVLEGTHYVVHPNGSSMFVARMGEPSTEAFPWQTDGESRNVDVERGFAGEGIATGYGFRYPGSKPGSLFVLQDGQLAFVWKETGAVLTLKRLNLEELLKKGERVPALQPVPNFAYLTKSYSNVFTGFPGSSASPR >KQL00365 pep chromosome:Setaria_italica_v2.0:VI:1624566:1625492:-1 gene:SETIT_015231mg transcript:KQL00365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGANFPGFIGAVGGHDGGVNFGGGFCDMAFYQKLGEGSNMSVDSLNSLQTSMHGGSIAMSVDNSSVGSNSDSRTGMLGHPGLKGPVVVGSYSVGNSIFRPGRVSHALSEDALAQALMDNRFPTETLQNYEEWTIDLGKLHLGMPFAQGAFGKLYRGTYNGMDVAIKLLERPEADPEKAQLLEQQFVQEVMMLSTLRHPNIVKFIGACRKPMVWCIVTEYAKGGSLKNFLSRRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISGDKSIKIADFGVARIEVKTEGMTPETGTYRWMA >KQL00996 pep chromosome:Setaria_italica_v2.0:VI:7259616:7260124:-1 gene:SETIT_015838mg transcript:KQL00996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHEFKQMQKRKWLNKDNKETQNCVVSTVSEGTLWCAAGAKELKGLLKGVA >KQL02161 pep chromosome:Setaria_italica_v2.0:VI:30489185:30494070:-1 gene:SETIT_013718mg transcript:KQL02161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPWHSLLSPTAPPATEARSPLHPPNRSLHSSLRSPPPRSVAARAAPTLSATATAVSSSPPCPKKKVLVPIAMGTEEMEAVILAGVLRRAGADVTLASVEDGLEVEASCGSRIVADTHIASCADQVFDLVALPGGMPGSVRLRDSDILQRIMVRQAEEKRLYGAICAAPAVVLMPWGLHKRKKITCHPSFIGYLPTFRAVESNVQVSGELTTSRGPGTSFQFALSFVEQMFGPHAAEDVDRVLMTQIDGDLERSTEVNELEWSVDHNPHVLIPIANGSEEMEIIILVDVLRRAKINVVLASVEKSPNIVGSQRMKIVADKSIMSASDSNYDLIILPGGTAGAEWLHRSRILKKLLKEQKQAGRMYGGICSSLKILQQQGLLEDKIVTAHPDVANELTCQVIDQSKIVIDGNLITGKGIGTAVDFALAIIRKFFGHGRAKSVANGIVFEYPKS >KQL02199 pep chromosome:Setaria_italica_v2.0:VI:30842955:30845619:-1 gene:SETIT_013866mg transcript:KQL02199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSSAGGAPQINPEKVKLVTVLSIDGGGVRGIIPAVVLAFLEEKLQELDGPDARIADYFDVIAGTSTGGLLTTMLAAPGKNGRPLFNAKDLAQFYIDHSPKIFPQKNWILSKIFGTLRMVRGPKYDGKYLHALLGQYLGDMKLDKALTNVIIPTFDIAFLQPTIFSSFELKHRPSKNALLSDIAISTSAAPTFFPAHYFETKDEKGNTRAFNLVDGGVAANNPTLCAMSQVTKDIVLGNDDFFPVKPVDYGKFMVVSIGCGSNRNRKYSAKAAAKWGIFNWLIKDGTAPIIDMFNSASGDMVDIHLCVLFRALRSSRNYLRIQYDQLTGSAGSIDDCSKENMDKLVKIGKDLLGQTVSRVDLETGRNVDVPGEGTNAEQLAKFAKQLSEERRRRQKLSN >KQL02794 pep chromosome:Setaria_italica_v2.0:VI:34587109:34587888:-1 gene:SETIT_015026mg transcript:KQL02794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELVVCPASTACSSPSPASFFSTAGHHQQQQQELDFVSSEVLEQWLAIDDCMDDETLMDGAAWGIEGSRSPGNDLSGKPPAPAPKRRGRKPGPGTSGLALTHVEAERQRRDKLNRRFYELRAAVPTVSRMDKASLLADAAAYITQLRGRVEQLEAEAKQAAASAVAAAATAAAPAQEKLEVRMVGQEAAALRLTTAARHAPARLMLALRSLDLPVQHACVCRVGSVTVQDAVVDVPAAALRDERRLRAALLNRLQQSG >KQL01168 pep chromosome:Setaria_italica_v2.0:VI:10096516:10097636:1 gene:SETIT_014902mg transcript:KQL01168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPKAFLLAILGCAFLFSGALAARALSDDSAMVARHEQWMAQYSRVYKDAAEKARRFEVFNANVKFIESFNAAGNRKFWLGVNQFADLTNDEFRATKTNKGFKHSPMKVPTGFRYENVSIDALPATIDWRSKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESGYPYTAADGKCKSGSNSAATIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKASDGTNYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPTK >KQL02721 pep chromosome:Setaria_italica_v2.0:VI:34164786:34165227:1 gene:SETIT_015884mg transcript:KQL02721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFSRIISSAKWPRQDTMCILLHANSTTNNLPLE >KQL00199 pep chromosome:Setaria_italica_v2.0:VI:486238:490183:1 gene:SETIT_013629mg transcript:KQL00199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMAHAKPTAHETLPPPLRLLVLLALLAASYLALTRIPAAAPLSALLIGRQPLPPPLSAPPAPLLSSKSSSCAGFYAGAGPARAVAASVEEFGAVGDGVTSNTAAFRRAVADLEERARGVGGGGGGARLEVPPGRWLTGSFNLTSRFTLFLHRGAVILGSQDPEEWPLIAPLPSYGRGRERLGPRHISLIHGEGLNDVVVTGNNGTIDGQGGMWWELWWNRTLNHTRGHLVELVNSSNILISNITLRNSPFWTVHPVYCSNVVMKDLTILAPLNAPNTDGIDPDSSSEVCIEDCYIESGDDLVAIKSGWDQYGISVGKPSTNIVIQRVSGTTPTCSGVGFGSEMSGGISNVLVRDLHVWSSAQAVRLKTDIGRGGYITNITIVNVTMEKVKVPIRFSRGADDHSDDNYDRTALPRISNVLISDIVGVDLQRAPMLEAVAGAVYEGICFRNVSLRGIRRQDRWHCESVYGEAHGVFPAPCEEFRSNGSSSWCGFS >KQL01110 pep chromosome:Setaria_italica_v2.0:VI:8423554:8429321:1 gene:SETIT_013341mg transcript:KQL01110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSATSGAMELVAALLRGRVPPELMGGEGAEGRALVATLAAAVLGAALFVLWRRAAAGKKRKREVAVAEAAQVKARGAKGAADEAFADDGRKKVTVFFGTQTGTAEGFAKSLAEEAKARYDKAIFKVADLEDYAAEDEEYEEKLKKEKLALFFVATYGDGEPTDNAARFYKWFTEGNERGVWLSDLEYAVFGLGNRQYEHFNKVAKVVDELLTEQGGKRLVPVGLGDDDQCIEDDFNAWKEALWPELDRLLRDENDVSTGTTYTAAISEYRVEFMKPEEAAHLEKNFSLANGHAVHDAQHPCRANVAVRRELHSPASDRSCTHLEFDIAGSGLMYETGDHVGVYTENCPDVVEEAERLLGYSPETFFTIHADNEDGTPLGGGSLAPPFPSPITVRNALARYADLLNSPKKSSLLALAAHASDLAEADRLRFLASPAGKDEYAQWVVANQRSLLEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPSMAPTRIHVTCALVNETTPAGRVHKGVCSTWIKNAVPSEESKDCSWAPIFVRQSNFKLPADPSVPIIMIGPGTGLAPFRGFLQERLAQKESGVELGRSVFFFGCRNSKMDFIYEDELNNFLEQGALSELVLAFSRQGPTKEYVQHKMAQKASEIWDMISQGAYIYVCGDAKGMAKDVHKVLHTIVQEQGSLDSSKAESFVKNLHTEGRYLRDVW >KQL03046 pep chromosome:Setaria_italica_v2.0:VI:35941916:35942587:-1 gene:SETIT_014739mg transcript:KQL03046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSALGKRDGGDGILAALSRSQLAAHGREAATMAKKLLRSTGKAAWIAGTTFLVLVVPLIIEMDREQQLNDLELQQQTLLGGPPAPIK >KQL00935 pep chromosome:Setaria_italica_v2.0:VI:6596359:6601220:1 gene:SETIT_0133861mg transcript:KQL00935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACQKLGSRADVFRKQGQEWYCTSGLPSDISVVVGEQSFHLHKFPLLSKSDLLERCIREKIDKGEDSWVIDLSDIPGGAKAFELAAKFCYGVKFEMTASNVVHLRCAADYLEMTEEMSEGNLIAQTENFLTQTVLRSWKDSVKALQTCDDVLDIAERLQIIKRCVDSIATRSCSDPDLFGWPVAQYGGPMQSPGGSLLWNGISTGARPRNSSPDWWYDDVSCLSLPLYKKLISAMEYRGISQEIIVGSLNHYAKRRLPGLNRRKSISDVSNCLSMTSLTSIPSEDDQKYLLEEIDRLLPFQRGVTSCKLLFGLLRTAIFLKASPSCLSNLERRIGMQLDKASLEDLLIPNISESVETLYDVDCVQRIVDHFLAMDQETGGASPGLGEDGQILASPSLMPITMVAKLIDGYLAEVAPDENLKLPKFRSLAAAIPDYARPIDDGLYRAIDIYLKAHPYLSESDKEELCRVMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRSSIAECLMISEPLDGGISRQLGGMPVSGEHHRGGGAGWPLAARENQTLREGMDSMKQRVAELEKECSTMRQDIERLGRSKSVGKNRFPFALTTKPQVCSTKDKDTAPETSKTPATESEDKVAVVKGGAGGEGAPQLKLRKHKMNLSTC >KQL02158 pep chromosome:Setaria_italica_v2.0:VI:30475735:30480057:1 gene:SETIT_013432mg transcript:KQL02158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVGDGGGRGGGGGGGEGAVVSGRRGAVEEEGEGEASGCSASASSTSRGSSARGSSGGDSPLTRFVRRGGRLGTGPEPDERLTSSSSYGSTEPHDEEDDGSLQGGKDNRWVRARLQGQAKNAVPRPTGECQDQRHRLGAVLFQGRKDRAQRPASVDFGCSGVAKSSTHSPGFPVNGVRVMNKGLGVSYSSYSRPDVLSSPGTPSYHRRGTTIVGYQQGPNSERVIPPSARHRRHPGSSMVLPYSSGRTLPSKWEDAERWIFSPNPSNALGRSVPQLWRPKSKSGPLGPTGRFGGPYSSSSSSALFLESGRVGNLTVNSPYLAGVLLPEHVCAGVMDSGRDLSGASGEDSSNGRGGRSGQTNGRYPAMQSTRASQQFGSATESYQSLPTSHESIHDGQIESIKDSAASSTPVIVRKDVATQTSPDISRSSSSNMRSSFSRSLSAQQVKELESCFSKLEIRDVQVDDRVTLTRWSKKHVTRGFDKNATNIIEWKKKTMESKSSAWEVKETAKCISKMEGEEAKMTAWENMQKANAEAAIQKLVIKLEKKRPYSLERIFSTLRSGPRKMQVLRSTSTANHDQHISRTIKTAPHLSKNGQMSSLSGCFTCHAF >KQL02612 pep chromosome:Setaria_italica_v2.0:VI:33539784:33541222:-1 gene:SETIT_014790mg transcript:KQL02612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQQRGGRRGDDPGLLTRAVDKVFRFVRLAEFEILFVLFFLVAFLLFKDLMSRPEYNQIFVKKPDLGDPWP >KQL02923 pep chromosome:Setaria_italica_v2.0:VI:35291368:35294972:-1 gene:SETIT_014143mg transcript:KQL02923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRGINQLLRRTLHNQSSGSSLLSSLRGKHEESSAGLRALALLGVGASGLLSFATIASADEAEHGLAAPDYPWPHAGIMSSYDHASIRRGHQVYTQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVQIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGVKWIFLLSLALLQAAYYRRMKWSVLKSRKLVLDVVN >KQL00253 pep chromosome:Setaria_italica_v2.0:VI:803310:804796:1 gene:SETIT_015005mg transcript:KQL00253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTKRMRARRTGCRCALEVDGDGVDASLRRDLRGGWSEGRLGYLAGGFAFMDQVEEAMLLFRKMIESAVVPNDVTVLTMLSLGARFGHLCHGREMHCYILKHGLTGSNLLQNSLVDMYSKSRQMAAAHRVFDQMQCQDRHAYTSLILGYGMQREGLVSLELFDKMIANNIKVDHVTMVAVLSACSHSGLVTQGQLRFAEMIDVFCIAPRVEHFSCMVDLYCREGLLKMAEEMINRMPFQPTAAMLATLIEACGIHGKTEIGDRAAKRLLAMRTNNPGHYKLIANMYISAKRWPELAKVRSLMSGMELNMIPTHSLLESEYGECPVEQDYCLNRSMPGCLSDDMTDTDFSSSEEVKFNEAFGG >KQL01392 pep chromosome:Setaria_italica_v2.0:VI:18636593:18638316:1 gene:SETIT_014897mg transcript:KQL01392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQANFLLVVATLGKGGIGPFIGTCIISASFGVANGHVQGGMTGDLSLMCPEFIQSCFAGLAASGVLTSPLRFITKAAFENSQDGLRKGAMLFSSISCFFGLLCVLLYAFIFPKLSIVIFYRSKAAYEGSLTVTADLAAGGIPSNPNPVSPEDPACVERWSTKKLLFQNKDYLLDLYAIVLIACTYTWDLIATYIPLIEKIKMTSRKWLLIAVGPEQNALGNLLEFSLLGGIFCGAILDWLWLIGKRW >KQL02535 pep chromosome:Setaria_italica_v2.0:VI:33105410:33109501:-1 gene:SETIT_014100mg transcript:KQL02535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGEGLYCAGEDLLGRCARGKDSLERLTSVVAWSISTTRPPIFGFAPYNPVLGETHHVSAGSGGLNVLLEQVSHRPPVSALHATNAAGDVRLVWCQSPVPKFHGASIEAAVRGRRELRLPRHGERYEVDCPNLLIRLLPAPSVEWSGDVRVVCAESGLEAQLSYCRSRSFLGFGGDARCVRGRVFRSASRDETIYEIDGFWDRTVSLKDVSTGEVSVLYDAQRAISKLTTPVVQDHKGLAPSESAVVWGEVSDALLKKDWEKARQAKRRVEDEARKLAKERNEKGEVWTPKHFSLSQNKNGEWECWPLEESVPPAPIVVPS >KQL02536 pep chromosome:Setaria_italica_v2.0:VI:33105796:33109258:-1 gene:SETIT_014100mg transcript:KQL02536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESEGEAAACAAAVLTPPLSLEGGLAAELRPANLVRRVLSLFRNVSPGSDLSHFQLPATFNLPKSQLQMYGEGLYCAGEDLLGRCARGKDSLERLTSVVAWSISTTRPPIFGFAPYNPVLGETHHVSAGSGGLNVLLEQVSHRPPVSALHATNAAGDVRLVWCQSPVPKFHGASIEAAVRGRRELRLPRHGERYEVDCPNLLIRLLPAPSVEWSGDVRVVCAESGLEAQLSYCRSRSFLGFGGDARCVRGRVFRSASRDETIYEIDGFWDRTVSLKDVSTGEVSVLYDAQRAISKLTTPVVQDHKVLEKNAALRVSVSI >KQL01791 pep chromosome:Setaria_italica_v2.0:VI:26184948:26186556:-1 gene:SETIT_015734mg transcript:KQL01791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRLLATQDLEVLSRHDFSSLASLRRCLRLLPVLEAGDPTQAARCFHGLLAYLGAILSRDNPSPSLLPALKVFAEGLVFNGQLRSSFVIIDGAAPERARIFAEALPCRGDYHILLELVYHHFTSSWLDQEGFKAFLSALSCFKGALALFNITRLFFAPAVVQAHLLLLVSRCISDKNLDLNLLAFECAMNLYATYLPARCAPYRTETQSQINGLFSFCQLHTADDLPIDESDIDCLIEENQHMLHGEVRQQATMDVKHMLPDILLCAKQKEVHEADAEVSDETVWLAAVLRLMGSSLKYMLPHFSQMRSANDKRYNVIHESIRLLGRLEANEFHRYDLPGTIGNPMGRESASMVMLAHFASLSIYCVRRRLRFLWKSCIIMMIMAMNLIHEEESLGTFRFSADVSKESAAFCSSRDGVHKDRNDYGDGSRSALKPHFQFLLLKVHN >KQL00852 pep chromosome:Setaria_italica_v2.0:VI:5666871:5668262:1 gene:SETIT_015078mg transcript:KQL00852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKAVVLVLAASLAAASLSGIGDACAGVPSMPIDTACRAASTGPAMYSLCMSILQSLPPSGGDLVTYAVGAAGAAALSCDTATRAGEGMLGDGSLPGELRDACSGCVADYGNARQAISGVADQLGRCEFAYLRQGYMDALAAVEDCTAKLGLAGGTSTPLYGMVGQDWQAACAPDGGGNLRASPQAAQSRSSRAEAWQPVRRQRRALDGPSWKGGRGEVSTSGGGSGVH >KQL01263 pep chromosome:Setaria_italica_v2.0:VI:12678007:12679917:1 gene:SETIT_015297mg transcript:KQL01263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRLLLQQPLPPPAPPPPPLPATNHHLRRALLAAIAASAAVAAALLLLLALVLLLRRRRRRHPTLPFSPPPDPARPLRRYSRRALRRATGGFPPSRLLGRGAASPVYLATFPDASLAAVKTCASPHELHLLASLPDSPRLVSLLGYSPGSGSGSGAGGAAAAERPLLLVFEYMPQGSLQGALFGGGGDAATRDAQFLDWPKRLAVIRDVARALAFLHAECQPPVVHGDLKPSNVLLDADFRAKLADFGLARFKTPDAVAASGAAGDDFMSQELGEAGDHLSTTASAAGGARTDTKDESGPAGAWGKEWWWKQDGSGELDSRDYVAEWIGSQICPERNPDWADENDGDANDHKNSPSGTDEQAVSASPEDKKNADGNGNVVDGSKKEATKMREWWKEEFFEEMSKKGPSFDKRRGGGKPWLRSISMNTGHGHGNGDSNVEPSALDLSFRRGRKRSRRRGRSVGSDIHSGCGGDFLSRELSSTTSMRGTVCYVAPECGGGPCEHGSELLEKADVYSFGVLVLVILSGRRPLHILSSPMKLEKANLVSWCRQLARAGNVLELMDERLDGGYDKDQAAQCVQLALLCLQRQPELRPDSTDIVKILDGEMELPPAPVEFSPSPRVRPFPRSSRRAPQPDAA >KQL01617 pep chromosome:Setaria_italica_v2.0:VI:23363807:23368295:1 gene:SETIT_014487mg transcript:KQL01617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLPLTAEAIAFTEKKMDMTLEDIIKMSKKKNPGGKKPPRQPIKKHPFQSGNSNQGNAKVQRFMESRSAIRQGFLAQRRSNLGGNQFPVTKQAAKKAAAMPMRNKAVRWNKPSASTLVQRQPVGDAFQNGKAKETQNQGAPRTMDALFAQMKAQRMRTVPQQQANPAPGCQFNQQRRVQQQQRRGRGYGGRNVGNQ >KQL01122 pep chromosome:Setaria_italica_v2.0:VI:8727618:8728318:1 gene:SETIT_014589mg transcript:KQL01122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLKNKAAAEKWIGTGERELRQLESLPAKGCGSSRLRSSICRRSGRLDLLQRPWTELQRRGGRRRRGRGRGRGSLRSAGTLTLRARQPASEAPRMATSSSGSSAPTSDSTARQLPAASFFGDSSSSSVYPLSPLAVRFSIFCSTATVD >KQL01807 pep chromosome:Setaria_italica_v2.0:VI:26459128:26460753:1 gene:SETIT_014488mg transcript:KQL01807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAASNAALASPPPPGSGPALVRRPNLAAVKALMFPCLASLWVGGASAAAAAAILAVQPSGEGASLVDTLMGASLMGILLATLLAKIVFTLLLSAALRDAYPVLEACGPKLRSMLRDVAWFGYAEALLFVVASLVGCVVVVEVSDGSRVCSIGALIIDVEAVACAAIACFDIIPSRALKLWRVKPSGATADGYIV >KQL02061 pep chromosome:Setaria_italica_v2.0:VI:29585428:29585858:1 gene:SETIT_015049mg transcript:KQL02061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALINLLRVWPGPPAKQPVRDLRTAKSAIFVGVGVLNGVSRLYQLASFATAVLGVALLFAHMAFSAATVVGSPLLPPVLRWMVWLARVLTGGTFQFGLSVLHFCIQMLLARYTLVSA >KQL01573 pep chromosome:Setaria_italica_v2.0:VI:21927131:21930609:-1 gene:SETIT_013802mg transcript:KQL01573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALASSRCCCSRPSLPPLPTRGRRAVARCALGGGEKRNNFSWKECAISVALSVGLITSAPAIGSPAYASPLEPVLPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVRALDSVERNVRQASRALNNGRDLILAGLAESKRANGEDLLNKLAVGLDELQRIVEDKNRDAVAPKQKELLQYVGTVEEDMVDGFPFEIPEEYSNMPLLKGRAAVDMKVKIKDNPNIEDCVFRIVLDGYNAPVTAGNFIDLVERKFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTGKIRTVPLEIMVDGDKAPVYGETLEELGRYKAQTKLPFNAFGTMAMAREEFDDNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADVKVGDVIESIQVISGLDNLVNPSYKIAG >KQL02470 pep chromosome:Setaria_italica_v2.0:VI:32748570:32754173:1 gene:SETIT_013151mg transcript:KQL02470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQREVGPQVAPPLFVHQIQQLPPHAAAAKKRGHPWPAAVAPAKAAAAAAAAGEGNWNPKMWDWDSRTLTARPSSDALRLGGGAQGQHHQQQQQPAASAAAKVAEAHRRAGGSGALNLQLGLREDAATPMDTSPSAPVPSSPSPPASAAAGQEPVVRPSKRVRSGSPGSAGGSGGAGAANGGASYPMCQVDDCRADLTSAKDYHRRHKVCETHSKTTKALVASQMQRFCQQCSRFHPLAEFDEGKRSCRRRLAGHNRRRRKTQPTDVASQLLLPGNQENAANRTQDIVNLITVIARLQGSNVGKAPSIPQIPDKQNLVEIISKINSLNNTTSAPKSPPLEVVDLNASQDQQEDSVQKTANGIDKQTVPSTMDLLAVLSTGLATSTPETNTSQSQGSSDSSGNNKSKSHSTEAATVVNSHDKSIRAFPAADFMRSNSTHESQPHAYKDADQGTQPYLSLQLFGSIEEDIPPKMDSANKYLSSESSNPLDERSPSSSPPITHKFFPIHSVDEEDRHPHDYGEDAAMVEVSTSRAWVAPPLELFKDSDRPIENGSPPNPGYQSCYASTSCSDHSPSTSNSDGQDRTGRIIFKLFGKEPGTIPGNIRDEIVNWLKHSPTEMEGYIRPGCLVLSMYLSMPAIAWDELEENLLQRVNTLVQNSDLDFWSKGRFLVRTDSKLVSYNEGMTRLSKSWRTWNTPELTFVSPIAVIGGQKTSLVLKGRNLTIPGTQIHCTSTGKYISKEVLCSAYPGTIYDDSGVETFDLPGEPDLILGRCFIEVENRFRGNSFPVIVASSSVCQELRKLEAELEDSQFLDVSSDDQVQDPRQSKPRDQILHFLNELGWLFQRTAACTSSTRSDVSDLDLIQFSTPRFKYLLLFSSERDWCSLTKTLLDILAKRSLVSDELSQETMEMLAEVHLLNRAVKRKSSRMVHLLVKFVVICPDNSKVYPFVPNFPGPGGLTPLHLAASIENAEDIVDVLTDDPQQIGLNCWQSVLDDDGQSPETYAKLRNHNSYNELVAQKLVDRKNSQVTIMVDKDEVGMDQSGNVGGVRALQIQSCSQCAILESGVLRKPLRSRGLLARPYIHSMLAIAAVCVCVCVFMRALLRINSGRSFKWERLDYGTQ >KQL02726 pep chromosome:Setaria_italica_v2.0:VI:34187798:34188498:1 gene:SETIT_014476mg transcript:KQL02726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSIGKAAEFLRKAVGALRGKAAVLRARLLFLASLRRRMVVVAGISRHIRALAPRQEGKQGREKAAAQCRRGEAVAPAADDGEHNNHVVGVPELARLFEQVANEDGGDGGYADDCALTLRLLFDDEGNERRAAGGFAVVDGLDVDEDDDEPSVIDVIRSRREGDGLEFRIEDEIDQAADMYITRVRRRIMNAQTELGASGIE >KQL02094 pep chromosome:Setaria_italica_v2.0:VI:29892364:29893518:-1 gene:SETIT_015339mg transcript:KQL02094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSPRRKPRPAPAEESETSLESLPSEIHERIVSLLPVRYAVRTSAVSRAWRRIWESAPASPSIGAMTYADDVLARYSHPVRSFFFHLPEESFQCSDDWVPLLAGKGVQTLRLHFSQGRDVEPHYMDVSIFSCRELTFLDLIGCDIPAAPAGLVGFPNLTKLYLHGVGFPDNGVRGLEELIAESPLLQVLWLDKLWFPEDEDVDEQDGHGFEELVIRAPNLRNLRIVSEYDNGWQIEELPCIEKVEISSDNYTTNRDFMRLLTRVARVRELSLKMPKRFSVYHDSDCPSPHLMDFM >KQL01400 pep chromosome:Setaria_italica_v2.0:VI:18810098:18812768:-1 gene:SETIT_015187mg transcript:KQL01400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPTGDDGVYSMDILLELEETTPKTDYLDANKYQEGLTPDKLKDVDMSQQVLITDETNESEDAFKIPEGYECTVNDHAFIEAAKKISAEPGREELVLIDDVLVNRNHMECLFCRNAYLYDEVINAYIHLLRTQDNMINRPGGTCYLENTSMTVLMKGDGEERRNMEDMEDHYPSRGHSQVPRLAERVLSYMQHDMLFLPINIKDTHWYLAVVNARRRKIHLKGLRMQMEYTLQCTGLKDHAWPDVNVDIWDVVEVMVDRIQFDGVSCGLFMVAFIKYWTGDHLCATVDQESMVKFRTKMAATLLSTIFNERLGKPLLRNEDENIGSPSDFAEIIEPKEFQQIKQKRKSTNSHENALKPKKIDTEIDSDKQDVLLYYKDWPLKRDELAEIFYDYILTIKDPAELDMVWVRSDLPYRSVYKLGDLKVLLKRGSPMPEEFFQLRYNNKVAKHHVDLRFCKMLELTRHEKYRKHHSGKELGDVIGGWEIVKYDILGCRYFLLPWKHVNTYLLYVLDIKQKKLIVIDTKPIPKYATDVPYKHYAIQIVGFRLKFMNAFRQLKADSWEDVHKWEFERAKGIVEDTDG >KQL01647 pep chromosome:Setaria_italica_v2.0:VI:24079264:24080888:1 gene:SETIT_014527mg transcript:KQL01647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELAGRERGQRSRLIRLHTSFSPWPRHNHSMMKNMALAGNGMKKLILAVLLLCLVIGQIQVEAKSCCPSTTARNVYNTCRLTGASRPTCAKLSGCQIISGNKCKPPNDHLTLDPDTEEVNVLNFCKLGCASSVCNNINAALGNEEANDAVESCDQACSSFCSVHVGSATVVA >KQL01243 pep chromosome:Setaria_italica_v2.0:VI:11949493:11949767:-1 gene:SETIT_016021mg transcript:KQL01243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWLVLMWRANDSLRDVAEARVVSTALVECRVEEMRKLTLLTW >KQL02146 pep chromosome:Setaria_italica_v2.0:VI:30288768:30289764:-1 gene:SETIT_015070mg transcript:KQL02146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADGHISANRNRGRGGGSVGRIHRLEVENFKSYKGTQTGPSPSAAKSNLLDAISFVLGVRSAHLRGARLKDLIYALDDGDKEAAERRRASVRLVYRQPDQEELHFTRTITGAGGSEYRIDGRLVTWEDYNAKLRSLGIIVKARNFLVFQELTALLEQISGSDELKREYDELEEQKARAEEKSALIYHEKRTIVMDRKQKKGQKVEVENYIRLQQDLKLLKTQHYLWQLYTIEMDIE >KQL01782 pep chromosome:Setaria_italica_v2.0:VI:26033796:26034393:-1 gene:SETIT_015891mg transcript:KQL01782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHLHSDDTGFYARAAIAWLLSACLAAAILMGWIRGQGFRARTVHLATLFYTTWLSRDALKLHLAHGILPESRRQCRGPVVPGVLLVP >KQL01053 pep chromosome:Setaria_italica_v2.0:VI:7687699:7688584:1 gene:SETIT_014946mg transcript:KQL01053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTSASPSSSRFAAAAVVVVAAALLLLLPALSAADDWEDAHATFYGDETGGGDHAVWLSIVHAEGACGYGNLFEQGYGLETTALSVALFGEGRACGGCYELRCQGSSYCAPGGAPVTVTATNLCPANYSKPNENWCNPPLRHFDLSKPMFLRLVTDFHVGIIPVQYRRVPCAKRGGVRFEMKGNQWWVAVLVFNVAGAGDVKAMAVRGSWDGQWADMSRNWGQIWTSGDSRHVGQGLSFRVVAGDGRSVELDNVVPQGWAFGQSFEGRGQF >KQL02625 pep chromosome:Setaria_italica_v2.0:VI:33615296:33615692:1 gene:SETIT_015215mg transcript:KQL02625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDATTLKNPPRMSIGVVVRDHKGIFVAACCHLVQRFDDPEVAEAIATRKAVSFSSEIQFQHVIISYDCQSVVKKINSQVLDLSHVEVIIQDLISGRKL >KQL02163 pep chromosome:Setaria_italica_v2.0:VI:30497232:30502229:1 gene:SETIT_013904mg transcript:KQL02163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDGERRGAAGPGPLSRQGSIYSLTFDEFQSTLGGMGGGLGKDFGSMNMDELLRSIWTAEESQAMASASAAASASAAGAAGEDGAALQRQGSLTLPRTLSVKTVDEVWRDFVREGPAPGAAGGGAEPQPNRQPTLGEMTLEEFLVRAGVVRDNPTAAAMAAAAAVPAQPVAPRPIQAVSNGASIFFGNFGAANDAGTGAMGFAPVGIGDQAMGNGLMPGVAGMASAAVTVSPVDTSVAQLDSVGNGNGDLSSPMAPVPYPFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNAELQKKQDEMMEMQKNQVLEVVSNPYAQKKRCLRRTLTGPW >KQL02162 pep chromosome:Setaria_italica_v2.0:VI:30497232:30500016:1 gene:SETIT_013904mg transcript:KQL02162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKDGERRGAAGPGPLSRQGSIYSLTFDEFQSTLGGMGGGLGKDFGSMNMDELLRSIWTAEESQAMASASAAASASAAGAAGEDGAALQRQGSLTLPRTLSVKTVDEVWRDFVREGPAPGAAGGGAEPQPNRQPTLGEMTLEEFLVRAGVVRDNPTAAAMAAAAAVPAQPVAPRPIQAVSNGASIFFGNFGAANDAGTGAMGFAPVGIGDQAMGNGLMPGVAGMASAAVTVSPVDTSVAQLDSVGNGNGDLSSPMAPVPYPFEGVIRGRRSGAGVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVQKLKELNAELQKKQDEMMEMQKNQVDFWVKLPFFMSYFHHAFLFFSTIEFKSIFLSVIKLMENRLLCLFVHHTSIWLN >KQL01084 pep chromosome:Setaria_italica_v2.0:VI:7981881:7984637:-1 gene:SETIT_013995mg transcript:KQL01084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDHHQHQHHGGAGGGDDGQQQAHDMVMPGFRFHPTEEELIDFYLRRRVEGKRFNIELINLVDLYRYDPWDLPALASIGDKEWFFYVPRDRKYRNGDRPNRVTPSGYWKATGADRMVRVEGNRSIGLKKTLVFYVGKAPKGLRSSWIMNEYRLPHGEADRYQKEISLCRVYKRPGIEDNFHLTTNTRSSGSKAAASMEKKHHRTSASPRLAPMFDGGHSSSAHMNKPYSGTIMASSAAARAAATMAPQTSMAFLSTASLSSTTSTEEDGTSLYHMKGANPQLLPSSTHALLNANSTTMATIPIDELSRAIGSYSNQGNPNQPLPSSQGPLLPFPSMEKIWDWNPLLESPKVCTNFK >KQL02017 pep chromosome:Setaria_italica_v2.0:VI:29122451:29122944:-1 gene:SETIT_015926mg transcript:KQL02017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACHAASPTRRDRRHRPQPPMIIGVTSIARRRVGSLKMTPPRRARRQ >KQL02602 pep chromosome:Setaria_italica_v2.0:VI:33481819:33483276:-1 gene:SETIT_015818mg transcript:KQL02602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGVVDAAAKGVRLERHAAGAAVLLRRASGAKQLVSASSHLLFRATVLATLALVVLFAVHYPSLLSRSFTLSSASPSSSSSSGSSSSPRSRSSHRSLLGSGASYGGAAWEREVRRSATPRRDGGLSVLVTGAAGFVGAHCSLALRGRGDGVVGLDNFNAYYDPSLKRARQRLLASRGVAVLDADINDGALLERLFAAAPFTHVLHLAAQAGVRYAMRAPQTYVASNVAGLVSVFEAAAKHADPQPAVVWASSSSVYGLNTDAPFSEEHRTDRPASLYAATKKAGEAIAHAYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFARSIVAGEPITLFRAADGADARRDFTYIDDVVKGCLGALDTAGRSTGSKSGKKRGPAPLRVYNLGNTSPVPVTRMVAILEKLLGKKAHKRVVTMPSNGDVPFTHANVSHASRDFGYRPATSLEAGLRHFVEWFVQYYKLDIKGSNVLAGKTTKRKSMAMSAAS >KQL00979 pep chromosome:Setaria_italica_v2.0:VI:7105722:7106384:1 gene:SETIT_015263mg transcript:KQL00979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVRTPTGTTICLSVQPSDTLYAVKQKILERHHLAFDGVLLEDNLTLADYNIEHQSTLDLQEKMQIYVRETLNGMTFILEVDSLDTIDSIKDKIEDTEGFPKSLQCLIFANKQLEGKRTLADHNICKDSTLLLVLHPCPRGTMQIFVKMLDGKTPTFQVERSYTVSYVKMKIYEMEGIRPIQQRLIFAGQQLQGRRTLADYNIQKECTLHLVLCLCGC >KQL00893 pep chromosome:Setaria_italica_v2.0:VI:6113741:6126590:-1 gene:SETIT_013122mg transcript:KQL00893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVSFKNKEVDGGMSRWSEYLNVEEPTPSALASWRNMSVDGPQGSSASGHKHLQMEPVVQLSKVAEGLLAKMYRLNSILDYPDPNTHTFSDAFWKAGVFPNFPKICITLSKKFPEHPNKLQLERVDKFALDALNENAEGYMHNLEQWILLLLDLLAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNVFSDKVPRKMILQVYNILHVMLKGGRDCEFYHRLVQFVDSYDPPIKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTKKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDVAMVVLKENLILPLFRDEYILLHENYQLYVLPKVLESKRMAKSGRTKQKEADLEYNVAKQVEKMLTEVHEQALVSCDAMHRERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALSLAQSEVIWYFQHVGVSSSKSTRGKTVDIDATDPTIGFLLDGMGKLCCLVRKYIAAIKGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQQVLHCLENIPKPQGESVPAITSDLTDLRKHWLSILMIVTSSRSSINIRHLEKATVSTGKEGLVSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGAACSFPECASAIIPEEVNKIGRDSISYVESLIESIMGGLEGLINILDSEGGFGSLEMQLSPEQAALHLNNTTRAKGVSSLLTPGHESYPDNSSSIKMLEAAMQRLTSLCSVLNDMEPICVLNHVFVLREYMRDCIIGNFRRRFHSMIRTDNCIQRPSIIESLLRRHLGIIHLAEQHISMDLTEGIREVLLAESYTGPFPNLQMFETPVGTQGGGSAVEMICNWYIENVVKDASHIGVAFDAIQNCFRSSQPIGGGCLAEAFTDTRELKALVRLFGGYGIDKMDKMLREHTSALLNCIDSALRSNRDALEGLAGSVNSGDRIERDANLKQIIDIETLADLCIQAGQAITFRRLLVEAVGAVLEEKVPLIYSLLKGLALQLPDEVPDKNEIIRLRRVASSVGVGDKHDAEWVHSILAEAGAANDNSWVLLPYLCAAFMVSNIWSGAVYDVNIGGFSNNLHCLARCVNAVVGGSEYTRVEREQQINSLSNGHTDELQEAELPSRVSAEANIKSAMQIYVKLSAGIVLDSWNDTSRPHIVPKLIFLDQLCELSPYLPRSTLEVHIPYTILRSIYHQLYGASLMASEPMDQSPRQSPLISLAHASPSARQNRPETTPRSHTFEPSYYSSSGSQHDDGYDGDKRTGQLRSMRRSGPLDFSASRKVKFVEGSSSGSSHGAGSLQRFAVSRSGPLSYK >KQL02411 pep chromosome:Setaria_italica_v2.0:VI:32328174:32330521:1 gene:SETIT_013819mg transcript:KQL02411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPYTSFFKNPYYYYTTSFPAAPAPHLPPPLPPYNAFYPGVAAAAPQYPACFFQSQPTTLPPLHDSPPSPPLREALPLLSQSPTRGHGASRPHVAADSDDDDDADDFLREVVVSSATHSARAPLFADLNCMPSCCDDGDPMDVEAAGAESTDDAAVALRIGLPAAPVNGCGGAEADLLSGLSGRACGGMEPEEDEEECKVDTGAGDGDEVVPLGFASTPIGRLNKGQYWIPTPAQILIGPTQFSCPVCYKTFNRYNNMQMHMWGHGSQYRKGPESLRGVQPTAMLRLPCYCCAPGCRNNIDHPRARPLKDFRTLQTHYKRKHGLKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCLCGSEFKHKRSLKDHARAFGHGHGAFGCNIDGGADGLDDDDEGAVSEIEQDCAAAAACGRSAR >KQL00815 pep chromosome:Setaria_italica_v2.0:VI:5263741:5265487:1 gene:SETIT_015350mg transcript:KQL00815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAVTVPPVQSSESNKISIIISPRAASSKVIAEFLGTFILNITVLSTIIMNEQHDGVESLLGIATSAGLAVTILVLSLIHISGCHLKPAVSIAMSAFGHLPLAHLLPYMTAQILGSITASFTVKGIYHPVNPGIATVPKFGTVKELIAVAVGAEVMMNALVAGMPSTGASMMNPARSIGPAITTGRYTQIWMYMVATPLGAIAGTGACVAIKLYFLHS >KQL02562 pep chromosome:Setaria_italica_v2.0:VI:33218843:33220721:-1 gene:SETIT_013990mg transcript:KQL02562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVSDEASTSESKRQRTSGPEAQLQTTTMMDSASAVVEFKVNYEQTKHLPAGQAIKSDPISVGGQVWRINFYPRGAYEIAAISNDHFSIFLERQIMSDRPIKSIFEVLLIDKNGEPVMISSKLPPPLQRYYGNYQWLASQNYMVHNYVKDGHIKFVFTITVLPDNPIPVPPSDIGKHMATLLDGTDEGKDVSFTVDGETFHAHRAVLAARSPVFRAELLGSMAEATMSCITLHDIAPATFKAMLQFMYTDVLPGDEELGDCPTEMFENLLVAADRYALDRLKLMCAQKLWENVSVETVCDALACAEIYNCPELKGKCIEFVVADKNFKKIVLTDSFMQLGQKFPSIITEVRRRLAGI >KQL01141 pep chromosome:Setaria_italica_v2.0:VI:9226482:9227062:1 gene:SETIT_014780mg transcript:KQL01141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVKTAATMVMKVLVLGLVLLAYAGLIAHAQPQCGSQGGGATCSNNLCCSQWGYCGLGGDYCGNGCQSGPCYTT >KQL02010 pep chromosome:Setaria_italica_v2.0:VI:29023570:29024019:-1 gene:SETIT_015056mg transcript:KQL02010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVFWPWILLFFTFVASSWSLSSDGQALLALSKNLMLPSSVSSSWSASDATPCTWNGVSCNKRNRVVSLDLSSSKVSGSIGPEIGFLKYLHILYLFCNNIYGSIPPELGNCTVYQHQLDLSENFLSGNIPASMGNLKRLSQLSLYIVH >KQL03047 pep chromosome:Setaria_italica_v2.0:VI:35944365:35947398:1 gene:SETIT_015142mg transcript:KQL03047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANTDRAKKALDAMKLLGFSRKQATPVLRQLLKIFNNNWEPIEDECYRALADAILDAQDNKQTPASSQGTQAAYEDSEPHGTTRDDRHSYASAGEDDNETPLVKRPRMGVADFGQELQPGPRQSTVSTQGALPTSPQTSHRQTRSLTLAQQAADHGDPSAISDAPILKEPKPEPEINAAGVGSTVQNTHEPQQVVPMCNNGVGSSVQNTQEASFMEVDVASSTNGEVKMSLKCNLDPSKFSISMEEVFKMVEEKCLHSYKVLPPDFSIGKLMSEVCQSVAQLGTMHSDVHSNDGSLHKEAVAPFVKPIACKAAVSKNGNGAAGSLVLESSEPCLQNSIVAWDPELAKRRTTHDVTDISKGEERVRISVVNEFGSETCPPSFYYIPRNLVFQSAYVNISIARIGDEDCCADCSGNCLSAPLPCACARATGGDFVYTPEGLVKTAFLDECTSVNHFPENHHRFYCKVCPLKRSKNAASPGPCKGHLVRKFIKECWSKCGCGMQCGNRVIQRGITCRLQVFFTHEGKGWGLRTLEDLPKGAFVCEYAGEVLTSTELYERAIENARNGRHMHQVLLDADWGSEGVLRDEEALGLDGTFYGNVGRFINHRCYDANLVQIPVEVETPDHHYYHLAFFTTKKVEAFEELTWDYGIDFDDVDGPSKAFRCMCGSRCCRDPRSSRRMGRAAGRI >KQL02404 pep chromosome:Setaria_italica_v2.0:VI:32272932:32275857:1 gene:SETIT_013562mg transcript:KQL02404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVLIEEIAGDEQVLSHTSAMKNLAEFKIFWSVLRARVRWRPLLRSVNDWMAMFETSLLLLRQQHGSSSSAVPKWTASLHSVVWAVLAELDAWPDIHEMVGDTLEVHATAVTALVLSAGRELSQNIRWITRHRDVSRVRGAEAPGHGDAAGIRRRQRRATAARDAHRSRLLSDSFGYIALATPRALRAAALVVAFKHEQAAGPGVVREWFCLVCQALFNPCLVLFSPCPHDRRRFFINPTSVVDPLHLQYFKFAGRMIALALRHKIHVGVLFDRTLFLQLAGRPITLDDIADPDPSLHASCKKILEMDPTLVDSDVLGLRFIREVDVLGLRTVTELFPGGKDTSVNSENLYEYINLLIQDSFVNCTRRQLGHFAEGFSSMLGETISQTAFFESLNVEDFDEMLGGSKDSIDVKQWRAHTHHRGYKENDGQVNWFWKVVESMTVEQQRRLLFFWTSVKHLPSDGFLGLDCRLFIFRASSSRDHLPTSQTCFYHLNLPAYTSLSMMQSRLQMVVQEHVSCGFGAS >KQL01975 pep chromosome:Setaria_italica_v2.0:VI:28574760:28575295:1 gene:SETIT_014733mg transcript:KQL01975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISEQLRLRLSSTLSCKPRGCGQWTSKTYAELQQLQFCRREQGICSRTLAPKSCMVMLIHTSTGRTQLANGSNQHGIMAIDQSSCKDHTLLFLL >KQL01242 pep chromosome:Setaria_italica_v2.0:VI:11947991:11948997:-1 gene:SETIT_015932mg transcript:KQL01242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSIHLHLSVAGNALQHRPFHPTKTSRTSPKRLHGSFVTLASLVALASVLLDGGDDDLQPGRVALLGQPRRRVCVRVHPGV >KQL00282 pep chromosome:Setaria_italica_v2.0:VI:1017173:1020304:-1 gene:SETIT_014295mg transcript:KQL00282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAQAFLCLAVVLAMAAAAAAQAKHSQCLDNPPDLSLRGGEAGKVVSDLPGGFRAYVTGKAKSRHAVVLASDVFGFEAPILRKIADKVGEAGYFVMVPDFFNGDFYDTSKNISEWIKFHSPVKAAEDAKPLFAALKKEGKSIAVGGYCWGGKFGAKVAKTDDIKVVCLSHPSTVTADDMKEVKCPIEILGAQNDTSTPPKLVYQFVEVLRQRTQIPYFAKIFPGVAHGFACRYNTTDPFAVRTAEEALAYMLDWFNKYLK >KQL00955 pep chromosome:Setaria_italica_v2.0:VI:6794528:6795016:-1 gene:SETIT_015095mg transcript:KQL00955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSEYKRRRWYVRYVGESNVAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHKFRRWVPPPPNPPRMTDEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGIHLSLLHSFDAP >KQL01431 pep chromosome:Setaria_italica_v2.0:VI:19400316:19400979:1 gene:SETIT_015121mg transcript:KQL01431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWIANIRGVLTVEVLSKYLRWDLLSEVVLQPELEDSFFEDWWARVSDIADGQVQKGLDSIIILVAWSVWNQHNRCVFDGLQPDVNSVLSSIRDDMHLWCIAGLEEFHISSP >KQL00492 pep chromosome:Setaria_italica_v2.0:VI:2527145:2527971:-1 gene:SETIT_015290mg transcript:KQL00492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSSSRHRRPRLAEQGQPPAAQVPRMEGKCEEDEEEESRDWAELPRDALLSVLRRLDQADVLMGAEHVCRPWRRPAQEEPDQWRGVDLRGRVALASPAGALKAMAYAAARRGAGRCEAFWVKGVRDDSFVFFLSCKAPALKSLRPHLRDTGAPHAQDLELSLYSGAATNHGLINLAETCAVAAEACPLLNRLRLSRYRFDWRSGGVGDGEAVGIAGMRGLRSLQIFGNSLSNAGLAAILGGCAGLESLDIYPPLLQRRDGR >KQL00588 pep chromosome:Setaria_italica_v2.0:VI:3313416:3316802:-1 gene:SETIT_013364mg transcript:KQL00588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALTSSSHIVLSDGNGRILWRSNSSSIRSSPATTISAEAALENTGNFILRPLGHSTILWQSFDHPADTLLPGMNLRISHKMHPLQHLISWKGPQDPSPGAFSYGADPDCFLQRFIWNGTRPHRRSPVWSSYFLLGSYTDNFHHTIYMAVHRGDDDEVYMSFGIPIESLSLLIRMEISYSGKVNILRWNSNMSAWTALYTQPAHECNVYAYCGPYGYCDNSETTPTCKCPDGFEPKDDEDWNKGRFLEGCRRKKALRCSTGDGFLTLPGMKVPDHFLLIRNKSFDECTVECRSNCSCMAYAYANMSTRAIDGDDTRCLIWTGMLIDTEKCSEGGENLYIRTNKLSGLISCFHLSAYLTRQVFEFLKFMMLSTILSGKQGSKEIWTRLMLGDMSGTHELADRKLDLPLISFREIAVATQNFSDSAILGRGGFGTVYKTTDKMLHLSSTDAARKSLLDWPTRFQIIKGIARGLLYLHQDSRLTIIHRDLKAGNVLLDAEMRPKISDFGTARIFGIDEQQSNTNRVVGTYGYMSPEYALEGIISLKSDVYSFGVLLLEVVSGLKISATGPVTGSLNLIAHAWSLWKDGNLRDLVDSSIVESCSPDEILRCIHIGLLLVQDNPNARPLMPWVVSSLENSDIELPQPSEPMYFSRSNYRIVEAGESSVSNMSLGTLEGR >KQL00888 pep chromosome:Setaria_italica_v2.0:VI:6041237:6043016:-1 gene:SETIT_014623mg transcript:KQL00888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKTTARNSTGGKAPRKQLVARMFAAARKTAPVTGGVKKPRRYRPGTVALREIRKYQKGTELLIRKMPFQRLVREIAQLHKSDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDVHLATRIRGERP >KQL01234 pep chromosome:Setaria_italica_v2.0:VI:11410951:11418983:-1 gene:SETIT_015318mg transcript:KQL01234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLSSSKADDVSDYAIGVLKGNLVHLNHIDAVVQLRPSMSHVFSGRAYTRQALQSREMNGGASGSKASSRKGDEHPEDSKDHAEDSEPWISLTYQPTGSNISTKYHDKMISNEGGPIDFTMRNSDYVMSLCPGASTSSRHINKCQAIREMLLLPLEERLKKWFTEVSEVNQFDALKHLAPTYSEEEILKVLPEYAYLVRGLWVCKSSLLFDDGYASKRDRVLLEFTKMESIPSDTLDAWIRLDDPKRKRILFPLCKRRGILKDYKFISADLSFLKRYPHIVNEQECAWSAREMILHESPKMCSTVPRKGKNSTRPNVASKGPHPNTSKGRDGPAQGSDDLVQSVLGTVFTANKVRSMQAVVRDLRQLAAKYASNRKDVSKFQALSDAAKYCASLPHDKLKSSILLVAVDVHDVFVAKHENKLALRNVLILLFRKKEPNATLTKQEILAAAAKIIKREVTDREYHQVVTEICISTEDGHLVLKNGDEP >KQL02774 pep chromosome:Setaria_italica_v2.0:VI:34479127:34481763:1 gene:SETIT_015328mg transcript:KQL02774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPPQALTPTLHASFLCSLALTLLRAGRLSAASQIVSSFPASPPASLLRRLIPALASSGLAAAAVRFRPLPGDPHTLNSIILSYCKLRLLRPALGLLRSSSTPQSQVAVDTVSYNIFLAGLSEQGHGKLAPPVLAEMCKRGVPFDGVTVNTVLVGLCRSGLVDEAARLTEMLVGGRGIGSLDVVGWNALIDGYCKVQDMAAALAVAERIRKQGVPLDVVGYNSLVAGFCHSGDAGAAWDMVEAMKADGVEPNVVTYTAFIGEYCKRKGIEEAFNLYEGMVRFGVLPDVVTLSALVDGLCRDGRFSEAYALFREMDNIGAPPNHVTYCTLIDSLVKARRVKESFGLLGEMVSRGVVIDLVMYTAMMDCLGKEGEIEEVKDILQHALLDNLTPNCVTYTVLIDAHCRTGNVDGAEQVLLQMEEKSVSPNVVTFSSILNGLVKRGYLNKAADYMRKMKDSGIAPNVVTYGILIDGFFKFQGQEAALDVYQDMLHEGVEANNFVVDSLVNGLRKNGNIEDAEALFKDMGERGLLLDHVNYTTLIDGLFKTGNMLAAFKVGQELMERNLSPDAVVYNVFINCLCMLDKYNEAKSFLKEMRNMGIEPDQASYNTLIAALCREGKTSKALKLLDEMKRSSIKPNLITYTTLVVGLLHAGVVEKAKYLLNEMASAGFTPTSLTHRRVLHACSGSRRLDVILEIHEWMMDAGLHADIIVYNTLVNVLCCHGMTRKAKVVLDEMLGRGIAPDTITFNALILGHCKSSHLDNAFAMYAQMLRQGLSPNIATFNTLLGGLESAGKIGETDTVLSEINKMGLEPNNLTYDILVTGYAKKSNKVEALRLYCEMVSKGFIPKASTYNSLISDFAKAGMMNQAKEMFKEMKRRG >KQL01325 pep chromosome:Setaria_italica_v2.0:VI:15710842:15717332:1 gene:SETIT_013406mg transcript:KQL01325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGTAQYHTEADSSSMAAGDPSAAATSDAPATVSSAASYPDRLREALAELSQACDSGISDASEAASFTISDILDAAAAGMSAEADDGSDDDDDTAAASVYEELLREVHEFLCRSSSNQMAIDALSLVLPIPVAKLGAQTVCWDIAAAILKFLVTNCSPRDLLSILCEALDAPMELPNCSSSFVLLLNALTEVLTLIQRRHIEQVKVVLPAVLKVMSATVSECDEEHGKAAVDLFNAAHGIGNAIQEMCKQMVNKNKEDLCAILGLYSLQSIALVSRSRQQDILSACGSVVLQHFRFLKSSGFTYLGLLTGSDASTATDKLSKEEDADFLECFSFAMDGAALTVVWTYMFDDMSKYAGEELELALKEVQSNHMKKWESINMLKSVLSSISYPWIIKSHSINLLLSLAGENHVEETDNHVDFTSYAPRIFATLKAIESVMMAAPEALMRKKAFAALKKVISMVPSSQRFDILQALVNNSMSPSLTAILLDIVREEVSRESCQANNDRVESDGFQDHGESPPWTSHVLELLELILRPPQGGPPCLPDHCEQVISALNLLRFILIIDSRGPRSGKLFQKETLHKVHSEWLIPLRPIVTGIQSENEKDDSEIANQIVCSVNPVQLVLYRCIELVEEKMKSF >KQL01144 pep chromosome:Setaria_italica_v2.0:VI:9371902:9373004:-1 gene:SETIT_014014mg transcript:KQL01144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSILKSDGNISFTFYDRGELVKATRNFHKNNSIGEGAHGTVYKAILSGGDDTTPAATVAVKRCKQIDPSRTEEFVRELVILCRVNHPNIVRLVGCCLHFQAPMLVYEFVQNGTLDKLLHGWPKRRVVMLATRLRIAAETAEALAHLHSPPHTTLHGDVKPENILLDDGWVAKVSDFGCSTIDDNIQVVPKGTLAYLDPEFLQDFQLAAKTDVYSFGVVLMELLTRKKPRAKEQKNLAIMFQECMGNGTLVDLLDADIVKEGSSITMGVIHQASELASRCTAVPGKERPALGEVAEELRRLSDIMPEDSEALHALEGHGYISTAGETETTGFYGIGRAALSTELAR >KQL01154 pep chromosome:Setaria_italica_v2.0:VI:9699135:9704087:-1 gene:SETIT_015615mg transcript:KQL01154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIGQVLYLRPYVNDFSTEWVLTSVTLLTLGSVFTTFIGERISDLKLGNGTSLLIFTSIISYLPASFGRTVSQAFQDGNYVGLLTIILSFFLLVLGIVYVQEAERKIPLNYASRYSSRTGGLQRSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGLEFLKKAAVALIPGGSLYLPTNVLLIAFFNYYYTFLQLDPDDLSEQLKRQGASIPLVRPGKSTAAYIKTVLSRISVLGSAFLAVLAAGPSVVEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDVNRFDQ >KQL02733 pep chromosome:Setaria_italica_v2.0:VI:34235683:34241077:-1 gene:SETIT_013132mg transcript:KQL02733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALSVGKSVLDGALGYAKSAVAEEVALQLGIQRDHAFIRDELSMMQAFLRAAHGERDDHEVLMTWVKQVRDVAYDAEDCLQNFSIHLHNQSWWHLPRTLRERRRIAKQMKELRARVEDVSQRNLRYQLINSASSKPVTSADEKPNIAAAGIYGINDARRAGKKDNSKVDLVQLINQEGEDLRVIAVWGTSSDLGQTSIIKAVYDNPDIKGKFAYRAWVRVMHPFNAKDFVQSLVKQFRSTVGFEGLLEEDKTWQELAEELKGYVNEKGYLVVLNDLSTIEEWDDIKVCFPNYKKGSRIIVCTPQVEVASLCLGQESQVLELKQFSTDQTIYAFYEKDGTDSPTLLPSSDAATTSTLNLVVPTNEILENQSKGSKDRKVVRNNLTRIKTMTNALEESKLIGREKEASDVAGLISDKLGQQFLVISIWGMGGLGKTTLIKDVYQNQHLIGMFEKCVFVTVMRPFILKELLKSLIMQLHTESSEERRSIMDLRGGTRKELPTMGVEALIKEVARLLEGFKCLIVLDDLSSTTEWDMVVQSFPKMENTSRIIITTREENIAKYCSEKQENIYKLKILGDKDALDLFTRKVFKEAIDLEQRRPDLIEEAKLILKKCNGLPLAIVTIGGFLVNQPKTAMEWRKLNEHISAELEINPELEAIRTILSKSYDGLPYHLKSCFLYLSIFPEDHKLSRRRLTRRWTAEGYSREIREKSAEEIADRYFMELIGRSMILPCHESAYSRKGFDSCQVHDLMREISISKSTEENLVFRLEEGCRSNTHGTVRHLTINSNWEGDKRDFESMVDMNRIRSLTVFGKWRPFFISNKMSLLRILDLENIDYLYDRHLEQIGKLHHLQYLSLRRCNSIFRLPDSLGNLKQLQTLDIKQTSIRNLPKTIINLRKLHYIHATIDYSIILGCSTSGFRKLRLLRTLSVVNIAVGQGILKEIKALTQLRRLAVIGIDKKNCGEFCSTLADLRCLESLTVHSMSEPDLQGCLDGVSSPPKNLQSLMVRGNLAKLPEWIGGLNSLVKLKLKETMLSELDATIQVLGKLPNLAILRLLKRSFKGKELNLTFRRETFPSLMVLQLALPDLRSVEFGEEATPKLELLSFIGYPLICKAGMFSGLASLPSLKEFMLDNDKYEEDFLTHVQDQLDGNPNGPVMKSSGALASNKFVEALFDLEILGQSQWDSNDSPNPS >KQL02830 pep chromosome:Setaria_italica_v2.0:VI:34837938:34841082:-1 gene:SETIT_013944mg transcript:KQL02830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPADRRRSRSPPSNRRRSSSPPATATSPYFPPELIPEVAKRLTSLQDFFALRAACRAYRAALPLTASNLASQAPLLLLVPHRISKPAALFHISHRRLLRFRLPRTRPDNRSGFFPLGCRVAISDSSRDSSCRELRIVHLLTGEQTRLPRPKDAFSRILLSGDLVVTWRFLGRTVQYCRHEAAGWRVASISEPYLLEGMASVRGTLYAVVTPGSPLRIPVYRLARVELSDHRNLAELVFIGGALDARILHLPDETELYLYPAECRGELIIVIAVEFDPRVYHVFQWKSGEAKWVRITSLGGCTLFFANRNFAGCLGPDHPGIRKDCMYFNDEGLWREYSLVDGSFPLSDVVYPGETLDKDFTPAGWIFPSMC >KQL01127 pep chromosome:Setaria_italica_v2.0:VI:8955813:8956025:1 gene:SETIT_015489mg transcript:KQL01127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTRGSVYPGLARTRQVQQCQDTVVVRQCQDTVVVNQRQATVGSREECRRSCANTMHEHLRKITTSGCIS >KQL01160 pep chromosome:Setaria_italica_v2.0:VI:9898142:9898566:-1 gene:SETIT_015157mg transcript:KQL01160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLDKHLSILAKQHVETRFIKVHAEKAPFLTEKLKIVVLPTLAIVKNAKVEDYVVGFDELGGKDDFSTEDLEERLAKSQVIFLDGEGSAFVSKQAAAASKRSVRQSGTGDSSDSE >KQL00761 pep chromosome:Setaria_italica_v2.0:VI:4764585:4769351:-1 gene:SETIT_013328mg transcript:KQL00761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQEDLNENLIQECEQIVLSEFSSYRKQLKRRYEDYLSLFGSCRHHFEEGKDGSIAQEALTRNDFSSSRHGNFSEYSTTESDEFEKNDGGELICEEESTFFDSVDYFIESGNRSSTMLSDQEVVDTQTQDSSDRLPQIRRRTRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADYPDRGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVEPVGILTVEFDDGEIFQWNKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDNGGNRASYLIGKWDESMYYSNSDTFKVRSADQLKGASLLWEKNKPAPNPTRYNLSSFAVTLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSTKLQDNGWKPQWFEQDSEDGTYRYKGGYWETREKGRWDGCPDIFGEFTET >KQL00762 pep chromosome:Setaria_italica_v2.0:VI:4764585:4770922:-1 gene:SETIT_013328mg transcript:KQL00762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQSMSSRGGSGRGYGIHHNHYSALCCLSAAPPLPGDATPTLPLAPDPAAAAAAASGAAVAVEGVLHKWTNYGRGWRERWFSLRDGVLSYSKIRADAGAGAAEGDGEVRLIGSRIGGARRTEKPAGVVSLKVSAFRESKSDDRRFYIFSPTKTLHLKTDSKDDRVAWIEALILARSVYSLGSLSGRVTFVQCDVSISTARLRDRMHQEDLNENLIQECEQIVLSEFSSYRKQLKRRYEDYLSLFGSCRHHFEEGKDGSIAQEALTRNDFSSSRHGNFSEYSTTESDEFEKNDGGELICEEESTFFDSVDYFIESGNRSSTMLSDQEVVDTQTQDSSDRLPQIRRRTRLPEPTEKEKGISLWSIIKDSVGKDLTRVCLPVYFNEPLSSLQKCFEDLEYSYLLDQAYQYGKMGNSLMRILKVAAFAVSGYASSVARPCKPFNPLLGETYEADYPDRGVRFFAEKVSHHPMLIACHCEGKGWKFWGDSNLKSKFWGQSIQVEPVGILTVEFDDGEIFQWNKVTTTIHNLILGKLYCSHHGTMHIKGNRQYSCKLKFKEPSLLDRNPHLVQGFVEDNGGNRASYLIGKWDESMYYSNSDTFKVRSADQLKGASLLWEKNKPAPNPTRYNLSSFAVTLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANAEKLRLERRQRMSTKLQDNGWKPQWFEQDSEDGTYRYKGGYWETREKGRWDGCPDIFGEFTET >KQL00260 pep chromosome:Setaria_italica_v2.0:VI:846019:848095:-1 gene:SETIT_013690mg transcript:KQL00260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGATVEVQIVSRRVIRPQQATSPDGTPPEPEIMHLTPWDLRVITVDHIQKGVLLPKPQTGGEAAHLVDSLASSFARALDRFYPLAGRLTVAEATDGGVPRPGIVVSLCCNGEGAEFVHAVAPEVTVRDITAPVYIPRVVWSLFPLNGALGTDVSLPVLAAQVTELADGVFVAMSLNHGVADGTTFWHFFNTWSEISRSSSSHAGGELSTPPPVLERWFLETSSVPITLPFGKLEDIVRRPEYPPVQECFFNFSAESVRKLKARANAEMAGTATATSTISSLQSLLAHTWRAVCRARELAPERETTCILLVGCRGRVKGIPRDYVGNTVVSAVVRWTAGEVVEKGLGWAAWLLNRAVASFDEATLRDDLASWPQDPWFVYLKPPGEAAANIVTGSSPRFDVYGNDFGWGRPVAVRSGAGDKRDGKVTVYEGRGGAGSMALEVCLAPEALARLVADEEFMEAVSAATTA >KQL00420 pep chromosome:Setaria_italica_v2.0:VI:1959529:1961580:-1 gene:SETIT_014961mg transcript:KQL00420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASSRKFSIVSIGLLSLLLIHAPLATSLSFSFNFSGTGGDPCDAELRCERDTHIGPGFLELTKNDIRGDTFSVGRASYARPVPLWDNTTGEIASFATNFTFQIKPKVEDFFKTCNVNDSGDGMAFFLARYPSRIPPNSYGSNLALFNDSNNINATGDVVAVEFDTFLNQWDKTVNHVGIDVNSIDSKAVVNVTKGLVSEHAMTAMITYNNLTGVLQAKLRMDDGEPPYDVQWNVDMKRSLPEEVSVGFSAATGACIELHQVLSWSFSSTLEAGSSKTTKGRRGRRWLVPVIVPTAVAFVVLLCAAVGCFRNRRRIWMKLHGITEVDSDAEHEEADFERGVGPRRYRYQELVAATNSFAEEEKLGRGGFGNVYRGSLGDHDRPVAVKMFSAESSAQGRKAFEAEVKIISRLRHRNLVQLLGWCDSTKGLLLVYELVPEGSLDRHLYGSLLSWAERYMIILGLGSALRYLHTECDQCVLHADIKPSKILLDSSHGTKLGDFGLARLVAHGAGPQTTKVVMGTAGYIDPEFIRRRRPTTESDMYSFGIVLLEIATGRRPVMVQQDSVVPLLEWIWDLYDRNALLEAVDQRLMAGGLIDDGVAEWQLQRVLIVGLWCAHPDPGVRPSIVQAMNVLQSEDVTLPVLTPQ >KQL00296 pep chromosome:Setaria_italica_v2.0:VI:1141244:1143950:1 gene:SETIT_013733mg transcript:KQL00296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAGDSAVPNWVMLERLAFRRDDPASFREDRRTFASGTTSAGTQFDVSFILAEPPTPSRLYLSWPEGPKKGGQGLVMAANRNLVLFRLDSLVDESEPFGEVVHDYFIYIANPSSQQTPLLRRLPPCTEYNVYIEMQVTRLFPVLAVGLLCHGEDEFAVAHLAIRPRKKKSGSRIKKSAMQAELCVLRSSLSSSDDAKWETKVFPLQYQYDDLHYDFLNWEVDGVVPFKNALCWVNYCRGILFFDGVFEDRPKVSYIRLPLDTSFRGTGTDADSLKGMYRGLCVTEGGHRLVFVDVARHDGKCYGPRMPNTGFTLTSRTLKMTGNCTTPWEWNQDAVVTSDELWHANTMESLPRDIVMLPLLSMDKANVAHLSMLDWDGGRFSLVSIDLSNMQVMGPVITYLEGEDDTADADIVKTKQVLCAHFIPSEFPKFLDLRERENHP >KQL03048 pep chromosome:Setaria_italica_v2.0:VI:35947873:35948515:1 gene:SETIT_015371mg transcript:KQL03048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTTKHSISSILAGSRTTTHQTINTIAFLPASLLLFSDEDIVAPANEVAIEGGGGGMAAAVSFSRVQALPTWSSSVSGSGDDHHSYPMLAMSARPRSRSSARPLRSPTRMMGNVNAGKGLFAPLVVVARNIIGRKRFNQLRGKAIALHSQVITEFCKTIGADAKQRQGLIRLAKKNGEKLGFLA >KQL00325 pep chromosome:Setaria_italica_v2.0:VI:1380083:1380395:-1 gene:SETIT_015896mg transcript:KQL00325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDNTGTIRYYLVGYSTQPLQVQNRRNRSTSDSEVLHGSTM >KQL00659 pep chromosome:Setaria_italica_v2.0:VI:3824594:3828630:-1 gene:SETIT_013521mg transcript:KQL00659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTPAVCSVNDVQGLRNDRTFFHPSLWGDFFLTYQPPTAPKRAYMTERAEVLKEEVRKMLKGANEIPKILDLIITLERLGLDNHYENEMEEQLRFVYDSDYNDRDLNLVSLRFYLLRKNGYDVPSDVFESFKDKEGNFVADDTKSLLSLYNAAHLRTHGEEVLDEAIIFTRSQLEAVLDSLGSTLADEVSLALQTPLFRRIRILETRNYIPMYEKEAARNEVILEFAKLNFNLLQLLYCEELKTVTLWWKQLNAEANCSFIRDRIVEMHFWMTGACSEAQYSLSRVITTKMTAYITILDDIMDTYSTTEEAMLLAEAIYRCEENAAELLPEYMKNFYFHLLKTFDSFENELGPNKSFRVFYLKELLKILVRGGSQEVKWRDEHYVPKTINEHLEMSRATVGGFQVACSSFIGMGDIITKEILDWLLTYPELLKCFSTIARLANDIKSTEREQIRAHHASTVQCYMLEHSTTMCDACEKIKELIEDKWKDMMKLSLRPTEQPKLIAKKVVDFARTADYIYKETDAFTFSHTIKDMIAMLYVEPA >KQL02889 pep chromosome:Setaria_italica_v2.0:VI:35131340:35132050:1 gene:SETIT_015791mg transcript:KQL02889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHLRSASLPSSPRSNKSEVEQQLQSLKTTMASSSATIDMMCDSLRRLGSLYSKIEEVMCTPSNQINLCQTLQRKAVEEELGRSLVLLDLCSAMHESFIELKMKVQELLFSLKREDDAAAQLKACIQITKKVHKQFKNVCKKTTDEKDCKVIKLLAEARSITTSVLQYTSCLLCKQIEMPKRSLISKTFQKGKVVCEEEQLQALDCSIKDLESGADLLFRRLVQCRVSLLNTLGS >KQL00599 pep chromosome:Setaria_italica_v2.0:VI:3361943:3367100:1 gene:SETIT_014880mg transcript:KQL00599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLNRCDMTQPKFMLEEGGSTLRILVATDCHLGYLEKDEVRRFDSFDTFEEICSLAEKNKVDFLLLGGDLFHENKPSNSTLVKTIEILRHYCMNNRPVQFQVISDQAASLQNRFGQVNYEDRNYNIGLPVFTIHGNHDGPSGVDNVSSNDILSAGNLLNYFGKTDLGCTGVGKVTVYPVFIRKGETYVALYGLGNIRDERLNRMLHEPHAVNWVQPETGDGTPAYDDWFNILVIHQNRTKGSPRNGISELLLPRFLDLVIWGHEHECLIDPQEVPGMVFHVTQPGSSIATSLINAEAKPKHVLLLEIKGTQYRPTKIPLQSVRPFEYAEVVLEDQVDVDPTDEATIHVHLHQIVSNLIEKARESAATGSLPKLPLVRIKVDYSGFSTINSKQFGQNYVGKVANPQDILVFKKSGKRCKVTQGSTNSSGEVDINELNQQTIEALISESNLQMEILSVHDLNSALHDFVNEDDTRAFHSCLQQSIDEARNKLTTATEDSTNIDEQQIACLLDQNMQDPEENGSSSSSQQAGRKRRAAPGGGGGFAAAAAGRRKTDLTSFQRAPTKEDDADATKKRRAPVAAGRYGAVIRRR >KQL02679 pep chromosome:Setaria_italica_v2.0:VI:33934187:33937475:-1 gene:SETIT_013856mg transcript:KQL02679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASRALLLSRAALSPLSSAAAAASSSHRLPSLLRPLAAAASLLPAAAAAPSPGAGIRCFATQPATSSLRDSSPNWSNRPPKETILLDGCDFEHWLVVMEPPQGDPANPDVTRDEIIKGYIETLASVVGSEEEARQKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVKNKDYGGEPFINGEAVPYDPKYHEEWVRNNARANERSRRNDRPRNFDRSRTFDRRRENMQNFQNRDVPPGQGYNSPPPPGQNQMPPREGPPHHAQGNMPPPPPPPHAGGAPPNYQQGGAPGYPQGGYTPGGAPPYQQGGAPGYQGGPPGYQGGNQGYQGNPGQAYQGGNPGYQGGAPGYQGGNPPPPYQGGNPNTPPPYQGGGNPGYGGGGPGYPGQGGNSNYQ >KQL00820 pep chromosome:Setaria_italica_v2.0:VI:5313847:5317780:-1 gene:SETIT_015409mg transcript:KQL00820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSAVQWWEEWQLRILVLGSLAIQCYLAFFASARKKHIRPLFRFSIWLAYLGGDAIAIYALATLFNRQRKVWYTSEDGSHDLEVLWAPILLMHLGGQINISAYNIEDNELWRRHVVTAVSQVAVALYVFCKSWSPSADRRLLAAAILLFILGVFKCSERPLVLKRNCFNSLVSSFHPSPRAKNINREVELEVYIQEARDFVHRNKQPPTMDSDATLPHMEQLSIPDKLLVDSAYAYTDRLNKLKSLWSLDYEAVYAALRKGLSITFDLIYSKEPQDTDPNREEDVDTDGCSIFLFFSVITLSVVAIILFHISHKQAYRRSDIRVTFSLLYITYLLEISSLVTMIISYSEWHDVVPQDSLTGFLARKRRNTWLMGVAESKPCYSSEDITGLVQGHVRNGWINYINDTESYWKFSDIRGHWTLERNGCEANLGGSIEKPFDESIILWHVATDFCFHHKGASPDSRPARLCREISNYMVHLLFANPEMLIPGSRTTLFTDAYNELEALLKDDDLTLLDEKQVTEKIIEKMGSSEEGNIVHDSWVLSQKLMELGEEKMWEVIEGVWIEMLCFSAGRCRGYLHAKSLGTGGEYLTFVSLLMSHAGLETFAERQQRIQLRLPKEERVKIAKKRIQEAASNQAMKEENAATPPATEANEQVRQEENPAAASASQGECVAPAIAHEFKIVVSP >KQL02051 pep chromosome:Setaria_italica_v2.0:VI:29454846:29455668:1 gene:SETIT_015675mg transcript:KQL02051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSCNRFGKEEATVASEGDELTLTPEIVASLPKGPHCPAFLGIHQYRGFWIPDSTLLLLQRVHAAFESRPTDILLLKALAFTTLRRTAHSPLDGGAAGHPLLSTTSHDLVKFIDTLQFLDDVDDGNTAPRLLSTHLTYSLLDRDTLVTLWHFESHMQLEARFQPSLPTADGGGSFEEAFERYYWGQCGLGPRRPGHVLCLRYEVLLRDTVGSLRTMAEFMGCPFSAAEEAAGVPRARHSRAV >KQL00510 pep chromosome:Setaria_italica_v2.0:VI:2686302:2691007:1 gene:SETIT_013354mg transcript:KQL00510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGLDFDKTRGLFCKIAVLRGGMDGGWDFDKIQGFFFAKLPGLLSIWAVRSPDPTAENCGRRGSMRCESLVQEQPQPLDAIERAKPGCLVGPGGSDWRSRPRFSPTRVSPPHNPASSLLPPMGKSNKKAAAAAVAAAPAAVPKGKKRDAADEIEKAVSAKKQKAAPPPKVDAKKAKKQPPPKKAESSSSGSEEEESESEEEVKVQTKKALPAKTVKQESSDDGSSDETSESDEEPAKKPAAKPSATIAKNGSKKGKQESSSDESGSDDESSEDDEAPAKPKAPAVAAKKEDSSESESESDSEDEDKSKTAKVAQPAKRAASKMSDDSDSDDSDSDDSDEEPPQKKQKDAAPSAAAKATAAKKETSSDDESDDESDEDSEEDEEDEEPAKTPKKEAPALTSGKQSATKEPKTPMDTQSQATGSKTLFMANVPFRAELEDVKEFFEAAGEVVDVRFPVYEDGSRKGFCYVEFVSAEAAKKACEEMSGKEMQGRAVRLDFAQERNAYTPRSGNDTGSFQKPVRGASSSVFIRGFDKNLEEDKIRSSLEQHFGECGEITRVSIPTDYETGAVKGIAYLDFKDQDSMSKALELSGSDIGGYELFVDEAKPKGDGQRGGGRSGGRSGGRFGERSGGRRGGGGRFGDRSGGRDSGGRFGRGGGGRGGNRGGGRGFGNRPSFGAASAGKKTTFGDD >KQL00292 pep chromosome:Setaria_italica_v2.0:VI:1091245:1094437:1 gene:SETIT_015403mg transcript:KQL00292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRNLVLLRLVSLVDESEPFGEVVHDYFIYIADPSSQRTPLLRRLPPCTKYNNYFERQVTRVLPALAVGLLCHGEDEFAVAHLDIRSRKKKSGSRKKKSGIQAELCVLRSSLSCIDDAKWETKILPIQYQYDDLSYDFLYWAVDGVVPFKNALCFVNYCRGILFCDGVFEDSPKVSYIRLPLDTYIRGADGEARKGMYHGLCVTEGGHRLIFVDVARHDGKWYGRSMPNTGFSLTYRTLKMSGNCTTSWEWNEDAVVTSDELWHANTMESLPHDIVMLPLLSMDKANVAHLSLLDWDGGFSLVSIDLSNMQVMGPVITYLKGKDDTADADIVKEKKGLCGHFIPSEFPKFLDLRKRENHP >KQL00404 pep chromosome:Setaria_italica_v2.0:VI:1837054:1838157:1 gene:SETIT_014762mg transcript:KQL00404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPMSDDRHSWYGGCHGFAICSDKINCGYWIIIKLVKSVVCSRCWMTCLVLAISGRRSLTYLFLGVDGYVCSNLMQFGDPAGI >KQL00732 pep chromosome:Setaria_italica_v2.0:VI:4427785:4428739:-1 gene:SETIT_014362mg transcript:KQL00732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMDLSLGTLVEDTQEVQEEHQEEEQEDDLYQQRALGQDLQHGGGEPSHATEREHMFDKVLTPSDVGKLNRLVVPKQHAERFFPAAGAGTQLCFEDRGGVPWRFRYSYWGSSQSYVMTKGWSRFVRGARLAAGDTVSFSRVAAGGGSRYFIDYRHCQRRRHDISFGDAAAMPAAWPTVTRRGGATVAVDTALVPVAGHGIEVGPTAGARSFRLFGFNVECSGDDGAAASAASAELEYVDGDS >KQL02196 pep chromosome:Setaria_italica_v2.0:VI:30808350:30810738:-1 gene:SETIT_013779mg transcript:KQL02196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVVHAAGLGTSGSGLTLNPVAERALSRRASTLSTPMSPPPAFGSIVTVLSIDGGGVRGIIPGTILAFLEEKLQELDGTDARIADYFDVIAGTSTGGLVTAMLTAPNKENPKRPLFAAKDINKFYLEHCPKIFPSGGGGPLGLFKSIMSGPKYDGKYLHSIVRELLGETKVSDVLTNIVIPTFDIKLLQPTIFSRYDAVNDVSKNALLSDVCISTSAAPTYLPGHQFETKDKDGKTRAFNLIDGGVAANNPTLLAMNDVSKQILLGNQDFFPIKPADYCKFMVLSLGTGSAKVEEKFDAVQCSKWGILGWLYNKGATPIIDSFNQASSDLVDIHASVLFQALHSEKSYLRIQDDKLKGETSSVDVSTAENLNRLVDVGKALLKKPACKVNVETGKNEPDGNRGTNEKELIHFAKMLVDERRARLKKKGSTIL >KQL00190 pep chromosome:Setaria_italica_v2.0:VI:409273:414820:1 gene:SETIT_013119mg transcript:KQL00190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEAMNGQLKILVSRFLASAGISSSKEEGSDSWLDIVTSLSWEAALLIKPDGTMGKDMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSYHSPRLLLLKGVLGHSDEKDLLERAIGKMMEMCSPNVVMVEKTVSRNIQELLLKEGVTLILDMKLNRLERIARCTGSPIISFSEFLNKPKLKQCDYFHIEKFTEEHNTTSEGGKVPSKTLMFLEGFPCPLGCTILLKGANSEELKKVKQVMHFTVFAAYHLILETSFFEDQRVFLNDKNIPKENSVSSMEGLSTTAFDLAALGGAIPNFPSHDDSPALRLFHPTSNSYVDVNKTLRSPRNLDAPSSITSSSDLQEGASIRYDSSPSTNSERIASGVPGPLRKLFADNLCHQNIYLPVTSLQETNDKQKEVRVQSSQETLSNGFHTPKVEESAVSSENEESTNGTQKQEITQAIMQTGSSASDKSGESPATVENGAHSGTSIVIKERDVDDDQADEALDSHSILILMSSQCTEKQIICEQSHLTRIKYYGNFDVSLGRYLQDILQNQKLSCSSCGEPPESHMYSYTHRNGNLTVLVKRLEPQHHLPGESEGKIWMWTRCSRCDHEHGISKSTPRVLISAEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSRVAMFRYSSVKIYTTCKPQPTLQFINPIRQDWFEGQRRHVHARGVTLYSKVATLLQKLKNEHSDVIRVAINCGLSLPIKDFAELEELLIKEKASFEGSLDKAIDQNGRPSSSVQELLNINWSYQDLLLRLYIWDRRLHQLFYCKSVGLETAANCKNPADIVNEISDGNFEIGKKISRFTYNETMTAFVAARVTESASNKLYLDHQSGDTGAPSLDGNLEAGNSELSCNGGSKDEESSIGPSQIDVDSTTEAPKVPCFEISNEKGVQRNVTVADTIPVEQEPSSSPQQFKYQYWDSTERWIWNPIDESQLAYRNDIQDGYLDEFEIVNHYKPSYLPPLFEQQDDAYPPQFTVGPGSNILCVQEDEISSIIARALAMSDERHHLKDSSFENEMENYRGEHARTMEKSFSFMSASSYSSSQWSSTGSQESEASLSSISSIASDDFSGYDSSSLLSPAHPEITVNGKVTFRGKYSVTSIYANEFYELRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTMDDRFIIKQIKKTEFESFIEFAPHYFQHVFHSLDTGSQTCLAKILGIYQVKHIRHGKEVKIDLMVMENLLFGHNISRIYDLKGATFSRRVADSNDHDTVYLDQNYVEDMGVSPIYIGGRTKHLLQRAIWNDTAFLTSVNVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPREYKKRFRKFMAKYFLTVPDTWSPDIPSKQCKSIGHSNHKSVEVQNGDSLLQHPNEAEACA >KQL00189 pep chromosome:Setaria_italica_v2.0:VI:408844:414820:1 gene:SETIT_013119mg transcript:KQL00189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRNPSASSVDNHSVKSGDDSDGAESTKGSDTEISRLLNDTIWMPPEAADKEDEAESFDDDDYSDGIKWGHSSFPSPGKEHDASPSNPREEREKAMLEAMNGQLKILVSRFLASAGISSSKEEGSDSWLDIVTSLSWEAALLIKPDGTMGKDMDPGSYIKVKCIASGTRRQSEVIKGLVFKKNAAHKHMPTSYHSPRLLLLKGVLGHSDVGLSSFNSMDQEKDLLERAIGKMMEMCSPNVVMVEKTVSRNIQELLLKEGVTLILDMKLNRLERIARCTGSPIISFSEFLNKPKLKQCDYFHIEKFTEEHNTTSEGGKVPSKTLMFLEGFPCPLGCTILLKGANSEELKKVKQVMHFTVFAAYHLILETSFFEDQRVFLNDKNIPKENSVSSMEGLSTTAFDLAALGGAIPNFPSHDDSPALRLFHPTSNSYVDVNKTLRSPRNLDAPSSITSSSDLQEGASIRYDSSPSTNSERIASGVPGPLRKLFADNLCHQNIYLPVTSLQETNDKQKEVRVQSSQETLSNGFHTPKVEESAVSSENEESTNGTQKQEITQAIMQTGSSASDKSGESPATVENGAHSGTSIVIKERDVDDDQADEALDSHSILILMSSQCTEKQIICEQSHLTRIKYYGNFDVSLGRYLQDILQNQKLSCSSCGEPPESHMYSYTHRNGNLTVLVKRLEPQHHLPGESEGKIWMWTRCSRCDHEHGISKSTPRVLISAEARNLSFGKFLELSFSSHSAARRLSICGHLVNRDCLRFFGLGSRVAMFRYSSVKIYTTCKPQPTLQFINPIRQDWFEGQRRHVHARGVTLYSKVATLLQKLKNEHSDVIRVAINCGLSLPIKDFAELEELLIKEKASFEGSLDKAIDQNGRPSSSVQELLNINWSYQDLLLRLYIWDRRLHQLFYCKSVGLETAANCKNPADIVNEISDGNFEIGDTGAPSLDGNLEAGNSELSCNGGSKDEESSIGPSQIDVDSTTEAPKVPCFEISNEKGVQRNVTVADTIPVEQEPSSSPQQFKYQYWDSTERWIWNPIDESQLAYRNDIQDGYLDEFEIVNHYKPSYLPPLFEQQDDAYPPQFTVGPGSNILCVQEDEISSIIARALAMSDERHHLKDSSFENEMENYRGEHARTMEKSFSFMSASSYSSSQWSSTGSQESEASLSSISSIASDDFSGYDSSSLLSPAHPEITVNGKVTFRGKYSVTSIYANEFYELRKKCCPSELAYITSLSRCKKWDAQGGKSKAFFAKTMDDRFIIKQIKKTEFESFIEFAPHYFQHVFHSLDTGSQTCLAKILGIYQVKHIRHGKEVKIDLMVMENLLFGHNISRIYDLKGATFSRRVADSNDHDTVYLDQNYVEDMGVSPIYIGGRTKHLLQRAIWNDTAFLTSVNVMDYSLLVGVDKQKHELVFGIIDYLRQYTWDKQLETWVKTSLVVPKNVSPTVISPREYKKRFRKFMAKYFLTVPDTWSPDIPSKQCKSIGHSNHKSVEVQNGDSLLQHPNEAEACA >KQL01214 pep chromosome:Setaria_italica_v2.0:VI:11048822:11051813:-1 gene:SETIT_015754mg transcript:KQL01214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDSDSANDCGSYSSPPEYEPSLPRSRRRLDENDPEYDPTTDHQNICGAIVRDKFQTWITTRNCKKVPTSTKDVLWATVKERFTFPEGQEKFARNFAKGLLGRCFRNWRSIINKEYVQKGKNTRDDFGKIHPKMWEEFKQQKNMPEAKTLSEENTVKAMKAVENPHHLGVGGRAGLPDMFAGLDERSRNWVLARIPTLTPNDKVKFKHPSIELIDKRLEQLTEVQKKGLFKHDRLKDQLTAAIGIAKHSRHVRGMSSILPWGKAFPNDQASYSKRDRFIAPSSASSIANVRYPVDNIQVDTPCRLVIPYGKKQNKFREVATSMAVTGHVFPKAPPPEYAWIDIPTDEGIEVLGDAMNQYLLRHRRDIVLNALPETSRPSQELPLLDSNVDTEQPMLSHVQGANYEDEQLMLSPVLEALNEYDGTLALEGDKWVDDLEVNDPTSPSPASPPPKRPMGPWELNKVHGWIMNVMKQDIRAITIYVPTKVFLDVLPYQIVIDFENLHRLYHQQHLDMNLIFVWCLMQWREEELMHGRFKVAYLDPTRISEPEHKLKMMETIKTQIEGANTQAKKDAIKKAHREEMHKVSVYIAKVMKKKSDKDYIMAPYGFEHHWICIIILPKLGEAVILDSASYHRDRYKDFIGIIQNVYKLYILKGG >KQL03035 pep chromosome:Setaria_italica_v2.0:VI:35889356:35892014:1 gene:SETIT_014084mg transcript:KQL03035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIRSCSSFFRGLLISRAAWRRHYPLFLALRPPVPPPSIPQPPVRFSSSSSSSRRSTKRSAAKTPMDSSAAGEPFYVVRKGDVIGIYKTLSECQAQVSNSVCDPSVTVFKGYSLLKDTEEYLAARGLRNALYAIDAADVRDELFDDLVPCPFQQPDGTASSTLKRSHEIETGPSKKHPKVDEQEQLPDSHLSCILEFDGASKGNPGKAGAGAIIKRLDGSVIAQLREGLGIATNNAAEYRALILGLKYAAKKGFKYIRAQGDSKLVCNQVQDLWRARNDNMVGLCKKVKELKGTFQLFQIRHVLREFNSAADAQANFAVELPGMS >KQL00367 pep chromosome:Setaria_italica_v2.0:VI:1641711:1643798:-1 gene:SETIT_015313mg transcript:KQL00367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DSRWQSMRNVIISIYQPSHVASLIPAIQPYVERAGRLLHPGEEITFSDLSLKLFSDTIGQVAFGVHFGLTKDMATSPPPLQEPAAAKSVDPATDFIRKHFHATTTSLKMCLSGSLSIVLGQFVPFLQEPLRQLLLRVPGSADRRLEETNSAMSGLLDEIVAERAAQADGDQKNFLSVLLNASESTEVTKKLLTPDYLGIPVAMHPEVEEKLLREIDAFGPKDVVPSSDDLQTKFPYVERFLKETMRFCTASSLVTREASEDVEVGAYLLPKRDIICATVGTWVWLTPGVLAKDPKDDFLDPDMFRPERFVPESEEYVQAKAPLRVHPLRHRPRACIGQKFAMQQLKLVVIHLYRNYIFRHSPRMEFPLQFQYFILVNFKYGVKVQVIERKN >KQL00602 pep chromosome:Setaria_italica_v2.0:VI:3394218:3396350:-1 gene:SETIT_013333mg transcript:KQL00602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVDVVHLWKEWGLHVLVLLSFTLQLTLLLLAEFRRRFDSGVLRAFIWSAYVLADSIAIYTIGHLSATSQAAEHQAMALWAPLLLVHLGGQDNITAYAMEDNRLWLRHLQTLVVQATGAAYVLYVSLQEPAGDGRHRPLLRRAAILLFVVGVAKYGERVLALMRANSNPSGKSYRIADRRSTTFCGHSQLKETDTEGLLQVAHSMLDVAKDLIKVPLPFVFVDMEYRDEFRGDVLCRVAEMQLSLMHDVLYSKAEVIHTWYGLCVRVFSWVATADALFLFHLHLVGSNSRSSSSRKDAIVTYVLLAGAVVLETVSGLRIMLSTWTWHLFFEFRLRRPSIRCSCWRIDVAFAALRRCIHAADYLPRTWSGSIGQHNLFQMCSSTAARPIVAKVAQLIGVEDWWNTFAYSHSARVSPRIKGLLVEQVLESVKIPDDNLSHIRNSRGRAALERWASAHHDAAVPAWKAWLFPKTEDDEEEARAGVEQRGYSGSGKKKLVLESVVGVEFGESILVWHIATDAYLCWYRRQQETQKGQKEEDNDLARAVQELSNYMLFLLAARPYMLPPPASRTGYARACSDLIRSAGSSYIASAEDLVRAVALKPDPDSTPDWDRFEQVRHGVYNGSVKKGSDICRCLIDEELQAAPAAAGMMLKLIAQVWLEMLCYAGAHCSAHSHAMQLSNGSELVTLAALLVEYCERGKMLPSGSTSGTV >KQL00567 pep chromosome:Setaria_italica_v2.0:VI:3060446:3060724:-1 gene:SETIT_015869mg transcript:KQL00567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVLISVSFTARQCIISSSLANVPNKCHHRCFLNVENTH >KQL01640 pep chromosome:Setaria_italica_v2.0:VI:23907608:23911260:1 gene:SETIT_014604mg transcript:KQL01640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIRFVLFVNKQGQTRLAQYYEHLSLDERRALEGEIVRKCLARTDQQCSFVEHRNYKVVYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKVHFMLEEMVMNGCIVETSKQNILAPIQLMEKAS >KQL00230 pep chromosome:Setaria_italica_v2.0:VI:683271:684158:-1 gene:SETIT_014767mg transcript:KQL00230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILETGRGKCICPPSASSPKIQYWLYPLPCKIKFTQFLTMMSCLFRCTYTNMLGFQCSPEEIRSRRRQHRGVLLPFGREINA >KQL02685 pep chromosome:Setaria_italica_v2.0:VI:33968554:33974826:1 gene:SETIT_013566mg transcript:KQL02685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRAPMAPTNWSKRRRPCCLRLPPFCPSAGDVTRSVSAIRLAADRRLVAPLPRRAHAQTAPHGHAAIAACPGRKAPTPATHPAAAAAPTRLPPCPDTDTPTGPRQIERHLIHLPLPRLPALLRSTLPPSPVANLQPRPTPIAPEMLGVARRRLGSRCVLGQLAQALRPAAAAAAPARTYSAAAKEITVREALNSALDEEMSADPSVFLMGEEVGEYQGAYKISKGLLDKYGPDRVLDTPITEAGFTGIGVGAAYHGLRPIVEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYAHVPGLKVLAPYSAEDARGLLKAAIRDPDPVVFLENELLYGESFPVSDEVLDSSFCLPIGKAKIERQGKDVTITAFSKMVGYALQAADILAKEGISAEVINLRSIRPLDRATINASVRKTNRLVTVEEGFPQHGIGAEICMSVVEDSFEYLDAPVERIAGADVPMPYAANLERMAVPQVDDIVRAAKRACYRVVPMAATA >KQL01549 pep chromosome:Setaria_italica_v2.0:VI:21506152:21507354:1 gene:SETIT_015705mg transcript:KQL01549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALPSSWENLPPDLLDLVLHLLPSLADRVRLRAVCRPWRAAAQRKPRLPPPLPCLALRDGTLVDLQGAPVRCAPILRERVFRYLAVDDLAFLVHDDGACSLTNPLSGILSSPLDSTPDPFIAIIIMEGYGIAVSACKQLDAISAMIPDPQRTRSTRRIYDIAFFNGKLYAITEYDGLQALELDVGRLHEPNSSSRFHKCIAEDPKQQRIYRATDDIDYLVLRYLVECSGKLLMIRRWMSFPHEARVGDHDRTSWFEVFETDLATVPGQWINVDSLDGLAIFLNSECSKSVLASKCAGGVQEDCIYFMHRVFDNPSMQYFGPCVNPLGDSGVCNMRDGNITPLLPEAVMTELRCKQQYLTWFFPTGS >KQL00945 pep chromosome:Setaria_italica_v2.0:VI:6693013:6695431:1 gene:SETIT_014280mg transcript:KQL00945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFDGGREGSGQGEELEEEEEGRRGNSAISERHPVPRDASHAHPLIIPAPTSTSLLLHLITLISLTPSFVLNLVGRAQLKVKTSSSLPSRVRRYQFNSWGSCLGMQDQLICSGCKRVLEYRRGATGVCCPGCNTFTAANPSGSEMSELVCGGCFTMLVYNRGAANIRCPHCGRVNSTRSAANQIGHLSCGHCRTTLAYPPGASTVGCPTCRCVNPVRNNNSGGSARPAQSDARPQTVLVENPKTLDEKGKLVSNVAVGVTSWKR >KQL00944 pep chromosome:Setaria_italica_v2.0:VI:6693013:6695431:1 gene:SETIT_014280mg transcript:KQL00944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFDGGREGSGQGEELEEEEEGRRGNSAISERHPVPRDASHAHPLIIPAPTSTSLLLHLITLISLTPSFVLNLVGRAQLKVKTSSSLPSRVRRYQFNSWGSCLGMQDQLICSGCKRVLEYRRGATGVCCPGCNTFTAANPSGSEMSELVCGGCFTMLVYNRGAANIRCPHCGRVNSTRSANQIGHLSCGHCRTTLAYPPGASTVGCPTCRCVNPVRNNNSGGSARPAQSDARPQTVLVENPKTLDEKGKLVSNVAVGVTSWKR >KQL01810 pep chromosome:Setaria_italica_v2.0:VI:26498949:26501952:1 gene:SETIT_014482mg transcript:KQL01810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAEVVLEVHPQPPPPPREPAVALPDLAVPKLLQYLYLASAWVACAGVAAATAARRALGDDSPVTYAFLKVSIGALAFPALLVLIVALRLLRAMCAAGFRLSLRTFAREIQIHSSKMFGALTWKVLLNPAVLVVLVSFLFFLLLGAGVLVLGGLLPVEESQREKIGSALFDTGVLGAMAMSCFVIIPSFALKLWRSK >KQL02008 pep chromosome:Setaria_italica_v2.0:VI:29009225:29013643:-1 gene:SETIT_015023mg transcript:KQL02008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEAVEFFDGPTTFTDLVDRAMRKYGCRVHEMTLRGRFDCGKARAHYVLMNLASDSNWKHYKDVVHKANVACLEVVVEIVHMPSPNVVLSDEVAVVNRNGTQESEILQHVLGDTESTFDLAIANDDFPNDTFERDEANIDDDDISMGSEGSEFKDDGVEDVEGEEESPSQSGGHKNEDEESQYQEDAPQFDTATVHDVEGIGRMDEYFSYTQNELRLLKERDVELPSVPNDKDISMVHKAICESSMVNFEGIPFNENPVIKKGMKFKSLEELKFSWLTTHKDVIGVYVHVQCTAKYLGRRILGIIRKDSETSVPSVVESIFAFSGYRVKYSKAWQAKQHVVALLWGDWRESYGMVPRVLTAMAYYNPRVEWFTDSLCMISDRHHGLLNCANNHMDGFPPLVHRWCMRHFAANMSRWQKNDRVIGKLNTLCKVHTETEFDEKLEDLVKDLNDDAKEWLKGEIEDEDKWAQAFNEGGMHWGIMTTNYSESLNVVFKGIRSRPISGVMEYSFEKCNIYFVDQWQKARAMLDEGHRIGKVADEFISEAELRSVHHLPELYGPERMVYSIRGSGTTNVGVSCTCNVPQLLHLSCSHFITACKARGLNYESPLYMSPLYSREHTVRIWEYSFQPYLDPSQWPPYEGVKYVPNPNLMRNKVGRRQKKHLRGDMDVSQGRLSAHYGTSDFDVDKMAVPAYPLLEAMYDSQHHAHHLANLHEDLKPLQARVHSPFRWDERYAHYLQRAGFLNIAVQVVVGLAPMDGPLLTAMIDRWHPETHTFHLPFGEMTITMQDVPMILSLSLEGTFLLDAAGNTVSWMVLPLLDQDWDNICLYSWGSAVLAWLYRQLCEACRCTARDSNIGGYAYIL >KQL02036 pep chromosome:Setaria_italica_v2.0:VI:29311040:29312115:1 gene:SETIT_015426mg transcript:KQL02036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSSSAGLVGEVDDFYFSVLAHGRNDDDDDELFPISDEKYAAELQLQEVIMSSAVAATAGSSSSLPRRSSTASNNAAAALASSAHGKGECSYASSSSRPSTFLADAAATSLVFCKICMDAVPPSDAHRAGRGCAHAFCGGCLARYVGAKIQERIADIRCPEERCGGALDPELCQGILPREVFERWGAALCEAMLLGAKTTYCPYKDCSATMLVDGDGDVRDVAEAECPSCRRLFCARCGVAPWHAGVTCAEYKRLGKGDRGKEDMMLLEMAKGRRWKRCPKCEYFVEKRDGCLHITCRCGFEFCYGCGGTWGITHSRCSTA >KQL01442 pep chromosome:Setaria_italica_v2.0:VI:19598881:19603057:1 gene:SETIT_015609mg transcript:KQL01442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALWDALAPAGAVAQLVGTDAAGLVSATLQAVRTARRNRKECHTLARRVMTVGDLLQLVVQHGRRSSSGTCRPRSTPTSFVGSATSTSPSVSIGFSACLSLRTPPSRSWRWYQPVLPRAIWNLKLGKIQLTGLNGAGVIGSIPVGGACSFCCAGGVWSFCCTEELAPSPSTSRRGRRRKKRQTS >KQL00434 pep chromosome:Setaria_italica_v2.0:VI:2080207:2080665:1 gene:SETIT_015714mg transcript:KQL00434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHFLLIVLVASILHVAYATAAATSANLTADAAATAYDILEKNNLPRGLLPKGVQSYNLSPDGKFEVTLPGECDFPVTFGGQDFKFRFASTVGGVIQAGSIHEVYGVRVQIKFGWLGLRQVDRAGDQLTLQVEQFTQTFPTSTFAVSPSCS >KQL02363 pep chromosome:Setaria_italica_v2.0:VI:32003621:32007021:-1 gene:SETIT_014058mg transcript:KQL02363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSDPATAAGQAPAPAAASAASSSGLTFKLHPLVIVNVSDHHTRVKAQAACSGDSSSSSGAAAGQPPRVFGCVIGVQRGRTVEIFNSFELVLDPVSGTLDRTFLEKKQELYKKVFPDFYVLGWYSTGSDVQDTDMQIHKALMDINESPVYLLLNPAINLSQKDLPVTIYESELHVIDGSPQLIFVRSNYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRVRVIHQYLVAMQKGEIPVDNSLLRQVSSLVRRLPAMESQKFQDDFLMEYNDTLLMTYLAMFTNCSSTMNELVEKINTSYERPATRRGGRGAFM >KQL00377 pep chromosome:Setaria_italica_v2.0:VI:1698343:1702026:-1 gene:SETIT_013219mg transcript:KQL00377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKILVSASVGVMNSLLGKLATLMGEKYAKLKDVRKQVAFLHEELSSMAALLEDLADMEDLDNKTKQWRNKVREMSYDIEDCIDEFMHRVGGSCDGKGLLRRLKTLRARHQLANQIQELKIRVQEASARRMRYKLDDCKTRSGGVAVDPRISALYAESSRLVGIDGPKEEVINLLEKQVDDASVQELRVVSIVGFGGLGKTTLANEVYKNFGESFACKAFVSVSQRPDMVVLLKSLVTQILGRGTDICEVNGLIDSLRKYLQDKRYLVVVDDLWDASAWEIIKCAFPEGHYGSKVLTTTRIERVAVTCCNFQWEFVYRMKPLDNHSSRQLFYGRVFGLENTCPHPFEEPSEKILQKCGGLPLAIISIASLLASQSNRSVSQWNCVLNSLRSDLRSNPTLEGMRQILNLSYTHLPHHLKTCLLYIGMYPEDHHIKKDHLVMQWVAEGFVCGIDGRDALEIAGSYFNELVNRSMIIQLVEDRALRRERIYHKVHDMVLDLIVSKSAEENFLCVVENIETVTRRQHCKTRRLSLQLGEAELDKIAPRMSLTHVRSLCISGLRHRSIELSELKFIRVLFVCKVDGLDLTPIGKLFQLRYLNVTSYLELSMQLPKQICGLHHLETLVIGGLLSELPHDIVDLPALSYLKVSVWMAYPDGISKMKSLRTLKGFDPSKQSVDNLRALGELLNLRELRMCFTDDSFPAMETHKDALFYSIQKLLNGNLRHFTVSSLDQRHTGYYDGWNSLCLSDCRLEQLHLQFQFPRLPMWVGQLSTLSNLEIRVDKLSKDDISVLAGLPALAHLVLWARDVPDEVIVFSSSAAFRSLDYFEYRRAGHSFHFQAGAVPKVETLRFQLVAREVKTCGIRLAGVEHLTNLKRVAVGLWYYRSEESSDLPMIEAAIRAFFDGHHPGRPVIHVTSYDFDDASSFM >KQL02110 pep chromosome:Setaria_italica_v2.0:VI:29998489:29998710:-1 gene:SETIT_015466mg transcript:KQL02110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKKLYYCLDVHMFQYVVFTIRFQSVCVQVGHKQSDYEADDIGYREDREQRFINQLVFLGHVAAQSIAKFPVS >KQL02293 pep chromosome:Setaria_italica_v2.0:VI:31535856:31536790:1 gene:SETIT_0141601mg transcript:KQL02293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVFADFDPSTVAKMDEDAVAEISGNKELKLAECRVRCIVENAKCIQKVAKEFGSFSGYMWGHVNHRPVVGKYRHHKYIPFRTPKSEAVSKDLVRRGFRLVGPVIVYSFMQAAGMAIDHLVDCFRFPECVRLAERSWGITNVAA >KQL01551 pep chromosome:Setaria_italica_v2.0:VI:21545324:21557520:-1 gene:SETIT_013460mg transcript:KQL01551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSSPSPSAAPPAAVDAGRYTFSPKLRWQPEVEEYFAAAYGRDRFARISEALAHPSRYSCIRVNTLKSSTDTVMQKLMDLVCENGVSDGINGLEIVEQNGGDQSHERSSLIQKCPYAGLENVLFVQGSGPHVLHYNSQPDQSVKEIIVSRKCAESVLRGAQVYVPGVLACSSHVEKGDKVAVSVAVEQPVKDGGWAVGVTRGTVLQGLQTDAHYEERKGLYIGQGTAAMSRSGIFRVPHGIAVEMTERVYKLPSFNDVLEGEIFLQNLPSVVAACVLDPQPGERILDMCAAPGGKTTAIAILMRDKGEVVALDRSHNKVMDILKLASEMDLNCIKAYKLDALKSVRKTDEATVVGMADGHCEAIKTLAEDSDPCHATVDDRATNVVEDSSTTTVAQSDGKNPESKRYVSKAELRKNLRRMKNGPGRNNCSGGRVEKSKGFFPNSFDRVLLDAPCSALGLRPRLFAGEETLESLKTHARYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDTYKFLSLASQNPKVGGPGIVGSYELFNKTYTEEWLTEHEAELVQRFDPSSPVDTIGFFIAKFNVGEKED >KQL02190 pep chromosome:Setaria_italica_v2.0:VI:30758854:30762928:1 gene:SETIT_013479mg transcript:KQL02190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKDQRRPPSTKTAPVVMKASATNATAQGIRNRNQARRDRKIALQQDVDKLRKKLRHEENVHRALERAFTRPLGALPRLPPYLPSQTLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAIITSMAKSAYFPDGDRCTPARQNKSPAQVQQSSKVSTSTRQASDQDAADWSSLKRATNAKQTPRRPGRSLSQGDCPGKENQSCGTNSCRDFGRAPLSNVPKCRIPPAEKCAGVQTTSTVEDHKAIDGSNGIDSDMASTAANKVSEELLTCLMAIFSQMSTSRSHDEEQASSPSVSGSCESSSDGACAGTGDPYGVLEFGCRDIGWYKQFRSVDAASFDTNVSAGDAAALGRRLKALLRKLSSVDLVGLSHQQRLAFWINTYNSCMMNAFLEHGAPTNPHMLVAMMPKATINVSGRVLSAMTIEHFVLRLPYGAKHVNTEAVKGDGTAVLGLEWPEPLVTFALSCGSWSSPAVRVYTADHVEEELEAAKREYLEAAVGVSPAGGLAIPKLLHWYLPDFAKDVGSLVDWVCLQLPRDLQRDAVRAVEAAAAAGRLGGPTAASRRPVRVLPYEFRFRYLLAL >KQL02921 pep chromosome:Setaria_italica_v2.0:VI:35283394:35289629:1 gene:SETIT_015363mg transcript:KQL02921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVERCRIWWPRQELRLEQGPGSARLVLFGWLFSSAGSLDIVVSAAVPQDQILRYFATPDALQTIVLSSNKKMPVSLQESATFTILGDCGRHLPGELEEYCCTEQLPLDTQFVQRQHFGTSRNNVTIGSVGNGDQHPSYDHRRWGCDCCVLDGFLDAFRKSAVKEGSWVHFFCKSGKSFKSNLNQVPVFCHLYSDGQQVDINHCHVILYEVPTFGRNHFSLGVDAPRKLKASFKKPNWINDLQKQPSFLDLLDCQLLRNAQPAALGLISPLHLSSTILYIMILMFRKCLSHMFQYLMLHKVFKHSWNNIHLRSWNCCTSILLIHVTFIPRIFVLHSRYPWFSSTVNVEYAHKAAIRKHALWSSIAVDLLMGFVLGAAFLLHTETICSCTIDLVHHMTDAILRSGCVWLMGVPAGFKLNTELAELLGMISLNAVQIYSTLWFFVGGYLRHIIRGIALSGIILGLTAPVSFFIDIIQLATLHVTMLHWLISSIYSRQIQTVASLWRLFRGRKWNPLRQRLDSYDYTVEQHVVGSLLFTPVLLLIPTTSVFYVFFSILTTTVIWVCVMLEIVIAVIQSTPYAELTLWVTRRQRFPAGVFFLHVPSSSGRTFEDDDLSAHPVRGFNERKTKDTVDEQSESLVSELDCNYATLVQVIGSNYERVFNRTGFSFCKQLAYGILSGERVPSSLHLQPSPSFPWMNIGITEYWMHCRDSVLSCAPKR >KQL01274 pep chromosome:Setaria_italica_v2.0:VI:13209008:13210129:1 gene:SETIT_0138762mg transcript:KQL01274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQFCTTGGVDKHRRPTQTRQSRCHEGTGSTTSNVAPGQHASHAGTSSFAGHPLSSVAAKNTPPRRGIRLPHFPLSRAQSSPERVKAERPEANLPEAKPPRGHDPAAVAPSTPQPPQGLSRRRAASADNQPNTSGAATASLAGALTRERIRRRGEADAGPGGQEALPFWQKTWFLALLLAMAAASFALALLLYLGLDLPEAAPAQSYAADPDTVVEITYGSVIKLMHERTKFRLHSHDVPYGSGSGQQSVTSFPNVDDANSYW >KQL01355 pep chromosome:Setaria_italica_v2.0:VI:17268626:17270283:-1 gene:SETIT_015699mg transcript:KQL01355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLKFSPSYQQTGISSLSETLDPGRGRFVEDRCRDLYNTKPLNRISSLSSLTAPQLLIGGPWASGGGCLALRPSSSDPFLILLPVPSLSWSFRSSAVRRTAGRRTAGFGGRGSRGQERILKPARVRAPHDESTADSVISEGTICPLAIPRLSKARCGGRRYSQRRAAPVYLAPAGRCHPHSLLLFRRLAPGLVFVSWSRSVASFCDGLPNPYLEVRKSIVRISFDWKPKPEDKRFMVIAGIILSIEDDGSAVIAANSKFFGMKKRFVVNFPNATGYEQELDVRKPIKSAGGFYIFAAKPSENGYIKAVRFETQSVQVGDPVHSFVFPREGYITPTGYCRGSVIDVCCRVLFHDCDMHEYAYLGSPLFNLSGDLVGITYLDQGHWQAWTVWELLDTFKKWKSTIVSKGIEEPAGAEPQVEE >KQL02198 pep chromosome:Setaria_italica_v2.0:VI:30831286:30834042:-1 gene:SETIT_013832mg transcript:KQL02198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASSAESARWMPPDNLKLVTILSIDGGGIRGIIPATILAFLEAKLQELDGPDARIADYFDVVAGTSTGGLLTAMLTAPDTNGRPLFAAKDLARFYIKHSPKIFRQKNHIRSKIASKLRMLSGPKYDGKYLHALLRRYLGDMRLDRTLTNIVIPTFDIAYMQPTIFSTFELKHQPSKNALLSDISMSTSAAPTFFPPHYFETKDKNGRRKAFNLVDGGLAANNPTLCAMNQVSQDIILGNEHFFPMKPADYGKFMVISLGCGSNRNRRYCAKVAAKWGIFSWLIKNGTAPIVDMLNSASADMVDINLCVLFRALRSSENYLRIQYDQLTGSAGSIDDCSKENMDKLVRIGKRLLNMNVSRVDLETGRIVEVPGLGSNAEQLTRFAKQLSDERRRRQDELIYSEVGFQNLAW >KQL00697 pep chromosome:Setaria_italica_v2.0:VI:4063691:4066203:-1 gene:SETIT_015168mg transcript:KQL00697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRNTNPFDDENINPTANAAVATTSASVPSRKSWIPAGLGGSGKHGATIDIPLEDPKKKERELLSWEQDLKRREQDIKRREDAMNRAGVTVEVRNWPEFYPIIHHDIANEIPIHAQKLQYTAFASWLGLIACLVWNFFAVLAESIHSEDIVIFLLAVIYAISGCPLSYILWYRPLYRAMRTDSVVTFGQFFVCYSIHVGFCVIAAIAPPIIFRGKTLTGILVAIEVLAGDMFTGVLYFIGFVFFTLESLLSIWVLERVYMYFRGHR >KQL00185 pep chromosome:Setaria_italica_v2.0:VI:386075:388815:1 gene:SETIT_013771mg transcript:KQL00185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIDLVSLDSLNVALSIQGPRSMATDHVSAAEESIPSWMLLEVCAYIDKVENATTAISKTSDNKHIQVTFCPRPPPSLSHCCIHSPDGAYMHRNPHIVAVEDDLALIRVDSSDCSLVQDYYVYQASDKSGKPSLTLLPPSPHRLCFQPKDIGMLRRPGKEYIVAGFRCLPLAYPCGGLTLCVYDSKRADWKLYALSLSLQGRQEYGDKSFLHKNCKVVTIGGDAAGTMAFVDLWRGMLFCDVLRLEHEAARQAQGEAIPVASPSCFIQKKAIPVLGYVSLPDELRRTAKRGDARLYRDIAFLDGHLKCVDLLSRSLWIRPATTSGVWSQQYKFRSFKEIEVNNPGTNLFPGQRGVYRESFRHLLVCQPVVGLQDDDAARILYFTLKVDQTDDEASVLGVDMESKKILGVAPFFSRYGTINFNYVHTRLSRHFPISR >KQL02697 pep chromosome:Setaria_italica_v2.0:VI:34023669:34025726:-1 gene:SETIT_014139mg transcript:KQL02697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFSSHHSALLLKMPAALTNGHSPNLSSLLFYGQNHGQGAPANANAASGTAAAMAEDASLESSSAVVDTSPQGSASPMDRKRKATEDSATLSSAHSKDCKQEGKSKRGKRPHKEAEEKSTTDDEAPKGYIHVRARRGQATDSHSLAERVRRERISERMRMLQALVPGCDKVTGKALILDEIINYVQSLQNQVEFLSMRIASMSPVLYGFGLDSDGLHDHAQKMGGMIQEALAMPGPVLSQASPTPSQTIMDTTTTSSTPYSLQGQGAISFSQDNGSNYLMQQAVGEPTRQELLNQLVFNNMCSFQ >KQL01081 pep chromosome:Setaria_italica_v2.0:VI:7962346:7966022:-1 gene:SETIT_015605mg transcript:KQL01081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRDRKRRRRRRHRQIQAHKGSISSLGKRKGSPCQQDGEGDSQAGKIMRSSIPNPDLPENTIGLKKNAYGENFHHKISHILRNHSGISLKTFKLDYSGMCRREYNFPCSLLSDGVRNSLRYLKLRFCALHPTSELGPFRSLRSLCLFFVSITWEELECLLSNSLALEHLDLTHCKEIIRLKIPCTLQQLTSLFF >KQL01608 pep chromosome:Setaria_italica_v2.0:VI:23010229:23011958:-1 gene:SETIT_015378mg transcript:KQL01608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGYCWVDQYKLSLSTMTGGWVHFHVMDCFSKMLSCHQQLIANLEGHIHQKYFEQNTVNILMTKSLNLECFKVDFLELVGFSLYNAGLVHIPCFNLNQWLLIVANFMHNNYNVIEFQLQYISVPKYKFRYDSGIYRIQFMQTYNGTRTVAFSNEDVIVIRAKFLYQLCTSQFNKTKSEFIRKFLKDNNKRARTYDWSSKVPIMPKL >KQL02788 pep chromosome:Setaria_italica_v2.0:VI:34534816:34539345:-1 gene:SETIT_013146mg transcript:KQL02788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLLLPVVRGVVGKAADALVQSITRMWGVDKDRLKLERHLVYVQSLLADAEAKSETNHAVRTWMKELKAAAYQADDVLDDFQYEALRREALSGQSMASKILSNFTSKNRLVFRHKASRDLKNVLEKIDELVTEMTKFGLVALPEAPPQALPRQTHSALDESMEIFGREGDKDGVVELLLDQQDQQDVQVLPILGMGGVGKTTLAKMVYNNDKIQKHFELRMWHCVSENFEAIPLVRSVIELATNSTCGLPDTIELLRGKLQEAIGRKRFLLILDDVWNEDQNKWEDDLRPLLCSSIGGSGSTIVVTSRSRQVASIMGTLPPHELVCLSEDDSWELFSKKAFSKGVQEQAKFVKIGRCISNKCKGLPLALKTMGGLMSSKQQIEEWEAIADCNISDTNRGKDEVLPILKLSYKHLSPEMKQCFAFCSLFPKDYEMEKDMLIQLWMANGYLHEEGTMDLTQKGEYIFNELAWRSFFQDVILVREPYFMHASKQEINGCKMHDLMHDLAKDVANECANAEELIQQNMPVNDVHHLHIRGNYQLNKISQLLGGTMYLRTLLMPPSSYKDLMKSKLMPSRALSILCRDTSIVHMLTRTAHLRYLDLSYSSIVSLPNSICMLYNLLSLRLNHCSLLQYLPEGMRTMRKLCHIYLLGCGSLERMPPKLSVLHNLRTLTRFVVGTKDGCGIEELEDLRQIGGRLELYNLQEVKCGSKANLHEKHNLNELLFMESLVPHDKLKSLEVHSYGGLTISQWMRNPQMFRCLRELIMSGCPWCKDLPIVWLSSSLEHLSLRDMESLTTLCKNIDLEAEADNTSLQIFPKLKRMELIALPELDRWAENSAGEILSSVMFSQLEKLVIGNCDKLASLPRLPVLTYLNLFGREGNNSTGALISMRMPLGSLPSLIHLKISLLLVDVVMPPDDQESPSQRPLNTLRSLELEGDNVFITIFNKSKLQLGLRDWLVSVEELNISCNIVRWPVEELRCFPHLRALHICRCSKLDGILPLLPKFPASLEEIWIDNNRSLVALPSNLGDLTKLRRLSVRWCVALKALPDGMDGLTSLELLTIGDCPGIEKFPQGLLHRLPALEYLSIYGCPDLQRRCREGGEYFDLTASIRDKYIEAIHKGHVRKWFLPSCGGGSQGN >KQL01867 pep chromosome:Setaria_italica_v2.0:VI:27329148:27330049:-1 gene:SETIT_014541mg transcript:KQL01867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTWAAHLPSPYLFAMLCSAQSLKLRQAQDVERLDQSPAATEMACPPPARRSGDGEVCRAAGSAQRRRRGLPRRRLAEAGGRPHLQQLEPEEELPGRLVLLLDPPHLAVDRRRLRLILLRLQNAPFTFLRHPPLPLKHLALKNFWLCCFQGLGEGQLMVGIAYGLGLDRS >KQL01022 pep chromosome:Setaria_italica_v2.0:VI:7425614:7426318:1 gene:SETIT_015108mg transcript:KQL01022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHQRSVSLPSSPRSNEASIEEQLQGLKSIVCSPSATIETMVDGLSKIGSIYSRINEVTCLPSSQRKAVEEELDRSLVLLDLCNAMQESFAELKTNVQEMQLALKRGDAASVQTRVQSYARSAKKAQKQCKKISNKASFDKEGCRVIKLIAEAREIAVSIIESALHLLSKQIAMPSSSKWSLVSKSFQKKRVVCEEEQLQGLELDIVDLESRVGTLFRTLIQNRVSLLNTLSL >KQL00308 pep chromosome:Setaria_italica_v2.0:VI:1231592:1232353:1 gene:SETIT_015508mg transcript:KQL00308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLWARARGWKTHAVINCPHARMVLKNMRKAWPLPDEKQLQTPGPDWLLLILHKLSKEEVAIFLMILWRNWTDRNELTHGGRFSEFGSLLRLEAMCNSTMLVSSLKRGDAKMKNILGLPIKDQARMKYSPISQTPVRWQPPDRGWIKINVNASYIEETGQASAGYLVRNDQGVVIVSGWRLLFHCSSADEAELLACKEGLAIAQQWSHEPAVPETDSANCVAGIAWKKLERSIMAAILQDRYKRPEGGIREVG >KQL00781 pep chromosome:Setaria_italica_v2.0:VI:4903888:4909564:-1 gene:SETIT_015752mg transcript:KQL00781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNYYEEHSISSSNADDMDERRSTISVSPEASADEETFSFFRLLCYADTVDWLLMALGTMGSIIHGIAFPVGYLLLGKALDAFGTNINDQEGMVHALYKVVPYVWYMAAATLPAGMVEISCWIYSSERQLARMRLAFLRSVLNQEVGAFDTDLTTATIITGVTNHMSVIQDAIGEKLGHFVASFSTFFAGIIIAFISCWQVAMLSFLVIPLILVIGATYTKKMIGISLSRNAIISEAISVVEQTLSHIKTIFSFVGESWAMKSFVRCMENQFNLSKKEAMIKGIGLGLFQAVTFCSWALMVWIGAVAVSKNKATGGGTIAAIMSILFGAISITYAAPDLQTFNQAKAAGKEVFKVIKRKPSISYDKGGAVLEKIHGEIKFRRVHFAYPSRQDNPILQGFSLTIPAGKVIALVGSSGCGKSTVISLLQRFYDPTSGDIFIDGHSIKKLDLKSLRRNIASVSQEPSLFSGNIKDNLRIGKMDASDEEIIEAATTANVHSFISKQPNEYLTEVGERGVQLSGGQKQRIAIARAMLKDPPILLLDEATSALDSESEKLVQDALERAMRGRTVILIAHRMSTIVNADTIVVVENGRVAQTGTHHELLEKSTFYSNEQIGEAHIRQSSTKQGTKNKLDRVESKQPKKEIVREIHPFFRLWYGLHKEDILKILFGSSAAAISGISKPLFGYFIMTIGVAYYDPDAKRKVSKYSLIFFTAGMVTLVSNILQHYIYGVVGEKAMKNLREALFSAVLRNEIGWFEKPKNGVGSLTSRIVNDTSTVKTIISDRMAVIVQCISSILIATIVSMYVNWRMGLVSWAVMPCHFIGGLIQAKSAKGFYGDSAIAHRELVSLASEAASNIRTVASFVYEDEIIKKAELSLREPLKKTKIESMKYGVIQGISLCLWNIAHAVALWYTTVLVQRKQASFENSIRSYQIFSLTVPSITELWTLIPMVMSAIAILNPTFDTLDRETQIVPDKPENPSKGWLVGRTEFQDVHFNYPSRPEVTILDGFNLIIEPGQKVALVGPSGAGKSSVLALILRFYDPYRGRVLIDNKNIRDYNLRWLRKQIGLVQQEPILFNISIRDNISYGSEGTSETEIIQAAMEANIHEFISGLPEGYDTVVGEKGSQLSGGQKQRIAIARTLLKRPALLLLDEATSALDGESERVVISALGAKEWTNKDDQSSKITSITVAHRLSTVINADTIVVMEKGKVVELGNHQTLISADDGVYSRLFHLQSNMKD >KQL01560 pep chromosome:Setaria_italica_v2.0:VI:21697706:21700460:1 gene:SETIT_013239mg transcript:KQL01560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVGGVEEEEPRDSSEYKLRKQLLLLAALVVSVTYVAGLTPPGGVWQDDGPGVNAGGPVLRITHRRRFLSFYYCNSTALAASLVVIFLLLLKNPTRIQLAVLRLVMVLDLLGLMGAYLAGSCGDRPATVYATALVLALSAYVGVHILQGLSHSQPPPAPVESVGGGEEENLRRRAAASSSVLKPKERCKVLLLLATFTTALTYVAGLNPPGGFWDTLDGGRRGGYRPGDSLVEVHHRGHFRMFFYCNTTAFVASLFIIVLLLDKKLSARTARSFALHVFVLSALLGLLAAYDAGSCRDANCSVYVVSLFGAVLAFIFLTMVAIISLKGLCADPAPESNNNNRVHDDEATSPATSNAHGSVVNNAGEVSTANTIEKKAIKKVKSLVLLLANLAATVTYQAGLDPPGGFWPDDGEGHRAGDAILLSKDPARYKAFFYCNSAAFVVSLVVILMVQNVRLVKSHTLLVAMMLDMFALIGAYAAGSCRDLRTSVHVVALAGAVLAYVLVHVLFFTLRATDSDGTVPEKKHKRLLLVAILVATITYQVGLTPPGGFWIEENLRLGRHAGGAVLLDKYPRRFEVFFYCNTVSFMASIALILLLVNPNLSRLAIRCYALYACQVASLFGLMGAYAAGSARRLRTSIFALVLVVLVIAFFVANIIVFRLFKTRTSSASADAPAAAEEEEPTTARDAEETEYRDEVYAKRKYLMLLGILAASVTYQAGLAPPGGLWQDDGGGTQQREAGNPVLHDTDQRRYHVFFYSNSTSFVASVVVIALLLQQILRRHRPENHELLLLATNTAVVLDLLGLLAAYAAGSTREWGNVAVLPVLVLIFMAIHVAVWLFGERRRCSQGGGGGSASGRMEEQVVNGHDQSSHVENV >KQL01174 pep chromosome:Setaria_italica_v2.0:VI:10202093:10205906:1 gene:SETIT_015446mg transcript:KQL01174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEAGKKDDKGNIDEITQYREGLLELHLPDMHMVTFHKQDKIERVVKHPGADESMLTSYFAYNRVHEEARGILYHDFPEHFTWETVNGVLLPLFQEAMERRGLIEEDNTLYECLTENSLSQMPSSLCRLFTTILMVLIDIKNMLRSMGKDIRTFPLPEIDDTYDDATSIPHEIFEEASNDQNLQDRDAYNDIMSKVDTEQDGLFFVDGPGGTGKTFLYKALLGTLHNQNKLAIATTTSGVAAYIMPSGRTTHSRFKIPLTLEDGGCSSLIIWGEASMTKRQAVEALDNSLCDIMGRSKLSFGRKTAVFGGDFKQSFLFVQKGSRAQIVDASLRRSDLLEFMRHLKLVRNMRAQSDSWFADYLLRIGGGTKEVNRDGDVRLPDDIYNINMKMIGMFQGGEIVYHSFDSTINELHNYYPSEFLNTLTLNGLPPHLLKLKIGCLIFPFQFKRKQFPVRLSFAITVNKSHGQTIGVYLPALVFSLGQLYVAMSRAIARTNIKILALPPNVEAEEEEAKKKEKKNAKKKAKGQGNQNEKEQKGRSKKKKRIPIVDGMYTKNIVYKEVLTP >KQL01226 pep chromosome:Setaria_italica_v2.0:VI:11228767:11229907:1 gene:SETIT_015236mg transcript:KQL01226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPEVPIALRLSGHLLLGLVRIYSWKVNYLFQDCNRMITTIRTTFVAVEIDLPVEVEPAPFDSIRLPLTLNLDDLNLDDVISQRNTPANDQKTLDQITLAEREYVMIDLDEDDRIEPPACGLSPYMGPEPFEAGTFPRFDDGFGANNTLSDEIPLDPSPGNMLEKPNIETPSDGAQDPPEIMREAPQEEPDHLTDSVFGNDDLMVVDKYSSPFVQNKVITPPSMNGISSAGQQISGIYVPFTTPSTHDLIDDVGPLNSGWYLFGDTLCI >KQL00724 pep chromosome:Setaria_italica_v2.0:VI:4370878:4372795:-1 gene:SETIT_015664mg transcript:KQL00724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLDSELCDLREGCAHYTYEGTVHLEKALLDILTSNHSEHCALCPKEEEEQQKKKGTLCPKERSSSSKEEEEEEEEEEEEEEEEEERKRCCQALIEANSHSRVHAKETQHWWAANYSDPSTVYCFKCDREVPVKIPNLDKNVRTSEIDLTFRIQGLRSLGTESPFNAILQNLLSLKSLRKEMLKLEFPRTATIPIALRKLFVMTSWSAVRGAPDQEGLLSLICAAYPNYRMLDSEESFSCLLDALHKEEKEAWAGVPIAANSTVIDSAFGGQFSVTVSCNECPHRFSEDKQFFYLGLCTDPEPWICEKCTNRERPKDEEEIRGCNKGAAVRRVLIRSRDPSGVGSPTRSRLTQRIAAFSRST >KQL01590 pep chromosome:Setaria_italica_v2.0:VI:22575531:22577135:-1 gene:SETIT_014401mg transcript:KQL01590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEKGSLDLVLVPCGLVVMLSYHLLLLYRILRHPSTTIIGYENHNKAAWVRRMVRASPDETSLALSVISSSISASANLASLSIALGSLIGAWISSTTKVFMTGLVYGDRSQGTAAVKYISLLVCFLASFTCFIHSARYYVQASFLITTLDSDVPAAYVQHAVIRGGNFWSMGLRALYFATTLLMWIFGPIPMFTCSLLMVVILHMLDTNSLPLHQHQFTVRKRHEQQRVN >KQL02991 pep chromosome:Setaria_italica_v2.0:VI:35684526:35685661:-1 gene:SETIT_015992mg transcript:KQL02991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSMASDPEEEESVASNAMQCKHQAAGNAGSNGTDLPASFIRLYLLLT >KQL00579 pep chromosome:Setaria_italica_v2.0:VI:3228154:3230148:1 gene:SETIT_015256mg transcript:KQL00579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPNSNQGSGTSCYERRMEYEEFGWYFLSKTLAEREAFAYVAKTGLDVVTVCPTLVIGPLMQSSISTSVKIFLNYIKDEEESVEHKFEHFVDVRDVADALLLAYENPKASGRYLCSSAPIRVSDIVNILKNSYPTNNYQKSIFVETENSTRCNTEKLEKLGWSSRPIEKTLHDNIESCRALGILN >KQL02058 pep chromosome:Setaria_italica_v2.0:VI:29546102:29546611:1 gene:SETIT_014761mg transcript:KQL02058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAISRSRGSARRWRRGRCGSNSAALFLGTLLLGAGSVALHPRAPPIVVVDDDHLTRVTETIAITAFAHDLCIILQGLEGLTSH >KQL01997 pep chromosome:Setaria_italica_v2.0:VI:28872497:28877403:1 gene:SETIT_013427mg transcript:KQL01997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPLASWPWENLGTYKYLLCAPLLAKAVGGRAWESGSPDRWCFLLLLLFVLRAATYHSWGIFSNMLFLNRRRVIVRDGVDYEQIDKEWHWDNFLILQLWLAAMALYAFPSLRHLPLWDARGAAVALLLHVAATEPLFYLLHRALHREHLFSDYHSLHHSIRVLQPYTAGLATPLEILAISGLMAVPVAAACAAGLGSACLLFGYALAFDFLRAMGHCNVEVFPGWLFETVPAARYLIGTPTYHTIHHTDKDSNFCLFMPLFDLLGGTLNGKSWELQEKNSAGSDEIPGFVFLAHVVDVMSSMHSRMSSRYRASLPYRTRPFLVLMWPVAFVFMLMMWAWSKTFVVYFYRLRGRLFQTWVVPRHGFHYFLPFAKDGINKQIETAILRADKMGVKVISLAALNKNESLNGGGTLFVTKHPGLRVRVVHGNTLTAAVILREIPEGTAEVFLTGATSKLGRAIALYLCRKRVRVMMLTASEERFQKVQEEAPPEARQYLVRVTKYQSAQHCKTWIAGKWLSPGDQRWAPAGTHFHQFVVPPILRFRRDCTYGDLAAMRLPDDVQGLGVCEYTLGRGVVHACHAGGVVHFLEGYEGHEVGAIDVDRIDVVWEAALRHGLRPA >KQL02551 pep chromosome:Setaria_italica_v2.0:VI:33155341:33157174:-1 gene:SETIT_014284mg transcript:KQL02551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAVAAGMPPAHGGFRGSEKVNPDPDDAGSRRRNTNGFFVEEEEESGGAEAAVRRELEEEEEEALSENSSIGVASSDSSSIGENSASDKEDGDEEEVESKVEGLGLMGLGTLESLEDALPIKRGISNFYAGKSKSFTSLAEAAATAAAKEIAKPENPFNKRRRVLAAWNRRRASCSALATAYLPPLLAPDHAVLEEDDEEGADDEDEDHQPGGGLRSRRPPTFPSPRLSVHTTGGQMGRNPHASSFRSPRSFSMTDLQNAGYN >KQL00336 pep chromosome:Setaria_italica_v2.0:VI:1474878:1475921:1 gene:SETIT_015020mg transcript:KQL00336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPTTVSTCTPETEQGKHVFEIFGYSEYRGMGVGELIRSGTFSVGGHDWAILFYPDCPCDYITVCIKHLSQDAKVWASCELRLVDQTTGLASFLHKDGTRLFNPGDYFLGSGLSKKRTQFEASVYLRDDHLTIECILTVKKPRVSTTQFLNKIEAPPSNIMEQLGKLLGEENTTDVTFSVGGEIIGAHKILLAVRSPVFRAELYGPMKESKGQHVTIEDMQPAVFRALLHFIYTDSLPDVDKNVGEFNSELIWHLLVAADRYAVDRLKSVCESILSKNLDVETVSTTLALAYQHNCDRLKDVCLGFVSSSSVMDAVVATDGYKNLKTTCPHALIDMLEKTRRFHKT >KQL01288 pep chromosome:Setaria_italica_v2.0:VI:13794518:13795024:-1 gene:SETIT_015099mg transcript:KQL01288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSQTSFSERTNPLFLMAKDLSQEFSAHVAIVTFSLTSEPKAYEAPTANSVLRTYLPEIHSLSFPAWYEMVGEATGRVDGMKREVAHQAAAWSKILVAQTSVGKQNWWEVDVEALRVQRLVVFVKALEVLRIDVQCHLDVMESSRKEKMSPLILFY >KQL00789 pep chromosome:Setaria_italica_v2.0:VI:5028127:5029723:-1 gene:SETIT_014919mg transcript:KQL00789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVMITRSKRRRREDDESHRQEEEEDAGRLDLISRLPDDVLGDVITLLPAAEGARTQVLSRRWRPLWRSSPLNLEAKNLAAAQAILGSHNGGPGRRFSLTCPGYYYADGCPVIDDMLRPPGLDGLQELCVSVKHALWDPAPSSILRFSPTLRVLSVSSHRTRLKFEAAACCTLDFPRLEQLTLKGVSISESILHGILSRCLVLQSLVMQYNHGYRRLRISSPTLRSLGVSDWVYRGKEGKLQEVIIEDAPLLERLIQEGLQIRVVQAPKLKITGYLADGISKFGTWVFKKMELVTLPNAMRTVKILALDVSPHNLEVVIDFLTWFPCVEKLHMVLGHRKKLTNVQAKSMNVPRHVSLECLDEHLKMLEVKGYRGNVPQVRFIEFILSNARVLESLEFRTARGRCGPKWIASQRRKLLRRRAIASRGARFSFEPESDHCPPSSVPIKHIHNLALGDPFDIGPTHDDLSL >KQL03039 pep chromosome:Setaria_italica_v2.0:VI:35907282:35908741:1 gene:SETIT_013905mg transcript:KQL03039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSEWDRHGLGWDLTELILSKLPLRSMVRASAVCRAWRDVLLAHHHHHHHRPWLFLHGHNNVVPRLGRTAFAYDPAAPDSWVSFSLPPGCFAGAGGFAFASPSPSRLAFAPLLREGDAAWRHAPTLASSRCSPVVAALPSSSTDTSSGVFLVVGGARFVGGLVDIEDGRLPTELYHHDVDTSSRWEQCAPLPAEFGSSSSLCLSSAVVGAGRFFFVYGTYSCTVSAFDLSRRAWTPARELRPAPGLVAAFLASGRGGRRLLLAGVDQGGAGAFGVWDVDPETLAARKIGEMPPELRELMMSISQEDVAVRCVGEDGLLYVVSDEQHRAYPACACEVVGDGGGDRLWCRWSKLPPLPVAGSSALISRFHKMVAFCSPVLLRHHLVNLN >KQL03054 pep chromosome:Setaria_italica_v2.0:VI:35965971:35970278:1 gene:SETIT_0131292mg transcript:KQL03054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAEDGRTSDIRSRGDGGGGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYRQPNQEELHFTRTITGAGGSEYRIDGRLVSWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEEQKARAEENSALVYQEKRTIVMERKQKKAQKEEAENHLRHQQDLKLLKTEHSLWQLYTIEKDREKMEAELAEDRESLQQVQEENQSAENELTAKKKEQSAFLKKMTLCEKSIAKKKLELDKKQPELLKLKEQISRLKSKIKSCKKEIDKKKDDSKKHLEEMRRLESALVDVRKALEELNEKGQDKSGKLQLADDQLQEYHRIKEDAGMKTAKLRDEKEVIDKKLNAYVEAKKNLEENMQQLHSREEELSSQERELQTRINKILHSIPKHENELAQLREEHNRIAKERQSSGSRYQTLKQRVDEIDTQLRELKADKHESERDARLKETVGSLKRLFPGVHGRMHELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIK >KQL03055 pep chromosome:Setaria_italica_v2.0:VI:35965971:35970278:1 gene:SETIT_0131292mg transcript:KQL03055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAEDGRTSDIRSRGDGGGGRIHRLEVENFKSYKGTQTIGPFFDFTAIIGPNGAGKSNLMDAISFVLGVRSAHLRGAQLKDLIYALDDRDKEAKGRRASVRLVYRQPNQEELHFTRTITGAGGSEYRIDGRLVSWDDYNAKLRSLGILVKARNFLVFQGDVESIASKNPKELTALLEQISGSDELRREYDELEEQKARAEENSALVYQEKRTIVMERKQKKAQKEEAENHLRHQQDLKLLKTEHSLWQLYTIEKDREKMEAELAEDRESLQQVQEENQSAENELTAKKKEQSAFLKKMTLCEKSIAKKKLELDKKQPELLKLKEQISRLKSKIKSCKKEIDKKKDDSKKHLEEMRRLESALVDVRKALEELNEKGQDKSGKLQLADDQLQEYHRIKEDAGMKTAKLRDEKEVIDKKLNAYVEAKKNLEENMQQLHSREEELSSQERELQTRINKILHSIPKHENELAQLREEHNRIAKERQSSGSRYQTLKQRVDEIDTQLRELKADKHESERDARLKETVGSLKRLFPGVHGRMHELCRPSQKKYNLAVTVAMGKFMDAVVVEDENTGKECIK >KQL00298 pep chromosome:Setaria_italica_v2.0:VI:1176035:1177135:-1 gene:SETIT_015388mg transcript:KQL00298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLTASLLLLLMAMAARPGVAKLLYDQIEVTWGGDHSFYYMEQPGVDVLALCLEETNGGSGFASKDAYLFGRFDIDIMLVANNSAGTVATFYLLPDEVPWQYHDEIDLEFLGNATGEPYTLHTNIFVDGAGGREQQFRLWFDPTTDFHTYSIEWNPKHIIILVDGTPVRAYKNHGVPFPTWQRMRLHGTLWNADEWATQGGRVKTDWTRAPFYAYYRNLRVTPCVPSPGVAWCGDEPPESAWFERRLDKAALKEAQEKHMIYDYCVDEKRFKEKGLPKECTTE >KQL02902 pep chromosome:Setaria_italica_v2.0:VI:35184284:35184807:1 gene:SETIT_015175mg transcript:KQL02902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTLKFKLYLVTIVDVPLLVYKLYQINPPSVHELLLKYLFMYRKVRFSTQKSILQKLQKHIVSTKLHVSVIP >KQL02350 pep chromosome:Setaria_italica_v2.0:VI:31955299:31955790:1 gene:SETIT_016008mg transcript:KQL02350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITICGVTAVIWCVILAIPFHFVLLHYSHGIKVILLVYSLTVIFEPLIVSN >KQL02754 pep chromosome:Setaria_italica_v2.0:VI:34369372:34372381:1 gene:SETIT_013193mg transcript:KQL02754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLPREFFSPTIMGLVQKVLTALALWCLITNTREIAACITEERDALVAFNTSLNDPDGRLSSWRGDNCCNWSGVRCSKKTGHVVQLDLGEYTLQGEINPSLAGLTNLVYLNLSQNDFGGVSIPEFIGSFTMLRYLDLSGAHFAGPVPPQLGNLSRLQYLDLSGSHMVTVDNFHWVSKLTSLRYLDLSWLYLAASLDWLQAVNMLPLLQVLLLNDASLPATNLNCFPQVNFTTLKILDLKSNTNLNSSFPSWIWNLSSLSELDLSSCGLSGEIPDELGKLTSLKSLALADNKLKGGIPRSASRLCKLVNLHLSRNLLSGDITKTAKSLLHCMKGLQILDLADNKLKGNLSGWLEQIVSLRVLDLSKNSLSGAVPASIGNISNLTYLDISFNSFKGTISELHFLNLSRLDTLVLSSNSLKIMMNHRWVPPFQLREVGMHSCLVGPQFPTWMQSQTRIEKIDVGSTGISGVLPDWIWNFSSSLTSLNVSRNNITGKLPASLEQLKMLTTLSMRYNQLEGSIPDLPTGIQLLDLSHNYLSGSLPQNVGGRELYYLLLSHNFLSGVIPTNLCKTVSMEVIDLSNNNLSGELPNCWKKNSNLYTIDFSSNNFWGEIPSTIGSLSSLVTLHISKNNLSGTLPTSLQSCNRLMLLDLGENNLCGNIPKWIGDGLHTLIFLSLRSNQFSGEIPEELSQLHALQILDFGNNKLSGPVTHFLGNLTALHLGSPVWDGSPFVEFMVYGVGGAYFSVYTDTLEAAFKYYDIFSIDLSTNQFTGDIPSEIGSLSALINLNLSRNYIKGSIPEELGRITELESLDLSWNNLSGSIPQGLALLTTLGELNLSYNDLSGKIPSGFQLDTFGGDSYLGNVNLCGAPLSRICLPNGSKHRHRKLHQHFDMVTYLCMLLGFASGFSIVLVILISSAAARKAYFEFTDNILNKLHTEADLKLHFNRALAGRNLSMPTESQNSLTCYHFEGPPSPS >KQL02930 pep chromosome:Setaria_italica_v2.0:VI:35361704:35363386:1 gene:SETIT_015936mg transcript:KQL02930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTIEEADKAIEMFNRYDISGRLLNVNRASPRGTRMERPPRQFASAFRAYVGNLPWQVDDSRLVQVFSEHGEVVNASVVYDRETGRSRGFGFVTMASKEELDDAISALDGQELDGRPLRVNVAAERPQRGF >KQL00323 pep chromosome:Setaria_italica_v2.0:VI:1365893:1366844:1 gene:SETIT_014717mg transcript:KQL00323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTGGLNRDPPTPAPPVRVRLLPPPTVEACRFLLAVQREFADRPDKVDDFLAILLDYCLMRIEVPGVVERMQVVLQGYPDLVREFNTFLPWGYALNLDD >KQL01818 pep chromosome:Setaria_italica_v2.0:VI:26785321:26785841:-1 gene:SETIT_016044mg transcript:KQL01818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFSTIRRNRERELLLKLRKQLGALWTSARAKYLAGIVDRHST >KQL02156 pep chromosome:Setaria_italica_v2.0:VI:30458911:30460478:1 gene:SETIT_015796mg transcript:KQL02156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHSSAATLPAGPPTCFTGFISSGSELYGRDRRHRRCQKRGGRSRSRGRRRHRRRSHDTETSSESDSDGDSETYESDGSRDRKRRRSKGHKSSKRSRKSPSRKTRRGGSRRKRSKRSDSEDSGTPDRATAYAKSSNVAKEEAIRIRYRETAEARSPPALGDEAPAPLVIKPIPLPHVDTAQARYGGALRPGEGDAITRFVQQGKRVLRRGEEGLSADEIERFEVLEKVGWSKG >KQL01877 pep chromosome:Setaria_italica_v2.0:VI:27453244:27453737:1 gene:SETIT_014654mg transcript:KQL01877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVVNKSCVRAILYLFYIWVHHVTLFHESHTPLPNSSKLQAAAPASLQTRANFKPWCRRATPNKLRQTRGSARTRRTPATCEVAPAERTAAMERPAERDSGGKRCTGKLDLSLGLRMKKKGKQEN >KQL02052 pep chromosome:Setaria_italica_v2.0:VI:29468900:29469568:1 gene:SETIT_015027mg transcript:KQL02052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDGAGGASRSDGEGSPRPAAERRYKGVRLRKWGRWVSEIRMPNSRERIWLGSYESAEKAARAFDAAAVCLRGSRAGSLNFPESPPNVRHVPGALLTPEQIQAEAVRHANQPASPLASSPSQQATTPGGRASTDRTALSQPSTYGSGASLAGGDDALDWSFMDTLPSMPAAGTNAHHIVPALDDFMYGSPHPVMPPSGEPSQDMMIDGDDDHTFISDDLWRF >KQL00280 pep chromosome:Setaria_italica_v2.0:VI:1012906:1014828:-1 gene:SETIT_014239mg transcript:KQL00280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSGSDKHSRASGRSAMASAQCFLCLTVLLAGTAATAKAERSECLDNPPDMSQRGGEAGVIVNDLPGGFKGYVTGAASSSRAIVLASDVFGFEAPLLRQIADKVSEAGYFVVVPDFFNGDYLDSSKNISEWIKLHSPVKAAKDAKPLFAALKKEGKSIGLGGYCWGGKFSVEMGKTSDVKVVSISHPYIVTTDDMKEVKCPIEILGAQYDEVAPPKLVYQYVSVLRHRTEIPYYAKVFPGVAHGFACRYNTTHPFAVKTAEQALGLMIDWFDKYLK >KQL01050 pep chromosome:Setaria_italica_v2.0:VI:7604895:7608075:1 gene:SETIT_015080mg transcript:KQL01050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSSILQENEVDAPLVSLSGKQISCGPSTSKPILGADAPQVSNLPKAPAVGKHKLPSKLLTFIVLHYSEVQRAKKRIADRQANRQANVQLNSVKLKAKELKQEIDSLDACLSSDAQCLKALKTERDQLILELDWVNEAIAEAQGQLNDYPIAIQEKKKELAASINQVCRQHQQVNDILGSEEEDLQLIADVDQIHLRAVQAIERAL >KQL01413 pep chromosome:Setaria_italica_v2.0:VI:19126419:19130795:1 gene:SETIT_015481mg transcript:KQL01413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDDDDGVEPHFEDVNEYYFEDGEKKPVCFSILPFQFDENDKVTYCNIEKNVFLRGIADKSLHVYKKVIAWKIGLDSEKPNICMLSIENKWIKLLKPRKCYGEFVRSVLITVQMLHFVRKRDQRSCLDHVWDHLDEVFGVYAHKVYPFAFCSKYDTKPMEDDLMKHHRLIKLFVENDVTLMKSKALGPEAQFIVDDEQHVTKNHGNHYHNNDDNGYASDDDDDDGTDQICALCDDGGELLRDHEIDKATRTARRNHIKFPAMPEGSTDK >KQL01696 pep chromosome:Setaria_italica_v2.0:VI:24986905:24988233:1 gene:SETIT_014150mg transcript:KQL01696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVRALQLIAREKKSGIVAPGSLGFVNAFSSASASQSQRLAGKVAVITGGASGIGKATATEFVKNGAKVVIADVQDDLGHAVAAELGPDAACYTRCDVTDEAQVAAAVDLAVARHGQLDVMFNNAGIGGNPVPPPLGATDLADFDQVMATNARGVLAGIKHAARVMVPRRSGSIICTSSVAGVVGLGSMGNLAYSTSKAAVLGMVRTVAAEMARSGVRVNAISPGAIPTPLALKTFAVWFPGKSAEEVRRIIEEEVNLMDGVVLEEEDIARAALYLASDEAKYVSGHNLIVDGGFAVTGSAK >KQL02516 pep chromosome:Setaria_italica_v2.0:VI:32986271:32987440:-1 gene:SETIT_015644mg transcript:KQL02516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKITSSMACSSMRPRRRRRVVTEAAISRDASPRTSLHEDLTSLVAWWVLTGDLREFHAVCCHWRSSIACPHGRGVADPRFHPWWWMLFPEGHGLYPGHGKLHRHDHCVLDSIDDNLLLQRDPDTTIRLLHPFTGDILDFPSLETLLPWVI >KQL02920 pep chromosome:Setaria_italica_v2.0:VI:35279254:35280083:1 gene:SETIT_014837mg transcript:KQL02920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAKEISETSRANRVIRRDINETLYGLSPIVMFKYMKDAPYQCTESKIGAV >KQL02355 pep chromosome:Setaria_italica_v2.0:VI:31971681:31972223:1 gene:SETIT_014777mg transcript:KQL02355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSSSSSANRHQIPAASRSWSWWCRAMRMGTRGGCSTGALVVGSMAVVANSTGGHGTKEAVTRREQEQGRSEDQ >KQL01227 pep chromosome:Setaria_italica_v2.0:VI:11370833:11373759:1 gene:SETIT_013837mg transcript:KQL01227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLLPLLSSLSFFLPFLLSVSAVRGLIAGPGGGKGPYRPRAGLTTAVQEARSLPPAQAAVQPYHRPRRRLVAGLRKKGGGGTERTLASQMSTGGVASGEAKPVEPAEEEERDWSEMTPVCLAEAFSRLALEDLWRGAMACCRSWRDAARSRPGLFAALDLEPGFAESTPGAEAAAWWTPAFQRRVDAMLRSAATLAAGELREVRVRHCSDDGLAFAAERSPRLSILSIRTSPAVTDRSMLIVGTCCTMLTELDISNCYEVSYRSLEVIGQSCQNLRVLKRNIFNWIDPSEHVGIVPEDYLRECPQDGDREAITISKFMPKLKHLELRFSKLTAVGLNSIPGGCKELEILDLFGCANLTSRGIDQAAANLKNLETLVKPNIYIPRSSFHMGRYGHWQLYDERFQTNVFQI >KQL01627 pep chromosome:Setaria_italica_v2.0:VI:23643881:23648902:1 gene:SETIT_014116mg transcript:KQL01627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRNQELEEVVPNDSDPLLGRENKEAESSSSVELSAPQPATVTPLEIEDEETDGSSAACCRICLESESEIGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVETWEDNSWRKMKFRIFVARDVLLVFLAVQLTIAIIGAIAYFLDRDGSFRNSFSDGWDRFLSKHPIPFYYCIGVVVFFVLLGFFGLIVHCSSFNDHQDPCLAGCRNCCYGWGILDCLPASLEACFALVLVFIVVFAILGIAYGFLAATMAVQRIWQRHYHILTKRELTKEYVVEDLHGSYTAPKLDPEHEERLKMLKLL >KQL01087 pep chromosome:Setaria_italica_v2.0:VI:8019874:8021491:-1 gene:SETIT_013643mg transcript:KQL01087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNLSPYPAKKGFIHCCCCIMGSMDYDIGKKPRPDQHHQQQQQRRSRAVVVLLFLTNAASILVFSGAGAALHAHVGRRYPAAVHAWGSSKLLRELNLTGLALAASHAEVVDLSNRLTAANKQLEAILGGGSAAKRDMEAAREEQRQAAAANGGLWRRERDLPAGGELRWAVGPHRRPGAGGEVMFPAVGQACHRHRGDLERYMNYTAGGECPSDEAFAQRLMLKGCEPLPRRRCRPRTPAGYVEPAPLPASLWAIPPDTSVVWDAYTCKNYSCLVNRGKAKGGSYDCKDCFDLRGREKDRWMRRAGNNDDDDDREENSLDYTIDGVLATFPKGTARIGLDIGGGTGTFAARMRERGVTVVTTSMNFDGPFCGFIASRGLVAMHLSVAARLPFPDGALDLVHSMHVLSGWIPDAVLELALFDVFRVLRPGGVFWLDHFFGLGAQLDATYVPMFERIGFEKLRWNAGRKLDRGVEMDEWYISALLRKPRR >KQL01762 pep chromosome:Setaria_italica_v2.0:VI:25617504:25619912:-1 gene:SETIT_014869mg transcript:KQL01762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGSSSSSMDANGGSKKDFSTAILERKKAPNRLLADDGEGSVVPDNSTVAITTAAMEQLGIYVGDLVLLRGKRRRETVCYVLPDDSCPEGRVRVSRGVRANLRVKLGDVVTVNRRLDVPNGTRVQVTPFEDSMDGISGDLFEAYLKPYFREYLRPLCKGDTFMVRGNMRAVEFKVVATEPADCVLVAQDTTIFCDSGKPVKREDEERLDGPGYDDVGGVRKQLAQIRELVELPLRHPKLFQTLGVKPPKGILLYGPPGTGKTLLARAIASESGAHFVVVNGPEIMSKMAGESEENLRKVFEDAEKLAPSIIFMDEIDAIAPNRDKTHGEVERRVVSQLLTLMDGLRPRAQVVVIGATNRPNSLDPALRRFGRFDRELDIGVPDEVGRLEILRIHSKDMPLADDADLERICKDTHGFVGADLAALCSEAAFQLIREKMDVIDVEEETIDVDVLNSLRVCNDHLKHAMEVTKPSALRETGLVEVPKVSWEDIGGLEDVKLELQETVQYPVEHPEMFEMFGMSPSRGVLFYGPPGCGKTMLAKAIAKECKANFISVKGPELLTMWYGESEANVRDLFDKARAAAPCILFFDELDSIAVKRGASLLTEMDGINAKKTVFVIGATNRPDIIDPAMLRPGRLDQLIYIPLPDEPSRLQIFRSCLRRSPVSRRVHLPALARITAGFSGADITEICQRACKLAVRDLVQRSLEVGKAVAMRGAEIGLGHFLGSLKHARRSVSDIDVLKYEFFAQRLKGGGFEEEPIIAAPMGKEPLTITEVEDDDTAMNDDSLY >KQL01972 pep chromosome:Setaria_italica_v2.0:VI:28555693:28557686:1 gene:SETIT_013722mg transcript:KQL01972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGDGDVKAGGVLQGRYELGRVLGHGNFGRVHTARDLRTGRSVAVKVLSKDKVVRAGMMEQIKREIAVMKRVSHPNIVELHEVMATRSRIYLALELVRGGELFARIVRAGRVREDVARRYFRQLVSAVDFCHARGVFHRDLKPENLLIDEAGNLKVVDFGLSALADHTRSDGLLHTLCGTPGYVAPEVFRNKGYDGAKADIWSCGVILYVLLAGSLPFPEDNIASMFKKMSRGDYRCPPWLSTEARRLIPRLLDPNPDTRITIAQMVETPWFKKSSSVPRPVNAAAEPPAEPACAGKDGGDKDEPPETLNAFHLISLSAGFDLSPLFDVEGGSARGHREGGMRFATRESASGVVSRLEEVAARGGGRMRVTKSGARGVRFEGAERGGPKGRLAVAADIFSVAPSVLVVDVKKDGGDTLEYRSFCSDELRPALKDIVWAAADPAPAAAAVV >KQL00173 pep chromosome:Setaria_italica_v2.0:VI:328250:328797:-1 gene:SETIT_015662mg transcript:KQL00173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPSGRHLCQTQYLKPHVWRG >KQL01211 pep chromosome:Setaria_italica_v2.0:VI:11034455:11034867:1 gene:SETIT_016054mg transcript:KQL01211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVIYVAYMFLESNPPSPPLCCPFDRPLLGHNRRLSLPLVPGSVLVARTSKIQEQTR >KQL00946 pep chromosome:Setaria_italica_v2.0:VI:6699675:6700136:1 gene:SETIT_015625mg transcript:KQL00946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHTKRRNKLQHARLKDLVFVKFNSKLKQKRENKNRDPIEKIVANILEDKDNEWITGSGPNANSEQEQEPSGAQGQGASSSQGDAAATQPKRRGVQLQQQGNRKRKKLIPVLEEVQTSSSESDNDDLDLDMPSPSSPAASDDDDDNSASFNLSD >KQL02648 pep chromosome:Setaria_italica_v2.0:VI:33752244:33756791:1 gene:SETIT_013747mg transcript:KQL02648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWDLKAPGAWDLAELEHDHAGGAAAAAVGPSGGHGNAAATAYRPPGAAECSVDLKLGGLGECELGAAPSSRERAAAAGKAPVAPAAGSSSPGPGAPAKRPRPGGGAGPQHHHHQQQCPSCAVEGCTADLSKCRDYHRRHKVCEAHSKTPVVVVAGREMRFCQQCSRFHLLAEFDDTKRSCRKRLDGHNRRRRKPQPDTMASASFMASQQGTRFSPFAAPRLDANWPGVIKTEESPYYTHHQIPLGTSNRQHFVGASSAYAKEGRRFPFLQEGEISFATGVVLEAPASARQPVLKAAAPPESSSGSTGGKMFSDGLTRVLDSDCALSLLSAPANSSGIDVSRMVRPTEHVPMAQPVVSGLQFGSSSWFSRPQASAGGAAVAATAGFPSCPVVEGEHPQLNTVLGSNDNEMNYGGMFHVGGGGASGGGGEGSSDGTSSSLPFSWQ >KQL03040 pep chromosome:Setaria_italica_v2.0:VI:35909229:35911148:-1 gene:SETIT_013404mg transcript:KQL03040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPSPPPPPPQIAHLLSQFPVIPKPDPDGPIPSQTPIPVAAPPPLTLALTPELCDALHQELAPSPDDDAYFANCLRRSQLHLQALAARLRCPSFPAAAPPPPIPPPRQELQQMPQEEPGAGGSTKKRARSVTEVVRVSNLGPPDQLHYRALVRRARLTFEALRGAYQRQEPSAGARNRADLRASSRMLSAGHWLHREVRIVGDIPGVLIGDAFYYRAELCVVGLHTAPQAGIGYIPASLVDEGHPVATSIVSSGGYLDDEDTGDVLVYTGSGGRQRNRVDHHADQTLERGNLALHNSYLYGVEVRVIRGHICELGPSTHRKVYVYDGLYRVVSSTFGPGKSGHDVCKFKLVRLPGQDELGSKSWHTAKQLKDAMDARIRPPRYISLDLSKGTEVLRFPVCNKLDDDRSPLQFEYTVRPEFPVPPAPGPGKRQRGCHCAMDCVSKCRCERKNAGGPVYNEDGTLVLGRPVVYECGALCGCPMTCVNRVTQRGMKHRLEVFRSPETGWGVRALDLIPPGAFVCEFSGDVVVMDDHSGKALMEDRCIIDPKRFPERWREWGDASAVDPSKRVPQFAEFGGPGYVLDVSHKRNVACYISHSCTPNVFLQFVVRGNEDERCPHLMVFAMETIPPMRELSIDYGI >KQL00246 pep chromosome:Setaria_italica_v2.0:VI:780749:784404:-1 gene:SETIT_014265mg transcript:KQL00246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTFFLSHGAPTLVIDDVPVRHLFESWLPERVAGDRPPRAILVVSGHWETATPAVNVIRGSNDTIYDFNGIPFPEPMYQLKYPAPGAPDLALRTKDLLEQAGFGPVEEDHSRGLDHGAWVPLLLMYPDADIPVWQLSVQTDRDGTYHYNLGKALAPLREEGVLIFGSGNAAHNLHKLGPMIGSPVPQWAAEFDTWLKDSLLNGRYEDVNRYEEKAPHGKLAHPLPDHLYPLHVALGAAGDGAKAEQIHESWTHGTISYASYRFTANS >KQL01408 pep chromosome:Setaria_italica_v2.0:VI:19066176:19084157:1 gene:SETIT_013171mg transcript:KQL01408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGHRRGIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDRDGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESADSITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLTKLRGVLFYKILEELHGHLYNNGEYSSVTLSMADSEDVPASTAAGRVLNSMQPLSRRTRSIKGDNHISGAVAADGFPKTSSIDGGSSFDGPDDDSSLDMRESDGRSRKDSKSISRQIPIFLSCAAPDEFIDSMIKADAPLNVKYLRTLVQCLSMLGKVAAAGAVICQRVRPTIHDVITSKIKAYSEEASKSSTDKAAKRTSDASHSDGPIPRFQMLKQKTKNGASVMAAQLVVSPISPAMAPTGDAQRAATQLLRSIFECLLDILENHIIVGDLLEQKSTSEVDNINTPHIVNGDASWNPDSESSQATGGFSVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRDGSEGLSFAFRITDSATSVPNEGQGWRRNSNVPQEGYGTASVIPDQGIFLAASVYRPVFEFMNKIGSMLPQKYSQLGSDGLLAFVDNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYDSLVELGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLEPANIYLQNSTSQPDNNVTDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFISPPTANHSQHGQHTRSSSAIPKGLASLANEYRRLAIDCVRVLRLEMQLEAVYHMQEMTKREYIEDQDAEDPDDFIISLTTQIARRDEEMAPYITESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRLDRVRTFYELLNLPFESLLGFIAEHEYLFSAKEYLSVLKVNVPGREIPMDAERRISQILGH >KQL01407 pep chromosome:Setaria_italica_v2.0:VI:19066398:19083388:1 gene:SETIT_013171mg transcript:KQL01407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSGHRRGIFDGLPIPADKSYLKEGLSRIDEGWAAARFDSLPHVVHILTSKDRDGEIQFLKEQSDLIEDVVDEVVHAYHHGFNKAIQNYSQILRLFSESADSITGLKGEMAEAKKLLGRKNKHLGQLWYRSLTLRHVLSLLDQVEDVAKVPARIENLMAEKQLYAAVQLHVQSMLMLEREGLQAVGALQDVRSDLTKLRGVLFYKILEELHGHLYNNGEYSSVTLSMADSEDVPASTAAGRVLNSMQPLSRRTRSIKGDNHISGAVAADGFPKTSSIDGGSSFDGPDDDSSLDMRESDGRSRKDSKSISRQIPIFLSCAAPDEFIDSMIKADAPLNVKYLRTLVQCLSMLGKVAAAGAVICQRVRPTIHDVITSKIKAYSEEASKSSTDKAAKRTSDASHSDGPIPRFQMLKQKTKNGASVMAAQLVVSPISPAMAPTGDAQRAATQLLRSIFECLLDILENHIIVGDLLEQKSTSEVDNINTPHIVNGDASWNPDSESSQATGGFSVAFSLSVVQSECQQLLCEILRATPEAATADAAVQTARLANKDPVKEKRDGSEGLSFAFRITDSATSVPNEGQGWRRNSNVPQEGYGTASVIPDQGIFLAASVYRPVFEFMNKIGSMLPQKYSQLGSDGLLAFVDNFLKEHFLPAIFVDYRKCVQQAISSPAAFRPRVHATSVYDSLVELGRPVLQGLLAVDIIAKEVLGWVQLMPNYATELVEYVRTFLERTHERCRASYMEAVLEKQSYILLSRNDVESLMRLEPANIYLQNSTSQPDNNVTDAEAVEVEIELSDLLLDMCPIKQENLIHDDQKLILLASLSDSLEYLADSVERLGESFISPPTANHSQHGQHTRSSSAIPKGLASLANEYRRLAIDCVRVLRLEMQLEAVYHMQEMTKREYIEDQDAEDPDDFIISLTTQIARRDEEMAPYITESKRNYVFGGISSVAANASIKALAQMKSINLLGVQQICRNSIALEQALAAIPSIDSEAVQQRLDRVRTFYELLNLPFEVTSLKLC >KQL00834 pep chromosome:Setaria_italica_v2.0:VI:5534849:5536025:1 gene:SETIT_015250mg transcript:KQL00834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKSAVAVLVFRANGKVESGSGFVVKSDLVLASSHCMGVVDLEDEDVLCVRRPKFPRGVEQLPATIIYRDIVMDVAVLRVAGLTCVSPLRFAPEEDATVGESVISVGYCDPDALLTGVTFSRLPSLSPGLVRPEGTRYICTHQGIELYHVLLSCVCMEGMSGGPILSRRGVIGMVNSGGRGAIEMGGHSYTEAKAPHTIIEVLKRCLVLQGILTMEEAIGLELTMEEVLDLIA >KQL02357 pep chromosome:Setaria_italica_v2.0:VI:31973231:31974120:-1 gene:SETIT_015790mg transcript:KQL02357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRLRRKGKAEPVYGYKIDPSLKIYWLLPGKTLADGLRIIAGDHDINVIASVVERYKILVVYFDHDDNIGGLDWDDIVVNPVSPLPKVITPHKVKVAKCKTREKLPIFYADIRNRKVEQDVGTSENEEESDSDSEYDNFVDSDYDLKDEDDDLFEEHVDDDVGEQLIGKGNKKAKGSMSIMM >KQL02392 pep chromosome:Setaria_italica_v2.0:VI:32217545:32218163:-1 gene:SETIT_014907mg transcript:KQL02392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFNEVIFTTAVLDIAFSSPAVAHKIKNPAAPQSPIDPPSLVYRVDLADLLSMASPFQTFLKLPAKDQSGITIFVPKDSAFASLKKNTLARLTKDQIKSLLLYHTLRKFYSLPELSKLSRRNLVAIFAGSRYTLNLTDDIGSITVMSVRSNTKMINALYARAPVAVYEVDKVFLPMQIFKSQPTLMMAPGWD >KQL00950 pep chromosome:Setaria_italica_v2.0:VI:6724927:6727623:1 gene:SETIT_015819mg transcript:KQL00950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHVLVLAVCFLVLLPGWACGLGSMSSIAVSYGEDGPVFCSLSSDGSHLVSCFGADASVLYGAPPNIPFLGLTAGDGFVCGLLLDTRQPYCWGSNSYVKSGVPQPMIEGAKYSEISAGDNHLCALRAAADGIHGANDGASLIDCWGYNMTATHVLAEAVSTISAGSMFNCGLFVRNRTVFCWGDETVSGVIRLAPRDLHFQSIGAGGYHVCGVLENEQVFCWGRSLEMQQVAPSSAIGDGDVNIVPMDAMVSVVGGRFHACGIKSLDHQVACWGFTLHNSTSPPKGLKMYVLVAGDYFTCGVPAETSLMPRCWGNSGPLALPMAVPPGICVPTVCSHGYYEYVNHGEVGLSKVCKPANSRLCLPCSTGCPEDSYESSPCNATADRVCQFDCLRCVAQECLSFCLSQKQTKSRKLMAFQMRVFVAEIVFAIILVLSVSVITCLYVRHKLRHCQCSNSELRLAKSTAYSFRKDNMRIQPDVEDLKVRRAQEFSYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGTVVAVKRAIKASDVKKSSKEFHNELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGNDPNLKRQLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSIMGPADSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVFLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDIFAILDPVLSPPSDLEALKKIASVACKCVRMRGKDRPSMDKVTTALEHALALLMGSPCIEQPILPTEVVLGSSRMHKVSQMSSNHSCSENELADGEDQRIEYRAPSWITFPSVTSSQRRKSSASEADITVRTTTEGRNAGSSIGDGLRSLEEEISPASPQENLYLQHNF >KQL02211 pep chromosome:Setaria_italica_v2.0:VI:30888977:30889466:-1 gene:SETIT_016040mg transcript:KQL02211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIPYRPLKLTKQSGCLFMVSFLSVNHNNCSTVYKIIKYA >KQL02569 pep chromosome:Setaria_italica_v2.0:VI:33271309:33272463:-1 gene:SETIT_014854mg transcript:KQL02569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FTHQFKLNYEVTNNVALGESVSSDDISAEGHPWRIDCYPRGGKQSIAGNLKPRGKGGYLSVFLEVVSESKDDVKAILGAFVMDRDGALSLSHHKGCVHVYPPKGSGNINDWAGHATIGATLACAETYNCPELKKKCIDFFANEKNFTKAVLTDGFVQLVQKFPSILAELRVKVGA >KQL00139 pep chromosome:Setaria_italica_v2.0:VI:176987:180081:1 gene:SETIT_013493mg transcript:KQL00139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLLFPERGDEEEGAALVSPPPPDDDHGGGGGGDGDHDEDPAAAKQQQQQQAWRRRAQKLLPTRSVGLVIGGLVVLGLLVGSATSWWIHLDYAKSFLLGGGGVRQHRRRPTHHVPSPAADLVPIPFSCGNGTSAATWTCRRRTGTAAAVLPPSPSPSPSPPPVAPSSQSQVHSPPRCPEYFRFIHSDLSPWRKTGITREAVESGRGRAAFRLVVLAGRAYVETYHRVFQTRDTFTQWGIAQLLARYPGRVPDLDLMFNCEDMPEVKRSEAPPPLFRYCKDDSTVDIVFPDWSFWGWPEVNIRPWAPLLEEMAAETARLPWPQREPYAYWKGNPGVSAERRDLLRCNKSSGDKMEWNARVFAQDWGAAIRDGFRGSNLAKQCHYRYKIFVRGRSWSVSDKYILACDSPELRVDTPFKDFFSRGLVAGQHYWPIDPARKCPAIKLAVDWGNAHPAEARRMAGEGSAFAREDLAMDYVYDYMLHLLTEYASLLRYKPTVPEKAVELCPEAIACPAQGREREFMMESRERYVAGYEPCTLPPPFTAQELSDMARRDQEVRAKVHKIMEQSQHH >KQL01797 pep chromosome:Setaria_italica_v2.0:VI:26288261:26289349:-1 gene:SETIT_014903mg transcript:KQL01797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLKPLLGAFEHIDAAIEAAAGAEGCRDEFRRARARIIEMICDAAAADDGAGGEKAEGLCALLDEAMAESVATLRAVPLEKTALASGGLIGAVDALMREHPSERVRGLAGDVVRGWRAGPKAEIARAMAKLDALPSTPPPPLHDDTAPAAGSDTKAKKTPEEQPRPRKSAVVSSSRRARTAESYAPLSKMRSDPIVSSSSRVSTAESYAPLLTKKSAPIVVANSSAKPSANMGAPTAVPAKPKKTPPVVPKKTPLVIVSSTAEEKKLEATKRKLHERYQEAEDAKRRRTIQVIKPPRPPPATTGQRQRIAHSGVRARGPASCASERIFNKSCSLRMRI >KQL02343 pep chromosome:Setaria_italica_v2.0:VI:31895787:31898248:-1 gene:SETIT_015035mg transcript:KQL02343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVSNGPVESSAESPAPAVEAVAKSSPAAAATKGRGLRRWRRIRREHEQQREGYAAATAAAVGGGGAGDEDSAQLHKRRLPVAAGAPKGKHEPPPAVEAESSTASVESRLVPPAKLDPGLGLLIAPAGEGRSSRSSTAASAPRVLPRHEHALLFQRERDRVSRPRVPGGASLHGRNPRAARSRADRPRVAYSAAVSAEADNSRSSVESDLRSSNALKARQLGGGLNGVHKVFSDYCDHSDEEQPSEEVRSTGYCKENGSSVVGRSIQISADPGDGVEDTSEEVSVGKGQNGRMHFGADYYNESTLSLLQRTQEALENEIEKIMAIGKEATDDFDVHDDEWSGSDHLEEPIEEVNERIKRLEFRLGEASALIKEKASRIHELEATSIENTNLLLLQSELDQLYQEKMDAEIRCTIQTRAYQASVTLPEDQMALYEAQKSLSEDYKQLALKLRHTENRATVLEEMAEKLQVQCKELSNSSEVLQLQSRASRVSLFCFVQFILLCIAIGTYLMRLSPSPSEVVPT >KQL02248 pep chromosome:Setaria_italica_v2.0:VI:31187501:31189878:-1 gene:SETIT_013760mg transcript:KQL02248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSFRSRSSSYRNNVPNSGFLDESDMQEQLNRLREELRKEKEEKAQALDEIEELRKTNTNRNKKLKSNGAEGQLDLTDRLQQLEGELEAAKDSEKKMLLSLEVQTKQLEQTKVSLEETKLEIASLRDSSKSLEAFNTGRQPVKNLRRRGVMSFSFADPGEVETWSLQRELKLAVESEEKCKKAMDDLAIALKEQTTEAREAKGKLLLAQAELNNARTEVENLKASLENAEDKLQLALEEAGRLKVESDELAAASKEKERGLVDCIKMFEGELSNGKEENEKLIESQRVVRDENSRLREMLKHAVGEANVARESLEIARVENSRLNEKIFEKESALQSIKQEYESLKISEAAAQSSIKELKDMVDAMFSSESTKTSAEASPRDAKGGEMKESSVAADDVYSHVERSTRLEDIKNPGKQKKKTILRKFSEVMKKRNSQNAI >KQL00934 pep chromosome:Setaria_italica_v2.0:VI:6589066:6591120:-1 gene:SETIT_015314mg transcript:KQL00934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVSVPRPLVGGTPALGVRPVAVEAPRAAGWILWAAYVAAEIAATSALSNLSLCGSDASPAEQQVIVFWAPFLLLHLGGPDNMTAYTLEDNVLSLRKWAEMILQIAVVLYAIWNYVYRSHSWDLLAASAIMLVAGGARYVERTRALWRANLDNMQDASKKLELEEGSGWSRSTDAVVGSTITRIQGRRNRELKDDEALLLAQDLFHIWRHALVNHPSTPDHLASAPAFSLGWESMCKVVEMEVSLMYDVLYTKATVAHTWAGYLIRLLSPLATAAAAAASLFWFHCNSNNHGRRIRGSFVGRDHLAPDGRRLRPRRGVAAESPRLDLDHHALCAGRWHQLRRAVVYLDPLRLVFGIDPVELQEEALHRGMDIFEGKGLPKFGREFEEDVIAWHIATCIFLSRIARKIPAESSAYVVEAIEVMSEYLMFLVAVRRRMLPGLVLHILLDKTRDTLDDFLWDKDGEGKGASSRYSTDPAAGDDKEKLATILWETGNNKPHWALQDDGKLLVSDAVVIAGALTNCSKDDHKVPQLLELVLNVWVDKLLYAGVRCSRESHAKQLSAGCELTTMLWVTVQHAGPFRIGERKPGYDEAEHRRETEKKKPETEEKKKEEEERKKSEEEKPPVVGTTTWVPPMVCAATAAAAAADDRP >KQL01406 pep chromosome:Setaria_italica_v2.0:VI:19060420:19063346:1 gene:SETIT_014951mg transcript:KQL01406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYGDWESGYEQLPVLFNAIKAVNPGMHYEYILKPNAWKDGRQIFGRAFWCFPQSVEVFRHCCPVFSIDGTFLISKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHVVGPSREVGVISDRHQGILHAVQEQIEGYAPLHHRWCTRHLAENLLRKDGVKDNFDLFQVAARQLEDYYFQRKLEQVRTATNAEGRQWLAGLMRDLDKWTRSHDAGGWRYEFQCSNMAESFNKLLLGIRGMPVNAIVEFTFYRLVAWFNERHAKTEALQIAGERLAEKPKRHLIIANERASTHEVQCFDLGSGTYQVERRGGTTSDGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAARHRDFDIESMIPHEFSVDTLVRTWSLRFVPFWDPREWPPYDGPKYVVDPTYRWNKRGTRKRTSNIPAKCRWRPETHSFHLPFGEMMVTLQDCQKMLGLSIRGWAVTGPCVSEEVDEQGTRTSGVLISWLREHFGQCPQDADAETVGHYGRAWILHLFACVLFPDATGDTASWMWIHCLTDWHQARLYSWGSAVLCFLYRQLCEACRRTAGSASVGGCVYLLQLWMWAHLPVGRPEIMPRRPWFPGEMPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSVNWQPYQGEDALPFTLSFVCGLDEDLYRMKCPLICFYAIEYHLPDRVARQFGMRQIWPPPATSTSVELHK >KQL01889 pep chromosome:Setaria_italica_v2.0:VI:27546507:27548363:1 gene:SETIT_014622mg transcript:KQL01889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGRSGRSRARRHEGIHQSLTSFEIEVESSSTVADIKKLIETTQGQNVHPADGQVFIHQGKVLKDETTLEENKVLENDFIIIALCKSVSSSYIPEGMETKEWGGIDRETDERCCHGKRPRRLLCWDGKNTILVARLG >KQL00664 pep chromosome:Setaria_italica_v2.0:VI:3860070:3864383:-1 gene:SETIT_013314mg transcript:KQL00664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLEQDEQQTAGGGSHRAAPPPPPPPNMIAFSSCSSSSSSSSGTPSCTSVATTTTTTATTNSSSGAVVHPTTSSPPSPADARLRPAANSFPLVLKFEEVVYKVKLGQPTAGWCDKLSAAASMAVGGGDGRKKKAAAPGSSAREKTIISGMSGVVRPGEMLAMLGPSGSGKTTLLTALGGRHGGRALLSGKITYNGLPFSGAVKRRTGFVTQHDVLYPHLTVAETLWYTALLRLPRALSAGEKRSQAEAVARELGLAKVAHSMVGGVRGVRGLSGGERKRVSIGLEMLIDPSLLLLDEPTSGLDSTTAARIVGTLRRMAAGGGRTVVVTIHQPSSRLYHMFDKVLLLSADGCPIYYGRAADALSYFASVGFASPLSLNPADLMLDLANGIAPQTASGDGDVGEARPAAVTGGSESEHKEVRAKLAAAYERHIAPAVKLDICARETSAAASPGGGQAPSSRRRGSASSEWTTGWCTQFLVLLQRGLKERRHESFNKLRIFQVLSVASLAGLLWWRTPASHLQDRTALVFFFSVFWGFFPLYNAVFTFPLERPMLLKERSSGMYRLSSYFASRAAADLPMELGLPTAFVLILYWMGGLDPHPGPFLLSLAVVLYSVLVAQSLGLAIGAVLMDVKQGTTLASVITMVFLIAGGYYVQHIPPFVAWLRWLNYSFYCYRLLLGIQFPNGGGYYDCGGGAMCPVAEFPAIKAVGLNNHWVDVCVMALLLVGYRVVAYIALDRLKPEVNESHG >KQL02751 pep chromosome:Setaria_italica_v2.0:VI:34352401:34354369:1 gene:SETIT_013801mg transcript:KQL02751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHASTITRSAAGLLAERGAFLASMERRTKHARRSGGGGERLAPSIGPPDAHVRPFSARRPSLPSRRSPAVSSSGALAGRRTLSLLAGAGAGPVQTPGSSLIFSIPCRLAYHFCQSAPVNRGAPSAAGGGGIDALPDEVLQHILGFLEAQESVRTCVLARRWRNLWKSAMSLLVADEGKFLGSVVKLCDFLDSLLRHHQSSPLHTCELMFTSLGPSASGSLYSDGRLLGLMNTWFRHAVRCQVQVLRLNAYTLVLDNRPVSSWHLTRLELDNVVVGRRFLNFSNCPSLEHLEFKNCYRNWGKIPLLESMPSLVKAFVAVEAFWDSCDLRTCNCEFCDNSYSISDGTRGKRCVLLKGLSEAKHLALSCEPQTFILKRDLRWCPMFSKLKTLFLDDYWCVPDDFRALVCILENSPVLEKLTLELSSEV >KQL01033 pep chromosome:Setaria_italica_v2.0:VI:7486385:7489186:1 gene:SETIT_015085mg transcript:KQL01033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPRGHGGSPILPGAPFSAAPSTRAAALIIPEPRRPPPMSLEDPSIGPHGEATVNRSLFCFPPNNSAATSSGIFAGDDPLKFYFPLLLYHVCIVFALSRAVHALLRRANVPLVISQILVLPRAGELFATPEGWVQINTVGGYAFMLQIFIVGVKTDLGMIAKSGRKAAAVAFFGTAGPHLAMYAAGAALRARVPAAWKATFMLTNLNSWWSLSAFIVVCCTLDDLNLLSSKLGRLAMSAALIGDFANTFSIAGVTSYLLASSPSEKIQRIGFLSFITFSVFIGLMAFVARPAILRLMRDVPEGALLSEARLVAVLLITITISYTGEILGLHATYGPFMLGLMLPGGAPLGVTLAERLDRLVAGVLMPLLFAQGGMRLDVFKLADASTCLLLEVFLVVGAVAKFVSCMLPCIYCGMSHREAFIIGFIMNFKGITEVVYASAFMDAKVFDDQVYATFMINVLVVGAATASVVKHMYHPEEKYVAYRRRTVQHKKLGDELRVLACVHSQADVEPMLALLDASSPTPVSPVAVYLLHLAPLAGLTTSVLRSFKHGDRNCVPSGGTHSERIVNAFQLFVQQRPPGSASLLPYVCIAPYATMHDDVCEIALEKRANLIVVPFHQRLAIDGSVENTTANAGAVQDANANVLSYSPCSVAILVDRGSLSVVPGAGPAADADGFPHRVALYFLGGPDDREALALAAYMAEDAPIGLTVFRFLLPPEWRKGGDAEEARLDEEAVQEYVRRWVDDERVVYSENVVSGSDEMVAVIRTASPACDLLIVGRRADSPESPLTAGISDWSEHLELGVLGDLLTSTDFGCRVSTLVVQQQTRAAAGEINQSPEKNTEQRPESDGHV >KQL01824 pep chromosome:Setaria_italica_v2.0:VI:26914617:26915117:-1 gene:SETIT_014920mg transcript:KQL01824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRQHPPSLAVLPTEVAIEIIGHLAATSEQPMDDLRSLWATCSFMLRMCGDPAVGRHVALDRFSRAMLWNKPDGYDTLLASLTQVGNPEACFLTGIQVIFRETHSPRLCLDDLARAAAGGLNVAAYLVALFLYRDNGGASDDDTARRYMRRVQGEEESWVAAAVD >KQL02645 pep chromosome:Setaria_italica_v2.0:VI:33713585:33719846:-1 gene:SETIT_015218mg transcript:KQL02645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVISIVFGFSGFGFEFAAGIVIGYFLFVNVQPNDVKNSTFACPMRLSLCSEITLLGARDHGAFLPKLMHCLVMAHVALARILPEIPLWVKNPDYDRIDWLNRFLELMWPYLNKAICRTAEDITKPIIAENTSQYKIDSVEFETLTLGSLPPTFQGCGSTSLCITTYYSEAIGPYISFAKILVSLVEKPHVDFGLKLLGADVTIIPGLYRFVQETIKKKQVASMYLLPKTLEVPIIDPSKASKKPVGILLVKVVRAQNLRKKDLLGKSDPYVKLKMSDDKLPSKKTTVKRSNLNPEWNEDFKFVVTDPETQALEFNVFDWEQVGKHEKMSMNRVLLKDLPAEETKVTTLDLLKTMDPNDVQNEKLGQLTLELTYKPFKEEDMENEGTKDGGGLLYVIVHEAQDLKGKHHTNPYAKISFIGEEKKTKVIKKNRDPRWEDEFEFVKASKKGLIYGKETLGYSDVSLADVINNKWIHEKYHLIDSKNGQIQRARMPECRHETHGCSYAQKRISVMWIFTFVEISFLIAQIYEKYHVDVLLTLDMESELIVTNHTNKPSILAY >KQL03059 pep chromosome:Setaria_italica_v2.0:VI:35991587:35991829:-1 gene:SETIT_015063mg transcript:KQL03059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFPRGHGNTMRTWRAVVAVDGDRQEGDPCPTVCSEKINNKSDSPLLVSQPKPTPPAGYVAVGNNLILSLSHTHSFPIP >KQL01546 pep chromosome:Setaria_italica_v2.0:VI:21499432:21505139:1 gene:SETIT_013691mg transcript:KQL01546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDRVHGSSLGGGGAHPASRTRRAHTTHAAQQREYATTGHGPPGPHPRRGVHTSCLHAPLSFRLTRSPPPALAPRPPPPCLHCSLNLLHVAAGATIVSSVHTPVSSAAMSAATACMPLVPPVHGSAGPAMAAAAVVVERRHPGPAEAVKIESHGTEELRRPEDDDDGDVMNEPRAVVRTLTCERKPYVEGFALWRSIGRPELPELDPILSFDEFEFSAPAGFTDHPHRGFENVTYMLEGGVSYHDFSGHKGTINTGDVQWLTAGRGVVHAEVPGGEGVQRGINIWINLAAEDKMVEPMYQDLASHAIPTAAAENDGGVSVKVIAGECLGARSPLRPRTPALCLDVALRPGARLRQPVPRSWNACAYVIGGEAVFPGDEGASAGARTLVVFGGDGDGVDVRAEAAGAGARVMLVAARPHGEAVVRDGPFVMNTREEVEQAREDFRHRRNGFEMADGWTSDHAATAAAR >KQL00925 pep chromosome:Setaria_italica_v2.0:VI:6558171:6562528:1 gene:SETIT_013993mg transcript:KQL00925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSNNGGKPPPQQPAESKAAWRDGAVTYFHLLFYIAISGGQIFFNKWVLSSKEINFPYPVALTLLHMVFSSVVCFAITKVFKIIKIEEGMTTDIYISSVIPIGAMFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSYKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVKLNLISMMYYVSPCSALCLFIPWLFLEKPKMDDSISWNFPPFTLFLNCLCTFVLNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKVKPQANPQQGDENKFSPGNPRDVEISMNSTKEAS >KQL00926 pep chromosome:Setaria_italica_v2.0:VI:6560422:6562528:1 gene:SETIT_013993mg transcript:KQL00926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAMTLWLGNSAYLYISVAFAQMLKAIMPVAVFLLGAAFGLEEMSYKMLAIMSVISVGVIVASVGEITISWVGVVYQMGGVVAEALRLIFIEIFLKKKGVKLNLISMMYYVSPCSALCLFIPWLFLEKPKMDDSISWNFPPFTLFLNCLCTFVLNMSVFLVISRTSALTARVTGVVRDWSVVLLSAAIFADTQLTFINIIGYAIAIAGVVAYNNHKLKVKPQANPQQGDENKFSPGNPRDVEISMNSTKEAS >KQL02115 pep chromosome:Setaria_italica_v2.0:VI:30066779:30067714:-1 gene:SETIT_014570mg transcript:KQL02115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAAGEKRLSDGETASSTTTPNSSLSSASGAGSLPRCCSLSFDCFPSAAVAVACSPPSAPPAVPVWRRSEPHGAGSEKGRRRRQIKVRTQASPDLSEESAACVWRGLPPARPAPVTERRAPSKWTAEVSSPTQLRPSRSVDMDGGGFFPDTAPSLWCR >KQL01246 pep chromosome:Setaria_italica_v2.0:VI:11951736:11952398:1 gene:SETIT_015938mg transcript:KQL01246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEFQLPLSLFLSSCFSLHPSIILSAVVVGVVDSRVGGNGGVWTGAVGLLGARSRVLIRLPLPRISRGEREGGKQVVASMRGMMDGM >KQL00686 pep chromosome:Setaria_italica_v2.0:VI:3975520:3979038:-1 gene:SETIT_014550mg transcript:KQL00686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAAPGRFDILQTSFKAASKCLLTACSREAVKRAFPSFTDAERERLYHMLTRVMKAMHATIEEEFDEVCQERQVAAALDKIDDFDEEQNLDVLPSEKTSIEEIEEKISRAKKDEIEHLAALLKKVEESNNAMKARLELLKKGEDSTASRDVLNKLTQLNSTYACEQ >KQL01215 pep chromosome:Setaria_italica_v2.0:VI:11058868:11060905:1 gene:SETIT_014146mg transcript:KQL01215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKAAVSVTQLPSPPLRRLLSSSSRTAVRRLARVSAAMAATAVQPAVVVGGGRVGQALLSMGPPGRDVLVGRGEKVPDDAPGPILVCTRNDDLDAVLESTPKSRWRDLVFFQNGMLDPWFESKGLVDANQVLAYFAVSKLGEPPVDGITDTNPDGLTAAFGSWAPAVAARLQNGGLTCKVLEKEAFQKQMLEKLIWISAFMLVGARHPGATVGVVEKDYRAEVASLIAELASAAAAERGLTFDEGIEERLCAYSRAVAHFPTAVKEFKWRNGWFYSLTEKALAAGKPDPCPLHTAWLKEIKVI >KQL02813 pep chromosome:Setaria_italica_v2.0:VI:34765543:34766176:1 gene:SETIT_014726mg transcript:KQL02813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGVSVVLAACLVVLVALGLGAGVAEAQGGGVGQCVPQLNRLLACRAYLVPGAPDPSADCCGALSAVSHECACSTMGIINSLPGRCSLAPVNCSA >KQL00525 pep chromosome:Setaria_italica_v2.0:VI:2757245:2759429:-1 gene:SETIT_013444mg transcript:KQL00525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSAKTNGLPLVASPRPPAYPSMLPKKPTQRRRSLSCRAAAPRFDRRDVLAGLTGVAAGGLATRPGLAATEDASDVCPRGEKVTDTLLTCQKTGQKPCPPTSPVAAVDFTPPTGPTRLRQPAHLADPETVEKYRRALAKMKALPASDPRSFAAQAAIHEAYCDGHYRYGGGGGDAPFDVHFSWVFAPWHRMYLYFYERILGDLIGDDTFALPYWNWDAPAGMALPDIFKDAGSPLYDAKRNPANLGAYLNLHIAKAGDTTVIPFDPQAAHLNNQVVQNNLATLYVQMMRNKKAQDFLGGKFCSSYPGTRSSGTSGSLESMAHTSVHVWTGDPGSSTTGHDGQKHSLADMGFLATAARDPVFYSHHANVDRMWHLWSTKLGRRNFDDPEWLDTSFVFYDERPRPVRIRVRDVLDAAALGYSYDEREPLRWMGARPAPLLANKGAAAARSTMRRAVPAFPLALTEGQVVEVPSVAKPRRAQKAAAGGGGKQPADTILVFDGVEFEPGKGGKFDVVINVPPEQAAGAGPRHSEYAGSFATLPRGGSKKPGETVVVPFVLPLDEVLADIGVGDEDGAVNVVIVPRTPGIKIISPPRIEIRER >KQL01831 pep chromosome:Setaria_italica_v2.0:VI:26982083:26982586:-1 gene:SETIT_016013mg transcript:KQL01831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWHSRLDEPVNLILWKRSLASTPVPATAPGAPTFDTFCSKQ >KQL00343 pep chromosome:Setaria_italica_v2.0:VI:1529140:1529534:1 gene:SETIT_016037mg transcript:KQL00343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSCVGVSLSSSRAHPRQPRSNEHRGAAPPQAAQSLRQEVMF >KQL02619 pep chromosome:Setaria_italica_v2.0:VI:33586901:33589408:-1 gene:SETIT_015455mg transcript:KQL02619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDHYGYVKFVKMQSMPVLFNEKPSFSELVARAREELHCHGDDSIAVEGVFHLGFPPNILRKMIPIGCANQWENYVRSAMKCQFQSLDVVVRRVLVDPIPHRFSPLMGHQAHFDPPVPEPDMDVEVAPIVPHAQSAPNELVGDASQTHDVVTDPPHEIPWTQNHPSKYLIRMVIGSLPPSLHPFFSFFSHFSTYVAGDNPDNVNVPPVAVQVHYGDGFCGSNSVEIMNDLEAYEMGMALDSDDDRPVGELIESDVEMLRHIFPGRRDPRVHEFCDLAHSDQTWLQAFAVIRKRPYKVLHSYAERCYTVVCDKERCPWRVCARKQKVIEKWKITKVVGPHNCADHVLTLKHRQLTSTLIAKRLMGILKGEPNMKVRTIIRTVEALYGGYVITYGKAWRAKQRAWKMIYGDWEDGYEQLPVLFNAIKVKDGRQIFFRAFWCFPQCVEAFRHCRPVFSINGTFLIGKYQGTLLMSYPGILNAVQEQIEGYAPLHHRWCIRHLAKNLLQKDGVKDNFDLFQEAARQLEDKTASNVEGRQWLTGLMRDLEKCTRAHDIGGWRYEFQCSNMTGSFNKLLLGIHGMPNNRKVFDWEKHHHDYIEQWEQLHDNVDENNEPHTNREYRHYQAWYQGATRCRLRLQWTEDDYADIESFDDEDTAYDQTTRVGR >KQL00871 pep chromosome:Setaria_italica_v2.0:VI:5838738:5840319:1 gene:SETIT_015007mg transcript:KQL00871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKTYENKDQSAQVDKFLNVLKTKASSSGEKFAACGPSQRKEIDEGLNFFASDEVPDKYEHGKQFLYWWDLLEGPWELNKLHGWIMATVKQGIRAITARIPKKDLHRLYRHQHLNVQLIQTWCLEICICIYPKLLSAMILDSARFTRDSYKEFLGIVQNAHRLYVLKGGECPENRKKAMKIRTHRWCHKQPRGFVLCGYYVCEFLRNNGRYRMNPKDMPRINTRDAALEDRGIVNICRDMARFIQREICHEDGEFFDPNGVLAADECTRLRRWTKSSPDK >KQL00349 pep chromosome:Setaria_italica_v2.0:VI:1567420:1568011:1 gene:SETIT_014793mg transcript:KQL00349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANLQRHHRPQTRRRRWTSRMMSGSRSRASILSSGQVSSDDWHLQLQVMQQGAAVRFSYCHLLGLYMLD >KQL01104 pep chromosome:Setaria_italica_v2.0:VI:8277730:8278433:1 gene:SETIT_014825mg transcript:KQL01104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKIVLKYILHFQLQLTASPRLPFGLSHCSALSKKFHLVVTQKIIFCNFINLMKCTK >KQL01596 pep chromosome:Setaria_italica_v2.0:VI:22605888:22608502:1 gene:SETIT_0131971mg transcript:KQL01596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSGDVPAAYGAGFPSLATLSLAGNQLSGAFPGFLLNVTTLQELLLAYNPFAPSPLPPEPFSGLPHLRVLWLAGCGLVGEIPASVGSLRSLVNLDLSTNNLTGEIPATVGRLESAVQIELYSNQLDGRVPDGLGELKRLRFFDASMNRLTGEIPADLFLAPRLESLHMYQNQLSGRVPATLARAPALADLRLFGNRLAGELPPEFGKNCPLEFLDLSDNRISGRIPAALCGAGKLEQLLLLNNELVGPIPAELGQCRTLTRVRLPNNQLSGPVPQGMWGLPHLYLLELAGNQLSGTVDSTIAMATNLSQLLISDNRFTGTLPAQVGTLPALFELSGANNMFSGPLPASLAEVSTLGRLDLRNNSLSGELPQGVRRWQKLTQLDLAGNHLTGPIPPELGELPVLNSLDLSDNELTGNVPVQLENLKLSLFNLSNNRLAGALPPLFAGAMYRDSFVGNPALCRGTCPSGRQSRAGRRGLDSGSCYTTYWSQQSGHGTEPGGGKARWALTSFHKVEFDEDDILGCLDEDNVVGMSASGKVYKAIFGRGGEVVAVKKLWGGGGGKAADGAAKDRLDAEVETLGRIRHKNIVRLWCCFRGGDDCRLLVYEYMPGGSLGDLLHGGKGSGLLDWPARHRIMAGAAEGLAYLHHDCTPPVLHRDVKSSNILLDADLGAKVADFGVARVLGDGHAAVTAIAGSCGYIAPEYSYTLRVTEKSDVYSFGVVMLELVTGKKPVSPELGDKDLVGWVHGGIERGGVDSVLDPRLAGASRDDMARALHVALLCTSSLPINRPSMRAVVKLLHEAAPTPAPPAAMAAEEKPLDV >KQL01690 pep chromosome:Setaria_italica_v2.0:VI:24867297:24868447:-1 gene:SETIT_014229mg transcript:KQL01690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLWWPMAAWISPATAWFIFFNTVVAAIALMSSAHRADGGALTPSGAARRRLCRSGSSMVLDRLWSFSIFAVHPVAATGAPIGDDATAAASESQFYCYGTREAEAAAAAQVLAPEQPGRIQKAVAATATSSAAPPSASVALASAEDHAAPVAPAPENDEEHNKAEPEAEEEQDESISLDEAYALARRLRAQELASPPSPPPAPATATVTARTKKPARKVVPDGISRRRTKAEEAMEGKAELNARAELFIRQFREELKLQRLNSTLSYTHALRSPTAAR >KQL01963 pep chromosome:Setaria_italica_v2.0:VI:28517885:28522145:1 gene:SETIT_013448mg transcript:KQL01963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPRAAAASAKQVTRRNFAESVRELGAHLESCDYVTVAAQKTGAPTGWRRALPVDTAETVYLKAKLAAESFQPLQIAVCPFRLRDSSPSTLVAYPYNFHLFPRDELQLGMPSYSFSCQSSYLSTMANDGFDFNMCIYDGISYLSRVQESFARQKIFTTRLRPLLPSQNTSVADSVFKSRIMSRITHWRKGYAEPSKKDDDSLVGSLSRLILGGESYGSRPSMSIDVCSDHQVQLVLQAVNHISDDLVPLVVPDKAGAARAVCVIFTSSKEDKNLLLMDIHKSEEEQNLKFRGFREVIDLLSSSQKPIISYNCLNDLTMMHSKFVAPLPPNMHEFMCSLKMVFSNVVDISHLWRQIGPLRKAKNIQAALSYLQRQYFVPMEIKIPQQDVTSSVTKNEQNVLRITKLFAKLSNLLKISPECQLQSGEQCAAVEEYCNIFYPSCMVEDSDDVDFANEPDTAKTVSTDNIIFLWGFREKSAKELKSYLPGLHQVFSEDFEVKLLDRTCSALIFRNSDTAMQLLEEISLESSSLNSFFSEGLKAAGFEVYRKACRLGLWDSDLAEALEGVSSEIAASTLSECNSSPIYWNSSLMLDLKEYLEC >KQL02610 pep chromosome:Setaria_italica_v2.0:VI:33534479:33535237:1 gene:SETIT_015755mg transcript:KQL02610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKKDLLRKLTIISIPFVFVAIPSVVIIVGMLSPHAAEPRDDGSAPAPPGRNHSVSMLSTMTGGQMILSCRAAFSGNWEYFHYFILDPYKPQQAFFQPQADPYVIFCKWGYMGNFLQDVVVFNSTAAWAPQCRVDRGGCRYLFQDGHMFLVTGKHLRHGPVPAAKVSESEEDPGAPAPAPGPAPSLAPAASLPAAAPAPRQGREKTLVGDVVLRECRHVLGVFPTMCRTKPHHHEYVGKIIGRWRWWFNY >KQL01677 pep chromosome:Setaria_italica_v2.0:VI:24671550:24671949:1 gene:SETIT_015911mg transcript:KQL01677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKVVLGSKSYGKGGLGIHFTTRIGNLGLLSWLTSGGSSFDSLSLACLQFSSTK >KQL01335 pep chromosome:Setaria_italica_v2.0:VI:16444420:16449685:1 gene:SETIT_015961mg transcript:KQL01335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELELQWDGNPNIVLDIQTTLGISLPVQIMEGKMLVTIV >KQL01193 pep chromosome:Setaria_italica_v2.0:VI:10763497:10766629:1 gene:SETIT_014262mg transcript:KQL01193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASRLLAPAPPPAAPPRCVRSNRVAVPRLRCRASAAASPAAGAALLERDGAPVAVREFVTLDELHAAVRLRIRTFYEYAVESVGAEDQRKALADREFEALQDRISGKMINFRRVSCINGTLPLSPSLMTAEELCSMCKFVEDGEERVVVGSLDLNQCLWLPDELTGMRPGVNEDSQTRAYLSNVCVAKELQKKGLGYALVDKSKKLAREWGITDLYVHVAINNIAGQKLYKKSGFVYEGEEPAWKARFLGRPRRLLLWLDMNKEPL >KQL01269 pep chromosome:Setaria_italica_v2.0:VI:12821531:12824638:1 gene:SETIT_013672mg transcript:KQL01269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTPAMSTSMAATPTTTRAVAPAPAAKSPAPRQYCNHLLPSATSHRRRRGSVVARSAKKRNPWLDPFDDGPDEEFDYQGMFAGGKQEEDPRPPEDPANPYGFLRFPQGYNPELDSLASKVRGDVRRACCVVSGGVYENVLFFPVVQMLKDRYPGVLIDVVTSARGKQVYEMCKNVRYATVYDPDDDWPEPAEYTHQLGILKNRYYDLILSTRLAGIGHALFLFMSSSRDKVGYVYPNVNSVGAGLFLNEMFKAPTTNLSDGGYHMYTEMLEWIGRPAKNVPRQPTPPLRVSISKKLRAYVEGKYSRAGVEKGKYVVVHGIASDSVASMKSRGDDDCLLPLEHWAQIAKEISSDDKGLKPLFVIPHEKHREEIEEEVGEDTNILFLTTPGQLTCLINDSAGVVATNTAAVQLANARDKPCVALFSSAEKAKLFLPYVEDKGSCTVIASATGKLIDIDIEAVKKAVKDFEPAPSFALA >KQL01143 pep chromosome:Setaria_italica_v2.0:VI:9325084:9326573:-1 gene:SETIT_015011mg transcript:KQL01143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVSKLLLLLFYSYCSLVAHAGDSPSYITGSIKTEAVCSEPKAAPSSSAGATVTLHHRHGPCSLTPTNQTLNVEKILLSDQLRASNIQRLLSAAANATGDAQKLEATLPTTLGAALDTLQYVINVSIGTPAVTQTVMIDTGSDISWVHCKPCSPCHAQVDPVFDPSESTTYSPFSCGSAACAQLGVDGGAAGCSGSQCQYIVRYLDGSNTTGTYSSDTLTLGPNVVPGFQFGCSRAGSGFEVEKTAGLMGLGGGAQSLVAQTAATFGPAFSYCLPTPQASSGFLTLGAPSGGGGNFTTTRMFRSREVPTFYIVFLEEIRVGGTRVNVAPTVFSAGSVMDSGTIITRLPPRAYSAMRSAFRAGMLQYPRAKPLEILDTCYDFGNLTRVTVPAVELVFDGGAVVDLDVHGIMIFDCLAFAPSDDGGASSVPSIIGNVQQRTFEVLHDVGRGTIGFRAGAC >KQL03053 pep chromosome:Setaria_italica_v2.0:VI:35961552:35962644:1 gene:SETIT_015189mg transcript:KQL03053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTARWQLLAGEVKRQASGFLQDKYKQARLALGDVTPAELLVQDATNNDPCVPDAKTLACIADAAFDMDDCWRIAKVLHQRLSHAADWKEWRPVYKALVVLEFLLTHGPDDLPRDFLPDMPAMHDLRSFHYVDDKGFDWGACMQRRTDSILALLTDAHRLRDARRRASAHNNGFLASPTSSSSPSSASSSNSASSRTWSFSGGSSHYSDSPTMCLTCAYDTGYRHDKKCDAYTADDDDDSNKTTLTKWPPPTVDEAVPDAAAEDLVADDASWDAHSHHMGVGVDEISSSRYRLLASLGSRASGFQSLSQPEQRRTTTKRLQLQSQDY >KQL02936 pep chromosome:Setaria_italica_v2.0:VI:35392035:35393785:-1 gene:SETIT_014756mg transcript:KQL02936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWGINGNKGRCYDFWLEFSECMSRCRQPSDCGLLREDYIECLHHSKEFQRRNRIYKEEQRQIRAAARKAKEEAEGAPAVAAHH >KQL02311 pep chromosome:Setaria_italica_v2.0:VI:31675135:31676384:1 gene:SETIT_015769mg transcript:KQL02311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVPCHGDYGWPFDTVNVLTLVAGAGRVVAGGAGPPRVELPPQFRRRQRRRRHVMGRQGCPLRHGVRPQGRAPRAGDDAAGACAAMPGSLLAPDEGPAREAGLCRRLLRGEADENSKREQDRETRSSGRGFSSTPWRRRKRQESSEWEQARAPGKYSFGYHPTTGKYKVVHIPCRRRQEVDAVQVFTLGGGDTTSWREVPVTAPGDASCNRLSEAISVDGRTYWLDASASRVMALDLADERVTSFAAPPRAKCPGLIPAAEAGWELTSVHGRLGAVVATAVMARVEVWVLDGGGAWPRWSRRYDIVEARPTTSGYWIAAPQLTHGEYILRASQDSTRDDLIWGCSWARRRLYRHKVGDLTGGDGQDDGQSRAVKGRELVMSLEESNGDLTTFAYVETLEPLPSIHG >KQL00729 pep chromosome:Setaria_italica_v2.0:VI:4404933:4406861:1 gene:SETIT_013532mg transcript:KQL00729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSISIAALALLVPLFLSLHQTTCSAVGAGDDAARNLSSCLTSHGVTTFSLPSSPTYAALLNSSIRNLRFALPGVGKPAAVVLPSSKHGLRAAVLCARAAALAVRVRSGGHSYEGLSYTTENRVPFAVIDLAGLSRVRVDGGSATAWAEAGATLGELYHAVGTSSRTLAFPAGSCSTIGLGGIVSGGGFGLLSRKHGLAADNVLDAVLIDPSGNILTRDTMGDDVFWAIRGGGGGSWGVVYAWKLRLVPVPDTITVFTASRTGPVELVAGLVHRWQFVGPNLPDDFYLSVYLPTGGGSSPDSNVSVSFTGQVLGPKRRAMAALRRSFPELGLAASELAETSWLDATAQFAGLATAADLPDRRLGSKQYSKGKSDYVRSPIPLHTMAGIVRYLATGPPEGGCVILDPYGGAMARVGSGATPFPHRAGTLYGVQYQVYWDEDAGEAAPVGWLRSLHSFMAPHVSRAPRGAYVNYLDLDLGVNDWTVAAGGSSEAAVARARASWGAAYFGDNFDRLVRAKTAVDPGNVFNNAQSIPPLVNV >KQL01006 pep chromosome:Setaria_italica_v2.0:VI:7312078:7312533:-1 gene:SETIT_016041mg transcript:KQL01006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFHSSRVKLMIAYLHFTSLCNTHGNQLLCKDSRQHVMKATPRLHNT >KQL01323 pep chromosome:Setaria_italica_v2.0:VI:15372378:15376536:-1 gene:SETIT_014964mg transcript:KQL01323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFESLSRRDKSLQLINKDIKPLSFMDRLKGGCDDIENLEEMIDVERDLERYQRDTLRKIRPQQIYQMGWFENKNGLYRISREVELSVLTEPVQLRIVSKQFENGLKYSGYKYIHQGMYIIGIKGMTRKKLGTKVLITLLDKRWDSVNKAALGFLEGDMNENMLITYIAPDLIMPIKEFIDKMAIGFQTKGYEDFKGTNLLVSIEFVGRLTNRSATKYKVNVNNVIESMQSKGIKFMSPLKISSEERAGEEWNISALIEPKIFKQPKDYVSYENSKGKTSIRFVNYKERSLDDLEVSTSESNIGEARRHSVCEFMEKLDIDNEIKHYEKKLSKVQDEYNTSMICEWTAIREKELYFRRELYRLNKIKKERELNNKKINMPMIKHEPTLQHVSNKNSKIQKEFENNKEMVKEKEEVVISEEDQWEINNKILLESYEEEDEDIIEIHSSKSDSHILSLRNEELYNKDTAIEAMDIDPSPSKRRREQERDIKIEGETDRPSRKPGNWPPEKEEPTYTYIPGQYKHMGSKRREFERTHNIGSRVEDMITYLETFLGESVKVLWEQWIETYPHYYEELKRAGSNPYNFANIISSIVIDEDPELGYTALQNERLKEIEKLTLTNWKGIKEFSQHYLYNVTTAKQGYNKSIVERYFNKLPDPLGSMIFQEYKKESNGREYNISQAITFVFKQLRKICTSIQAQRSMKQSDYNFCNKIVQIPLTYGEDKYRNKKYPKNYKKRNVKTKKRYFLRRSDNRAPFLHKRNVRRYNPRKNYDSTCRCFICNSPDHLSKTCPNKDKKRYSNKQEEQEKVLIIDSVNENILVCDDDIMDDESIYSIIETDEIEYNEEDESSDEEMNLIEELAGNDVNINCVFCIYYQDPGKRATCSLCIKQACGSCLEQQSDKNVVNTKYEENKISPKEDSSNDKRNNKYLVNTPHEFLIPRISFKTEQVLVYFTQDIIDLIWKKYAERQYKTFQDIQNYFMRLYQGIERNLGIIVTINTFPLLHLDDKLIVKPHHKFIILKADINLKYFTNIQRHTGEDISLQTIIDHGLVRDIYGTLEEILQSDLGKAIKEACKRLACVQGKYKIKYFSNPPKFTLPLRPASHDIYIIKVCDINHSGVEDHTKQHPEYHEKTLRDKGQGKRNKQQLYCTQDNY >KQL02522 pep chromosome:Setaria_italica_v2.0:VI:33035722:33039202:-1 gene:SETIT_013271mg transcript:KQL02522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCAASRLEDEEAVKMCRDRRDFIKQALEQRNRFASSHIAYIESLKRVSMALQRFVAVDDHHELIFDTFISPVKQQKPEMLGLPYGSYEKRTIHVSKYLRSGPNPSVSVEEHPRPVETVRVESHYPMDNYSGTDRFFPSHSSPMRSSSYYPPPYNRPSYPPPSTQEPVRNSSYYMPYDRPSYAPPSPQEPMRTSYYASYDRGSYPPPSPQEPVRNSYRASYDRPSYPPPSPQEQESSPWDFFWNPFSSLDSFADPHPRSSYDNVVTDDELARLQRVREEEGIPELEEEDDECQDHVPMHRKEEKEEHDDEDDEEEDDDEEDDDEECEHSDECIASNEGACSVNFEVNTKQETKGFESKGVQCTEAPQPRKTVELEIKAHKKELMRNKVANAEETPGFTVYLNRRPASLVEAMKDIDCQFLGICDAAREVSVMLEASRAQYSTSNDLSAKMLNPVALLRSASSRSSSSRFLLASSSSIDDLFDNETSSCYSEESCSTMSGSHQSTLDRLYTWEKKLYKEVKVGERLRIEYEKRLTHLRNQDDRGEEPSSVDKTRAALRSLHTRLKVSIHTVQSISRRIEVLRDEELHPQLMELIHGLSRMWRTMAERHKAQKRTIEDAKLLFLQHHPSAATAISLGPLEAATPPPAALALETEIQAWRGALETWLSAQRAYARALAAWARRCLGVTGGARPTLSAAVLPPAFLVCMEWGRAVDADTEARVMDGLDFFVAGVGSVCSGAATGMEGMAGRVLCAGMAAVTGAMAEFAAASADSYDAAVTAVIAAARAPEREREDGVAQPPRTDG >KQL01602 pep chromosome:Setaria_italica_v2.0:VI:22669883:22670948:-1 gene:SETIT_014179mg transcript:KQL01602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPASVARLVAAYPAVLSSVTIGDRFDFYLHELGLAPAEVRRFVLASPNRFLTAGIDSRLRPNHRLLRDLLGSDKNVLTAVKQSIELIYDNLEVVLLPKLQALRDHGVTEEVLVKLVTTHPKALVHRATRFDEGLAAMKEFGVSPNSGIFPYAFGVFAKIYQSKWDRRVENYLSLGWTKEQIRRAFIKHPYCMSVSDDKVRQLMGFLSEKLGWDPEYVSSTPTVLSFSYEKRTLLRYKVLDILVSRGVLKKGIRMGHLTMSEKKFVERYVNRYQEVIPEVLEAYRARTGCAVK >KQL01921 pep chromosome:Setaria_italica_v2.0:VI:28079467:28080305:-1 gene:SETIT_015626mg transcript:KQL01921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKAAHRRGVLDLEAQFAFFRSQHRHPVNAAAHALLTGPSSSPTFSSSTSCRRCRRSLAAHLGFAASWRLVLATQLFCWTWQFLGHGLFEKKGPTVSELPEVFLMEPFLIFLQILNKLFGYEPYPGFCKNVDKKMEADLRESRELEQRKTS >KQL00357 pep chromosome:Setaria_italica_v2.0:VI:1592772:1593847:1 gene:SETIT_0136452mg transcript:KQL00357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGAGRAVAVALLLVVVSLRRSEAIGVNWGTQLSHPLPASTVVRLLQDNGFDKVKLFDAEDAILGALKGSGIQVMVGIPNDMLADLAAGGKAAEDWVARNVSGHVRDGVDI >KQL00883 pep chromosome:Setaria_italica_v2.0:VI:5974442:5976508:-1 gene:SETIT_015302mg transcript:KQL00883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQLAPPLGSLRPFASIHHRPKASTSATAAPSTSSSSETLKPRPRLPRQSPATAVHRPRPSPARLPSLCTAIERHAAAGRHAEALDAFRLARAASPFAPLPPATYHALLAAAAALREPGAVAAVAWHMESSGAEADAYTHNRVLGAYLSCGMLGEARRVFEGMPERNGVTWGIMMGGLVDRGRPRAALALFREMWEEEEGSGGREARPRVVVVAIRTATASGSLRAGRQLHCCVVKMGPCEDDESGRYLSCALLDMYSKCGRVDEARRVFEGMEPRQRTIVAWNSMLAGYVLHGHIEDALDLYNEMRRSGVDTDQFTFSTMLGVFSRLGLLEHAKQAHAGLIQKGLPLDIVGNTVLVDLYCKWGRMEDARNVFERMPKRNLISWNALIAGYGYHGMGDKAIEMFERLVAEGVVPNHVTFLAVLNACRFSGLVDKGKRIFQLMTRNPKTKPRAMHYACVIELFGREGLLDEAYSMIRRAPFTPTANMWGALLTASRVHKNMHLAKLAAEQLLAMEPEKINNYAVLLNLYISSGRQDDACKVVETLKRKGLCISNACSWVTVKKKDHRFFFKDSLHPQCAEIYRKLDTLMMEVKEAGYFAEENELLPDIHPDEQNIPRAYHSERLAVAFGLISTSPCTPLRITQSHRLCRDCHKIIKFLTKVTKREIVVRDGSRFHHFKLGTCSCGDYW >KQL01929 pep chromosome:Setaria_italica_v2.0:VI:28218285:28220877:1 gene:SETIT_013547mg transcript:KQL01929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVAFSSVSAQAGLIQKPRNHGVTSHYGLKASSSSVSFGFESSFLGRNASLRASVAPRIVPKATSGSQISPQASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNSPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTEEETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFIQSELTDLPFFASRVKLGKNGVESVSADLEGVTEYEAKALEALKAELKGSIEKGIAFANKQQEAAASV >KQL01930 pep chromosome:Setaria_italica_v2.0:VI:28218285:28220877:1 gene:SETIT_013547mg transcript:KQL01930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVAFSSVSAQAGLIQKPRNHGVTSHYGLKASSSSVSFGFESSFLGRNASLRASVAPRIVPKATSGSQISPQASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNSPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTEEETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFIQSELTDLPFFASRVKLGKNGVESVSADLEGVTEYEAKALEALKAELKGSIEKGIAFANKQQEAAASV >KQL01927 pep chromosome:Setaria_italica_v2.0:VI:28217994:28220877:1 gene:SETIT_013547mg transcript:KQL01927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRKSNGVPPCTAPPRPGWLAWLQAPACASPTPLYEHPAHQARPNEPERFFLCRRRPLPPRFGPLAIAAAHEAPERRPHLPPPHQAQEPSKSRQPRRPRGGETATHCHPPPPPSFSLRLDPGRAHLASLQSGMASTVAFSSVSAQAGLIQKPRNHGVTSHYGLKASSSSVSFGFESSFLGRNASLRASVAPRIVPKATSGSQISPQASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNSPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTEEETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFIQSELTDLPFFASRVKLGKNGVESVSADLEGVTEYEAKALEALKAELKGSIEKGIAFANKQQEAAASV >KQL01928 pep chromosome:Setaria_italica_v2.0:VI:28218285:28220877:1 gene:SETIT_013547mg transcript:KQL01928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTVAFSSVSAQAGLIQKPRNHGVTSHYGLKASSSSVSFGFESSFLGRNASLRASVAPRIVPKATSGSQISPQASYKVAVLGAAGGIGQPLGLLIKMSPLVSELHLYDIANVKGVAADLSHCNSPAQVLDFTGPSELANCLKGVDVVVIPAGVPRKPGMTRDDLFNINASIVKSLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYNPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPSVTFTEEETEELTKRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALAGDPDVYECTFIQSELTDLPFFASRVKLGKNGVESVSADLEGVTEYEAKALEALKAELKGSIEKGIAFANKQQEAAASV >KQL00983 pep chromosome:Setaria_italica_v2.0:VI:7158207:7158613:1 gene:SETIT_015866mg transcript:KQL00983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHIKRGKRRHDFLNLTQNRCNLRSVVVFGQSSSSEEN >KQL00136 pep chromosome:Setaria_italica_v2.0:VI:161009:166449:1 gene:SETIT_013666mg transcript:KQL00136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKAVVGDEAHLKAFEEALSSSSPPPQAQVGLVVGKLSASSDRALVYSLLPTPPTEDAAPACSLRAAPKPKTSKPKATSSSDASLEFDVDWIAEHARQVSRMLLGGMSVVGIYIWASEASFKATSPAVLSQVIRAVSQAWYGRAFTERLLIHISYSPRRWACRVCELASGSLRPCDFKYSKLLSSLQTFRCAYNFEIRLTAVQAEPFKKVILKAISHLTEEVQNARALVNGHLFSEDINISTEGPHQVDFLVPFKNAVPVEECSLDGVAGLLRFAGSVSAFAYLGPKESVSEAISDLKADIITSIRSRLDIILDDADDGSVTNELEQSPSQKATQVVFHELRESYSFSFPRRVLIPWLSGAYVCDYLQRSETTEDATARCKEVIPLETAAETSTILEPESAATCGTPESFWDMVPGARSEARDRSSRLKGSGCTGQDGDDRSRRGQGGMNLNVLAALFALLVALIAGFVFTFSVGSNP >KQL01072 pep chromosome:Setaria_italica_v2.0:VI:7879722:7880248:-1 gene:SETIT_015907mg transcript:KQL01072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAGLGFPVRGPRWRAPWPAGLECLAAAVRTAAVADRGWRGEVPQAADDNRQEGPTAGASGFLS >KQL01908 pep chromosome:Setaria_italica_v2.0:VI:27835113:27839516:-1 gene:SETIT_014569mg transcript:KQL01908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVPSRVALSAASRFPNRHAVAGDRSTIYKGRCQSLAIPMALSAAAPGKGGVLDRPVEKTTPGRQSEFDVKKKRKMTPPYRVILHNDNYNRREYVVKVLMKVIPGMTVDNAVNIMQEAHVNGLSVVIVCSQSEAEEHCTSLRGNGLRSSIEPASGGC >KQL02441 pep chromosome:Setaria_italica_v2.0:VI:32554470:32556358:-1 gene:SETIT_013926mg transcript:KQL02441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASIKFRDDDRPLMRAKVPIGVLGLPFQSGLAAGGDPRELRFDLSTAFASGPSLRLSYRPNDPGLPFALTVRAGLGPLGSPARAPFALAAEFNLLSPDLSTPAFFLRLKPRFGDFSLSHTLRSPAAAASPAPRKVGEAAPDGDGLGHEREFVHRPSFSFTGSGLAADVAAAGTKSGVGALLSGMQMTTRSVLPLWGRASLRFNWGLRMPPELLADGGGGRSKGAARAPVSKMPLLVMSKLSIEQSPRADADSSKCGSRAEPPSPRSTDASPGDGEAAAFSLVRRQLESLNVDNMMLRRAVEDLRAEIQCSSSRAGPVAAAARGKGRVAATAQPPPPPYHAFPAKPDRPRGAAREVAPEKAAAPDDVGEELRKALEARLR >KQL02925 pep chromosome:Setaria_italica_v2.0:VI:35303528:35304754:1 gene:SETIT_015360mg transcript:KQL02925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAYVPLDLILGGGQARFDRWQLPQELMNPYLQSSGWVSFLNSLSRYIIHELLVCVCREGHEALGVTWDGAFSLSDIWLCNGGVMINPKVPCRNYDEDGGRADYQSLHYIISTSFYDFYSKRYPLYLDSLLYELETCPAARRQQHSFVTFLVNHPSLISFTDRVGIYASTSTMIRQLPRYHRQILYTLLNIQEYDSWGLAVEFIPDLNSTYKFANVPRYGITLDSCLQFGRNYLSHFGTFTSLLFAGRCCL >KQL00752 pep chromosome:Setaria_italica_v2.0:VI:4679691:4680500:-1 gene:SETIT_015584mg transcript:KQL00752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPPPLLSAGAGQPSSRSASCFVAKPARGFLVLRIDGYSWTKALPGGERITSDVFTVGGRQWCVDYYPNGADASADESDAIALYLRLVGYQYQQQKERVRAQYKFSLLDLAGNAAYELPAETGTFTLTRPTALLLGQDYGFAVAPISGGQAAAAEDIGRGYAAFITREELERRRDSLLKEDCLAVRCDVGVTEVAPLSVVPKPLMLPPPIMPRHDYGYHYGGYPEFNDDGAPSVWDGSRERKRSHQEPPPDDKEYIRRCLAAKRRGD >KQL01779 pep chromosome:Setaria_italica_v2.0:VI:25912239:25912663:-1 gene:SETIT_015482mg transcript:KQL01779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGIVQHEVEGLEVQAGDGRWHAVPPEPNTVTFVAGGLVEISPRSDAGMLCAPRRSSLVTNGRVPACLHRVRTPSHSERFSVLFGCRSRHNATVKAMDEIVGGDQPLLYKPVRYEEYLQHANPEVH >KQL02256 pep chromosome:Setaria_italica_v2.0:VI:31242460:31242984:-1 gene:SETIT_014612mg transcript:KQL02256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARSPVSLHHRIVAGGQRRRCVGGSERKCGRTQGRHFTQALESLWRQSPRISPPAAAAATGGEVNPLWQPPALENAAFEEYYKVCYLFLFHMASFLSIALVRFEVNQAAVSEWPLVEIEMICCCSNDKCVHVMNKHSVS >KQL00703 pep chromosome:Setaria_italica_v2.0:VI:4120675:4121923:1 gene:SETIT_014980mg transcript:KQL00703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSEYKWRMWYVRYVGESNVAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHKFRRWVPPPPNPPRMTDEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCEWEDFCGRYDLLLKLGNTSEPWKLRKQQEIKEKIRKKYDVPIPDDDLLWGKIYQDMVHETGEKPNGLYARETIIKYWRQNRSKEKIGGSCRRRGTWRNKG >KQL00490 pep chromosome:Setaria_italica_v2.0:VI:2474498:2476331:1 gene:SETIT_015210mg transcript:KQL00490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRGLGGRARRRRACRRRRDAAVARDWAGGLGTDALLAIFRRLDHVDVLLAADNVCRSWRRAAREEPTLWRRITMRGHEGIARRLNRGGMACEAVRRAAGQCEAFCGEYAGDDGFLMYLIEQAPCLKSLRLISCNYVSNEGFTEPVKKLPLLEDLEVSLCDNVGGYYACGSDRRSDVFEVVGEVCPKLKHFRRSNKLFDVRVWWNKDDDVQGIATMHGLRSLQLFGHALTNEGLETILDGCPHLECLDIRHCFNIDMDEALHLKCAGIKTLRLPDDPTDDYDLEVHSPIRMVVEEEIVWDSGYYSDDSGDDDWDFYGEPSRYESDLDKYEKMLPLNMRTFLK >KQL00990 pep chromosome:Setaria_italica_v2.0:VI:7225288:7226817:-1 gene:SETIT_015732mg transcript:KQL00990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTQKVLKTWDDLRNGADGSRSTPLHYAASVGVKGITELLLKADTTGDMKAAADSHGMRPIHVAASVGAMDALLALVHGNEDDSSATLLVRDNRGRTFLHVAVENKKTEVVKFVCREPTFKDYVVRLVAGGERRPTFRNILNMKDDDGNTALHLAVKNRDENSFRHLVGNMHVELNRVNNDGYTPLDLASKIVNTENSFASRHQENPTEWMIRVLAHSGAYFSARRRDLKFGVTQNDQEPGLAPSMQTKEVDQALATESVLVASALIATLTFAAAFTVPGSYKTDGDPRAGTPALGGHYGFKVFIVADMLAFFCSVAATFSLAEYANRAGVDPLVRAVYAQRAVRLFHVALRSVIVAFALGVSVVMWDISVVTTVIVGVATSALVLYGNEALAHDIRLVRIMYRRFGFLCSGTLHPSTSSHLDWNTWRLRSFYATLVQNIVKLIWTYGFIFLVAYIAQLKQKDGI >KQL01628 pep chromosome:Setaria_italica_v2.0:VI:23651043:23654213:1 gene:SETIT_015170mg transcript:KQL01628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAKEVRYTARSITPPAERNGTSSSPPPKRRSPSRSPPPKSTSRSPHPRSPKRRSTSRSPPPRRRGRSRSRSRDRSRSRSLDDRNPGNNLYVTGLSTRVTEDDLEKFFSKEGKVKNCHVVLDPRSKESRGFAFVTMDTVEDARRCIKYLHRTVLEGRLVTVEKAKRTRERTPTPGKYCGRRGGSQRSPSPHRSRRRERSRSRDRKRERSRSRDRRERSRSRERRRERSRSRDRRERSRSRDRRRERSRSRDRRKERSHSRDSQRRRGDRSRSLAGNGNHKTD >KQL00593 pep chromosome:Setaria_italica_v2.0:VI:3329469:3329945:-1 gene:SETIT_015968mg transcript:KQL00593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNFYRKSQNRTHIVLLKKISPEDDSTFKKY >KQL01417 pep chromosome:Setaria_italica_v2.0:VI:19178043:19179986:1 gene:SETIT_013392mg transcript:KQL01417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPPVVKDAELDNEICRIPEDKDGNGKEKSSERSGKAEEATNKATSNENNEPNGVLGKNFVDIHTEADQSEFKSRAERSMELGENANGRDSILGQEISMGENQMCRNLCEQELRSGKRKNASNKSAKFGLGNGVVTPDHADDNPPEKLLHVPHVDKMTIIDKQHTQPEYCRDKGREGNASHAFQEEPNNEQNHVLDKIFVDIHADVDQSKFKSRSGRSMELGENADGDDSISEQEISMAENQMCRSLCEQESRSGNGKNASNESAKSGLGDVVVTPDHVDGNPPEKLLRVPHVDKMTITDRKHTQPEYGCDKDQEVNAGHAFQEEPNSSRCDVNRKAMAIDTSGDKSRKRRKLKEKAADGNNTDLDKNKRCNHIEDRWPNGSRCHDENGRTEVSEYKSIQSTRSNRREILTHSTRENSSNSKMPRNSWEKPRKHSPNRQRTYHDMYEQHPATNKHRYEQWHHVSYPSNRNYDLRSHDPLRPVFAPTDFDANGCYDPHLYLREAEHDIPGWNSPCFDPGNQEYFTSGWHGPPYCPARPEDVDYSRNVNNLPFRGYDERGNFMHGEYRAMGFDPSSIYPPLQVSNNGTYQPHPDVSVEGRGAAYGGRNREYGARSNYTFALGPQRPAAGSVMERYAPRLEETNNRPRG >KQL03060 pep chromosome:Setaria_italica_v2.0:VI:35989874:35998587:1 gene:SETIT_014585mg transcript:KQL03060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLGTCSLPRAAIYGTSARRFGGSQFQQPKVNRISFEQKVSAKTTLRSMRCKATQTQSVQKKSSSATVQRDKKGKVQGPKLDDGSGGFPPFRFGKGGGGGGGGGGGSNYFGGFLLFSCVLLLDYLKEFEKYLLTRKHRGGDNASNGLLQP >KQL01987 pep chromosome:Setaria_italica_v2.0:VI:28766226:28772160:-1 gene:SETIT_013371mg transcript:KQL01987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSTGVLILLLSLPAVVLLSSAPLLAVASEPLNPEVQALIAIRQGLVDPHGVLSNWDQDSVDPCSWAMITCSAQNLVIGLGAPSQGLSGTLSGRIANLTHLEQVLLQNNNISGRLPPELGALPRLQTLDLSNNRFSGRVPDTLGRVSTLRYLRLNNNSLSGPFPASLAKIPQLLFLDLSFNNLTGPVPLFPTRTFNIVGNPMICGGNAGAGECAAARAPVTVPFPLESTPGGSSRAAAAAAAGRSKAGAARLPIGVGTSLGASSLVLFAVSCFLWRRKRRHSSVLGILERGGGGGRDLEGAGVAAVARLGNVRQFGLRELQVATDGFSARHILGKGGFGNVYRGRLADGTTVAVKRLNDPASASGEAQFRTEVEMISLAVHRHLLRLVGFCAASGERLLVYPYMPNGSVASRLRGKPALDWATRKRIAVGAARGLLYLHEQCDPKIIHRDVKAANVLLDEHHEAVVGDFGLAKLLDHGDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQRALELGKGSGAMHNHKGGVMLDWVRKVHQEKMLDLLVDQDLGPHYDRIEVAEMVHVALLCTQFQPSHRPKMSEVVRMLEGDGLAEKWEATNRPAAAVCHDALGYDHRNDSNGSVFFNDFHDIDSSLSSDEARSIDMVEEMELSGPR >KQL00771 pep chromosome:Setaria_italica_v2.0:VI:4830991:4831100:-1 gene:SETIT_015949mg transcript:KQL00771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLRQCTTVLILCFLLEDKPMRHISFSSR >KQL02442 pep chromosome:Setaria_italica_v2.0:VI:32565193:32567916:1 gene:SETIT_014099mg transcript:KQL02442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRATSSAAGAGGGGGGGGAGGRDPDDAWREEHAVAWGQSTVERAALRSQYAAVRGTIRELKDDPALGKFDAAVDRIEMLHDKVQRPLEQLADGEALLDLADVLVSSTKAENRDGPTPSEFVTALLRKFGVTDTPLDSSNESFSWSSLGGAVSALFMTATGCQTMNGPMDLAIKERRCVVRKQSESLGSRPAEPDELPPDQDERNDTDENLAVMFRLLIRHKSVKLEHLILNWRSFAQTVENIFALSFLVKDGRAEINVVDNGDHFVAPRNAPTAGLIASRKVTNNQFVFRFDTKDWEVTFWVTKLDLPSLLVIRYLPSI >KQL02986 pep chromosome:Setaria_italica_v2.0:VI:35656468:35659769:-1 gene:SETIT_015018mg transcript:KQL02986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWMLTWHQPKLHRVKADFVSLFPLLSFDISPPDARRSPRPPAAPPRPPTASPRPPLPAAPPRPSAAPPCARRTGPPPLATGRRARCPCPLREGHRSPRFPSRLPGRLAQGTAAPGRLAQGAAAAPPRLHAARPLQDMLVHTCSPHFMPFWDPREWPPYDGPKYIVDPTYHWNKCGSRIRTRHMMVMYKIPGRTRYGRATPFLTDPEQNECNKCGRLGQNSRTCHWQISEVRLVVRCGLPKFNSAAMIGAIFNHCCRWRPETRTFHLAFREMTVTLEDCQKMLGLTIRSDVVTGPCRSDGWRARVAVFLGREVDEQGVRTSGVLIFWLRQQFAQCPEDADEQIVWNYCRAWILHLFACVLFPDATSDTASWMWIHCLTDWHQAVVDVGPSVGRPEVLSHREWFPGQPPRRQPTWAYLWDRLRVPHTRLERAYRDFTNELDTLTTLDRKKNRKVFEWHVYHQPYIEQWEEFHDNVDENNKPHTNSEYRRYQAWYQGATHCRLRLQWTQDDYGDIESSDDEDTAYDQSTCVGRQGHTLKSSIEDIERFHPRVRDDETYSFLERLSCRLRRAAARCGCRTATTRDVHVPSPGRGGIGSSSQAATQAKGIAYEDEDNDERHEELGLSQLHDAPLTQPTQPTGTRRCRPPSLYTPGTDTLGHKGKGKTRRQ >KQL01399 pep chromosome:Setaria_italica_v2.0:VI:18802761:18803141:-1 gene:SETIT_015449mg transcript:KQL01399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDALPTHKDLTFCDEFVVTLELFYITTFHFMTFSMEQHNLTTKMKCLSQRESNNHHKLD >KQL01486 pep chromosome:Setaria_italica_v2.0:VI:20440232:20444673:-1 gene:SETIT_013428mg transcript:KQL01486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNADFGAPKELAGGLQQRRALYQPRLPPCLQGPTVRAEYGDATTTIDPSCANVVAQAFPNTFGQPLVSFVAPADAAAAAEERPPIRVGVVFSGRQSPGGHNVVWGLHDALKAYNPHSVLYGFVGGTEGLFANKTLEITDDVLASYKNQGGFDLLGRSIDQIRTTKQVSSAMATCRSLNLDGLVIIGGVTSNSDAAQLAETLIQNNCKTKVVGVPVSLNGDLKNQFVETTVGFDTVCKVNSQLVSNVCLDAISAGKYYYFVRLMGRKASHVAFECALQSHPNMLILGEEVTLSKLTLMEIINKICDGVQARAELGKYHGVLVIPEGLIESIPEMYALIQEINILHNNNVPVAEMSSQLSPWAAALFQFLPPFIRRELLLHQESDNSAQLSQIDTEQLLAHLVETEMIKRTKEGRYKGRKFSSVCHFFGYQARGSIPSNFDCDYAYALGRISLHMIAAGLTGYMATVANLKDPVDKWRCAAAPLTAMMSVKRHLRGPGAIPIGKPSIHPSPIDLKGKAYELLREKASSFLLDDFYRTPGGIQFEGPGSDAKPITLTIENQDYMGDIEILKECLSKVRTMVKPGCSREILKAAISSMLSVTDVLTVMSHPLNAELPLYHFK >KQL02356 pep chromosome:Setaria_italica_v2.0:VI:31972384:31972977:-1 gene:SETIT_014794mg transcript:KQL02356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSQMTEEETQGVSSLSQPVGPLPDSQFIASNQLTQRPVELTITSKAGKARLAKKRKVNSKSAAAKKRKN >KQL02214 pep chromosome:Setaria_italica_v2.0:VI:30921781:30922268:-1 gene:SETIT_014813mg transcript:KQL02214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHNTATVHMQEELELTEAWPNRPWSMYHSKMDKSANHIRTNKRQNVEIISKISHLSSHDAC >KQL02865 pep chromosome:Setaria_italica_v2.0:VI:35020651:35022630:1 gene:SETIT_015131mg transcript:KQL02865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KTRDCSRTGDLDRISALPDDLLHVILGFLADAHAVTSTAVLSRRWRRVWVHAKNLAFTDRLEKYAVPTHFAGFVDWALAQRDDSGIKSLKICVSRTGSGSGCTSLEQVNEWLRYAGRRVAGFLELQLGAPTDRRHAVELPSHGRATSIQLTLLKHRLRLPAATAARYEALTELSLSSPVFAGAGTLGDLPRRLRQLVLRSEALGELEVTLALDLRTLDMTAPSLRAVRLTLCFHNPMRGIEDAAAGKVARIAAPRLEEISMHHCFRDAPPPELDIHDLASVRRLDKLWLEMHGKRCPGVEHVDAWLHHYEEKPDAAIADDEFVDLTAQGAPPFANVRSMELSAWCLPARDLVPSVSSLLMRAHEEMQLVTTLFERSKNSIRRMTLGGVTQIKPKTIHLKWNTAEEDGGDDDDDDDTDTIDEQLMKIPITDRGCWHFAKEVFTWMNVERRTKQREVGSIVSNKIS >KQL00800 pep chromosome:Setaria_italica_v2.0:VI:5192809:5193684:-1 gene:SETIT_015338mg transcript:KQL00800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHTTMSSEDQRPVAISVCSTPSDDRSLAGAGAGAVASTPRSSKLVPLDSLQKLMLKSPLAPVQDEPAPVPLVKKVAAEFIGTFILMFTVVSAIVADAHQHGGGGGAGGVLGIAAAAGLAVVAVVLAVVDVSGSHLNPAVSIAMAAFGHLPRAHVAPYAAAQTLGSAAATFLAKGMYRPADPGVMATVPRGGAVAEAFFVELALTFVLVFVIAAVATDPASSKEAVAVSIAAAITMNALVGASWTGPSMNPARTIGAAVATGKYKDIWVYLVAPPLGAIAGAGTYTLIKP >KQL02405 pep chromosome:Setaria_italica_v2.0:VI:32291144:32292833:1 gene:SETIT_014778mg transcript:KQL02405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSGAAVLNVEQLRRRHHSLSELTYARDEDAKLETTRARLSNILKRHEDLKDRLSRYSFNLARTSHRCRPAYYLR >KQL02687 pep chromosome:Setaria_italica_v2.0:VI:33978474:33983345:1 gene:SETIT_013338mg transcript:KQL02687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQLSSVNDDAPTAPHTPFSCPPHCGGVGACDLDGLAGSSSSRVFSYPLIGDFDRKTALDAPNESNGYSEGDNVFHEPADLHGLKIDSSDANSRSYTKLLPSVHMPVRRVVGFESSCIRATDGTETDIVNSSLVNSNCHLPFDQHELQARKRLLSPLKNVLTKQFHGDMLNISSGDSRFRHSDSAGKLYSSGFQDNKKANTGCLNSFETQESPTYRCSNWSPEWDISRSNSNSFTDGPLLGSKDSISYYDHLAASAKLAHSPLSLSPLSPKYMNKIKVAGSQRYIMRDLENDFLDLKETGGSDGTRMQEISEETNFLHDELDVTTPKWVSLRRYRNWGSQASPTSPRIGYGRSSSLLVRRSLVGSFEESLLSGRYSYGKDNQTIDGFLAVLNITGGSFFPPTQKLPFSVTSIDEDSSLLYYSSIDLAGRLPANNSKSPKLQRSYSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCNYDLSDMPSGTKAAAVDRTASQMTNQKREIRMRRVIVKNQINLVLLGIRKTILILMIAAVKRIRLA >KQL02686 pep chromosome:Setaria_italica_v2.0:VI:33979674:33981694:1 gene:SETIT_013338mg transcript:KQL02686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQLSSVNDDAPTAPHTPFSCPPHCGGVGACDLDGLAGSSSSRVFSYPLIGDFDRKTALDAPNESNGYSEGDNVFHEPADLHGLKIDSSDANSRSYTKLLPSVHMPVRRVVGFESSCIRATDGTETDIVNSSLVNSNCHLPFDQHELQARKRLLSPLKNVLTKQFHGDMLNISSGDSRFRHSDSAGKLYSSGFQDNKKANTGCLNSFETQESPTYRCSNWSPEWDISRSNSNSFTDGPLLGSKDSISYYDHLAASAKLAHSPLSLSPLSPKYMNKIKVAGSQRYIMRDLENDFLDLKETGGSDGTRMQEISEETNFLHDELDVTTPKWVSLRRYRNWGSQASPTSPRIGYGRSSSLLVRRSLVGSFEESLLSGRYSYGKDNQTIDGFLAVLNITGGSFFPPTQKLPFSVTSIDEDSSLLYYSSIDLAGRLPANNSKSPKLQRSYSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCNYDLSDMPSGTKVHE >KQL02688 pep chromosome:Setaria_italica_v2.0:VI:33978474:33983345:1 gene:SETIT_013338mg transcript:KQL02688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPQLSSVNDDAPTAPHTPFSCPPHCGGVGACDLDGLAGSSSSRVFSYPLIGDFDRKTALDAPNESNGYSEGDNVFHEPADLHGLKIDSSDANSRSYTKLLPSVHMPVRRVVGFESSCIRATDGTETDIVNSSLVNSNCHLPFDQHELQARKRLLSPLKNVLTKQFHGDMLNISSGDSRFRHSDSAGKLYSSGFQDNKKANTGCLNSFETQESPTYRCSNWSPEWDISRSNSNSFTDGPLLGSKDSISYYDHLAASAKLAHSPLSLSPLSPKYMNKIKVAGSQRYIMRDLENDFLDLKETGGSDGTRMQEISEETNFLHDELDVTTPKWVSLRRYRNWGSQASPTSPRIGYGRSSSLLVRRSLVGSFEESLLSGRYSYGKDNQTIDGFLAVLNITGGSFFPPTQKLPFSVTSIDEDSSLLYYSSIDLAGRLPANNSKSPKLQRSYSNNDSRSAKSRLRIPVKGRIQLVVSNPEKTPLHTFFCNYDLSDMPSGTKTFMRQKVTLSPSVLPSNPAEEGNRPCDVNVGPKSSQSVSCGSEPRERGTLCSECCSGGQNCKSNDESEKGDPNAESDCKKSNKSSSPGNKKDNSDSDDCCCQADKVGLGGKKPCCSSSKINDSSGGGVLRYALHLRFLCPSKKSSKSMLRCKSDPSSVPYNSNTVAEEERRFYLYNDLRVVFPQRHSDSDEGKLRVEHDFPADPKYFPISN >KQL01880 pep chromosome:Setaria_italica_v2.0:VI:27479743:27482957:-1 gene:SETIT_014443mg transcript:KQL01880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSIGGKRRRARVMTVDGATHKYRAPAAAADALRGHPGHHLLESDEVRRLGVRARPLDPDAPLKPGKLYFLVEIPRLAAASSSSNTRRRAPQRTWSGALTYGAGERLESLMLARRSASDVAAALAASSSSPGPSSPSVEAAARAGRRRRAAPGAATEGRRPAARREQPRRRRGRRADHAALRRQGPAPPPLRTRHAARRAGAGDHVVDLAQQGR >KQL02527 pep chromosome:Setaria_italica_v2.0:VI:33064976:33068881:-1 gene:SETIT_015242mg transcript:KQL02527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAALAMAATAASLIGEPGCQTRCGDVDIPYPFGIVTSNGGVNCSLPGFEISCARTGNGSAVAPVLAGTDIAVLNLSVMPRPQARVLLPVAWQCFNSTGDSTGKSYGTVRFNADGVYRISDTHNELFVLGCNTLMYTNSGPPGRYKYTFYTGCMTFCNDSGSAQDGKCAGVGCCHVDIPPGLTDNWMQFGSTSTWSHADQEFSPCDYGFIVERGYYSFKASDLTTMPVNQTMPLRLDWAIRSGGNSSSTSMSCAAAAKKNKPQYACVSDHSECVNSSNGPGYFCNCTQGYEGNPYIVNGCTNINECARPTEYPCHGVCRDTEGSYECKCRAGYQNDGNPKEQPCSPKFPLAAQLALGITLGISLLIVAILLTLMVIHKRRMNEYFRKNGGSALQKVESIKIFTKDELNKITKNNSEVLGQGGFGKVYKGTLEDSSMVAVKSSIEVNEERKEDFTNEVTIQSQMIHRNILKLVGCCLEVDVPMLVYEFAAKGSLQDVLHGDGTHSRLPIPLDLRLEIAIGSAEGLRYMHSFTNHTIRHGDVKPDNILIDDKWIPKISDFGLSKLLKVDNYIATMVIGCMSYIDPVFMKTGLLTQKSDVYSFGAVLLELITRKKIVYGKNSSLIIEFCRLYDKEGSGRAMFDEDIATEENIFILEQIGKLAIECLKDDVNDRPDMNEVAEQLVMLRRDMKYGKAQNKSPRQFEGIATSDSPRSFATDTTSSSAAISLPSATPSREFPDL >KQL00669 pep chromosome:Setaria_italica_v2.0:VI:3890986:3892425:-1 gene:SETIT_013753mg transcript:KQL00669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPFVRLSVGQLGLKLPGGNASKASSRLCDCEIPARRFPVQTRSAPDPLAGVQPRPLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXACSGWSLRRRRSGATGSPCCLHHGWAGIGKGAAEARPELHLRVKMEADPRYIFQFDDEVALNPQVVQLHGSSRQPIFSCKFIRDRRRPSQGDGQYWSSSGGSEETDMEMAMRRERKGWKVVIHDLSGSAVAAAFMATPFVPAPGGDTVARSNPGAWLIVRAGTTGSSDSWQPWGRLEAWRESSSSAPAASSRDTVRLRLHLLPDGQDDCVLVSEAPLGSDKGGEFSIDMDRQAPAPVPAAAAAAGHCAVSLGAACAGGGFVMSCKVEGEARSSRPFVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFRRKPGKKAASPSPDPLELDT >KQL00668 pep chromosome:Setaria_italica_v2.0:VI:3890785:3892431:-1 gene:SETIT_013753mg transcript:KQL00668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQPFVRLSVGQLGLKLPGGNASKASSRLCDCEIPARRFPVQTRSAPDPLAGVQPRPLXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXACSGWSLRRRRSGATGSPCCLHHGWAGIGKGAAEARPELHLRVKMEADPRYIFQFDDEVALNPQVVQLHGSSRQPIFSCKFIRDRRPSQGDGQYWSSSGGSEETDMEMAMRRERKGWKVVIHDLSGSAVAAAFMATPFVPAPGGDTVARSNPGAWLIVRAGTTGSSDSWQPWGRLEAWRESSSSAPAASSRDTVRLRLHLLPDGQDDCVLVSEAPLGSDKGGEFSIDMDRQAPAPVPAAAAAAGHCAVSLGAACAGGGFVMSCKVEGEARSSRPFVQLAMRHVTCMEDAAMFVALAAAVDLSVKACRPFRRKPGKKAASPSPDPLELDT >KQL02808 pep chromosome:Setaria_italica_v2.0:VI:34711464:34713347:1 gene:SETIT_014002mg transcript:KQL02808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPTPSATAASFRPPIPPPPPCFDYRAAVLADTRAAAAGNPALAGLVESGALVRVPRRRFGPVPAWRPPDFVEPEEVWILGTSHLSPESVADVERVLRAVQPDNVVVELCRSRAGIMYVSDASDEPLLKSNMFSLGGAKFFGAVNRSINLGGQSALALRLLLAVFSSKISSGANRPFGEEFRAARKVSEDIGAQLVLGDRPIEITLERAWKSLTWDQKTNLVISLFRGITATTDTPDGKTAVSPYELYEKLSTSYPSLLQPLIHERDMFLAWSLKRSKAVNKSKTVVGVVGKGHMNGIVYALISDQGDLKFRDLVGRESSDTWVTSLIKGLVRDTIIGLVLWALYEQLQAVL >KQL02912 pep chromosome:Setaria_italica_v2.0:VI:35252464:35252628:-1 gene:SETIT_015287mg transcript:KQL02912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPVEGKKPKRVFEDRQCEPVQVVQEIKEEMMFEDLCVSVLFFSGTRSLFNYVL >KQL00725 pep chromosome:Setaria_italica_v2.0:VI:4374739:4378477:-1 gene:SETIT_013970mg transcript:KQL00725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLRRSASPARQILLLPRHLAAAGSAPASSRAFSGYYSRDDSARYDPPGTPVNWGVAIVPEKKAFVVERFGKYIRTLGSGIHLLIPAVDRISYVHSLKEEAIPIPNQSAITKDNVLIQIDGVIYLKIVDPYLASYGAENPIFAVIQLAQTTMRSELGKMTLDKTFEERDALNENIVRAINEAATDWGLKCLRYEIRDISPPHGVKVAMEMQVEAERKKRAQILEAEGKKRAQILESEGEAGAVLALSEASARGIRMVSEAMTTKGSTKAANLRVAEQYVRAFSQVAKKGTTVLLPSDGGNPSSFVAQAIRIFQHLQANTPQIEDLEESEGETGPAETSEMPPLIPDADPGANFSLQRHKNKI >KQL01339 pep chromosome:Setaria_italica_v2.0:VI:16524463:16524715:1 gene:SETIT_015127mg transcript:KQL01339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDTNKHLRFPSVYHLLKLILVLPIATALVERCFSAMKIVKPVVRNRIGHEFRNDSTIPNDDVIVCFSQNGWP >KQL00683 pep chromosome:Setaria_italica_v2.0:VI:3955138:3956264:-1 gene:SETIT_014282mg transcript:KQL00683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATISYVAAPSLINRSSIARAQRPAPPPVISASTKTKTGFHGVSLVDTRWAAGHRRGGGGRRRLLQVNARSTAAKNIEVEVDKPLGLALGQKPGGGVVITSVESGGNAARAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGATDVDVKRLPKRPAPPRFGRKLTDAQKARSR >KQL00684 pep chromosome:Setaria_italica_v2.0:VI:3954204:3956324:-1 gene:SETIT_014282mg transcript:KQL00684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATISYVAAPSLINRSSIARAQRPAPPPVISASTKTKTGFHGVSLVDTRWAAGHRRGGGGRRRLLQVNARSTAAKNIEVEVDKPLGLALGQKPGGGVVITSVESGGNAARAGLKAGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGATDVDVKRLPKRPAPPRFGRKLTDAQKERATHICLDCGYIYFLPKPFEEQPDDYGCPQCNAAKKRFAKYDAATGRAIGGALPPIAVIVSLVIGIAGVGALLVYGLQ >KQL01725 pep chromosome:Setaria_italica_v2.0:VI:25222745:25223351:-1 gene:SETIT_014600mg transcript:KQL01725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDIMPLIIPNEFPSIYGIQHRSLDPLLHIGGLVQVSLHLLQLSGSLFKLHASLCVFFLPLPCIVIAVRKSVASPKYRFIKCSSQVVSLASFLAILQASSHDFASSLAFSFLFVSFTRTPLVLASHSGSTHLNDAHFIPSPMI >KQL02050 pep chromosome:Setaria_italica_v2.0:VI:29444555:29445349:1 gene:SETIT_014800mg transcript:KQL02050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTIFFPIKKDKWYRPYKVDLVIGHTELKGKSRDLESRQAAFHGSGHQDCATLTSSSLGRLPVPVWL >KQL01128 pep chromosome:Setaria_italica_v2.0:VI:9008094:9013891:1 gene:SETIT_013376mg transcript:KQL01128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVASLSNVVTSQNNQFTVVNCSASSSSTSTFWSNVVALLDALPSAASPTGFASLSQGNGTDRAFVRGLCRGDTTPSNCATYLRDAALSIRRSPCNSSRRAGIWYDDGSGSNVPAPMFCFVSFADTNASTASEDAYRYPMQNRAEASDKVAFERAFNTLMNNLTVRVVNGSNTLSSPAPMFATGAAVYDPGAPNGTIYGLMQCMRDRTAAECDKCLQDSVGKLTSCCSGRQGGVVFGYNCYLRMEIYPYYNLALDGPPLLAPAPSIFIGERQEKKRVDVTALAVAIPVGTVLTAVVIVGVFLYRRKVNQKKTQQVVLRAATNNFSEENKLGEGGFGEVFKGTLQDGEEIAVKRLSQDSSQGFQELKNELVLAAKLKHRNLVQLLGVSLQEEKLVIYEYMPNRSLDTFLSDPVRRQQLDWSNRFSIICGIARGLLYLHEESRLKVIHRDLKPSNVLLDANMNPKISDFGIARAFGGDQTRDITRRPVGTLGYMSPEYAYWGHVSTKSDIFSFGVIVLEIVTGRKNNSAYNDTSDSISVLGHVWDKWRAGSMVDVVDPSLVESGYPESEVLNCIEIGLLCVQENPADRPDASAVVLMLSSPTSTSDDRRAPSRPAFVFSSGFTESDHPSRSSVKISDGVPLINGKQSSTTSVSENEMSISELQPR >KQL00385 pep chromosome:Setaria_italica_v2.0:VI:1735605:1736792:-1 gene:SETIT_014901mg transcript:KQL00385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQLADDMLADVLGRLPPSSLAASRCVRKHWCSIIDGRRLLRADLLPLRLDAFYCISLDCIERLTYLFARPPAAARRIPGGPLDFLEHYSSLEVGDHCNGLLLVCDCMVINPATRQWAELPSSPEPSIGDMLVRGTKPGLKVSARSGHGLCRTCHRFRMVRSPATRSKLGAESATFYLGKSEKGVYFALLYWVSNTERTCWCCPQFRVWLLKEEEEMNDGNHCSIHMEWVLKTTISLEPLLAKPPPPLHSRHSFADDEWSVIRNYNEELEAPPAAAAAAAQDHDDEFAADDEWDFDNADEVVHEANKDNKAEADGHYPVDFLGFHPYKEIVFFSLSSRTISYNLNTSKVQHSWAAASTYRWA >KQL01505 pep chromosome:Setaria_italica_v2.0:VI:20978732:20979122:1 gene:SETIT_015944mg transcript:KQL01505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLFITNFLLMCCLVKRGFLQLGLSLYLTESPEFILCIIICAIW >KQL01040 pep chromosome:Setaria_italica_v2.0:VI:7516419:7520276:-1 gene:SETIT_013671mg transcript:KQL01040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNVTVCVRFRPLSHKERKANADNVCFRKLDSESFVFKDEREDDVVFSFDKVFYEDAQQSDVYNFLAVPIVSDAINGINGTIITYGQTGAGKTYSMEGPSILHCNEQKTGLVQRVVDELFICLRSSAGTWTVKLSMVEIYLEKVRDLLDLSKDNLQIKESKTQGIYIAGATEISILNSSDALENLSQGIANRAVGETQMNLASSRSHCLYIFSVQHGSTSDERVKMGKIILVDLAGSEKVEKTGAEGRVLDEAKTINKSLSALGNVINALTTGKQNHVPFRDSKLTRILQDALGGNSRAALLCCCSPSPSNAPESLSTLRFGTRTKLIKASPKSIPEVADNVKKPILGTNDQDDLRDRILSKLRLSLKEEDVDLLEELFLQEGIIFDPNSITDVDSACQDTASEEISLLMQAVEELKGTVEELTDENEKLRRELDVAREIAAQAQLAAAAAGAEARSRTLLDFVPAPLLLPFGFVPD >KQL00458 pep chromosome:Setaria_italica_v2.0:VI:2253118:2254458:-1 gene:SETIT_014033mg transcript:KQL00458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPLNSSRPPVRRWRQASVYWNAGRRFFSNPPAEARDSDQDDEQKDLEKNSTLVRQPPASVLLGLPARSFLVINPIKRTISRLVRAVVVNDTPQDQLQEQQKRCSRYSQKALLFAITTFVAYLGSSSASSSTGNTAFKVAMAAFFVAIPIDLISVTRTPRWGYTLVYLSWFLLVLLSYLLLVSFHKDYRCAIIPVLLLFFAALLQRKLRPSVRQQNTTNTKPAQHLDSDEGDDRALENVFEWSAGVVNCGGLISMILGHYMYMVGPDHLTEVSIIGFLFFFTVVLGLYLMMVTTVRNAELTLYVGILPVVLGFLLVGTLIATVIQGVWLSRNDSHV >KQL01765 pep chromosome:Setaria_italica_v2.0:VI:25740737:25744326:1 gene:SETIT_014522mg transcript:KQL01765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSLTRFSQWIWPGSRTRSRRGREPPAGSTAVANGLFPDSPSGFREPDAVGHPGSGAARQRKGKSRRRGGRGEGRADGEHGMVIVQSDGDGCLSDSDSDGSDWSIGWLEPLAPDLQSDGDSEGSFAVLVPCYRRGRIERPARPDGRFPGSGGVANGGVSDNKNFVEQWVSSLQN >KQL01890 pep chromosome:Setaria_italica_v2.0:VI:27558164:27559698:-1 gene:SETIT_015053mg transcript:KQL01890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPVIGNLHQLGRLPHRSLRALAASHGPVMLLRLGQVPAVVVSSADAAREVMQAQDHVFASRPSLTIPRRLLYGCTDIAFAPHGPYWRGARKMSVRHLLSPARVRACRAVREQEVAALVRRVAEHGAGVVRLSELLNGFAKDVAGRIVLGVRAAGDSGWRARVDALLEESNALLAAFHVGDYVPWLSWVSAVDGTDARVRRAFQRIDRILDEIVDAAAATGGEISPSSAVEEADGEAFIHVLLSLQQKDDEAPGTAEWRLTRDNVKALLEDLFGAGTEATIIVLEWAMAELLRNKEAMHKLQLEVRRQARTTSNHSNLIREQDLPGMEYLRAVIKETMRLHTPGPLLLPHKSMEATRLGGGRYDVPSGTTVIVNAWAIGRDPSAWESPEEFRPERFVGSAVDFRGRHFQLIPFGAGRRMCPGVNLAMAVVELALANLVARFDWALPDGEPVMDMEETPGCTSWKRAPLRAVATQHYC >KQL00376 pep chromosome:Setaria_italica_v2.0:VI:1689298:1692796:-1 gene:SETIT_015073mg transcript:KQL00376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKILVSASVGVMNSLLGKLATLMGEKYAKLKDVRKQVAFLHEELSSMAALLEDLADMEDLDNQTMQWRNKVREMSYDIEDCIDEFMHRVGGSCDGKGLLRRLKTLRARHQLANQIQELKIRVQEASARRMRYKLDDCKTRSGGVAVDPRISALYVESGYQFVGKAGWHPIVDNASVQELRVVSIVGFGGLGKTTLANEVYNKLGKSFACKAFVSVSQRPDMVVLLKSLVTQILGRGTDICEVNGLIDNLRKYLQDKRYLVVVDDLWDASAWEIIKCAFPEGHYGSKVLTTTRIKRVAVTCCNFQWEFVYRMKHLDDHSSRQLFYGRVFGLENTCPHPFEEPSEKILQKCGGLPLAIISIASLLASQSNRSVSQWNCVLNSLRSDLRSNPTLEGMRQILNLSYTHLPHHLRTCLLYIGSYFNELVNRSMIIQVVEYRALNTERIYHKVHDMVLDLIVTKSAEENFLCVVKNIKTITSRQHCKTPRLSLQLGESKLDKIAPRMSLTHVRSLCIFGSHRRSIELLELKFIRVLFVCEVFGMDLTPIGKLFHLRYLNVQSKCLISGMEMQLPKQICGLHHLETLVINGWLSQLPHDIVHLPALSYLKVSARKAYPDGISKMKSLHTLDGFDVSMQSVNNLRALGELLNLRKLYMRLISGNLLTMETQMDALFYSIQKLLNGNLRHFTVSTCLTTSPGSFYDWWNSLCFSDCRLEQLHLQYQFPRLPMWVGQLSTLSSLQIDVAELCKDDISVLAGLPALARLVLWAGYVPDEGIVFSSSAAFRSLYYFESRLDCVCVK >KQL00707 pep chromosome:Setaria_italica_v2.0:VI:4168292:4171479:-1 gene:SETIT_013803mg transcript:KQL00707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSVHPFLRIPPLIHTEDPGSPPPESILLDRYGYLSCRLNGTTADGFTADGKRIQVTFWAASPPRVSCFTVHCPDVKPSAFYKLPTVIYSEDDLVLLRIPILRQDDSLDAESCHYFVYQAGTENNRPSLKMIIDPENNGKRFDLHLYNSKTGTWNTEHQFVDSVNYVNYLYPNIAVTIGGEFGSVGWVDLWRGMLICDLLRDNHSIRYIPLPLPLVPKPLKGYQKYFRDIVVVGDCIKYFEMSYDVRPCSGLTSATQGLVAATKKMKISDIGSGNNWEEDCTFKFSDIPVDSPKFARMLMLPNLKQVKNTKLTLMRDCAGYPALSLHDADVVYIMHTPDPDEDKALVIALDMRKKTLKDVADFGSGRPLGYTFTYLQSGISKHLNIWSSSRYGRIAGETSRDGCLGPCKLEVLGVNAEPQPTAT >KQL01100 pep chromosome:Setaria_italica_v2.0:VI:8177474:8178370:1 gene:SETIT_015065mg transcript:KQL01100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHHGQAHRSSEGEPTMARSRWAPKPEQILILESIFNSGMVNPAKDETARIRRLLERFGAVRDANVFYWFQNRRSRSRRRARQLQQACSATAHQLPAAGVGAGHYHGVNDNASPFGMNGHSQVRAGMMPAAAPLPSVAATAPHFFAEEVDSGDDLFAISRQMGLMSRGGDHRCGYTASDASQMTYQPTGMTSIQVFINGAVYEVPGAGAPLDLAGTFGHDAMLVHSSGEILPVNEHGVLMKSLQMGECYYL >KQL02301 pep chromosome:Setaria_italica_v2.0:VI:31602921:31604868:-1 gene:SETIT_014695mg transcript:KQL02301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFVAAYLLAVLAGNNSPSAEDLTTILESVGAEVDNEKMELLLSQLSGKDITELIAAGREKFASVPCGGGGVAVAAAAPAGGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >KQL00165 pep chromosome:Setaria_italica_v2.0:VI:282433:284585:1 gene:SETIT_013512mg transcript:KQL00165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRLEVAYQLIMHATTPLLIMLMLIVPLLLPLLLNLAASSRRRGNNGGKKLPPSPPALPIIGHLHLVGDLPHVSLRDLAASHGRDGLMLLRLGAVPNLIVSSPSAARAVLRTHDHVFASRPASAIADALMYGPSSDMGFAPYGEHWRQARKLVTTHLFTVKKVHSYRHDREEEVRLVMAKMRESAAASTAAVDMSEAMNAFANDVVTRAVSGKLFWAEGRNKLFRELVGINAALFGGFNLEEYFPGLASSLGFLSRWFPRYRQARHAHKRWDELLETIISDHELERRHSISMPCRDFTDVLLSVQQEYGLTRDHTKAILIDLFNAGTDTSSLVLELAMAELMRNPQHMTKLQGEVRKHTPEGQEMVEEENLASMPYLRAVVKETLRLHPPAPLLLPHLAMADCTVVVGDGAYSIPSGTRVIVNAWAISRDPESWEKPDEFMPERFMDGGSAAAVDFKGNDFEFLPFGAGRRICPGLNFALATVEIMLANLLHCFEWELPNGMEAEDVDTTEVFGLTIHPKEKLMLFPKPRGTSTGGGHSMQAEAADPE >KQL01046 pep chromosome:Setaria_italica_v2.0:VI:7560881:7565348:1 gene:SETIT_014490mg transcript:KQL01046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRDEVIRRTVYVSDIDHQVTEENLAALFINCGQVVDCRMCGDPNSVLRFAFIEFTDEEGARTALNLSGTVLGYYPVRVLPSKTAIAPVNPTFLPRSDDEREMCARTIYCTNIDKKVTQADLKLFFESICGEVFRLRLLGDYHHSTRIAFVEFVMAESATAALNCSGVILGALPIRVSPSKTPVRPRAPRQLMH >KQL01001 pep chromosome:Setaria_italica_v2.0:VI:7289376:7290026:-1 gene:SETIT_015150mg transcript:KQL01001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAKFHPGKAKPKNGRRRMRWGSGCIPATAPAPPSGKSHGGGGIEHYKPPHLLGLLRFTQVGGMPEHMANYTAYPMSPSSAVELAEIGVVLMPSTEPWFGDMRVRRFRLAGELLLSPVFLREVTACWLVNMAALEASTAGASRESDGFVVSSYLSVLAMLMDRKEDVHELRRRRLLHGAPSNKQALGFFKGLGQNLRFGDRYFVALEEIDSYKRHRS >KQL01034 pep chromosome:Setaria_italica_v2.0:VI:7490473:7491430:1 gene:SETIT_015640mg transcript:KQL01034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLKALGYEFDNDEFHANVHGTLPYHNLRPDPVLRTLLLSIPQRKIVFTNSDKAHAEEAMHRLGLQGCFDGVICFETLNPCNASGKAAGFHTVIVCRSTLVPGADHALESIHNIKEALPEI >KQL02809 pep chromosome:Setaria_italica_v2.0:VI:34719505:34719788:1 gene:SETIT_0148632mg transcript:KQL02809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRPGWTVTPGPVQDAGWDCTAEIGEDICKLARPSPLSPRDATPAVLLHARRAELSCAAARATAQESSAGKNRRPCLAEPGSVYSLPATAVSC >KQL02505 pep chromosome:Setaria_italica_v2.0:VI:32937921:32938442:-1 gene:SETIT_014802mg transcript:KQL02505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPSLSCVLGRARADGTGDAGRGASRRCCCWCGENNTTPLAEGRGGGETGYIRRVGCGGRKHAAAW >KQL00587 pep chromosome:Setaria_italica_v2.0:VI:3307859:3310260:1 gene:SETIT_013853mg transcript:KQL00587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADHQEMIHPAAAAATGGSTGHGHGGGDWWSTAVSCSPDQLPGFGAGWSAAAADGGSRSRSGNAAASAESPGSNSLATGGSSITYQEPAAGVADPGAVAVPQPAAGLAAGWNQPYYLGDHQGHLGPRSPSSNNNGLMLQDPTHDPNHQFLSNLGLELLSSPTSPAGGFRSSSLLRTLTEPSAAVAKPSSLGLFQQYHQQQTVNQAPSSIREALQFTNNTPFWNPSTSFAAAAEGAASLGTAGPPSGQSRPANLAAKSAPEGAGDSGSIIAKKANTDPTPLKKARTGTPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHETIEYIKFLHEQVGSLSAPYLKNRQQVPHLKISRDGGEAAAAKGDLTGRGLCLVPISSTFAVASETPVDFWSPFGAAFR >KQL00241 pep chromosome:Setaria_italica_v2.0:VI:732803:735450:-1 gene:SETIT_013843mg transcript:KQL00241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGGDSKQTLKSRGGITGGAATGGMGDEESDYFPPTPRKDWSTGLLKLVTATVIFMGGVVLGLSVSGSVARYYYNASHAELFFPATTYGCDPRDRDCGLGLAFKAFVHPPRLAHSMTDDELFWRASLVPRAEDFPFQRVPKVAFLFMTRGPIPFAPLWDKFFRGHQGLYSVYVHTVPDYKLNVSKNSAFYGRQIPSEEVSWGSITLVDAEKRLLANALLDFSNERFVLLSESCIPVFNFPTVYEYLINSAHSFVESYNIDTPQCAGRYNRRMAPHIMADQWRKGSEWFELNRELAVQIVADYKYYSIFRKHCRPSCYPDEHYIPTYLHLFHGPLNANRTITWVDWSRGGPHPASYGAADITEEFIQTIRNNGTQCFYNSKPTSVCYLFARKFAPNALGRLMNLTSTVLDF >KQL03025 pep chromosome:Setaria_italica_v2.0:VI:35834747:35835109:1 gene:SETIT_015487mg transcript:KQL03025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGGWDDQGKDAGEATKYRGVRRRPSGKFAAEIRDSSRQSVRVWLGTFDTAEEAARAYDRAAYAMRGHLAVLNFPGEARNYVRGGSSSSSSRQQQQGGSGRQVIELECLDDQVLQEMLKG >KQL02150 pep chromosome:Setaria_italica_v2.0:VI:30336959:30338115:1 gene:SETIT_015733mg transcript:KQL02150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAAAGAALAASAAVARLRGARAGAFRARASQALAASVQWFIGEAEGEEPQHHRASKKGAAEVREGVEFYSNGDRYEGEFHGGRCSGGGVYSLFGKGKYEGDWVEGKYDGYGVESWARGSRYRGQYRQGLRHGHGVYRFYSGDCYAGEWAGGQSHGIGAQTCSDGSSYAGEFKGGVKHGLGSYHFRNGDRYAGEYFADKIHGFGVYTFANGHCYEGSWHEGKKQGFGMYAFRNSDERAGEWDSGILKNSLPLSDPAVQRSLQAARRAAVSAVHLPRVDEQVNKAVMAANRAATAARVAAIKAVQNRIDGKLCFIDV >KQL02403 pep chromosome:Setaria_italica_v2.0:VI:32262585:32267866:1 gene:SETIT_013291mg transcript:KQL02403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRGHGGGGVSASLAALLCCGCVMVLAGAAAAQGPRLPSAYKTLRGDAPRVVAKGGFSGVFPDSSSDAYSFALIASAPGTTLWCDVQLTKDGVGVCLRDINMNNCTNVAHTYQARKRTYVIDGVRKNGWFALDFTKDELQSVSLTQAIWSRTYRFDSVGYSILSVTDLLSIVKQPSVWLNVQHDTFYKEHGLNMRNYILSIQKRVSVDYISSPELGFLQNISGTVHRKTKLVFSFLDKSLLDHSINQTYGSLLSNLTLIKSIASGIMVPKTYIWPVTKDNYLQPSTSIVAEAHNAGLEIYASDFANDRIIPYNYSYDPLAEYLNFISDGGFSVDGVLSEHPITASEAIGCFANLNSSETGHGEPLVISHNGASGDYPDCTDLAYNSAINDGADVIDCPVQVTSDGVLMCMSSINLLDTTNVQGTTFSSLSSVVPEIQATAGIFTFNLTWDDINSSTLKPKISSPVSDYYLVRNPRYTNQGKFLNLSDFLAIGMDKDLSGVMIIIENAAFVAKSLGIDIVDSVNAALSAAGYDNQTTKQVLIQSKDSAVLVKLKQQKTKCKLVYTLPLGIGDASTSSLEAMKKFADAVVVDRKSVFTLSQDFAIRQNSLVKDLQSAGLAVYAQVFRNEFVSQPLDFFADETVEINYYVQSFNLSGIITDFPKTVRRYKKNTCTGLGNDMPNYMQRIDVGSLYQLLQPFKAQPPSVPPMPTLNASSVEEAPLPPVASRNGSGGSSSGAETPGAPPAAAHKATVSTGMLFVMVFTALLI >KQL01254 pep chromosome:Setaria_italica_v2.0:VI:12290699:12293579:-1 gene:SETIT_015923mg transcript:KQL01254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIGDRHLDNILLTDDGRLFHIDFAFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYLRFKSCCEAYNILRKSSSLILNLFKLMGRSNIPDLSADQNGDVKGVYLLQEKFRLDLDDEEAIHFFQDLIIERVSALFLQMFETIHRWAQYWR >KQL02461 pep chromosome:Setaria_italica_v2.0:VI:32662197:32663127:1 gene:SETIT_014333mg transcript:KQL02461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCTRPLGTGTHIHSPAAYFTLYSARAMATCRSLLMVPVTLCFFLLVLPAQSKENLAVVIFYGTARCKSNPSKIISNATLHVTINGTTLATGKTTSMGRFLMAARVTSKDQLSSLVSKKAVITAPRQACGMPAASVAARKLAAQADLNGHRILADNSGDLVDDDDIREIIDLLGDPTVPDPIQRLIDDILSSGETSAGYMDNITVFTDQVPVPEVAAFWNFIENSGLDASVLVDVLNNFVGIPNIERNI >KQL01957 pep chromosome:Setaria_italica_v2.0:VI:28479690:28481191:1 gene:SETIT_013823mg transcript:KQL01957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPIAACFRCAAAAAAGAPSSGTGAAAGPSLATSVYETHLGLVALSWSRAPLGLSLRAVLRLSPPSTPGGSSSSAFGAGYLDDDADDEETTLAFRVRPWLLWRRRGSRRFRAGDRLVDLAWDLSRARFPGSGSPEPSSGFFAAVVVDGEMVLAAGDLPDAAYRRTRARRPSGPRPVLLSRREHVSLRDAGAGRGRSHTTWVTVQGKEREISVDLVARGRGRDKGAAGRKKEKERADVGMSVSVDGERVLHVRRLRWKFRGSERVDLGGGDGIQVSWDLHNWLFPPRDPLPADASTQAQAHAVLVFRFELASGGEERDADLGKDPSPDKAVRRSTGVWGGYLARWGQRDWSETGSNGERRKGRARRFAKESSSSSASVASSTASWASGSTVMDWASPEEAEMQRGDGFSLLIYAWKS >KQL02883 pep chromosome:Setaria_italica_v2.0:VI:35106334:35109687:1 gene:SETIT_014967mg transcript:KQL02883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEWIKEHPVVWNGGIGPAASFVPTLTRLLFKQTKNVYAYDHVITARLSPMAAIEGRIGCYRRVALDVLMATARKESYYGYGMVSDILVLKAFGVNHHRDYRWDYYWDPVQRLLFGALLPDSNIRSRIPGMIREMLQGKRRLLLVENLHVPVPMDVLFLSTQFIRPSVLFQPNGWVISTASKDVCNQSREHELSYGSPGAILGLLEYYHTLHFDDPRAQHWVVLIKEALQDAAGTIRITLVHQQREDKFWLHVAQKCLYYGILYCPMQGAAARHDITSDELVRCWVAEDLLTPQRTTDRNYRSALEAGKVVIQALQEYSLLPNSPSNTCSRDTGVSVLAMGVPRLKEEELFYHENIDRLTWVSFMNDDGRHVSWDWRMPWHPEWGFPGERLSTLILRGCSNISGFPFDRVLDLHVLDLSYTPINSLPPYLSQLSNLRLFSLRGCSKLETLSSPQHTTRPLSCLGSLETLDMNGVPLLELTQQDCSNKSNLHFLDLSGSRITILPSEFFSEMSSLEELMLGNCIHLKELPPSLAQLSNLLILHLEGTQIISFPEDTFEAMQRLHTLKLIDNMTLMSLPTSLSKANGLRELHINNCRRLRLQFIWELVPGLEDLYIQTWEALEDIKIHGHPNLRTFSVSGLWIRCLSLRGCSKLKIVNISDDLTALEDVDLSGTAIEEVPHSLPNLPQLRMLLLLNVPCFKRFPWHRLVRFPKVFCLDNCSDDGNHLSRMFRQKETDNNIAQIHINDSRIFHSFNEDAANKLVKEGQFFQSFNIQIKPCSVRGKEPRDKSCTGIQRQLPYLDVSCSKAATIVPMMKLEPKRRHVEISAMNQHPNGLRILSVTNSLFITDDASIRCVSDLNCNLMSLEVCQLQHCHKMSVVFGLESNRTDPMVYDKAEADGPSIVLSVFPALKILQASNLNNLVCFLETSALAYLEHRGRSWTLKLKLLTRIHLEHCSRLEKIFPSRLSLPALETLVILFCPSLKTIFYKTYEDEEVAPCPLPNIESIYLQELPQLQHIHEDAMFRFATPKWETLLVRSCRSLRRLPFLKEHPKSKVKVNGERDWWDRLQLSLPEQGNYYQQVPPPPEFASRKKKLIIKSYLR >KQL02988 pep chromosome:Setaria_italica_v2.0:VI:35663936:35664884:1 gene:SETIT_014694mg transcript:KQL02988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEDRTIYQTDVLFCCTRGGWLLLAFWLATYHLHDEAKANLVQSSLSNLQDGTSSLLLFALQCTKAILRRLLLSYSSLQHGLSSETLSRIFSRTPWTEWMVAIICCKARLG >KQL02285 pep chromosome:Setaria_italica_v2.0:VI:31463612:31465165:1 gene:SETIT_015793mg transcript:KQL02285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFAWATVSQTAGLGGGVSDGRGGGLLPPMPGFQLPPPAGLEHFSVDSGLVERAVRSSSCFGAGNGTAPTASASNITLEGASGRRSKVAGGSSSVNGVHGEVATGDCSSGGPEPEKRSSEDVLGTDQANASTGSANEGSGSKGVNGEKNGLAAATAAAGKRKRKGSKEPDEEGEGYIHVRARKGQATNRHSLAERLRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLAAVNPQLGLNIEGLLSKDVCSRFITPGSSSLLSFQFVFARNVHQNYKTRSVSFDDMQLIRYPSAPSSAPIGFSVAQSGMVQEGVHSVASSNGFRTVMQDQLNERDSFREHVSQNICISTYPSV >KQL02165 pep chromosome:Setaria_italica_v2.0:VI:30546234:30547652:-1 gene:SETIT_013855mg transcript:KQL02165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVDRRMAGLTPAAHAAGLRRLSTRAAAGPSSASASPRHGLHSFAPVAAAVLAHLRASGVAVLPGLTELELARAEAEMGFAFPPDLRAVLAAGLPSGPGFPDWRSRAGLRSAFDLPIAAASLQIARGALWPRCWGPRPADPDRALRLARSAIRRAPLLVPLFDRCFLPCRPCLAGNPVFFVTDDRVLCCGLDVLHFFTRDSCFQPTLDPRAPLPLSRETPAATPCTRRSLDAACGGKAPRWIEFWSDAASDRRRRDSSSSEASTASSLSSGCASPPPPPRRSRTPHWVDTYLDRLGSVLRQGGWRDTEVTEMVEVAASGMFDGEEAAAPPEADPDAVLDALLLKADRCSDSLRRAGWSSEDVSDALGLDLRRCKERPRPAVQIPPEIAVKVERLARSVARRP >KQL02870 pep chromosome:Setaria_italica_v2.0:VI:35042566:35046566:-1 gene:SETIT_014193mg transcript:KQL02870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVVDAEYLRQVDRARRQLRALISNKGCAPIMLRLAWHDAGTYDVNTKTGGANGSIRFEEEYTHGSNAGLKIAIDLLAYQSQEPEDNVRRPLSVEVTGGPTVEFVPGRRDSSVCPREGRLPDAKKGAPHLRDIFYRMGLTDKDIVALSGGHTLGKAHPERSGFEGAWTQEPLKFDNTYFLEMLKGESEGLLQLPTDKALLSDPEFRRYVELYAKDEEAFFKDYAESHKKLSELGFAPRSASAKTDLPTGVVLAQGAFGVAVAAAVVIAGYLYEASKKAK >KQL02871 pep chromosome:Setaria_italica_v2.0:VI:35042888:35046431:-1 gene:SETIT_014193mg transcript:KQL02871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVVDAEYLRQVDRARRQLRALISNKGCAPIMLRLAWHDAGTYDVNTKTGGANGSIRFEEEYTHGSNAGLKIAIDLLEPIKAKNPKITYADLYQLAGVVAVEVTGGPTVEFVPGRRDSSVCPREGRLPDAKKGAPHLRDIFYRMGLTDKDIVALSGGHTLGKAHPERSGFEGAWTQEPLKFDNTYFLEMLKGESEGLLQLPTDKALLSDPEFRRYVELYAKDEEAFFKDYAESHKKLSELGFAPRSASAKTDLPTGVVLAQGAFGVAVAAAVVIAGYLYEASKKAK >KQL00566 pep chromosome:Setaria_italica_v2.0:VI:3052644:3053545:-1 gene:SETIT_015700mg transcript:KQL00566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMPNVVVVVAAVAAAVLAVHVAGVSCWAPPGPNITTDYNGRWLPARATWYGQPTGAGPDDNGGACGIKDVNLPPYAAMTSCGNLPLFKDGKGCGSCYEIKCKVPECSIQPVRVFITDMNYGPVAPYYFDLSGTAFGSMAKPGLNDQLRRRGIIDLDFRRVPCKYVAGQRIVFHVEEGSNPFYLAVLVKFVAVDGSIVQMDLKDQASPEWQPMRHSWGAVWRSDTPRPLKGPFSIRLTSESGKTLVATDVIPEKWQPNTVYNSNIQF >KQL02037 pep chromosome:Setaria_italica_v2.0:VI:29313847:29314653:1 gene:SETIT_015333mg transcript:KQL02037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSEAHRCGAACGHAFCRACLSGHVLAKVEAGTGTGAVVRCPDPSCAGALDPELCRAALPPDVFERWWAALCESLFPAARRTYCPFPDCSEMMVADGDGDGGGGAEGCVTQSECQVCRRLFCARCRVAPWHAGVACDEYQRLAMGDGGREDMMLYEMAWAMSWKRCPKCRFFVDKIDGCAHIRCRCGFKFCYRCGTTWRSTH >KQL01351 pep chromosome:Setaria_italica_v2.0:VI:17252569:17253659:-1 gene:SETIT_014018mg transcript:KQL01351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLKFSHSYQQTRISSLSETLDPGRGRFVKDRCRDLYNTKPLNRISSLSSLTAPQLLIGGPWASGGGCLALRPSSSDPFLILLPVPSLSWSFRSSAVRRTAGRRTAGFGGRGSRGQERILKPARVRAPHDESTADSVISEGTICPLAIPRLSKARCGGRRYSQRRAAPVYLAPAGRCHPHSLLLFRRLAPGLVFVSWSRSVASFCDGLPNPYLEVRKSIVRISFDWKPKPEDKRFMVIAGIILSIEDDGSAVIAANSKFFGMKKRFVVNFPNATGYEQEQELDVRKPIKSAGGFYIFAAKPSENGYIKAVRFETQSVQVGDPVHSFVFPREGYITPTGYCRGSVM >KQL01352 pep chromosome:Setaria_italica_v2.0:VI:17251539:17253659:-1 gene:SETIT_014018mg transcript:KQL01352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLKFSHSYQQTRISSLSETLDPGRGRFVKDRCRDLYNTKPLNRISSLSSLTAPQLLIGGPWASGGGCLALRPSSSDPFLILLPVPSLSWSFRSSAVRRTAGRRTAGFGGRGSRGQERILKPARVRAPHDESTADSVISEGTICPLAIPRLSKARCGGRRYSQRRAAPVYLAPAGRCHPHSLLLFRRLAPGLVFVSWSRSVASFCDGLPNPYLEVRKSIVRISFDWKPKPEDKRFMVIAGIILSIEDDGSAVIAANSKFFGMKKRFVVNFPNATGYEQEQELDVRKPIKSAGGFYIFAAKPSENGYIKAVSTIVSKGIEEPAGAEPQVEE >KQL02759 pep chromosome:Setaria_italica_v2.0:VI:34409546:34411917:-1 gene:SETIT_014135mg transcript:KQL02759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPSAPVFERVAGIRAIAESGRFKAWFLDQFGVLHDGKKPYPGAILALEKLAGNGAKMVIISNSSRRSSVTIEKLQSLGFDTSCFLGTITSGELTHQHLLKRNDSWFATLGRKCIHITWGNRGAISLEGLGLQVVNNVDDAEFILAHGTEALGSPSGDPLPKSLEELEQVLMLGLEKRLPMVVANPDYVTVEARDLRVMPGTLAAKYESLGGEVKWMGKPDKVIYTSAMSLAGVDAHECIMVGDSLHHDIKGANATGIASAFITGGIHAAELGLTEFGETAGEDAINALCSKHGSYPSYVLPSFTW >KQL00524 pep chromosome:Setaria_italica_v2.0:VI:2741543:2745178:-1 gene:SETIT_014836mg transcript:KQL00524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQQPEPVSYLCGDCGSENTLKPGDVIQCRDCGYRILYKKRTHRIVQYEAR >KQL00740 pep chromosome:Setaria_italica_v2.0:VI:4548139:4549416:1 gene:SETIT_014819mg transcript:KQL00740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQDQFLCRQPGLANFMDANVLDSHQITAIVLCMTSCSPMPWFLSSNHLGASVLHVLFTS >KQL02723 pep chromosome:Setaria_italica_v2.0:VI:34167668:34169413:-1 gene:SETIT_013474mg transcript:KQL02723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTISQIIVGKSKPFYIAKSSFPGNKCILSIPIPHLSQCHIPLLLSRAIVSGLLLKLPLVHSVLRSLSSGPVPSLSLSFLSLLRHSGYMTLDNYSLNIALSATARLPSVSVGAQLHSLSVKLGLVSDTFVLNSLINMYSSCSYPATARLVLDSAPQGACDAVSWNTIIAGYLRGGMPNKALQAFGEMAKEQVRLDDVTLLNALVASARTGTVKVGRLCHALALVNGVGINCYMGSSLISMYAKCGLVEDARKVFDGMHERNVVCWTSMISGYTQLGKFKEAVELFRDMQISGMEADDATIATVVSSCAQMGALDLGCYVHAYCDVHGLGKELSVKNSLIDMYSKCGDIKKAYEIFSGLTKRDVFSWTAMIMGFAVNGLCGEALDLFAQMEGEGKVMPNEVTFLGVLTSCSHGGLLEQGYHHFQRMSMVYHLTPRIEHYGCMVDLLGRAKLLTEAAQFIKEMPIAPDVVVWRSLLFACRACGEVGLAEYVAERILELEPKKCAGHVLLSNVYATTSRWGDVNKLRTSMDGSRMSKQPGCSFIEVDGCVHEFFAGDESHLETEAIYNILLGINELLVAESLLA >KQL01790 pep chromosome:Setaria_italica_v2.0:VI:26144103:26144989:1 gene:SETIT_014391mg transcript:KQL01790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTTLLQLTRFQGDGGYAVGVSCSLMLSDPLSLTRFLLSWARTHAEMKARSELVTHPLMQYASYFQRPMAARALTKSIPLDAFAGADDGHAAETVLFRARPATTGAGAPDDHRALAGACVAQARERFGMGKAPAQFSVVVVAGGDGRGGTSVERCTADGQPDSSCGGGGQYKLEVAQWQELGLADMVLRDSKPVHVSFGIVSGGDEGLVVVMPDGDAGEFLVAATIRLLPSE >KQL00278 pep chromosome:Setaria_italica_v2.0:VI:982793:985781:1 gene:SETIT_015763mg transcript:KQL00278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELMASAATSVMGSVIGKLTAMLGEKYQFAGGVEKGIRFLKDELSTMDAVLQKLADKDDDQMDPMDKDWRNKVRELSFDIEDCVDRFMLNHSHGGSKANVVRKAVRKVKMLWKDQGIAEEIQELKILVTEQSERAKRYRALGSSPPHYLAASPQPVCLDPRATALFQEARDPVGIDGPREEIIQLLQVEENQHKVVSIYGTAGQGKTTLAMEVYRKITQAFDCRAFVSVSQTLDLKKLLRDILSQIVSTSEFDQSERWETEQLVRKMRDYLIDKRYFILIDDIWHVSDWDLVEAALPRNDNGSRIITTTRSKTVAETCAGIDAQMYKAKPLGDDESRRLFFKRLFHSTEHCPQDLMAVSSDILRKCGGLPLAIISIAGLLANRSKTKEVWVNALKYISAAVDKDSHIDKMKRIFLLSYFDLPLHLKSCMLYLSVFLEDYVIDCRQLILLWVAEGLIPGQGRENMEQLGRSYLNELINRSLVQPTKVGLDGATVKQCRVHDVILEFIVSKAVEDNFVTIWNRNGLSENYSSNKIRRLSIQHGISMQAEEMVKIKEHAAHIRSINIFDPNSVLLIKDTSMFLSSQVLRVVNTEIRQLLLEDCYLGHAKSFGQIKYLRLKIRPCHWKLPKDIEKLQHLETLDVWNTRIKKLPASITQLQRLVLLIVGTKVKLPDGIGNLQALEELSMISLGFQTIKFIEGLGDLTNLKVLGISWEYPYAIGCHVEVEGHKEACISSLSKLVTTLRELHVLDSSDDTFSFMASCGSTPPPLRKLIFHGIVMPHQIISSLVNLTRLSIGLVSQEGINILASLPMLLSITVRVYEGNFGIRYTISSQGFQRLVKFTFYYHSDGALEFEPGAVPKLQRLKLYLEARGQFNYEQGGLVLGLQNLAGLKHVALRIDCEHATPDEVQDLEDDIRVAAGAHPNCPMVQLVGK >KQL02752 pep chromosome:Setaria_italica_v2.0:VI:34354734:34356747:1 gene:SETIT_014373mg transcript:KQL02752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATSSSASASPLLLPSGPRQASSSRAWLHGGGASRSRAPCCCKATGGGSAAKCGAADDLTTSGGSSTRRGMLGVALGASALGLAAFDAVAAGLPPEEKPKLCDAACESELENVPMVTTESGLQYKDIKVGEGPSPPIGFQVAANYVAMVPNGQIFDSSLEKGQPYIFRVGSGQVIQGLDEGILSMKVGGLRRLYIPGPLAFPKGLTSAPGRPRVPPSSPVVFDVNLLYIPGLDDE >KQL00186 pep chromosome:Setaria_italica_v2.0:VI:389611:390442:-1 gene:SETIT_014624mg transcript:KQL00186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLSSLRAPACFALRAAPAPAPSTVPLPAKAPRGARLRAQATYNVKLITPDGEVELQVPDDVYILDHAEEEGIELPYSCRAGSCSSCAGKLVSGSIDQSDQSFLDDDQVAAGWVLTCAAYPTSDVVIETHKEEELIV >KQL01291 pep chromosome:Setaria_italica_v2.0:VI:14033985:14037713:1 gene:SETIT_015683mg transcript:KQL01291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCDYQSFTVQGTFVDSDSKLFDSCFTTDVFDDEKYRDYITDIVTQLRSHFADASFMVFNFRDGESQSLLANILSSYDMVVMDYPRQYEGCPLLTIEMIHHYLRSGESWLSLGQQNVLIMHCERGGWAVLAFMLAGLLLYRKQFIGEQRTLEMIYRQAPRELVQLLSPLNPVPSQIRYLHYISRRNVSTEWPPQDRALTLDCVILRNIPGYNAEGGCRPIFRIYGQDPLLATSNTPKVLFATPKRSKYIRLYKKADCELIKIDIHCHIQGDVVLECISLDADQEQEEMMFRVMFNTAFIRSNILMLNRDEIDMMWDAKDRFPKEFRAEVLFSEMDTANQLGPMEVAGIGEKEGLPVEAFAKVQEMFSNVDWLDPTGDAAIQLFQRLTSSENIQLRQGFLSPSKKEAESLELGPISPTNKHSDNLQQEPSNVLQPTVYVNKQENVGGQRLTLLEPSTNSEVKTGISVVQENLGSLVHKVDSNTEQSTASEKAVSSTVKSIEPVLKDENAKLDEQHASDQRSSPTTTMSRRFPISSSCSALSGNSSPRLLSGCPRFHSAPSALGITALLEDHARCSDDCRSTVTSTTVSNVSTGVVKITSKLPSGQHPATGTPVITKGMPPPPPPPSRPALLVSDAIMMSEAKDLSQPAVKHSGLPSHPERQSTFQSHGTSTLPTNHQKSSTSAAIESLLTSAPPSPPPPPPPPPPLPISSSTSSSSSIDHLPPDSVPVSPTSFRPPVPAALQPPPPPPPASPRPSPVRSHAPPSTPPPPPSVSSSSPLKLTGPPSSPPPPPSPRCSPSRPPAPPPPPLLASTSSTIRPAVPPPPLFASTSSPVRPVAPPPPPAPTSSPIRASAPPPPPPPRTTSGPPPPPGTTSGPPPPPPPCYSSKQSSSPMGNSMPSPPVPPPPSHGGGNGASGNIVPPPVPPGGNAKLFGSQGRGPAPPSGPMSKSFQSGQAVFRRSNLKPLHWVKVTRAMHGSLWAESQKHDETLKAPVFDMSELENLFSAVQPSSDSRRSDKSGGRASGTKSEKIHL >KQL01476 pep chromosome:Setaria_italica_v2.0:VI:20275318:20275812:-1 gene:SETIT_015829mg transcript:KQL01476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRQRWWEQDYRCRSREHRIPLPIYALPSIFPSQSFDNWTKQAMASNHQHFDTSTDCGPLAIY >KQL02189 pep chromosome:Setaria_italica_v2.0:VI:30745353:30745655:1 gene:SETIT_014957mg transcript:KQL02189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSKVVVCTCIMIFLVISSQADARRLMAATTCDVKEGSCKGGVAVDIEGDGGVTSSKQEMVSATGTEQAGEGMPMTTTDSRPTAPGNSPGIGNRGKINN >KQL01599 pep chromosome:Setaria_italica_v2.0:VI:22628436:22629350:-1 gene:SETIT_014760mg transcript:KQL01599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLKDENRTSEEREKMGQAFTVHYFTYTKGNQKYLIWGLTARILIHAASVVYERPPDFPEGNAHFNLPKYTKDCSSMLAGLAKH >KQL02503 pep chromosome:Setaria_italica_v2.0:VI:32932700:32933849:1 gene:SETIT_014019mg transcript:KQL02503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRPRHRLRLHLFSLLLVALARAAVARGGAVEDAACGAANRTDAASLRPDRLTVLLSGYSERRLPLLRAIAGAYAAHPLVLAVVVLWCNPSTPDRLLLRGGGGFPPRVALRRAASASLNYRFLPRPSDIRTAAVAVADDDVLPDAAALSFAFAAWQQQQQPAAPGHPGAGPLVGFFPRSHHLDLARGRWAYTAAQPGRYSMVLTKFMVLGTDLLYKYSCSPELAAARAVVDRERNCEDILMNFVAAEESSTGPVLVEAGSIRDWGDPRNDVNAGAGEDGGAMKDVGLSATGGLGHWEKRGECITEFHRLLGRMPLRYSYGKVMEATIGEQGLCSKGGRLVRCDQE >KQL01007 pep chromosome:Setaria_italica_v2.0:VI:7309674:7315067:1 gene:SETIT_013261mg transcript:KQL01007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHARRAARPVYIHTTPRLPLPILPILLSLENKTKPAAGVGREKGRKKIIHHHFVVPHTNLAHARGCGGGAGSIRGRNPRWWRVVVVVVEEEDREGMASPKKDGGFLTQDQREKLRIAVQNAETLSLASPRSPTGGSTSALLQQYELQMLEQKRAAAAAAVAAGRGGGGGGGGGGGGGGGPRHVRRSHSGKTIKVKKDGAGGKGTWGKLIDTDAEACLDRNDPNYDSGEEPYELVEAPVTTPLEVYKKSVVPIIEEYFSNGDVKLAASDLKELGYDDFHRYFVKKLVSMAMDRHDKEKEMASVLLSSLYGNVISSTQIRLGFVLLLEAVDDLAVDIPDVVDVLALFIARAVVDDILPPAFLSKAKVTLSESSKGLQVVQIAEKSYLSAPHHAELIERRWGGSTHITVEEVKKRIADLLKEYIRNGDTAEACRCIRELAVPFFHHEVVKRALTLGMESPAAEALIVKLLKEASEECLISSSQMMKGFSRVAESLDDLILDIPSAKSEFQLLVSKATSEGWLDSSYMSSGANGSVEDDEHEKLARYKREAVSIVHEYFLSDDTAEVIRSLKELGYPEYNPIFIKKLITIALDRKNREKEMASVLLSSLSMELFSTEDIVKGFIMLLESAEDTALDILDASDELGLFLARAVIDDVLAPLNLDEISSKLPPNCSGAETLNMARSLASARHAGERLLRCWGGGTGWAVEDAKDKITKLLEEYESGGDVGEACNCIRELGMSFFNHEVVKKALVMAMEKKNERTLSLLQECFGEGIITINQMTKGFSRVRDGLDDLALDIPDAREKFLSYVERAKKSGWLLPSFGIAAVA >KQL02621 pep chromosome:Setaria_italica_v2.0:VI:33603971:33605646:-1 gene:SETIT_014926mg transcript:KQL02621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKSRSSKVAAQPKKAPKLEKVFTCPFCNHPESAVACRIDLKDRIAEASCRICSETYFTSANALTEPVDIYSEWIDACELANEGVVDRRCQPRLVDAA >KQL02099 pep chromosome:Setaria_italica_v2.0:VI:29909001:29909930:-1 gene:SETIT_015510mg transcript:KQL02099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLVGHVAPGVGFLVVGLWHLYNHIRLFLLRPGSYVAPVWFPVRGARHLELILVAAGAAASILMELVVGPARHHPFDRDGTVPSDHLHNFEHASISLALLVYAAAAILLDRAGAPCRDAVSQLAAAAAFAQELTLFHLHSADHAGVEGHYHLLLQGVAAVTLAATALGVAAPRSLAVSLVRSASLVLQGVWFVAMGVALWTPALLPRGCFLNHEDGHDVARCREEGGALARAKALVNLQFSCLYKEEPEYVPLVKENGHGDDDDNDDVEAAKGGSGSVFCESRPMEISRP >KQL00721 pep chromosome:Setaria_italica_v2.0:VI:4350976:4351478:-1 gene:SETIT_015054mg transcript:KQL00721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSPGQPLQLDLVLVLMALWLALLTLMFKNIMTPLPEKCALVQKLPADMMSSHRNGLSWEGDIDIGYVDVYDDRDFNWIAQSVLKAFRVLGALIPYLEAFIRMLSRMVSYGIWWSNTETTESLQDLIENHPFLKPAMAWSNL >KQL01548 pep chromosome:Setaria_italica_v2.0:VI:21503334:21503633:-1 gene:SETIT_015759mg transcript:KQL01548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHDWALTASVSRATVLVLLGLPLNAGFLTGAGDAACPLCMHWGTCPFHKASICMCFFYRVSGT >KQL02561 pep chromosome:Setaria_italica_v2.0:VI:33212503:33213519:-1 gene:SETIT_015143mg transcript:KQL02561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDSASAVVEFDVNYEQAKHLDVGKAVHSDAIPAGGHMWRISYYPYQGNAEQLCITLKLLSKASSKSRCIFEVMMIDKDGIPALIAAKRTWRLEDCCVTCVWFQSATGTLSTDLVRKYMTDGQIKFLCTIKMLHDDSSVHSGLVRLPGIPAPPSDIAKHLGTLLDTADGSDVSFTVGGETFHAHRAILAARSPVFRAELLGSMAEATMTSIALHDIAPATFKAMLWFMYNDALPEDMELVGDSPVEMFEYLLAAADRYALDRLKILCAQKLWDNVSVDTVATTLACAEMYSCPELKNKCIDFFAEEKNFKKAVLTEGFARLVHQFPSIIAELRDSVGT >KQL01694 pep chromosome:Setaria_italica_v2.0:VI:24966761:24970909:-1 gene:SETIT_013577mg transcript:KQL01694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVYVLEPPTKGKVVVQTTAGPLDIELWPKEAPKAARNFVQLCLEGYYDGTLFHRVIKNFLVQGGDPTGSGTGGQSIYGAPFADEFHSRLRFNHRGLVACANAGTPHSNGSQFFITLDRCDWLDKKNTIFGKVTGDSIFNLLALADVETDKDDRPVYPQKILSVEVLWDPFEDIVPRQLKKAESVAKTDAELKPKKKAVKQLNVLSFGDEVEEEENEAASSVQAKIKSIHDVLDDPRFLKGEPEDVQLSKEEEEKKKDTVLSVRDALISKKVDSRESEQAPESDDYPEDENEEDFDNRMRSQILKKRRELGDVRPRETSKADKPHRKDKELPDRRSNIEHRRDNDDDDDQEHELQKSKKLSLKKKGIGSEASAERMSKADANLQLLNPAEQERHKQKQKKRQLQGREDETLAKLQKFKASFLSKNPATDHVRENNLATDKVEKEAEEDYTGWHTNRLSFLPDSSKDGMARKDDPDDYVVVDPLLEKGKEKFNKEQAKLKRRQREWAGRSLT >KQL02669 pep chromosome:Setaria_italica_v2.0:VI:33871686:33874692:1 gene:SETIT_013454mg transcript:KQL02669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQMIIGPALGSLHSKKGTKHVHYGQAEKQAPRWDGLFPQTSPFKPVKGHQPNTTACPQSHTHTQSLTENKARERDKHTTTKYAHSDSRAAKETHPFCLPSPAPVPPLPTPSTTMMACGSRISRSNEMVDEFEKLVIRMNPPRVSVDNDSDMTATLVKVDSANKYGTLLEVVQVLTDLKLTIKRAYITSDGEWFMDVFHVVDQDGNKLYDGQVIDRIEQSLGAGSLSFRAAPERSVGVEAEAEESQTTIELIGRDRPGLLSEVFAVLTDLRCNIVASEVWTHDARVAALVRVTDADAGGAVEDPARLDTVKRLLRHVLRGSSRDKKAARAAVSAAGAEHAQRRLHQMMHADRGSARRGGAGDRDDGEGGEASDDDEGGAASRGGSGRPVVEVVDCAERGYTLVNVRCRDRPKLLFDTVCTLTDMQYVVFHGTVIAEGSEAYQEYYIRHLGHSAGSSGEDRDRLRRALEDAIERRYTEGLRLELCCEDRVGLLSDVTRIFREHGLSVTHAEVDTRGARAANVFYVVDASGQPVQGQAVEAVRAEIGEHILSVREQPDGAGDPRSPTGAGGGRRSLGNMIRSRSEKFLYNLGLIRSCS >KQL00704 pep chromosome:Setaria_italica_v2.0:VI:4124319:4129158:-1 gene:SETIT_015233mg transcript:KQL00704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNFFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMIAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCARDHIDGFPPLVHRWCMRHFAANMSRRQKSKGVIGKLKLLCTVHTESEFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESINGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNIPQLLHLPCSHFITACKARGLNFESPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRKKKCFTGEMDVSEGRLSADYDTENQKALNLDRAVMGRVIRTIGMAAPGYPLLEAAYDLHHRAHHLADNNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTYGFGSECPWPWHRHDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSDIVFSPMCYRDRELWRCTTPMIMYFVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDVPSEDDADIADAYDTVTRHGTQPERAPLHDYMGQQLARLSNEAG >KQL01237 pep chromosome:Setaria_italica_v2.0:VI:11684083:11686052:-1 gene:SETIT_013603mg transcript:KQL01237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSAATLIFLSLISLPILATLLSRNSAPSPKRRRPPGPRNLPLIGSLLHFLKSHPHVALRDLAKKHGPVMFLRIGQIDTVVISSPAAAQEVLREKDVNFASRPSLVVSEIFGYGNLDIFFSPYGPYWRTLRKLCTVELLSGKMVRQLAPVRDDETLSLVRKIQVAGNGGEPVNLARLLLSCSNSIVAKASFGQACSSELQEQFLSAVDVAFKIGGGFSIGDLFPSLWFIDAFTGLRRRVRRAHRQMDTFLEKIIAQSEAQRGDSLVGVLLRIRDEGELEFPIDTTNIKAILLDMFAGGTETVSTAAEWVMSDLMRNPEVMAKAQAEVRRVFDNKCPQDHEGKMDELHYTKMVIKESMRLNPVAPLLLPHLCQETCDISGFEVIKGTRVMVNTWAMARSPEYWHDPEKFKPERFEDDMIDYKGSRFEYLPFGTGRRKCPGDTFGLNVLELIVARLLYYIDWSLPGGMRPDELNTDILVGATVKRKNQLHLVASPYKVVPVKS >KQL02287 pep chromosome:Setaria_italica_v2.0:VI:31466172:31470937:-1 gene:SETIT_013283mg transcript:KQL02287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPALSGTLGASSVAALRPCAERRAPSAASSVAPRGSGAVRCPRGVRWEAERSRGRLVTVRCDAAVVEKPAGEEAAEEKFEYQAEVSRLMDLIVHSLYSHKEVFLRELVSNASDALDKLRFLGVTDSALLADGGELEIRIKPDPDAGTITITDTGVGMTKDELKDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQYVWEAVADSSSYVIKEETDPEKMLTRGTQITLFLRPDDKYEFADPSRIQGLVKNYSQFVSFPIYTWQEKSRTVEVEEDEEPKEGEEATEGEKQKKKKTITEKYWDWELANETKPIWMRSPKEIEKTEYNEFYKKTFNEFLDPLAHTHFTTEGEVEFRSVLYVPGMAPLSNEEIMNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKTFDMIEELAEKEDKEDYKKFWESFGKFIKLGCIEDTGNHKRLAPLLRFHSSKNEGDTISLDQYVENMPESQKAIYYIATDSLQSAKTAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDEEEENKETKQEFTLLCDWVKQQLGDKVAKVQISKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDTSSLEFMRGRRIFEINPDHPIIKDLNAACKNEPESTEAKRAVELLYEAALISSGYTPESPAELGGKIYEMMAIALGGRWGRSDTEEAEASNDEASAGADASEGTVTEVIEPSEVRPESDPWRD >KQL00429 pep chromosome:Setaria_italica_v2.0:VI:2021171:2021840:1 gene:SETIT_014908mg transcript:KQL00429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPYDTAAEAEAALGRAQSSAEAAWFRYSAGMPDCWLLWHNTLIILPLQTLAPLPWVLLERLAPSFAMQYKLQPKERRLSQQAAVGRYFRDRAGVFSLVVVSFQLLSYPVVKMAGILMGLPLPSAGEIAVQLLVYTLVEDYLSYWIHRLLHTDWGYKKIHHEVTAPTGFATHRMLTGPR >KQL00399 pep chromosome:Setaria_italica_v2.0:VI:1815999:1818333:-1 gene:SETIT_014267mg transcript:KQL00399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLTEVAQMFARFKAAYARNDLDACVTLLSQLKVQLTKFPSLPPLFQQAPNSVEELKLARDIYEHAVVLSVKLEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALEHPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSISDAKQMLMFSSDQELNQYITEVISIFHFLLYLIVFPCGIVWCSV >KQL00400 pep chromosome:Setaria_italica_v2.0:VI:1815605:1818460:-1 gene:SETIT_014267mg transcript:KQL00400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKLTEVAQMFARFKAAYARNDLDACVTLLSQLKVQLTKFPSLPPLFQQAPNSVEELKLARDIYEHAVVLSVKLEDQDAFERDFCQLKPYYMDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALEHPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSISDAKQMLMFSSDQELNQYITEEHPEWEIKNGSVFFQKAKESQPCKEIPSLQLINQTLSYARELERIV >KQL00398 pep chromosome:Setaria_italica_v2.0:VI:1815605:1818027:-1 gene:SETIT_014267mg transcript:KQL00398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTCGIIPPSPEEYPILGLNLLRLLVQNRIAEFHTELELLPVKALEHPCIKHAVELEQSFMEGAYNRVLSARQAVPHETYVYFMDLLAKTVRDEIAGCSEKGYDSLSISDAKQMLMFSSDQELNQYITEEHPEWEIKNGSVFFQKAKESQPCKEIPSLQLINQTLSYARELERIV >KQL01777 pep chromosome:Setaria_italica_v2.0:VI:25895885:25900582:-1 gene:SETIT_013306mg transcript:KQL01777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLSLSAGMRYPAPYPMVRPGFVPRPMPPPGVVPIQRPPIIPGIRGVPPLVAPAARPPAPTVTPADKPPTAVYVGKIAPTVDNDFLLSLLRLCGSVKSWKRAQDPSNGKPKGFGFCEFESAEGILRATRLLNKLSIDGQELVININDATKEYLKKHVEEKKRAQDKAKETEDGGGDGTTAVAETESSKPVPDESDKATRDSVDKDSEENTKKFGIVTDEDSEADKDVAEKISSMIEEWLKTRPPPPPPPPPVQPSADSSGVDITKTDSDDKNDADTDKRAANETERSETGSPDRRKDKERDKDKRDKDLERYERDRERERVRRDRERDREKDYKHREAEKHFRDRLKEWESREREKEYQRQNEKEKEKDRERDRRREILRQEDESDEEDNRKRKRRSSSTLEERKRRRQREKEEDMADKVREEEEIAEARRRAVELQRQADEAAAAAAAAAEESATLMEVDGDDEKETIAQNKPAVVEVDNIASFANGAGVGDGLRKESISDETSMAPGQIPDTKQNSNAPAKKLGFGLVGSGKRTSVPSVFAEEDDENNVDKTIRPLVPIDYSNEELQAVQANSSAGPNVAAAAEFAKRISVSNSKEEKSETDKDRNRRSSEARVNDERREKVHDREKEKPKSENKKILDAKQLIDMIPRTKEELFAYDINWAIYDKHELHERMRPWISKKIIEFLGEEESTLVDYIVSCTKDHVQAEKMLELLQSILDVEAEMFVLKMWRMLIFEIKKVESGLSGRAKA >KQL03000 pep chromosome:Setaria_italica_v2.0:VI:35733546:35734227:1 gene:SETIT_015756mg transcript:KQL03000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFVDRLRTFLLPWSYVQVRRHRWRTWVHHVDAATALAVSPDGALLYSASWDRSLKAWRLSGFRCAESVAAAHDDAINALAVSPNGHVYTGSADKKIKAWRRHPERRSKHVLVQTMERHRRRASDRSVVVWERAGDGRMEATDTLLCLAAAGDVVCSGSADRTVRVWRRRTENTGYTCLGALDGEVKIWSVLVPCLLER >KQL02390 pep chromosome:Setaria_italica_v2.0:VI:32210201:32211856:-1 gene:SETIT_015766mg transcript:KQL02390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTQCSTFRRLTRSDAAGKVSPDWASLDGDLVELIGWRVLAAGDLQDYVRFRAVCHHWSASTAAPSGVWHQRWVIERSFELQVCRKPPSLMVSIKPATLYSEQEKSMQTVRGFVRFFNLSTGAFARAHLPLLDGHVVLDSVDGLLLLHRDSDTAVRLLHPFTGDVAELPPLASLLPQMEPSEQSKRSRLMAVCTSIAVSSTGAVTVMLAFNLLHPWKLKPLLKPVSFQGKLYALQFTSVDIHKVYIYQLNPPCPDADEGPSRLPLPEKIAECPMDKFLYTLSFVECGSELLLVAYNDVSRSKLVVYRLADLVSGKIEPITSIGDHTLFVSERSLCVSNSPNNGSKSFPSISLSPNCIICLHSLPPVDPGSVGLARFEQYDLGTGFWTAASDGDIFQKPPASPHTLIHHIFTCCHRKYWHKGIMFCVDTLPIWMVKRELRIGARYTSVLFGCR >KQL03010 pep chromosome:Setaria_italica_v2.0:VI:35768289:35769795:-1 gene:SETIT_013894mg transcript:KQL03010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGGGNPYFVQNQLFHHALDGMDGGFMEPPASDPADAHCHALLYNLSVLRDKVQQLQPLVGLAVEHDGPGGAAVSSAGAVIQEIITAASSMMYAFQQLCGLHAGAQSSTTAANAAPAVTNNIAAAAVGHAKNSGMPDTDPQAAVVMDHHVMQQQWQPQHHHRCGYGYDNRIHARTAVPSQSQSQPATAATTAEEEAGGGGTIIELDAAELLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKSSAALANPAKAAGAGDSAAAATTSSRSYYSCPQEGCRWNRKHAKFQPLKSVICAKNHYKRSHCPKMYVCNRCNRKHFSVLSDLRTHEKHCGDHRWVCSCGTFFSRKDKLIGHLALFTGHHPAVPLDRQPNGGGRRLSSSTASASQLGT >KQL01071 pep chromosome:Setaria_italica_v2.0:VI:7876340:7879176:-1 gene:SETIT_015465mg transcript:KQL01071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMLSNRLVPFLSKRCFSSLQTSSLSPIQPWLFIGLGNPGEKYQSTRHNVGFDMIDAFAQSQGIPLTTHYFKALFGEGMVDGIPVLLAKPQTYMNLSGESVGPLAAYYKLPLNRILVAFDDMDLPCGVLRLQPKGGFGRHNGLKSVIYHFRRNREFCRLRIGIGRPPGQMDPKAFVLQKFNRTGRERIDSAIKEGVNILKMVATKGLTEAARLSNADQKYKHLRSHDLQD >KQL01052 pep chromosome:Setaria_italica_v2.0:VI:7676201:7677104:-1 gene:SETIT_015179mg transcript:KQL01052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRPASRRATAAAALLLLLAASPAAVTAAAVHGGGWQEAHATFYGDETGAETMQGACGYGNLFEQGYGLETTALSVALFDEGRACGGCYELRCQGSSYCARGGAPVTVTATNACPANYSKPNENWCNPPLRHFDLSKPVFLRLVTDFHVGIIPVQYRRALCAKRGGVRFEMRGNRWWVAVLVFNVAGAGDVRAVAAKGSRDGAWVEMRRNWGQIWDGADARLVGQGLSFRVTNGDGRSIVFDHVVPPTWTAGQSFEGKHQF >KQL01470 pep chromosome:Setaria_italica_v2.0:VI:20240805:20241371:1 gene:SETIT_014978mg transcript:KQL01470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGVDYHRYTKGSDGSSFTVEVGGRVDVARLYECVKKLASSVKIEAVVPQDLKEKTTRLEQDLSDMKKRKDDLKSMLERAEEENGRLQMKLRPVEEENKKLHKKIKDGESSNKLLGTGQLEGQLLYRQTNISIHELELNAKAKLKISEDGHRRIK >KQL00582 pep chromosome:Setaria_italica_v2.0:VI:3262663:3263641:-1 gene:SETIT_014970mg transcript:KQL00582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKAATAASKPKAKPKPRTRAAPKAKPSPASLLPGGSSPSAGGSGTSSPAADLSFFSDSPSRSPAKPRSRSSPLASPAASPLAAPAAMSTIGDLRSLAASHLDSLKRRLDALHGDSVRDLEASHSRLSKRVKMQTHGCLQLAEEADKEHKKVADKITERTEVVKNSYKKFVAEVQASTSRVCKVTVTEIAKSAERAIDGLRSRYNISATPA >KQL00208 pep chromosome:Setaria_italica_v2.0:VI:517169:517846:-1 gene:SETIT_015533mg transcript:KQL00208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKNAMVLLLLAILPLAALSSSRAGPSAHKSHSHGHGHGPKHPSSPPPSPSPPPPAAAAALVRATCNSTTYPELCVSALGADPSSATADVRGLSAIAVSAAAANASGGAATAAALANGTAPDGTAAAQQQAADATVQALLRTCAAKYGDARDALSAARESIAAQDYDFASVHVSAAAEYPQVCRVLFRRQRPGQYPPELAAREEALSRLCSVALDIIALVSNSS >KQL00627 pep chromosome:Setaria_italica_v2.0:VI:3580115:3580693:1 gene:SETIT_014850mg transcript:KQL00627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHRTCMYGIRRHSHTFMSEVSKFVDAAKKHARICKTKQICCPCFDCSNNIVWEDIDVMKRYLIKRGFVDGYTIWFHHGGAGGTFNSSDIDTSCDEVGDQNGDQTDACVEPQVDEECDVDMEDMLRHIEPKVLLESAKGLENFKMLKKAAKDCMYVGCGKEWTVLRFILHLLI >KQL01247 pep chromosome:Setaria_italica_v2.0:VI:12066211:12069859:1 gene:SETIT_014470mg transcript:KQL01247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSADAASAVPPSAISAAEDTLAAAESVGDHLSQLLAAAAADPDAVAELPPLLRARAFLAVAQAATSLFAVRLRCSGIDPDEHPIRKEFERLSLWQEKLNRFEDWDKAPLRPTTTLNTQAAARFIGHSLSHLTSDQKRSMQAISRGERRGWSGQKRKSEYLPQKKSVRAAAEEFLAKAAQEFIGNSDSRVKGPVRLVPDEDED >KQL00454 pep chromosome:Setaria_italica_v2.0:VI:2198999:2201511:1 gene:SETIT_013320mg transcript:KQL00454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFRSTDWLPSGTPPRIAFRRQKPSTLSLAFAPWAKTLADMERTTSCSSTTGISSAIVKQADGSNIFHQRAHGVNPFSVSPQLPWWSHSGDATLTAPHRNLVEKPCQRGHAQSFLPPPSLFPPPSGLISGPGSGSRSAVVGDSSPSIHGHATSQSLPSSFFLVLGTVDLQAPVANPFPVTHDGQPLQGLAPPLSSSLISAPSSGVRSAGVGYRSHYIHGHVAKSQSLPSSFFPELGTADLQVSAATSFPAALGGQLWQGMAPPPSGGLFSYGSRSGSAAVGDISLSLHGHATTSQSLPSSFSCTLGMVDLQPPAATPFPASLGDLPWQGLAPQPSWTDLGLPACPSQRGAFSGSSTGQSASFEQIAEWPQSEMSFFSPAAVSGPPLPSSNDNCVGFTRPSSNYELPPLPPSLRIPPLEEASTYSMLSTTGGTMPWHYADSSMGAGSSIPAVPNLTAGAMKMKAPMPPLEEASTYSSMGAGSSIPAVPNLTARAMKMKAPMPPSSSQGVGAGSNNFVGFAAQPSLNSELATLRAGLQAHLLPHSMPDNSTARGLVSWEAALKMPVESRYNGSSTKVAGSTPCHNSNSTLKLSQPLPPSSLRAGASSSQSHVAGVIFTDAEKEIIRKDRSLQELVNTDPKRAKRMLSNRLSAAKRKAIKDMHIHELECNIEMLEWKRKTLSADLQLMQEKQAELEAHNKEICMMVQELEQQAMLKDGMYMLVQFLYSIISLCSVLEIDGGNRN >KQL00912 pep chromosome:Setaria_italica_v2.0:VI:6485201:6490128:1 gene:SETIT_013279mg transcript:KQL00912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGMMIPGRNMPTAAAMIGGLASYAASLGQNMMDGQHQLAVMQQQQHQNHGQQQQPVTSESDMHGSRHDELLMESKSGSDNMEGGAGSGSGGEELQEEDLSLQQPRKKRYHRHTQHQIQELEAFFKEFPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQQERQENTQLRAENEKLRAENARYKDALANAACPNCGGPATAVIGEMSFDEHHLRIENARLHDEIDRISAIAAKYVGKPVASLLPNSSNISSVVATAAPYPQPLSTHHIIPGAADMFGGLHHRGAAAGFDKPLVIELAVAAMEELVRMAQLGEPLWVPALVDGAATETLNEEEYAHGFPRGVGPKSPELHSEASRETVVVIMNHVNLVEMLMDVNQWSTLFSSIVSRAATLEVLSTGVAGNYNGALQLMTAEFQVPSPLVPTRESQFVRYCKQHTDGSWAVVDVSLDGLRAGGAVGLRGRRRPSGCLIREMPNGYSRVTWVEHVEADDAMVHDLYRPLVSSGLAFGAQRWAAALERQCERLASAMASGVPAASTGGDAAGVVNSAEGRRSMLRLAERMVASFCGGVTASTTHQWTTLSGSGPEDVRVMTRKSVDDPGRPPGIILNAATSFWLPVPPARVFGFLRDDATRSEWDILSNGGDVQEMAHIANGRDHGNAVSLLRVNNANSNQSNMLILQECCTDKTGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPGAGGGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAALAADNTAGGGARPPPYGDE >KQL02730 pep chromosome:Setaria_italica_v2.0:VI:34199493:34202777:-1 gene:SETIT_014320mg transcript:KQL02730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSREENVYMAKLAEQAERYEEMVEYMEKVAKTVDVEELTVEERNLLSVAYKNVIGARRASWRIVSSIEQKEESRKNEEHVAQIKEYRGKIEAELSNICDGILKLLDSHLVPSSTAAESKVFYLKMKGDYHRYLAEFKTGTERKESAESTMVAYKAAQDIALAELAPTHPIRLGLALNFSVFYYEILSSPDKACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDLTEDGAEEGKEAPKGDAGEA >KQL00606 pep chromosome:Setaria_italica_v2.0:VI:3422261:3424756:1 gene:SETIT_013962mg transcript:KQL00606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIYERQRHMVAAGLWGEPFRPDGDAFVAPLAAAAPVTGVAVDVVVETEAKFALQAQDEAVPPVEEVAPSSDSFGHDDARPRDKTQRRLAQNREAARKSRLRKKAYIQNLETSRLKLAQLEQELTMARRQQGHGAAGAGFLAPPVDPRVTAFELEYARWAEEQKRQAAELRAVLQSGAPELQLRLLVDAAVAHYDALFDARSRAARADAVFVLSGVWRAPAERFFLWIGGFRPSELLQVLAPRLDPLAERQASAVRALQNTARQLEDALSQGMSKLQQTLVDALLTVDAPDDGGGYAARQMASAVGKLDDLVSFVDQADHLRQQTLRNMNKILTLPQAARGLLALADYCQQLRALSSLWAARPREPA >KQL02345 pep chromosome:Setaria_italica_v2.0:VI:31917932:31918790:-1 gene:SETIT_015292mg transcript:KQL02345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGGDPAMATGGGVPDVHSNTGSSNKTLLKSQALYKYVLDTTVLPNEPECLRELRLLTDKHEQRNMATPPDEAQLLGMLVKLMGARSTLEVGVFTGCSLLATALALPGDGRVIAVDVNREYFELGRPFFEKAGVAHKVDFREGPALDHLDALLADEGNVGAFDFAFVDADKPNYVRYHEQLLRLVRVGGAIVYDNTLWGGTVALPPDAPLSDHDRRIVAAIRDLNARLAADERVEVCQLTIADGVTICRRVA >KQL00513 pep chromosome:Setaria_italica_v2.0:VI:2709681:2714433:-1 gene:SETIT_013441mg transcript:KQL00513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVIGSQSPLSFPSSLCKAKVSSGLAICNVKVKNRRLEVVCHGMLATRKFMQRKRKEEVFKDAADEAEQKNWRRMMREIEEKGSAVSILKTQRSGKEPLPRDVILGTLVRFKQLKKWNIVSEILEWLRTQHWWDFTEMDFLMLVTAYGKLGDFSRAERVLKYMNKKGYRPSVISQTGLMEAYGRGKQYRKAEAVFRRMQTSGPEPSPVTYQIILKSLVEGDKYKEAETIFEDLLNEKRASFKPDQKMFHMMIYMYRKSGDYAQARKLFAQMSERGIPLSTVTFNSLMSFETDYKEVSSIYDQMQRAGLKPDVVSYSLLIKAYGKARREEEALAVFEEMLDAGVRPTRKSYNILLDAFAISGLVDEANTVFKAMRRHRVEPDLCSYTTMVLAYVNASDMNGAEKFFRRIKDDGLKPNVVVYGTLMKGYSKLNNVEKVMRVYERMRIQGVEPNQTIYTTIMDVHGRNSDFGNAVIWFKEMEARGYPPDQKAKNILLSLAKTPEEQQEANESVGNGAIQLEVKPDNEEVDGAGEHEITQNDSGNQHLLDDTRARNHVNGRIRAGNYAFDEEDDDDDDDDYEEEDDEEFNFVSLKDKRELNFAS >KQL00498 pep chromosome:Setaria_italica_v2.0:VI:2571014:2572482:1 gene:SETIT_015164mg transcript:KQL00498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSATRDWSALPLDVLVSIFTNVGAVDVLTGTSLVCHSWLEAAKVPDLWRSVDMANHNVEKVDEDDLRALAKVAVDRSKGQLEVFLGKLFVTDGLLMYIADRYSLISVLDLIFSLSLISCHDVTNKGFSYLIAKSPLLENLSLELCPRIGGRGIYEATGKACPQLKRFRLHRELFRFSFNYPRRYQEERGLEVMHELRSLSLIGTTVSNSGLEAILDSCPHLETLFLRDCYLVVPDSALRAKCARLKT >KQL02875 pep chromosome:Setaria_italica_v2.0:VI:35064781:35069512:-1 gene:SETIT_014873mg transcript:KQL02875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLARRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNGVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESLNEVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFQSPMYLSPLYSREHTIKVWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRQKKRFTGEMDVSEGRLSADYDTGIAHAAVENQKALNLDQAVIGRVIRTIGMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLYGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEPWHRDDALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSDIVFSPTCYRDRELWRCTTPMILYFVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSEDDADIADAYDTGTRHGTQPERAPLHDYM >KQL01051 pep chromosome:Setaria_italica_v2.0:VI:7639317:7640504:-1 gene:SETIT_014535mg transcript:KQL01051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSCKQEHEQLLSPQLSGGGGAGRAARYLRPIETVLDRHGFMKLPPLESPSSAALTTPQPHVSAAGDAAAATAGGDDLLLHGGVSGITDWAMMDRLVASHLNGQAPDAAAPAADHLCFDGGADDADGLAFYTAATTRLLGGGGAEDDLWSFTRTSSAPATSTERLSHVSL >KQL01491 pep chromosome:Setaria_italica_v2.0:VI:20540339:20542566:1 gene:SETIT_015159mg transcript:KQL01491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVMEAGGYVSLEETKEYKCIVDQTFTREEDFYEFYNDYAYHKGFSIRKGRVRYKTGTKEVIWRRLMCSCEGYRSVKYFERMDQKRQPRALTRCGCTARLDVEWSESIGIWYVKDFVDVHTHALAKPEHIMDVMEASHGGPGETGFLSQDLYNFFSRYKKGKVEGSDVEFVLNHMHQMQEKDSEFFFTFSVDAQGRLKNLFWSDAQSQIDYGVFGDVVVFDNTYRVNRYNLPFVPFIGVNHHRSTVVFGCSILLDETILSYVWLLEALLEVMHQKHPKSLITDGDAAMMRAIEIVMPDADHRLCSWHIEQNMLKRFRGSKLKDFRKFIYHAMEEGEFDRLWREFRGTHNIKEDNLCVNRMYELRRKWAATSESLNSRLHNHLDRKMSLVDLMEHYEFCLSRIRQNEIELDVKALCSIPFTKISADVLEKSAVQIFTPTIFQKVSFHIRKSSNWSVTEVSLQGNNKRWFHVTCTFGSSLVDARCHCRKLEREGIPCAHTFCVMKYSRIESIPSCCVFVRWTMNAKSAFPTEMRTNTHVWIEQMDRYHSLRSKGNRALFKVSRSQGETKRVMKLLDDILKEDTQEQGMEEETTFGPLPAHFSAANQRGGTKVLDPVKIVSKGAPRSNK >KQL01676 pep chromosome:Setaria_italica_v2.0:VI:24662610:24662828:1 gene:SETIT_014996mg transcript:KQL01676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAKLEKLDAKIDDLKAKKQEIVARLLELEGTAAAAGESAAPPVTSGSAGEHSFQLNAGPKFRAFESLIEKAM >KQL01886 pep chromosome:Setaria_italica_v2.0:VI:27528635:27529141:-1 gene:SETIT_016039mg transcript:KQL01886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGGGWLWRHCLVFNPLAYVHAMWTVMLLFFNQYRKLCGVVLNSNLMLFLFSRGINWIQGCD >KQL02109 pep chromosome:Setaria_italica_v2.0:VI:29997492:29999007:-1 gene:SETIT_014992mg transcript:KQL02109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLVARLFQLQGFTHFNWNVARGEFEARGVVDVHRPRTLARCRSHQAFRCRGSRRPFELRTVEVFFEL >KQL01285 pep chromosome:Setaria_italica_v2.0:VI:13464471:13464960:1 gene:SETIT_015151mg transcript:KQL01285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVQTHWFLVVVNAYLRTVQVLNSDKQFVAEIVKQVRNMVEGLHCYLEIIQNDEKEDYHRWKDFNVKTWDIDMLGGLPQQEDRTSSGLFMLKYMEHWNGYRLQKGFTQNLIDEFRSKLAAILVNSVFNEEQTMKGSPEI >KQL01041 pep chromosome:Setaria_italica_v2.0:VI:7523775:7527215:1 gene:SETIT_013912mg transcript:KQL01041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAAALRPHKAPPARVPTRCVAALCAACFLLGVCVVNRYWPVPEHPGCPDKASSDHSRAALNQVSQTREVIMALDKTISDIEMRLAAARAAQAMSQGMSPSDSEEDQGSMRHRMSFVMGVFTTFANRKRRNSIRQTWMPQGDQLRRLEEKGVIIRFVIGRSENPNPDNEVDRAIDAEDKEYHDILRLNHVEGHGGLPMKIQMFLSTALTMWDADFYVKADDNVHVNIGITRSILARHRMKPRVYIGCMKSGPVVTKNDSKYYEPDHWKFGTEGNNYFRHATRQLYAVTRDLATYISANRHILHKYSNEDVSFGSWLIGLEVEHVDERSLCCGTPPDCEWKAQAGNPCAASFDWNCTGICNPVERMTEVHRRCWEGRGGTEGHAQF >KQL02382 pep chromosome:Setaria_italica_v2.0:VI:32144031:32147706:-1 gene:SETIT_013343mg transcript:KQL02382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPVVPLLLAQLATGAAAANIALAGCESKCGDVDVPYPFGTTYGCHRTGFKVTCDRSYQPPRLFLQSDGPEVLAISIRNSTVRVRATAWSFAAGNNSDARVRVLPTNLRPYVLSAARNSLVLVGCGFQAAARTATTPSRPGAAAATFGSCAPSCSADEEQKLRRGGCHGVGCCESPIPTGLTSFRVQFSWQEKNATAPRPAWVALGASVLTVEQEWWRDRDNVFAVKMSLLSSGNAAGLVIPAVLDWTLNKSSCAAAAKRSDYGCVSRNSECLNSTSSAYGYVCRCNDGYDGNPYVSDGCQGPRTHVGSGVYFAMGFGIGMSLLLLVLASIFATKRLKIRRARKKREYFFKQNHGLLLRQLVDKDIAEKMIFSLEELEKATNMFDDARILGGGGHGTVYKGILSNQHVVAIKKSIVVIQKEIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYAHLHVEGSQKSLPWKDRLRIAFEVACSLAYLHSAASTSVVHRDIKTSNILLDDRLTAKVSDFGASRGIAIDQSGVTTGVQGTHGYMDPEYYHTRRLTDKSDVYSYGVMLVELLTRKKPSMYLSPEGVSLVAHFVMLLNQDKLSEILDEQIMEEGEEKPKQVAAIAAMCLRLKGEDRPTMRYVEMRLQGLQKARRSNRHHIVGQYVTTRAGFFREETSRQFSMEEEIMLSARYPR >KQL00236 pep chromosome:Setaria_italica_v2.0:VI:712123:712537:-1 gene:SETIT_015956mg transcript:KQL00236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMMVLICRLTISRFEFGIILVYFYICDRTSIFAESKKVRIKSILLLNLDTLSRVARDLM >KQL01438 pep chromosome:Setaria_italica_v2.0:VI:19512090:19516399:-1 gene:SETIT_013327mg transcript:KQL01438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPSRSRPLPTILLLILAGAASVIAEQDEQQPVTHPGCPDKCGNISIPFPFGLMPGCFREGFEVTCDHTFDPPRAFLADRDTNRITVTEHDESAVPDDSYRSYSYPNTSYCPIELMDVSVDRSQARVYGPITSGCSTNSTTYKLKDQVMMLGRLTSAGPFAVSEALNVVIGVGWRVGVTAGSSYTTSSTAVACRSELPAGRLEDARNGSCAGRGCCEAALRQENSYYGPVTNVAPGLRLEDSNALWKTNPCSYAMVVEKSGYNFSTLDLYGDKVLPGRFPKGVPVVLDFAIVGDAACPEKGRRPPPDYACVSNNSYCVNATVGQSGYALSYVCKCAEHYEGNPYIANGCQDIDECKFPDLYYCSTNGICKNRLGGYDCPCKPGMKGDGKLGHCAEKFPLVAKVIVGTTGCIFIIVVISLIFLLRKEKRKTREFYEKNGGPTLEKAKIIKLFKREELVPILKSSNRIGKGGFGEVYKGNIGNELVAVKKPIKGNLLEKEQFANEVIIQSQVIHKNIIRLIGCCLEVDTPLLVYEFLSNGSLDDILHGDGKKPLNLDIRLSIASESADGLAYMHSQTNTIILHGDVKPANILLDDKFVAKISDFGISRLIAREKQHTRYVIGDTSYMDPVYLQSGLLTEKSDVYSFGVVLLELISRKKTFDDNSLVNVFIEAHRRGERATELFDNEIAVAEDLELLQNLAGMAVECLNLDVDQRPAMTDIAHRLLIMNQSRNS >KQL02589 pep chromosome:Setaria_italica_v2.0:VI:33399895:33402198:1 gene:SETIT_014633mg transcript:KQL02589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPLLTQKIVKKRVKQFKRPHLDRYKCLKPSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNKFKKFVVHNVSELELLMMHNRSYCAEIAHNVSTRKRKEIVERAAQLDIVVTNKLARIRSQEDE >KQL02136 pep chromosome:Setaria_italica_v2.0:VI:30211530:30213794:1 gene:SETIT_013598mg transcript:KQL02136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRPAAAADAAAGSGSDASDGGAGDVRYSRRRRRRNSPSPIPSRSPSRSRSRSRSKTPPPNLRPNAAALSSTPTSAGADFVAASDSDADAGGGRGRVSSPRRRDRKGAPRDRLDSDADADASAGGRAPSPRRRRKRSPSFHSDSDADAGGRVPSPRRNRERTPRLHSDSDSDNSAAAAGSEDDGAGAGDASSLPRARRSSRIETSNIKPVSTRPMEAPRRAPAGSSQRRSKRRHSSPGRASPEHQKRPPRVWSPEDEITILSALVEYRAKKGQLPASIQDTGKVHSQISGQLTANASTTQLSDKVRRLKHKYKLLFTRARNGRDPDLPTQHERDVYELSKKVWGFKSGDILGGSHAYEDTGDAESNEEQEIEESDDAMENGWEHHERPGKKPKAFRFENGNGNALAAVGRASHGNGSGRDDAEKGKQMYPYLWEAVAELSKEHPSGPIFRKAFGVLEKSKAQAMEEKLRKFRMSEIRQQLHRMDLMKETMRMVLDALEGSY >KQL00403 pep chromosome:Setaria_italica_v2.0:VI:1834985:1836661:1 gene:SETIT_015822mg transcript:KQL00403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLSIWIAYLGPDMVAVYALGYLSQHKDATIAGDRIRGTQPLAFFWAPFLLIHLVVLQVVLSLYVFWKSIRRHSVELLVSGTFVFVAGIIKYGERTWSLKCGSFKSLQSSTGNHCKHRFPELIDGELEMMVILTLCTGLRSMLDVLNFFSGRTLFVGDQLRFGREGLGTWLPNQVLKVLGVELGMMYDDLFTKVLVLRTRSGINTLRCISQLSALVAFLLFLAGKKHKYSRADIATTYLLYFGLIGWQEKRALWSNAMGQYNLRAWFEGSGEPKSCGQRVMNMTRKLATSIGVDKEKIFWLSKLLDTEYVKADKVMECFMEATSSFIRQPYEFQKTLFMHMVTELHLSKYPCSDIEADVAADIDVLVEVCQKLSRCMMHLLLTLPSLLLSAVATLNNWQADIPQPGKEALEEIKDVWVRLTICTAAKSWPEMHAEQLARGGEPLTFVWLQL >KQL00915 pep chromosome:Setaria_italica_v2.0:VI:6501365:6501625:-1 gene:SETIT_014895mg transcript:KQL00915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYETRRGCCWEGEVTGEGVPCTRCHNNLKTVYMTGFRCYRAQLELLCGILEKSGPALERVTVEPKVTLRCARVLNMFIPGSQGRS >KQL01566 pep chromosome:Setaria_italica_v2.0:VI:21809824:21810336:-1 gene:SETIT_015385mg transcript:KQL01566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWFGLRDVSLASKNWCVHARITRMLDYCGAQDGQPALHLDLVLVDEKGDVMYAKAGGRDVDKVRSAVEEGGVYSFSKFLVVNMKPSYKTFCAKYMIKLTPWMKMDRVEPVIESFPRFVFHLSPLSDLSSRVRSQVCFIGWRCDIDC >KQL02354 pep chromosome:Setaria_italica_v2.0:VI:31967361:31970130:1 gene:SETIT_013808mg transcript:KQL02354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTADGPTPPGTSPWVILGSIPRVAPPGGVGADDEAGADVSLALAAPPRVSRLAVSERVFPDRPTPQSFPFVLAADRSGLLLLSAILSTPPRRVVIDRPGHQSVHWEDTDPRYYVLDAATGAASRLPDPAPQETIEHQALVGLVACPGAAGGGGGFMVAELLPLIGSDKAHLRCYSSDVGEWVDKRVRYPLPPRPLAPICTISHQGKLWWADYSWGIITADPFADDPVLRFVPLPPGCVLQCREAWGVLDEFRYLGVSAGRLRFVDTYRRRGDPTKVTVWTLPDADAREWKLEHEATFADIWADDSYKATGLPKEPPVLALIHPHNPAVVYFFLEGHLFAVDVSVRKVVDCDRYNLVAPPQYYPISNRFIRAWELPRAISSDPGNWSTDISSSQEPTEAPPTREVPSPGDYHLVGNTRQTFIG >KQL02367 pep chromosome:Setaria_italica_v2.0:VI:32037992:32041122:-1 gene:SETIT_013496mg transcript:KQL02367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKFLNKKGWHTGSLRNIERVWKAEQAEEAEKRKTEELKKQVAAEKEKAEFRAMQERAGLRPAQERLDFLYESGLAVGKSSEGFQALQQSAPGAAAASSSSAQASAADSSKAATPGALFEDKPQSANDTWRKLHSDPLLLIRQREQDAIARIKNNPIKMAEIKKSVESEKKQKEEKKEKKKHKHRHHKSKSKRHHSDEDSDLDEISDGKDERRKRVHSSPDRKKEEKRSRHEKKHRRQDSSDSDNDEPQRRWQDVSEDDERRKRVHSSPDRKKEEKRSRHEKKHRRQDSSDSDNDEKQRRRQDVSEDDEPRRRRHDDDEPRRKRHDDDEPRRRHDDNEPRRRRQSDDEPRRRRQSDDEPRRRQEDLEPRRRWQDDEEPRGRWHDDHPRYDRLDADDRKRRQHSPPDRHHAYSKYDGPDPRPKRMEDGNKTGNSNSEHHSRAVQGSGEQTRQESEHGRNNGPPFNRRRGGVHHMSEEERLARLRQMQADAEVHEEQRWKRLKKAADDDAKEAATVSANQFKGKNFLEEEKKSIFGTEKGGSATIEESIRRRAFYSQGGRDAEGNAFRR >KQL02903 pep chromosome:Setaria_italica_v2.0:VI:35193088:35200347:1 gene:SETIT_013185mg transcript:KQL02903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRHDKEKAVNVQVLLRCRPFSDDELRSNAPQVVTCNDYQREVAVTQTIAGKQFDRVFTFDKVFGPTAKQQDLYDQAIIPIVHEVLEGFNCTIFAYGQTGTGKTYTMEGECRRAKSGPKGQLPADAGVIPRAVKQIFDTLERQNTEYSVKVTFLELYNEEITDLLAPEEILSKVALEDRQKKTLPLMEDGKGGVLVRGLEEEIVTNANEIFSLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKESTPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPSVHCLEETLSTLDYAHRAKSIKNRPEVNQKMMKSTLIKDLYGEIDRLKAEVYAAREKVGVYIPKDRYQQEENERKAMADQIEQMNASLEANQKLISDLQQKYDSELQHSADLSKKLEVTEKCLDHTSNLLSTTKEDLKQAQYNLREKDFIISEQKKAENALTHQACVLRSDLEKFSRDNASLYSKIARGDKLSATNRSVVNTFQTDLASKLDVLSSTLNASIDQQNKHLKSVEDLCQSCVDSHDKATSELKKKILASKSLYLSHMEAFQNVVLLHKASANATLEDISSLSAASCCSLDQLLACVEGEAENIFSDIQNLLTTHRSELTHFTRELRESFLISLDRTKDMSTFIIGLFNKYVEETSKLHSHSNNTHEAQMKSIEDFQMAYEEQSKSEEQKLLADISSLVSKHITRQKELVGVRLNSLGDAARGNKAFLDEHTSAMECVTKDAKRKWEIFAEQAENDCKVGSSSSAAKHCRMETMLQECACTVDSAVQQWKKSHAAVNDLSKKHVAEVEVLVRMAVENNEQHEVEIASSRTMAEEHASNSSKDITQDIDNLLDEARNSSLRVVSTVEAHLAELQQLQENHSGQAASINTHADKAFQSSYKDYEPTGVTPVRSEPSVPSKGAIESLRAMPMETLANEFRENHPYESSKEPKPSLIPRSPLATIN >KQL02888 pep chromosome:Setaria_italica_v2.0:VI:35130553:35130900:-1 gene:SETIT_015630mg transcript:KQL02888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLLVQHRSLEQKLLNRSSAPLSRSLILHSNACNCSSSHTNFLFWNVLEITDRLGISNCFDKRRDVNSSSEVVISLASSSTFATLQSFSSEVVFLQTFLNCLCAFLVSWMYALT >KQL01478 pep chromosome:Setaria_italica_v2.0:VI:20354618:20355408:-1 gene:SETIT_014537mg transcript:KQL01478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRTVAVAAFVLVFSTAISSMAQTTAQEQEFVALHNAARREVGVEDVVWNETVAAFARAYAARRAGDCKLEHSDQDERNKLGYGENIYMGPPGKDWTVAEAVQWWVDEKQFYDNVSGMCVVGKECGHYTQVVWGNTKAIGCARVKCDSGGIFITCNYTPAGNVIGKRPF >KQL00305 pep chromosome:Setaria_italica_v2.0:VI:1217873:1220477:-1 gene:SETIT_014350mg transcript:KQL00305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAEAKAAVVPESVLRKRKREEQWAADKKEKALADRKKALESRKIIFARAKQYAQEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNNVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >KQL00739 pep chromosome:Setaria_italica_v2.0:VI:4541826:4548074:1 gene:SETIT_013418mg transcript:KQL00739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYRPYPPPPQHPPPPPPQGGFPPQMNPFAPPPPQQAPYGRMPAPPYHAGPPPPPPPPGPPPPHQPQFNFGPGPPQQPLPPQQMYYQPPPPPYGGNSNPPPPPPSVPPPPPSPPPVAPPPPPPPPAQPPPAQAPPPRKEQQPKAALPRAETEEERRARKKREFEKQRVEDRKQQQMMRESQATVLQKTQQTRPAHQQPSQSRHHHPPGGSRAVATGSRPASAPNAERFENRLKKPTTFLCKHKFRNELPDPSAQLKWLPLNRDKDRYTKYRITSLEKNYMPKMIVPEDLGIPLDLLDMSVYNPPAVQQPLAPEDEELLRDDEVLTPIKQEGIRKKERPTDKGVSWLVKTQYISPLSTDAAKTSLTEKQAKEIRESRMGRNAFLDNLNDREKQIKAIEESFRTAKSRPVHQTKRGMQVEWVMPLLPDFDRYDDPFVMANFDGDPTADSEQYNKLERTARDECESRAVMKSFSVNGSDPTKQEKFLAYMAPAPHELARDLDDEDDIQYSWLREYHWDVRGDDKDDPTTYLVTFDEEEGARYLPLPTKLVLQKKKAKEGRSGDEIEHFPVPSRITVSRTAHGGTMERGESSGMHENSKRRRSPVDDDLDEHPKHSRGEDMEQYSGDEYSE >KQL02877 pep chromosome:Setaria_italica_v2.0:VI:35076239:35077006:1 gene:SETIT_014586mg transcript:KQL02877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAALRRPAGTSSRSPARFTALELAAAEQLIHLSESSCSSGAAALSTPRGGGGGWGMQLLPASAASSSTSPRSVNNAPPPAVGAAADAAAEDDEQEVGGRRRRNKRYRPIAEIYAATEPKPIGGRRRKADRPRTTDGAAAAKELEARK >KQL01753 pep chromosome:Setaria_italica_v2.0:VI:25522923:25527204:-1 gene:SETIT_015718mg transcript:KQL01753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVTASALMGVIDPLLDKLSAVLQKDHAKLKGVSQNIAFLRDELSSMNTVLAMVSESDEVNPLMKEWICQLRELAYDVEDCIEIFMHHLNRDGACNSFIHKMISKIITLKACYQIGDQINELKERALEVSDRHKRYELDLSGQCSKSVVIDPRLPALFEEGDTFVGIDSQRDILVKWLTDSSDSHPQRKVVSVVGFGGLGKTTLVNCVFRRIRSQFDCMAFVSVSRCPNVNKILADVLLQVLKRSSSITEDQNKGLYLRTLGYLELVNMIREHLQNKRYFVIIDDIWSKQAWKDIECAFPHNNSASRIITTTRIQDVAENCSSPHRNYVYLMKPLDNDDSRRLFLKRVFCEGDCPLELKEVGDDILRKCDGLPLAIVNIASLLATIPASKKQWEKVRNSLLSALKQHHELEVVKRILLLSYYDLPHHLKICLLDLSMFPEDHEIDRLRLIRRWMAEGFIVHQRGQYLEDTGENYINELINRNMIQPVDINYSGRPRACRVHDIMHDLIISISLKENFATIVDDHKLSPLAYKIRRLTLVGNCEEQNLWQGSNILSHVRSFSVFGDVKKMPSIMDFQVLRVLDLHDCSNLEDGDIGNVGNLIHLRYLSLSNSNISKVPRQIERLQHLQTLDLRCTRIEELPATVAELRELVRLFLPTGVRLPNGFSNLEALEELSGLDVSRNSPEIVLELGNLTKMKVLGIVWYLDGSVIDKGRFKQSLISSLCRLGERNLQFLSFTSNSGSSVDFLADSWCPPPRHLQTLFMCGLTVPSFSRLPKWISSLVELTCLEISIEQLRLGDLQVLRDFPSLLCLRIYLKESPQETLRISPVGFQFLKELCFYPLDGNLASLNPRNRKERLSLTFEAGAMPRLELLHFRFAAHGSFDFGISHLISLRHLRVFINCRGVNPREVDAAEAAIRNAAALLPNHPLPGIFRAHEGAMVQEEHIDSTDHLAQQLDGS >KQL00262 pep chromosome:Setaria_italica_v2.0:VI:860212:861707:1 gene:SETIT_015582mg transcript:KQL00262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRDAARSACLSRAFLHSWRCRPNLTLNSDTLCSKAHVHKEDFSNIIDSIMRNHSGIRVKILKLQLFTIACHNLDSWLQVGVTPGIEELTVVASIFNMNYNFPCSLLSNGSGNSIRSLHFGRCAFHPTAELCPLRSLTSLNLYFVHITGDELECLLSNSLVLERLVLSHCNELICLKIPCMLQWFSYLSAITCSRLQVIDNQAPNLSSLYLSGNVIGETSQMKNLTMNCSKGVCYARANLPSIMPNLETLYIRSGGEVVDTPMLPTKFLYLKHLTICLRYGTPPPFDYFSLVSFLDASPSLETLILHVGQGPMEHLHDSVLGHSSPLRQLAEPHSCSLKNVKITRFSSAKSLVELTCHILKNAVPLECLTLDTIYGFRCSDKYYGRCQFRDKSVLREAPRALEAIKTHIEDKVPARVKLTVKEPCSRCHAVPVGGW >KQL02560 pep chromosome:Setaria_italica_v2.0:VI:33209358:33210344:-1 gene:SETIT_015059mg transcript:KQL02560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSASAVLEFKVNYEQTKNLAAGEAVHSDAFHAGGHTWRINCYRRGIEEANDDGSHLSIFVELLMSKSRSVNAIFEAFLMDKGGEPSLGIARRIGVHVFQMENGKLGWPQFVRQTDLVKIYVEEGHITFVCAIMVLHGNSIPTPRSDIGKHLGNLLDSTDGSDVSFVIDGETFHAHRAVLAARSPVFNVELLGSMAEATMSSITLHDIAPATFRLMLRFMYTDVFPGDDELGDSPSEIVQHLLAAAGLYALDRLKLMCAQKLWDNVSVDTVADALACADMYNCQELKNRCLGFVVDEKNFKKVVLTEGFKNLWLKFPSTVAEVRELAG >KQL00381 pep chromosome:Setaria_italica_v2.0:VI:1721344:1721823:-1 gene:SETIT_014666mg transcript:KQL00381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILREARKQQQEGMLADSSDEGPSAAAAAAANANANAQAAPGPSTSSSFPVPAANDDEDDDVDELDGFDALSEYDGGEVVINEEDERDVKGQSCRAHTWRYHSPEDQRERRRGLNGCVWSF >KQL00847 pep chromosome:Setaria_italica_v2.0:VI:5644660:5646219:1 gene:SETIT_015814mg transcript:KQL00847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSPSSPPRRPQRRAASSRPASYDESLDDAALTAYLGDAPSRRVRRLRRLSAEERQRETETEALIALSLGFPIDELLPEERPLLPAHIADAPNDYIVVRNHILASWRADPAAPLPRARVLETVAATYDHLVAAAHGYLAREGHINFGVSAAFPAAPPPDAALQGPAAPAASVIVVGAGLAGLAAARQLLRFGLRVLVLEGRARPGGRVYTARLGEDAAAVELGGSVITGIHANPLGVLARQLSIPLHKVRDRCPLYYPDGRTVETRLDRSIDLVFNTLLDHATRLREFLNEAAEKISLGEGIEKLRRLYHVATTDDERMVLDWHFANLEFSNAGCLSELSLAHWDQDDPYEMGGDHCFLAGGNSRLIHALCDGVPVLYEKTVKRIEHGEDGVSVTVEGGQVFQADMVLCTVPLGVLKSGSIVFDPELPEQKLGAIQRLGFGLLNKVAMVFPHVFWDEDIDTFGCLNKESSKRGEYFLFYSYHTVSGGAVLIALVAGEAALEFEKVDPVVALHRVLVILR >KQL00907 pep chromosome:Setaria_italica_v2.0:VI:6356467:6358976:-1 gene:SETIT_014940mg transcript:KQL00907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGWVLLLFLAQLHTLLSTSIAHRADGGNLTHLPVSFLCHPNQAKALLQLKKSFSFSRSTTRLSSWRNGTDCCLWEGVGCDPSSGHVTILDLNNRRLSTHGLDPALFSLISLQRLDLSMNDINGDNIRSAGFERFTFLTHLNLSNSGLYGQIPPSISKLVNLLSLDLSTYNTDYYSGGFYGSNSYDYYNNLWESSFDTFVANLSNLRELYLDSVDLSNSGEEWGTSLAAYVPQLQVLSLADCHLSGPIHKAFSRLHSLVVINLQETSIYASAGPFPEFFMDFPNLTVLQLSGINLEGRLPSRPFQSKNLRVLDLSYNMNLSGHVPNFSNASSLETLRLDRTNFVYAIPTPSSNFTSLKELGLNRNLISMDFLSAFGRLESLHQLDLDCFLDNELDHDLDSGSDLGPIFSWIGQHKNLTSLGLFGCNFSGAVGNLTGLQTLEMHDCNTYGSMPSSIGNLTNLRNLYISSRFSGPMPAAIGELTNLRNLYIEGARFSGPMPAAIGELTNLRNLYMNNPGFSGPMPAAIGELTNLRNLYIEGADFSGPMPAAIGELTNLRNMYLQHSGFSGSMPAPIGNLTNLEAMEISGRQISGLIPNAIGQLNKLRWLVLQDCNFSGSIPSSIVNLTQLTMLDLSFNSLNGTKMNTSNQINTSVMLG >KQL01872 pep chromosome:Setaria_italica_v2.0:VI:27393326:27396728:-1 gene:SETIT_013807mg transcript:KQL01872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDFLGAIGRAGKAGVSVKNESRTEPDNPPATQWQFPAKSGAAPAFMSFRTAAREEGSKEFSISGFRPAAATGDAFDGIKKQASLPVTPQQRQFGLNSHVTAQQYPAAAHGQRVQGMDYSAAAHHPLPGRSRMVQPLSVRHPAPFSQANPMMLRSQSFHSGAGMPFKNQPFTMSNGFGGSTVGVYGSRNPRSQTSTQLTIFYNGSVNVFDNVPVEKAKEIMMLASRASIPSPPSASHKPGSPISAPAKVNVPELLPARQIVVQKSEPSVPHLSSTSSPIPIVPQVVSLSRSTSNCTTESTGPRPSVQMPMTAPTSQASTSQQMPLATTSAAAVVPRAVPQARKASLARFLEKRKERVTSVEPYPTSKSPLQSSDTIGSPSAPTKSSSTDFAPASSNGKEPLHFGQPRNISFSSEACPSTKLHI >KQL02057 pep chromosome:Setaria_italica_v2.0:VI:29531274:29531958:1 gene:SETIT_0159641mg transcript:KQL02057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKVVHVLFVPVLLLPLPAEAQGGKLLLRLFLLRLPRHAVLCTELQLPKGPFVQRLLQPKLLHLHPSQLQLQAVLRRPLPAAVQRRLLLLRGVLRLRRLPRRPRPVPVVVLQRPAAAFLLQVPVVVLRGGDVLWRQGGLLPRLVPRRPGGAAVPGVLMRLRVLLSPVQRRVPVPALR >KQL01961 pep chromosome:Setaria_italica_v2.0:VI:28501444:28502891:1 gene:SETIT_014394mg transcript:KQL01961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARRLLRLRPHLGALPLPSPSPPRLLPSRTYISDMRRSAFIDRLLRSVRSEISFLDNSTPPRAPPPPAPFAVEDRPGEQWARLRRVFPSAEEGEEEEVKVDATLVDGALPPTRSGADTGGPPRLHITVKVEVSKAARPGVALNFECSAWPDEMEVQRVFPVRCGGPVPLQQYVGRQFSELDEEMQSAVRDYLEQRGVNDDLAAFLHAYMENKEHTELIRWLKNVEYHVKQ >KQL00747 pep chromosome:Setaria_italica_v2.0:VI:4594259:4597584:-1 gene:SETIT_013609mg transcript:KQL00747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSHDMMSRRPPGLRLFGGAGSLRTYQTLVLVLTFFAYTCFHMTRKITSIVKSALDPQTKVGFSHWGRLHASNSLNIGWLPFNTADGSALLGEIDVAFLAVYSVGMFFAGHIGDRMDLRIFLTIGMLGTAIFTTLFGAGYWLNVHSFYYFLVIQMISGLFQSIGWPSVVAVVGNWFGKSKRGLIMGIWNAHTSIGNIAGSLLAAFLLKFGWGWSFAIPSLIMAFVGLIVYIFLPVNPEVMEIDIDSGESNCVKDTVKEALLEPGQEVKHKAVGFLEAWRIPGVAPFALCLFFSKLVAYTFLYWLPFYISHTRIGGEYLSDAMAGSLSTIFDVGGVLGGVLAGHISDRLNARAITAASFMYCAIPALFLYRTYGSMSIGWNICLMFITGMFVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSKSWSAVFTMLMAAALLAGLLLTKLVCAELKGKVPSNASKDATDAQGTYSNEV >KQL00146 pep chromosome:Setaria_italica_v2.0:VI:193466:193829:1 gene:SETIT_014986mg transcript:KQL00146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTICNDLDLAKVEFVADEKDLESDEALWALYERWCKAFNQERSLEEMARRFSKFKQTVLMLDSNKKARLPYRLEINWFADGKDIEL >KQL00255 pep chromosome:Setaria_italica_v2.0:VI:812144:813494:1 gene:SETIT_013836mg transcript:KQL00255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLVKHGGVRVVSRRTVRPFTPPPMVNGNSCHPAKEEVEVIHLTPWDLRLISIDYIQKGILLPKPPVSGDVLVNALESSFSRALERFYPFAGRLASEERGDGTMSVFLRCTSEGAEFVHAVAPEVAVADIVSSLYTPPAVWAFYSNSFDQVLGADAAIKSLPLVSVQVTELADGTAFWHFLNTWSEIHRRAGGGVGVSDDDLRDLSTPPPVLQRWFVETCPVPIPMPFSKLEHIVKKFERTAVQECFFTFSAASVKKLKAQANAEIAGTDTAAATISSLQAVLAHLWRAVCRARRLPREQVTFYSVVVGCRGRVNGIPPGYVGNAMVFGKAVATAGEIDDKGLGWTAWLLNRAVASFDEASMRESLESWVRNPDFTYMGNLSSAGTALVTGSSPRFDVFGNDLANIYCSNT >KQL00330 pep chromosome:Setaria_italica_v2.0:VI:1431137:1432201:1 gene:SETIT_014870mg transcript:KQL00330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAASQPPPAAATTSTCTPQTARGRHVFQIEGYTLHRGLGVGKFIQSAAFAVGGYSWCIRCYPDGASEDAKGYVSVFLRLVSRNAKVRALYKIRLIGQKTGLSPAPCASGREVFDTMNSGEAVWGTNLFMKASGLEASAGYLRDDCLVIECDVTVIKEPLVTEDATKPLEIQVPPSDLPDDFGRLLETREGADMILKVQGEYFLAHRIVLVARSPVLSAELRGTAVAEHNRGCITIEDMQPAVFRALLHFIYTDSLPGMDDLNVDETHDMVKHLFVAADRYAMERLRSLCMGILCTSLDDSTVDSTLALAEQYDCSELKDVCFKHVNSSSRVGDAVARQEAAYQRLIRGKPSIW >KQL02264 pep chromosome:Setaria_italica_v2.0:VI:31305543:31306223:1 gene:SETIT_014807mg transcript:KQL02264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPYHQRLLSSESKRQTTDVINGIWGCFQDPNDAIQRRPSRGGSYKGGRTIERRSRRTKRGSWSH >KQL01060 pep chromosome:Setaria_italica_v2.0:VI:7743814:7744170:1 gene:SETIT_015532mg transcript:KQL01060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSILLAAAALAALLAIGSCGSALTFKTGPGCSATRLVLIPSTAISEVEVKEKGASDFSELKEGPAGTWTLESKAPLKGPFSIRFAAKSGGYRVVDDAIPASFKSGSSYKTSLQV >KQL02555 pep chromosome:Setaria_italica_v2.0:VI:33181686:33182044:1 gene:SETIT_014844mg transcript:KQL02555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSLLIHVAVKLQMHYHQSDRIGSLKAAFIHCGCPHRPQLDSTPRAP >KQL00417 pep chromosome:Setaria_italica_v2.0:VI:1934401:1937608:-1 gene:SETIT_013873mg transcript:KQL00417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAARARVPLRPVRWAWPAAPLALLLLLLLLVAPAVGAAAVATECECGGAAAEIKEEDARGALRLKLIAVASILASGATGVLVPVLGRSASALRPDGDVFFAVKAFAAGVILATGMVHILPAAFDALAPPCDAGSGRGKGAAFPFAGLVAMCSAMVTMMVDSVAAGYYQRSHFRKARPVDDAAAAGADEEADAEHAGHVHVHTHATHGHAHGHAHDHGGHGHGGPAAGASPDDASSFAVSIRHRVISQVLELGILVHSVIIGVSLGASLRPSTIRPLVGALSFHQFFEGIGLGGCIVQAKFKVRATMIMATFFSLTAPMGIALGIAITSSYSKHSATALVVEGVFNAAAAGILIYMSLVDLLAADFNNPRLQTNMKLQLATYLALFLGAGLMSLLAKWA >KQL02653 pep chromosome:Setaria_italica_v2.0:VI:33801007:33802267:-1 gene:SETIT_015892mg transcript:KQL02653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDRKEAERLACGRAARWPPAQKGKLGGGVEHKASRLAAKCCTLLSS >KQL00986 pep chromosome:Setaria_italica_v2.0:VI:7165034:7165614:-1 gene:SETIT_015990mg transcript:KQL00986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEKLALVKRAMGFVIDNLGAGDRLSVVAFDSDARRGDPRRGRMHQHPRRPRRGRQGARRPPAATGASRCTRSGSAPTTTRRPCTASRRSRVAHSPSSRTTRRPRTRSRSASAGSYH >KQL00225 pep chromosome:Setaria_italica_v2.0:VI:649025:652952:-1 gene:SETIT_013921mg transcript:KQL00225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAFACMPRKEQRAAAAVSRSKRMGSARSARGGPKLTPAEEELLHRQALAMAIHQHLDAGGSMSRRIDAGASLSRRMGPGSTSSRRRGDLLDSVTNAKPAQIVLENLETKKIVLVHGEGFGAWCWYKTISHLEEAGLEPVALDLTGSGIDHTDTNSIATLADYSKPLIDYLDKLPEDEKVILVGHSCGGASVSYALEHCPQKISKAVFLTATMVKDGQRPFDVFSEELRSADVFLQESQFLVYGNGKDKPPTGLMFDKQQIKGLYFNQTPSKDMALAAVSMRPIPLAPIMEKLSLTPENYGTARRYFIQTLDDHMLSPDAQEKLVRENPPDGIFKIKGSDHCPFFSKPQSLNKILLEIAQIQAPAALLPGKASAEETAVKS >KQL00613 pep chromosome:Setaria_italica_v2.0:VI:3454638:3455233:1 gene:SETIT_015863mg transcript:KQL00613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIAQQSPLGDGSGDGSVDGVGRAGECASVSGVGDEEAQAAGGL >KQL02434 pep chromosome:Setaria_italica_v2.0:VI:32507621:32508394:-1 gene:SETIT_014643mg transcript:KQL02434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPRGKSKKAIEAASNDDEDGSGGEEAPPTPKRRGRPQTKPLKDDADEAEDKDTAEAEEDDADGTKPVVRPSKDSTKQSSAEGGGKKRRRRREEEEGHVRSSKSNGFRPNGSRRKSTPRRAAEAGVECK >KQL02812 pep chromosome:Setaria_italica_v2.0:VI:34750691:34753120:-1 gene:SETIT_014094mg transcript:KQL02812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRGGREQQRVAFSPTMKEAAAVPKEEVWEVRPGGMLVQKRSPDADPPPGGAPVPTIRVKVKCNGVYHEIYINSQASFGELKKLLSEKTGLHPDDQKVVYKDKERDSKAFLDMAGVKDRSKMLMLEDPAAKAKRLLEERRTNKAERAAKAIARVALDVGKLAAKVSALETIVSKGGKVVDADVVALTEALMNELVKLDSIAADGEVKEQRRAQEKQVQKHVESLDAIRAKNKTAAAAPKASNKARPPHLPPRPPPAAAAQQQRRQFQPPAPTTATAPAPQTQTASWETFDLLSSVPSTSAAPVTTMAPATTTTPSPRFEWELF >KQL01167 pep chromosome:Setaria_italica_v2.0:VI:10081746:10082866:1 gene:SETIT_014960mg transcript:KQL01167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKAFLLAILGCAFLFSGALAARALSDDSAMVARHEQWMAQYSRVYKDAAEKARRLEVFNANVKFIESFNAAGNRKFWLGVNQFADLTNDEFRATKTNKGFKHSPMKVPTGFRYENVSIDALPATIDWRSKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESGYPYTAADGKCKSGSNSAATIKGYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKASDGTNYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPTK >KQL02047 pep chromosome:Setaria_italica_v2.0:VI:29425772:29434807:1 gene:SETIT_013184mg transcript:KQL02047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPSRGSSSGSGRRTWRRRIVDYLADDQTDASDNESFITAHSDELGFGAASVSSAAGGGGGVGGGGAEGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTAAALYGPTSLAAGAGAGGASARTPDGARSLSRCSSTSSRIRRKFAWLRSPSPSPSQRHPVPASAASDQQAVREAALAARERRRVQARLNRSRSGARRALKGLRFISRTTGDDAAGGGDLWRRVEERFNALARDGLVSRDDFGDCIGMKDSKDFAVGIFDALARRRRQNLERISKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDAYMTYSRPMSSGSAGQWSQGLSAGAGAGAGAGGQQRDGVASQVRRRLSPRRAAARARVAAAEGWRRAWVLALWLAAMAALFTWRFVQYRRSSAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSTWARFFVPFDDSIAFHKIIATAIALGICLHAGNHLACDFPRLIASGPDEYRLVARFFGRDKPTYRALLAGAEGVTGIVMVTLMAVSFTLATRPFRKREEMAKGAAAAAAGGGGRRLLRWSFPLGHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVDRWYQRTTWMYISVPLVLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFTPCVPIRAAFGELGAAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSKSEDSANSLSTASSSNKRRAYRTSCAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFARIASKHPNSTVGVFYCGRPTLAKELKKLSLDMSHKTGTRFDFHKEYF >KQL02046 pep chromosome:Setaria_italica_v2.0:VI:29425772:29434077:1 gene:SETIT_013184mg transcript:KQL02046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPSRGSSSGSGRRTWRRRIVDYLADDQTDASDNESFITAHSDELGFGAASVSSAAGGGGGVGGGGAEGMLPAFLADQGDLVEVMLELDEESMVVRSVTPTAAALYGPTSLAAGAGAGGASARTPDGARSLSRCSSTSSRIRRKFAWLRSPSPSPSQRHPVPASAASDQQAVREAALAARERRRVQARLNRSRSGARRALKGLRFISRTTGDDAAGGGDLWRRVEERFNALARDGLVSRDDFGDCIGMKDSKDFAVGIFDALARRRRQNLERISKEELYDFWLQISDQSFDARLQIFFDMVDTNVDGRITREEVQELIVLSASANKLAKLKEQAEEYASLIMEELDPENLGYIELWQLEALLLQRDAYMTYSRPMSSGSAGQWSQGLSAGAGAGAGAGGQQRDGVASQVRRRLSPRRAAARARVAAAEGWRRAWVLALWLAAMAALFTWRFVQYRRSSAFRVMGYCLPTAKGAAETLKLNMALVLLPVCRNTLTWLRSTWARFFVPFDDSIAFHKIIATAIALGICLHAGNHLACDFPRLIASGPDEYRLVARFFGRDKPTYRALLAGAEGVTGIVMVTLMAVSFTLATRPFRKREEMAKGAAAAAAGGGGRRLLRWSFPLGHLAGFNAFWYSHHLLIVVYLLLLVHGWFMFLVDRWYQRTTWMYISVPLVLYVGERTLRAFRSKAYAVKILKVCLLPGNVLTITMSKPYGFRYRSGQYIFLQCPTISPFEWHPFSITSAPGDDYISVHIQTRGDWTQELKRIFVENYFTPCVPIRAAFGELGAAEQKSPPRLLVDGPYGAPAQDFRNYDVLLLVGLGIGATPFISILRDLLNNIKLADELMDLAMETSKSEDSANSLSTASSSNKRRAYRTSCAHFYWVTREPGSFEWFKGVMNEVAEMDKKGVIELHNYLTSVYEERDARTTLLSMVQALNHAKHGVDIVSGTRVNTFYLSKQSTMTSQVNDLGGI >KQL02835 pep chromosome:Setaria_italica_v2.0:VI:34871634:34875788:1 gene:SETIT_013576mg transcript:KQL02835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDIGTRYEIPRTAEFLRAGAYTRVALQFPDEMLKDAAAVARALRQELASGGGVRVFVMADTAYNSCCVDEVGASHIDAQCVVHYGHACMSPTSNLPAFFVFGKAPLDVHACACLMLECSRKSNKRILVLYGLEYAYALVDLRRTFEESCKSNSCNPEVQYADVLCSVMSPSSITTEENNCHLSSGTSCSGDLSINSDLAALNNRCGIECTSSTHKYSLGGVTWTVSVQEKMEDYLIFWIGQDNSAFANIVLTFNKCEIVRYDATENQLSTDVSHLMKVLRRRYYLVEKAKDASIIGILVGTLGVAGYLHIIKQMKELIKAAGKKSYTLVMGRPNSAKLANFPECEVFVYVSCAQTALLDSKDFLAPVITPFEAVLAFSRGREWTGEYLLDFKDLITSEKQEVASAAEEARFSFIKGAYVEDNRAQENMEQSETETSLALAEVTEKALSIQNQNNDAVLYQGRAMSSIDYLKARSYRGLTGEYEGPAPDSILVGRTGRAAGYSDEKTPSAQ >KQL02330 pep chromosome:Setaria_italica_v2.0:VI:31799612:31801223:1 gene:SETIT_013754mg transcript:KQL02330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVTSLALLVLAVAAVSIPAPAGAAGAGNAALKRAADFLEKWKKRIEDAKDRFEAIDKATDGELLPRGNDAAAGLLPNAVAVDVVKAGGVNFSVGGVDVTSRVTWTSRCGGDSSSQQVACGDPLCEKYGACDGGDAPSAAGRVCNSAAPAGVPLRKGNITLFGAKSKGIFSNNTAYNTAYACRPDASGDYYAVIGLGRGNNILASFPKEWPLFSYIIDGDLQGSTVWLAHNAFLARRATAARAGSRSITLFGPVGSNNSTYSVKVTGIKVGGGEVASEAATGILMTTMPFTFLNSSLFDHLKQELKAVASPVNGSDFDARFGQLCYPKGTKLPAITLLFVGENAGMELQPEHYSYKKSNGVVCLSILKSPWSNGLSVIGSMVQAGRQMTYKLDDNTLTFDDPASASSKPSPSTSSSVAQAPLTPFCLSPAFAVLLLAIIM >KQL02758 pep chromosome:Setaria_italica_v2.0:VI:34399868:34406424:-1 gene:SETIT_013209mg transcript:KQL02758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNPHGASSSTAKPADDPESTIEINIKTLDSQVHKLRVNKNVPVLVLKEKIVDATGVPLDQQRLIFRGRVLKDDHLLSEYHLEDGFTLHLVARRAAEGQSSSGASEGNTHTNVNVAGNGGLLDDISRSVRDLLGSLGVAMSGGVTNAAFSVPLTTAPEGANNVPGRTQPVNPAQPGFSVMNHQIHVTQLQPGGIPRNMVIPDSLTTLSEYMERVDRVLQNNGTPPSRDSEGQQQPMADDANVNPRFPSPEVLASVIERAQQLLSGSAASSLSHIAQRIRQDGGTGDASIRREIQTESVQLGIAMQHLGAMFFELGRTMMMLRTGQSPSQAFVNSGPAVYINSTGPNPIMVQPSFQNTPPFGVSNIPVSGAFGIVDTSRSSGFGDPFRNINVQSSVASATSGSSAGTTTTSGGAINGNRQDAARTQGGNPPGHPAATRGLPTRTVVAAIPARSSAEAPNHVLNVVLPVQVRGQVAVPNQSTSSQGSQTAVGAQPNATSAVSQASVGGVAGAHPLVAQINALVANALAANAPGQVSSSVQSAADQGFHLTTDSRAGVLSSSTPTTTPQQNDPSGTCGSTLSSQDMNAVNVPSLDSIQQHPQSEDTSADTANLSGDPASTCTHDAPSSTSAEKSALKNKSSDGLGSQALEPSASGSSEPVGLGGGLIPKRRSRAAKPSGSTTDPGRDSSSVSQNQDAVSVAQQFLQSFASQNTNPSQRNAPTSGPPSSRPQPTGVPPRRQGGEGQPDIGSMISGMLNNPVFGNLLSNVATQAGGSSADLRSVMEGLQSPAVVDTISNIVQNVDERDLGAMFGSGRGQGGMDLSRMLQQMMPVVSQVLGGAGAQPVGANNGQSRSQTRSSDTAGGNMLSSSNSQIDLHQARQSIEQHESPENIFSAVLETAAQAYGEDDSIRSMLEELASDPELTNDYLRLLLEQFQQRLQSESQSGSQS >KQL02970 pep chromosome:Setaria_italica_v2.0:VI:35549147:35552126:-1 gene:SETIT_014405mg transcript:KQL02970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHPTRGGVRGGRDQFKWDDVKVDKHRENYLGHSVKAPVGRWQKGKDLIWYTRDKKSDTEDALKEEIRRVKEEEEQAMREALGLAPKRSNRPQGNRLDKHEYAELIKRGSTAEDLGAGHAEAAQVQGLGLYKAPHDEGESSSFNLDPPEMAPEQADPPPALEEDSQDDRKRKRRHEERRGDKEQKREKHGDGKQRRRDKHERRRDSEDGSKRHRKDKQKRRHDSDSN >KQL01743 pep chromosome:Setaria_italica_v2.0:VI:25383013:25383258:-1 gene:SETIT_0151481mg transcript:KQL01743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGADAAGRRTLLMVNLASIMERADEAPRGSGPSRCAAPPCRPPATRSPPTLSRATTAPVVPACAFLWAAANFLVAVSDSFAQ >KQL01044 pep chromosome:Setaria_italica_v2.0:VI:7551521:7551931:-1 gene:SETIT_015028mg transcript:KQL01044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFKLKRPNLFEMWLDMFGLAWRWNSGFFFTNLVLYLGYLVMVGYVCVDLALLIRLPLFQSDVFVCEHRIRSLVLCGFMYVLVSGMKNREAHFTFAVEHQGCSVGMIFCSRRCKLLPTAVLTQRVVTMMPMYQIK >KQL01195 pep chromosome:Setaria_italica_v2.0:VI:10792590:10795123:-1 gene:SETIT_014263mg transcript:KQL01195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRCSNAVFASFNVLTLLLGAAVLAWGIYAGRGATDCERLLRTPALLLGAAIMAVSAAGIAGACCRASLLLWIYLFLAALLILVVLCFAAFALAVTNAGAGRAVSGRGFKEYRLGDYSSWLRRRVEDGRTWGRIRSCLTEAGVCRSLQSNRTFDEFVNDNLSPLQSGCCKPPTECNFAYLNETYWTKPSGPSNSSNPDCDTWSNDQSELCYGCQSCKAGVLGNLKNSWKKIAIINAAFIVLLIVVYSLGCCVLRNNRRHKYTLVGK >KQL00973 pep chromosome:Setaria_italica_v2.0:VI:7022159:7024789:-1 gene:SETIT_015309mg transcript:KQL00973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQQLDNEYSPDKFASLALAPKGRTDSQEGRWRAQEKSIDDWLPINAKRNAKWWYAAFHNVTAMVGAGVLSLPYAMSELGWEAGITVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVEVGLNIVYMVTGGQSLQKFHDVVCPDCKHIKLTYFIMIFASAHLVLSQLPNFHSISGVSLAAAVMSLCYSTIAWIASAAKGKSAEVDYHLRATTTPGKVFGFFGALGDVAFAYAGHNVVLEIQATIPSTPGKPSKKPMWKGVVVAYIIIAICYFPASLVGYWAFGNQVNDNILITLNKPKWLIALANMMVVVHLLGGYQIYAMPVFDMLETVLVRKFWFPPGLMLRLIARTAYVAFTMFVAITFPFFNELLSFFGGFAFAPTTYFLPCIMWLTVYKPKRFSLSWFTNW >KQL00295 pep chromosome:Setaria_italica_v2.0:VI:1131771:1134425:1 gene:SETIT_013735mg transcript:KQL00295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAAGDSAVPNWVMLERLAFRRDDPASFREDRRTFASGTTSAGTQFDVSFILAEPPTPSRLYLSWPEGPKQDSQGLVMAANRNLVLFRLDSLVDKSQPFGEVVHDYFIYIANPSSQQTPLLRRLPPCTEYNVYIEMQVTRVFPVLAVGLLCHGEDEFAVAHLAIRPRKKKSGSRIKKSAMQAELCVLRSSLSSSDDAKWETKVFPLQYQYDDLHYDFLNWEVDGVVPFKNALCWVNYCRGILFFDGVFEDRPKVSYIRLPLDTSFRGTGTDADSLKGMYRGLCVTEGGHRLVFVDVARHDGKCYGPRMPNTGFTLTSRTLKMTGNCTTPWEWNQDAVVTSDELWHANTMESLPRDIVMLPLLSMDKANVAHLSMLDWDGGRFSLVSIDLSNMQVMGPVITYLEGEDDTADADIVKTKQVLCAHFIPSEFPKFLDLRERENHP >KQL00943 pep chromosome:Setaria_italica_v2.0:VI:6641403:6641972:-1 gene:SETIT_015380mg transcript:KQL00943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGGYLRLLSPRRGMSMKINCLIEVDIRVKAIGDDDTKDKTLADGCMQFMEDKVYFDALSTSTISGRYGSYDFTGKNCECDSFVRSTGRFPQYFVASVEMNDYFLVDIAEGKSPLIFKPTIHGSEEKEYSFDNGALVSVKVSWSTAYY >KQL02329 pep chromosome:Setaria_italica_v2.0:VI:31769632:31771655:-1 gene:SETIT_015652mg transcript:KQL02329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDAPDSEEEELWPLLYPNPLPVDGQSLLSVFLAIVSLVRNDLKSRNYPMPIRLEGGMRLINTFEEEFVEDTWSKLSKKVASGISRSVVSLASFKGEERFFACTGIFIGFNESTSRVLTSASLVRTSADEYKIADNLKQIKVYLPNKQVAEGTLQHYNLSYNIAVVSVMGFRCLRTAEFNNRQIEPHMEVVAVGRIFESGKLMATSGKVADKEGNLDCKELMISTCKVTKAGIGGPLIDFDGNFIGMNFHGKEETHYLPRSIVLERLRLFERWPVLEPRWSYLRSR >KQL02056 pep chromosome:Setaria_italica_v2.0:VI:29530123:29530286:1 gene:SETIT_0159642mg transcript:KQL02056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGSCVGAAYQCLFCWLCYLQKQKETWILQLLSMDQ >KQL02386 pep chromosome:Setaria_italica_v2.0:VI:32164200:32168381:1 gene:SETIT_015278mg transcript:KQL02386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYLKENFGGVKAKHSSDEALGRWRSVVGVVKNPTRRFRFTANLDKRSEAAAMKRSNQEKLRVAVLVSKAALQFIHGLPPQADYAVPAAVAAAGFGVCAEELSSVVESHDVKRLKSHGGVEGVVSKLSTSASDGLPASARKLATRQELFGVNRFAEAEPRSFWVFVWEALQDMTLMILAACALVSLLVGVATEGWPHGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRGGYRQKLSIYDLLVGDIVHLSIGDQVPADGLFVSGFSLLINESSLTGESEPVAVNAENPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLIFAVVTFAVLTQALFWRKVSDGSYFSWTGDDALELLEFFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATSICSDKTGTLTTNHMTVVKACICGKVKDVGSSSAETKTLTSDLPSSVVAMLLQSIFNNTGGDVVVNQDGKREILGTPTETAILEFGLSLGGDFSTVRKASTLIKVEPFNSAKKRMGVVIQLPGGALRAHCKGASEIILASCTKYMDEHGNVVELDGATVDHLKATIDSFANEALRTLCLAYIDVDEGFSANDQIPMDGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTEGGVAIEGPDFRVKSEEELQELIPKIQVMARSSPLDKHTLVKHLRTTFDEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALVVNFSSACLIGSAPLTAVQLLWVNMIMDTLGALALATEPPNNELMKRTPVGRKGNFISNIMWRNIMGQAIYQFLVIWYLQAEGKWLFGIKGDNSDLVLNTIIFNCFVFCQVFNEVSSREMERINVFEGILDNNVFAAVLGSTVVFQFIIIQFLGSFANTTPLTFTQWIASIFIGFIGMPIAAAVKMVPVDSV >KQL01235 pep chromosome:Setaria_italica_v2.0:VI:11421089:11422999:-1 gene:SETIT_015119mg transcript:KQL01235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGDKPPSLDADVDMADLASLDAPAASSAAAAGVPSTRFRPKAKGKPKPKPEAPKPVPVAVPKPEPEPEPMPDPASAAEPEPEAANAAPPEDDRVDAMEVDGAGDAAGVGERAEAEEEEEDFVVREIDVYYTPKPFDDDTKLYIMQYPLRPCWRPYELNEICEEVRVKPLSSEIEVDLSVDTQSENYDQEAPLRLTKQVSLLIL >KQL00605 pep chromosome:Setaria_italica_v2.0:VI:3420720:3421316:-1 gene:SETIT_014517mg transcript:KQL00605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKCSFSSRDTNCTSSIFSKLAPASHPCSCSYAAMAAGSPKHKATLPMRAGPQAEGMAPASRPCSGLRSATSLPRLRCGRGTYGDDERNELPRGAAATATTCMASFRGARRLRRGRSWPRRTPGHGSGPSAGGSHKRHWCASAATRNRCFVPEKRCHFLLYLSSMTILPRHQNTYMTTY >KQL01457 pep chromosome:Setaria_italica_v2.0:VI:19888308:19893828:1 gene:SETIT_013874mg transcript:KQL01457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSAAAFRPCPARPLVSRNPSRPLLPARPLRVGAAAAATTSTRCGAVGPRGHGLGLQPVSPDREGKARQRQVACGAAGAAGKVEEEGGGLMKTLQLGLFFGLWYLFNIYFNIYNKQVLKVFPYPINITEIQFAVGAAVALFMWITGIIKRPKISGAQLVAILPLAIVHTMGNLFTNMSLGKVAVSFTHTIKAMEPFFSVLLSAIFLGELPTVWVVLSLLPIVGGVGLASLTEASFNWAGFWSAMASNVTFQSRNVLSKKVMVKKENEESLDNINLFSIITVMSFFLLAPVTFFTEGVKITPTFLQSAGLDVKLVLTRSLLAALCFHAYQQVSYMILERVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINSLGTAIALAGVFLYSQLKRLKPKPKTA >KQL00545 pep chromosome:Setaria_italica_v2.0:VI:2925314:2925638:-1 gene:SETIT_015878mg transcript:KQL00545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLLSVPEGAGMGMIYEQVKLTRQLITWLLCIERSLTCLAQKHVR >KQL02943 pep chromosome:Setaria_italica_v2.0:VI:35420090:35425846:1 gene:SETIT_013437mg transcript:KQL02943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQEPIADVEQKIGLTEKPTEQPVPSKDDKATLPPISVDSNAIDLPSEGQTQAGTSNIDGDHNAAYPHNFYASQAQPFYYQGYENPPQEWDTYPPYMSVEGLEVGPTVVYNEDPSLMFHGGYGYDPYAHYSPITTPVPTAVSGDGQLYSPQQFSFSAPYYQQSVPPGMPYLSSPTPISQGETMIPTDPTQGGFIADTLSPNSFLFGPRPEWFRSSEGAGSFPSPAASPQPAGGVSGAIGQSNFPMASGMPSPHQKPFYGFGSPSDSFGRGFSHGGMFPQASNYGGSFPSFGLNGRSSISIEKGRRRGRGNALICSCNGPLDFLNEQSRGPRATKPKKQPEGDSKDEKPSAGVGRELYNKPDFVIEYTNARFFIIKSYSEDNVHKSVKYGVWASTTNGNKKLDSAYREAKEKEEQCPIFLLFSVNASAQFCGVAEMIGPVDFEKSVDYWQQDKWTGQFPVKWHIVKDVPNNLFRHIILENNDNKPVTNSRDTQEVKLEQGLEMLKIFKNHDDDASILDDFYFYEEREKALQENKARLHQQHIPSSIAIEPKKPLTVPTDLVGHITKSFAQAVRLGEAKAVSPSAEKVSGGDSSVPVKPVEVKQSGLS >KQL00773 pep chromosome:Setaria_italica_v2.0:VI:4849951:4851783:-1 gene:SETIT_013431mg transcript:KQL00773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRPSILAAARRLTTAAVAAAIRRGDLAGAKEAFASTRLKTTATYNCLLAGYAKAPGPGRLADARHLFDRIPHPDAVSYNTLLSCHFANGDVDGARRLFSAMPVRDVTSWNTMVSGLSKNGALEEAKTVFQAMPVRNAVSWNAMVAALACSGDMGAAEEWFRNAPEKKNTILWTTMVSGYMDAGNVEKATEFFDAMPERNLVSWNAMVSGYVKNSRAGDALRVFKTMVDNATVQPNASTLSSVLLACSNLSAVEFGRQIHQWCMKLPLSRSMTVGTPLVSMYCKCGNLDDACKLFDEMHMRDVVAWNTMISGCAQHGDGRKAIKLFGKMKDEGVVPDWITFVAVLTACIHTGLCDYGMQCFETMQEIYGIEPRIEHYSCMVDLLCRAGLLERAVSMIRSMPFEPHPSAYGTLLTACRIYKNLEFAEFAGGKLIEQDPRNAGAYVQLANIYAMANRWADVSRVRRWMKDNAVVKTPGYSWIEIKGVRHEFRSNDRLHPQLDLIHDKLDRLEELMKAMGYVPDLDFALHDVEESLKAQMLMRHSEKLAIAFGLISSPPGMTLRIFKNLRVCGDCHNAAKLISKIEDRKIILRDTTRFHHFRGGSCSCGDYW >KQL00862 pep chromosome:Setaria_italica_v2.0:VI:5757631:5758785:1 gene:SETIT_015515mg transcript:KQL00862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNEEQSDGFDQIFDHVVCGRGHVFFVDGLEALVRSMDLIAIATTTSSIATSIMPGGRTAHSRFKIPIELGDNCVCNFTKQNFLLRIGNGTEETYINDYVLLPEDIVIEYSSDKSLDKFIECVFPNLKENYTSPSYMREHAILSTRNEHVDGLNARMIDMFPGKEKVYFSHDSVDDDTNNNYPLYFLNSITPNGLPLHELKVKKNCPVILNLDPHNGLCNGTRLVVRSFEDNTIDAEIVNGQHDGNRVFISRIPLYLSEDITLPFKFKRKQFPVRLSFAMTINKSQG >KQL01020 pep chromosome:Setaria_italica_v2.0:VI:7420519:7421237:1 gene:SETIT_015423mg transcript:KQL01020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHLRSTSVPSSPHSNEIDRKAVEQALEQSLVMLDLCNAMQESFSELKETILDMQLAFKRGDHTAVQAKIHSYIRVTKKAQKQFKKTNKKSTATYQESCRVIKMLSEAREIAASMLESSSHLLSKKIVVPSSSRWSLASNTFQKKRGVCEEEQLQELELDVADLESGVESLFRTLIQSRVSLLNALSL >KQL02265 pep chromosome:Setaria_italica_v2.0:VI:31309106:31310845:1 gene:SETIT_015229mg transcript:KQL02265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTARRHLHPTVGALLAAALLLSAIAVPGATAQDETGHYDPAVENGTDHWGEVKPEWATCSEGRWQSPIALYGHRAIQRDLGYLNYSYQPAEASIVNRGHDIMVKFKGDAGRLVIDGTVYHLKQLHWHTPSEHTLDGIRGAGLVEDPFLRRLEPIIRRLRDREEPIGKVDPKGIGFTGGVYYRFTGSLTTSPCAEGIVWTVIPTFRFVAFYQRDVLREAVDDGFEMNARPLQDVNHRTIWFSITCPAEPHVYVE >KQL02297 pep chromosome:Setaria_italica_v2.0:VI:31573216:31574164:1 gene:SETIT_015246mg transcript:KQL02297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSGAETTSDRILRQWVRGALIRQRTTAATLPGAGAARIQAWTCEQQLQRLCPRILAPRSGGRRPCSNHRPGRAARRGSWQARTWPAPGAPWRDGQSSTWRRSPAARVCRSQAASSPAWRRSAAAARGHIACDASREASARGAATGAPASHGSTRPWVTCPARGDLDEHNQQSRAVTRGMPRHGANLSFLFFLFFCWHCWFVLFNVADCCFMFFSLFSSLLPLLVSLFFFRSGSCVFFSLCAVQIQEIWGNDGVAVPLFLCSCVHRICLLFIFIYCSSNVFFYCPSNVP >KQL00648 pep chromosome:Setaria_italica_v2.0:VI:3734043:3742178:1 gene:SETIT_013114mg transcript:KQL00648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAKWRSLQHRHRYTYTSIVFPKHYLEALALVQADVTSSNFFVQLNNLISLTSTYSQVVAVKDLASAYVQFLSAPGTPDDAVLAATKLYLEILFLENSLPLHRTLISVLAKCKKFSPVISECFALLCEEYGGSGSKAKKRFLVSRAALSLIGYPKLGFLDEAVERCAEIMALDVVDGLDGVTRDIGAGSRPSPVVMEQCQEAMSCMYYLLQRYPSKFTGLDKASSVFKSSVRTILSVLKSSAFSRDCLVASGVSFCAAIQVFMGAEEICWFISQGLYGICADHEDRKDLSVRDVLSDFDLCEEISHLSVLSRLCLLRGILTSIPRTVLNIRQLHSGGSLWTVLYDGILPELCKHCENPIDSHFNFHALTVTQICLQQIKTSVLADFTDFSGDYKPFSINVINRILRIIWRNLEDPLSQTVKQVHLIFDLLLDIESCIPLEDYEHNNKLFLSNIANDLLRLGPRCKGRYVPLASLTKRLGAKSLLRLKPNLLSETAYAYIEDDVCCAATTFLKSFLETLRDECWNDDGVDQGYDAFRVLCLPPLMRGLVSGNSKLRSNLNTYALPALIEVDTDSIFTMLGFISVGPSAKATELDIVLKNDQCIAALVSLLKVSRNLALVEGDIDLDPDKLSQPQKEDDRGAAVISVRGINVTVPVNWFALALTHNDESLRIDAAESLFLNPKTSSLPSSLELSLLKEAVPLNMRCSSTAFQMKWTSLFRKFFARVRTALDRQVKQGSWIPSSTASVKGADSVDAANAAVTQRAEDLFQFMKWLSSFLFNSCYPSGPYERKTIAMELILTLLDVWPICRSEGKNDLYPYNDSIILPDSTISFVGSIIDSWDRLRENSFRILLQFPTPLPGISSSLSINDVIRWAKTLVLSPRVRESDAAVTSQNPVAQYISALIQWLCTVVEEGERDLSEACKKSFVHGVLLTLRYTFDELDWNSEVVQSGVSEMRCLVERVLQLIMRVTSLALWVVSSDAWYMPYDMDDMIDDGSFLSDIYEEDQRTTGSEKEEKNAKPGSNGKPADQVVMVGCWLAMKEVSLLFGTIIRKIPLPGCSHSNSSQDGLLDSTEETSMSEEILDVGQLETMGDHFLQVLLKMKHNGAIDKTRAGLTALCNRLLCSNDSRLCQMTESWMVLLMDRTIAKGQTVDDLLRRSAGIPAAFIALFLAEPEGTPKKLLPRALEWLIEFAKTSLANFQKDNHQKSGITRDGVGELCESESGTTASAHSNGNLSKSRDEGVVPTVHVFNVLRAAFNDANLATDTSGFSAEATIVAIHAFSSPYWEVRNAACLAYTALVRRMVGFLNVQKRESARRSLTGLEFFHRYPALHPFLSSELRIATELLAGGVSSDLESHIVKAIHPSLCPILILLSRLKPSPISCGTDDSLDPFLLLPFIQRCATQSNYRVRVLASRALIGLVSNERLQQVVSDILGNLPNVNHEVSPSVQLSDPPISANMENGNLLRFSKSFSFNSIHGLLLQLSSLLDNNFRGLTDSSKKDQIIGQLIEVLSRCSWLGSTKLCSCPVVSTSYLRVLDLVLDVARTGKSRHTEVIQTLLLELSSQCLNSAVSTRYAFHDPTRIELQQQATESFFSCVGLSKRNDEASEEDVQLQILGEHTSNISAMPGEVSLPELHKEIMSCLTEPMYDVRITVLKRILQLTKSIRYGHSKNILRQWAGANLQPVLMERLFAEEHPKCLYYNLKIIFLWNMESPFNNGEDSGTILSFWDRLVHLNSTMSHAKTREIILCCMGMCMKWFAKLLRNGLPMVGLKTSELSASFVRINEGNRLSDAMLGVNFFVTLVKNQSAPSETVNARRAAAEAIVASGLLEEASFVASSVSNLCFPSECDEGHIKKKCMEASVSEFISIYACKILDLWFICIQLLEDEDAYLRQNLAKNIQNIIANGSASNFCDDSTPLQVDRVIELSFDYLTSLFGPWLKYIEYLLRIVLDTGNTLNSREDLVRQIFDKEIDNHHEEKLLICQICCFNIQKLLQSKYQMETGGKTESFLQNWRERFLSQLTLLTSGYLEKEGKIDWIGGIGNHKDVFISVYADLLGLYVLAPSGSLEHQDSHATYLQEFSNLDGFIKPFLKNPLISNLYVLVKLSHERLRCPDKPEDQMASSFDPYFLIR >KQL02659 pep chromosome:Setaria_italica_v2.0:VI:33835392:33839168:1 gene:SETIT_013455mg transcript:KQL02659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVGSRVRGFLRNRWLVFVAAMWMQSCAGVGYLFGSLSPVIKSSLGYNQRQVAGLGVAKDLGDSVGFLAGTLCAVLPLWAALLVGAAQNLVGYGWVWLAVTRRAPTPPLWAMCILIFIGNNGETYFNTAALVSCVQNFPKNRGPIVGILKGFAGLSGAILTQIYAMINSPDDAALIFMVAVGPTMVVIGLVFIVRPVGGHRQVRPSDGTSFTFVYSICLLLAAYLMGVMLLEDLVDLSQSMTVLLTIILIMFLLVPIVIPVLLSFFSDDDETLYALLLPSPRKEEASASTSSEEQEEVILSEVEDEKPKDVDLLPASERQKRIAELQARLVQAAAVGAVRVKRRRGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEETHIFVSMISIWNFLGRIGGGYFSEIIVKVVPGNLIAKDDKNGISSCQMQVEKKRKLKHKDKCWS >KQL02660 pep chromosome:Setaria_italica_v2.0:VI:33835392:33840411:1 gene:SETIT_013455mg transcript:KQL02660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVGSRVRGFLRNRWLVFVAAMWMQSCAGVGYLFGSLSPVIKSSLGYNQRQVAGLGVAKDLGDSVGFLAGTLCAVLPLWAALLVGAAQNLVGYGWVWLAVTRRAPTPPLWAMCILIFIGNNGETYFNTAALVSCVQNFPKNRGPIVGILKGFAGLSGAILTQIYAMINSPDDAALIFMVAVGPTMVVIGLVFIVRPVGGHRQVRPSDGTSFTFVYSICLLLAAYLMGVMLLEDLVDLSQSMTVLLTIILIMFLLVPIVIPVLLSFFSDDDETLYALLLPSPRKEEASASTSSEEQEEVILSEVEDEKPKDVDLLPASERQKRIAELQARLVQAAAVGAVRVKRRRGPRRGEDFTLMQALIKADFWLLFFSLLLGSGSGLTVIDNLGQMSQSLGYEETHIFVSMISIWNFLGRIGGGYFSEIIVKDYAYPRAIALAIAQVLMAIGHFNFAMAWPGTMYIGTLLVGIGYGAHWAIVPAAASELFGVKNFGALYNFLTVANPAGSLVFSGIIASGIYDAEAAKQAQQRHNSTLLTMPARVVTMISEAAPALKCEGAICFFLSSLIMSGFCIIAVVLSLILVYRTKIVYTNLYGKPRT >KQL02377 pep chromosome:Setaria_italica_v2.0:VI:32115818:32117787:1 gene:SETIT_013421mg transcript:KQL02377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPPSEILVPPLPTPGPVPAVEVPAAPAVPTKSRWDAEIKVYSRKYPRKTPKPPPPEPGPVRSPAPAPAPAPAPAAAPASAPAPAPTPNPNPLSETLSSIRRSIRRAEAGGAAARPDPVAAASPPAPTLPGERGASTGDPSSGQNRDGGGAVPNGHGVDRAALAAEKAEKARKRRVRSELRRRLAGELDQVRVLSERLKDAAEALTQQEASAPALLPLVVVPQQQVMDAGYVQQFSAGDMVTPLSAQLTAAVPPVRSLLRRPLTVSVVHNEAFEMEKRTPKANQLYQKSEFLLANDRIPPSDSHGRKKSKHHKKKHRSLGSRGADFDAERRLYSHAFKKSSSLLSRLMKHKFGWVFNNPVDPVALGLHDYFTIIKHPMDLGTIRARLSQGQYRNPKEFADDVRLTFHNAMTYNPRGQDVHFMAEQLSEIFEAQWPEIEAEVNYLASCPPLPKKFPPPPIDLRYLERSDSMKHHMALDSSRPISHTPTYSRTPSMKKPRAKDPNKRDMTIDEKRKLSENLQNLPPEKLDAVVQVIKNKNLSVRQHEDEIEVEIDSMDAETLWELDRFVANYKKNLSKQKRKAERAMLARQDAELRAQHFVQQPQPTQFTQEPNVGEKSPKQIEKG >KQL02095 pep chromosome:Setaria_italica_v2.0:VI:29894187:29894473:-1 gene:SETIT_015055mg transcript:KQL02095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWRSEHIWIELLKGSPKRGNFFWAYILFLGSLGFLVVGASSYLGKNMISGVVMSFYGIAGLFISSYLWCTILWNVGSGNALY >KQL02597 pep chromosome:Setaria_italica_v2.0:VI:33451688:33453403:1 gene:SETIT_015679mg transcript:KQL02597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATVAPSASSSHRAVAVLLLAAALACHLNAVAAADPECHCPGGRCRGLGVNYGTVADDLPSAARSVQLLRAAGAGAVKIYDANPDILRALAGTGLPVAVMVPNEAIPSLASSRAAAEGWVAANLAPHVPAARVMYLLVGNEVLSNRAAAGSTWRAIVPAMANLHRALRAHGIRKVKLGTTLAMDALSASYPPSAGAFRGDVAEAVIRPLLRFLNATRSFYFVDAYPYFAWAANRDTISLDYALFQGAASSHYVDPGTGLTYTNLLDQMLDAVVAAMGKLGYGNVKLAVSETGWPSAGDAGESGANVRNAATYNRNLAARMSKNPGTPARPGAKMPVFLFSLYNEDQKPGPGTERHWGLYYPNQTRVYEVDLTGQRPSRSYPPLPPAGDTDSSPAWCVLASGEGKAANETAVQAALEYACQQGSGTCAAIQPGGACHEPDTLDAHASYAFNSYWQQFRNAGGTCFFNGLAEATTKDPSHGSCKFQSSLD >KQL02783 pep chromosome:Setaria_italica_v2.0:VI:34505423:34508497:-1 gene:SETIT_013498mg transcript:KQL02783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSSIRLGALEKLKSFRGMEKQKSFRGIMSLERRSRDSPGKRGDTPLHLAARSGSVAHAQRILAELDPALVAEMAAKQNQDGETPLYVAAEKGHAEVVREILKVSDVQTAGIKASNSFDAFHIAAKQGHLEVLKEMLQAFPALAMTTNSVNATALDTAAIQGHVDIVNLLLETDASLARIARNNGKTVLHSAARMGHVEVVRSLLNKDPSIGLRTDKKGQTALHMASKGQNAEIVVELLKPDTSVVHIEDKQGNRPLHVASRKGNIIIVQTLLSVEGIDVNAVNRSGETAFAIAEKMNNEELVNILKEAGGVTAKEPAHPPNSAKQLKQTVSDIRHDVQSQIKQTRQTKMQVHKIKKRLEKLHIGGLNNAINSNTVVAVLIATVAFAAIFTVPGNFVEDLSQAPPEMSLGQAYVASNPAFIVFLVFDALALFISLAVVVVQTSLIVVEQKAKKRMVFVMNKLMWLACLFISVAFIALTYVVVGRDDWWLAWCTMAIGTVIMLTTLGSMCYCIITHRLNEKNTRKIRKASASQSRGSWSRSVDSDEEILNSEYKTKMYAL >KQL02139 pep chromosome:Setaria_italica_v2.0:VI:30222104:30222646:-1 gene:SETIT_015760mg transcript:KQL02139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNTKEEAWMFWISYAGQKGFEVRKRYTDKRKSDGKVRSCRCVCANEGHRLEDKRDHLTKCPRAETRTNCQAPMAVVMDQVKGTYKVTDLVLEHNHILQLPQTSYLMVSQRKISELQGFEIETADDAGIRPKDAHELTSIQVGRSFNLSYTFHDHKNYLWGKHQREMAYGQAGSMLMYF >KQL00980 pep chromosome:Setaria_italica_v2.0:VI:7123504:7126711:1 gene:SETIT_015772mg transcript:KQL00980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTLHVLVLVLLLHSMCSHASSSLEQQVADGDRRALLAIKLELSDPMGWLSSWNRTSSDVCQWAGVTCSRQHPGRVASLDLSSRQLSGIVSPAIGNLTFLRKLNLESNMLAGEVPLTVGRLRRLRFLSLFNNSFRGEIPREICNCSNLVRLSLGVNQMEGEIPAGLGLLSQLHILYIHYNNLVGSIPPSLGNLTLLETLDVLENRLVGSIPASLSHLDHLRSFEVGRNNLSGTIPPLLFNKSSLQYFGVASNKLHGSLPADAGANLPTLRKFFLGNNRLSGTIPSSLGNATMVQVLGLAQNRFQGRVAPEIGKLCPFEVQMGVNQLQAEDEQGWEFFTLFTNCTRLQLIDLPLNRLGGVLPTSIANFSTQIQWLSIAANGISGVVPSGLGNLVNLSNLDMTENNLHGVIPEDIAKLTSLQVLLVGDNQLSGNIPSSFGNLTQLQMFSLSNNRLDGPIPRSLGNLTNLPNLDLSSNLLTGFIPTEIFGLPLLTDYLLLSDNYLSGAIPPQVGSLKHIQTLNLSKNNFSGEIPTIIDLSFNNLDGEVPSYGVFANMTGFSMVGNDGLCGGIRELELPPWLCFPLLWKITPEKMKTDNRIGLTDKYPRVSYLELFEATDGFTPANLVGAGKYVRNAAVAVKVFTLQQSGSSKSFLAECGALRRVKHRNLINIITCCSSVDTRGNDFQALVFEFMPNYSLDRWLHPRTDEQLHKLNLIQLLNIAVDVADAIDYLHNNSRPSLTEIVDTVLLEVQPCENAAKDDKILACLASVVRVGISCSKQIPSERMSMKDAAIELHRIRYVVMEPSI >KQL02631 pep chromosome:Setaria_italica_v2.0:VI:33645009:33645488:-1 gene:SETIT_015855mg transcript:KQL02631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEPRFVSSDALYYNIYDPATCGYLGFGFVDTSEIIPAVSIKKKGRYSTPIFVD >KQL00250 pep chromosome:Setaria_italica_v2.0:VI:789443:789708:1 gene:SETIT_015903mg transcript:KQL00250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNTVHLYMHHVHILMSCAYLFICLFVRNFSLLMYIYNARCYDH >KQL02474 pep chromosome:Setaria_italica_v2.0:VI:32798993:32800379:-1 gene:SETIT_014101mg transcript:KQL02474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAKIETSHGGPEEGESLTSRLPTREGWWKPFFLFQGFWLTPEVIRSTVLMQAQFRPRADDIFLATYPKCGTTWLKALAFTVANRSRHPVASHAHPVLTSNPQDLVPFLVLRPIKELEALPSPRLLSTHLSCTSLPSGASTLGCRIVYLCREPKDVLVSTWHFFTNKVHKDFHIDLGKAFEFFCEGFSIGGPFWEHCLGYWKQSMEEPGRVLFLKYDEMMANPAEHVKILAEFLGVPFTEKEESAGVVEEVVRLCSFENLKSLPVNSTGVSDRVGGLPTENSSFFRSGKVGDWKNHLTEEMASKLDCIVEEKLKGSGLSF >KQL02098 pep chromosome:Setaria_italica_v2.0:VI:29902085:29907676:1 gene:SETIT_013841mg transcript:KQL02098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCIACSKQLPGGAAPLREPPEDDDEEEGDAFAGGRGESAATPSGRHAIKSLTAQIKDMALKASGAYRHCKPCAGSSPAAASRRHNPYGAHGDSEVASASDRFHYAYRRAGSSAASTPRLRSGGAMSSGDFTPSVSARTDFLAGDEGEDGDETAAGGNEDDESKEWVAQVEPGVLITFLSLPQGGNDLKRIRFSREMFTKWRAQRWWTENYEKVMELYNVQKFNSQAAPLPSTPMSDNESSKEDNPATAPHNKGHLPHTLHRPLKGTGAKGYSSSDSLEHKTNHLGNGYRHDRYLGQQCYDSVGLASTPKLSSISGAKTETSSVDASVRTSSSPEEVDRSDELSASVSNGSDEEREWVEEDEPGVYITIRALPGGIRELRRVRFSRERFSEMHARLWWEENRARIHDQYL >KQL01970 pep chromosome:Setaria_italica_v2.0:VI:28545408:28549162:-1 gene:SETIT_013982mg transcript:KQL01970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDFGFTQIGEELPDSVTLKDVMDTLPKEVFEINDIKAWTSVLISVTSYALGLFFIAKSPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSRNKLVEDIVGTLAFMPLIYPYEPWRFKHDRHHAKTNMLIEDTAWQPVWQKEIESSPFLRKAIIFGYGPIRPWMSIAHWLLWHFDLKKFRPNEVPRVKISLACVFAFMSIGWPLIILKSGLAGWFKFWFMPWMVYHFWMSTFTMVHHTAPHIPFKSSEEWNAAQAQLNGTVHCTYPKWIEILCHDINVHVPHHISPRIPSYNLRAAYDSIRQNWGKYVNEANWNWRLMKTILTRCHVYDKERYYVPFDELAPEESQPIKFLRKFMPDYA >KQL02775 pep chromosome:Setaria_italica_v2.0:VI:34485093:34485990:-1 gene:SETIT_014771mg transcript:KQL02775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSCSNWASTSISTLREGCWRIASISTAICTAKPKWSTAPYSSFQTIFGFWEPKEKIVKDSKGYSILQEKAGILDFSKR >KQL01983 pep chromosome:Setaria_italica_v2.0:VI:28744033:28745283:1 gene:SETIT_015185mg transcript:KQL01983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDTTQLQRAIFAQYIMMKKLFMELEVEREASATAASAAMSMIRKLQKEKDAERMEAWQYKRITEEKMNHTDRALEILKEVMELKELEISYLRNQLQAYKHKLLDAGIDDSDIADETMAGNIPLFESKNVENLCRNLKRNFSLPTLRLNKLYTDMDIKKNGGVQSARSRPNDEGWEHISTDGMALETKKSLSTDVDCTEKQSEEPKSPSTALHDSQPLDESSGCSSFSVVKEDVEYTVNHDRPKDSCLGTEMGELAVHPLSEVGSLQIPETSNATTDSPCTESEIVTEESELSPAVVAKGRGPRLSRFAATRKIGSMNNVDRHARRSSWSQTPRAGVERTRSRLKRVQSEKMVELNDPRTNKEQIIMLKEVYEQLGMIESHMRPDSQESPRNDTSLDSVLE >KQL02398 pep chromosome:Setaria_italica_v2.0:VI:32237250:32237733:-1 gene:SETIT_014776mg transcript:KQL02398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFLDVSLTYSPSHVHSILLIPYSNVLAWGWGTYMSSSKPLFLCFLVNSVAFVVIRDGILGHGRRKYPMKEMSFLN >KQL01583 pep chromosome:Setaria_italica_v2.0:VI:22486903:22488676:1 gene:SETIT_013914mg transcript:KQL01583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFLSSSIPATSYSRSSFLPQPPQAPARLALPSSGPRAAAAVVARRAAAEAGRCVAASASSSPATAAAATEVPGTMKAWAYDAYGDASVLKIDEAAAVPAVGEDQVLVKVVAAALNPVDAKRRAGKFQATDSPLPTVPGYDVAGVVVKVGGQVKGFQEGDEVYGMISEKPLEGPKQSGSLAEYTAVEEKLLARKPKSIDFAQAAGLPVAILTANEGLEKAGLCAGKSVLVLGGAGGVGSLAIQLAKQVYGASKVAATASTKKIELLKSLGTDVAIDYTKENFEDLPDKYDVVFDAVGQGEKAVKVVKEGGSVVVLTGAVTPPGFRFVVTSNGSTLEKLNPYLESGKVKPVVDLQGPFPFSKVVEAFSYLETGRATGKVVISPVP >KQL01361 pep chromosome:Setaria_italica_v2.0:VI:17567906:17573195:1 gene:SETIT_014329mg transcript:KQL01361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYLENMKSLRSYMNDLEEDAAKRSAEEQQQRTAIDAHDADIALVRAQSKQASEEAEQLATARAQVCVEMLEKQGRIATLDVECATLKQTLELLHQEIASTSAKLNEKRLFYTKTTETLTVKLQEQREWFGSLKNKSTTMELHGSDVGNKHRELFTQLEAAQLKIEDINSKRSRLLSEISKVKQILEQEKNIFAGFPAALQQMDMKSLEEEYKALQGDKAVEIEYFHSLEETISGMKGISEPVKCCCGLE >KQL02928 pep chromosome:Setaria_italica_v2.0:VI:35349346:35352525:-1 gene:SETIT_014864mg transcript:KQL02928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARSLNLDDDDLKEVNQLAAEESYYGYDMVSDILVFKAFMMGYNWDYYLACVQRLLFGGHLRYSNIRSRIPEMIRLQGKRRLLLVENLQVPVPMEVLFLSTQFMPLGLLQPNGWIISTTSKDVCNQSREYGFSYGSRSGLEYYHTLHFDDLQGQHWTVLIKEALKDAAGSIHSRLIHEQQEDKFWLHVAEKCLYYGILYCPMQGAAARHDITSDELVRCWVAEDLLTPQRTTDRNYRSALEAGKVVIQALQEYSLLPNPPSNTCSREEASFGWSDAVTGVSVLAMGVPRLKEEELFYHEKIDRLRWVSFMNDDGRHVSWDWRETWDDDTRGYLEWDRSFPGERISTLILRGCSNISGFPFDRVLDHHLHVLDLSYTPINSLPPSLSRLSNLRLFSLRGCSKLETLSSPQHTFFEEYEEETRPLSCLGSLETLDMNGVPLLELTQQDCSNKSNLHFLDLSGSRITILPSEFFSEMSSLEELMLGNCIHLKELPPSLAQLSNLLILRLEGTQIISFPEDTFQAMQRLHTLKLIHNMALMSLPTSLSKANGLRELHINNCKRLRLQFLWEVVPGLEDLYIQTWEALEDIKIHGHPNLRTFSVSGPWISCLSLRGCSKLKIVNISDDLTALEDVDLSRTAIEEVPHSLPNLPQLRMLLLLNVPCFKRFPWHRLVRFPKVFYLNNCSDDGNHLSQMFHQKKTDNIAQININDSRIFHSFNEDAANKLVKEGQFFQSFNVQITPCSVRGKEPRDKPCTGIQRQLPYLDVSCSEAATIVPMMKLEPRRRHVEISAMNQHPNGLRILPVTNSLFITDDASIRCINDLNCNLMSLEVCQLQHCHKMTVVFRMESDRTGPLVYDDEAGYSTAISVFPALKILQASNLNNLVCFLETSALAYLEDRGTSWTLKLKLLTRIHLEHCPRLEKIFPSRLSLPALETLVILFCPSLKTIFYRDGVVAPRQLPNIESIYLQELPQLQHIHEEAMLRFATPNLETLFVRGCRSLRRLPFLKEHPKSKVKVSGERDWWDRLQLSLPEQGNYYQQVPPPPEFASRKKKVIIKSYLR >KQL00297 pep chromosome:Setaria_italica_v2.0:VI:1167837:1170397:1 gene:SETIT_014285mg transcript:KQL00297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAELCVLRSSLSSSDDAKWETKVFPLQYQYDDLHYDFLNWEVDGVVPFKNALCWVNYCRGILFFDGVFEDRPKVSYIRLPLDTYFRGTGTDADARKGMYRGLCVTEGGHRLVFVDVARHDGKCYGPRMPNTGFTLTSRTLKMTGNCTTPWEWNQDAVVTSDELWHANTMESLPRDIVMLPLLSMDKANVAHLSMLDWDGGRFSLVSIDLSNMQVMGPVITYLEGEDDTADADIVKTKQVLCAHFIPSEFPKFLDLRERENHP >KQL02117 pep chromosome:Setaria_italica_v2.0:VI:30072280:30073790:1 gene:SETIT_013955mg transcript:KQL02117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFSYRLGRTNGERALAAMGDARRVSPLLPEQPETRHGHPTAAAGTQAAPSRRQPPRPARPPPPHARPPRTRPRPRHAPQAGAHHGRGRLLARRGEGGVREARPPPRRARRPGRRPGAEVLRPLHDLAPELRPAVTHGDFLDALLELLSAGKVARDNLTTILFDVFVAGSDTIAITVEWAMAELLRNPSIMAKVRMELDGVLGDKETVQEPDVASLPYLQAVVKEAMRLHPVAPILLPHQAVEDGVEIGGYMVPKGSTVIFNAWAIMRDPELWERPEEFVPERFLDEAASVELRAKDFEFIPFGAGRRLCPGLPMAERVVPHIVASLLHGFDWRLPEGVSNEQLDLSEKFTTVNVLVVPLRAVPVMRT >KQL00346 pep chromosome:Setaria_italica_v2.0:VI:1558667:1562709:1 gene:SETIT_014254mg transcript:KQL00346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRVREHPPSYPHLFSARSFATNHQLHGGRSGWGCCFLGRIRGPLGSFRGSVRRSAPRPSLRGHPAMTWTHSRTSPLSSGHDTTPGWTPRAAAAATATPRRRGGAEGEPGLGGGLWVRFAGGWCTYHCAVRRASAVSLAADVLLVLLCSLSILGLLFRHLHISVPVDPLEWQISQEMANSIVASLANTIGAAESVLRVAATGHDKKLFFKVVFTLYFLAALGRVVSGAAVAYAALCIFCLYMFAQSTDLFDQLPSWVPMGRDSLGGAQDTA >KQL02664 pep chromosome:Setaria_italica_v2.0:VI:33851952:33852110:1 gene:SETIT_015713mg transcript:KQL02664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSWVLLSFRVSDIVMTKSSFDPDLGLRTMQICNKSYGYTLRMRCSISPQK >KQL00971 pep chromosome:Setaria_italica_v2.0:VI:6989035:6991489:-1 gene:SETIT_014526mg transcript:KQL00971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTAAAAAVPPPPSPGAPQFSYLAVFSNCPLVAAVLAFAIAQSIKVFTTWYKENRWDAKQLIGSGGMPSSHSATVTALAVAVGLQEGFASSLFATAAVFASVVMYDAFGVRLHAGKQAEVLNQIVYELPSEHPLAETRPLRELLGHTPPQVFAGGVLGFAVATFTAMIAGLGS >KQL00335 pep chromosome:Setaria_italica_v2.0:VI:1469390:1470457:1 gene:SETIT_015678mg transcript:KQL00335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPTTVSTCTVVTEEGKHVFEIFDYSKLRGMGNGEFIRSATFSVGGYDWAIRFYPDGLSANSMNFSVHLELLSKNTIARAGCDLSLVDQTTGLPTSVHKTDLRVFNSSGNSRFAPMGCEFMGRSYFEASPYLRDDHLTIQCIATVRKEPHVSAPGLLKEIEVPPSNIAEHLGNFLDAEEGVDVTFSVGGESFTAHKVVLAMRSPVFRAELFGRMREANEQVVMIEEMQPDVFRALLHFIYTDSLPDMDDPEGKANGEMIHHLLVAADRYAVDRLKLVCQSILCKNLDVETVSTTLALAYQHNCERLKDICLEFITSSSSVMDSVVATQGYKNLKTTCPYALVDAFEKSRKFHKA >KQL02369 pep chromosome:Setaria_italica_v2.0:VI:32051513:32052420:1 gene:SETIT_014710mg transcript:KQL02369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSFFFGFGSWTAGSLPDVAGAGYWSIWAVVCGGSARWCQIVRSRRLTADVLLPRTSCSYPKLGIRSSIWLGEFGGLMLGYQPCRIEKQNRKFVRMKKKNSRCS >KQL01536 pep chromosome:Setaria_italica_v2.0:VI:21333452:21334535:-1 gene:SETIT_014875mg transcript:KQL01536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASNDGNDPVETTNTIGSPRVLLVDDSPSDCLRTCVHLRRHNVLVTAVKFPWQALELLNQKSPKLNHLPVVIISDDMIHERVKRCLDGGAKDYIQKPMEYSHVTRILSYI >KQL02414 pep chromosome:Setaria_italica_v2.0:VI:32354170:32355126:1 gene:SETIT_015083mg transcript:KQL02414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPVCGAPGESATPPTDRDSRQGATGERAGAAPPFRESRASGVEADAGLRPGRRCASASSCALLSSPPRGPAGSPRAAASLPDSHRAVARLYTHTYFLMAQRDKKVEEPTELHAPELTLCANSCGFPGNPATKNLCQNCFLAASASASASVSPPSPSPSTTTAAFDKPWPAAASAFSAPTPLAVVAPFSPAVDRPAGGPVESSSSNKAPRTSSVNRCHNCRKRVGLTGFRCRCGELFCGAHRYSDRHDCNFDYKGVGRDAIARENPVVRAAKIVRF >KQL00118 pep chromosome:Setaria_italica_v2.0:VI:47012:47313:-1 gene:SETIT_016047mg transcript:KQL00118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPSNFAGNQGLCGAPLAACDRRSKWISDESSIGMAVGLVVGFVVAFYFPPCF >KQL02187 pep chromosome:Setaria_italica_v2.0:VI:30713580:30714776:1 gene:SETIT_014057mg transcript:KQL02187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPVAPPPASADDEIVYESMPYIRIYKNRVERYSGSEFVAASTDAATGVASRDVVISPNVSARLYLPRLADGEPATKLPVLVYYHGGGFCRGSAFDPTFHAYFNSFAALANVLVVSVEYRLAPEHPVPAAYADSWEALAWVVSHLADSPNDGGNRDPWVAGHADFARLYLGGESAGSNIAHHMAMRVAAEGLPHGAQIRGLVMIHPYFLGTDKVPSDDLSPEMRERLGTLWRVMCPTTTGEDDPLINPLVDGAPALATLACGRVLVCVGEGDVLRDRGRAYHDRLKASGWQGEAAIWQAPGKDHRFHLLEPCCDEAVEQGKVISDFLNR >KQL02318 pep chromosome:Setaria_italica_v2.0:VI:31705889:31706945:-1 gene:SETIT_014336mg transcript:KQL02318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTPYHLQSPRTILSKLVNMRQLPPGLPPPPPPEPPSKTIRQPEVLPQRLPAAPSKIILQPRDRTSPAMWFAAIVCFAFSIILIVAGMVILIVFLAVKPRAPSFDTANASLNSVYIDSPAYFNGDMTLVANFSNPNQKIDVIFRSATVELFFRDRPMAVQVLPPFAQRRGQSQVLNLHMVSSRVLLPPEVAMELVNQVRSNRVVYTIRGAFKVEARVWFSHYSYWMNTICELELTAPPTGVLVARRCRTK >KQL02062 pep chromosome:Setaria_italica_v2.0:VI:29587636:29588940:-1 gene:SETIT_014958mg transcript:KQL02062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNPKWYPFGNLEDKSYSAGQGLKEFLTRRGMVAVLQQPVMQVVDVSMMRLELKTFEMYHLILSDSVHTQDATLATHLNHLVKNTLLHKGTTVRLLEFMCNTSQRPSVIVVIQLEVLQTCGLIGSPKAYEPSLFGKPYVPESRYGLPYPRSVVNYAEPMNGPCSSSQGFKRHLSWGAVPALLGGELAAGQQPVLQVFGISTYKPDYRILLSDGVHWMNSVLLSSLRRLVDDNHICKGTIVRLLKFSSDTFENFSSFSGGATSFIYVACCLSCSRLIDIHSLK >KQL00541 pep chromosome:Setaria_italica_v2.0:VI:2892594:2893432:-1 gene:SETIT_014984mg transcript:KQL00541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASYFLLAAFLAMVTSQAIASDPGPLQDFCVADIHSPVKVNGFVCKDPMAVNADDFFKAANLDKPMDTKKSKVGSNVTLINVMQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNKLFAKVLNKGDVFVFPQGLIHFQFNPVYDKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNHN >KQL02977 pep chromosome:Setaria_italica_v2.0:VI:35596410:35599510:-1 gene:SETIT_013947mg transcript:KQL02977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLWKLAYLLEPASLALIATAISVAYASASRALDYGKEMERNLDFSEASITLDRSQALMIPLASSCSLLLMFYLFSSVSHLMTAFTTVASAMALFFCLSPYITYLKTQFNLMDPFVSRCCSKSFTRLQGLLMLFCITTVLAWLVSGHWLLNNVLGISICIAFVSHVRLPNIKICALLLVCLFVYDIFWVFFSERFFGANVMVSVATQKASNPVHTVANKLSLPGLQLITKKLELPVKLVFPRNLLGGIVPGSSPGDYMMLGLGDMAIPGMLLALVLFFDNRKHKDVNVPSDVSSSKRRNYVWYALTGYGVGLVAALAAGILSQSPQPALLYLVPSTLGPVMYLSWLRNELWELWEGSGPILNEKARLLEV >KQL02701 pep chromosome:Setaria_italica_v2.0:VI:34059733:34060226:1 gene:SETIT_014781mg transcript:KQL02701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREHCSERGKIIIATSSAKRIFLPTTHMSSLGLFFIRGNTLFSRKFDFLRFHSQQGPSFCDYQLPLFQLNMSY >KQL00816 pep chromosome:Setaria_italica_v2.0:VI:5281707:5283382:-1 gene:SETIT_014046mg transcript:KQL00816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCRAGSTQHRTSRDGETPPVRFAVDLVVTRASEPRAMAAAAAAEGRQQQEQETRVCDLPEECLALAIALTSPRDASRCAAVSPAFRAAADSDHVWQRFIPTDDGSFLLPGAEKKRKKKKDAYLGLCDAASAVAVGDDDGGCWVWLDRATGARCYALSARRLSLPWDDGEFSWRFTPHPLSRFGEVAELVECTCLDIYGRLPTAALTPATPYAAYLIYDTAPEGQHRGLSFLDQETSVSLGGSMAAAARHAVCLRPDDAEARKFRGGGGGGEDGQVRRPRRREDGWWEMEMGRLPSTATGGEPEPQEVVASFEALGWYPKRGLILHGIEFRPLH >KQL00285 pep chromosome:Setaria_italica_v2.0:VI:1036767:1037064:1 gene:SETIT_015970mg transcript:KQL00285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDDDYDRGDQNGDQTDACVEPHVDEEHDVDTEDMLRHIEPEVLLGSAKGLENFETLKKAAKDCMYEGCGKK >KQL02565 pep chromosome:Setaria_italica_v2.0:VI:33252487:33253975:-1 gene:SETIT_014092mg transcript:KQL02565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRLDYEQTKHIDTGEAIHSDAFSAGGYMWRLDCYTYGDGECDDDDYLSVFVELLTEFTSVNAIFEVFLMDKDGQPCLQDTHWSCFHLFNRDKNAGTGWSQFISRSDLEEYCLTEGHITLICAIIVIRDIPSPVLGSIPFPDSDIGKHLGALLDSTDGVDVSFTIDSETFHAHRVVLAARSPVFKAELLGSMAEATLSSITLQDITPATFRLMLQFMYTDALPELGDSPTETLQHLLAAADRYALDRLKHLCAQKLWDNVSVDRVATILACAEMYSCLELKNKCLDFFAAEKNFKKAVLTEGFVKLVQQFPSIIVELREKAGT >KQL01048 pep chromosome:Setaria_italica_v2.0:VI:7586643:7589290:-1 gene:SETIT_015411mg transcript:KQL01048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHEGYMDYETREREVDREARSKEQQHHHLGGRRPDGSRSKGGKDVANGHDRRRSPPPRRHLVSRLGDREPGEVLSGSASDDSSGRPHGGRRENGIPSSSREGKSTAVGAAALSLSKKRKFSPIIWDRDSLKPPHSDAGRGKKVVEYVPAELPPPSPLPPKDHVPVRLAGEKSPMDVEPTVGTESAELLEHEENKVAEEEKEYLTARNISASRWVDANDDEKDGAAPMKKKSASPADSSVPSQWKRASPELGEVVVSDIYRGRTMSRSSNSGRMGNDEKEDFGVDEDDYIDVDRGQATDSDARNRMSDTDSEDEARRPETPKPVKAPHRCINMLQGCRSVDEFERLNKINEGTYGKMEKEREGFALTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQNEVKCLMLQLLEGLKYLHDNWVLHRDLKTSNLLLNNRGELKICDFAPELLLGTKEYSTAIDMWSVGCIMAELLDKKPLFNEKIEKLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRQILSEVGFDLLNNLLTYDPDKHISIDDALKHKWFSEVPLPKSKDFMPTFPTLNELDGKIHGHIKRYLKSPDPLEEQHLKELQGNIGNRRLFG >KQL02893 pep chromosome:Setaria_italica_v2.0:VI:35156279:35159679:1 gene:SETIT_015807mg transcript:KQL02893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGQSKVPRGEGASAAVAHCRDRSELLAAAIASRYALADANRAYAGSLSATGAALHDFLRAVLDATPPPPGPGAGDAPREGDDDDAAPHAATASPDASEDDDDGGGGGHICFPSPSDEASDDDGGGDTMSTSDDEAEPPLPRPDALQPVAPAPRPSRAPPQPLQLVPQYDPGYPPQFGSLYPPPYSYGPVPGPAFGYGGGYGADVMGGYGQSSYTYNISYTQRQPPPLSSTSFEQPPQATDATVSYYTYQYHGEAVPSSHYGGSYYYPYPYPQGGGLPPVPAASSGHLAAPLPSPSPPRVPSWGFLDPFEALESYYQEHPVPPAARAASSRSLGDVGEEDEGIPDLEDEESGVVVRDAHAGDECTRVNAKFEEVHRKSESSDGSGSSEEETEGHVEFRSSTMDGVEESVVVEVEEQLNDDSGVADEPPAVPEIKTYTSDVEVAQEIKLQFDTASKSAGDVSKMLEVDKVPYYKKKNSGLKGSWRLLSNTLAFAVPSMVICGQPSKAKAIMQYEEEKAMESGNLSSSLQKLYIWENKLLKEVKAMEKIRGLYDQKRKEQKRLYYSGAESHKLEAMEICVKKLSTKLTIAIQIVNSISKNINKLRDEELWPQTHEIIKGFMQMWHTMSECHEMQCHVLSHAKNIDSTMAAARINEDHTDLIKYLEVQLLGMTANFTGWFDAQKSYAILLNEWLKKGIEYEPEVTDDGVPPFSPGRLGAPPIFTVYNNWATSMARISEAEVVGAVQALASNVLGLWEKKIAGVSSSAQAAEAGLQSCMARVFEAMESFAAA >KQL02420 pep chromosome:Setaria_italica_v2.0:VI:32409351:32409740:-1 gene:SETIT_014977mg transcript:KQL02420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRTPPATSMRAISTAAAAAGGMLRARLRSASRVRGGGEGAGRWTTPGHEERPKGYLFNRPPPPPGESREWEDWELPCYVTSFLTVVILGVGLNAKPDLTIETWAHQKALERLQQQELAGAGAASDGDAE >KQL00625 pep chromosome:Setaria_italica_v2.0:VI:3552259:3555884:-1 gene:SETIT_014374mg transcript:KQL00625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSNPPPPPPPGSSAPAPAGGSYFPLPFHLQQHQPQPQMPPPPMPANSYQQYQQQLHQAHQLFQRDAQTITPEALQSVKAALATSDVLDPTAAASARPSDPSTSKKPIPRRAAGQSWEDPTLTEWPENDYRLFCGDLGNEVNDDVLSKAFSRFPSFNMARVVRDKRTGKTKGYGFVSFSNPTDLAAAIKEMNGKYVGNRPIKLRKSNWKERTDVEALERQKNHVQRKPKMHKKGILHK >KQL01497 pep chromosome:Setaria_italica_v2.0:VI:20650537:20651472:1 gene:SETIT_015618mg transcript:KQL01497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASVPVEEALVAGKITPPPVAGGADFVAAAAAAFVAALAVAASFVLVSFDARARQGRLRRVLDLGSSLRGPRLLLAFFAGLLAVAEALRLPFFGRAAMLPPRRHAMPCLAYPLVAHGIAEPGMLASVLLLLRASVGGARLPATSAVAVPLACLPFLTAHVLVLATPAAVLAYPGQLAHAADSAGHCAYPTYAATLLLALVAIYVPLLATACWDVAAVAINRRLRARAYALVTFAVAPLPVQVLALGLTSVWDIHQYTSPTVGLVGFLAVAVAAEATLIILVMLPVHDALVLDDQLPAVATASEDARDLAR >KQL01601 pep chromosome:Setaria_italica_v2.0:VI:22655164:22658681:1 gene:SETIT_015450mg transcript:KQL01601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRITIYNASFLPPLSFIFFSLLALCPNLHASSGTFNESDALLCLKSQLRDPGGALASWRNDSPAFCEWHGVTCATKQNASRVIALDLESEDISGNIFPCVANLSFLERIHMPNNQLNGHISPVISRLTWLQYLNLSMNSLSGEIPETISSCSRLEAIDLYSNALQGEIPPGLSQCLSLKSIILSNNNLRGSIPPELGLLPNLSALFLPSNYLTGNIPEFLGKSNYLTWVNLQNNSLSGRIPAALFNSTTLSYIDLSNNNLSGSVPPFRQWQASSSVLQYLSLDKNKLSGEIPHSLGNLASLSSLLLSHNNLHGQIPESFSNLTSLKTLNLNYNNLSGTVPPALYNISSLIFLGLGANQLVGRLPADIGNTLTSITNLILEGNKFEGPIPSSLDNASNLQVLNLRSNTFAGVIPSLGSLSKLSYLDLGANRLEAGDWTFLSSLKNSTQLLQLWLDRNHLQGVISTYITNISKSLKVLVLIENKLTGPIPSEIGNFMNLTVLQVDNNLLSGYIPDMLGNLRNLSILTLSRNKLSGEIPQSIGKLDQLTKLNFGGNDLTGLIPSSINGCKRLTTLNLSSNSLYGAIPEELFSISTLSEGLDLSYNQLTGDIPLEIGRLINLNLLSLSNNQLSGEIPTTLGQCLLLDSLHLEKNFLKGSIPNSFISLQGISEMDLSQNNLSGRIPEYFESFTLLRFLNLSFNDLEGAVPGGGVFANASDVFIRGNSKLCATSPIPHVPLCRTSAHKRKSTKYIVSVVVPLSTMVAAIAACVAVIILKKKRQAKTLTDQSLKQFKNFSYTDLFKATDGFSPNNLVGSGRFGMVYKGQLKFELCAVAIKVFRSDQLGAPNNFLSECEALRNTRHRNLLRVISVCSTFDPIGTEFKALILEYMGNGNLEGWLHPEEYRKSTKGPLSLDSRLKIALDVAAALDYLHNRCAPPLVHCDLKPSNVLLNDEMVACLGDFGLAKFLSSDSSTSSIAGPRGSVGYIAPEYGMGCKVSIEGDIYSYGIMLLEMITGKRPTDEMFKDGINLRGFVKSSLPLKINEILEPNLTRYLEGEDIDQVMGGIQKCALQLANLGLVCSEMSPKDRPTTEDVYAEILSIKEEFSAKLDTSVVVSLLHHGCV >KQL00240 pep chromosome:Setaria_italica_v2.0:VI:726550:728284:-1 gene:SETIT_014227mg transcript:KQL00240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRRSRSVKLVSARASKALEVDIAESDPRMSSSADNTVYCCIAKGRRVIYCYNSKDGDPETEAAAALCLEHSPPHHRHYVHTSGSRSYGYLMADGHTFFAIIDPSVGNAGALQFLERVRDVFRNSVNRNGFHDSLVPAVQRLVASLEKMPHAALVLEESAERGGTNEGSGCTSSKVPLLGKSGSRKEKKKKSKDKLASTGDGEDERHGTRGVRIDVPAEEVGGMSLERSLSQSRLRRQQPSRSLWMRHVKIIIVVDAVICLLLFAAWLAVCKGFRCVSS >KQL00564 pep chromosome:Setaria_italica_v2.0:VI:3036747:3044642:1 gene:SETIT_013168mg transcript:KQL00564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPAFYRWLAEKYPMVVVDVVEEEPVEIGGVRVPVDTSKPNPNGLEFDNLYLDMNGIIHPCFHPEDRPSPTTFAEVFQCMFDYIDRLFVMVRPRKLMYMAIDGVAPRAKMNQQRSRRFRAAKDASDAAAEEERLREEFEREGRRLPAKQQSQTCDSNVITPGTEFMAVLSVALQYYIHLRLNYDPGWKQIKVILSDANVPGEGEHKIMSYIRGQRNLPGFNPNTRHCLYGLDADLIMLALATHEVHFSILREVVYTPGQQDKCFLCGQVGHLAANCEGKGKRKAGEYDEKGDPIVPKKPYQFLNIWTLREYLEYEFRMPNPPFQIDFERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFPSMGGYLTDSCTPDLDRVEHFIQAVGSYEDKIFQKRARLHQRQAERIKREKAQAKRGDDLDPHVREDLIVPVANFRGSRLASGAVPSPYEQNGAHSQARKAARVSSPGSSIAAAIVEAENDLGSQERENKEDLKSRLKDALREKSDVFNSENPEEDKVKLGEPGWRDRYYEEKFGARTSDQMEEIRRDVALKYAEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKSLSQLNITFELGSPFKPFDQLMGVFPAASAHALPLQYRQLMTDPSSPIIDFYPTDFEVDMNGKRFSWQGIAKLPFIDEDRLLAEIKKVEHTLTPEEAMRNSTMCNMLFVNGSHPLSPYIYSLNSKFGNLPDKDRNEIKEKLDPSHSGGMNGYIALCSGDPSPPVFRSPVDGLEDIMDNQVICSIYKLPDPHKHIARPPAGVIIPKKSVEAGDLKPPPVLWHEDSGRRPHDNNNRRPYDNNNRRPYDNNNRRPSDNSSRQNPAGALSGHQLGEAAHRLVVNSLNVRGGGQHNAPSMPYQTIMNGTNYPNGRHHMGNQGVPPRMEQPAGQGWYVPGDNLTNGQAAYGHYQHERPGPSRYERDNHGRPHYSPYARDNHHDSRGRVPPPPGYHQNHGNSHSAASSAGPGRYGQPPPAYGGGYQPAPHGAQQWQQRPYGGGAPPTRPNSQQSQNRYGTLDKGSNKRSHGRH >KQL02668 pep chromosome:Setaria_italica_v2.0:VI:33863517:33863967:-1 gene:SETIT_015898mg transcript:KQL02668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFASSINQIERGVGISGDHLVISYAWHQSITH >KQL02907 pep chromosome:Setaria_italica_v2.0:VI:35209935:35213241:-1 gene:SETIT_014438mg transcript:KQL02907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLQSEVLKEAISQIVGDSKEKNRKFTETVELQNWSEELMTRRRTSVSVAPLSCPTSPAPKMKVCMLGDAQHVEEAEKIGLDYMDVEALKKMNKNKKLVKKLAKKYHAFLASEAIIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNETKATVKFQLKKVLCMGVAVGNLSMEEKQIQQNIQMSVNFLVSLLKKNWQNVRCLYIKSTMGKPYRVF >KQL00578 pep chromosome:Setaria_italica_v2.0:VI:3216124:3216869:1 gene:SETIT_015262mg transcript:KQL00578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPKSQNACPWWVAVPRVFSSGFSSLPVLLAPVSIMSTYKTKGKPPASLQFPPVFRSNSTLAFPCFPRLLLRSRAAPTPMPMSLTRGSSRAMYTGLIILLLAVGAGINFGDCDGLSGPLLSFLGVVAGANVIAAGVRTADDPAAPIGPAPVAFAGARAFMRRNLAVVGLVMVSSASTAVAGETGPAFSFMMFVLLVFGVSLINIGVHGA >KQL01493 pep chromosome:Setaria_italica_v2.0:VI:20550921:20552071:1 gene:SETIT_015789mg transcript:KQL01493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTCKCSQKLLVEIISVFNQEQKDAVEKAGFSSLLKLKDIEIRRELCKEIADSFDLDKEEFNIQENKEPPKKHVPGLFDKYTWNDCTKIHSSELREYLSKNKTYGDEFICIFVLYTIGFYLCPTLQPYVKSDYLGLVEEIDNIKNLNWSSLVLNLLIRSIWEYKEVKAANLKGNLVLLQVWYWEKIVHDITRHKHNNSCSIPQCHTTSEQSDKGQADSNHKIMQELQEFITNQYRLLSNQIDDRFNALNKRFDDVIQEQR >KQL01642 pep chromosome:Setaria_italica_v2.0:VI:23969132:23974509:-1 gene:SETIT_014882mg transcript:KQL01642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSIEFFEGPPSFSDLVDRVMRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVAVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEVGYMVNAEGTSVGETPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVPKREHVQCTAKYLGRCILGIIRKDNETSVPSLVESIFAFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWCIDSCGMMHPDNGVLKHILQRVFWCFLECSEAFQHCRPVILVDGTFLTGKYKGTLMMAVGVDPEQQLVPLAFALAESENNESWSWFMKLVRLHVLGPSRIVCMISDRHHGLLNCAQDHMDGFPPLVHRWCTRHFAANMSQREFSEKLEDLVKDLNDDAKEWLKGEMEDKDKRAQAFDEGGMRWGIMTTNYSESLNAVFKGIQNRPVAGRHVNFVNRWQKAREMLDEGYRIGKVADDYLSEAKLRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHVGRHYRVDLNEVSCTCNMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRQKKRFTGDMDIRILIMAALVYPLLESAYDLQHRAHHLADLNENLTPLRARVHSPLRRDERYAEYLQRAGFLDLAVQVVGGLPLMDGPLLTAMVDRWRLETHTFHLPFGEMTITMQDVAMILGLPLDGQPVMGIIQNENWCDMVEMHIGIRPPEPEDGDNSKKTSGPWHRDDARPTFYHVWKHVRPVHGNPDRRYRAYTNEFDVLTQHQVICSPYFFKIVFSPTCYRDRELWRCTTLMILYYIVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHRIDRRKRYKENDWRVKHGQYLIMWQNKQGCDPKGGPWYCTSTRTKVKPSWSNVPIEDAPSESDADIADVYDTVTRYGTQPECAPLHDYMRVRKSCRRMAMRMNFMSSSDVHHGGNGQGTSSGSRRTPLATTPRAATPSTAAGPSRRSRGKEPASPQASEDSEGEQSEDDDPTYGEELEISGMINAPLVTQTQGESIQ >KQL01803 pep chromosome:Setaria_italica_v2.0:VI:26397426:26398612:-1 gene:SETIT_015542mg transcript:KQL01803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKFNGEWSASDIRMVKSLIASHDANNNYANDTNKTHNAIVNELQAWFPQKEKSQVIELYVDLLVEMMLQAQSGNHSVVAISNLVNDNSGIPMEDPPMKNMDMLLASYLKDKTPEAMGMVEEAPQRQVIVPRQKRQHNEGSWTIEEHRQFLCGLHEYGRGNWKNICRDFVTTRTPAQVSSHAQKYFIKMKCTSEKKRYSINDVGLYNAEQWAHNNSFSQDPPAFGDAHNPNCYGSSNQIVPMNNVAPASSSQVSTLAGQQLGASSSPALALEGVGSQMALTGNQQGDFLPEQWMDIDNM >KQL01815 pep chromosome:Setaria_italica_v2.0:VI:26593208:26593919:1 gene:SETIT_015641mg transcript:KQL01815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPSITPLNGYVSYLRWKESMLLLLNTAGVAHILSEDPPSSSGDGASPEAAGRRRPGNGRATTRTGRALWRAVARTYDVGTPPSVSWRKFTEFEFRLDGCGGGGAPPPSFLEQLAHAEALGLAGQPSSHRDLVDYTLGKKLPPDVASRATVALSDGSEDELNVRAAMAEDEEKGWVCCNFGNNTGSRNSRA >KQL01466 pep chromosome:Setaria_italica_v2.0:VI:20169139:20169821:-1 gene:SETIT_015873mg transcript:KQL01466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAITSIRKIINSRIPCRMSCLISIFLNTYAPMFGDLLICNLIYSLYIRH >KQL01940 pep chromosome:Setaria_italica_v2.0:VI:28249197:28250607:1 gene:SETIT_015514mg transcript:KQL01940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARNDINMDRSDEILMPGFRFHPTDEELVSFYLKRKIQQKPISIELIRQLDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSVRPNRVTAAGFWKATGTDRPIYSSEGTKCIGLKKSLVFYKGRAARGMKTDWMMHEFRLPSLNDPSLPKRPIDKTIPLNDSWTICRIFKKTSSMAQRALSHTWGPPLPGATEAEMFAAFQSVQASEFALESSSCSLQAAQPAPASQFTSRHGLQGHQQNKVNNPSLDGSSCKLINFNCSQSLEPQNFPISSFPFEVQTSQKTTAAAPMFFSTQPDHQLSGFVVDSSADVNGGIGSRSQDSSTRKPGNGFSMNSSDWEAVGRINFPFDLGADSAEDWRCNIPWESFLSPAAVQTELPH >KQL00696 pep chromosome:Setaria_italica_v2.0:VI:4060785:4062696:1 gene:SETIT_015234mg transcript:KQL00696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAEDAAVREKAAAAQTEWYDPMSPPAPAPQQQPAVEAAAGTSPSVSSDARPLRVYADGIYDLFHFGHARALEQAKKSFPNTYLLVGCCSDEITHMYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVINQEFIDKHNIDYVAHDALPYADTSGTANDVYEFVKAIGKFKETKRTEGISTSDIIMRILKDYNQYIMRNLTRGYSRKDLGVSYVKEKQLRVNMGISKLREKVKEHQEKFHSAAKIAGSNPVEWMENADRWIVGFLEKFEEGCHMMETAIKDRIQEGLKRQGRSESNLSGEDSDS >KQL00272 pep chromosome:Setaria_italica_v2.0:VI:913842:914961:-1 gene:SETIT_015709mg transcript:KQL00272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRARILAALYFILTISPAISDMTDSIEMMWGNTQVLYDSAGHQIMSLTLDRWTTSAFRSKSQYLFGRFDIDIKLVPKESAGTVTTIYMVTEGPWQYHDEIDLEFLGNTTGEPYTLHTNIYAKGKGGREKQYRLWFDPTEDFNTYSIIWNPHIILILVNGKPIRRMKNQMRDDTPFPLFQPMRMYASIWNADEWATQGGRIKADWSQAPFTAFFRNYTANACVPYNRAWICGQGSGDSSWFNQELDEEGNQKLKDVDDKNKIYDYCTDSRRFPNGYPPECASQ >KQL00508 pep chromosome:Setaria_italica_v2.0:VI:2675014:2676333:1 gene:SETIT_015353mg transcript:KQL00508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLAWPTITNSMAAAAMFSKLSDGFSKYVCGILPPTTTADEDRYRAGLRSLFLLSPSPERPPRRTPSPSPSASVRTEALLEDDDGDETATMPWGTEDDDREEEMASTLPCLAFASEHGYRVFSLAEMRLLDGDADAPPPMPPVLGRRLVPSPYGGTVLATDVCYRHPCHLVDPFTGERAPLPDLPIPFSESEPVKYHPNDFPRPHRARVTDDGLAWDWSPRGVMVARGDTAFFCAHGGDGGEWTPVHQAVRGSPMTVNYRAGRFFLLELRSLVTTVIDAATLRARATIPAPAGLRDADAAYLAPSDDGGAVLLVHRAGEDGRGVLFTEAYRARDSRGSPRWARARDIGDRAVFVDGAHAFTVAAGPAGAGALANRVYVVLANRVERPCGRVAVAYDVGCSHLGRPELMGRLRLDVGEVEPMWGQPHWIIRRDGSGRHA >KQL00918 pep chromosome:Setaria_italica_v2.0:VI:6524773:6526964:-1 gene:SETIT_013595mg transcript:KQL00918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHRVATDDSLNPSSSHIDDLPEEIQTLILSLLSLKEAARTSIVSRKWRPLWTRHPNLSFDGTRNRSNDEDCVKIERAEFIETVNSIVQQHSGVGLNRFCIRCGIEEESSDHLDRWICFATAARAKTIDINLLPKGCIRRPTKGDYHFPLEALGARDGPFIQSLLLTHVSIEPYSDVCGFTKLRRLLLHSVQITGDLAGLLLNCSSLEDLELIACSGLTDLIIPHQLDKLRHLLLSDMCVQMVDFHVPGLAHFNYKGDVVPIALHGCSKLEKAIIMFKNYKVLGHAFTAIHGISAVKVLNMRAAMQEDHPVWGSQVQMVTRPTCMFMSLRHLTCEIKIHTYRPNSHSGLLQLASYLEFAPQLEILQVHMFYYKFSSSCWKGEVTGKGISFMHGLDHLKSVYMSGFRGFRAQVEFLCGILAKGDALEHVTIEPQVKLRCARMVNVFILEWEINEWARRLSERFGKAITVAPPVREPLGLFASAYKPAEKLKRLICCERKIPFDG >KQL01761 pep chromosome:Setaria_italica_v2.0:VI:25613956:25616165:1 gene:SETIT_014914mg transcript:KQL01761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYATKPLSLFKSQPEASLEPPPEGRNSGYLVVKGAVDEETRFWGLLPDRRVRELPFPQDRVLKVRYTVGAGENRSTHEEAVVFVPVPDQPLASNRYYAVIAKGKRKGLVRACSREEDMATCCFCRCISDVAPRPFDPADVYQQVEIVQRRRGWFTARAVAADAFPSSTLRHKYWEVYASKSKKFDLGEALGLDAAALRTRQLAVADTFPVAATAAAVGKWYAPFYLVKEAGVTPREQMGRSASTRAAATGQMVGVCTSMWERMRWEQCRGGWVDEEEDAGKVAGGSVLAERFADVAFDFVHLNKVRANQL >KQL00886 pep chromosome:Setaria_italica_v2.0:VI:5987057:5988082:-1 gene:SETIT_014905mg transcript:KQL00886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTSKLPQIATILLAVLLLLPSAAMGKAIDATKTQRLDLPDGLIGPESVAFDRRGAGPYVSISDGRILKYAGKSVGFTTFAYSPSYIKNNCDAPSELPSVATESSCGRPLGLRFHKNSGDLYIADAYMGLMRVGPNGGEATVLATEAGGVPLRFTNGVDVDQVTGDVYFTDSSTTYSRAQHQMVTASGDSTGRIMRYNRRTNKVTVLQSGVTYPNGIAISADRSHLIVALTGPCKLMRYWIRGPEAGTSELFADLPGYPDNVRPDGKGGYWVALHREKYELPFGKDSHLVAIRIGAEGEKLQEMRGPKDVRPTEAVERGDGKIYLGSVELPYVSIVSSV >KQL00712 pep chromosome:Setaria_italica_v2.0:VI:4227916:4231269:1 gene:SETIT_013969mg transcript:KQL00712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTNAIHIIPDAAGPDAWTNAAPSAAGGDSAIWATEDDYRQWSADPGYGDRNPSSRAGSEQPPPGKKARGGGGAGGGAGGGDGGGGSSTSKSRAIGKMFFKTKLCCKFRAGTCPYVTNCNFAHGMEELRKPPPNWQEIVAAHEEATEQREEHQIPIMTSGSVVAGDGGGAGSQGGRAYKGRHCKKFYTEEGCPYGDACTFLHDEQSKARESVAISLSPTVGGGGYNAAAAANGAMVQKPSNWKTRICNKWEMTGYCPFGSKCHFAHGAAELHKYGGGLVDIDGRDVASTPDSKQAGASAKAPADSAAASTAIPPHADVYHLGIQSQRSTNLNQRSGQVQRPIQKWKGPDKISRIYGDWIDENE >KQL02880 pep chromosome:Setaria_italica_v2.0:VI:35083140:35085881:-1 gene:SETIT_013585mg transcript:KQL02880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGGGGEEALREALLLAPPAGDGNGNGKVEKQVEDLEEIRSVRAFLRHAAEENRRLWSLAGPAIFTSIAQYSMGAITLVFAGHLSTLELDAISTENNVIAGLALGLTMGMGSALETLCGQAYGAKQLHMLGVYLQRSWIILNALAVLMLPLYLFAAPVLRFFHQDAEIAALASRFALYMIPQLFAYACNFPIQKYLQAQGKVMAMAVVSAVALVFHVALSWLLVGPMGMGVVGLAVALNASWWFVVLGQLAYILMGYCPGAWNGFDWLAFSDLYGFARLSVGSAVMTCLEFWFYMFLIVIVGNLENAQVAVAAVSICTNLFGWQIMVFFGFNAAISVRVSNELGAGRPRAAKFAILVVLMSSVAIGLAFFAAILALRDVYGAPFTESPEVVRAVARLGVVFAFSLLLNSVQPVLSGVAVGAGWQWLVAYVNLGCYYLIGIPVGYLIAFPLRGGVTGMWGGMLSGVGLQTLILVAITMRTNWDREASEAHSRIQKWGGSAAVKALGE >KQL02636 pep chromosome:Setaria_italica_v2.0:VI:33657617:33661555:1 gene:SETIT_013470mg transcript:KQL02636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTVAPCLARHGDAAVPCPPTGCAGSPSMGMAADGPAQSTTGGKLTRGTTSLHGLLSMRRGHRLQLDKPPPLAPSTCSLSLPPAGCDQEMLREAEAHMRGVRRPLEGGRARRGGRRGAVAAGGGWVDGRERARGRRRWGRSGEEVKRKREPTSRPRRWLSRCHRLVSSPPLFLRSGEPKRAGSSEATHEMPGLTAPSSYAEEPPRHPALKINSKEPFNAEPHRSALVSSYITPVDFFYKRNHGPIPKVEDLSRYSVSISGLVNKPIQLSMADIWALPKYNVTATLQCAGNRRTAMSKVRKVRGVGWDISALGNATWGGAKLSDVLELVGIPKLSSVSSLGGKHVEFVSVDKCKEEKGGPYTASIPLKQATDPDADVLLAYEMNGEILNRDHGYPLRVVVPGVIGARSVKWLDSINISEEECQGFFTQKDYKMFPPTVDWDNIDWSTRRPQMDFPVQSAICTLEDVDVIKEGKARIAGYALSGGGRGIERVDISVDGGKTWVEARRYQKDNVPYVSDGPQSDKWAWVLFEATLDIPANAEIVAKAVDSAANVQPENVEDIWNLRGILNTSWHRIKIQNSSCVGRSKL >KQL02203 pep chromosome:Setaria_italica_v2.0:VI:30862323:30862828:1 gene:SETIT_015960mg transcript:KQL02203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLSYIVSLRATNQVLPTAGFWSLLRYMFGMFFLLFGKRLGELHWCRE >KQL01184 pep chromosome:Setaria_italica_v2.0:VI:10333949:10335089:-1 gene:SETIT_015280mg transcript:KQL01184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPLRTPRTTSSSLVYPKAPNVHESAEKIVLGAAKFVLGLSSYINGMLLKQCSGFLIDWSENSKLATVFTSAREQEYAPDAEVRVHLDDDVAQKGQLMYYHKHYGFALIGVHMDQPDLLASFSSEEVRLAQDVFVLGGESHGCCTGGPVVDFNGVVMGMENRNGLIPCSILNKCLHLWRRLGCIPRLRLGLKLSAIKFLGICPQVEKIYRMSNIVDTGLIVEEVSAGSIAENHGVRKGDIVKSLNGRCVDTTAALEHMLLSICVDHFDKGHGLDSSMDVTVGMFFTGGGVHGTIKFTAIASNGVEIFAKGTDTVSDNEDHNPTSMSPDEANEDDIW >KQL02290 pep chromosome:Setaria_italica_v2.0:VI:31506915:31507638:-1 gene:SETIT_015554mg transcript:KQL02290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPQKKPASNGRCRDGEPHVLLVPYPAQGHMLPLLDLAALLAARGLAVTIAATAGNAPLLQPLLAAWPSVGVTALPFPAATPLLPAGGGENTKDLPRHLFRPFMVSLAALRAPLLAWCRAQHQQGRSVTAVVSDFFTGWTQPLAAELGVPHVTFSPSCALHLAMSHSLWRHLPSRRRPGDAHEAVTFPEIPGSPCFPWRQLSGLFRQYVARDEVSEAIRQLFLWNLDSACFVVNSFARS >KQL02999 pep chromosome:Setaria_italica_v2.0:VI:35731945:35732609:1 gene:SETIT_014650mg transcript:KQL02999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFNVCLARRLLLRGVCSRRRCTGAGAGAGPVARGERCVRRTLSKKAAAGAVAAVALSLSVDGATGGCDTGLKLPSSSGRQFGQRQHGEEAAGSRVAAPWSPPHSLDELSSWCCCCRRSRSQLMAL >KQL02272 pep chromosome:Setaria_italica_v2.0:VI:31354612:31355675:1 gene:SETIT_014651mg transcript:KQL02272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERARGLTHTRSRARCSSQPPASAMRPGSAAARVFLTAAVFLLALLGLVLEAACLPRPQSHTPRSSPLQGGAGPAREEKVRLGSSPPSCRGKCYECSPCTAVQVPTMSVGPSGPSAARREPGSAAR >KQL02715 pep chromosome:Setaria_italica_v2.0:VI:34130308:34132669:1 gene:SETIT_013781mg transcript:KQL02715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSAFVRLIVTLLSAAAALPSEALNVRGQLLKSQTFLSPPIFLRPGSVSNKWYHDVAFPRGHIALKSFNAEVVDDHGVPVPLHETYLHHWVVEPYYALKDDAAGEARNRSKMIQHRNSGVCSKTLPQYYGLGSETRHTATWVPDPYGIEIGDPAAAPEGYEERLRVNVHAIDTRGAVDKLGCTECRCDLYNLTVDESGRPVADDYVGGLLCCYDETRCMVEEGFVDVEARKVFLRYTVVWQDWSDAVLPVKIYIFDATDRALLEGKTETACRVEYVVEECSSENRAKNDCVHVQMAKQILPRGGDIVFGVAHLHSGGIAASLHGEDGRLLCESTATYGDGQEAGNEAGYVVGMSTCYPKPGAVTVRDGEVLTVVSNYSSHQQHTGAMGQFYILVAEHGQPQQQQLPAAAGKPGLCFSFPVSWCLPSWLSSNL >KQL02716 pep chromosome:Setaria_italica_v2.0:VI:34130308:34132669:1 gene:SETIT_013781mg transcript:KQL02716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMSAFVRLIVTLLSAAAALPSEALNVRGQLLKSQTFLSPPIFLRPGSVSNKWYHDVAFPRGHIALKSFNAEVVDDHGVPVPLHETYLHHWVVEPYYALKDDAAGEARNRSKMIQHRNSGVCSKTLPQYYGLGSETRHTATWVPDPYGIEIGDPAAAPEGYEERLRVNVHAIDTRGAVDKLGCTECRCDLYNLTVDESGRPVADDYVGGLLCCYDETRCMVEEGFVDVEARKVFLRYTVVWQDWSDAVLPVKIYIFDATDRALLEGKTETACRVEYVVEECSSENRAKNDCVHVQMAKQILPRGGDIVFGVAHLHSGGIAASLHGEDGRLLCESTATYGDGQEAGNEAGYVVGMSTCYPKPGAVTVRDGEVLTVVSNYSSHQQHTGAMGQFYILVAEHGQPQQQQLPAAAGKPGLCFSFPVSWCLPSWLSSNL >KQL01669 pep chromosome:Setaria_italica_v2.0:VI:24621345:24626456:1 gene:SETIT_013232mg transcript:KQL01669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRRSRGLARFFRQVHALFLKNLSFQRRNARTNTAIAAFPVLLCVLLVSIQRVVDSELARPPFQCGCQGTQCGVQYSTPIQALSCAVPVPPRWPALVQVPDPEARALTRLHRRPCNSSEKSCPAAVLLTGRNRQLAQGLGGLLFPPVPAQYALAPDASNSSDYLDEFSTVVTGSNSLPAHVLFVEPGLVPQETLYVLQPQCQFDSRNVSENFDGIQLESGYDFLDTNKRRLHVYVWYNSSFSRDNGHHSMTVLRVARLVNMASAAYLNHFRGQNVEMRLEYLKEMPKAAVPMRLDLTTLLDALFFTWTVQLLLPVMLTYLVYDKERRLRLMMKMHGLKDAPYWLISYAYFLSLSTAYMMFFMISGSVIGLDIFRLNSYSIQSLFYFICINLQIVLAFLLASFFSSVRIATVIGYIYVFGSSLLGEALLKIFIEDATFPRMWLVAIELIPGFSLYRGIYELSEYAAAGRNMGKPGMRWADLNDPVNGMKDVMILMSIEGIILLLVAFLLGHRPAWQPLFVFGFLSTNHSSPSEKPNKLKRGSRRVHVDMTKPDVFLERKVVKRLLKDMDMSNLIICHNLKKVYPGKNGNPDKHAVRGLSLALRKGQCFGMLGPNGAGKTSFINMMIGLVKPTYGTAYIHGMDLRTDMKEIYANIGVCPQHDLLWETLTGREHLMFYGRMKNLTGAALTQAVEQSLKNVNLFHSGFGDKSVSKYSGGMKRRLSVAIALIGNPKVVYMDEPSTGLDSRSRNDLWRIIKQAKKDCTIFLTTHSMEEAEELCDRIGIFINGNFHCIGTPKELKARYGGTRILTITTSPEHEEAVEQLVSWLSPRYTRIYSVLGTQKFALPQQEVGLGCVFGAVEAARRAFPVLGWGVADATLEEVFVRVAKEARAFDVLS >KQL01949 pep chromosome:Setaria_italica_v2.0:VI:28415075:28416668:1 gene:SETIT_015706mg transcript:KQL01949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLERKMQPPLPPPEHRRALRFVVFLAVSLLAFSCWALVNSRINDAIPDSAVLVRDADDKTAPALTGDDPAAAVPVGGAATARAANLSDPVIRVPPLVRGGGGEGEQRISERCDADLAALRVYVYDLPAEFHFGMLGWDGGKGRLAAAWPDVGDARAAPHYPGGLNLQHSVAYWLLLDILSSSTPPPGRPCVAVRVANASLADVFFVPFFASLSYNRHSKLRRGEKVSRNRALQAELVKYLSRKEEWRRWGGRDHLIVPHHPNSMMEARKRLSAAMFVLSDFGRYSPDVANLKKDVIAPYKHVVRSLADDESPAFDQRPVLAYFQGAIHRKAGGKVRQKLYQLLKDERDVHFTYGSVRRDGIRRATAGMSTSKFCLNIAGDTPSSNRLFDAIASHCVPVIVSDDIELPFEDVLDYSEFCVFVRAADAARKGFLLRLLRGISREEWTRMWGRLKEVARHFEYQYPSRPGDAVQMIWGAVARKMHSVKLQLHKRGRYQRTGSES >KQL02804 pep chromosome:Setaria_italica_v2.0:VI:34684957:34687515:-1 gene:SETIT_013350mg transcript:KQL02804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLINKYLRSKNAPAGGGGGGGGGGDEMAPAASNREHRDRDRDRDLYLCLPGCVPVRAKRGSTTAASAATVTTTTRTSRHNFVKAAASGLLAGAQFTNHESLPPLPDAYAEFAAAFPLYGALARADAIRDEEYPHLDRHVCLDYTGINLFSHAQMNSSLPSTSAPPASAASVAWQPPFFDIAYKSASLRAQVQCGDAATGVGAAVTRRIMASLKIPEDEYAMVCTANRTTAFRLLAESYSFQPGKQLLPVYDYESEAVAAMADSARRRGAEVTPASFAWPSMRIHGADLRKKLCRGRRRGGGRGLFVFPLASRMTGARYPYLWMSAAQEQGWHVALDACALGTKDLDTLGLSLIRPDFIVCNFFKVFGENPSGFAGLFVKRSTGLAALERSVIARSIGIVSIVPARRWSLHDGYSTELEHSRSFPKLADPPPPLDDADVETTSSFSGPLSSTAITRSMTLQPDDAAEISEENGFLYQEEPGAQYGGGHGAEQPAKEEEESAMIEVECRGLDHADALGLIAIGNRLRCISNWLVVALQKLRHPHAEGGGHQLVRLYGPRVKFDRGPSLALNVFDWKGERVAPPLVQKLADRHGISLTCGFLRNIWFSDKYDADRAAVLEHIGDDGGAVPVTPGKRRKDAAGDAGILVVNASLGFLSNFEDAYRLWAFVAKFLDADFVEKERWRYTALNQRTVEV >KQL02210 pep chromosome:Setaria_italica_v2.0:VI:30887935:30890776:-1 gene:SETIT_014548mg transcript:KQL02210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNGKKVVDMAVKAGKAIDWDGMAKMLVSEEARKEFATLRRTFEDVNHQLQTKFSQEPQPIDWEYYRKGIGSKVVDMYKEAYESIEIPKYVDTVTPEYKPKFDALVVELKEAEKASLKESERIEKEIAELREMKKKISTMTADEYFEKHPEVKQKFDDEIRNDYWGY >KQL00299 pep chromosome:Setaria_italica_v2.0:VI:1179251:1179901:-1 gene:SETIT_015038mg transcript:KQL00299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVKWGEVSMVEAERRLLAHALLDDPSNARFVLLSESHVPLFDFPTVHSYLINSTKVFLESYDQPGATGRGRYSRRMSPVVAAAQWRKGSQWFELDRGLAADVVADRVFFPVFRRYCKRSCYADEHYLPTFLNVRRPSAGANRSLTWVDWSRGGPHPARFTRMEVTVDFLRWLRGGAGSTCEYNGRTTDVCFLFARKFLPNSLTRFLRFAPKVMGFG >KQL01099 pep chromosome:Setaria_italica_v2.0:VI:8158751:8159668:-1 gene:SETIT_015507mg transcript:KQL01099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRANWDEATTKIFLDLCIVEKNQLNWSNKCLTKLGWQQVYRNFKQRTGLILGSKQLQNKWSTMRRSFMNWRDLQVQSGLDCDKHTDGVAADSTFWATDEGTSTAKPPPFLDELYMLFGHTTQDRGTLLTTGGVRVATPSMGTEDTPADILEDYVRKLSQTIAMRSQKRLSCEQEELDLAMWILEEDGIDEGSDLYCMVIYLCKNVVNRRDFIKMKTKESRLHWIQFNWERENK >KQL00584 pep chromosome:Setaria_italica_v2.0:VI:3268093:3277553:-1 gene:SETIT_0131131mg transcript:KQL00584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDKGERGHGHQHQHQHQQQHRKSQQSASAAELEEGELLNGEPDTNGLPERSMPPKKWRKVLAASTAAAEVEPGEIVSTKQAVPLKKARRNGEVEKGELVPERQRKEKSSGNSARKSSKDEAEPGEIAPPEKRRDGKSQRGDDNGRRPSSSVQKGSLRDSDEEPGEIKPESSNTGSVRKSRPAEPQSINHKHQADGSDQSGSKSRRKGEGRSSSAGRHLSGRNREVSPPARDRHDRHERSPGILGRFPHDRFRHDRYDRSPSRLERSPHRERVRHYDSRDRSPYISPRHRARQPHFRDNTPSRVDNSPRGRTQHEDFRDRSPFRHDRSPSERSRATDNHEAIKKNRNGSNSEKLQHKSKSVKQSSKTKSGSNGKTEEKISKEKAIESAQYTELPPPPPLPPPPPPPPPPPPPLPPVVPPPLPPPPEPEPNGVLAEDMIEDMDICDTPPHTSAAPEPLEQICDMGRWFYLDHFGIEQGPSKLVDLKKLVEDGYLLSDHLIKHADSDRWVTVENAASPRVPSDIPSLYSDASTQLVSPPEAPGNLLDEALEAASNLASGAEEKQMEEASAEDSEDFYIDDRVEALMDGSILVPGQELEIIGELLGADFKPADWERWSRHEDFTRFQVHHEGDDGINRGSEFQDNRPTDAYGLVSMEKNNFHHYVESSEWFSGRWSCKGGDWKRNDELSQDNPFRKKLVLNEGYPLCQMPKGSREDPRWHCKDELYYAVRAKKHDLPLWAFSSTEEDTDSVSDTCKSVVPGRPGQSRQPPRGVKGMMLPVVRINSRVVKDQSSVEPRTKPRGADRPLSRSSRSHSIGTERSSVHEGSSHFKKHHDHDSQGLHKSKSVPNIPKDRVCTADELSVNRGDWYYLDGTGHEHGPFSYSELQELVKKGTIVERSSVFRKIDNTWFPVLKDLKSLCSVPSVAQSSNSTAAHMQSDQYNVGVNQGSGSFHELHPQFVGYTRGKLHELVMKYFKSRELTLAINEVLDPWISAKQPKKEFEAYFSHNSASRNFLPEDGGSAKRAKLLPDQSDEDIHLSNDILASRKEDLCFEELCAGSAAVDDDSVNPSTENGSWGLLNGRVLARIFHFMRADVKSLISSAATCRSWNAAAKYYRDMCRFIDLSSVGALCTDSVFYDIMAGYEKQNIRTLILAGCSNLSSYALGKVLEQLPHISYVHIQGCSHLGDLKNKFQHIKWIRTSLNPEESHQKMKTMKQMGDGNNYPSKVAKNFTNQLDRSDELDGYFADISNRENANLSFGQGFYKRSKLLDARKSSAVLSRDAQMRRLMQRQAESGYRKMEEFIISRLREIMKSNRFEFFIPKVAKIEVRLKNGYYARHGFHTIKHDIRSMCQDALRYKDGNDSGDIKQIVVSLIQLAKRLGNPRYISERNGAAAQDSLDISQYSSDTKLKKKQNKTRGANSVAVGADSSRAVDREIKRSLSKLKKKNVDSGSETSDDDDGYSEGDETESETTFSDTESDIDVNSGAWDLKGNGLKLLEPSEPVTDDRILGGRMTKASLVPPVTRKYEVIEEYLIVADEEEVRRKMSVALPDDYSEKLLSQKNGTENLELPEVKDYQPRKVPGDEILEQEVYGIDPYTHNLLCDIMPADLELSPTDKHIFIEEGLGVVCNKKGGFGEDDFVVEFFGEVYPSWRWYEKQDGIKHIQNNSEDQAPEFYNIMLERPKGDRDGYDLVFVDAMHKANYASRICHSCNPNCEAKVTAVDGKYMIGVYTLRPIAEGEEITFDYNSVTESKEEHEASICLCGSQVCRGSYLNFSGEGAFEKVLMEYHGVLDRHSLLLQACEANSVSQQDLIDLGRAGLGTCLLAGLPGWLVAYTAHLVRFIYLERQKLPDEILKHNVEEKRQFLIEINMDSEKNDAEVQAEGVLNSRLQQIVHTLDKVRYVMRCIFGEPKNAPPPLVRLTGKSLVSAIWKGDCSIVAELLQSMEPHVEEEVLSDLKAKIRAHDPSDSDDIEGGIRNSLL >KQL01569 pep chromosome:Setaria_italica_v2.0:VI:21910926:21912000:1 gene:SETIT_014866mg transcript:KQL01569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADFFCVTECKGQKTIDGEQVPLVLAPTESTKGGNGVEALVEALRANREWVDGKVVANSGVLLRGFDVRDAVDFNAVVEALGWPDIRYVGPAPRTHIHGRVWTANEGPLEEFIYYHHEMVLIKEFPEKVILFCEVPPPSGGETPFVPSFRVTERALAEFPETVEELDARGLRYTFTALSKNDTGSMRGRGWEDAFATSDKAEAERRARALGMDVEWLPGGGIRTVLGPRKLTRVFPGRKGRRMWFNTVVGMHGKELSSATLADGSEIPAEFVRRCGEIIEEESIQFRWEKGDILILDNLATLHGRRPSLAPRKVLVATCK >KQL01367 pep chromosome:Setaria_italica_v2.0:VI:17792257:17792622:-1 gene:SETIT_015067mg transcript:KQL01367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRQIGFGASDLLLPCPGKAFYRSVPQLQHPRTQERNQLTEVSIVDFLYQGGLPFNVLFVTLLPLTFVITFVRWLLITIPQHHEHMCRTLQMSMCLCKYLALSVFAANFNCHALFLTWSS >KQL01557 pep chromosome:Setaria_italica_v2.0:VI:21666179:21667692:1 gene:SETIT_015993mg transcript:KQL01557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAATSPSVLPTGGGAVVAPSSRFHLDSVSSPPRHADSRCPRPRDGSARIHGGLIPSPCMTAPLASCKPHRGRRRVDYGHAAMVDGIWDADLGRSCTARRWLPPSTRRLA >KQL01558 pep chromosome:Setaria_italica_v2.0:VI:21666179:21670043:1 gene:SETIT_015993mg transcript:KQL01558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAATSPSVLPTGGGAVVAPSSRFHLDSVSSPPRHADSRCPRPRDGSARIHGGLIPSPCMTAPLASCKPHRGRRRVDYGHAAMVDGIWDADLGRSCTARRWLPPSTRRLA >KQL02613 pep chromosome:Setaria_italica_v2.0:VI:33542105:33545052:-1 gene:SETIT_015104mg transcript:KQL02613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLGDASGWCFCSGGAKLERIKSSLLAAKGAAVAAVSFPSGGGGGGGGGGGAGGKGGSGLLIHRGLLLTTHGTIPSAAAAGAAEVRLSHGRLLARLVPQRFFITSPILDLTIVGLDVVDGDSSSHGQQPHFLKTCLNPSLDLGSTVLLLGHNRRDLAVDEGKVVIATDNLIKFSTDEVLWHPGSAGFDMHGNLAFMVCDPMKIAPSTPNGYASASSTVLLASRKDVPTQFGIPIPAVCEWLKQHWNGSLEDVSKPMMTPARLTTSGERSGRSSFGHIRYIKTTEREGGDVLSSSQIPPRPTWQHGACSSASAKISHGEKDSTVSHSFHGQHELISKMCKPKNEQAASLMDISLPPGHSRSIRLPLPLKQMMPDENKNEANRPAPHGTRPSNVQINCGTLHNVAYQENCWSEVQSSSSPLAISELGDERDGFSSGEETMYSAETRESRNIPSPKDKKAEILGRSQSFVNHNKWDSPKSVESSKGVPSKSHTFIPLRKPHLQAAAISQKSQDYFSPTVSSNMKKRNLSQTPMKPRQRAQVTSKWIT >KQL00277 pep chromosome:Setaria_italica_v2.0:VI:972013:975597:1 gene:SETIT_013199mg transcript:KQL00277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELMASAATSVMGSVIGKLTAMLGEKYQLARDVEQGIRFLKDELSTMDVVLQELADKEDDQMDPVAKDWRNKVREVSYDIEDCIDRFMLNHSHGGSKANFVREAMRKVKMLWKDQGIAEEIQKLKILVTDQSERAKRYRIHHYLAASPQPVRLDPRATALFQEARDPVGIDGPREEIIQLLQVEENRHKVVSIYGTAGQGKTTLAMEVYRKITQTQAFDCRAFVSVSQTLDMKKLLRDILSQIVSTSEFDQLQSERWETEQLMRKMRDYLIDKRYFILIDDIWNVSDWELVEAALPRNDNGSRIITTTRSKTVAETCAGIDAQMYKAKPLGDDESRRLFFKRLFHSTEHCPQDLMAVSSDILRKCGGLPLAIISIAGLLANRSKTKEVWVNALKYISAAVDRDSHIDKMKRIFLLSYFDLPLYLRSCMLYLSVFPEDYLIDCRRLILLWVAEGLIPGQGRENMEQLGRSYLNELINRSLVQPIKVGADSATVKECRVHDVILEFIVSKAVEDNFVTVWNRNGFSENYSSNKIRRLSIQHDISRRPEEMVKIKEHAAHIRSINIFDPNSVLLIKNTSMFLSSQVLRVLNTETRVELLEDCYLGHVKSFGQMKYLMLEIWSSTCHCKLPKDIEKLQHLETLDVRWCTGIDKLPASITQLQRLVRLLVGAKVKLPDGIGNLQALEELSLISLGFQTIKFIQGLGDLTNLKVLGIDWWYPYAVVVRHVDVEGHKEACISSLSKLVTTLRELHVVQSARDDRLSFMASCGSTPPPLRRLVFHERRGPSVVPHQIISSLVNLTRLSIGLVSQEGINILASLPMLLSVTVRVSGDSGIRYTISSQGFQCLVKFNFDCFHGGALEFEPGAMPKLQRLMLCLEARGQFNFEQGGLVLGLQNLAGLRYVALRIHCSRATPDEVQDLEDDIRVAAGAHPNRPVLQLVAKIYQDYMAQGCSRRPRDHPMLEAQ >KQL00930 pep chromosome:Setaria_italica_v2.0:VI:6575108:6576055:-1 gene:SETIT_014662mg transcript:KQL00930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKGVAAAVIVVAAMAFLVVTMAARPAEGAVTCAEVDSNLRPCVGYVTGKEAAPPAECCAGVKRIRALPSGTVERRQACECVKQAAAKFPGLNADAIRDLPARCGSPLPFPLTLNFDCTTIP >KQL00145 pep chromosome:Setaria_italica_v2.0:VI:192175:192462:1 gene:SETIT_015496mg transcript:KQL00145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EEEEEDDKDYFEAEEKDLESDEALWALYERWCKAFNQERSLDEMARRFSKFKETVLSVESNKKARLPYRFEINKFADGKMAELVSPKWFPTEFHS >KQL02258 pep chromosome:Setaria_italica_v2.0:VI:31246110:31248619:-1 gene:SETIT_013587mg transcript:KQL02258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAPSTPALLPNPALPSPGRPRSRGALLRARAVRAAPRPPSRWSVGSWRERPAQQQPEYPDKAELDEVLRTVEAFPPIVFAGEARTLEERLAEAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPIVKVGRMAGQFAKPRSDGIEERDGVKLPSYRGDNINGDTFDEKSRLPDPHRLIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTQNCEQGDRYMELAHRVDEALGFMSAAGLTLDHPIMTTTEFWTSHECLLLPYEQALTREDSTTGLYYDCSAHFLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPAELVRLIDILNPENRAGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILVLLFACNRLLFACNPISISG >KQL02259 pep chromosome:Setaria_italica_v2.0:VI:31245661:31248699:-1 gene:SETIT_013587mg transcript:KQL02259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAPSTPALLPNPALPSPGRPRSRGALLRARAVRAAPRPPSRWSVGSWRERPAQQQPEYPDKAELDEVLRTVEAFPPIVFAGEARTLEERLAEAAVGRAFLLQGGDCAESFKEFNANNIRDTFRVLLQMSVVLMFGGQMPIVKVGRMAGQFAKPRSDGIEERDGVKLPSYRGDNINGDTFDEKSRLPDPHRLIRAYSQSAATLNLLRAFATGGYAAMQRVTQWNLDFTQNCEQGDRYMELAHRVDEALGFMSAAGLTLDHPIMTTTEFWTSHECLLLPYEQALTREDSTTGLYYDCSAHFLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPAELVRLIDILNPENRAGRITIITRMGPENMRVKLPHLIRAVRGAGQIVTWVTDPMHGNTMKAPCGLKTRSFDRILAEVRAFFDVHEQEGSYPGGVHLEMTGQNVTECIGGSRTVTFDDLTSRYHTHCDPRLNASQSLEMAFIIAERLRKRRIASWNLNGNQLGSIPSLGL >KQL02307 pep chromosome:Setaria_italica_v2.0:VI:31642409:31645306:1 gene:SETIT_013299mg transcript:KQL02307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQQVELSHLDGRDARPGTNANGSCLDSAEEVDDCPIEEVRLTVPITDNPALPALTFRTWFLGLISCALLAFSNQFFGYRQNPLYISSLSVQIVVLPLGKLMAACLPKKAVRIRGTKWSFSLNPGPFNLKEHVLITIFANTGSNSVYAVGIITIVKAFYHREIHPLAAMLLTQTTQLMGYGWAGLFRKFLVDSPYMWWPANLVQVSLFRALHEKEKRPKGGTTRLQFFLTVLITSFAYYIVPNYLFPTISTISLVCLVWKNSVTAQQIGSGVYGLGVGSFGLDWATVAGFLGTPLSTPAFAIANIMAGFFLIVYVIVPVAYWTDAYGAKRFPIISSHVFMANGSRYDVNKVLDPATFQFSQAGYDGAGQINLSIFFSFTYGLSFATLAATLSHVALYHGRSIWEQTKATVRAQNAGDVHTRLMKRNYAAVPQWWFQVMLVLVLGLSVFTCEGFGRQLQLPYWGVLLAAGLAFFFTLPIGIITATTNQQPGLNVVTELIIGYLYPGRPLANVAFKTYGYISMSQAIMFLQDFKLGHYMKIPPRSMFIVQLVGTVLASSVYFGTSWWLLESVPNICDPSKLPEGSPWTCPGDDVFFNASIIWGVVGPLRMFGRLGLYAKMNYFFLAGALAPVPFWALSRVFPGSAWAPWLRLVNMPVLLGATGMMPPARSVNYLMWGAVGLAFNHVVYRRYKGWWARHNYVLSAGLDAGVAFMGIVSYAVLQSRGVNGVDWWGLQVDDHCALARCPTAPGVRAPGCPVH >KQL02473 pep chromosome:Setaria_italica_v2.0:VI:32783020:32786141:1 gene:SETIT_013321mg transcript:KQL02473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARFLVHLLLLAAASAVAVTSAAASASLATNATAGPLAPAAPGGGDGNVTGFSFSRFVGANRGANVTVLGDASISQGALQITPDTINDAATFLTHKSGRVLYATPFKLWHREGRHGDEANATAGDGKRVASFSTVFSINIFRPNGTEPAEGFAFVIAPSADEPPTGSSGGYLGLTNAATDGNATNQIVAVELDTEKQPYDPDDNHVGLNVNSVVSVANASLKPLGIEISPAKPTNYTVWVDYDGGARRIAVYMAVAGKAKPGRAVLAAPLDLAATVAEWSYLGFSASTGSKYQLNCVLAWNMTLEKLPCDDGDGDARRRTVGLAVGVPVGVTVLAAAAVLAYVCVAKRRKAAHGGGDDSSAITGTMIRSLAGGPREFEYREIRKATNNFDEKMKLGQGGYGVVYRGVVVGDHTCPGGAGSAVEVAVKKFSRANTQGQNDFLAELSIINRLRHKHLVRLVGWSHDNGELLLVYEFMPNGSLDQHLFGPAPGRQLLGWELRYSIVKGVASALHYLHDEYDQRVVHRDLKASNILLDAAFGARLGDFGLARAIETDKTSYIEEAGGGVHGTVGYIAPECFHTEKATRESDVYAFGAVVLEVVCGRRPRCDVEGFHFLVDWVWRLHRDGRALEAVDARLEGAFDREQAERLILLGLACSHPTPGERPRTPAIQQVLLGSVPPPAVPPFKPSFVWPATDGGLDTVSSTAGTTASQVSLTSASTWSGNFMKGSLRHAFEQEVSDSLP >KQL00538 pep chromosome:Setaria_italica_v2.0:VI:2865311:2866534:-1 gene:SETIT_014414mg transcript:KQL00538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSYFLLAVFLALVACQANASDPSPLQDFCVADKHSPVKVNGFACKDPMAVNADDFFKAAKLDEPRNTAHSKVGSNVTLISALQLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKVLNKGDVFVFPEGLIHFQFNPIHDKPAVALAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >KQL00418 pep chromosome:Setaria_italica_v2.0:VI:1948448:1950003:1 gene:SETIT_015123mg transcript:KQL00418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTQINASATFVAAFLVFSAHLYFPTTAHLFSPAMPLLGALSDLIEPSFPFCPLTFSSASTDHQYGCQYNPLCDNFPPDFPPPDTPAVSVFCVDPNGCCDFTTVQAAVDAIPNHSRKRNVVWINKGIYFEKVTVPSSKPNITFQGQGFDMTAIAWNDTAKSANGTFYSASVSIFASGFVAKNISFMNVAPIPRPGAVDAQALAIRINGDQAAFWGCGFFGAQDTLHDDRGRHYFKECFIQGSIDFIFGDARSLYENCRLISIADPVPSGQRSITGSVTAHARVSEDDNTGYSFVHCSIGGTGWIWLGRAWRPYSRVVFAYTSMSDIIASEGWNDWNDPSRDQTVFYGEYKCTGDGANLADRVPYAQKLSDVQVLPFLSTSFIDGDQWLKPYSDSLIST >KQL02847 pep chromosome:Setaria_italica_v2.0:VI:34943870:34944043:-1 gene:SETIT_015573mg transcript:KQL02847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEDLVVDAASTYAELGICKELVEAFDLMGWKEPTTVQVEAIPHAI >KQL02447 pep chromosome:Setaria_italica_v2.0:VI:32601927:32604569:1 gene:SETIT_015282mg transcript:KQL02447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAQLLILLCLSVAVWVLAAGDVAAARSPGCANVAWCGDVEVPYPYGLERQCAIHRGFHLNCSTVGGATKLLWNKVEVTKISVKDNKAWTKTYISRQCYNQSTNKMIYDNAWINLTNTPFVVSADDNKVTVLGCNSFAYIRSNDYIFGCMSTCDDTSPKNGSCSGAGCCHANLQRGVRYYKGFFNILYNTTDKKWWTTPCNYVGVIANEAFNFSTTYLNSTMFYDIEGSQKPTVMEWAITQNTCEEAKINKNTPYACVSNHSHCIMNDAGYACKCSSGYEGNPYIIGGCTDIDECLDNVTYPCVGICKNTPGSFTCSCPRGKSMIGSVCVEDKKSTWMAPVVGASIGLVVLVVAITCAYSIRERRKLQCIKQKYFQQHGGLLLFQEMKSQQGVPFKIFSEEELQEATNRFAEQQVIGHGGHGKVYKGLLKSNAEVAVKRCMIIDEQQKKEFAKEMLILSQINHKNIVKLLGCCLEVEVPMLVYEFIPNGTLFHLIHGNHSGHISLDTRVRIAHESAEALVYLHSCASPPIIHGDVKSTNILLNGDFTAKVSDFGASILAPSDESQFVTLVQGTCGYLDPEYMQTCQLTDKSDVYSFGVVLLELLTRKKALNLKASEHEKSLSVVFLDAMKENKLEDILDDEIKNDENVEILEIADLARQCLEMCGVNRPSMKEVADKLDRLRKVLHHPWAHKDPEELDSLLGEFIFGQLRGYQGTENSSITERVSMGVESGR >KQL02315 pep chromosome:Setaria_italica_v2.0:VI:31683728:31684852:1 gene:SETIT_015118mg transcript:KQL02315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTVSSWRDVIAERTPERHAARAKILAFFSEAGTSRAVVFPDDQQDGGGTGGQERTFTSSKSSDGGVVRLVGTCNGLLCLRDDVPVPGAYRTLSSTIAVANPITGEKVAIPQGSMSWEHYRSRRYKVVNISCNTSSSSNQRLAIDVVRVFTLGESEWREVPLPLLAPDTSYHDSGDVVAVDGSTYWLTARADRVMALDLEDERVASFEAPPCLRLLQVPEKATCQLTNVHGRLGVLVTRHQPTATTRVDVWVLEGGGRRLQPRWSRRRSLLEPGGAGQGRWIASPHFTHGEYVLSKREDERWAVRARWLYRRKVGDLTNGGGKNAELWPLEGAELIVHTGFNDGGVVTFPYAETTEPLPT >KQL02587 pep chromosome:Setaria_italica_v2.0:VI:33395014:33395486:-1 gene:SETIT_016028mg transcript:KQL02587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILVTTHCIVLQMWEVKDVEGRCSAVTEDNAGTWGK >KQL02011 pep chromosome:Setaria_italica_v2.0:VI:29031455:29033173:-1 gene:SETIT_015091mg transcript:KQL02011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLLWPWLSLFFSLVTSSWSLSSDGQALLALSRNLILPSSIRSNWSASDATPCTWNGVGCDERNIVISLDLTSSGVSGSLGPEICRLKYLQILSLSGNNISGSIPPELGNCSMLEQLDLSQNFLSGNIPASMGNLKRLSQLSLYSNLLSGTIPEELFKNQFLEQVYLHSNQLSGSIPFSVGEMTSLTSLWLHDNMLSGVLPASIGNCTKLEVLYLLNNQLSGSLPETLSKIEGLRIFDATNNSFRGEIPFSFENSCKLEIFILSFNQIRGEIPKWLGNCSSLTQLAFVNNSLSGQIPPTVGLLRNLTYLLLSQNNLSGPIPTEIGNCELLLWLELDANQLEGTVPKELANLRNLQKLFLFENHLTGEFPENIWGIQSLQSVLVYRNGLTGKLPSVLAELKFLQNITLFDNFFTGAIPPGLGANSRLVKVDFTNNSFVGGIPPNICSGKTLRILDLGSNHLTGSIPSGVSNCPSLERFILQGNNFSGHIPEFRNCKGLSYIDLSRNGFDGPVPPNIFSNCPCSLQISPQANNLNGSIPGFSNCTNLGVLDLSYNNFSSKIPPKNAYCVRCKL >KQL00348 pep chromosome:Setaria_italica_v2.0:VI:1566692:1566790:1 gene:SETIT_015643mg transcript:KQL00348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLVAMNIKRKDVEVASHGFAIFLDPKRIKLQ >KQL02157 pep chromosome:Setaria_italica_v2.0:VI:30469203:30469451:1 gene:SETIT_015057mg transcript:KQL02157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSRHAWINAVRLQKENQVYSAEERRFDHEQKQKARQEGKVWEDLRHLVDRVLDKGAGAKIEIEHGDPFTLHTVHES >KQL01595 pep chromosome:Setaria_italica_v2.0:VI:22605237:22605734:1 gene:SETIT_0131972mg transcript:KQL01595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLLLLRLLCLLPLATIAASAGLPADFTTLLAAKSDLSDPTSALASWDPRHSPSPCRWPHLLCSASHAAPAVASLLLSNLSLAGAFPSPLCSLRSLAHLDLSYNSLTGPQPPCLAVLPSLDHLDLFVLPHSCQEAFNITYPCIAA >KQL02090 pep chromosome:Setaria_italica_v2.0:VI:29838006:29839910:-1 gene:SETIT_015560mg transcript:KQL02090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSGSQTQYLLIDELRDYVWQTNDLPSLEEAQIHAAMYSTHRDFIKLMTGLERTKMLNITIPGWSDGSQVVNADDKFLNAQWVDGMFAKLHTVRMKNILCFSNEMHFVEFILSKARVLQVLSVCPMQ >KQL00548 pep chromosome:Setaria_italica_v2.0:VI:2948131:2949269:-1 gene:SETIT_014418mg transcript:KQL00548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSYFLLAAFFAIVISRAIASDPSPLQDFCVADMHSPVKVNGFVCKDPMAVNPDDFFKAANLDKPRDTMHSKVGSVVTLISALQLPGLNTLGISLARIDYAPLGQNPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKVLNKGDVFVFPQGLIHFQFNPDYHKPAVAIAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVQKGTIDWLQAQFWENNQN >KQL00700 pep chromosome:Setaria_italica_v2.0:VI:4074302:4074815:1 gene:SETIT_015951mg transcript:KQL00700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPAAEVAGGEETTAPTHTGETRGRTASRQPPATSAPQLRRAFGRHGRGHPHGHGAGRRGPPRRHEGRVVREQSGTGELERGSVTTRRRAAHPPPEERALSSCRRRRSGPRARAAAGGEGLASPELEGKRVSKQRADERRWLP >KQL01146 pep chromosome:Setaria_italica_v2.0:VI:9439380:9440098:1 gene:SETIT_015512mg transcript:KQL01146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIIAVGKKCTWRMEPSSVPFYLCHGGVIRSYAVHPGGNRFYVSVTPGKHYSSNTGYYGDDIPGLTKAKEKAAETKAGTYTYRVKSRYYDPELDAWVGFDMDCHGNLCKIGSCDIPSRSWGSPEPPTWKLCEKNLSLATTSAGQNTDVLVGMGSGKFCLVQSKPRNGVHDCSGDGDKFELHTGELTITDRRLISSYVLSRYAEYENFSMQAFWI >KQL01248 pep chromosome:Setaria_italica_v2.0:VI:12149682:12154455:1 gene:SETIT_013355mg transcript:KQL01248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSHTILARKSPLGTVWIAAHLERKIKKPQIDGIDIPSYAESIMFPEVPIALRLSGHLLLGLVRIYSWKVNYLFQDCNRMVTTIRTAFASVQVDLPVDADRAPFESITLPPTLNLDDLNLDDAIFLMETPDSHQKTRDQITLPEGEYVMIELDEGARVEPSVTGPSLHMEPTPIEDETFPPFHDGFGADNRNEEIPIDRPPGNLPVNSNVVNQTDEALDPPETMRAQESPGLMLTDSILGNDEPMDFNNDPSPFVQNKAITPPVIDETSSAGRQAPGRSIPNLQTPNTYDAFVDDAPLNFDTQLPEFQLEPSPPPVQENVDNRRPKAQVNKRKRKRKVKFDHEIILSNDYMKEQIDGAGLDKLICKRRKLPQTALDVWRFSRTNRKGSFLLEPVLHGMCTNLHETYERNFSRVSGPDAECTSGEPAAGVANDGLDAPPERQLSPNYRGTGELPPDLQLTPNPPGNVDAQPEPLPSPKSPGAAGAAPDDDMLPELPRFSPMDMPSPVRGNDTPYRTPGGTPPSWLGGTGVSEIPSSGGNGTGVSEIPSSGGNYSLPGQSTRDTDHMPFLFPINEDDDDQPEIPGLMSTPGGVSSVGTGTTRLGSMSTRTRAVAMFFKDHVPSTSSDGQPGKFSLNKILEGKARKQAARMFFETTVLKSYDYIDVQQEEPYGDIEISVKPSLSTAKL >KQL00291 pep chromosome:Setaria_italica_v2.0:VI:1085533:1088750:1 gene:SETIT_013975mg transcript:KQL00291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRNLVLLRLDSLIDESDPFGEVVHDYFIYIADPSSQWTPLLRRLPPCTEYDDYFERQVTRVLPALAVGLLCHGEDEFAVAHLDIRSRKKKSGSRKKKLPIQAELCVLRSSLSCSDDAKWETKILPIQYQYDDLSSDFLYWSVDGVVPFKNALCFVNYCRGILFCDGVFEDSPKVSYIRLPLDTYIRGADGEARKGMYHGLCVTEGGHRLVFVDVARHDGKSYGPSMPNTGFTLTSRTFKMTGNCTTPWQWNEDAVVTSDELWHANTMESLPHDIVMLPLLSMDKANVAHLSLIDWDGGFSLVSIDLSNMQVMGPVITYLKGKDDTADADIVEEKKGLGAHFIPSEFPKFLDLRKRENHP >KQL00905 pep chromosome:Setaria_italica_v2.0:VI:6339578:6341925:-1 gene:SETIT_015211mg transcript:KQL00905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVQGILSFGMSMSQAPTQLENELDQLKTKLLISRSEWGMVEAHLLQHKYTTYDAEDLHREFEDQALRHKIEDASRSRAASAGHACQQRMNSSPRDTERDQVMEMLGVTLTIDRAAEINQVISQMGMPLTMGSTSAGSKGKGTEAASHDAIASTSKAKRLNFDSNSSAGLAETANCGNVSVLPIFGIGGMGKTTLAQLIDSDEREIIIQPNFDLSCGLGVLEADLWHMLKIQKFLLSFYAPLKNGLEGSMILVTTRHKNIAQNVTAWSKCSCKPLQLEGLPAGVFWEFFKKCAFGKECPESYPRLQEIGQSISSKLHGTPLAAKTLGRLLNSNLTEQHWRTIKDSELWEQEQKHSDILPALRLSYLYLPTELRRCFAFCSIFPKDYSFKRHEIVDIWVAEGFVSPQVSKRLEDVGMKYLDDLRGRCLFQTDPKFPNQDKYVMHDLIHDTAQYVSIHECFSMKDLSGMTNKPRHMSIEVDGTFGTELDVEITWFSQLSNILFLSLKGCKLKSLPQSICGLNSLRYLDISYSNINEVPSKFWCLNSLQVVVAFGSCLRTIRQDVTKLINLRQLALPVKEQAAEARLVDKKYLKEIELNWRTNPRVFEPTPRENEVIDGLCPHERIECPWLLG >KQL00604 pep chromosome:Setaria_italica_v2.0:VI:3418707:3419145:1 gene:SETIT_015940mg transcript:KQL00604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVLISNSTFRRRMMSWDLIISEYGTLGTCILNFMDSAIIIP >KQL00122 pep chromosome:Setaria_italica_v2.0:VI:95308:99864:-1 gene:SETIT_013235mg transcript:KQL00122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAAAAARLPPGEEEDACRICHLPGEADRPLRHPCACRGSIRFVHDDCLLRWLATRRGSSTASASSICEVCKRAISIAPVYAANAPARLPLPEFMLGLASKLMAWLLLLLSLLFAVCVWEFLMPLTTLWVWRLALSRTFAQVRHLLSLRASAFARPYALRFMPSPDTVLACASIRRAFLRELPNLRQLNAPARIAADALAPLALWVARVEAHLQRRFGGLDTLQLLALHTVEASLMVVVGDVAFAFLLGFLPFSLGRIVLCCTSCFSFGTVDVARSYTSTAMVLLVGYGFILMVALLFTGLHTFQQYSRGERPTITIYFGVLTDWVCWLSSPLRMLPSIHGMLDRTWSFLQQFFWGIVSVANVSLNLAAILVICPLFFGWLLDICTSKLFGVKVPQKLQLLFASSFASTALHWLIGCVCLKLHSSLSSLLHPVFRLGVRAPFVHTTGGQIKIGEPFCKFYFKILPGLFLSVIYVAMVIFVPVEIAFHLAPTVFPLDITYFDPPTQGTVLWQAARNYAELLSGVLLLKFLLCNALKYLQPGVLVQKVLWYLFATTGQVLGLSDLLIAQPDDSGQSEIGNSVIPKDQHGRTAEAKDKRRSAAVHMVLLMVLAWLIVVIFNAALLVAPVSVGRALLFAIPQVPVAGALKSNDIFAFAVGFCILSTIIAASRDAFAYVMSGRTRLLASIVCNWGTTALKSSPLLFLWVVIIPFLIGLLVDYLLISPFGPPYNEVPVLDFFCTWFLGLQLLKFWTKLVRWTRVAPFLAYFIDERWDRKLSQAREDGFSGLRAMWVLRDILMPMIVKLLSAVCVPYVLAKVVAPVLGYSAPVNSAVLRFAWLGSLAMCVLCYIAKVLCRLVVRLHDSIRDERYLVGQRLQNYYTDNM >KQL02023 pep chromosome:Setaria_italica_v2.0:VI:29177091:29178818:1 gene:SETIT_0151822mg transcript:KQL02023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALPAAGSGVGRQEEEGPPAVHAPRRCRYRWRVRSHVVSHPRACRSPPSVLHPTPPPPAPVDTVKPSPYSPSMAPRSKRRRRLAANLDVAGVDPTRFGPGRSSAGARGAGRTGARREACDGARPGGAAACAQSSSPLYFISFFPFNPLPSLLFPPEPFLSLEYGLRVDSLKVEGLFLQNSPTDEKSRILSWRAAPARRLPSGFGTRPWLIQAHGSCKDTQTLVDMPDRSLHEATHDCFLLSVTSRTSKISLPPLRPTTEYKGATCGVLGSPANFTVVIVSEVEAESEQKFMLCCCPGDEDWTDLTAADDYLRFSGTIVSHAGKLYAGNLVVTDVIDGAVRSRFLDTEGKVEAMHGSTASYLVVSSGDIFSVWITYLGRPYDGSLIKIVVRRRELSDLVWTRVESIGSDCAFLLSGDYGLSCSAAAAGMQGNCIYLVWSSCDCERLYKFCLDDMTKSFHQILPNPTSPCSSIQTSGFKGQALQSAPRPPTSNE >KQL01088 pep chromosome:Setaria_italica_v2.0:VI:8023176:8027684:-1 gene:SETIT_014243mg transcript:KQL01088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPADSGAESQRQADRLKQEGNALFRKERLSAAIDAYTGAITLCPNVAVYWTNRALCYKKRNEWAKVEEDCRTAIQLDSQSVKGHYMLGLALVNSQRLSEGIKALEKSLELGRGAHPASYMVEEIWQELSKAKYIEWEGLSRERAFQLQKLKVECKQALRNYNSLGNPAADEPEEQLNELEEVFKKAAKADTPAEVPDHLCCKITLDTFRDPVITPSGVTYERAVLLDHLQTVGKFDPLTREALEPHQLVPNLAIKEAVHAFLSEHGWAYKIR >KQL00191 pep chromosome:Setaria_italica_v2.0:VI:416486:417997:1 gene:SETIT_014129mg transcript:KQL00191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEVGEHLPALDDLVEEVVELDDEALGSRSQHPNPAIDDLGSLGDLVVVAVAPHANDPVQKSCLRLSMAARAWRNSYIMMPAVDVEWWSPTTRCNQGWSPISWATRCRRRAMEATSGSRRSEEEGGGVAEGVEDSAEPVEGVELEVPWGAGVEEEGGRVEPCVGEGAVVLLESGGVSPGCVDGAHEVVVVVVVVVVRCGGGGVERQPVVDGRGDAGGGGAVCVERGGAGTGELAGVPEAGGLVHVLVQPPLAGREVEALHHVEKLGVEGAEEGGGLLEEGSREARVRAAVEGVEAVRHRRVEPAVRHCC >KQL00431 pep chromosome:Setaria_italica_v2.0:VI:2049768:2050729:1 gene:SETIT_014648mg transcript:KQL00431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTFRLQLLLKTKLFWFLLPSGSTIIFVPLIHAVPSNQRDDEHSASSLQLIMSYQAEAGYPPPGQQAYGAPPPPAYVAPPPAYPPTQDAGAYGQQQQQQHETTSRGGDGFWKGCCAAICCCCVLDMCF >KQL01025 pep chromosome:Setaria_italica_v2.0:VI:7436136:7436652:-1 gene:SETIT_014734mg transcript:KQL01025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKLGHLLTRLHLARSRSPSAAADVPRGHLAVYVGEGRKRLVIPTACLSHPAFVTMLKRVEDEFGFDHRCGGLTIPCADEGDFADIVGGMGVH >KQL01327 pep chromosome:Setaria_italica_v2.0:VI:15728834:15729546:1 gene:SETIT_014764mg transcript:KQL01327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRLLKIACEEAIAKRQFRWHKAIRIIVISISQQGPGLSSNYSLSTSNPPTSTNLSLGPWQAYHTSRLKYQITWCGQHWLLL >KQL01767 pep chromosome:Setaria_italica_v2.0:VI:25745090:25749092:-1 gene:SETIT_013681mg transcript:KQL01767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTCLSLSLHASSAPPSDPEPRRFSFAPSSSSSTSKRRREAGRAKVLREDWRQKSKPIPPGAVYPAKDHCSRCGLCDTYYVAHVKNACAFLGDGMSRVEDLEPLVHGRGRKEGMNEMYFGVYDQLLYARKTEPVQGAQWTGIVTTIAVEMLKANMVDAVVCVQSDPDDRLAPRPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSDPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTVSSGARQPFVMETVKADDAAKLGKGPSKPAPRFVGEILAFLLNLVGPKGLEFARYSLDYHTIRNYLHVRRAWGKRRAEQHIPGYAKKIVEAYDGSGQIESMLQQSRD >KQL01766 pep chromosome:Setaria_italica_v2.0:VI:25744757:25749142:-1 gene:SETIT_013681mg transcript:KQL01766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEMYFGVYDQLLYARKTEPVQGAQWTGIVTTIAVEMLKANMVDAVVCVQSDPDDRLAPRPVLARTPDEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEKYLGLEKLYVLGTNCVDNGTREGLDKFLKAASSDPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGVSMTQHPQYITVRNDRGREMLSLVEGLLESTPTVSSGARQPFVMETVKADDAAKLGKGPSKPAPRFVGEILAFLLNLVGPKGLEFARYSLDYHTIRNYLHVRRAWGKRRAEQHIPGYAKKIVEAYDGSGQIESMLQQSRD >KQL01109 pep chromosome:Setaria_italica_v2.0:VI:8380915:8383084:-1 gene:SETIT_015788mg transcript:KQL01109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDVISYQPVTEPCVSDGWRARVAAFLGREVDEQGTRTSGVLISWLREHFGQCPQDADAETVGQYCRAWILHLFAYVLFPDATGDTASWMWIHCLTDWHQAGSYSWGSAVLCFLYRQLCEACRRSSGSPSVGGCVYLLQLWMWSRLPVGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSPYDGDDPLPFPLSFVCVQDDDIYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSIELHNVDRKKKRKVSDWPALHHAYIQEWEQYEQNLDENNEPHTNSAYRQYQSWYQGATRHRLREAWTEDDYADIQSSDDEDTVYDQSTRGGRQVEAGPILDRMGRTLQSSVRDIEQFRPRVRDPETRSFLERLSNRLRRAAARCGCRTATTRDVHVPSLREGGVGTSSQGPSGSKSIASEEDDDDDDDDDDGDDEQRAEEIGPSQLQEAPLTHPTQVVGGTRLRRPRSPYTPGTDALGHKGKGKTRRQ >KQL00583 pep chromosome:Setaria_italica_v2.0:VI:3266616:3267496:-1 gene:SETIT_0131132mg transcript:KQL00583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRDELRTLSCTYKCRHDAAADLIHMYAYTKCFFRVREYSTVKSPPVHISPLDLGPKYADKLGPGFQEYCKTYPEDYCLAQLIYWYSQNSEPESRLTRARKGCLSLPDVSSFYVKSAKPGQERVYGNRTVRFMLSRMEKQAQRPWPKDRIWVFKSDPRFFGSPMMDAVLSNSPLDKEMVHWLKMRPNVFLG >KQL01345 pep chromosome:Setaria_italica_v2.0:VI:16786956:16787384:1 gene:SETIT_015632mg transcript:KQL01345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLLHALLLASAAAAAAMNNATGDGSSNTTKSRILCNGAECEPPGKTLPIYGYPPPAPSLPSAPPSPPSSSGSQTPCPPVAVVCCGGAGMPQQPNYYGPPTGGYVPYYNASASSPALLAPITLVGYYVMVACIFLLWLVV >KQL02451 pep chromosome:Setaria_italica_v2.0:VI:32615121:32617046:-1 gene:SETIT_015619mg transcript:KQL02451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLFKRLLRNRLAGTRRSSRRLHTQPHSHPHPLLATFSRLCVEGPFATALALLPDLASVGLRADPVSLTRLVKLCVRHGMASDGRLIHRHVAAYGALPHGGGGGLFVSNSLVSMYAKFGLLDDALMLFDGMPERNVVTWTTVVAALANADGRKEEALRFLVAMRRDGVAPNAYTFSSVLGACGTPGVLAAMHASTVKVGLDSDVFVRSSLIDAYMKLGDLDGGRGVFDEMVTGDLVVWNSIIAGFAQSGDGAGAIELFVRMKDAGFSANQGTLTSVLRACTGMVMLEVGRQVHAHVIKYQKDLILHNALLDMYCKCGSLQDAGALFRRMPQRDVISWSTMISGLAQNGRSTEALRVFDLMKSEGVAPNRITLVGVLFACSHAGLVEDGWYYFKSMEKLFGIRPEREHHNCMVDLLGRAGKLDEAVEFIHEMSLDADSVIWRTLLGACRMHKNANLAAYAAREILKLEPDDQGARVLLSNTYADLQQWTDAEKPWKAMRDRGMKKEPGRSWIELEKQVHVFIAGDLSHPISDSIVQELNRLIGRISALGYVPQTEFVLQDLAIEQKEDLLKYHSEKLAIAFGTMHAMEGKPIRIMKNLRICGDCHAFAKLVSKSEGKVIIIRDPVRFHHFQDGTCSCGDYW >KQL01603 pep chromosome:Setaria_italica_v2.0:VI:22709817:22710573:1 gene:SETIT_015383mg transcript:KQL01603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKEIVLWDPLPGDHIVVAVPPELDNEERTIWNGAVLCAAAGDPSHVHGGFSCCPFKVALVGVTSNHTQSNWSEFVSAAVPFKVYCVTDPGTLVGNAVYWMPRGLGGYGILEFNLETHSLDLIEWPPGATDSRGGSCHILLTEDGSLGLAILPCDSLQIWERKVRSECVAQWVLQKTYNLCKVHGMGPGPVSILGYAEDTNVMLLWSVFGVYMLQIDFLQSKKLWKLISYTDIIHTQVSMVQ >KQL00166 pep chromosome:Setaria_italica_v2.0:VI:286811:289380:1 gene:SETIT_015749mg transcript:KQL00166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPPPKLQVPTSVVSVLLIVCPLLAFLIVRRHRRPSTAREREQLLSKLPSPHSRLPIIGHLHLVGSLAHVSLRDLAARHGRDGLMLLRLGAVPTLIVSSPSAAQAVLRTHDHVFASRAYSPVTDILFYSSTDVAFAPYGEHWRQVRKISTAHLLTNRKVRSYRHAREQEVRLVVAKIREAAAAGTAMDLSDLLNSFTNDIVCHAVSGKFFREEGRNKLFRELVEANSSLIGGFNVEDYFPALVKLGIVKRMVCAKAHRVNKMWDDLLEKLIDDHASRPPASERDGEETDFIDVLLSVQQEYRLTRDHIKAQLAIMFGAGTDTSFIVLEYAMVELMQNPGLMNKLQAEVRNNIAKGKDMVTEEELNNLAYLKAVIKETLRLHMPAPLLLPHLSMAECDIEGYKIPAGTRAIVNSWALARDPSYWGNAEEFEPERFMEGGSAAAVDYKGNDFFYLPFGTGRRMCPGINFAISTTEIMLANLVYHFNWELPLELAEKGIDMTESFGVTVHRTQKLLLVPRLPVVPQD >KQL01986 pep chromosome:Setaria_italica_v2.0:VI:28762500:28764864:1 gene:SETIT_015238mg transcript:KQL01986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAFVFWLFILDGYLWSNPAIKSRGKPAFQRLPLPYANKEFNIDPINKQDLGNESEPPPYVHLKRSILYVYIVKNKCDSDAIESGCVNCDHDSTCESCSCRSSMVSCSQACRCSVKCSNKPFRREKRINIVKTEKCGWGATALETIEKDDFVIEFVGEVIDNAMCEDRLQDMRQRRDQNFYMCKVSKDFVIDATFRGNACRFFNHSCEPNCRLEKWQVKGKTRLGVFASQTIKVGMPLTYNY >KQL00783 pep chromosome:Setaria_italica_v2.0:VI:4931427:4934769:1 gene:SETIT_014274mg transcript:KQL00783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLGPVNLRATRKEVQLKVKEEYNSYRDRTALLFLGFPVILLFLRQWLWNGCFPALPVQLYQAWLLFLYTTLALRENILRVNGSDIRPWWILHHYCAMLMALVSLTWEIKGQSQPDCPRKQRGVELFLCWAIMQGFVMMLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVEGQLLLLCPLLFLLQGFEGYVGFLLLRTAHTGVIPEWQVVVCGILLIAMAIGNFANTVDTLMAKSRFKAKMKKSRSKRDLDTCPSPTGSSPTAKA >KQL01842 pep chromosome:Setaria_italica_v2.0:VI:27026355:27030870:1 gene:SETIT_013517mg transcript:KQL01842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALQPRRARLLLFLGLLLHALARGAVEAAAPFRARDVLPLLPRRLAWQLMGATAHSAVDLLPSFVGAVAPGGAPAAWRGACFAENEAVLSLTPGAGAGAVGRNGTGGGLHGNTSSGLGGAVLHLKTASPESWTCMDLYVFATPYRIAWDYYMRSNENHTFEIKAWEEAAELEYVKQHGIAVFLMPSGMLGTLLSLIDVVPLFSNTGWGQDANLAFLQKHMGTSFQKRSQPWSANIRKEDVHSGDFLALSKIRGRWGGFQTLEKWVTGAFAGHTAVFLKDENGTLWVAESGYENKKGDEIISMTPWDEWWGMALKDDSNPQIALLPLHPDVRARFNESAAWDFARSMYGKPYGYHNMIFSWIDTMSDNYPPPLDANLVMAIMSMWTRLQPHYASNMWNEALNKRLGTEKLDLHGIITETERRGLSFNQLLTIPERDDWEYSDGKSTTCVAFILSMYKAAGVFAPFTESIQVTEFTIRDAYMLKVFEDNQTRLPGWCNAAADRLPFCQILGEYKMDLPEYNTIEPYANMNENCPSAPPTYSRPARC >KQL00643 pep chromosome:Setaria_italica_v2.0:VI:3717733:3718082:1 gene:SETIT_014842mg transcript:KQL00643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMAGAAMVVGVATISTQVRGDDNGERILIFYSYARSIWIWVPISIDQK >KQL01395 pep chromosome:Setaria_italica_v2.0:VI:18767094:18772894:-1 gene:SETIT_013508mg transcript:KQL01395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALLPPSPASHRRSLRPVSSPPLARVTPAARFCPAFFSSLSPGSCSLQRTGAAGGDGDGAGEGSSEAPVAGWLDADLLRRVSGAADADQALDIVAESSGGAGAALEAPECNAIVAAALDRGNVELALSVFEAMRSGFAGVGGWRWARPDVRTYALLVQRLAAALRVSDAIRIIDCVSRAGVSSTEEVPFGIIVRCPTCMVAVAVAQPQDGTQVVSCSKCRYQYELFSGEITSIESEEVSMDISALEKALRFINIRKDGLPAAVHSIVISAPSGTARTHRFATQTVELPAQEGERVTISLAAPSNVYREMGPLKISARSQGFKPGEPMCLTNHINGQVSKLLRAPSKNEGSFFVSPYLLVGALALLASGDAASAFIDPSLPRLIAATAVASAALPQKAVDIVAVRQQLLSQYDILQSRLKDLKQFAQKEVWMLARMCQLDNKILAVGEPSYRARRGRVKRVRESLESTLLARIELMESYAKLCSMIEIEVEMDSDVIAAEAASSAERISEQIQQLMEIDSLEEQWRIQAEANDEAERLLSSDSSETLPAGRV >KQL01418 pep chromosome:Setaria_italica_v2.0:VI:19197476:19197941:1 gene:SETIT_015947mg transcript:KQL01418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKSYQKQECIPAYTSMTQPIIVSCTHDELKLPTSYSM >KQL01538 pep chromosome:Setaria_italica_v2.0:VI:21358261:21363763:1 gene:SETIT_014884mg transcript:KQL01538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSVVTQTATVREPAKEPNASGLWEPDDPMHGIEDKDARYFRKHIRYFNSHFSFTSFRVFIDRRLASTRGIGVYTFKAYGQIYHKLDPLVLSGKGSRHMQLYIYDTNDSIAHRVKRSLNLDENLIHLICGVLIRGNPYVQLFTSLGTLVDIQEYTIELNTKISIDQRRYSALAMDQIAAIWVDGNDPQHRFSRSIVIYGKSNDSHYIRAYHDCYDPLAYPLFLINSWLPSYFIIVYINLYIFLFSTQSRIMMKHMVTDGEICEGQFNVFFHTGHIFQQLLVDWHVKDEIMVELLHGQTPQDQPDVVAQVYHAKLLNLHDFLIKKGHLSTVVAWAHVTEFQKRGLPHEHFLLVMEAESKLTSLDDYDKYILLHQIVVKHMMHGPCSTLNKDCPCMVDGQCHFRYPRQFSAMTKQGKDTYPIYRGREDGQRVKIRGEELDNRWVVPYNPVLLMRYNYHINVEICSSIKSVQYLYKYIYKDHDRASYTVDAKGNEHVVINEIKQYRDARMITTIEAVYRLFGFKLYSMWPPVLQMQVHLPGMHMVSYKSTDNLKDVVARAKSQRSMLTEYFKVNAVNPKARQYLYKEFPEYFMWNKAGKYWKPRVAKRKLQISRLVYANPNEGDRYYLRVLLNHVRGATSYENLRTWRGVRYETFRAAAEAMGFVDTDKSLDDCLTECALVRFPSSLRRLFATIMNFHRANENNIIVEQTVLRDISYHLTSMGKDIRHYDLPKLHESGHVKHLKIIDTLNAKQKAGFEEILDHVMKGKGHVFFVDGLGGIDKTYLYKALIAKVRSMDLIAIATTTSGIAASIMPGGCTAHSWFKIPIKLDDSTMCSFTKQSGTTELLRRASLIIWNEVAMTKRQAVEALDRTLHDVMDCPQPFGGEVMLFGGDFRQVLPVVEHGTRAQITDTTLLKLYIWESIWCIRLTQNMRAQSDSWFADYLLRIGNDTKKTIGDDYVQLPDDIFIDSLTDGIFIGMLIDHVFPNLHVNCTSANYMRERAILSTRNEHMDAVNALMIDRFPGSKQLTIKKNFPVILLHNLDPHNGLCNGTRLIVREFQKNSIDAEIVNGQHAGKRVFIPRITMSHSEDLPLPKFKRKQFPIRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRGVSRETTWVLARKNKDMDLSGKGTKNIVYRDVL >KQL01467 pep chromosome:Setaria_italica_v2.0:VI:20172135:20173431:-1 gene:SETIT_014256mg transcript:KQL01467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVPMLTALLVLFAVGQQSHAFYYTKYTLPASSVAARATEELVDTKMAVFFREEALRVRQSLPFRFPAAVTAPLGFLPRHVADAIPFSSSALPGILAQFNVTEGSAQAAKMEETLGMCEDPGLEWEAKFCATSLEALVEGAQGVLGTRSITEMISRVPRVGSPLQPYTIRAVRPVRGSSFVGCHQKEYPYTVYMCHSTGPARAYKVEMEGAGGDKVTLFSVCHTNTSEWDKDHVAFRFLGTKPGGPPVCHVLPYGHILWAKKYAGLLSA >KQL01324 pep chromosome:Setaria_italica_v2.0:VI:15673970:15674221:1 gene:SETIT_015611mg transcript:KQL01324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYIEWLKLHCASKMWDMACEKITNCTQLQEKCMSLIALILPDRILTEDFLFVCYHHPEVIRKIRMLALKNTE >KQL01932 pep chromosome:Setaria_italica_v2.0:VI:28227471:28228696:-1 gene:SETIT_015461mg transcript:KQL01932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WNVLITCYARNRRIKYAPKLFEEMRGRESEVEPDGVACILLLQACTSLGALDFGEKVWAYAEEHGYGSELKVRNSLIAMYSRCGCVEKAYRVFCETPQKSVVSWSAMISGLAANGFGKDAISAFEEMIRSGVAPDEQTFTGVLSACSHSGLVDEGFRFFDMMRCDYRLKPNVCHYGCIVDLMGRAGLLDEAYELVTKEMRVAPDATIWRTLLGACRIHGHVDLGERVITHLIELKAQQAGDYVLLLNTYAAVGDWNKVAEVRKLMREKGIQTTPGCTTVEHNGEVHEFIAGDDAHPRKVEIYKKLNEINKHLKIAGYVPNVSSELHDLDSEGKESALTYHTQTNKLAKNLRVCVDCHNFTKLFSGIYNRLVIVRDRTRFHHCEGGKCSCNDYW >KQL01197 pep chromosome:Setaria_italica_v2.0:VI:10814369:10815892:-1 gene:SETIT_015682mg transcript:KQL01197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPYLQELVISTLLVVSLCMYIKFWRLRNPLYPMDWPVVGMLPFLVANLHNVHDEFTVVLATYGCNFKARGPVASGMRFFVTADPANVRHIFTSNHANYPKGEDFAEIFDIISGTLFTIDGEAVRQQRDMFQNILSNPRLLALMASCCRDKVVNGLLPFLTRMGSTRTTFDMQDLITRLVFDLTATPIFGVDPGCLSISMPSIHVATAMDTFMEVGLFRHTMPACFWKVMRRLNIGPERKLAMAQTVMHAFIREMTEKSKARCADLLDDVLAMDIISADPSVGRDDVLLRNLLINYMIAGRDTVGTTLPWVFYNLARNPRVVSCIRKELEPIASLKATALASNSISSMVVFDPKETEHLVYLQAALLESLRLYPPGPIERKVVLADDVLPSGHQLCSGETILISIYAMGRMESLWGKDCHVYRPERWLSEDGAKLRYVPSNKFMAFNSGPKMCLGKNIAIAQMKTIVAAVVWNFDMEVLKGQSIEPKLSCILQLKNGLMMMVKQRE >KQL00256 pep chromosome:Setaria_italica_v2.0:VI:814806:816936:-1 gene:SETIT_015195mg transcript:KQL00256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMKVDQAVTPSGYLEFLVRCSEGVLSVLGKVWGALAGLGKKLAKMATDDPRQVVHSFKVGLALTLVSVLYYVRPIFNKWGLSTLWAVLTVAVVMEYTVGETLVKGLNRAAGTLIAGFIAVGAHKVANLGGRKGEPIILATFVFLIATAATFTRFIPAVKARYDHGVTIFILTFSLVAVSSYRVQELLRFAYQRSSTTFVGVATCFFTTMFVCPIWAGEELHNLTADNLDKLAEFPEGLKSECFGENAPGEDLESKPFLRVYDSVLDCKATEDSLAIFAKWEPGHGNFYFRYPWDQYQNIGADARQCASSMQALASHIVTLTKAKYPETNLELCPKVRTACGEMCLHSAKALRALSAAIRATTVPSPAMTTHMTDAAIRAAEGLKAELSHVPVIASLLSEVVSQIKKITESVGNLAQVAGFKSPDGNDRKDVVINVDSGEAAARHAYHRQ >KQL00273 pep chromosome:Setaria_italica_v2.0:VI:924753:926087:-1 gene:SETIT_014188mg transcript:KQL00273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQARTQLLASLAAIYLILAITHVTGGQTDDLDDLEIMWGNAKVVTDSSGQKAIALTLDRSTSSAFRSKKTCQFCRIDVEIKLVPRNSAGTVTTFYMITEGAWQYHDEIDIEFLGNSTGQPYTMHTNMYARGQGGREKQYKFDFDPTQDYHKYTIIWNKDWILFLVDDKLYRQIKNNQMYGAPYPYYYPMRVYATIWNADDWATQGGRVKTDWSQEPFTAYFRNYRAISCPQFGNNPMCLPGSGWFNQQLDESRKQQMSQVDSNNKIYDYCKDPKRYKNGPPPRECGLQ >KQL01898 pep chromosome:Setaria_italica_v2.0:VI:27693178:27693434:-1 gene:SETIT_014843mg transcript:KQL01898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVSKSAPTAYGNAYISQLCVLTAFQLQVVKPKDHFSLGYPFSNSNPA >KQL01643 pep chromosome:Setaria_italica_v2.0:VI:23984832:23985659:-1 gene:SETIT_015499mg transcript:KQL01643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLGCCLETQVPLLVYEFIPNGTLFQHIHNRNATRPLTWEDCLRIAAETAEALAYLHSTSSIPIIHIDIKSSNILLDENFVAKIADFGASRSVPFDQTHITTLIQGTIGYLDPEYFQSSQLTEKSDVYSFGVVLAELLTRQKPISAARPEESCNLAMHLVVLFNEGRLLQEIEPHILAEAGEDQCYAVAELSVRCLNVKGEERPAMMVVASVLHGLIRSFTIDQTARRKDESVQKNSEQEEKHLRESRPIPSLQSSEVSTQRSMDDEMLSSSHMPR >KQL01881 pep chromosome:Setaria_italica_v2.0:VI:27481347:27481739:-1 gene:SETIT_015875mg transcript:KQL01881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINCFCLSSNKVASEQIRSTPQRKSLVPFSIDISVPRVPLGIGGALYSAASQQLTWLVRAQEKHRCGLHYWW >KQL01253 pep chromosome:Setaria_italica_v2.0:VI:12269603:12270619:-1 gene:SETIT_014472mg transcript:KQL01253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAALLLCVSVVLAAAAAAEARDFVVGGANDGWKAQVQPDALTKWASVLRFQIGDKLVFKLDGAADSVLEVTRDDYNRCSTAAPLATHKAVAGGSAATVPLPRSGPYYFVGSAPGSCKKGERLLLIVLSEKHGRARLRGLAPVLAPALAPEAQSPLAASSVGGPAAAPAPATGAAGRTAAAGNGGQLLLGAAAVLGALLVGW >KQL01801 pep chromosome:Setaria_italica_v2.0:VI:26377300:26378454:1 gene:SETIT_015747mg transcript:KQL01801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKKQQPAKGSQKELNKGGATAWTKANPGFKYGQPMLTAAELERSGPATAALQAYYLKRCKSNLSYVVDFLTKAIKQFAKKDCIMFAHNTGTHLVLVVVIPRWGKVLYFDSIRSQSRDPSLLKDVINDEHNQCCMLCRAYLSICRLKGLDEKALVHVSKFPCHQQPPGNACGFYTTNHMLDAMRILDVDNPQ >KQL00774 pep chromosome:Setaria_italica_v2.0:VI:4852577:4855594:-1 gene:SETIT_013419mg transcript:KQL00774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECSSDESSELSETDIDDYSEKSYLNLKSGKLVARLGSDRFRCPFCPGKKKQDYRYNELLQHAVGVGASNRAAKVKANHQALAKLLKEDHADAAATLPPLQAIALSNPPKSVKDEEVFVWPWMGILTNVPAEQTQGGGAILMKQLADFKPLQYTAVYGANGYTGCGIVLFSKDWIGFKNALAFQNFFKSQRLGKMDWKETRRHGNYVFGWLAGEEDYKSDDPVGMFLSANGDLKTVSDLEQEMSSKTDNLIANLTQQMSAQSKYVQELECKWNQMNLSLQRAMEESDLLHKRYNEEMRNMQSAAREHTQRVFQETDKLRTQLVEKERYIQRRSRQLNELVAQTDMERRKLEEERKKNADQNDSLNMARIEQQKADERALQLLEKHKKEKEAAFNKILQLERQVDEKQKLELDIEQLKGKLEVVKHMEGEGVDVKKRSEELTAELNERIEEMEDLESLNQTLVIKERMTNNEIQDAKKELISGLAELLGPRSNIGIKRMGELDGKPFLVACKQRYGDDADMKAAKLCSSWQEQLKDPNWHPFKIVTTGPTAEQVIDDKDEKLVGLKQQLGEEVYKAVTTALLEINEYNASGSYVVSELWNNKENRKANITEAIQHVLKQWKALKRRR >KQL01142 pep chromosome:Setaria_italica_v2.0:VI:9232944:9233453:1 gene:SETIT_014847mg transcript:KQL01142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSHRVVELISASRMWLWMMPLPTIPLLSFSPLLLNDQHSRMEPMRL >KQL02678 pep chromosome:Setaria_italica_v2.0:VI:33928326:33933056:-1 gene:SETIT_013762mg transcript:KQL02678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVPPLPGDAGGRGDAAAGEQPRVYQVWRGSNEFFLQGRFIFGPDVRSVFLTMFLIIAPVVAFCVFVARHLMNDFPDSWGISVMIVVVVFTVYDLTLLLCTSGRDPGIIPRNTHPPEPESIDGVNESGGQTPQQFRLPRTREVIVNGISVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFYMFVFSTTLLCLYVFAFCWVYVIKIRNAEHLSIWKALAKTPASIVLIIYCFLCVWFVGGLSVFHLYLMSTNQTTYENFRYRYDRRDNPYNRGILNNFLEIFCTAIPPSKNNFRARVTVEQGLQQTRSQSRGFMSPNMGKPIGDLEMGRKPVPWDEPRTAADIRDLEAGLGGMFEEKEGRIAHASPDMSRDELPVEFVDGRAGMHSRESSWVRRGTDEFEASMAAFGLEADGGNSVARTGTH >KQL02101 pep chromosome:Setaria_italica_v2.0:VI:29930156:29932915:1 gene:SETIT_015258mg transcript:KQL02101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTFTNLVNAAIQSSAPQNPNTQQQNGFSPHFPMNFAPHQFGPNTFPPQYYPQNFNPFGVRPGYHQFPASGYQHGIPFPGSFLGGDMAGGPSSPASSAAMFGVGGSRENMNKDTIRGEEWSDTGSDEEKKGGRMYWSEQDNLRLISAWINNSNDPIDGNSKKGPHYWQQVADEYNLNKRNKVSASGAYTSSSNQDTEEAAETERRRPPGQKQAKEQRKGKGKRTA >KQL02102 pep chromosome:Setaria_italica_v2.0:VI:29948010:29948843:1 gene:SETIT_015416mg transcript:KQL02102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAQHMLCADALNKKFVMGVTVGQVDRHYRYHKENWGYISKALRKSGNTFDHTRCLVIISESEKPLQNDKERRLLSKPIKFYNEMEELFTGSSADDTDDLRELLDLNCYTQPEDMADEDSDTLPTPTRQATIDNSSSSTSQAGKKRPRGKKSPTKKPKNKSRFAQSTNEITAIMKSLRETLRVLFGEHLSSKENKGMRG >KQL00760 pep chromosome:Setaria_italica_v2.0:VI:4748995:4752794:1 gene:SETIT_014174mg transcript:KQL00760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRTQRTRREYLYTKSLEGADRVQFEKKRRIRQALEEGKPIPTELRNEEHELRRQIDLEDQERQVPKSIVDNEYATANIREPKIMLTTSRNPSSPLIQFVKELKVVFPNSQRINRGGQVISEIVESCRSHDITDLILVHEHRGKPDGLIVSHLPHGPTAYFGLLNVVTRHDIKDRKAMGKMSEAYPHLVLDNFSTKIGERTATILKHLFPVPKPDSKRIITFANRDDYISFRHHVYEKPGGPKSIDLKEVGPRFELRLYQIKLGTVEQDEAQSEFVLRPYINTAKKQKTLGS >KQL01240 pep chromosome:Setaria_italica_v2.0:VI:11852186:11854794:1 gene:SETIT_013358mg transcript:KQL01240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLAHPEANVAATSRVKRKSPDHAAASTVSAATRSKKQKITLTCMVCGISTNSEKAMQDHVNGKVHKRKATALLELPKPMTEPEPEAGHEARDGELAQSGDYTPTKLTMLTNTGALNEVMQMDGYLLCEVCNVRTADRVTMMCHLEGSKHISKGQNKGQASSKPPDQAAKEGVKGLSVPEADTSAVATADPETLVLELYGVSHTVRMLQGFLLCELCNVKAPSMNGIRHHLLGKKHKNKANASSDVSANVSTGGNAAAKVEAPLAKSVEAKVGDDSELQVTTMASTKEDAATGDSTETHGDKEMKASASAAVAEENNFCRFDSLTMEVDNVCHPIQRVDGFLVCPCCNAKAPSEIIMRSHLTGKKHKHKMRLAVRNNKKDASVLSTGGDEVLGKSSKSMEANVEAESAPPIVTQAKNAAAMAPMGVDSPAEVKGIEPAEDGEITQVQSNSSNSVKAGEKAEPVPPLAVLQVKNAAAMVPMEVDDLAEVQPRIEPAEDGEITRVQNNTSKSMKADEEAESEPSMAAPTVKNIPAMAPMEVDGPAEVQPYVERIEDGEITEEAVGEHSADRANGSVAQAKESVETNDNAAPGKTIKIQVEGKVFTVLQQQNGGLSCQTCGVHGCNKDGMILHLYTRMHWDKANLAQKEKEAAATAVDDKDGNGGSAAGECSGGALTTTV >KQL01430 pep chromosome:Setaria_italica_v2.0:VI:19390388:19395779:1 gene:SETIT_013931mg transcript:KQL01430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWGQGARKPIMGLLFRAQQQAARGYSSSAFQTHILGVDAPQNGMFLRRFSSQVSSSEQMSLIKQLRERTCAPIKDVKASLVSCNWDIEAAQKDLRKRGVVLAAKKSSRTAAEGLLAIAQDEKRAAVIELNCETDFVARNDVFQYLASSVAKMALSAQGPGQLFLPFGPEYLENMSINLDHPKLSGETTVQSAVTEVAAMVGENVKLRRGFILSTTAHGVVSSYVHTCPQPGLGRIAGLVTLEAEDSSASLDALKTVGSSIAMHIVATKPLFLSKELVSAAALENEREILRTQAESSGKSQMAMDKMVEGRLRKYFEEVVLMEQKYVLNDSTNIKTVLNDLSKEVGSKVTIGNFFRMQVGEGIERHEAADGSEPVANAA >KQL01592 pep chromosome:Setaria_italica_v2.0:VI:22584010:22585155:-1 gene:SETIT_015751mg transcript:KQL01592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDAVVAAVHHALFAGATAVLLALAVALFLLWRKRRAAAARGADADLAAAHSGSAAVATTAPLQVVPLADVDRATDGFHPSRVIGQGRHFAVYAAAPGIAAKRMHPHLVLGDPGGRRFPAAVRSLAVPPHPNLAAIVGLSEGPGERVLLVERAPVGAVGLDRLLARDDDARHVPTTLPWRQRAAVAAGAARGLAHLHAHGVVHGRVRPCNVLVSSSAAGGRLAVRLTDYGLAGFLDRRDDARAEDDVYMLGAVLLELLTGRRWDGGRLADWALPRIRGGASVEVLDVARAGAPADKAEARLLARAARVALACVGNDGRSRPGMAEVSAILSDVEAAYRRRDGAPAGEDDEPDDGGEGRLSGCLLGPSRSVHKADMLLRPPV >KQL01468 pep chromosome:Setaria_italica_v2.0:VI:20193870:20194178:1 gene:SETIT_015239mg transcript:KQL01468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLPADAAASDFESQTETDSSDDCCRGYHTPFPQGDVLRAFHHADNTFVCPICLGRRQRWRILNEVKDHILGMATSAPMRGENKKKWSCHRVIARSEGWMV >KQL01487 pep chromosome:Setaria_italica_v2.0:VI:20451484:20455032:1 gene:SETIT_015820mg transcript:KQL01487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPPPKKAIRNPGPGAGGPSSAGGGGPRGPAGNTVKFARRTSSGRYVSLSREDIDMEGELAADYTNYTVQIPPTPDNQPMGDGAEAAASVAMRAEEQYVSNSLFTGGFNSVTRAHLMDKVIESEVTHPQMAGSRGSRCAMPACDGKVMRDERGEDIDPCECRFKICRDCYLDAQKDGCLCPGCKEHYKIGEYADDDPADASAGKHYLPAPGGGMSANSKSLLARNQNGEFDHNRWLFESSGTYGYGNAFWPKGGMYDDDLNDEGGPGGGGGGDLPEQKPFKPLTRKIPMPTSIISPYRIFIVIRMFVLLFYLTWRIQNPNMEALWLWGMSIVCELWFAFSWLLDMLPKVNPVNRSTDLAVLKEKFETPSPSNPHGRSDLPGLDVFVSTADPEKEPVLTTATTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANIWVPFCKKHDIEPRQPDSYFSIKGDPTKGKRRSDFVKDRRKVKREFDEFKVRINGLPDSIRRRSDAFNAREDMKMLKHLRETGADPAEQPKVKKATWMADGTHWPGTWAASAPDHAKGNHAGILQVMLKPPSPDPLYGMHDEEQLIDFSDVDIRLPMLVYMSREKRPGYDHNKKAGAMNALVRCSAVMSNGPFILNFDCDHYINNAQAIREAMCFVMDRGGERIAYIQFPQRFEGIDPSDRYANNNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFDPPRTAEYTGLLFKKKKVSSSSSSSFRDPETTAVDTQSLKPEDFDAELTSMLVPRRFGNSSALMASIPVAEFQARPLADHPAVRHGRPPGALTVPRPPLDPPTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVSGYRMHNRGWRSVYCIPKRDAFLGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRRLMFLQRVAYLNVGIYPFTSIFLLVYCFIPALSLFSGFFIVQTLNVAFLCYLLTITVTLIALGVLEVKWSGIALEDWWRNEQFWLISGTSAHLYAVVQGLLKVMAGIEISFTLTAKAAADENEDIYADLYVVKWSSLLIPPITIGMINIIAIAFAFARTVYSDNPRWGKFIGGGFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLISITISLLWVAISPPEATAGGRAAGFQFP >KQL02499 pep chromosome:Setaria_italica_v2.0:VI:32897361:32898643:-1 gene:SETIT_014342mg transcript:KQL02499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRDSYLDVVLIPLAVLFPAVYHLWLWRAVRRSPLSSTVGISAAARRLWVFSMMKNNEKQAILVVQSVRNVLMGSTLVATTSILFCTGVAAVLSSTYAVKKPLSDAVFGAHGEYMMALKYVVLLTVFLLAFLCHSLAICTLNQASFLVNALSPSPALHLPLTKDYVADVMERGFLLNLAGNRLFFAGAPLLLWIFGPVLPCICSMAMVPILYNIDMIEYVKEGRSNGEANAKVEMVDTESDQSTEV >KQL01313 pep chromosome:Setaria_italica_v2.0:VI:15061992:15062260:1 gene:SETIT_015795mg transcript:KQL01313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVHRLRLKLHHLDTKEITGTPKRSTICKRCKQLGHMDKTCNEYVHPKRKRGKKNVTVVPSCVAEPPQEQPSYADPL >KQL00632 pep chromosome:Setaria_italica_v2.0:VI:3621309:3621878:1 gene:SETIT_015237mg transcript:KQL00632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDAPAPVAHQVAVAAMGDHDLQPPQQRRRRSRASSEYLGVRRRPWGRYAAEIRNPVTKERHWLGTFDTAEEAAVAYDISAISISGGAAARTNFYYPCGAGLELGAAGAATTLQQQQPSPYGQVPIAPPPSPLSDGSGSGSTVEDYECQLSAGEADDADDDESLTIAAILQSFRHQNAPSAPSASLYLL >KQL01844 pep chromosome:Setaria_italica_v2.0:VI:27049779:27051203:1 gene:SETIT_015602mg transcript:KQL01844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVTISMLLPDGIIKVDLGPKSYIAYGFTQELGRGDSVTKLHCDISDAINVLMHTTKVPPSNEHQENAIVELKRKHRVQDRKELGSRDGGDDTQDKPSPKYMEDKEGALWDIFRREDVPKLKEYLIQHSKEFRHTHCSKVYNPVHDETFNLIREHIRKLKEECGVEPWTIVEKLGEAVFVPAGCPHQVRNLQSCTKTALDFVSLENIRECVHLTEDFRMLPNVHRAKADKLENKEWVTIGPNTLPIILLLLPRSIYFYCVTT >KQL00311 pep chromosome:Setaria_italica_v2.0:VI:1248607:1254826:-1 gene:SETIT_013487mg transcript:KQL00311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRSHSSSSPGWRHLSQWYYLKRPARLALLVVGFVAATIAARDRLSLVRDYEAEISRLDEEVNRLHDQLRMAGIYLDESPNIENIIKKHHLEIDHVNNARREKVKEAMLHAWNSYVKYAWGHDELQPQSKNGVNSFGGLGATLVDSLDTLYIMGLKEEFKKARDWVAESLDFDKDYEASVFETTIRVVGGLLSAYDLSADKIFLEKARDITDRLLPAWDTSSGIPYNRINLAQGKASNPGWNGGNSILADSGTEQLEFIALSQRTGDQKYQQKVENVIRQFQKIFPSDGLLPININPHSGAVKSYSTITFGAMGDSFYEYLLKVWIQGNKTESVKHYRQMWETSMEGLLSLTRKTSPSNFYYVCEKNGDSLSEKMDELACFVPGMLALGASGYSPEKAEQIMNLAEELAWTCYNFYQSTPTKLAGENYYFHGGQDMVVGTSWNILRPETIESLMYLWRLTGNKTYQDWGWDIFQAFEKNSRIESGYVGLKDVNTGTKDDMMQSFFLAETLKYLYLLFSPPSLISFDEWVFNTEAHPLKIVTRHDNEGGSGGGEGKGIADSKIQPQGRKHGKPE >KQL00287 pep chromosome:Setaria_italica_v2.0:VI:1040740:1041979:1 gene:SETIT_014233mg transcript:KQL00287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSPALLAVVVALAILQVASAASWLREFTTDGTVRTGYDASGQKVMMLNLDRSSGPAGFNSKEQFLYGEFSIEMKLIRGDSAGTVSCFYLSSGTDDWRDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFDLWFDPSADYHTYTIIWNPENILFKVDNVFIRSFKRYADLAYPSSKPMTLHATLWDGSYWATEKGKVPIDWNRAPFVVSYRSFYANACVAGGACHAGRDGWMGKRLNGAEWGTVRWAERNYMRYNYCQDAWRFPQGLPAECNRN >KQL00793 pep chromosome:Setaria_italica_v2.0:VI:5086826:5091493:-1 gene:SETIT_015046mg transcript:KQL00793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAVGAVTSLLGLIRNEALLLGNLGSDVQFIKEEMESMNSFLKHLAKTAPRGPSGEPDEQVRTWMKQVRELAHDCSNCIDLYLQRGNPAAHRYYSSGSLLQRLVCWAPWMLDKLLAQHYAANQLRELRRRAHDVGQRRLRYGVEVPKQPTAAPPPAAAAQEDDEVEEDEDHSDGEGGQYDGYEAAKTAVVRRRALEPRSLDDYCAEKIVQWLEGDAAKRASTIPSIAIVAPDPEVAGANAPYAREALAFVASTRFKRSVWINLLNVHKKEYLRIRNPARPTDILCYILRECQEQNKQKKHHGEVQEHDVKDQAYKDRSNILGDISAKFQDEKMKKKVVEIVSKIQEVETSHAQEEERYKSEDTTDGTVETTSDTLWVNKPLGILLQALHFLLIKPGETSDGKSLREGIEKTLWDHDKIIKETAKKLKQHIEAVEPDLAKKDAADPEQKEKEEAKPVFPISLDPFRYEHILHKMFPDNEPQQAQEATTSSSPDGSRAAAADSGATATTSVGNGELKEIIHKIVHGILQDILKEQQQQFPQLPEATGKPAAKQEQATQHKPVHHEEDEYASAIEEAKQKITQIKSEIKEQVIIQMTLIIIEDDDNYVSGWEEIRNALYQLLNEGSAMIVTTRNIQRAKEICNPPREPISNSIVSLYHDILLQFTSQSVKDASQIFRDILDKCYPNEFCMKIFAHAIYANPNRSNEDLCKLLGSLDSQKSLGSINAKKMIKFSYNDLRKEYKACLLYLAIYPPGYPISRSTLVGRWVVEGLIAKEEWPNAVHHAERCFEALVNRWLVYPSDIGGVGKAKSCVVGDLVHEFITKIAKKQHIVEPRLSHHLARHFSIFNDVRLRGSDGIDTFLKKLRGSSEFSMLKVLDLEGCHCFQRNQYYLNDICRNILLLKYLSLKGTDITQLPSEINNLYELEVLDIRQTMVPAYATRSLLLLKLKRLLAGHTDLSSRNGDTDNSKRDKMPLFSFFQSKQAPLFSSVQAPLKIRKMANLEVLSNVKVSWTGKELKDIGKLWKLRKLGVVIDDKENLLNNLLVAISDLYESLRSLSVSTVPSTIREGTSPNGHLPKNIRRYLRYRPKLLESLSIHGSTHNGQLLSIVAEGLSKLAKVTLSSTSLNQDDMKVLSELPNLRYFRLRYKGYTADKLTFKHDGFKNLKSFLVEGSNMMSIEFQNGAAPELEKIVLSSTNIKSLCRVGGLPKLKELELKKNRFLLSITEEGGTDEKYTRSKLTFKKDEFQQLKYFLVEGPNMETDIIFEDKGALELEKVVLSFANIMSISGANNLLKFKHLELKGNKSLLLSSLENAKKISKVILHSTWLDRANLQILAKKPRIRCLVLSQNSYDESQLIFNNNDFPELNILIIECSTITNISFTNGAAPKLEKIVWSFTKMNSLSGITDLPKLKELEFTGDFVPDQVRDSIKAHTKQPVLTIKQPHHQDQGNGRVQEDDNDGKFSAACSWLLKNKYWPAAGQNEDFLADILHPSIHP >KQL00991 pep chromosome:Setaria_italica_v2.0:VI:7234684:7234755:1 gene:SETIT_014883mg transcript:KQL00991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQANSFEEIDKLVRILTLLASF >KQL02769 pep chromosome:Setaria_italica_v2.0:VI:34454275:34455627:1 gene:SETIT_014588mg transcript:KQL02769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASFSSGSCTKREKDNKDVNLLEPMYSVEFEAIQGGHGCKVPPGKRDFLIPVDEKHDYDWLMTPPAESTFTWIVVRGGQGRSAPSPSTASKAYSFDTVHWHAPIRPHHQRPAAPPLSSTSSTRKAFDSIHKERIASERQGKFNDRAQ >KQL01311 pep chromosome:Setaria_italica_v2.0:VI:14877836:14879148:1 gene:SETIT_013945mg transcript:KQL01311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKEFLLLAFLFVLHGAMTNVHGANIIDRCWRRQRNWAANRQRLAVCSVGFAGKMRQNRGPGVIPYTVTDPGDDPVRPGPGTLRYGATVLPGKVWITFQRGMHIRLVQPLFVKSFTVIDGRGADVHIAGGAGIMLYQVSNVIIHGLHIHDCRSQPTGQVVVPGGAVRPAGGMDGDAIRLVSSTKVWIDHNTLSRSEDGLLDVTVGSTDVTVSNNWFLNHDKVMLLGHDDGHAADRRMRVTVAFNRFGPNVNQRMPRIRHGYAHVVNNLYDGWVQYAIGGSMGPSVKSQGNLFVASGADNKKVTRRMPVGGKDWDWASIGDSFQNGAFFKQTGSRVRPNYNKHQAFAAASANEVRSLTKDAGALSCKAGSAC >KQL00702 pep chromosome:Setaria_italica_v2.0:VI:4099554:4106215:1 gene:SETIT_013204mg transcript:KQL00702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGGDGRRNNGEQANGNNRHGCVCGFPVCACAGAAAVASAASSADMDRVVAVAATEGQIGAVNDESWVAVDLSDDLSGDGGDDGVAIEDRPVFRTEKIKGVLLHPYRVLIFVRLIAFTLFVIWRISHRNPDAQWLWVTSIAGEFWFGFSWLLDQLPKLNPINRVPDLAVLRQRFDRADGTSRLPGLDIFVTTADPFKEPILSTANSILSILAADYPVEKNTCYLSDDSGMLLTYEAMVEAAKFATVWVPFCRKHGIEPRGPESYFELKSHPYMGRSQEDFVNDRRRVRKEYDEFKARINGLEHDIKQRSDAYNAARGLKDGEPRATWMADGNQWEGTWVEPSENHRKGDHTGIVLVLVNHPSHGRQFGPPASADNPLDFSMVDVRLPMLVYVSREKRPGFNHEKKAGAMNALTRCSAVLTNSPFILNLDCDHYINNSQALRAGICFMLGRDSDTVAFVQFPQRFEGVDPTDLYANHNRIFFDGTLRALDGMQGPIYVGTGCLFRRVTLYGFDPPRINVGGQCFPSLGGMFAKTKYEKPGLEMSTAKGAATAVVAKGKHGFLPLPKKSYGKSEAFVDSIPRASHPSPFANATGDAGVLTDEATISEAVAVTTAAYEKKTGWGSNIGWVYGTVTEDVVTGYRMHIKGWRSRYCSIYPHAFIGTAPINLTERLYQVLRWSTGSLEIFFSKNNPLFGSTFLHPLQRVAYINITTYPFTALFLIFYTTVPALSFVTGHFIVQRPTTMFYVYLAIVLGTLLILAVLEVKWAGVTVFEWFRNGQFWMTASCSAYLAAVCQVVVKVVFRRDISFKLTSKQPAGDEKKDPYADLYVVRWTWLMVMPIIIILVNIIGSAVAFAKVLDGEWTHWLKVAGGVFFNFWVLFHLYPFAKGILGKHGKTPVVVLVWWAFTFVITAVLYINIPHIHGPGGKHGHGGALGKHAHGHHAGSKFGYSEVYGWP >KQL00717 pep chromosome:Setaria_italica_v2.0:VI:4265596:4269206:-1 gene:SETIT_014113mg transcript:KQL00717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFVDPWDSQSRARVVHSGANSTSSPSSSTTARASPSLPHAASCAATAVALLAAAFYLPPAYQIFSSLLVWIASSLLLAPFAPSSATGGDISVGRGRLLPAQEPAQEEPIPDSAPAPRRGRRQNPAPTPTKPSDPIAAPVQSAASLQPLQKAAAAGGAAVDGGEREEDAGEWTDQELELLRRQMVKHPAGEPQRWEKIAAVFGGRRTPESVIHVAKSGAAAAGGGSFEQFLRKRKPLDPRADGPDAGDNAGGGGESADGAWSAGDDRALLNALKEFPKDTAMRWEKVAAAVPGKTKAACMKRVTELKRDFRSNKAAS >KQL01058 pep chromosome:Setaria_italica_v2.0:VI:7716078:7716434:1 gene:SETIT_015303mg transcript:KQL01058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSILLAAAALAALLAISSCGSALTFKTGPGCSATRLVLIPSTAISEVEVKEKGASDFSELKEGPAGTWTLESKAPLKGPFSIRFAAKSGGYRVVDDAIPASFKSGSNYKTSLQV >KQL01577 pep chromosome:Setaria_italica_v2.0:VI:22190421:22190978:1 gene:SETIT_015207mg transcript:KQL01577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEPAATAAAAAHDYPTIDPTSFDVMLFGTGLPESVLAAACAAAGKTVLHADPNPFYGSHYTSVPLPSLASFLSPDPSPSSSTAAAASGSHTAVDLHRRSVYSEVETSGAVPEPARWFTVDLVGPRVLYCADEAVDLLLRSGGSHHVEFKSVDRGSLLYWGGCLYPVPGARNDIFKDCCHISFC >KQL02202 pep chromosome:Setaria_italica_v2.0:VI:30858920:30863550:1 gene:SETIT_015927mg transcript:KQL02202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSINLIVLQLTALPVCVGSSCCVDWSSSNCFSISIHKSDDKAIESVKQTPAYRNRLKALEFERTGGIANKKKGHKQADKKAEEEVSNEVELQIHGVEKPSVWRLYGVQFILLPYSIGKVLTWEICWFWRYWIKKLPYAWEYACYLTQTSLKIPAGTWKNIDEPRKDDLVTETP >KQL00409 pep chromosome:Setaria_italica_v2.0:VI:1878037:1879539:1 gene:SETIT_0135051mg transcript:KQL00409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKHSYHRADIAVTYSLFIGGFFLDFCAIFISLRSPWTWLWLKARGCNMLARFSWFLFSNDAIGWSEKRTLRSIVAGQYNLRGWLVHTEQPRSFFSPLMMTVRKSLTLCGAQEDKIFWLSKILGWEYAKGDKIMECLQSKMYGRWYSTGAIRSVWDEHDNIMECIQGFVGQRKKSEPNDFGYMLVYMHIQTEAHLREDLLSHVESTVCRQLSRYMMYLLVTHPSLLPLDTSAVATLDWWRAAAASEGMSRLERMNPWLSSTEALKELVDFWVWVIMYAAAKSRSEMHAALLARGSGELLTFVWLMMAHHLKTGDFSDLSIFRKIDHRDFTAIGVFGQRF >KQL00722 pep chromosome:Setaria_italica_v2.0:VI:4361888:4362795:1 gene:SETIT_015052mg transcript:KQL00722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVYGSLDKNIITPLLEKCALFKKLLADMINSHRDGLSWEGDIDTGDEDVYDDKVSIAKEPKSFPIRPKISLLMDKTFIRMLSGMASYDSWWSNTETAESLRELIENHPFLKPDMTRSNLWSGIFCAYSSHDAEDLTSKFKTVITSYGHMAWTGETQENRMLRCVFKYKNKKSQPSVTAGRGADGPAAGPAASTVGSAAGADGPAAGPTASTVGSAAGHAASMVGSAAEGPWQADNLSGYDEENAAFLIEYLRHLFHHGSGYSFASGGAAGSLG >KQL00116 pep chromosome:Setaria_italica_v2.0:VI:27695:29737:1 gene:SETIT_014412mg transcript:KQL00116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIEFAPILLIALKAALVSSANNFFQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLEDKVFSIRDAAANNLKRLAEEFSPEWAMQYIIPQVLEKINNPHYLYRMTTLQAISLLAPVMGADITCQQLLPVVIASSKDRVPNMKFNVAKVLQSLIPILDQSVVEKTVKPCLVELSEDPDVDVRYYANQALQACDQMVMST >KQL00269 pep chromosome:Setaria_italica_v2.0:VI:887803:890822:-1 gene:SETIT_015695mg transcript:KQL00269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTAARAPTPPPPPRRRKESKGISEPAAAMGIGEHFEGVKQHWARNLAFLDYFKKVYGRAEPLPKWSDADVEEFIASDPVYGPQLKVLRESRKFALGGALVGAAHLGGVAFKYSKAPHGVLLATGFGAITGAVLGAEVAEHWYQLYKVDKQGANLRFIYWWEDKVSGQKS >KQL01556 pep chromosome:Setaria_italica_v2.0:VI:21650215:21651627:1 gene:SETIT_015486mg transcript:KQL01556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QEVDSTFHSLIEDMKDKLNRPPAPATEAPPPACLISWVNEQIREAKPGEYMPLHVPIGPFHRDPSHWMQHWKRHLLYRALPGAGEQQREQALRRYLEAMAAVEVRARRCYDGTFSGIDSEAFACMLLLDGYFVLSCFELAGSNTGGDAGGHGSQESRPREFEAYRRDVIFLLENQVPLFVLEDIHRLVVTYGENDNSSVVVQGIASHIEKRLLQLMMLHDTVTYRTVMPNFRGESPCHLLHLLYEYFRPADRRRRSRSGQPNCSRTAAVAHVAVPVVTKPRWRSATYYYAAGVRFAKRKLDGNEVRSILDVDVKDGTLHVPCLMVDANAMTILRNMVALEQHNPGIGSRHVTAYCFFLSQVASTEEDVRLLSSKGIIEHGLRTDSAVANGFAGLCTGVALNMTNPDSYLKPIQDDLEVLCQSRWRKSMAWLRHTKCNNILMALAVLGAVILFVCTVEQSLFAALSYAKGK >KQL02292 pep chromosome:Setaria_italica_v2.0:VI:31534583:31535646:1 gene:SETIT_0141602mg transcript:KQL02292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTANSRQHHAFEKSPSSHIKNLDRKLQQAMNNATSKYMQRIYPLGIQRSSSNLTLSSLSLSQNSNDSSLSSSNSSWEPKVPLLYGGTFSPWGDVMVSLEMRREDDDKASDHDVEGGEEDFDCSEPGSMHRCSWITKNTDEAYVQFHDECWGRP >KQL01380 pep chromosome:Setaria_italica_v2.0:VI:18298770:18300145:-1 gene:SETIT_015145mg transcript:KQL01380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSTLHEDEVVTSAPQPSATTASTSNPKRQRGKQSRNQIPKKGILVIELLGTKGEPILPEGIAARFRNICGAIIKNKLQTWITTSNWKDVPTTTKDVLWATLKEKFTFCESQEKFARNFAEGLLVRCFKNWRSTLNKEYVQKGKNAREDFGRIPQEMWEEIAGLPNLFEGLDEHSRNWVLVQISTITPDGKVKFKHPTIGKIYTRLEQLAEAEPTMQLAHTGFVASSSAGSIANVRYPVDDIKWIHHAGIQPEYDWVQVVMVLDESCEIDIPTNKGIKIFGDAMNQL >KQL00322 pep chromosome:Setaria_italica_v2.0:VI:1358757:1359541:1 gene:SETIT_014700mg transcript:KQL00322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYTGGRKRAREQAPMASRVHDPRPEVTLQDATRFVTALKRELAGQPGRYEEVFAVMRQFRAGSIETEEVVDRMKVLLSGHPELIHAFNQFLPWGYIRTHGSAGGSSN >KQL02279 pep chromosome:Setaria_italica_v2.0:VI:31401719:31403096:-1 gene:SETIT_014103mg transcript:KQL02279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDESGLKKGPWTPEEDEKLLQYIQKNGHGSWRTLPRLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILHLHSVLGNKWSAIAAHLPGRTDNEIKNFWNTHLKKRLIQMGFDPMTHRPRTDFFAALPQLIALAAFRDQLGAAADPAAAQLQAGAAAGVDVAIQAAKLQYLQCLFQSAATTIASTAAAGAAPDAEAAAAALGGLCSPQGTHDSTAPPVSAAGGQLPSCTFPEAPVSSEGNQGLSYGGADVDVFSFHGGGSLPPLADLSDAANYPSADGCSATASSSFGGGATSPLPWPEFFPDDPFITDFL >KQL02572 pep chromosome:Setaria_italica_v2.0:VI:33284963:33285961:-1 gene:SETIT_015665mg transcript:KQL02572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSAGFLEFKLDYSGCKNLAIGEAVYSKNISAGGHVWRIRCYPRGSVKEDNGEYLSIYLELMSESRNVKAIFDVFAMERDGAPSSSHARRCVKVYPPEGYRACGFLQFVKRSDLESLYVANGWARIMCVVIVVRDDDPLDVPPSDIGSHLGHLLDCPETSDVSFVVNGEAFPSHRAVLAARSPVFKAQLFGSMKEAAMSSITLHDIAPATFKVMLRFMYTDSLAGEDDELGDSATENEKFQDLLAAADRYALDRLKLLCASKLWDSVSVDTVAATLACAETYNCPKLKTKCMGFFAEEKNFKKAVLTDGFVQLVQKFPSIVAELREVVNGE >KQL01598 pep chromosome:Setaria_italica_v2.0:VI:22612839:22613305:-1 gene:SETIT_016007mg transcript:KQL01598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRVSFKFIEKIMQFCQSISFRHRAHAKLLRFSFRSSSV >KQL02188 pep chromosome:Setaria_italica_v2.0:VI:30738618:30740393:1 gene:SETIT_013972mg transcript:KQL02188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLLAAACRVLPLNCPATTAACPVQISLRRAMAAAPVAPPPASADDEIVYESMPCIRIYKNRVERYFGSEFVAASTDAATGVASRDVVISPNVSARLYLPRLADGAPATKLPVLVYYHGGGFCLGSAFNPTFHAYFNSFAALANVLVVSVEYRLAPEHPVPAAYADSWEALAWVVSHLADSPNDGGNRDPWVAGHADFARLYLGGESAGSNIAHHMAMRVAAEGLPHGAQIRGLVLIHPYFLGTDKVPSDDLSPEARESLGSLWRVMCPTTTGEDDPLINPFVDGAPALATLACGRVLVCVGEGDVLRDRGRAYHDRLKASGWQGEAAIWQAPDKGHTFHLLEPCCDEAVEQDKVISDFLNR >KQL00353 pep chromosome:Setaria_italica_v2.0:VI:1576471:1581589:-1 gene:SETIT_013190mg transcript:KQL00353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVMVSSAGSLLAMLQEPAPELKLHALSSLNSLVHAFWHEISTSVSSIESLYEDEEFDQRQLAALVASKVFFYLGELNDALSYALGAGSLFDVSDDSDYAQTLLAKALDEYAAIRSRAAGEDKTMDPRLEAIVERMLDKCILDGKYQQAMGMAVECRRLDKLEGAISRCDGIHGALSYCINLSHQYVSHREYRLEILRCLVKIYQTLPNPDYLSICQCLMFLDEPETVASILDKLISGSQDDALLAYQTAFDLAENENQAFLLNVRNHLDGLSSARAEPDSGPALPNDQAANTSTEPTGDVQMGEDVNMPNGSALAVDPNKVAHADRLTKLKNILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICSNAIMHAGTTVDTFLRENLEWLGRATNWSKFSATAGLGVIHRGHLQQGRALMAPYLPQNGAVGSASPYSEGGALYALGLIHANHGEGIKEFLRESLRNTSSEVVQHGACLGLGLAALGTADEEICEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKATEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVLALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESYDSRVGAFRRKLEKIILDKHEDTMSKMGAILASGIIDAGGRNVTIKLKSKSKHDRLTAVVGLAVFTQFWYWYPLTYFISLAFSPTALIGLNSDLKVPKFEFLSNTKPSLFDYPKPTTQRTATASVKVPTAILSTYAKAKSRAKKDAESKAKEKAEAAPPSEDASAASTSMQVDGAAAEKKAPEPEPTFQLLTNPARVIPAQEKFIKFLEDSRYEPVKAAPSGFVLLRDLKPTEAEELVLTDAPSTAAANNAAAPNASEQGSAMAVDEEPQPPPAFEYTS >KQL02717 pep chromosome:Setaria_italica_v2.0:VI:34132243:34132655:-1 gene:SETIT_014828mg transcript:KQL02717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNHNIFFYNRLYIWFSLTVTQVIRIGVILYNSSFATDTAKSTSTQVCRPFKRLTD >KQL00321 pep chromosome:Setaria_italica_v2.0:VI:1339805:1340884:1 gene:SETIT_015004mg transcript:KQL00321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSQRPAKKMVSRCNPETERGTLVFDIAGYSLLKGLGDGKFIRSASFAVGGHDWCIRYYPNGDWSEDCKGYVSIYLELMSKTTGTGVMACFDLRLLNQATGDSKVLKNQVITRMFEGVHLVWGTKIFMKTCELETSPYLKDDRIVIECDIAVVVGTLVHASETVCEIYVPPSDLMDDLRKLLEAEKRTDITFKVEEEVFQAHKFVLAMRSPVFEAELYGPMADKRRQIMIEDMQPAVFKALLHFIYTDSLPAMDDLNENEKEEMVKHLLVAADKYAMERMKLMCESIICKRLHVDSVATTLAPADQHNCNKLKDACIGFISSKKKDDVMASKGYEHLKRVCPTIFMDIWENAPKSQKI >KQL00757 pep chromosome:Setaria_italica_v2.0:VI:4733801:4740886:1 gene:SETIT_013456mg transcript:KQL00757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLLPVTNAAAETDVLLDAWDFKGRPAPRASTGRWGAAAMILVAELNERLTTLGIAVNLVTYLTATMHLGNAESANVVTNFMGTSFMLCLLGGFVADSFLGRYLTIAIFTAVQASGVTILTISTAAPGLRPATCPSNDASCSRATGPQLGVLYIALYLTALGTGGLKSSVSGFGSDQFDETDRGEKNQMMRFFNWFFFFISLGSLLAVTVLVYVQDNLGRPWGYGACAASIAAGLVIFLAGTRRYRFKKLAGSPLTQIAAVVVAAWRKRHLELPADPDMLYDIDVGKVAAAEEGSTKKSKRKEKLPHTDQFRFLDHAAINEDPAAEPSKWRLATRTDVEEVKTVVRMLPIWATTIMFWTVYAQMTTFSVSQATTMDRRIGPSFQIPAGSLTVFFVGSILLTVPIYDRIVVPVARRLNGNPHGLTPLQRIGVGLVLSVIAMAGAAATEIRRLRVARDAAVPAGGVVPMSVFWLIPQFFLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSALVTCVHKVTGDRHAWIADDLNKGRLDNFYWLLAVICLVNLLVYLVAARWYKYKAGRPGADGSINADEPMLH >KQL00987 pep chromosome:Setaria_italica_v2.0:VI:7165920:7166576:-1 gene:SETIT_014721mg transcript:KQL00987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQRVVRHGGSAASEQAATETARKDKGRRDAIMAIKAQVLRCSEQQGRRRFKRGYLCSGGDGRGDAADSAIFYLACLAVCTTATGSKQTYMCAAVSRI >KQL01971 pep chromosome:Setaria_italica_v2.0:VI:28552829:28553061:1 gene:SETIT_015867mg transcript:KQL01971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLLRLHDHITEIGCYFMLHISNIFSGRIVAPM >KQL02269 pep chromosome:Setaria_italica_v2.0:VI:31342638:31342758:1 gene:SETIT_0142202mg transcript:KQL02269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGRGSPVAWKGHIEMRPDHGWVKDGQGEPRGGVGVPA >KQL02740 pep chromosome:Setaria_italica_v2.0:VI:34290853:34292826:-1 gene:SETIT_013858mg transcript:KQL02740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATGLSLGKSVLDGALGYAKSAAAEEVALQLGIQRDHAFIRDELAMMQAFLRAAHGERYDHEVLMTWVKQVRDVAYDAEDCLQDFSIHLKKPSWWRLPSTLLERHRIAKQMKELRARVEDVSQRNLRYQLIKRGGSKPDTTAGLSSNKAAAIFGIDEARRAAKHDKPKEDLVDLINQEGKDLRVIAVWGTSGDLGQTTIVNMAYENPVIKKKFPCRAWVRVFHPFNLNDFVQSLVKQFRSAMGIDVLLETEKTGKELAKEFTRYINENSYLIVLNDMSTFEEWNGIKACLPNHKKGSRIIVCSPQVEVASLCAGQESQALELKQLSIDQTIYAFYEKVECGSPRARGGSSVGAGAPYPHAVHGAPQAPNNFQPWMKRRKGGRRRRRKGMRRKKKISPPIQPS >KQL02399 pep chromosome:Setaria_italica_v2.0:VI:32244650:32249189:1 gene:SETIT_013796mg transcript:KQL02399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSDQELAHYVESIVRQTAAQGGTGISADSVVRQLGAQLGVDVSPKAPLIRSVLVALLGPAAAAPDPAASRKDPFDPAPTGAGAGGGGRARGEAPAQLPFSTSAAAASSASAPAPAPAVPHFFPQQMQSYLSASQQYQHQQHRHGAPPSPFDTAASYRYGHQPFPQADQAQLQRLVQLQQQQHQQMAAAAAAAAAASAAAATPGESPRAAAAPAGSKKDSSASAGAKRRGGPGGLNKVCGVSPELQAIVGEPAMARTEIVKQLWAYIRKNNLQDPNNKRKIICNDELRLVFETDSTDMFKMNKLLSKHIRPLETTNDSKRESKKLKSEGGEPISPVSPVETDVKQLPFVISDALATFLGTGEREMPHSEAVKRVWDHIKSNNLEDPENSTVILCDSKLKQLFGCESLTAQGVSELVSDHLFKQPTKI >KQL02422 pep chromosome:Setaria_italica_v2.0:VI:32419659:32422532:1 gene:SETIT_014172mg transcript:KQL02422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYSPALCLRRSSMHSHTNQFQGGFTHNMALWKCSHSQSSAYHVKSSQPQSLKYFVSLMGQQFRCGLSTREGSLSVKLDMPSQEKSRISWNWKGMHQKIGGVAGGLCFGFSVTGLASAEVPIIRIKDNGESSSTSSTHGKKVYTDYSVTGIPGDGRCLFRSVVHGACIRSGRPIPNEDLQRKLADELRAMVADEFVKRREETEWFVEGDFDTYVSHIREPHVWGGEPELFMASHVLQMPITVYMHDEDAGGLIAIAEYGQQYGKEEPIQVLYHGFGHYDALQIPAKIGSKRKL >KQL02739 pep chromosome:Setaria_italica_v2.0:VI:34290002:34290436:1 gene:SETIT_015841mg transcript:KQL02739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQINRPSVTVAGTSLTGEAATRTLHLLQATLNISHKIHGVPTPPRQSTTVNFLPLLVC >KQL00228 pep chromosome:Setaria_italica_v2.0:VI:665300:667431:1 gene:SETIT_014545mg transcript:KQL00228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFTVIAVVSFCLEISHHFVQVARTRRCGFAPPWSTAAAETEPTEFILETLEQSDQRSLIQYLAYQDLCESGETYKRIVTACLKPLEEFTSNIAEALEGYKPELSQQSNLFSAFDDSQIVPAFIDDLRANAKPASSLEKNWIGEGKKPSSEAVLVQKKLSLFLEYRSA >KQL01686 pep chromosome:Setaria_italica_v2.0:VI:24818824:24822654:1 gene:SETIT_015003mg transcript:KQL01686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFHAARLDAAQQRRPPGHAGCAWPPPRADDRRGLPPLPRRHPPPPPRGPPSPRPRGGGSGGEGTRRGGGLAEREVAGLGAAASGANAAVVAGESVGGAPAGFAASVVARDEKERGGGAGGGVGSKRRLPLASAPPPPKRRAVTTSARRQFPPGCGRQAAVPLGRPRSGDAGARGCSAVLEKAAAATAPLAGGKDGALFGTVTRGAACTSVLQKVSAAGADAPMAYCGHHGPEAGVVKSSSEALRRSDVAAPDGSLGTGLQGVPAVLVEGRGSGARSGELGRKEVVLAVSLQAEPMISFTKSSFPPGCGKDAVLSVLVGGGVGEMRLPLESDLADGDLGVAEDVVVPADGYVSAVHGHDMEILPPQCNVTIEGTVQDDGLEEGEIPPEVVVQESQVSTSDTLQNFSASRHWASAEISAAETSAIQSSNEETGGNMLQCEKRSSCLVAKKVEVMNIGSSCNGVPETLAEDSSKQNLMCNRMSESARMNRASSDVAAGDGTTIRNVTVHGSTAYRYGASAPQFSAVETSVMQSFNEKTGGNTLQCEENRPSRLFANDVKLMNQSIGSSNNIVAESLAEDSSKQDMMGKRVSESARMNRASSDFAAAGESGDGTKIRRKATFTPRKVVRPTKNLHKEEEIEHGRGVIINRIKDTGELTADQVMQVPVASDKFHMTQDKEAATTKGFFGPRKKVKVKASAHIQMKIASTGALGSKGKLNDEVASNLDDDDILKALVVHGGKLELYLNNSSGLPSVTCPRQYGSKNADARSKFKMLSRRFEFVCRALVQAVEQHSLKIRRIDLEADKVIRKLPGFTKHGPIVGQVPGVLVGDEFLYRVQLAIVGLHRVYQGGIDFTTYRNGERIAISIIASGGYPDELPCSGELIYTGSGGKPAGKKDDEDQKLERGNLALKNCIKTKTPVRVIHGFKGHNTEGGSHSRAKQISTFTYDGLYQVVDFWMHGRPGSRVFKYKLQKIPGQAELPMHIAKGMRKSKTRPGLYVVDISQGKEGTPICVINTVDDVRPTPFRYITRIKYPFGLTKLCHQGCDCTNGCSDSVSCACAVKNGGEIPFNLNGAIVNEKPLIFECGPSCKCPRSCQNRVSQHGTKIPLEVFRTTKTGWGVRSLSSISSGSFICEYVGELLYGKEADERRNSDYLFDIGLKCGDENLCNGLISTVSGLNSSSSCSQTMEDVGFTIDAAEYGNIGRFINHSCSPNLYTQNVLWDHDDKRMTHIMFFAAETIPPLQELTYDYNYEIDQIQDANGRIKFKVCQCGSPQCSGRLY >KQL00143 pep chromosome:Setaria_italica_v2.0:VI:187646:188579:-1 gene:SETIT_014477mg transcript:KQL00143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMQVMQPRLRPRGIASSAAARRRWVVRPLRASSATTKCCASVRLTVSDAELASRGFSVRRTAEGIDVAALNEVFARVGFPRRQEERLRRALEHSRVVWLSAAVGEEAGRPVAFARAAGDGVFNAVVWDVVVEPSCQGLGLGRAVMERLVQDLRSDGVGNIVLYAEARVVGFYRLLDFAMDPDGIRGMAYYRSKQPTANSSQ >KQL00214 pep chromosome:Setaria_italica_v2.0:VI:580896:584968:1 gene:SETIT_0138542mg transcript:KQL00214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEMVKAATSDKLKEMDWAKNIEICELVAQDPGKAKDVIKSIKKCIGGRSKSTQLHAVMLLEMLMNNCGEPIHRQVIDNGLLPILVKIVKKKTELPVREKIFLLLDATQTSLGGAKARFPQYYEAYYELVSAGVQFSNCPNVVVTRTEVPLPETRTEPNNESLSTRLNEAQQEVHAQPASDTSIVRKASSVMEVLRDVLNSMDPRHPEGATDEFVLDLVEQCTFQKQRIMHLVMTS >KQL01429 pep chromosome:Setaria_italica_v2.0:VI:19325351:19334500:-1 gene:SETIT_013765mg transcript:KQL01429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPSFRPRPVDIHRRLPIVRFARELEDDDPTFALRAAPPLLRYSAPEPAADGEAHPAPNKKNAQEIPTPQYDVVDTYERDYTRTFAQPTTYIRGRGARAEVGDFIEYDLDNEDEDWLEGYNNERKNLNAEMLEALLFKLEILDHKARERAGIITPTMIGPIPVILQLDSAFEALQYLSVWYAVFQAAYSYWKAKRERWQKPILRHLQPPPPPSDTNPYNVFRPREKAHRLHTRRMQRRENSAQSFERLRLVRRNLKQAKVLVEALIKREEKKREAMDCEVHLRRIQMKYKHEAQLLDDGIALSGLQQVSTQYGSSEDYSDSDDTSTEQPNLQPFAFHLRLPDKKLSVISSVRLKHEHELKRRLQQTAWLFKRISEMQDPEEPVMLFTRPLDPGKLEIAGIRPPPAPSIDGGATAQPFRCQGRIGRGGRIIFDRWNPL >KQL00486 pep chromosome:Setaria_italica_v2.0:VI:2450698:2452748:1 gene:SETIT_014991mg transcript:KQL00486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGASLFPSPPRRRRRRTETPQQPPEERDWAELPRDAVATVLGKLPVDGVLAGPAQVCRSWRHAAAEEPALWRRVEARFGAGLAAARLAVWRSAGRCEAFEAVRVADDRILLYLAAWLSCLKSLCLVSCYSVSSEGFIEAIQGFPLLEKLELSLCKNIYGEAIEAAGKACPHMKRFRLSNDRFYSFEDECINDQEAHGISTMRELRSLQLFANNLTNRGLAAILHSCPHLECLDIRHCFNVELDAALKVKCSRIKTLRLPNDITDDYEFEVKSPIRLNSKRSNFDDDDGDQNTYDPKSYYGDWNTYDPFKSYGEWNTYFLFRDHALAYDPSESDDDVLDDYE >KQL00512 pep chromosome:Setaria_italica_v2.0:VI:2705248:2706014:1 gene:SETIT_014743mg transcript:KQL00512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSLPSCYIEKIVPTKGKTKRMPYAQTKKGEQDYPAPSLISLLTNLGFDLQALCFHHGGKEGQLVSTVHRGVDDLLGADCVVRRCPW >KQL00544 pep chromosome:Setaria_italica_v2.0:VI:2913662:2914870:-1 gene:SETIT_014416mg transcript:KQL00544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSYFLLAVFLALVACQANASDPSPLQDFCVADKHSPVKVNGFACKDPMAVNADDFFKAAKLDEPRNTAHSKVGSNVTLISALQLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKVLNKGDVFVFPEGLIHFQFNPIHDKPAVALAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >KQL00563 pep chromosome:Setaria_italica_v2.0:VI:3028194:3029939:1 gene:SETIT_015503mg transcript:KQL00563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMLRHIEPEVLLGSAKGLENFETLKKAANDRMYVGCGKEWTVLRFVLHLLILKTKFGWSNNSFNDLLTLLGNFLPKPNFVPKNTYEEKKIINPMKMHVQRTHACRNHCILYHGEYAALEKCPNCDASLVKGKTSCVVCLDGASYVYLKGSTKIVFMRHRRFLLKMHIPQDEGLFYGTNENDFAQNQLRSETTNVADMPFKEMSIFFKYLPYWQELAVRHAIDGMHLQKNVFDSTIGFLGLSGKAKDGLKSRKDLVDLQIRPELHPQELLNGKYYLPSASYNLIPDERLAICAGGRHLCWLKVAS >KQL02542 pep chromosome:Setaria_italica_v2.0:VI:33116940:33119888:1 gene:SETIT_013978mg transcript:KQL02542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTGTASSSILPVRHPPSRLAPQSLALRPSRCGPIRAAAGGGGKDEAHPAPAANGSPVLKLKSDSSQNGVIPIAADKSLKASSTNTGSDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCSVMSGMHHRRTGYPFGSLVDFANDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPADQQEWAHKQYVAKHQQWASQQWGNFYYYRMHNISDIYFIGGFGTVAWVDVNEYETTQPDKVAVDGAEKSLKELNAIFSKPLRELLSSEGEVDDAAVISVDSKGIDIRVRQGAQFNIQRLAFDVPEKVLTLEEAKRALHKIIKTSSK >KQL02541 pep chromosome:Setaria_italica_v2.0:VI:33116940:33119888:1 gene:SETIT_013978mg transcript:KQL02541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALTGTASSSILPVRHPPSRLAPQSLALRPSRCGPIRAAAGGGGKDEAHPAPAANGSPVLKLKSDSSQNGVIPIAADKSLKASSTNTGSDSSGSRAGLFRTPISGGVQSATFAHGLPPPALAVRNLMEQARFAHLCSVMSGMHHRRTGYPFGSLVDFANDSMGHPIFSLSPLAIHTRNLLSDPRCTLVVQVPGWSGLSNARVTIFGDVYPLPADQQEWAHKQYVAKHQQWASQQWGNFYYYRMHNISDIYFIGGFGTVAWVDVNEYETTQPDKVAVDGAEKSLKFNIQRLAFDVPEKVLTLEEAKRALHKIIKTSSK >KQL00419 pep chromosome:Setaria_italica_v2.0:VI:1950114:1954400:-1 gene:SETIT_013631mg transcript:KQL00419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEMVVAARAPAPAAGRWGAAPPQELLERLKDYGQEGAFAFWDELGPEERDHLIRDIESLDLPRIDRIVRCSLRSQGAPVPTVEPVPESSVSTVDDRTPEDKERWWRRGLRAISEGKLAVVLLAGGQGTRLGSSDPKGCFSIGLPSRKSLFQLQAERILCIQKLAAQCTDAPGSTVQIHWYIMTSPFTDEATRKFFETHRYFGLEPNQVTFFQQGTVPCVSPDGRFIMETPYKVAKAPDGNGGVYAALKSKKLLDDMAAKGVKYVDCYGVDNVLVRVADPTFLGYFIDRGVSAAAKVVRKAYPQEKVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQTTGRLRYCWSNVCLHMFTLDFLNQVTNSLEKDSIYHLAEKRIPSVHGYTSGLKLEQFIFDVFNYSPSTALFEVLREEEFAPVKNANGATYDTPDSARLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLCSYAGENLEAICRGRTFHAPSEISF >KQL01456 pep chromosome:Setaria_italica_v2.0:VI:19879135:19883089:-1 gene:SETIT_015440mg transcript:KQL01456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSGFWASLLSFLKFLPYSCGLLILGFIKARDWNFDSDGAFEGSSHSDCAFGDSDVMKDLKYADTHEWVKVEGDSATDHLGDVVYVELPEVDISVSQGKNFGAVESVKATSDINSPVSGEVVEVNEKLSEEPGLVNASPYDKGWIIKVKLSDSDNGGS >KQL00552 pep chromosome:Setaria_italica_v2.0:VI:2969306:2970162:-1 gene:SETIT_014409mg transcript:KQL00552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNLLLHFVLLALIACGAMASDHGPLQDFCVADKYSPVRVNGLPCKDVKDVKVDDFFLAANLDKPGDTTKSKVKSNVTLINAMKLPGLNTLGISMARIDYAPQGQNPPHTHPRATEILTVLEGSLYVGFVTSNPDNKFFSKMLNKGDVFVFPQGLIHFQFNPSYDKPAVAIAALSSQNPGAITISNAVFGSKPPIADDVLAKAFQVDKKVVDWLQAQFWEDNHN >KQL03024 pep chromosome:Setaria_italica_v2.0:VI:35831636:35832376:-1 gene:SETIT_015414mg transcript:KQL03024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSVPGSSFVILSVSIVGILSTALLLLAYYLFLTRCGLLFWRADQSISVAVSVTQEPPRRSGGLEEVAIRRIPTFRYGGQQLLPVAKAGTAATECAVCLADFRDGERLRLLPPCLHAFHIDCIDAWLQATANCPLCRAAVVELQPADGIVIDIATTTCPEAEETPSSTNNLLPVRRSLSMDSSTDRRFYLALQRILLLQQGSASQGEAAGKGDTAADSSSRRLRRSFFSFSHSRGESRSAVLPL >KQL00209 pep chromosome:Setaria_italica_v2.0:VI:519410:520259:-1 gene:SETIT_015102mg transcript:KQL00209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFCLRGQFGAHNVLVYSNLAVRLTGIHLVKFTKSGGDMDYEQFVASAEQLFILRESMPSDITTSLELIRGGIKDTNDPDMEDGSIPMTLYRVIVCLPSMYYNA >KQL02324 pep chromosome:Setaria_italica_v2.0:VI:31733404:31737745:1 gene:SETIT_013295mg transcript:KQL02324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGAGIAVQDGSLMALGANILREVRANVLVTPAAGGGLTNGAFLGVRSAPAGSRSIFPVGKLRDLRFMCTFRFKMWWMTQRMGSSGRDIPFETQFLIVEGTDGSQLTGHSTEQPVVYTVFLPILEGSFRAVLQGNADDELEICVESGDPDVESFEGTHLVFVGAGSDPFEVITSSVKAVERHLLTFSHREKKKMPDILNWFGWCTWDAFYTNVTAEGVEEGLQSFGKGGVSPKFVIIDDGWQSVSMDPVGIACLADNSANFANRLTHIKENHKFQKNGREGHREDDPAKGLAHIVNEIKGKHELKYVYVWHAITGYWGGVRPGAVGMEHYESKMQHPVSSPGVQKNEHCDALNSITTNGMGLVNPDKVFSFYNELHSYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYQQALEASITRNFPDNGIISCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGSHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVVGAFNCQGAGWCRVGKKNLVHDEQPGTVTGVIRARDVDYLAKVADQSWNGDVIVYSHIGGEVVYLPKNASLPVTLRSREYEVFTVVPVKHLPNSVSFAPIGLISMFNSGGAVREVRYGENADVELKVRGAGMVGAYSSTKPKSVAVDSKVVDFSYDDACGLVTFELGLPEQELYLWTVSVEC >KQL02325 pep chromosome:Setaria_italica_v2.0:VI:31733404:31737745:1 gene:SETIT_013295mg transcript:KQL02325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVGAGIAVQDGSLMALGANILREVRANVLVTPAAGGGLTNGAFLGVRSAPAGSRSIFPVGKLRDLRFMCTFRFKMWWMTQRMGSSGRDIPFETQFLIVEGTDGSQLTGHSTEQPVVYTVFLPILEGSFRAVLQGNADDELEICVESGDPDVESFEGTHLVFVGAGSDPFEVITSSVKAVERHLLTFSHREKKKMPDILNWFGWCTWDAFYTNVTAEGVEEGLQSFGKGGVSPKFVIIDDGWQSVSMDPVGIACLADNSANFANRLTHIKENHKFQKNGREGHREDDPAKGLAHIVNEIKGKHELKYVYVWHAITGYWGGVRPGAVGMEHYESKMQHPVSSPGVQKNEHCDALNSITTNGMGLVNPDKVFSFYNELHSYLASAGIDGVKVDVQNILETLGAGHGGRVLLARKYQQALEASITRNFPDNGIISCMSHNTDNLYSSKRSAVVRASDDFWPRDPASHTIHIASVAYNTVFLGEFMQPDWDMFHSVHPMAEYHAAARAVGGCAIYVSDKPGSHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSILKIWNLNEHSGVVGAFNCQGAGWCRVGKKNLVHDEQPGTVTGVIRARDVDYLAKVADQSWNGDVIVYSHIGVTSILVVHFSGEVVYLPKNASLPVTLRSREYEVFTVVPVKHLPNSVSFAPIGLISMFNSGGAVREVRYGENADVELKVRGAGMVGAYSSTKPKSVAVDSKVVDFSYDDACGLVTFELGLPEQELYLWTVSVEC >KQL00828 pep chromosome:Setaria_italica_v2.0:VI:5494788:5495265:-1 gene:SETIT_015836mg transcript:KQL00828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPFRSSKQANPRGETGFSWVSKMKNELVLEVLEI >KQL02308 pep chromosome:Setaria_italica_v2.0:VI:31652874:31659004:1 gene:SETIT_013164mg transcript:KQL02308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTVRVIEARNLRAMDSNGFSDPYVKLQLGKQRFKTKVIKMNLNPTWDQEFSFLVGDVRDVLKLDVYDEDILQMDDFLGQLRVPLEDVLAAEDLSLGTQWYQLLPKGKTNKAVDCGEICVSIYLESAGATRSWSDDLSAELTDIERDYSLSSQSTAPSIALAYRETETCKEDSINEYSDGSEIPAEDKCSEVTDRNQAAAQDRSKENSNAALNGAETSSSKTDKPSFVDRVCQIFGKKNGDVVPTSSEISEASEQVQEEPRGYEIPVSQDDKTCPEAPFSELLKSLESRHEGVEMPVNLPGILVNQSYLASPSDLNNLLFSPDSDFKQTMIELQGCTDFKTEPWRLDNDGESLKRVVTYTTAPSKLVKAVRATEEQSYLKADGKEYAVLLSVSTPDVPCGTYFRTEILFRIMPGPELDSQQQTSHLVISWRMNFLQSTMIKSMIENGARQGLEQNYSQFSDLLSQKIKPIDVEGSGSDKEQVLASLQGGQESDWKIAFLYFCNFGVLSSLFVSIYIVLHVLRVNSSSVQGLEFPGLDLPDSLSEIIMGGLLFLQVQNILKKITCFVQARGQKGGDHGVKAQGDGWLLTVALIEGIKLAPVDATGFSDPYVVFTCNGKTKTSSIKFQTLEPQWNEIFEFDAMDDPPSVMSVHVYDFDGPFDEVTSLGHAEINFVKSNLSALADVWVPLKGNLAQSWQSKLHLRVFLNNSKGTGMVTEYLSKMEKEVGKKMTLRSPRTNTAFQELFSLPAEEFLISSFTCYLRRKLPTQGHLFLSPRTIGFYSSMFGRKTKFYFLWEDIEDIQGIPQSISSWSPSVVITLHRGRGMDAKHGAKSVDNGKLKFCLQSFASFSVAHRTIMALWKARSLSTELKVQLAEEQSQNNTLQSEDSGIFVGIEDAKGLQMTEVFSSTISTNMASLMEVFEGGPLEMKVMEKVGCQKYSATQWESDKPNEYQRQIHYKFSKKLSPVGGEVTGTQQKSPMPNKKGWIIEEVMELQGVLLGDFFTLHIKYQIEDLAPKQRASSVQVSLGIEWSKSTRHQKRIEKNVLSSSSARLKEMFNLASRELSHAR >KQL02553 pep chromosome:Setaria_italica_v2.0:VI:33169346:33171371:1 gene:SETIT_014264mg transcript:KQL02553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRDACRCRAPLRPVSLCSLHVFCVSSHARDRSSPSAGRPAGARGTRRACTHRCGHPAGDDHVCATATAAHDPTCPRRLGATALHTPPLVSPPPSPNLFSSLAPNACARPCSPPAARIACAGQMSSTAATGNVLPALPPIRTAASQAPEPACSSAASSSMAPAADAESVTLPAPGQGKAEEEAEAGGQDQVGEPTTPTSEGSRLRAPAECPPAPRKPAWAPPATPPAAKRKFPSSAAPSARRAFFPVARDLTTVFRALPPKKRIRAG >KQL02989 pep chromosome:Setaria_italica_v2.0:VI:35668948:35673613:1 gene:SETIT_013920mg transcript:KQL02989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASLQPKPVDYENRQTRRPPILPAFSAILKDGSDFASIQKNVSLFKGFGSSNKEAIAELFVSMMIKLVSVEGLWEQGLCASNFEGSWISKTWAKGVGNLSVEDFLDHSQNFARCVGIGQMRKICECLRATVSDLSKFFMGKIAAPELKALLFGPLNQIKPVTDPSQKTVKRKCVNPNKTSTAKKKKKPLEQDKAVISPSQKDDRREKPLEQDKSAICPGQKDDKKKSGNMGRDSGSSHVLQKKVKATVYTSSSRPPSVSVPPQRMHQAVLTRPIINQFAHLPQHMIAPPAFGYGLPPPHLHSAYHHPHQGLLGRPQGDFLHVYPGIQLQHQSQAMFGPPAAHHPVLNGLHPYGTNGAQQVQRIDNRLVQRPPYGMGPGFWR >KQL01871 pep chromosome:Setaria_italica_v2.0:VI:27386139:27388251:-1 gene:SETIT_015565mg transcript:KQL01871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINMLSELNPHGLNCNICVCVSRMWEFCDKDSGQIKHLDLVIVYEKNDAMYVEIPPEEISTLKNHLSEGKIVDIRRFLVHKAKSVYKVVEAPYMIKLSQRSIITPVIPEPRQFPKYVFNLIPFSEIKQHAGLTNRFLVTYANRTTTKNIHNRGEQVEISLLGPRAIEFDGDKVYNEGQKTPIITIFALILSTSSACRWYTNEDIPAIHEFYGRLLDIQGDELEAKTLLQLKKEVDPVDHRLYECTVTITRLSPNQSWCYLACKLCHSKSYLQGSDYKCSSEECTCTQIEYIRTYWKTCRQKYTFVVKISAKKSFARPNNPSFDVQYITHQFGKQAFVPIFQKQEHITTSTSSFVTQKHLPALVPIKYKPDTEQFIDICRATSPSYRSLKLTTRQWT >KQL00799 pep chromosome:Setaria_italica_v2.0:VI:5190608:5192100:1 gene:SETIT_015485mg transcript:KQL00799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHMRSISMDLTLSIPGAASMENMSDDKIAIIVPQTSPIFSKSPSNKTLPQWVQNEASHPAPISEKRAALALVKKVVAEFLGTFLLIFILLSALIMNEEHGGALGLLGVAAVAGSAVLVIVASLVHVSGAHLNPAVSVAMAAFGYLPRAHLLPYVAAQLLGSTTASFAAKAVYNNPANLGATVATVPAVGAGEALVVEFFTTFVLLFVITALSTDPKAVKELIAVGAGAAVMMSALISGESTGASMNPARTLGPAIAAGTYTKIWVYMVAPPLGAIAGTGAYIALE >KQL01262 pep chromosome:Setaria_italica_v2.0:VI:12653028:12653432:-1 gene:SETIT_015909mg transcript:KQL01262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTLLRLCPCTIRPARRPSDFHHHCWPSMVRFRINPIPVRRCSAALHGDASGLGLP >KQL02909 pep chromosome:Setaria_italica_v2.0:VI:35228593:35233066:-1 gene:SETIT_013591mg transcript:KQL02909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSMDAARIMEYLKGKSILITGSTGFLGKILVEKILRVQPRVHKMYLLVRAVDAPSAKQRVQQEVIGTELFGLLRDKYCEGFDLFIQDKIVALAGDIADEDLGLDAPTLHGLAKHMDVIVNVAATTNFYERYDVSLDVNVMGVKHLCQFAKRCANLKLLMHVSTAYVSGDSAEVILENPMKPGESLRDGVRLDIDAELRLVSDAKKDLPTTASGDDGNATERKAMKELGLQRARHFGWSNTYVFTKAMGEMVLEQHRGDGDLPAAAVVIVRPSIITSVRADPLPGWMQGTRTIDTLIIGYAKQSISCFLADLRMVMDVIPGDMVVNAMLAAAAAARSEEQPPQAVSIYQVTSSLRNPATYAVLYRAGSRHFDEHPRVRDNGEVIPNTEMRFFPTIPEFRLYMVLTFKLPLEILHLVNMLLCGLFSKLYNDSNRKYNFVMLLVDVYGPFAFFKGCFDDTNVERLRSTMVMKSPEDDMFNFDPKSIDWDDYFYRIHIPGVLKYVCK >KQL01469 pep chromosome:Setaria_italica_v2.0:VI:20233917:20234160:1 gene:SETIT_0159872mg transcript:KQL01469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVKHIRLTVRGASQTKIQDSIHYNLVP >KQL02489 pep chromosome:Setaria_italica_v2.0:VI:32840502:32842260:1 gene:SETIT_014249mg transcript:KQL02489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLAAAKPAAIFPKRQPVPTRARVAAAAAAAGPCSEPAKKSRGDRASWRIAMASSDAEADGGGGGGGEDDAGAGQVAPRRARGRRARLSARRRERVRLPDGVSGGDVGEFLRHPDGVESLLNTGALESFAPAGSGPGTFTCALRRIGFLGFEIAPVLDLRVAPTSTDCTIEMLSCRFEGSESIELQNDLFSAFMSNRITWSDNDKEPCLDIDVNLEVTLEVYTKPFSMLPLSAVEKPGNLLMQGLLDRLVPMLGEQLLRDYHSWVQQQPEASS >KQL00797 pep chromosome:Setaria_italica_v2.0:VI:5165654:5167661:1 gene:SETIT_014140mg transcript:KQL00797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEPQASSGAHAAATQLGDLPEACLAQAIALTSPRDACRCAAVSPALRAAADSDHVWRGFLPEQLMIDGHSYKPAAVALHQAPAPAAKSKKEAYLGLCNASGAVAVGGCRVWLERATGARCYALSARRLSLPWDDGEFCWKFTPHPRSRFAEVAELEDCTCLDIYGALLAASLTPMTPYAAFLVYDTAAEGHRGLSYPDQEAAVSLGGRVMARHDVCIRPDDAEARKFWGGPDGGDHREEPRRPRRREDGWWEMEMGRLPSTTAGGGGKPGEEEVVASFEVLGWYPKRGLIIEGIEFRPVVESST >KQL00822 pep chromosome:Setaria_italica_v2.0:VI:5358909:5359289:-1 gene:SETIT_015462mg transcript:KQL00822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSSLEQWWEEWQLRILVLASLGTQLYLAYFAPTRKLSHLPSFYRFLVCLAYLGGDALAIYALAALFNRQKKRECYSYFSVGGSKDLEVLWAPILLMHLGGQIGISAYDIEDNEQWKRHLATAVSQ >KQL01059 pep chromosome:Setaria_italica_v2.0:VI:7721528:7721884:1 gene:SETIT_015354mg transcript:KQL01059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSILLAAAVLAVLLAVGSCGSALTFKTGPGCSATRLVLIPSTAISEVEVKEKGASDFSELKEGPTGTWTLDSKAPLKGPFSIRFAAKSGGYRVVDDAIPASFKAGSVYKTSLQV >KQL00939 pep chromosome:Setaria_italica_v2.0:VI:6614869:6617937:1 gene:SETIT_013397mg transcript:KQL00939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLDVAPDDANGSAAVQIEPSHEDVLCHDLDSMASLYDEVIAGLNISKFMTDTVMMGILADVQQEAARQIASKDADIASLNQKVQQLENSSLILHEGRDKRYDEFYYLREQLDTISKSLLSSEWGFLGSQLNSEGSEDASKQRNKEKFRNGVAKKICSEEEVFADPKLLKHMDNDALIAYFNKSMNEMKRQHDTVVHGQTEEIFKLKRDLLKKEGPNPWHLRNNKELEHMRKKIGEVLSKLDVLLLENKRTFVRSKIDAFPGQHDKNNVVDSDVMQLQGGATNNEEPWSIPTQAPHVETNHKKHIIRLESDIEDASTAATIREEVEKIVMKEFFSEMKIRLHGYEMELDMKHEVCSVIQNEAVAQAMFDSLLLEKKGCAEEESKQKQKIENLKRIVDSFTEVVRKKEEFVSQIGLRAMEARVGSLCHEIDLLREKVGKQDSYISEKNKEFDNIMGRLEQAQQHVQNDDAILSELNDRFRTVSASLKELEKQNQVLHTIIEEKEKGLTSAVSKDKELNEFMESVVKSVRGFEKFMSDQLTVIANKVQHNESRFCVLKEQCKHLVKEGNLLRKKALRYKEISETRGSNLQKAELEVDLLGDEVEALTDLLAKVYIALDHYSPVLQHYTGVMETLTMIKKHISMAN >KQL02937 pep chromosome:Setaria_italica_v2.0:VI:35394315:35401140:-1 gene:SETIT_013160mg transcript:KQL02937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGMEVEVRVVGGARSCFVALPLHLIHALERTSASGDLPPVLALDLRGPAGGRWSLAWSGAASRSRAIEVAQELAECISLPDGTIAQLSVTRSLAKADSVSIEPYSEDDWEILESRADLAEETILKQVGIVYEGMKFPLWLDGHNIVKFVVVSSYPEKSVVQLVPGTEVAVAPKNRKEPSQDVKKQSALDEQVKTKALLRVQPADGKYTHTFKYKGVDIGVVLSYAVLIHPDTATSISVGNLQLVTVSPKSSKKGITQNSKEVAQKKGISVAKKRTHEAAVYILLSESVAKGHVMLPYSIRHFISADVHSWVYIKTYSANITKDEPVVTISSLRFKMHVKDAHDNSELVSQETDTSRITRIPPENDDFFQEAHYGESKSLQDADIESISESVSKHKFFIKHWLIGQLKEMGLHAGHTEISSIILPANVVLHFEATYQKPNRGVELLYLLTFTSENSTFDNTQLKVETAWSAPIGNPENVELHFRKLELGEPVSFGSVMDSSSTDGFKLTQSSLGWMENAMSDVIKRLSVLLSSTSLRLFNRLKFPFPGHVLVYGPRGSGKTALTRASAKYFEDHKEILAHVIYRDCSKLALGKAKETRQAIEDSISEALLHSPSIIIFDDLDSVITVSSDPQVSQSSSSSDSLVRYLADIMDEYKDKTQNTCGYGPIAFMASVQSLQSLPQDLTSSGRFDFHIELPALAAPERTALLKHQVEEHELQCSGEVLSEIASKCEGYDAYDLEILVDRAVHAAASRFVLLSNASRNSLKPTLVMEDFSKAMHGFLPVAMRDLRKYAPDDKDGGWEDVGGLNEAVTIIKETLELPSKYPNIFTRAPVRLRSNILLYGPPGCGKTHIVRAAAAACSLRFISVKGPELLNKYIGSSEQSVRDFFAKAVAAAPCLLFFDEFDSIAPQRGTHSAGVSDRVVNQFLTELDGVETLTGVFVFAATSKPQLIDAALLRPGRFDRLIFCDFPQWDERLEILKVHSRTVSLASDASLEDVASLTEGFTGADLAAILTDAGLAAVHELLDNRENGVPESEPCISKELLMSVARKARPSTPADEKRRYDREFGEFVSSRKSVSTKARESKGKKVTLA >KQL00813 pep chromosome:Setaria_italica_v2.0:VI:5238634:5242433:-1 gene:SETIT_013913mg transcript:KQL00813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSPAPESAPVASSSSAPVPAAAAAVDNLAGAVAAMTLEERFATLRGIGEECIQEDELMRLLQNKPVPICYDGFEPSGRMHIAQGIVKTINVNKMVRAGCKVKIWIADWFAQLNNKMGGDLKKIQTVGRYMIEIWKAAGMNLDGVEFLWSSEEINKRAGEYWPLVMDIARKNNVKRIMRCCQIMGRNDQEELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNMLAREYCDDIKRKNKPIILSHHMLPGFKEGQEKMSKSDPTSAIFMEDDEAQVNVKIKQAFCPPKIVEGNPCLEYIKYIVFPWFGRFEVIRKENNGGNKTFVTMDELISDYESGALHPADVKPALAKAINEILQPVRDHFNNNSEAKVLLNTVKKYRVTN >KQL01763 pep chromosome:Setaria_italica_v2.0:VI:25669195:25673135:1 gene:SETIT_015590mg transcript:KQL01763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMGYVSMAIRGLGYLVLTWTTVVLLGGFVSLLQEKDFWALTVITLVQTTGVFNVFLKEKLRNILYSLMGLMISMVNCEAEAESLSAVMIMMAVGFATLLQVLVVAVILLPLGAVYVFGLYISTGISLWRLIQHDYAEADGDPNKANLRPALVVLYSLALVQGALLCYRAIFSISMREQVFVNATLERYHFKGDAVQSALDYFHDTMAGCEKNPSFARGTNLITYASDLMGSTSPDRYLSGIRILDTLLMKEKEGPIRTLVVQRLLVSEPSTVWKLLRTLDATMPYGVEARLRAARILEHLAGDIYLDQFPGGMRCIASLLDHEASPSRPQLRREEVPVLQGMRILRNLAANSGNLGAIRSAPGLLAKITAPLDPDLLQLHHTYRFKVALESLILVRRLMDMDAPRESKDDDECLEYLKKAVTNAMPKVLRHVLQLQTELQAPTDTHTFSAPGTDLEEGPVSHATDRPNSQQQPKAIELRDALLSECATACEKLVGEDQNLARRLDEIAAQICSDAGKTAMSWAALLRD >KQL00313 pep chromosome:Setaria_italica_v2.0:VI:1260195:1261203:1 gene:SETIT_014561mg transcript:KQL00313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDEAGASSSSPPPPIAQLGYDQLLSVLRLLPPEAVLSFAATCRAFRAWASSDALWEALCRRDWGARAAAALAERRRDRERAGGGAPAPWRRVYAEVARLGALSARRVPVRGASPRPRASHSLNLVAGWLVLFGGGCEGGNCIQIVSPHRSCYVFGSLRLKR >KQL02148 pep chromosome:Setaria_italica_v2.0:VI:30312207:30313164:1 gene:SETIT_015552mg transcript:KQL02148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGGAGAVAAALTLWWLTGRAGAVWLELATTAGKCLSEEIQSNIVVMADYSILFEEHPVRPTVFVKVTSPHGDVLHHAEKVTHGQFAFTTVESGIYLACFWAETLDRGMVINLNLDWKIGIAAKDWETVAKKEKIDGVALELVKLEAAARSIHGNMLYLIVKEAEMRDVNEWTQDKITWLSLMSLAVCITVSVLQLWHLKQFFQKKKLI >KQL02521 pep chromosome:Setaria_italica_v2.0:VI:33020359:33024381:-1 gene:SETIT_013933mg transcript:KQL02521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEEAGRAAAATGKKLALASISFADVRVGAAGGAGYKDDLLVVGLPVPKDDGLDVVGDLAVRLPDVGAAVRTFLRNREVAEFVSGAMAGAMTKAVLAPLETIRTRMVVGVGSKHIFGSFVEIIEQNGWQGLWAGNTINMLRIIPTQAIELGTFECVKRSMASAQEKWKEDGCPKIQLGNLKIELPFHLLSPIAIGGAVAGIASSLACHPLEVLKDRMTVNREAYPSIVIAFNKIYRADGIGGLYAGLCPTLVGMLPYSTCYYFMYETIKTSYCRAQKKKSLSRPELLVIGAVSGLTASTISFPLEVARKRLMVGAIRGKCPPHMIAALAEVVQEEGFKGLFRGWAASSLKVMPTSGVTWMFYEAWKEILLAPQLHA >KQL02530 pep chromosome:Setaria_italica_v2.0:VI:33093208:33096739:1 gene:SETIT_013161mg transcript:KQL02530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPLASISSHHRAPPPWPPPKNTTNPRMKIRCGVLAPPVGQVLEAAAASPSPMRSKNRSNRVPSSDVNLQIQRLCGAGDLTEAVRLLGSDGVDVRSYCAVIQLCGEERSLEAGRRAHAVVRASCGGAGGIGSVLGKRLVLMYLKCSDLGSARRVFDEMPPQVADVRVWTSLMSAYAKAGDFQEGVLLFRQMHCCGVSLDAHAISCVLKCIASLGSIMDGEVVRGLLEKLGLGEECAVTNALIAVYTRCGRMEDAMQVFNSMHSRDAISWNSMISGCFSNGWHGRAVDLFSKMWSEGVEISSVTMVSVLPACVELGYELVGKVVHGYSVKAGLLWELESLERGIDEVLGSKLVFMYVKCGDMASARTVFDVMSSKSNVHVWNLLMGGYAKAGEFQESLLLFEQMHDLGITPDEHTISCLLKCITSLFRVRDGLMAHGYLIKLGFGAQCAVCNALISFYAKSNRIEDALEVFDGMPHQDIISWNSIISGCTSNGLNNEAIELFLTMWIQGQELDSATLLSVLPACSQSCYWFLGRGLHGYSVKTGLVGEISLANALLDMYSNCSDWHSTNQIFESMDQKNVVSWTAMITSYTRAGLFDKVGGLLQEMVLDGIRPDVFAVTSALHAFASDESLKQGKSVHGYAIRNGIEKLLPVANALMEMYVRCGNTEEARLIFDRVTNRDIISWNTLIGGYSRNNLANESFSLFIDMLLQFKPNAVTMTCILPAAASLSSLERGREIHAYALRRGYLEDNYTSNALVDMYVKCGALMVARLLFDRLTKKNLISWTIMIAGYGMHGHGKDAIALFEQMRGSGVEPDSASFSAILYACCHSGLRNEGWRFFNAMRNEHKIEPKLKHYACIVDLLSHTGNLKEAFEFIESMPIEPDSSIWVSLLHGCRIHRDVKLAEKVADRVFKLEPENTGYYVLLSNIYAEAERWEAVKKLKNKIGGRGLRENTGCSWIEVRGKVYVFVPNNRNHPQGNRIAEFLDDVARRMREEGHDPKKNYALMGANNAVHDEALCGHSSKLAIAFGVLNLSEGRPVRVTKNSRVCSHCHESAKFISKMCNREIILRDSSRFHHFEEGRCSCRGYC >KQL02582 pep chromosome:Setaria_italica_v2.0:VI:33363455:33364167:-1 gene:SETIT_014939mg transcript:KQL02582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHHEKIAALKPVASRPFSSFRSLPKLLQDFTATGSPPITVLEETVPVRPKATRFPSLPSDLRTEMTATIDAGSDTTHEEMEVDTEQANCCDHLTACHTAKKPRLSFDGYNWRKYGQKKVKRSEFPRSYYKCTHPSCPVKRKVETTLDGQVAEIVYSGEHNHPKPHPPRKLLSPTSD >KQL01699 pep chromosome:Setaria_italica_v2.0:VI:24993938:24995275:1 gene:SETIT_014158mg transcript:KQL01699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVRALRSIVREKKNGVIAPGSLGFVNAFSSASASQSQRLAGKVAVITGGASGIGKATAVEFVRNGAKVIIADVQDDLGHAVATELGPGAACYTRCDVSDEAQVAAAVDLAVARHGQLDVMFNNAGIGGNPVRPPLGAVDIADFDRVMATNARGVLAGLKHAARVMVPRRRGSIICTASTAGVVGTVVNPSYSASKAAVLGLVRAMAAEMARSGVRVNAISPGAVATPLAMETFGSWFPGKSAEEIRRIIEVDVSSMDGTVLEPEDIARAALYLASDEAKYVSGHNLIVDGGYAVSRTAK >KQL01967 pep chromosome:Setaria_italica_v2.0:VI:28531505:28531927:1 gene:SETIT_014817mg transcript:KQL01967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNSFISIGITGADMTLFYYFRALFYPSLFRKVDDWPRCSIESGYRVITCCTQYLHSHIR >KQL00333 pep chromosome:Setaria_italica_v2.0:VI:1445916:1447016:1 gene:SETIT_015659mg transcript:KQL00333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMPTTRVESRCVPRTAQGTLSFEVTGYRQLLKGFAGSFISSSSILVGGYSWCLRYYPDGDGREECKGFAGLYLELLTKNVVVKALFDFRLVDLTTGAPSVIQQLEVPLSFNTVDASRNQQGGGVATNKYLKRSELEASVYLRDDRLVIECEITVVNEPLVVETMKTTTVVEAPHRNLSRDFANLLESKEGADVTFEVQGEVIPAHTVVLAARSPVFKAQFYGPLREERGERHITVQDMQPAVFKELLYFIYADSMSPCIHGLGGDEKKEFTKHLLVAGDRYDVQGLRSVCETKLCESLDVSTVATMLAFADQNNCEKLKGACVEFMTTSCKLEDLVASNGYEDLKSSSPAVFVDLYEKAARARKI >KQL01517 pep chromosome:Setaria_italica_v2.0:VI:21022018:21023117:1 gene:SETIT_015251mg transcript:KQL01517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARLLIEKHFAEQSLQSTMRAAWNTAREVVFRPIEKNLFVVQAFCLGDWKRIMEDGPWIFRGIPLLYRMEAILQQLASKVGEVLSVEMKVVATGAGDFHRARGRDSIMLQVKYEKLSRFCSHCGKMGHTHLECGTREHEEEDMQFGVWMVAEEELWHPGACELLTLNSKALRGGTTSDHGGRGLRGGRARRGGARGGARESLWREEAASLDSNDTRKTSVEMVGLNVGRVADLEDTASSPIKPEDSGVECIAAAKVIKHLNTAQRTAASDATTEVPPPPPQEQKRMKKTGAAATASPPKSKSGSPVEHSQAK >KQL01366 pep chromosome:Setaria_italica_v2.0:VI:17790596:17791189:-1 gene:SETIT_015519mg transcript:KQL01366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQSGRGTIDDEEYELKLKPMVAGV >KQL01278 pep chromosome:Setaria_italica_v2.0:VI:13220307:13220783:-1 gene:SETIT_015888mg transcript:KQL01278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCDKAKREITQGHLPAQALHMHHISTSRLIIHSGMIDQAFKSQRNKRM >KQL01775 pep chromosome:Setaria_italica_v2.0:VI:25875929:25876298:1 gene:SETIT_015281mg transcript:KQL01775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLKATKVASYLILQTVAYHGKATSCTEALGLSEYLNSKTYLFLVKPSSPFKFIRWKELTNHSKTTEYLSFSIALGEWLTVTMFPEYVPLTAHASVICW >KQL02075 pep chromosome:Setaria_italica_v2.0:VI:29705307:29707636:1 gene:SETIT_014421mg transcript:KQL02075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVDVEAAMAPPPPASTCSSSSIGRDSDECPPPGKEEEGEVQSAFTGEGGGGGGLAGLEALEEALPIRRSISKFYNGKSKSFACLKEAITSSGSAKDITKADNAYSRKRKNLLAYSIMYGNSHETAAAQVYETAPPKRLASLSRNSLATLASISSRSSSSISIEENELPEQLHSPLSPDDFISTPRSGSFAPNASSAPMRSLSMMDLHCLHRSSSSVRLKEKKED >KQL02852 pep chromosome:Setaria_italica_v2.0:VI:34963989:34965547:-1 gene:SETIT_013734mg transcript:KQL02852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATATLLYAGLLLASLLYLAAVLRRRNGNLPPGPTGLPLVGSLPSLDPQLHAYFARLAGRYGPIFSIRLGSKLGVVVTSPSLAREVLRDQDLLFSSRDVPDAARSISYGGGQNIVWNPVGPTWRLLRRVCVREMLGPAGLDNVRALRDREFRATLRHLHAQAAAGAPVDVGAQMFLTTMNVITGTLWGGNIGDEAQRAAVGKEFRHLVAEITEMLGAPNVSDFFPALARFDLQGIRRKSDVLKERFNQMFARIIEQRVKAEQAGGEPPAQDFLEYMLRLEKEGGDGKAAFTMTNVKALLMDMVVGGTETTSNTVEWAMAELMRRPPLLAKVRKELDAVVGRDAVVEESHLPRLQYLNAVVKETLRLHPALPLMVPHCPDADATVAGYRVPAGSRVFVNVWAIMRDPEAFDWELPAGARLDLEEKFAIVMKKATPLVARADAEAVQA >KQL00188 pep chromosome:Setaria_italica_v2.0:VI:408324:408650:-1 gene:SETIT_014809mg transcript:KQL00188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRAETVVVPGCVVVVLIHVGAHCLRLRRRLSHALAGAGDIRFCWGAWNRIGAGLRASLSGSG >KQL01405 pep chromosome:Setaria_italica_v2.0:VI:19059263:19059535:-1 gene:SETIT_015636mg transcript:KQL01405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNYFIRGRFSIGNSRCNIHVHNRFWDGRIEMCPLTHWSGKPTRIWINSHRTNNHFNTWGMTIHNRPHIFFPLACTLNWDNLPLNVERGT >KQL01482 pep chromosome:Setaria_italica_v2.0:VI:20426051:20431086:1 gene:SETIT_014217mg transcript:KQL01482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGRYMAYSPSPSTGPHSPHLQLADHEKYIAELLAEKQKLTPFMQVLPCTSRLLNQEILHVSALLGIPVLDQPGYQHGSPLINGGAIPNGRPVEMNGWAPAIPSEGAGMFHPPSRKWHSPQGNSSGFIVKKTMRMDIPVDKYPNFNFVGRLLGPRGNSLKRVEANTDCRVLIRGRGSIKDAAKEELMRGKPGYEHLNEPLHLVIEAELPAEIVDIRLMQAREILDDMLKPVDESMDFFKKQQLRELAMLNGTLRDDSSQKSGSVSPFRNSMGMKRAKTRG >KQL02448 pep chromosome:Setaria_italica_v2.0:VI:32606431:32609322:-1 gene:SETIT_0138842mg transcript:KQL02448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSYVKKAKAAVWDDGVVAASSHMPRGAVAANSVAARGDGGYGDNDEKLTLIKLASMIEVAAKKGSRDLNLQGKLMNQIEWLPDSIGKLTGLVTLDISENRILALPDAIGRLSSLAKLDLHSNRIAQLPESIGDLCNLIYLDLRGNQLASLPSTLGRLVKLEELDVSVNHLTSLPDSIGSLARLKKLIVETNNLDELPYTIGQCVSLVELRAGYNHLKALPEAVGKLESLEILSVRYNSIRGLPTTMASLTKLKELDASFNELESIPENFCFVTSLVKLNVGNNFADLQYLPRSIGNLEMLEELDISNNQIRVFPDSFGNLQRLRVLRAEENPLQVPPRDVALKGAQAAVQYMTEHVAKRATRSQPTKTKKTWAQFCFFSRPNKRKHDRIDTAS >KQL01480 pep chromosome:Setaria_italica_v2.0:VI:20391300:20394579:-1 gene:SETIT_014513mg transcript:KQL01480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKGLTLFHLKSHLQKYRLGRQSGKELTEQSKDASYLMEAQSGTNSSPRGSTPDVKESQELKEALRAQMEVQRRLHEQVEVQKHMQIRMEANQKYIDAILDKAFKIVSEQLSGISISDRDLPDLASAGVMFSPADPLSPSVFHQLSVSAVSLLSPGGGKALPHVAIDISQKPPELKRKSR >KQL00502 pep chromosome:Setaria_italica_v2.0:VI:2621250:2625355:1 gene:SETIT_013152mg transcript:KQL00502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHCSILLVASLLLLASPAWTSASAGSDGTDADHRALMQFRSLITDDPSGALASWGGGNMTAPAPCRWHGVTCGVRGRRRGRVTALDLRGLDLASSGTAAPSSLSSLTYLRRLDLSGNRLGGGVPSPLPPSLERLNLSHNALQGPVPAALGSLHRLQVLSLAYNNLTGAIPASLSNLTSLTSLSLTSNNLAGAIPASLGNLTSLTILGLASNNLAGAIPGALGNLKDLTALYLGQNMLQGSIPSTLGNLKALTVLYLGSNMLQGSIPSALFNISSLQKLGVQINNLTGTLPPNGRLPRLTWFDVDNNRLHGAIPPSLCNLTSLTILSLTSNNLAGAIPGALGNLKALTGLYLDNNMLQGSIPSTVFNISSLQKLDVQMNNLTGTLPPNAGGRLPRLTSFNNLWALTLDSNQLEANVDADWGFMDSLTNCSNLKYIGLSQNKLGGVLPGSIANLSTSMEVLSIWGNMVSGQIPQEISNLVNLNTIAMNLNKLTGIIPTSIGKLNKLSKLILYGNKLSGQIPPTIGNLTVLTELSLDHNMLTGPIPSSLGSCPLQTLSLEHNRLTGPIPKEVLLISTLSIFVSFQGNMLAGSLPSEVGHLKNLVTLDVSGNRLTGEIPNSLGDCQILQYCFMKGNMFQGKIPESLGQLKGLLVLDLSRNNLSGHIPDFFGNVKGLQQLNISFNNFDGEVPKQGIFLNASAFSVEGNSGLCGGIAQLKLPPCSDNGSTSSNKRSRKLVMIVSIATAFLGISLLLALCVLCHQRRKLIKAEHALPLINDQYARVSYVNLMNATNSFASENLIGIGSFGSVYKGTMISHDQEVVVAVKVLNLQQRGASQSFIAECETLRCARHRNLVKILTVCSGIDSGGLDFKAIVFDFLPNGNLDQWLHHRLREYGTHRRIDLVQRIDIAIHVASALEYLHHYKPTPIVHCDLKPSNILLDNDMVAHVGDFGLARFVHQDQTNPSDISSGWATRRGTIGYAPPEYGLGNEVSIYGDMYSFGVLLLEIFTGKRPTDSDFVQDLNLHRYVQIALQDQQVTSVVDQQLLPEQDPELEGRTSSSSSTREIIVACVTSILHIGILCSKELPTDRLLIGDALRELHRIKDNYNQLHLLGT >KQL01907 pep chromosome:Setaria_italica_v2.0:VI:27793650:27794384:1 gene:SETIT_015230mg transcript:KQL01907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATAMSAWDGYGGQIFPADVLLHHQETLEAVLQQPAVAVVAPLREPDQAAGDAGATAAVVDAGGGVHGAAAAPRRRPFRTDRHSKIRTAQGVRDRRMRLSVGVAREFFALQDRLGFDKASKTVNWLLTQSKPAIDRLHDADEPAAAPSSGPAVVKGRGEGSSSSTCCFNKDPRGEKGSRSRGGRDGPSAALMEEHGGGEIGWIASDTAVAAAPPQPINELEYSYQYYLQLEEMMRCNNGGVPR >KQL00649 pep chromosome:Setaria_italica_v2.0:VI:3742726:3744218:-1 gene:SETIT_015379mg transcript:KQL00649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ETMESGRKPRSLVDLCVQKVIDNLRYVGHVDGIETELLKRILPHCTLEQLTRIENRTQMDLSPITDPLWRRFYQKEFGEDHTNLVIKRMKEAKGKARYTWKELFKAKTERQKEVEDRMLEKITKKFQAEKAEKQSKQIKLCSKVPPSSKRSFFGGGGPSSLSNSNYKSPILKKARMEANSRARLQSAIQKNTFQRSSQQRTTSLSGQPVRTTTIHRPNSTITITKPIGSNRQIQNSRPKF >KQL00838 pep chromosome:Setaria_italica_v2.0:VI:5569714:5570663:1 gene:SETIT_014720mg transcript:KQL00838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHNFSPQDLNQPPPSNSTPRLFLINPTRATTTTAEQVRSLPTLSILASNESRARTSAARHGHAARTRAPSCGEAPTPQGDIFHPRKFRHKSESRLMV >KQL00557 pep chromosome:Setaria_italica_v2.0:VI:2989741:2992513:1 gene:SETIT_013964mg transcript:KQL00557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSRELLPWRRPTHGAQALAGRLLSSSSPHSYTDDDPPFTRIPKSPPRAPSTPPPPPPPKPKALAGNIRPDEPAHSDLPFDFRYSYSETDPAWRPIGFREPTRFSPFGPGRLDRPWDGVAAARGGGGDGEGVERSREEVLGEPLSEEEVAELVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNICFHLEDKTGGKIIYRNINILILHRGRNYDPKQRPAIPLMLWKPLAPIYPRLVQNVAEGLTFEETKELRNKGLNSPPLTKLTRNGVYVNVVDKVREAFKTVEVVRLDCSHVGTSDCKKIGVKLRYLVPCVPILFKDEQIILWSGKVNQEHSVSAQCTSRPQ >KQL02191 pep chromosome:Setaria_italica_v2.0:VI:30765354:30765844:-1 gene:SETIT_016000mg transcript:KQL02191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGLFEKSTYAFKGGRMLVCYNEQTNALIILGLRTIFQG >KQL00621 pep chromosome:Setaria_italica_v2.0:VI:3518366:3519897:1 gene:SETIT_015192mg transcript:KQL00621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEEPLQPSRRVTLREGQRLRITGLSLVDPAAANGQPVVRVWAEVGHEQRVIGTLSSSEEERITRVLPPLELVDGEFVLCHDSASSSVRLYGHYIDPPDPDGEGVPTRSQFAVDIGVDEMGEDEEYELLTEESLVERYDSDHGDEDEDAGVSDGETSKRWWDSMALVAAPAGLIVPDGVSLGPPRFAAVKNTAGFMRIAAAEAATTGSHHEGSREIVVLYRYTRFSRTWSGRRGVEACRRTKMHWLRFAVPPAGDMASSLAWAGASLSPLIYPRLFRRELRDLWSNLAAPAVTAAIPPRAARLQVVVDAGILRREDHTAERMEHMRGALEDIMGEAWPEYYHVGMELHLPEPVRRREDDTGGDEDGAPPPPPAKRRRIITEEVEECSLCLDPLESGLAAWPGCGHVFHGECVEETLAGRETCPLCRHLLSDELVCYC >KQL02720 pep chromosome:Setaria_italica_v2.0:VI:34156150:34161648:-1 gene:SETIT_013200mg transcript:KQL02720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFKETKSILKSSMSSLGGGSPEEIERFWSACVLYCVSRLSKAGRSKEDGGVSLCQILRACKLNIVDFFKEMPQFCIKVAHVLTGLYGSDWEKRLELKELQANVVHLSLLSRYYKRAYQELFLSNDAKPSDNSSESNNQEASDYYRFGWLLFLVLRIQTFSRFKDLVTSTNGLVSVLAVLIIHVPVRLRSFNINDSSYFVKKSDRGVNLIASLCEKYHTSEDELSKALEKTNTVIMDILKNKPCSASECQQDNLSFIDPEGLTVFKDLLQGNLLKSSLLILEKEYENAINSKGELDERMFANDEDSLLGSGSLSGGAINLPGTKRKYDVMASPAKSITSPSPMSPPRFCLSPKGNSFGNSKMAPITPVSTAMTTAKWLRTTITPLPSKPSGELLHFFSACDKDLTEDITRRAGIILGAIFTSSSFGERICTNVRTANRMDAIWTEQRKMEALKLYYRVLESMCRAESQILSGNGNNLTSLLSNERFHRCMIACSAELVLATHKTVTMMFPAVLEKTGITAFDLSKVIEGFVRHEDTLPRELKRHLNSLEERLLESMAWEKGSSMYNSLIVARPALSAEINRLGLLAEPMPSLDAIAAHHNISLGGLPPLPFQKQEHSPDKDEIRSPKRACTERRNVLVDSNSFRSPVKDIIRSKLPPPLQSAFASPTRPNPAAGGETCAETGIGVFFSKIAKLAAIRIRSLCERLQLSQQVLERVYSLVQQILTQQTALFFNRHIDQIILCSIYGVAKISQLELSFKEIIFGYRKQPQCKPQVFRSVYVHWPPRSRNGKMGEDHVDIITFYNEVFIPAVKPLLVEVGPGASPNKKEEEKGPVDVGPFPESPRLARFPNLPDMSPKKVSATHNVYVSPLRSSKMDTLLSPSSKSYYACVGESTYAFQSPSKDLKAINNRLNSGKKVSGRLNFDVVSDLVVASSLSSDQNAKPAAMEVAPVKTPVKCEPSDP >KQL02829 pep chromosome:Setaria_italica_v2.0:VI:34833625:34833771:-1 gene:SETIT_015158mg transcript:KQL02829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGFSMRDIKTYLSVAPVLSTLWFGALAGLWIEISRLFPYASSFLFFKF >KQL00794 pep chromosome:Setaria_italica_v2.0:VI:5110934:5111286:-1 gene:SETIT_015965mg transcript:KQL00794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGMSAKVSTKIRTRLDLSESPSSTGLPTGTTSTSALGFSLRIFSGPPRRSSLPPPATSCCAQSAPVPLACTQQLHPFIINMATNSHKR >KQL02854 pep chromosome:Setaria_italica_v2.0:VI:34976835:34981683:1 gene:SETIT_013869mg transcript:KQL02854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGSASRRARGGAQLPLHPRSTRRINTSCRVKHRAPSAPLLEPNPHRSEPPIRCLFALSVPHFSTTCREDRAAVELQSSRRTRAGTRNPGARPSGSRSPPELALPSPSPSPSPIDERDGREGVGEMRGSSRRPLAAVMAWVRRQPPKVKAFLAVVAGMAALVFIRFIVHDHDNLFVASEAAHAIGIGVLIYKLTKEKTCAGLSLKSQDLTALFLAVRLYCSFVMEYDIHTILDTATLAATLFVIYMIRFRLRSTYMLDKDNFKLYYVVVPCAVLTTFAHPTTSHNIVNRLCWAFCVYLEAVSVLPQLRLMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >KQL01463 pep chromosome:Setaria_italica_v2.0:VI:20078715:20080555:-1 gene:SETIT_015785mg transcript:KQL01463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLNPLLTVLSAAAIGFFIGVSFPVQITPKVFPLSFGDGNCTFGGSYILGRLSTAFRNSTSTVEGTPVLQPNATSEDTPTRKYLLVLTVGYSDKVNVNATVHKFSENFDVLLFHYDGRTTEWDEFEWSKEAIHVSARKQAKWWYAKRFLHPSIVAPYEYIFIWDQDLGTETFDAEEYIKIVKKHGLEISQPGMDITRGVKTYDVNVRRNDTEIHTSTSVGKCSEDVHKRPCSAFVEVNAPVFTREAWSCVWHMIQSDLVHGWGLDWNFWRCVDEPEEQIGIVDTQYVAHHRGFTLGNPGNETVDGSRRKVRLRASAEFGMFKARLNNADKLQAAALLAQSGAATPTQS >KQL01607 pep chromosome:Setaria_italica_v2.0:VI:22932509:22933777:1 gene:SETIT_015787mg transcript:KQL01607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDYYEILNVDRGATDDDLRRAYRRLAMRWHPDKNPAGKADAEARFKEITEAYNVLSDADKRAVYDEYGEEGLRREVPQAGGGGNDDIFDEFFGSTPFTYCNTAVGGGGGGSRQPPPPPAWDSGFGRSYRRNQGGSGATSTMAPPPPPVESRLACTLEELYMGVTKKMRISRNVVDASGRMKTESEILSIEVKPGWKKGTKITFPGKGNQQWSQLPADLVFVVDEKPHPVYRRDGNDLVAEARVTLAEALGGTVVVLAALDGRELAVDVGGGGGDEEEEEEGPVVCPGYELVVPMEGMPIAREPGRRGSLRIRFDVAFPERLTRRQRAQIKRVLEDGGQAGC >KQL00892 pep chromosome:Setaria_italica_v2.0:VI:6112372:6113373:1 gene:SETIT_014953mg transcript:KQL00892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRTSTLPLLATLLSVLLLLPSAAVAKAIDATNTQRLELPDGLIGPESVAFDRRGAGPYVSVSDGRILKYAGKSVGFATFAYSPSYIKNGCDAPSSELPAVARESSCGRPLGLRFHNNSGNLYIADAYMGLMRVGPNGGEATVLATEAGGAPLRFTNGVDVDQVTGDVYFTDSSTTYTRAQHQMVTTSGDSTGRIMRYNQRTNKVTVLQSGVTYPNGIAISADRSHLIVALTGPCKLMRYWIRGPKAGTSELFTDLPGYPDNVRPDGKGGYWVALHREKYELPFGKDSHLVAIRIGSEGEKLQEMRGPKDVRPTEVVEREDGKIYLGSVELSY >KQL01116 pep chromosome:Setaria_italica_v2.0:VI:8586208:8587962:1 gene:SETIT_015444mg transcript:KQL01116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RACKKRPCSATSGNFQGINADGGNANSQRATSGNSQGTIADGGTVNSQRVTADQIDAQTSTGRCLDSNDGASGSLLVRDEPPKKARGRGKRNLTQLRLPPSNDKVMLKPVGTRQFTYVNYNPRDYKYGSQVGVIIRRLYLGMVDIRDEEGRHVERRAAMSWHDYYHKKDHAGVTYAKLVKCEFWRVFQVTRADVRKADHNLDAYVVKRVFDLIYQTCLDAVKIHENCDDNQACTIHLIEEEYINSRLEWCAQDAWCFLSKYWASKRYIDKRNVAQASCLKSEDAAPNRGRSWPFGETQQLLEYKFGPDKAGTVNTYAIMKFGFKKVDSTGKSAPIPSQGAQKHLANYSTRTQLPENSKDLDVQALYVMEHGMAHGRVPIGDGCVDKLNPIVLQQALLLIKT >KQL01196 pep chromosome:Setaria_italica_v2.0:VI:10802557:10804298:-1 gene:SETIT_013630mg transcript:KQL01196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPYLQELVISTLLVVSLCMYIKFWRLRNPLYPMDWPVVGMLPFLVANLHNFHDELTVVLATYGCNFKARGPVASGMRFFVTADPANVRHIFTSNHANYPKGEDFAEIFDIISGTLFAIDGEAVRQQRAMFQNILSNPRLLALMASCCRDKVVNGLLPFLTRMGSTRTTFDMQDLITRLVFDLTATPIFGVDPGCLSISMPSIHVATAMDTFMEVGLFRHTMPTCFWKVMRRLNIGPEKKLAMAQTVMHAFIMEMTEKSKARCADLLDDVWAMDIISADPSVGRDDILLRNVLIFNMIAGRDTLGTTLPWVFYNLARNPRVVSCIRKELAPIASLKATALASNSISIYLQAALLESLRLYPPGPIERKVVLADDVLPSGHQLCSGETILISIYAMGRMESLWGKDCHVYRPERWLSEDGAKLRYVPSNKFMAFNSGPRMCLGKNIAIAQMKTIVAAVVWNFDMEVLEGQSIEPKLSCILQLKNGLMMMVKQRE >KQL00342 pep chromosome:Setaria_italica_v2.0:VI:1505084:1509249:-1 gene:SETIT_015637mg transcript:KQL00342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGVTVPRIRASPRRRIWISVVVIFIIITVLWAYLYPPQDYTYPVRDWFPSEPTRELTDAETAARVVFRHILSTPPFISRNPKIAFMFLTPGQLPFEKLWELFFKGHEGRYTIYVHASQEKPEHISPIFVGRDIHSEKVAWGMISMVDAERRLLAKALEDIDNQHFVLLSESCIPLHNFDYVYDFLMGSRHSFLDCFHDPGPHGVYRYSKNMLPEVRESEFRKGSQWFSMKRQHAMVVIADSVYYTKFRLYCRPGMEEGRNCYADEHYLPTLFHMMDPAGIANWSVTYVDWSEGKWHPRSFRAKDVTYERLKNMTSIDVSRHITSDEKKELLQRPCLWNGLKRPCYLFARKFYPEALDNLVNLFSNYTIF >KQL02864 pep chromosome:Setaria_italica_v2.0:VI:35019305:35020366:1 gene:SETIT_015321mg transcript:KQL02864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMANRRRGRSPPATACPSRNPVTAMSSSLNFPPDLLREIASRLTSLQDFFALRSVCRVALPLAAPNLASQAPLLLVPDAATASHALLHIRRGFLRFRLTRTHLTGEAADIHSLGCRIAVDLRDRCQLRIVHVLYGERTRLPSPPSPFSVLLLSGDLLVAWSHLNLQYCFLEIPKWRVASIRNPCCFHDMIFVNGTLYALVTAGYHLAAVKLSDKSDSVELEFLGGELDAEIVRRSSVFCLAECCGELILVTRPKHHRRRTFHVFRWQSGEREWERISSLGGCTLFLANYRFAGCLGPDHRGIRGDCIYYTIPGLLRVHSLVDESVTERIINYPVGKAPMEFCQSVWVFPSMC >KQL01859 pep chromosome:Setaria_italica_v2.0:VI:27215271:27216211:1 gene:SETIT_015672mg transcript:KQL01859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNCASWDEGTTKALLDLCIAQKNQLNWSNKCLTKLGWRNVYSGFRAQTRLHLGSKQLQNKLNNLRRAFLTWLALQNKSGLGRDTQTGGVSADATYWEQDQEDTTGGGAQARSQSSSVKPPPFLDELFELFGHEPQDRGTLLTAGGIREATPSVGTEGNAADLEQDPPCYPTKKRSDNLEQYIRELSESVAKRSLQRADRTHDQMVRCMQILKEDGIQEGSPLHNQAMYLCTKSAEYRSTFMEMTTKEGRMSWIQFYWDMTNKK >KQL01462 pep chromosome:Setaria_italica_v2.0:VI:19987662:19989465:-1 gene:SETIT_015133mg transcript:KQL01462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNTVLTVLTAAAIGFIIGVSFPVQITPKVFPSSIGDGNCTSRSNILGRFSTPFRNNASTVDGTPLPMSNATSENVVSPAKPKGADRLPPNIVVRESDLHLRRLWGDPREDTPTRKYLLALTVGYSEKVNVNATVHKFSENFDIMLFHYDGRTTEWDEFEWSKQAIHVSARKQAKWFLHPSIVAPYEYIFIWDQDLGTETFDTEEYIKITYDINVRVSDNEMHKSTSAGGCGTDVHRRPCSGFVEVNSPVFSREAWTCVWHMIQSDLVHGWGLDWNFWRCVDDPEEQMGVVDVQYVAHHEGFTLGDSGDVDGSRWKVRLRASDMSSACSMRECRTRMTRPRQQLILYDPRQPRDLRQHRCR >KQL01172 pep chromosome:Setaria_italica_v2.0:VI:10171319:10171852:1 gene:SETIT_015006mg transcript:KQL01172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPTSASRPASTPTPPAATPPAAAALPASPWHSPVPYLFGGLAAMLALITLALLILACSYWKLNNHLGTGDASSSSSSALGATDGDGSKSPAATAAASPATVADLVAVVMAGEKTPTFLAAPIVRRARGSNSDEHAAAGEGSPETEHQEKNRGVAGDGESGLVAGDERDRQLDHV >KQL01667 pep chromosome:Setaria_italica_v2.0:VI:24534328:24539639:1 gene:SETIT_013214mg transcript:KQL01667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPAAPSRGPASFLTQANALLRKNLCFQKRNLKTNIGITVFPVFLCVILVVLQGVIDRELDKPKYRCGCACVDPGPATVGAACRRTECGVQHSTLDQVGSCPIPSPTPWPALVQLPRPGSRAVRTAGQSFEGLPDPACRDTGSCPAAVLVTGTNRSLAEGSDTWPWTTQLIEPVFIPGNKLYLVQPQCLSNSSQTVSSNAGPIPLQLNADCIQGLSLWRESASAVNDELFKGYRQKGGGSGEGKTNEFVAGYDFLNTNRNGLEMNIWYNSTYNNNTAIVPIALLRVPRLVNMASNAYIKFLRGSGVDMLLEYIKEMPKVGTELKFDLSSLLGALFFTWIVELLFPVSVILTYLVYEKQQKLKIMMKMHGLKDGPYWLISYGYFFALSAAYMILFVIFGSLIGLKFFKLNNYSIQFVFYFIYINLQIALAFFVASFFSSVKIATVVGYIYVFGSGLLGAFLLRFFVEDNGFPKGWIVVMEIIPGFSLYRGLYEFGQYAFSGNSMGTKGMEWSNLDDSVNGMRGVLIIMVVEWVVLLPLAFYVDQVSSLGGGFPKNSFSLKCFKKRAASLRRFSFRRQGSKVVVEMDNPDSSQEREVVEQLLLEPIANQPIICDNLKKVYHGRDGNPDKVAVRGLSLALPKGQCFGMLGPNGAGKTSFISMMIGLIPPTSGTAYIHGMDIRSDMDAIYTNMGVCPQHDLLWETLTGREHLLFYGRLKNLKGTELLKAVDDSLKSVNLFHGGVGDKQVGKYSGGMKRRLSVAISLIGDPKVVFMDEPSTGLDPASRNNLWSVVKEAKKNRAIILTTHSMEEAEVLCDRLGIFVDGGFQCIGNPKELKGRYGGTYVLTMTTSSENEQEVEQLVRRLSPNASRIYHISGTQKFELPKQELKIADVFHAVESAKSRFSIYAWGLVDTTLEDVFIKVAKGAQAFSVVA >KQL02253 pep chromosome:Setaria_italica_v2.0:VI:31216904:31220595:1 gene:SETIT_013154mg transcript:KQL02253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHKLRFVRCPRCNMLLVEYPSIPVYKCGGCDTVLRAKNRAVPQTQAGSGSDQHNSFPSSLQGSPQSSKSIFSDEQKAVSSVDQPREATADGSISSTINNVDSCKGAIQKRAMSAADIVTRDEHLNEEAGSLNDGNIQNSEEDMVKEIHDKDSGAGTSSNLTEKLGNLDTNENPNGGEVDGFATSDASTLNGKTEVVNREERLRSYEGMHVESHEALIEELERSLSFSSDDEYFSDEAENIGLSDALCNQMGSRRFMLGVKANDASRSDPHSRLIEELEMSFSDAEEPMEEHAVVVERVHGIVHDAHPQNLGAESAYPCEESLSSFDNRHLKYEQNSHQEIRLIGNADKLKEEYNTEENSTAKDAAEDVVDSSHESGKDWQSIDLEIADPCEVGVPLLGDKNIKDDGNYAMEKCHTEDDSTTNRVNVVDSSHESGTDWQFIDVEIADPCEVGIPLLGDNNIKDDGNYAMEECRTEDDSTTNRVNGNAHIVVEDDIVEVSHENGRDQQFTDAESAHPFEGSVSSVDDGNEKLNQSFQRDDLIADVTEKMEEGCMEDDNVNSYVHDIENLLFSNEDISDRPCGNEGLMADCRAGENEESHMDDDNMPNTVDADENVAVADDHIAERADNDEAPLHSGDMANADGKDWQSLEAEGTHLGEEVLSSLNTGHIKSEQGLQQNELIYDGTKEKEEADMDDGNASATVASFSSLSYKRTQHKVPSFNKNKEEISYGYKASQLRQGLSLDSEDFKSIQNFIESQVDGTSSSRSSGSPSQGVLGPRTSNKFNNIVRHERLKKMDELRDQLSRLSSQRVSEKSFQKRDPEYQQQSNSCDVEQLLQSVDGDSIPSSCALESYYGHGRPPPRYQPSNPFSPAHAYTHCHFGHAQTRIPHNYDPWEFNSYYQSSYAESTILDYESLRSSYKEQKRVVRKHILRPLSGASPYTICNSCFNLVQMPSDIYISKSKIGKMQCGKCSKVFALSFPSVHQADAKISMDVPQQSYNPVDSTIPTNEYITSYYAECLTGGPVSTSEDYGASYTRSLPTQAGSSSLAATQSGKKVSDSALHRLMGYDSASQLLRHSRVFDDGYESFESMVPVSSRVSRRKNN >KQL02583 pep chromosome:Setaria_italica_v2.0:VI:33365232:33366401:-1 gene:SETIT_014311mg transcript:KQL02583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGSCSTSTSTGEKKGEEWVLSHGDVVLIRSDLAILRGPRFINDRLIAFYFAHLSADLGDDLLLLPPSIPYLLYNLPDPASIAEPLRLASRRLVLLPVNDNPDASVPEGGSHWTLLVIDNTTSPSGPRFVHHDSIRGAPNLPVASHLADALRPLLQSDSRSRATVPVVEGPTPMQPNGYDCGVYVMAIARAICGWWKSGHGGHRGGDWFEAVGREVNADSVKAMRGELLQLINTLIQDKAKASSTSEGNKTSNTD >KQL00904 pep chromosome:Setaria_italica_v2.0:VI:6299365:6304475:-1 gene:SETIT_014924mg transcript:KQL00904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIGWVLLLFLAQLHTLLSTSIAHRADGGNLTHLPVSFLCHPNQAKALLQLKKLSSWRNGTDCCLWEGVGCDPSSGHVTILDLNNRCLSSHGLDPALFSLISLRRLDLSMNDIGGDNIRSAGFERFTFLTHLNLSNSGLYGQIPPSISKLVNLLSLDLSTYNIDYSLGFYGPNYYDYYNYLWESSFDTFVVNLSNLRELYLDSVDLSNSGEEWGTSLAAYVPQLQVLSLADCHLSGPIHKALSRLHSLVVIKLQENFYEPSGRPFPEFFMDFPNLTVLQLSQTDLEGPLPSRPFQSKNLRVLDLSYNMNLSGHVPNFSNASSLETLRLDGTNLVYDIPTPSSNFTSLKELGLKRNLISMDFLSAFGRLESLHQLDLDCFLDNELDHDLDSGSDLGPIFSWIGQHKNLTSLGLFGCNFSGVPPTLLSNFMNLKNLKIQDCNLPRPVLHAVGNLTGLQTLAMDDCTTYGSMPSSIGNLTNLRNLHILSTFSGPMPAAIGGLTNLRNLYIKDVGFSGPMPAAIGELTNLRNLYIEDVGFSGPMPPAIGKLTNLRNMYIEHSGFSGPMPAAIGELTNLVLRVCNFSGSVPSSIVNLTQLTMLDLSFNSLNGEIPPSIFSLPILTHLDLSFNQLSGPIHGHVSPSICNATELDALDLSYNYLNGPIPPCFIENIHLRVLNLRQNRLQRMLPSNITTRCHLQTIDLHGNKIEGRLPRGLSNCTDLEVIDFGSNKIADAFPWLRGLPKLFVLVLRSNQMYGTIGDIVGDTKCEECFPSLQIIDLASNNFSGTLRPQWFKQLKSMMAEFNSSGKTLETLNTLHGEERFYQYSIEIMYKGEDMRFGMTTVTAIDFSKNSLEGTIPETFGSLVSLRPNFEAMTDLESLDLSCNQLSGDIPQELTDLTFLGSLNLSCNHLVGKIPQSRQFSTFDSSSFEGNAGLCGLQLPKFPCGSSPHPPGVAHGHKSSRHIDVVLFLFVGLGFGVGFAAAIVVKWDRFGRCFYCNCKNLAYLITTRR >KQL00824 pep chromosome:Setaria_italica_v2.0:VI:5389978:5393314:-1 gene:SETIT_013179mg transcript:KQL00824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGTSSPSQLPLHGGLLLLLPLLTITTAASSAPLPLLALLSLKSSLRDPAGTLRPWTYASAASAGATRSLAPPWCTWPGVSCDAATGDIVGIDLSRRNLSGTVPATAARLLAPTLTSLNLSANAFSGELPTALFQLRRLEELDVSHNFFNGTFPDGIAELAALTVLEAFSNCFVGALPRGLGVLRRLERLNLGGSYFNGSIPSEIGQLRRLRFLHLAGNALTGRLPAELGELGSLEHLEIGYNAYDGGIPAALGNLTQLLYLDIAVANVAGPLPPELGELARLESLFLFKNRLAGAIPPRWSRLRALQVLDLSDNMLVGAIPGGLGELANLTTLNLMSNSLSGEIPAAIGELPSLEVLQLWNNSLTGRLPASLGASGRLVRVDMSTNSLSGPIPAGMCSGNRLARLILFGNRFDSAIPASLAGCSSLWRVRLESNRLSGVIPMGFGAIRNLTYLDLSSNSLTGGVPADLVASPSLEYLNISGNPVGGALPNVSWQAPKLQVFAASKCALDGEVPAFGAAGCSNLYRLELAGNNLTGAIPNDIGVCKRLVSLRLQHNQLAGEIPAALAALPSITEVDLSWNALTGAVPPGFANCTTLETFDVSFNHLAPADSTSASPGNGDGEGSSARHTAAMWVSAAAVALAGMAVLAFTARWLQWRDGAAAATDGGGAGGAARPNVVVGPWRMTAFQKLGFTADDVVRCVEGSEGIVGAGSSGTVYRAKMPDGEVIAVKKLWQPAAQKEVAAPEPKRNDTDGEDKRMLAEVEVLGHLRHRNIVRLLGWCTNGEATLLLYEYMPNGSLDDLLHGAGAAAAGRAPAKTKAAARLGWDARHRIAVGVAQGVSYLHHDCRPAVAHRDLKPSNILLDADMEPRVADFGVAKALRGAGAPMSAVAGSCGYIAPEYTYTLRVDEKSDVYSFGVVLLEILTGRRPVEAEYGEGSNIVDWVRRKVAGGGGGAREVVDAAAWAADQGGEAREEMALALRVAMLCTSRSPQERPSMRDVVSMLQEARRGRKLVAKKTAQPKMN >KQL01843 pep chromosome:Setaria_italica_v2.0:VI:27032368:27039386:1 gene:SETIT_015545mg transcript:KQL01843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMEELAELADATLQGASLLADDDPSADRPSRRGSSFLTAVAIGNVGAGKSAVLNGLIGHPVLPTGENGATRAPICVELQRDASLSSKAIVLQIDSKSQQVSASSLRHSLQDRLSKAGSSGKGRSDEINVKLCTSTGWFVPLLIGNYAARNDAILLVIVPALQAPDVASSRALRIARELDSEGTRTIGILSKIDQAAGEQKALGAVQALLVNQGPRTAADIQWVATIGHSVPTASVQSEVGSETSPEASWQAEVKGLISILCGSPQSKLGRVALVDSLAKQIKTRIKVRLPNLLNGLQGKSQIVQEELAKLGERMAESSEGTKAIALGLCREFEDKFLQHIAGGEGAGWKVIASFEGKFPTRIKQLPLDKHFDMKNVKRIVLEADGYQPYLISPEKGLRSLIRGLLELAKEPSILLVDEVHRVLLDIVSSAANATPGLGRYPPFKREVIAIASAALDGFKNEARKMVVALVDMERAFVPPQHFIRLVQRRIERQHQEDELKSRSTRKGQDSDQKRDTSPQKNSNQGEMKQASNIQVLGPAGEIIAGFLFKKRAKANDWSKRWFVLNEKSGKDCKLEENLDDEDPPRSSKGSKKANMLDTGEDSSLMFKITHKIAYKNVLKAHNAIILKAESMSDKTEWVTKIKSIVDQKGVSAKKPNASEGGTPMKQSHSDVSLDTMLKKPVNPEEELRWISQEVRGYVEAVLSSLAANVPKLRPQSFQPFLGSFLRPAMSY >KQL01773 pep chromosome:Setaria_italica_v2.0:VI:25874413:25875059:-1 gene:SETIT_016030mg transcript:KQL01773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCSCAVSKRADLYLLISTVSLSSRSTVFGNDIYRNTMSLHHAINFV >KQL02217 pep chromosome:Setaria_italica_v2.0:VI:30954210:30956657:1 gene:SETIT_013976mg transcript:KQL02217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSCYDVAASMLLCAEEHSSILCLDEEEEEDVAAAAELPGRKRGRSPGCGDGFGADLFPPLSEECVAGLVERETEHMPRSDYGERLRGGGVDLCVRREAVDWIWKVYAYYNFGPVTAYLAVNYLDRFLSRYELPEGKDWMTQLLSVACLSLAAKMEETSVPQSLDLQVGDALYVFEAKTIQRMELLVLSTLNWRMQAVTPFSYLDYFLNKLNGGAPAPRSWLLQSAELILCVARGIGCIGFRPSEVAAAVAAAVVEAAGVAGIENACAHVDKERVLRCQDAIQSMATPAINTVPPKSASGSGRVSPGPQSPVGVLDAGCLSYKSDDDAVAAATVASHGASAYGSATASPVTSKRRKITSR >KQL02273 pep chromosome:Setaria_italica_v2.0:VI:31363089:31368638:1 gene:SETIT_015222mg transcript:KQL02273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPGRARRRSAHALAPRSCPDADPLPPLKIDRAVFNESAGSRKQTFSRLRLGTAAASSSSPSARPSSAHPSTARNDPDRNLVAYHLRRLFIPDDPSLPPPTEPQTLALIESSPPSPPPDPDRETTNAKGISVDLVRLAGMVEPYDAELRRRTAGMASETELQGFIASVAGKWLSQRQRRKYVDASFFGDHLPRGWKLQLGLKRKGGTVWVRCFSYVSPKGNQFSTCKDVSAYLMSLLGYPEVKSVTNQHESTGQLYLCASNGADNVLGSQDQIGSGDILPVASLSCQKCNWTFCDQTAYEQHHFSCHEMSAKRRRTGKFGEPVVGRDGKFECPICQKTFEEESRYFGHVGSHARYQGLTPEAFFDKATSARVINGSLAEVSFSLQELTDSRGQNKVSDGEAGFQHHNHSNGLFTELFSTNCSDNYIGPNKVTDVPSVCRYPSDTGHAAATIPKRALNTSHQSLSNANGFAGVNIFNGQLGNNHVGRLTAFGTANHYQDRIVDHGIAAPKHADSNAVKARDVNLNSSLDTISFPIASANNETSAALNEVNQSSFTAKCFRGSFNNNDGASSASSCSGSSNKISSSVDVVNKTSSAASRCFDPSYGPYGHDYGALKANPFANKNNTAVYHANLGTQPVYPGSGSDVNCMKGSLANRGDVNFAKGSFANKPINNNEPNVPMLEVMRKSNNEMQNRYNDCVPGCQPHAASRTCRNVNGLMSTQANFVNMSSAVQSVGDVPVTSTSQDQCDLQLGFGAQKQQIFSSHGELRSAATGSPQLGSNARNSSLPTGSSQFGSMDGPKSFPSGTPQFGSFARPNSVPAAPSQLGSIAQPNYVHSAASSQFASMVQPNSISPESSQFGRKGRPDSVPPANTSQFRSMAGPNSVPPAQSPQFGSMARPNSVPPDSS >KQL02450 pep chromosome:Setaria_italica_v2.0:VI:32611591:32614757:-1 gene:SETIT_014678mg transcript:KQL02450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRIKAVVDKFVKELKEALDADIQDRIMKEREMQSYIAEREREVAEREAAWKAELSRREAEIVRQEARLKIERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >KQL02040 pep chromosome:Setaria_italica_v2.0:VI:29364585:29365460:1 gene:SETIT_015405mg transcript:KQL02040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRIQRTTHSFPLRSPAPRPTGCTHAARKAWYRQPGWPAAGCSRENAAGSRLLQRKRGGQLGTASCLTAAALVTAKPFSSPNHHHLPCILPQLSLSPSLPVLEPRVPRASGVRVGRRVPSSEEGVEGKTMAKGGLGKLRCMIRRWHSSSRIARAPSPPGEDGVDARGASFHGADEVPKGLHPVYVGKSRRRYLIAEELVGHPLFQTLVDRTGGGGAAEAGCTVVGCEVVLFEHMLWMLENADPPPESLDELVEYYAC >KQL00170 pep chromosome:Setaria_italica_v2.0:VI:322568:323035:1 gene:SETIT_014766mg transcript:KQL00170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREARAVGVGVLGGEMVWWGCRPCRLKEGVYDRIFIRGHEERHRIEESKAREAREREARDRQERKEASATRRRGRRGRRKH >KQL01953 pep chromosome:Setaria_italica_v2.0:VI:28437244:28441454:-1 gene:SETIT_013555mg transcript:KQL01953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALKSSSSFYSTQQHQWLLSKKDQSLHGSSHANAKQCKSKKITKLAPLCVKATSSKVELDFNDPSWKQKFQEDWEQRFSLPSITDIYDLKPRPTTFSLKKNRTPTGDENVDMWNGYVNNDDRALLKVIKYSSPTSAGAECIDPECSWVEQWVHRAGPRKEIYYEPEEVKAAIVTCGGLCPGLNDVIRQIVFTLETYGVKNIVGIPFGYRGFFEKGLKEMPLSRHLVENINLNGGSFLGVSRGGAKTSEIVDSIQARRIDMLFVLGGNGTHAGANAIHEECRKRKLKVSVVAVPKTIDNDILLMDKTFGFDTAVEEAQRAINSAYIEARSAYHGIGLVKLMGRSSGFIAMHASLSSGQVDVCLIPEVPFTLDGELGVLQHLEHLLKTKGFCVVCVAEAAGQDLLQNSGATDASGNVILSDIGVHMQQKIKTHFKDIGVPADVKYIDPTYMVRACRANASDAILCTVLGQNAVHGAFAGFSGITSCICNTHYVYLPITEVIKAPKRVNPNSRMWHRCLTSTGQPDFH >KQL01453 pep chromosome:Setaria_italica_v2.0:VI:19856403:19857017:1 gene:SETIT_015122mg transcript:KQL01453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQRCITLYLFLHSMKYALMPIGYDANLPTRTNHIYGYKKCASIFANQKRTINRENRTTVPKGFHRLREAPERTPEYLPPVLPSIWLWDVLIMHAWIHRFNCIKKHWQLLVPRLPIPINHPPRSLLSPPSFTISYHISSINLLNSSYNCSHFHVVLFLQYSHQPLRNEGRC >KQL01321 pep chromosome:Setaria_italica_v2.0:VI:15365756:15366562:1 gene:SETIT_015488mg transcript:KQL01321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGVSPTGIDCFCFGAENKLRIFPPNTYKFKPRDHIVLDEVQECILDNFWYQYNNKREDKGYMLAILNSLAEYFHTINGLIQPKESPKNIEKKAIYVIYKGKNPGIYVSFEQELKPSSSGININEEGSSKAPTYKDILKKEADSSNEEYIDNKLKEKLESVFPQWKNNLKEEIMKEIRFEIDEKFKNMQKDYELKMEVPISDDDMMDFRGDSQ >KQL00647 pep chromosome:Setaria_italica_v2.0:VI:3731334:3733324:-1 gene:SETIT_014572mg transcript:KQL00647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPATAAAARCPLLRRAPAALRGALSRSGMARRLVAASAGGGGRAPAYGGLLLDAGGTLLQVARPVAETYASIGRRYGVTKPEKGIMEGFKRAFSAPWPKTLRYQYYAHGDAWCLPDGADTILRELKDAGVKLAVVSNFDTRLRKLLKDLNVSDIS >KQL02677 pep chromosome:Setaria_italica_v2.0:VI:33914809:33917434:-1 gene:SETIT_014138mg transcript:KQL02677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGLLHMAHPAITLSGVAGNIISFLVFLAPVTTFLQVYRKKTTGGFSSVPYVVALFSSVLWIFYALVKTNSRPLLTINAFGCGVELAYIVFYLAYAPRKARLRTLAYFFLMDVGAFGLIVVVTLFGVRKHLQVKFLGSVCLAFSMAVFVAPLSIIVKVVKTKSVEFLPISLSFCLTLSAVAWFCYGLFTKDPFVMYPNVGGFFFSCVQMGLYFWYRKPRATNAVLPTTGDGGAAAPSVQVQGQVIELAPNTIAILSVSPIPIVGVHKIEVVDGQHKDAAVAAEACRMAAANPEGPPPQVIEIVPAA >KQL01720 pep chromosome:Setaria_italica_v2.0:VI:25187662:25188579:1 gene:SETIT_015886mg transcript:KQL01720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYENVREDEGSKLLTCSCRCVLPREAASLSNFSIFPLAELNCITQVSHRAAAAASHTSVLVTNLAPILPAQSKIDA >KQL00518 pep chromosome:Setaria_italica_v2.0:VI:2723844:2725684:1 gene:SETIT_0141843mg transcript:KQL00518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSEAVKPAEAVLEWNKQDNKRMLHAVYRVGDLDRTIKYYTECFGMKLLRKRDVPDEKYTNAFLGFGPEETNFALELTYNYGVDKYDIGEGFGHFAIANEDVYKLAENIKSKGGKITREPGPVKGGSTVIAFAQDPDGYLFELIQRAETPEPLCQVMLRVGDLERSIKFYEKALGMKLLRKKDVPDYKYTIAMLGYADEDKTTVLELTYNYGRTEYSKGNAYAQVAIGTNDVYKSAEAVDLATKELGGKILRQPGPLPGINTKITSFVDPDGWKV >KQL00558 pep chromosome:Setaria_italica_v2.0:VI:2993050:2993363:-1 gene:SETIT_016048mg transcript:KQL00558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWNGVLDRYRVFEALEMVVFLRTGVRPRSWDETGASWMEVAGDDVAATAAAGDDGLLQTASSISSD >KQL02770 pep chromosome:Setaria_italica_v2.0:VI:34455703:34455959:-1 gene:SETIT_014834mg transcript:KQL02770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRRQRSRSHCGCALAVVELRTYWQLQIGVWIDKFWYLVVQVGLWSCRCTICT >KQL01894 pep chromosome:Setaria_italica_v2.0:VI:27608092:27612211:-1 gene:SETIT_013897mg transcript:KQL01894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRATTVLAWGSGEDGQMGMGGNEEKDWAHCVEALEPYNVTAVVAGSRNSLAICDDGRLFTWGWNQRGTLGHPPETKTESSPGPVDALAGVRIVQAAIGGWHCLAVDDKGRAYAWGGNEYGQCGEEPERKEDGTRALRRDIPTPQRCAPKLKVRQVAAGGTHSVVLTQEGHVWTWGQPWPPGDIKQISTPVRVQGLQKVRVIAVGAFHNLALTEDGILWAWGNNEYGQLGIGDTQPRSQPIRVEGLSDLLLVDIAAGGWHSTALTNEGEVYAWGRGEHGRLGFGDDKSSHMVPLKVELLAGEDIVQVSCGGTHSVALTRDGRMFSYGRGDHGRLGYGRKVTTGHPLEVPIDLPPPKTSTSSDGQWQAKYVACGGRHTLAIAEWNVAND >KQL02223 pep chromosome:Setaria_italica_v2.0:VI:31001883:31002945:-1 gene:SETIT_015424mg transcript:KQL02223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTYRSVELTGEMWQVMVQCLGEKEQDVRLVQANIDRAQLDFVSLWHFKDQLGYVAYNRGQAKPTEVRDDSNGSSATPPSQWPTHARKPKQTKGYKSFTPSAVFYALIVHDLMASCGGPCGDNRRTFVDKVVLFTKQKAPLIGVRNWKDVC >KQL02432 pep chromosome:Setaria_italica_v2.0:VI:32487000:32488144:1 gene:SETIT_015594mg transcript:KQL02432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDADEDYMGDLSHFLQPSPSSSASRNLGRRKQPPAPAQAQTRAKRGKGVPWRERRRQERERKQREEDARTMAGIAEAIPESNVGFRMLKQMGYDPGSRGAAEPVGIEIRRSRAGLGAEPAVAPAPAPAPVEKTRAAVETERRRQEEMMGELRARKSTQWRGRRLVWDFRKAEAALAQLENREVEPPAPEGEEKDKEAEEEEEVITEEDLQNILSKLRDQYQYCLYCGCK >KQL01229 pep chromosome:Setaria_italica_v2.0:VI:11372613:11372975:1 gene:SETIT_015996mg transcript:KQL01229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPILFTVLVSNVASATGNERCFVLVHIPKVVPTPVSIFVFCMPS >KQL02322 pep chromosome:Setaria_italica_v2.0:VI:31721746:31725302:1 gene:SETIT_014065mg transcript:KQL02322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGARVEAAPRLAQWRVDALPCYTYRKSLPFRIGLWNWYLSVERNNKQTCVKLFAENSNSAKNGHSAPIASFVTKLLISLPPNQQTIIHPGIFDKQLKHEGFVWAIDSSVTGRFVIEIEFLDLKIADPSGGEPASIWASQQIKQSSDNTALSALARMLHDDILTDITINAADGSVRAHRAILAARSPVFRSMFSHDLREKELSAVDIPDMSLDACHAFLNYIYGDLRSEEFLANRLALLRAADKYDMADLKETCHESLLEDIDTGNVLERLQTAHLYRLPRLKGGCLRFLVDFRKVYEMHDDLSAFLQTAERDLVAEVFHGVLAAWSGR >KQL02606 pep chromosome:Setaria_italica_v2.0:VI:33498932:33502368:1 gene:SETIT_014385mg transcript:KQL02606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYADRSSGRKRSVRDRLGSGGVSRSRSDDAKRFRRDDGTWRRELYKDSGGTQTSSGPTSRDVQPNKKSRVEQRIQVVKKSSVPDLREKLSGIPSQHPQLSSTVQVPKPAREIVNSDRPVQKRDPPPIAAPPVVKKVSAPAPAPAPAPAPAPAPAPPAPQQSQEKVDASLESLLKSLDLEKYLINFQAEEVDMKAMAYMNEEDMKSLGIPMGPRKKILSALAHKKRKSSKSLPTS >KQL02185 pep chromosome:Setaria_italica_v2.0:VI:30704591:30705883:-1 gene:SETIT_013984mg transcript:KQL02185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRSMAMASPVLLVAALCALFSAAAVVARGGEAEVGAAAFRSRATDPNMEVKFDFSPFLIQYKSGRVQRFMGTTFVPPSLDARTGVASKDVVLDQATGLRARVYRPSRRAVVGGGGGRLPVLVYFHGGAFVVESAFDPVYHGYLNALTAKANVVAVSVNYRLAPEHPLPAAYDDAWTALAWVLENARHGGEPWLAKHGDASRLFLAGDSAGGNIAHNLAMRAGQQVGSGGGAAGAAIRGVALLDPYFLGRYVSPGAERAWWFICAGRYGTGHPYVNPAALPASAWRALPPARVLMTVSDQDRLGPFQRAYVDALRASGWGGRARLYVTPGEGHCYFLNNIASPKAAAHMATLAAFINGS >KQL00526 pep chromosome:Setaria_italica_v2.0:VI:2776414:2785871:-1 gene:SETIT_015393mg transcript:KQL00526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIALRPQSLLCSRSHQPLVIQPAFEGGGPVQSLLRTSRCTRSRIIRCTVASSGVGTILLKAYFSVGCFTDLMFSCTAYADCSNRKSRRLVYPKIKVNAFRGYAPKVTIQSSTEKSEHHDCNEETIGTYNGQLSTDLAESTNGRDAENAKEDQAHNASSCSAFRETDVIEEVGLDLFKAEFPGNALINISLAEVEALDEAEVEEDKFEVDFSGIALSSAAVWALDSKDEAKAKEDTFVVDLSRVAPYCAAAGELGDKQKQLTSGLPEQDLCIVQFSEQNHAIVGSPKQDVGLLEQKQAIVGSYEQDQSIVSSHEQDRSADGSHSQDESIVGAPEQIRSIFGYHKSDQSIVGSYRQDKYTVGLPENFKSIVGYYKSDEYVVVPHKQDEPIVGVPEQTQPVISHRTPDRSIVGFPKHHHSIVHIPEQKQSIVGFHIQDLSIVDSSMESQTKQLAVVRTHDSLLTKKVEAKDGDYTSQKTDGDTLNAKFDVDNLLQKYKEGFTEEAAEMTTSTRIDEERLDMIEEKKGIRVDEWIVTEEGISMSEADFLPLLCEKESSWVEDEVEITEDEEQYEVDEIPMFAEQDIQELPHHDVDQQALQRMLQELTIKNYSLGSKLFVFPEVLKADSTIDLYFNRDLSTLANEHDILIKGAFNGWKWRFFTEKLHMSEQGGDWWCCKLYIPKQAYRLDFVFFNGRTVYENNGNKDFMIQIKSTMDEHLFEDFLVEDKKRELERLAIEEAERRRQAEEQWRREEEREADEADKVQTKVEVEIKKKKLHNVLGLARPSIDNLWYIEPVVTRQRAAVRLYYNRSSRPLVHSTEIWMHGGYNNWIDGLSFSERLVHLDDKDGDWWYADVVLPERTFVLDWVFADGPPGNARNYDNNAWQDFHAILLNNMTEEEYWVEEEQQIYRRLQQERRERKDSIKRKAERTAKMKDEMKKKTTKMFLLSQKHIVYTEPLEIRAGTTVDVLYNPSNTVLTGKLEVWFRCSFNRWMHPGGVLTPQKMVKVENGSHLKATVNVPRDAYMMDFVFSEFEEGGIYDNRNGLDYHIPVFGSTAKEPPIHIVHIAVEMAPIAKVGGLADVVTSLSRAVQDLGHNVEVILPKHDCLNLSNVKNLHMHQSFSFGGSEIKVWCGLVEDLCVYFLEPQNGLFGVGCVYGRNDDLRFGFFCHSALEFLLQSGSSPHILHCHDWSSAPVAWLYKEHYAQSSLTNARVVFTIHNLEFGAHYIGKAMRYCDKATTVSNTYSREVSGHGAIAPHLGKFHGILNGIDPDIWDPYNDNFIPVHYTSENVVEGKSAAKKALQQKLGLQQNDVPIVGIVTRLTAQKGIHLIKHAIQRTLEWNGQVVLLGSAPDPRIQGDFVNFANTLHGVNYGRVRLCLTYDEPLSHLIYAGSDFILVPSIFEPCGLTQLVAMRYGAIPIVRKTGGLYDTVFDVDSDKERAWARGLEPNGFSFDGADSRGVDYALNRAISAWFDARSWFHSLCKRVMEQDWSWNRPALDYIELYHSASKL >KQL01073 pep chromosome:Setaria_italica_v2.0:VI:7896864:7898347:1 gene:SETIT_013977mg transcript:KQL01073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTQEQHYTDQQALLDAQLELWHSTFAYIKSMALKSAMELRIADAIHHHGGTATITQIATKVQLHPSKIPCMRRLMRVLSVTGIFSIANAKHSAGDGDCVYGLTPASRLLVGTLSLTPTLSLILHNTFVSPFLGLATWFEHELPDLTLFEISHGKTVWDVIGHDETVSPLFNAAMVADSRFLMDIAIKECGYVFQGISSLIDVAGGHGAAAQAISKAFPHIDCSVLDLSHVVASAPASTGLKYIAGDMFESIPPANAVFLKWVMHDWDDSKCVTILKNCKKAIPPRDAGGKVIIVDTVVGAGPSNLKHRETEVLYDLFIMVVNGIERDEQEWRKIIFEAGFTEYKIIPVLGVRSIVELYP >KQL03017 pep chromosome:Setaria_italica_v2.0:VI:35800043:35800985:-1 gene:SETIT_014709mg transcript:KQL03017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFSLEGTVLTRLSKSSQKSLLALSLWPTWGAYTLITFRTISPTTSLTRIILSSCLLTSTTPSLRLLSIKTPTPFQFAAVPMYQSLKPVFSTSFAFWPFHLVS >KQL02145 pep chromosome:Setaria_italica_v2.0:VI:30285457:30285980:1 gene:SETIT_015535mg transcript:KQL02145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHGDIGGGVGMRITYFYIFGISLVHSASNKIEALFVLLCTLLKFASRGSRVTIRCKIFIWLAILNCCWTANGLACHELNHPEYCPLCDQADETIQHFLTS >KQL03020 pep chromosome:Setaria_italica_v2.0:VI:35810580:35813271:-1 gene:SETIT_013571mg transcript:KQL03020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHQQQPLSGGGGGGGGGGGGQHEHQPQVADDTRPGTMQVADDTPPVRSAGDAARMVWAETKLLWGIGLPIGVSMLSMYAISSITQMFIGHLGNLPLAAASIGLSVFSTFALGFLLGMGSALETLCGQAFGAGQVDMLGVYLQRSWIILIASSFLIAPFFVFAEPLLLLIGQDPDVARESGRFTLYILPSVFAMAINFAAVKFLQAQSKVRAPAWIGLGALLANLLLNYLFVVVLGWGLPGAAAAYDVAHLAIALGQVAYIVGWCRDGWRGWSSAAFHDIWSFVRLSLESAVMLCLEIWYIGLITVLTGDLDDAQIAVDSLGICMNINGWEGMIFIGLNAAISVRVSNELGSGRPRAAWNAVMVVVVEALLIGLLCMALILIFRDSFSIIFTSDDNLRRAVSKIAGLLGLTMVLNSVQPVVTGVAIGGGWQGLVAYINLGCYYVFGLPLGFLLGYKLNFGVGGIWSGMLCGIAVQTLILLIVVWRTDWKAEAAQAASRVQKWGGKATDQLNKPLLE >KQL01295 pep chromosome:Setaria_italica_v2.0:VI:14226705:14226815:-1 gene:SETIT_014941mg transcript:KQL01295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGAKSIGARAATIALAEAAVGIGNVLSSSIHSVA >KQL00556 pep chromosome:Setaria_italica_v2.0:VI:2984495:2984986:-1 gene:SETIT_015177mg transcript:KQL00556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSQTSFSEHTNTLFSMAKDLSQEFGAHIAVVTFSPTSKPKAYGAPTGDSVLSTYLLEIHSSPSPASSETAGEAAARVDGMKWEAKETAFLAEAERAPLGADIVLVFVRALGMLRTNVQRHFDTIKSSRKEKMQP >KQL02834 pep chromosome:Setaria_italica_v2.0:VI:34860422:34862148:-1 gene:SETIT_015351mg transcript:KQL02834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSEDCGWLLYLSLAAKCGDPHRLLGLAAVFAAAFVVTALLHWAAPGGSAWGWYWWARRGGLGIGAAIPGPRGLPVLGSMGLMTGLAHRKLAAAAAAGGKARRRLMAFSLGETRVVVTADPDVARELLASAAFADRPVKESAYGLLFHRAIGFAPHGAYWRALRRVASAHLFSPRQIAASAAQRAVIARQMVDAMAEERPAAAAGTVTARRFLKRASLHNVMWSVFGRRYELQAASEEAAELKSLVDEGYDLLGQLNWSDHLPWLARFDLQRIRARCSALVPRVNRFVGRIIDEHRARHALGGGVAAVMDFTDVLLSLQGSDKLSDADMIAVLWEMIFRGTDTVAVLMEWVLARLVLHQDVQRRVHEELDRVVGPGKAVTEADTASLVYLQAVIKEVLRLHPPGPLLSWARLATSDVHVGGYLVPAGTTAMVNMWAITHDPAVWSDPTEFKPERFLAGSSDHADEFPIMGSDLRLAPFGSGRRSCPGKSLAMATVVSWLATLLHELEWLPPSDGGVDLSEVLRLSCEMAAPLEARLVPRHAA >KQL02749 pep chromosome:Setaria_italica_v2.0:VI:34344479:34344766:1 gene:SETIT_015098mg transcript:KQL02749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLGFNGCQDAHPSALDYFLAVLVVLTAVAAARLLVHAVARCLCGDGAAAAAPPHLPHHHHHHHSPSTSDLDEDDDAGPWGGGSGLAIFGQPGHD >KQL01545 pep chromosome:Setaria_italica_v2.0:VI:21487100:21487814:1 gene:SETIT_014712mg transcript:KQL01545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KQL00387 pep chromosome:Setaria_italica_v2.0:VI:1738831:1739742:1 gene:SETIT_015043mg transcript:KQL00387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQGEQLYCMEQNVTATGLCYGKLEAGILTFVIPGLLLGHLSGLMDNSTKSGLLGVWMVLFTIFAARKFQQPIKDDIGDKSVFMFNALPEEEKNALIQKLERQNEQKFE >KQL01798 pep chromosome:Setaria_italica_v2.0:VI:26300240:26300744:1 gene:SETIT_014814mg transcript:KQL01798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLQPTKVGRLHPNPHRPRTKRMLTFQLLGCVTGVKGMKFGRYKMITGNYCSHGSSFTPL >KQL01159 pep chromosome:Setaria_italica_v2.0:VI:9879372:9883248:1 gene:SETIT_013176mg transcript:KQL01159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRADLSTIQQTLMPEAAAALARAIDEAARRRHGQTTPLHVAAALLAAPAGLLRQACARAAAAAAGAGPGAAGGGGAGAHPLQCRALELCFSVALDRLPAAASAAAAAAQGAGPPVSNALVAALKRAQAQQRRGCPEAAQQPLLAVKVELEQLVLSILDDPSVSRVMREASFSSSAVKSTIEQSLSSPSPAAPSAAAVSAPTVAAATPLSPSPSPLPRLGVPNAYINPRLAAAGGGGGDDARKVLDVMLKPARRNPVLVGDAGPDAVLKEAVRRIPTAGSPVLAGAKVLPLEGELAKLADDKAALAARIGNLAAVVERLVADHGAVVLDLGDLKWLVDGPAAAASDGGKAVVSEMARLLRRFGAGKVWAVGTAACATYLRCKVYHPTMEAEWDLQAVPIARGAPLAGAALRPAGTGLLGNSVGMLSPTLRPVPVTPTAPRWPPGAGTDQPLMARPAMCLLCKGSYDRELAKLAAERKEKPASRPEAAKPGLPHWLQPSSDQTQTKEQELKWKETAQELEKKWRETCARTHGTRAGAPALSMPLAAFGPRPPIEPKLQLARGAIPTLKMNTNWEKAEGTPTSELRKSPPGSPVKTDLVLGPLDPGATVDKDQKENYTEGLTAMQKAKISGISDIESFKRLLKGLTEKVSWQSDAASAIAAVVIQCRSGSGKRRKIGTRGDMWLLFVGPDQAGKRKMVNALSELMVNTQPVVVNFGGDSRLAKDGNRLNAGFWGKTSLDRITEAVRQNPFSVIVLEGIDQVDAVVRGKIKRAMETGRLPDSRGREVSLGNVIFVLTTNWLPEELKGPKFETLLQDEGRMFEVANSNWQLELSIGDKQVKHRADWLCDDARPAKLAKELSSGHGLSLDLNLAVGALDDTESSRNSSDLSVEQEQEKGHLSVKCITPAPDSDLLNLVDDAIVFRPVDFGPFRKNVTDCMAAKFESLIGSSNSFRIDEDAIDRMAGSVWLTDEKLEDWAEKVLMPSIERLWRNMKHHNGRAVVRLAAVADKALPRWGGGREGLPATVPIAIDGM >KQL01362 pep chromosome:Setaria_italica_v2.0:VI:17614322:17616967:1 gene:SETIT_015002mg transcript:KQL01362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GDEEEVNDHPIEEVRNTVPITDDPSEPCLTFRTWVLGMSSCVMLAFVNEFFKYRFSQLSIGTVLVQIASLPIGRLMASTLPEQPIRVPLTGGRWYFSLNPGPFSLKEHCLITIFAGAGSSTVYALNIIAIVKVFYKRQINPYAAMLLAQTTQLLGYEWAGLFRKFLVDSAYMWWPINLVQVTLFRAMHEEEKRPRGGLTRLQFFIIVMICSFAYYLIPSYLFPTISTVSVLCLVYKDSVTAQQIGSGLKGLGVGSFGLDWNTVAGFLGNPLASPAFTIVNVMAGFAISTYIAVPLLYWTNTYNAMRFPLVSPHVYDDAGGPYDTNRVLDPKTFALNLKEYNAYSRINVSVLFAINYGISFASLMSTLSHVALYHGKEIRDLCRKATAGKAEGGKEQDVHTRIMKRNYKPVPQWWFHLMLVIVLALSLFTCEGFGRQLQLPYWGLLLTCAIAFSFTLPIGVISATTNMQPGLNVITELIIGYLYPGKPLANVVFKTYGFISMGQALSFVSDFKLGHYMKIPPRSMFFAQLAGTLTASTMHFATAWWLLTTVKDICDVENLPAGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGNYWQMNYFFLVGLLAPVLAWLLQRAYPRSHVLRGVNLPLIFAGASGLLPARSVNFLMWGLVGFLFNHVVYRQHKAWWMRYNYVLAAGLDAGVAFMGVLTFVALGYFDVYGPRWWGGVADDRCDLATCPTAPEVVAKGCPVV >KQL01713 pep chromosome:Setaria_italica_v2.0:VI:25132272:25136124:1 gene:SETIT_014457mg transcript:KQL01713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGMLCRSQAATAVCVPGDARSMVVARRADRTIVAAGGGDDAAARALHDVRYARLGGHDERRRSTSRRFAAPPPPPVAVSSAASCKPRVEIRRRATARAAAPVAVTLPMVTKSPSKEAPAKDLAAAAKRVSSAAAVAAPGDQVLQVVVMKVAIHCQGCAGKVRKHISKMEGVTSFSIDLESKKVTVMGHVSPAGVLESISKVKKAELLA >KQL02319 pep chromosome:Setaria_italica_v2.0:VI:31708034:31710402:-1 gene:SETIT_013985mg transcript:KQL02319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLPIRRIENTTNRQVTFSKRRNGLVKKAYELSVLCDIDVALLMFSPSGRLSHFSGRNGVEDVILRYMNLSEHDRGGAVQNREYLVSMLQRLKREGDMATQLANPGALSERIKEIQREIYSSQQQLHISEERLRLFEPDPAAFSSTSELDGCEKLLADLLDRVVQRKNYLLGEHMTPFDPTASGMEGTNGAQMFTDQQAAEGMGTFAGDAALWAAADVGSDDPGHQMFGGSDPLIYLRYGPGRVRRHLPGGRAARRPVRGRAGEADADADAWREEYTCTELLSGLIPATPFPLMPHWLVPDDEFLPMPDGGGGGGGMVAAQEQVEASTASCSYVPSDDAGTPAAAYDSTTAPANVA >KQL02069 pep chromosome:Setaria_italica_v2.0:VI:29688376:29690026:1 gene:SETIT_014915mg transcript:KQL02069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGSINGLRFKQLKLVILAFFMLFLLWKWDKGTFYDFGIHQPDLLTHPGSVDMADENEVPPAEKKECNYRNGKWVSDNRRPLYSGFGCKQWLSESWSCRLTQRIDFEYENFRWQPEACEMPEFEASKFLRRMQDKTIAYVGDSLGRQMFQSMMCIVTGGKQRPDVEDVGAEYGFVLAPGAKKPDGWAYRFLSTNTTILYHLSSTLCNLEPLNPSDPETSYAMHLDRPAAFLKNNLYRLHVLILNTGDHWNEWNLRVNKWEMYLGGAPNNNRDIAVIQNAKNFTIHSVIKWFDAQLPHHPQLKVFFRSVSPRHFFNGDWNTGGRCDNTSPLAKGSAVHSNRSEDDDAESAVRGTRIRLLDVTALSRLREEGHISRYRIKGATSIQDCLHWCLPGVPDTWNEILAAQL >KQL00941 pep chromosome:Setaria_italica_v2.0:VI:6618288:6622809:-1 gene:SETIT_014021mg transcript:KQL00941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGYENGDSPAEDGVILGVDGGTTNTVCVCLPAAMPTPESPAAVPVVARAVAGCSNRNSVGESAALETLEQVMTQALAMANTDRSAVRAVCLAVSGVNHPSDQQRMLEWIRDLFPGNTKFYVENDAVAALSSGTMGRLHGCVLIAGTGCIAYGVTEDGKVARAAGAGPVLGDWGSGYGIAAQALTAVIKAHDGRGPQTSLTGEILEKLELSSPDEIIGWTYADPSWARIAALVPVVVSSAEDGDEVANKILHDSVQELADTVIAVVRRLRLCGEDENDKFPLVLVGGVLEGNKRWDISGEVIKCISKVFPGTDPIWPEVEPAIGAALLAWSHHRKGLKLENGS >KQL00633 pep chromosome:Setaria_italica_v2.0:VI:3623003:3624635:-1 gene:SETIT_015654mg transcript:KQL00633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGEASVTGAAAAVRVLAVSRVPPAPAPAESGAGEACVKLSLFDTFWVALPPIQRVFLYDLPGGDGADDEFQAAVTRLKDSLAATLALYLPLAGKLAYIAETGDVVIDWAGDTGVAFIEAEAAGGDGMMDVRRLATDEAHDVPAFLALVPEVDTRALPAPVLFVQATRLPGGLALGLSVHHAVADGQAVWRFVGAWAAAARDGFPVTKTLGAPHYDREAVRVPNGDELAREMLRKLAPNLPVVVATNTARNRGFSQRSRLGRRTFYFTGDGIQALKRRIDELAAAEETTENAAGSNTTTTKKKPVVSTFVALAALGWTAFVRAKSLAAGDDTYLVFPADLRARLDPPVADGYLGNCVKGCLASADAGDLVGARGLLGACRAIQAAVAEMEAAPLGGTERWIEKMMSLSFQRLCDMAASPRFRVYEASDFGFGRPARVELVSMNHDGGMVLVAGREDGEVQVSVSLDPARMEEFKAHMLAAPAPAN >KQL00338 pep chromosome:Setaria_italica_v2.0:VI:1481452:1482118:-1 gene:SETIT_015557mg transcript:KQL00338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVARRRLGLARCSCTHHGASVEASSYAAAADSTAPTSTCTVESAQGTHLFHIAGYNLHEHLRAGTSICSDPFSVGGYDWAVQLLLPR >KQL01634 pep chromosome:Setaria_italica_v2.0:VI:23851865:23852254:1 gene:SETIT_015226mg transcript:KQL01634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFHCSLTKYVWNLVALIVGADCRPNSLEQFWIWSKLFLPNGKQFHLPGLAAICWEIWLARNNLCFEGKLTRSPTEIICSASSFLTYWAGLQNEADQKLLGEGAEALKKTALQFHPHEAPRDDGGMVLLQ >KQL01822 pep chromosome:Setaria_italica_v2.0:VI:26841267:26842902:1 gene:SETIT_015808mg transcript:KQL01822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYFYQSLLLSVLAVALLQLLKLAMAAPRAPRRPLPPGPWKLPVIGSMHHLVNVLPHRALRDLAAAHGPLMMLQLGQTPLVVASSRETARAVLKTHDTNFATRPKLLAGEIVGYEWADILFSPSGDYWRKLRQLCAAEILSPKRVLSFRHIREDEVMLRVEEIRATGPSTPVNLSVLFHTLTNSIVSRATFGKKRKNAPEFLAATKAVVGLSSGFNIPDLFPTWTNLLASVTGMTRSLRSIHKTVDTILEEIIEERRAIRAEKIRGGAAAENAEENLVDVLIALQERGGFGFDLSNSVIKAIILDMFAGGTGTSGSAMEWAMSELMRNPAVMRKLQAEIREAFRGKAAVTEGDLQASNLRYLKLVIKEALRLHPPAPLLVPRESIDECELEGYTIPARSRVLINAWAIGRDPRYWNDAEEFKPERFEDGSVDFTGGSYEFVPFGSGRRMCPGFNYGLASMELGLAGLLHHFDWSLPEGVEEVDMGEAPGLGVRRRSPLMLCATPFDPVAASN >KQL02497 pep chromosome:Setaria_italica_v2.0:VI:32886996:32889331:1 gene:SETIT_015627mg transcript:KQL02497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPPPSLPRLTFLLLLAAGCLLLLLPTNNAARLPLSLAPDAADALLKLKSGINDGGGALSSWSPGTSPCSGGDSKWAGVMCEKGAVHGLQLEGMSLSGKLDLAALKSLPGLRTLSFMDNEFAGPMPEVKELSGLRAIFLSGNKFSGTIPANAFAGMGWLKKVVLSENNFSGPIPASLADVPRLLELQLNDNKFQGKIPDLKQEELKEVNLANNELEGEIPASLKSIKPDMFAGNKKLCGAPLGAKCEATPPPSPSPALKAPLPTSDKAGTSPSPPAQDAAKQEAQKPAEGFTSYGILAAFLGTLAIAGVAFVALRKRRDSTKNFGPVASTTRSSGPKIEPHPPDKAEASAAHVAAPGAAAKATAGGGGEERSSRAGGSTARKVDQGRLTFVRDDRGRFFELQDLLKATAEVLGTANLGVCYRATLTSGHSVVVKRFKEMNRVGREDFEEHMRRLGRLNHPNLLPLVAYYYRKEEKLLIHDFVPNRSLANLLHGEGRGLKKAVVHWSVRLKIVKGVARALSYLYDELCMLTVPHGHLKSSNILLDASYEPLLTDYALVPVMNQSHAAQLMVAFKSPERKQFGRSSKKSDVWCLGLLILEILAGRPATYDLPKAPAPATGAGGDLVTVVGSTPEGEWLNTVVDPDLRVGEDEDREEMVKLIRIGMACCEANVDSRWELKTAVDRIEELKAKERANEDQSFYSSVDGEEDLNNDVGIN >KQL00731 pep chromosome:Setaria_italica_v2.0:VI:4419835:4423624:1 gene:SETIT_013332mg transcript:KQL00731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSSSSFAAAAADGGGAIPSGPSSTLSPNAAPYTLLARQARAPPGRLQDGDASSLIDDNFVLNGEDNDSYSVLLATRFGMKPSDDVYPSCVYGIHKSQPSSSCGIPASVYPSPSSSVAIVSEPSVTIVSDFKQHRIPLTSGKVKVTIRSPPNKTSETDNTSIGSINKLAMRQNDESNKETGKDVPFRGNLEFSNPVNGNGTSQGTLVFSKELNPEFSVKPHGPSACSSPCVTVADDVNPDPSECSVDSPCWRGTASRLSPFDIHQTLVAQSVKQESVASDAGQEQSSSIDYLQNFVTRKSKQNHSQPHVESGLSKAPGDIGTNLIQDSHGKELEFVKHGAAKCNSEKQCSEVIDDLIKRSGLNSAAPDFIPFSVRKSNTSNGSCSSSGLNISGILKAIKSMSEVLCGNYSDEIEMKEHDYNLLQSVIENLQSCLHKARKVPFKGAFDKARGLKACYPQNAVSKSVTGNYSGSYTADNGKGIIISNLADSSRFLGDLRKKCMIGYQPSLNNFPKDLSCEEDHSQAIIYKNLWIDAERANCALKYQLKQTCMEIDLESSRAHIGGGSRIPSFHVCDTGAHPCSSNGSAITSPLMLEDCPGGRNSHNLLYADHIQSGESSVLSSSKGHITVPKNTEDEYFLSGLEETGVHLHAHSGLQMASNRAHRGLDASTSDGMRSLSHITGRDGISCGSCGFGSSDWEHVLKEEIGST >KQL00736 pep chromosome:Setaria_italica_v2.0:VI:4462806:4464894:1 gene:SETIT_014900mg transcript:KQL00736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSTRHHLPLTVSAHRRREAHPLLSSLPSPPAAAAPEASQPAMGSTAADVAAVADEEACMYALQLVSSSILPMTLKNAIELGLLEVLQKDPAAALSPEEVVARLPVAPANPDAPVMVDRMLRLLASYDVVRCQMEDKDGKYSRRYAAAPVGKWLTPNEDGVSMAALALMNQDKVLMESWYYLKDAVLEGGIPFNKAYGMTAFEYHGTDPRFNRVFNEGMKNHSVIITKKLLEFYTGFEGVGTLVDVGGGIGATLHAITSCHPQIKGVNFDLPHVISEAPPFPGVQHVGGDMFKSVPAGDAILMKWILHDWSDAHCATILKNCYDALPANGKVIIVECVLPVNPEATPKAQGVFHVDMIMLAHNPGGKERYEREFRELAKGAGFTGFKATYIYANAWAIEFTK >KQL00310 pep chromosome:Setaria_italica_v2.0:VI:1239262:1239657:-1 gene:SETIT_015357mg transcript:KQL00310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAGLLTSAIVKMVGDKLGSTIGQQANLVWTFSRDLEHMKDTLESMAAVLKDAERRSIWEDSVQLWLKRLKHAALDISDMLDQFQANSKPTSRK >KQL01543 pep chromosome:Setaria_italica_v2.0:VI:21451461:21452291:-1 gene:SETIT_015330mg transcript:KQL01543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDRRIRVSFSDPDATDSDSGDDPTSGARATTKSAGKTEIVILYGNSSTSAMKNPVAGCSRSNAVGSSSALAAPASIGKRAFMSAPTRRYRGVYERQPGRWAAEFRSHRLKVRHWVGTFATEEEAKAAYDAIEREFISSPRCGRQQPASERAGNGGGVRRASHPPPDDNRQIVLALTTATTRMLLPPAGATTVSVSVPSAPCVSSSTSASPPTPFQDAQLHDDAPPSVHSFWADEPADEDLVGLADLAHLPLPLPFSGANMDFDPADLSLFDNGFL >KQL01682 pep chromosome:Setaria_italica_v2.0:VI:24729403:24731162:-1 gene:SETIT_015107mg transcript:KQL01682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLEMEVAAVPAPAAAREHGVEIYLLSRYYFGAKDAAGAPRGVETAADRALRLKAKGLPAATYRRVWGPADYLLLGLAAWADFTPAALPPTGSAPASMASSWWSCPNTPLVLLLQVLNSSFVLPGGRLRTGEEDVQGLKRKLSSKLSIVDDGQADAEDEDDWQFVVPRNMKLLAVPLSQIHNNAQVYGPVIAGIPNLLSKFSLNVTSD >KQL02418 pep chromosome:Setaria_italica_v2.0:VI:32395216:32395563:-1 gene:SETIT_014811mg transcript:KQL02418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQHLDKHSVTEMEKAMSPLDLDETLIQANELKRAIKEASFSFHAFLLRSYSSFHRQCQKQ >KQL01858 pep chromosome:Setaria_italica_v2.0:VI:27201852:27206810:1 gene:SETIT_013634mg transcript:KQL01858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSTAAAGDGAQLCVFDLRRGQQEGQELDKILFFHPADCPILLQLSVIGLCEGIVTFTRIFSPVEDCEVIESEKHSHIFYQAEPDIWMVLVVEKTKDNELTWRCGALQGILKEAHSLFAMFHGPIRTLLDRQPSAELARGHLRTFLTDYLSDFHAGKKLQFPTYRDCLKERGTVQMLTVSREVALEVQSLTTVLGSCLGNTTCQSLVLFEDLLVSTTLPPDDTLNLYTYAVLRLTPRALSSNASSWSYLRKGTSVNAGPTSSSSNGTTAGERPLLREKLSKGKDGFVAADFATTEVRGAVPLTPILWFQQAEERMYLCIYQHKSLTILLLIPASSLINGEEGIAHVKKQMLENASQKIVTVEQKLTRGWGGENAYHVAGYRYLLVDPDRRISRASPPGKVTTLAKDSLLALNRLRQEVDLEKSRYKRSDPCHDNDFEVCIRTKSNAWVIAKITRGRELYMALEKGGETLLYASTAVEKFSNRYCEGAFSTD >KQL01095 pep chromosome:Setaria_italica_v2.0:VI:8074379:8076045:-1 gene:SETIT_013702mg transcript:KQL01095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVQSSKAVKPDYDGGDAPAAATVVPLTVFDLISFDDYMFGIHAFHPPSPTTAALEAGLARALAEYREWAGRLCADPATGRRGILLNDAGVRFVEASAADGATLESAMPLLQPTPEVRRFHPSAGGAGEELMLVQVTRFACGSLVIGHAMHHAVGDGFAISRFLVAWGQATRGVAIDPVPVHDRTSFFVPRDPPRVEFEHRGTEFKKPREDKKNARMRGGDGGAGAGDDDDDDEVVVQLVRFSREFVSELKSRASATAGAPGRPYSTTQCLAAHLWRCVTAVRGLDGGRATTLHLAVNGRARMRVPEGYTGNAVLWAHPATTARELVAGPLGRAAELIRGEVARVDDAYFRSFIDFASSGAVEEEGLVPASADPEAMVLGPDVAVYCLLRVPFYDVDFGGGRQFFYTPGYYPAEGVVYILSPSPLGDGSVEAHVSLYRRAMETFKGCCFSPGEPGVVLQ >KQL00899 pep chromosome:Setaria_italica_v2.0:VI:6147938:6151126:-1 gene:SETIT_0142532mg transcript:KQL00899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYGGAGIAVSWPLARRLARSLDSCVLRYPHLYGSDARIYACLAELGVELTHEPGFHQIDLHGDISGLLRAHPLSPLVSLHHLDNVYPLYPGMDRTRAMKHFFRAANADPARILQQTVCYDSKRSLTVSIAWGYSVQVFKGNILLPDLLAVQKTFVPWKRGRNVTDVYMFNTKHVPRDECKRGALFFLKSISSGEGKTQTTYNRQPPRKCLPDLIPLKNLSLIKVTAEQLQLIPGKALKRHCCDIVPSSSDTTMDVNIRKCKDDELIAMHS >KQL02028 pep chromosome:Setaria_italica_v2.0:VI:29226566:29228757:-1 gene:SETIT_015620mg transcript:KQL02028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAFSDFGPLTERRRVEKQRQQRRRVMVAAGGASVVLILIVMGGAAVAYNASVQDDDASSSSTSSPSSPSGGGSGSSLLSVSKSVKMMCAQTDYRDACEKSLSKAVNASASSPKDIVRAAVAVIGDAVGKAFDRSALATGDDPRVKAAVADCKEIYQNAKDDLARTLRGIDAGGLDEVTRRGYELRVWLSAVIAHMETCIDGFPEGGLKKNMTSAMESGKELTSNALAIIEKASSFLAALHMTGAASHRRLFSIREEEHVEKQPKVNYSGTFHGERDDSPAPASRRLFSFTEEEDMEKQPKVNYSGTFHGERGDSPAPESRRLLSIEEDAPPWVNGQERRLLKGNNFQGRLTPSVVVAKDGSGKFKTINDALKAMPTKYTGRYLIYVKEGVYEEYVTITKAMANVTMYGDGAMKTIITGSRNFADGLTTYKTATFNAQGDGFIAIALGFRNTAGAAKHQAVALLVQSDRSIFLNCRMDAYQDTLYAHSKAQFYRNCVISGTIDFVFGDAAAVFQNCILVLRRPMDNQQNIATAQGRADGRESTGFVFQYCRFTAESALRDASRPAIRSYLARPWREFSRTLIMESEIPAFIDKAGYLPWNGDFGLKTLWYAEYANRGPGADTAGRVNWPGYKKVIAEEEASKFTVQNFLHAEPWLKPAGAPVKYGFWA >KQL02083 pep chromosome:Setaria_italica_v2.0:VI:29778298:29779086:-1 gene:SETIT_015325mg transcript:KQL02083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAAVLVPCLLSLLLPFSSLALTQDICVANLLLPDTPSGYPCKPKPAVTADDFHSMALAQAGPTLAPFNTGLATATVKQFPGVNGLGLAATRVDVHVGGVVPLHSHPEGSELLFVFKGSMTAGFISAESNEVYVKEVREGELFVFPQGLLHFQYNTGNETAVGFAAYSSDNPGLQITDYALFGNTLTAEDAREQGHLRRKIGGDEAQGLLRIAEILKLIKVFFGLSAVPPDGRQDYRCSLLWTCGV >KQL00728 pep chromosome:Setaria_italica_v2.0:VI:4398439:4400093:1 gene:SETIT_013528mg transcript:KQL00728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHTMVAAAALALCLFAAAAADKHAGAQPPALAPAAAAHDISSCLLSNGVSNFSLPSSPSYTPLLDSSIRNLRFELPGVGKPAAVILPASKRDLQSAVVCARAASLAIRVRSGGHSYEGLSYTTENHVPFVVIDLAGLNRVRVDRRSATAWAESGATLGEVYHAVGLSGGRNLAFSAGSCSTVGMGGHTAGGGFGLLSRKYALAADNVIDAVLIDPSGRALTRATMDDDVFWAIRGGGGGSFGVVYAWKLRLVPVPDNITVFSIGRTGPVELIAGLMHRWQYVGPSLPDEFYLSTYIPTRSSNGNLSMSFTGQVLGTKRHAMAVLSQTFPELGLAESELSEVSWLESAVKFAGLSSVADLTNRQPGVRQYSKSKSDYVRAPISKQDAIKILRYMSAGPEGSIQLDPYGGAMARIGSTVTPFPHRAGYLYSIQYGVSWKASEIDRADEYIGWLRSFYTFMAPYVSKNPRAAYVNYLDLDLGTNGWKNATGGTSVAHAASWGERYFFTNFDRLVRAKSKVDPENVFNNAQSIPPLHYDREH >KQL02296 pep chromosome:Setaria_italica_v2.0:VI:31565159:31570105:1 gene:SETIT_013622mg transcript:KQL02296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLQGDKATHDFLSLYSAAKDSALPLLPPESKPPPPSHQGFFLKTHDFLQPLERPSSQSPPPLPSRPAAEKQLRQHALPGGIGTFSISRAAAAAADQPGAAVKQEQPPFAVWGQPDPRGHPWSLPFAARCVAVASARPQPQQQPQRQPERKAGGGFMDAGSRSSGGAGFDDDDGLAARREVSSSLKELSVRVETKGGSCSGSAGTDQLPNTPRSKHSATEQRRRSKINDRFQILREILPQNDQKRDKASFLLEVIEYIRFLQEKVQKYEVSHPEWNQENAKIMPWSNIYFRSSWKNSQNKDEINGDMVSSDPTQVTKNGSSPRFPFAAKTEDHNNAGAFETAPGAQELAELKGCVPFKQAETPNNVTNTVASQQQAQLTNSSPSDDSAVPNGMLNNPELAIDEGTISLSSQYSQGLLTTLNLALQNSGVDLSQASISVQINLGKRATKRSAPVSNSTSKDLINQASRDQEIGHQLRSGDGAREHTQATKRHKSDR >KQL01328 pep chromosome:Setaria_italica_v2.0:VI:15940744:15946270:1 gene:SETIT_013251mg transcript:KQL01328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKLKNHSMEPTEQKGKLDIIVLDSDDEDRNNSGSNKLAPKTNKELTTSELASNVMKWVASNGMRQASETMHAEVDKNTLIVPYGQSAALVNQFPLQTSWQPSIQFERVVLQKRPEEQCMQDLVAANIVEKRAETQVFLSVPMEKKRRRSDPSLHVREDASTAPRQRKRKSDTDPVGADLSSGLRQTDISSEPDLPIEEEEKPKNESDGLEEYWKDFALAVESTKLDAVDEAANEKEEDNGKMEDVDCIHDIWIHEDLGHVCRVCGMIVRRAESIIDYQWKKASRRRTNCYGGHSKDADEKDYGIVKLSEDFIVSDIAIHPRHARQMRPHQLEGFNFLVKNLVGDKPGGCILAHAPGSGKTFMLISFIQSFLARYPSARPLVVLPKGILGTWKKEIHQWQVQDIPLYDFYSVKAEKRVDQLEVLKSWEDKMSILFLGYKQFSTIISDDGGSKAAAACRDRLLKVPNLLIMDEEKISTLTNDCHVEEVFNILNLVRPKFLKMESSRPIVRRIMSQVVMSGRSSKGIAESAFTESVEETLLNDENFKRKAHVIRGLRQLTKDVLHYYKGDILDELPGLVDFSVFLKLTPMQKEITQKKMGAYDKFKRSAVDTSLYVHPCLSEISEVNAEDRANTLTDKSIDIMVDSINVRDGVKARFFMNILSLANSAGEKLLAFSQYIIPMKFLERLLVKVKGWHVGKEIFRISGDTSQEDRELIVDQFNNSADAEVLFGSIRACGEGISLVGASRVIILDVHLNPSVTRQVIGRAFRPGQQKKVFIYRLVAADSAEEKHHETAFKKEVTPKLWFEWSEHCTTEDFKLSQVDIDDSGDELLLDTKAVHQDIKALYRR >KQL02282 pep chromosome:Setaria_italica_v2.0:VI:31421258:31422111:-1 gene:SETIT_014433mg transcript:KQL02282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHHFHGEVASLRFLSPPNASFAAHHHMNMAYFPPSFEPASALQDAASLLAGDGGDAASFELDTVVREAATHFAGRNGSPSSGSGSDGGGGSGYQMNAAAPAAAADEERRRRRMVSNRESARRSRMRKQRQLSELWAQVSHLRGANRRLLDELNRALRECADARREGARLRDEKAELAKKLEQLLKAAAAPATEKSAAASSTNRSGSSEPCNNTTSTSE >KQL01630 pep chromosome:Setaria_italica_v2.0:VI:23661687:23665183:-1 gene:SETIT_014677mg transcript:KQL01630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIIIISLVILLALGALFVIPRSQNKGKSKGPDSGAGVTSKSYTKEEISKHNTRKDCWIIIKDKVYDVTPYVEEHPGGDAILNNAGGDSTEGFFGPQHGTRVFDIIDDFCIGQLKAS >KQL00345 pep chromosome:Setaria_italica_v2.0:VI:1548314:1549667:1 gene:SETIT_015701mg transcript:KQL00345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLAQYFKKSASGIILPMLFGFLPLTLCNSTKAAIAFSVFAVFSVVFTIVPLLFAGKMQLQHGDTPNDDDAEVDSSDRRRVKLLCFAAYASNVSLMGTAVCLATVINKWYWALAIPLLLVVVLLFGIFFDCGLRNDFVWGAMQYDEHRHDLKFFFDLSSEVTQTAFAGLSGSLLGNLKKARCLQNSSGTAEGFTLYALLVGLFLMLVCTIPPALDLRSMRDKAVAVFLKWTAYLALALLTLAGLFAAATVVRTFVVFAVVLIGAMGAFWFYKVYRSKPPSETVAVHPRCRPDAEARHAAGERSLMWLGIHTLMFGTLMASYSVFLTGQHFSDLYKAGVFFVFAVLLTNFCRMVLVRENDPHVLD >KQL01540 pep chromosome:Setaria_italica_v2.0:VI:21415209:21419440:-1 gene:SETIT_013311mg transcript:KQL01540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPLPLFSPSPKPPPPPPWLHGPSTQTQNPSTGPAAPPAPDEAAPPNKPRHHSAQPAPARNTGSKTAAKPLTAGVPGGRTRRAVLGIIRRVRSLELSDPPGPVPAPARNNDAAAAVPFHLPIEPREQAREAVEKGKARAVPWAAARDEGLKVALRREKKAREPTRAEAELEAGELDRLQRLARGMGRWARAKKAGVTDEVVEDLRREWARGEELAAVRIVEPLRRNMDRAREILEIKTGGLVIWTKGDIHFVYRGSNYQQNMKHSQYSVASVQNVSPVKYNVHRAQIKYGNEGEVLTDGNSKGDDVIQENDQSVCGQKDEEPIKGTLYEREVNRLLDSLGPRFVDWWWNTPLPVDADLLPEFVPGFKTPFRQCPPGVRPTLADEELTYLRKLARPLPTHFALGRNSRLQGLAAAILKLWEKSLIAKIAVKVGIQNTNNEQMAWNLKHLTGGTVILRNKDFIILYRGKDFLPGGVAQTVIQREAQVHDEQVKEEEARLKAVDSLQMVGGLSSEESSVGTFREYQDFQADHVHENTENSNIIELEAEKHRLEKELKDQEWKLSILNKKIEGSNQALAKLHSSWSPSEQSADRELLTEEEKAMFRRIGRKMDGLVLLGRRGIFDGVIEEIHQHWKHKEVVKVITKQNQARQIMYTANLLEVETGGILIAVEKLTTSHAIILYRGKNYRRPEKSSSSNLLTKREALQRSIEVQRRGSMKYFARERQKSILELKRKLRYVARQIKYRTPRS >KQL02849 pep chromosome:Setaria_italica_v2.0:VI:34952598:34953166:-1 gene:SETIT_015905mg transcript:KQL02849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVGTGRSRTDRHRIPRIPIEYWIYGKNRNRKKNPGKPGENRDRNWLERFPDRILGSRI >KQL00906 pep chromosome:Setaria_italica_v2.0:VI:6349629:6351581:-1 gene:SETIT_015689mg transcript:KQL00906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GETLSNLMFSIVLPGEIPPSIFSLPILNRLDLSFNQLSGPIQGFDKAGPQLETVRFKNNELSGFIPKAIFQLTSLVCIDVSSNNLIGSVDLAHFRRLNYLTVLHLSYNKLHVMASEDNNPVETSYLDGLHELGLASCKITQLPRFLRHVDRIWYLDLSCNKISGDIPNWIWETRGSHLNLSHNMFTGMQLNSDAVPFNTPMEVLDLSFNRLSGMIPMPKLSGQVLDYSNNMFSSLLPNWTTYLRDTIYLSMSKNNIHGHVSPSICNATELDVLDLSYNYFNGPIPPCFIENTPLSFLNLRENHLKGMLPSNITTRCNLQTIDLHGNKIEGRLPRGLSNCTDLEVIDFGSNKIADAFPSWLRGLPNLSVLVLRSNQMYGTIGDIVGDTKCEECFPSLQIIDLASNNFSGTLRPQWFEQLKSMMAEFNSSGKPLGTLDTITINNGEHLYQYSVEIMYKGADMPFGRMLTTVTAIDFSKNSLEGTIPETFGSLVSLRVLSLSHNAFTGKIPAQLGSMTDLESLDLSCNQLSGDIPQELTDLTFLGSLNLSYNHLVGKIPQSRQFSTFDSSSFEGNAGLCGLQLPKFPCGSSPHSPGVAHGDKSSRHIDVVLFLFVGLGFGVGFAAAIVVKWDRFGRSFYCKCKSLAYLITTRR >KQL00962 pep chromosome:Setaria_italica_v2.0:VI:6930631:6931985:-1 gene:SETIT_015428mg transcript:KQL00962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVSLIPCTTKLCLLKGYGTHQFKVIGYNQNIYNFPISSGTFNIGGYDWRLVYYPRVRSRNEFDNDDYIEVDLELLSKAEVRVMVDLFFFNQITNLPYAVACTKEPMRLTKKIVWAACDLMKLSELESSGYVRDDSIIIQCQVTVILLPGVPKTKSLCEIEVPPPEMAQQFGMLLEDMASADVTFEVGGKSFHAHRLVLAARSPVFKAQLFGSMRDTRMESLVIGEMDPAVFKALLHYIYNESLPNMDSADRANRHEMLCHLLEAADRYAIERLKIICERMLLMDLDVENVAMTLALAEQQHCKQLTHACLEFMEPPEKMEAVVATEGYNKLKREYPALLFKVWEWSVHRSSKN >KQL01996 pep chromosome:Setaria_italica_v2.0:VI:28860684:28864328:-1 gene:SETIT_014752mg transcript:KQL01996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYIGHYPMLAYFAIAENESIGRERYNFMQKMLLPCGLPPERDED >KQL02626 pep chromosome:Setaria_italica_v2.0:VI:33616352:33619213:1 gene:SETIT_014432mg transcript:KQL02626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFDPYENNGGTCVAVAGADYCVVAADTRLSVGYSILSRDHSKIAQLADKCVLASSGFQGDIKALQKNLAAKELVYEHNHNKKMSCPAMAQLLSNTLYYKRFFPYYAFNVLGGLDSQGKGCVFTYDAVGSYERTGYSAQGTGAALMMPVLDNQLKSPSPLLLPARDAVTPLSESDAVDLVKDVFASATERDIYTGDKLEIVVINSSGTHRECIELRKD >KQL03063 pep chromosome:Setaria_italica_v2.0:VI:36005646:36007827:1 gene:SETIT_0143862mg transcript:KQL03063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAELAEDRESLQQVQEENQSAEDELTAKKKEQNGFLKKMTLCEKSIAKKKLELDKKAEVGREALEDEIAKLRDKLSYMEKSYLIKCEEAASAIESKEKQITSLVNEISVLRTDVSQRLPQVEKLEMELASSKSALDEQYKRWRSAQENYERQRDWAELKELQEQGDLVTLQYLQ >KQL02952 pep chromosome:Setaria_italica_v2.0:VI:35490435:35491846:-1 gene:SETIT_015744mg transcript:KQL02952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGFPSWVLLEPFVFRRDDDESFPDESEAPIRATGTTSCHATFHIAFSLAEPPRISRLYAQLPVPGFLDRRNFFIFTADENRSSSLKALPPCTEPDMDYTRHNDQLPPRHRRRRATPRLLDVGALGLWCQGDEFVVAEMIAYKPPHRGKAFAAICLLRSCCTSSDGDQLGGMWESMRVKFISADDPEADDLWQICCWYTDAIIPFRQWLCWIDYQRGIIVFCDMSKLPTPTVSYIWLPLDKMPVASSRMGASSFYYRAVSVVDHGRALKFINVTRHDGIFFAALEPGTGFTITCHTLVLGDGSMGWKEDYTVTSGAHFLFIEFGYANKKMWVVTIDMSTKIVESFSLFINGREGLQTDDAELIRLKSATPKPFLPCEFPKFRSSIYQGTGGANPY >KQL00450 pep chromosome:Setaria_italica_v2.0:VI:2177977:2179410:1 gene:SETIT_013786mg transcript:KQL00450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEMDAAAAAACVPPLPEDVISEILARVPDVLSLFRCAAVCKPWRRLVADPAFLLRRRHWPAGGGASLLGFFVQRHQLSVNARRKVSKLFPSRAPVLVPAPGSALGPGRRFLTSFVRDDAGVLDQAKPLAARDGLLLLRLSLQSKDKISVLRLCVCDLLAGKRDLLPPLDVACFGDEGVRGYAVLSAADHGAGPHRPSSGYSTFFQVLLTGVYHEDGRGRVLIPKFSSAASASRSWSCIQGSLAGPFGNRVAVVTGGTARWLFHSNSGNPNGPSLNTLDVGISTGSVSATELPLDMLPRIMRMDRTNVWLCLSMDERLSLIYLHNNYLWILTQKDGGLGGTGTAWLCTQSVPVGVELGLFGIESLSMISIGEKSSSVLVLYYSDPDNAYVLDLQSGSTTKLAGWKRSFNYMTAVAYEINWLEFFMSRLGVRQ >KQL01689 pep chromosome:Setaria_italica_v2.0:VI:24847953:24852131:-1 gene:SETIT_013472mg transcript:KQL01689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLISRRVVFKALVFLCFIRSSQGRDHFTKLNLPTFLEASRFPTFQYEAYDYIIVGGGTAGCPLAATLSQKYKVLLLERGGSPYGNRNITLLENFHICLADVSPESPSQGFISTDGVINARAKVLGGGTCINAGFYSRAKPSFVQQAGWDEELVNQSYPWVEERIVHWPKVAPWQAALRDGLLEAGVSPYNGYTYDHLYGTKVGGTIFDETGYRHTAADLLAAGNPNNLRVLLYARVNKIVFNTKQGHRKPRAIGVQFKDENGRHHQAFLREKRGSEIIVSAGAIGSPQLLMLSGIGPRNELKKHNISVTLRNEHVGKGMSDNPMNSIFVPMKNPTKQSLIETVGITDAGVFIEASSGFSQTDDSIHCHHGIMSAEIGQLSTIPPKKRSFDAIQKYVDNKYSLPKEVFDGGFILEKIDGPLSTGNLVLVDTDVDSNPSVTFNYFQHPKDLSRCVYGIKTIEKILKTNHFTNLTANGAGYPMETLLNMSVSANINLIPKHTDDTTSLEQFCRDTVTTIWHYHGGCHVGKVVDQQYRVIGISGLRVVDGSTLFRSPGTNPQATVLMMGRYMGVKILRERLGRAAGV >KQL01191 pep chromosome:Setaria_italica_v2.0:VI:10691313:10695059:1 gene:SETIT_015524mg transcript:KQL01191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKMDSHNIESSNANGFDTRPLKKAKCEQLNDYNDRRILLDDEKHQDQHEQYKVDQTYDYLPQDYEMTDLDYCAQITIETSLKDDILVKIDDIFVRQAQLSCLLDSEKFLNDDILIPINIKEKHWYLAIINTQKCELQVLDSLCWDFNRGDLADTLQGLQYHLNIIGRQQNLISHNWKDLQVIFWIITEQLQEPMQKDGSSCGLFMLKFMEYWTGDSLSHPITQEDINHFRFKLAGILLCWKTNTAQTTPQNISLLGSSDGQKEPKASDSLSEETKYQSLMSVLSKISVHELVGGLCDYIKSINCPETLEKVWVRNSKPYSISLTLRKLQEILKEDLPMDRDCYNLVIRKFMFDDIQMMNKTKGTISKHYLDTRFWVCNLGRHPNFRKKIDVEQLAETVSSWPGVNYIQFNKTFILLILNQDTRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWNEDVFLWRQIILSDVPIENRELSGYLVSLFMCIWKDEELQLPVLKDGYDLRKQFMAQLLTYKENECEDNMPAGIRDFLRCINATQS >KQL00247 pep chromosome:Setaria_italica_v2.0:VI:781997:782715:-1 gene:SETIT_016014mg transcript:KQL00247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLHKPSFPRRRRALTCSDALLLPRLDAVHALAPPSLPCRPVCPWMCPVRPRASFIFPKIPILAERSVLAGEHPRLCWLRVAGGCSVRQHSAHLPAGKNAFEACCYILFHQRETCKKS >KQL01190 pep chromosome:Setaria_italica_v2.0:VI:10687963:10690113:1 gene:SETIT_013534mg transcript:KQL01190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAYQLLQVITTPLALPLLLLVPLLLLLPSTSRRSRHGSKQQLKRPVLPPSPPALPIIGHLHLVGDCPHVSLRSLAAKHDSGGLMLLRLGTVPNLVVSSPRAAQLVMRTHDHAFASRPTSRISDALLYGSSDIGFSPYGEHWRQLRRLVTTHLFSVKKVNSYRLARQDEVRLVMEKIREAVAGCKVVDISEMMNTFANDIVCRAVSGKFFRAEGRNKLFRELIVTIISLIDGFNLEEYFPRLANVLGSLTSWFASNKAEKTHKIWDELLETIISDHEGRGRSSEQGHVVGGGVEQEETDFVDVLLSVQKEYGITRDHIKAILMDMFAAGTDTSSLVLELAMAELMRSPQLMTKLQAEVRENTPKGQEMVAQDDIASMTYLRAVVKETLRLHPPVPLLLPRISMVDCEFDGYTIPSGTRVIINEWAIGRDPESWEKAEEFMPERFLEGGSAAAVDFRGNDFQFVPFGAGRRICPGLNFGMATVEIMLANLVYRFDWELPAGMEKEDIDLTEVFGLTVHPKEKLILVPKPRGSVVHAA >KQL01346 pep chromosome:Setaria_italica_v2.0:VI:16852401:16855552:-1 gene:SETIT_013831mg transcript:KQL01346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLRRLAVAAAVLAVCAAAVEGFDILQILGKHDEFSQFCKLLNETHLAGDINRDRTITVLAVANGDMGHLTSAHYSLGTIRHILELHVVADYYDEKKLKQLSHGATAASTLFQRSGFAPGMAGYVNITQHRGGKVTFIVDDAADSVKPVTFVKQIESHRYDYSVLQVSSVLSSPEAEAPVAPPAPVNLTELLSKKYCKSFAGLLAADAKAFDTINGTKDTALTLFCPVDAAVAAFMPKFKNLTAKAKTAILLYHAVPDYYSMQFLKSNKGKVTTLASTSVAKKDYTYEAQTEADTVTLDTTVTTSTIQATVRDDDPLAIYAVSKFLQPKELFKPKKAEDLAPSPAPEVPKKKTKKKPGSTSAAAAPSDDDSADGPAADDSADDAADKAGAAPSMLTRWGTAAAMVAAALALGA >KQL01701 pep chromosome:Setaria_italica_v2.0:VI:25014627:25015964:-1 gene:SETIT_015174mg transcript:KQL01701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVEDFLAWPLEKTGIFTVRSTYNLALELQNLNTSTASSVAPEGDRRLWNNVWKGGKLSRNALPTRRRKFVKNIELEDTCMLCGLSAETGHHATIVCPQAFNLRQGMRQHWSLPDEKMFEYTGPDWLLLLLDRCSPEQRDLTRLVLWRSWTVHNNITHQSEHLQVEEWMYILLNLWELMTQSRQHTSDNGVGQRPQTQQTKINVDGSFVVQSGTAGIGVVARNPMGEVLLSAWRVLFRCADAAEAEARALTEGIRLASQWIRGQVIIESDCARIVKAMKCKEDSWSVVHVKRECNVIANELALLARCDSHPAVWLGRAPACVANLVENDCTRIHT >KQL02987 pep chromosome:Setaria_italica_v2.0:VI:35660450:35661503:1 gene:SETIT_014621mg transcript:KQL02987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATETPPPDEKKKKAPLPKVVTLNKALKLAQTWVDKMSASEPDEPNDKDFEGRPSRLGLGAKVAPGVKRAPPTDPIERRLLGKVNAQKRKALEEENRTAKEANEASDDDCDESESRTSAFNKKRTLPSVTSTSLVKKAK >KQL03049 pep chromosome:Setaria_italica_v2.0:VI:35948922:35951298:-1 gene:SETIT_013301mg transcript:KQL03049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRRHRRGIAEAEDYDDGSAPDETDTATDEEEEEEEEEEEEDHRLHLGLHSMTAKGIQHLCSELLEIKKASEQDLRANVYLSYLSFARMFHAAGDLDKHVHRLKRQVMAHRRLIQHLSSNCGGLDDEDGAGSGTKDEEPDIDMDDDADDGELELEVLLSEQRVEQALELVLRQRMVPQQGKARVAERLASVAGNPRTPRPELLRALSGLCKLGEAERANHLLFNWHRASVVHGSHDCYIKELARMVFSSIVQASRSFVALHGHPSPHTPQLLRWAREEMEDFSVAFSEYVRSAMSSSQQAGQSLALALEAAECAVSYSSLLRPLGIIAQDVGGLMAPCILEALAMYARHLKEVVRLLVASDDWVLGRFLMPPFAAAADEHRRYCMLTASGRKFVTLVGEVVDDVACPLRRVLGMDDPAAVQLVADLFGEYITHSIIPNPKNKQDHQHISVLINCTTLVSLLPTIGAWSASAQRQVGGLIKEAAGQVWSCFCQEFIRDTMAYSAPPQAQGQGQMMPSLPFQVVFLRVRRLKDAYGAILGGDDGTMKKLLKELLEEIISWLSTKPLDSWLGHGAQAQLDVHFLLEIARLGGFDITASALDLLRKAQQDKVEGDDGERPWAADAARHAVQVLLLHNMNSNGDAEEEEEENGNAAAVDAEEEFESESDGMASGKSAAGGDDGMASRKSSDEFISIEDDDGDRLTLGSDQAAPQNSANDDGVDDDSRRRRQATPLAAAEKDDAPRGRSRKKASSRPRWQ >KQL02380 pep chromosome:Setaria_italica_v2.0:VI:32132525:32137271:-1 gene:SETIT_013330mg transcript:KQL02380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDVGSSVGVVALAAAIATLLLPPFAAAAATGPGSSCTRSCGNITIPYPFGVEPGCYHAGFNLTCRSGAGGQGSPQLFLGDGTVQVLEISVQHNTVRINSTGMILPFNDGGRTKNGTWGSGLPRSGPYFLSETINRLLVLGCNTQVTILGGDDNNLVSSCTAFCPLSEPNPGFTIGTVASNCTGDSGINCCQASIVLSYSFYNIEINRLKGGLPFTQSIYIVDRSLDYTPDMVFSPDHSPKALPATLDWIIAKNSTCPTNTSVPECRSNHSRCQTSHSGYGCQCSDGYQGNPYVPDGCQDINECKSPDIYVCYGDCKNTPGSFMCQCPTGYTGNASIPNGCRGLSIGLGVGGTGLLLLALGGPFIIRKIKLQKVKKMRHKFFKENHGLLLQQLISRDTDIGHRMIITLRDLEKATDNFDRARIVGGGGHGVVFKGILDLQVVAIKKSKIVVQREINEFINEVAVLSQVNHRNVVKLLGCCLETEVPLLVYEFISNGSLYNHLHVEGPISLSWNDRMRIAMEVSKALSYLHSSASMPIFHRDVKSSNILLNDALTAKVSDFGASRYIPIDQTGVVTAVQGTFGYLDPMYYYTGRLTDKSDVFSFGVVLVELLTRKKPNDYQSVDGGSLVSHFVSLLAEDKLVDIVDPQEVATLAAMCTKLKGEDRPTMREVEMALENLLVKKKQVDRENHTWDGQGGVSRWTRSQEQAPGVLVLLCDKVKSPPPAGS >KQL00316 pep chromosome:Setaria_italica_v2.0:VI:1267500:1272432:-1 gene:SETIT_013669mg transcript:KQL00316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKEKAPVICSSAMPYTGGDIKKSGELGKMFDLHRKSGPLGNQPSRNTSFGGAASNSGPVSNAVGRSNYSGSISSAVPGTGGSSRTKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPITSGQMNSSGAQRKVSGPLDSSVSMKMRTASFAHNPAVTNLNAEDGYSIKGSIPAAIMWLVALLFVVGFVAGGFILAAIHNPILLIVVVVIFGFVAALVTWNICWGTKGVTGFVSRYPDADLRTAKDGEYVKVTGVVTCGNLPLESSFQRVPRCVYTSTCLYEYRGWDSKAANTTHRRFTWGLRSMERHAVDFYISDFQSGLRALVKTGFGARVTPYVDESVVIDINPDNKDMSPEFLRWLRGRNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNENVLMIVPPAEPISTGCQWAKCMLPTSLDGLVLRCEDTSDMDVIPV >KQL01847 pep chromosome:Setaria_italica_v2.0:VI:27061839:27063590:-1 gene:SETIT_015134mg transcript:KQL01847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSIKQTLASLCAVLLLFIPFVAAGGGHGSGFDYKRALHSSLLYFEAQRSGHLPYNQRVKWRGHSGLADGLQQGVDLVGGYYDAGDNVKFGLPMAFTVTMLSWSAIEFGGEIAAAGEWRHVLEAIKWGTDYFVKAHAEPDVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDRENPGSDLVGETAAAMAAASIVFRRSNPRYSHLLLRHAEQLFEFGDKYRGKYDASVSEAWRYYASVSGYGDEMLWAALWLHRATGKAEYLDYAVVMADEFGGTTWAISEFSWDVKYAGLQILAAKLLLEGKHRPEHGPTLEQYKSKAEHYLCACLGKNGAAGNVNRTAGGMLYVRQWNNMQYVTNAAFLLTAYSSYLAGAGAAESPPILRCPDGPVGADELRALARAQADYVLGANPARVSYMVGYGQRFPRRVHHRAASIVSHRADGRFVGCVQGYDHWFRRPGSNPNVVVGAIVGGPDHRDRFSDRRSNYMQTEACTYNTAPMVGVFAHLHSSEMGAAEERR >KQL02092 pep chromosome:Setaria_italica_v2.0:VI:29861316:29863128:-1 gene:SETIT_013957mg transcript:KQL02092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVQEELSTQDMLRGYVEIHHLGLCHVKSMAFWCAIQLGIPSAIHRRGGAATLSDLVTETGVDPSKLPYLRRLMRVLTVSGIFAADQPASPVDYESETIYMLTPASRLLADGGASTTSCDISPMVRLLVRPTTTVSTYFNLEEWLKDDGTASLFEVVHGMTPWMMTKNDVAYNKVLNEACAADSNFAMDTILKDPGLASIFSGLSSLVDVGGGHGAAAVAIARAFPHIQCSVLDLEQVVSEAPADGTVQFISGDMFESVPPADGVLLKFVLHCWDDDSSVKILRQCKKAISARDAGGKVIIMNMVVGYETPDKIAKEAQVLWDMFMMRHVGVEREEHEWKRIFLEAGFSDYKITPTLGFQSIIEVFP >KQL02507 pep chromosome:Setaria_italica_v2.0:VI:32942206:32942313:-1 gene:SETIT_015688mg transcript:KQL02507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRDPAPVSRDAVAGALVHYYPLAGRLRELEGRAP >KQL02881 pep chromosome:Setaria_italica_v2.0:VI:35091515:35092900:-1 gene:SETIT_014327mg transcript:KQL02881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAVSSASTSFSPSTPSTRQSAPAAAASRVGGRGRSVYRLPARVARAVLRGVLTFVFAAVGMVLGAVTGALIGLATESGLVRGAGIGAISGAVVSMEVVDSSVAIWRSHDSGIWSVLYVLDVIWSLLTGRLVREKVDPAVQSAVDSQMNAVDSPFREIAPTLADVFETGAAGAKGMPADAIEALPVTTFTEHTALDADTGDRVGCSVCLQDFEAGETARSLPECGHTFHLPCIDAWLLRHASCPLCRRAL >KQL01852 pep chromosome:Setaria_italica_v2.0:VI:27113762:27115788:-1 gene:SETIT_013938mg transcript:KQL01852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWPRSAAASRVHRFVSEFLGLEKSIMREGQGETIKYRVFAGTWNVGGEAPPDDLDLEGWLDTKADSYDIYVLGFQEIVQLNARNVLGPKQRSAAMKWQLLIEDALNNRRSAQDGGGEEAMDQERDVFRCVMSKQMVGIFVSVWTRSGLRRHVRHAGASTVGAGVLGRLGNKGAVSVRFLLHDTSFCFVCCHLASGGEVGDALRRNADAADILSRTSFLNSSGGVPAPVDLPKKILDHDRVVLLGDLNYRIAMDDGEARQLVRARKWSMLLENDELLLELSEGRQFYGWREGVVTFAPTYKYHRNSDKLYWCADTGHRRQRQHRAPAWCDRILWRGKGIRQIRYERCGGYRLSDHRPVRAMFHAVCEVVESKHG >KQL00234 pep chromosome:Setaria_italica_v2.0:VI:711455:711876:-1 gene:SETIT_015976mg transcript:KQL00234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFPDYLSKSPLPMLLLNFIGLHLDLHILLILLAWGFTFSELE >KQL01989 pep chromosome:Setaria_italica_v2.0:VI:28811749:28814766:-1 gene:SETIT_015181mg transcript:KQL01989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNENNVESSLEDSDSDDDCGSYSTPPEYEPSPPRSRRRPDEDDPESDPTADHQDLLGICFRNWRSTLNKEYVQKSKNARDDFEFKQQKNTPEAKALSEENTVKATKAAKNPHHLGVGGYAAKITKWRRDEEERKRASLPDMFAGLDERRRNWAQKKCLFRSDREKDQLTTAIGTAEHSGHKNLKEKMREIAKQEFLEFLANHAMSQMMADPTVSDGQRQDSSSSASSIENVRYPVDNIQVDTPCRLVIPYGRKQNKFREVATGMEVTGHSCEIDIPTDEGIEVLGDAKNQYILWHHRDIVMNASPETSRPSQELPLSSSNVDTEQPMLSHVQGANNEDEEPMLSPILEALNEDDGTSSLELHGWIMNAMKQGIRAIAVHVPTKVFLGILPYQNVIDFEDLHRLYHRQHLNVNLISDAMEGEELTHVRFKVAYLDPARIREPEHRLKMMEMIEAQIEAVETQAEKDAIKKAHREQKHKVSVYIAKVMTKKAHKYYIMALMILSKLWICIIILPKLGEAVVLDSASYHRDRYKDFIGIIQK >KQL00572 pep chromosome:Setaria_italica_v2.0:VI:3145368:3145739:1 gene:SETIT_015753mg transcript:KQL00572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPGTTAMFAGLGILLFSVSAGFNSGADGFGLLLCFAGVLAGANIVAVGILAPVVPAVLAEARALAEFIRRNLAVVGLVMASCAVTAISGEAGQVLCFGMFALLLLGLSLISVGILGLSQMH >KQL00585 pep chromosome:Setaria_italica_v2.0:VI:3282635:3287106:1 gene:SETIT_013859mg transcript:KQL00585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFSYWTPPHTESDRIGIETQFEPPEKLPSGGGMNKRPRDDPSSSFASAPKRQYGAGGGYGAQQGYSEDRGSARRVADHYSARSNQTLEERENSPIIHLKKLNNWIKSVLIQLYARPGDCVLDLACGKGGDLIKWDKAKVGYYVGVDIAEGSIKDCMTRYNGDTDQQRRKKFSFPARLICTDCYEARLDEYLSEDAPFDICSCQFALHYSWSTEARARQALANVSALLRPGGIFIGTMPDANVIIKRLRESEGLEFGNSVYWVSFGEEYAEKKFPASRPFGIKYKFHLEDAVDCPEWVVPFHLFKLLAEEYGLELVLMKNFHEFVHEYLQKAEFTELMRRLGALGDGRHDQSTLSQDEWEVSYLYLAFVLRKRGQPPSQRRSNNANRGKMFLTEGDIEVLGI >KQL02016 pep chromosome:Setaria_italica_v2.0:VI:29115364:29120315:-1 gene:SETIT_013381mg transcript:KQL02016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATASTSSSSNPFPFPSRRPPDDTLFYAVYPLPLPTKLPAPALVATLQSLHLTLLSHLGPFLSSHLFHRDPFTLSLPSDPAAPCALCASPPVPHLHGALRFGDSLPDEWLAASLLFALTRAFPDIAARAWDSDGEFLLIEAAFALPRWLDPESAPNRVFIFRGELHILPPSLFPETPSLEAALAAVHDDSIDTRAPDAVQAAIQRRIAGLPERAAENLHTARINVPAPVAKVLKEEPCLIARAVEGFYDRDIDTMKHAARMEKFLKGPSGEGVEMVRTSVRMTRAMYAQLVQQNFQAPRGYPMPRREEGPEKWMEAELGMKIACGFEMMYQERRRQGEEGKGSTWEIYRKTLEATGCFKGLLPGSEEYKRVMENAMQYYKSSTLYSRTREILSEPVRRIDEILSMPYSAEEFKGVDLPPSDDESWLYGGEGELNAELRERQKELEEYEAAKKHRKSQKQSVSGSSKSQADQFKLGEITESMQDFVRNMSSFEGAEVPANRREMKSVDLDVNQFFKAMESVFGGSSREQAGSDDGFDRKSSSSDMDFDDSDEENAFDEELDDKDMGDSFMDSYSDALNKELSSTTLEKSFARAPRTGTIDEGPSNAAATDGEMTPVDVDLNLVESILNSYSSQQGLPGPASNLLGLMGVKVPPDGKKS >KQL02683 pep chromosome:Setaria_italica_v2.0:VI:33954657:33958003:1 gene:SETIT_014408mg transcript:KQL02683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAPVLVRHAGGVAALRQLPPGFRFRPTDEELVVQYLRRKAFGVPLPAAVIPVVPDLYSLDPWDIPADASEGEKYFFAVRTAGAGSGCSKSGGARAATASGRWKPAGKEKPVVLPRPCGGGSLLVGVKRTLTFVTRRKKKASAPSASLGNGWVMHEYRLAAPLHKNGCRLGQAEGEWVVCRVFQRSNRPRRRPAPAGAGHDAAASPTSSSASCVTDGSDQEEEVSS >KQL00705 pep chromosome:Setaria_italica_v2.0:VI:4135781:4139310:-1 gene:SETIT_013594mg transcript:KQL00705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIALRTRLNVRSARQRGFLKEGGRQPAPDHLRPTIYLHKSSVPFGSQAAAQIRSPHLRVDSPRSAHTPDLPMADSSVNPFLRIPPLIHTEDPGSPPPESILLDRYGYLSCRLNGTTADGFTADGKRIQVTFWAASPPRVSCFTVHSPDVKPSAFDWLPKVIYSKDDLVLLRIPILRQDDSRDAKSCHYFVYQAGTENNRPSLKMLPIPCDIKFSNNENGKRFDLHLYNSKTGTWNTENQFVDSVNYVNYSYPNIAVTIGGEFGSVGWVDLWRGMLICDLLRDNHSLRYIPLPLPLVPKLLKGYPMCFRDIVVVGDCIKYFEMSYDVRPGSGLTSATQDLVAATKKMKISDIGSGNNWEEDCTFKFSDIPVDSPKFARMLMLPNLKQVKNTKLTLMRLCAGYPALSLHDADVVYIMHTPDPDEDKALVIALDMRKKTLKDVADFGSGRPLGYTFTYLQSGISKHLNIWSSSRSGRNAGETSRDGCLGPCKLEVLWVNAEQQPNAT >KQL01388 pep chromosome:Setaria_italica_v2.0:VI:18567963:18573408:-1 gene:SETIT_015147mg transcript:KQL01388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFEGPPSFTDLVDRVMRKYGCRVDEMSLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACDANWKHYKDVVHEANVAYLEVIVEIVRMPGPNVVLRVEVAVVNRNECGFDLAIANDDFPNNIFERDEATIDDDNVSMGSEDCEFEEDGVVGVEDISMVHKAICESSMVNSEGTSFGESPVIKKGMKFNSLEELKFLLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWHVWSRLISSTGQWGISNVVQPHTCCSSQPKQVHIQCTAKYLGRCILGIIRKDSETSVPSLVESIFAFSGYRVKYSKAWRVKQHVVALLWGDWKESYGMVPRVLTAIVYYNPGVKWFIDSCGMMHPDNGVLKHILQRVFWCFLQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVRVDPEQQLVPLAFALAESENNESWSWFMKLVRRHVLGPSQIVCMISDRHHGLLNCAKDHMDGFPPLVHRWCTRHFAANMSRRQKSDRVIGKLKTLCKVHTEREFSEKLEDLVKDLNDDAKEWLKGMRWGIMTTNYSESLNAVFKGIRSRPVSGIIEYSFEKCNAYFVDRWQKAELRSVQHLAETYGPERMVYSIRSCGTNNIGGESHGGRHYRVDLNEVSCTCNVPQLLHLPCSHFITACKARGLNYESPLYMSLLYSREYTVRIWELSIQSYLDPSQWPAYEGVGYVPNPNLMRNKVGRRQKKRFTGDMDVSQGRLSADYGTGIAHAAIEILKARNLGRTVIGRVLRTIGYARCSYFAWMAAPAYPLLESAYDLQHRAHHLADLNERVGFLDLAVHVVAGVPPMDGPLLTAMVDRWRPETHTFLLPFGEMTITMQDVAMILDLPLEGHPMMRIIQNENWRDMVEMHIGIRPPEPEDGDNSKKNSGHVHPVRGNPHRRYRAYTNELDVVTQHQVEWKPYDREQLSQIVFSPTCYRDRELWRCTTPMILYYVVEFHMLHRVMRQFGRMQPCPPLELSTSQQLHRYAGINNYWRLFDTSDVYDTVTRYGIQPERAPLHDYMGQQLARLANEAGVVMERAVGSGDDLLRQRSRGKAPASPQASEDSEGEQSEDDDPTYGEELEISSMIDAPPVTQTQGESS >KQL02676 pep chromosome:Setaria_italica_v2.0:VI:33906244:33906603:-1 gene:SETIT_015757mg transcript:KQL02676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALLDRCRSSKKVRGRPPEGCLAVYVGPARERFVVRAECVNHRLFRALLEEAEEARGPYGYAADGPLELPCDAAAFARAVAAIEREMAGERRTAVGGAGGIVWAAQHRTVAVVVAGGRQ >KQL01784 pep chromosome:Setaria_italica_v2.0:VI:26074719:26075588:-1 gene:SETIT_015264mg transcript:KQL01784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLYTRTRLPRLSRRDGSLPCGSARSADEGAPYPLFLNLIMYVVVAAIAGWAINYNIDESMNSLQGASLPVCLFPIYFPISNLATGFFVIFALITGVVGISTSLTGLHDVGQGFPANMMSAAASALITWTLTLLAMWLACKEISISWRPASLRTLEAFTIILSGTQLLYAGSLHAGAHEAIVATPIGGRV >KQL02858 pep chromosome:Setaria_italica_v2.0:VI:34987810:34988653:1 gene:SETIT_015492mg transcript:KQL02858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KHKQRSASPAKPGGSRAESESGTSAPPINPGAAPLGIPRAPDSPPPPVQGAPWPVGVSPKPRPTLTQNWQRRPHPKSRYKQRPAVGPSLLTHPFASSSLSLSRARAQICGCSTPLRPMISAPLRVPAPNAAAVPFCSASLSSSAPRHRQKPKPSTIRCAAAAAAPPAPTLYDVLGLRAGATVREIKAAYRRLARERHPDVAGAAPGAAAEFVRLHDAYATLSDPDSRARYDRVAVAVAQRPCAYTRWAAATGSGRRPRRTWETDQCW >KQL00542 pep chromosome:Setaria_italica_v2.0:VI:2900135:2901240:-1 gene:SETIT_014410mg transcript:KQL00542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSYFLLAVFLALVACQANASDPSPLQDFCVADKHSPVKVNGFACKDPMAVNADDFFKAAKLDEPRNTAHSKVGSNVTLISALQLPGLNTLGISLARIDYAPLGENPPHTHPRATEILTVLEGTLYVGFVTSNPNNTLFAKVLNKGDVFVFPEGLIHFQFNPIHDKPAVALAALSSQNPGAITIANAVFGSKPPISDDVLAKAFQVEKGTIDWLQAQFWENNHY >KQL02926 pep chromosome:Setaria_italica_v2.0:VI:35306776:35309543:-1 gene:SETIT_013604mg transcript:KQL02926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLYRSHHPRSNFRSYFISLQSPRTPSMTNGAVDPPDPFMVLVDAFIPIIDEVSALIVENGGPSHPPFWGHIPFPPSVSPKERAAAQQRAVAEGLLRYRRELEWPAEDILRERRASNPSAVRKLVAFGGWEAALYLAILDGIEPHLEIPHPPGVASLVLSVSAGARDTFNQFPSGASIAGADHNIIAMYAGPYRPTFPPPGFYLVYDSLANSLAAVLPLPPSSASQFTHRGVGAGTAVLRHDPPSEYVLAELLYHRTSSTQATLFTWCSSGAVAGQWINKEVVLPFPLPSDPAYIFCADVVFALGDNSICWVDLLKGILICDKVMDDPKFRFISLPKGYSRSWHPEQGRGRPRDFSSMCSVRGDTVRFVHMNPASDIDDLITLTTWTLCISNSVWKKGGSFRIIDVWADPTYEELKLPKKVPRCPILSLLDEEDGVVYLTVAENNCQYVFSVDVERRMVVSGNRLPPEGRQFSRIMAVEVTMHLNKRRSRTGGEHLVPLK >KQL00610 pep chromosome:Setaria_italica_v2.0:VI:3450539:3451363:-1 gene:SETIT_014998mg transcript:KQL00610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSAIVSVSMGVMEPLLSKLSKLMEEEYDKLRGVSKQIKFLRDELRTMSPALEMLGDARDLNPLMRDWRNELRELAYDMEDCVDSFLARVDDHEHHHGGLAGILGLFRKFKKMVARHEIACQIEELKKRVMEVSERHKSPDVGRILRDIAKGVGLSDKTAQDDDEQQLIDKLREHLQDKR >KQL01454 pep chromosome:Setaria_italica_v2.0:VI:19862539:19866126:1 gene:SETIT_0152532mg transcript:KQL01454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRVHSGGHSYEGQSYTVDGAVLEDGAPFVVIDLMSLNRVRVDAASATTWVECGATLSKVYYAGAASSVSSSSMVNGSSSSLAFTAGLCSTVSVGGHISGSGFGLLLRKFMLTVDNVLLPPLPGQLIPVPGTVMVFTLKREGSVDAIARLVHWWPSVGPALPDEFYLSIFLMMGGSLQEDDNVT >KQL01638 pep chromosome:Setaria_italica_v2.0:VI:23876733:23879190:-1 gene:SETIT_013766mg transcript:KQL01638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSTAAAPSTSIAAALPSSRARRRRSRGVLVAVAAGAGEGGAERFATSSSITDYLRYRRPDGGGAGGRGGVAGGELQTAVVRFEKRFPWSLLHPFLHVDLVSTVHIADKEYFDRLQQELGRYDCVLYEMVMSRDNLNNPKGPMAAKKMKSSRRGFSILGFIQKQMARILSLDYQLDCLDYGNEKWQHADLDYETFKQLQSERGESILTFAVDMTLKSTKALVQPTNMPDGLDFWRSKLLWASRVLPMPLVGLLVITGLCLPVENQDGFPELEALSKLDFGAALKIFLAKQLTSEFTAVTSPVEEKSVIIGERNRVATEKIKEAINRGYKRIAVLYGGGHMPDLGRRLGEELDMVPADVQWVTAWSIRSRELESKSLPFLKTLAEASGWPLNRYETLALLIFSSVLAVDLWFWELFFGTAVNWASLAGSWIDQLDGSF >KQL02082 pep chromosome:Setaria_italica_v2.0:VI:29772227:29777257:-1 gene:SETIT_015702mg transcript:KQL02082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREESLVWAEVKRQLYLAGPLVPGYLLQYVVQLLSLMFVGHLGELELAGSSRGHLLRHRTGFSLLHHLLGVYKQRMLVLVLVSVPVAAMWAYTGEILAWFGQDPEIAAAAAGYIRGPDPGAAQNAVVPVTLGYDARMLHGSGITAATHLPVCWLLVRALGLGSAGAALAIAVSYAANLCFLALYVRLSPCCRSTWTGFSREAFRGIPAFFRLAVPSAMMWSFELLVLLSGLLPNPKLETAVLSICINTISLAFMVPFGLGGATSTHVSNELGAGRPQAARLAAWVVVLLSLLVAAFGGLVMVLIRNLWGYAYSKDERVIKYIAGMLPLLAISFLFDCVQGVLSGFIRGCGWQKIGASVNLASYYLVAIPLGYFFAFVCHVGGMGLWFGLLSGLVVQTILLICIPLCTNWNKEAVKAKDRIHSSACLGDMTT >KQL01926 pep chromosome:Setaria_italica_v2.0:VI:28190535:28192677:-1 gene:SETIT_015800mg transcript:KQL01926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLVEMECHRWMSKGVNIKYEVRGNRKGYKAGALKEGLKHDYVKDCQYIAMFDADFQPESDFLLRTIPFLVHNPEIALVQTRWKFVNSDECLLTRFQEMSLDYHFKYEQEAGSSVYSFFGFNGTAGVWRISAIDDAGGWKDRTTVEDMDLAVRAMLRGWKFVYIGDIKVKSELPSTFKAYRFQQHRWSCGPANLFKKMMVEILESKKVSLWSKIHLCYDFFFVGKVAAHTVTFIYYCFAIPVSVLLPEIQIPLWGVVYVPTVITLLKALGTPSSFHLVILWVLFENVMSLHRIKAAVSGLLDAGGRVNEWVVTEKLGDANKTKPNINGSDSIKVIDVKLTEPDVKLTEPLVPKLVKKRTRFWERYHCSELFVGTCIILCGCYDVFYAKKGYYIFLFLQGIAFLVAGFGYVGTLPPSTP >KQL01420 pep chromosome:Setaria_italica_v2.0:VI:19208082:19208588:1 gene:SETIT_014833mg transcript:KQL01420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFAIFSHMLFASSPSLQGSSLIILSLKTSDVSSFSFHHFVLAILACLSLRARI >KQL02772 pep chromosome:Setaria_italica_v2.0:VI:34467131:34468985:-1 gene:SETIT_014889mg transcript:KQL02772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGDRRVAAATLLQISLAFVCLLSSASGLQIPHPGLPDMYTDFVRDRISVVGIDLRNTNSCIAGYAPAKTDTMFQFYIPSWVAFTDDGATLVGEAARNHAGADPEAVIFGFKRLLGLSTAAGLEHEVQPEQNSRAPRSLTSNHWYEGDIVQTAIKRAPYKITARDFQTPSIHVKSKDGTVKQLSLMKVASMVIAQLKDKAMEYLGGPVDYAVMTIPQHYSGRSRDTAMMAGMLAGLHIVDMVPEPISVAVAYGLRTKLREGANALVLHVGGGTADASVVTLMDRDDFDQKVVDYFAELVRMKHGKDAGGDSIALAKLRTACERAKKALSNQEHVQVTVESLFDGVDFSETLSRSKFEELNDDAFRRVVALVRRVMLEAEERRGNIKIDEIILVGTSTMIPKIQRLVKESFNGMEPSIRVKPDEAAALGAVVHAYSVY >KQL01501 pep chromosome:Setaria_italica_v2.0:VI:20929860:20931762:1 gene:SETIT_013939mg transcript:KQL01501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSMEFLRMLLLDACYVLDWFGNFVPDNISPAANLNSSPASAPGDGSNIIARENNGSPVANGQVEGSNQASSTASAAGGGNKLEAVLVVRDVFYLAENQIPFFVIDKVHSLSCPGRSVPAVKAIARYVSRCILQKQQYSMATVEDGDEPPGNLLHLLHQHFSNPTTEPYSAAGETVGRCRTAMEYYINGVNFSSRPVGTGAMEARCILDVTMDHGSGTLVLPRLRIDAQTWRILRNLMALEQQNQEVGSHVTAYCTFMSQLACTARDVELLSRSGVIVHLLGNNKEVAKLFADLCKGIVFDADDTDHNYLRATCQALNELYRSRPRRWMALLVQKYSDNPWLVVGVIAAALGLLCTMVQAIYAVLSYHPGAAN >KQL00499 pep chromosome:Setaria_italica_v2.0:VI:2593495:2594003:-1 gene:SETIT_015963mg transcript:KQL00499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNWWVNWFDIARFLQEYCNRLTKKNVMGLLIGVCKQHIHVLGGANDVK >KQL00837 pep chromosome:Setaria_italica_v2.0:VI:5564618:5565854:1 gene:SETIT_014422mg transcript:KQL00837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTASMWLNCLSISCQHVVKSDLVLTSSHCMGVVDLEDEDVLCVRRPKFPRGVEQLPATIIYRDIVMDVAVLGVAGLTCVSPLRFAPEEDATVGESVISVGYCDPDALLTGVTFSRLPSLSPGLVKGTRYICTHQGIELYHVLLSCVCMEGMSGGPILSRRGVIGMVDCGGRGAIEMGGHSYTEAKAPHTIIEVLKRCLVLQGILTMEEAIGLELTMEEVLDLIA >KQL00494 pep chromosome:Setaria_italica_v2.0:VI:2537590:2540434:1 gene:SETIT_015639mg transcript:KQL00494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAPRGRRNHPAPPPEAVERAAGPAAVPWLPPPAAFARKLDRAAATDAPPAVAVPWLPPPAAFARRLRPAPPDPNTPEPQTPTPRGWAALPRDALVAVLRKLDHVEILMGAGRVCRSWRRAARDDPALWRRIDMRGHADLHRRVDLCGMARAAIHRAKGRCEAFWAEYAADDDVLQLLGEQAPSLKSLRLISCQDIIGFQEEIKKFPLLEELEISLFTNIGGKHVFEAIGQSCPELKHFRFNSYRFINLGNREYSYDDGDDDYYDYDDNDLKYKDADALGIAYMHGLCTLQLFGNNLTNEGLTAILDNCPHLESLDIRHCFNIIMDDTLQARCARIKTLKLPSDPTDDYGFPVCSPLWSSGIDSDSDALGSFGTYSDGDDCVYGDYILDSDEYDDYCDPFRYLNGVYEDELGVQDRMFLKGMRMLMRNDDDDDDY >KQL00506 pep chromosome:Setaria_italica_v2.0:VI:2661885:2665253:1 gene:SETIT_013207mg transcript:KQL00506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAPAPCGWHGVTCGVRGRRRGRVTALDLRGLDLASSGTAAPSSLSSLTYLRRLDLSGNRLGGGVPSPLPPSLERLNLSHNALQGPVPAALGSLHRLQVLYLSYNNLTGAIPASLGNLTSLTSLSLTSNNLAGAIPGALGNLKALTGLFLHYNMLQGSIPSAVFNISSLQKLDVQMNNLTGTLPPNAGGRLPRLTWFVVNNNRLHGAIPPSLCNASKLELAQMYDNSFSGVIPDCLGTHLKNLWALTLDGNQLEANVDADWGFMDSLTNCSNLKIISLVENKLGGVLPGSIANLSTSMEYLGISINMVSGQIPQEIGNLVNLNAIDMDLNKLTGIIPTSIGKLNKLNRLDLSANKLSGQIPPTIGNLTVLTELSLLDNMLTGPIPSSLGSCPLQTLNLGHNRLAGPIPKEVLLISTLSDYATFHENMLTGSLPSEVGHLKNLVALDVSGNRLTGEIPNSLGDCQILQYCIMKGNMFQGKIPESLGQLKALLALDLSRNNLSGHIPDFLGDMKGLEQLNISFNNFDGEVPKQGIFLNASAFSVEGNSGLCGGIAQLKLPPCSDNGSTSNNKRSHKLVMIVSTATAFLGISLLLALCALCHQRRKLIKAEHALPLINDQYARVSYVNLMNATNSFASENLIGIGSFGSVYKGTMISHDQEVVVAVKVLNLQQRGASQSFIAECETLRCARHRNLVKILTVCSSIDSGGLDFKAIVFDFLPNGNLDQWLHHRLREHGTHSRIDLVQRIDIAIHVASALEYLHHYKPTPIVHCDLKPSNILLDNDMVAHVGDFGLARFVHQDQINPSDISSGWATRRGTIGYAPPEYGLGNRVSIHGDMYSFGVLLLEIFTGKRPTDSDFVQDLNLHRYVQIALQDQQVTSVVDQQLLPVQDPELEGRTSSSSSTREITVACVTSILQIGILCSKELPTDRLLIGDALRELHRIKDNYNQLHLLST >KQL00242 pep chromosome:Setaria_italica_v2.0:VI:738706:743439:1 gene:SETIT_013258mg transcript:KQL00242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNLSPSTLLNSISRLGVLTSDGSNVRPKPIQKYCQNVYDISSIVNSLLEDLCKSPEEQLNEVLRDLDTAIHEASGLIGNWHQTTSKIYFGWQIESVISDIQGCSLQLCQLANSLSPSLTGCACTCIEKLQDINYEHMFDLVKDAAVELAETSTVSSENLLKLTGLLSLSTNMELYMEAVSLENLRTRALRSENREELDLAEQMIPLVNHMHDRLLKEKQQLLINGVPIPADFCCPLSLELMSDPVIVASGQTYERVYIKLWLDEGFTICPKTRQRLGHSNLIPNYTVKALIANWCESHDIRLPDPMKSLKLNFPAAASSLQDLNAAGGSPLHPSVISRANIPGSPEADVYLRNLNGASPPQSVANQNSHVHPSRSGHEVSTSQTSENANGSAPDISRLSLASPEARESSLEGRRGGSIGQTSEQSTEEAFQSSNLDRDMQDNMASSSMNGSLPNSGQLDGECDNGVTRVPSDRTNYSSDASGEVTDGGGAPVPSSVPQREPLIPPRLTPRSQFIRRQASDRGFPRIISSSLMDARSDLSAIENQVRKLIEDLRSDSLDVQRSAASELRLLAKHNMENRIVIANCGAVNLLVGLLHSPDAKTQEHAVTALLNLSINDNNKIAIANADAVNPLIHVLETGNAEAKENSAATLFSLSVIEENKMRIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIVQADAVRHLVDLMDPAAGMVDKAVAVLANLATIPEGRTAIGQARGIPALVEVVELGSARGKENAAAALLQLCTNSNRFCSIVLQEGAVPPLVALSQSGTPRAREKAQALLSYFRSQRHGNSARR >KQL00720 pep chromosome:Setaria_italica_v2.0:VI:4335997:4337445:1 gene:SETIT_015522mg transcript:KQL00720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAKRARNASRPRSSAAAAADPPRSSGWFSGRRGPSASRRADDDGTPLHEEIILFIFATFLDIADLVRCAATCRRWRRLVSGDAAFICRGLQRPGPGGKFIPPLAVGYFRQHDADTIHFVPMAPALRRFPLLREPTSPSVMLVGDVRFDTSRIVTSRNGLIVIELRRGKHSRTLKLCVCNPMTGEVRALPPLTGKDGVGYYACTVLTADDYQYQVQNSDAGTPPPSASHYRLLMVYNRRDFTAFRSYSSEDGGGWGPERKVTGARLGKRHMRLTHGGVVACGGRAAYWKTSGGGVFGLRLDTLEATKVSLLEVVGGGEAPAFNVLNTLLGMAPDGRLCAVQLSLPFLQTQQDTITIRVTSCGGGHGGVVDNGILQQGNCLWMKESSIKIMHSFPGNVHSTKVKLQWFCEKSGLVFFTAGKNDGDQRGDLYTLSLSTRVVEKVASNAWDGNMWDNLYGYEMDQAAYLASLAGTEKED >KQL01954 pep chromosome:Setaria_italica_v2.0:VI:28453115:28465897:1 gene:SETIT_013442mg transcript:KQL01954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVSWNRLQNENEINLDGHRPRVRRYAQGLGKLVRIGKGPEMLLRQSSDLQNQERVNTNFGGDTGDAFEAHEWRYVRTFNGIRIFEDIANPKGGKGILLKSVGVVGANPDTVFEVVLNLDKHKRYEWDMLTADLELVETIDGYCDVVYGTYEPKYLNWWKGKKDFVFSRQWFRGQDGAYTILQSPVGHNERPPKHGYERTKINPLTWEIRRLNTSGSSPNCVVTLMLEISPSFWGRWKRRHSSNFDKSIPFALLSQVAGLREYFAANPALTSDLPSTVVKSKASELLMIQSELEDSEPGDEFYDALARGESFEDEDSDDDDDAATPKAGKVKLKNVSWAIAGLALKTTKALAETSELVTSSSPVSVDPSQFHGTLRRAKSENDPNSWSAPGGEKFMIRGKTYLTDYTKVVGGDPLLKLIAVDWFKVNERFDSVALHPKSLVQSEAAKKIPFILVINLQVPAKPNYNLVMYYAAERPVNKDSLLGRFIDGNDAFRDARFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCNYLRQDNFLEIDVDIGSSSVARSIIGLVLGYVTSIVVDLAILIEAKEEKELPEYILGTVRLNRVNPDSAVSI >KQL02020 pep chromosome:Setaria_italica_v2.0:VI:29139785:29143690:1 gene:SETIT_014987mg transcript:KQL02020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEALIGRLEAAVSRLEVLTAGVHPSIAPRGLPDNASAQDPAILAFDDLVASALGRVSAAAGKIGAEVAEVTRLVEKAFLVAKDLLVRTKQTQKPTMESMATFIGPLNETILEANTLAEGTRSSHANHLKAAAGSLAALAWIGYTGKGCGMPLPMAQVEESWQMAEFYSNKVLVEYKNKDPDHVEWAKALKELYVPNLRDYIKRFYPLGPVWQPPGSATNKAPSAPSPPPASLAISSASSSQPKSGMSAVFAEISSGKPVTQGLRKVTDDMKSKHRTDRTGVVTADGKETRNAPSFGSNKGPAKLELQMGRKWVVEHHIGNKGLTIEDCDTKQSVYAYGCKDCVLQIKGKVNNITIDKCTKVGVLFKGVVAACEIVNCNSVEVQCEGSVPTISIDNTSGCQLYLSKESLETSITTAKSSEINALVPDANSDGDWAEHSLPQQYIHAFQDGQFTTSPVSHSGA >KQL00426 pep chromosome:Setaria_italica_v2.0:VI:1979231:1984175:-1 gene:SETIT_013439mg transcript:KQL00426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYLVSSPAAAAKLAAGTRAYPRRRRQLLFPARHCLGRRGSLSSLSSSAERSAGRAMDAAEAGTSTAPEASFSATGVKQALISLSDKTDLANLGNGLQRLGFSIISTGGTASSLEAAGVSVTKVEEITHFPEMLDGRVKTLHPSIHGGILARRDQKHHLQALNEHGIGTFDVVVVNLYPFYNKVTSSAISFEDGIENIDIGGPTLIRAAAKNHKDVLVVVDHEDYPALLEYLEGKQDDQDFRRRLAWKAFQHVASYDSAVSEWLWKQSNKGDTFPPSFTVPLTLKSTLRYGENPHQNAAFYGDKSLSLVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFESPTCVVVKHTNPCGVASRQDILEAYRLAVKADPVSAFGGIVAFNTTINEDLAREIREFMSPTDGKTRMFYEIVVAPRYTEKGLEILKGKSKMLRILEAKPSGKGMLSLRQVSGGWLAQESDDLTPEDITFTKMSERAPEDSELSDAKFAWLCVKHVKSNAIVIAKNNRMLGMGSGQPNRLESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACQSGIAVIAEPGGSIRDQDAVDCCNKYGVSLLFTGVRHFRH >KQL01787 pep chromosome:Setaria_italica_v2.0:VI:26108641:26110045:-1 gene:SETIT_014564mg transcript:KQL01787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFMSTGPSHRPPALMIFWRKRKRSSWRLSPPGSRGGPCKRMCGWPAACKAAGGYPHRVAEVAPALAGHPSSRRPLRSSRSSTGRLLLAITAAATGRLLLAVTRDNRCSSARADRVAAATHCFSPAGRCSPSPRPAGFGSRTSAGTDPRCRPRREPLLRHLL >KQL00130 pep chromosome:Setaria_italica_v2.0:VI:119106:125393:-1 gene:SETIT_015235mg transcript:KQL00130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVLKWIGGSVVGWLEINLSFVDKDSWDSCDMPPEHGMPTGTADAVANRRPRRLFGRPSERKNPLNLQFERQVARLESRQQQQRCIVVTFIPINLCCFDFKSPSLTENGNNHPSSQPISPEDTSSLSPTSPSILFLPYPPDGSHRRWPGNSSRLLEDRSTTSNSTSNSDFLVNSFTKPSGNARHTSRRKSKKKSKKHRQRCRKPTDGPEAKCGETNSAAPAVDEGDCEDLTLSPKHVGDIHFEETFSPSSSVKEASEEAPESDNDNEYRCCSVGSVSSASYCDETEPSRSAISCPGLRGQCNSSNLRYLDSAQNSVFTGSAQETCYAGSSVNCNHDTKTLLILSNEREPGPCEATEFCSSSSGFDENWLEKPDYDSGICSQNGIGACSGVQAVHLCSDTSRDNDFCLVISRKRARKEKKMLLWKSYGERVSTFTHDRNEKYVGRASVPVTKEVRTDHRSHRQNHIGGIQPQHGTAIKHPSKNFMQRPSSVRMETHNGLPAEDSKLQASPNYFIGPREKTYEQSTSDFDKAQRLYLHGKLSVALHSRESIHCETGSVSSSETTTPKSSVPSVQGNHGCQQFCNSRTHLVEMLRVVNDAYKVQVAADVHLAAGYPITDLETFIYSATPVIGHAPCLRGSNCSWDEAVSSSVCQHDISNVSLRTIWEWFCVAWYPVYRVPRGNFRAAFLTYHSLGKLVPQKCSMDMTGGHPRVVSPVVGLQSYNAKGEQWFQLRCPDLKQLPTDEASCAEVQKERLRTLKMGALAMARAVVPKGSGESVNHHPDYEFFLSRCS >KQL02789 pep chromosome:Setaria_italica_v2.0:VI:34540350:34546482:-1 gene:SETIT_013236mg transcript:KQL02789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAARKEWRAVPDAPLRSNGAKVHRLLPPSQTAQNPPLPPSSVLRTTVLTPFCCCFLFRTPRTTGRWVNRRTEPSTRCVLAPGDTQKCSHFPRSDLSCCGVVVPAEGAGGLDGFCAITIDGSGGLSEDILQQRLQSVVRQREELQQVEIELRAQAIAHPQIIEAQQSFQAAAKEHAAAAAKLKDQLHEREQYILELEMKLNDKDRELNALKIDHQTVWANQDLLREQTKELATVRRERDTSEAERAQHLKQIHDLQEHLREKESQFIALEEQHRVAQENILYKDEQLREAHAWVSQVREMDALQSQSLQVELRERMEQFNQYWISSQQQYAEMQRGLLHTIQQLQLELTEARERSGAPKEGPQVSREGSAESSFVQSIANSVASNGSATADGNQQLKNNGTVDVSVKGNNASAVPVPSSLLGIGGYAPPGHIAGLHSYMIHQGIPQPLASPNSGVPQFGSFQSQSTIQPNLHWANQQEVQNVSQPQDETNYQPSQSDQTALQPTTINTDELSSKPSQASHPDHLNAHGKQQQSPASVPAESTHELTVVESNVADHAVYDEQHKAFKEQDSPSNVNNRTGMVEHQEQKTESKDERAASDKQPEPVPRQQQKPSNFSTATPQIHFKNNAAEFNPNVVNQVDTVKSAVGGFGSQLPRVPKEPALLDERALLACIVRAVPAGPEAAIRISTTLPNRLGKMLAPLHWHDYKKQYGKLDDFVASHPELFVIEGDFIHLREGAQQIISATTAAAKIAAATASSAPYSSLLPSVAVTPVAQSTRQKRGPAADSRSSNAIPSGNGFTDQFNIIQGVSDVTISGKVRNTQDNGFSDEVRTGQPSMHTAAVNGVRHDKGASNIRHGYGGKQQGRSTGTAYNSRR >KQL02790 pep chromosome:Setaria_italica_v2.0:VI:34541165:34546482:-1 gene:SETIT_013236mg transcript:KQL02790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAARKEWRAVPDAPLRSNGAKVHRLLPPSQTAQNPPLPPSSVLRTTVLTPFCCCFLFRTPRTTGRWVNRRTEPSTRCVLAPGDTQKCSHFPRSDLSCCGVVVPAEGAGGLDGFCAITIDGSGGLSEDILQQRLQSVVRQREELQQVEIELRAQAIAHPQIIEAQQSFQAAAKEHAAAAAKLKDQLHEREQYILELEMKLNDKDRELNALKIDHQTVWANQDLLREQTKELATVRRERDTSEAERAQHLKQIHDLQEHLREKESQFIALEEQHRVAQENILYKDEQLREAHAWVSQVREMDALQSQSLQVELRERMEQFNQYWISSQQQYAEMQRGLLHTIQQLQLELTEARERSGAPKEGPQVSREGSAESSFVQSIANSVASNGSATADGNQQLKNNGTVDVSVKGNNASAVPVPSSLLGIGGYAPPGHIAGLHSYMIHQGIPQPLASPNSGVPQFGSFQSQSTIQPNLHWANQQEVQNVSQPQDETNYQPSQSDQTALQPTTINTDELSSKPSQASHPDHLNAHGKQQQSPASVPAESTHELTKTSQVVESNVADHAVYDEQHKAFKEQDSPSNVNNRTGMVEHQEQKTESKDERAASDKQPEPVPRQQQKPSNFSTATPQIHFKNNAAEFNPNVVNQVDTVKSAVGGFGSQLPRVPKEPALLDERALLACIVRAVPAGPEAAIRISTTLPNRLGKMLAPLHWHDYKKQYGKLDDFVASHPELFVIEGDFIHLREGAQQIISATTAAAKIAAATASSAPYSSLLPSVAVTPVAQSTRQKRGPAADSRSSNAIPSGNGFTDQFNIIQGVSDVTISGKVRNTQDNGFSDEVRTGQPSMHTAAVNGVRHDKGASNIRHGYGGKQQGRSTGTAYNSRR >KQL01054 pep chromosome:Setaria_italica_v2.0:VI:7689607:7690022:1 gene:SETIT_014674mg transcript:KQL01054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSSILLTAAVLAALLAVGSCGSALTFKTGPGCSATRLVLIPSTAISEVEVKEKGASDFSELKEGPTGTWTLDSKAPLKGPFSIRFAAKSGGYRVVDDAIPASFKAGSVYKTSLQV >KQL01644 pep chromosome:Setaria_italica_v2.0:VI:24048168:24049197:-1 gene:SETIT_016035mg transcript:KQL01644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHDSTNDMRTRTSIVLYSFETVMIDSDCLLLF >KQL02175 pep chromosome:Setaria_italica_v2.0:VI:30678348:30679193:-1 gene:SETIT_014396mg transcript:KQL02175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHHLGRVVSDLSHSNSRGARGRGLRHQSTATSNTDGGGNGGKAKQKLALLFSGVVAWTRSESSAATEAGSGRAKNRKQETTRAGTGARRRGLDIVVAVRKYVSMVEQLFASYSGGSSSSSSRDGDGRDVRRRRVHTFTYGRGGGSTASSKRHKGRLSSAPASLRGSPANSGHLSVGDSVTKTSTPSEVSTMEELRSAIQAAIAHCKNSGVATSQSAAGGGGDKQQQEERKC >KQL02247 pep chromosome:Setaria_italica_v2.0:VI:31184062:31187002:1 gene:SETIT_015592mg transcript:KQL02247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPRHRPAALAARRLLRDNQRISELGRAGDVAAARRVFDAMPQRDVVSWNALLTALWRGGRDHLPAARRLFDAMPARNVISWNSVIAGCLAHGDLASASAYFARAPLRNVATWNAMLAGLVRLGRVEDADRMFGEMPSRNVVSYTTMVDGLARCGEVTRARDVFDSMPERNLVSWAAMISGYVENGMFNEARELFEAMPEKNVVACTAMITGYCKEGDVESARRLFDGIRVKDVISWNAMITGYVHNGYGEEAMRLHVVMHKEGVKPDHATIIAVLTVSSALALLRQGKSAHAIAIKTLLESSTSFSNALMTMYSRCGSLGESELVFVNLKSQDIVSWNTIIAAYAQHGKYQKVIALFHEMEVHGLTPDDITFLSVLSACGHVGMVDVSLKLFDLMSSKYAISPRAEHYACIVDILSRAGQLEKACNYIKEMPLEAEKNVWGALLGACQTHGYVQLGELAAKMLVQSDSESSGPYVMLSNIYAAAGMWGQVNQIRGQMKERGVKKQPGYSWTEIANEVHMFVGGDASHPEMAKIISELRKMNFHMKM >KQL01876 pep chromosome:Setaria_italica_v2.0:VI:27441334:27448549:-1 gene:SETIT_013118mg transcript:KQL01876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVDFSVLGALQKVRSFVAGPTPAEAAAAAAADGRPPHATPGSRSGGPSPADSPPPAAVRSGGRRAIALRRQISSPQLLRCRAVRQGDDEDDYEPGVQFFTTGNDFLHDFSDTDSVSVSTPNGISQSLTPSPLESPTWMVKQNDSPPRSRKNGRFSPDSPGYGTKASLGSDGPLGQMNDSVTDSSGEGSKTQNPVDFGANIWCPPPPEDEGDDIESSLFGFDEEDVADSSALLVPGSFSANKIAGVDEVTNIAQKEGLKIAVLGHFRALVAQLLKAEGIDPGNDDGFKNWLDIVSSLTWQAASYVRPDTKKGGSMDPTDYVKVKCIASGDPIDSNFVKGVVCSKNVKHKRMVSEHRNAKLLILGGALEYHRVPNKLASINRILEQEKEHMKMVVGKIESRRPNVVLVEKSVSSSAQELFPKDISLVLNVKRPLLDRISRCTGAQIASSVDSISSARLGHCELFKVQKVIEFSSGKQTNRLSKTLMFFEGCPWRLGCTVLLRGSCREELKKIKRAVQLAVFAAYHLSLETSFFADEGATLPKFPSRPVVVEPDMRDSTDNNSAATATVGIPHGRKPEQDKLSQATMVNMMFENISVSPSSLPSHEEAHGLVGDSEHTETEYSVDHLNSCEPCLSRANDSCNGHETSLCSLDHDLRMQPQYLHNSAKLIAKVHHDELPARKYQQVDHWNSKLCDEYHSADQHDLNEFSGEYFPGTDNHQSILVSLSSTCIPKGLVCERSQLFRIKFYGSFDKPLGRYLREDLFDQAYRCQACKEPSESHIRCYTHQHGSLTISVSRLRSRKLPGERDGRIWMWHRCLKCEPKDGVPPATRRIIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRFYGYGNMVAFFRYSPVDILSVNLPPSVLDFNCHSPQEWLKRVAIEIFGKMESLHVEVSEFLHRTEKNIETEDEPVKEGVQRQIIEMKDFLKMERNEYEILLLPVIRESSHPMQTSIDILELNRLRRGLLLDAYIWDRRLCHLDSLLKTHGHVSKTSSDNLDILLYTRLKEWKANFLRGDIEIGKSLGSPRKSLLSREVHLNDNECSVADTNLQTCLVGHPVDGAEDLDKVYSKFNGREKRSVTEPTNGMEPVERLPSLASMFSDNIDLAWTGSSDLQYDLPQAFTKIDENGSFNLDSPNYKNVVTPVRIHSFSSTLGLRQRERTGLAPTSLHLSSFKSAEFFGDMTSILKDPMPNMRRACSQRSPGVIEKLNVVLARTPTYISSASNIIDDGARLLLPQIGYEDNVVIAVYDDEPTSIVSYAMTSEEYVRQVTRRLNSNLSFSHLQSTTEFSSHGLEGFSPSQEDHLDSKGTHFKFSFDDESPISPDKTKFSVVCYFERHFAALRKKCCPNDTDYIRSLSRCKRWNAQGGKSNVYFAKTMDERFIIKQVTRTELESFVEFAPQYFKYLMESLTSGSPTCLAKIVGLYQVSVKSLKAGKEVKMDLMVMENIFFERKISRVYDLKGSLRSRYTSGDSKVLLDSNLIEALHTKPIFLGSKAKRRLERAVWNDTSFLALADVMDYSLLVGIDEEKKELVIGIIDYLRQYTWDKQLETWVKASGILGGPKNESPTVISPMQYKKRFRKAMSKYFLTVPDQWSS >KQL01525 pep chromosome:Setaria_italica_v2.0:VI:21138047:21138401:1 gene:SETIT_014839mg transcript:KQL01525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLESEALPQQQGQKRSKNRRDRRIETRIESIDLPCKVHGKSINHSSAEA >KQL00117 pep chromosome:Setaria_italica_v2.0:VI:44821:45986:-1 gene:SETIT_015623mg transcript:KQL00117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGIKFLLWFLLLSSSSFCFGSELDVTCLKTLQKSVIDPRGILKSSWNFSRFICGFTGVECWQPDEDDRFLSLSLSNLGLQGQFPQGLEYCTSLVSLDLSNNNFSGPIPLNITRQVTYLTFLDLSYNRFSGEIPIGICNMDLNVLNIQHNQLSGQIPRQFGEILRLTSLNVADNQLSGLIPSFLSKFPASNFAGNQGLCGPPLDDCGNANKACLGSRRINDEFIIGSAAGFVVGFVVAFYFPHMFIFSQRLHPYVYRIFFISWSAGAGLL >KQL02994 pep chromosome:Setaria_italica_v2.0:VI:35700456:35700833:-1 gene:SETIT_016038mg transcript:KQL02994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFESNPINLFLHVRPTNHPTFWQLVLEPVLIIVCVFCTFA >KQL01829 pep chromosome:Setaria_italica_v2.0:VI:26965443:26972560:1 gene:SETIT_013530mg transcript:KQL01829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKISGGSEEVGGAGGAYSYSALKRLDQIWTSICGPQVDSKVPEVVTRIQGPLADSNVGAGSEIFDLIVCGGTLGIFVATALSSKGLRVGIIERNIIKGREQEWNISRKELMEIVEIGILSEAELEQIISSDFNPNRCGFEDKGEIWVENILNLGISPAKLVETMKERFISSGGTIFEGKSLSSIYVYDDRAVLKLSDDDFLSCRLVVDAMGNFSPIVRQIRSGRKPDGMCLVVGACARGFEKNTTSDIIFSSSSVNRAGNSGVQLFWEAFPAGSGPNDRTTYMFTYVDPKIGGPKLEELLEVFWNLMPAYQDVVLENLDIRRVIFGIFPTYRDSPLPAAFDRILQVGDASGIQSPVSFGGFGSLTRHLGRLSNGIYEAVEGDFLDAHSLRLLNPYMPNLSASWLFQRAMSVQPDINVSPTFINELLFANFQSMQKLGDSVLRPFLQDVIQFGPLVKTLGLVMLTRPQLLPSIFKQVGLGVILDWSGHFLMLGFYTFLSTFIDPVTRSWVESLPPRDKYQWKQYLEAWRYGAGLDYRQGE >KQL01555 pep chromosome:Setaria_italica_v2.0:VI:21649888:21650175:-1 gene:SETIT_016006mg transcript:KQL01555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPISTFKLNTTRSVSSAKSLFGARAATRWAVPAAGARDGSS >KQL00174 pep chromosome:Setaria_italica_v2.0:VI:329900:331203:-1 gene:SETIT_015042mg transcript:KQL00174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQNFFIIVWRMKRMGIEGIFLPTPEHQRAAAAAHPRRSLSPSSRRRGRGLLPPSGRGSSSLPSGRGSSSPGAGARPPGAPVLPTGARSPQIRRPAASGSRRGGAASSPGAGAPPCRRPQLVLRGVSRGSHAPHLTRPRPRLLEVSCSDLEDCGAFTVLRCSSWIGKCGVQALSEYCGRHLCRTQYLKPDVWRG >KQL01650 pep chromosome:Setaria_italica_v2.0:VI:24138544:24138795:1 gene:SETIT_015472mg transcript:KQL01650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAVKIAAIFMLVLTMGHLMAEASPQPRRLLAETEHAAVSGNPGLPVSPLACKPECTVPWPPFVCIC >KQL01976 pep chromosome:Setaria_italica_v2.0:VI:28595342:28597492:1 gene:SETIT_014244mg transcript:KQL01976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTTALLLAVVSPSAGLSLDTVREFLTREEDAIVFSLIERAKYPLNRPAYDPIHLGDGAGPGRHLNASFAELFIRESEAVQSKAGRYQSLQEIPFFAYRVPFTLAPPYNFTRELYPAAAFINVNDAIWSMYFNELLPLLAKNGDDGNYASTVDSDLACLQVLSRRINYGRYVAEVKFRGDQQTYTSLIQAKDRDALMKLLTSEAQEDVVKRRVEKKAIVFGQSITSDGPIETGVSNSSGTNFKVDPSVVYKLYDQWVIPLTKQVEVEYLLHRLD >KQL02662 pep chromosome:Setaria_italica_v2.0:VI:33841534:33843411:1 gene:SETIT_013461mg transcript:KQL02662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTCLSCGERAVIPDPDSGVLVCTSCGVIHDGGSSEFVHQATFTDSGGLDLRVSSLVRNSSDSAYRDQKLAGASAAITSIATRLGLSFTRAEEALRMAKSATGGELATPGSAFLPALAAACALLVARSHRLPLSLAEAAEAAFCSAPALADLVSRVAAQLSLPPLPCFDYAAALDRAVHLSPSLTAAAGEKTEAILSQARFLLRCASKWSLTTGRYPLPLIAALVAFSAEVNGVTSLSVEDIAQDISAGIRTTLRRYKELVDALVHVARQLLPWGADVNAKNLLLNAQVLLRLMEMRSQSDPSEEFLESFAPNIAGIVRAYSSVDDDESKYLQIAPVGADEFDFDNFVPEEKEFEDQKITEKGLSDAYQNVLERLAQLKKHGKVSKGADRRKRWKGGLELEPWMDSVDDGWKKDMLLEDVVDIDIGYDAPPPSFTAGMELKKQRRARIEAAKLRIDAIRKAPAAPAASANHSQPGVRNGDACPPDACPPQKSARKKRGGKKMDDIDRIILGDDLVEMPDSPDGRKRRKRGSCDGIDWEDCIIELLLLHGAKEAEIEQGQYRRLLELHVFSAVSGGKLKNGDAASQVFSI >KQL02579 pep chromosome:Setaria_italica_v2.0:VI:33354271:33354832:-1 gene:SETIT_015603mg transcript:KQL02579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSVVRMGPCGGGGGGARDVDTRGVDRVVKVVVRHGDTVDAVSVLYDRGGRQEWTDLWGGPGGGLAEICLRPDEHLTSVEGHCGEFEGNFVVRSLTFVSNRRAYGPYGRPDGVPFALPAAGGRIVGFHARSGRHLDAIGTYVKVERQPR >KQL01070 pep chromosome:Setaria_italica_v2.0:VI:7875314:7875579:1 gene:SETIT_015748mg transcript:KQL01070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVTSVECYMKEHNVSAGVALAKIGSFVDDAWKTLNHALFEHRFHMMIFFLDQRDGYTNSKGLKETLESQFVKHIPL >KQL00865 pep chromosome:Setaria_italica_v2.0:VI:5768573:5771175:-1 gene:SETIT_014390mg transcript:KQL00865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLWGTASGPPAAEGEAARAPPGSSGGGAGGVKVIRSLLPTRRRLRLDPPAKLYFPYEPGKQVRSAVRIKNISKSHVAFKFQTTAPKSCFMRPPGGILAPGESIIATVFKFVEHPENNEKPLEQKCKVKFKIVSLKVKGPMEYVPELFDEQKDQVAVEQILRVVFLDAERQSPQMDKLKRQLAEAEAALEARKKPPEDNGPRIVGEGLVIDEWKERRERYLARQQIEGVDSV >KQL00293 pep chromosome:Setaria_italica_v2.0:VI:1116633:1119877:1 gene:SETIT_015650mg transcript:KQL00293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGDSAVPNWVMLERWAFRRDDPASFREDRRTFASGTTSAGTQFDVSFILAEPPTPSRLYLSWPEGPKKGGQGLVMAANRNLVLFRLDSLVDESEPFGEVVHDYFIYIADPSSQQTPLLRRLPPCTEYNVYFEMQDTRAFPVLAVGSRKKKSAMQAELCVLRSSLSCSDDAKWETKVFPLQYQYDDLYYDFLNWEVDGVVPFKNALCWVNYCRGILFCDGVFEDRPKVSYIRLPLDTSFRGTGTDADALKGMYRGLCVTEGGHRLVFVDVARHDGKCYGPRMPNTGFTLTSRTLKMTGNCTMPWEWNEDVVVTSDELWHANTMESLPHDIVMLPLLSMDKANVAHLSLLDWDSGRFSLVSIDLSNMQVMGSVITYLEGEDDTADADIVKAKKELCAHFIPSEFPKFLDLRKRENHP >KQL02313 pep chromosome:Setaria_italica_v2.0:VI:31679469:31680032:-1 gene:SETIT_015180mg transcript:KQL02313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLGEHCTATASASDSAEPLHDFQFICEALARSLSSRAMQSYQVEHLHSAEAVDDAINREGESGRLVVVRFGRGGHGDCVRFDDALAAAAERVGPGVAAMYAVDIEEVRDFNAMYELTEPCTVMFFYGYRHVNVRGLRGRDGIDWAACTGGEFAGLVLAVHERAKAGRRLVIVD >KQL03018 pep chromosome:Setaria_italica_v2.0:VI:35803121:35805865:-1 gene:SETIT_015523mg transcript:KQL03018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRLISPAAACRGCGFVNAGFLGAFSSFHYQSLPSCSSKRSSSVKNCFSYRTGLRKEGSRWIRSEPFLSVNSTKFVGKRTSCSVATEPPPAATEEPEMDAPKEIFLKDYKKPDYLFDTVDLQFQLGEDKTIVTSNIVVSPGVEGISAPLVLHGCDLKLLSIKGSTMHRVPSEAFVGHVQTISAGVG >KQL01266 pep chromosome:Setaria_italica_v2.0:VI:12753514:12754506:-1 gene:SETIT_015223mg transcript:KQL01266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSVRRRKRRRVGGHWNSSPSPPESRDWAALPSDVLWDVFRRLRIADILCGAGQVCVAWRRFSIDERSLWRCIDLAGWNKRRRTAMGRVALERSAGQCEAFSGHDAGQFLCRIAASAPSLRSLHVRPFRRFSIHRPSAHLISRVIVKLPLLEELVLSRLILPNPDALLVALLDYCSRLQVLDLGRCVTFSPTATEVRKRCRRRTIKHLTLPRSSVSFTEFPCSSCCYCNLSSGRA >KQL01594 pep chromosome:Setaria_italica_v2.0:VI:22595892:22598292:1 gene:SETIT_013707mg transcript:KQL01594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSHAVDIPSLAQQLREELAAADAPPPRLPGGCPIVIAVVGELTRNVDPAEYVPHHVCIGPNHRSRSPPLARDDEKLRNLRDVMSVASAGVTLEDYLGEVARIEWQARSCYDRTFEQMSSADFVRMLLLDACYLLVRFGHIAGRRGNGGGAAGAAPSVGGGDMMEAVAVVRDALYLAENQIPFFVVDKVHRLTVPDAGVPATDAIAGYVRELLRGQQYSVATPVVAAPPGPGNLLHLLHMHLTPTALSPHTTGSRATGGKRQFGRWRTAAEYHCAGVGFRARPLGGIGGARSILDVKLNRRGSTLEIPRLNVDAETWRLLRNLMALEQSNPAAAGSHVTAYCVFVSQLACTPRDVELLSRRGVISHGLGGHDEVAGLLAGLCKGVAFRPDDPVGNYLHATWQAMEGRFRSRPRRWAAWLMLKYFTNPWLAVGLAAAAVGLLCTVVQAVYAVLSYTPAT >KQL01981 pep chromosome:Setaria_italica_v2.0:VI:28705975:28709431:-1 gene:SETIT_013480mg transcript:KQL01981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKGEQRKATAADGALRRPLAPSEKNNAAAPAGRRREVPSRFKPVAPPAAPAARRCASPSPGRASAVDGSEVTCNRARSADRARPAPSSRLKPSALAAARSTSPARDAAAEAHGSGTPPRARNAKASDGLWASARSSSPSVRPEPVAAAAPAKKIDRRVHGLPSELTKLRSGAAVERKRSPLRGRTNNISDQCDNARPLESPASRVIEQNRWPGMMTGRSSAGLTSTSAAPAEKATRSVSSRDASAGRSPRRTHPSEGTGKSLKRPSNEVAKIVHRRRKDKADSSSDTSSQTSESSKSNCRLSKAVSSPVPVLHRSSSPRQGLAAAASTSRSCQSPSRMRPSAPCQSKCAPSDAQSGVEQPVFNYIVDARKGKKNAGQIENIHQLRLLNNRYLQWRLVNAHSEDTLSQKNGAENILYSVWKSILTLRDALTRTRINVQHRQQELNLYSILTEQIGYLEQWPVLEEESTGIVAEAIEALQASTLCLPVTSGAQADGVVVRNAISSAVDVMQALSSSIFYLQSKVEDRTSLVSELSVTARQEKVALDQCKELLAKAAKLQETSLRTHLMQLREGSAG >KQL02299 pep chromosome:Setaria_italica_v2.0:VI:31581049:31584240:-1 gene:SETIT_014455mg transcript:KQL02299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSVDAPAVVAEEVTDNMLGGKKVTVVFVLGGPGSGKGTQCTNIVEHFGFTHLSAGDLLRAEIKSGSENGTMIETMIKEGKIVPSEVTIKLLQEAMIKSENDKFLIDGFPRNEENRSAFENVTKISPAFVLFFDCTEEEMEKRLLGRNQGRVDDNIETIRKRFKVFVESSLPVIEYYSSKDKVKKIDAAKPIPEVFEDVTAIFAPYAPKV >KQL00483 pep chromosome:Setaria_italica_v2.0:VI:2416210:2418131:1 gene:SETIT_013963mg transcript:KQL00483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCCFMCGTDMKQKVDGEGGNKVKVFSYSEMRKATHSFSGANKIGEGGFGSVFRGRLKDGTIVAVKVLSADSRQGIKEFVTELTAISDIVHENLITLVGCCAEGSHRILVYNYLENNSLAYTLLGSGRSNIRFNWRARVKIAVGVARGLAYLHEEIRPPIIHRDIKASNILLEKDLTPRISDFGLARLLPPNATHVSTGVAGTIGYLAPEYAVRGQVTKKSDIYSFGVLLLEIVTGRCNHNARLPKGDQFLLERTWTYYEQGKLEEIIDIDIGDDLDVEEACRFLKVGLLCTQDAMKLRPNMTNIVHMLTGEKGVSMDRVKKPAVIGDLDLNGNNEQRPTDADSTTMRSFATTEPLTSSEANTETSL >KQL02831 pep chromosome:Setaria_italica_v2.0:VI:34846534:34848517:1 gene:SETIT_014073mg transcript:KQL02831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSAVASTAAPAAASTSLSSVNRRRSSPAYRIPAPLPRPLPCRLLSSSAPSHSPLRPVFAMASYPAAAGEAASRKKLIIFDAEEDLAASLAKRTAELSAKFAAERGAFTVVLSGGSLVKALRKLAEPPYLEAVDWSRWHVFWVDERVVPKDHADSNYKLASDEFLSKVPIPADQVYAINDTLSAEGAAEDYETRLRQLVKDGVIGMSPVTGFPKFDLMLMGMGPDGHVASLFPGHPVVHENQKWVTFVKDSPKPPPERITFTLPVINSSAHIALVVTGAGKAGAIHKALSEQQNTSDLLPVEMVSLQDGELTWFTDKPAVSMLSSI >KQL00713 pep chromosome:Setaria_italica_v2.0:VI:4243772:4245391:1 gene:SETIT_014199mg transcript:KQL00713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLDLGTSSYLNHHQHLHLHHDDGGAAGSDDGQDSLSPGSGGGGPPSTAGGAGIGGGEVVARRPRGRPPGSKNKPKPPVIITRESANALRAHILEVAAGCDVFEALTAYARRRQRGVCVLSAAGTVANVTLRQPQSSQTGPASPAVATLHGRFEILSLAGSFLPPPAPPGATSLAAFLAGGQGQVVGGSVAGALIAAGPVVVVAASFSNVAYERLPLEEGEEVPPPAPPGSDQPGVPFGGDPAAAAAAGGLPFFNLPMGMPPMPMDGNGGWPGAPGGGVGRPPFS >KQL01866 pep chromosome:Setaria_italica_v2.0:VI:27311703:27312324:-1 gene:SETIT_016053mg transcript:KQL01866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPINPKPQHHLSAHGQHPPPRQIRPAAHNSSQKLAIPPGGLRFRPAAHDSGQQLANPATPLPPPIARDYGQKLQCLRQSLLLAA >KQL02657 pep chromosome:Setaria_italica_v2.0:VI:33829406:33831849:-1 gene:SETIT_014658mg transcript:KQL02657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDPDAVAKAFVEHYYRTFDTNRAALVGLYQDTSMLTFEGQKFQGPAAIAGKLGSLPFQHCEHQIVTVDCQPSGPQGGMLVFVSGSIRTGPEEHPIKFSQAFHLLPAGGSFYVQNDMFRLNYG >KQL01264 pep chromosome:Setaria_italica_v2.0:VI:12683919:12684438:1 gene:SETIT_014728mg transcript:KQL01264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLGGAAFGQVTVGASNQLASHVRAGNLYDEMLLKSANAAALWRAQSTRADSLQCSAAVQGMVFACCSAAAGVPSAFIVDLIVIFSIQIDPPLEN >KQL01840 pep chromosome:Setaria_italica_v2.0:VI:27018900:27023819:1 gene:SETIT_013592mg transcript:KQL01840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATVPLRQLFVDGEWRAPAQGRRLPVINPATEAQIGEIPAGTAEDVEAAVAAARAALRRNRGRDWARAPGAVRAKYLRAIAAKIIERKPELAKLEALDCGKPYDEAAWDMDDVAGCFEYFADQAEALDKRQNSPVTLPMETFKCHLRREPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELSSVTCLELGDVCKEVGLPSGVLNIVTGLGPDAGAPLAAHPDVDKVSFTGSFETGKKIMAAAAPMVKPVTLELGGKSPIVVFDDVDIEKAVEWTLFGCFWTNGQICSATSRLLVHTKIAKEFKERMVAWSKNIKVSDPLEDGCRLGPVVSEGQYEKIKKFISNAKSEGATILTGGVRPAHLEKGYYLEPTIITDVSTSMEIWREEVFGPVLCVYEFSTEDEAIELSNDTHYGLAGAVISNDRERCQRLAEVCTSEGFYSIWRVT >KQL01841 pep chromosome:Setaria_italica_v2.0:VI:27018900:27024547:1 gene:SETIT_013592mg transcript:KQL01841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPATVPLRQLFVDGEWRAPAQGRRLPVINPATEAQIGEIPAGTAEDVEAAVAAARAALRRNRGRDWARAPGAVRAKYLRAIAAKIIERKPELAKLEALDCGKPYDEAAWDMDDVAGCFEYFADQAEALDKRQNSPVTLPMETFKCHLRREPIGVVGLITPWNYPLLMATWKVAPALAAGCTAVLKPSELSSVTCLELGDVCKEVGLPSGVLNIVTGLGPDAGAPLAAHPDVDKVSFTGSFETGKKIMAAAAPMVKPVTLELGGKSPIVVFDDVDIEKAVEWTLFGCFWTNGQICSATSRLLVHTKIAKEFKERMVAWSKNIKVSDPLEDGCRLGPVVSEGQYEKIKKFISNAKSEGATILTGGVRPAHLEKGYYLEPTIITDVSTSMEIWREEVFGPVLCVYEFSTEDEAIELSNDTHYGLAGAVISNDRERCQRLAEEIDAGCIWVNCSQPCFFHAPWGGNKRSGFGRELGEGGIDNYLNIKQVTEYLSDEPWGWYPAPAKM >KQL00535 pep chromosome:Setaria_italica_v2.0:VI:2840851:2841153:-1 gene:SETIT_015853mg transcript:KQL00535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRKGKCRKREPIYESKCRLPIRLSTIQKHLNLEHLGSTVLK >KQL01376 pep chromosome:Setaria_italica_v2.0:VI:18104165:18106118:1 gene:SETIT_015275mg transcript:KQL01376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLSIRGQPVTGPCVSDGWRARVAAFLGREVEEQGTRTSGVLISWLREHFGHCPQDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWMHCLTDWHQAGFYSWGSAVLCFLYRQLCEACRRTSGSPSVGGCVYLLQLWMWSRIPVGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSPYDGDDPLPFPLSFMCATDDDIYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSIELHNVDRKKKRKVSEWASFHHAYIQEWELFEENVDENNEPHTNSATRHRLREAWTQDDYAEIQSSDDEDTVYDQSTRAGRQVEAGPILDRMGRTLQTSVRDIEHIRPRVRDPEVRSVLERLSNRLRRAAARCGCRTATTRDVHVPALRVPGIGTSSQGPSGSKSIASEEVDDDDDDDDDEQRAEEIGPSQLQEAPLTQPTQVVGGTRLRRPRSPYTPGTDALGHKGKGKTRRQ >KQL00570 pep chromosome:Setaria_italica_v2.0:VI:3087323:3087694:1 gene:SETIT_015017mg transcript:KQL00570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPGTTAMFAGLAILLFSVSAGFNSGADGFGLLLCFAGVLAGANIVAVGILAPVVPAVLAAARALAEFIRRNLAVVGLVMASCAVTAISGEAGQVLCFGMFALLLLGLSLISVGILGLSQMH >KQL02103 pep chromosome:Setaria_italica_v2.0:VI:29975697:29977564:1 gene:SETIT_014125mg transcript:KQL02103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGERDAHGINASGGSKVAASIDSFSQLPFIRSAREKQQLQQPATTPGGGTAGIRLFGFDVPPDSAAAAMASSTGSKGEAFVKESPAAAAAETSRARAARAGREERRGAAGSRVPLLLLLRNFPTSQALGGHQNAHKRERQHAKRAQFQTAMAMHHGQYYYPHHHPAAVADPAHLYPAALAAYHHHRFAAAPPPHYPSWAAAGGRYYSGPGSISQPINGSPVATPPPPALWRVPTGGVGVGMPLATRRQEEATPPPLAVLGGEEQVVVGGSGSAPFSPSTSSSSSSASPHKRPAPPERKENFQNTENGKLNL >KQL02543 pep chromosome:Setaria_italica_v2.0:VI:33121266:33127342:1 gene:SETIT_013401mg transcript:KQL02543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVADASPPPTGGGGGGDRKRRHASPVLPPPPPGPPPPGPHKRHRREEGGGGFDRRRLGPVGGGGHEQDDRRYGNGHGGVGGRGGDGRYGNRAPDWSDSGRGGWNEGPGNGRREGLMSYKQFIQELEDDVSPVEAQSRYEEYKSEYITTQKKAYFDLHKDEDWLRNKYNPTNLEGVIERRNELARTTANEFFQDLQSGSLDTGPGLTGSAASKSGNNSSKNLVDADGKKGKLGKGSDDLYYAAPKAHPVSSEARRIRSDIEQAQALICKLDSEKGIENNVLSSSDHDKTDRDKSHGSMGPIVIIRGSSTVKGLEGAELLDTLVTYLWRIHGVDYYGMSETNEPKGLRHVKADARTYNGDSSNATEWENKLDSFWQDRIQGQDPLEILKAKEKIDAAATEVLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVQKHLKLKHADVVVELTSKVREDIYFENYMNDPKAPGGTPIMQQPAPRGKGRQRPPIESRLRDERGNRRFDTNVDSPPHDGSGENPDDAIYDSFGDPIMHGAFPPDIPAPPILMPVPGAGPLGPFIPAPPEVAMHMMRDQGGPPHFEPAGGPHPRKAGRGGGPPMRGPSPIFSAPPPTHMHDPRRIRSYQDLDAPEDEVTVMDYRSL >KQL02873 pep chromosome:Setaria_italica_v2.0:VI:35052993:35055456:-1 gene:SETIT_014637mg transcript:KQL02873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAGSALSFARPVKAVNTSSLSFSAARKGNAFLRLHSVPKRFAVCYAAKKDTVDKVCEIVKKQLAVPEGTEVCGASKFSDLGADSLDTVEIVMGLEEEFDISVEESSAQSIATVEDAAELIDKLVAEKSP >KQL00347 pep chromosome:Setaria_italica_v2.0:VI:1564688:1566001:1 gene:SETIT_015973mg transcript:KQL00347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVLMVEAMAQVGGLVMLQPEVGGSRDNFFFAGIDKVRFRKPVIAGDTLIMRMTLTKYQKRFGLAKMEGKAYVGGDLVCEGEFLLVSATE >KQL01183 pep chromosome:Setaria_italica_v2.0:VI:10252733:10253854:-1 gene:SETIT_015585mg transcript:KQL01183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKATVKKGPWSPEEDAMLKNYIEEHGTGGNWIALPHKIGLKRCGKSCRLRWLNYLRPNIKHGDFTPEEDSIICSLYISIGSRWSIIAAQLPGRTDNDVKNYWNTKLKKRLLGRRKDRDAHHRQSAAAATSEMSTDNMNDGERALSASAMERIQLCMQLQELQNPLGAHHSPMAWPGTLSNNSFNSNSSSVTVAEQGQSSSMNEHLMNAQLEGAAMDGLGSPSSAENSNVISMEAELEELLYGEGNRGKAGAVDGGVQQGDVDWWSYDQGKLPMGSWDFTPEANAVFQDYTSVYDI >KQL01692 pep chromosome:Setaria_italica_v2.0:VI:24921862:24923154:1 gene:SETIT_015783mg transcript:KQL01692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSAVPAAAASSHQQAPRLHITTTTTGTGTSTITTSPSSHHHHSPSSARSAPHSASSSPRGSSSNSGTAAGGGGGSGSTNQACAACKYQRRKCNQDCPLAPYFPADQQRRFLNAHRLFGVSNILKTLKRLRPELCADAMGTLIYQSDMRATDPVGGCYRLILSLERQLEIETAELSAVLHNLALYRQAAAAAAAAIPPQEAGGAMAADLDVTSSNQPLLLDAEQEVVDALYANHEADTAAILQTDGVHRHDHGDDSPQDHGEQQQQQQQLFDYFYYEATASDDASSKPTIDINLDNMQQFDFDDTCAAEKIDLAPAGPEEMGQPQHLDVNCQIDHKDYQIKAAALVDAFDMRQELQPAVDVNADVVVKAVDMNAGIGGVGIKAVDVNANVDVNVDLQEEDPNNIAAGDEAVQMAAESSHCRLGLGFSSF >KQL02635 pep chromosome:Setaria_italica_v2.0:VI:33654812:33656846:1 gene:SETIT_013433mg transcript:KQL02635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERQSASSSSSPDAGQERVMAAAKHIVKSLAVSKNAADDMMHFLSTFDPRLHPLSSPETSDETSGRGGDERHGSGGDEEEEEEIAAAEEVIRRCNSSSSSSEMIGMTDYLYAVDDAIAAAGHSARAAAAVHAAMPRLEEEVRSLLSSSLRRLSLSSDDIDEVTPSASPRHGTLSPDATASVRVVADRMLRAGYGPELAQVYVSVRRDALAESVELLGVEAVAIEEVIRMEWSVLDQKMRRWSHAVRTVVRTFLAGERLLCDEVFESDEELGHECFADVARGSVLQLLGFADAVTVSARATEKLYRTLGMFEALTDVQPELEALFSDDAAREFFSGEVSSTVEQLGSTLRHTIEEFGHAIHGESSRKAVHGGEIHPMTRYVLNYCGLLADYRGTLDAVLGDAGLDDDAEASSDGGAAAAAATPSAHCIRELLTLLLQKMDDKSRLYDHAGLRNIFLMNNLHYVVQKVRESPPLRELLGDDWLRRHRGQIRQYETGYLRASWMAVLSTHLRRDDGAAARPPAGHRAPVGPSAKSFNAAFQELYRSQTAWKVTDPQLREELRIAVSERLIPAYRAFLGQGSRFPARHVKCSLEDLEDYMLDFFEGVPKFVKW >KQL01338 pep chromosome:Setaria_italica_v2.0:VI:16514968:16516755:1 gene:SETIT_013726mg transcript:KQL01338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTGTLWTASAHIITAVIGSGVLSLAWGVAQLGWAAGPAVMALFAAVIYYTSTLLAECYRSGDPMFGPRNRTYIDAVRATLGESKERLCGAIQLSNLFGIGIGVSIAASVSMQAIRRAGCFHYKGHDDPCHASASPYIAIFGVMQIVFSQIPDLDKVWWLSVVAAIMSFSYSTIGVSLGVAEIAANGRLRGSLAGVIGAGARVTLMQKVWRSLQAFGNIAFAYGFSIILLEIQDTIKLPPPSEAKVMKKATAVSVVVTTVIYLLCGCVGYAAFGRTAPDNMLTGFGFYEPFWLLDVANAFVVVHLVGTYQVMTQPVFAYVERRAAAAWPGSALVRERDVRVGRTMAFSVSPIRLAWRTAYVCVTTAVAMLLPFFGSVVGFIGAVSFWPLTVYFPVEMYIAQRRVPRRSTRWLLLHALSAGCLVVSIAAAAGSIAGVVEELKAHNPFCWSC >KQL01979 pep chromosome:Setaria_italica_v2.0:VI:28663264:28667562:1 gene:SETIT_013157mg transcript:KQL01979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLCHPLPSYLLLLTVILATSRHGASLCHQDQSATLIRLKASFHFDATPSTTCPWFPPEETILSSWKVDTDCCTWEGVTCDGTSGYVTSLDLSYLCISGNLSSPDIFKLTSLRSLDLSHNNFDGSPWPSPGLEQLTNLRYLNLSYSGLSGNVPVEKGQLFNLVTLHLSGLDLKYLSLKILIDNLVGLQNLYLYDVNISMSPTDLAHGSSTNTTTGLKELSIGGWTITGGRSDTVFTNLLLHPKLANLVTLELSYLDLTNSSLNTLIESLGNLQGLYLYTVNISVSPTDLAHASSTNTTSGLKELSMQWCMITGGRFDTLLTKLPFLSNLVTLDLSVFDLQNLSLHALIDNLGNLQKLYLDSVNISVSPTDLVHASSTNMMPGLEELSMTRGPAPVPERFVEFSSLAVLSLRSCGLTRTTFPSWIFHIKSLMYLDASGNENLCGELPEFIQGSVLQALIISGTTFSGRIPESIGNLRNLTMLDLSNCQFHGPIPPFAQWPKIQMVDLSGNNLNGSLPSDGYLSLHNLTEVTLGNNSISGVIPASLFSHPSLKYLDLSQNNFTGNFLLYPTVSSSFRWIDLSFNKLQGPLPKLLSKFVELEWLDVSSNNLTGSTRIYDLDLSNNNLGGHIPDWIWGIGVISLNLSHNLFTSINTNLSNTSISDLDLHSNKIEGALPLPPLGTYRLDYSNNHFNYSIMPEFWSHVSSADSLSLANNSFTGEISHLICNATDIEVLDLSFNSFSGLIPPCLLEQNKHLEILNLRGNNFHGSLPQYISEECALQIIDFNGNKLEGKLPVSIINCHMLQVLDLGNNFIVDTYPEWLGVLPLLKVLVLKSNGFHGPIDYYGMNKQTHTFFPELQVLDLSSNSFNGTIPARFLKQFKAMMVVSSGAPSMYVGIIETTSALASSYHPYYKESITVTLKGQETTLVQILSVFMYIDLSNNNFEGVIPDEIGDLKLLKQLNLSRNSFTGVIPPRIANMLQLESLDLSYNQLSGEIPPAMAAMSFLEVLNLSYNHLSGQIPQSSQFLTFPTTSFLGNDGLCGKPLIRSCDINHAPSAPATPGSSKELNWEILSVEVGVISGLAIVVATMLLWGNGRRIS >KQL00274 pep chromosome:Setaria_italica_v2.0:VI:938583:941252:-1 gene:SETIT_014357mg transcript:KQL00274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTSMFFLCLAMVHVAAGTVHEHSQCIDNPPDLSLRGVEAGKVMDGLPRGFRAYVTGPSHSKQAIVLASDVYGHYYVVVPDFFHGDPYNDSRILSEWLKSHSPVEAAQDAKALFAALKKDRKSIGVGGYCWGGKVAAEIAKTEDVEVVVLSHPSLLTNDDIKEVKWPIEILGAQNDTITPPEQVLQFKQILKERMEIPYFVKIFPKVAHGFACRYNTTDPFAVKSAEKALAYMLDWFHKYLK >KQL00645 pep chromosome:Setaria_italica_v2.0:VI:3726818:3729248:1 gene:SETIT_013362mg transcript:KQL00645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHKFGPKLYDKLIENMKEHLEEMRTCVEAAQGGLFLEELERKWNDHNKALTMIRDILMYMDRTYIPTNKKTPVFDLGLELWRDTIVRSPMIQGRLLDTLLELIHRERTGEVINRCLMRTTTKMLMNLGSSVYQDDFERPFLEVSASFYSGESQQFIDCYSCGDYLKLAERRLSEESERVSQYMDVKTNEKITAVVVKEMLANHMQRLILMENSGLVNMLVEDRYEDLTRMYTLFNHVPDGLTTIRSVMMSHIKDTGKSLVTDPERLKDPVDFVQRLLNEKDKYDNIINVSFNSDKSFMNALNSSFEHFINLNNRSPEFISLFVDDKLRKGVKEANEEDLETVLDKVMMLFRYLQEKDLFEKYYKQHLAKRLLSGKATSDDSERSMLVKLKTECGYQFTSKLEGMFTDLKTSHDTTQGFYASTSDLADYPTISVQILTTGSWPTQPCNTCNLPPEIVAVSERFRAYYLGTHNGRRLTWQTNMGNADIKATFGNGSKHELNVSTYQMCVLMLFNSSDVLTYREIEQSTAIPAADLKRCLQSLALVKGKQVLRKEPMSRDIADDDSFSVNDKFTSKLFKVKIGTVVAQKETDPEKLETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNSIMTEVTKQLQPRFLPNPVVIKKRIESLIEREFLERDKTDRKMYRYLA >KQL00307 pep chromosome:Setaria_italica_v2.0:VI:1228175:1228842:-1 gene:SETIT_014635mg transcript:KQL00307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAASSCSSSCLLVFTTFCPFSAPKSQQQISPSSPTPYLSRRPSTSSRTYQFESEAQVARMAAAACCGLEEETVVGEQKAPGACPRCGGAVVATDVESARRVLCCLPLCVKNKRKFSCARCRRSLAALYVHA >KQL00424 pep chromosome:Setaria_italica_v2.0:VI:1976899:1977289:-1 gene:SETIT_015172mg transcript:KQL00424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTTKAAARVAVLLLLLIVVAQESSVAPAAEARVCRQRSAGFRGACFSDHNCAQVCLQEGWGGGNCEGFRRRCKCSRQC >KQL00671 pep chromosome:Setaria_italica_v2.0:VI:3896511:3897045:1 gene:SETIT_014687mg transcript:KQL00671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSALARYSCLVRLQGEEATSRHEDGRARAPPAHSPSSTARPREQEFMAARAEARGLVGGVEPHQVEAVRAEEEEEERGVDAEQRRVRGREATAATATTYTDAAGGKQSRRLCS >KQL00252 pep chromosome:Setaria_italica_v2.0:VI:799165:800708:-1 gene:SETIT_013685mg transcript:KQL00252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGATGGHLVRVVSRRTVRPLTTVKVNGSHPLKDEEVEVIHLTPLDLRLIRTDYIQKGILLPNPPLSGDVLADALESSFALALRRFYPFAGRLASDERGDGTVTVSLRCTGEGAEFVHATAPGVAAADIVSSVHTPPVVSEFHSFDPVLGPDAAAIEDLPLLSVQVTELADGVFVGVTLNHAVADGTAFWHFLNTWSEIHRRSGGGGDGDLSTPPPLLRRWLVETWPVPIPLPFPKLEHIVRPQFDGTTVQECFLTFSTASVEKLTARANDEIAGTAAAISSLQAVLAHLWRAVCRARRLPPEQRTSYSVVVGCRGRVNGMPPGYVGNAMVFGKAEATAGEIEANGLGWTAWLLNRAEASFDEGDVRKSMERWARQPEFMFMTDLSSAGSALATGSSPWLDVFGNDFGWGRPVAVRSGAGNKADGKAAVYEGPEPGGSMSLELCVAPNALERLVADGEFMDAVSLPA >KQL02425 pep chromosome:Setaria_italica_v2.0:VI:32439133:32441947:1 gene:SETIT_013950mg transcript:KQL02425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGSPVSKDLNLPVQPPMTSSGLLRYRSAPSTLLGEVCEDFLQPGPRAGSPDAGAADVFSRFLADHQIRDKPHTHFPDTAALASQQQQQQQMMFHSQQQQQQMAAVESGLYRTVSSGMEAPTAGAGAGGSSLIRQSSSPAGFLNHLNMDNGYGGMLRAGMGVGFRNGASAAAAADSTAGGRLKGQLSFSSRQGSLMSQISEMGSEELGGSSPEGAGGGRGYIPGYPMSSGWEDSSSLMSESLSGMKRPRDSSEPGQSGLTQQFSLPKTSSEMAAIEKFLQFQDAVPCKIRAKRGCATHPRSIAERVRRTKISERIRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQKQVKVLNESRANCTCSASKNPQYSG >KQL01688 pep chromosome:Setaria_italica_v2.0:VI:24844982:24847067:1 gene:SETIT_013661mg transcript:KQL01688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEARAAWQRAANRCLVQEDRKRAPKLACYPSSAEQQHGTNNGSCRHSEDHPISNFMPLSWNPMNSNLPPDVRWWVQLQPSFGIQKDLASERQCCLSRKIDEKKVEDSAPKPKHEETLLCEAADTSTEKSGDIFEPPWMVSSAFMKYSPETGLEELKTVGRYSQASKCSETASNCLYNDNEFPDFECIDPAPLKNPEKANFDMDVPWKEGEKTQPWWQIADENELALLVAEKAMQHIENCDLPRPTQTVPVHRTESYTRKNIGDYGGPSSPAGRVSHPVPGQCDHVKCSYSTGSTDELDLFKGNGVWEEHGRNDPFSVSQDFSSSSTTGSESKQTLQNVSERDKILEALRHSQTRAREAELAAKKADNEKDDIIKLLFRQASHLFACNQWLKIMQLENIVLQLKHKEHSIASIIPELPWMSLKEKPAQGQEQKDWTRRKGRRQKKGGGFFDAILFAVGLGLAGAGFLLGWTLGWLLPQL >KQL02012 pep chromosome:Setaria_italica_v2.0:VI:29064353:29065125:1 gene:SETIT_014529mg transcript:KQL02012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLIAFALLILACSYWKLSGYLEGGAGRGDEDGSGADGAKPAASDLPPPIWEEKILVIMAGDVKPTYLATPMSSRASSFGDRSNKGDDEAEKKVQEVAMASIKDAEQNGEHSESRREREEHHIPEV >KQL02946 pep chromosome:Setaria_italica_v2.0:VI:35441573:35444910:-1 gene:SETIT_015247mg transcript:KQL02946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RPIPPVELAVAMCRPPGARQGLSFATFSHQAVDFILASLKNILSSEREKELVGEIINGLVSRLMKRMCAVPENAGTSDSDAQISVQHLFRKLGNEEFIGQRIILAVSQKISNASERLLLVDPFDDVFPDMHGNIFIMIQLIEFLISDYMKDWLCWQHFDKRLFEECVRSILKARNDLQILENMNGLYVVYIERVVGRLAREVAPAALQGKLDLEVFSKLF >KQL01062 pep chromosome:Setaria_italica_v2.0:VI:7799755:7802095:1 gene:SETIT_013491mg transcript:KQL01062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKGASSWLTAVKRAFRSPSKDADSTSPARKASRLRDDAPAPAADADDDKGKRERRRWLFRRSSSPSPSPAPPPAPDHPHPHTRPHSQPGAAAPAVTDEQRHAIALAVATAATAEAAVATAQAAAEVVRLTRPGTNHGGGFVREHYAAVAIQTAFRGYLARRALRALRGLVKLQALVRGHNVRKQANMTLRCMQALVRVQARVRDQRMRLSQDSMSLSMSAAAAAAGAAPCGSSKSSYSVDTSTFWDSKYTHDYADRRSVERSRDGSSFAADDWDDRPRTIEEIQALLQTRKDAALKRERALSYAFSHQLWRNPAPAAEEMDVDGQPRWAERWMASRASFDTSRSTIRGAAGAAPGRASMDHREPVKTLEIDTARPLSYSTPRRQAPSSSPMHRAHHGHGHSPVTPSPGKARPPIQVRSASPRVDRGGGGGGSYTPSLHSQRHAAAGVPNYMAATESAKARVRSQSAPRQRPATPERDRLSSGGGGGGGFGFGFGASAGAKKRLSFPALPAQQAAAVEAYAQSLRSPSFKSAAGRFSSEQRSTVSSSCAESLGGDVVVSPSSTTDLRRWLR >KQL00953 pep chromosome:Setaria_italica_v2.0:VI:6762801:6766317:1 gene:SETIT_013273mg transcript:KQL00953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDIMFDNQTKPCRSRVDSKSNPSSLKPKFGSSWGSQIVKGFTTDKKTKKTAAIASKKPPLATVENVNQTNQQIPYHSRVKRSLIGDFPCSPAGAQVHPHVFDCHNIRSPASHDLFLELDHLREQLRESKERELALQAELRQCRENPRVSELEKELDARKGEIDRLSRLNTSLEAEKTSLSEQLSALSSMVEQREENVRLDGHGNRVPILDGDNTSSSGNLEFEVVELRRLNKELQFQKRNLAIKLSSAESKLTGLEKNAESDIVAKVQAEASLLRHTNANLSKQVEGLQMSRLTEVEELAYLRWINSCLRHELCNSDQAARAMTDIDYNGGMVFNEFGSIEGDARNAEDNSDIKFSIAERIKQWSQNDKSCQASKKEALLDRAWVEAAEARSPTRRHSLGGPKGCAQDFNIVKRRQSDTFISLPDATDESFSCDKDPTIREKHDLLVDKYDFGRSESSRFVLGKSEVCKSQCLDVEKRVLRIPNPPPRPSVSVSNSGPSNGSTANPPRPPPPPPPPKFSSKSTGVMKRAPQVAELYHSLMRRDSKKDTSSGGVCEAANSANVRSSMIGEIENRSSHLQAIKADVETQGEFVKSLIKEVTNAAYKDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPERKADTLREAAFGYQDLKKLESEVSNYKDDPRLPCDIALKKMVTVSEKTERGVYNLLRTRDAMMRQCKEFNIPTDWMLDNNLISKIKFASVKLAKMYMKRVAMELQYMGPLNKDPALEYMLLQAVRFAFRMHQFAGGFDPETMDAFEELRNLVHVRNSTQ >KQL00620 pep chromosome:Setaria_italica_v2.0:VI:3515263:3516324:1 gene:SETIT_014871mg transcript:KQL00620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPVPAPSTGGVMHMTSEDGRRLCITGLSLADPAKARGQRVNVWAEVGHEKRAIGKLSPKEPSVAVPPVVLGGEFVLRHDLAVAAAVLLHVRVLGPLASSKIDGEAREEAVIVLGDNEEDDVVEMAEADAIDDAVGGMGMEYELLSEEDMAERYDSDNEARGRSRRRDSVALFAAPAGSAVPDGEFLGPARFAAVENAAAFMRVAAAEAADGDEGGKQIVVLYRYTRFSRARGGQRGVEACRRTKLHRLRFAVPAAGDMESSLALAGSSLDPLIYPGLFRRQLQDLWTSLAAPATNAIPTRAARLQVVVDAGILRREDYTPERMAHVRGALATRVLDAWPAYYHVGMELHLPK >KQL02640 pep chromosome:Setaria_italica_v2.0:VI:33689950:33695767:1 gene:SETIT_015069mg transcript:KQL02640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPEMAAGASSPAEGFEPLSKIAIHKATVELQPSAFVQATPSLLGDKGEDTDRVTVKYGRTKRSVDDWIAVFSPADFNSGKCPNPASYPGEPLLCTAPMKYQYANYSARYTNPGDGSIRFQLIDQRADFAFALFTGGLENARLVAVSKPVAFRNPKAPVFPRLAHGKIHDEIAVTWTSGYDIAPTYPFVERGAVGCGTQPSLAAARGTLTFNRGSMCGEPARTVGWRDPGFIHTAFMKGLWPNKEYYYKIGHELQDGSVVWGKPYTFRAPPSTGQNSLQRVIVFGDMGKAERDGSNEFANYQPGSLNTTDALVRDLDNYAHGYISQWDQFTAQVAPITATNCMIASTEDPSHMPSKSRDFSRKICACAVREILTHDLKPYAGNHERDWPNTGGFFDVKDSSGECGVDYGMFRFCITDSEHDWREGTEQYKFIKHCLLTVDRKHQPWLIFAAHRVLGYSSNARYAMKGSSKEPEDSESMQKLRIFRDRDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTIDRDYRDVLSCVHDSCFPTTLAT >KQL02646 pep chromosome:Setaria_italica_v2.0:VI:33721798:33725597:-1 gene:SETIT_013828mg transcript:KQL02646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLFKMLNRGVFDNINGCISTGKEANVYHATKTDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRVRAADIRCPKPLLLRLHVLVMEFIGKGGWAAPRLKDAALSDDKLRESYFEIITIMRTLYQKCKLVHGDLSEYNVLYFEGHLYIIDVSQSVDLDHPSALDFLKEDCLHVSDFFKKRGVPVMPVIDLFNFVVDQNIADEDVDAYLEKVQQKIVENGGAVPNDDEITPTVMVQTLDYMKQCEADIVNMSMMQRSSSGYEPPADKLYDQPLLGFVRTKNVHTEKQQDRLPQNTAEAHLDLQNKCALELQNRCSLENLAEGEEDDSESCSSSDEDDSWHEADPKLGPEERKAARKANKKKVKEEKREARKSKKPKAEKKKRKKLAKAKCKR >KQL01170 pep chromosome:Setaria_italica_v2.0:VI:10133705:10134832:1 gene:SETIT_015016mg transcript:KQL01170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPKAFLLAILGCAFLFSGALAARTLSDDSAMVARHEQWMAQYSRVYKDAAEKARRFEVFKANVKFIESFNAAGNRKFWLGVNQFADLTNDEFRATKTNKGFKPSPMKVPTGFRYENVSIDALPATIDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGKLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESGYPYTAADGKCKSGSNSAATIKSYEDVPANNEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKASDGTNYWLMKNSWGTTWGENGYLRMEKDISDKRGMCGLAMEPSYPTK >KQL02611 pep chromosome:Setaria_italica_v2.0:VI:33535316:33538369:-1 gene:SETIT_014208mg transcript:KQL02611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRAPAAALLVALALSVSISASAAAGADQVADGAAANGTGAGAARLDRRTKMFLHTARARGAAAGAQEPGLGLFDAFFASLSMIVVSEIGDETFIIAALMAMRHPKSTVLSGALSALFVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKASQKKEIEEVEEKLEAGQGKSTFRRVFSRFCTPIFLESFVLTFLAEWGDRSQIATIALATHKNAIGVATGATLGHTICTSIAVVGGSMLASKISQGTVATIGGLLFLGFSLSSYFYPPL >KQL02270 pep chromosome:Setaria_italica_v2.0:VI:31343038:31345916:1 gene:SETIT_0142201mg transcript:KQL02270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNLNNRTKLCKFCQCWLSPILSVGNLEEAAKAKEAGVDGIIIQGREAGGHVIGQEGLFPLLPRVVDLVSDSGIPVIAAGGIVDGRGYVAALALGAQGVCLGTRFVATEESFAHPIYKQKLIEVSHTDYTNVFGRARWPDAPQRVLETPFYAGSKNNLSDQETEESQPIIGHSIIHGVHKDIRRFAGTVPNATATGDIESMAMYAGQGVGLITEVIPAGDVVKRLVVEAKDVIREKLSDLK >KQL00411 pep chromosome:Setaria_italica_v2.0:VI:1906062:1908792:-1 gene:SETIT_015604mg transcript:KQL00411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSIDVVHLYWKDWGLHVLVLFSFTLQLTLLLLAEFRRRFDSGVLRAFIWSAYILADSIAIYTIGHLSATSQAAEHQAMALWAPLLLVHLGGQDNITAYAMEDNRLWLRHLQTLAVQATGAAYVLYVSLQEPAGDGRHRPLLRRAAILLFVVGVVKYGERVLALMRANSNPSGKSYRSIAIGMSHPCVVINQFMPSTTDTEALLQVAHGMLDVAKDLIKAPLPWVVVPSKPDKFRGDVLCRVAEMQLSLMHDVLYSKAEVIHTWYGLCVRVFSWVATACALFLFHLHLVGSSSRSSSSRKDTIVTYVLLAGAVVLETVSGLRIMLSTWTWHFLWNSFLLDDAFAALRRCIHAADYLPRTWSGSIGQHNLFQVCSSTAARPIVTKVAEWMGVEAWWNTFAYSHSARVSPPIKGLLVEQVLESVQIPKDSPSHIRNSRGRAALQRWASAHRDEGPSSRARAAAVPAWKGWLFLQTQDDDEQLGYWGRKLLLESVVDLEFVESILVWHIATDAYLCWYRRQQETQQGRKEEDNDLARAVQELSNYMLFLLAARPYMLPPPASRTGYASACLNLIRSAAGSSYIASADDLVRVVALEPPDRSRDFDRNVQVQDGVENSSVKKGSDICSCLIDEELKAAPAAAGMMLKLIAQVWLEMLCYAGAHCSAHSHAMQLSNGSELVTLAALLVRYCGPRLDVFCK >KQL01004 pep chromosome:Setaria_italica_v2.0:VI:7304540:7305000:-1 gene:SETIT_015146mg transcript:KQL01004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRQALGWSEGEVMRPESKPCSRLMRQTAGIFSVGGGLAFWVLCRLHYGPRITVPRSLRWASCGAVSVSATTALLVRLFSPECEPQNIAAFDIPELKPE >KQL02684 pep chromosome:Setaria_italica_v2.0:VI:33962627:33963103:1 gene:SETIT_016051mg transcript:KQL02684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSCNIPLNDVAVLVNYFLKLMLLAFYVNFCYI >KQL01241 pep chromosome:Setaria_italica_v2.0:VI:11855907:11856823:1 gene:SETIT_015794mg transcript:KQL01241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYSRVYKDAAEKARRFEVFKANFWLGVNQFADLTNDEFRATKTNKGFKPSPVKVPTGFRYEDVSIDALPATIDWRTKGAVTPIKDQGQCGCCWAFSAVAATEGIVKISTGNLISLSEQELVDCDVHGEDQGCEGGLMDDAFKFIIKNGGLTTESAYPYTAADGKCKSGSNSAATIKGYEDVPANNDVALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCRTDLDHGIAAIGYGKASDGTNYWLMKNSWGTT >KQL00919 pep chromosome:Setaria_italica_v2.0:VI:6527324:6531082:-1 gene:SETIT_013221mg transcript:KQL00919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDSRKQRSKWDAKEGPHDIVEISEDESLPDKTGGHRKGDVHPKPDTSMHHGGAGHEKEQADGFNKDTKELQPKAPSERPQLLRAADERDEWSKAAANQGMNRYADDRRRGDGWGTARNRGYSSRAPSDPDAWRPRSRSPSPRGVWNRSRRNRSRSRSRSRSRNRSRSRSRSIGRGRGRSRSPYFADRGPEWRVERGRTSGGPALPCRDFVAGRCRRGTNCRFLHEDGGQRPFEDHYPADPRERYGFQNKEFMDSREPNDYLRNRQSRDHYDDGPWERSEPRRDYRSTEQCYNFAKGRCARGASCRFAHGDSASHGGWRDEARESAHDRVGPDSSYGNRTEHRRVNKNPCKFFAEGRCRRGENCPYLHEEAPQSQIGVSAPDEPLNYSDGRSARGDYSNWGEQNNAMRATSQILSRDDRENPISQNIGRNDSHYEYENRLSKDAGKSQYQIIPQEDFRSQVENKHEVAVSQQPQLLTPVQITADNMNNENISGMGGQNAPATEMSMQSGMHSANIIAEQSLGQILQRHDAIPHIPGPSTLPVTTQLQKVASSFHSNSHAQQSNFPVHQNRQEQFVVPQASANNTPSTQGQPVAPNMGHGQHGYGLEPQALPNPSAHNGHNFSVASQVPQNLPTTVLQATVDIPRLTQDSGSQSIRNMQNFQPPNMQNQPLQGLPLVPTSSSTDVAGVPVSHNAAKSEEVSRVTASLAQYFGNTAFGTGTVGLQSSQPNVNSSLMATSSAAPPAVQPNQWPWAQQQTGIVQPGLAVPSEQQQQAPQTFQMPIAVGISNGNSMHLPHGGAPSGPAAAASVVNETVPPESKKGENKDSDAEAHEDGDNKKSKDSKTLKMFKVALADFVKEALKPTWKEGQMSREVHKTIVKKVVDKVTSTVENTPPTKEKIEIYMSYSKEKLNKLVQAYVGKYAKA >KQL02393 pep chromosome:Setaria_italica_v2.0:VI:32221448:32221870:1 gene:SETIT_015220mg transcript:KQL02393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEILDGSTVRSFVEDESAFNSSVDGRFAALDADHDGLLSYEEMAGELMSLRVLEKHFGVDEAAIEPHELGALYHGLFSKFDRDGSGEVDRDEFRAEMREVMLAVANGLGFLPVQMVIEEGSFLKVAVDRELGQLAKAA >KQL00261 pep chromosome:Setaria_italica_v2.0:VI:848981:852690:-1 gene:SETIT_013359mg transcript:KQL00261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPPPHPPAALSKHHLLRIRRCLPPVWLNAAPAQDPPPSPPPPPPTSSSSPASLSSLLFSCTSRRARFPGEQVHARAVALGLGAHPSVLPRLASFYIALGDLPAARAAVERAAWKARAFPWNLLIWGYADRGMWGDAVLAYERMLALGVGADRFTYPSVLRACGELRDAAIGRGIEQRVQRWRYGLDMYVWNALVGMYAKCGELEDARRVFDGMPARDVISWNAMVSGYASASMWGEAFELLQQAPGANIVTWNVVAAGNLKAGNYDEVMRLVSQMRSSHSPGLDFVTVMIGLKACGRNGYLRIGRELHGVAVRLCFDRLERVECSLITMYSRCQMISSAYCLFRTCSVRSVATWNSLLAGFAFMDQVEEAMLLFRKMIESAVVPNDVTVLTMLSLGARFGHLCHGREMHCYILKHGLTGSNLLQNSLVDMYSKSRQMAAAHRVFDQMQCQDRHAYTSLILGYGMQREGLVSLELFDKMIANNIKVDHVTMVAVLSACSHSGLVTQGQLRFAEMIDVFCIAPRVEHFSCMVDLYCREGLLKMAEEMINRMPFQPTAAMLATLIEACGIHGKTEIGDRAAKRLLAMRTNNPGHYKLIANMYISAKRWPELAKVRSLMSGMELNMIPTHSLLESEYGECPVEQDYCLNRSMPGCLSDDMTDTDFSSSEEVKFNEAFGG >KQL01200 pep chromosome:Setaria_italica_v2.0:VI:10899739:10904765:1 gene:SETIT_015780mg transcript:KQL01200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGELRADAVVGYFRGKSILITGSTGFLGKVLVEKILRVQPDVKKLFLLIRAADVESAKQRVETEVTGKEIFHVLREKHGNRFEDFIQEKVCPLAGDVVYKNLGLDNAKLTELSKEIDIIVNGAATTNFYERYDVAFDTNVMGAKHICKFAKRCNKLKMLLHVSTAYVAGEQEGILPEKPFLVGETLREGGDLDIESELNLIKEIRIDMETNCSPEKVEKRTMKELGLKRAREFGWPNTYVFTKAMGEMILGHLRGDLPVVIIRPSIITSILNEPLPGWMEGIRTIDSFIIGYAKQALSIFLVNLDLIMDVVPGDMVVNAMMVAMAAHSEEQAQRIFHLTSSLRNPAPYAVLAESGHRYFLHNPPRSGKNGEPVRLSRMRFFRTLPGFRAYMAVKFRLPLEILRLLNIAGCGAFSRRYHELSRKYRYVMHIAELYAPYALFKGCFDDTNTERLRAVMVNNQQDKSRGYDFGFDPKSIDWDDYFYRVHIPGVVKYLLD >KQL02974 pep chromosome:Setaria_italica_v2.0:VI:35573653:35575992:-1 gene:SETIT_014559mg transcript:KQL02974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGSTEGGTPEVTLETSMGAITVEMYYKHAPKTCRNFVELARRGYYNDVIFHRIIKDFIVQGGDPTGTGRGGESIYGVKFEDEIKSELKHTGAGILSMANAGPNTNGSQFFITLAPCQSLDGKHTIFGRVCRGMEIVKRLGSVQTDKNDRPIHEVKILRAIVKD >KQL00628 pep chromosome:Setaria_italica_v2.0:VI:3590504:3591093:1 gene:SETIT_015291mg transcript:KQL00628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLLEGSWELKKLHGWIMNAMKQGIQGITARVPTKVFLGVLDYLIIINFEDLHRLYHRQHLDVNLITVWCL >KQL00179 pep chromosome:Setaria_italica_v2.0:VI:360153:361227:-1 gene:SETIT_014406mg transcript:KQL00179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPPEEHRQREQEEEEEEEDSDEFTFPTPPQLLARGRDGRHLPCSASASSSPPVWLLSSPIRRSFSAADCAASPWRDRVLLGRQRLNGACSPALSDYAAAGGFCDDEEEEEERMDSLWEDLNDDDAAAGRPRNDDLFLAGSLDVSRRRSVAGGPVAAERARRAAKDQREAAAAAVLGASRSSRRRAPGLVVMMRALRRMFVAHKGKSRVHRDEQSAASASASSFLQ >KQL02628 pep chromosome:Setaria_italica_v2.0:VI:33627011:33628930:1 gene:SETIT_013684mg transcript:KQL02628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSPPATTRRRFSFFEWRGAAHGSPNRNNDIACRPQTRRHPATRQALNERGHRRRRRRPTSHCVSASLQCSLQIRELLCSVILLCHVLGASFGDPEAMAAVLNRHSSIQSVRSLSADMLAEIILRLPVKSVARSRCVSKNWCATISDGCLRRRLPLQLSVVYFPAGSGGGKAPRFACADGGGLLEDRNLGFFPYLDGAVVCDASNGLLLFRTAGTTRFYVVDPVTRRWAALPPPSRDARLSMLAFDPSSSPRRYHVISFTGRWRERGGEVEVFSSESWAWAPRDVEFGVPAGALSGSMHFHGGAVYALASDPDCVVRMDVAGPDLTCAAAELPEPAAGGGDARLAHSGGRLHYVANDGAQLKVWVLDDDDGSSPAAPRWRLKHAVRLDGVAEGGCGGDEEVRFMALHPEKDAAYLWSARRLVEYDLMRKEVTGAWEFGDGEKNRVVKAWLVPSSLYLSDCPLADAHVPC >KQL02917 pep chromosome:Setaria_italica_v2.0:VI:35277291:35278202:1 gene:SETIT_015670mg transcript:KQL02917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRELSCFGDGSVSVAAAAASVSGRGALDRSLQAATTSVYRAVLSTGKEMLVRVTWTRSAAGAPGVAVAFDDGGGSAPAARRSGQKRRGSRSLVTGAGTAVGVHWDIAEAKYASGPSPEPERDYGLAVVADAELALLLGAGGAAREVARHLGAAVPHAHGGAVLVSRREQLRGAAAAHVTRCRFREGGEEHEVAVHACRGGDGELRVSIDGEKVAEVRRVGWGFRGNRAAVLPDGEVVDVMWDVHDWWFGGRGGGGAGTGAQFMVKARAEKEGRLWMADETVARGQSPGGFFLHVQCYRR >KQL01965 pep chromosome:Setaria_italica_v2.0:VI:28525685:28527233:1 gene:SETIT_013918mg transcript:KQL01965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSADPPPTSSRLAHRQQPHVTSHGLSPPLTLGLNALHRLRLRLRLRLLPLLLLPLLLLPPPTRAARPLPPTSETLILTVVGTTLPARRSSAPSLPELLDAPAAPMAAPPPAAEGPDAVEIREVWADNLEQEFAVIRDVIDAYPYVAMDTEFPGIVVKPTGSYSCQSDVNYATLQGNVNILKLIQLGLTLSNEAGALPPRGTGGRGCIWQFNFRGFDPRTDPSSSISIDLLRRSGIDFDRFAAEGVDSTRFSELMMTSGLVLSDSVQWVTFHSGHDFGYLLRLLTGREMPNTLDEFLELTKTFFPVMYDVKHLMRFCSGLYGGLSKLGELLKVERVGTSHQAGSDSLLTLWCFLKVKNLYLKESVKLYDGLLFGLIPGEGVIG >KQL00787 pep chromosome:Setaria_italica_v2.0:VI:5011943:5014407:1 gene:SETIT_014272mg transcript:KQL00787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHRPASSAVAAESSLPLSPADGFLCVKDGVDGMIKYVANEPSVGLYFVQQHSRASMPILLDVKGKLVEKTHEVTLHTEDMEDSICAVRSMADFGLPLADDMIKDINRSLQIMSKTQPKRGLIQNPSWGFQSGKSSGTWEELGATNGSSSRNYLSSMFNTAKQKASSLRWPQPDFTTKDDSSENSATSAAPESSQADGQGASMPDTERDEVPISSRLSDGTSPTNKGLPATDIAETVETYNKFKEEQELKLQEWLRESEKAEDNRD >KQL02252 pep chromosome:Setaria_italica_v2.0:VI:31209003:31210146:1 gene:SETIT_014993mg transcript:KQL02252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAFPAHIMALSKVQRLYDACDVVFSSPAAAPTLGEIRWLQQILDGVEAADVGIDDGEKPSSTSPPSSDDELSPKSGRLLPARAFTRITYVHIHQCDDFSMGVFCFPAGATLPLHDHPEMVVLSKLLYGSVRVRSYDWVAAPPRSAARKCGLARVVAADEVRRAPGEASVLFPRSGGNLHAFTAMTPCAILDVLTPPYSEEHGRPSTYFTDVPISSLPGFAFLEETDLPEDFSVAGAPYLGPELTVDMDDDDDDYDDYEE >KQL00995 pep chromosome:Setaria_italica_v2.0:VI:7257065:7261089:1 gene:SETIT_013606mg transcript:KQL00995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKQILGRLPKKPAKSGEKELAGAGTSLPSPTADARTTTDLTMSSRLVNPNSYASTVTNPGQNYAARNAGVSNGFTASAGYEALPSFRDVPASEKPTLFLRKLAMCCVQFDFTDPTKDVKEKEIKRQTLLELVDYITSATGKFPEPVVQEVIKMVSANLFRGPTPAPRENKALESFDLEEEEPVMDPAWPHLQIVYELFLRFIQSPETDAKLAKRYIDHGFIIRLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVAMYHQQLSYCVTQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQPAEFQRCMVPLFRQIARCLCSSHFQVAERALFLWNNDHIEGLIKQNSKVILPIIFPALERNTKGHWNQAVQSLSLNVRKIFMDHDPTLFEECRKKFEEEEAQEASVRSKREAIWKRLEEIALSKSAQ >KQL02216 pep chromosome:Setaria_italica_v2.0:VI:30944934:30948617:1 gene:SETIT_015019mg transcript:KQL02216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIAKEKLGAKGEPILPKGISIRFRNICGAIVRDKLQPWIMTSNWKKIPTTTKDVLWAPKFARNFAEGLLGRCFRNWRSTLNKEYVQKGKNVRDDFGKIPTDMWEEFKQQKNTPKPKAPSEENTTKAMKAAENPHRLGVGGYNANIAKWRREEEEQRRASLPDMFAGLDEHSKNWVVARISAVTPDDKVKFKHHSTEIIYERLAQLAKAQKKGLFRPNREKDQLTATIGTAEHSGHVATGMAVMGYMFPKAPLPEYAWVQVVMVSNESREVDISTNEGTKFFGDAMNQYILCHHGDFILNAPPETSQPSQELPLPDSNVDTEQPTLSHASDTSYGPNGYEHGKPFLYRWDLLEGPWELNKMHGWIMNAMKQGIQEITAHVPSKIFLGVLLYQIVIDFEDLHRLCHRQHLNVNLISVWCLMQWREEELTQAGSRDHWICIMILPKLGEVVVLDSASYHRESTYKLYILKGGATSNLPGSVLCGYYVCEFIRNNGRYRTNPADMPTIDSNYSKIEDKQIDNICMDMARFILHEIFHENEAFFDKHGVLMTYECTNLRRFSTLRVVATGF >KQL01373 pep chromosome:Setaria_italica_v2.0:VI:18094253:18094592:-1 gene:SETIT_016002mg transcript:KQL01373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLVLISLGFHEFKVGNEKMGICVCILDIFCVVCFFLIYDMQLSSIANL >KQL00511 pep chromosome:Setaria_italica_v2.0:VI:2692068:2694056:-1 gene:SETIT_013657mg transcript:KQL00511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRGPVHHAHPHHRHWGGARVPLMPKPSSNPNPRRHRPGPGSNPIPGGPPPRAVPEPELSPSGPVKFRPSEMTPAEARQLRARLTGELGRVRALLSRIDTWQDGQQRRRRAAAEHDPEPRARRASPPPPPALVEAMRKRCAEILMRLRKSKNSVWFNSPVDVEGLKLHDYRAIIRSPMDLGTVKHNLAAGRYPSHEAFADDVRLTFNNALRYNPPDHHVHRYAGSLLATFEGLYKEAVSWFDQQRPPIEPPMPLPDLPAPLQHLPVSVPVQAPPRIGGGRRPKPKAREPNKREMDEEEKQKLRVEIENLPEEKMLNVLQIVQKRNSDPALTGEVVELDFDELDIETLWELDRFVVNWRKALKKSQRNSVMNGDAAAMNGDTIDVTIIPDEDDMVQVDVNPPMVVEIGDSEIDMPEKRATEPDMVDEYVDIGDEMPTVNYQSVEIEKDAQVASSSSGSGSGSSSSSDSDSDSDSDGDDARSPD >KQL02181 pep chromosome:Setaria_italica_v2.0:VI:30691953:30693253:-1 gene:SETIT_013922mg transcript:KQL02181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGRFSSALLLLLNMAAALFAPRGGLHPAPASLHADGAEDDGDVDFFFFPFLVLYKSGRVRRFMGTDTVPASTDPATGVASRDVVVDAAAGLAVRLYLPRLATNRTAGTETDGGQLLPLVVFFHGGAFVTESAFSPTYHRYLNALASRARVLAVSVEYRLAPEHRLPAAYDDAWAALRWVLASARPGAPGADPWLSRYADLTRLFLVGDSAGGNIAHNVAMRAGREGGLDGGATAIRGLALLDPYFWGRRPVPSETRDGETRRWRERTWGFVCAGRYGIDNPVINPVAMPPEEWRRLASARVLVTVAGLDLLAARGRAYVHALRASGWRGEAELYETPGEYHVYFLDKPGSEKAAREMEVVVDFINGGQGGSTGLRMDA >KQL01703 pep chromosome:Setaria_italica_v2.0:VI:25026069:25026589:1 gene:SETIT_014797mg transcript:KQL01703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQGRQRMLFENLVTAPQEPNGIVDRFFLILLCFMAHVLTYVLRHLLFDISQMKNPLLMKCVNTCYLLD >KQL00916 pep chromosome:Setaria_italica_v2.0:VI:6517448:6518995:1 gene:SETIT_013705mg transcript:KQL00916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPTIRAGRRVVFFPLPYQGHFNPMLRLAGALHARGVAVTVFHTDLRAPDPTYYPSDYRFVPVPVHVPTELVGSEDIARFVMELNVSCAAPFKERLAALLAGEEEEEEAGGVQCVITDVIWYSAQAAARELGVPALGLMTSSAASFRNIMVYPTLIEKCYLPVQEEHKDDPVDVLPPFRVRDLQRIETSSLADFASLLEHTVDGGRQSAGLIINTVEAIEAVDLDKIREDMPIPVFPIGPLNMVSPPVESSLYQLQQDRRCLDWLDTKAPGSVIYVSFGSLAAMDPHEFAELAWGLADSKRPFIWVVRPSLIRGSESGDLPEGFREEIGDRGRIVDWAPQDEVLAHPAVCAFLTHNGWNSTMEAISQGVPMISRPFFGDQYGNAMFVCHVWRVGVEVQVENQLERGKVRDAIEKLMGSKEGKEIGERMMNLKEIAEKGIKESGSSHTAFLNLADLIFSL >KQL02884 pep chromosome:Setaria_italica_v2.0:VI:35111100:35111994:-1 gene:SETIT_014594mg transcript:KQL02884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSKKLAQLSKKWQGMGAIGRKRVTTVDKEINPSCSSIVAGKGNCIVYSSDGKRFEIPLAYLHTTVFSELLKLSQEEFGFTSDGRITMPCDTAVMEYVMCLIRREASQDVEKALLSSILMPCHHLSWMVQPPSGVNQQFAMCSS >KQL00949 pep chromosome:Setaria_italica_v2.0:VI:6713626:6715769:-1 gene:SETIT_014587mg transcript:KQL00949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGADLPSSSAAAGDRRPESAGHGSGAEDERAAYPPERCEALAAAIAGVLGGALREHEARAAATARSQDEVAAAIDRLNGELDRLLENAPSLVIMQHSARISTIRKRISALNMLLKSIQRRIDNIDRVISTGLTSDHSSPVQSQSLKPK >KQL01946 pep chromosome:Setaria_italica_v2.0:VI:28379670:28381157:1 gene:SETIT_015202mg transcript:KQL01946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKSTTSNLLHCRLKTAVCLLAPLLLLAVFVCLQLQTLGLFPTVARCAGQTAAVDDLVRRLRSFATFHPLKDPREPAAGSWFVSVLNDTSEPDGEAKHLVLPSEASSGRLLCVHAPPGVDATYALAWRDALPLGVALRPGLAFVSESPYDYNNLWHGLTALAPFASWHARSGCRAVPARWALFQRGGARMGMSGWLTSLAEATMGVEMAVETFRPPLATGPVCFEEAVVFRRQMDGLSRERLRGAFDFMRCKARAHCGVVGVPAGAGNGSRGGAAATEALRVTLLFRRGARAFKDEPAVARVFEKECARVAGCVVTATHTDNLTFCDQVRLMSATDVLITPHGAQVTNLLFMDQNSSIMEFYPLGWRQRAGGGQFVYRWMASRAGMRHEGSWWDPNGEPCPDSTDILSCYKSRQIGHDEAYFAQWAAKVFAAAKERKTIGGAVRALLEPEERRREAAACNCT >KQL00791 pep chromosome:Setaria_italica_v2.0:VI:5071655:5072112:1 gene:SETIT_014933mg transcript:KQL00791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSSQGVLLLSLVLMASSAIAAPISGESIIDGGRKSKMTTIGANKAAIIHDDDIFNGCWPHDAESSNVCCTKDNLCWPSLSECAINCPCKVRCD >KQL02183 pep chromosome:Setaria_italica_v2.0:VI:30700384:30701307:1 gene:SETIT_015649mg transcript:KQL02183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDIEVDVDLSPFLIRYKSGRVRRLMGTPRVDAGADAATGVTSKDVAIDAAGGGLTARLFVPSNVLGTSTRLPLLVYFHGGAFALHSAFSAAHSRFVNALVSAARVVAVSVEYRLAPEHPLPAAYDDAWAALRWAVASCAAAGSGSRTGEPWLSAHGDATRLLVAGDSAGANMAHNVALRAGRGGGGLPGVARIEGIALLHPYFRGRELVPSEGTDPRSPERERAERWWAFVCAGRYGFDHPFLNPLAMPAAEWAALGCRRALVAVAEMDSMSDRGRRYVEALRGGAWQGEEAVLYETPCEGHVFFL >KQL01561 pep chromosome:Setaria_italica_v2.0:VI:21722387:21723657:-1 gene:SETIT_015308mg transcript:KQL01561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINAVQYACSDMLKCGQWQMRHKLKKNYFDGVPANKVRTTSPLKSMTHEQWKALVEMWSSPNHIRYGEKCLKAKVNGGKVKYAHKTGSRCYIV >KQL01131 pep chromosome:Setaria_italica_v2.0:VI:9026992:9029999:1 gene:SETIT_013895mg transcript:KQL01131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFACILELLAEPLYIISQKKKYYNIRVYAEPAATLFRCLTTFILVKGHIKVHKLVLVSLSQVVYGAFIFFGYCSYFLIFTDMKISDLLPLRLSNLMDYDKQLLHMCMLFTGQTFRKLILQEGEKFVLVSFDTPYNQAAYGLVDKLGSLVVRIVFLPFEESSYTTFARLAGETPLNISNLEGSLLGALKLIMLIGLVIISFGPSYSYTLLKLLYGDRHSDREAPVILRYYCFYIISLAMNGTSEAFLHAVANENQLKQSNDMLLLFSVIYIILNVVLIKSAGALGLIAANCINMLLRITYSAMFIKNYFKGSFSFRRCVPAGWGILLISALTTVFSERVFLNRTRFKQTVPIHIAIGIMCLSISSFEIYRGEKQFLRQIFGTLNHHDKSQ >KQL00694 pep chromosome:Setaria_italica_v2.0:VI:4044315:4048190:-1 gene:SETIT_013464mg transcript:KQL00694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGAGVVLAVLILSCAMGARADGSDHKYKEGDHVPLYANKVGPFHNPSETYRYYDLPFCAPEHPKDKKEALGEVLNGDRLVDAPYELNFKEDKNSKILCEKTLTKEQVAKLRDAVAKDYYFQMYYDDLPLWGFLGKMDKDREQGDAKYLLFKHIHFDIMYNDNRVIEINVQTDPNVAVDITEDKEVPIEFSYSVTWKKTDISFEKRMEKYSKSSSMPQHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFIKYSHEDESLEDQEESGWKYIHGDVFRFPKQKSLFAAIIGSGTQLLALAIFIFLLALVGVFYPYNRGALFTALVVIYALTSGIAGYTATSFYLQLEGTNWVRNLIFTGCLFCGPLFLTFCFLNTVAIAYSATAALPFGTIIVIILIWALVTSPLLVLGGIAGKNSNTEFQAPCRTTKYPREIPQLPWYRSTIPQMAMAGFLPFSAIYIELYYIFASIWGHKIYTIYSILFIVFIILIIVTAFVTVALTYFQLAVEDHQWWWRSVLCGGSTGIFIFFYCIYYYHARSDMSGFMQTSFFFGYMTCVCYGFFLMLSTVGFRASLFFVRHIYRSIKCE >KQL00226 pep chromosome:Setaria_italica_v2.0:VI:657580:660212:-1 gene:SETIT_013533mg transcript:KQL00226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISGGRKVSSSTGTMETPALQSEQERPRQTTASNGSTAPVVDGIQGTTPSPSRRVDGGDSKDGETSGCSIPDLPMDIWRHIHSLMPMDAAARAACLSHAFLSSWRCYPKLTLNMHALCYKPRAFGNYFFPTYDGGNLTCRIDSILRNHSGIGLKILRLDLYGQFRSFPYIDSWLQVAVTPGIEELTLRLHEKYKLPCSILSDGVRNSIRSLQLDCCVFRPTPEPGPLRNLTKLSLMRVRITGDELECLLSNSLALEHLDLTGCDEIIILKVPSVLQQLCQVTVIVCRNLRVIENKAPSISSFTLTGEVSTLSLGEASQKMKVLGLDHANAVHYARAKLPAIMPNLERLYLCCNEVDTPMLPTKFLNLKHLYIQTIAPTFSPYLDYFSLASFLDACPSLESWNLEVSHQEVMEHESIFGGSSHLRQLPECRHHHLKSVGIIGFSSAKSLVELTCYIVKSAVSLERLTLDTLPFVSRCSGANKHEDCTSISISKSLFEEVPRGVAAIRKYIEDKVPPTAELTVLELCPRCHTTIVDEDRG >KQL01679 pep chromosome:Setaria_italica_v2.0:VI:24675107:24677440:1 gene:SETIT_015397mg transcript:KQL01679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFYFDRLEAARRQQRMTAGGAAVGCAPPPRAWPYYYNYQHAALLPRSPTLPVPPLHAGEGGVGHERGGGRRDTWRGGSVPRCEAGPVSAGVGAAWAGGNTVSVGGADGLDGDSVRGVAGSVATAPGREEGGGVGGREERERRAVPARRRFPPGCGRDAAAPPLTLRGARAGGSGALQESGAPPPPLAGGRDRVLFEAAASPSAAAAGSSALNKACAADGAAGATTDGAHHCPGAALEKPSEAPGKNSVATANGVAGPGSGESSKSNCNLVPSVRFLPKPTMVSAYRRFPPGCRRPAAPLPVGGGSSREWSPSSSEAVPSDRDDMEIVAPLCSGAANGASQNEGLEEGEVASEAQESPSATLHDEATACRHGASVEVYASHTSSSEEMIGNAWKCEENKSVGSSCNFVAESLAQGLAKEHLNGETKSVKPPKLIQKPALNTQCRPFAKETEQETEFGRHTTNASEDTDEFTDQAIQDPISADKCHWTKGKDAATVSNYFGPKKKVKDKKDSILEHDDIVKAIAVHEGKFEHEPQDADARSKVKMLCRRFESICRAIVQSAEQRSLKVRRIDLAADKLMRKLPGFTKLGPIVGTVPGVEIGDEFLYRVQLTLVGLHRPYQGGIDTTRDDHGVLIAISVVASGGYPDELSCPGELIYTGSGKDGCDQKLEHGNLALRNCVERKVPVRVIQGFKGLNREGGSHSRAKEITTFTYDGLYRVVDCWKEGRPGSKVFKYKLQRIPGQPGLPLHVDKFVRKNNT >KQL02623 pep chromosome:Setaria_italica_v2.0:VI:33608764:33609274:1 gene:SETIT_015948mg transcript:KQL02623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKVRVSAFPKAASRKSSIGWPRKRLLEKRRMPVGNLAFS >KQL00158 pep chromosome:Setaria_italica_v2.0:VI:239933:244022:1 gene:SETIT_013731mg transcript:KQL00158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVKESFGSLSRRSFEVRISGLPGLGHHRGKSVGSLSNLHDRPIVVDQSRWVGLPPELLRDVMKRLEEGESTWPSRKDVVACAAVCGTWREICKDIVPSPEFCGKLTFPVSLKQPGPRDEMIQCFVKRDKSTSTYYLYLCLSPAVLSENGKFLLAAKRNRRTTYTEYIISMDPKNISRSSNGYVGKMRSNFLGTKFVVYDTQPPYNAGSLVSCGRSSRRISSRRVSPKVPTASYPIAQVNYELNVLGTRGPRRMNCTMHSIPASAMEPEGMVPCQPKQLFLPASSSYEESFRSANTSSSSRFSVTDRSLDFSSSRFSEVSGVIQQNEDDGQAKERPLVLRNKEPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAPQLDAVPSESSQQAQPSSSSSASDHDKVILQFGKVAKDMFTMDYRYPLSAFQAFTICLTSFDTKLACE >KQL01404 pep chromosome:Setaria_italica_v2.0:VI:18882166:18883320:1 gene:SETIT_014735mg transcript:KQL01404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPSPTEQASGSASASSASKRRLFLEPPPPVNSSTLAPFPTLGSKRSSESLGKDLVICLSVSSANATPASSPSQALICMKDWVAAGRRDQKIGW >KQL01988 pep chromosome:Setaria_italica_v2.0:VI:28802632:28803035:-1 gene:SETIT_015261mg transcript:KQL01988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCTKAVVVLGVVALVAAALLLAAESRPIARKDLGVNLGGGGGLGVGVGGGLGIGSGIGIGIGGGGGGSGSASGSGSGSYSGSGSGSGSGSWSGSSSGSNAGSGGAGSYAGSHAGSYAGSNGGGAGSYAGSDAG >KQL01884 pep chromosome:Setaria_italica_v2.0:VI:27520724:27521145:-1 gene:SETIT_014820mg transcript:KQL01884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETRYRIARIRSPLRPEQRFPNPFVVLSDHGLIASLFAEYVCRLWPSFSSWERSGECF >KQL02064 pep chromosome:Setaria_italica_v2.0:VI:29605886:29606535:1 gene:SETIT_015906mg transcript:KQL02064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPIFPFSLSLFLPKLCFYIFFSNSCSKFCLQIFVPIFLIDFFSFMHFLSIIYAHNFLYVIMFS >KQL02898 pep chromosome:Setaria_italica_v2.0:VI:35172679:35173570:1 gene:SETIT_014379mg transcript:KQL02898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFHLRSVSLPSKRLSNEAEIEAELQSLEASTSSPSATIESACDGLRRLGDVYSHIEEIIHLPSNQVCSIQQRKELDEEMESSLEIIDLCNAMQENFAELKTTIQDLLVVFRRGDDSNTQAKVHSYIRLVKKAQKQFKKTSKKATSDECKLVMLLLKARLVAASLLELALCLVSKQVAMPKRSLVSKAFQKRSVVVCKEEQLQALECIIGDLEHGAEQLFRRMIQSRVALLNILSS >KQL01504 pep chromosome:Setaria_italica_v2.0:VI:20976492:20978058:1 gene:SETIT_014492mg transcript:KQL01504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AIPRRRRRSASPASAARSIDDLRRPDLCSCRACLSPGRFAAWLEKGARGLERCRPFISRAQVPPADLSLSFADSSQSAPIRRPPQALRPKVVRLEVPQVHCGARSRRPTAGSRTDPARTGPAAPCYDVLIGWLFIGGSD >KQL01704 pep chromosome:Setaria_italica_v2.0:VI:25033436:25035367:1 gene:SETIT_014598mg transcript:KQL01704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGVVSALAGASRERQSRKRKQFNTVELKMRMDCDGCELKVRNTLARMRGVESVEINRKQQKVTVQGFVEPQRVLRRAQSTGKRTEMWPYVPYTNPYVAPPVYDKRAPAGHVRRVDALIAPAAGREEDLSTIFSDDNPNGCSVM >KQL02415 pep chromosome:Setaria_italica_v2.0:VI:32378122:32379688:1 gene:SETIT_013791mg transcript:KQL02415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDLDRARALRVLGRGAMGTVFLVADGPSASRPDRYALKAFDKRSAKPDADRRARWEMSVLSRLAHPHLPSLLGFTETDDLLAWAVPYCPGGDLNELRYSLPDRVFSPAAIRFYVAEIVSAIAELHAAGVVYRDLKPENVLLRADGHVVLTDFDLSRLLHHRPTSASSSPPPPPPPAYRAHHNRRTRVAARSDSVVGVGGQAKSRPPQPWSAAPSPRQQFQSLIRFLMGSDGAGMTKKTKSARVSPVSRKPGSFGCSGEAGAWGKSYSFVGTEEYVAPEMVRGEGHGFAVDWWAVGVLVHEMAFGRTPFKGQNRKETFRNVLHKELEFPGDSRRRMPELTDLISRLLDRDPRRRLGYAGGADEVREHPFFAGVAWDMLTEVSRPPYIPPPADEDLVAGEGFDVRDHFRNLHQPPPPKATSEASSDFSSEF >KQL02466 pep chromosome:Setaria_italica_v2.0:VI:32719244:32719735:1 gene:SETIT_016003mg transcript:KQL02466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPVISLFRARCRTGTRRPSVRCVACPWALPHWASRHSTACDELKVHRVSVPHVLLS >KQL00480 pep chromosome:Setaria_italica_v2.0:VI:2404926:2405384:-1 gene:SETIT_014804mg transcript:KQL00480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAIYSEASMYIILVKFLDHNMRISFFLAFCIVPVTGGCLEKYAFWKPNLLKPQPPNLPQNPTATT >KQL00947 pep chromosome:Setaria_italica_v2.0:VI:6706039:6707823:-1 gene:SETIT_015581mg transcript:KQL00947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVARERSGGMAVRCARRIGVAASVAANLAFLAMYIHRRYFGGGRSDGGGGSGKEITTVEPSKGKPPVTPDSVVNLDQGDPTLYDEFWRLMGGRATITIPGWQTMSYFSDLGGFCWFVEPGFERQVRRLHRLVGNAIVDGYHLLVGTGSTQLFQAALFALSPAEDGEPMSVVSPAPYYSSYPSVTNFLNSGLYRWDGDANTTFAGDTCIELVCSPNNPDGGIRKAVTKSKSGKTIHDFAYYWPQYTPITEAADHDIMLFTVSKCTGHAGTRLGWALVKDMEVAQKMTKFIELNTIGVSKDSQLRAAKILKVVCDGYELSPTSKVNLLFHFAQRKMAERWSRLRAVVATSGIFSLPDKLSSYCMFAKEVVSANPPFAWLRCHKDGVEDFESFLRERKIITRGGSKFGVDERVVRISMLDTDEAFNVFLGRIASLK >KQL02896 pep chromosome:Setaria_italica_v2.0:VI:35168806:35169519:1 gene:SETIT_015768mg transcript:KQL02896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSHLRSSSLPSSPRSNKARVEQQLESLKTIISSPSATIETMCNGLKRLISIYNGIEEMICTPSNQVSLCQTLQRKAVEEELERSLVLLDLCNIMQESFTNLKMIIQELFLSLKRGDDAAAQVRAYIQLTKKAHKQFKKVFKKTTSDEKDCKVVMVLEEARLITTSLLEFTSCLLSKQFEMPRRSVISKTFQKGKLVCEEEQLQALECSIRDLESGAELLFRRLIQCRVSLLNTLSS >KQL00922 pep chromosome:Setaria_italica_v2.0:VI:6541023:6541902:-1 gene:SETIT_014645mg transcript:KQL00922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSNVTLLAFCVALTLSVLCNDASASAEAVAQPLRQSHRKASKTWCVAKPSSQVAALQDNLDFACSESDCGAIQGTGGCTRPDSLLSRASVAMNAYYQARGRNSWNCFFNGTGLITITDPSLGTCKYA >KQL01364 pep chromosome:Setaria_italica_v2.0:VI:17649159:17652634:-1 gene:SETIT_014007mg transcript:KQL01364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKTKEFDGASPAKIFIGGLSKDTSMGTFKEHFGKYGDITDAVIMKDRYTQKPRGFGFITFADPAVVDRVIEDEHVINGKPVEIKRTIPKGAAPLKDFKTKKIFVGGLPTALKEDEFKEFFSKFGKVVEHEIIRDHATNRSRGFGFIVFDAEKTVDELLAKKGNMIDLNGSQVEIKKAEPKKPSNPPPRSLDSEPRGRPYAESYDGFGSSYNYGGSFGPYRSPGSFGARPGGYSSVYGPGDYGSGYGAYGGALGAYRGESSLYSSRFGSTYGGSFGAYGGGSYAGGLAGAYGRDPEGYGGSSYGPSYDSSGASAGSGAGYGTGGLYGARTGYGSTGGSGAAGRYHPYGR >KQL01149 pep chromosome:Setaria_italica_v2.0:VI:9466385:9468066:1 gene:SETIT_015112mg transcript:KQL01149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEDAADATPAAAPPPRAAISHVIFDMDGLLLDTEGFYTAVQEKILARYGKVFDWSVKAKMMGKTTAESTRILFEECGLTGLLTTEQFLEEREIMLKELLPTCVAMPGVVRLIHHLHANGIPLAVATGTHKHHFALKTQNHQEIFSLMHHIVTGDDPDVKAGKPSPDIFLAAMRRFECDVEPNNCLVFEDAPLGVAAAKTAGMHVVMVPDARLDVSHHKEADQVLSSLLDFNPSSWGLPLFKD >KQL00772 pep chromosome:Setaria_italica_v2.0:VI:4831123:4834209:-1 gene:SETIT_014325mg transcript:KQL00772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPRLAAALPLPPPLAPAAAATRRLGVLRPLSEPSAATPAAASCSPRRRGAVACLVRLLCSHHSAAAAVEEARRGRKQLGMTPPLYDYLLANVREHPVLRELREETAAMRGSQMQVSPAQAQLLAMLVQILGAQRCIEVGVFTIDVKHALAADSLRSLLDCGEASSYDFAFVDADKRMYEEYFELLLKLVRVGGLIVMDNVLWYGRVADPLVDDRKTISIRNFNKKVLEDKRVDISMVPIGDGMTICRKLVDT >KQL02486 pep chromosome:Setaria_italica_v2.0:VI:32834354:32836574:1 gene:SETIT_014136mg transcript:KQL02486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARCAALLLLLLAASAAAAAAAAGRKEKAGEKVCDKGWECSGSRFCCNEAISDYFKAYQFEELFAHRNDAQAHAADFWNYQAFITAAALFEPRGFGTTGGKEMGMREVAAFLGHVGAKTSCGYREAPGGETAWGLCYNHELSPSQSYCVDSNELYPCVEGVEYYGRGAIPIYWNQNYGIVGKGIKQDLLNHPELLEQNATLAFEAAIWRWMTPMKRKQPSAHDVFVGNWKPTKNDTSSKRYPGFGATMNVLYGDAICGQGSTDKMNFIISHYQHYLDLMGVGREHSGDNLDCDDQVAFNPSSENSDF >KQL00708 pep chromosome:Setaria_italica_v2.0:VI:4189726:4191058:-1 gene:SETIT_015864mg transcript:KQL00708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPVLMVPSGIAHQGRTGPASQASPTLGACILSRSRCSPRALRSSRT >KQL00536 pep chromosome:Setaria_italica_v2.0:VI:2838838:2844045:1 gene:SETIT_013736mg transcript:KQL00536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPQQQPGVAPPPPQSAPGAPPHWGGIPPPMPPQHQYAPPPPPQQAPPPPQMWGQAPPPPHQAPYGQAPPPPHQAAYGQAPPPPQAGYYGAPPAPAPVAAAAAGPNEVRTLWIGDLQYWMDENYIYGCFAATGEVQSVKLIRDKHTGQLQGYGFVEFMTRATAEKVLQTYNGTMMPNVELPFRLNWASAGEKRDDSPDYTIFVGDLAADVTDYILQETFRVHYPSVKGAKVVTDKLTMRPKGYGFVKFGDPNEQARAMTEMNGMLCSSRPMRIGPAANKKATGVQEKVPSAQGVQSDSDPNNTTIFVGGLDPNVTEDVLKQVFAPYGEVVHVKIPVGKRCGFVQYANRSSAEEALVILQGTLIGGQNVRLSWGRSPSNKQVQPQQDPNQWAAGANAAGYYGYGQGYEAYGYPQSQDPNAFGYGAGAYAGYPNYQQQPVAQQPQQQQ >KQL01238 pep chromosome:Setaria_italica_v2.0:VI:11737127:11738575:1 gene:SETIT_015311mg transcript:KQL01238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAVKNPHHLGAGGYATKIAKWRREEEIGYRKLDRYKKNLEEKMREITKQEFLEFLANHGISQTMADPTVSDGFVAPSSVGSIANMRYPVDDIQVDTLCKLVIPYGRKQNKFREVTTGMAVTGHRFPKALPPEYTLVQVFTVLDESCKIDIPTNEGIEVLDIILNASPETSQPSQELPLPDSNVDTEQPKLSHVQGANNEEEQPMLSPIRESLNEDNGTSALQDDEQIVIDLEDLHRL >KQL02303 pep chromosome:Setaria_italica_v2.0:VI:31609757:31609999:1 gene:SETIT_014899mg transcript:KQL02303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVVTPFVHAGSYATGTSGKAESAPKAAAEGPAEGTGSAVKWPKGGPELVDFVIKNPYFGPPPGSSSDGLPIDPTPEGSMT >KQL00519 pep chromosome:Setaria_italica_v2.0:VI:2726007:2726474:1 gene:SETIT_0141841mg transcript:KQL00519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLVDNADLLKELQ >KQL00956 pep chromosome:Setaria_italica_v2.0:VI:6807265:6810760:-1 gene:SETIT_013698mg transcript:KQL00956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRRRSKNRKSSGPAAPAASSRSGEPTYPHRSGEGIVPASLRKAEVESWLHDDSTSSSSRSGVAQEEAPFNEEETSLLLKMDKKPKSTCSRARGKIAHDEHEKPKSTCSRARGKIAHDEHEDGQSDKKPCSAVEDEQHSGKIGVHAIEEHKQEQGSARGDEKILCLSKRLSLKFVDPEFCGYGPEYEPEQLRELYEQLALYRIRAYELTVDRKLTELDDVNLKLHYPPSKLYNNSFFEYYEDSLEWYFDLERCRNAQYDNYQRLVLRAPGYLDWDFYHKIIHTYEQDQAYVQYFEEVANRTKWVEDYLGDSTIQWERVRGLAYMQALEEYICSIRSDSSYKRLDGLYFEIWKRVAKGKMSFEEALLEIHSKDMFPLRSSYIKHELENTPGRFPIKDYYDAHVAGIDKMAADDKARQLIREAVVKIASPLKRKFYLDYARKKLEIARDIDLIPKGRRGSESLW >KQL01120 pep chromosome:Setaria_italica_v2.0:VI:8699860:8702708:-1 gene:SETIT_013402mg transcript:KQL01120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLAISLQPVNGPDILLQTRSWFPVSRALAAVSAFRLARLHLARGKQQSAAAAASASSSASLDAIGDDPLAAGSGQLVVGVESQYRVVYRLVNSIYVLGVTTAGSDHAAPAVHAFAVADAVNQAVSVVVAACRGVDVTPEKVHRKYPEVYLALDLVLHGVGSVRLSQILATIHGDNLARMVNSSPDAEARARGADSWPTVEHLAQDRHAAREGFSGASFELPQETLAAGDEFSSNIAPATTVATGDEPPPEEAPPVEKDPFAASDLINNKPEEALVGGFKKNKETALVVADPAAALAGLEVTTLPPAEATKPTFIGVEGFEGDYGGIEFGNEEASLAEAFEGFNAPFGGGLDASEFVTTTKKDHKDRAVTGLELLATSGQPPNAAGGTPLENLLVTKRTEMTAPELFIAEEINAEFKESILVRVGLKGTIFLRTLPLNKAAGKETEFSFRLEGTSGMKRAALQSNVLSNLQNGLFHVRTVSKEEPIPLLKYSFLPKHSPLPLRMRLVKRHSGTLLSVMIQYASNPMLPQPLSNVTFIVKLPVDPTLLNVSPKAVLNRAERELRWHISDIPLKGPAGRLRARMPVDQDSKDGELEVLGMVKFAYQGPFTLSGIKLRPATDGIAQFNEVGHTFSSGSYLCI >KQL01567 pep chromosome:Setaria_italica_v2.0:VI:21824671:21827932:-1 gene:SETIT_014500mg transcript:KQL01567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLRPYVEISDYRYRLIDYVGDGFVLGASFGSAFHFIRGLRNSPDGGRLAGGVRAVRTNVPRVAGRGGACLALFWAVESATCLARRRDDLWNSIAAGAATGGLFNVHRGAPAATLFALLGAASFVGLAGALWSVDLWNSRLFDHFAEVELNRGSPADPIVGSIEYRSKDHGTTDLFAMELNSEMAAKDDA >KQL02452 pep chromosome:Setaria_italica_v2.0:VI:32617399:32621333:-1 gene:SETIT_013712mg transcript:KQL02452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTKNLSRKHPASMATASATLLLSLALLASAAASSPSSDADAISRFQEYLRIDTAQPAPDYAAAVAFLRGQASEAGLEARTLELVAGKPLLLLRWPGRRSSLPSILLNSHTDVVPSEPHKWDHPPFSAALDEASGRIYARGSQDMKCVGMQYLEAIRRLRSAGFVPDRNIYIIFVPDEEIGGHEGVELFVSSKEFKEMNVGLVLDEGLASPGEEYRVFYAERSPWWLTIKAKGAPGHGAKLYDGSAMENLMKSVEVIRRFRTSQFDLVKSGEKAEGDVVSVNFAYLKAGTPTPTGFVMNLQPSEAEVGLDIRIPPSAHVEALERRLVEEWAPSSRNLTFEFKQKMSVLDNFGKPAITPADSTNPWWLLLEEAVKSAGGKLGKPEIFPASTDARYFRQIGLPAFGFSPMANTPILLHDHNEFLRKDEYLKGIGIYESIIRALATHKDGGKDNDRAEL >KQL02665 pep chromosome:Setaria_italica_v2.0:VI:33851029:33852826:-1 gene:SETIT_014509mg transcript:KQL02665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATRWCLAAVWCAVAVVSVAQTSPSPPASADPLQAKCAGDFSKLTDCLDYATGHAGSPSSTCCADAADTQKSRPQCLCYIIQQVHNGRNEVQSLGLKFDRLMALPAACKLANANVTLCINLLNLKPGSPDYALFANASHITPSAGGTPASDSTAGSGFSLQTGIRGSIAAAVVSAVLSSVF >KQL00748 pep chromosome:Setaria_italica_v2.0:VI:4609887:4610162:-1 gene:SETIT_015607mg transcript:KQL00748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLGRRLFPFLLGTANYKVPNLRGLAERGVHDARRYEEAYRKKTPGCGGGSDDAGGAAAGSSTGGGRKKKAAVARVDMDDDDE >KQL00938 pep chromosome:Setaria_italica_v2.0:VI:6610232:6613465:1 gene:SETIT_013502mg transcript:KQL00938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGHIAQCLGGILARRGAATVAVDSGGRSFTGAEFADGVRRLAAGLAGRGVRPGDVVAVVAFNSIQYVDLFLAVTYVGAIIAPLNYRWSFEEAVQALELVRPTAFVFDGGFSSWALRLTGSNECSSIGLYLILGVGDTCSTGHAADFVSVDRVKRSVRWDAAMEPVSAPRDVALICFTSGTTGRPKGVAISHTSLIIQSLAKIAIVGYGEDDIYLHTAPLCHIGGISSCMAILMAGGCHVLIPQFDAKSAFDAIKEYGVTSFITVPAIMADLLSYARKERISGSAMTVTKILNGGGGLSEELMDGASQLFPHAAIFSAYGMTEACSSLTFMVLNNPKLLEPKNQPGSHSGGVCVGKPAPHVEIQIGLDGNNTSSSSTGNILTRGLHTMVGYWANNKVDSSDCVRNGWLDTGDTGWMDEAGNLWLMGRQKGRIKTGGENVYPEEVELILSQHPGVARVVVVGIPDSRLGEKVIACVSIRDGWKWVDARAENQGEAKEVSSQILHDHCRMKKLSRFKVPRSYYQWTQPFPVTSTGKIRREELKREILATMQIPSNL >KQL01687 pep chromosome:Setaria_italica_v2.0:VI:24825034:24825666:1 gene:SETIT_014934mg transcript:KQL01687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGKTISLKVECSETIDNVKANIQDKQRLIFTGKQLKDGHTLPDYKGGMQIFVKTLTGKTITLEVESSDTLDSIKAKIQDKEGIPPDQQQRLIFAGKQLEDGRTLADYNIQKHSTLHLVLRLRGGMQIFVKTLTCKTINLEFESSDTIDNVNAKIQDKEGIPPEAHTSNI >KQL02908 pep chromosome:Setaria_italica_v2.0:VI:35221229:35226384:1 gene:SETIT_014086mg transcript:KQL02908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQDGHQIRGNKLIIDTDPGIDDSMTILMAFRTPSVEIIGLTTIFGNVSTEGATRNALLLCERAGHPEVPVAEGSPEPLKGGKPRIADFVHGSDGIGNMFLPEPTSKKVEESAAEFLVNKVSEFPGEVSVLALGPLTNVALAIKRDPSFASKVKKIVVLGGAFFAAGNVNPAAEANIHGDPEAADIVFTSGADIVVVGINITTQVCLKDEDLLELRNSKGKHAQFLSEMCKFYRDWHAKSDGFHGIFLHDPVSFTALVHPEYFTFKKGVVRVETQGICTGHTLMDQGLKKWNSENPWSGYKPISVAWMVDVPKVISFIKKLLMAP >KQL02268 pep chromosome:Setaria_italica_v2.0:VI:31337572:31338241:1 gene:SETIT_014605mg transcript:KQL02268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGWRGILGFDYGIVQAPLGPDISGPELAAAVANAGAIGLLRLPDWPAPDRVRELIRKTRSLTSRPFGAAIILAFPHEENLRVVLEEKLAVLQVYWGEFPRERVEEAHRAGVKVLHQVLAIASRSAVTDASTLLNCCYACAC >KQL00576 pep chromosome:Setaria_italica_v2.0:VI:3209907:3210710:1 gene:SETIT_015724mg transcript:KQL00576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLGEAKNPNADQGEAEEEQSKHAEAEHLACFAGDRRATSHQQVDVAECSTALSDCGATRPTMTTPGTTAMFAGLAILLFSVSAGFNSGAGAFGLLLCFAGVLAGANIVAVGIRMSGAYLAPVVPAVLAEARALAEFLRRNLAVVGLVMASCAVTAVSGEAGQELGFGMFALLLLGLSLISVGILGLSQMH >KQL01893 pep chromosome:Setaria_italica_v2.0:VI:27603637:27606985:-1 gene:SETIT_014321mg transcript:KQL01893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRRFFRQDHGDSGGSSSSSSSSGSDSDRDVAEEAASDDEVEEQQKEEEEAVEEESGEEEEEELKQQIQEESSGYQSEESSGNDVDGPSVDDDHSSPRGEECDEISLPVKKSSGANAGSAKSAANTDDAVEEDFANCILKCKSVYKCKLCPRIMCLNEEMVRVHLKSKRHARSKKLLGEGRLKLMLNSDGELEEEEETHAERHARTIALAKQVQKPKKDSGRQRQNRRKKRSRNHQEKKQEAQGSNKKQRKAKG >KQL00866 pep chromosome:Setaria_italica_v2.0:VI:5787084:5788628:1 gene:SETIT_013647mg transcript:KQL00866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGIALVGGCQAVDFISDYYKSLESLPVLPDVKPGYLQNELQAAPPTNSAPFDVAMKELRASVVPGMTHWASPNFFAFFPSTNSAAAIAGDLIASAMNTVGFTWQANPAATEMEVLALDWLAQLLRLPASFMNRTAAGRGTGGGVILGTTSEAMLVTLVAARDAALRRSGSEGVAGLPRLAVYAADQTHSTFFKACRLAGFDPANIRSIPTGPETDYALDPAKLLEVMEADVAAGLVPTYICATVGTTSSNAVDPVGAIADAAALFNAWVHVDAAYAGSACICPEFRHHLAGVERVDSISMSPHKWLLTCLDCTCLWVRDTHRLTDSLETNPEYLKNDASDSGAVTDLKDMQVGVGRRFRGLKLWMVMRTYGAAKLQEHIRSDVAMAKMFEENVRSDDRFEVVVPRNFALVCFRIKPHGGMTEEDADEANHELMERLNRTGKAYLAHTVVGGKFVLRFAVGSSLQEERHVRSAWELIKKTTAEIMQD >KQL02859 pep chromosome:Setaria_italica_v2.0:VI:34990341:34993562:-1 gene:SETIT_015674mg transcript:KQL02859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIEGRIGCYRRVALDVLMATARSLNLDDDDLKEVNQLAAEESYYGYGMVSDILVLKAFGVNHHRDYRWDYYWDPVQRLLFGAPLPDSNIRSRIPGMIREMLQGKRRLLLVENLHVPVPMDVLFLSTQFIRPSVLFQPNGWVISTASKDVCNQSREHELSYGSPGAILGLLEYYHTLHFDDPRAQHWVVLIKEALQDAAGTIRSTLVHQQREDKFWLHVAQKCLYYGILYCPMQGAAARHDITSDELVRCWVAEDLLSPITTITGTPQMTTGRNYRSALEAGKVVIQALQEYSLLPNPPSNTCSRDTGVSVLAMGVPRLKEEDLFYHEKIDRLRWVSFMNDDGRHVSWDWREYWHPGLRNWDRSFPGERITTLILRGCSNISGFSFDRVLDLHVLDLSYTPINSLPPYLSQLSNLRLFSLRGCSKLETLSSPQHTTRPLSCLGSLETLDMNGVPLLELTQQDCSNKSNLHFLDLSGSRITILPSEFFFEMSSLEELMLGNCIHLKELPPSLAQLSNLLILHLEGTQIISFPEDTFEAMQRLHTLKLIGNMALMSLPTSLSKANGLRELHINNCKRLRLQFLWELVPGLEDLYIQTWEALEDIKIHGHPNLRTFSVSGPWIRCLSLRGCSKLKIVNIGDDLTALEDVDLSGTAIEEVPHSLPNLPQLRMLLLLNVPCFKRFPWHRLVRFPKVFYLDNCLDDGNHLSQMFRQKETDNIAQININDSRIFHSFSEDAANKLVKEGQFFQSFNVQIKPCSVRGKEPRDKPCTVIQRQLPYLDLTCFEAATIVPMMKLQPRRRHVEISAMNQYPNGLRILPVTNSLFITDDASIRCVNDLNCNLNSLEVCQLQHCNKMTVASNLNNLVCFLEIGYVVYADYWTLKLLKRIHLEHCPRLEEIFPSCLSLPALETLVILFCPSLKTIFYKHKPVAPCPLPNIESIYLQELPQLQHIHEDAMFRFATPKWETLLVRSCRSLRRLPFLKEHPKSKVKVSGERDWWDRLQLSLPEQGNYYQQIPPPPEFASRKKKVIIKSYLR >KQL01524 pep chromosome:Setaria_italica_v2.0:VI:21133291:21134193:1 gene:SETIT_014514mg transcript:KQL01524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPQPRRGEDEGLQQQGQGKAPAVAQQEPIKYGDAFAVKGELAAQPIAPRDAAAMRSAEDSVPGVQVPQESGGGFSAGAFMESAAQYNEAVGAVRPGQASDAAAKHGINVTQDAVPGGRIVTEFVAGQVVGQYAVAEVAPAQQDSAGAKAAGTGGGAGQGDAGATGAPGAPAGAAAARRG >KQL01273 pep chromosome:Setaria_italica_v2.0:VI:12964047:12967199:-1 gene:SETIT_013254mg transcript:KQL01273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCDGGGHRRTALLFLAAAVAACVAAAAAQEPNTDAYFVSGFFSKLGRPAPSSSGASGVCSWPGVSCDGEGRVVAFSAAGMGLAGAIPEDTVGKLARLQALDLSGNRLTALPNDLWELGASLRALNLSGNAIRGALPNNVGNFALLQVLDVSHNAFTGALPQALGSIAGLQVLNASHNQFQGQVPSAVVFGCGNLVAMDLSGNALDGDLPDLSPLRSLAYLNLSGNRLGGSVVGAFQEQLRVIDLCNNRFSGLNFSSGYAGSALVYLDLSGNELLGEFNIAGRFRNLRHVNLAHNQLSNANLLVSMGEISELEYVNLSSTGLHGQIPPQFSSRLVGLKVLDLSRNNISGVVPDMSSLRLRVLDLSVNNLTGEIPVELVKKLASMDRFNFSYNNLTVCASELSPEAFAAAFAKSRNDCPIAVNPDSIKKSRGKRKGMKLALAVVLSLFFSVLGLLCLAVACRRRRKRCDTLPVVKQVSFKEEPAVSGPFAFQADSTTWVADVKVATSVPVVIFEKPLLSFTFADLLAATSNFDRGTLLAEGRFGPVYRGFLPGGIQVAVKVLVHGSVMEDQDAARELERLGRIKHPNLVPLTGYCLAGDQRIAIYEYMENGNLHNLLHDLPLGVQMTEDWSRDTWEDNVDGVATEIITPEGTATWMFRHKIALGAARALAFLHHGCIPQIVHRDVKASSIYFDCAMEPRLSDFGLSMIAGTSIDNDLLHHSPGYTPPEFSISENAMATAKSDVYSFGVVLFELVTGKKPLGDEYPDQKEASLVNWARAMVKANLGSSIIDPKIRDTGLERQMEEALRIAYLCTAELPSKRPAMQQIVGLLKDIEPKVAEQH >KQL01526 pep chromosome:Setaria_italica_v2.0:VI:21150384:21150942:-1 gene:SETIT_015087mg transcript:KQL01526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELERLLPGTSNWVVEEKCQNTFTTTFPSPGSILGVTKMVDMRFTKKYGNARVRVAVQNPGLIPELVDIVIGEYVYKLRFRVEREDNTDNPTPLDMDIDPDRDDDKTSRKRKNIKRNWGRRKLW >KQL00244 pep chromosome:Setaria_italica_v2.0:VI:757861:763087:1 gene:SETIT_013345mg transcript:KQL00244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSDAAPPTPPPEVVVHAEDHPPAADSAAAAAQEDAAPGEVDHKRKLEEVGADAEANGDGEDAKRPRVDGETDAPPGTEQQNDGSSVNVEEAAAAEDGGVAATEGVADGDNGTVAASEEKPLEPTPEAAAEAPQQEVDAADASQETSRKIEVPNSKVGVLIGKAGETIRNLQMSSGAKIQITKDVDADSNALTRSVELVGTLGSVDKAEQLIKSVIAEAEAGGSPALIARGFGSGQSGSEQFEMTVPDNKVGLIIGKGGETIKGLQTRSGARIQLIPQHPPEGVTLTERTVRVTGNKKQIEAAKDLIKQAMNQNFSKHTNQSGGYGSQGYRPQGHGAASQWGPRSQSQPGYGYPPRGMPPPQNYNPPYGGYPPQGPPRGGMGWDQRQGPPPHPSYQGGGSDYYKEGSQPYDSQPPSYPPGPGNFNSYGQSQAPGYGQPPYPQHAPQQNYGHGYGDPRYNAPPPNQYYGQPPMGPQQGYPQQPDPYARPPYSGPGQWAPPRGAPAADGSYQAPPPASYGPPSQQPPAYGQTYGAATGPDGYAQQGYPQQGGQAPAPYGQSAPAAQGYPQQGAQQGGYVQYPQTQPAYGDQAAQANANYGYQGAPADPNYGNAYPQSGYGPAPAVGQAGYASAPAAGQPAAYGQAGYTQPPANPPSYDQSAAAPAQTGYTAPAANPQPAPAKGVSPQPAAAGYGGGQWTG >KQL00758 pep chromosome:Setaria_italica_v2.0:VI:4738149:4738583:-1 gene:SETIT_014824mg transcript:KQL00758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRPRMGWRPHGKITCLQAALSLRLVHEIDDPSSDLHSFSSSKDRTTIMQELPYGF >KQL00294 pep chromosome:Setaria_italica_v2.0:VI:1122319:1124682:1 gene:SETIT_013910mg transcript:KQL00294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAGDSAVPNWVMLERLVFRRDDPASFREDRRTFASGTTSAGTQFDVSFILAEPPTPSRLYLSWPEGPKQESRGLVMAANRNLVLLRLDSLVDESEPFGEVVHDYFIYIADPSSQQTPLLRRLPPCTEYDDYFERQVTRVLPALAVGLLCHGEDEFAVAHLDIRERKKKSGSRKKKLGIQAELCVLRSSLSSSDDAKWETKVFPLQYQYDDLHYAKWCSSLQECLVLGGHRLVFVDVARHDGKCYGPRMPNTGFTLTSRTLKMTGNCTTPWEWNQDAVVTSDELWHANTMESLPRDIVMLPLLSMDKANVAHLSMLDWDGGRFSLVSIDLSNMQVMGPVITYLEGEDDTADADIVKAKQVLCAHFIPSEFPKFLDLRERENHP >KQL00286 pep chromosome:Setaria_italica_v2.0:VI:1037259:1038418:-1 gene:SETIT_014242mg transcript:KQL00286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSLALLAVVLAYLQVVSATSWLRQFTTDGTVRKGYDASGQQVVMLKLDRNSGAAGLNSKQQYLYGEFSFEMKLIRGNSAGTVSCFYLSSGTDDWRDEIDMEFMGNSSGQPVVLNTNVWANGDGKKEHQFNLWFDPSADYHTYTIIWNPTNILFKVDNVFIRSFKRYADLPYPSSKPMTLHATLWDGSYWATEKGKIPIDWNSAPFVVSYRSFYANACVAGGACNAGRGGWMRKRLNGAELGTVRWAERNYMRYNYCQDGWRFPQGLPAECSRK >KQL00457 pep chromosome:Setaria_italica_v2.0:VI:2232315:2232681:-1 gene:SETIT_015183mg transcript:KQL00457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLLLPVVRGVVGKAADALVQSITRMWGVDKDRLKLERHLVYVQSLLADAEAKSETNPAVRMWMKELKAAAYQADNVLDDFQYEALRREALSDQPRRRQRAET >KQL01091 pep chromosome:Setaria_italica_v2.0:VI:8036821:8039916:-1 gene:SETIT_014044mg transcript:KQL01091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLGDGAAAAASSANLVVSFGEMLIDFVPDVAGLSLAESGGFVKAPGGAPANVACAVSKLGGASAFLGKFGDDEFGHMLVNILKQNGVNSEGCLFDQHARTALAFVTLKKNGEREFMFYRNPSADMLLTEAELNLDLIRRAKIFHYGSISLISEPCRSAHMAAMRAAKAAGILCSYDPNVRLPLWPSEEAARAGILSIWKEADFIKVSDDEVAFLTQGDANDEKNVLSLWFDGLKLLIVTDGDKGCRYFTKDFKGSVPGYKVNTIDTTGAGDAFVGSLLVNVAKDDSIFHNEEKLREALKFSNACGAICTTQKGAIPALPTVAAAHELIAKGN >KQL01635 pep chromosome:Setaria_italica_v2.0:VI:23854394:23855734:-1 gene:SETIT_015331mg transcript:KQL01635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHLHRLLLLFLAVAATAAPALAIFHFDFRFDTDGPFSGGSVSRHNLWRRAAIESKARHEKNAAKLAKALGKDAGGDITAADVTVTPYTHQGHALTVGIGTPPQPSKVILDMGSDLFWTQCSLVGPTALQEEPVYDPSRSRSFSLLPCSSKHCEQGAFTSKNCTDKRCVYENDYGVLTATGVLATETFTFGEKRDAAVNLTFGCGKLTNGTIAGASGILGLSPGPLSMLKQLSIQKFSYCLTPFGDRKTSPVMFGAMADLAKYKTTGKVQTVPLLKNPIEDMYYYVPMVGISLGSKRLDVPAESLALKPDGTGGTAVDSATTLAYLVTPAFEELKKAVMEGVKLPAANKTVDDYPLCFELPKGMSMDAVQAPPLVLHFDGGAEMVLPRDNYFQEPSPGMMCLAVVQTPFEGAPSVIGNVQQQNMHVLYDLAERKFSYAPTQCDKL >KQL01378 pep chromosome:Setaria_italica_v2.0:VI:18269427:18272993:1 gene:SETIT_013520mg transcript:KQL01378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTAAASALPASAGSGENLVLILDYGSQYTHLITRRVRQLGVLSLCVSGTAPLAALEGLRPRAIVLSGGPHSVHAKGAPTFPEGFLDFADGAGAHVLGVCYGMQLLVQFLGGAVEPGERQEYGKMDVEVTAPSSALYGEAETGKRQTVWMSHGDEVVKLPEGFEVVARSVQGAVAAIENREKRFYGLQYHPEVTHSPQGMETLRRFLFDVCGIKADWKMQDVLDEEIKTIQSMVGPDEHVICALSGGVDSTVAATLVHKAIGDRLHCVFVDNGLLRYKERERVMSTFESDLHLPVTCVDASEQFLSKLKGVEDPEQKRKIIGREFIAVFDDFGHKLEQKIGKRPGFLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRKLGSILNVPDSFLKRHPFPGPGLAVRVLGDVTQGNALDTLRQVDEIFVQAIKDAGLYDKIWQAFAVFLPVQTVGVQGDQRTHSNAVVLRAITSEDGMTADWYYFGHEFLVDVVNKICNNVRGINRVCQDITSKPPATVEWE >KQL00268 pep chromosome:Setaria_italica_v2.0:VI:884656:886999:1 gene:SETIT_014419mg transcript:KQL00268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKVQLRRIENPVHRQVTFCKRRAGLLKKARELSVLCDAHIGIIIFSAHGKLYDLATTGTMEELIERYKTASGEAALQGDGGGDHRMDPKQETMVLQQEINLLQKGLRYIYGNRANEHMTVEELNALERYLEIWMYNIRSAKMQIMIQEIQALKSKEGMLKAANEVLQEKIVEQSGLLDVGVMVADQQNGHFSTVPLIEEITNPLTILSGYSNCRGSEMGYSF >KQL02235 pep chromosome:Setaria_italica_v2.0:VI:31125206:31127417:1 gene:SETIT_014512mg transcript:KQL02235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLKFHEQKLLKKTNFLEYKREGGHREALVTQRYRLVERDDYKKYNGICLMVQKLVNIIKQMDPRDPFRIEMTDMLLDKLYNMGVIPTKKSLLKCENLSASAFCRRRLATVMVKLKFAEHLKEAVTYIEQGHVRVGPETVTDPAFLVTRNMEDFITWVDSSKIKRKVMEYNDALDDYDAMF >KQL02437 pep chromosome:Setaria_italica_v2.0:VI:32526985:32528274:-1 gene:SETIT_014240mg transcript:KQL02437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGAPPPWSRLEGQVVLVTGASSGIGRDFCLDLARAGCRVVAAARRADRLRSLCDEINASAAADGPRAVAVELDVAAGGSALEAAVQRAWDAFGRIDVLINNAGIRGAVHSPLDWPEDEWDKLIKTNLTGLWLVAKHVCRRMRDAKLKGSVINISSIAGLNRGHLPGSIGYASSKSAVHYATKLMALELGAYGIRVNAIAPGLFKSEITAPLLQKRWLNTVASKIVPLKEHGTTDPALTSLVRFLIHEASSYVTGNIFVVDSGVSIPGVPIFSSL >KQL00540 pep chromosome:Setaria_italica_v2.0:VI:2881744:2882337:-1 gene:SETIT_015241mg transcript:KQL00540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DAFGGEFVRNEVVLTNQKDMTTKDARVHEGCAPHSGEVRKMSLEKINAITIVALNLKLISRVPPTRSKTKGRSIPPSEKKEITLGAKGVKKGTRKCSICGYYATHNARTCPKLQHNKERLEVLKNRMRGRPRGAQHKRSTSQHDSGGEEHNIGRQQDTKKCKENKYIDYESNDEQFRDTDMEG >KQL02230 pep chromosome:Setaria_italica_v2.0:VI:31099643:31101069:-1 gene:SETIT_013878mg transcript:KQL02230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSNGRRLRLVLVAVALAGAAVDAVTAQQGPRTGPGPGPSYFDPKNFNPSMAIVMVVLVTAFFLLGFFSIYLRRCAGPPLGGPDDDGYPAGGRRPLGIARAARGLDRAVLESFPTMAYADVKAHKVGKGALECAVCLSEFDDDETLRLLPRCSHAFHADCIDAWLASHVTCPVCRAVLAPDYYEAAPAPPLAPASAVSAAEQDAPRQQAPETATAPEQAAAAVVVVVDAEETEEERNRREEAAELMRIGSVKRALRSKSGRQPAQFPRSHTTGHSLAGAAPAEASERYTLRLPEHVLREVVAASSLRRSASVQAGGDGSARRGFGGARAGRSVRLGSSGRWPNMSMLARTFSARLPAWGSARRGEADAPAKGAKVAGDGKAEEQCDGGACPLGAHV >KQL02472 pep chromosome:Setaria_italica_v2.0:VI:32764754:32766685:-1 gene:SETIT_015323mg transcript:KQL02472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILQNARQAKISEGKLPDPIKPRQSTSLEHMVKHRHEYDEYLAKANLLDFSNLLNKGLPALDHGLLSALVDRWSPITNTFHFPFGEMTPTLQDVAMMFALPINGKAVIGDIRRASINVQEILGVALPTKLKEGREEQATQVTQGWLMNNFNSLSTNASPAVIQSHTRAYALSILEGFIFSNKSSGTVHFDILPLLADWDTAGQYSWGSAVLAFLYRELRMASCNAGLFKKVGVGGCLSFLQLWFWIRVPLGRPQLPFFSVHNQSKLSVWLDLKCFKTRKRSHLEYTLSIDSVDFLKEPGLCFRDKDVWRANTLLNYFWIVEPHNVHRVKKQFGEDEFEQTIEDFQGQKCGIKVESKYLNRSDQEPDDQKYKEYLKWLQDVSFVDFTFHLTESNDDVHRFIKPIAYSMNKITEDLDEYLKVDCSSKEKCSTMWKLEDILARLKNLTNRLGLYSMPKNVMLEESCRDEEDEDDDEDEDSDNWMQDDARKTKRQW >KQL02843 pep chromosome:Setaria_italica_v2.0:VI:34931107:34932904:1 gene:SETIT_014461mg transcript:KQL02843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPSLQGRPSQAPAKKLSSPFLGAPASFLRPLAPASSAAPSRRTLAVRAMAPPKPGGKPKKVVGMIKLALEAGKATPAPPVGPALGAKGVNIMAFCKEYNAKTAEKAGYIIPVEITVFDDKSFTFILKTPPASVLLLKAAGVEKGSKEPQQEKVGKVTADQVRTIAQEKLPDLNCKSIESAMRIIAGTAANMGIDVDPPILQKKEKVIL >KQL01138 pep chromosome:Setaria_italica_v2.0:VI:9146752:9157450:-1 gene:SETIT_014981mg transcript:KQL01138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRLLLRSRHRRRYSSAAATVPLSTPTFAVFGANTGVGKTLVSAGLVAALLNSRSPSVSAVSYLKPLQTGYPADSDARFVFARAPALLRASSSPRATRLVASCRTLFPSPAVGPEAEPLHQSQEKVVTYGGDGAEEETKVLACRTVYAWREPVSPHLAAEREGMAAGDDEVRGCVDQWLMEEGVGEGGEVWKVLETAGGVASPSASGTLQCDLYRPFRLPAILVGDGRLGGISSTLSAYETLLLRGYDVSTVILEDRDLTNDTFLLSYLRNRVHVLALPQIPEDPSDDLTDWFSESSSVFNLLKDSLQSFHSKRVERLNGMQKKSKDLLWWPFTQHNLVPQDSVTVIDSRCGENFSAYKVYNTMMLVPQFDACASWWTQGPDSDLQIELARDMGYAAARYGHVMFPENVHEPALRAAELLLGGVGKGWASRVYYSDNGSTAVEIALKMAFRKFSLDHGILADSEKSIRNERNIQLKVLALNGSYHGDTLGAMEAQAPSAYTSFIQQPWYSGRGLFLDPPTVCIKSETCNVSLPQSMQHYPRTSGDTCFPSLAEVFCKTRDTSSAADLYLSYIKQQLSEFSQSSNSDHLAALIIEPVIQGAGGMLMIDPLFQRVLVRECRSRKIPVIFDEVFTGFWRLGVESASELLGCLPDIACYAKLMTGGIVPLAATLATEEVFESFKSDSKLTALLHGHSYTAHAMGCMAALKAIQWYRDPSTNSNLDLDHMKLKELWDGALVKQLSSLPNVKRVVSLGTLCAIELKAEGSDAGYASLYASSLVQQLRREDDIYIRPLGNVIYLMCGPCTPRDSCSRQLHKVLRRLCDLN >KQL01421 pep chromosome:Setaria_italica_v2.0:VI:19209865:19211944:1 gene:SETIT_013573mg transcript:KQL01421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNPPFGSKAILANKFIDKALTFKPKLIILIVPKETERLDEKRQPYDLVWEDTGSLSGKSFYLPGSLDVTDKQMDQWNVTPPPIYLWSRPDWTQKHRRIAEEHGHSTLKNGRSGTRNETYMGENTNFIFEKQERVNGLPAEKHVEIACEEMKFALKRSDECQENQNGAHCERREEIASNTTRRIRELESTVYATKPDSDMSISPSETRNSQYKSRSDSPIHSEYPSDRMAHQDNYFSNPVHEPCTSPHERVPYEDYIRDVAEYGVASVEKHLAFSADNVGVGLRMHSPDLKELNGVNAGGPNSNLYGPASGGTGGSFYRNQNLENYPMDYSMENTVFAQRNAVTGVDVEDARMYDGRIRDNHNLSVSTATDIRAQIRMYGGHTGNDHPQTPIYPPTTDIRAHTGNDHPQTPIYPPTTDIRAQIRMYGRQSTHTSGYPGYADTQSTLTSSHGVSSLGSAGRSTMDRYTPRLHETNHATGLYGVPGNRSELTPDPLNFTSRQQYPYPHPGSFGDWHG >KQL02059 pep chromosome:Setaria_italica_v2.0:VI:29551146:29551511:1 gene:SETIT_014893mg transcript:KQL02059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNFLRPGAPHDQPLVDLPTARSAIAVAVLNAGITSLPYLLPCQCAGAGNSEQMYYDLYRRANFATATLGVALLVVGTAFSAAVFRSPAWPPVVKWMVWVTKVLTGVTLTYSLSVLHSCLR >KQL01436 pep chromosome:Setaria_italica_v2.0:VI:19445085:19446204:-1 gene:SETIT_014796mg transcript:KQL01436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQCVFWVIKCHFQNSVDVSGACRCVTSIRIGSDRIGSGPKQLTSWLIGTSCWSSTASDPSLPDAASGRR >KQL00137 pep chromosome:Setaria_italica_v2.0:VI:166645:171366:-1 gene:SETIT_013337mg transcript:KQL00137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVKNLWDILDSCKKKLPLQHLQNKKVCVDLSCWLVQLCSAHRSPAFLKDKVYLKNLFHRIRALLALNCSLVFVADGAIPSVKLATYRRRLGSNAAEAAREEANSQPMTSLRRNKSSEFSRMIKEAKHLGMALGIPCLDGVEEAEAQCALLNFASLCDGCFTSDSDSFLFGARTVYRDVFIGEGGYVICYEMEDIEKKLGFGRNSLISLAVLLGSDYSNGVHGFGPEAACRLVKSVGDDAILGQILSDGVKPTRKCKAKNSGVNAGRAGGTCSKASICEVGISQDSGVQFRDIINAYLEPKCHSPDSEAVQRACSQRPFLRSQLQQICEQYFEWSPEKTDEYILPKIAERELRRFSNLRSTSSDLGLKPSLNEIPVPCPVLAIVKQRKVHGSEYYEVSWRNIDGLLISVVPGDLVRSACPEKITEFLEKKGEQKKQKRRARPKKSPPAAVKDVDVQLQELLLGIESESGTFPSTAAGPQTADIHTVAPLQDIVDLSSPSPPIRACKIARSRKLSGSPVVPMDGIDLQSRSLLPGTMESQENTFLCDTQNMTWDKDLIDLSSPLPCAAHKPLTAQGMQLHMEEGRRALSDISNYPENGSTLGASCYKHEGGSRGSDVQLEEASPLIGHGAGLVGKADDCSWRSHVENDAVAEAATIDLSPSPVISDKSKKDVDVIDICEAGSDRSPEHERKARELRSFLASIRDELY >KQL02798 pep chromosome:Setaria_italica_v2.0:VI:34632636:34633138:-1 gene:SETIT_015653mg transcript:KQL02798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTRGGLKGFYRQRKKPKPAPKKKPKNAQPQQDGVAAVTPARRRRSPARSIVSLYLIISEEEYGAEEEALRQFDMDTSYGPCIGVTRLRRWDRAAAMGLRPPPHVRDLILRRHHHGDGEVGGSSSFPPKKKISSDSSSQLLDDDCLWAGKV >KQL00900 pep chromosome:Setaria_italica_v2.0:VI:6152385:6152833:1 gene:SETIT_014731mg transcript:KQL00900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRPALVPPPCAAMGIDMTISIDGRGRRATTPSSSGRRCLLCEEGGIQGRRRRRRSLSPFLSEQSAAGPDPRQLLARTGAAASSTESTSATAD >KQL02035 pep chromosome:Setaria_italica_v2.0:VI:29308040:29309462:1 gene:SETIT_015738mg transcript:KQL02035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEIKPVEVSLEAGNLAEHSAALDDDGRPRRTGTVLTASAHIVTAVIGSGVLSLPWAVAQLGWAAAPPVMLVFGGVMYYTSTLLSECYRAGDPATGRRSYSYMEAVRAILGGAKVTFCGVVQYINLAAIAVGYTIAASISMQAVGRANCFHARGHADACRTSSVPYMIAFGAVQVAFSQIPNFDQIKWLSIVASVMSFTYSSIGLGLASRADRRERRVPGVTLTGVAVGAGLTVMQKGRRRPSEAGVMKKATAISIATTTAFYTLCGCMGYAASGTPRRDNLLTGFGFYEPFWLVDVANAAIVVHLVGAYQVFCQPIFAFVESRAAAAWPESAFVSKELRVGPFAPTALRLTSRSVFVCLATVVAMALPFFGSIVGLIGAFSFWPLTVYFPIEMYIKQRAVTRGSTKWICLKALAVVCLLVSIAAAAGSIAGFVSAFKVFRPFSG >KQL02333 pep chromosome:Setaria_italica_v2.0:VI:31827319:31828539:-1 gene:SETIT_015103mg transcript:KQL02333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VHALPMATAPPTDGEVDEGLSLHTDAFVEILMRLPPSCRRWARLVCRHWRDIIDQRTPRSPPPKVLAFFTSTRSASAYVVNDLEHGWGREVWGVTAGTATGRWIDVTAVGTCNGLLCLCDNRKPGGRVALLNPATGETLRVPPLPVSYRGLHGYGSGKRYTFGFHPATGAYKILHLPCRGDATAGFNVLQAFTLGAAAWRDVAVPGASCCLGAGLVRVGGAAHWVTKGMERVVSFDLGDERVAFDAALPVAAGPGSHCRLVEFHGRLGLAVSADRMTPAKTEVWVLGERAGGRQGWSRRYSVRVQGVEQRLAAPHFAHGGEYVLTVQSKEWGRKHVYAHRLRGAGRRLPRGEVRSVRIEEPGMAVAYREDGYYLETFAYVETTEPLSVYKIDQRAKGVHRQNKSCP >KQL01726 pep chromosome:Setaria_italica_v2.0:VI:25231651:25232776:1 gene:SETIT_015359mg transcript:KQL01726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSGNVDVNDLFASVTWPCQLPPGWTFEWDPSSIGEERVLEDDCIEVPLPSVGRSICTTIAAQAPMDIIFDIGGRVIRARRADVAALSHVMEALLYGNRVKSKLEIISIKDTNPAGFSLLIKYAYEGSLPEEADLRDTPINAWPMLLSLADMYCVERLKLHCASNMWDMACKKTVTTFLQWAIETNCTRLQEKCMSLIALISLDGTLTEDFVFVCYHHPEAIKRLRVLALKNVE >KQL02764 pep chromosome:Setaria_italica_v2.0:VI:34418705:34420620:1 gene:SETIT_015707mg transcript:KQL02764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLSTGCYIIRSPPARRRLCIPFFPWDPSRRREKKSSATPRSHEKMPKQIHEIKDFLLTARRKDARSVKIKRSKDVVKFKVRCSKYLYTLCVFDAEKANKLKQSLPPGLSVQEV >KQL02913 pep chromosome:Setaria_italica_v2.0:VI:35253090:35256638:-1 gene:SETIT_014611mg transcript:KQL02913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAANQLTALLNNMFATGLLDEQFQQLQMLQDPSAPDFVSEVVTLFCQDGERIIGELAKLLEKPTVDFDRVDAFVHQLKGSSASVGAQKVKNTCVQFREVCQLKSRDGCLKTLDLVRTEFYDLRGKFQTMLQLEKHIQGFK >KQL02940 pep chromosome:Setaria_italica_v2.0:VI:35413527:35416736:1 gene:SETIT_015267mg transcript:KQL02940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARNLNLDDDDLKEVNQLAAEESYYGYGMVSDILVLKAFGPDYHEDYHWYLIKLLFGSHLPRSNLRSRIPGMIREMLQGKRRLLLVENLQVPVRIDVLFLSTQLIRPVHNLFFMPNGWVISTTSKDVCNQSREHGLSSREHGLSSHFRWGQEYYHTLPFDDLRGQDWIVLIKEALKDAAGSIHSTLIHEQQEDKFWLHVAQKCLYYGILYCPMQGAAARHDITSGELVRCWVAEDLLSPITTITGTPQMTTDRNYRSALEAGKVAIQALQEYSLLPSPPSNTCSREEASSGWSDAVTGVSVLARGVPRLKEEELFYHEKIDKLRWVSFMNDDGRHVSWDWRENWPVDWDRSFPGERLSTLILRGCSNLLGFPFDRVLDHHLHVLDLSYTPINSLPTSLSQLSNLRLFSLRGCSKLETLSSPQHTCEKETRPLSYLGSLETLDMSGVPLLELTQQDCSNKSNLHFLDLSGSRITILPSEFFSEMSSLEELMLGNCIHLKELPPSLAQLSNLLILHLEGTQIISFPEDTFEEMQRLHTLKLIDNMALMSLPTSLSKANGLRELHINNCKRLRLQFLWELVPGLEDLYIQTWEALEDIKIHGHPNLRTFLVSGPWIRCLSLRGCSKLRIVNISDDLIALEDVDLSGTAIEEVPHSLPNLPQLRRLLLLNVPCFKRFPWHRLVRFPKVFCLDNCSDDGNHLSQMFRQKETDNIAQININDSRIFHSFNEDAANKLVEKGQFFQSFNVQIKPCSVRGKEPRDKPCTVIQRQLPYLDVSCSEVATIVPMMKLEPRRRHVEISAMNQHPNGLRILPVTNSLFITDDASIRCVTDLNCNLMSLEVCQLQHCHKMSVVFGMLSDRTGPTVYDAATEGSSTVVSVFPALKILQASNLNNLVCFLETNTFEPFEVHHLIWTLKLKLLKRIHLEHCPRLEKIFPSRLSLPALETLVILFCPSLKTIFYKTHEDAPCPLPNIESIYLQELPQLQHIHEDAMFRFATPKWETLLVRSCRSLRRLPFLREHPMSKVKVSGERDWWDRLQLSLPEQGKYYLQVPPPPEFASRKKKVIIKSYLR >KQL00942 pep chromosome:Setaria_italica_v2.0:VI:6633317:6637254:1 gene:SETIT_013284mg transcript:KQL00942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMFIDDLYSQITHDGMSCTNYATSPRSCAHPPRRGRVSRDAATSTSAGGPRCPIHVGTPCPCCPFEIQNAPPRDACRIRRDGPHRALARCSTEVGTPRDRPMPSGGRRLPPWTSPRSAGAPRWSPAAGTPVAGAGCGPVSGYRTPPVSAGGCFGTRVTPPTSGGARVTPPSTGGCSSRPPRPPPSLDSPYVRAKQAQVIEKDPNKAVPLFWAAINSGDRIESALKDMANVLKQANRAEEAIEAIRSFRDRCPYEAQDSLDNILLDLYKKCGRTEEQIEMLTIKLRVVDEELASGRWKTKLSKSHGRVVYLSLRDEKARLLGNLAWAYMQSENYEEAEMLYRQALAIEADYNKECNLAICLMKTGKLAEAKYLLQAIPYNCDDESHVKSLSRATEMLRDLELQSLPSPITQMKSKESRILLATDVEILEDPQPQTLSTPLSQLKYKEPHISVSANAEQHEKCSSWFPSPITQLKREEPRILVTVDAEKNEGCAEFQDLSRLFNDAATPHSILEKLRKRLVNEAPKSSIHDQIQTHTPTECLPNSEGNHNASENPVQGGKLLTKGVRKTWADMVDEEEQQLGEDKSWTDMVAKGEHQLRNDKLTVGVGTTEQTESSKHASKQEYRTPPPSQGSSTLHRPVIGGHQQGFSANSWRRSNSKISTDNKVNWDLVRAAPTWSKHKVQDHSGRVCQRPNAAHLKENTSGSKQAPWRSSASQRALFPDWKSKGEGYGHGYVPFGDNEHSQGSSRTEATHRWHNNAAGTVSWRPQNRLRVFQEITNEINQNVV >KQK99287 pep chromosome:Setaria_italica_v2.0:VII:32143658:32144964:-1 gene:SETIT_011814mg transcript:KQK99287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSFGCEYKRRRWYVRYVGESNGAGPVPPDFPVPLCRCGVQAEVKQSRHPKTAGRAFYVCKWTFDPMPAAPCDFFQRWVTPPPNPPRMTEEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEQEGRTCDWEDFSSHCDLLLKLGNTSKLWKSRKQQELKENIRKEYDVPIPDNDLLWGKIYQDMVHETGVEPEGLYARETIIKYWRQNRSKYPRPLTEMRREKIGGSCKRRESWRNKG >KQK96858 pep chromosome:Setaria_italica_v2.0:VII:16626211:16632859:-1 gene:SETIT_009891mg transcript:KQK96858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPPPAGAAAAAAPAAAGSPPPPAQVVGNAFVNQYYNILHQSPEHVHRFYQDASRLSRPAAGAAAAADGMDTVTTMDAINDKIVSMGITRAEIKAVDAQESLKGGVTVLVMGHLTGRTGVGREFVQSFFLAPQEKGYFVLNDILRYVGEGEGEEGTGHPAPPPPPQQPEVAVDAEAAPSAVLANGTVVGGGDTESVPREQDALPLPEQNATEPAPQPKEEEELNGEEVYNPPNNVEKPAVEETPVPEVIKEVQNNVAVAAPSSSPPVPLEEAPKKSYASIVKVMKEYRPPAPVVPSRPAPPKPEKQAPPALALVADAPAFSPNTQGGSFQDPEVDAHAIYVRNLPLNATPQQLEEEFKRFGTIKHEGIQVRSNKIQGFCYGFVEFEDASAVQTAIEASPVMIGERQCYVEEKRTTGSRGGSRGGRFAPGRGGNFRGEGLRGRGTYNGGRGYGRGEFGYRSDYGGRGGGRGGSSRGGDVGYQRVDHSGTAGGRGARAPSAATAAAK >KQK96859 pep chromosome:Setaria_italica_v2.0:VII:16629029:16632859:-1 gene:SETIT_009891mg transcript:KQK96859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPPPAGAAAAAAPAAAGSPPPPAQVVGNAFVNQYYNILHQSPEHVHRFYQDASRLSRPAAGAAAAADGMDTVTTMDAINDKIVSMGITRAEIKAVDAQESLKGGVTVLVMGHLTGRTGVGREFVQSFFLAPQEKGYFVLNDILRYVGEGEGEEGTGHPAPPPPPQQPEVAVDAEAAPSAVLANGTVVGGGDTESVPREQDALPLPEQNATEPAPQPKEEEELNGEEVYNPPNNVEKPAVEETPVPEVIKEVQNNVAVAAPSSSPPVPLEEAPKKSYASIVKVMKEYRPPAPVVPSRPAPPKPEKQAPPALALVADAPAFSPNTQGGSFQDPEVDAHAIYVRNLPLNATPQQLEEEFKRFGTIKHEGIQVRSNKIQGFCYGFVEFEDASAVQTAIEVIVHFTLVAF >KQK97198 pep chromosome:Setaria_italica_v2.0:VII:19559083:19563696:1 gene:SETIT_010264mg transcript:KQK97198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPIRLPEPEPPGGATPEIFAAGGGAAVVRRAVVIGNGCAGAEGQCLGLLRALGLADRLTLYRAIRPTGGINKWLHFLPISFHKILDQFLRRVFSDMRFATLVQGNKFVQYPVHNCQSFGLSYVLEADTQKMVTMRRSCISCCLWPRYHIIQHPRFHLDRFDLVVTPHHDYYALTAKGQQEVPRLLRRWITPQEPPGPNVVLTAGALHRADSSALRFAAADWHAELAPLPKPLVIVNIGGPTRNCKYDLGLAKQLVSSLHNVLKTCGSVRISFSRRTPQKVSDLILKEFSTHPKIYIWDGEDPNPHLGHLAWADAFIITADSISMLSEACSTGKPVYVIGAVHCRWKFSDFHNRLRERRAVRPFTGLEDMSDSWSYPPLNDAADVASRVREALAQRGWRLG >KQK98930 pep chromosome:Setaria_italica_v2.0:VII:30032044:30034897:1 gene:SETIT_012007mg transcript:KQK98930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ANQLRPSADFKDGAATAGVDLTGGLSDDVLVRVLELIPDARDLVRTGALSRRWRGLWTRVPALRFASSARPEFASSVAERYAAFVSDALALRAAQTEPAVERLAISFAALASPSIIFRAAEAWVQYAVDHALNPSTATLETTRLAIDHAIVKLPETAVFASLTDLSLERMGVEIGSGHLLARLLSPACCPRFRKLKLRKLRFRLAGFQELLLLEAGTLSELSFEDMVEPGVLQLRTPNLRVLRINHSCLNELVISHAPRLEILMIDGLPDRIDVDGDLPRVSEVIKGRIPHLPNATSLTVQISQFELHSFGNGVSDILAQCNNLKYLCLDLNCFIADLQRKNPKLDLTCHHQDHWKTHEISLVHLKEVELKGLTGTNCELWFMQSVISSARDLENPAASSSAPSTLPLPQRHRRSKPTPAAAPP >KQK96946 pep chromosome:Setaria_italica_v2.0:VII:17654313:17654802:1 gene:SETIT_011469mg transcript:KQK96946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGKDEWTRSPSCPRDHTGYVLLGQRCPFGALLAWLENGIPGRRGTVAPLQSRLSASMGKNLTGWCDDQGVLLSRWQTRFRDANQLR >KQK98511 pep chromosome:Setaria_italica_v2.0:VII:27607462:27607735:1 gene:SETIT_012833mg transcript:KQK98511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPFNKFRASLRFGSFLMLTGPVCILELIKHCLHYCAVSLRSAFS >KQK98378 pep chromosome:Setaria_italica_v2.0:VII:26643331:26644429:-1 gene:SETIT_011809mg transcript:KQK98378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DEPILMQQGSLIEMTGPKRAISLCASVLFEFDMRIKNGDQEEDDLQLIDGAVGYCELGAPCWPFTNLIKGDYGAVDITLALIYRAVEATIEVVISELQNSFHLSLSSLRRYVVAVVMDTWMHLKFRVGQNGSRDGVERYISFEANQHGCAGRQVMFEFTSISVKVTWSTLPT >KQK96146 pep chromosome:Setaria_italica_v2.0:VII:864201:864755:1 gene:SETIT_013033mg transcript:KQK96146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGQEVKLKNAHCRLDKVEKDLEKQSKMRRDFAVGLAEAMTTIWS >KQK96885 pep chromosome:Setaria_italica_v2.0:VII:16947923:16949353:1 gene:SETIT_011731mg transcript:KQK96885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTERRAHVVLFPFMAQGHVAPFRCLAELVRRTRPDARVTVVATPGVAEPLRASLDVAVRVHELPFDPAGHGLPAGAGTTAGIGSHHQLIALFAASESLRPAFRLFLAGLRAADPAAAVHVMADMFLGWTLGVARDAGASHSAVITTGGYGSAVYFSLWNAVPLPLPAAAASPDDAFKLPPFPDVAIRRSQLSHHLAAADGKDAWSTFIHKQIAAFTHTDGLLVNTTEDLEPKGLAMLRQLFNVPIYPVGPLLRATAEPEPPPAPAPGAKNGGAILDWLDKQPPGSVLYVSFGSQFTIGASQMTELATGLERSGHRFLWVIQPTAARSGLPEGFMERVEASRGGLVARCWAPQVEILAHEATGAFLTHCGWNSVQEALGAGVPLLGWPLSAEQFYNAKVLAEEMGVCVEVARGARAALGAEELAAAVETVLGETVERAEMKRKAAEMKELIAAARGSGGDGSSLKVMERLFADVMH >KQK98225 pep chromosome:Setaria_italica_v2.0:VII:25737345:25739703:-1 gene:SETIT_009552mg transcript:KQK98225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLVLLLFLGLGGLLPAATAADEQFVFDGFKGANLTLDGMATVTPDGLLLLTNATKQLKGHAFYPAPLRFHRTPNGTAMRSFSTAFVIGIIGAYEDLSSHGMAFVVAKSRNFTSALPGQFLGLVSSATNGNATNHLFAVEFDTILNSEFSDMSGNHVGIDVNGLNSVDADNAGYYDDATGAFRNMSLVSRKAMQVWVDFDGPTMQVNVSMAPLEVARPRKPLLSTTVNLSSVIDGDTAYVGFSSASGILFCRHYVLGWSFNMDGAAPALNISSLPTLPVTFPKPRSKTLEIVLPIASAALVFAVGAAVFAFLRRRRMYAEVKEEWEATFGPHRFSYKDLYHATDGFSDERLLGIGGFGRVYRGVLASKVEVAVKKVAHGSRQGMREFVAEVVSIGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKYLYDRSKIPLSWGQRFRVIKGVASGLLYLHEDWEQVVVHRDIKASNVLLDKEMNGRLGDFGLARLYDHGTDPHTTHVVGTMGYMAPELGHTGKASKASDVFAFGAFMLEVACGRKPVVQDARDNRLVLVDWVLERWRAGVVTDTVDPRLAGDFVGSEASLVLRLGLLCSHPLPGARPGMRQVVQYLDGDVPLPELSPTYQGLNMLALMQDQGFDPYIMSFPMTSMGASTISDLSGGR >KQK96203 pep chromosome:Setaria_italica_v2.0:VII:1683534:1684004:-1 gene:SETIT_011962mg transcript:KQK96203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQHLLLVVLVASILHATASSLETTSSASNWTVAAAASTVYDVLEQNNLPRGLLPQGVQSYVLHDGGALEVTLPRECNFFVSVAGKRFHFRYGSSVAGVIQSGSISRVSGVRVQAGFAWLGFNQVQRAGDQLNIQLEKSTQSFPVSAFAQSPRCS >KQK99168 pep chromosome:Setaria_italica_v2.0:VII:31266791:31273296:-1 gene:SETIT_009196mg transcript:KQK99168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESALADGKAEKAASGGGGDAAGEGKKRADQAVAFHELFSFADKWDLMLMAAGSLGALAHGAAMPLFFLLFGDLINGFGKNQTDLRTMTDEVAKYALYFVYLGLVVCVSSYAEIACWMYTGERQVIALRKAYLDAVLRQDVGFFDTDARTGDIVFGVSTDTLLVQDAIGEKVGNFIHYIATFLAGLVVGFVSAWRLALLSVAVIPAIAFAGGLYAYTLTGLTSKSRESYANAGVVAEQAIAQVRTVYSFVGESKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQSDGGKAFTAIFSAIVGGMSLGQAFSNLGAFSKGKIAGYKLLEIIRQKPSIVNDHKDGKWLAEVHGNIEFKEVTFSYPSRPDVMIFRDFSLFFPAGKTVAVVGGSGSGKSTVVALIERFYDPNEGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATVAEVEAAATASNAHSFISLLPNGYNTMVGERGTQLSGGQKQRIAIARAMLKNPKILLLDEATSALDADSESIVQEALDRLMVGRTTVVVAHRLSTIRNVNMIAVIQQGQVVETGTHDELLAKGSTGAYASLVRFQESARNRDLGGASTRRSRSMHLTSSLSTKSLSLRSGSLRNLSYQYSTGADGRIEMISNADNDRKYPAPRGYFLKLLKLNAPEWPYAVLGAIGSVLSGFIGPTFAIVMGEMLDVFYYRDPNEMEKKTKLYVFIYIGTGIYAVVAYLVQHYFFSIMGENLTTRVRRMMLSAILRNEVGWFDEEENNSSLVAARLAVDAADVKSAIAERISVILQNMTSLMTSFVVGFIIEWRVALLILATFPLLVLANFAQQISMKGFAGDTAKAHAKSSMVAGEGVSNIRTVAAFNAQSKILSLFSHELRIPEQQILRRSQTSGLLFGLSQLCLYSSEALILWYGSHLVRSHGSTFSKVIKVFVVLVVTANSVAETVSLAPEIVRGGESIRSIFGILNRATRIEPDDPESERVTTIRGDIELRHVDFAYPARPDIQIFKDFNLKIHAGRSQALVGASGSGKSTVIALIERFYDPTGGKVSIDGKDIRRLNLKSLRLKIGLVQQEPVLFAASILENIAYGKDGATEEEVIEAAKTANVHGFVSQLPDGYKTAVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMKGRTTVLVAHRLSTIRGVDRIAVVQDGRIVEHGSHNELLTRPEGAYSRLLQLQHHRV >KQK99577 pep chromosome:Setaria_italica_v2.0:VII:33607101:33607461:-1 gene:SETIT_011927mg transcript:KQK99577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQILLRRLSAHSHVIRGHGCRGLSSAVYGGGGERRRASALPPQDEENRAVRVSVWWDFENCNIPTGVNVCRVAPRVSAALRAAGIRGPLSITAFGDVLQLARSSQEALAATGVSISHVP >KQK96810 pep chromosome:Setaria_italica_v2.0:VII:15736584:15743463:1 gene:SETIT_009216mg transcript:KQK96810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLSLFSRHARHPTTPPPPSFSGGETPPRRRQPKENVDPSSYSSSPAHHDHGASPFRSPSSAAKPLSARNRLLPPRPPSSNPLKRKLDVSSAATLPHDAAAPAPDSGVQVVVRIRPPCRVDDEEAGEDGRGPEACVRKTAVNSVAIHGQDFTFDAVADAVSTQEDIFNLVGLPLVENCLSGFNSSIFAYGQTGSGKTYTMWGPLSALSEDSVSSERGLTPRVFEQLFSRIKEEQVKHADKELTYNCICSFLEIYNEQITDLLDPSQKNLQIREDVRTACVYVESLTKQYVFTMKDITQLLVKGLANRRTGATSANADSSRSHCVFTCVIKSESKNPEDGSSSTRSSRINLVDLAGSERQKLTHAAGDRLKEAGNINRSLSQLGNLINILAEISQSGKQRHHVPYRDSKLTFLLQESLGGNAKLAMICAVSPSQSCKSETLSTLRFAQRAKAIKNNAVVNEEKVEDVNALREQIRQLKDELHRMKSNGGLDGNNGSSATGWNPRRSLHLLKMSLGRPTTFQAIKEDSDEEMEIDENDVEKPYNHDNMAISPIKGKDSNGLRASRDASAGTSHVEALDGDKNLISTKRSCCDANKFSTGADVGDGKCKLNLAASIQKGLQVIESHQNNSAWRRASVVLNARIMDIQPCKVDVAIQTDPEESEARDNPLALIPSCLLEASANESRDPSACRDLQLVPADGAVPSDDQKQQHFLKAVEKVLAGAIRREMARDEQCAKQAAEIQQLNRLVQQYKHERECNAVIAQTLEGKIARLESLMDGTLPTEEFMNEEYLSLMNEHKILQKKYENHPDVLRAEIEVKRLQEELDMFRNSGDEKEVLQEEIQDLKNQLHYMLSSSSSIRRLLPPLPLSQGAYSGPGTKDKDGDINVADAPDWTEAESKWITLTEELRVELEATKSLVGKLQSELESEKKCSEELKEAVQTAIQGAARHLEQYADLQENHFRLLALHRRMREGVEDVKMRAEKAGIKGAELRFINALAAEISVLKAQNEGLQGQLRDTAEAVQAAGELLVRLKDAEEAEALAKKRRLVAEQETEKAYQEIEKLKKNYDQEILSLNERLAESSQSQCKDGAVQPEEPSDLEPPRYDTAGSPSGSQQWKVELDTLQQGGSFEVSKSTDLNSWFYGYDKCNI >KQK97790 pep chromosome:Setaria_italica_v2.0:VII:23280199:23286391:1 gene:SETIT_009888mg transcript:KQK97790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSSPSSRRSSFNSLSRDLDLPSEQGCLSIVVLGASGDLAKKKTFPALYHLFDQGFIQSGEVHIFGYARSNLSDDGLRERIRGYLKGAPEEDVSEFLQLIKYVSGSYDNGEGFEKLNKAISEYEASSKSESYRRLFYLALPPSVYPSVCKMIRTYCMNPSSHPGWTRVIVEKPFGKDLDSAEELSAQLGELFEEHQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKPEEVVLGQYDGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSKKAEVRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDEGKMKAVQYQPGSRGPKEADELSARVGYVQTHGYIWVPPTLA >KQK97789 pep chromosome:Setaria_italica_v2.0:VII:23280199:23286391:1 gene:SETIT_009888mg transcript:KQK97789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSSPSSRRSSFNSLSRDLDLPSEQGCLSIVVLGASGDLAKKKTFPALYHLFDQGFIQSGEVHIFGYARSNLSDDGLRERIRGYLKGAPEEDVSEFLQLIKYVSGSYDNGEGFEKLNKAISEYEASSKSESYRRLFYLALPPSVYPSVCKMIRTYCMNPSSHPGWTRVIVEKPFGKDLDSAEELSAQLGELFEEHQLYRIDHYLGKELVQNLLVLRFANRLFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDQYGIIRDIIQNHLLQVFCLVAMEKPVSLKPEHIRDEKVKVLQSVNPIKPEEVVLGQYDGYKDDPTVPDDSNTPTFASVVLRVHNERWEGVPFILKAGKALSSKKAEVRVQFKDVPGDIFKCKKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMATEQSELDLSYGMRYQNVKIPEAYERLILDTIRGDQQHFVRRDELKAAWQIFTPLLHDIDEGKMKAVQYQPGSRGPKEADELSARVGYVQTHGYIWVPPTLA >KQK98824 pep chromosome:Setaria_italica_v2.0:VII:29405427:29406921:-1 gene:SETIT_012002mg transcript:KQK98824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAHKLGELLHHLRQCSAAALDRAMSLVCSLSQAYAEHRVTERVARSRRTLDGQVWRAAVDGAARLNSLLIHVVYFVALSCAGWGLLSALKVRAPHGSPRGIDMFFTAVSAATVSSMSAVEMEVFSNGQLLIITALMFAGGEVFISLVGLASKWSKLRKQIINRSQRVESHDDGVELETPAAIAAEAEAAADADDERSSMTITTVTEDHTGPVVDAKMLRLNAVRSMFYIVLAILLVVHVVGAVAVAVYMHAAPGARQTLRRKALNVWTFAVFTTVSTFSSCGLVKARSVVAMASSSSLGALAMSSSGGSNSSSERGGWLLLGHGLLFLLFSYSHLLSSSSPLFFPVARQARLVAPARAAREAYGMRRKKGEHCWLTSGPSASARERKRVRWCWRPSGRVGPP >KQK97765 pep chromosome:Setaria_italica_v2.0:VII:23139588:23141051:-1 gene:SETIT_011732mg transcript:KQK97765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGLDFRYTGRGLAMMGPPHAVGAAVGSCNLRDLLKLHDEDDLAAGRRAARQVSLPSASLSASTAVAVRTLLDIIRDDQPPPTATSRDGPGAAEPFLVHHAVSLPAPTMAASPPAVAPSSTSPACFPAPPLQSPVVAEEEEQGERVSLMALWSRPTVND >KQK97213 pep chromosome:Setaria_italica_v2.0:VII:19626198:19627477:-1 gene:SETIT_011657mg transcript:KQK97213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLAEYFVPAAVDGDVEHSDAAGLQGALDLGGESVQVERVVQVSGELEEEGCAGAGRGGQPPAGDGHHRWNQPSGEERAKKKRAGRGHGNGARAIGGTPRVAKICGVRGGGGGDDEQADTFLRRYETAPGYVSFEDMSDTAAFRDSSGRPPEAAISDPLVRSASRLYACEAFRRLQPRRQRSPGPLGTHRGSAMHGLVKKYCAPLLRNLPPVEPAVTSFLWAIHFQIILVMMF >KQK99786 pep chromosome:Setaria_italica_v2.0:VII:34762660:34766921:-1 gene:SETIT_0099961mg transcript:KQK99786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEAPLLLPAPAVEGCPGCVMERRKASSKGRIPYKELFFVGVTSLASSLPITCLFPFIYFMVRDFHISKTEEDIGFYAGFLAASYMVGRAIAAIFWGILSDRIGRKPVIAFSILSVVIFNTLFGLSTTYWMAIATRFVLGALNGLLAPIKAYCIEVCRTEHQALGLSLVNTAWALGLIVGPALGGFLAQPTEKYPHIFSKDSIFAGFPYLLPCLSVSSFATIVLISCTWLSETIHKHKVPEKDTKIVKALPPKDNYRDSPRRKSLLQNKPWVSTMLPYCLFSLHDTAYSEILSLWAVSDRKYGGLSFSTEDIGEVLAMAGASLLVYQLIIYRWVHKVLGTVNSSRVASAVSIVVLATYPFMTYLSGVKLSFALYSAAMMKSALAITVTTGICLLQNNAV >KQK96137 pep chromosome:Setaria_italica_v2.0:VII:791793:797789:-1 gene:SETIT_0096272mg transcript:KQK96137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEPGVVLVGDDRHPVERVHEALQYLPPYDPSVRWATEEKLPFLYWKIRDFAHAYRSGITTPSVVAEHVIAGVEEWNNKKPPMPMLIYFNADDLRKQAEDSTRRFEQGNPISILDGVFVAIKDDIDCFPYPTKGATTFFDQTHTVEKDAVCVARLRKCGVIFIGKANMHELGLGVTGNNPNYGTARNPHSVDRYTGGSSSGPAALVSSGLCSVALGTDGGGSVRIPSALCGIVGFKTTFGRTDMTGVLCDSGTVAVASPLASSVEDVMLVYSAIAGSRPMDKLTLRPSPLCVPNLLSPDNSNIMGSVKIGKYTEWFHDVSDREISSTCEDALNLLCSTFGCQIEEIILPELEEMRTAHVVSIGSESLCDLTPHYKAGRTEFTLDTRTSLALFGSFTSTDYVASQCIRRRIMHYHMEAFKKVDVIATPTTGITAPKIPPSALKSGESDYVVSAYLMRFVIAGNLIGLPALTVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAVEELCLKKRNRPSAFYDILKA >KQK96136 pep chromosome:Setaria_italica_v2.0:VII:792089:797787:-1 gene:SETIT_0096272mg transcript:KQK96136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEPGVVLVGDDRHPVERVHEALQYLPPYDPSVRWATEEKLPFLYWKIRDFAHAYRSGITTPSVVAEHVIAGVEEWNNKKPPMPMLIYFNADDLRKQAEDSTRRFEQGNPISILDGVFVAIKDDIDCFPYPTKGATTFFDQTHTVEKDAVCVARLRKCGVIFIGKANMHELGLGVTGNNPNYGTARNPHSVDRYTGGSSSGPAALVSSGLCSVALGTDGGGSVRIPSALCGIVGFKTTFGRTDMTGVLCDSGTVAVASPLASSVEDVMLVYSAIAGSRPMDKLTLRPSPLCVPNLLSPDNSNIMGSVKIGKYTEWFHDVSDREISSTCEDALNLLCSTFGCQIEEIILPELEEMRTAHVVSIGSESLCDLTPHYKAGRRTEFTLDTRTSLALFGSFTSTDYVASQCIRRRIMHYHMEAFKKVDVIATPTTGITAPKIPPSALKSGESDYVVSAYLMRFVIAGNLIGLPALTVPVGHDKQGLPIGLQLIGRPWGEASLLRVASAVEELCLKKRNRPSAFYDILKA >KQK99852 pep chromosome:Setaria_italica_v2.0:VII:35059521:35062217:1 gene:SETIT_009320mg transcript:KQK99852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVEVEGHPDRRAPASAIAPAGVGAAAVEANFLWELRKYVLLQATLAASVTYSAGLSPPGGFWDDNDGDSLAGDPVLQVTYPRRYEVFFYFNAIAFVASIVTVNLLLVHKLSRHRWWLRGLQAAMILDQLGLMGAYAAGSCRELAMSAYVIALVALVSTYVCAHVLIFALWALTRHDATNNTTAEAPDTVKHSRKYLLIFATLVATVTYQAGLSTPGGFLSDSQSNGRLAGDPMLRGHHPNRFMGFFYFNTTAFVASLVVIMLLMSRTVTRHGFQSCALWVCTGAALIGLTGAFSVGSSRSVKTSIYVIALVIAILLYIGLQVLVFLCKPVENWVHDVQETLHKYLKLDQTESQDHQVRALSDPQEIVDANQLLQKSRMYLLLLGILAASVTYQAGLNPSGGFWEANATDGLHHYLAGDPILHITYPRRYLAFFYCNATAFVASLIILILLLSNVFSTQGIKYCALQIAMIMNLLGLIGAYAAGSCRQVSKSVYISVIVIPVFLYVGIHVLVFMLEVFPNHATWREMVKEKLEQSMPEWLKKLFELPAEEGDENLKWKLEKSRKLLLLLAILAASLTYQAGMSPPGGFWQENKTGHVVGNPVLNDNYPHRYLAFFYCNATAFVASLAIIMLLVNRKLSARGIQSHALRVCVILDLIGLMGAFAAGSSRKVSTSIYIFTLVFAVLVCIALQVVLVVSESVQRLVQKLLSLLGIMEEASNDILPHTAPVGEVPDLWDEKLPKYLLLLAALAAAVTYQAAMSPPGGLWDDGQTSHIAGDPVLRTSYPRRYKAFFYCNATSFMASLVIMVLLLIKRVCRAKSAVLALHTAMILNLFGLMGAYVAGSCRRVRTSAYILALVIGVSAYIAVLVVVSIGVAKWLERVMSKMAEQVVTLYDL >KQK99686 pep chromosome:Setaria_italica_v2.0:VII:34214668:34216588:-1 gene:SETIT_010209mg transcript:KQK99686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPWNWTCPRHVAPPLPATPRVSLLRCILLASCISVMPPDDAGEWAVVERQGPHLRASGRPFIVHGFNTYWLMYFAADPATRPTVTAALAEAADAGLNVCRTWAFNDGGYRALQLKPFSYDEEVFQALDFVISEARKHKMRLILSLCNNWKDYGGKSQYIRWGKEAGLDLTSDDDFFTDPTIKSYYKAFVKAVLTRINSITNEAYKDDPTILAWELINEPRCHSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGVEGFYGPSTPELLHVNPDAYSGTVGTDFIRNHRALGIDLASVHIYSDTWLPHSVEDSHLQFVNTWMQQHIDDAANLLGMPILIGEFGVSLKDGRFGNEFRESFMETVYTIFLSSWKSGVVGGGCLVWQLFPESAEHMDDGYAVIFAKSSSTLNVLANHSRSLEC >KQK96641 pep chromosome:Setaria_italica_v2.0:VII:13269259:13273366:1 gene:SETIT_011222mg transcript:KQK96641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHFKNRYMVMEVFIDAGRGEQDPVILTQFNITKVIRDSIQLNFGECGLAASLGSLQVKYVNPVTKLCIIRVSRDDHQKVWAAITMVRCIGKIPVSFNLLDMSGSIRACKKAALECDEAKFEQYKVVAGDCITSRNHPVRQSCLEKIRGLESYYVCP >KQK96481 pep chromosome:Setaria_italica_v2.0:VII:9428193:9432039:1 gene:SETIT_012505mg transcript:KQK96481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRQRSFLIVRLLFAAMAASAVAAGEARARLADDQVEKPSIYLVSVHGEPLAAAFQRGVDRNATWCRAQKRRVVRFHDRLLRHAMDDGGGSYCCRKLYSFHHAVNGFAVHATASLAERLRAAPEVATVEEDVGTRLMTTYTPRLLGLPDGVWRHRDGGEGDDDGEGVVVGVVDSGIDPAHPSFAYVPRAPAYPPDDGDGADGRPPFAAGGACSVGPMFPPGACNGKIVTARYFAGGAAAVLPLDPSRDLSPFDAEGHGSHVASIAAGNRGVPVVVGGAMYGFASGMAPSARLAVYKAVYPAGGTMADLIAAIDQATGDKVDVLVLSIGPDERPSSKVTFLSMLDVALLSARKAGVFVAQAAGNRGPAESSVVSYSPWVTTVAASTTGRSYTSRLVLGDGRHVPGLGFSAPTLQYRLVAAKDAAAPDAASMERAEECQDPEALRWRAGVLRGSIVLCSFSQGFYNGTSTVTAILDVAEALGFAGFVLAASALYGDFLAQPLPLCVPGVMVPRVADAQVMWSYYAAHTVYAGAVTVFGATAAIAEGRVATFADTAPMVARYSSRGPDVADGDSTPADVLKPDILAPGDQVWAAWSALSHGSAAHRGVAALVKQRRPSWGPAAIASALSTTASRHDERKLPIMSEGFETGSLLPATPFDYGAGFVNPAGAQDPGLVIAPEPEDYVGFLCSLPELSPDEVQAATGLACRAPAQASPADLNVPSVTVSALRGSLSVRRRVTSVVSNTETYLCSTLPPAGVEVAVRPGWFEVAPGETRELVVELRATRASGAFSFGEIVLTGSLDHLVRLPLAVRPLATT >KQK97329 pep chromosome:Setaria_italica_v2.0:VII:20603677:20604005:1 gene:SETIT_012332mg transcript:KQK97329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCCGAATVSSALAALEALAASEAGRRAVAHEPGAVRALVRHVFMMSSSNEGSEHAAAALLAVCRESRAARSEAAGAGVVTQVLLLLQSQCGTRAKAKARSLLKLFKSM >KQK96712 pep chromosome:Setaria_italica_v2.0:VII:14383464:14385056:-1 gene:SETIT_010455mg transcript:KQK96712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITENHTQTVAGWAAMNESGKVEPFVFKRRENGVDDVTIKVLYCGMCHTDLHFMKNHWDITMYPVVPGHEITGVVTSVGANVSGFKAGDRVGVGCIVEACLDCDHCRHSDENYCDKLVLTYNGILSDGSVTYGGYSEMLVVHKKFVARIPDTLPLDAAAPLLCAGITVYSPMRRHGMVKAGGSLGVVGLGGLGHIAVKFGKAFGLRVTVVSTSPDKEKEAREGLKADDFIVSTDKKQMQAKSRSLDYIIDTVPVKHSLGPLLELLKVDGVLALVAAPDQPIELPSFPLIFGRRTISGSITGSMKETQEMLDLCGEHNITCDIELVSTNGINGALARLARNDVRYRFVIDIAGDSN >KQK98765 pep chromosome:Setaria_italica_v2.0:VII:29031883:29034483:1 gene:SETIT_010467mg transcript:KQK98765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAFLSTALLGARGVAASSSSSVSSPARRHAHIQVCCKGNVEGLEAAGHDERLRFRRRDFIGSCVGTAIGLEMIEGSSKFTGEATAADLIERRQRSEFQSSIKDTLYTAIKAKPELVPSLLTLALNDAITYDKATKTGGANGSIRLEISRPENSGLSAALDLLTEAKKEIDSNSKGGPISFADLIQFAAQSALKRSFLDAAIAKCGGNEDKGRTLYSAYGSNGQWGLFDRTFGRTDAQDADPEGRVPDWSKASVQEMKDRFVAVGLGPRQLAVMSAFLGPDQAATEERLIADPDCRPWVEKYQRSRETVSRTDYEVDLITALTKLGSLGQKINYEAYTYPKQKIDLGKLKL >KQK99832 pep chromosome:Setaria_italica_v2.0:VII:34969324:34973157:-1 gene:SETIT_010488mg transcript:KQK99832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAMAARPVTVASSSPLLRLPAQAASAASGTSSSPVVRGVKSEAAAKRSLLRRCPMLLTSVAVGSLVAAGAANATELLDPMHGSSGLLLADLGIGDWFGGLLFSAGQQANEAVQDQLSALSFSSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGQAEVVGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIVMGLNLLEVVELQLPSFFSDFDPRTAAANLPSSAQAYLAGLTFALAASPCSTPVLATLLGYVATSKDPIVGGSLLLTYTTGYVAPLLIAASFAGVLQSLLSFRRYSAWINPISGAFLLGGGVYTLLDRVFPATSMVM >KQK99076 pep chromosome:Setaria_italica_v2.0:VII:30820501:30824574:1 gene:SETIT_011351mg transcript:KQK99076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDFPASVNSILLSVCKVWQYTVKMGRKCSGPLGMGRNKCVPAIHVTTRAAAAAQWWWRRRRGRTGEVACCAASYRRELWEYHRASSRRYTGYLLPNFPGRFDRARHGRNAEARHVYLEML >KQK96728 pep chromosome:Setaria_italica_v2.0:VII:14645371:14647252:-1 gene:SETIT_012852mg transcript:KQK96728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLLLHGWMMKMSEQQFMPNRGDHDLCIPFPGTEAWVRSIGYQVVDRWRPWYFGDQVAGYAEGYDHNLTFLTIKGAGHAVPEYKPKESLAFYSRWLAGEKF >KQK96726 pep chromosome:Setaria_italica_v2.0:VII:14645371:14646825:-1 gene:SETIT_012852mg transcript:KQK96726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNTEAYALEKLTNDIIETHPITYKTISHNVWALCCSGDHDLCIPFPGTEAWVRSIGYQVVDRWRPWYFGDQVAGYAEGYDHNLTFLTIKGAGHAVPEYKPKESLAFYSRWLAGEKF >KQK96727 pep chromosome:Setaria_italica_v2.0:VII:14645636:14647013:-1 gene:SETIT_012852mg transcript:KQK96727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYHKKFTALGYRVLIYSGDHDLCIPFPGTEAWVRSIGYQVVDRWRPWYFGDQVAGYAEGYDHNLTFLTIKGAGHAVPEYKPKESLAFYSRWLAGEKF >KQK97091 pep chromosome:Setaria_italica_v2.0:VII:19027567:19030717:1 gene:SETIT_011004mg transcript:KQK97091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSLLRNVCRAGSRGAAARLREFAAPVATQPSVAQSSPAIQYQKPYGFSRLTVSQIILRDGVPAASGFYARALMMRGLSTVGNAEVASSEDDSSSPAVEHPMRIKFKRPDKTARHIMNILNKEAVEKVRSEREIPDVQPGCIIQMRLQVPENKRRESTLKGIVIGRRNAGIHTTFRLRRLVAGVGVESVFPLYSPNIKEIKVLDRKKVRRAKLYYLRDRMNALRK >KQK97278 pep chromosome:Setaria_italica_v2.0:VII:20225129:20227185:1 gene:SETIT_012614mg transcript:KQK97278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHAVQARSPTPRDTEATKPSALGLRPGRARTPPNNPRRGGGASRGVVGAHHSTSTALPAALTQRTHTTAHEHKMQRQQQNPPPPPHRHAKTDSEVTSSMAPSSPPRAAYYVQSPSHDDGENKTAASSFHSSRRPRRAPGAGVQGLLLLPLLRQRQGPLLPRRRRRQRLRLRARPPRRRWGTRAPRRRRRAVDEGGGHRGGGAAGDGRRRRRRVRRVRRRRVERDPQAGPLRDPLRGCILRPLLLLRAHPLGRQPQPAARRHTALRHVPPLRRPGRHRRVARPHRDGLAQRHRQAHLPQHRDILRRPRLLRARHALLHAAPAGIRQCSLLGEMTIDRGWTLQIKYFYQARKSQRSLTVSVVGDKVPLYGGGSSLSSTPTTLPPPKKKMPPVVVPPPPVPLQLTVRLRSRAFVLGRLVKPKFHSEARCKVTMDQTKLGKPVSLKNACTYSH >KQK96444 pep chromosome:Setaria_italica_v2.0:VII:7433430:7434190:1 gene:SETIT_012219mg transcript:KQK96444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEWLILESFYNGLTMIARAHPVATAGGAFLDLTIAKAMALVEKMVFNQGWSEERHHPRMRGTHTVKETNMLAAKLDLLLKRMDELEKPQELMLKPVQALSSHLMCEVCGNDEHSGNDYPETREDVTYMNNNKTVSFNKMIETQLAQISIVVHVSEPRKILGQLENVSEVSGRWGNPS >KQK96135 pep chromosome:Setaria_italica_v2.0:VII:786315:789751:-1 gene:SETIT_009518mg transcript:KQK96135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEVIEAGRLVNLWNEWGIQILVLVSFALQVFLLIFGGIRRRSSSTVLMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHELVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYEYIACSGTLLLLASLSMFVAGLLKYGERIWALKCGNISSIRNSISTRKFKTNPYLLLNLGTSEEELLLGAHSQFDICKGVFADIIMVPSHLVRSISNSKPGSVISYLGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLLGTATTFLLFQLSINSSGNGFSRVDVIISYVLLVGALVLEIISVCRAVLSTWTCSLLHRRGRGWEWPLHVITSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMKLGLQDWWNQMHFSGTFSHSDILSIQDIKKLVLQALVDKDRALQYKNTDSNSRGSFILKSMEAYEDFARWSVNIDFDESILVWHIATEVYIRKSKGKHTKELLEATEVLSNYMMFLLVVKPNMLPGAARHNIHLTSCEQLEVQCRARFGDKDNPVAPSPTSWNPYCMFKELFHHDGPNCSRIPRREKLAEMAWSFSQFALVYLPCQFN >KQK96134 pep chromosome:Setaria_italica_v2.0:VII:785138:789751:-1 gene:SETIT_009518mg transcript:KQK96134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEVIEAGRLVNLWNEWGIQILVLVSFALQVFLLIFGGIRRRSSSTVLMFFLWSAYLLADSTAIYTLGHLSVDSRSDEHELVAFWAPFLLLHLGGPDNITAYALEDNTLWLRHLQTLAVQVLGAAYVIYEYIACSGTLLLLASLSMFVAGLLKYGERIWALKCGNISSIRNSISTRKFKTNPYLLLNLGTSEEELLLGAHSQFDICKGVFADIIMVPSHLVRSISNSKPGSVISYLGEDLYKLVEMELSLMYDFLYTKAAVIHTWYGFCIHFISLLGTATTFLLFQLSINSSGNGFSRVDVIISYVLLVGALVLEIISVCRAVLSTWTCSLLHRRGRGWEWPLHVITSLGQRVHPASRRLWSGSIGQYNLFHLCTRNTNEIGSRLAMKLGLQDWWNQMHFSGTFSHSDILSIQDIKKLVLQALVDKDRALQYKNTDSNSRGSFILKSMEAYEDFARWSVNIDFDESILVWHIATEVYIRKSKGKHTKELLEATEVLSNYMMFLLVVKPNMLPGAARHNIHLTSCEQLEVQCRARFGDKDNPVAPSPTSWNPYCMFKELFHHDGPNCSRIPRREKLAEMAWSFSQFALGTVRAPNPHGDSIRDSANMYAILLANELLSIELRWQEQRDPLELILGVWVELLLYAANHCSQESHARQLSNGCEFITIVSLLAHHFKYYSGVSRGTEDIGGSKPTSESNP >KQK96725 pep chromosome:Setaria_italica_v2.0:VII:14639597:14645212:1 gene:SETIT_009230mg transcript:KQK96725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDNGNAKGGSGTYTINLDNFSKRLKVFYDHWKEHKSDLWGSSDAIAIATPPPSEDLRYLKSSALDIWLLGYEFPETIIVFMHKQIHVLCSQKKANLIGTLKKAANEAVGADIVLHVKSKNGDGADLMDDIVQAVRNQSKSGNPIVGHIAKEAPEGKLLETWADKLSGSSIQLTDVTNGFSELFSVKDSTEITCVKKAAYLTTSVLRNFVVPRLEKVIDEEKKVSHSSLMDDTEKVILDPLKVKVKLKSDNVDICYPPVFQSGGKYDLKPGASSNDDYLYYDSASVIICALGSKYSSYCSNVARTYLIDAIPTQSKAYETLLKAHEAAIEAVKPGNQMSAVYQAAVKVIERDAPELLPNLTKSAGTGIGLEFRESGLNLNAKNDRRIKQGMIFNISLGLHNVQAETTSEKTKQFSLLLADTVLVTEKGNEILTAPCSKAVKDVAYSFNEDEEDVPVAKVVSKPVDVVPTKATLRSDNQEMSKEEQRRQHQAELARQKNEETARRLAGGGSGSGEGRGPARASNELVAYKNVNDVPFVRELVIQVDQKNEAVLLPIYGSIVPFHVSTVKSVTSHQDNRTCTIRIFFNVPGMPFSNDSKLNSQGAIYLKEITFRSKDPRHSSEVVQQIKTLRRQVASRESERAERATLVTQEKLQVGNNRMKMMRLSDVWIRPAFGGRGRKLTGNLEAHFNGFRYSTSRADERVDIMYGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGSRRSALDPDEIEEEQRERDRKNRINMDFQNFVNKVNDHWSQPQFKGLDLEFDVPLRELGFHGVPYKASAFIIPTSTCLVELIETPFLVVSLSEIEIVNLERVGFGTKNFDMAIVFKDFKKDVLRIDSIPSTSLDAIKEWLDTTDLKYYESRLNLNWRPILKTIIDDPQKFIDDGGWEFLNMEASDSETEETEESDQGYEPSDAEPESESEDDDSDSESLVESDDDDEESDDDSEEEKGKTWEELEREASNADREHGAESDSEEERRRRKAKSFGKSRAPERSSFKGGPPSKKPKFR >KQK99467 pep chromosome:Setaria_italica_v2.0:VII:33026829:33029305:-1 gene:SETIT_011537mg transcript:KQK99467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPVRCFTCGKVIGNKWDQYLDLLQADYTEGDALDALELVRYCCRRMLMTHVDLIEKLLNYNTLEKTDTTT >KQK97914 pep chromosome:Setaria_italica_v2.0:VII:23929893:23932303:1 gene:SETIT_010281mg transcript:KQK97914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDRGLVAYEEPPPESTDLLSSAWCSSAIQVLQTGPKECSMALVEHPVMSLDRKDLLSKSNRSLVVDNSSFSTAQWKYDDLKSWIWLQKAIHPELDYDLCLKKKWLPRKMAPWNGISLKKWVKERKQKRKEEARLQRAEVHAAVSVAGVAAALAAIAAENAAPPGAAGMRETAVASAAALVAAQCAKVAEAAGATRDQVAAAVDAARASTDASNVITLTAAAATSLRGAATLRGRRCGSGGGGHGPNERGDHHTGPALSQDDLDFDFNHARSRAALAKGDELFVAMPDGKWKLHTVSAAPNKRGEIVLRIKKTNLVMAFSHAKESVIRDVRPCAPEKPSPDEGATYPVEVSTSKGKVELRADDYGVYKRWVATLSHMLVMSTAVVSARHEPPRRD >KQK97498 pep chromosome:Setaria_italica_v2.0:VII:21493513:21495770:1 gene:SETIT_010945mg transcript:KQK97498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGGEDRRQPEARGRRPRFLCLHGFRTSAEIMRKQVVGKWPADVTARLDLVFADAPFPAEGKSDVDGIFDPPYYEWFQFDKEFTEYKNFDKCLAYIEELMIKDGPFDGLMGFSQGAILSAALPGLQEQGLALTRVPKIKYLIIIGGAKFLSPTVAEKAYANKIACTSLHFIGDNDFLKTHGEKLIESCVDPFVIRHPKGHTVPRLDDKSLQVMLRFLEKIEKETSEHASTDVDEKEVCL >KQK99594 pep chromosome:Setaria_italica_v2.0:VII:33676019:33684393:1 gene:SETIT_009726mg transcript:KQK99594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYVNLGDEHQSSVPMDLGSIKKERRHSEEDSAAVKRNRQIMEDEALARTLQESFTEENTTVSGMTSGQKISPPDSAGSSGKHNERMHSVDPLKDMLSLTFRLMRVQGLPSWTNTSSVTIQDVIQGEVLLAVLSNYMVDMDWLLSACPSLRKVPHVLVLHGQDGASVELMKKLKPANWILHKPPLPISFGTHHSKAMLLVYPQGIRIVVHTANLIHVDWNYKSQGLWMQDFPWKDTKDLNKKAPFENDLVDYLSALKWPEFRVNLPEVGDVNINAAFFRKFDYSNSMVRLIGSVPGYHVGPNIRKWGHMKLRNVLEECMFDKQFCKSPLIYQFSSLGSLDEKWMSEFAYSLSAGKSDDGSQLGIGKPLIVWPTVEDVRCSIEGYAAGSCIPSPQKNVEKDFLKKYWSRWKADHVGRCRAMPHIKTFTRYSGQNIAWFLLTSSNLSKAAWGALQKNNTQLMIRSYELGVLFLPQTLQSVPQFSCTERNASNRDGLALGKTIKTKLVTLCWKGDEEKEPSTKTVRLPVPYQLPPQPYGTEDVPWSWDRRYTKKDVYGSVWPRHG >KQK99793 pep chromosome:Setaria_italica_v2.0:VII:34785256:34787599:-1 gene:SETIT_012602mg transcript:KQK99793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RERRMGAEEVRAPPKPGGGRPLGNGGGGDVRPTRGKPAAAAPLNNPQSSNHSNVSGDSSSSSAQAVVVHNMPAPPPPIFAEQAQLVHLHHPVAAPPPEAAAQLPQLVVPQRADHQPPRRVYTCKECGRTFTTNQALGGHAAGHRSRQREAEAAAAAAGMMPAGVDAAAFLAALRRSRREEAPHECRKCHKVFATGVALGGHMRVHYTGPPIVPTRKNKKRCLALALQPEEDIAAAAAPTPPGLSLALSIKAEEAPPSSPAPAGGVRVVRLFGIDISPQVQAPSEQQQCSGTTTTGDCSSAGGQQ >KQK98973 pep chromosome:Setaria_italica_v2.0:VII:30287013:30287346:1 gene:SETIT_012616mg transcript:KQK98973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVVDAAIGWLVQSILGNCFTEKLEAWTCTVGLADDVEKLKSAMRYVQMVLDAAKGRKIKSEPLENSLGDLKELLYDAEDVMDELDYYRLQENITNRFYL >KQK96211 pep chromosome:Setaria_italica_v2.0:VII:1819209:1823078:1 gene:SETIT_010142mg transcript:KQK96211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFGIILVYFYICDRTSIFAESKKSYNRDMFLFLYILLIIASTLTSLKKHHEPSAISRKSILYLNRHQTDEWRGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYKKKDFSIARFAQMMWRVNFFAALCCIVLDNDYMLYYISPMHTLYTLMVYGSLFLFNKYNEISSVIAIKIACCFFTVIFIWEIPGVFEILWAPFTFLIGYKNPEPSKVNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESENKVKLTIKGTIVTISLMAGYLWYEYIYKLDKLTYNKYHPYTSWIPITVYICLRNCTQQLRSTSLALFVWLGKITMESYISQFHIWLRSGIPNGQPKLLLSFIQDYPLLNFLLTTMIFLLISYRVFKLTNILKEAFIPTRDNNRLCQNFIACIAIFVCLYCGSSILQKIRIV >KQK96212 pep chromosome:Setaria_italica_v2.0:VII:1819893:1822223:1 gene:SETIT_010142mg transcript:KQK96212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEFGIILVYFYICDRTSIFAESKKSYNRDMFLFLYILLIIASTLTSLKKHHEPSAISRKSILYLNRHQTDEWRGWMQVLFLMYHYFAASEIYNAIRVFIACYVWMTGFGNFSYYYKKKDFSIARFAQMMWRVNFFAALCCIVLDNDYMLYYISPMHTLYTLMVYGSLFLFNKYNEISSVIAIKIACCFFTVIFIWEIPGVFEILWAPFTFLIGYKNPEPSKVNLPLLHEWHFRSGLDRYIWIIGMIYAYFHPNVERWMEKLEESENKVKLTIKGTIVTISLMAGYLWYEYIYKLDKLTYNKYHPYTSWIPITVYICLRNCTQQLRSTSLALFVWLGKITMESYISQFHIWLRYGTQYVSNY >KQK99227 pep chromosome:Setaria_italica_v2.0:VII:31652240:31655343:-1 gene:SETIT_012128mg transcript:KQK99227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AITINANEWSDAGHAGNHLYDILYMMGRDDIAVGVGGDGGISGAGDIRPNVGGYLPLIDQGMSTAGGCRYRQAIPPGLGGRLDIDTNSGVRRGFLPQGPRGYRQPTAQRVMADTLSSGPTSVILLGAHTNLAILLATRPHLRRNVERVYVSGGAVRVAGNLFTATAANPFANFNFFGDPFAAYQVLHSGVPVTLIPLDATYMVPVTEEFNSEFRRRQSTYEAHYGFQSLDQGYYMWDYFAAGVALSSMRHGETNGGGNEFAELEYMNITVVTSNKPYGARDGSNPFFDGLAKPKFGLQEGGVHSGHVQTGIRDAFCLVPGSNRGRCEDGYTKEVSGAEAVQVLNLPKNYKPDFRNVSRGKPVIFDMDMSPGDFVSLIYLLKEPRAVLDLKAVLINGNGWANSASIDIVYDVLHMMGRDDIPFGLGNTNALGNPILGCKNSYAIPHGSGGFVDSDTLYGLARSLPRSPRRYMSENLDHPERRQPHAYDVWQSIRKQLGPGEKITVLTSGPLTNLANISLSDMDASSVIERFYVVGGHIRESDHEKGNVFTVPSNRYAEFNMFLDPLAAKMVLESSLDITLIPLNVQRKVASFEDVLAALEQRTQHTPESRFVHGLILMLQELQRKQKLYHHMDIFLGEVLGAVYMVQGSELEPSVEIKPVSIIANTTEGTDGQILVRRKSANLLKILYDLNNGVYYNHLANSLTNNKQSAIVGSFEEQKAIWSRPQKQLMTHIAKDMK >KQK97292 pep chromosome:Setaria_italica_v2.0:VII:20317519:20319965:-1 gene:SETIT_010744mg transcript:KQK97292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVAPPALRPGRSLRTNPNPATRPGQTPRPWGLAANPQANAVRCELSRRPEPRVLIRAAAAAQQDAGDAAGAGRRKRLAVFVSGGGSNFRAINEAALGGTVHGDVVALVTDKPGCGGAEHARSNGIPVVVFPKSKSAPEGISVAELLDTLRGYGVDFVLLAGYLKLIPAELIQEYPRSILNIHPSLLPAFGGKGFYGSKVHKAVIASGARYSGPTVHFVDEHYDTGKTLAQRVVPVFADDTPELLAARVLHEEHQVYVEAVAALCEDRIVWREDGVPLIKSRLNSDVYL >KQK96107 pep chromosome:Setaria_italica_v2.0:VII:424403:434299:-1 gene:SETIT_009276mg transcript:KQK96107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQNSLRPQVFFLSFVILTFYLALLRPATAQITAPWEVDALKAIRGSLIDPLGRLNSWNRGDPCTGNWSHLICYNASSKIDGYLHIQELQLLALNLSGTLAPELGQLSQMRIMDFMWNSIGGSIPKEVGNITSLELMLLNGNQLNGSLPEEIGFLPNLNRIQIDQNHISGPIPKSFANLNKTKHFHMNNNSLSGQIPPELSRLPSLVHLLLDNNNLSGYIPPELSKLPKVLIIQLDNNNFSGSSVPVSYGNITTLLKLSLRNCSLEGPVPDLSGIPQLGYLDLSWNQLRGPIPSSQFASNITTIDLSHNHLNGSIPGSFSGLPNLQRLSLDNNNLDGSVPSNIWQNIDLSGNRSLILDFQNNALTNLSTPLSPPDNVTILLHGNPVCTVQNQQNISKYCQSKTVVADGGSSNNSTLCGPCSTDMPYERVLMSPIPCFCAIPVYVDYRLKSPGLSDFVPYEALFQQYLSSGLSLLSFQLEVSTFMWEEGPRLKMSLKLFPNRTLIFNASEVSRLRGMFTGWQIPDSDIFGPYELLNFNPGWYSNILPQGRRSILSTGAIVGVVMAAFAAAAILSSLITIIILRRRSRHSSSKKRSAKRISMKIAGVKDFTFEELSHCTNDFDDSALIGQGGYGKVYRGVLADGTVAAIKRAQQESLQGSKEFFTEIELLSRLHHRNLVSLLGYCDEEDEQMLVYEFMPNGNLRDHLSAKAKVPLDFPMRLRIALGSSRGILYLHTEADPPIYHRDIKASNILLDSKFVAKVADFGLSRLAPLPETEGSAPGHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGRNIVREVLAANQSGMIFSVVDNRMGSYPAECVERFAALALRCCQDETDARPSMVEVVRELEVIWQMTPGTENIASSESGALAMGSSSSNTTGTPTTSSATRMASSNDHYISSMEVSGSNLLSGVVPSINPR >KQK98409 pep chromosome:Setaria_italica_v2.0:VII:26828947:26830235:1 gene:SETIT_010366mg transcript:KQK98409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSAGVTALCCSHALTRIPALRYLHTSSATNGWSECMGQASIGLPWLRLSMAEFQPQWLMNAAVAPCARISSCGAHVVITSPMPWVCSVNSDRNLACRLSIASSSCTTPRSASRRTHTNRCLLSRNAAASSATCSAINDDVVPNDTYSTDAFGCLSSQLRHSGRVCRALAVTLGPNDELEELPRHARGRWRRVVEPARAQEPLPGVRPRGVVGRGDALQAVRLGVGEYPRRLGRGEGAEAVVQDDDAAGARGEAREEAGDRAARARDEGVAHGDEVGRERRGRGRVRRRGGVRGDGDLELVEADAAEGVVAPAVHARARLADVRPRRREVHGEPPRRELQRQVQHPVEVALRRERHRYDGNGFHGGLRLGRQSLML >KQK96277 pep chromosome:Setaria_italica_v2.0:VII:2942604:2944265:1 gene:SETIT_012014mg transcript:KQK96277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTGVDSITTSPTEGRCVLDLPPLSSRAAREHTQSHAPIPPSHARLSDSGGGGYGARGPCATADADGDVSLCPVRCFRLDPVCGADGVKYWCGCLEAACAGFGAEL >KQK97135 pep chromosome:Setaria_italica_v2.0:VII:19225998:19226484:-1 gene:SETIT_012989mg transcript:KQK97135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAAGEDMKAGCAGVTAGVAEVGRAATGMRGGGSGSAAMVLDNNGEREKLTLGRTRSYRSRGF >KQK97556 pep chromosome:Setaria_italica_v2.0:VII:21864872:21867069:1 gene:SETIT_012073mg transcript:KQK97556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVKKIEPKGAEEGEASIVHEGEREMGKIDPAAEENRRRMEEYAKWKREKVAARPAPDPEKWKDFYAYEARSFEQWWTRIHGWAYGSFNDETKIPCKRFTITPGGRKFDTLQVFSVNVAEIHGGLQWPLLLFGTVALHDSIDHNRNITFDRDRDNYQSLTEKDPCLVLTGPVRAVILCGCVYLQVSLNLRGTTESEDKEISLLAVPFRSDSMPSEWILINEHYTSRLTTLEFALGHSVHSVEATISVEIIHGSWPDGFLGQCAARTARIDKKVVLLDSEGVGLLVAGDE >KQK97125 pep chromosome:Setaria_italica_v2.0:VII:19209949:19210782:-1 gene:SETIT_011047mg transcript:KQK97125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTGCTYVWCLRGHQPGIASSFSSQRKLSVPPLPWLCSSSAAPLLARSSGTSTRRATTQLGRTRLAPTAGSSGAGGRGPPPHAYPAMEPAAVAPCEEADGGVLASGGRGWMGRRAAEPRSHAGVLALGREPWLKARGRRQSRKAMPAQGRRRRRGGGAGRRPAARLRPPPSTPRGPASRPRGPTRAGKLRRRLPPARVPAAMNVRRGSGAASL >KQK98029 pep chromosome:Setaria_italica_v2.0:VII:24574547:24590864:-1 gene:SETIT_009168mg transcript:KQK98029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEDEASSSAAAASAAAGGPKDPRNIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLMNELGHLIRKPSREVCVFLAPTIPLVRQQATVIADSTNFKVQCYHGSGKNLRDHQAWEKEMAEYEEFYNNVDKPPRVFGMTASPIIGKGGSNKLTYTKCINSLEELLNAKVCSIDNVELESVVASPDIEVYFYGPVGHSNLTATYSKGLDGYKLQSECMLRESLCNFKESQKKLKTLWRLHENLIFCLQEVGLFGALQAARTFLSSGGGSLDRKGFDINDNHASFVQHYLHKAISLLSCDILDGADADSVDLETLEEPLFSKKFAVLIDVLSRYRLEENMKCIVFVKRIIVARVISHILQNLKCLDFWKCECLVGCHSGLKNMSRNKMGSIIEKFSSGEVNLLVATSVGEEGLDIQTCCLVVRFDLPETVSSFIQSRGRARMSKSKYIFLLERGNQSQEKLLGDYITGESIMDKEVNLRTSNDMFDSLEENIYRVNNTGASISTACSVSLLHCYCDNLPRDRFFFPSPSFFYVDDVEGIVCRLILPPNAAFRQVNSQPCPSKDEAKRDACLKACIRLHELGALTDFLLPGQGSRKTKVSTTDILESNKAEDESFREELHEMLVPAVLRSSRYKLDCLLNLHFYYIEFIPKPADRRYQMFGLFVIDALPKEAEKLDMMLAHNFQEMFLKVLLDRSEFTSSYVMLGNDTAFQMDSTFYLLLPIKQKFYGDKFIIDWPAVKRCLSSPVFQDPTSLSLHDSYLPNESLKLLDGTYSKADVIGSLVFTPHNNLFFFVDDILDEINGKSEFNGATYAAHFEERFDIELSHPEQPFLRAKQLFNLRNLLHNRQQESTESEGRELMEHFVELPPELCSLKITGFSKDMGSSLSLLPSLMCHLENLLVALELKDVMLSYFPEASQISASGILEALTTERCLERISLERFEVLGDAFLKYVVGRHNFISYEGLDEDQLTRRRSDIVNNSNLYELSIRRNLQVYIRDQQFEPTQFYALGRPCKVVCNPETEASLHPKNIDPDKRDNCNLRCTKSHHWLHRKTIADVVESLLGAFIVESGFKAAFAFLNWMGINVDFKDDALYRVLDASSANLSLMDYINISELEELIGYKFKHKGLLLQAFVHPSFNKHSGGCYQRMEFLGDAVLEYLMVSYLYSAYPDLKPGQITDLKSLAVNNTSFAYVAIKKSMHKYLIKDSKYLMAAVNKFENYFNLSNSEKDLSEEPACPKVLGDIVESCVGAVLLDSGFNLNHAWKLMLMLLKPILSFCDMHINPLRELRELCQCNGFDLGLPKPIKADGEFHVKVEVNVNGKMISCTAANQNSKHARKLAAQDTLSKLKNYGYKHKSKSLEEILRTATKKEPELIGYDEEPIKVDGVPLEMKNLQMNGEMEENIFFGNNEAFFIGRSATSIQRTGEDNKVDRNDANNGRINKSNVVTQNGCLPRGEAAKINKKEYHGDMVHKTARSFLYELCAANYWKPPEFELCKDEGPSHLRKFTCKVLVQIMGPSATLLECYSDPKLQKKAAQEHAAQGALWCLKQLGYLPKDETHV >KQK98260 pep chromosome:Setaria_italica_v2.0:VII:25921657:25924052:-1 gene:SETIT_011080mg transcript:KQK98260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTFCFGGSRVAKRISERAPMSDGCVFCDIARRAPTSTTALLYSDDKVVAFRDINPSAFRHYLVIPIDHIPTVNSLRKTKDDHQLVSHMVKVGKDLLNQDAPNSEEHRLGFHQPPFNSVDHLHLHCLALPFMPSWRQVKYTPLGPLGGFLEAEKLLERIKPEAEVYS >KQK98259 pep chromosome:Setaria_italica_v2.0:VII:25921657:25923944:-1 gene:SETIT_011080mg transcript:KQK98259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKTFCFGGSRVAKRISERAPMSDGCVFCDIARRAPTSTTALLYSVLYHCSHHHCRSSAGLAPQNANPLLFFLPCAQDDKVVAFRDINPSAFRHYLVIPIDHIPTVNSLRKTKDDHQLVSHMVKVGKDLLNQDAPNSEEHRLGFHQPPFNSVDHLHLHCLALPFMPSWRQVKYTPLGPLGGFLEAEKLLERIKPEAEVYS >KQK98178 pep chromosome:Setaria_italica_v2.0:VII:25500456:25500680:-1 gene:SETIT_012604mg transcript:KQK98178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVVAVEAAVASGACKGRSSYLVRSLYWRLRAGLRRLHSERAGRWRRGGSRFHYDALSYALNFDDGHGSADFV >KQK98051 pep chromosome:Setaria_italica_v2.0:VII:24721446:24724712:-1 gene:SETIT_009837mg transcript:KQK98051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLVWLALLLAHGLLSWPCASAAVDRGQFPDGFLFGTTTSAYQIEGANLEGNRGLSNWDVFAHTPGKIEDGSNGDTADDHYHRYMEDIELMHSMGVNSYRFSIAWTRILPRGRFGHVNPDGVAFYNNLIDALLQKGIQPFVTISHYDIPQELETQYGGWLSPEIQKDFGYLAEVCFMMFGDRVKFWITFNQPNLWLKFSYMDGLYPPGRCSQPFGNCAFGNSSIEPYIAGHNMILSHANAVSIYRKKYQGKQGGHIGISMCSRWYEPFSNTTVDILAVERALAFDGPWFLDPIIFGDYPTKMREILGPNLPEFTSQQKKKLKATKLDFIGLSHYTTLYTKDCIFSPCEIDPIDGDARVFSSGVGEDGVLIGEVVIHNC >KQK98052 pep chromosome:Setaria_italica_v2.0:VII:24719944:24724722:-1 gene:SETIT_009837mg transcript:KQK98052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLVWLALLLAHGLLSWPCASAAVDRGQFPDGFLFGTTTSAYQIEGANLEGNRGLSNWDVFAHTPGKIEDGSNGDTADDHYHRYMEDIELMHSMGVNSYRFSIAWTRILPRGRFGHVNPDGVAFYNNLIDALLQKGIQPFVTISHYDIPQELETQYGGWLSPEIQKDFGYLAEVCFMMFGDRVKFWITFNQPNLWLKFSYMDGLYPPGRCSQPFGNCAFGNSSIEPYIAGHNMILSHANAVSIYRKKYQGKQGGHIGISMCSRWYEPFSNTTVDILAVERALAFDGPWFLDPIIFGDYPTKMREILGPNLPEFTSQQKKKLKATKLDFIGLSHYTTLYTKDCIFSPCEIDPIDGDARVFSSGVGEDGVLIGEVTGSPFFYSVPEGMEKVVMYYMQRYNNIPTYITENGYAQASNSSMTAKDFTNDTGRVDYIRDYLTFLASAIRKGADVRGYFVWSLLDCFEWTSGYTQRLGLYHVDLKTLERTPKLSAKWFSEFLKGGSLVGTRPRKENPQSKQYAAQ >KQK98364 pep chromosome:Setaria_italica_v2.0:VII:26561818:26562859:-1 gene:SETIT_011789mg transcript:KQK98364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLAKLKRCVSPPSLRSYFAEFISTFLFVFAAVGSAISARMVTALDGGTASDAASLVATAVAQAFGLFAAVLIAADVSGGHANPAVTFAFAIGGHIGVPSAIFYWASQMLGSTFACLAVPTTRIAVAMTGFGAAIIEGVLTFMLVYTVHVAGDLRAAAGGKRGFADTALGALAVGLVAGALVLSAGPLTGASMNPARSFGPAVVSGNYKNQAVYWAGPMIGAAVAALAHQILAGAPDAAAAGSSSSCHGNVETVVV >KQK98227 pep chromosome:Setaria_italica_v2.0:VII:25744523:25746951:1 gene:SETIT_012390mg transcript:KQK98227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAHRSVAGRRTRGGSLGSLRAGRQRQAQAEAVPEGKVRVRLAGRRIIVLSNPEGLGASSSSPHARAAPIGEEETWTRPSRIRRSRGTPAEPALLPHSSFCHQAPSEFSPPADSVSPIALVTGGNKGVGLETCRQLATKGLKVVLTARNEARGLEAVEAIRRSSGAAEVFFHQLDVTDPSSAARLADFVRGQFGRLDILINNAGISGVDRDPVLVAKVKDQVESMDVNQRVEWMRENSKETYEEAKQCMRTNYYGAKIVTEALLPLLQLSSSGRIVNVSSGFGLLRNFNSEELRKEFDDIDNLTEKRLEELLDLFLEDFKANLLEAHGWPTGGSSAYKVAKAALNAYTRILAKKYPTLRINCLTPGYVKTDMSMHMGVLTLEEGARNPVKVALLPDDGPTGAYFDLNGEASF >KQK99177 pep chromosome:Setaria_italica_v2.0:VII:31341791:31341949:1 gene:SETIT_011719mg transcript:KQK99177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFSSQYITIGCVRSRISFDQKSRCFHSFEHKGTPSVSDVYIFFNLVPFDLW >KQK96161 pep chromosome:Setaria_italica_v2.0:VII:1114710:1115896:1 gene:SETIT_011212mg transcript:KQK96161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKGSSGLCGAWASTLAAPSHSGGAPLHEHPWSFSFPSGSAPPWPAQAEGSGGTIGGRRRLSQASSPVQDGPSTRASTILFFPSPDLLLPGRRKQKTPAARLVAASSSPGLRRSGRFGPHPRTNLDHQPWYSELSSRQGWPGPAAPSPLPLPRLGAVACA >KQK99250 pep chromosome:Setaria_italica_v2.0:VII:31852843:31854015:1 gene:SETIT_011724mg transcript:KQK99250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATSDGGRGIHALCDDALMEILVLLPNKSVLCCRAVCRRWRRITNDGSFLAGLSARRPLKMIILSQSGAGAVSAVSLSVDDPTSPAESRRSHLFDRRQLYEDGRTRRNNRCFDVVCSLDGLLVLSQRPGLFVVCNPATRQWTNLPALRQEPRRLHAIACGFFSHGSSGEYRLLCHVEYRRKRYYYILAAGGALPRRLGRAPRPPSWEYDAPVARRGILHWLASHPEAATAAGKNKMLAFDTASETFQLMPRPPERAGDTARALLELDGELSVAVMQGLTSLAVWDLRHYDAEVWTLRCLVVVEVPLSRLSTCRLHSVGGGAILIANRYSCKFNAARLYDLKEKRMLGEISLSHEDPTFLMFRESLVSHAFFHSPPRSSEVAYIKFTDYM >KQK97625 pep chromosome:Setaria_italica_v2.0:VII:22241718:22242200:-1 gene:SETIT_011565mg transcript:KQK97625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVNMLSFNLLQKETTISTQATVISLADIITLHPLVPNEKKNGMGALNTKLYQPSPPIDEKDGIV >KQK99616 pep chromosome:Setaria_italica_v2.0:VII:33820802:33822940:1 gene:SETIT_011942mg transcript:KQK99616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNQSRAQVRTCSELTLQLTASVSNSTRIIPEHPKHTARSPVQPPRYCKALARDHDADLLRALQYNGNGTLHGEQQVLNSLSDGPDGDGRSKRPRICARDCAKPIMELPVEERVKVLDLLQRDDAALTVSDYNDILSALARAGDHASAVALFRAMPVAPDAHSFATAVQCLCHQGAPDEAKLALDEMVVRGFRPSVATFSAVVGCLCKRGRVTKAMEVFDAMSALGCEPTVRTYNSLIGELCYVGRLEEALDLLNMLKGSPKTPDIYTFTIVLDGFCKVWRTEEGTAIFHDAIGMGLSPTIFTYNALLNGHCKEGNPLRAFALLMEMCGGEGACLPDKISFGIVLTALMRAGETAVAWQTYKRMERAGFEADGRALDTLARGLCRRYAADASALGDAREVFAKLVASGHEPVSYTYCLMAQALARGGEVDAAVALLEEMVRRGYALRNRAYTRCGRLGRWPDAMAVYATAVKRGVVVSWKYLSKVKEEEPVRLGVLQ >KQK97973 pep chromosome:Setaria_italica_v2.0:VII:24236104:24237745:1 gene:SETIT_0112372mg transcript:KQK97973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTACFVIVSKNDIPIYEAEVGSTPK >KQK97975 pep chromosome:Setaria_italica_v2.0:VII:24237702:24237923:1 gene:SETIT_0112372mg transcript:KQK97975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLSMRQKLDPHQKETRSHWYVGHATVRPIHQWNESLCSTAQE >KQK97974 pep chromosome:Setaria_italica_v2.0:VII:24236104:24237923:1 gene:SETIT_0112372mg transcript:KQK97974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTACFVIVSKNDIPIYEAEVGSTPK >KQK98092 pep chromosome:Setaria_italica_v2.0:VII:24906512:24911154:-1 gene:SETIT_010373mg transcript:KQK98092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKVVDLRSDTVTKPTEAMRAAMAAADVDDDVLGADPTAQRFEAEMAKLMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDNSHIHIYENGGISTIGGVHPRTVKNNPDGTMDIDKIVAAIRNRAGALLYPTTRLICLENTHANCGGKCLSAEYTDKVGEVAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSQAFIDKAKILRKTLGGGMRQIGVVCAAAYVAVRDTVGKLADDHRKAKALAEGLKKIKQFKVDSASVETNMVFFDIMDPRISPDRLCQVLEQRNVLAMPASSKSMRFVLHYQISDSDVKYALTCVESY >KQK98095 pep chromosome:Setaria_italica_v2.0:VII:24907532:24911154:-1 gene:SETIT_010373mg transcript:KQK98095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKVVDLRSDTVTKPTEAMRAAMAAADVDDDVLGADPTAQRFEAEMAKLMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDNSHIHIYENGGISTIGGVHPRTVKNNPDGTMDIDKIVAAIRNRAGALLYPTTRLICLENTHANCGGKCLSAEYTDKVGEVAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSQAFIDKAKILRKTLGGGMRQIGVVCAAAYVAVRDTVGKLADDHRKAKALAEGLKKIKQFKVDSASVETNMVFFDIMDPRISPDRLCQVLEQRNVLAMPASSKR >KQK98091 pep chromosome:Setaria_italica_v2.0:VII:24908075:24910122:-1 gene:SETIT_010373mg transcript:KQK98091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKVVDLRSDTVTKPTEAMRAAMAAADVDDDVLGADPTAQRFEAEMAKLMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDNSHIHIYENGGISTIGGVHPRTVKNNPDGTMDIDKIVAAIRNRAGALLYPTTRLICLENTHANCGGKCLSAEYTDKVGEVAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSQAFIDKAKILRKTLGGGMRQIGVVCAAAYVAVRDTVGKLADDHRKAKALAEGLKKIKQFKVDSASVETNMVSLLICLILLFSSMQGS >KQK98094 pep chromosome:Setaria_italica_v2.0:VII:24906512:24911154:-1 gene:SETIT_010373mg transcript:KQK98094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKVVDLRSDTVTKPTEAMRAAMAAADVDDDVLGADPTAQRFEAEMAKLMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDNSHIHIYENGGISTIGGVHPRTVKNNPDGTMDIDKIVAAIRNRAGALLYPTTRLICLENTHANCGGKCLSAEYTDKVGEVAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSQAFIDKAKILRKTLGGGMRQIGVVCAAAYVAVRDTVGKLADDHRKAKALAEGLKKIKQFKVDSASVETNMVFFDIMDPRISPDRLCQVLEQRNVLAMPASSKSMRFVLHYQISDSDVKYALTCVEKAVEELLKGSTKFEHLTNGFTKHSYGH >KQK98093 pep chromosome:Setaria_italica_v2.0:VII:24907092:24910122:-1 gene:SETIT_010373mg transcript:KQK98093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKVVDLRSDTVTKPTEAMRAAMAAADVDDDVLGADPTAQRFEAEMAKLMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDNSHIHIYENGGISTIGGVHPRTVKNNPDGTMDIDKIVAAIRNRAGALLYPTTRLICLENTHANCGGKCLSAEYTDKVGEVAKSHGLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSQAFIDKAKILRKTLGGGMRQIGVVCAAAYVAVRDTVGKLADDHRKAKALAEGLKKIKQFKVDSASVETNMVFFDIMDPRISPDRLCQVLEQRNVLAMPASSKSMRFVLHYQISDSDVKYALTCVEVCKSLEYIHLSLLAFNFCDNTVFMFSESC >KQK98725 pep chromosome:Setaria_italica_v2.0:VII:28857165:28861821:1 gene:SETIT_009234mg transcript:KQK98725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLALPRRDLQALCKRNGVRANMTNAAMAEALAALPTVDGIGEYVKEPVTVPAPEVKAAAADEQQREKQGSPLPRGRRVTVKAVQTDRGKEDEKQESAKEDAPALAVGRRGPSRRARPAPVVASSVAVPVGKAEEAEDKQGGSKEEDRRRETNKEDPPAPVVGRRAASRRARPELAAESAAAGAVEEQRKKDGSPAPRGRRGAVKSSEPIRPDDCEEEEKELMREAGTDGAPALGVGRRGASRRARPAPALAEPAGKVTEEEKAPPIPRDRHVNLNDEEEDKKAATKPEEEEEDVPALGVGHRGACRRVRRAPAVSGKVAAEDEPRALIPRGHGVPVKSPEVIRLDDCEDEEKEDTKPDEKDDEAPAIGVGRRDSPATRRRAAASKAEAVGVTEEAVPMRATRHRKPTMKAVAAAEEKALPKATRRKAVKKTISQQEEQEKPQEAVPAPVSDVGCDNPEDPEEDSGPQKREQKQKDEDVVIIEDEILMEETPAQELLVTDQESMDHSTLQGQQVGVEKCLAPLASQEDSPIMGLVSMATEQAAEKDECANFQDGKGSCGSLDKGVSDKNHDAGEEMEMDKEDDIDELQADLADGSVLVDLSGNIKLFVEEETNEVNTEDGVSFQEKGDVAVDMALPETVAEAIPSGCSIDISCVEVEKAGYITSEMSESPAALDEDVVTIDEVPESTGTDDEVLEEDKAAVITEEVPQSTGKMDEDDKEDQFQTAFVHDDQVVTADSVPDLKITDCEPTEEDKTTLIADEKQQSTVTMDEDVVDDHFETDAVHADEHKKVVTADEVPELTGTDEVVEEDKAVVTAKEVPQSTVTDEEVEEDQFQTFFVHAEQVLTSDSVPDLKITDCEEKTTLIIDEKQQSTVTMDDADVSDYSETDELKEVATDDKMPQLTGTEGEVVEEDKAVVITDEELDVSEESKDRITPALVDDATESLSNSIITVEPAASIAADASVCKNTIEKNTTELVVMQDEKAVKVNKKSVDLYAFSLRQLKTKLKEGLNAQKNKEAKRVALARVDENVCRSHTKGQLQNLNLQQH >KQK99596 pep chromosome:Setaria_italica_v2.0:VII:33685965:33690897:1 gene:SETIT_011013mg transcript:KQK99596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLRRLAAGLLKCCDLDIPNRPKGLEDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKDEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFARALSVFHPNAPIDEKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDDIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >KQK99597 pep chromosome:Setaria_italica_v2.0:VII:33685948:33690897:1 gene:SETIT_011013mg transcript:KQK99597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLRRLAAGLLKCCDLDIPNRPKGLEDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKDEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFARALSVFHPNAPIDEKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDDIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >KQK99595 pep chromosome:Setaria_italica_v2.0:VII:33685965:33690897:1 gene:SETIT_011013mg transcript:KQK99595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFLRRLAAGLLKCCDLDIPNRPKGLEDPERLARETVFNVNEIEALYELFKKISSAVVDDGLINKDEFQLALFKTNRKDSMFADRVFDLFDTKHNGILEFEEFARALSVFHPNAPIDEKIDFAFKLYDLKQQGFIEKQEVKQMVVATLAESGMNLSDDIIEGIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >KQK99987 pep chromosome:Setaria_italica_v2.0:VII:35658404:35662552:-1 gene:SETIT_009476mg transcript:KQK99987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSKSSWSQVVKSTRPTNLSISERHLQAQDVGAVIFGCTNNTIAECHSRQLFGLPRAHISYVRNIKEGLPLFLFNYDDRKLYGIYEAAGNGKFCPESNAWSNDGHGKTSYPAQVAMRVRVWCFPLAENQFRNAIVANYYQNTPSVPGQKLHYFQFELDHAQTRVLMDMFTPSPPPNNFWMPPVAAPADEHVRELVSSPVWAPKHEGKVKSERVVKSYADMVKKNKFEEVGKGDVDAEHASSGDESSNGFDDLDCGDKPPEREGYELSDQAVEMKQQQHSDKQGKVLSFNRVLEGHAALPAQQWNPYLYANATDTEDKDAYSCKYAQEDKCAILDGHSNFPETLDAEVNQLSLGHSNLLVQLLGSESCAEAKLIDVVKELSGRIEMMEKKQAWSNKEVQHLQGVNERLLKRIVELKGTVRTLNSKIDPLTLDDSLNQFVEQCLGSEDVIYLVGGFDGFSFLPSLDSFSPSLDILTPLKSMAVGKSYASTVALNGKIFVLGGGDGSCWFDTVDCYDRSRDDWITCPSLTREKGSLAGVSVNGRIYAFGGGDGSQCFSDVEIFDPTHGKWIKNQPMLEKRFALAGVALNGAIYAVGGFNGVQYLSSAERLDPREPNWKMLPMMSAGRGCHTLAVLNEKIFSIGGYDTGAKAMVATVEVYEPRMPSWVMVEPMNCTRGYHSSAVLGGSIFTFGGVKAEADTILDVVERYKEGCGWVTTGLKSIGRRCYCSAIVL >KQK97614 pep chromosome:Setaria_italica_v2.0:VII:22174353:22179782:1 gene:SETIT_010421mg transcript:KQK97614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSRHQVTITLGRSGQVVKRRAISDTINDDEVPFSGKKRSLRERLGTNVADSDFYESRHRNKRQQTESNSSLGDDGSDRHVGKDDLRLKLMRKGLLQRSNGGAEQNGVDLREKLSRNHKNLPRYDASGRAPESRARYDMRDNPVELRSRYSSREGVLASRPSPVASRIPSARSVDDLLKLDSSRKPYSSWTADGLRHRSPERLTSVRGDASPPRAFDQIRPMPSHRSAGSLRPQSLITRDAPDTSRTQTYAGKSTISVDTVPKANGITPSSAALPTAPVMTEVPQTVTGLLNSLGLEKYLVLFQAEEVDMAALRQMGESDLKDMGVPMVGPEEEDSPCIRSSVKTTAKMSSMVWVLET >KQK97613 pep chromosome:Setaria_italica_v2.0:VII:22174353:22179782:1 gene:SETIT_010421mg transcript:KQK97613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSASSRHQVTITLGRSGQVVKRRAISDTINDDEVPFSGKKRSLRERLGTNVADSDFYESRHRNKRQQTESNSSLGDDGSDRHVGKDDLRLKLMRKGLLQRSNGGAEQNGVDLREKLSRNHKNLPRYDASGRAPESRARYDMRDNPVELRSRYSSREGVLASRPSPVASRIPSARSVDDLLKLDSSRKPYSSWTADGLRHRSPERLTSVRGDASPPRAFDQIRPMPSHRSAGSLRPQSLITRDAPDTSRTQTYAGKSTISVDTVPKANGITPSSAALPTAPVMTEVPQTVTGLLNSLGLEKYLVLFQAEEVDMAALRQMGESDLKDMGVPMGPRKKILLALGPQSKQRQR >KQK97970 pep chromosome:Setaria_italica_v2.0:VII:24227617:24232654:1 gene:SETIT_010339mg transcript:KQK97970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATTAGMFLVCFESLCPCFGSKRKDGSEDHVLAGHSNSLSSSEMRSISDRIPGSPLRVPASPSRFSLSSPPSRNEPLNLSLEHVVKLTHNFSPTLMIGEGYFGKVYRAELRDGRVIAIKRAKKEHFVSLRAEFSNEVTLLKTIEHRNLVQLLGYIDKANERIIITEYVSNGTLREHLDGQHGLVLGFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTEGFRAKVADFGFARTGPTEPGQSQIQTDVRGTAGYVDPEYLRTNHLTIKSDVFSYGILLLEILSGRRPIEVRRGATERITVRWAFNKYNRGNIRDILDPMLTEVVNEDILNRIFDVAFQCVAPTREDRPHMKEVVERLWKIRRDYTKMQRIAELTL >KQK97971 pep chromosome:Setaria_italica_v2.0:VII:24227617:24232654:1 gene:SETIT_010339mg transcript:KQK97971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSISDRIPGSPLRVPASPSRFSLSSPPSRNEPLNLSLEHVVKLTHNFSPTLMIGEGYFGKVYRAELRDGRVIAIKRAKKEHFVSLRAEFSNEVTLLKTIEHRNLVQLLGYIDKANERIIITEYVSNGTLREHLDGQHGLVLGFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTEGFRAKVADFGFARTGPTEPGQSQIQTDVRGTAGYVDPEYLRTNHLTIKSDVFSYGILLLEILSGRRPIEVRRGATERITVRWAFNKYNRGNIRDILDPMLTEVVNEDILNRIFDVAFQCVAPTREDRPHMKEVVERLWKIRRDYTKMQRIAELTL >KQK97972 pep chromosome:Setaria_italica_v2.0:VII:24227316:24232654:1 gene:SETIT_010339mg transcript:KQK97972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVATTAGMFLVCFESLCPCFGSKRKDGSEDHVLAGHSNSLSSSEMRSISDRIPGSPLRVPASPSRFSLSSPPSRNEPLNLSLEHVVKLTHNFSPTLMIGEGYFGKVYRAELRDGRVIAIKRAKKEHFVSLRAEFSNEVTLLKTIEHRNLVQLLGYIDKANERIIITEYVSNGTLREHLDGQHGLVLGFNQRLEIAIDVAHGLTYLHLYAEKPIIHRDVKSSNILLTEGFRAKVADFGFARTGPTEPGQSQIQTDVRGTAGYVDPEYLRTNHLTIKSDVFSYGILLLEILSGRRPIEVRRGATERITVRWAFNKYNRGNIRDILDPMLTEVVNEDILNRIFDVAFQCVAPTREDRPHMKEVVERLWKIRRDYTKMQRIAELTL >KQK96283 pep chromosome:Setaria_italica_v2.0:VII:3040612:3042395:1 gene:SETIT_011820mg transcript:KQK96283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPTGDDGGYSMDILLELEETTPKMDYLDANKYQEGLTPNKLKDVDMSQQVLITNKTNESEDAFKIPEGYECTVNDHAFIEAAKKISAEPGREELVLIDDVLVNRNHMECLIFRKAYLYDEVITPSTNLWEQKSFFILRNYLWPGGTCYLENTSMTVLMKGDGEGKRNMEDMEDHYPSRGHSQVPWLAERVLSYMQHDMLFLPININDTHWYLVVVNARRRKIHVLDSYGTLFGRRDLENTLKGLRMQMEYTLQCTGLKDHAWPDVNVDTWDVVEVMVDRIQFDGVSCGLFMVAFIKYWTVVHLCATVDQESMVKFRTKMAATLLSTIFNELLGKPLLRNEDENIGSPSDFVEIIEPNEFGDWPLKRDELAEIFCDYILRIKDPAELE >KQK99176 pep chromosome:Setaria_italica_v2.0:VII:31337558:31338775:-1 gene:SETIT_010636mg transcript:KQK99176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASGSLPVVDLAPFFTEADGGGVARATEAVREACQTHGFFRAVNHGVSAELMARALELSAAFFALPDEEKAKARPAEGSEAPLPAGYARQPAHSADKNEYVLLFDPKLGFNEYPAEPAGFSEALEECYAKLTELGLLIQDILNECMGLPPGFLRDYNGDRSFDFMAALRYFPATEEESNGISAHEDGNCITFVIQDGVGGLEVLKDGDWVPAEPVHGSIIVNIADVIQVLSNNKLKSATHRVVRKPVHRHSFAFFFNIHGDKWIEPLPEFTAKIGEAPRYRGFVYSEYQQLRMRNKTHPPARPEDVVHITHYAI >KQK99849 pep chromosome:Setaria_italica_v2.0:VII:35038486:35038917:1 gene:SETIT_011558mg transcript:KQK99849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCDRPCPVHLYISGRSVIAGCRYVNGRGHESTLILGQGYVVGLEPRVEKVVHPCRVLIYCNSHTLGH >KQK96141 pep chromosome:Setaria_italica_v2.0:VII:806397:807791:-1 gene:SETIT_011619mg transcript:KQK96141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPLCLHCLQDLHGLKVKPQLADDDALPFLLQNEQPDGATSCRVVATQVPE >KQK98338 pep chromosome:Setaria_italica_v2.0:VII:26370418:26372547:1 gene:SETIT_011690mg transcript:KQK98338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAHDQQAAWPWGCWWLALALLPLLLALAICHGNDAYHCAAFAFRRWRHGRPRAKLPPGHMGLPFVGENPALKRYFRRARRPDGFVHDKKRRYGDATGGLYRTHLFGSPAVLACSPAANKLVLQSPDSFGVCWPAPDLVGASSILNADGARHARLRACVIEAVNRPSSLRSIARAVQPRMASALRAWARRSTVTAAVETNFVTFENICKMFVGMDPSPLTEEMDAWFAGLLGGLRAFPLDLPGTAFRRALRCRHKLSAVFRDELRRRKDVADGGEDLMGALMRTEDEGGRLLSDEEVVDNIVSLVLAGYQSTASALMWAVYHLAKAPHALAKLREENAAIGRDKNGEFITPDDISKMKYTAKVVEETLRVANIAAMVHRVALKDVEYAGYTIPQGWRVVVWLRSLHMDPNYYDDPLRFNPDRWGKLAKPGTYQVFGGGYRMCAGNMLARLQLTILLHHLSVGYKWDLVNPDAEIIYIPHSYPSDGAVIAISEL >KQK99392 pep chromosome:Setaria_italica_v2.0:VII:32715689:32719350:1 gene:SETIT_012234mg transcript:KQK99392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKASAAEEATNGMATLLNLLRASTLEDKSFVSAAGAGEEKVEWLRSQLIGKDVEFDTPFGRRVLTYADQTASGRSLRYIEDYIVNEVLPFYGNTHTEDSHVGSKTTRLVHKAARYVKRCMGAGAGDALLFCGAGTTAAIKRLQEVMGVAVTSVEMRGRLAAQLRTEERWVVFVGPYEHHSNLLSWRRSLAEVVEIGVDADGLVDLAALRRALASPEYANRPMLGSFSACSNVTGVMTDTRELARVLHDHGAFACFDFAASGPYVKIDMKSGEIDGYDAVFLSPHKFVGGPGTPGILLMNKALYRLTSQPPSTCGGGTVAYVNGFNEKDTLYYDDIEEREDAGTPPIIQKIRASLAFWVKEYIGYDVMSIRERVYSEMAMKRLVSNPNVSVLGNTKAERLPIFSFLIYPPVSNSGDARQKRLPLHGRFVTRLLNDLFGIQARGGCACAGPYGHTLLSIQNELSLRIRSMILKGYSGLKPGWTRLSFSYYLSKEEFKFILAAIEFIASYGHRFLPLYKFDWITGDWKFRKQVIKYQIMKEELDLATGIDLRAQYDQSKIEDKLEKKHGVNQKKFEGYLESAKKIALSLPDISHQVVSIPKGVDPDLVLFHI >KQK97897 pep chromosome:Setaria_italica_v2.0:VII:23843705:23844756:1 gene:SETIT_010864mg transcript:KQK97897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHSILMQKMAKNTRQPRKDGGARSGGHKSPWYQRAVEVLLLIWKQPAAPTATTKAAAASGVTAASGRGAAAPAAGGPGRLRKSSSLNVAASFTRVCLCAPISSYNSESLYCFQADAAPRRSYSYPRASSASASGCGVSPLVAPPPAAEQAAGVGGRGRPGAGKVGGEGAARRVFRGKSLTDDILMRRFVVDEEATRRRNEMEVIRRRHAVATKRRRLGPSPLRRMALAESESEAEDETEAAPAATAAGRGTDSSVAAVA >KQK96502 pep chromosome:Setaria_italica_v2.0:VII:10123353:10126004:-1 gene:SETIT_010895mg transcript:KQK96502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILVAVKRVVDYAVKVRVRPDRTGIETANVKMSMNPFCEIAVEEALRLREAGAAAEVVAATIGPAHSADTLRTALAMGADRAVHVLHDPDPVRPLLPLAVAKILRAVALQEKPGLVILGKQVSARSILSCAALLWVWRLMNRVFDL >KQK96504 pep chromosome:Setaria_italica_v2.0:VII:10123963:10125910:-1 gene:SETIT_010895mg transcript:KQK96504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILVAVKRVVDYAVKVRVRPDRTGIETANVKMSMNPFCEIAVEEALRLREAGAAAEVVAATIGPAHSADTLRTALAMGADRAVHVLHDPDPVRPLLPLAVAKILRAVALQEKPGLVILGKQAIDDDCNQTGQMLAGLLQWPQGTFASKVLLDKEKQKATVEREVDGGIETICLDLPAVIT >KQK96503 pep chromosome:Setaria_italica_v2.0:VII:10123353:10126004:-1 gene:SETIT_010895mg transcript:KQK96503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKILVAVKRVVDYAVKVRVRPDRTGIETANVKMSMNPFCEIAVEEALRLREAGAAAEVVAATIGPAHSADTLRTALAMGADRAVHVLHDPDPVRPLLPLAVAKILRAVALQEKPGLVILGKQAIDDDCNQTGQMLAGLLQWPQGTFASKVLLDKEKQKATVEREVDGGIETICLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKVTPEELNVDIRSDMEVIEVNEPPKRKAGIILSSVDELLDKLKNEARVL >KQK99498 pep chromosome:Setaria_italica_v2.0:VII:33150751:33156893:1 gene:SETIT_010288mg transcript:KQK99498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSGNKQDISDEASRVLRLPSQSAATTPQATTGNAVASRASTASGGGGGGGVLAKVRAAASLSSRSQPRQELGSSSRAPAPGAAFDPFDVDADPPSQLELTPEQVGHCSDALAHFEKRKRRSDLSEEFGSLSDMGLMKRISVAHYPVNREKNRYIDVLPFDDTRVKLKSTTTSLTSNNDYINASFIKATEDNSVATFICTQGPLVNTFEDFWEMVYQYQCPAIVMVTQFDSVKCDKYLPLHNGRGAYGKYNVKIMKKRKDNHQLWLRNVQVQNKESGKVHSVLHIEYPDWPDHGVPTNTDAVRQIWKRLHHIPTEHPIVVHCSAGIGRTGTYITIHTAIERILLGDKRSYDIVKTVKNFRSQRPGMVQTEQQYKFCYQVIADELKYLLNSDH >KQK99499 pep chromosome:Setaria_italica_v2.0:VII:33150751:33156893:1 gene:SETIT_010288mg transcript:KQK99499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSGNKQDISDEASRVLRLPSQSAATTPQATTGNAVASRASTASGGGGGGGVLAKVRAAASLSSRSQPRQELGSSSRAPAPGAAFDPFDVDADPPSQLELTPEQVGHCSDALAHFEKRKRRSDLSEEFGSLSDMGLMKRISVAHYPVNREKNRYIDVLPFDDTRVKLKSTTTSLTSNNDYINASFIKATEDNSVATFICTQGPLVNTFEDFWEMVYQYQCPAIVMVTQFDSVKCDKYLPLHNGRGAYGKYNVKIMKKRKDNHQLWLRNVQVQNKESGKVHSVLHIEYPDWPDHGVPTNTDAVRQIWKRLHHIPTEHPIVVHCSAGIGRTGTYITIHTAIERILLGDKRSYDIVKTVKNFRSQRPGMVQTEQQYKFCYQVIADELKYLLNSDH >KQK99496 pep chromosome:Setaria_italica_v2.0:VII:33150751:33155238:1 gene:SETIT_010288mg transcript:KQK99496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSGNKQDISDEASRVLRLPSQSAATTPQATTGNAVASRASTASGGGGGGGVLAKVRAAASLSSRSQPRQELGSSSRAPAPGAAFDPFDVDADPPSQLELTPEQVGHCSDALAHFEKRKRRSDLSEEFGSLSDMGLMKRISVAHYPVNREKNRYIDVLPFDDTRVKLKSTTTSLTSNNDYINASFIKATEDNSVATFICTQGPLVNTFEDFWEMVYQYQCPAIVMVTQFDSVKVQNKEVKKLERHSCMHWFIVPPDFLTNDFSYL >KQK99497 pep chromosome:Setaria_italica_v2.0:VII:33150751:33155612:1 gene:SETIT_010288mg transcript:KQK99497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKSGNKQDISDEASRVLRLPSQSAATTPQATTGNAVASRASTASGGGGGGGVLAKVRAAASLSSRSQPRQELGSSSRAPAPGAAFDPFDVDADPPSQLELTPEQVGHCSDALAHFEKRKRRSDLSEEFGSLSDMGLMKRISVAHYPVNREKNRYIDVLPFDDTRVKLKSTTTSLTSNNDYINASFIKATEDNSVATFICTQGPLVNTFEDFWEMVYQYQCPAIVMVTQFDSVKCDKYLPLHNGRGAYGKYNVKIMKKRKDNHQLWLRNVQVQNKESGKVHSVLHIEYPDWPDHGVPTNTDAVRQIWKRLHHIPTEHPIVVHCRFVSP >KQK98376 pep chromosome:Setaria_italica_v2.0:VII:26630833:26632596:-1 gene:SETIT_010745mg transcript:KQK98376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADLKLAAFCLLAVASLPLLAVADCECSVSADEDSDKSRALKLKIIAIFSILVSSAVGCAIPSLGRKIPALRPDTDLFFAVKAFAAGVILATAFVHILPDAFEKLGSPCLVDGPWQKLPFAGFVAMLAAIATLVVDTIATGYFQRAHAKNAAAVGDVETPGHHHHVHAHAHGDHGHNHGMPALIASSSSTSNTDDHAQLIRHRVISQVLELGIIVHSVIIGMSLGASESPITIRPLLVALTFHQFFEGIGLGGCIVQIGIHWYWWSPKTLNPVSLDARPVESLNIRQKQR >KQK97039 pep chromosome:Setaria_italica_v2.0:VII:18441643:18447197:1 gene:SETIT_009537mg transcript:KQK97039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRRVSFLLPSPSFPRAPLAKPLPLLLLLLHPPRTPAPTARPLASMAAPAAPAGGTAAMSRDAFRAAVTNTLERRLFFVPSFKIYGGVAGLYDYGPPGCAVKANVLAFWRQHFVLEEGMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGNCYRADHLLKDFCKDKLEKDHTLSPEQTEEYNKILAILDDLSAEQLGSKIREYGIVAPDTKNPLSDPYPFNLMFQTSIGPSGLSSGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFGDVSDLEFLMFPREDQMAGRSAKRLKIGNAVSEGTVNNETLGYFIGRVYLFLTQLGIDKDRLRFRQHLPNEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHSDKSGEKLEAHEKFAEPREVEKLVITPSKKELGLAFKGNQRMVLEALEAMGETEALDMKAALESNGEVEFKVCTLGKDVTIKKSMVSINIEKKKEHQRKFTPSVIEPSFGIGRIIYCLFEHCFYQRPGKTEDEQLNVFGFPPLVAPIKCTVFPLVKLEKFEVVAKKISKALTAAGISHIIDMTGNTIGKRYARTDEIGVPLAITVDNTTSVTVRDRDSKDQIRVEVDEVASVVKEVTDGQSTWADIMWRYPAHTASAVDDEEAEP >KQK97653 pep chromosome:Setaria_italica_v2.0:VII:22406064:22407557:-1 gene:SETIT_011333mg transcript:KQK97653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQKIVIKATMSNAKSRAQAMVLASKANGVGSVGITGDLKDQLEVVGVGIDIACLVRCLRKKLRYAEIVKVEEVKDKPEEEKKKPDPACTCTGPCRCAAAGYYHAPLPLYLCEQDPPPGSCLIL >KQK97654 pep chromosome:Setaria_italica_v2.0:VII:22406357:22407443:-1 gene:SETIT_011333mg transcript:KQK97654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKQKIVIKATMSNAKSRAQAMVLASKANGVGSVGITGDLKDQLEVVGVGIDIACLVRCLRKKLRYAEIVKVEEVKDKPEEEKKKPDPACTCTGPCRCAAAGYYHAPLPLYLCEQDPPPGSCLIL >KQK98126 pep chromosome:Setaria_italica_v2.0:VII:25168569:25170595:-1 gene:SETIT_012690mg transcript:KQK98126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPWVLLDRKVDFVDGKVAVGAWNWKAAAAIGGSSGEQSQEEVAAAMEAHPLVADPPEVTCLSVLQEIPDQLQREKGGMISSTDKGLVVLYTGMYRPGNGAHNLAGCYLCLGLSAAILRHGHGGEGAYVLAELVTTFHPGLPDAELYQWSSSTSIANSGGEWMRTAVRLPLPPELCGPTYFFEIDMTFSFEGSHICWVDLLTGVLICDLFKPQGPEFSFIPLPQGCSLYTPDNIRLGMNTQEFRSMGCVCGAIKFVALIGYPEGSSNNEVVLKTWTLSPDLKEWKKGSTVLVGDLWESESFRQMELPRVRPMFPVLSMNEDDIIYVFLNDIKYVDTVDDFGQIIGRQLVLNGHYVLRLDLVQNKVLYFKRSTTNNLALLAPTLLASDFSAYLHGSKDRQREEEA >KQK99657 pep chromosome:Setaria_italica_v2.0:VII:34104223:34106404:1 gene:SETIT_010170mg transcript:KQK99657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPAPRRSAGTPTCTVPGLLVGLTKLCKLTKVCAAPTLGDEAKSRVGTCGGRYDQRLLLIRLFEAMGSLKSAYVKLQKAHFPYDPAKIAFADEIITSELDSVTALQCLCSSSSGIGSLVNERWSFVQELEAEARKRDSDIVLLKRELEALQRENSKLNKQIKSTKKPSSVKHPDKGFGVPKELATATPSALLEMFKVASASVHDFAEMIASSMPVSSDNCVSDADAGEQSWRRYSLEAHLWRTMLGATTGTEEEEEEEEAELKISAARFDRIMRFCDPMDALMQYPSSSFSRFCRSRYLAAVPPETEAAMFGNLDQRAFVSRGGHPRTWFYRAFATTARSAWALRVVMARCVEHGIHGVRMFYARRGSEYAEEWMQSVAAPASGVREGDMEEKLAVAFTVTPGVKVGDTVVKCRVLLCRHQERFIQVQ >KQK99345 pep chromosome:Setaria_italica_v2.0:VII:32488472:32488914:-1 gene:SETIT_012843mg transcript:KQK99345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDAEACLAPYEGHQVVEALTAGKKTLQLLHLQDHLSSSSADEKKYSIQVE >KQK97780 pep chromosome:Setaria_italica_v2.0:VII:23224681:23227884:-1 gene:SETIT_010605mg transcript:KQK97780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAFTHAQPAWALALAALGFLLTARAASRLAQWLHAAFLRPARPLRRRYGAWAVVTGATDGIGRALAFRLAATGLGLVLVGRSPEKLAAVSAEIKKRHPGAQVVRTFVLDFAAEDLAVKVGALGELVRGLDVGVLVNNAGACYPYARYFHEVDEALMWNLIRLNVEAVTRVTHAVLPGMVERGRGAVVNIGSGASAILPSDPLYTVYAATKAYIDQFSRCLYVEYRSKGIDVQCQVPLLVATKMASIRNASFFAPSPETYAAAAVRCIGYEPRCTPYWPHALLWLLISLVPEPVADRLILGVALDVRAKGRAKDTRKKAQ >KQK96122 pep chromosome:Setaria_italica_v2.0:VII:703491:706600:1 gene:SETIT_009254mg transcript:KQK96122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVVGASESTVKSLLGKLGSLLAQDYTLIRGVGRDLQYITDELRTMQSFLRDLGDAEQDHRMKDWMKQIRDMTYDVEDCVDDSGNRIHNPRWLRGDICCYFLVSNVYEVLTWWPRRDIAGKISDLKVRAQQISERRQRYGVNNPEAAKGKNSAAEGFDAAGNQDRSLALVAAMDPVGVDEFMEKLEHWVNDETKKAGVLSIVGYGGVGKTRIATALYKKLGDQFGCRAMVTVSQSSNIEAILDNIRSQVKPESNKDHERHGRSEESRLAAALEGLQAQIRRGKSTIKAKCRCLGTAQETEGGTKRNQLNDELKGHFQENSYLVVIDDVWSATTLENIRKAFPQTDKHSHRGRIIVTTRFPAVATARRGQEGDHVHKVVPLSLKKSMELFNQAYSESKPSQNVSVPDEVWKVCGGLPLAIVAMSGYAACNSHKHLKWDEIYTKLFPDKKRREELKSGDILNSTPAEAGKDTRKGLTQEELGRIVSHCYNDMPAEIITCSLYLSIFPKGSRISRKRLIRRWIAEGFVSEKDGMSVEDVAETYFGHLVRRKMIRSVEHSNSGKIKQCVVHDMVLEHIVSKASEENFITVVGGHWLMHPPSSKVRRLSLQGSDPKRAKDTEKMNLSHVRSLTMFESLKQLPSNSFKFGTIVQVLDLEGCTDIKEQHAKEICGMFLLKYLSLRRTDTKELPKAIGKLQNLETLDIRETKIVKLPKEVCSLERLINILGGDKETRRALKLPEEFVKKQKMKGLRVLSGIGIVGELEDLHHLTDLRKLAIYKLELTGDSANLKLSSSIQYLCGYSLHTLVIHDESSKFLKCLDEMTSPPESLIALELCGMMVQLPVWITQLDAVTKLTLSITALRTDNLSKLSNLKTLFSLTFTLAAEKQGPEIMAILAKNKLFSDGHIVFPDGGFENLKLLRLCAPVVPLVSFMENAMAKLERLEVRFKILEGIYGVENLARLEEMYLRLNDKDGEAMTNHIVEEMKSAVREDPANKSVVRIIFHITTTD >KQK99372 pep chromosome:Setaria_italica_v2.0:VII:32608701:32612412:1 gene:SETIT_011805mg transcript:KQK99372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVVLYLSLHNPTLHTDAGWETIGKKSKKPGQAAGKQWAPWGSTNAAPNTARPAWGGNGSSHPSGTSQPQSSDRGAANRGNPRPPPQIRVMERELQAPRPVVTPPLANGWQWQSRSRPSASEGQQDDAPPPGGDPDPEEENVDGNGTSDDDDDLSDDISDEYDSDASEKSFEIRKMNKWFKAMAMSGMQKWTWAIDWYNGLQPLMTHARTKGSTKVRLHRELAALLEEELSHRGTSMVPAGEQFGKWKGHSLKGMGNQELLNYFGEYAATKARHAYGPGGHRGMSVLIFESSAVGCMEAERLHRHFVTQGTGREGWQFRKVRFLPGGKRQLYGFLANKEDMETFNKNSQGKGRLKYEMRSYNEMVVIPMKQMSEDNQQLNYLKNKMVKTEQRSKAVEETLGVVTQRLRETMEEMIFVRDKAKEKHSEYKQEMKYQEEFFHNQIEIIYKAREDEEKEFEKLLQEERSKARRFDVDSGTTEVRKLRKEHVQKFIDGQVKGVAEFEAERDELIKTHEEKKMKLKKEYMEKEVELEKELDAALTGLIDKHKPDTFQASNA >KQK96227 pep chromosome:Setaria_italica_v2.0:VII:2027917:2032506:-1 gene:SETIT_009985mg transcript:KQK96227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHLLTPPRLHPASPSPSASSSSAARLRATVSLAHPLLPSRPRLATTRHPHSPSPGHHRSRRAMSVRSSLIDPDGGALVELVAPPDRLPALRAEAETLPRVRLAPVDLQWAHVLAEGWASPLRGFMREAEYLQSLHFNCIRLPDGGLVNMSLPIVLAIGDADKEQIGDKPDVALEGPDGGVVAILRRVEIYPHNKEERIARTWGTTAPGLPYVDEAIASAGNWLIGGDLEVLEPIKYNDGLDHYRLSPRQLRNEFDKRGADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLPVRMEQHSKVLEDGVLDPETTIVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYNPDHGKKVLSMAPGLEKLNILPFKVAAYDTVAKKMAFFDPSRSQDFLFISGTKMRNFAKTGENPPDGFMCPGGWKVLVDYYNSLQAEEATPVPV >KQK96555 pep chromosome:Setaria_italica_v2.0:VII:11904258:11904747:1 gene:SETIT_011363mg transcript:KQK96555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSVLSTLSPILPFDKGIFFFQHVLLLSWKTATGQKQSARQSCWHLSLVMGLYSSWSARGQSTLFIGIILNCIMAAASSKSQLCGWKSGLQPLYFLSSSINEYCSGHRQILWLFVPLNVQ >KQK97420 pep chromosome:Setaria_italica_v2.0:VII:21140793:21144757:1 gene:SETIT_009583mg transcript:KQK97420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAWSRKKEDVVGLKAPKKEKRVKRENRTQPPVEAPYVAPKPKIATKSPDKTVEIFDGMTLLDLSKRTGAYISTLQGILADLGEKVESEFDSISIDLAELVAMELGVNTRRMHTGEGKNEPRPAVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHIGAFVVEMPSGASITFLDTPGHAAFSAMRARGAAVTDIVVLVVAADDGVMPQTLEAMSHAKSANVPVVVAINKCDKSGADPERVRIQLGSEGLLLEDMGGDVQVVEISAVAKSGLDKLEEALLLQAEMMDLKARIDGPAQAFVVEAKVDRGRGPLATAIVKSGTLVSGQHIVVGAEWGRIRSLRDTAGKITESAKPAMPVEIEGLRGLPMAGDDVVVVDSEERARMLSQGRKKKQEKDRLRKIDEGMADELEIKEETPERVEMPIIVKADVQGSVQAVTDALRSLNSAQVFVNVVHVGVGPISQHDIDLAQACGAYIVGFNIRSPPIAITQAAARANIKVLLHKVIYHLLEEMGRTIVEKAPGTAETQVSGEAEILNIFELKGRSKSKGPDIRIAGCRITDGHFSKSGTMRLLRSGDVVFEGPCASLKREKQDAETIEKGNDCGLVIQDCDDFQVGDIIQCLEQVIRKPKFISTQSGSVRIEC >KQK97401 pep chromosome:Setaria_italica_v2.0:VII:21020252:21023518:-1 gene:SETIT_010392mg transcript:KQK97401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGANAIPLLTPYKMGKFDLSHRVVLAPLTRQRSYGNVPQPHAILYYQQRATKGGLLIAEATGVSDTAQGYKDTPGIWSNEQVEAWKPIVHGVHEKGGIFFCQLWHVGRVSNSTFQPNGQAPISSTDKPLKPQVRANGVDVTTFAPPRRLETDEIPLIVNDFRVAARNAVEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVVDEIGADKVGIRLSPFASYSEAPDSNPEALGLYMANALNKFGILYCHVVEPRMVKLGEKFETPYSLRPMRDAFKGTFIVAGGYNREDGNNAISTGYADLVAYGRIFLSNPDLPRRFEIDAPLNKYNRETFYLPDPVIGYTDYPFLPTDV >KQK97400 pep chromosome:Setaria_italica_v2.0:VII:21020252:21022655:-1 gene:SETIT_010392mg transcript:KQK97400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAPLTRQRSYGNVPQPHAILYYQQRATKGGLLIAEATGVSDTAQGYKDTPGIWSNEQVEAWKPIVHGVHEKGGIFFCQLWHVGRVSNSTFQPNGQAPISSTDKPLKPQVRANGVDVTTFAPPRRLETDEIPLIVNDFRVAARNAVEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVVDEIGADKVGIRLSPFASYSEAPDSNPEALGLYMANALNKFGILYCHVVEPRMVKLGEKFETPYSLRPMRDAFKGTFIVAGGYNREDGNNAISTGYADLVAYGRIFLSNPDLPRRFEIDAPLNKYNRETFYLPDPVIGYTDYPFLPTDV >KQK97402 pep chromosome:Setaria_italica_v2.0:VII:21020252:21023413:-1 gene:SETIT_010392mg transcript:KQK97402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSGANAIPLLTPYKMGKFDLSHRVVLAPLTRQRSYGNVPQPHAILYYQQRATKGGLLIAEATGVSDTAQGYKDTPGIWSNEQVEAWKPIVHGVHEKGGIFFCQLWHVGRVSNSTFQPNGQAPISSTDKPLKPQVRANGVDVTTFAPPRRLETDEIPLIVNDFRVAARNAVEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEVVQAVVDEIGADKVGIRLSPFASYSEAPDSNPEALGLYMANALNKFGILYCHVVEPRMVKLGEKFETPYSLRPMRDAFKGTFIVAGGYNREDGNNAISTGYADLVAYGRIFLSNPDLPRRFEIDAPLNKYNRETFYLPDPVIGYTDYPFLPTDV >KQK98992 pep chromosome:Setaria_italica_v2.0:VII:30374801:30375775:1 gene:SETIT_011947mg transcript:KQK98992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLVISAAGSLSTLRQASEIKHTILISTAVNSISDISASVSTKWFDLVLMSLGNGEISKMEFTAVLIKIVCFISEACRNVDKLPAALITSGIVQATAALALAIFKSPAGIFVGNGKMPFYLYYGILIPVIIFGFVEASVGFYVSGDLTRRRAIGMTILWISILPIVLVVGLGCFVILK >KQK98983 pep chromosome:Setaria_italica_v2.0:VII:30324415:30326391:-1 gene:SETIT_010051mg transcript:KQK98983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALLAGRSGAHHHSWGEGRAPLAPIPPNPSPNHPPHPPRGDGPKARAAAAASPAAGYVTFRPGSLGHREARALRDRLAGELGQVRALLSRIDTWQVRQQGHPPRRELLPAPPAKLWGAMRKRCGQILTKLRKDKRSVWFNAPVEVERLGLHDYHTVIKSPMDLGTVKENLAAGRYASHDAFAGDVRLTFSNALRYNPVGHEVHTFAGALLASFEKMYKAAVDWFEEECKRLEPPKPVPAELPPPPTVEAKVKPRTGNVKMRKPKAREPNKREMSLEEKNLLRLGLESLPEEKMHNVLQIVRKRNSNQEMLGDEIELDIDEMDVETQWELDRFVTNFNKALKKSKRAAMVNGGTADVASAAGAEDDIAPVNGVATLVGNDDAESENPTKTTTLAEQVDEYVDIGDEMPTATYQSMEIEKDAEVASGSGASGSGSSSSSGSDSGSSGDSASGAGNAHSLA >KQK98984 pep chromosome:Setaria_italica_v2.0:VII:30324072:30326391:-1 gene:SETIT_010051mg transcript:KQK98984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASALLAGRSGAHHHSWGEGRAPLAPIPPNPSPNHPPHPPRGDGPKARAAAAASPAAGYVTFRPGSLGHREARALRDRLAGELGQVRALLSRIDTWQVRQQGHPPRRELLPAPPAKLWGAMRKRCGQILTKLRKDKRSVWFNAPVEVERLGLHDYHTVIKSPMDLGTVKENLAAGRYASHDAFAGDVRLTFSNALRYNPVGHEVHTFAGALLASFEKMYKAAVDWFEEECKRLEPPKPVPAELPPPPTVEAKVKPRTGNVKMRKPKAREPNKREMSLEEKNLLRLGLESLPEEKMHNVLQIVRKRNSNQEMLGDEIELDIDEMDVETQWELDRFVTNFNKALKKSKRAAMVNGGTADVASAAGAEDDIAPVNGVATLVGNDDAESENPTKTTTLAEQVDEYVDIGDEMPTATYQSMEIEKDAEVASGSGASGSGSSSSSGSDSGSSGDSASGAGNAHSLA >KQK96863 pep chromosome:Setaria_italica_v2.0:VII:16674004:16676135:1 gene:SETIT_010035mg transcript:KQK96863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGTAVEKTGGMGEVRKQLYLAGPLIVAWLLQNSVRIISLMFVGHLGELALSSASMATSFASVTGFSLLLGMASSLDTLCGQAFGAKQHHLLGIYKQRAILVLTLVSIGLAVVWWYTGKILLLFGQDPEIAAGAGSYIRWMIPALFVYGPLQCHFRFLQAQNIVLPVMLSSGVTAVSHVLVCWLLVYKIGLGYKGAALACAISYLINVSILSIYVRLAPACENTRRGFSKEAFHGIPTFLRLAVPSALMVCLEWWSFEILVILSGLLPNPKLATSVLSILLNTSTLAFMIPFGLSAAISTRVSNELGAGRPQAARLATRVVMVLAIAIGILVGLAMVLVRNLWGYAYSNEAEVVKHISKMMPILAVSFLFDCLQCVLSGIARGCGWQKIGACVNLGAYYMIGIPAAFCLAFLDHLGVMGLLLGMICALVVQMLLLLAITLCSNWEKEALKTKDRIFSSSLPDMMT >KQK96406 pep chromosome:Setaria_italica_v2.0:VII:5835889:5836227:1 gene:SETIT_011747mg transcript:KQK96406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFEDGIVRRADSDPPSGAGAGGSRPNKVLVHVPSGEVVTSYDVLERRLRELGWERYLYDPCLLQFHKRSTVHLITVPRDFARLKLVHMYDVVVKTRNVFEVRDAAPA >KQK97899 pep chromosome:Setaria_italica_v2.0:VII:23854159:23858354:-1 gene:SETIT_010730mg transcript:KQK97899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFSSTKETPPAGAWWSRETVAVVTGANRGIGHALAARLAEHGLTVVLTARDGARGEAAAAPLRERGLAVAFRRLDVSDPDSVAEFAAWLRDAVGGLDILVNNAAVSFNEIDTNSVEHAETVLRTNFYGAKMLTEALLPLFRQSPATSRILNISSQLGLLNKVSDPSLTALLLDEDTLTEAAIESMVSRFLSQVKDGTWGGQGWPKVWTDYSVSKLALNAYSRVLARRLQARGARVSVNCFCPGFTRTDMTKGWGKRTAEEVADVGARLALLPPAELPTGTFFKWCTPQLYSKL >KQK98873 pep chromosome:Setaria_italica_v2.0:VII:29703223:29707840:-1 gene:SETIT_010762mg transcript:KQK98873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDAIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLTGDKEGAISSLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYNEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRFLS >KQK98874 pep chromosome:Setaria_italica_v2.0:VII:29703547:29707709:-1 gene:SETIT_010762mg transcript:KQK98874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDLLFNLRNLFYLGAYQAAINNSDVPGLDADAAAERDAIVFRSYIALGSYQLVISEIDSSAATSLQAVKLLALYLTGDKEGAISSLKEWLSDSAIGSNPVLRLIAGIIFMHEQDYNEALKHTHSGGTLDLHALNVQIFIKMHRSDYAEKQLKIMQQIDEDHTLTQLANAWLDIAVGGSKIREAYLIFQDFAEKYPMTGMVLNGKAVCCMHMGSFEEAETLLLEALNKDAKDPETLANLIVCNLHLGKPSSRFLSQLKLSHPDHVLVKNAASSEANFERALQAVA >KQK99922 pep chromosome:Setaria_italica_v2.0:VII:35408805:35412864:-1 gene:SETIT_012122mg transcript:KQK99922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRLGGAPCGCFGAFTRLPLPAAARLRLPPARAADTSASQPPPAARLRGGNDEAALSLVRGSQGEDGGLRGFGAARQVPQRLYKLDELKLNGIDTSAFLSPVDLTLGSIERNLQLAAVLGGLSISAALEISQLQILFLVLGLLSLWSVDFVYFGGGVRNLILDTIGHYLSQKYHNRVIQHEAGHFLIAYLLGVLPKGYTITSLDTLIKQGLLNVQAGTAFVDYEFLEEINTGKLSATMLNKFSCIALAGVATEYLLYGVAEGGLADINKLDGLLKSLGFTQKKADSQVRWAVLNTVLMLRRHEKARSQLAEAMSSGKSVGTCIEIIEGNINTDDI >KQK96713 pep chromosome:Setaria_italica_v2.0:VII:14420201:14420760:-1 gene:SETIT_011386mg transcript:KQK96713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAQGSDGGKAAEDSRLWPVGDSAGWSFGVLGWPNYKPFKAGDVLLFSYKHGAHNVVQVNSVAQYSMCEVPRNATVWSSGNDRVTLARGMSFFVCGIPGHCQKGMKIAVTAR >KQK99060 pep chromosome:Setaria_italica_v2.0:VII:30705532:30707348:1 gene:SETIT_010518mg transcript:KQK99060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGEKKKTACVTGGNGYIASALIKMLLEKGYAVKTTVRNPDDMAKNSHLKNLQALGPLTVLRADLDEEGSFDEAVAGCDYAFLVAAPVNLASEDPEKELIEAAVRGTLNVMRSCVKAGTVKRVILTSSAASIIMRPELQGDGHVLDEESWSNVEYLRANKTAFWGYPVSKVLSEKAACRFAEEHGISLVTVCPVVTVGAAPAPTARTSVPNCLSLLSGDEAEFAVLRGMAKVSGTVPLVHVDDVCRAELFVAEEEAAAGRYLCCSLNTTIAELARFLSANYPQYTVKTKLLSGDLLEKPRARLSSAKLVGEGFEYRYKTLDGMYDDMIDYGKALGILPNL >KQK99062 pep chromosome:Setaria_italica_v2.0:VII:30705532:30710291:1 gene:SETIT_010518mg transcript:KQK99062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGEKKKTACVTGGNGYIASALIKMLLEKGYAVKTTVRNPDDMAKNSHLKNLQALGPLTVLRADLDEEGSFDEAVAGCDYAFLVAAPVNLASEDPEKELIEAAVRGTLNVMRSCVKAGTVKRVILTSSAASIIMRPELQGDGHVLDEESWSNVEYLRANKTAFWGYPVSKVLSEKAACRFAEEHGISLVTVCPVVTVGAAPAPTARTSVPNCLSLLSGDEAEFAVLTGMAKASGTVPLVHVDDVCRAELFVAEEEAAAGRYLCCSLNTTIAELARFLAHKYPQYGVKTDLLSDDVLEKPRACVSSAKLVREGFEYKYETLDGMYDDMVEYGKALGILTN >KQK99061 pep chromosome:Setaria_italica_v2.0:VII:30705532:30710291:1 gene:SETIT_010518mg transcript:KQK99061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGEKKKTACVTGGNGYIASALIKMLLEKGYAVKTTVRNPDDMAKNSHLKNLQALGPLTVLRADLDEEGSFDEAVAGCDYAFLVAAPVNLASEDPEKELIEAAVRGTLNVMRSCVKAGTVKRVILTSSAASIIMRPELQGDGHVLDEESWSNVEYLRANKTAFWGYPVSKVLSEKAACRFAEEHGISLVTVCPVVTVGAAPSPTARTSVPSCLSLLSGDEAEFAVLTGMAKASGTVPLVHVDDVCRAELFVAEEEAAAGRYLCCSLNTTIAELARFLAHKYPQYGVKTDLLSDDVLEKPRACVSSAKLVREGFEYKYETLDGMYDDMVEYGKALGILTN >KQK98300 pep chromosome:Setaria_italica_v2.0:VII:26132808:26133322:-1 gene:SETIT_011288mg transcript:KQK98300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTHCRAKFSPSALCETGPNERTETKGPKAARPSSVTVRPTLGHHSRVGPVHHQRARRAGGDTAGQRAPASSGRSERVRRGRQPIDMVEFLLASSHADILYLPDGARGGPRMPRQHSTGRHGYMPNLDGTVRRCQ >KQK98573 pep chromosome:Setaria_italica_v2.0:VII:27990061:27995801:1 gene:SETIT_009809mg transcript:KQK98573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METYVGPSVSSDALRLFSSCAKRSRKPDISVVNQIKVVDRYSTVNHVTRIRRLPLSSRVDTNWLITSKPRHGALPGFLGISSFHRGYSSDTGIKPEASQSTVSNVPSTESSEVGTAGGGGGSWIEILDSARKSTVDATTDAGKKLKELTDAVTPHVQQLFDTYPNLEKVVVPLGGTLCGTMMAWLVMPIILRRLHKYASQSPISALLGNSTKNDVSYQTSLWCALEDPAKYLVTFMAFSEMATLIAPSISTYLPQAWRGAFVLSFVWFLQRWKTNFIAKAMTNPDASSLDHDKISAFDKVSSLGLIGLGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVLGNILSGFSLQFSKPFSVNDYIKAGPIEGRVVEIGLTSTSLINPEKLPVIVPNSLFSSQMIVNRSRANWRASVTKIPIRIEDIEKVPSVSEEIKVMLRSNPNVSFDSDVPYCYLSRLESSYGELTIGCNLKNMRKDEWLSTEQDILLGAARIIKSHGIELGSTMQCC >KQK98577 pep chromosome:Setaria_italica_v2.0:VII:27990061:27995801:1 gene:SETIT_009809mg transcript:KQK98577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIAAIWRRSCQSSASQSLMETYVGPSVSSDALRLFSSCAKRSRKPDISVVNQIKVVDRYSTVNHVTRIRRLPLSSRVDTNWLITSKPRHGALPGFLGISSFHRGYSSDTGIKPEASQSTVSNVPSTESSEVGTAGGGGGSWIEILDSARKSTVDATTDAGKKLKELTDAVTPHVQQLFDTYPNLEKVVVPLGGTLCGTMMAWLVMPIILRRLHKYASQSPISALLGNSTKNDVSYQTSLWCALEDPAKYLVTFMAFSEMATLIAPSISTYLPQAWRGAFVLSFVWFLQRWKTNFIAKAMTNPDASSLDHDKISAFDKVSSLGLIGLGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVLGNILSGFSLQFSKPFSVNDYIKAGPIEGRVVEIGLTSTSLINPEKLPVIVPNSLFSSQMIVNRSRANWRASVTKIPIRIEDIEKVPSVSEEIKVMLRSNPNVSFDSDVPYCYLSRLESSYGELTIGCNLKNMRKDEWLSTEQDILLGAARIIKSHGIELGSTMQCC >KQK98575 pep chromosome:Setaria_italica_v2.0:VII:27991271:27996602:1 gene:SETIT_009809mg transcript:KQK98575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIAAIWRRSCQSSASQSLMETYVGPSVSSDALRLFSSCAKRSRKPDISVVNQIKVVDRYSTVNHVTRIRRLPLSSRVDTNWLITSKPRHGALPGFLGISSFHRGYSSDTGIKPEASQSTVSNVPSTESSEVGTAGGGGGSWIEILDSARKSTVDATTDAGKKLKELTDAVTPHVQQLFDTYPNLEKVVVPLGGTLCGTMMAWLVMPIILRRLHKYASQSPISALLGNSTKNDVSYQTSLWCALEDPAKYLVTFMAFSEMATLIAPSISTYLPQAWRGAFVLSFVWFLQRWKTNFIAKAMTNPDASSLDHDKISAFDKVSSLGLIGLGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVLGNILSGFSLQFSKPFSVNDYIKAGPIEGRVVEIGLTSTSLINPEKLPVIVPNSLFSSQMIVNRSRANWRASVTKIPIRIEDIEKVPSVSEEIKVMLRSNPNVSFDSDVPYCYLSRLESSYGELTIGCNLKNMRKDEWLSTEQDILLGAARIIKSHGIELGSTMQCC >KQK98574 pep chromosome:Setaria_italica_v2.0:VII:27990061:27995801:1 gene:SETIT_009809mg transcript:KQK98574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIAAIWRRSCQSSASQSLMETYVGPSVSSDALRLFSSCAKRSRKPDISVVNQIKVVDRYSTVNHVTRIRRLPLSSRVDTNWLITSKPRHGALPGFLGISSFHRGYSSDTGIKPEASQSTVSNVPSTESSEVGTAGGGGGSWIEILDSARKSTVDATTDAGKKLKELTDAVTPHVQQLFDTYPNLEKVVVPLGGTLCGTMMAWLVMPIILRRLHKYASQSPISALLGNSTKNDVSYQTSLWCALEDPAKYLVTFMAFSEMATLIAPSISTYLPQAWRGAFVLSFVWFLQRWKTNFIAKAMTNPDASSLDHDKISAFDKVSSLGLIGLGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVLGNILSGFSLQFSKPFSVNDYIKAGPIEGRVVEIGLTSTSLINPEKLPVIVPNSLFSSQMIVNRSRANWRASVTKIPIRIEDIEKVPSVSEEIKVMLRSNPNVSFDSDVPYCYLSRLESSYGELTIGCNLKNMRKDEWLSTEQDILLGAARIIKSHGIELGSTMQCC >KQK98576 pep chromosome:Setaria_italica_v2.0:VII:27990061:27996602:1 gene:SETIT_009809mg transcript:KQK98576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGIAAIWRRSCQSSASQSLMETYVGPSVSSDALRLFSSCAKRSRKPDISVVNQIKVVDRYSTVNHVTRIRRLPLSSRVDTNWLITSKPRHGALPGFLGISSFHRGYSSDTGIKPEASQSTVSNVPSTESSEVGTAGGGGGSWIEILDSARKSTVDATTDAGKKLKELTDAVTPHVQQLFDTYPNLEKVVVPLGGTLCGTMMAWLVMPIILRRLHKYASQSPISALLGNSTKNDVSYQTSLWCALEDPAKYLVTFMAFSEMATLIAPSISTYLPQAWRGAFVLSFVWFLQRWKTNFIAKAMTNPDASSLDHDKISAFDKVSSLGLIGLGVMGLAEACGVAVQSILTVGGVGGVATAFAARDVLGNILSGFSLQFSKPFSVNDYIKAGPIEGRVVEIGLTSTSLINPEKLPVIVPNSLFSSQMIVNRSRANWRASVTKIPIRIEDIEKVPSVSEEIKVMLRSNPNVSFDSDVPYCYLSRLESSYGELTIGCNLKNMRKDEWLSTEQDILLGAARIIKSHGIELGSTMQCC >KQK96342 pep chromosome:Setaria_italica_v2.0:VII:3853668:3854035:1 gene:SETIT_012717mg transcript:KQK96342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKQAILCCLLVVLMLHTDYTSAGSCFLHLFSFIVCATITMKIPFCKSWLCKSECWLQKKLRKVGLQEYKCIKGGIKGKCRCVVCRDIPR >KQK98169 pep chromosome:Setaria_italica_v2.0:VII:25456861:25463922:1 gene:SETIT_009637mg transcript:KQK98169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPVAAQEASDDDAARVVVPVAGSHVESASNPLPAAPGSVTPVAEGDQEHSKREAPAAAAAAASVEAKKEAAPAAAAGVEAMAVTVVRDVETGLAASTSDQGGDKPSWFTPKRLLVMFCIINMLNYVDRGAIASNGVNGSRKNCSGGTCTSGSGIQGDFNLSNAEDGVLSSAFMVGLLVASLIFASLAKRHNPFRLIGVGLLVWTIATAGCGVSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPVAQKTGWLAMFYMCIPTGIALGYVYGGLVGKILNWRAAFWGESILMIPFVILGFVIKPLELKGFSQNRTKEYGQMLNPEVQDQINNNGTKRVMPGGIDDLAGKVPQKLSFSRFGQSLMTEIGRFGKDMKELLQEMPFFIVVLGYISYNFVIGAYSYWGPKAGKQIYNMASADLMFGGITIVCGILGTLSGGIILDKIGATIPNAFKLLSSATFLGAIFCFGAFCFKSLYGFIFFFAIGEILVFATQAPVNFVCLHTVKPHLRPLAMAVSTVSIHIFGDVPSSPLVGLLQDKINNWRSTALILTSILFIAAVFWFIGEFKTLQCHKVYVLPFFAFTCFREACMKHDPTVGSFTCSEHL >KQK98170 pep chromosome:Setaria_italica_v2.0:VII:25456861:25464529:1 gene:SETIT_009637mg transcript:KQK98170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPVAAQEASDDDAARVVVPVAGSHVESASNPLPAAPGSVTPVAEGDQEHSKREAPAAAAAAASVEAKKEAAPAAAAGVEAMAVTVVRDVETGLAASTSDQGGDKPSWFTPKRLLVMFCIINMLNYVDRGAIASNGVNGSRKNCSGGTCTSGSGIQGDFNLSNAEDGVLSSAFMVGLLVASLIFASLAKRHNPFRLIGVGLLVWTIATAGCGVSFDFWSITICRMLVGVGEASFISLAAPFIDDNAPVAQKTGWLAMFYMCIPTGIALGYVYGGLVGKILNWRAAFWGESILMIPFVILGFVIKPLELKGFSQNRTKEYGQMLNPEVQDQINNNGTKRVMPGGIDDLAGKVPQKLSFSRFGQSLMTEIGRFGKDMKELLQEMPFFIVVLGYISYNFVIGAYSYWGPKAGKQIYNMASADLMFGGITIVCGILGTLSGGIILDKIGATIPNAFKLLSSATFLGAIFCFGAFCFKSLYGFIFFFAIGEILVFATQAPVNFVCLHTVKPHLRPLAMAVSTVSIHIFGDVPSSPLVGLLQDKINNWRSTALILTSILFIAAVFWFIGIFVPSVDRFKEESEDAVPAAERSNLRPLLDDNEGARTSD >KQK97143 pep chromosome:Setaria_italica_v2.0:VII:19281675:19283340:1 gene:SETIT_012274mg transcript:KQK97143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAATKFLRAAHGASLASHIATAAAPHAAAMVPRSSCVAAVMAFRSSHDAKSLSDKDVESDEAVWALYERWCKAFNMEGDHAEMARQFKIFRYYAKYVHHFNTYLPPDPEEPAIYIQKRREAELLLRDMDFDECHLPIELGPFDDGGDPFIFEHCLLRLQEQEFKLFKYGDGNIWQLFEHYFVEH >KQK98310 pep chromosome:Setaria_italica_v2.0:VII:26215888:26218329:1 gene:SETIT_010607mg transcript:KQK98310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGGGGGAGDDRKRRGATTDEEDATSASAASLNDLCAGAGAGAAPPPFPRAAAWAVAALLAVGLGVGALVLAVVRSAALLVVAVLLSAAVAAFLAWNAAAAASGRALRRFVDGLPASSLRVAADGQLVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCATWGSIVLNPWNRCSKWKLTHAERFAADFYITDAKSGKRALVKAGHHSKVVPLIDENLLVTTSRDTELSSTLKYWLDERKLSSEEAQLIRLEEGYIREGMRLSVIGMLSKKNGDAMILPPPEPLSTGCVLLSFLLPTYFDGIVLRLVDRSYFVPNPGVS >KQK98309 pep chromosome:Setaria_italica_v2.0:VII:26215888:26218329:1 gene:SETIT_010607mg transcript:KQK98309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGGGGGAGDDRKRRGATTDEEDATSASAASLNDLCAGAGAGAAPPPFPRAAAWAVAALLAVGLGVGALVLAVVRSAALLVVAVLLSAAVAAFLAWNAAAAASGRALRRFVDGLPASSLRVAADGQLVKITGFVSCGDISLISSYEKVENCVYTSTLLRKCATWGSIVLNPWNRCSKWKLTHAERFAADFYITDAKSGKRALVKAGHHSKVVPLIDENLLVTTSRDTELSSTLKYWLDERKLSSEEAQLIRLEEGEGMRLSVIGMLSKKNGDAMILPPPEPLSTGCVLLSFLLPTYFDGIVLRLVDRSYFVPNPGVS >KQK98774 pep chromosome:Setaria_italica_v2.0:VII:29103272:29107983:-1 gene:SETIT_009383mg transcript:KQK98774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRRFIVKEMLGQGTFGQVVKCWDTETNDYVAVKVIKNQPAFYHQAIMEVSLLRTLNQKFDPDDKHNIVRMLDYLSFQNHLCIAFEMLGQNLYELLKRNHLRGLKVKYVRAFSKQILDAMVVMRDAGIIHCDLKPENILLAPSVATAAAVKVIDFGSACLEGKTVYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELFIGLPLFPGASEYDVLQRMTTILGGQPPDDLLREAKNTGRFFKHVGSIFPGSEAHDGISSAYRFLSEEEVEARESKRPKVGKWYFPRLKLDRLIYTYPWNNSELTETEKTDRLALVDFLKGLLEFDPNKRWSPVQALFHPFITGEPFTGPYEPVPETARIPVARAAAIDHNPGGGHWLHSGLSPQVGSVNRCLPLNNAYPPKMPFSYGSSYGSFGSHGSYTGNAGFANSYGSIGDVNTSNMYYSPLGSSGFTQIGSSPDIRLRPRLPHDRGIRLSPGSLGPMSLGASPSQFTPPNYQMQIPANSTGKHGSGSPASGSIHGSPLGKAATAGPYNMRRNVPMPPHDYVSQHGQVRYGDGVSFSHPDGYVRGHTGHSQNAGPSSGHSSWRPQISSRSGFSLDASSSHGPSQALHSQAPSNSFDFSPNTSASSALDPADWDPNYSDESLLQEDSSLSADLSSSLHLEDATRQASGSIRSANFQGHVFATSNPVPTNQRGDQLFRASYQGGSSHSSVPVNYGGYNPPSYPQQSLRPRPGQPILQQRYNQPTSGPMRLMGSHHSGQPVWPSTYGMGEGVPWGGTGGHSFTSSGLPPSLARKDYGSIF >KQK98775 pep chromosome:Setaria_italica_v2.0:VII:29103073:29108946:-1 gene:SETIT_009383mg transcript:KQK98775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRRFIVKEMLGQGTFGQVVKCWDTETNDYVAVKVIKNQPAFYHQAIMEVSLLRTLNQKFDPDDKHNIVRMLDYLSFQNHLCIAFEMLGQNLYELLKRNHLRGLKVKYVRAFSKQILDAMVVMRDAGIIHCDLKPENILLAPSVATAAAVKVIDFGSACLEGKTVYSYIQSRYYRSPEVLLGYPYTTAIDMWSFGCIVAELFIGLPLFPGASEYDVLQRMTTILGGQPPDDLLREAKNTGRFFKHVGSIFPGSEAHDGISSAYRFLSEEEVEARESKRPKVGKWYFPRLKLDRLIYTYPWNNSELTETEKTDRLALVDFLKGLLEFDPNKRWSPVQALFHPFITGEPFTGPYEPVPETARIPVARAAAIDHNPGGGHWLHSGLSPQVGSVNRCLPLNNAYPPKMPFSYGSSYGSFGSHGSYTGNAGFANSYGSIGDVNTSNMYYSPLGSSGFTQIGSSPDIRLRPRLPHDRGIRLSPGSLGPMSLGASPSQFTPPNYQMQIPANSTGKHGSGSPASGSIHGSPLGKAATAGPYNMRRNVPMPPHDYVSQHGQVRYGDGVSFSHPDGYVRGHTGHSQNAGPSSGHSSWRPQISSRSGFSLDASSSHGPSQALHSQAPSNSFDFSPNTSASSALDPADWDPNYSDESLLQEDSSLSADLSSSLHLEDATRQASGSIRSANFQGHVFATSNPVPTNQRGDQLFRASYQGGSSHSSVPVNYGGYNPPSYPQQSLRPRPGQPILQQRYNQPTSGPMRLMGSHHSGQPVWPSTYGMGEGVPWGGHSFTSSGLPPSLARKDYGSIF >KQK98822 pep chromosome:Setaria_italica_v2.0:VII:29391541:29394045:-1 gene:SETIT_012750mg transcript:KQK98822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRLGSISKCANYFFRRSYMVLQRNPLNPLLVHLIYFMSISFTGFLALKSLTPQHKPIPRSLDLAFTSVSTLTVSSMATVEMEDFSDQQLWVLILLMLLGGEVFTSMLGLHLKNARANTYDILQKRLPSTCRDIEFVDSVNRSCWNNMEDINPEATTSHKQVQESKSMNQNYCNILAHVVAGYFVVCIICSCLVITIYIWTDSEAKYLLKSKNIKIWTFSIFTAVSSFVNCGFTPVNDNMAIFRKNRGILLLVIPQIPAGNTLFTPLLRLSIWALGRISRREEYTYILQYPVETGYMHMQQKKNVINLVLAAVGIILLQVMFLCYFGWDSKPMEGLKWFQKLVCTLFQSVNRRHAGETVIDISNLPPAILVLFALFMYLPSDGSSLPNSVDDQTLTEKGEDKNSRTLWKNFIISKPTCLATFIILACITERKSMSLDPLNFNIFSIVFEVVSAYGNVGYSLGYSCERLLKPDATCKAVSYGLVGKWTDEGKLIIILVMFLGRFKRFGLRARKPRVSM >KQK97228 pep chromosome:Setaria_italica_v2.0:VII:19749591:19752393:-1 gene:SETIT_009548mg transcript:KQK97228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSESDSDDIFFDAFEDVRSARELSSSEDCSTSDDVSAPRKFEYEIWANEPMSVQERRQRFLKGMGFDEFVSTRMDSFQCHGEITAVESSTDMEERTVSGHSSQESSVSDNESESDGACCIRDMDSGKRYIVNNGAHNSITDMLKEVGSDKMMSLLKFENLLGLSRSVQKLLRRGYGNSLARESKGASKKDDKSLWKKFMTKRSFSGICKSDVHVKNCTKRAPIRTKVQYRKKNFLEFSAINMDQEIRAHKGSIRVMKFSPSGWYLASGGEDCVVRIWQIIEVEAPPKLYKGEDPYEKVEKVQVFKTNTGKGQNHALAVIPKKAFRISETPMHEFHGHTSDILDLTWSKSDYLLTSSKDKTVRLWKPGCDGCLAVFKHKDYVTCVQFNPIDERYFISGSLDGKVRIWDVLDRRVTDWADTRNIITAVSYQPDGKGFVVGTTAGACRFYNQSGENIKLEKELFVQGKKKSSASRINSLKFCTSDSNRIIITSADSKIRVADGDSIKKFEGPWKSKALSSPSLTSDGRYLISAGKDSNVYIWNFPNSGDAKSVHSCELFFSKDVTNAVPWPGVHQDGHTKPSCLTEKSSSAPTLRRHGEPLSPGPWPFADGTKGSATWPEEKLPSAGKPESSPQLGDCLSAISAAWSTVIVTASRDGVIRSFPNYGLPVRL >KQK97717 pep chromosome:Setaria_italica_v2.0:VII:22841444:22845179:-1 gene:SETIT_009349mg transcript:KQK97717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLVKYGDTLKRFNARVNGSHFDHDLPALRLKIASAFKFSPDAEFILTYTDEDGDVVMLDDDNDLRDAAINQKLNPLRINVQLKSSNVGAAQTKQQATNSKSSRSISLEDQLAQVKSAIDEALKFVPEQVPAVLAKLSHDLRFRAASSAPSLAELLDRFAKLITRGSNMHPSCGFADSSQKLRSAKVKLESALVTGSASETSNGQNSGISEAGLRGVLSEDPNAKIEQVPSCPSVRDSLVFTSSGGMKGDHKRSLDSEIKIKSDAHSKGKSVLSSWPPVSTTSHGAPAQGSVPVPFKSLGSIGMANGDIMPLFPPPPVYQPPTPVLYPPTPFLTPLNPISGANGKTTVDLHSTFPPPPNIYSPIKLNTPSSVGTCCPNLYSTGTSHRDGTGPLSSYVPNPEGINSFGSSYRGLGTNYRGNPLKYAQHRWIQCDGCGVTPIDGPRYKSNVKEDYDLCDACFSHMGNEVEYTRLDGPASKSNMKILGKVPAVKTDSRFIKDVTVPDGTPMAPSTLFTKIWRMRNNGFSKWPYGTQLLWVGGDRLTCLSSFRLAISANGGLNPCEDTDVTVDFLAPAKPGRYISYWRLALPSGLTFGQQIWVHIEVEQPIQTSGGKQAAARNRNQLPEANSTRPSAFNINSAPVNFLSGWHGRLECETMEPKESEPVPSDMSSAPAAVEPAQIPVTDAPASSAEAALASMPAAVPAPEAILLPKPVPVPAPVSASAPAPVAAPVSMPVVAAAPAAPLAEEVVNHLLEEKMMSELEVLGFMQADLNKQILRQNNYDLEQSVVDLCGFNEWDPLEVFSEL >KQK97718 pep chromosome:Setaria_italica_v2.0:VII:22842117:22845179:-1 gene:SETIT_009349mg transcript:KQK97718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLVKYGDTLKRFNARVNGSHFDHDLPALRLKIASAFKFSPDAEFILTYTDEDGDVVMLDDDNDLRDAAINQKLNPLRINVQLKSSNVGAAQTKQQATNSKSSRSISLEDQLAQVKSAIDEALKFVPEQVPAVLAKLSHDLRFRAASSAPSLAELLDRFAKLITRGSNMHPSCGFADSSQKLRSAKVKLESALVTGSASETSNGQNSGISEAGLRGVLSEDPNAKIEQVPSCPSVRDSLVFTSSGGMKGDHKRSLDSEIKIKSDAHSKGKSVLSSWPPVSTTSHGAPAQGSVPVPFKSLGSIGMANGDIMPLFPPPPVYQPPTPVLYPPTPFLTPLNPISGANGKTTVDLHSTFPPPPNIYSPIKLNTPSSVGTCCPNLYSTGTSHRDGTGPLSSYVPNPEGINSFGSSYRGLGTNYRGNPLKYAQHRWIQCDGCGVTPIDGPRYKSNVKEDYDLCDACFSHMGNEVEYTRLDGPASKSNMKILGKVPAVKTDSRFIKDVTVPDGTPMAPSTLFTKIWRMRNNGFSKWPYGTQLLWVGGDRLTCLSSFRLAISANGGLNPCEDTDVTVDFLAPAKPGRYISYWRLALPSGLTFGQQIWVHIEVEQPIQTSGGKQAAARNRNQLPEANSTRPSAFNINSAPVNFLSGWHGRLECETMEPKESEPVPSDMSSAPAAVEPAQIPVTDAPASSAEAALASMPAAVPAPEAILLPKPVPVPAPVSASAPAPVAAPVSMPVVAAAPAAPLAEEVVNHLLEEKMMSELEVLGFMQADLNKQILRQNNYDLEQSVVDLCGFNEWDPLEVFSELGSDDTVMSEEVVVDNSDDEGFIVADLMTKAKKDQ >KQK97716 pep chromosome:Setaria_italica_v2.0:VII:22842940:22845179:-1 gene:SETIT_009349mg transcript:KQK97716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVLVKYGDTLKRFNARVNGSHFDHDLPALRLKIASAFKFSPDAEFILTYTDEDGDVVMLDDDNDLRDAAINQKLNPLRINVQLKSSNVGAAQTKQQATNSKSSRSISLEDQLAQVKSAIDEALKFVPEQVPAVLAKLSHDLRFRAASSAPSLAELLDRFAKLITRGSNMHPSCGFADSSQKLRSAKVKLESALVTGSASETSNGQNSGISEAGLRGVLSEDPNAKIEQVPSCPSVRDSLVFTSSGGMKGDHKRSLDSEIKIKSDAHSKGKSVLSSWPPVSTTSHGAPAQGSVPVPFKSLGSIGMANGDIMPLFPPPPVYQPPTPVLYPPTPFLTPLNPISGANGKTTVDLHSTFPPPPNIYSPIKLNTPSSVGTCCPNLYSTGTSHRDGTGPLSSYVPNPEGINSFGSSYRGLGTNYRGNPLKYAQHRWIQCDGCGVTPIDGPRYKSNVKEDYDLCDACFSHMGNEVEYTRLDGPASKSNMKILGKVPAVKTDSRFIKDVTVPDGTPMAPSTLFTKIWRMRNNGFSKWPYGTQLLWVGGDRLTCLSSFRLAISANGGLNPCEDTDVTVDFLAPAKPGRYISYWRLALPSGLTFGQQIWVHIEVVTLPLTAFVLVTLMLPII >KQK97159 pep chromosome:Setaria_italica_v2.0:VII:19321326:19324761:1 gene:SETIT_009683mg transcript:KQK97159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMGIARVAAPPHLACSARPTGGSLGVGAARPGTGTQSGRGRSRCRPPRPPNSVPDPRARHPDHPALETGDADGRSVNGGAKPHAQAAPRGRRAAADVEDEGWELLRESVVRYCGSPVGTIAACDPDDPTPLNYDQVFIRDFVPSGVAFLLKGEHDIVRNFILHTLQLQSWEKTMDCHSPGQGLMPASFKVRVIPLDGEDDAIEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMIVKLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLTPEDGSDDLIRAMNNRLIALSFHIREYYWLDKRKLNEIYRYKTEEYSYDAVNKFNIYPDQIPSWLVQWIPPKGGYFIGNLQPAHMDFRFFSLGNLWSIVSSLATDHQSHAILDLIEAKWTDLVAEMPMKICYPALEDEEWKFITGSDPKNTPWSYHNGGSWPTLLWQLTVACIKMNRPEIAERAVEVAERRIARDKWPEYYDTKRARFIGKKSRLFQTWSIAGFLVAKLLLETRDKSRILCNNEDEDILNALSLMADGSSPRRRRGKRVPKTYIV >KQK97378 pep chromosome:Setaria_italica_v2.0:VII:20911918:20914945:1 gene:SETIT_010310mg transcript:KQK97378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRQKIPNSGWAAFDRKWRSADGRGDGGEGNSFPALSDFPNPASSSITGNNGPKPKPFASVVRPSVDFAAVSNGSGNKHSVNNVNNLNRGASAASDNKIKLLKDAHSWADSNLIEDVLAAVNNNVSQASDLLKAMVSPDLQTGEGRACGQLAAEMNKTHSLPSEDSRADNRNPDSSHFLPLPMNLSSIPLEPELEEFDDDYLNYRKDALRMMRAATKHSQSASNAFLRGDHVAAKELSLRAQEERAAAEKLNNKAAEEIFRLRNSNNDIWKIDMHGLHASEAVAVLERHLYMIEFQQPGNNSASTEDLAKLEAAYTESTSGSNVELAAEKVVLRRPKQAILHVITGIGKHSKGQASLPVAVRSFLIENGYRFDELRPGVFAVRPKFRRR >KQK98866 pep chromosome:Setaria_italica_v2.0:VII:29665746:29671202:-1 gene:SETIT_009329mg transcript:KQK98866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRLCVKNLPKGADERRLREVFSRKGEVTDAKVIRTKDGKSRQFAFIGFRTNEDAEEALKYFNNTYIDTCKITCEVARKIGDPDAPRPWSRHSLKKPEYGSKDNTAAGAIDALPKSSKVQGTSTDVRGSKGSVGNDPKLQEFLEIMQPRSKAKMWANDTTGTLDAAATDSVVATKDSKRPQKDVPASENDASSEDSSDEEMTNDPSSEDALEEQQTGSKQDNDMTDADFFKSKIKKNWSDSESDDEDSGDHSNSSTDDENSSDESQDADKQLVDLKGNLNKKINVDKDTPVQHTDLQEMEDPDNKESEDLDGRQKETKIREDKYNEDEDASLITDEKKLALETGRLYICNLPYATTEDDLVELCSQYGDVEQAHIVVDKTTRFSTGRGYVLFNLPDSAVRALDELDNSSFQGRLLRVKAAKPLNNKKSTHATVEVKMNLKQQKLEQKKASEISGDTRAWNIFYMRQDTVAENIARKNGISKSQLLDREADDLATRLALGEAYVIGETKKYLSRSGVNVAALEEFASNKNEKSKRSNHVILVKNLPFSTSEEELAAMFQKHGSLDKIILPPTRVLALVIFVEATEARHAFKKLLYTRYKDTPLYLEWAPENILSPSSAPVDDDEKNVIGERIVTKAIIEQSVEGVSAEEIDPDRVESRSVFVKNLNFKTTDESLSQHFSTKIKSGSLKSVKVKKHVKNGKIVSMGFGFVEFDSVETATSVCKDLQGTVLDGHALILQLCHGKKDGQAAKKNGKDQSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMKFGSHRGFAFVEYVTKQEAQNALQALASTHLYGRHLVIERAKEGETLEELRARTAAQFVDEHSGFQRMSKKRKQSSLVDEGSVKISRILE >KQK98654 pep chromosome:Setaria_italica_v2.0:VII:28485390:28485920:1 gene:SETIT_012107mg transcript:KQK98654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLQALYPLVFLLACSTSNATILQDACKSFAAKHPDLGYDYCIKFFQADKGSAAADKGGLAAIAVRITGVASKSTTKHIAALQASEKDKKRLECLSSCAELYSSAVSEIAVAAKGIASGTASGLEDAVTALSAVLNAPSTSEQGFKELHVPSPLAAEDAEFTKEASVALSVTAAL >KQK96890 pep chromosome:Setaria_italica_v2.0:VII:17021840:17024919:1 gene:SETIT_010983mg transcript:KQK96890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSEIPPFRDWETTGNTKDARKNKKTGIPAQQNDPRRNPEPPRKSPLHPTAYKTDPQNQGPRNPTHRPRPETDHQRHSDHPTHRESAPRRHTNPQREQGGNAGAPRSPYRTAAGSASPMQPSNQSKPKHRSTGVQTPERRASSEGYGQHTPGRSRMKPSDRSYEPEEEVAVPPFGEWDDANAASGEKYTGIFNRVRDDRLSPNTSARQPSTSRAEENKAQQKCFCCIL >KQK99516 pep chromosome:Setaria_italica_v2.0:VII:33256526:33259651:-1 gene:SETIT_010277mg transcript:KQK99516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLLPVSLRPLPSARSVSRPVPRPCLPLLFTKRRRLPSALRRVQCLAEPAPPPAPRWHAALATAAGLYPAYVTAGASVAVARPEAFRWFVDMAPGSYTATLGFIMLAMGLTLNLRDFAALLRDRPLSILFGCAAQYTVMPVFGAIISRALGLPPSLSAGLILLGCCPGGTASNVVTLVAKGDVPLSIVMTVCSTLAAVFLTPLLTKVLAGAYIPVDAVKLSLSTLQVVVAPILLGSSIQTAFPSIVQFVTPFAPLMAVLASSLLACSVFSENFVRLSSTIADASSVNGNFFSGDIGVVMLSVSLLHFAGFFVGYTAAAISGLKEKQRRAISIEVGMQNSSLGVVLAAAHFSSPLVSLPPALSAVIMNIMGSTLGLVWQYITPSDLENETTGMHNA >KQK96715 pep chromosome:Setaria_italica_v2.0:VII:14506314:14507798:1 gene:SETIT_009941mg transcript:KQK96715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVAAEEQPPHAVCMPYPAQGHVTPMLKLAKLLHARGFHVTFVNTEFNHARLLRSRGAASLASVPGFRFAAIPDGLPPSDPDATQDIPALCYSTMTTCLPHFCSLLATLNAGTSPPVTCLVVDAVMSFGYDAAREIGVPIAALWTASACGFMGYRNYRGLIDRGLVPFRDAADLADVPGGLLATVVDGARGMCGGVQLRDFPSFLRTVDRDDILLNFLMRESERLSLPDAVMVNTFEDLEGATLDAMRAILPPVYPVGPLVLRERLEIPAGTPLAGLGSNLWKEQDGLLEWLAGRPSCSIVYVNFGSITVMTNSQLLEFAWGLAASGYPFLWNIRPDLVKGDAAVLPPEFAAAVEGRALLTTWCSQEAVLQHEAVGVFLTHSGWNSTLESLCAGVPMLSWPFFAEQQTNCRYKRTEWGVGMEIGGEVQRDEVAVIIKEAMEGEKGKEMRRRAEEWKEKAVKVTLPGGPAETNLNRVIDEVLLSKKKGKTSVDA >KQK97619 pep chromosome:Setaria_italica_v2.0:VII:22210341:22211236:-1 gene:SETIT_011400mg transcript:KQK97619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGKLAAAVALVATLLLLSNSNIKAAPGGYPPAPPVGPPPHQIVDPAKDCGGACDVRCGAHSRKNRCTRACLKCCSVCRCVPAGTAGNQETCGKCYTDWTTHGNRTKCP >KQK99083 pep chromosome:Setaria_italica_v2.0:VII:30857673:30860672:1 gene:SETIT_012143mg transcript:KQK99083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATIKFLYLPVLIFSVLLLTSAGSIALDTLNNGGNITDGKTLVSAGGSFTLGFFSPTGVPAKRYLGIWFTVSPDAVCWVANRDTPLSNTTSGVLVLGSTGILRLLDGSGQTAWSSNTTSPAPAVAQLLNSGNLVSFDHPSNTLLAGMKLGKDLQTGAECSLTSWREPNDPSPGDYRRAMDTRGLPESVSWQGNVKKYRTGPWNGLWFSGVPEMASYSELFSNQVVVRPDEIAYFFNATADAPFSRLVLSEVAVVRRLAWDPASRVWNTFAQAPRDVCDDYAMCGAFGLCNANMASTLFCGCIVGFSPVSSTQWSMRESRGGCRRNVPLECGNGTTTDGFMAVRGVKLPDTDNTTVDMSSTLDECRARCFTNCSCVAYAAADIRGGGAGSGCVMWTNYIVDVRYVDMGQDLYVRLANSEFGGIAKGKRRDVAKIVISVTAASVLAVTVTAVMYLVWKCKLRGQRRNSGGRTASNDEHGEEDLELPFVSFREIVSATNNFSEDNMLGRGGFGKVYKGILADNKQVAIKRLGKGSRQGAEEFRNEVVLIAKLQHRNLVRLLGFCIHGGEKLLIYEYLPNKSLDSFIFGLLYLHQDSRLTIIHGDLKSSNILLDLDTSPKISDFSMARLFGENQLEAKTSRVVGNISDTYSFGVILLEIISGLKITSTHFTSFPSLLAYAWSLWKDGKAIDLVDSSLAEFCSPTEALRCIHIGLLCVQDNPNSRPLMSSVVSMLENETTPISVPKQPLYFSQ >KQK96430 pep chromosome:Setaria_italica_v2.0:VII:7077549:7078835:1 gene:SETIT_012412mg transcript:KQK96430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPHFTPELVFPLPDKSPSRISDELEIPLVNGTPIYIQSSVEQSPGVITPEPIPLNHTIHSKPVTPGIRNSRLNWRNQMFEATIGRNTKRPACEKFNYTSQPTQTSCVMDNGGTQTDARDSHPFTASNVFGAESTRPAPNDATTGIQTQPSVVDEPYSMPPHGGQAGTHASMEEDDCDENIIFEDDEEEEEGYLFGGQA >KQK98142 pep chromosome:Setaria_italica_v2.0:VII:25296864:25300879:-1 gene:SETIT_010741mg transcript:KQK98142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPNMNFGAFSQSLCNQHVVSFQPSPTTSGSGSMPAYLDCSTGMDASVGMLSSTPSVVVSTGSSNLPADPGPNLKYGAPLAADWTHLELQILRDGLEKYVHEQGIMKYIKIAASLPNKTVRDVAMRCQWVGKKVNTRRRKPQEHHTGRNIKERKDKFVEPALWGANRPLQTGMRANSFVPHNVQNNLFVSGASEIDRPVQHLLEENNWLLNQIETNILTFQAQNNIDLFHRAKRNINDLQHITTQLPGMSTKMPPLRVSVNESLASFVLPGISMDQVLGSNHLKEEPRGW >KQK99704 pep chromosome:Setaria_italica_v2.0:VII:34284178:34287340:-1 gene:SETIT_010670mg transcript:KQK99704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINIVDKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSIYVVRLLAVEQAAKNGKPILYLVFEFLDTDLKKYLDVYRRGPNARPLPTHLVKNFLYQLCKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPSEEQWPGVSALRDWHEFPQWKPQSLARAVPTLEPEGIDLLSKMLQLDPSNRISAIAAMEHPYFDSLDKSQF >KQK99901 pep chromosome:Setaria_italica_v2.0:VII:35302706:35305984:1 gene:SETIT_010747mg transcript:KQK99901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVQPQSPAGPAPSTPQKGRHGDAPNPPAASGEPPAAAGPGGEIAALDKQLAVGGGGGGEERKPGGGASAGAGGGGKLVAEAMRKYAAPRSSRFHGVTRLKWSGKYEAHLWDNTSQVEGRKRKGKHVYLGSYVTEEQAAKAHDLAALKYWGTGPNTKLNFSISDYEKEIEIMNTMSQDEFVAYIRRQSSCFSRGTSSYRGVTRRKDGKWQARIGRIGESRDTKDIYLGTFDTEEEAAEAYDIAAIELRGVHAVTNFDISNYCEDGLRKLEGPSEVAKLEGPSEVMKLAGQ >KQK98134 pep chromosome:Setaria_italica_v2.0:VII:25227568:25227931:1 gene:SETIT_011736mg transcript:KQK98134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSRRMVASALFVVLLLLVASEMGTTRVAEARHCLSQSHKFVGACMSYRNCEGVCNTEGFPWGVCRWHGMERKCYCKRLC >KQK99103 pep chromosome:Setaria_italica_v2.0:VII:31010281:31011635:1 gene:SETIT_011541mg transcript:KQK99103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLALFQPCPTAQLCQYQIFAAAPHGSPIIQHAGIIGTRVLSLFFPSVFLWISLRFMTRCLKYSSSLFLRS >KQK98344 pep chromosome:Setaria_italica_v2.0:VII:26400085:26414378:1 gene:SETIT_009160mg transcript:KQK98344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIASQLQAIKSALGAAPEPARRPITRPSVLFDAKEAADIDLRAILPIALSGLEHLASVDERFARYSNTLFRETSLEVNREQLTPKENDKLNKSISTYLRLLAGYLHLPSALKTLEYLIRRYLYELSSAAFMMILNSKWAFLDGVKSSGAPPPRSVLVQQCIRDKAVLETLCNYASPTKEFSHSRTVVCFCTAVIVECLGAVQKLDTDIVQRVLGFVFDSLNPEITGDQDYKAGALMIVGVLATRATLAPKLVQNLIFFVARTAQHDAFESVDLPWLRVTVMAIISLVQSQSVHDFPKKALVILKDIRDFSGVLSVLSSEYNIERFIRLYVESLVDYSASDDSCHTHFMETIETLPMEKSVERIVSKVLGSCSKVSRATGNRDIDRAGIWAKKILSAIEIKYPLELHDAIRKFLEKSEINSTGGDSISEMFGLVFDDSKKMPTEISDSNIWFSLDHPKAMVRQSALSKIATSGIFTNSTLNPRKLVNIQDAILRSLYDDNLSVVQAALSIEGLAAVASPESLLKAYDDVLTKCIKIINKGGSKASKASDVAVSCLEKMVMEYQLHHMEHAKDIAAVVFRLLIVHPKVRFLNCQTAHLENMSADSIASINMKNIVAFAETFLANPNKHVEWLANSGRGTRFSRTVFLLVVLQALVPSEALDKQVSLCEACLPFLKDEWHHVQPEDNGVGDEISIDKLEKCSIELVKHIFNSDTEALNARIIVCIFWGLLKVQSSYIKQNSMIGSGGNAMLDDLFLFFVTSPGKNIFQKHLQYLIINCTGAPFQFISKYFLDEDLSDRVQVESLRLLASICSKCASSESSILDESICMKLLLGFPSVILPLAHENRDIRSSAVKCIEGLSLVWQRLSTSLPRNAGNTIKLPQCMSSPTFGIFLESLVNQKTMISSDAKFLPAYISSMLSPRKDMTVPENLHERFDQPTKDALLHFILHSALKLTPYGKFMLLSVLKGVGGILLQAEDVKSLFFDLLDCRDQYQNQHDSKNLSTHEMQILCLLLEVLLSVADHANIGFNMSEPLLRALKVDALAPDDPVVVMPCLTALRTLQPVFFDNLKADTKEKVFGRLISLFRTENIEIRNATRDALLRINVHASIVVKFIELIVALGGARGQSKRTKRKDGLSRDASSSFEELFGERPIASILVSLLDILFLKKDVNQRPCLLQPLFQILSKLRSDQWVSGIVCQHTNQHDTSSETPDLSSSVKESQHLILLVLKDITDTLHSSHEGAMFSSSDVDLLIEYIRSVKDVGTRNHGFSLIASLGKACPQVVSESIVDLFVAIGDAIKQDDSHSQRVLEDLLSVLVPCWLSRTSSIEKLLQIFIKALADIPEHRRLTLMVYILRTLGKESNLSTVIMHLLHSLVERISHPLSEHHGSLSALSQEWEYGLAANVTDQYSYKLWFPCLSKLLKEIRVHEKQGVHLMLHLAMRLVLSKLQDTELIFELEADDAANFIQGSLGALMEEVVLCTVYTKDKKRDISGDIIKELRDSANTILKTITGWMSASTYFRGITQLLDHSDSLVKRKALGILSETARGNSLVQNKQSKARKLKHSSVITAIKVDKISGPYFSNLCLKILELIDRVVDSDTSVKIAAISSLETLAKEYPSDDPVYSKCLSTIINHIGSADAAMSSGLIHTAGSLIDVLGSKALPQLPLVVKNIMLIAHQVSCCPSGSYAHGSTKATTRLSNQDTAMLLSSLTTIEVVVEKLGEFVNPYLKEILDLVVLHPECSSHMDTRLDAKAADVRKLLTEKVPVRLILPPLLDLYSVAPNCGEASLSLAFQMLASLVSTMDRLAVGTYHVKIYEHCLAALDIRRQHPDSLKNINIVEQSIIHAIITLTMKLTEGTFRPLFLRTLEWAESEVDQSSSKKSLDRAISFYKLINKLAEQHRSLFTPYFKYLLEGSVQYLLEDALAGCKQKKKKKAKLDVQVEQKDNLWGLKLWNLRALILKSLHKCFLYDNEQKVLDSSNFQVLLKPIVSQFVVEPPESIESAVDAPSVEEVDEAIVLCLGQMAVTARSDVLWKPLNHEVLMQTRSDKVRPKLLGLKVVRYMVQHLKEEYVGLLPETIPFLGELLEDVELPVKTLSQEILKEMETLSGESLRQYL >KQK96492 pep chromosome:Setaria_italica_v2.0:VII:9859099:9860727:-1 gene:SETIT_011916mg transcript:KQK96492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSSMLPRLTPRRIPKRPLNGVGSTGEYGTGRGVFLTWQDVSVTAVDEKGKHKLILDRVTGGARPGQLLALMGASGSGKTTLLDTLSENTLMTTLTVKEAIHYAAQLQLPGSMPPAKKLARVDRIIREMGLGAVACSRIGGRVCKGISGGERKRVSICMELLASPGLLFLDEPTSGLDSAAAYHVMAHIARLARTTGITVVAAVHQPSAEVFDLFNGLCLLANGRMVYFGRIPEAAEILLST >KQK98641 pep chromosome:Setaria_italica_v2.0:VII:28407567:28409054:-1 gene:SETIT_010757mg transcript:KQK98641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEGGKDCSHQPCWPACLLAPAPLYSMSLSLATPTPMLASTAASLRPPLRRLRGGGAYPSGASRGGICGANPLFPRPRRRALACRADLQQDAPFAAAIGACVLASLVLPPPTPRGEAREEVEEDGDFGATDTRMGVMGIISFLPYFNWLSWIFAWLDSGRRRYLVYAAVYLAPYLRANLSMSPDESWLPIASIFICILHIQLEAGIRSGDIESFNLIERAWKLVFPSSVKEKDGPHGNRRDSIGMVRIKELHILGSWNLCSAKL >KQK98640 pep chromosome:Setaria_italica_v2.0:VII:28406990:28409054:-1 gene:SETIT_010757mg transcript:KQK98640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLEGGKDCSHQPCWPACLLAPAPLYSMSLSLATPTPMLASTAASLRPPLRRLRGGGAYPSGASRGGICGANPLFPRPRRRALACRADLQQDAPFAAAIGACVLASLVLPPPTPRGEAREEVEEDGDFGATDTRMGVMGIISFLPYFNWLSWIFAWLDSGRRRYLVYAAVYLAPYLRANLSMSPDESWLPIASIFICILHIQLEAGIRSGDIESFNLIERAWKLVFPSSVKEKDGPHGNRRDSIGMGDGHNRRIPSAHESRERLRNSDIFKRKLDEPNEEKQKKSDWN >KQK98793 pep chromosome:Setaria_italica_v2.0:VII:29191115:29193048:1 gene:SETIT_010545mg transcript:KQK98793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSQDLRGQSTGEAPAAMAVDLMGCYAPRRANDQLAIQEAAAAGLRSLELLVSSLSSQAAAPQKAAQQLQHQQQPFGEIADQAVSKFRKVISILDRTGHARFRRGPVESPAPVASAPVVAAPAAPPAPLPHVAPVSVAQPAPAPQPQSLTLDFTKPNLTMSAATSVTSTSFFSSVTAGEGSVSKGRSLMSAGKPPLSGHKRKPCAGAHSEATANGGSRCHCSKRRKNRVKRTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERATDDPAMLVVTYEGEHRHTPGAAGPSPLATASPVAAAVAASVSAGNGHA >KQK99749 pep chromosome:Setaria_italica_v2.0:VII:34550998:34553702:1 gene:SETIT_010709mg transcript:KQK99749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAIKRRPCRTETDNTSEKRMETTISNSVTEPLLGNGPHEDKSKRYEPATRSGFWDGTRQDCLRWAHLLSIFIAQSARKIVNVLSEFGSLIARLFGCSSAPQSSQNGQTVPLNLSPLQGALKEHWRLAYPDRQLPPLKSDLWKEMGWQNSDPSTHFRAGGFLSLENLIYFARNYPDSFHRLLHKVEGKRAEWEYPFAAGGVNISYILVQMLDLQSGKMSTKVGVHFIQLLEDDEAAFDNLFCVAFQVLDAQWLARQASYMEFNEVLKSTRVQLEKELTMGCISSVQELPSFRMLKR >KQK99748 pep chromosome:Setaria_italica_v2.0:VII:34550998:34553702:1 gene:SETIT_010709mg transcript:KQK99748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAIKRRPCRTETDNTSEKRMETTISNSVTEPLLGNGPHEDKSKRYEPATRSGFWDGTRQDCLRWAHLLSIFIAQSARKIVNVLSEFGSLIARLFGCSSAPQSSQNGQTVPLNLSPLQGALKEHWRLAYPDRQLPPLKSDLWKEMGWQNSDPSTHFRAGGFLSLENLIYFARNYPDSFHRLLHKVEGKRAEWEYPFAAGKMSTKVGVHFIQLLEDDEAAFDNLFCVAFQVLDAQWLARQASYMEFNEVLKSTRVQLEKELTMGCISSVQELPSFRMLKR >KQK96196 pep chromosome:Setaria_italica_v2.0:VII:1501385:1505918:1 gene:SETIT_009862mg transcript:KQK96196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDGQVIPSAMQLSTFTKVTSIPKEESYKDFPVAVRVKAPEMTFHEHALVDIVAVIDVSGTMGWNYVNGSAVPNHRLELVKEAMKKVIENLGGAQNRLAVVPFSDKVIEAGVTPLTEMTKEGQQRVQKTVDGLKPGGGTAFRAPLQKAAQILDDRKAVEDRLAFIIFLSDGKDTYGFSKEDIPRAYQIHTFGISEDHSAEALQNMATVTSGSYTTITNNDLDKITEKMDQLSDKLSSIVAVDMSIYLKSLNPGVSLLRIESCTADDTSSKSQISDNKLSANIFVRTISSSEEREFTAYLHVPESQGNGSKGVMELLTVGGRYNQSWDRKQITLSESVVTIERPGSTPPAPSSCKELNWIEERVEYWCKVKLDLSAMYDKAEAEAGVTVSGGESQCQCQCQDLQTLRAGSLVAIDRAMHHDIYTALQPWWRGGTSDRECAFNNGAGCQGCLTVRMLHIPRSLEMQASNGRWRTENDPRIHTIRCGPKQMNHIQTLKQVNTFLCDKNAPWDHEELEK >KQK96195 pep chromosome:Setaria_italica_v2.0:VII:1501457:1505551:1 gene:SETIT_009862mg transcript:KQK96195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDGQVIPSAMQLSTFTKVTSIPKEESYKDFPVAVRVKAPEMTFHEHALVDIVAVIDVSGTMGWNYVNGSAVPNHRLELVKEAMKKVIENLGGAQNRLAVVPFSDKVIEAGVTPLTEMTKEGQQRVQKTVDGLKPGGGTAFRAPLQKAAQILDDRKAVEDRLAFIIFLSDGKDTYGFSKEDIPRAYQIHTFGISEDHSAEALQNMATVTSGSYTTITNNDLDKITEKMDQLSDKLSSIVAVDMSIYLKSLNPGVSLLRIESCTADDTSSKSQISDNKLSANIFVRTISSSEEREFTAYLHVPESQGNGSKGVMELLTVGGRYNQSWDRKQITLSESVVTIERPGSTPPAPSSCKELNWIEERVEYWCKVKLDLSAMYDKAEAEAGVTVSGGESQCQCQCQDLQTLRAGSLVAIDRAMHHDIYTATLLAVKLRHCSRGGAAEPATENAPSTTAQGAKAV >KQK96941 pep chromosome:Setaria_italica_v2.0:VII:17645379:17648536:1 gene:SETIT_011123mg transcript:KQK96941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRALALRSLLLPDPLNRLPAAASSSAAAPPVGRAPRGSRRPHLRCCSGSGGGGGGDPGQPPQEAVLEAISKIARSKGRVALTTNMVMGGTVTDDKSDEWLVLDQKVNSYPTDRGFTAIGTGGDDFVQSMVVAVESVLQESIPKGRVSQKLSSRGKYVSVNIGPIRVVSSEQVQAVYRAMRRDNRMKYFL >KQK96940 pep chromosome:Setaria_italica_v2.0:VII:17645379:17648536:1 gene:SETIT_011123mg transcript:KQK96940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRALALRSLLLPDPLNRLPAAASSSAAAPPVGRAPRGSRRPHLRCCSGSGGGGGGDPGQPPQEAVLEAISKIARSKGRVALTTNMVMGGTVTDDKSDEWLVLDQKVNSYPTDRGFTAIGTGGDDFVQSMVVAVESVLQESIPKGRVSQKLSSRGKYVSVNIGPIRVVSSEQVQAVYRAMRRDNRMKYFL >KQK96939 pep chromosome:Setaria_italica_v2.0:VII:17645379:17648536:1 gene:SETIT_011123mg transcript:KQK96939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACRALALRSLLLPDPLNRLPAAASSSAAAPPVGRAPRGSRRPHLRCCSGSGGGGGGDPGQPPQEAVLEAISKIARSKGRVALTTNMVMGGTVTDDKSDEWLVLDQKVNSYPTDRGFTAIGTGGDDFVQSMVVAVESVLQESIPKGRVSQKLSSRGKYVSVNIGPIRVVSSEQVQAVYRAMRRDNRMKYFL >KQK97856 pep chromosome:Setaria_italica_v2.0:VII:23571658:23575352:-1 gene:SETIT_009734mg transcript:KQK97856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRGFVDWRGNPINRGVHGGVRAAWFIYFLTVVANIVNVPNMLNMVTYLHGTMHMGVSSSATTVTNVLGATAGFALIGAFLSDSYITRSRTILLFGPLEFLGYGLLALQAYIPSLRPPSCNIEAEPSNCKEVHGWNATLLYAALYISALGDGCMRACMPSLGADQFDHEDPPESRQQSSFFNWYTFGISFGGFIGLILIVWLENDKGWDIGFGLCAILILLGLLVVAVGLPFYRNQIPEGSPLTRILQVLVVAFRNRKLEFPEKLEEAQERSAEPGSIEVLPETNSLKFLDKAYINRGKDGSWSVCSTTKVEETKIVLRVLPLFVSSMIGYVSNPILFTFTVQQGGMTNTRLGKIRVSPATLFIIPTIFQMVMLPIYDQFLVPFLRKRTGYVNGITHLQRVGIGFASIILASVIAAVVERKRKEAAEQMSLFWLTPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIAAALFWCVLGLSSLLATFLVQIVNRATRHGHRGGWLEAASLNNSRLDLFYWVVAVVGLLAFLNYMYWAKRYVYRHDPRVVTNEPPVDQDSP >KQK99834 pep chromosome:Setaria_italica_v2.0:VII:34977820:34982245:1 gene:SETIT_009954mg transcript:KQK99834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRFGSQRELQGATWQQFTCYTNSKSPCSPPSAADSHSLSAPLLPATLPRLAGPPLGFCRRSLARFRPVEMVEVEEVGNKMQSQMRLHAAAAVEDDDADLPLPALFDRASRLHGLASSSALDQEGIRKGVELLRRCDDMVSKLGLFSPNETKEDVSTANLKYLLVPYYLGEMTEKIAQEDRIPILKASQNHFKEFVALGEVLELIPQDELELSRRKQPDTMANRRAQKIARFKRQKAAETKLQEIKERKERRGRSLRAAALSAPVEAGEEDALEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLLAVKERKEKDGNAFAREMLDERTQKAEAWHHNAANRAPYSKPADPITCATFAQDVLEGRASVSQAHEHKHQPMIFGPASLVGGGLTSERERMAAQVFQPGYRLPTMSIEEAGLCEMKMMEKWQERTANMIKEANSAWHKDGTSSAQEDEDAEEAKARAWDDWKDDNPRGAGNKKLTPCG >KQK99295 pep chromosome:Setaria_italica_v2.0:VII:32200552:32205006:-1 gene:SETIT_010176mg transcript:KQK99295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGATNGANGGGKVISLPLQYFCVLAAAVVAVMVLSLAFMSPAAMVAVRQNLGSVAAGASAGSSSNSSSGAELAAPAPPAAAVKGKEQERQRPPVVLFNFGDSNSDTGGVAAAGGIRIMPPEGRTYFRRPTGRLSDGRVIIDFICESLGTHELNPYLKGIGSDYSNGANFAMAGSTVSHGVSPYSLNVQVDQFVYFRHRSLEMFERGLKGPVSKEGFENALYMMDIGHNDMVGVAHTPSDQWDKKITEIVGEVRKAISILYDNGARKFWIHGTGALGCLPALVVQEKGEHDAHGCLASVNRAAKAYNKKLSQLCDDMRFHLKDATIVYTDMFAIKYDFVANHTKYGIEWPFMVCCGNGGPPYNFKPGKFGCGDLCPPEAKVVSWDGVHFTDFGSGLAAKLAMSGEYSKPRVKLASLIHGGSKKASDS >KQK98347 pep chromosome:Setaria_italica_v2.0:VII:26426692:26430306:1 gene:SETIT_010201mg transcript:KQK98347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGSGRTEAIMREIASLRAQRDELDSRIRFFESQLRIGGGGAAPTTLPPSLSTKLDAMGAHAVSAAGGGLSPDMVQRYSRQLLLPDFGVHGSLGIADGENVELSNLHGQIMHMETYVGQPKVKSAAAACRAINSSVKVFDHHLKLKAKNALNVVRQYDIIVDATNNLASRYMLSDCCVLLHKPLISGSTIGLEGQLTVCNHNGSPCYRCLFPNPAACQNSSDDGILGVVPGVIGCLQALEVIKVASRVGEPLCGRMLNFDALSSRFKIVNKIRQRSSSCTVCGDHPDLTKDTFMMFGYDSFSQSSNSSKPPAQSLNPLPRNARITCREYKRLLDSGRPHLLLDVRPVHHFQIASIANSVNIPLNELKEKLPRLRDALSERGDDSQVAVHILRENGFPCASDVIGGLESWAREADPGFPVYW >KQK98655 pep chromosome:Setaria_italica_v2.0:VII:28487652:28488873:1 gene:SETIT_012407mg transcript:KQK98655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLKFSTSYPNTPSVNIQTPPKASKSEAPAAQEAPAGSPEAKNWKWWFVVAADAFFLIVGQTSATLLGRYYYSQGGNSKWLSAFVQTAGFPILFFGLFFFPSKSSSGETPIGKITMIYVVLGLIMTADDMMYSHGLMYLSVSTFSLICASQLAFNVIFSYVLNSQKLTGLIMNSVVLLTLSALLLGVNHESYGPAGVSRGKYLLGFLLTLGASGTYSLILSLMQLTFENVIKKQTFSAVLNMQIYTALVATFAALVGLFASGEWKDLKGEMDRFQSGQFSYLMTLVWTAVSWQVASIGMVGLIFEVSSLFSNVIGTFALPIVPLFGVMVFHDKMNGVKIIAMLISIWGFASYVYQQYLDVK >KQK98891 pep chromosome:Setaria_italica_v2.0:VII:29787124:29791337:-1 gene:SETIT_009378mg transcript:KQK98891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSESEVTNRGSMWELDQNLDQPMDEEAGRLKNMYREKKFSSVLLIRLAFQSLGVVFGDLGTSPLYVFYNIFPRGVDDDEDVIGALSLIIYTLTLIPLLKYVFVVLRANDNGQGGTFALYSLLCRHAKINTIPNQHRTDEELTTYSRQTYEENSVAAKIKRWLEAHAYKRNILLILVLIGTCTAIGDGILTPAISVLSASGGIKVQNQNMSTDVVVLVAVVILIGLFSMQHYGTDKVGWLFAPIVLLWFILIGSVGAVNIHKYNNSVLKAYNPVYVYRFFRRRWNSDIWTSLGGVMLSITGTEALFADLCHFPVLAIQIAFTLIVFPCLLLAYTGQAAYIISHKQHVADAFYLSIPDAIYWPAFVIATAAAIVASQATISATYSIIKQALALGCFPRVKIVHTSKKFLGQIYIPDINWVLLVLCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTFLMVPIMLLVWKSHWVLVITFIVLSLMVEVPYFVACILKIDQGGWVPLVIATAFFLIMYVWHFCTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGFVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPTEERFLVRRIGPKSYHMFRCVARYGYKDLHKRDEDFEKMLFDCVLLFVRLESMMEGYSDSDEFSVPERGGAGALMSGGASAFLGEKTCSTMCSNGELSFSSQDSIVPAQSPRPPLSRGMTDSGLLTTRLSAGQASTVGDELEFLNRCKDAGVVHILGNTIVRARRDSGIVKKLAVDYMYAFMRRMCRENSVLFNVPHESLLNVGQIYYI >KQK96809 pep chromosome:Setaria_italica_v2.0:VII:15709381:15712406:-1 gene:SETIT_012699mg transcript:KQK96809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVGRKLLVVVPMLIFFSLGFVLGMTSNAKFPNFYLPFVPPLPTATVPSPPPLSPPPPPLQPPPSPPPPPAPSAPAQNRQMGFMGFLAPSDAIKSNMTDEELLWWASMAPKVRSTPFHRVPKVAFLFLVRGEMPLRPLWEKFFQGHEGLYSIYVHTDPSYTGSPPKDSVFYGRMIPSQKTSWGGVSLVSAERRLVANALLDVGNERFVLLSESCIPIYNLTTVHALLTGSNTSFVDSFVNRDSEVRYNPFFGSRGNITLAQWRKGAQWFEMDRALALELVADATYLPAFLEFCARRRVCFAEEHYLPTLLSMLGWERRNANRTLTYADWRRGGSHPRTHGARDVTEELIGEIRGRRGGRGAGEHCTGYRDGASGVCYLFARKFAPDTLEPLLRLAPKVMGFG >KQK97236 pep chromosome:Setaria_italica_v2.0:VII:19869946:19870637:1 gene:SETIT_011073mg transcript:KQK97236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYPRRTLLHTPFSGHPSGSSQPLDGAAPTDGGAPGSNFDANVVMILAVLLCALICALGLNSIVRCALRCSSRTVVDPVPNPVTRLAQSGLKRKALRAMPILVYSAGLKLNAANPMCAICLSDFEAGEHVRVLSKCNHGFHVRCIDRWLLARSTCPTCRQSLFGAPQKASGCSEASRVEPAPSRSVLVPLRPEGLVTPYDF >KQK98102 pep chromosome:Setaria_italica_v2.0:VII:24964064:24969281:1 gene:SETIT_009589mg transcript:KQK98102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRPCRLDERAAPADDNSKLDDEPFDRILIPAAKRTLPPARTVQHHHLRPTPPILKRLRSDSQRLLPLRAHVRPTPPPALPPAPPPREPRSIRAGGVGSGGPSMRSPALLAAVVAALLLAAGARAATEEAYVTLLYGDEFVLGVRVLGKSLRDTGTRRDMVVLVSDGVSEYSRKLLQADGWIVNRITLLANPNQVRPKRFWGVYTKLKIFNMTSFKKVVYLDADTIVVKSIDDLFKCGQFCGNLKHSERMNSGVMVVEPSETLFNDMINQVGSLPSYTGGDQGFLNSYYSDFANSRVFEPDSPLTPEPKTQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWFTAWLVKPVEIWQDIRQTLEESLPGTGGGRNPHDQLVVKILFILPFCLLLFGYYQSCFQTNKELISLRSLCAFARRARHKYKSEESLPSYSVVGSASSFSISNQRLSNGTHSKLPLYFGAIAVLVCFVSAGFSLAFAFAIIPRQVMPWTGLLLMFEWTFVSFFLLFGSYLRFVYRWGSISANHVGFSNSDSSENHMGSGHQRNMSDCDMDATFYWIGMAAIATVAVLLPTILGITALFTKLGLMVAGGVVLASFMTYAAEHLAISAFNKGQKDRNGSRTRSSCF >KQK98103 pep chromosome:Setaria_italica_v2.0:VII:24964064:24967550:1 gene:SETIT_009589mg transcript:KQK98103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRPCRLDERAAPADDNSKLDDEPFDRILIPAAKRTLPPARTVQHHHLRPTPPILKRLRSDSQRLLPLRAHVRPTPPPALPPAPPPREPRSIRAGGVGSGGPSMRSPALLAAVVAALLLAAGARAATEEAYVTLLYGDEFVLGVRVLGKSLRDTGTRRDMVVLVSDGVSEYSRKLLQADGWIVNRITLLANPNQVRPKRFWGVYTKLKIFNMTSFKKVVYLDADTIVVKSIDDLFKCGQFCGNLKHSERMNSGVMVVEPSETLFNDMINQVGSLPSYTGGDQGFLNSYYSDFANSRVFEPDSPLTPEPKTQRLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWFTAWLVKPVEIWQDIRQTLEESLPGTGGGRNPHDQLVVKILFILPFCLLLFGYYQSCFQTNKELISLRSLCAFARRARHKYKSEESLPSYSVVGSASSFSISNQRVSPLLFSNKSAFQLEI >KQK99429 pep chromosome:Setaria_italica_v2.0:VII:32891997:32893744:1 gene:SETIT_011356mg transcript:KQK99429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYSWKELAAIGSTINLSKFGTAVEERDEHGCSGRKWFRNKGGAGLPTNDTKQGAEVDGLKTEKDALLSFLYQALSKACFKACHSSRVCRMVRWSFSRSCSLAQQFEYSEFRTVGAIYAIH >KQK99771 pep chromosome:Setaria_italica_v2.0:VII:34667902:34673088:-1 gene:SETIT_009540mg transcript:KQK99771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADRPASSSSSASPPDAPYAGAPPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGASDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKSHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQQNGKPFHDVKALTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEIVHIKDGSVSILKFDPHKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDGEVLLGGLKEHLKTIRRCRRVVFIGCGTSYNAALGARTFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQARRNAIISGLTSLPSCVSEVLKLDAEMKDLASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCSIGSCRVIEVPGVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >KQK97865 pep chromosome:Setaria_italica_v2.0:VII:23620798:23621962:1 gene:SETIT_012321mg transcript:KQK97865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSFGLSAPRRRSKLAVFKLAQAVSSQNHKKFPTNSPKAKPWVRNSIYARLPAAATHTRGAGVPLASRHGYSDRVDDRGVRNAAAGRGVCCGRRPSRPGTSAGGAGLAPTPAPYGHPASAPAPVHAHVPRRHHYHPRHHAPAPSPAHSSQPASAPSPYHHGGHDAPAPAPAFGSHAASAPSPYSHRNQNAPAPAPAHGHGAQPASAPSPYHHGGRDAPAPAPIHAYQPASAPTPYSHGGHGAPAPTPVHANQPAGAPTPYSHVGSHAPAPAPVHAKQPARAPSPQHHGSSVAADAPSPHHGEATAPAPVPATARVGEEAPPPSDFASQPASDSPYYYPSYAPAPAPGGFSASVAVPGGASGLLGAIALCFCAAALIL >KQK96520 pep chromosome:Setaria_italica_v2.0:VII:10815887:10818555:1 gene:SETIT_009620mg transcript:KQK96520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAEHEAGELEKPGLVCFSFRIVDADYFFPSVEDVEKARPPEVGMVFPTLQDAHRFLNVYGLLTGFVVKKGCNYKHKKITFVCNKSSKMKVTETGQKKRRSNAIEKTGCRMKVLVKLVEGRWEIKTVVNEHNHPLMSSPSLSTFFISHKYMSEEERIFSRILQESKIKPSQIMEIFKKLRSRLKHIPVRKMDANSLKQSDSQMEIRNTDIEITLEHVRRFQMEQPGFFYAIKTDDRNTVRSIFWTDARARLDYALYGDFISFDTSYTTREHNMLFAPLIGINGHGKAIVFGWGLLENEMADKADTFSWLLRTFLDVMDGKKPTTIITDQGSAMTKSIAEVFPTVFHRFSMWHVMRKAREIFEDFMENGPVMEADLTCLIANCLTIEEFEDGWKTMLEKYDAPPNEDLNLMYQTRLMWVPVYFKHAFCPFIRSTGRSESTDTIFKEHGLRKDAIENFFCQYDIFQKNVISPEDGYGLESTQKKTMYCTRQPIERHAAEVYTMGMFLKFQKELLDASAFNVFEIESGRVYAVKKTLDYEEAEFPGDSFSVEVDLGNNMFNCICSKFERDGMLCCHVLRLLTQFGVNVIPENYIRQRWTKKFREELQKLHGTEKTRSTVSQNAL >KQK97232 pep chromosome:Setaria_italica_v2.0:VII:19783122:19783394:-1 gene:SETIT_011511mg transcript:KQK97232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCRCPITCVYPIKRYMNTSHLFSKMVVFILLEKASTLEICTISTPPFCFASMIIILHTAAPSICLFISLSFLCSTCF >KQK96936 pep chromosome:Setaria_italica_v2.0:VII:17592201:17592726:1 gene:SETIT_013060mg transcript:KQK96936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPIVLAASAGLGMLAGLATADRCSSSSGGARGLSCVACGGTGKVACLCARWSDGDDVGCRPCAGTGRTPSRRCRGPGRTGRRHEPVRVVVRAQRPLEAVTKARK >KQK99538 pep chromosome:Setaria_italica_v2.0:VII:33395432:33397637:1 gene:SETIT_010461mg transcript:KQK99538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMDLEAKLGENGHGHKGSDLDPEKAAGQVLQGGDAKRPREADNGDHRSAMETDEEEDEELGPERCFELHRKSWLSMFGRNGAIPFEAETQYPPMCYTDIPMLPATAGPGDTMEVFFVKVNQITSDLQWPLDVYGIVAVRDSLDWKRNYLFSRGRDNCQTLTSQDSLLELTGPSRAILLWDEPIFEIDLKVKDKGSSSSEDDKILCLDFFGYNNISYKGSLSYTRTEVLSSKHSTVEVRYAHLKRSVEATITARISKGSGNFSARLTACNTSIGEDVVLLDTRGKEVFVNKDGEVTLQRRVVVVEERAELILGIKAEQLGDAGVSSTKLEKKFGYFHIGSSSLHMVVAWSLLP >KQK96973 pep chromosome:Setaria_italica_v2.0:VII:17840584:17843710:1 gene:SETIT_009278mg transcript:KQK96973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRIRTPAGPGDPRRRNLASSPAQLGPDLIGFSSSLVPVGVGYVGDHRRVGNAVVTCCGFFADNVRRKCRPRASLKNGLVCSLEGDGSRESTLCTSVPSETSSCSEVPSVLGQKIAQGKDGNPRASAETKKEGKLWRRLGGGKKLRRHRAPKHGPGKDRHVRRSVVKDDVNVVLSCISQESSIEECNSALIHLEKHSDEKALNFFDWMKANGKLKGNAYAYHLALQAIAWKENWKMAELLLHEMVADSDCTLDARAFNGLIYVCAKRRLDDWGTRWFRMMLDSEVQPNVSTIGMLMGLYQKTGNLSEAEFTFAKMRNYNIKCVNAYSAMITLYTRLGLFAKSEDAIALMNNDGVVPNMENWLVRLNVYCQQGKMEEAKLVFQSMVDEGFTLNVVAYNTLITGYGKSTDVQKAKEVFDSLGSAGLVPDETTYRSMVEGFGRADKYEEAILYYRQLKSAGFRPNASNFYTMINLLARHDDNEGAAEILEGMRAAGCQCSSIVTVLVRAYGTVGRMHKVLPILKACLYKKFLFDATSCSILVTAFVQNSLLEEALLILREKKWKDSAFEENLYHILICSCKEAGSYNDAVRIYNQMLKSGTQPNLRISCTMIDVFSMMERFADAETIYLELKGSSSVLDMIAYSVIVRMYIKAERLEDACSVLAEMEKQNEIVPDKYLFLDMLRTYQKCGLLEKLADTYYWILKSQVECDEVMYNCIINCCGRAIPVDELSRIFDEMIQQGHLTNTVTLNVLLDIYGKAGLFTRAEKVFLMARKQGLVDIITYNTIIAAYAKSGNFRSMNYFIQKMQDAGFPVSLEAYNCMLDAYGKAGQLEEFAAVLQKMKRAKCKFDHYTYNIMINIYGRRGWIEDVANVLAELKSRGVEPDLYSYNTLIKAYGIARMPEDAVKLMQEMRIKGISPDRVTYTNLIAALQRNENFLEAVKWSLWMKQTGVVGSGARA >KQK99095 pep chromosome:Setaria_italica_v2.0:VII:30986787:30989699:-1 gene:SETIT_011973mg transcript:KQK99095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHVLVFLSLSLFLLGTPAACISDMFDHGRNITDGETLVSSGGDHPEVPWIWFSVSETAVCWVANRERPLNDTSGVLVLGDTGRLFLLDGSGQVVWSSNSVGAASAVARLLHSGNLVVLRHRNSSAVLWQSFDHPSNTLLPGMKTGKNLWTGAEWYLTSWRSANDPAPGPYRRGTQTAGLPENVVWHGGAKKYRTGPWNALWFNGVPEMRSPGEVTYGYHAKAGAPFSLLVVTEDGAIQPLVWDPSSRAWKTFYSTPRDVCDAYARCGPFGLCNVNAASTSFCGCAKGFGPASPSAWRMREASGGCRRNVPLDCGNGTTTTDGFVIVRNVKLPDTHNASVEECSARCLANCSCVAYAAADIRGGGAGSGRIIWTHDIVDVRLWMLRLLATVEKATGNFSKTNVIGEGAFGIVYEGQLPNDHPLAGGLPGRKVAVKRLKLSSLPNRVLDDFPREVAVMSKLRHDNLVRLLAFCDQGNERLLVYDVRASLSWPTRLEIIHGIARGVCYLHEGTGEIVIHRDLKPIADFGTVKLFRVDQTGTQTVVVSPGYAPPEYAKDGDMTLKCDVFSFGVVLLEVVSGRRNSAEPSHLSHVWKLWEEHRIMGLLDPAVPRPCSDSDADLLSELRRCIHIGLLCVQRSPGHRPAMSAALATLTSRTSQLDQPRRPVLECRTTRPLLAGEATGGGAIVEDPPRVSSC >KQK97444 pep chromosome:Setaria_italica_v2.0:VII:21227676:21228109:-1 gene:SETIT_011545mg transcript:KQK97444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHVRDKLVSSCSLVYSGMHLGQLDKSYLFIVLRSPQVLTSEMFWPRKSSCLLNSKTWNVLLTGAVFEF >KQK96366 pep chromosome:Setaria_italica_v2.0:VII:4259300:4262881:1 gene:SETIT_0094311mg transcript:KQK96366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAARHGIPSVWALLFLSSLLLLPPPAASADFSHCGGCDDADDAGLWATDNILQCQKVSDFLIAAAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITVFTYEPQPNLFHLVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLMNKARELDREVGMMKRKEEASWHVRMLTQEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPDDSRSEMILTHQLRERDIMDPQNRSIRFHDPDVLEIKATKDAIVLGPESALGVASRSKLEAGPVAAIRMPMLSVSNFKGGTPEVMETSYAILVLVLPNDGSLGWGRRELEIVEVVADQVAVALSHAALLEESQLMREKLSEQHRDLLRAKHEAMRAGEARNSFQSAMYDGMRRPMHSILGLVSMMQQESMNPEQRLVMDAIAKTSSVASTLMNDVMQTSTMNHEHLSLVRRPFNLHSLIKEAVGVVRCLAGCKGVEFEFQVDNSLPERIIGDEKRVFHIVLHMVGTLMNRCNAGCISLYVNSHNEIEERHNQDWMLRRANFSGGYVCVKFEIRIRKSKDYLLSSSSSHISQGSKPNNSEMGLSFNMCKKIVQMMNGNIWSVSDSKSIGETIMLVLQFQLQPVTPVSGASSDLYRSSIPNFKGLRVLLADSDDTNRAVTHRLLEKLGCRVLSVASGVQCMNSFATESSFQLVILDLAMLDMDGFEVALAIRKFSSNSWLPLIVALAARTDDNVRDQCQRSGINGLIQKPVTLAALGDELCRVLQNN >KQK96365 pep chromosome:Setaria_italica_v2.0:VII:4259294:4261355:1 gene:SETIT_0094311mg transcript:KQK96365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGAARHGIPSVWALLFLSSLLLLPPPAASADFSHCGGCDDADDAGLWATDNILQCQKVSDFLIAAAYFSIPLELLYFATCSDLFPLKWIVLQFGAFIVLCGLTHLITVFTYEPQPNLFHLVLALTVAKFLTALVSFATAITLLTLIPQLLRVKVRENFLMNKARELDREVGMMKRKEEASWHVRMLTQEIRKSLDRHTILYTTMVELSKTLELQNCAVWMPDDSRSEMILTHQLRERDIMDPQNRSIRFHDPDVLEIKATKDAIVLGPESALGVASRSKLEAGPVAAIRMPMLSVSNFKGGTPEVMETSYAILVLVLPNDGSLGWGRRELEIVEVVADQVAVALSHAALLEESQLMREKLSEQHRDLLRAKHEAMRAGEARNSFQSAMYDGMRRPMHSILGLVSMMQQESMNPEQRLVMDAIAKTSSVASTLMNDVMQTSTMNHEHLSLVRRPFNLHSLIKEAVGVVRCLAGCKGVEFEFQVDNSLPERIIGDEKRVFHIVLHMVGTLMNRCNAGCISLYVNSHNEIEERHNQDWMLRRANFSGGYVCVKFEIRIRKSKDYLLSSSSSHISQGSKPNNSEMGLSFNMCKKIVQVH >KQK97882 pep chromosome:Setaria_italica_v2.0:VII:23729011:23730056:-1 gene:SETIT_010959mg transcript:KQK97882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSSRQTTMTLTALALGLALLCAAGPCLGSGVASVSSVVSEAFFNGIKNQAGNGCEGKNFYTRGAFLTAADSFPGFAHGGSEADGKREVAAFFAHVTFETGYFCYISEINRANSFCDSNNKQWPCVPGKNYYGRGPLQISWNFNYGPAGKSIGVDLLADPDRVAKDPVISFKTALWIWMNSVHQAMPKGFGATIRAINGNLVCNGKNPDQMKMLVVYYKQYCQQLGVDPGKNVTC >KQK98056 pep chromosome:Setaria_italica_v2.0:VII:24725663:24729034:-1 gene:SETIT_009850mg transcript:KQK98056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARGIAAVAAALMVVVAALAPAARGVDRSEFPPEFLFGAATSAYQIEGAYLEDGKSLCNWDVFTHTHVGIKDGRNGDVADDHYHRYMGDVEILQSLGVNAYRFSISWARILPRGRLGGVNPDGIAFYNRLIDALLQKGIQPFVTLHHFDMPHELEVRHVGWLGAGIREEFEHYADVCFRAFGDRVRFWTTFNEPNLFTKFQYMLGAYPPSHCSAPFGTCNSGDSQREPYAAAHNIIMSHAAAVRNYKENYQAKQGGSIGIVIAMKWYEPLTNTTEDILAARRAQSFELEWFLDPIFFGDYPSHMREILRSNLPTFTSEEKKLLQYKSDFIGLNLYTAIYAKDCIHSPCDLSTYEGNALVFATGERDGVMIGGDTALGGFYVVPQAVEPAIMYVNQRYKDTPVYVSENGYSQWSDVGREELINDVERLNYLQGYVTHLSRAIR >KQK98054 pep chromosome:Setaria_italica_v2.0:VII:24725071:24726607:-1 gene:SETIT_009850mg transcript:KQK98054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLSKAKQGGSIGIVIAMKWYEPLTNTTEDILAARRAQSFELEWFLDPIFFGDYPSHMREILRSNLPTFTSEEKKLLQYKSDFIGLNLYTAIYAKDCIHSPCDLSTYEGNALVFATGERDGVMIGGDTALGGFYVVPQAVEPAIMYVNQRYKDTPVYVSENGYSQWSDVGREELINDVERLNYLQGYVTHLSRAIRNGADVRGYFVWTLMDNFEWTFGYTVRFGLYHVDFDTPERTRTPRMSARWYRSFLTGSSAGALTDEAQQGRRADS >KQK98057 pep chromosome:Setaria_italica_v2.0:VII:24725071:24729113:-1 gene:SETIT_009850mg transcript:KQK98057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARGIAAVAAALMVVVAALAPAARGVDRSEFPPEFLFGAATSAYQIEGAYLEDGKSLCNWDVFTHTHVGIKDGRNGDVADDHYHRYMGDVEILQSLGVNAYRFSISWARILPRGRLGGVNPDGIAFYNRLIDALLQKGIQPFVTLHHFDMPHELEVRHVGWLGAGIREEFEHYADVCFRAFGDRVRFWTTFNEPNLFTKFQYMLGAYPPSHCSAPFGTCNSGDSQREPYAAAHNIIMSHAAAVRNYKENYQAKQGGSIGIVIAMKWYEPLTNTTEDILAARRAQSFELEWFLDPIFFGDYPSHMREILRSNLPTFTSEEKKLLQYKSDFIGLNLYTAIYAKDCIHSPCDLSTYEGNALVFATGERDGVMIGGDTALGGFYVVPQAVEPAIMYVNQRYKDTPVYVSENGYSQWSDVGREELINDVERLNYLQGYVTHLSRAIRNGADVRGYFVWTLMDNFEWTFGYTVRFGLYHVDFDTPERTRTPRMSARWYRSFLTGSSAGALTDEAQQGRRADS >KQK98055 pep chromosome:Setaria_italica_v2.0:VII:24725071:24727253:-1 gene:SETIT_009850mg transcript:KQK98055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSGIQPFVTLHHFDMPHELEVRHVGWLGAGIREEFEHYADVCFRAFGDRVRFWTTFNEPNLFTKFQYMLGAYPPSHCSAPFGTCNSGDSQREPYAAAHNIIMSHAAAVRNYKENYQAKQGGSIGIVIAMKWYEPLTNTTEDILAARRAQSFELEWFLDPIFFGDYPSHMREILRSNLPTFTSEEKKLLQYKSDFIGLNLYTAIYAKDCIHSPCDLSTYEGNALVFATGERDGVMIGGDTALGGFYVVPQAVEPAIMYVNQRYKDTPVYVSENGYSQWSDVGREELINDVERLNYLQGYVTHLSRAIRNGADVRGYFVWTLMDNFEWTFGYTVRFGLYHVDFDTPERTRTPRMSARWYRSFLTGSSAGALTDEAQQGRRADS >KQK99221 pep chromosome:Setaria_italica_v2.0:VII:31613359:31614068:-1 gene:SETIT_011482mg transcript:KQK99221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPLLAFVAARGLMQVFNLSAPHDLRLPIARHLPEVCAVLFGVLASHAAWVHEALERGAVAWRHRGNSDALDDYVLRAMLSISD >KQK96569 pep chromosome:Setaria_italica_v2.0:VII:12043933:12045759:-1 gene:SETIT_012232mg transcript:KQK96569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAQPSTMHQDVLRVADSGGMDPQELEALFDRVLSSIHDALPAPPVSADGDLCILFDAEGGGVDRLSCLPDKLLCDIVSRLPIKDAARTAVLSRRWRPIWRAAPLVLVDTHLLPAGDDEIPVHLDRAHSNTVAATVSRIFAAHPGPFRCVRLTCCYMEEDRAQLERWLKIFAVKGIEQLFLINRPWPLAINKHLPATFLSMATLTRLYLGFWRFPDTSALPRGAAFPCLRELALCGIVMDSRDMDFVLARSPVLEILSVDGHMLPPLRLRIVSHSLRCMQIHSSSVESVTVVDARRLERLFLGKRTNESSSFKIKIGHAPALRMFGSIELENEELQVGNTTVKAGTVVNSSVMVPSVTTLDVNVRFGVRNDTKMLPSILRCFPNIEVLHIHSKKTTESTGRLGIKFWQESCAIKCVLSSINMLSFYDFRGERNELAFLKFFVESAQMLKILVVVYANGYCSSRDKANSKVKALFAGKRANDSCKVVVCESRFSEGGRCWELQRGCDFSFDDPFGLIGCSSFGVHQWSV >KQK98827 pep chromosome:Setaria_italica_v2.0:VII:29458498:29465760:1 gene:SETIT_009344mg transcript:KQK98827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRITAPPPPSSPPESPPPIRHSPAPATPPSRRRGRHSPSPSLALTPSSASTSAATSSRPKLRSTPKRSYTPAQWVPLSSHPAFSRRDGEGGGGGAAWDATASRLYAWDPSACGAHRIGVRIRDPEAENDGEEVAVEAAVPSEILMPETDLGYVVTHVSLNTDGSSLLLVGSHHLSVLYVHERVSEDGDTIICRTAPVASQILPSNSDGIKVLQASWHPFSNNHFAVLTSDAVFRLFDLSSDLEQPEQEFYLQPILPGKCQNASAICPVAFSYGSDHLWDRFSVFILFSDGSIFVLCPVVPFGSDYSKKHIQEIYEDVNAFGLKSSNPNVVTNSHLAIAWLEATFPDLLHQSTETSLLMSKAHPYAPVDDSLTLQGPLCRVCEENNESEGKTSTCEGKAVGFMYSSAGKDSVLVTAWGSGQLQVDALADEIQPQWNIGIPTRLNVDSHGKIKSVAMICDSNSQDPLALRSHRPSSMGSNVKSNIEAVWMGHSPPLLRLAIVDLALPKTPSDSSLSLFLDPLVPERFYCAHGGGLDMVTLHFLPFSYPEMASTPPSVHPILTTGNSETSSPFLCGFVTIADAYGHVQLVGITCLGECFVVEMKGWKEPTPLQLDIDSKSIKDVEPPATGMISKELIAGPDPPILPSSSSLKSLTPDSIEGKSTLHHYIKVFHEYYVEYGHKVFIELKEHADYVKTELEDKQKRLEAVKKSLLSIETKDQDINKRIDRAFKVYELLEKRIDSFKMLPAANKKPLSQAEQEFKAQLDRFADVELDALRSSIAALSARMKRFAQQPVGGTAGTGVILWQAPKAGRSHISESQMSLLKSSLEKLSILNEENNLKLRLIDHELKNKEQ >KQK99480 pep chromosome:Setaria_italica_v2.0:VII:33070918:33072709:1 gene:SETIT_012493mg transcript:KQK99480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKKAAKREKRLQEHLISDYGFLFSGCGGTQHQQQTEEKLEENVVSANDTEQKEASADNADAVPASESGKLAGGAAQDGKDAPAGTKYPFPKDKLNGSEKRKKKNAKKNSGTESEKVKKQDSETLAEYERMREEKKKTLEASKTEERKVTAEEFKGLQMLEKKKLDDEEAVIKVEKAQPKVKEASKKDENHRFNGGFQGGSRDNSTEPQVNGWAAQNEAGGHNGNGAPRGAYNGRGDGAPRGDYSGHRDRGHGGYQGNGGYQQQQGGNVGRYQQERASNGGYYMQRRPGNDRYYQQRRNFAPGAAPVLDVKDMSKFPALPVLASVRSAAPASAPAPAPAQASAPAAAPAQA >KQK97305 pep chromosome:Setaria_italica_v2.0:VII:20417096:20420698:-1 gene:SETIT_009722mg transcript:KQK97305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNSCYVLKSRRFVGIADASFEDAADGIGEFGDRLSSADKQKVKGVEETMEFVEKQELAWNSNLLSFTDDGLLLPGSSELHAPTSGETALSGKIDRSGSVGTSKTPRLGSVLGTASMAGFGKAVEILDTLGSLVTTLSPDGGFISRSKTKGCKISILAFEVANTILKGASIMQSLSEDTVTYFKQVVLPSEGVQSLVSSDMSELMRIAANDKREELKIFSQEVVRFGNRCKDAQWHNLDRYFLKLESESAPQKQLKETAISEMQKLMTLVQRTTDLYHELHALDRFEQEYRSKLKGKDTERFEKGDNIQIVRLELKTQRSYVKSLKKRSLWSKTLEEVVEKLVEIVHYLHIEISNTFGSSDGFASSAESTVSCQRLGPAGLALHYANIIIQIYSIVSRSGYVPSNTREALYQGLPLRVRTALPNRLKASSVPQEGSDGEIIEMACSYGCQYHLCSRLLEV >KQK97307 pep chromosome:Setaria_italica_v2.0:VII:20417434:20420608:-1 gene:SETIT_009722mg transcript:KQK97307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNSCYVLKSRRFVGIADASFEDAADGIGEFGDRLSSADKQKVKGVEETMEFVEKQELAWNSNLLSFTDDGLLLPGSSELHAPTSGETALSGKIDRSGSVGTSKTPRLGSVLGTASMAGFGKAVEILDTLGSLVTTLSPDGGFISRSKTKGCKISILAFEVANTILKGASIMQSLSEDTVTYFKQVVLPSEGVQSLVSSDMSELMRIAANDKREELKIFSQEVVRFGNRCKDAQWHNLDRYFLKLESESAPQKQLKETAISEMQKLMTLVQRTTDLYHELHALDRFEQEYRSKLKGKDTERFEKGDNIQIVRLELKTQRSYVKSLKKRSLWSKTLEEVVEKLVEIVHYLHIEISNTFGSSDGFASSAESTVSCQRLGPAGLALHYANIIIQIYSIVSRSGYVPSNTREALYQGLPLRVRTALPNRLKASSVPQELTIDDIRVRMEKSLKWLVPMAVNTTCARGFLRFSEWAKSGTDRVGRRPGQADPIETLYHANKATTEDHILELVVWLHHLVNQSNRPAMQKTTDLPLHLTKTAK >KQK97306 pep chromosome:Setaria_italica_v2.0:VII:20417434:20420608:-1 gene:SETIT_009722mg transcript:KQK97306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCNSCYVLKSRRFVGIADASFEDAADGIGEFGDRLSSADKQKVKGVEETMEFVEKQELAWNSNLLSFTDDGLLLPGSSELHAPTSGETALSGKIDRSGSVGTSKTPRLGSVLGTASMAGFGKAVEILDTLGSLVTTLSPDGGFISRSKTKGCKISILAFEVANTILKGASIMQSLSEDTVTYFKQVVLPSEGVQSLVSSDMSELMRIAANDKREELKIFSQEVVRFGNRCKDAQWHNLDRYFLKLESESAPQKQLKETAISEMQKLMTLVQRTTDLYHELHALDRFEQEYRSKLKGKDTERFEKGDNIQIVRLELKTQRSYVKSLKKRSLWSKTLEEVVEKLVEIVHYLHIEISNTFGSSGTSMAQCLLLNQSNLLPADPCNAFVDGFASSAESTVSCQRLGPAGLALHYANIIIQIYSIVSRSGYVPSNTREALYQGLPLRVRTALPNRLKASSVPQELTIDDIRVRMEKSLKWLVPMAVNTTCARGFLRFSEWAKSGTDRVGRRPGQADPIETLYHANKATTEDHILELVVWLHHLVNQSNRPAMQKTTDLPLHLTKTAK >KQK99803 pep chromosome:Setaria_italica_v2.0:VII:34826222:34826335:-1 gene:SETIT_009737mg transcript:KQK99803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITALDLYHVLTAVVPLYVAMTLAYGSVRWWRIFTPDP >KQK98903 pep chromosome:Setaria_italica_v2.0:VII:29876912:29880788:1 gene:SETIT_009793mg transcript:KQK98903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLEELIRAIELWLRIVKEQVPLVDPTLDPVLLVPGIAGSILEAVDDAGNKERVWVRILAAEHEFREKLWSKFDASTGETVSVNEKTRIIVPEDRYGLYAIDTLDPDMIIGDETVYYYHDMIVEMIKWGYQEGKTLFGFGYDFRQSNRLSETLDRFSKKLESVYTASGGKKINLITHSMGGLLVKCFVSLHSDVFEKYVKSWIAIAAPFQGAPGYITTSLLNGMSFVEGWESKFFISKWCMQQLLLECPSIYELLANPNFQWKDTPLLQIWRENLDNDGKKSALLESYEPAEAIKMIEEALSKNEIVADGMHIPVPLNLDILKWAKETHDILSSTKLPESVKFYNIYGTDYDTPHTVCYGTEHHPVSNLNNLLYAQGKYVYVDGDGSVPVESAKSDGLNAVARVGVAADHRGIVCSHHVFRIVQHWLHAGEPDPFYNPLNDYVILPTAYEIEKHHEKCGDLTSVSEDWEIISPSDNQTLRPAEVPPMVNTLTASWEGVEGTLEEAQATVIIHPRNKGRQHVEVRAVGVSHGG >KQK98904 pep chromosome:Setaria_italica_v2.0:VII:29876912:29879952:1 gene:SETIT_009793mg transcript:KQK98904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLEELIRAIELWLRIVKEQVPLVDPTLDPVLLVPGIAGSILEAVDDAGNKERVWVRILAAEHEFREKLWSKFDASTGETVSVNEKTRIIVPEDRYGLYAIDTLDPDMIIGDETVYYYHDMIVEMIKWGYQEGKTLFGFGYDFRQSNRLSETLDRFSKKLESVYTASGGKKINLITHSMGGLLVKCFVSLHSDVFEKYVKSWIAIAAPFQGAPGYITTSLLNGMSFVEGWESKFFISKWCMQQLLLECPSIYELLANPNFQWKDTPLLQIWRENLDNDGKKSALLESYEPAEAIKMIEEALSKNEIVADGMHIPVPLNLDILKWAKETHDILSSTKLPESVKFYNIYGTDYDTPHTVCYGTEHHPVSNLNNLLYAQGKYVYVDGDGSVPVESAKV >KQK97916 pep chromosome:Setaria_italica_v2.0:VII:23946617:23947667:1 gene:SETIT_010960mg transcript:KQK97916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPRVMGDMILLPNGEVAIINGATDGIGGWESANTSNPTPVIYRPDLPVGKRFEVQAPAGTPRPRMYHASAVLDRNGRVIVGGSNPHQFYEFNKKFPTELSLEAFSPYYLDAANDGLRPNIFDPSPKDGPVHVAYGGQLKLKVFARVGVPGSVTMVAPSFTTHSFAQNQRQLFLQVQVKPVQAFQMNGGAATLFPGVYEATVVMPATPVLAPPGYYMLFVVNGRIPSQGIWVHIH >KQK97226 pep chromosome:Setaria_italica_v2.0:VII:19728395:19729582:-1 gene:SETIT_012592mg transcript:KQK97226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSPAPAPVEVPRFFVCPISLEVMRDPVTLSSGITYDRDSIERWVFTDGHGDCPVTKLPLGAGDREPTPNHTLRRLIQSWCAAHAVERFPTPRPPVDADRVAAIVDAARKGGRPEVLAALRELAEIIGESDRNRRCVEGAPGAVEFLASVVKKHAASTLSKPLELMHDVPEDSPMSTSPEEAALSILHSLKPSEETLKRILESNDDFVDTLASVLRWPSHRARTHGMHLLKAALSAMQPSRLSTASTELIEGVVRVAADRALPPKAVKLALHVLCRLSPWGRNRIKAVEAGAMAALVELLLNEGCGGGSNGGGGKRAGELAAVAIDHLCGCAEGRQELVAHPAGLAAVARAATRLSPTGTESAVRALHAVARHAATPAVLQEMLAVGVVARLLYL >KQK99327 pep chromosome:Setaria_italica_v2.0:VII:32375105:32375801:-1 gene:SETIT_012213mg transcript:KQK99327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SATEAQAMKVHSEAERRRRERINAHLAALRRMIPDARQMDKATLLARVVCQLKDLKRKAAETTHPLPIPAEANGITVNCHTGGGAAGYGRPAAYIRASVSCDDRPGLLADLAGALRGLRLRPLRADMASLGGRARCEFVLCGEEGGAAIAGRVKALEEGVRRALVSAAFPETAYGCNYRSRRQRVLESHCVLGHELDLGDQGW >KQK99511 pep chromosome:Setaria_italica_v2.0:VII:33215405:33220260:-1 gene:SETIT_009267mg transcript:KQK99511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRASWLWRRKSSDKSPGEQHVSSNVRDEEVKETVRSLNEKLSAALLTIRAKEDLVKQHAKVTEEAVAGWEQAEAEVTALKGLLEASCQKNASLQDQVSHLDEALKECVRQLRLAREEQEDKIREIVSKKSQVPQSENSELQNHITELKKRLEVTRSEASSSMLLQHNLQEKLQVIEKENLDLKAKLQATEKENMDLKAKLLVQSKDLKILMLERDLSNKAAETASKQHLESVKKIARVEAECRRLHHLTRKPTLINDSRPTQNNGCMESLTDSQSDHGEHMVAVDNDLRNSDSWASALIAELDQFKNGKDGSRNLVNNPVEIDIMDDFLEMERLAALPESDRTSSNFETDSDKAVARSFSLKVETEELQNQVTDLQQKFDAIESEKRELEMTLMEVRNQLDISCDALVAAKNRLVEMQMQLDSENNSKLAALEDVERLDSERKALELQLESKSVEVEELLMAVTSMEENAGQKELESQLELMSAEATELRLTVASLEERIQAERALSVQHKEKEEAMWNAKEDLEAQLSSANTEMGKLHDIVKALENEVKREKTLHEELTAQLQVKMEAAVCAVKESLEVQLCSANTEAGKLRGVVKELENEVEKEKALHEELAAQIEVKTEAARTAKAVKESLEAKLCSANAEIQKLQDITKALQSELEKEKALYEELSTQLEMKIEAERTRSVESAKESLEEQLQLVNSEAANLRDMVTALEHDVEKEKIFSAELQMQLEALEAIKKVLESEAESALQDARNLNQKVESLEAKLEDQMSSAEEFTAKAEALQSEKMAMEHKLKTADRELIKLTNKVSLLHREIEQERLLSEEYEQKCQKLEAQLSRDSRDAKLWRLANSNGDLKAKKEKELANAAGKLAECQKTIASLEHQLKSLTDLDSVVLEPERLESSRDMHIPLPLDFRNGDAEFAMFADDLYDFDLPNSNTSCFSPLPSIQPSSPPSEMSVFAGGLSTLSSYRSKRASRR >KQK98080 pep chromosome:Setaria_italica_v2.0:VII:24832828:24833106:1 gene:SETIT_012379mg transcript:KQK98080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAGSSSLKVATFAFVCIMLVVSSSAAPKKLMCRECDKMCSSSTDGCTSSFCSGACGDAASPGCLSCKQAYYSKCKNLCMSSCLANCVES >KQK96871 pep chromosome:Setaria_italica_v2.0:VII:16735245:16737129:-1 gene:SETIT_010200mg transcript:KQK96871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSLAASAAPAPPDDARGTTVRAGFYLAAAARLRPLAALDASHYTHLYYSALAVHPTTRKLVLPTDPDQAGLLAAFSPALKSRNRNLRTLLSVGTAGVAGAAAGSQADPAFAAMAADPASRAAFGAAAVALARDSGFDGLDVAWRFPASAVEMADLGFLVSEWRAAAPPGFLLTATAYFSSHVFAAPLPSVDYPSEALARCLDWVNVAAFGLRPPGGANATTAFDAPLYDRASHFSASYGVVSWIDAGLPAGKVVMGIPLYGRSWFLRNKANAGVGAPVVAAGPKQRGSNATGVMSYAEVQRLAAASAGGGGRRTATTAYDNASVASYLSVGDVWVAFDGAAVVAEKLAFAARRGLLGYFLWPVNYDDANLTLSRTGNLNWTTDILWEIAVAKVMFLALLHALIKTNCSNALVKQCTAHF >KQK97445 pep chromosome:Setaria_italica_v2.0:VII:21231953:21236200:1 gene:SETIT_009911mg transcript:KQK97445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFWGNNRFRRHRFCCQFPLCCLTGLSRSSQAWRLSRGSPTRSPTATVAAACASPSVESKDPVYLCALVPEQSETCHLELEFDEVEVTFSVIGQRSVHLVGYYIADAYEEDMSDSDAESDSLQGSDEDGFLVDDDDNNMVMGYSDSEDDSDYDSESDDEEMAYDQRRGKSSVVIEEIQEDDKPSAGEVQKGSNKKRSSENGDKSQLQLVVRDPATESLESEDEDGFPVSFSESKKSSESVSKKKGGKDKEASNEDRKRKSGAITDRGDSSGDVKAENDGASKKKKKTKDKSTAMDNGKVNNDVKEVKQQDASAEPVSAKHKKKNKNTSASEAGTDEQSAKKNNIHKDGEAVTAQEANKKNKKKKGQDTNRSENQSPTGLVESDSKKEPLQTRTFANGMIIQEMELGKPDGKKATNGKKVAMRYIGKLKNGTIFDSNVSGRPFEFRLGVGQVIKGWDVGVNGMRVGDKRRLTVPPSMGYGSQRVGPIPQNSTLIFDVELVNVK >KQK97799 pep chromosome:Setaria_italica_v2.0:VII:23317925:23318315:1 gene:SETIT_013000mg transcript:KQK97799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISTLFEGTFGSLVMNIRVGDQFCGELVPFVLAIMNVEF >KQK97884 pep chromosome:Setaria_italica_v2.0:VII:23740262:23743053:-1 gene:SETIT_010827mg transcript:KQK97884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKAPTALAVLALGLSLLCAASPSAAQNCGCQSDYCCSQFGYCGTTEPYCGKGCRSGPCWGSSTGGSGANVANVVTDAFFNGIKNQAGAGCEGKNFYTRSAFLNAVNSYSGFARGGSEVEGKREIAAFFAHVTHETGHFCYISEINKNDAYCDANNRQWPCVPGKKYYGRGPLQISWNYNYGPAGRDIGFDGLGNPDRVAQDPVIAFKTALWFWMNNVHQVMPQGFGATIRAINGALECNGRNPDQMNARVRYYRQYCKQLGVDPGNNLTC >KQK96927 pep chromosome:Setaria_italica_v2.0:VII:17503060:17504247:-1 gene:SETIT_011670mg transcript:KQK96927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGSGEWREDGDGDADTDACWDRLGRDLTALILSKLPLRSMLRATAVCKAWRAALRASPSLKQGRPWLFLHGRSNAGVPRIGRNAVAYDPDEPSSWVSFTLPTDCVAGAGGFAFTAPSLSHLAFAPLLRDGAWRHAPPLASSRCNPVIAAVPSGPSSERRHLFLVIGGGGFPGGLVDIEDRLPTELYEYDRRGDGAAAGGEWEQAAPLPEELRTGSSSSLSLSSALVGDRFFFVCGIYSCTVSAFDLARRAWTAPHNLCPVPGLVAAFVATGHRGRRLVLAGVEEGRCALGVWDVEPRTLAASKIGEMPADLAAGVLPGSVRCVGQDGLLYVLSEEEHRGYPACVCEVIDDDGDEMACRSRFRRMVAFCSPVLLRNHLHTD >KQK96769 pep chromosome:Setaria_italica_v2.0:VII:15318117:15321329:-1 gene:SETIT_012530mg transcript:KQK96769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGPGKQGTATAKKHLRVLLPFSRDALRIPDELAGEIGAAEALVVGPAGGKVKFWSVEVGKDGDGAFLGRGWPEFAEACGVGTGWLVLRHRGRGVLSAKAFDATCCFRELGAPAPPAGEATASSKGSTHKPQFIRVLPKDFMEKMLIPAKFVEQHIPMELLDNRTAIVFGRSGKVYSIKLEMGWTGVFLAGGWSQFLKFHDITEANALLLRYEGNMVFTLKVYGPNGYQREFNHKKTEVDKEQHETPFTSSSKRKSRNESLSSDDQNKQKGSMASLKNASSCAQGVYVIGPPAWLKEISTRMIKICISFPAAFCNAIGLREACTVTLKTSLSSTSSWQVRVLPYKDTSHQVGSGWKSFCEENMIKEGDVCTFNVIEMMLWHVVIDRC >KQK98012 pep chromosome:Setaria_italica_v2.0:VII:24493946:24494427:1 gene:SETIT_013096mg transcript:KQK98012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSIWIMESSCFSFIISNCVRRKPNNLKYSCM >KQK96565 pep chromosome:Setaria_italica_v2.0:VII:11996104:11997225:-1 gene:SETIT_012198mg transcript:KQK96565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKAFLLAILGCACLCSSILAARELSDAAMVERHEKWMVEYGRVYKDTAEKAQRFEVFKDNVAFIESFNAGNTKFWLAVNQFADLTNDEFRATKANKGFKPISTSVPTGFKYENLSVNALPTTVDWRTKGAVTPIKNQGQCGCCWAFSAVAAMEGIVKLSTGSLISLSEQELVDCDTHSMDEGCEGGWMDSAFEFVIKNGGLTTESNYPYKAVDGKCKGGSKSAATIKGHEDVPVNNEAALMKAVANQPVSVAVDAGDRTFMFYSGGVMTGTCGTELDHGIAAIGYGVESDGTKYWLLKNSWGTTWGEKGFLRMEKDISDKRGMCGLAMKPSYPTE >KQK96615 pep chromosome:Setaria_italica_v2.0:VII:13005704:13015184:1 gene:SETIT_010266mg transcript:KQK96615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYHGGASPQFALKKEIEHNPRFSHQDSAANNTRPPKRCQSRPYKLNHTTFCTRVYVGCHSWETALIVQAICGTGLTEEFCSALQKAHEFLKNAQVTQNLPDYKSYYRERTKGSWTLTNGENFWPIADTTAESLKAVLLLSNINPELVGDPIKEERLYDAVDCLLSYVNKDGTLSSAECKRTTSWVEFINPSESFRNIIVDYPYPECTSSLIQALVLFRGICPMYRHEEIEKIIKRGASFLEKTQRKDGSWYGSWAVCFTYATFFAIKGLVAAGRMYHNSLSIRKACNFLLSKQLITGGWGENYLSCQIEEYVCSGSPHAVNTAMAMLALLYAGQIEYDPTPLYRAAKELINMQMEGGEFPQQEIVGNFNSSLFFNYTNYRNLFPIWALGEFRRRLLAKRG >KQK96614 pep chromosome:Setaria_italica_v2.0:VII:13005704:13011244:1 gene:SETIT_010266mg transcript:KQK96614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYHGGASPQFALKKEIEHNPRFSHQDSAANNTRPPKRCQSRPYKLNHTTFCTRVYVGCHSWETALIVQAICGTGLTEEFCSALQKAHEFLKNAQVTQNLPDYKSYYRERTKGSWTLTNGENFWPIADTTAESLKAVLLLSNINPELVGDPIKEERLYDAVDCLLSYVNKDGTLSSAECKRTTSWVEFINPSESFRNIIVDYPYPECTSSLIQALVLFRGICPMYRHEEIEKIIKRGASFLEKTQRKDGSWYGSWAVCFTYATFFAIKGLVAAGRMYHNSLSIRKACNFLLSKQLITGGWGENYLSCQIEVIILSVR >KQK97875 pep chromosome:Setaria_italica_v2.0:VII:23706787:23709465:1 gene:SETIT_009976mg transcript:KQK97875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLFLLRQTTRALRRQTSRSRPFPPSSDGGNVASSRGTLELLAPPVSSPSYTFHKVFNQDSGCFSWLQSYNLQAYHCIHTSRSVSSENQATVEPQQSPGAAVSVDNSGEPKPKRKKLKGKRAVTRFLKSLRWKKKREIQRMTAEEKILYKLKLAQKKEERLLAALKKIEPEDPSEPTHDPEVLTPEEHFYFLKMGQKSKNYVPVGRRGIYQGVILNMHLHWKKHQTLQVIVKTFTPDEVKEIATELARLSGGIVLDIQDGNTIIMYRGKNYVQPPPEIMSPKVTLPRKKALDKSKYKEKLRAVRRYIPRLEQELEDLHAQMKLAGVHKGGVGKYVASVSHNANSMAAREESSISVHKKTVSDLLSESVEGSKRLEDESSEVEDVSASESMSFSESEDLSDIFETESEEQEEDNKERPLYLDRLDKFPSENNDNEPDDFEEHLRKIASLSDKTDSPSKELKVSELDEIDKIFLRASSLLKKR >KQK98354 pep chromosome:Setaria_italica_v2.0:VII:26475952:26481293:1 gene:SETIT_010207mg transcript:KQK98354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRVPRRSNNTKYYEVLGVSSTASQDELKKAYRKAAIKSHPDKGGDPEKFKELSQAYEVLSDPEKREIYDQYGEDGLKEGMGGGGDFHNPFDIFEQFFGGGSFGGSRSRVRRQKRGEDVVHSLKVSLEDVYNGATKKLSLSRNVLCSKCKGKGTKSGAPGTCYGCHGIGMKTITRQIGLGMIQQMNTVCPECTGSGEIIRERDRCPSCRANKVVQEKKVLEVHIEKGMQHGQKIVFQGEADEAPDTVTGDIVFILQVKEHPRFKRKYDDLFIEHTVSLTEALCGFQFILTHLDGRQLLIKSNPGEIIKPGQHKAINDEGVPQYGRPFMKGRLFVEFNVEFPESGTLSPDQCRALEKVLPQLPRGRLSDMEVDQCEETIMHDVNIEEEMRRRKYQRQREAYEDVDEDEDAGPRVQCAQQ >KQK99242 pep chromosome:Setaria_italica_v2.0:VII:31756662:31757565:1 gene:SETIT_012559mg transcript:KQK99242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVDRTDALDCGICFLPLKPPIFQCDVGHVVCSPCRDKLAAGGQCHVPAVPGHGEDIWWTPSASRARTPSTAAPTGRRSTTGSTTPASARARGAAAAPGWPCTAEPTPDRSFDVHLRDGFNLITAARGEAQHLILLNVARTPFGRAVSAVRGFLREHFQTSRVEVASMDGSDALPDRSASFQFFFPKFAGGSDEDDLRVDVVINISPS >KQK99519 pep chromosome:Setaria_italica_v2.0:VII:33275420:33277267:-1 gene:SETIT_012262mg transcript:KQK99519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRPPSGSSSNCKSCPNEPLGRPNPMNFHHTQFPHHVSFSQPSHSMNFPHHQYPQQHLYPPHVQYVVVQPQYGPFSLPQPPPSRAMLTPPPPPPPPAGVIPLPLPSSPLPPPPAGVVPLSVSESGTPHSVTGPDEQDIFSVGNDRNAEPDRTSRRLSWTEVENLRLVRSFLFILCLFRLVLTFFFLNIFFPVMQVSAWLNSSRRSNSKKYFWANVVGVYNRNTPKDRKRTLMQLKTHWQRINTKIAHFYDCWCRVEAKHSCIQSEKMQLMDKTWAMYNEEAREMYLEEAKHHFALSHCWKAVWDQPKWKRHVSSLYFKKTELFESEDCTSSSEDAPETETGEQGSVPAKKKHKVNGKVSSPPSELQEDIQCSVDPENMIEKNLKEMTEAELQCSDRELELARSNQLEMKDKEMVISEMQTDLLMADTSRIHEFQHGRDRLMVDTARPNEFQHGSAVREDVPEKKTHPQCHKTLDHAGTVRGDIPEKQTYPRGSKMSNFKRKRKGNASTPPSEVQEDIKRAVDLQAMLQKDREKMSEVQLRLSKEKLEVARLKQQEAKDRKETTLYEKYTELLMADTQRFDEFQKEEHRKAVKCLGEMLFVNNGMPLSSSNLKHM >KQK96744 pep chromosome:Setaria_italica_v2.0:VII:14849351:14849850:-1 gene:SETIT_012974mg transcript:KQK96744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYLRDARECRCRSASKGEECLRRSLSPGEEGAALVLPRCVHAGGSEGVVHQGAILGEPSPGR >KQK98716 pep chromosome:Setaria_italica_v2.0:VII:28792544:28795300:1 gene:SETIT_012603mg transcript:KQK98716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEHHSGGRVAAVGGDDDDVELVEQVRLTVPTTDDPTLPVWTFRMWTIGVVSCALLSFFNQFFAYRSEPIIISQITVQVAALPVGHFMARALPDKKFSAFGREWSMNPGPFNVKEHVLICVFANAGAAFGNGGAYAVGIVTIIKAFYRRNISFVVGLLLIITTQVLGYGWAGLMRRYVIEPAQMWWPQSLVQVSLLRALHEKEQGRRMTRGKFFLIALVCSFTWYTVPGYIFPTITAVSWVCWAFPKSVTMQQIGSGLNGLGVGAFTLDWSVVASFLSSPLVSPFFAIVNVYVGFVLLVYIIVPACYWAFNLYDAGTFPIYSTDLFTGAGQLYNITAIVNDRFEIDMGAYEQQGKIHLSLFFAISYGLGFASIAATLSHVALFYGKEIYQRFRESYRGKPDVHTRMMRRYDDIPNWWFYVLLALTMAVAMVLCTVFKDEVQLPWWGLLFACAIAFIFTLPISVITATTNTTPGLNVITEYTLGLIMPGKPIANVCFKVYGYMSMNQSVSFLNDFKLGHYMKVPPRSMFLVQLIGTVVAGTVNTIVAWWLLTTVPHICEKALLPEGSPWTCPGDHVFFDASVIWGLVGPRRIFGPLGYYNALNWCFLGGLVCPLFVWLLARALPGHAWWISLVNLPVILGATANMPPASTLNYTAWCFVGTVFNFFVFRYRKGWWKRYNYVLSAALDAGVAIMGVVIYFALSGHPLDWWGSRGEHCDLATCPTARGVQVDGCPIL >KQK96658 pep chromosome:Setaria_italica_v2.0:VII:13507093:13510625:-1 gene:SETIT_010736mg transcript:KQK96658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADLLTLGFDFCFQSPPRFFDEPYEHDLAVVDSIVLHAPAEAESVTGLYPSNNREASSSSDGANSSCNTQVPPASSPAGGLANKSMVMERHRRRRLNEKLYALRSVVPNITKMDKASIVRDAIAYIQQLQEEERRVLAEVSALESSSDTAATVKTEDHAAAGDADSYPRRTKRTRTAAADGGSVTLSVDDASPPVQILEVVVSEAGEKMAVVSVRCSRGRDAVAKVCRALEPLRLRVVTASIAAAGDAFVHTMFVEIEEMSGAQLKETVEAALAHLDVTRHSLKTKRYWED >KQK97287 pep chromosome:Setaria_italica_v2.0:VII:20273072:20274694:-1 gene:SETIT_011354mg transcript:KQK97287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEGGAGKREVEGSPPPEAESIVWREDKERFETPDGEAFLEYRLPSPAVMDMVHTYVPRSKRGQGLAARLCDAAFAHARGRGMRVVPTCSYISDTYLPRNPALEELVYKDQDPHPKPSSM >KQK99690 pep chromosome:Setaria_italica_v2.0:VII:34225509:34228500:-1 gene:SETIT_011678mg transcript:KQK99690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVDSKDPDLLKLELVNVPGGAFAFELAAKFCYGSNFEITTANVAHLRCVAEYLEMTEDFQQENLIFRTETYLNEIVLKNLDKSLEVLCKCDGLDPMVEEVGLVDRCVDAIAMNASKEQLVSGLAHLECNVGSGKLRMHSQDWWVEDLSALRIDHYRRVIAAMRRNGVRPESIGTSITHYAQTLLKGVERRHVWDSGPFVGDDQRMIVETLIDLLAAENITTVTLSFLFGMLRMAIEVDASLDCRIEVEKRIGLQLEMASLDDLLIPSTQTSDSMFDVDTVHRILVNFLQRIDEDDSGELSPCGYDSDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTESECKKLCKLIDCQKLSQDASSHAAQNDRLPIQMVVRVLYFEQLRLKSSFSGGGSGGCGDGGLSQRFICSSGVPSSCVSPQRDNYASLRRENRELKLEISRMRVRLTELEREQGLMKQQGIRGGDGRPGEHGRAFLASLSRGFGRITMFGGPTAAEKRRKKSSRSSQGSEGKNRRRQKASFAYD >KQK99471 pep chromosome:Setaria_italica_v2.0:VII:33043224:33043724:-1 gene:SETIT_012881mg transcript:KQK99471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKVSTFYSFKSKVPGSLSPLMHVQEKIYKISIWKHCLCTDKLYFD >KQK96392 pep chromosome:Setaria_italica_v2.0:VII:5104512:5105214:-1 gene:SETIT_012114mg transcript:KQK96392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVISFSLTCKSLESVCKTLRATILKSGRAILVTYQPDQDGWGIEDDLKIGKFGLHDVSNALSFCFTTNTSLDLELLNQITKTKVTLPSFGNNLSGIELPSYRELSVIFPPFARDVWCIVLSRTPSHKDGYEAIALFSDGLLTYTTQGEHVWRVLKNPTDHDDNAYNHYPEVFFDIIVYHGWVIVVEEDGDIFAWDMRGSGIDGISVISPLPSP >KQK96468 pep chromosome:Setaria_italica_v2.0:VII:8475344:8475679:-1 gene:SETIT_011675mg transcript:KQK96468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSAFNALKSRVPVAWSPRLYITLVRGLPGTRRLHRRTLEAMRLRRCHRTVEHRTTPSLLRMLTQVKRLVVVETEEMYNARRQAEEERRAPRPPLVISCGGGCRCHCAVAS >KQK97449 pep chromosome:Setaria_italica_v2.0:VII:21268535:21273822:-1 gene:SETIT_0100222mg transcript:KQK97449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WLQDHPRFLSNPLYIAGDSYSGLIIPGLTLEIDRSIELGEKPLFNLKGYIAGNPVTDSQFDTDGQIPYLHGMGLVSDELYENARGNCGGKYSAPSNAACTEAIQAIKNCTRDLSGQHILEPACPDVVWSTKTVALADGISRVMLESAEFLSGFKCPEAEYVLSDIWGNDATIQESLGVRKGTIGEWRRFNHGLSYTEDIQSAVEYHSRLATKGYAALIYSGDHDPSITHVGTQAWIRHLNLTIVDDWRPWYVGDQVAGFTRRYSSNLTFATVKGAGHIAPLYRPLECQTMFRRWMSQDPL >KQK99132 pep chromosome:Setaria_italica_v2.0:VII:31109018:31114656:1 gene:SETIT_009239mg transcript:KQK99132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGTAPGPAPALLRRCPCSAPPWTPSPFRPRRRGRSMVSPFTGGRRQEYSQSSGVGIQDNRALKFGVCVNFNVQSSGAQEWAAESKRLSSIRTSNSTYSGSSHLGPAIIHHEHLEDFKSSNQSSPHSVRQRMAPNSLANRHASVEPAKRHMINRAAAAVSALASVVKDDTKPIKRPNESEVEAHWPNGSKFHASLPKISEVETSLPFDDKATDGNAEDENECSPKETVQPPPARAPLSQESKDARKALGTIYEKVLVVDDVKSARSVVQLLTTKYRNFFHACDTEVANIDVKQETPVGHGKVTCFSIYSGTSGAEADFGNGKTCIWVDVLDGGQDVLMEFAPFFEDSSIRKIWHNYSFDSHVIENYGIKVSGFHADTMHLARLWDSSRRLDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGRKKIKKDGSEGKITAIEPVEILQREDRELWICYSSLDSMSTLRLYESLKSKLERKPWTFDGVPRGSMYDFYEEYWRPFGAVLVKMETAGMLVDRAYLSKIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGEFLPTSKAIKVPNDETAVAEGKKVPKYRTIELFSIVEDLKTDIFTASGWPSVSGDALRNLAGKVPSDLVYTTDDVNDDECGSDSEISDCDLEDTSSYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYQHIREAVEEERVILEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAHGLARDWKVQTYQMNIVLKSKLISTVQLQCPLHISTGLDYWVHTNQLETRKQPAC >KQK99133 pep chromosome:Setaria_italica_v2.0:VII:31109018:31115958:1 gene:SETIT_009239mg transcript:KQK99133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAGTAPGPAPALLRRCPCSAPPWTPSPFRPRRRGRSMVSPFTGGRRQEYSQSSGVGIQDNRALKFGVCVNFNVQSSGAQEWAAESKRLSSIRTSNSTYSGSSHLGPAIIHHEHLEDFKSSNQSSPHSVRQRMAPNSLANRHASVEPAKRHMINRAAAAVSALASVVKDDTKPIKRPNESEVEAHWPNGSKFHASLPKISEVETSLPFDDKATDGNAEDENECSPKETVQPPPARAPLSQESKDARKALGTIYEKVLVVDDVKSARSVVQLLTTKYRNFFHACDTEVANIDVKQETPVGHGKVTCFSIYSGTSGAEADFGNGKTCIWVDVLDGGQDVLMEFAPFFEDSSIRKIWHNYSFDSHVIENYGIKVSGFHADTMHLARLWDSSRRLDGGYSLEGLTNDHRVMGVVPKELQKIGKRSMKTIFGRKKIKKDGSEGKITAIEPVEILQREDRELWICYSSLDSMSTLRLYESLKSKLERKPWTFDGVPRGSMYDFYEEYWRPFGAVLVKMETAGMLVDRAYLSKIEKVAVAQRKLAADKFRKWASKYCPDAKYMNVNSDTQIRQLFFGGIENRCKPGEFLPTSKAIKVPNDETAVAEGKKVPKYRTIELFSIVEDLKTDIFTASGWPSVSGDALRNLAGKVPSDLVYTTDDVNDDECGSDSEISDCDLEDTSSYGTAYEAFGGGKKGKEACHAIAALCEICSIDSLISNFILPLQGNHISCKEGRIHCSLNINTETGRLSARTPNLQNQPALEKDRYKIRQAFVAAPGNTLIVADYGQLELRILAHLADCKSMLDAFKAGGDFHSRTAMNMYQHIREAVEEERVILEWHPQPGQEKPPVPLLKDAFGAERRKAKMLNFSIAYGKTAHGLARDWKVSVKEAKDTLKLWYSDRKEVLAWQMKQKELAQEKCEVYTLLGRSRRFPNMAYATSGQRGHIERAAINAPVQGSAADVAMCAMLEIDRNTHLKELGWTLLLQVHDEVILEGPSESAELAKSIVVECMSKPFYGTNILNVDLAVDAKCAQNWYAAK >KQK97937 pep chromosome:Setaria_italica_v2.0:VII:24080250:24080715:1 gene:SETIT_012280mg transcript:KQK97937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFFFSRCSNFNTTENPSRKLTSGAADSPVRFEHARGIGSVIRSCRGRCCGAFKAFACPYSDLLNDNAENGCASDMFFEINVRGRLHPSLFSQLCVEGPLALQC >KQK96116 pep chromosome:Setaria_italica_v2.0:VII:619793:623961:-1 gene:SETIT_012370mg transcript:KQK96116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASPPWLRHCWYCIWLAASRTVIIIHANPFAPTLPAKSRAMAPSSPRREAPPAKSPDAPPEQKKRKTPPPHPPEAKRKPVPFQRTWPPGDEVRILEALAAHRRAHGGDLPKPAVLFAALDGHLERKGVGARKIMEKLRSFKRRYVLDAKKTAPPAGEHERRLYLLSRDVWAGDSPPKPPPVAQAKSLTPLKAQTAEDTKDSKDAIQAKSASEPAGKDLPKPRTLAEMREIYPYLVGEAMILMDPPILQELLPSIEENVARTLNKKIKKARKKLTKAINESIKVPNGLPHRKNEVVTSKFKFEGVLPSNVPRDNM >KQK97116 pep chromosome:Setaria_italica_v2.0:VII:19177721:19179030:-1 gene:SETIT_010367mg transcript:KQK97116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKAADATAAAAAAAAVAAAADQDGAVYCSEHPYPPGATAAAGAGAGGICAFCLQEKLGMLVSSSKSSPFHPPPPPASASSSSTPPSSNRASSEAPVPLYPSAAASRKVMPAQGGGGGGLKRSKSVAPRPEEPLPPAPAPSAITADSPRKKSFWSFLHLSSSSGSHKNASSAASANGGGGGAAVARRNSVSVASASSAALGGRLEAIVEPESPGRRSEGSSSSSFGRKVARSRSVGCGSRSFSGDFLERLSTGFGDCALRRVESHREPKPKAAGALGHLGGAHGDDGDHDHDQHHRIKCAGFFGGGLGAAPPPSSSYWLSAPDGASGGGAGGGSTRGTGTRSHRSWGWALASPMRALRPTSSSSSKSIMAAPTAA >KQK99387 pep chromosome:Setaria_italica_v2.0:VII:32692587:32696262:1 gene:SETIT_010003mg transcript:KQK99387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSWRRTPLTAAAAALPWLLLGCVCALGYPESDLVRGLPGQPPVAFRQFAGYVDVDERAGRSLFYYLAEADGAAAASKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRINDKSWNKVSNLLFVESPAGVGWSYSNTSSDYKTGDARTASDMHIFLLKWYEKFPEYKSRDLFLTGESYAGHYIPQLTNVLISHNKESKGFKFNIKGVAIGNPLLKLDRDIASIYEYFWSHGMISDEVGLAITNACDFEDYTFSSPHNESQSCNDAIAEANKVVGDYVNNYDVLLDVCYPSIVMQELRLRKYVTKISMGVDICMSYERFFYFNLPEVQQALHANRTRLPYKWSMCSAVLNYSDTDGNINILPLLQRIIEHNIPVWVFRTLWCPSSAHEP >KQK99388 pep chromosome:Setaria_italica_v2.0:VII:32692587:32696262:1 gene:SETIT_010003mg transcript:KQK99388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSWRRTPLTAAAAALPWLLLGCVCALGYPESDLVRGLPGQPPVAFRQFAGYVDVDERAGRSLFYYLAEADGAAAASKPLTLWLNGGPGCSSVGGGAFTELGPFYPRGDGRGLRINDKSWNKVSNLLFVESPAGVGWSYSNTSSDYKTGDARTASDMHIFLLKWYEKFPEYKSRDLFLTGESYAGHYIPQLTNVLISHNKESKGFKFNIKGVAIGNPLLKLDRDIASIYEYFWSHGMISDEVGLAITNACDFEDYTFSSPHNESQSCNDAIAEANKVVGDYVNNYDVLLDVCYPSIVMQELRLRKYVTKISMGVDICMSYERFFYFNLPEVQQALHANRTRLPYKWSMCSAVLNYSDTDGNINILPLLQRIIEHNIPVWVFSGDQDSVVPLLGSRTLVRELAHDMGLDVTVPYRAWFHNDQVAGWVTEYGKLLTFATVRGAAHMVPFAQPDRALGLFRWFVDGQRLPNTTNPSTG >KQK98744 pep chromosome:Setaria_italica_v2.0:VII:28950213:28951764:-1 gene:SETIT_011052mg transcript:KQK98744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLHLQHQAVTLHPSRLQARVTAPHRQAKLPRRRRFAPPLLLHAESSRFACVPRASATGSPRPDPGEGEPGRNGGFWTKWMVGSAEARARVAKLGLAAVLAYGLFDAVTYTTFFVLAFLGYEKSTGKNPAANLKALLGIVILMWTGNNVTRPFRVAGATALAPVIDKGLKGVQEKLNLPTQMYAFALVIGSVATVCFTIVGILILSKWGK >KQK97202 pep chromosome:Setaria_italica_v2.0:VII:19582400:19584751:1 gene:SETIT_011179mg transcript:KQK97202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAARGYARHQNRNDLASRLSRTVAPISLAPSKGAAMAMAALRGASRCLASGGSPAAVRPMLLAHSRGITYKLFIGGLSQFATEDTLAEAFSRYGQVIEATIVTDKMTDKPKGFGFVKFASQEEANTAREEMNGKAGF >KQK97203 pep chromosome:Setaria_italica_v2.0:VII:19582400:19584993:1 gene:SETIT_011179mg transcript:KQK97203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAARGYARHQNRNDLASRLSRTVAPISLAPSKGAAMAMAALRGASRCLASGGSPAAVRPMLLAHSRGITYKLFIGGLSQFATEDTLAEAFSRYGQVIEATIVTDKMTDKPKGFGFVKFASQEEANTAREEMNGKVLNGRVIYVDIAKPKLERDADARPIARGPPKPFGND >KQK98431 pep chromosome:Setaria_italica_v2.0:VII:26972603:26975575:-1 gene:SETIT_010480mg transcript:KQK98431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRRLGGVDPFGQSFVPSPAAAAALAARAGAHYKWSSAPARAAVSRSPLPAAAARRHPRASSPVTTGTPDLVDFNWDALGFQLIPTDFMYLMRCSSDGVFTKGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGSILLFRPHENALRMRIGADRLCMPAPSVEQFVEAVKLTVLANKRWVPPTGKGSLYIRPQLIGSGAILGVAPAPQYTFIVFVCPVGHYFKDGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVVSAQRRAKEKGHSDVLYLDSVHNKFVEEVSSCNIFMVKDNVISTPLLTGTILPGITRKSVIGIAQSLGFQVDQIGIFIYLQFLSC >KQK98430 pep chromosome:Setaria_italica_v2.0:VII:26971423:26975575:-1 gene:SETIT_010480mg transcript:KQK98430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRRLGGVDPFGQSFVPSPAAAAALAARAGAHYKWSSAPARAAVSRSPLPAAAARRHPRASSPVTTGTPDLVDFNWDALGFQLIPTDFMYLMRCSSDGVFTKGELVPYGPIELNPAAAVLNYGQGLLEGLRAHRKEDGSILLFRPHENALRMRIGADRLCMPAPSVEQFVEAVKLTVLANKRWVPPTGKGSLYIRPQLIGSGAILGVAPAPQYTFIVFVCPVGHYFKDGLSPISLLTEEEYHRAAPGGTGDIKTIGNYASVVSAQRRAKEKGHSDVLYLDSVHNKFVEEVSSCNIFMVKDNVISTPLLTGTILPGITRKSVIGIAQSLGFQSAILQ >KQK99208 pep chromosome:Setaria_italica_v2.0:VII:31521219:31526979:1 gene:SETIT_009566mg transcript:KQK99208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRNSMEHFADVFGFDVASSSGNPVMDQQAYWNNVLGSVESQNLQGYQMNHSDATIPYGNEPQQDGTFLGFWEAGEASSSGSALNYGSSSNAKTEHLNIGGGLRIGERRLVADNDLSLDVDINLNANVNDLCGQSSNANCASQDPEQYGGCNRNGINAQPSNLRLHPYRTFLLGAEQTDSFTLNPSENPLGDFSLMQEGIDQRPGSSLDGRRLACKRKNIEGANGQSSAGASTSYSHRNDNAFHNIASSSYNPAPIRNSSSPNCLLVPSSIEDQLPRYGTNAGLSSGSYDLNGGINNAGNSQRSFRARTTTAQQIAPCTVWPSSNAVRLSNSWNHQPPHLQSTFDDPQEVIPVVSSLNLQYQHPVNVPGAPQAANRFTGHGASSSRAGSLENRVIGSEEVLRRNVVPTNYSDLVPPAAVDLRRLVPEPSNWSSDGRGTAVSGTIPPVSRANTSSAVNPPAGFSHQNLNRRHPRNLSEIGRLSGALRGHQPPRLRSGFLLERQGDGVWGVPLSMRGREGRRLMEIRNALEMIQRGENVRLESIIYGGVDTHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEAVIKLLKQRKFSSWRLKASLDHEPCCICQEEYVDGDNLGRLDCGHDFHVGCIKQWLVLKNVCPICKSTALKT >KQK99209 pep chromosome:Setaria_italica_v2.0:VII:31521219:31526979:1 gene:SETIT_009566mg transcript:KQK99209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGQRNSMEHFADVFGFDVASSSGNPVMDQQAYWNNVLGSVESQNLQGYQMNHSDATIPYGNEPQQDGTFLGFWEAGEASSSGSALNYGSSSNAKTEHLNIGGGLRIGERRLVADNDLSLDVDINLNANVNDLCGQSSNANCASQDPEQYGGCNRNGINAQPSNLRLHPYRTFLLGAEQTDSFTLNPSENPLGDFSLMQEGIDQRPGSSLDGRRLACKRKNIEGANGQSSAGASTSYSHRNDNAFHNIASSSYNPAPIRNSSSPNCLLVPSSIEDQLPRYGTNAGLSSGSYDLNGGINNAGNSQRSFRARTTTAQQIAPCTVWPSSNAVRLSNSWNHQPPHLQSTFDDPQEVIPVVSSLNLQYQHPVNVPGAPQAANRFTGHGASSSRAGSLENRVIGSEEVLRRNVVPTNYSDLVPPAAVDLRRLVPEPSNWSSDGRGTAVSGTIPPVSRANTSSAVNPPAGFSHQNLNRRHPRNLSEEIGRLSGALRGHQPPRLRSGFLLERQGDGVWGVPLSMRGREGRRLMEIRNALEMIQRGENVRLESIIYGGVDTHDRHRDMRLDIDNMSYEELLALEERIGNVSTGLSEEAVIKLLKQRKFSSWRLKASLDHEPCCICQEEYVDGDNLGRLDCGHDFHVGCIKQWLVLKNVCPICKSTALKT >KQK97767 pep chromosome:Setaria_italica_v2.0:VII:23149413:23149754:-1 gene:SETIT_012101mg transcript:KQK97767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLGVARLVALVLLAVFCSSLHQQAGVGAIRLHDRRQHAQQWTEERDRFRSYMTMDYHPWRRRVPKHN >KQK96794 pep chromosome:Setaria_italica_v2.0:VII:15617705:15618330:1 gene:SETIT_012993mg transcript:KQK96794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKHTCLLCWKTELCAIHATEGHSAGLEDLQREGLRSPRQLGY >KQK97188 pep chromosome:Setaria_italica_v2.0:VII:19512881:19516833:1 gene:SETIT_009687mg transcript:KQK97188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALGRVAWAWLLLLQLAGASHVVYENLLEVEEAAAAAVPPSIIDPLLRTGYHFQPRKNWINDPNAPLYYKGWYHLFYQYNPKGAVWGNIVWAHSVSRDLINWMALKPAIEPSIASDKYGCWSGSATMLPDGTPTIMYTGVNRPDVNYQVQNVAYPRNKSDPLLREWDKPSHNPIIVPKAGINATQFRDPTTAWRAGGHWRLLIGSVTGPAHGVAYVYRSRDFKRWTRVERPLHSAATGMWECPDFYPVEAAGRREGLDTSVSGRRARKYVLKNSLDLRRYDYYTVGTYDRRAERYVPDDPAGDERHLRYDYGNFYASKTFYDPAKRRRILWGWANESDTSADDVAKGWAGIQAIPRTVWLDPSGKQLLQWPIEEVEALRGKSVTVKDRVIKPGQHVEVTGLQTAQADVEVTFEVSSLAGAESLDPELAGDAQRLCGARGAAVEGGVGPFGLWVLASADRQERTAVFFRLFRPARGGDKPVVLMCTDPTKSSLNPNLYQPTFAGFVDTDISDGKISLRSLIDRSVVESFGAGGKTCILSRVYPSLATGKNARLYVFNGGKADVKVSRLTAWEMKKPLMNGA >KQK97624 pep chromosome:Setaria_italica_v2.0:VII:22239168:22241668:1 gene:SETIT_010836mg transcript:KQK97624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGPGAHLLYALSGGAALSRLAGPGDRRFGPHHCAVYAANAFLGPDLGSFAEWLCSFLPSSAAASAAGDLAMAAVHHPFYYPLLLGLPLAWAYAWLSRRLLRAGVLDSAAGVPLNKRQCFLLISAGSLSHFFLDHLFEENGHSRMYTWILSTGWWKGRAPINSDAVVVVGLLCTCLMGIFVYINRVKHGKSAAEKSNQSFFLILVIATLYCMWCASQIYLRQPSQPAIGEEADLGVIIFLAIYLFLPHGLCVLSMNKKDYTDALNELPLR >KQK98555 pep chromosome:Setaria_italica_v2.0:VII:27919024:27923891:1 gene:SETIT_010602mg transcript:KQK98555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGGYAYHGSTFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPQQPTIPTSKAAAEVGDTVVEDEPKFKPFTGSGKRLDGRASKLQASEVPSTAHSVPLDSNKRANQQTSSAPATTSGASNSTRQKTGKLVFGSSASNNKEPQKAPAKEEEPPKKDELKFQAFSGKSYSLKR >KQK98557 pep chromosome:Setaria_italica_v2.0:VII:27919024:27923891:1 gene:SETIT_010602mg transcript:KQK98557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGGYAYHGSTFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPQQPTIPTSKAAAEVGDTVVEDEPKFKPFTGSGKRLDGRASKLQASEVPSTAHSVPLDSNKRANQQTSSAPATTSGASNSTRQKTGKLVFGSSASNNKEPQKAPAKEEEPPKKDELKFQAFSGKSYSLKR >KQK98556 pep chromosome:Setaria_italica_v2.0:VII:27920057:27923596:1 gene:SETIT_010602mg transcript:KQK98556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYGGYAYHGSTFEQTYRCYPASFFDKPHLEGGDKVIMPPSALDRLASLHIEYPMLFELHNDATQRISHCGVLEFVAEEGMIIMPYWMMQNMLLQEGDTVRVKNATLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKQYYIDIVETKPASAVSIIETDCEVDFAPPLDYKEPEKPQQPTIPTSKAAAEVGDTVVEDEPKFKPFTGSGKRLDGRASKLQASEVPSTAHSVPLDSNKRANQQTSSAPATTSGASNSTRQKTGKLVFGSSASNNKEPQKCLWRVLTACAVGQRILRFWI >KQK98311 pep chromosome:Setaria_italica_v2.0:VII:26221125:26222272:1 gene:SETIT_011095mg transcript:KQK98311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPRRRLATTTPSLRFLGLLKQPDDACVDVQELELDERDVVWSSSATSSSSTSAASSPSPTTSPSASLRRPISASSRYFPSGSVGLSALLAEDHRHAPTAPVAAAARPETRQRAPQPYHQSAPVAVPAWPKAMAGPEVAAGYDEDDDGEPVVPPHEVAARRAAAAASVMEGAGRTLKGRDLRRVRNAVWRTTGFLDL >KQK97158 pep chromosome:Setaria_italica_v2.0:VII:19314363:19319680:1 gene:SETIT_010167mg transcript:KQK97158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEKDRISYFYDGDVGNVYFGPNHPMKPHRLCMTHHLVLSYGLHKKMEIYRPHKAYPVELAQFHSADYVEFLHRITPDTQDLYGSELTRYNLGEDCPVFDNLFEFCQIYAGGTLDAARRLNHKTCDIAINWAGGLHHAKKCEASGFCYINDLVLGILELLKYHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDLFFPGTGDIKDIGEREGKYYAINIPLKDGIDDASFTRLFKTIIAKVVETYLPGAIVLQCGADSLARDRLGCFNLSIEGHAECVKFVKKFNIPLLVTGGGGYTKENVARCWAVETGVLLDTELPNEIPNNDYIEYFAPDYTLKVPNLNMDNLNSKTYLSSIKVQVMESLRSIQHAPGVQMQEVPPDFYIPDFDEDELDPDERVDQHIQDKQIHRDDEYYEGDNDNDHDDGTR >KQK98159 pep chromosome:Setaria_italica_v2.0:VII:25403765:25408390:1 gene:SETIT_011829mg transcript:KQK98159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPATATASASGGSAVHDSADALEQGTSTQLRRRYTGGQTGELADDGIVRSRAGKQEPAPPSVEEAFADLPVPSWREQLTVRAFVVGSLLAVVFNVILMKIDLTTGINPSLNVCASLLSYFLVRVWTKAIEGMGLLRQPFTRQENTMIQTCVVSAYGITFTGGFSSYLFGMSGTIANKATEANDAQNIKEPHLGWMIGFMFLVSFVGLFALVPLRKVMIVDYKLTYPSGTATAYLINGFHAPHGSERAKKQVRTLGKYFSLSFLWAFFQWFYTAGDHCGFGSFPTLGLEAYKNRFFFDFSPTYIGVGMICPYIVNVSLLLGGIISWGVMWPLISTKKGSWYPETLPDNSLHGLQGYRVFITVAVILGDGLYNILKVLGRMIEAFVSRYRNRNTNTLPVSHDGTPVTTTETESFDDKRRLELFVKDQIPKTVAFGGYVVLAAITIGCLPLIIPQLKWYHILAAYILAPALAFCNAYGCGLTNWSFASAYGKLAIFSFGAWAGASHGGVLVGLAACGVMMSIVGTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDIGASNSAYPAPYTIMYRNMAIMGVDGLSLPKHCLTLCYIFFAASFAINLIKDLMPKKVAKFIPIPMAVAIPFYVGAYFTIDMFLGCVILFIWEWKNKAEADSLGPAVASGFMCGDGLWALPEAVLSLANVKPPICMKFLSRSVNAKVDSFLAKE >KQK98913 pep chromosome:Setaria_italica_v2.0:VII:29918432:29920339:-1 gene:SETIT_010875mg transcript:KQK98913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGAGAGSAAVERAHELYRGGRHREALELYSAALAAARGPAQRIALHSNRAACYLKLHDFRKAAEECTSVLELDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLQARLKTQLSLAPIPECEEESLYLEEEKDELPPKGDQKIETSITKSDQPETKLVLENKPSNGHILERKPGTEPQKVDVPPTLPSKPQGWEAIPKPKGHSGLDYSKWDSVEDDSSEDEDDDEEDELPQYKFKVRTVGVRSVK >KQK98915 pep chromosome:Setaria_italica_v2.0:VII:29917935:29920428:-1 gene:SETIT_010875mg transcript:KQK98915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGAGAGSAAVERAHELYRGGRHREALELYSAALAAARGPAQRIALHSNRAACYLKLHDFRKAAEECTSVLELDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLQARLKTQLSLAPIPECEEESLYLEEEKDELPPKGDQKIETSITKSDQPETKLVLENKPSNGHILERKPGTEPQKVDVPPTLPSKPQGWEAIPKPKGHSGLDYSKWDSVEDDSSEDEDDDEEDELPQYKFKVRTVGVRSVK >KQK98914 pep chromosome:Setaria_italica_v2.0:VII:29918432:29920339:-1 gene:SETIT_010875mg transcript:KQK98914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGAGAGSAAVERAHELYRGGRHREALELYSAALAAARGPAQRIALHSNRAACYLKLHDFRKAAEECTSVLELDREHAGALMLRAQTLVTLKDYQSALFDVNRLIEINPSSEVYRNLQARLKTQLSLAPIPECEEESLYLEEEKDELPPKGDQKIETSITKSDQPETKLVLENKPSNGHILERKPGTEPQKVDVPPTLPSKPQGWEAIPKPKGHSGLDYSKWDSVEDDSSEDEDDDEEDELPQYKFKVRTVGVRSVK >KQK96255 pep chromosome:Setaria_italica_v2.0:VII:2589281:2591458:-1 gene:SETIT_010344mg transcript:KQK96255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEQASGLDQDIASLLSSLECDMTVQAGVLKQYAKMHDAVKALVQEKAKTTHENQGTCTIQLHLNNVNHMLLPLIHVPLNPFHSIWTEIERLQEELSNKNKELSCEKENLRGMNQLLSRENHQLSVKNEKLSHENKELTLKLKEKLVHSGHAQGVSGEMKSMCTWKILFVMRVFFSPLLNWKAQGRIEGNNEPKEELFDPVLSNRIIAEDCERRRELSEIRKKLVEVFGNIDHHRQHIRIKMMGQINDQAFLDAAHSKHPNCIIARDEAVKNCSVWQKKIEDPFWHPYKMITEDGPSEEVLNDEDETLKKLKACGEEIYEAVTEALNEMDDYNRSGRSVVPELWNYKEGRKATVLECVEYLGKKVKEQSRKKRKNNPSSV >KQK98018 pep chromosome:Setaria_italica_v2.0:VII:24515109:24516992:-1 gene:SETIT_010822mg transcript:KQK98018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEPLGWGRQEVDGWRKGPWTSQEDKLLVEHVRQHGEGRWNSVSKVTGLKRSGKSCRLRWVNYLRPDLKRGKITPQEESIIVQLHALWGNRWSTIARSLPGRTDNEIKNYWRTHFKKGKPSKNIERARARFLKQRQEMQSQKQQQLLQMGHVVAKDENEDGGARTVTAADDDDRGSAVIDDACAAPAVAEAAAGGHHHEDLIMHDAMDFMCPMSCALLLHCAVQGGGTGSCCGSTASDEYGSSEEDGATWGSLWNLDGVVDDAAGGGPCTLW >KQK97740 pep chromosome:Setaria_italica_v2.0:VII:23001844:23005342:1 gene:SETIT_011896mg transcript:KQK97740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRTNKHPSRVVVRADVRLLLVTFIVVATAATACPTDQASALLRLGRSFQQPGLNLPSWRARTDCCRWEGVSCDAASGRVTALDLGGHGLRSRAGLDGDSLFRIVTLRRLSLAGNDFGGASLPAAGFERLAELTHLNLSNAGFAGQVPVGIGSLRKLVSLDLSSVDDQLTSLTPLEFKEPSFRAVMANLTSLRELHLDGVSMSTASAGDWCAVLADSTPLLRVLTMQSCNLSDTICPSFSRLRSLAVVDLSNNNQGYSDYGSVIALSGPIPEFFAEFQHLTVLQLSNNDLNGSLPRSIFRLPRLRVLDVSSNSDLAGSLPELPAGSSLEILNLKETQFSGQIPSSIGNLKHLKALDISGSNGFLDLSSSGFHIGELPAAIGRLQSLSTLRLIECGISGEIPSSFANLTRLTELNLSQNNISGPLTFCSKESFLNLRRLQLCCNSLSGPIPSFIFSLPQLEFVSLMLNNLAGPLPEFSNPSPLLQSIYLDYNQLNGSIPMSFFELMGLQTLDLSRNSFTGAVKLSFFWKLTNLSNLCLSANKLTVIVDDDHISSLSASLPQINSLGLACCNMTKIPSLLRYVLVNDLDLSCNQIGGSIPRWIWGGQVENVDVFKFNLSRNKFTDIDLALDNASIYYLDLSFNKIQGHIPIPMSPQFLDYSNNLFSSIPHYLMERVSSTFFLNLANNTLHGGIPPTLCNASNLQFLDISYNYFSGHVPSCLVDGHLIILKMRQNQLEGTLPDDIKGSCVSQTIDFNGNQIEGELPRKLSNCNNLEVFDVGNNNFSGSFPSWMMKLPQLKVLVLRSNRFSGAVGEIPVESDQNRTSFLSLQIIDLASNNFSGTLDSRWFEKLKAMMVTSRSDAPVALENNLSGKFYRDTVAVTYKGTSIMVSKILVAFTVIDFSDNAFTGTIPASIAGLVSLRGLNLSDNAFTGTIPPQFSGLRQLESLDLSSNQLEGQIPEALTSLTSLAWLNVSYNQLEGSVPQGGQFLTFTNASFEGNAGLCGKPLSKQCNGSDTGTPSSEHERSSEDTIVMFCLAGSGYGLGFAVAILFQ >KQK96737 pep chromosome:Setaria_italica_v2.0:VII:14734921:14735400:-1 gene:SETIT_012987mg transcript:KQK96737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRSIQVNNNSKMGGHTTEQKICMIKNKFS >KQK97744 pep chromosome:Setaria_italica_v2.0:VII:23022644:23024651:-1 gene:SETIT_011646mg transcript:KQK97744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPQALELVARVLLLLAIGLLLKRVLLSLSPPFKRSGAPPFPRPRELPIIGNLHQLGALPQASLAALAAEHEAPLMLLRLGSVPALVVSSADAARAVFQRGNDRALSGRPALYAATRLSYGLQNISFAPPDGAFWRAARRACLSELLGAPRVRGFRFRGVREAEAAALVAAIADESSDGSAVNLSGMLVATTNRIVRRRFLGAFFVADYIPWLGWLDALRGLRKRLERNFHDLDAFYEKVIEEHIHKGTITFGSRSQLIKGILTDMFVAGTDTSSATVEWTMTEIVRHPDVLAKAQHEVRSVAGGRDTILESDLPRLHYLKLVIRESLRLHPPAPLLEPRETTEPCTVHGYVLPAKTRVLINAKATGTDPDAARFVPERHDGDGADLNGHKPWHDGFALVPFGLGRRSCPGVQFATAVVELLLAILLLRFDWRAPHGEVGDLEEENALTVHRKNPLVLVAERRRAVASDSQA >KQK96831 pep chromosome:Setaria_italica_v2.0:VII:16125488:16138110:-1 gene:SETIT_012164mg transcript:KQK96831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFVYINDDLRRQSYCDNRISNTKYNLWNFFPKNLWEQFRRFMNQYFLLIACLQLWSRITPVSPATTWGPLIIIFIVSASKEAWDDYNRYLSDKKANEREVWLVKDGIRRQIKAQEIHVGDIVWLHENDEIPCDLVLIGTSDPQGICYVETSALDGETDLKTRIVPSISANLSVEQLEKVKGVVECPNPDNDIRRFDANMRLFLPTIDNEKCPLTINNTLLQSCYLRYTEWACGVAVYTGNETKSGMSRGTAEPKLTAADAMIDKLTIAIFMFQIVVVLLMGYFGNIWKDTQGLKQWYLMYPVEVPWYDFLVIPLRFELLCSIMIPISIKVTLDLAKGVYAKFIDWDEQMFDWETNTPAHSANTAISEDLGQVEYILSDKTGTLTENRMIFKRCCISNTMYGNDNGDALQDARLQNAVSSNDPDVIKFLMVMALCNTVVPIKSDDDTVSYKAQSQDEEALVNAALNLNMVLISKDSSTAEVCFNGSKFRYELLEILEFTSDRKRMSVVVKEGQTGKILLLSKGADEAILPRAYPGQQIQRYLEAVEMYSQLGLRTLCLGWRDLEEDEYKEWSKNFQEASCSLDNRESKIAEVCHSLERDLHILGVTAIEDRLQDGVPETIKLLRNAGINVWMLTGDKQNTAIQIGLLCNLISSEPNSQLLSISGKTEEDILRSLERALLITKNTCETKDLAFVLDGWALEIILKHSKESFTRLAMLSRTAICCRMTPLQKAQLVAILKSVGYLTLAIGDGGNDVRMIQEANIGVGISGREGLQAARAADYSIGKFKFLKRLILVHGRYSYNRTAFISQYSFYKSLLICFIQILFAFFSGLSGTSLFNSVSLMAYNVFYTSLPVMTIIFDKDISEATALQYPQILLHSQAGRLLNPTTFCGWFGRSLYHALVVFFITICAYADEKSEMQELSMVALSGCIWLQAFVVTTDTNSFTYPQIALIWGNFVAFYMINLILSAIPSLEMYTIMFRLCGQPSYWITMALTVSVAMGPVMAFRYFRNLYWPSAINILQQIEQSNGSTQPSRNVESAVKSARTNLTNVLAGLHRNRGCNYQPLLSDSTETTR >KQK96741 pep chromosome:Setaria_italica_v2.0:VII:14815463:14817119:1 gene:SETIT_010477mg transcript:KQK96741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPVATVPRMKLGSQGLEVSAQGLGCMGMTAYYGPPKPETDMIALIRHAVAAGVTLLDTADSYGPHSNEILLGKALQGGLREKVDLATKFGVSFADGDREPDFRGDPAYVRAACEGSLRRLGVGCIDLYYQHRIDTRVPIEVTIGEMRKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVELEWSLWTRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGAKLMDSLSEQDIRKNWPRFQPDNLDKNKQIFERVSEMAKRKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVGALSVKLTPEEMSELESYASADNVQGDRDPQAAYTWKNSETPPLSSWKAE >KQK97012 pep chromosome:Setaria_italica_v2.0:VII:18216564:18220782:1 gene:SETIT_010722mg transcript:KQK97012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKSKVKKEMGAPRKGGLKFAPKVPVKKAAKIVPKKEPVEDSKDETVDKELLMKLKASQITDPFARRVKTEDKPKSRTQVAFGQGNSSYARSFSMPKRSKDEEKLTKEYAEPWDYNHTDYPVTLPLRRPYSGNPEILDEKEFGESSASRAQDAKLTAAEELGLIDRSDESQLLFIQLPSSLPLPLQPQSVAEPNKGSEERRQGMKPSSHCGSKLKDLPGGYMGKILVYKSGKVKMKVGDTLFDVSSGSNCKFVQEVAAMDTREKHCCAVGEINKRAVITPDIDYLLGSIDKMEE >KQK97013 pep chromosome:Setaria_italica_v2.0:VII:18219206:18220782:1 gene:SETIT_010722mg transcript:KQK97013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCSVCIAKSRTQVAFGQGNSSYARSFSMPKRSKDEEKLTKEYAEPWDYNHTDYPVTLPLRRPYSGNPEILDEKEFGESSASRAQDAKLTAAEELGLIDRSDESQLLFIQLPSSLPLPLQPQSVAEPNKGSEERRQGMKPSSHCGSKLKDLPGGYMGKILVYKSGKVKMKVGDTLFDVSSGSNCKFVQEVAAMDTREKHCCAVGEINKRAVITPDIDYLLGSIDKMEE >KQK97011 pep chromosome:Setaria_italica_v2.0:VII:18218187:18220782:1 gene:SETIT_010722mg transcript:KQK97011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLKASQITDPFARRVKTEDKPKSRTQVAFGQGNSSYARSFSMPKRSKDEEKLTKEYAEPWDYNHTDYPVTLPLRRPYSGNPEILDEKEFGESSASRAQDAKLTAAEELGLIDRSDESQLLFIQLPSSLPLPLQPQSVAEPNKGSEERRQGMKPSSHCGSKLKDLPGGYMGKILVYKSGKVKMKVGDTLFDVSSGSNCKFVQEVAAMDTREKHCCAVGEINKRAVITPDIDYLLGSIDKMEE >KQK97010 pep chromosome:Setaria_italica_v2.0:VII:18216564:18220782:1 gene:SETIT_010722mg transcript:KQK97010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKSKVKKEMGAPRKGGLKFAPKVPVKKAAKIVPKKEPVEDSKDETVDKELLMKLKASQITDPFARRVKTEDKPKSRTQVAFGQGNSSYARSFSMPKRSKDEEKLTKEYAEPWDYNHTDYPVTLPLRRPYSGNPEILDEKEFGESSASRAQDAKLTAAEELGLIDRSDESQLLFIQLPSSLPLPLQPQSVAEPNKGSEERRQGMKPSSHCGSKLKDLPGGYMGKILVYKSGKVKMKVGDTLFDVSSGSNCKFVQEVAAMDTREKHCCAVGEINKRAVITPDIDYLLGSIDKMEE >KQK97230 pep chromosome:Setaria_italica_v2.0:VII:19766818:19767105:-1 gene:SETIT_011798mg transcript:KQK97230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLPVLARASPRALAAKLLPGFERLAAVQGPAAGGRGTQQLPRVPAEGTRAAVRPLAAAQLRRPREPAGEEEAVKVKQLQAGQPTGGHRIGACRGR >KQK97926 pep chromosome:Setaria_italica_v2.0:VII:23991667:23994257:1 gene:SETIT_009792mg transcript:KQK97926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESQEDRALRLQEPAGGGCGVTIGKSCVAFPCRWLRRLSRELHWSFVLAVVAVYGACQGVGNAVGGVAAGYYWKDVQRVQPSAAQFYQGVTDAPWVVKPLWGLLTDVIPVAGYRRRPYFVLAGVVGVSSMLMLSMHRGLGIMSALLALTAQSAGAAIADVTVDAVVAQNSITHEALASDMQSLCGFSSSLGALLGFSISGLLVHSMGSQAALGLLSIPSALVLSAGILLKENRAAEVDYKQVHKKFYKAIRCMGATLKCPEVWQPCIYMFVSHNLSLDIQGGMFYWYTDPVVGPGFSEGSIGLIYAIGSVGSLLGVLLYQTTLKDYPFRSILLWGQVLSSLAGMLDLVLVTRLNLKIGIPDYFFAMIDNSISQMVGRLKWLPLLVLCSKLCSPGIEGTFYALLMSLQNAGLLMSAWWGGLLLHKLNVTRMEFSNLWIAVLIRNISRLVPLTLLFLVPQSDQNSTLLPAEMLQDGESTEAVKAGSVEFSVLVQDDSGCISPNVAAEDERTKMFDAETDDVESTPLVNKSDPTTDS >KQK97289 pep chromosome:Setaria_italica_v2.0:VII:20298676:20299203:1 gene:SETIT_011561mg transcript:KQK97289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPPPAASLERPRARREEAGRRDGERLWDALEWDGLAAGHHPDLFEPPVHSRYYRRSRLLRGTVLR >KQK98230 pep chromosome:Setaria_italica_v2.0:VII:25756006:25757733:1 gene:SETIT_010664mg transcript:KQK98230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTISNPPNTRIAVVTGGNKGIGLEVCRQLAASGVTVVLTARDETRGAAAVEKLREQGLSDVIFHQLEVTDASSIARLAEFLKTRFGRLDILVNNAAISGVELVDDPSFGPKPVGEQFNGMDWHQRIGWMYKNSRETYSTAKEGLRTNYYGTKHVTEALLPLLQSSYDGRIVNVSSGFGLLRYFRSEELKQELNDADNLTEERLDELLDMFLKDFGAGALDARGWPGELASYKVAKAAVNAYSRIMARRHPRLRVNCAHPGYVKTDMTRNSGLLTPEEGANNVVKVALLPAGGPTGAFFAIGKEASFL >KQK98117 pep chromosome:Setaria_italica_v2.0:VII:25064480:25066307:-1 gene:SETIT_012027mg transcript:KQK98117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SKVSPSSRASLTGRRSFRIWARRAAAPGRRGEGEGRMSWIGRKIHLYNVTIGLYMLDWWERYLFNILMVCLFWYILRYLLGFFQSNLKTLFQDGNYLVGGST >KQK97762 pep chromosome:Setaria_italica_v2.0:VII:23122733:23124159:-1 gene:SETIT_011162mg transcript:KQK97762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKISSGTMGTSPIVVLATLVVAGLAATAAARPSAHANPIRLPTSGRPWECCDYVVRDPNFRPGRWQCNDVADACSPNCNECEASPAGDGGYVCRDWIVSLLEPPVCTPRPWDCCDVAVCTRAYIPYCWCADKVEACPGNCKECELVESDPAPRYRCVDQFHGYPGPKCTPWMISKGN >KQK97617 pep chromosome:Setaria_italica_v2.0:VII:22201879:22202475:1 gene:SETIT_012591mg transcript:KQK97617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNPYLVLRGPVRGVMFGDLVVFEVLLYVRGTTKSDDRELSLLAPCFIMELYTSRFSTLDFKLGYIVSSVEATISVQVIFGLSPDGFYGRFTATSAGINEEFVLLDSKDEKVSISGGEIKLSWRVGSVARQGVRVFLGGMDFTPQEMGTSFQALDVGFCKMKVTVDWSLLSYSRT >KQK97805 pep chromosome:Setaria_italica_v2.0:VII:23349187:23350800:1 gene:SETIT_012200mg transcript:KQK97805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSLPSSLQYCRAATTLDLSQNAIEGSIPPALCDWVPFLVNLDLSSNKLTGPLPAELANCRFLNSLKLAGNQLSGQIPASLARLDRLKSLDLSGNRLSGQIPPQLGANFSKDAFSGNSGLCGHPVSSRCGRGLRSLGGAGLGIVIAAGVFGAAASLLLAYFFWRYTEKGKGGHRRQRRGGSESGGAAVEDGSWWAERLRAAHNRLAPVSLFQKPIVKVKLADLMAATQDFSTSHIVVAGSSRAGTAYRAVLRDGSALTVKRLHSCPLSEKAFRAEMGRIGQLRHPNIVPLLGFCVVEDERLLVYKHMESGALSSVMKKPGEAPLDWATRLRIAVGAARGLAWLLHGFQVPQIHQNLSASAVLLDEDYEARITDVGLTRLVRMAPGEGGDTSPFLNGDFGEFGYVAPEYASNPVGTMKGDAYAFGVILFELVSGQEAAAVVTDVTGEGFKGTLVDWVNQLKASGRISDVVDKQLRGKGHDKEIDEFLKVAFACTQPRPKERYSMYRAYHSLKSIGQGRDVSEQFDEFPLAYNKEDSDTM >KQK97822 pep chromosome:Setaria_italica_v2.0:VII:23440136:23443946:1 gene:SETIT_009274mg transcript:KQK97822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRHAAAATALRAHLRRACSSHAADPDDQLLGLVEAPKTHPRSRLSAKDLAFLKEPTPPLPAAALPPPEAVLISKAIRAYATDFDGSAERFLRRYREYLTDAVVVAVLRLVRAPEICVRFFLWAERQVGYSHTSACYDELAEALSFEDRARTAERLLREIGEDDREVLGRLLNVLVRKCCRHGAWTEALEELGRLKDFGYRPLGATYNALVQVLATAGQVDMGFRVQKEMSESGFCMDKFTVGCFAQALCKEGRYSDALDMIEREDFKLDTVLCTQMISGLMEASLFDEAISFLHRMRCNSCIPNVVTYRTLLSGFLKKKQLGWCKRVINMMMKEGCNPNPSLFNSLVHSYCNAGDYLYAYKLLKRMAACSCPPGYVVYNIFIGSICGGEELPNPELLALAEKVYEEMLASSCVLNKVNTANFARCLCGVGKFDKAFQIIKVMMKKGFVPDTSTYSKVIAFLCQAMKVEKAFLLFQEMKNVGVNPDVYTYTILIDSFCKAGLIEQAQSWYDEMMSVGCSPNVVTYTALLHAYLKAKQLSQASDFFHRMVDAGCPPNAITYSALIDGLCKAGEIKKACEVYAKLIGTSGSVESEFYFEGKHTDAVAPNVVTYGALIDGLCKAHKVVDAHELLDAMSLTGCEPNHIIYDALIDGFCKVGKIDNAQEVFLRMTKCGYLPTVHTYTSLIDAMFKGKRLDLAMKVLSQMLEGSCTPNVVTYTAMIDGLCRIGESEKALKLLSMMEKKGCSPNVVTYTALIDGLGKSGKVEIGLQLFTQMSTKGCAPNYVTYRVLINHCCGAGLLDKAHSLLTEMKQTYWPKYLQGYSYAVQGFSKKFIASLGLLEEMESHGTVPIAPVYGLLIDNFSKAGRLEKALELHKEMIEVSPSLNITSKVAYASLIRALCLASHLEEAFELYSEMARKGVVPELSVFVCLIKGLVKVNKWNEALQLCYSICHEGVNWQDNNSFDGG >KQK97821 pep chromosome:Setaria_italica_v2.0:VII:23440136:23443946:1 gene:SETIT_009274mg transcript:KQK97821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRRHAAAATALRAHLRRACSSHAADPDDQLLGLVEAPKTHPRSRLSAKDLAFLKEPTPPLPAAALPPPEAVLISKAIRAYATDFDGSAERFLRRYREYLTDAVVVAVLRLVRAPEICVRFFLWAERQVGYSHTSACYDELAEALSFEDRARTAERLLREIGEDDREVLGRLLNVLVRKCCRHGAWTEALEELGRLKDFGYRPLGATYNALVQVLATAGQVDMGFRVQKEMSESGFCMDKFTVGCFAQALCKEGRYSDALDMIEREDFKLDTVLCTQMISGLMEASLFDEAISFLHRMRCNSCIPNVVTYRTLLSGFLKKKQLGWCKRVINMMMKEGCNPNPSLFNSLVHSYCNAGDYLYAYKLLKRMAACSCPPGYVVYNIFIGSICGGEELPNPELLALAEKVYEEMLASSCVLNKVNTANFARCLCGVGKFDKAFQIIKVMMKKGFVPDTSTYSKVIAFLCQAMKVEKAFLLFQEMKNVGVNPDVYTYTILIDSFCKAGLIEQAQSWYDEMMSVGCSPNVVTYTALLHAYLKAKQLSQASDFFHRMVDAGCPPNAITYSALIDGLCKAGEIKKACEVYAKLIGTSGSVESEFYFEGKHTDAVAPNVVTYGALIDGLCKAHKVVDAHELLDAMSLTGCEPNHIIYDALIDGFCKVGKIDNAQEVFLRMTKCGYLPTVHTYTSLIDAMFKGKRLDLAMKVLSQMLEGSCTPNVVTYTAMIDGLCRIGESEKALKLLSMMEKKGCSPNVVTYTALIDGLGKSGKVEIGLQLFTQMSTKGCAPNYVTYRVLINHCCGAGLLDKAHSLLTEMKQTYWPKYLQGYSYAVQGFSKKFIASLGLLEEMESHGTVPIAPVYGLLIDNFSKAGRLEKALELHKEMIEVSPSLNITSKVAYASLIRALCLASHLEEAFELYSEMARKGCKLAGQQLF >KQK99664 pep chromosome:Setaria_italica_v2.0:VII:34122524:34122999:1 gene:SETIT_012210mg transcript:KQK99664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPACQQQLAVVLLMLALFLAAPSVISAVTCGQVAGYLNPCISYAMGHGSAPPEACCSGVRNLNAAARSTADRQAACKCLKQITGSMPALKPDIVAGIPSKCGVDIPYPIRPSTDCAKVQ >KQK99286 pep chromosome:Setaria_italica_v2.0:VII:32139433:32141428:1 gene:SETIT_012151mg transcript:KQK99286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWRGGSLPRWRGDSPCKLWHFLSTFLLPDAAGNTMSWMILPILGQHWDNITLYSWGSAVLAWLYRQLCEACRRTARDSNVGGCTYMLQIWIWERMPVGRPSRLRIDPWHRDDAHPIFYHVWKHVRPVRGNPDRRYRAYTNEFDVVTQHQIEWKPYDREQLRQIVFSPTIDRRKRYKENDWRVKHGQYLVITKVKPSRSNVPIEDAPSDSDVDIADAYDTVTRYGTQPERAPLHDNMGQQFARLSNEAGVVMEHAVGSGDGLLRQFAERVRKSCRRMAMRMNCMSSSDVHHGGNGQGTSSGSRRTPLATPPGLQHRPLLQVLAGDHEARNPHPLRQARTLKVSSLRMTTLHMVRNWRFPA >KQK97877 pep chromosome:Setaria_italica_v2.0:VII:23714644:23719331:-1 gene:SETIT_011224mg transcript:KQK97877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMAEVANHSKRNHTDSYFSGKAVVTTSSEEFGSMISKKPRNTSPRTSPVSPKEKKDRIGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLSSPYMRAPPAAGAAPEDPEHYSLRNRGLCLVPVDLTLQLTQSNGADLWAPANTTRRR >KQK97878 pep chromosome:Setaria_italica_v2.0:VII:23715330:23716168:-1 gene:SETIT_011224mg transcript:KQK97878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMAEVANHSKRNHTDSYFSGKAVVTTSSEEFGSMISKKPRNTSPRTSPVSPKEKKDRIGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLSSPYMRAPPAAGAAPEDPEHYSLRNRGLCLVPVDLTLQLTQSNGADLWAPANTTRRR >KQK97879 pep chromosome:Setaria_italica_v2.0:VII:23714644:23719331:-1 gene:SETIT_011224mg transcript:KQK97879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMAEVANHSKRNHTDSYFSGKAVVTTSSEEFGSMISKKPRNTSPRTSPVSPKEKKDRIGERVAALQQLVSPFGKTDTASVLQEASGYIKFLHQQLEVLSSPYMRAPPAAGAAPEDPEHYSLRNRGLCLVPVDLTLQLTQSNGADLWAPANTTRRR >KQK96729 pep chromosome:Setaria_italica_v2.0:VII:14650766:14655252:-1 gene:SETIT_009931mg transcript:KQK96729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPFFFLLLLVVAVASLLCPPAAAAPEEHLVTGLPGFHGANFPSKHYAGYVTVDEASERSLFYYLALSERDPAADPVVLWLNGGPGCSSFDGFVYGNGPFNFEPGTKPGGLPKLRLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHKFLLKWFELYPEFQSNPFYISGESYAGVYIPTITDEVVKGIQKGVKPRINFKGYLIGNPGTDVDYDFNSFVPFAHGMGLISNDMYEDVKATCHGTFWGNVDSKCQEKIDSVHWELKDLNKYNILEPCYHRPDIQEVEFANSSLPLSFRRLGETDRPLPVRKRMAGRSWPLRLALKDGHVPMWPGLGGRSLPCTNDEIATTWLDDEGVRAAIHAKSKSLIGSWELYTARIDFTHDTGTMVLYHKKFTALGYRVLIYSGDHDLCIPYPGTEAWVKSIGYQTVDRWRPWYFGGQVAGYTQGYDHNLTFLTIKGAGHAVPEYKPKEAFAFYSRWLAGEKF >KQK96730 pep chromosome:Setaria_italica_v2.0:VII:14652348:14655252:-1 gene:SETIT_009931mg transcript:KQK96730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPFFFLLLLVVAVASLLCPPAAAAPEEHLVTGLPGFHGANFPSKHYAGYVTVDEASERSLFYYLALSERDPAADPVVLWLNGGPGCSSFDGFVYGNGPFNFEPGTKPGGLPKLRLNPYSWSKVSNIMYLDSPAGVGMSYSLNKSDYTTGDLKTAADAHKFLLKWFELYPEFQSNPFYISGESYAGVYIPTITDEVVKGIQKGVKPRINFKGYLIGNPGTDVDYDFNSFVPFAHGMGLISNDMYEDVKATCHGTFWGNVDSKCQEKIDSVHWELKDLNKYNILEPCYHRPDIQEVEFANSSLPLSFRRLGETDRPLPVRKRMAGRSWPLRLALKDGHVPMWPGLGGRSLPCTNDEIATTWLDDEGVRAAIHAKSPNWIMGTVYRKNRLHSRYWNNGALSQEIHSLGISRSNLQVQSQLIQSFGNVKYE >KQK99474 pep chromosome:Setaria_italica_v2.0:VII:33045161:33048766:-1 gene:SETIT_010652mg transcript:KQK99474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHHLLRRGVSGGFPLHPLRGLLASQELGRRPLSSAAGDAAAELRGAREDVKQLLKTTSCHPILVRLGWHDAGTYDKNIAEWPKCGGANGSLRFEIELKHGANAGLVNALKLIQPIKDKFSGVTYADLFQLASATAIEEAGGPKIPMIYGRVDITAPEQCPPEGRLPAAGPPSPAEHLREVFYRMGLNDKEIVALSGAHTLGRARPERSGWGKPETKYTKNGPGAPGGQSWTSQWLKFDNSYFKDVKERRDEDLLVLPTDAVLFEDSSFKVYAEKYAEDQDAFFKDYAEAHAKLSNLGAKFDPPKGISLE >KQK97468 pep chromosome:Setaria_italica_v2.0:VII:21369073:21370420:1 gene:SETIT_012276mg transcript:KQK97468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADTPSPSPRAAAVASAEAGSVSTPLLRRRGSYMRSMSHARDELRSFRSCLRWLCVDHSDDSSPAVSWLVFAALAVAVPVAARVALPWRAYGTQVQASLTLSATLAYATLYSLVRRRGLRRLLYLDRLRHDSQDVRAGYIVELAGSFRLLACFVLPCSLADAAYKVFWYCTNRPFPLWWSAAACALEVASWMYRTAIFFMACVLFRTICFLQILRMTGFARDVGQCADVAAVLRQHRRIRAQLRRISHRYRTFILYGLIIVTISQFTALLAATRPRAQVNLATASELALCSLSLVTGLLVCLYSAAKITHKTQSITSIAAAWHADATINSLDRDQENPRTPSKAYLQQQHAFSPSKAYLQQHAPSSPFSAASASSGEESDDDDESRSEDSVDTSRFAYFHVTNISYQKRQAL >KQK99652 pep chromosome:Setaria_italica_v2.0:VII:34072181:34074727:-1 gene:SETIT_010810mg transcript:KQK99652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSSQPEFSPSQFTSSQNAAADSTTPSKMRGASSTMPLTVKQIADAQQSGTGEKGAPFVVDGVEIANIRLVGMVNGKAERTTDVSFTLDDGTGRLDFIRWVNDASDSSETAAVQNGMYVSVIGSLKGLQERKRTTAFSIRPITDFNEVTLHFIQCVWMHIENTKLKVGSPARTSSSMGASASNGFGASSTPTSLKSNPAPVTSGASGSDETDLNTQVLNIFNEPANIESEHGVHIDEIIKRFKLPESKIREAIVYNADVGHIYSTIDDFHYKAA >KQK96132 pep chromosome:Setaria_italica_v2.0:VII:779186:783969:-1 gene:SETIT_010949mg transcript:KQK96132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSTWFRYAAHKFEYSISLSWKKYNVGQINSRELTDAIWKNFFQGKLTFTHWTKGGEAMTPIVSPTGGTLLVRKLANLSPTQVFVGDVVLLKDPEKSDDLIIRRLAALEGYEMVSNDEKDEPFVLEKDQCWVLADNQALKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVENSGMAMNQDAPVLAVELDVEEMAKNNKT >KQK96133 pep chromosome:Setaria_italica_v2.0:VII:779262:783969:-1 gene:SETIT_010949mg transcript:KQK96133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLSTWFRYAAHKFEYSISLSWKKYNVGQINSRELTDAIWKNFFQGKLTFTHWTKGGEAMTPIVSPTGGTLLVRKLANLSPTQVFVGDVVLLKDPEKSDDLIIRRLAALEGYEMVSNDEKDEPFVLEKDQCWVLADNQALKPKEARDSRLFGPVPMTDILGRVIYSLRTAVDHGPVENRSLPTLYSTLTLISFNTSTTRGTKSLLLLSPCNSGMAMNQDAPVLAVELDVEEMAKNNKT >KQK98449 pep chromosome:Setaria_italica_v2.0:VII:27078210:27082135:1 gene:SETIT_009438mg transcript:KQK98449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAAADEPLLPLKYPQARRDDGIVDDYHGVPVPDPYRWMEQLDSEEVKEFVSAQAAVADAVLSTCDHRGRLRGQLTSLFDHPRFRAPFKRGGSYFYFHNPGLRPHSALYVQHGLGGDSAVLLDPNAFSDDGTVSLGMVGVSDAGDHLAYGTSASGSDWVTIRVMRVRDREHLPDTLSWVKFSRIAWTRDGLGFFYSRFPAPRDGEALESGIKTDVNLNHEVYYHFLGTDQSQDVLCWRDPDHPKYIYIPEVTEDGKYVILSVSETSEPVNKLYYCDLSALAHGLEGMRGTHGMLPFVKLVDKFEAYYGLIANDGTEFTFLTNKDAPRYKLSRVDVDESGSWADVLPEDEKAVLESACAVHGGKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGTVNGISGRRVDSEVFIEFASFLTPGIIYRCDVSTEVPEMSVYREISVPGFDRNEFEAKQVFYPSKDGTKIPMFIVSKKNLILNGSHPALLFGYGGFGMSMTPQFSVTRVVLMRNLGFVTCVANIRGGGEYGEGWHRAGSLANKQNCFDDFIAAGEFLVAAGYTNPTRLCIEGGSNGGLLVAACLNQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSENEEEFHWLIKYV >KQK98452 pep chromosome:Setaria_italica_v2.0:VII:27078129:27083379:1 gene:SETIT_009438mg transcript:KQK98452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAAADEPLLPLKYPQARRDDGIVDDYHGVPVPDPYRWMEQLDSEEVKEFVSAQAAVADAVLSTCDHRGRLRGQLTSLFDHPRFRAPFKRGGSYFYFHNPGLRPHSALYVQHGLGGDSAVLLDPNAFSDDGTVSLGMVGVSDAGDHLAYGTSASGSDWVTIRVMRVRDREHLPDTLSWVKFSRIAWTRDGLGFFYSRFPAPRDGEALESGIKTDVNLNHEVYYHFLGTDQSQDVLCWRDPDHPKYIYIPEVTEDGKYVILSVSETSEPVNKLYYCDLSALAHGLEGMRGTHGMLPFVKLVDKFEAYYGLIANDGTEFTFLTNKDAPRYKLSRVDVDESGSWADVLPEDEKAVLESACAVHGGKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGTVNGISGRRVDSEVFIEFASFLTPGIIYRCDVSTEVPEMSVYREISVPGFDRNEFEAKQVFYPSKDGTKIPMFIVSKKNLILNGSHPALLFGYGGFGMSMTPQFSVTRVVLMRNLGFVTCVANIRGGGEYGEGWHRAGSLANKQNCFDDFIAAGEFLVAAGYTNPTRLCIEGGSNGGLLVAACLNQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSENEEEFHWLIKYSPLHNVRRPWEEKGDDDPRRRVCWGGQYPPTMLLTADHDDRVVPSHTLKFLAVHAAARPARGRGGQPADEPHHRPDRAQERPRLRTLHAEDHRRGGGSVRVRCEDDGRVLDRLRASDGLTPTMRSWQAALC >KQK98450 pep chromosome:Setaria_italica_v2.0:VII:27078129:27083379:1 gene:SETIT_009438mg transcript:KQK98450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAAADEPLLPLKYPQARRDDGIVDDYHGVPVPDPYRWMEQLDSEEVKEFVSAQAAVADAVLSTCDHRGRLRGQLTSLFDHPRFRAPFKRGGSYFYFHNPGLRPHSALYVQHGLGGDSAVLLDPNAFSDDGTVSLGMVGVSDAGDHLAYGTSASGSDWVTIRVMRVRDREHLPDTLSWVKFSRIAWTRDGLGFFYSRFPAPRDGEALESGIKTDVNLNHEVYYHFLGTDQSQDVLCWRDPDHPKYIYIPEVTEDGKYVILSVSETSEPVNKLYYCDLSALAHGLEGMRGTHGMLPFVKLVDKFEAYYGLIANDGTEFTFLTNKDAPRYKLSRVDVDESGSWADVLPEDEKAVLESACAVHGGKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGTVNGISGRRVDSEVFIEFASFLTPGIIYRCDVSTEVPEMSVYREISVPGFDRNEFEAKQVFYPSKDGTKIPMFIVSKKNLILNGSHPALLFGYGGFGMSMTPQFSVTRVVLMRNLGFVTCVANIRGGGEYGEGWHRAGSLANKQNCFDDFIAAGEFLVAAGYTNPTRLCIEGGSNGGLLVAACLNQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSENEEEFHWLIKYSPLHNVRRPWEEKGDDDPRRRVCWGGQYPPTMLLTADHDDRVVPSHTLKFLATLQHVLRAGAEGSPQTNPIIGRIERKSGHGCGRSTQKIIDEAADRYAFAAKMMGVSWID >KQK98451 pep chromosome:Setaria_italica_v2.0:VII:27078129:27083379:1 gene:SETIT_009438mg transcript:KQK98451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLAAADEPLLPLKYPQARRDDGIVDDYHGVPVPDPYRWMEQLDSEEVKEFVSAQAAVADAVLSTCDHRGRLRGQLTSLFDHPRFRAPFKRGGSYFYFHNPGLRPHSALYVQHGLGGDSAVLLDPNAFSDDGTVSLGMVGVSDAGDHLAYGTSASGSDWVTIRVMRVRDREHLPDTLSWVKFSRIAWTRDGLGFFYSRFPAPRDGEALESGIKTDVNLNHEVYYHFLGTDQSQDVLCWRDPDHPKYIYIPEVTEDGKYVILSVSETSEPVNKLYYCDLSALAHGLEGMRGTHGMLPFVKLVDKFEAYYGLIANDGTEFTFLTNKDAPRYKLSRVDVDESGSWADVLPEDEKAVLESACAVHGGKLLVNYLSDVKYVLQMRSLVTGELLHDIPIDIGTVNGISGRRVDSEVFIEFASFLTPGIIYRCDVSTEVPEMSVYREISVPGFDRNEFEAKQVFYPSKDGTKIPMFIVSKKNLILNGSHPALLFGYGGFGMSMTPQFSVTRVVLMRNLGFVTCVANIRGGGEYGEGWHRAGSLANKQNCFDDFIAAGEFLVAAGYTNPTRLCIEGGSNGGLLVAACLNQRPDLFGCALAHVGVMDMLRFHKFTIGRAWTCDFGCSENEEEFHWLIKYSPLHNVRRPWEEKGDDDPRRRVCWGGQYPPTMLLTADHDDRVVPSHTLKFLATLQHVLRAGAEGSPQTNPIIGRIERKSGHGCGRSTQKIVCSASLERLCNGATPDLVLAPIPYLT >KQK97863 pep chromosome:Setaria_italica_v2.0:VII:23611771:23620103:1 gene:SETIT_009674mg transcript:KQK97863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDDMHDANDSADDDFYSGGEAGLAASDDGDADYDFADRDSDDSGELLSHRQQQNYSILSEVDIKQCQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEERVRKVVGLPEKHMELPTDREVTCGICFESCPRGTMSAAACGHPFCSTCWRGYISTAINDGPGCLMLRCPDPSCAAAVGQEMINALADDEDKEKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYESARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLQSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGGKSKSGKNRASSTSSKTGGSNRGVDDSNIWTCDQCTYANPKSARACQACDRQHR >KQK97864 pep chromosome:Setaria_italica_v2.0:VII:23611771:23620103:1 gene:SETIT_009674mg transcript:KQK97864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSEDDMHDANDSADDDFYSGGEAGLAASDDGDADYDFADRDSDDSGELLSHRQQQNYSILSEVDIKQCQEDDINRVSTVLSISKSEACVLLRNYNWSVSKVHDEWFADEERVRKVVGLPEKHMELPTDREVTCGICFESCPRGTMSAAACGHPFCSTCWRGYISTAINDGPGCLMLRCPDPSCAAAVGQEMINALADDEDKEKYGRYLRRSYIEDNRKTKWCPAPGCEYAVEFVMGSGSYDVNCNCSYGFCWNCTEEAHRPVDCATVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWSEHGERTGGFYACNRYESARQEGAYDESERRREMAKNSLERYTHYYERWAANQSSRQKALGDLQSLQNDKLEKLSDIQSQPESQLKFIIEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQVYLEAESPSKDFNDFRTKLAGLTSVTRNYFENLVRALETGLNDVGPSTSHGTCSKSATSKSLGGKSKSGKNRASSTSSKTGGSNRGVDDSNIWTCDQCTYANPKSARACQACDRQHR >KQK97194 pep chromosome:Setaria_italica_v2.0:VII:19544108:19545678:-1 gene:SETIT_0120592mg transcript:KQK97194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HADAVLGLDQVLIVQPRNDRDDIELIPFFLTQFTKGQVHPMLQIVPTRFPYLVEGKPEDGDEDARAAQLVWKIIDGDVGKPFVSSGLEFVPLPGYICLGFLFGRRARVVYLSDLSRFVPSTEHGISKSGAGQLDLLILEANILHEVCLDAIKRICPRRALLTGMNHEFEHHRENHILAEWSC >KQK98593 pep chromosome:Setaria_italica_v2.0:VII:28163543:28164772:-1 gene:SETIT_011823mg transcript:KQK98593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFASSSSRFSKEEDEEEEQEPEEEEEDEEASPREIPFMTAAAAASSTGGGAASSSSSPTAAASASASGSAALRSSDGAGASGSGGGGDDVEVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDAAANEKGLLLSFEDRAGKLWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDTVSFCRGAGDAARDRLFIDWKRRADSRDPHRMPRLPLPMAPVASPYGPWGGGAGGFFMPPAPPATLYEHHRFRQGLDFRNINAAAPARQLLFFGSAGMPPRASMPPPPPPPPLHNIMMVQPSPAVTSGLPMVLDSVPLVNSPTAAAKRVRLFGVNLDNPQPSTGESSQDTDALSLRMPGWQRPGPLRFLETPQHGGAVAAGAESSAASSPSSSSSSKREAHSSLDLDL >KQK99463 pep chromosome:Setaria_italica_v2.0:VII:33008846:33010873:-1 gene:SETIT_009997mg transcript:KQK99463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHNGTNHVAPMEVSVEAGNAGAAEWLDDDGRPRRTGTFWTASSHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVVFCGVIQYANLVGVAIGYTIASSISMRAIRRADCFHTNGHADPCKSSSTPYMILFGVVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTISNGGFKGSLTGVSIGADVTSTQKIWHSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTIFYMLCGCMGYAAFGDNAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRAAAAWPDSAFISKEVRVGPFALSVFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQMLSVGCLIVSIAAAAGSIADVIAALKVYRPFSG >KQK96845 pep chromosome:Setaria_italica_v2.0:VII:16424929:16431390:-1 gene:SETIT_009311mg transcript:KQK96845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVSVVLGSQAESNGTVSTIPTLSGCQTSCGDLTFSYPFGIGPRCSRGGDFELTCNESAQPPTLLLHDGITQVAYNIVTVDAGYLYSSSPHIQINFPNSTIPVSYGVHTYNMSWSSPGNSFTISYISMNITGCDFDIYYSDRYMNRTSPVCTLKCPTSDITDMAARQNCNGTGCCTFRWETSVRAFNLTFVRHRESSPEVNYNRSSLWDYINVTTVDADLSWNIVDQPNCASAKENNAKFACVGKNSQCIDPYDFEYIGYYCKCNSGYVGNPYLKSGCSRDRGYNPAAQQKANCSRVCGNITVPFPFGIEEGCFGREQFFLNCTNVTTSTLQLDEYYLVTEININEGLIKYTIPDDDEGSVRYFSDDGPGIFVSSGGSVSLKWVVANLTCQEAQANSSGYACVSANSNCIPVNSTSDYVGYRCNCSYGYHGNPYLHSGCEDVNECIQPNRCQGTCHNTLGSFYCTPCPEKTVYDPTKLQCSSMKQQNALLGVIIGMCSGFTVLLLSVGGIYLTRQWKRNIQKRLRRMYFRRNQGLLLEQLILSDENASEKTKIFSLEELEKTTNNFDSTRILGRGGHGTVYKGILSDQRVVAIKRSKIIEEGEINQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLYDFLHGGSSNELVLSWDGSLRIAAEAAGALSYLHSAASVSVFHRDVKSSNILLDSNYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLLELLVRKEPILTSESGTKQNLSNYFMWEMKNRPITEIVAPQVLEEASEEEINCVASLVEMCVRLQSDQRPTMKQVEMALQFLRTKRAESNQPAAGKDEERQPLLMKGDINSYQLSGIDFGKKSELSSSQGSKKFYSLEQEFLSTAGLPR >KQK98705 pep chromosome:Setaria_italica_v2.0:VII:28731469:28735989:1 gene:SETIT_009586mg transcript:KQK98705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKSSKVRGAAAPRPHLKAGPPNRSPAAAVAEAEGLQVPGDGASAGAEALELLHIDPASDGDPPPPPPSEAEPEPEALAPSQAPPEEASSSGRSAAGGSLDEEAVRKLHELAEAGGEEVALTEEEVHANDQRQEDEICALEAIFEDAVVILDRKGGQRCFQVHVHIEIPDAIDVSTRLSYGDGTLKYGAASDAGADADDLVYKFRVEHLPPILLTCLLPASYPSHRPPFFTISTYWLDKGMISSLCRMLDMLWEEQLGMEVTYQWVQWLQSSTLSHLGFGEEIVLSKNDVTCDADKRACLDNGSPNVIIPRMMRYNDNKHHEAFLRAIHDCMICFSECPGVDFIKLPCHHFFCCKCMQTYCKMNVKEGNVVKLLCPDTKCESAVPPNVLKRLLGEDEFERWEGLLLQRTLDAMSDVVYCPRCQTACLEDVVGNEAVCSSCLFSFCTLCRNRRHIGEQCMSPAEKLLILEKRQESGQVQADQQRIVEELKSLKEIMKDAKQCPKCKMAISKTEGCNKMHCWNCGEYFCYQCNRAITGYEHFKGSCALFPQEEIDRWEVQMNPRVRRQFVAQAHAEMHVQHGQAHLCPTCRQPSPKVGNNNHLFCWACQKHFCALCHKPVPKTAQHYGPKGCKQHTSDP >KQK98704 pep chromosome:Setaria_italica_v2.0:VII:28731469:28735145:1 gene:SETIT_009586mg transcript:KQK98704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKSSKVRGAAAPRPHLKAGPPNRSPAAAVAEAEGLQVPGDGASAGAEALELLHIDPASDGDPPPPPPSEAEPEPEALAPSQAPPEEASSSGRSAAGGSLDEEAVRKLHELAEAGGEEVALTEEEVHANDQRQEDEICALEAIFEDAVVILDRKGGQRCFQVHVHIEIPDAIDVSTRLSYGDGTLKYGAASDAGADADDLVYKFRVEHLPPILLTCLLPASYPSHRPPFFTISTYWLDKGMISSLCRMLDMLWEEQLGMEVTYQWVQWLQSSTLSHLGFGEEIVLSKNDVTCDADKRACLDNGSPNVIIPRMMRYNDNKHHEAFLRAIHDCMICFSECPGVDFIKLPCHHFFCCKCMQTYCKMNVKEGNVVKLLCPDTKCESAVPPNVLKRLLGEDEFERWEGLLLQRTLDAMSDVVYCPRCQTACLEDVVGNEAVCSSCLFSFCTLCRNRRHIGEQCMSPAEKLLILEKRQESGQVQADQQRIVEELKSLKEIMKDAKQCPKCKMAISKTEGCNKMHCWNCGEYFCYQCNRAITGYEHFKGSCALFPQEEIDRWEVQMNPRVRRQFVAQAHAEMHVQHGQAHLCPTCRQPSPKVSS >KQK98515 pep chromosome:Setaria_italica_v2.0:VII:27634468:27636912:-1 gene:SETIT_009940mg transcript:KQK98515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAYVRLSGAAAFAALALLLLYAVHRWRNPRCSGRLPPGSMGLPLVGETLHFFSPDDDDSFDVPRFVRHRLARYGPIFKTSLVGHPVVVSADEELNHMVFQQEGQLFQSWYPDSFVEILGRDNVGEQQGAMFKYLKNMVLRYFGPESLRESTMLRDVEGAVTSSLCTWSTLPAVELKEAVSTMVFDLSANKLLGLEPSRSKVLRKSFFDFVRGLISFPLYLPGTAYYSCMKGRQSAMEVLQEVLEERRRSVQYPGGAGGDDRARRRHGDFLDYVVQEITREEPLVTDKMALDLMFVLLFASFHTTSLALTLAVKLLADHPHVLEELTVEHETILNDRKPGRESDGITWMEYKSMTFTSQVINETVRLANIAPGIFRKALKDIQFKGYTIPAGWGVMVCPPAVHLNPDIYPDPLTFNPSRFKDKPEINRGSRHFMAFGGGLRFCVGADFSKLQMSIFLHFLVTRYRWKNLGGGKIVRTPGLEFPGGYHIQIRQRN >KQK99185 pep chromosome:Setaria_italica_v2.0:VII:31375374:31376397:-1 gene:SETIT_011069mg transcript:KQK99185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESAKLTALLVLAVLALSSSPLALAWEKAETKCGSCQKGSPPAGGLPVPIPSVPIPSVPLPSVPLPPVAIPSVPLPPVAIPTVPIPSVPLPSVPLPPLTPGGRKGCPPPPTPPTPTPAPSSDKCPIDALKLGACVDILGNEVHVGDANVKCCPLVKGVAGLSAAACLCTALKAKVLDLSVYVPIALEVLLNCGCAVPPGYKCA >KQK99545 pep chromosome:Setaria_italica_v2.0:VII:33441480:33442120:-1 gene:SETIT_011757mg transcript:KQK99545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKRPAPPPEEPRPSSKPAPDAKPSEPTKSAPDSATPTISAAVLAKLPNMERQVYTLIFEAGSKGMWMLDVRKQLTISPNVATKVVRTLVGHELLKEVSDVRHRSRKIFMATDFQPSDEITGGTWFYGVLGEFREDTSSPLEGFRLFQGVPNLSGFS >KQK99468 pep chromosome:Setaria_italica_v2.0:VII:33029504:33030883:1 gene:SETIT_010447mg transcript:KQK99468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPQPPPPHPCNPYGAGHGGGEMPYQDADHRLRALAGRAEGFGRHAIGGLHGAVYHVTSLQDDGRGSLREACRAAEPLWIVFEVSGTIHLQSYLRVASHKTIDGRGQRVVLTGKGLQLKSCHHVIVCNLMFEGGRGHDVDGIQIKPGSTNIWIDRCTLADYDDGLIDITRQSTDITVSRCHFARHDKTMLIGADPTHIGDRCIRVTIHHCFFDGTRQRHPRLRFGKVHLYNNYTRNWGIYAVCASVEAQVVSQCNIYEAGGGPPKKTTVFKYMPEKAGDREDVVAGLVRSEGDAFLNGALPCLIGGPAPGAQEAVFRPEHYYPRWTMEPASPALKDTIQLCAGWQPVPRPPDEC >KQK99636 pep chromosome:Setaria_italica_v2.0:VII:33944475:33948332:-1 gene:SETIT_010387mg transcript:KQK99636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPPQANGGKVTPNLAMDAEATRMLNLTVLQRLDPAVEDILITAAHVTLYDFNIDLNQWSRKDVEGSLFVVKRNLQPRFQFIVMNRRNTDNLVEDLLSDFEYELQPPYLLYRNAAQEVNGIWFYNQHDCEAVASLFGRILNAYAKVPPKPKVPSTKSEFEELEAVPTSAAIDGPLEPPPSSSALVSDAPDESLVNYFNGAATIGSVSSAQMAGRVHPSTEAVASAHVPLIVPSTAPAHQIPHPLGGSSAPPLPLHDANAHVSHSANLLTPAFFAPPSPSSTSVAPAPPAASMMPTAPPLHPTSASAQRPQYGTPLLQPFPPPTPPPSLTPAQNDGAVISRDKVKDALQRLVQSDEFIDLIYRELQNAHM >KQK98263 pep chromosome:Setaria_italica_v2.0:VII:25927995:25933106:1 gene:SETIT_009543mg transcript:KQK98263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPVADPAMLEGAARSPLLPATDPRRAAAPAGGAGQRRPSSSTVLPAVVSAVLLLVLAVVTILASQHVDNGQRVVMPPAGGDVAAAAGRVVEVAATRGVAEGVSEKSTAPLLGGAGALRDYSWTNAMLAWQRTAFHFQPPKNWMNDPNGPLYHKGWYHLFYQWNPHSAVWGNITWGHAVSRDLVHWLHLPLAMVPDHWYDANGVWSGSATRLPDGRIVMLYTGSTADSVQVQNLAEPADPSDPLLREWVKSDANPVLVPPPGIGLKDFRDPTTAWRVPNDTAWRVAIGSKDRSHAGLALVYRTEDFVRYDPDPALMHVVPGTGMWECVDFYPVATGSGGAGENSGLETSAPPGPGVKHVVKASLDDDKHDYYAIGTYDAAADTWTPDDTVNDVGIGLRYDYGKFYASKTFYDPVLRRRVLWGWVGETDSERADILKGWASLQSIPRTVLLDTKTGSNLLQWPVVEVENLRMSGKSFGGVALGHGSVVPLDVGKATQLDIEAEFEVDAAAVEAVTEAEVGFNCSTSAGAAGRGMLGPFGLLVLADEDRSEQTAVYFYLVKGTDGSLKTFFCQDELRGSKANDLVKRVYGSVVPVLNGENLSVRILVDHSIVESFAQGGRTCITSRVYPTRAIYDSARVFLFNNATNVHVTAKSVKIWQLNSAYIRPYSASSL >KQK98261 pep chromosome:Setaria_italica_v2.0:VII:25929223:25932918:1 gene:SETIT_009543mg transcript:KQK98261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDHWYDANGVWSGSATRLPDGRIVMLYTGSTADSVQVQNLAEPADPSDPLLREWVKSDANPVLVPPPGIGLKDFRDPTTAWRVPNDTAWRVAIGSKDRSHAGLALVYRTEDFVRYDPDPALMHVVPGTGMWECVDFYPVATGSGGAGENSGLETSAPPGPGVKHVVKASLDDDKHDYYAIGTYDAAADTWTPDDTVNDVGIGLRYDYGKFYASKTFYDPVLRRRVLWGWVGETDSERADILKGWASLQSIPRTVLLDTKTGSNLLQWPVVEVENLRMSGKSFGGVALGHGSVVPLDVGKATQLDIEAEFEVDAAAVEAVTEAEVGFNCSTSAGAAGRGMLGPFGLLVLADEDRSEQTAVYFYLVKGTDGSLKTFFCQDELRGSKANDLVKRVYGSVVPVLNGENLSVRILVDHSIVESFAQGGRTCITSRVYPTRAIYDSARVFLFNNATNVHVTAKSVKIWQLNSAYIRPYSASSL >KQK98264 pep chromosome:Setaria_italica_v2.0:VII:25927995:25933106:1 gene:SETIT_009543mg transcript:KQK98264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPVADPAMLEGAARSPLLPATDPRRAAAPAGGAGQRRPSSSTVLPAVVSAVLLLVLAVVTILASQHVDNGQRVVMPPAGGDVAAAAGRVVEVAATRGVAEGVSEKSTAPLLGGAGALRDYSWTNAMLAWQRTAFHFQPPKNWMNDPNGPLYHKGWYHLFYQWNPHSAVWGNITWGHAVSRDLVHWLHLPLAMVPDHWYDANGVWSGSATRLPDGRIVMLYTGSTADSVQVQNLAEPADPSDPLLREWVKSDANPVLVPPPGIGLKDFRDPTTAWRVPNDTAWRVAIGSKDRSHAGLALVYRTEDFVRYDPDPALMHVVPGTGMWECVDFYPVATGSGGAGENSGLETSAPPGPGVKHVVKASLDDDKHDYYAIGTYDAAADTWTPDDTVNDVGIGLRYDYGKFYASKTFYDPVLRRRVLWGWVGETDSERADILKGWASLQIGSIPRTVLLDTKTGSNLLQWPVVEVENLRMSGKSFGGVALGHGSVVPLDVGKATQLDIEAEFEVDAAAVEAVTEAEVGFNCSTSAGAAGRGMLGPFGLLVLADEDRSEQTAVYFYLVKGTDGSLKTFFCQDELRGSKANDLVKRVYGSVVPVLNGENLSVRILVDHSIVESFAQGGRTCITSRVYPTRAIYDSARVFLFNNATNVHVTAKSVKIWQLNSAYIRPYSASSL >KQK98262 pep chromosome:Setaria_italica_v2.0:VII:25927995:25933106:1 gene:SETIT_009543mg transcript:KQK98262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPPVADPAMLEGAARSPLLPATDPRRAAAPAGGAGQRRPSSSTVLPAVVSAVLLLVLAVVTILASQHVDNGQRVVMPPAGGDVAAAAGRVVEVAATRGVAEGVSEKSTAPLLGGAGALRDYSWTNAMLAWQRTAFHFQPPKNWMNDPNGPLYHKGWYHLFYQWNPHSAVWGNITWGHAVSRDLVHWLHLPLAMVPDHWYDANGVWSGSATRLPDGRIVMLYTGSTADSVQVQNLAEPADPSDPLLREWVKSDANPVLVPPPGIGLKDFRDPTTAWRVPNDTAWRVAIGSKDRSHAGLALVYRTEDFVRYDPDPALMHVVPGTGMWECVDFYPVATGSGGAGENSGLETSAPPGPGVKHVVKASLDDDKHDYYAIGTYDAAADTWTPDDTVNDVGIGLRYDYGKFYASKTFYDPVLRRRVLWGWVGETDSERADILKGWASLQVDHSIVESFAQGGRTCITSRVYPTRAIYDSARVFLFNNATNVHVTAKSVKIWQLNSAYIRPYSASSL >KQK97361 pep chromosome:Setaria_italica_v2.0:VII:20783918:20785679:-1 gene:SETIT_012065mg transcript:KQK97361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALGKRKRGGDDDDDSSAGGDRLSALPDHLLHEIISRLKVRQMVHTCELSRRWRHLWAKVPRLDIDQHEFTDAVAGYKKFGDFVHFLLQKVSIALLDELRLHVHSGYILGSADDDNASAWIRRAIMSSAQEPQREGVLNSGSWRLKTLHLSNLRHLDELFAEHVRSRCPSLEHLELRVCTCQFHAIASGSLKSLALKCCTGKGFYEITSPTLRSLVVERGDNDSIITSPFVVTAPALACLSLDISPYNFPGGVSFGEMASLARASIHLLTHRETLAKEKHLRDHLFKTLRSVSNAASLELSGFDITVEAGEESTAFPEFNNLRNLELNKCGLCDDLQVSGRILRNSPNLEKLTLHLSPSWKFLNDTKKRRGTSKMKNVVVENLVDVRCENLKHTEIIYKDDDVRQLVEFLLLFSRNLPNNNIRLTKVD >KQK98868 pep chromosome:Setaria_italica_v2.0:VII:29681757:29685708:1 gene:SETIT_009385mg transcript:KQK98868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNITAAAPASCSPCGAILASRAPRRGVVKAYPEKRLVAENVRVQNMHRKELETRIRNQLQRPELPPSSYDTAWVSMVPLRGSHQSPCFPQCVEWILQNQEDDGSWGVNQFDSSVNKDVLLSTLACVIALKRWNVGRENIRRGLHFIGRNFSVAMDEQTTAPIGFNITFAGMLRLAIDMGLEFPIRQTDVHGILHLREMELKRQAVDSSYGRKAYMAYIAEGLGNMLDWDEIMKFQRKNGSLFSCPSTTAAALIHKYNDQALQYLNLLVSEFGSAVPAVYPSKIHWQLLMVDALEKMGISQRFVSEIKSILDMTFSRWLQKDEEIMMDIATCAMAFRLLRMNGYDVSSDELSHVAEASTFCDSLQGYLNDTKSLLELYKASKVSLSENDLILDSIGSWSGNLLNDKLYSNRAQKTPIFGEMEYVVKFPFYATLERLEHKRNIEHFDAWGSLMVSTKCSSFRVNQEFLALAVEDFSFSQSVYQDELQHLDSWVKENKLDQLQFARQKLTYCYLSAAATIFPSELSDARISWAKNGVLTTVVDDFFDVGGSKEELENLIALVEKWHEHHADKFYSEQVKIVFSAIYATTNQLGAKASAAQGRDVTKHLAKIWLDLLRSMMTEAEWQRSQHVTTVEEYMTNAVVSFALGPIVLPALYFVGEELSEHAVKDQEYNKLFRLMSTCGRLLNDIQGFEVFGPLLHIKNHSLQVAHISAY >KQK98870 pep chromosome:Setaria_italica_v2.0:VII:29681757:29686495:1 gene:SETIT_009385mg transcript:KQK98870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNITAAAPASCSPCGAILASRAPRRGVVKAYPEKRLVAENVRVQNMHRKELETRIRNQLQRPELPPSSYDTAWVSMVPLRGSHQSPCFPQCVEWILQNQEDDGSWGVNQFDSSVNKDVLLSTLACVIALKRWNVGRENIRRGLHFIGRNFSVAMDEQTTAPIGFNITFAGMLRLAIDMGLEFPIRQTDVHGILHLREMELKRQAVDSSYGRKAYMAYIAEGLGNMLDWDEIMKFQRKNGSLFSCPSTTAAALIHKYNDQALQYLNLLVSEFGSAVPAVYPSKIHWQLLMVDALEKMGISQRFVSEIKSILDMTFSRWLQKDEEIMMDIATCAMAFRLLRMNGYDVSSDELSHVAEASTFCDSLQGYLNDTKSLLELYKASKVSLSENDLILDSIGSWSGNLLNDKLYSNRAQKTPIFGEMEYVVKFPFYATLERLEHKRNIEHFDAWGSLMVSTKCSSFRVNQEFLALAVEDFSFSQSVYQDELQHLDSWVKENKLDQLQFARQKLTYCYLSAAATIFPSELSDARISWAKNGVLTTVVDDFFDVGGSKEELENLIALVEKWHEHHADKFYSEQVKIVFSAIYATTNQLGAKASAAQGRDVTKHLAKIWLDLLRSMMTEAEWQRSQHVTTVEEYMTNAVVSFALGPIVLPALYFVGEELSEHAVKDQEYNKLFRLMSTCGRLLNDIQGFEREGSEGKLNSVSLLVHSGSSVSIEAAKKVIQKSIDTSRRDLLRLVLRKESIVPRPCKELFWKMCKILHLFYFQTDGFSSPKEMVSAVNAVINEPLKIQLDDSSLNILSEK >KQK98869 pep chromosome:Setaria_italica_v2.0:VII:29681757:29686495:1 gene:SETIT_009385mg transcript:KQK98869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNITAAAPASCSPCGAILASRAPRRGVVKAYPEKRLVAENVRVQNMHRKELETRIRNQLQRPELPPSSYDTAWVSMVPLRGSHQSPCFPQCVEWILQNQEDDGSWGVNQFDSSVNKDVLLSTLACVIALKRWNVGRENIRRGLHFIGRNFSVAMDEQTTAPIGFNITFAGMLRLAIDMGLEFPIRQTDVHGILHLREMELKRQAVDSSYGRKAYMAYIAEGLGNMLDWDEIMKFQRKNGSLFSCPSTTAAALIHKYNDQALQYLNLLVSEFGSAVPAVYPSKIHWQLLMVDALEKMGISQRFVSEIKSILDMTFSRWLQKDEEIMMDIATCAMAFRLLRMNGYDVSSDELSHVAEASTFCDSLQGYLNDTKSLLELYKASKVSLSENDLILDSIGSWSGNLLNDKLYSNRAQKTPIFGEMEYVVKFPFYATLERLEHKRNIEHFDAWGSLMVSTKCSSFRVNQEFLALAVEDFSFSQSVYQDELQHLDSWVKENKLDQLQFARQKLTYCYLSAAATIFPSELSDARISWAKNGVLTTVVDDFFDVGGSKEELENLIALVEKWHEHHADKFYSEQVKIVFSAIYATTNQLGAKASAAQGRDVTKHLAKIWLDLLRSMMTEAEWQRSQHVTTVEEYMTNAVVSFALGPIVLPALYFVGEELSEHAVKDQEYNKLFRLMSTCGRLLNDIQGFEWQFCVHRSG >KQK99297 pep chromosome:Setaria_italica_v2.0:VII:32219551:32221672:-1 gene:SETIT_010095mg transcript:KQK99297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAERSQPYARTISYANRRLSSVSQQNISKAKMPIGSLSSEIIGKSYTSRLLIGNPEGRIIIRTDQYNRDDFQVVYTNAKFFVIKSYNEADIHKSIKYGVWSTSSVGNLKLDTAFRDAQVIAASSSTLCPVLLFFSVNGSSHFCGVAEMVGPVDYQNDMDFWCRKNKWIGSFPVKWHIIKNVHNSTFRSILLQNNEDKPVTSSRDTQEIHYTPGTTMLELFKYTRAEGCVLDDFMVHEEEEARSGQLERFKLRQGAPHFIPAWHGPCTKRPMLPKSDSVLKDRIVSETNNLTDKLQNLNLDGHQSSCQEFGNRTSEASTTNTQKGSHCYGDQVLDNPVKVIASDVKFALDGERRRWKKVETTPTEKPQPETVARVSSKAPPRKHRKEGKNTLVHSASGAPEMTCEEQKIVGKPCSPAYGSTPSQACSKPVPGVVAIGSMLIPITTSI >KQK98290 pep chromosome:Setaria_italica_v2.0:VII:26058851:26060377:1 gene:SETIT_011050mg transcript:KQK98290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAFLSPAKLAPQAQGRRFAGAKTAGRVRFPPARAQPEQQVKEVEAEAAGVPPAQGSDEPAKARKGDAQSLPRQPLAESKNMSREYGGQWLSSTTRHVRIYAAYIDPETNAFDQTQMDKLTLMLDPQDEFAWTDETCQMVFNEFQDLVDHYEGAELSEYTLRLIGSDLEHYIRKLLYDGLLKYNMRSRVLNFSMGKPRIKFNSSQIPEAK >KQK99718 pep chromosome:Setaria_italica_v2.0:VII:34383040:34385767:1 gene:SETIT_010772mg transcript:KQK99718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWRAAASRLLLRRSPPLSPSTAASSYALLLHARPFSPPPPPPPPPPRPAPAEAEVTPAEARRLVRLVGVEALKHRLRDGRDEVVGYSELLDACVEAGAARTHAEAEELARAMDDAGVVLLFRDKAYLHPEKVVDLVKRAVPLALGPENDPRKEEFKQLQEKKEEIDKLAHKQVRCILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLITSRDPTYQDFMERLFLSRQRKLCAAQKFDMERYMELQKHCRCPLEGHHPHGPKLHGL >KQK96367 pep chromosome:Setaria_italica_v2.0:VII:4372784:4374709:-1 gene:SETIT_009747mg transcript:KQK96367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEPLVHKVLSMATSSSSSKKVRPAAAAASAKGGAAAAAGAEDGRVGILSFEVANAMSRAANLYRSLSDAEAARLLGPLCLGSHAVRALVPGDDARLLALALAEKLDALNRVAAVAARLGRRCTVPALMGFAHVYADLLAGRSGADAFAAASPSEAASLVRKLDRLAAATAALYAELEALTELEQSARKLPTDEARRALEQRTRWRRHDVRRLRDSSLWNWTYDKAVLLLARAVCAIYDRIRLVFGDPMLGIDLLAATREPGQCDQSRQLSGPVTANSGPIQKNLNYSKSGPISRVDPDMPRLVNFRSNCGASPGKMFMECLSLSSSVSWKDGFEDEFLEDSSCISTIRSGMLVPFSGEQGESTTPTKSGKIGRRVRFGPKSTVTSLAPPSTIGGSALALHYANIIIIIEKLLRYPHLVGEEARDDLYQMLPSSLKVALRKNLKTYVKNVAIYDAFLAHDWRETLEKTLAWLAPMAHNMIRWQAERNFEQQQIVLKGNVLLLQTLYFADREKTEAVICELLVGLNYICRYEQQQNALLDCSSSLDFDDCVEWQLQ >KQK98384 pep chromosome:Setaria_italica_v2.0:VII:26684109:26684556:-1 gene:SETIT_011431mg transcript:KQK98384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTIAITVATNFGGKDKGCLPNNRLPPYLENCYPSCSFIHPRDSRPVIALIIIITVAADCGGNDFNILFEKNTTVLPMYLQNYIQAAALLIQGQQISRGS >KQK98417 pep chromosome:Setaria_italica_v2.0:VII:26873691:26877663:-1 gene:SETIT_009736mg transcript:KQK98417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGYEYDTNGYHRAMEDEYGDEYYDQDEYEEDGSGAGDEYVEEEEPLEGQKEILELRERLKEQIRRKAKAAAASAAGRSSSSHDRILPTRENKFGSFFGPSKPVISRRVIEERKSLKELHSTISRDPRPSGVHRDMPSSSKVQNKGNGHQHKPKMVNEVKKKAEALKDNRDYSFLLSDDADLSSSPKEKPANRSSLTQKADRVVMHSAAKSKAPTSQPARLSNGYGSKNTLSTQRHAEGRVDSMRKEVFSNRERSVSRDNERMNSIVRNGSNQANTSKITSQKLPTKGPTPNRHPSRDLNDPALRKGNVVSRHEIDRPKSSQSQRMQSAVQRPQLSSHGQRPHQSMQQRPQQSLQNRRLQQVSQGQRPQQSLQSQRTQQSLQSQRPQQSSHVQKLQSSQTHRPQSQSNRSQSLQGQRPLSSQCQYSEQRRLQASDRVKQVERQIRPPSKAMPSRPISSNGIRDDHAKRKQVAKRRFDEDEDEEDPLAMIRNMFGYDPRRYAGRDEDDSDMEADFATIEREEKRSARIARQEDEEQLRLIEEEERREQERKRRKMARGR >KQK96825 pep chromosome:Setaria_italica_v2.0:VII:16090782:16094622:-1 gene:SETIT_009661mg transcript:KQK96825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAFNLPGAGDEEEEVMGGLDEDEAMKDLDAGEGEDEDFDFPGTMKVGEEKEIGKQGLKKKLVKEGEGWDRPETGDEVEVHYTGSLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPPELAYGETGSPPTIPPNATLQFDVELLSWASVKDICKDGGIFKKIVKEGEKWENPKDLDEVFVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGEQGRPASGDESAVPPNATLHIDLELVSWKTVTLIGDRKRILKKVLKEGEGYERPNDGAVVRVRLIGKLEDGTVFVKKGHDGEEPFEFKTDEEQVIEGLDITVVNMKKGEVALARIPPEHAFGSAETKQDLAVVPPNSTVFYEVELVSFEKDKESWDLKSNAEKIEAAAKKKDEGNAWFKMGKYAKASKRYEKAAKYIEYDSSFSEDEKKQSKALKISCKLNNAACKLKLKEYREAEKLCTKVLELESTNVKALYRRAQAYIELVDLELAELDVKKALEFDPDNRDVKLVYKTLKEKMREYNRRDAKFYGNMFAKWRKLEHMDTKKVPGKQEPQPMAIDSAA >KQK96826 pep chromosome:Setaria_italica_v2.0:VII:16091570:16094505:-1 gene:SETIT_009661mg transcript:KQK96826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDAFNLPGAGDEEEEVMGGLDEDEAMKDLDAGEGEDEDFDFPGTMKVGEEKEIGKQGLKKKLVKEGEGWDRPETGDEVEVHYTGSLLDGTKFDSSRDRGTPFKFKLGQGQVIKGWDLGIKTMKKGENAVFTIPPELAYGETGSPPTIPPNATLQFDVELLSWASVKDICKDGGIFKKIVKEGEKWENPKDLDEVFVKYEARLEDGTVVSKSDGVEFAVKDGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGEQGRPASGDESAVPPNATLHIDLELVSWKTVTLIGDRKRILKKVLKEGEGYERPNDGAVVRVRLIGKLEDGTVFVKKGHDGEEPFEFKTDEEQVIEGLDITVVNMKKGEVALARIPPEHAFGSAETKQDLAVVPPNSTVFYEVELVSFEKDKESWDLKSNAEKIEAAAKKKDEGNAWFKMGKYAKASKRYEKAAKYIEYDSSFSEDEKKQSKALKISCKLNNAACKLKLKEYREAEKLCTKVLELESTNVKALYRRAQAYIELVDLELAELDVKKALEFDPDNR >KQK99504 pep chromosome:Setaria_italica_v2.0:VII:33171383:33171730:1 gene:SETIT_012360mg transcript:KQK99504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGVVPTSWRALAAAALALWLLPVLLALALLWLPLLCCAVAAVRFRRVRKQLRTTSRGCGGRGGVVPWREEIIAADDDAGDRTRLLHRYLRDQMELVVAGADAEELVDELLVD >KQK96830 pep chromosome:Setaria_italica_v2.0:VII:16126789:16126881:1 gene:SETIT_012246mg transcript:KQK96830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIREEIHLWSLAGARGISHLLALVPVND >KQK96293 pep chromosome:Setaria_italica_v2.0:VII:3115517:3117947:1 gene:SETIT_012373mg transcript:KQK96293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAYILVLLDFPLPSLYSIRSTCLLPNFSRGQALNPPLQSSKPIGGEITIAWESSWMDKAPQSDMERRLMEQLRESKFTKNVPVQSERRGCYYTSIPASVKHKNVSGGDLTSLNLDKTSLLESVLAKNYQGQEDLLLGELQFAFIAFMMGQSLEAFMQWKALVSLLLSCSEAPLHTRTNMFVKFLRTFYYQLKHGFQRTQDSSSRSEDVGNSPFLDEAWFSRDIFLYRLSK >KQK98246 pep chromosome:Setaria_italica_v2.0:VII:25868091:25870770:-1 gene:SETIT_010957mg transcript:KQK98246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAGLGVKATPFTYAAHALAAVAAVLVLVWCVHFRGGLALEAPNKNLIFNVHPVLMLIGYIILGSEAIMIYKVFPNLNHDTAKLTHLILHAIATVLGAFGIYCAFKFHNDSGIANLYSLHSWLGIGTISLYGIQWVFGFVTFFFPGAAPSLRRSALPWHALFGLFVYVLAVMTAELGFLEKLTFLESGGLDKYGAEAFLVNFTALVVVLFGASVVVAAVAPAHVEEPQGYAPIPVN >KQK97535 pep chromosome:Setaria_italica_v2.0:VII:21752338:21756138:-1 gene:SETIT_010327mg transcript:KQK97535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRRHLAASLARALTQDPSRSISSTPSLLQTLESSVPSPPSAPPSAGRLAELRARLQADAPSLGDFTYSVEVGTRKRPLPKPKWMKETVPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKALKPEMLIEALVPDFRGDPSCVEKVATSGLHVFAHNIETVEELQRSVRDHRANFKQSIDVLKMAKEYAPPGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFEKYRALGVEMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKTSPADLSS >KQK97534 pep chromosome:Setaria_italica_v2.0:VII:21752363:21756138:-1 gene:SETIT_010327mg transcript:KQK97534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRRHLAASLARALTQDPSRSISSTPSLLQTLESSVPSPPSAPPSAGRLAELRARLQADAPSLGDFTYSVEVGTRKRPLPKPKWMKETVPGGAKYAAIKAKLRELKLHTVCEEARCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPDEPSNVAQAIASWGLEYIVITSVDRDDLPDQGSGHFAETVQKLKALKPEMLIEALVPDFRGDPSCVEKVATSGLHVFAHNIETVEELQRSVRDHRANFKQSIDVLKMAKEYAPPGTLTKTSIMLGCGETPDQVISTMEKVRAAGVDVITFGQYMRPSKRHMPVSEYVTPEAFEKYRALGVEMGFRYVASGPMVRSSYKAGEFYIKAMIEADRAKTSPADLSS >KQK98991 pep chromosome:Setaria_italica_v2.0:VII:30372640:30372999:-1 gene:SETIT_011952mg transcript:KQK98991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTAVMIKIVCLISEARRNVDKLPAALITSGIVQAAAALALAIFKSPAGIFVGHGKAPFYLYYGILITVIIFGLVEASAGFYVSGDVTRRRAIGMTILWISILPIVLVAGLGGFVILK >KQK99513 pep chromosome:Setaria_italica_v2.0:VII:33243937:33244817:-1 gene:SETIT_012217mg transcript:KQK99513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNHLPVCCCGDSGIDREDPHQALLDTICGFYVEALDRLPIRDYPNPIHCLSVAGHCYGLLEPVSNVILHATGQGPYKREDITTEFLDKMHSSWYWQNTAERSLDGLTTFLMSGYRYLTLEQAVGYLYLAKADIYLAMDLVEREFDTQCLVEEALLPSFDDDAWILTIKDSLMYAAMAAEHPNPDRLVALATETFVADHVDRIAAWLRTDQLCKVAVDDIYSSLKYGRAPDGRYLYNLRLSYPDTDDAVVPVASCQNLNTCTHRCSSLLKDQQAGWDSEVSPCDYG >KQK98785 pep chromosome:Setaria_italica_v2.0:VII:29144666:29145151:1 gene:SETIT_012126mg transcript:KQK98785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EGRPASRSSRMRPSSHLRHVSFAVDPCVADDGPPQPQTATCCTALQRGFAPDSRVQQRYAPVRTPGSAALLRVDENEEDQQPQSAKDAGGGVSVGGEEMIGASTVAPAKEGNVMRKAVRKWKSTVEDVDVSQLTETPRLRRSGGMRRDWSFENLRGGNNAA >KQK97516 pep chromosome:Setaria_italica_v2.0:VII:21605999:21610414:-1 gene:SETIT_010771mg transcript:KQK97516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFCCFGAGFSEFTGHGSTASGKGKGCQGQVKVCYGYNLVRGKTNHPMEDYHVADFAEVKGNELGLFAIYDGHLGDTVPAYLQKNLFSNILNEEEFWTHTDRAIIKAYEKTDQAILSHTPDLGQGGSTAVTAILVNGRKLWVANVGDSRAVLLKGGQPIQMSIDHDPNVERSVIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLRSEPDIKVENIDHTAELLVLASDGLWKVMNNQEVVDVAKRFKDPYAAAKQLTAEALKRDSKDDISCIVVRFKA >KQK97515 pep chromosome:Setaria_italica_v2.0:VII:21606353:21608733:-1 gene:SETIT_010771mg transcript:KQK97515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYHVADFAEVKGNELGLFAIYDGHLGDTVPAYLQKNLFSNILNEEEFWTHTDRAIIKAYEKTDQAILSHTPDLGQGGSTAVTAILVNGRKLWVANVGDSRAVLLKGGQPIQMSIDHDPNVERSVIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLRSEPDIKVENIDHTAELLVLASDGLWKVMNNQEVVDVAKRFKDPYAAAKQLTAEALKRDSKDDISCIVVRFKA >KQK96822 pep chromosome:Setaria_italica_v2.0:VII:15982426:15983921:1 gene:SETIT_012005mg transcript:KQK96822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCTQNRCADQTALHLVFPPEHMECPFARRITKGVIHVQASFNNTIITVTDPQGRVVFWSSAGTCGFKSSRKASPYAGQRTAVDAIRTVGLQRAEVMVKGAGSGRDAALRAIAKSGIEESIQEILLNLKEIVLRSNLYGVKDASICVKGPRYITAQDIILPPSVEIVDTTQPIANLREPIDFCIELQIKGDRGYHTELRKNSQDGSYPIDAISMPV >KQK98772 pep chromosome:Setaria_italica_v2.0:VII:29097252:29100136:1 gene:SETIT_009380mg transcript:KQK98772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPRCAVSLPLAPTNVSATSTGGGGGGGGKKAAQPHPTASQVRRLCKQGQLDRARRLLLDSLPRPPPTLLCNALLIAYVARALPDHALRLYALLNHAARPAPRSDHYTYSCALTACARARRLRLGKSVHAHLLRRARALPDTAVLRNSLLNLYASCVRFRGGSGGVDVVRRLFDAMPKRNAVSWNTLFGWYVKTGRPQEALELFACMLEDGVKPTPVSFVNVFPAVASGDPNWSFALYGLLLKHGVEYVNDLFVVSSAIVMFSELGDVQSAWKVFEHTAKKNTEVWNTMINGYVQNGKFAEAMDLFIRLLGSKEVPLDVVTFLSALTAASQSQDGRLGQQLHGYLIKGMHGKLPVILGNALVVMYSRCGSVQTAFELFDRLPEKDVVSWNTMVTAFVQNDFDLEGLLLVYQMQKSGFAADSVTLTAVLSAASNTGDLQIGKQAHGYLIRHGIEGEGLESYLIDMYAKSCRIEIAQRVFDGYGNVTRDEVTWNAMIAGYTQSGQPEQAILAFRAMLEAGLEPTSVTLASVLPACDPLGGGVCAGKQIHCFAVRRCLDSNVFVGTALVDMYSKCGEISTAEDVFASMTEKSTVSYTIMISGLGQHGIGERAVSLFYSMREKGLKPDAVTFLAAISACNYSGLVDEGLVLYRTMEAFGVAPTPQHHCCVVDLLAKAGRVDEAYEFVEGLGEEGNFISIWGSLLASCKAQGKQELANLVTERLLRVEKKYGHAGYKVLLSHIFAAESNWSSADSLRKEMRLRGLRKMAGSSWIKVEDAALQNYPKNDHVYSMLHGVDYGRDKII >KQK98635 pep chromosome:Setaria_italica_v2.0:VII:28370337:28373845:-1 gene:SETIT_009707mg transcript:KQK98635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTLIYKSEAHVDTQDEAGKSGLRNGEGIQKNKSCLGRACFCCAIFTIEDCRKEDETNQQEDYCEEALFCTLCNAEVRKHSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFLCLMAVSLAWLAVECGVGIAVFVRCFTDKTAIEDQIGEKLGYGLSRAPFATIVALGTALSMLASVPLGELFFFHMILIRKVSHLVITCHYSCPILLVLVQECDMDVLLLLRHFVQGITTYEYVVAMRAQSEPPGPSVNDDQQSLASSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLEPGRVPSTVDPDTTDPAERAKNLPKRPVRISAWKLAKLDSNEAMKAAAKARASSSVLKSINARNQYEADSDNLSSRSSVISADTGLHRYPRSGGNSQYMPSYPHSRASADDIELYPQTPSSFQSNSRTPTPLAEHHPSKHFNPIYQTSANRSPFSAKASVNEAPVSETSNARRSYPQPQAERSSRSSVFWDQEAGRFVSAQANQGSSSRSGRPDLLYTGQSIFFGGPLIADPAARSFRDPGGSSQRSTGARPQQLPVFVPSDPQKDQLSRLP >KQK98634 pep chromosome:Setaria_italica_v2.0:VII:28369585:28374115:-1 gene:SETIT_009707mg transcript:KQK98634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGTLIYKSEAHVDTQDEAGKSGLRNGEGIQKNKSCLGRACFCCAIFTIEDCRKEDETNQQEDYCEEALFCTLCNAEVRKHSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFLCLMAVSLAWLAVECGVGIAVFVRCFTDKTAIEDQIGEKLGYGLSRAPFATIVALGTALSMLASVPLGELFFFHMILIRKGITTYEYVVAMRAQSEPPGPSVNDDQQSLASSPMSSAPTAFSGSSFARHYKGAWCTPPRIFIDQDEIIPHLEPGRVPSTVDPDTTDPAERAKNLPKRPVRISAWKLAKLDSNEAMKAAAKARASSSVLKSINARNQYEADSDNLSSRSSVISADTGLHRYPRSGGNSQYMPSYPHSRASADDIELYPQTPSSFQSNSRTPTPLAEHHPSKHFNPIYQTSANRSPFSAKASVNEAPVSETSNARRSYPQPQAERSSRSSVFWDQEAGRFVSAQANQGSSSRSGRPDLLYTGQSIFFGGPLIADPAARSFRDPGGSSQRSTGARPQQLPVFVPSDPQKDQLSRLP >KQK98232 pep chromosome:Setaria_italica_v2.0:VII:25769304:25769944:1 gene:SETIT_011437mg transcript:KQK98232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKDFEAGTVDSRGWPKYFSTYKVAKATLNAYSRILAREHPELRVNCVHPGYVKTDLTIHSGFLTPEEGGSRVAMVALLPKGGVTGAFFEDFKESSFV >KQK97691 pep chromosome:Setaria_italica_v2.0:VII:22678927:22683001:-1 gene:SETIT_009896mg transcript:KQK97691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGARLLLAVVLVAVASGAYAGYDTAGTPPISRRSFPKGFVFGTSSSAYQYEGGAKEGGKGQSIWDTFTHQQPDKIADRSTGDVAVDSYHLYKEDVQLMENMGMDAYRLSISWTRILPNGSLSGGINREGVNYYNNLINELLAKGVQPYVTIFHWDSPQVLEDKYGGFLSPNIVNDFKDYAEVCFKEFGDRVKHWITFNQPFTFASGGYATGTKAPGRCSPWEGKCSAGDSGREPYIVGHHELLAHAETVRLYKEKYQAAQKGKIGITLVSHWFVPFSRSKSSKAAAKRAIDFMFGWFMDPLIRGDYPASMKGLVGNRLPMLTKEQSELVKGSFDFIGLNYYTTNYADHLPPSNGLNTSYSTDSQANTTGVRNGVPIGPRAASSWLYIYPEGIRELLLYIKQYYGNPTIYITENGVDEANNKTVTLQESLKDDTRVDFYHRHLLALLRAIREGANVKAYFAWSLLDNFEWGNGFTVRFGLNFVDYSDGNKRYPKKSAHWFKEFLRK >KQK99013 pep chromosome:Setaria_italica_v2.0:VII:30483587:30484678:1 gene:SETIT_012519mg transcript:KQK99013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSSSPSNLFLGETSSTCLTHSVTVAHKFQVTDFSLLEGIGVGKHVSSTPFSVGGCDWSLNVYPDGTSAGEKIPHVSVYLCLLKGPTPGVRVKFRLGLLDKDGKLVLRPQGSVLSKTFLESAGRDCGLDKFMRKPDLQDFLHRNNDCFTVRCALTVIKESQSSEDNKIAVPPSNLCQDYAAMLKKKEGADVTFNVDGQLFPAHRCVLAARSPFFQAEFFGPMKKKPAQDIMIGDIKPTIFEALLQFMYTDSLPDDYNAEDNVTVWQHLLVAADLYGLERLRLICEDKLCCSINVQTVASTFALAEQHSCVQLKDKCLQFIASRAVLGAVMETDGFKDLVASRPLVMKEILDKVAVVKDDE >KQK96206 pep chromosome:Setaria_italica_v2.0:VII:1730752:1731222:-1 gene:SETIT_012190mg transcript:KQK96206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQHLLLVVLVASILHATASSLETTSSASNSTVAAAASTVYDVLEQNNLPRGLLPQGVQSYVLHDGGALEVTLPGECNFFVSVAGKRFHFRYGSSVAGVIQSGSISRVSGVRVQAGFAWLGFNQVQRAGDQLNIQLEKSTQSFPVSAFAQSPRCS >KQK96458 pep chromosome:Setaria_italica_v2.0:VII:7921805:7922281:1 gene:SETIT_011986mg transcript:KQK96458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYSTKLCVFDGVDFQFWKAKMDAYIQSQGSLIWEKVITLFQVPNQASDTNRANVENNNKARNLIIQGLGRSDFDRFIHHKLAYEVWKALCDYHEGANSVKEVRQDMFKKEYMCFEMKLGESVDDLFARFNKIPSNLHAVGVTYTDAANDRQLLGALD >KQK98938 pep chromosome:Setaria_italica_v2.0:VII:30070152:30070769:1 gene:SETIT_011324mg transcript:KQK98938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAIEGILRALVYVLVFTMLVDNQAWGEKNCYPEKESVKYGCMKHIKFGTRYLPPLLGNKCCRTVAVSDMVCICGILTEEEIREISSFKLVRVARDCGHPLPVGTKCGTWTVPPPAPPAGTSRAHP >KQK99260 pep chromosome:Setaria_italica_v2.0:VII:31945921:31949385:1 gene:SETIT_010998mg transcript:KQK99260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEDKLLCAAATLLPEPEADKVSSRSRNPSAAPAATAMGIDLVAGGRNKKTKRTAPKSDDVYLKLLVKLYRFLVRRTKSSFNAVILKRLFMSKANRPPISLRRLANFMKGKEEKNIAVIVGTVTDDKRIQEIPAMKVTALRFTETARARIINAGGECLTFDQLALRAPLGENTVLLRGPKNAREAVRHFGKAPGVPHSHTKPYVRSKGRKFEKARGRRNSRGFKV >KQK99619 pep chromosome:Setaria_italica_v2.0:VII:33836250:33836738:1 gene:SETIT_012940mg transcript:KQK99619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEKPWPQIEVGPSGRGGRRAPGSWQALGMAGLVDNAAVVLVKVGR >KQK98345 pep chromosome:Setaria_italica_v2.0:VII:26415892:26418054:-1 gene:SETIT_012800mg transcript:KQK98345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAVINAVLFPALAVVLALAVFYFVRRRRRRRGGRSVLPSHVGGGGARADRLQAAGGSGGYVAGGEEALVRFPGGEALTVAAILEAPGEVVAKSAHSTLYRAGLSAGEAVALLRFVRPACAAGAEEAAAAARVLGAARHPNLVPIRALYIGPRGEKLLVHPFYAAGSLRRFLQEGINDSQRWEIICKLSIGIVKGLDHLHTASQKPIIHGNLKTNNIMLDADFQPRISDFGLYLLLNHAAAQEMLETSAMQGYKAPELIKMRDVTRESDIYSLGVIMLEMLAQKEVVNDKPPNARDIHLPASFKDLVLERKISEAFSSELIKQSKNSGKEENLNAYFELATACCNPSPSLRPDTKKILKRLEDIAR >KQK99819 pep chromosome:Setaria_italica_v2.0:VII:34898457:34900033:-1 gene:SETIT_012885mg transcript:KQK99819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVPMAAGSWRSSGTPDTSPEMASRKVHLVALASLRDRKICCSCSYKLLKHS >KQK99820 pep chromosome:Setaria_italica_v2.0:VII:34898662:34899249:-1 gene:SETIT_012885mg transcript:KQK99820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFQGWTIDDAKRSEAFKAFARGGRDQEIPGGGESLDQLFERCVPRLNAIAEKHKGERVVIVSHEAVIEEICKHADPTISVGMKIPNTSISVIHVSGSDGRWILEKFGDAGHLTGDGFP >KQK96861 pep chromosome:Setaria_italica_v2.0:VII:16662774:16667378:1 gene:SETIT_010271mg transcript:KQK96861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSMDEPLLGDGVQKTGGVGENLVQPEVRKQLYLAGPLIAAWILQNIVQMISVMFVGHLGELALSSASIATSFAGVTGFSLLSGMASSLDTLCGQAFGAKQYYLLGIYKQRAILVLTLVSLVFAVIWSYTGQILLLFGQDPEIAAGAGSYIRWMIPALFVYGPLQCHVRFLQTQNIVLPVMLSSGVTALNHLLVCWLLVYKIGMGNKGAALANAISYLINVSILSIYVRLAPACENTRRGFSKEAFHGIPTFLRLAVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSISLNTGSLAFMIPFGLSAAISTRVSNELGAGRPQAARLATRVVMVLAIVVGILIGLVMILVRNLWGYAYSNEEEVVKYISKMMPILAVSFLFDCVQCVLSGISRSAN >KQK99502 pep chromosome:Setaria_italica_v2.0:VII:33165623:33165978:1 gene:SETIT_012895mg transcript:KQK99502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQYILAQIYLHTLIRFHQINMFTYLRVARPDAGPGRAATGLRARKAPSATAMALLHP >KQK99931 pep chromosome:Setaria_italica_v2.0:VII:35435826:35436662:-1 gene:SETIT_012777mg transcript:KQK99931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVEALVVGRVIGEVLDSFNPCVKMTVTYNSNKLVFNGHEIYPSAVVSKPRVEVQGGDLRSFFTLVMTDPDVPGPSDPYQREHLHWIVTDIPGTTDASFGREVISYESPRPSIGIHRFIFVLFKQKRRQTVTVPSFRDHFNTKQFAEENDLGLPVAAVYFNAQRETAARRR >KQK99231 pep chromosome:Setaria_italica_v2.0:VII:31665291:31668821:-1 gene:SETIT_010088mg transcript:KQK99231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPLLRPLWPGLAPAAGSPDAAPEPAKPSLPAAWLLLHALFCATSMAVGFRFSRLIVYLLFLPTPPINPAAHLVSLVSPPVMLAGAGNATTATITTTTTTTTTVTTTTTVAAEIGAAHPHHHHGPVFVGRHPIRVRSWPHPDPNELLKAHRILAAVQNAQRSSKRRGAGPPRPVIAVTPTTTSALQVPSLTSLAHTLRLVDAQLMWIVVEPGHRTDAVAAVLSRSNLDFLHITGPGDSTASLRMHALREIRAKRMDGIVVFADENSILRTELFDEGQKVSTMGAVPVGILGEDDGASESFLQAPSCDAAGKLVGYHVSEETVLPVNRSDMLLSSRLEWTGFVVSARVLWEDAKERPQWVRDLAAIDDADARAASPLALVTDAGRVEPLAGCAQAALAWSLRSESLHDVKFPHEWKIDPPLLNTGSHQENAKPETPLKQTNLASTEDQH >KQK98743 pep chromosome:Setaria_italica_v2.0:VII:28946948:28949350:1 gene:SETIT_010794mg transcript:KQK98743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGRYGGGGGGAMSRDPKPRLRWTPDLHQRFVDAVDKLGGPDKATPKSVLRLMGMKGLTLYHLKSHLQKYRLGKQGKKSTGLELANGGGFAAPGLSFPTPTPIPGVPAEGKNTGEMPLADALRYQIQVQRKLQEQLEVQKKLQMRIEAQGKYLKAILEKAERNISSDVNAPSDNIESTRSQLMDFNLALSGFMDNATRVCEENNEQLVKALSDDKHKDNNLGFQLYQVGCQGAKEVKWTPKTEDLLQLDLNIKGGYDLSSRGMQACEVDLKINQQMI >KQK96907 pep chromosome:Setaria_italica_v2.0:VII:17336008:17336654:1 gene:SETIT_011451mg transcript:KQK96907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKSAVLAILLVVSLIFADHVKCQPLVRSEGQELAGNGSGNGEVSPKSDCNEGALYHGPCLELICAAACILQMNRGGHCKGGFFGACLCFVCN >KQK97872 pep chromosome:Setaria_italica_v2.0:VII:23668062:23671360:1 gene:SETIT_010863mg transcript:KQK97872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQGPCRHCGVTSTPLWRNGPPDKPVLCNACGSRWRTKGSLANYTPMHRKDDIDDDEPRVSKLKPPTSKMKSQKKKTNHITTENGPFSGQSFQKMGDADPSSRSSSGSAISYSESCVPYGAVDASEMSGSAQSHAWESLVPSRKRSCATRLKPSPVEKLVKDLNSIMHEEQLYYLSGSSEEDLLYHSDTPVGSFETGSGSVLLRHPNSKSPEEESEASSIPADDKSHITSESYSGSTMFVLHSGNKATVNLKAATASPPEY >KQK97807 pep chromosome:Setaria_italica_v2.0:VII:23356999:23360805:-1 gene:SETIT_009362mg transcript:KQK97807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLEVDGDFIVGGNWKLHGALCNQLYTVVHEVLDAITALETTKPRCSSRLLALSSLSIAVEKAKNLLQYCSECSKLYLAVTAECVLTKFENSRHALLESLHQVEETIPEAIGSKITEIAQELDKADFSLDQSEKQVGDEVNHLIQNESKFNGFLDENELEFFRQTAFRAGITSSTAALTERRALRKLLERAHAEEDIKKESIAAYLLHLMRKYPNIFKREITDSSNSQCSSPSCSSSSLSSSIGLHRSLSSSIDLHGNCQALERQLPRAGSFNLKQIKGLSGSMPLPPEELRCPISLQLMYEPVVIASGQTYERACIEKWFNSGNTTCPKTRKQLPQLSVTPNYCIKGLIASWCEQNGVLVPSAAPESPKLKYLRISSLRSSTCLVTNGVNTVLFEDTSAKDDAKSDSAVIVEKFSRQNSTEATSKIRVDEVTPEKCSATSEICEVEDSVIKWSNQNSKETVSEICEEWLRVLNKNNDESIDERHKLVEQIRLLLKNDDELRDYAGANGITEPLIHFLKMAIFREDVQSQEVGTMALFNLAVSNDRNKRQLLSAGVIPLIEQMIQKPETCEAAIAMYLNLSCISEAQAIIGSSDAIPFLIEGLGEDCSRSDTCRLDALLTLYNLSLHAPNIPFLMASGIIESLRAVLAPSSLWTDKALAVLLNLALTRAGKAEIAADAAMVGTIVLILDNGEPGEMEKAVSCLYVICSGNEGSSQTVLQEGVIPALVSVTANGTARARDKAQRLLRLFREQRQRELEEMQPRVQLREVASQAAAAQQQQRVEEEEMVLAVTPAAAGKPSGGKKPRLRRSGSRRFTKAFTCLLKKWSFR >KQK96789 pep chromosome:Setaria_italica_v2.0:VII:15566180:15573476:-1 gene:SETIT_009866mg transcript:KQK96789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAATAGGAAGASSSGQQHDDDAEGSLLRQKSTWKRFLAHAGPGFLISLAYLDPSNVQTDLQAGSSHRYELLWVLFFGFIFVLIIQSLAAKLGIITGKHLAELCMREYPKYVKYGLWLLIEVGVIAATVPGVLGTALAYKILLHIPFWAGVLICGASTFLILALQSCGVRKMEFIGVIFILIMAACFFVEVNSANPPMGEVIQGLFIPRLRGAYATSDAIALFSALIVPHNLFLHSSLVLSRKIPSSPKGVKDTSTFFLIENAFALFLVLLVNVAIVSITGTICADSQMVDDNCSGLTLNSTSVLLKNIFGKSSSKIYGLALLASGQSCTVATSYSGQYIMQGFSGMRKFIIYIIAPCFTIIPSLIICSIGGAAHVRQLIYISAIILAFVLPFALVPLLKFSSSCAMIGPYKNSTCIVRVAWILSMVIMGVNIYFFCTSFLSWLVHSELPRIANAVISTLVFPFMAAYIAALIYLVFKKVSVPVPFPSMPVSSETEVADARRQDDKVDDITVH >KQK98738 pep chromosome:Setaria_italica_v2.0:VII:28930400:28931710:1 gene:SETIT_010948mg transcript:KQK98738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWAARSSSYCLSASMPSTTFSRTSISRSTSAVWSSIRRTLYCPSSIFPAIVSGQLIFLRPGAGGGGACMDDPNKSLGKPEPAIDDSRNCSRAVGITASPSRLLAATLGSKGKGIFRLSSCVRSRWRRRIRKAGRRGGAPSSLPAAHEASLARKYSAMRISGREAEDKEMESILARFASLRDREERLAAIAADLLEMEAQRREAGVAPRDAEVAAFVILRESADATLEGLPTLLRTDRVI >KQK96278 pep chromosome:Setaria_italica_v2.0:VII:2966789:2969632:-1 gene:SETIT_010698mg transcript:KQK96278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHPGAGAAPAPSVPGNGEYDDFHWDDAAEAELQAIEAAYASASAKRRRLPNWTSPSPSPSSRPRYSQSPVSSGSTPSWAFTPPSFQGNVRARHQPISFSGKIVYCRTPSEVEKAAIDILGKIESIKAPGPVSLGFDLEWKPFPRRGEPCKVAVMQLCMEKTLCYVLHIAHSGVPPILKSLLEDNSSIKVGICIDNDARKMLNDYGVCVQPLMDLSILANIKLAGPPKRWSLASLTQMITCKELPKPSNIRMGNWEADVLTKQQLQYAATDAYISWYLYEALQSLPDYNAEAEIEFVKVS >KQK96279 pep chromosome:Setaria_italica_v2.0:VII:2968111:2969646:-1 gene:SETIT_010698mg transcript:KQK96279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMHPGAGAAPAPSVPGNGEYDDFHWDDAAEAELQAIEAAYASASAKRRRLPNWTSPSPSPSSRPRYSQSPVSSGSTPSWAFTPPSFQGNVRARHQPISFSGKIVYCRTPSEVEKAAIDILGKIESIKAPGPVSLGFDLEWKPFPRRGEPCKVAVMQLCMEKTLCYVLHIAHSGVPPILKSLLEDNSSIKVGDFAGFYVATLGCFPCLPYTMLFFYQSSHCSVSSLLSGWNMHRQRCKKNVERLWCVCTTINGFVNPGKYQVSWAS >KQK99426 pep chromosome:Setaria_italica_v2.0:VII:32876433:32878438:-1 gene:SETIT_011200mg transcript:KQK99426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLWASSAAKALKLSGARAVAPAFSISRFFSTVHDGLKYTHSHEWVKHHEDGVVTVGITDHAQSHLGEVVFVELPEAGAQVSAGGSFGNVESVKATSDVNSPISGEVVEVNSKLSETPGLINSSPYEDGWMIKVKPSSPGEADGLLDAAKYTKHCEEEDAH >KQK99425 pep chromosome:Setaria_italica_v2.0:VII:32877165:32878332:-1 gene:SETIT_011200mg transcript:KQK99425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRLWASSAAKALKLSGARAVAPAFSISRFFSTVHDGLKYTHSHEWVKHHEDGVVTVGITDHAQSHLGEVVFVELPEAGAQVSAGGSFGNVESVKATSDVNSPISGEVVEVNSKLSETPGLVRRCHTHWP >KQK99424 pep chromosome:Setaria_italica_v2.0:VII:32876433:32878152:-1 gene:SETIT_011200mg transcript:KQK99424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMCCAVHDGLKYTHSHEWVKHHEDGVVTVGITDHAQSHLGEVVFVELPEAGAQVSAGGSFGNVESVKATSDVNSPISGEVVEVNSKLSETPGLINSSPYEDGWMIKVKPSSPGEADGLLDAAKYTKHCEEEDAH >KQK99510 pep chromosome:Setaria_italica_v2.0:VII:33214742:33215071:-1 gene:SETIT_012249mg transcript:KQK99510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSGTEEWRRNADTHKMSAEEVRAAGVEASMRPPGRGPGEVLHQRGRLPYGPGTMALVGFGIVGAIGFLVLYQKARPGTPATEVAKVAVGHGDPAAGREPPDGARQGK >KQK99236 pep chromosome:Setaria_italica_v2.0:VII:31717445:31720591:1 gene:SETIT_009611mg transcript:KQK99236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTPTPTAAAAAAAATHHRILLPSPRGALAPAFLRLPLRAHQPQSHAQRAARLPAAPVAAAAPAASTASPDAPSSGAVPGKPTVLVAEKLGAAGLALLREFANVDCSYGLSPEELRAKISLCDALIVRSGTKVGRDVFEASGGRLRVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNIAQADASLKAGTWQRNKYVGVSLVGKTLAILGFGKVGSEVARRAKGLGMHVIAHDPYASADRARAIGVELVSMEEAMTTADFISLHMPLTPATNKMLNDEAFAKMKKGVRIINVARGGVIDEEALVRALDSGVVAQAALDVFTKEPPAPDNKLVLHENVTVTPHLGASTVEAQEGVAIEIAEAVIGALKGELAASAVNAPMVPAEVLSELAPFVVLAEKLGRLAVQLVAGGGGIKSVKVTYASARAPDDLDTRLLRAMITKGLIEPISSVFVNLVNADFTAKQRGVRITEERILLDGSPETPIDYIQVQIANVESKFPSAISETGEITVEGRVKDGVPHLTKVGAFQVDVSLEGSLILCRQVDQPGMIGSVGSVLGEENVNVSFMSVGRLAPRKHAVMAIGVDEEPSKGTLTRIGEIPAIEEFVFLKL >KQK97075 pep chromosome:Setaria_italica_v2.0:VII:18769158:18775628:-1 gene:SETIT_009265mg transcript:KQK97075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALVLGTRLSINDKLAIKVAEQLGLLDQEYDRLKAEGDELWYYTYGQQDATDSLREKLQSTVFQILKKLLEKKYLLVINNLNEPIKPIKLSAFTEDLLYLPPPGLEDSFWIVSGTSKDVYDRSKPDYDCIVDSFSGDDILMLTLYSLHQTAKYILGVTGHKDEQYWHHVAVRCFHYILMLLIPHCSYAHRDGDQQSSDALADITSDEQLIRQWAIQGLITGVLERTTEVTAADCQGKYNNIYQVGNVILEAFREYSLLQLPFSPATKVDEATKSAAHFLACYNLVAECHTTEEVFFCEGNHPGLERMRWISHLGDQGWHVSREWLRQGASGPTTLIIRHCPQQSRLFMKLQSNHFLAKLSCLHVLDLSYTPLESLPPSICCLQKLQLLSLRGCYNLRSPFSFPDTEITLRENNNNKKLSSLYYFDLSYSNISNFQGDFFHNMPNLKELLLVKCSNLEEMPPSIVALSSLTTLELTRTQIKSFPREMFEEMKKLQSLKLTENKKLLFVPGLVSKLCGLINIHIEGCEPMTEVEVTLERHPTLRSFTFIGAPHMRRLSLRGCTMLEHVDIKEVDALEELDLSATAIRELPEDIPNLPQLRRLLLMGVPFLKRFPWHKLQRLPGVFCLDQCSDKTGNHSNPQDAQVCVSDSRFFYSFDSSTRDLVRGGSLLKSFYVRVTSCKATTREIHDEEDMVKTDRLQVALTAYADVNHHYLTDGVVFMVSMDDVPPFREAERHLEISAVDRYPRGLDYLLRVTKSISMSDDTHISCLGDHLGYLDSELEECKLQRCHQMQEVFSDYVRTVRHAFVSHLKSLTRFNRGDSPGFDTLKHLHLEYCPRLEAVISSPSALPSLVTLDIRFCYNLKAIFYDDGINDPCNYYELPRLRRIRLQELPLLEKLHVDNPILTAPAWEELHVRGCWSLRRLPRLDQKPDKAVKVSGERAWWTKLWWDDVPSHRGSYEARLPPASASIRERVVIRTYLR >KQK98031 pep chromosome:Setaria_italica_v2.0:VII:24600131:24602276:1 gene:SETIT_009937mg transcript:KQK98031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCAADLAPLLGPAAANATDYLCSQFTDTASAVDATYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGALFYYLFGFAFAFGTPSNGFIGKQFFGLKHLPKTGFDYPFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSAFLTGFVYPVVSHWFWSTDGWAAASRTSGPLLFGSGVIDFAGSGVVHMVGGVAGLWGALIEGPRIGRFDHAGRSVALKGHSASLVVLGTFLLWFGWYGFNPGSFTTILKSYGPTGSIHGQWSAVGRTAVTTTLAGSVAALTTLFGKRLQTGHWNVVDVCNGLLGGFAAITAGCSVVDPWAAVICGFVSAWVLIGANALAARLRFDDPLEAAQLHGGCGAWGILFTALFARKRYVEEIYGAGRPYGLFMGGGGRLLAAHVIQILVIAGWVSCTMGPLFYALKKLDLLRISADDEMAGMDMTRHGGFAYVYHDEDPGDKAGVGGFMLRSAQNRVEPAAAATGNQV >KQK99420 pep chromosome:Setaria_italica_v2.0:VII:32851746:32855524:1 gene:SETIT_010557mg transcript:KQK99420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFEGYGYPGSTFEQTYRCYPASFIDKPQLEAGDKIIMPPSALDRLVLGSQKIVLCFSAASLHIEYPMLFEVQNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAVPANTEPTTEAPEEPKFTGLGRRLDGKPSKDKDVLASSPAKRQANATNGVQPSTPNTSQGGSSRKTTGKLVFGSGGSRADKAPEKEAKEEPKNEPKFAAFTGKKYSLKG >KQK99417 pep chromosome:Setaria_italica_v2.0:VII:32851746:32855524:1 gene:SETIT_010557mg transcript:KQK99417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFEGYGYPGSTFEQTYRCYPASFIDKPQLEAGDKIIMPPSALDRLASLHIEYPMLFEVQNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAVPANTEPTTEAPEEPKFTGLGRRLDGKPSKDKDVLASSPAKRQANATNGVQPSTPNTSQGGSSRKTTGKLVFGSGGSRADKAPEKEAKEEPKNEPKFAAFTGKKYSLKG >KQK99419 pep chromosome:Setaria_italica_v2.0:VII:32851746:32855524:1 gene:SETIT_010557mg transcript:KQK99419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFEGYGYPGSTFEQTYRCYPASFIDKPQLEAGDKIIMPPSALDRLVQNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAVPANTEPTTEAPEEPKFTGLGRRLDGKPSKDKDVLASSPAKRQANATNGVQPSTPNTSQGGSSRKTTGKLVFGSGGSRADKAPEKEAKEEPKNEPKFAAFTGKKYSLKG >KQK99418 pep chromosome:Setaria_italica_v2.0:VII:32851746:32855524:1 gene:SETIT_010557mg transcript:KQK99418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLLWNHAAVSSVLIHFFMMNSTSKAMATREALSSRHIAATLHLSLTRSLLLFLQPQLEAGDKIIMPPSALDRLASLHIEYPMLFEVQNAAAERTSHCGVLEFIAEEGMIYMPYWMMQNLLLQEGDMVFIKNANLPKGTYVKLQPHTTDFLDISNPKAILEKTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEPVKPAVPANTEPTTEAPEEPKFTGLGRRLDGKPSKDKDVLASSPAKRQANATNGVQPSTPNTSQGGSSRKTTGKLVFGSGGSRADKAPEKEAKEEPKNEPKFAAFTGKKYSLKG >KQK99953 pep chromosome:Setaria_italica_v2.0:VII:35526691:35529997:1 gene:SETIT_012525mg transcript:KQK99953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLLDEDVALFLLLPHLSHDDARPGRSVFLPSGVRWPDDPDDGAGLAGFTALRASHLSSASARLGLGGDMGRMRMHGGGVGSSGSILLLGGVGSSAGRAACAMARCTRATGMAIDRIEGRAEEAGRDHDQADGKRDSPRLWLYSRPCPDSVEMAEATAAAPPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYNNTLATLKFADSKTLKEEQREELFESLKVNSSIGWEVDVICPKDLSAKMLKKSKVNLNEISHNSAMGLVRKVLDMGVLLAEVYIDTVGDPEKYRIKLTEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETALNMHMKTGSGYPGDPDTKQWLEDHKHPVFGFPTLVRFSWGTCTPFFKDAVEVTWETDEVDEDATNNGSAKRQVKLSSLGFTGFKRKTEEIESSGKGRCKFFQARKLELVRKFQ >KQK98383 pep chromosome:Setaria_italica_v2.0:VII:26681363:26682365:-1 gene:SETIT_012275mg transcript:KQK98383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQMAGLVRQVTGLSLRPRPADVSSGIHGQHRVVAHVAARPKYCRLRGAAAIAVPETSRQLLDQQEVRPSNDTASNPLITTIRKDKFFEIEMKVRDDELDEYGVVNNAIYASYLHSGRDVVLEQLGISVDYWTSTGNAMALSELNLKYFAPLRSGDRFVVKVKPVQIKGVRMIVEHMIETLPDRKLVLEGRATVVCLNKDFRPTRVFPELSARAMEVFSCKVA >KQK97051 pep chromosome:Setaria_italica_v2.0:VII:18506452:18507285:1 gene:SETIT_012587mg transcript:KQK97051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFSSYFFSSSSSSADKKSSSSSSKRRQQAAAQPQPDANTTRYLGVRRRPWGRYAAEIRDPATKERHWLGTFDTAEEAAVAYDRAARSLRGARARTNFAYPDLPPGSSITPYLSPDLTSGDNAGQLLQPFYADPAAASLPAGPAAKGGGDAGGFGAAGDYYASYGGYSADDMSALMDDLAIPDDIPTDDYGVDAGGAMDLSSVYGGGGGANASDGAGGVGWCDASELSAYGASAAASHGVYFEEGYVHSPLFSPMPAVDDACADGFQLGGSSSSYYY >KQK96487 pep chromosome:Setaria_italica_v2.0:VII:9579693:9581728:1 gene:SETIT_009810mg transcript:KQK96487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQALVQSGLGLHQQLTTASPQALAVSLLVVFCPLLLLLARFATTAKATTPREKLLAKLPSPPSRLPVIGHLHLVGSLPHVSLRDLTAKHGRGGVMLLRLGAVPTLVVSSARAAQAVLRTHDHLFASRPRSVVTDILFYGSSDIAFSPYGEHWRNIRKIVTTQLLTVKKVRAYRFVREHEVRLVMEKIGESAALGKAVDLSRLLPSFTNEIMCNIVSGKLFKDEGRNKLFRELTEANSQLLGGFNLEDYFPRLGRLGVVRRVVCAKAEKVHKRWDDFLDMLIDGHASKSVANCSDDNKISDLIDVLLSIQQQYGLTRDNVKAILVDMFQAGTDTSSIVMEYAMAELMQKPCLMTKLQAEVRRIVPRGKDMVTEDDLNSMTYLKAVIKETLRLHPPLVLLVPHLCLADCDIEGYTIPAGTRVIINGWAIGRDASSWERADEFEPERFMEGSSIAAVDYNGNDFLFLPFGSGRRMCPGTNFAISTMEIMLANLMYHFDWKLPDGYMNVNMTESFGVTVHRKEKLLLIPVQP >KQK97090 pep chromosome:Setaria_italica_v2.0:VII:19023563:19026895:1 gene:SETIT_010672mg transcript:KQK97090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPLLVRPPALASPPLSPRRCAPAAASVRTAPTPTAAAPFSRLRTKCRFAASDVREDFSSNPIDIVADVKTEKIVVLGGSGFVGSAICKAAVSKGIEVVSLSRSGRPSYSDSWVDQVNWLAGDVFYARWDEVLVGATAVVSTLGGFGNEEQMKRINGEANVIAVNAAKEYGVPKFILISVHDYNLPSFLLSSGYFTGKREAESEVLSKYPASGVVLRPGFIYGKRKVNGFEIPLDTVGQPLEKLLSSVENFTKPLSSLPASDLVLAPPVSVDDVAYAVINGVIDDSFFGVFTIEQIKEAAANVRV >KQK97595 pep chromosome:Setaria_italica_v2.0:VII:22079095:22080328:-1 gene:SETIT_010774mg transcript:KQK97595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGPAAVSFLTNIAKVAAGLGAAASLLSASLFTVDGGERAVIFDRFRGVLPQTVSEGTHFIVPWLQKPYIFDIRTRPHNFSSNSGTKDLQMVNLTLRLLSRPDVEHLPTIFTSLGLEYDDKVLPSIGNEVLKAVVAQFNADQLLTDRPHVSALVRDALIRRAREFNIILDDVAITHLSYGIEFSQAVEKKQVAQQEAERSKFLVAKAEQERRAAIVRAEGESESARLISEATAMAGTGLIELRRIEAAKEIAAELSRSPNVAYIPAGDNGKMLLGLNASGFGR >KQK98123 pep chromosome:Setaria_italica_v2.0:VII:25115125:25117775:1 gene:SETIT_009541mg transcript:KQK98123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDGGAAAEGGVSPQLWLASAGSMCTVPPVGAAVYYFPQGHAEQASAAVDLPAAAVPPFVPCRVAAVRFMAEPHTDEVYARIRLVPLRSGEPVVDVGDAAAAGGDQQPQQPNQASFAKTLTQSDANNGGGFSVPRFCAETIFPELDYRARPPVQSVYARDVHGVEWSFRHIYRGTPRRHLLTTGWSNFVNKKRLLAGDSVVFVREANGRIHVGLRRAKRGFGAGAGGDDGFAGWGDAFGALQVRGNAGGGARYPGGKVPPEDVVAAARLAAAGQPFEVVHYPRASTPEFCVRAAAVRASMQVPWCPGMRFKMAFETEDSSRISWFMGTIAGVKAADPTRWPQSPWRLLQVAWDEPELLQNVKRVCPWLVEQVSSMPNLHLPSFTPRKKPRIPEFPLEGQPLFDPGFPPAAHPLPPLAPHPRPHHDQNPHPHALVPLFPFPDGSAAAGIQGARHAQFAPFFSDLHVGNLQQGLLFCGFRPADHHTTPPAPRISTDLAIGIPPPPRQDAPRSPPSAASKKADDDVKPARIMLFGRAILTEEQMNCNSPTSPGATGEGAPKPDRDAEKGPNTPDGSGSGVTEGSPTKNNLWEPGQCKVFVKSDSVGRNLDLSALGTFDELYARLAAMFRFDNADLRSHVLYRTATGEEKHVGDEPFSAFVKSVRRITIRSDAGSDSTGSQ >KQK97015 pep chromosome:Setaria_italica_v2.0:VII:18221613:18226135:1 gene:SETIT_010781mg transcript:KQK97015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTQAVENLKKEWNQAVSQLEESIAAIRSCGKTGKGTEEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFEEVQSGQATLESWDEQYKKLRASLRNANLQAKENIRKAAQEERELLLGGGEESTIRRRNLQTKTGMTSSAESITESLRRSRQMMVQEVERSASTLATFDESTSVLRKAEGEYQGHRSLLSRTRGLLSTMQRQDVLDRVILTAGFIIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSLSAEDIVAKAQQHGPAAADAAGPAPPIYDEL >KQK97014 pep chromosome:Setaria_italica_v2.0:VII:18221613:18225583:1 gene:SETIT_010781mg transcript:KQK97014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVTQAVENLKKEWNQAVSQLEESIAAIRSCGKTGKGTEEANSLPRLNGSAQDALQLLKSLQFRLDLLAQQLPTFEEVQSGQATLESWDEQYKKLRASLRNANLQAKENIRKAAQEERELLLGGGEESTIRRRNLQTKTGMTSSAESITESLRRSRQMMVQEVERSASTLATFDESTSVLRKAEGEYQGHRSLLSRTRGLLSTMQRQDVLDR >KQK97948 pep chromosome:Setaria_italica_v2.0:VII:24133643:24134170:1 gene:SETIT_013098mg transcript:KQK97948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDGSGGQTISSLFPFRAKDNNPRCTGSRHMISSKEYAYIYIFNY >KQK97912 pep chromosome:Setaria_italica_v2.0:VII:23922376:23923928:1 gene:SETIT_010592mg transcript:KQK97912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGDEKSAVGAPAYWGLGARPCDACGGEAARLYCRADAAFLCAGCDARAHGAGSRHARVWLCEVCEHAPAVVTCRADAAALCASCDADIHSANPLARRHERLPVAPFFGALADAPKPFASSAAAVPKAADDDGSNEAEAASWLLPEPDLGPKEESATTEVFFADSDPYLDLDFARSMDDIKAIGVQNGPAELDLTGAKLFYSDHSMNHSVSSSEAAVVPDAAAGAAPVVPVVSRGLEREARLMRYREKRKSRRFEKTIRYASRKAYAETRPRIKGRFAKRTAGAGADGEDPLEEHEEEMYSSAAAAVAALMAPGGADGDYGVVPTY >KQK98720 pep chromosome:Setaria_italica_v2.0:VII:28817838:28819760:-1 gene:SETIT_010287mg transcript:KQK98720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFTAHTSGAATSRYSPAGTSILLRSRRRGHFPSRVSCSAAAAAGKTVVIGLAADSGCGKSTFVRRLTSVLGGGAEPPSGGNPDSNTLVGSTATVICLDDYHSLDRAARKARGLTALDPRANDFDLMYEQVRAIKEGRAVDKPVYNHVTGLLDPPERIAPPKILVIEGLHPMYDERVRDLLDFSIYLDISEEVKFAWKIQRDMAERGHSLESIKASIDARKPDFDAYIDPQKQYADAVIEVLPTQLIPNDEEGKVLRVRLIMKEGVRHFAPVYLFDEGSVVTWIPCGRKLSCSYPGIKFAYGFGTYFGHEVSVLEMDGQFDKLDELIYVETHLSNLSTKFYGEVAQQMLKHADLPGSSNGTGLFQTIVGLKVRDLYEQIAAERADVTTDPLRV >KQK99620 pep chromosome:Setaria_italica_v2.0:VII:33834848:33841070:-1 gene:SETIT_011065mg transcript:KQK99620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGELLSKILLLLFGYAMPAFECFKTVETRPNDAHMLRFWCQYWIIVAMVIAFESVISWMPMYSEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLISFYVKNFADKGTAFFMDVLRYVVSEKPEGSSAEQKNKKSGWSPFATKRRPPSPPPQESIFDSNPDAAVLAEALRATIGGANPRRPTNGKHY >KQK99621 pep chromosome:Setaria_italica_v2.0:VII:33834643:33841140:-1 gene:SETIT_011065mg transcript:KQK99621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGELLSKILLLLFGYAMPAFECFKTVETRPNDAHMLRFWCQYWIIVAMVIAFESVISWMPMYSEMKLAFFVYLWYPKTKGSDVVYDTFLRPIVMQYEPNIEQRLLHLRAKSGQLISFYVKNFADKGTAFFMDVLRYVVSEKPEGSSAEKNKKSGWSPFATKRRPPSPPPQESIFDSNPDAAVLAEALRATIGGANPRRPTNGKHY >KQK97663 pep chromosome:Setaria_italica_v2.0:VII:22499272:22499974:-1 gene:SETIT_011598mg transcript:KQK97663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKLKFTKERVGCYMLVILVIVLLIGVLFGLGVFRHGYDHIKDIGRNHTCFDCNRY >KQK96795 pep chromosome:Setaria_italica_v2.0:VII:15620615:15623958:-1 gene:SETIT_011687mg transcript:KQK96795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEEGRVFKDLPALKRWLQAFAVIRKRPYKVLHSYAERHYTVVCDKEQCPWRVCARKQNITGKWKITKVVGLHNYADHELTVRHPQLTSTLIAKRLMGILKEQPDMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWKMIYGDWESGYEQLPVLFNAIKAMNPGMHYEYIPKPNAWKDGRQIFGRAFWCFPQCVEAFRHCRPVFSIDGTFLIGKYRGTLLIAISCYANNMLVPLAFALGYLPLHHRWCTRHLAENLLRKDGVKNNFDLFQVRTATNAEGRQWLAGLMRDLDKWTRSHDVGGWRYEFQCSNMAESFNKLLLGIRGIPVNAIIEFTFYRLVAWFNERHIKAEALQSVGERWAEKPKRHLSIANERASTHKVQCFDLDTGTYQVEHRGGTTSDGEIRESRIHVVVLRDFKCTCGRPRQYHFICSHLVAVARHRNFDIESMIPHEFSVHTLVRTWSPRFVRFQDPKEWPLYEGLKYIAVPAYRWNKRGTRKRTRHNMTMDQVSGRMRHGRATPFLTDPEQNECGNNISTKCRWRPETHSFHLPFGEMTVILHDCQKMLGLTIRGHAVTGPCVLEGWRARVAAFLMREVEDQGTRTSGDADAETVGHYCRAWILHLFACVLFPDATGDTASWMWIHCLTDWQVAGQYSWRSAVLCFLYRQLCEACRQTSGSASVGGCVYLLQLWMWARLPIGHPEIMGRRPLERAYLDYINEIDALTAHSVLTAHSVNWQPYEGEDAFPFAVSVMCAADDDPYRMKCPLICFYAVEYHLPNRVARQFGIMQI >KQK97088 pep chromosome:Setaria_italica_v2.0:VII:19013007:19017155:1 gene:SETIT_010224mg transcript:KQK97088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQMVSDDKFEEQAARHGGIIKNGREILFQAFNWESNKHNWWSKLEDKVTDMAESGFTSAWLPPPTQSLSQEGYLPQNLYCLDSSYGSLPELKSLLHKMNEHHIRAMADVVINHRIGTTQGSNGMYNRYDGIPISWDEHAVTSCSGGKGNKSTGDNFNGVPNIDHTQTFVRKDIIEWLIWLRKSVGFQDFRFDFTKGYAAKFVKEYIEESKPLFAVGEYWDSCEYSPPDYRLNYNQDNHRQRIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFIENHDTGSTQGHWPFPSDHIMEGYAYILTHPGIPTVFYDHFYDQGQSLHDEIAKLMQIRKCQDIHSRSSVKILEARSDLYSAIINEKLCMKIGDGSWCPSDPEWRLAASGDRYAVWHK >KQK97087 pep chromosome:Setaria_italica_v2.0:VII:19013007:19017155:1 gene:SETIT_010224mg transcript:KQK97087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQMVSDDKFEEQAARHGGIIKNGREILFQAFNWESNKHNWWSKLEDKVTDMAESGFTSAWLPPPTQSLSQEGYLPQNLYCLDSSYGSLPELKSLLHKMNEHHIRAMADVVINHRIGTTQGSNGMYNRYDGIPISWDEHAVTSCSGGKGNKSTGDNFNGVPNIDHTQTFVRKDIIEWLIWLRKSVGFQDFRFDFTKGYAAKFVKEYIEESKPLFAVGEYWDSCEYSPPDYRLNYNQDNHRQRIINWIDSTGGLCAAFDFTTKGILQEAVKGELWRLRDPEGKPPGVMGWWPSRSVTFIENHDTGSTQGHWPFPSDHIMEGYAYILTHPGIPTVFYDHFYDQGQSLHDEIAKLIRKCQDIHSRSSVKILEARSDLYSAIINEKLCMKIGDGSWCPSDPEWRLAASGDRYAVWHK >KQK96410 pep chromosome:Setaria_italica_v2.0:VII:5888751:5892673:1 gene:SETIT_012303mg transcript:KQK96410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDMRVAVDVRNTKVPKRSPPRFEPSQPGERDTIDSCIKVPEMLESSSTGFRTPPTQIGCQQGSNEDQTITTGRQGVVFLPPDTLNANQMIASEEEIPPQTHEAGVSTQSIKNVLQNMHGGAELVPITSRDIENRKATNVREEHADDINKLIEFFKDYQDQTMGVAIAKEFPGVVHKICRWHVVNKHMPHLTNLFGMYAKKNFKDKFYSVLNHPLTPVEFEAAWQELLDEFDLQKDSTLDSLYCQRELYVPAYFKDQYCGRMASTQRSESSNFVMKKCFVDKHTALHRFAKKMLDFMHSRKMKESEESYHGTSKRLTRSKWPFEIQVSRIYTRNVFKDFEKKMIDCTAFDIEDNPIEGETCYLVTHTNRSSKISWGQHQFKVCANKENGEFHCECKEWQHTDLFCVHLLRAFMRIQLNSIPQHYILCRYTKYAQQELGFNRNDKLLVGADGVTQLYRIKDLTSLAMAAVRSGSMSRVAHIRTREVLAKLDKDNKEIPLDIGPSTTNMHQESLGEYHANDDRLISRVPPTRAKTKGRSIPPSEKNEITLGAKGVKKGTRKCSICGYYATHNARTCPKLQQNKERLEVLKNRMRGRPRGAQHKSSASQHDSGGEEHNIGRQQDTKKCQENKYIDYESNDEESKDTDMEG >KQK96363 pep chromosome:Setaria_italica_v2.0:VII:4141109:4141336:-1 gene:SETIT_011456mg transcript:KQK96363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDSKGCADATPKTEWPELKGCTIKAATEKIKAERPELNVEAVPVGTFVPQDFDPNRVRLWVDIVAEVPRIG >KQK96358 pep chromosome:Setaria_italica_v2.0:VII:4139598:4141642:-1 gene:SETIT_011456mg transcript:KQK96358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDSKGCADATPKTEWPELKGCTIKAATEKIKAERPELNVEAVPVGTFVPQDFDPNRVRLWVDIVAEVPRIG >KQK96360 pep chromosome:Setaria_italica_v2.0:VII:4139598:4141642:-1 gene:SETIT_011456mg transcript:KQK96360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDSKGCADATPKTEWPELKGCTIKAATEKIKAERPELNVEAVPVGTFVPQDFDPNRVRLWVDIVAEVPRIG >KQK96362 pep chromosome:Setaria_italica_v2.0:VII:4141109:4141471:-1 gene:SETIT_011456mg transcript:KQK96362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRISKRRRKNLQVSLKKMSSSDDSKGCADATPKTEWPELKGCTIKAATEKIKAERPELNVEAVPVGTFVPQDFDPNRVRLWVDIVAEVPRIG >KQK96359 pep chromosome:Setaria_italica_v2.0:VII:4141109:4141336:-1 gene:SETIT_011456mg transcript:KQK96359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDSKGCADATPKTEWPELKGCTIKAATEKIKAERPELNVEAVPVGTFVPQDFDPNRVRLWVDIVAEVPRIG >KQK96361 pep chromosome:Setaria_italica_v2.0:VII:4141109:4141336:-1 gene:SETIT_011456mg transcript:KQK96361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDSKGCADATPKTEWPELKGCTIKAATEKIKAERPELNVEAVPVGTFVPQDFDPNRVRLWVDIVAEVPRIG >KQK96364 pep chromosome:Setaria_italica_v2.0:VII:4141109:4141336:-1 gene:SETIT_011456mg transcript:KQK96364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSDDSKGCADATPKTEWPELKGCTIKAATEKIKAERPELNVEAVPVGTFVPQDFDPNRVRLWVDIVAEVPRIG >KQK96318 pep chromosome:Setaria_italica_v2.0:VII:3580855:3582882:1 gene:SETIT_012423mg transcript:KQK96318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRPLDLWNRWSIQILMLFSLVLHVLLLPLAGIRRRRATILLRIPLWLAYHLADTIGIYAIGLLSLSSAPRDHRLMPFWAPFLLLHRGGPDSIAAYAFHDNQLWLRHLQVFVVKVLAATYVLYKHLPKSDTFLALAAFLMWAVGIGKYAERVVAIRGGNMSSIRRSLKKQPLARHHHFHHWDQGILKKTNDEEEAHLRRAHYMFHICKRATVDSWLEKDPEHNTLEMLKALRKEDSKGMWAFSEMQLSLLYDILYTKAAVIHTWPGYFIRLASSVAIAASFLLFHFSGKDDHKVDVGVTYTLLAGAFLMEVASLLNSLGSSWTYAFLCTTRWSWIRYAALCTGRWDRLRQIAKTIKGSAGSNRSGRRWSGEMGQYNMLHYCSRRRMSYTPLVGRVAAMLGFEEWWNRKHYSATVNISDGLKQGLFEYIHRLTETGLNSQGVIRKSWGQDALEREDRDLYDRIKKERNLGVEFQEGVIIWHIGTDIFLAKSSRDTGDAADVVKDIRTLSNYLMFLLVDHPKMLPGLAQNMLYRRTCENLSERCKNHGHQTTGNMGTMLKEILRLNDGPNVTELNHHVNELANTVYKERPKYSHNVPRLCYANGVAKELLDREKDKGSKAVLKLVLQVWMDFLVYAANRCSRESHAKKLNSGGELTSVIWIMTDFLNQEAYARHKD >KQK96229 pep chromosome:Setaria_italica_v2.0:VII:2084786:2085205:1 gene:SETIT_012649mg transcript:KQK96229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFWDVLGKVTGVMQLTGVDAFGMVSMIVQAARTARRNRDLCQQLAKKVEIVSGLLEELQIPELRRHRKTRRPLDELRTALFRGYVLVWSCSQQQSTSQFRQLFMAADMASMLRQAKDEIDSYIILIPLITTVASVRSR >KQK97178 pep chromosome:Setaria_italica_v2.0:VII:19440792:19442609:-1 gene:SETIT_009872mg transcript:KQK97178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHSKSTTDFHTTNRHIHPAHHLVPATIPSGISQSGADRAPDEAILVALVALGSLLALGVEHLAAAVAVAAHDEAHAGAARAPDPRPGQSLQQRLQLAVLLQLRHLGGAADVPAPDEHGGHAHLPPAQQQPQLLAVARVHGDVALDHLHVVGLDRGTHRVALLEEDDGVGAPRRALAIGHNRRRRRLRVLGLLGPDHPLDVGAFLRQLALDGREQLWVEQRAQAAARRRHAATAAAAGLGGAWLADDPGAPGGELLGRHRQLGVVDGVVRAEHPVVVDVAGGLLLVAGVPGAALERAVLLPRRVLPPRRRPLGRRQGHGDDPGRRPPARLGRLLRRRPGRGRGGVGVLLQPGHDLPRVDHLQTGLRLPRRRRRFLVSGRDDERRRAHAGALPLLAYRLVLRRRRWLLLLLFLLLFRSSGRRGGGAVLGVDVEDAVGGGGEGDDVVDAAASFEEMHPISARGGRARAPSSPLGLPLLSLLTAAAFAFAFAFSAGESLGPGGVRLTGSGEWPF >KQK96101 pep chromosome:Setaria_italica_v2.0:VII:370224:370558:-1 gene:SETIT_011777mg transcript:KQK96101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPLCPRTLIKWLEKIDPRKMEHEEQLCFWINIHNALVMHAFMAYGLQEKCIAQFYTQFSFAYSSFSGVPFLITTKTHR >KQK96261 pep chromosome:Setaria_italica_v2.0:VII:2632087:2634070:1 gene:SETIT_011753mg transcript:KQK96261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTTEVAALPSDALSHVFCRLPARSLAEARSVCKAWRAIVDARALLLRRRKLLPHNVHGVFVNYIDHCRPHLFSRPSPVASSASYDKINNMLGFLPTYNNGDWWSVLDHCRGLVLCDIEQGCQLCVCNPATQRWALVPPRWQARARWRSYVSAYLTFDPAMSPHYEVILIPTVLEWPQPEPPGLQKARNQRRAFEVRLHGVDDAPFCLDEWLHFSSDVDEEEEEEGLGDEDMELSHVEDTCHLTEWPPTPWTLDVFSSRVGRWEERAFVRQGEPAGTVQDMLLDQPKPTCRGPRQRYAAYWQGALYVHCRGSFIARLSLLNNNYQVIKTPGDIQKNTSAKPYLGISEKGVYFGIAQKCQLRVWILNESRGQMEWILKYEDDLTHYAQHVRQYDGQMDGPWIVHDVNDTDSVSEAMKQSSEWDSDSDDIFTIKAGSTDEEYYGAGYDILGFHPYKEVVFLADYFTVVAYHLKTSKVRYLGNSRPKSYYHSFTNDIYESFVYTPCMIGELNRGSTMKEN >KQK96626 pep chromosome:Setaria_italica_v2.0:VII:13166388:13167004:-1 gene:SETIT_011607mg transcript:KQK96626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGNRTDTRIGNNYCCKFVPYRKKKEIQEYEKESIILAKYHYSTKYMLPAFNVIH >KQK98842 pep chromosome:Setaria_italica_v2.0:VII:29557438:29557968:1 gene:SETIT_011586mg transcript:KQK98842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHETQKKRSIDGSSPKEHPLNKRVATFTITTSAFMVCFTLILACCTSSTMEKFMPKVLKQ >KQK99687 pep chromosome:Setaria_italica_v2.0:VII:34219346:34220575:-1 gene:SETIT_012797mg transcript:KQK99687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVQGSPPWPDLPPKLLGLVFLRLPTCADRAFFPAICRTWCSAVQQCCLPSPSPVPWLVLLGVNLPEGVRYHNSCGEWLLLSCDDHNCFLMNPFTKATMPLPSLSSYSYYEDPVEVAEDCMAQQGDMPLGTWSQNKYVEEISVLSLVVCSARLIAAIVAVGALGTIALCHSGATAWSVSAHEECRWLTHMVFFQGKLYALDSNTDREDLISIDIVDEHDNDEPRVSRIERLIEGDSRPWHQYFYRMHYLLESHDRLLMVRRKLSYMIVHRSGIGDHDIRVPVSSEFEVFKADFELGLWSDVSTLGNDQALFLRQGCSRAVRVSPYDLSRDCLFFIDDYTDWSWKKTTTSCGVYDMKDEKVYSPLPTVSWKSGDVPATWLFSQDTHVHTFFLQSLTTY >KQK99689 pep chromosome:Setaria_italica_v2.0:VII:34221528:34223114:-1 gene:SETIT_009733mg transcript:KQK99689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPRCGYIRRAIAAATKDPSAAVELDLSSLPGGADAFEKAARYCYGANFEITARNAAALRCAAAFLDMQHPADLARRVDEFLAQAGLRALPSAVTVLRSCEALLPAAEELGVVRRAADAVALRICNEALFPTRSPPGWWTAELAALSPASFQKVVTALRCRRADPEVLANAASAYAELTLAEVLADPRDREDQRALLESVVDVLPSAADAPIPAAFLCRLLHAAVTTEASAKTCRDLELRVAAVLDQATVGDLLGVALDGAGEHVRNTDTVRRVIAAFVERQAAASEGGRSRRASLSGGAELDSGAAMEKVAKTVDELAAEIATEESLAISKFVGVAGAVPKEARASHDCLYRAVDIYLKTHPELDEIEREKVCSVMDPLKLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSAAGAGDDDTQSAAGKARAQARADASLARENEALRSELARMRAYLSGVQPSKGSGSSPSPAAKKTSFLGSVSRTLSRLNPFKGGWAKDTASVADGRDGRKDMHVVRPKRRRSSIS >KQK99688 pep chromosome:Setaria_italica_v2.0:VII:34221196:34224182:-1 gene:SETIT_009733mg transcript:KQK99688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRITQWVSSQEVPADLTIRIADSSFPLHKAVMVPRCGYIRRAIAAATKDPSAAVELDLSSLPGGADAFEKAARYCYGANFEITARNAAALRCAAAFLDMQHPADLARRVDEFLAQAGLRALPSAVTVLRSCEALLPAAEELGVVRRAADAVALRICNEALFPTRSPPGWWTAELAALSPASFQKVVTALRCRRADPEVLANAASAYAELTLAEVLADPRDREDQRALLESVVDVLPSAADAPIPAAFLCRLLHAAVTTEASAKTCRDLELRVAAVLDQATVGDLLGVALDGAGEHVRNTDTVRRVIAAFVERQAAASEGGRSRRASLSGGAELDSGAAMEKVAKTVDELAAEIATEESLAISKFVGVAGAVPKEARASHDCLYRAVDIYLKTHPELDEIEREKVCSVMDPLKLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSAAGAGDDDTQSAAGKARAQARADASLARENEALRSELARMRAYLSGVQPSKGSGSSPSPAAKKTSFLGSVSRTLSRLNPFKGGWAKDTASVADGRDGRKDMHVVRPKRRRSSIS >KQK98630 pep chromosome:Setaria_italica_v2.0:VII:28353876:28356207:1 gene:SETIT_011985mg transcript:KQK98630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKPPPYRHPRQQLDMDLGARMADVETSCRCHWRAYRLPLCRQEPSARHVAAVAPSLPLLGHLHLIGRLAHRSLHELQLRYGGGGILYLQLGRRRTLVVSTAAAATDQFRNHDLAFASRPRSMSAEKLIWRRGKKVAVVHLLSPRRVESFAPVRAAEAGEAVELRRLLYGYTNAVVTRAATGAAGATAERLKQLLGIVRWATGLDKKLDDIAEAWDKFLSKIVASHEEKRANGVGDEDEEDFLDVLLRLRREGADGLELTDDRIKATVKDMIVGATETSSQTLEWAMAEVVANPRVMTKLQDEIARVVATADQPTIAEAVLNKMEYLKAVFKEVLRLHAPAPLLVPHESTIPAVVQGYEIPAKTSLFVNVWAIGRDPAAWDAQFRPERFVGGSGGGPPVDFRGTDYQLIPFGAGRRICPGINFALPILEIALAGLLRHFDWELPAGMSPADLDMVEEPGLTTPRRVPLVLVPECRTLAQGQPALQ >KQK99659 pep chromosome:Setaria_italica_v2.0:VII:34110652:34115801:-1 gene:SETIT_009574mg transcript:KQK99659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRRCGSRRPAVVGDSSNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQAHLTDVLRTPNAVERMYSEVQLLSTLRHDAIIGFHASWVDVSRRTFNFITELFSSGTLRSYRLRYPRVSLRAIRSWARQILRGLAYLHAHDPPVIHRDLKCDNLLVNGHQGQVKIADLGLAAVLRHQSTAHSVIGTPEFMAPEMYDEEYDERVDVYSFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGKLPDAFYRVQDDDARRFIGRCLVIASKRPSAAELLTDPFLLDDHHHSKSACAVMPPSSLHVAASCSSTCSTSDVEEDAEPPTPIRTDMTITGKLNAEEDTIFLKVQIADQTGNNVRNIYFPFDMASDTAMEVAEEMVKELEITDRDPSEIAAMIEQEIERLLPGREQQHEYSVYAANGDDDDGNEERPPPFYYPSSSPTSSQGSLCGVGPYASGGFSGTHGGGWSKGNEEEEAKLPPEEENVVGPSSCTAKASRFSPGERSSRSPGRADTAQQQSLARQLQRQCSLAPHAGRPRRRDDDDHHHHGRRNNRMTRNRSMVDMRSQLLHRTLVEELNRRLFFNTVGAVENIGFRAPATASSSSTRGRRSKDDKHHQYVML >KQK99660 pep chromosome:Setaria_italica_v2.0:VII:34110652:34115801:-1 gene:SETIT_009574mg transcript:KQK99660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRRCGSRRPAVVGDSSNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQAHLTDVLRTPNAVERMYSEVQLLSTLRHDAIIGFHASWVDVSRRTFNFITELFSSGTLRSYRLRYPRVSLRAIRSWARQILRGLAYLHAHDPPVIHRDLKCDNLLVNGHQGQVKIADLGLAAVLRHQSTAHSVIGTPEFMAPEMYDEEYDERVDVYSFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGKLPDAFYRVQDDDARRFIGRCLVIASKRPSAAELLTDPFLLDDHHHSKSACAVMPPSSLHVAASCSSTCSTSDVEEDAEPPTPIRTDMTITGKLNAEEDTIFLKVQIADQTGNNVRNIYFPFDMASDTAMEVAEEMVKELEITDRDPSEIAAMIEQEIERLLPGREQQHEYSVYAANGDDDDGNEERPPPFYYPSSSPTSSQGSLCGVGPYASGGFSGTHGGGWSKDYGCYTSLSDDDDMSSMHSGPSSCTAKASRFSPGERSSRSPGRADTAQQQSLARQLQRQCSLAPHAGRPRRRDDDDHHHHGRRNNRMTRNRSMVDMRSQLLHRTLVEELNRRLFFNTVGAVENIGFRAPATASSSSTRGRRSKDDKHHQYVML >KQK99661 pep chromosome:Setaria_italica_v2.0:VII:34110935:34114923:-1 gene:SETIT_009574mg transcript:KQK99661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRRCGSRRPAVVGDSSNGYVETDPTGRYGRFDELLGKGAMKSVYRGFDEERGVEVAWNQAHLTDVLRTPNAVERMYSEVQLLSTLRHDAIIGFHASWVDVSRRTFNFITELFSSGTLRSYRLRYPRVSLRAIRSWARQILRGLAYLHAHDPPVIHRDLKCDNLLVNGHQGQVKIADLGLAAVLRHQSTAHSVIGTPEFMAPEMYDEEYDERVDVYSFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGKLPDAFYRVQDDDARRFIGRCLVIASKRPSAAELLTDPFLLDDHHHSKSACAVMPPSSLHVAASCSSTCSTSDVEEDAEPPTPIRTDMTITGKLNAEEDTIFLKVQIADQTGNNVRNIYFPFDMASDTAMEVAEEMVKELEITDRDPSEIAAMIEQEIERLLPGREQQHEYSVYAANGDDDDGNEERPPPFYYPSSSPTSSQGSLCGVGPYASGGFSGTHGGGWSKDYGCYTSLSDDDDMSSMHSGKYSALHYASGNEEEEAKLPPEEENVVGPSSCTAKASRFSPGERSSRSPGRADTAQQQSLARQLQRQCSLAPHAGRPRRRDDDDHHHHGRRNNRMTRNRSMVDMRSQLLHRTLVEELNRRLFFNTVGAVENIGFRAPATASSSSTRGRRSKDDKHHQYVML >KQK99186 pep chromosome:Setaria_italica_v2.0:VII:31389434:31393482:-1 gene:SETIT_010240mg transcript:KQK99186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCCPCATSSSPALFLLPSVPASSTSKTTSTSTTRVASSPCGGAFSCGSGRLRWGTGPRGRYATVAASGKDGSGTAEEEKGEGDPGFNPFGFVTDNPSSRSAIQLPAVPAEDGNVGQMLYRIEDKGRDYGSYVRSGEFKWFVRETGSSDARRGTIVFLHGAPTQSFSYRVVMAQMADAGYHCFAPDWLGFGFSEMPQPGYGFDYKEEEFHKAFDDLLGTLNITEPFFLVVQGFLVGSYGLTWALKNSSRVLKLAILNSPLTVSSPVPGLFQQLKWPLFGEFTCQNAVLAERFIEAGSAYVLKLEKADVYRLPYLSSGAPGFALLEAARKVNFQDVLSRISAGFSSNSWEKPILLAWGISDKYLPLSVAEEFKKANPDVVKLEAIEGAGHMPQEDWPEKVVKALISFL >KQK96522 pep chromosome:Setaria_italica_v2.0:VII:10879477:10880133:-1 gene:SETIT_011523mg transcript:KQK96522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPRKFFPAVVLLLLLVASTGMAPVQARECEKDSAQFVGLCMKEDNCSNVCRGEGFTSARCSTFRRRCVCIKEC >KQK98852 pep chromosome:Setaria_italica_v2.0:VII:29598224:29602575:-1 gene:SETIT_009773mg transcript:KQK98852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLETAVTGYRRVNRWWRKIPPDEMAVARLPPDEMAVAPRLAAGSLPPISGRVPPILQVAGGSCHPSFRALCSLSSVVVVLTLAVVALITPAAIDRRKSISPSYYPLSPLLKVRRGLPPPRPRDYQSELATPSPAPLQIWRRRPPALMAIRVPSMELHRPAPLVSGGRGKTCLQKKPFLVQAKRLGGLEKASTRGAQESGQPKKRAPLIRGTVSPPLPVPGNIPRPPYVGTKDVPEIAKEIQMHDKEGIIHMRAACELAARVLEYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRALQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECLLRGISTCKHGTSFKKIGRRISEHAERHGLGVVECFVGHGVGRVFHSEPIIYHQRNNKPGQMVEGQTFTIGTQEGSQLILDSLFTTLAVKPASFGFHSRRHALCVPDCCRTFLSRADPIHGEHRLRHVGRRLDRRDDGRQLGRPVRAHHTHHQDRRRDPHEMLGENLLHAR >KQK98851 pep chromosome:Setaria_italica_v2.0:VII:29598209:29602575:-1 gene:SETIT_009773mg transcript:KQK98851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLETAVTGYRRVNRWWRKIPPDEMAVARLPPDEMAVAPRLAAGSLPPISGRVPPILQVAGGSCHPSFRALCSLSSVVVVLTLAVVALITPAAIDRRKSISPSYYPLSPLLKVRRGLPPPRPRDYQSELATPSPAPLQIWRRRPPALMAIRVPSMELHRPAPLVSGGRGKTCLQKKPFLVQAKRLGGLEKASTRGAQESGQPKKRAPLIRGTVSPPLPVPGNIPRPPYVGTKDVPEIAKEIQMHDKEGIIHMRAACELAARVLEYAGTLVKPSVTTDEIDKAVHKMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRALQDGDIINIDVTVYLNGYHGDTSKTFLCGEVDEASKRLVKVTEECLLRGISTCKHGTSFKKIGRRISEHAERHGLGVVECFVGHGVGRVFHSEPIIYHQRNNKPGQMVEGQTFTIEPILSMGSTDCDMWDDGWTAVTTDGSLAAQFEHTILITRTGAEILTKC >KQK96402 pep chromosome:Setaria_italica_v2.0:VII:5665821:5666735:-1 gene:SETIT_012708mg transcript:KQK96402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADGRSGVKMVVSYGGEIVRCPYTGKARYAGGENRIVRIGMSERLGELRARLAALARYSGVRIRYALAGPGGDGLDSLHDVADEHDLWVLVTRLCCCNGLAARDGRVRAFLSPVDAPPPLAPDRIRRRASSPLLLDRSNVETAEATLTRSQSAIALYAPAATPVTSQGGDASAAGHVNPGFEALAEIAAAQRSTTGYAASSSLTSSGSGTAPPCGRADGGGFDAPSGIAAAQSSAAEYTASDSGFEALAAVAAEQSGQGSPPPAPVFLVPVPLAAVFFRAIPVYGCFVAAAVL >KQK97946 pep chromosome:Setaria_italica_v2.0:VII:24121352:24124842:1 gene:SETIT_010308mg transcript:KQK97946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGARGRLAPASGGGADSEPRSAGSRTRSVSATRGRKPSPRPGRDVAAAAAAAEEKKPAGVPTLLPSLSAPAGMRRQELLLRSGLSLDASCSSDASTDSFCSRASTGRIGRPAFGAKKKKALSQTDYKAVSMLERDGGLASQTDAAGVKRRCAWVTANTDPCYAAFHDEEWGVPVHDDKKLFELLVLSGALAELTWPAILNKRAIFREVFMDFDPVLVSKLSEKKIIAPGSPSSSLLSEQKLRGVIENARQILKIVEEFGSFDKYCWSFVNHKPILSRFRYPRQVPVKTSKADAISKDLVRRGFRSVGPTVVYTFMQVSGMTNDHLISCYRFAECAASPASPAKLTDGSEANSSDSNHAPTEQKMNGTNGLAADIELSRTIDELSIS >KQK98708 pep chromosome:Setaria_italica_v2.0:VII:28746859:28747448:-1 gene:SETIT_011799mg transcript:KQK98708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFLAVLAALAVAASAAAVDQPKPRGQQIHLFEATVRVPDRGDNDPEEYNYRLLAKVLGSVEAARSVMYETELGTFSAFLTNNQARRLSEVPGVLKVTREEDPTPLPETDGHL >KQK96143 pep chromosome:Setaria_italica_v2.0:VII:811518:812303:1 gene:SETIT_012751mg transcript:KQK96143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGRIRSSFGCRPPPTRGAPSLAPCLRQPWLRLDSAGGGLPTIRVGMLLGDVRAARGDDRLEGRERILIGGKETVPCFISMDYGLPGMVNFVDGATKLSYAPDVAFTDAGLNENISVEYVTPTLAKRYLNVHSFPDGEHNCYTLRSLMAGLKYLLRAEFRYGNYNGLNRPPIFNLYAGVNFWSRVNVLSPDSLERLEAIVVVPDDYVQVCLVNTGSGTLFISALELRPLKSSLYEQANAT >KQK97550 pep chromosome:Setaria_italica_v2.0:VII:21837451:21837773:-1 gene:SETIT_011637mg transcript:KQK97550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYKGSSYVAMPRPKEAQKKKQPAQKPCSNLIADNLPKGQISTAAVT >KQK96127 pep chromosome:Setaria_italica_v2.0:VII:759873:764493:1 gene:SETIT_010571mg transcript:KQK96127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIYGTTTTPFPSPAAASPSSSVRARVPVGLPPRPLPPLRCASSSGMEPGAGTALYPLHRCKTIYLVRHAQGIHNVAGEKDFNAYKSHDLFDAQLTPLGWSQVDGLREHVKKSGLAKKIELVITSPLLRTMQTAVGVFGGENYTDGVNAPPLMVENAGHSGRRAISSLNCPPFLAVETCREHLGVHPCDKRRSITEYRPLFPAIDFSLIENDEDVLWEPDVREANESVGLRGMKFIDWLWTREEKEIAIVSHSGFLYHTLNMYSKECHPTIRDEVGKHFANCELRSMVLVDRRSVLPPQPWILCPL >KQK96128 pep chromosome:Setaria_italica_v2.0:VII:759873:765041:1 gene:SETIT_010571mg transcript:KQK96128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMIYGTTTTPFPSPAAASPSSSVRARVPVGLPPRPLPPLRCASSSGMEPGAGTALYPLHRCKTIYLVRHAQGIHNVAGEKDFNAYKSHDLFDAQLTPLGWSQVDGLREHVKKSGLAKKIELVITSPLLRTMQTAVGVFGGENYTDGVNAPPLMVENAGHSGRRAISSLNCPPFLAVETCREHLGVHPCDKRRSITEYRPLFPAIDFSLIENDEDVLWEPDVREANESVGLRGMKFIDWLWTREEKEIAIVSHSGFLYHTLNMYSKECHPTIRDEVGKHFANCELRSMVLVDRSMLGSDSPSFNYPGKIPAGLDLPSDVADEKHLEEAQKN >KQK96788 pep chromosome:Setaria_italica_v2.0:VII:15562536:15565541:1 gene:SETIT_009936mg transcript:KQK96788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEPPPGSTGEATRTPPAPSAAAAPRVSYVQQCVVLVDWWLERVEGEEGKIRVAGIASTAQMRHLLLPKGASSSTGNRNVAGRVFRSAAIGRRHDQHAIETEDGYKIQIGRLLNVPRTRDNGFPEKVCKCFEFGFPIQWLKLVNPKMEQQNEQAQSESTADAPRHSVKYWMEEFLSDDLTNLKKYASEENDSYSSAGYTSNTDGPAIQSLSNLPDGNAGNMAASGGLYGGRTNMPGKPLARPRETSCSGQESDQHESMQIDTSEQGLDNHSISSVSVNQNTGSFCPNSKVDDSILATSKIMSVEKESYRRRVGSSKADEDADIQHENMQSCSNEHEIVTLPIDSAIVNENPNSTSSDLEKPGTPKCGKASMNLGSTDALELPTERMTPQFGAVQGSEDSPVRRLRSGKVFGMPSGGLMKSGHKKRKIQHEASSQNMIPNEGDTSTADLTSHENDSSAAGGVTKDKQESHDSHRGISAKKAKKKRESSKLFWNWC >KQK98033 pep chromosome:Setaria_italica_v2.0:VII:24626761:24631366:1 gene:SETIT_010193mg transcript:KQK98033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPMEQPEPEIAGHYYALQVGSYFLTGYYNVLANQPHLAIQFYTDNSSVVRLDCETGQWSFGETVEVINDMMMSMNVTKVEVKTANFLESWGGAITLLVTGLVQLKDYPVRKRFVQNIVLAPKKDGYYIFSDIFKLICDEYDNQYHVPDYNCADNMPQVDASYTMAETGSDYLDGEPQEVVTPAENHVQQQDPSEYKSVNVVYDETQSEEHMPSFPSSIDVKQDSSPHPPSPPTLKEEPVEGAPKTYASVLRTKAKATVGTAESQQSQQLAQQVQSVPVHEKSNLDNNRTVSAPDDEEEFISCYVGNLSPSTSVFDLEKVFQAFGRIKPDGVAIRSRKEAGVFFGFVEFEDISGIQNALNASPIELNGRLVHVEERRPNCGFPSGRRRGRGRDQAGGRYDGEYTNRSKGTGHQKKGGRQYDSYY >KQK96685 pep chromosome:Setaria_italica_v2.0:VII:13948550:13949770:-1 gene:SETIT_012218mg transcript:KQK96685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGLGVGFGVPLLGLSAVFIVLKWKRDEQKKQRRKYFRDNHGILLEQLISLDENTSDKTKIFSLEDLEKATHNFDQTRILGRGGHGTVYKGILADQRVVAIKSSKAIKQSEIDQFINEVIILSHINHRNIVRLFGCCLETKVPLLVYDFVPNGSLYDILHSSSDSNFSLSWDECLRIALEVAGALCYLHSAASVLVFHRDVKSSNILLDANYTAKVSDFGASRVVPIDQTHVHTNVQGTFGYLDPEYYRTGQLNQKSDVYSFGVVLVELLIRKEPIFTTQSGSKQNLSSYFLCELKSRPIKEIVTAQIREEATEEEINSVAHLAEMCLRLQGEERPTMKQVEMTLHTLHAKRSKLSRVAQGDDQEIQQLLSSRDNAAPLAGCSLDQLSRRCYSLEQEFILSAEVPR >KQK98011 pep chromosome:Setaria_italica_v2.0:VII:24491031:24491746:1 gene:SETIT_0126071mg transcript:KQK98011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IAFTDVCAEDISSSMCLYVDWNQTAESLSVGLSDGSLSVVSVREDRLEISEQWAAHQFEVWTCYFDRTRPHLLYSGSDDCCFSSWDLRESPSNIVFQNKKSHNMGVCCFAQNPFDGNMLLTGSYDEFLRVWDMRLMAKPVNEKSINLGGGVWRMKYHPSIADVVLAACMHNGFAIVKVGSGDATVMETYCKHESLAYGADWQKNEEAEQNGNSSVVATCSFYDRLIRVWQPENLSEL >KQK99225 pep chromosome:Setaria_italica_v2.0:VII:31629770:31631392:-1 gene:SETIT_012042mg transcript:KQK99225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHRPRIPLTILLLLLVTTAASAAGVVAPGGAASHSQPTLPSPVQAAAAPPTAPASKPPAPGAASHSQPTRPSPVRAVPPSAALASSPPDPAALLAAFLAKADPSSHLRVPPAASPCSRPGITCTASGQIIRLVLESVGLNGTFPPDTLSGLTELRVLSLKSNALHGPVPDLSPLANLKALYLAGNRFSGPFPASLATLRRLRSIDLSGNRLSGELPPGIEAAFPHLTFLRLDANHFNGSLPAWNQSSLKVLNVSYNNFSGPVPVTPVLTQVGAAAFAGNPELCGEVVRRECRGSHLLFFHGGGNNGTAAPPVQSAAASDSGPQRESLSMPDSSAPHAKKVRRRTTLAVAVAVGTVLAALLLCAMIAMKRSNGRRRPSSATYASPNPKKSAPASEVSRDNADMGYVECVADEETAAIMVPEEKARRLERSGCLTFCAGEAASYSLEQLMRASAEVLGRGSVGTTYKAVLDGRLVVIVKRLDAAKIGPAALEAEAFEQNMDAVGRLRHPNLVPLRAFFQAKEERLLVYDYQPNGSLYSLIH >KQK99371 pep chromosome:Setaria_italica_v2.0:VII:32599792:32603504:-1 gene:SETIT_010117mg transcript:KQK99371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACAPVPTLRPDERADLLSLLAAAARPLADVVADFLTRFPRERRLRVGGALCFLLEDKKMLHPTGRLIAFAILHQSYSPQTANPYVPILLNAACDETSEKSERAFVQLLLTSSSGNNNNEVLNQSAVDYINGSVSASQALLPREQLEKQYCSNGVQSQHQISSFRAAAVRSAIPDPDVPQSCANSSESAISLPGSKQKSASDDRDSALAGLLHEKSGGRLGPQWIRPTPPRLPVLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEVHCYILIFLLDNNGCSSKLISTISSSYDEGFSSLCSL >KQK99367 pep chromosome:Setaria_italica_v2.0:VII:32597262:32603598:-1 gene:SETIT_010117mg transcript:KQK99367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPTMPACAPVPTLRPDERADLLSLLAAAARPLADVVADFLTRFPRERRLRVGGALCFLLEDKKMLHPTGRLIAFAILHQSYSPQTANPYVPILLNAACDETSEKSERAFVQLLLTSSSGNNNNEVLNQSAVDYINGSVSASQALLPREQLEKQYCSNGVQSQHQISSFRAAAVRSAIPDPDVPQSCANSSESAISLPGSKQKSASDDRDSALAGLLHEKSGGRLGPQWIRPTPPRLPVLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVIVELAKDPKLVYYCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDIAGYFEGLVHMDMSLHSMEVVNRLTTAVELPTEFVHEYITNCIQSCQSIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >KQK99366 pep chromosome:Setaria_italica_v2.0:VII:32597667:32603504:-1 gene:SETIT_010117mg transcript:KQK99366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACAPVPTLRPDERADLLSLLAAAARPLADVVADFLTRFPRERRLRVGGALCFLLEDKKMLHPTGRLIAFAILHQSYSPQTANPYVPILLNAACDETSEKSERAFVQLLLTSSSGNNNNEVLNQSAVDYINGSVSASQALLPREQLEKQYCSNGVQSQHQISSFRAAAVRSAIPDPDVPQSCANSSESAISLPGSKQKSASDDRDSALAGLLHEKSGGRLGPQWIRPTPPRLPVLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVIVELAKDPKLVYYCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDIAGYFEGLVHMDMSLHSMEVVNRLTTAVELPTEFVHEYITNCIQSCQSIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >KQK99370 pep chromosome:Setaria_italica_v2.0:VII:32599015:32603504:-1 gene:SETIT_010117mg transcript:KQK99370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACAPVPTLRPDERADLLSLLAAAARPLADVVADFLTRFPRERRLRVGGALCFLLEDKKMLHPTGRLIAFAILHQSYSPQTANPYVPILLNAACDETSEKSERAFVQLLLTSSSGNNNNEVLNQSAVDYINGSVSASQALLPREQLEKQYCSNGVQSQHQISSFRAAAVRSAIPDPDVPQSCANSSESAISLPGSKQKSASDDRDSALAGLLHEKSGGRLGPQWIRPTPPRLPVLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVIVELAKDPKLVYYCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDIAGYFEGLVHMDMSLHSMEVVNRLTTAVELPTEFVHEYITNCIQSCQSIKVCSSFDSFN >KQK99369 pep chromosome:Setaria_italica_v2.0:VII:32596948:32603504:-1 gene:SETIT_010117mg transcript:KQK99369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPACAPVPTLRPDERADLLSLLAAAARPLADVVADFLTRFPRERRLRVGGALCFLLEDKKMLHPTGRLIAFAILHQSYSPQTANPYVPILLNAACDETSEKSERAFVQLLLTSSSGNNNNEVLNQSAVDYINGSVSASQALLPREQLEKQYCSNGVQSQHQISSFRAAAVRSAIPDPDVPQSCANSSESAISLPGSKQKSASDDRDSALAGLLHEKSGGRLGPQWIRPTPPRLPVLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVIVELAKDPKLVYYCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDIAGYFEGLVHMDMSLHSMEVVNRLTTAVELPTEFVHEYITNCIQSCQSIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >KQK99368 pep chromosome:Setaria_italica_v2.0:VII:32596948:32603598:-1 gene:SETIT_010117mg transcript:KQK99368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPTMPACAPVPTLRPDERADLLSLLAAAARPLADVVADFLTRFPRERRLRVGGALCFLLEDKKMLHPTGRLIAFAILHQSYSPQTANPYVPILLNAACDETSEKSERAFVQLLLTSSSGNNNNEVLNQSAVDYINGSVSASQALLPREQLEKQYCSNGVQSQHQISSFRAAAVRSAIPDPDVPQSCANSSESAISLPGSKQKSASDDRDSALAGLLHEKSGGRLGPQWIRPTPPRLPVLDGELQWLNPDNNHELLWDYSMCADTSRGAAIRDLIARALKGPLAPAQQEQVIVELAKDPKLVYYCGMTPQKLPDLVEHNPLIAVEVLSKLINSPDIAGYFEGLVHMDMSLHSMEVVNRLTTAVELPTEFVHEYITNCIQSCQSIKDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKSLE >KQK96980 pep chromosome:Setaria_italica_v2.0:VII:17917227:17920392:1 gene:SETIT_010444mg transcript:KQK96980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEARSPCGAAALAHSQPPLEHPQGRACEQRAEHSTSREGKAMGEEAKKEEVEMRVYMHCEGCARKVKKILRRFDGVEDVIADSKAHKVVVKGKKAAADPMKVVERVQKKTGRKVELLSPIPPPPEEKKEEEKKEEPEPPKPEEKKEPPVIAVVLKVHMHCEACAEGIRKRILKMKGVQSAEPDLKASEVTVKGVFEEAKLAEYVYKRTGKHAAIIKCEPVPPPESAGGDDKAKEEKKAEGGGEEKKDDGKEEKKEGKEGGGEEKKDEKEKEKESGETAAGDEKDKADKEKDAAAIAAASLYMHYPRFPFPAGYYPPPPLPPPGYVYQPAYPPPSYAAHHQTMAPQIFSDENPNACSVM >KQL00025 pep chromosome:Setaria_italica_v2.0:VII:35824830:35834654:1 gene:SETIT_009166mg transcript:KQL00025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLVGLVTQTSVYHWSIEGDSEPTKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVVGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQVSQKYGLIYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSSTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDMALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYLRALQHYSELPDIKRVMVNTHAIEPQALVEFFGTLSREWALECMKDLLVVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEGFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDAAHFLDVIHAAEEANVYNDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELIALMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYSSKVDDLVKDKIESQNEERAKEKEEKDLVAQQNMYAQLLPLALPAPPMPGMGGPPPPMGGMGMPPMGGMGMPPMGPGPMPAFGMPPMGSY >KQK99542 pep chromosome:Setaria_italica_v2.0:VII:33422316:33429911:-1 gene:SETIT_010208mg transcript:KQK99542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAASRLAAAATSSPTRELFARHLAAAAATAWTGPSRLPEPGRERRSASWWCPSRSFHATRRMNTRDYYDVLGVSKDASAPDIKKAYYALAKKFHPDTNKDDANAEKKFQEVNRAYEVLKDDDKREIYDQLGSEAYERHAAGGDPAGQGFPQGNPFGDIFGDIFDNACRGGQDVKVSVELSFMEAVQGCRKTITYEADTFCGTCNGSGVPPGTVPKTCKTCKGSGVIYMKKGIFSLECTCSLCNGSGKIVKNFCKTCKGEQVVKGKMSVKLDIMAGIDDNDIMKVFGKGGADVERNKPGDLYVTIKVREDPIFRRESNHVHVDSVLSIAQAVLGGTVSVPTLTGNVTVKVRQGTQPGEKVVLRGKGIKAKNSSVFGNQYVHFNIRIPTEVTQRQRELIEEFDKEECTDRERVAAASG >KQK96389 pep chromosome:Setaria_italica_v2.0:VII:5089095:5090596:-1 gene:SETIT_011187mg transcript:KQK96389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIKRKLWYTHGILHEAQKRDVSDNQGLLVLLHQLCNTADQAEDILDELDYYLTQDKLDNTHEAAADVHVVLDGFTFHARHVGRHFMRKWLSCCLSSHGSEHGSGDDSGGDDVNTITGPPRPLFNRVNMSKRIKLLIENMQDLCGQVSDLLKLNISSLPQDMAVASQ >KQK96316 pep chromosome:Setaria_italica_v2.0:VII:3517921:3518472:-1 gene:SETIT_012662mg transcript:KQK96316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CINCIPDEDIPAICNSDTWVTPDASLVTPSPPSVTPQKSSARPVMQEQALDTQAHVYEGLVICSRAKKLQQHVHAFLSELDFNIDENHILPKSCTLLLLRFTQEASLLGYMEDAEGYKEDTKTAAQAEKAYAHKTQGYMTDASTSCPSLYHLRKRRGPIYAWLEAPSNLVYNAINGVSFGLPS >KQK97032 pep chromosome:Setaria_italica_v2.0:VII:18385394:18385923:-1 gene:SETIT_013040mg transcript:KQK97032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKCHLYPRISFLSHIDGRVVNNLYFQDCGWRSKLFAYPKT >KQK97675 pep chromosome:Setaria_italica_v2.0:VII:22576013:22576933:-1 gene:SETIT_012563mg transcript:KQK97675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCAVALLATVMSVSVLHHAGAHRSLAVRLPPATTLQRTDTWPPTPQAKRANLTAILTLDGPFRTFLGYLQQTNLVEVFQNQAYRTDQGITVFVPVDRAFAAVKPSVLSGLSRHQLKDLMMCHSLAKHYELADFEGLSRIGPVTTLAGGLYTVNVTYDAGTVHVRSRWADAKVVGSVSVDAPMAIYELDRVLLPDSLFRAQPPVAAIPDVPPAPPPTKEDAEAPATEPDPVAPMQYDPAGAADAATSACGARDRFARHAATAALGAMALVAL >KQK97374 pep chromosome:Setaria_italica_v2.0:VII:20883443:20888473:1 gene:SETIT_009558mg transcript:KQK97374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMDVANPGAAASSGMSSDALYRELWHACAGPLVTVPRQGERVYYFPQGHMEQLEASTHQQLDQYLPMFNLPSKILCSVVNVELRAEADSDEVYAQIMLQPEADQSELTSPDPELQEPEKCTAHSFCKTLTASDTSTHGGFSVLRRHAEECLPQLDMSQNPPCQELVAKDLHGTEWHFRHIFRGQPKRHLLTTGWSVFVSSKRLVAGDAFIFMRGENGELRVGVRRLMRQVNNMPSSVISSHSMHLGVLATASHAISTGTLFSVFYKPRTSRSDFIVSVNKYLEAKKQKISVGMRFKMRFEGDEAPERRFSGTIVDIGSLPAMSKSLWADSDWRSLKVQWDEPSSILRPDRISPWEVEPLDAANPQSPQPPLRNKRARPLASPSMVAELPSGFGLWKSPTDSARTLSFSEPQRARELFPSIPPSAFSSSSNVSFNSKNEPSMLSSQFYWSARDTRADSCAASTNTVIVEKKQEPSSGGCRLFGIDICSAEEEVLPVVTAPGLGYDQTAASVELNSDKLSQLSDVNNSDAQAASSEPSPLESQSRQVRSCTKVIMQGMAVGRAVDLTKLSGYSDLCHKLEEMFDIQGELGSTLKKWRVIYTDDEDDMMLVGDDPWNEFCSMVKRIYICTYEEAKKLTSKSKLPGNSDTSKLSDVNSPSEWVI >KQK98087 pep chromosome:Setaria_italica_v2.0:VII:24881453:24882010:1 gene:SETIT_012298mg transcript:KQK98087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKGAGRGPPLPRGQGRATQAAAPCGHGRAVRAAAPPVPLPRAARSGRRPPHRDTTRWSTKRTAWKAKTANHRWPTASLGAPMFPRDPSSTLDAGHGRGRSSTGEEHGRWGATPLEEPRRPLLPHGQGRAAPAAGGAPGATRVPVVRAVTPPRPGGEPRRPPPSSSSSTVS >KQK98182 pep chromosome:Setaria_italica_v2.0:VII:25532253:25535392:-1 gene:SETIT_010348mg transcript:KQK98182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGKPLGDSVFAGHAAAGAAAISASTVAVHPLDTVKTLIQLGAAGKKQKMGLRQVVDRLMAASGPAGFYSGIGWSIMGKLPGLGARFGTYEFLTAFYKDGREDNYVYYSEAMLAGIAAGAVEAVFCTPFELFKLRNQVSSVIPSRAMGPANVAQESFPLLSKLLPGYVPDMRVWSSTVSLLSDLSPKHPDMLGALKQHPWMLTGSGKPPLPSAVQLPSRVISLEGWGALWRGLRPGIARDCVFGGMFFSTWQIIHTAMLTWKAVNMKPEPRNIEDAGPVHPFASSVAAGFAGAVAAAASHTFDTAKSRSECTVVPKYIAMERKFLKWKAPGTWIERKTGISPADRNVLFRGIGLRMAHSGIASFVLVGSYYLAVDYIS >KQK99047 pep chromosome:Setaria_italica_v2.0:VII:30648553:30650281:-1 gene:SETIT_012076mg transcript:KQK99047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDGEPIIKIPTKTIQLSKIDCTKEERAFYLYLEENSRQKLRGRSKEYMQKNYVHILALLSQLRQACNHPFLLKGKQSFDHSLGLAKELPVEVAANVLENMEKGAAKCTMCSEPPVKAVVATCGHVFCRDCVHDNLINKEEVEEKVCPAPPHCGKEVSPESLLLAYALKFVLWPKLESDATSSITSEDEPFSICESGYVSSKIRATINILKSIINTEDDHDATASIPSEIAPAKAIVFTQWTGMLDLLEHSLSCNNIEERAVKEFNTDPEVGVIIMSLKAGNLGLNMVAACHVIMLDPWWNPSAEDQAVDRAHRIGQIRPVTVSRLTIKDTVEDRILSLQRSFLNSFLSVFYNAVIKHYKL >KQK98066 pep chromosome:Setaria_italica_v2.0:VII:24758208:24758787:1 gene:SETIT_012954mg transcript:KQK98066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAEAGRRRLGARLAVENFRHGAQNHPPRLRLPPTPAPPGTHNGKLTSSEHPNCVFPSRFCPPDHQHSVVPFADLRSLHRWRHRILQRGWVPSTTSMALSHYQSTIFRRS >KQK99437 pep chromosome:Setaria_italica_v2.0:VII:32896861:32901147:-1 gene:SETIT_011210mg transcript:KQK99437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEELRTSFADLVVGSPNQTAGQNNSSGVSSSEEGLQVTCFTEDLHDVTLHFQIVRLSKQIYAWVGCNTAKFGHLYAAATTRPDNRVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLKGLGYMRPVAGEATTSTAH >KQK99438 pep chromosome:Setaria_italica_v2.0:VII:32897378:32899994:-1 gene:SETIT_011210mg transcript:KQK99438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEELRTSFADLVVGSPNQTAGQNNSSGVSSSEEGLQVTCFTEDLHDVTLHFQIVRLSKQIYAWVGCNTAKFGHLYAAATTRPDNRVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLKGLGYMRPVAGEATTSTAH >KQK99440 pep chromosome:Setaria_italica_v2.0:VII:32896945:32901147:-1 gene:SETIT_011210mg transcript:KQK99440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEELRTSFADLVVGSPNQTAGQNNSSGVSSSEEGLQVTCFTEDLHDVTLHFQIVRLSKQIYAWVGCNTAKFGHLYAAATTRPDNRVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLKGLGYMRPVAGEATTSTAH >KQK99439 pep chromosome:Setaria_italica_v2.0:VII:32897378:32899994:-1 gene:SETIT_011210mg transcript:KQK99439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEELRTSFADLVVGSPNQTAGQNNSSGVSSSEEGLQVTCFTEDLHDVTLHFQIVRLSKQIYAWVGCNTAKFGHLYAAATTRPDNRVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLKGLGYMRPVAGEATTSTAH >KQK99441 pep chromosome:Setaria_italica_v2.0:VII:32896945:32901147:-1 gene:SETIT_011210mg transcript:KQK99441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEELRTSFADLVVGSPNQTAGQNNSSGVSSSEEGLQVTCFTEDLHDVTLHFQIVRLSKQIYAWVGCNTAKFGHLYAAATTRPDNRVSVTSVLGGTSDNTGSGMARRLVLKTGLNIVLACNIPKDSPMLEAAAERKLVEKLKGLGYMRPVAGEATTSTAH >KQK97862 pep chromosome:Setaria_italica_v2.0:VII:23600372:23604531:-1 gene:SETIT_009899mg transcript:KQK97862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIDMSMPGSSGLLNAAGKRNMKFFSNSYVLALTGAAGIGGFLFGYDTGVISGALLYIRDEFPAVRDNYFLQETIVSLALVGAMLGAAGGGWINDAYGRKKSTLLADLMFALGSIVMCAAPGPYVLILGRLFVGLGVGIASVTAPVYIAEAAPSEIRGGLVSTNVLMITGGQFFSYLINLGFTEVPGTWRWMLGVAAVPAIVQFVLMLFLPESPRWLYWKDKKAQAIAVLEKIYDSDRLEEELELLASSSMHEFQSDNTASYLDVFKSKELRLAFFAGAGFQAFQQFTGINTVMYYSPTIVQMAGFTSNKLALLLSLIVAAMNAAGTIVGIYLIDRCGRRRLALTSLSGVVISLVILAMAFILQSSSSLCMAAANGTCQGILGWFAVAGLALYIAAFSPGMGPVPWAVNSEIYPEAYRGMCGGMSATVNWISNLIVAQTFLSIVGLVGTGVTFLIIAGIGVLAFIFVALYVPETKGLSFEQVEQLWKERAWGSHGDCQSLLGAAP >KQK96320 pep chromosome:Setaria_italica_v2.0:VII:3603609:3604126:-1 gene:SETIT_011608mg transcript:KQK96320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAENRCTFFTEPDATAHYPGAMLKRFLAETKQWSTFILHRLRRTPTVDLSIQK >KQK97645 pep chromosome:Setaria_italica_v2.0:VII:22372153:22375072:1 gene:SETIT_011677mg transcript:KQK97645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETDGHPDDKEREEARNGDLKREGEVGSEESKMEPVERERKPLYEKYLEWKRRKEEEEEEEERKRNPEKVTDPYAFEARLFRQRWDEFYLKNYGCFDKKEIRTRSMDKNNLNAIHAHISCCSNIPCKRFTHNTLPHGGTADQTLQVFYVKVGGITGGLQWPLEVFGLVALRDSVDYNHNIIFERERDKCQILTDQNPYLELTGPVRAVMIYGRVIFEASLYVKGATRSDDKELSLLATSLGQFPSGHSCLIERSYSSRLSTLELMLGHLTCSVEATIEVRVTSGPWPDGFRCIFRANASIGQWILLLETADDPVPLTGDKISFARQVVSVDSDRELKVAATITNGSAIYTDEKGFKPLKMGASTKELRIGGCMLEVTVYWSCFPFAPV >KQK99902 pep chromosome:Setaria_italica_v2.0:VII:35307649:35311091:-1 gene:SETIT_010380mg transcript:KQK99902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEEDSAPAAAAAASDPAAGSSDNEITVEEASSVHTEPPQDGSAPPVVSSDMEVLHDKVKKQVIKEGHGKRPLKFATCFVHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMSGLGIGVGNMRSGERALLHVGWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDIKEGKARSDMTVEERIAAADRRKIEGNEYFKKKKLEEAMQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLNRFNEAIVQCSIVLSEDESNVKALFRRGKAKSELGQTESAREDFLKAKKYSPEDKEILRELRLLAEQDKVLYQKQKELYKGLFGPRPEVKPKKANYLAIFWQWLVSLIHYLIRMFKRKNE >KQK96536 pep chromosome:Setaria_italica_v2.0:VII:11208971:11214910:-1 gene:SETIT_009225mg transcript:KQK96536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAKPLRLQRRGPGITLHLFVAVLLLAGVARAQRSPLPPPPPLAPALSAVEKQLNNLTNQVAGTISDKFSFCVADPQEDWNEAFNYTSNLAFVDQCLKETQGDLPQRLCTPDEVKFYFSSLYDRDGDKNINLKTNINCNISSWGKGCDPGWACASDPVPDPRNRDRSNIPLRRRNCQACCEGFFCPRGLTCMLPCPLGSYCPRATANETTGLCDPYKYQITPNSTESCGGADMWADIQSTEEIFCPAGYYCPTTTKKDSCTSGHFCRLGSTAENKCIIKRNCDENADKESIVILGACIVGALCLLLLIIYNCSDKFLSIRERRKAKSRENAIQLARQQMKAQEGWKAAKQFARRHVNGMQGHLSRTFSRRKSFRQQADLETSSHRVQEAPLMGNVKTQELSDSAVFAAESTNEITEVMPSVIVDVSGEGEVVAAKEKPVPKGKHRSTHTQVFKYAYGEIEKEKFREQENKNLTFTGVIDMVKDQQKEITRPLLKVEFRDLTLMLGKKKLLRSINGELRPGRVTAVMGPSGAGKTTFLNAVTGKVNGYKMTGSVLVNGKNVNIRSYKKIIGFVPQDDIVHGNLTVEENLWFSAKCRLSARMKHRDKVLIVERVIDSLDLQGIRTSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRHEALEGVNVCAVVHQPSYTLYNMFDDLILLAKGGLMVYNGPVKTVEDYFTTLGIHVPDRVNPPDHYIDILEGIVKPDSGIKAKHLPVHWMLYNGYEVPSDMQDDVKEIGEQTPQIRSSPSMSGSTPHCLPLRNAFAEERDRLEHHLSKPKDLSSRKTPGIFMQYKYYLGRVTKQRLREARLLAVDFLILGLAGICLGTIAKLSDKTFGMPGYIYTIIAVSLLCKIAALRSFSLERLQYFRERESGMSSLAYFLARDTIDHFSTVVKPIIYLSMFYYFNNPRSTIGDNYIVLLALVYCVTGIGYTFAICFSPGSAQLCSALIPVVLTLLSTQKSTPIFLKRLCYSKWALEGFIIVNAKKYPGVWLITRCGLLFNSSFDIHNYKLCILILFMYGLFFRTVAFGAMILLKKR >KQK97507 pep chromosome:Setaria_italica_v2.0:VII:21556817:21559303:1 gene:SETIT_011282mg transcript:KQK97507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KQK98497 pep chromosome:Setaria_italica_v2.0:VII:27501720:27504809:1 gene:SETIT_009524mg transcript:KQK98497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGCQLLVGCRMEMEEETFFDSREELTASPAHSPGQALPWSGSLDSVWQRRERFMRSMGLECSPSPRKADAAATVGDVEKEEVVPEFGRLWSQSDENDCSMSSWSTEDTGSYEDGASDDNSMSGSSRDDASSKVGRSFSSLSFIQRLVSRSGKLSGVPKAVERRRNGWLRRLGLRASVLDHGGDEASTSSSESEQNRGGRYERVKVRCYRKRSKELSAVYQGQVIKAHDGAILTMKFSPDGQFLASGGEDGVVRIWGVTQSEDCKIPMDDPSCVYLKAHRKSGLAPADAENGKKCKVKGVKQSADSACVVIPAMVFQISEEPLHEFRGHSGDVLDLSWSNNKHLLSASTDKTVRLWELGSANCVTVFHHSNFVTCVQFNPANESRFISGSIDGKIRVWDILKCSVVDWVDIRDIVTAICYQPDGKGAVVGTITGNCRFYDASDNLLRFDTQIALNGKKKSSFKRIIAFEFCPSNPSKLMVTSADSKIKILDGTIVTQNYSGLRSGSCQSLATFTPDGQHIVSASEDSNIYVWSHENQHEASLKHAKTIWSSERFHSNNAAIAIPWNGQKPSNPVSLASQILPPQGDNFWCMSKAVKCNSSRSEDSAINNFVSRFAPGIFNLNQEFSTESTCRSSATWPEEILPSHSIRAILDESQYKFLRNCFQSTSNSWGQVIVTAGWDGKIRSFQNYGLPAHQ >KQK98865 pep chromosome:Setaria_italica_v2.0:VII:29662281:29665671:1 gene:SETIT_009591mg transcript:KQK98865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQVHGHERTRLAAAAWAWLAVSMASLASARFIVEKNSVTVLSPRSLRGHHEAAIANYGVPEYGGTLTGVVMYPEDPKLATGCGPFGDKKFRSPSGRPVVLLVDRGGCYFALKTWNAQLAGAAAVLVADAADEPLLTMDSPEDETPDMAFLANITVPSALVTKRFGVALRRAAAAAKSEEVVVRLDWRESMPHPDERVEYEFWTNSNDECGPRCDEQAAFVRAFRGHAQLLEKGGYAAFTPHYITWFCPEAFLDTPQCKAQCVNRGRYCAPDPEGDLGAGYDGKDVVVENLRQLCVHRAANATGRPWVWWDYVADYHLRCSMKENKYSKTCAEGVVRSLGLPVDMIDKCMGDPEADAENEVLKTEQIVQVGHGTRGDVTILPTLVINNVQYRGKLESTAVLKAICAGFKESTEPHVCLTPDMETDECLDNNGGCWRDEKTNITACKDTYRGRICECPVVDGIQYQGDGYTDCKAVGPARCAMDNGGCWTETRDGKTFSACSGSDLSGCRCPPGFKGDGFHCQDVDECSEKLACSCPHCSCKNNWGGFDCKCNGGQMYIKSEDTCIAKNMSSFGWLVTALVVSCLAGAGVAGYVFYKYRLRRYMDSEIMAIMAQYMPLDSQHNENQPLRTQETQQA >KQK97384 pep chromosome:Setaria_italica_v2.0:VII:20942162:20944964:-1 gene:SETIT_010964mg transcript:KQK97384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGGAEVEEGVTRVLVVDDSPVDRRVAQLLLSSSNSCAGSFHVIAVDSAKKAMEFLGLKDGKEQAIDMVLTDYSMPEVTGYDLLKAIKALNTLRPIPVVVMSSENEPQRISRCLNAGAEDFIVKPLQSKDVQRIVRSCSAARHKGSAPREAVAKSVPITPPPPSDHRAPVGAATASGPRGHLTGLAMVLHSSRMELSQYFPLLFKLVLLVYAVLCLGELMHRWSSGSGRSLSL >KQK97043 pep chromosome:Setaria_italica_v2.0:VII:18459049:18465508:-1 gene:SETIT_010441mg transcript:KQK97043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACMQKSANVVKQLNNVYSPSTFFGNLLQHIVRLTNPSHSMFIEAMIGWFDAGGHELLGMRFFNLLELCVGQVGLACLDSLVHILIKHSMENTVKGLHTLVDAKRQGDLTKMDDLLGPPMSIPLMGWLSYKQMVKMLHSSWGSLVEKLATIGQLQLVRTLISFKLRSACKIKANTITSAVEVLVSSLYMHKRVFERGDEDETVRFFLHNIKEKQNFCGLFSPFQVIYISEDPPMFLTRLLSLFSISQLSRYVLDVHLGNLTSPLKRSTADFSAVIIGLGTILRQFDSFYMTQYIQFMVQYIRTAEAAFNATTETPKGATHSSEAPKAVFWLMSLCKYMDVSGDVVESCLPASALAILQS >KQK97692 pep chromosome:Setaria_italica_v2.0:VII:22685148:22690066:-1 gene:SETIT_009910mg transcript:KQK97692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGRLLPPFLLLAVASGAYYDGAGQPPVNRRSFPEGFIFGTASSAYQYEGGAAEGGRGPSIWDTFTHQHADRIANRSNGDVAVNSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGSLSGGVNREGVRYYNNLIDELLLKGVQPFVTLFHWDSPQALEDKYGGFLSPNIINDYKDYAEVCFREFGDRVKHWITFNEPWTFCSVGYASGTFPPARCSSWEEGKCSVGDSGREPYTACHYQLLAHAEAARLYKEKYQGVQKGKIGITLVSHWFTPFSRSKSDVAAARRQVDFMLGWSMDPLIRGDYPLNMRRLVGDRLPRFTKEQSELVKGAFDFIGLNYYTGYYTKDVPPSLNKSYNTDSQANTTGVRNGFLIGRQAASPSLYIYPQGFRELLLYVKETYGNPTIYITENGVDEATNNSLPLHEALKDDIRIEYYHKHLLALLSAIRDGANVKGYFAWSLLDNFEWRDAFTVRFGINFVDYNDGLKRYPKNSAHWFRKFLQK >KQK96876 pep chromosome:Setaria_italica_v2.0:VII:16787127:16790928:1 gene:SETIT_010106mg transcript:KQK96876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGATPRRKTVPDWLNSPIWSAPPPAPRSRSPPRAAPSPPQPPPSPLPPPQPPRDPVPTPPPNAPRDGGGAGSDDDGDGEGAGAAGPSRAHLVAEFKVALERKVVDLAELRRLACQGVPDAAGLRPVVWKLLLGYLPTDHALWPYELEKKRSQYSAFKDELLVNPSEVTRRMEEMTVSKREDHNAEGTGVLPRAEIVRDEHPLSLGKTSVWNQYFQESEIIEQIDRDVKRTHPEMEFFNGDSSDSLSNQESLRRILTIFAKLNPGIRYVQGMNEVLAPLYYVFKNDPDEGHAASAEADAFFCFVELLSGFRDNFCKQLDNSVVGIRSTITRLSQLLKRHDEELWRHLEVVTKVNPQFYAFRWITLLLTQEFKFRDCLHLWDTILGDPEGPQATLLRICCAMLILVRRRLLAGDFTANLKLLQSYPPTNIDHLLHIANKLRGPLPY >KQK96985 pep chromosome:Setaria_italica_v2.0:VII:17983584:17987642:1 gene:SETIT_009557mg transcript:KQK96985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLASPLLLPGAAASPAAPPWREQVTVRGVAVAAALGALLCVVIHRLNLTVGVIPALNVASGLLAFFLAAAWQAVAGRLGFGRGPPFTRQENTVIQTCAIACAGIAFSGCSASYIFAMDRKTYELVGPDYPGNRPEDVRDPSLGWMISFLFLIALLGPFSIVILRKVMVIDYKLTFPGGTATALMINSLHGKAEGDLAGKKVNCLVKYMSISFGWSFFKWFFSGVGDSCGFDNFPTFGLLAFQNTFYFNFSPSYVGFGLISPHIVNCSVFLGSVISWCFLWPFISAKAGHWYPDNLGNSDFRGLYGYKVFIAISIILGDGLYNLVKIFVIIAKEFCNVRSKQHDLPVEALEDDENSEQLVEEKLQTEVFLKDSIPPWFAASGYIALAAISTATVPTIFPQLKWYLALLCYFLAPAVAFCNSYGMGLTNLNLAPTYGKIALFAFASLVGSDGGGVIAGLAACGIIMSIACSTADLMQDFKSGYLTLSSPRSMFVAQLIGIALGCVIAPLTLWLFWTAFDIGNPDGEYKAPFAIMFREMAILGIKGFSALPMHCVEICCAAFFLALAISLLKDVTPPNVSRFIPIPIAMAAPFYVGAYFGVDMFIGTVILFAWQKLNREEADGYAVAVASGLICGDGIWSIPSAVLSILGINPPICMSFKPSSASR >KQK98546 pep chromosome:Setaria_italica_v2.0:VII:27861661:27865470:1 gene:SETIT_009568mg transcript:KQK98546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPEKRPPRSLFDLPAEFFDSSILLRVHPSRPPSAVEPSEPSRPPPTTQQQQPSEAAGFRWTCNTCAAEFESLQEQREHFKSDLHRLNVKLSIAGKTIIKEEDLDKADSDSLFDDLEISSVSGSEDELENGPASERGLSVKGKEEFRKKLYFRCQSGDTISIWRCILLKEHEEPVIDRKSGQMESASFVQEDEMINRVKRLTCEPRDASHLRIVLLTSGGHFAGCVFDGNSIVAHKTFHRYVVRAKAGKRQSGKDATGKVAHSAGSSLRRYNEAALKKEVQELIVSWKPYFDTCVCAFIYAPSKNRQMLFDGDKTQSVIQACDIRAVPLTVHRPTLKEAKRVYSNLTQLHYEMECPTADETIPRDESVTSAEQSQGKNKEVAVDSEESISDLSVSLELLNKNEGVTAPSSKNETTPLHEAAKSGNAQLTLELLEQGLDPCIRDARGKTPYLLASDKEIRNTFRRFMALNLDKWDWHAADVPSALTKEMEESQAAKQAEKDAKKKARAKELKKLKKAREKEEKEKEKAKAQASQAQTSMGQMANRTTSMPGLKPKHQTPQQILIAKEEERQRKLAEEREKRAAAAERRLAALAAQSAGISGATAPAAGNSAQKAAPDDNSCSCCFASLAGKVPFHRYNYKYCSTTCMHLHSEMLQDD >KQK99251 pep chromosome:Setaria_italica_v2.0:VII:31885947:31886485:1 gene:SETIT_012964mg transcript:KQK99251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLSFCELANNVKHYELNFECICNNCGLMRLLRGV >KQK99408 pep chromosome:Setaria_italica_v2.0:VII:32804497:32805894:-1 gene:SETIT_011791mg transcript:KQK99408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHWRPGEDDKLRQLVENYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINRRPFTAAEEERLLQAHRAHGNRWALISRLFPGRTDNAVKNHWHVVMARRSHHHHRSAGTLALLAGSVYSPPPRRPPFQCFHFGAPPAAMKTTGSLSLCFATPGSGPSSSLSSPGTFSVIRNCNVPTTVAFSSSREVAATPDDHRHDMGRDDHGHRKDDDEDGDGTASKRKDVDVPFFDFLGVGI >KQK96234 pep chromosome:Setaria_italica_v2.0:VII:2153872:2158087:1 gene:SETIT_010306mg transcript:KQK96234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKREKERESRRRLWNAIGARAAHKPCSRRNPPPPAPTLVATSSSALRRRRRQPSSPDRRPSRSPPRVLLLLPTLTKPQWKPRPPLLLPCSRPGMESKQRGKAEGGGGGGGHRVDLDEEDDLEEFRLPMSHRPTENLDTEGLEQASVHTQLTASNVGFRLLQKMGWKTGKGLGKNEQGILEPIRADIRDAKLGVGKQEEDDFFTSEENVQRKKLNIELEETEEHIKKREVIAEREHKIRSEVKEIQKVFFCSLCNKQYKLAHEFESHLSSYDHNHRKRFKEMREMQSSSGSRDDRQKREQQREEKELAKIAQLADAHRKQQKQENSEAPAEGVVPKNIAGPSNQDQRRTLKFGFSKMAPSKAPVGNASKRPKVATKVSSVFGNDSDEDS >KQK96938 pep chromosome:Setaria_italica_v2.0:VII:17635469:17636044:-1 gene:SETIT_011126mg transcript:KQK96938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQGQREIQLQLMLLPAPAGRGALRDVPTAPATAADNPQLDLNLSMSIGPRHPAAARTPPPPPRSSSVANENRRTPAAAAAGARPQQKHQQQHALAAADARAVKQQAAEQARMACAERAYAERVRELARRELELAEREFARARAIWERAREEVERVERMKQIAARRLVGSASSAAALEITCHACMQRFHP >KQK99326 pep chromosome:Setaria_italica_v2.0:VII:32359096:32367696:-1 gene:SETIT_009430mg transcript:KQK99326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSGSKGIDGVGGLGSQAAGVGAAGGDGEAGEGGGAAEAWHGGAQLYVSLKMENARISGDLVPHVYGSEPIIGSWDPARALAMERELASMWELSFVVPPDHETVEFKFLLKPKDTATPCIIEEGPTRLLTGGMLEGDVRVALFKLNGDDEVLEFRVFNKADIVSPLELAASWRVYKENFQPSRVRGIPDISINVAPTHATEEGSAATLELDLEHYVVPAPTAPPNEYAANLTATPASLIQTGASWTNDMLLSDGIQSPSTVSADFEGCNNLKKNIEAWATDSSKKLQGSGLIESKSVGTFTPLQKPDGQKGLFIDRGVGSPKLPKSASAYSLASGLSSKTMPEAAGAVAAAAVADRLHGSKEDRKLAIVLVGLPARGKTFTAVKLTRYLRWLGHETRHFNVGKYRRLKHGANQAADFFRDDNPEGIEARNEVAALAMEDMIDWMHGGGQVGIFDATNSTRKRRHMLMKMAEGNCKIIFLETICNDPRIIETNIRLKIQQSPDYADQPDYEAGLQDFKERLTNYEKVYEPVGEGSYIKMIDMVKGQGGQLQVNNISGYLPGRIVFFLVNSHLTPRPILLTRHGESLHNVRGRVGGDSVLSEAGELYANKLANFIEKRLKSEKTATIWTSTLQRTILTASPIIGFPKIQWRALDEINSGVCDGMTYEEIKKIMPEEYESRRKDKLRYRYPRGESYLDVIQRLEPVIIELERQQAPVVVISHQAVLRALYAYFADRPLREVPNIEMPLHTIIEIQMGVTGVEEKRYKLMD >KQK98139 pep chromosome:Setaria_italica_v2.0:VII:25290297:25293953:1 gene:SETIT_011308mg transcript:KQK98139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEMELKGCFRRIKSCAVELFSTMEEDLEIDDEDSWDLVGRDIRLKATFLYIDLSRVIACCEGEEHKKALNVLANRFFYSMDELGDAVESRSLPLTQVRYSDTADALREVVAVLAPSLQLGPCGDPEE >KQK98140 pep chromosome:Setaria_italica_v2.0:VII:25292513:25294620:1 gene:SETIT_011308mg transcript:KQK98140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHEMELKGCFRRIKSCAVELFSTMEEDLEIDDEDSWDLVGRDIRLKATFLYIDLSRVIACCEGEEHKKALNVLANRFFYSMDELGDAVESRSLPLTQVRYSDTADALREVVAVLAPSLQLGPCGDPEE >KQK97196 pep chromosome:Setaria_italica_v2.0:VII:19547810:19550154:-1 gene:SETIT_010546mg transcript:KQK97196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDPVAPPAGGTAASVSPPPQPSSSLIFLGTGCSGALPDTRCLIRASTPPCAVCSLGLSLPPERNPNYRLNTSLLIDYCHDDGTHKYILIDIGKTFREQVLRWFVHHKVPSVDSIILTHEHADAVLGLDEVWVVQPRNYRNEIKQIPIFLTRFAMDSITRRFPYLVEQKPEDGDEDAQAAKIDWKIIEEDVEKPFVASGLEFVPLPVMHGEGYICLGFLFGRRARVAYLSDVSRFLPKTEHAISKTGAGQLDLLILEANALHGVTLDAIKRIRPKRALLIGMRHFFEHQRENQMLAEWSIRYVCPKRLVSCVSLYDYSEGIPVQLAHDGLRAFIDL >KQK98432 pep chromosome:Setaria_italica_v2.0:VII:26979584:26980079:1 gene:SETIT_012842mg transcript:KQK98432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGMSAAALKSAMRSAASSGVSAIMSVNRTDSAAPMSSITRQPR >KQK99842 pep chromosome:Setaria_italica_v2.0:VII:35017067:35017545:1 gene:SETIT_013084mg transcript:KQK99842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKILFQPFMTISIHHGSSLLRSQRCKLKYSKL >KQK99638 pep chromosome:Setaria_italica_v2.0:VII:33963870:33968953:-1 gene:SETIT_012338mg transcript:KQK99638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GESSRTGEPSTLVEQRAYNLRPRKYDSVAIQRRSEFVRCREQRTQRRIERKEAETLKHKKLREYWEGASKRIKAIMDKWPKRTKEQEEADMENRSFTIHLLLYIHNLQPEWCPYFEIPNFGLLETTLKGANWAVEELALQVASSVVGLQSLTDGGTDHFFCSGTIFESSGECQKIVTVANLVKKCADTDELAEGLTIAVYLQNHETCKGHLLYHDFFYNICVIEIEYPVNLPKQGFSSNTKAVSFDESYSRDVVTLGRDKENHALVVNTGKIIPKSSSFDCEELLVSTCRISKAEVGGPLMSFDGNFIGLNYYHHKETPFIPSFIVLKCLRQLKLFRKVARPWHGLQVRNLFTEGRSAFEKMQTNLLRTTGVIIEKIKDQPSVKASGLNEGDIINRVNGLYFSDAAEFGVRGHEGEKTVVVEKFASSGVNRWPFPKPIIVQQYSKGEKVLEEWYAMES >KQK99855 pep chromosome:Setaria_italica_v2.0:VII:35078281:35079786:-1 gene:SETIT_011636mg transcript:KQK99855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLYSPHFLYHFTGCFQVLQHSRLTHRYDAPISGAITPYLSFLVVTVSP >KQK98352 pep chromosome:Setaria_italica_v2.0:VII:26466901:26470216:-1 gene:SETIT_010336mg transcript:KQK98352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSVRLLVAVGLLLLRFNWCLAVDGGGVAEVGGAFPMVVSTWPFREAVRAAWEVVSASDGGGSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMNGATMEIGAVAAMRYVKDGIKAAKLVMDHSLHTLLVGEKATDFAISMGLPGPINLSSPESLEKWANWRQNHCQPNFWKNVAPAGSCGPYRPINLAQALDSVKHEVEGSQGGVCQDWFQSDNLLEPINSHLKFIDRHNHDTISMAVIDKMGHIAVGTSTNGATFKIPGS >KQK98350 pep chromosome:Setaria_italica_v2.0:VII:26465334:26470216:-1 gene:SETIT_010336mg transcript:KQK98350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSSVRLLVAVGLLLLRFNWCLAVDGGGVAEVGGAFPMVVSTWPFREAVRAAWEVVSASDGGGSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMNGATMEIGAVAAMRYVKDGIKAAKLVMDHSLHTLLVGEKATDFAISMGLPGPINLSSPESLEKWANWRQNHCQPNFWKNVAPAGSCGPYRPINLAQALDSVKHEVEGSQGGVCQDWFQSDNLLEPINSHLKFIDRHNHDTISMAVIDKMGHIAVGTSTNGATFKIPGRATEHSNSSSW >KQK98351 pep chromosome:Setaria_italica_v2.0:VII:26465334:26470246:-1 gene:SETIT_010336mg transcript:KQK98351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSMGRSSVRLLVAVGLLLLRFNWCLAVDGGGVAEVGGAFPMVVSTWPFREAVRAAWEVVSASDGGGSAVDAVVAGCSACEVLRCDGTVGPGGSPDENGETTLDALIMNGATMEIGAVAAMRYVKDGIKAAKLVMDHSLHTLLVGEKATDFAISMGLPGPINLSSPESLEKWANWRQNHCQPNFWKNVAPAGSCGPYRPINLAQALDSVKHEVEGSQGGVCQDWFQSDNLLEPINSHLKFIDRHNHDTISMAVIDKMGHIAVGTSTNGATFKIPGRVGDGPIPGSSSYGDDEVGACGASGDGDIMMRFLPCYQVVESMRRGMEPQDAARDAISRIARKYPDFVGAVFAVNRKGVHAGACHGWTFQYSVMNSSMHDVEVITVYP >KQK99741 pep chromosome:Setaria_italica_v2.0:VII:34515679:34519206:1 gene:SETIT_009685mg transcript:KQK99741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQKPNRKKVSCSLFIHSSFIICEKKYKSVYIPSPFQFLFPKIIRAKDGNALSRHSAESSINSTVDLKESPERSSVASPSASSSSFFKSLSESRSLKFSGFSSPPTTTSTHIEAFRVFAATWNVAGKTPDRGLNLNDFLPSDDYSDIYVLGFQEVVPLNAGNVLVIEDNEPASRWLALINQALNRPSPTSDAYASAISEAAAASLSFSRSVDTTASASPVSALQTPSSSPLDPSRFHKSSNREIRRAAITRGRRLKTCTCPAERPRSRRSYRAPCLMGCGKNANAVESDTTTSDEDDEVTTSSFAVADVKSPAPAAVAASRRERYCLVACKQMVGLFATVWVRRELVRHVGHVRFSCVGRGIMGYLGNKGCISVSMSLHQTSLCFVCSHLASGEKEGDELRRNSDVVEILKNTQFRRLCKRSGRRIPERILDHDRVIWLGDLNYRIGLSYSEAKKLVEANDWGALFEKDQLKTEREGGVFRGWNEGKIFFAPTYKYSWNSDNYAGEDVTSKKKRRTPAWCDRILWYGEGIVQLSYIRGESKFSDHRPVCSVFIVEVAVPNNKLIKFASGPNMKVGVEELLFAP >KQK97016 pep chromosome:Setaria_italica_v2.0:VII:18231667:18236316:-1 gene:SETIT_009703mg transcript:KQK97016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYFPEEVVEHIFSFLPSHSDRNTVSLVCKVWYEVERLSRRAVFVGNCYAVRPERVVLRFPNVRALTVKGKPHFADFNLVPPDWGGYAGPWIEAAARRCMGLEELRMKRMVVLDENLELLARSFPRFKALVLISCEGFSTDGLAAIASHCKLLRELDLQENDVEDHGPRWLSCFPDSCTSLVSLNFACIKGEVNSGALERLVARSPNLRSLRLNRSVSVDTLSKILARTPNLEDLGTGNLTDESESYLRLSSALEKCKMLRSLSGFWDASPICVPFIYPLCHHLTGLNLSYTPTLDYSDLTKMISRCVKLQRLWVLDCISDKGLQVVASSCKDLQELRVFPSDFYVAGYSAVTEEGLVAISSGCPKLSSLLYFCHQMTNDALMTVAKNCPNFIRFRLCILEPKKPDAITNQPLDEGFGAIVRECRGLRRLSISGLLTDKVFMYIGKYAKQLEMLSIAFAGDSDKGMMHVMNGCKNLRKLEIRDSPFGDVALLGNVAKYETMRSLWMSSCNVTLKGCQVLASKMPMLNVEIMNELDGSSEMEENHGDPSRVDKLYVYRTTAGARDDAPNFVKIL >KQK96490 pep chromosome:Setaria_italica_v2.0:VII:9788666:9789568:-1 gene:SETIT_012131mg transcript:KQK96490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKSLLLVLLAVVAILIGQTVKVWSSRSPANFTPGGPYDTNLRGMLKDLVTLAVSYGGYSNDTAGDVPDQPYGLAICYADAPPAVCRLCLDMAVGNVTLACPRSAGAAMMYNNSLLRYANASFLSRPDMVQRFSFYNNLTRAVDAAIYAAALGRLMDRLAPAAAASLRFFAYGRTNITGDKSLYGFAQCVTDLSPDDCRRCLQRIAASLPMRARLLTDLLHAFRGGTVLHAGQHADHRRRGADATARAVAGRTNSGDEVPR >KQK98800 pep chromosome:Setaria_italica_v2.0:VII:29247632:29248354:1 gene:SETIT_012420mg transcript:KQK98800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKGKGSKKASGSNPRAKPTNWPIAISEFLLGWYIEKKLAMPPKTSFKKLHHTACTSAVNSNYGTTYSVDQVHRHWRRHRDTWGLVAKYLNESDPSTAVEEDDDGADKMDMMNAMSNYDEADDPQGQDSDKLESDSDECQEVAALAATASQVSSSNVQSMKPMG >KQK98850 pep chromosome:Setaria_italica_v2.0:VII:29593969:29595748:1 gene:SETIT_010228mg transcript:KQK98850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSFGFGARTSWSGARRTSWSGARPKATANRAGRVGGGTPRPVRSPTGRCCCYYTEPPGCPCRSRRYRSLHSITRTIAVLVEFILQLPPVPWGHVAIDEDAGQLDEGDSRSYLELQPNTPPCSIRLTRLTRIIEREENFTVRSELLKDHGDRSGTKGTSGSTHPPSAPTQQQPPPPPRPNTWRPLARRTQASSAVVARPPARPPALSMAPKNSALPPVSAATDGMVEPRFRGVRKRPWGRYAAEIRDPARKARVWLGTFDTAEAAARAYDAAALHFRGPKAKTNFPVAFAHPAPPPKMLAVSPSSSTVESSSRDSPAASPAAALPAPSLDLSLGMPPMVAAQPFLFLDPRLAVTVAVPAPVPCRPAVVAGANKATCREDEQSDTGSSSSVVDASPAVDVGFDLNMPPPAEVA >KQK96577 pep chromosome:Setaria_italica_v2.0:VII:12124842:12133467:-1 gene:SETIT_009171mg transcript:KQK96577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPSSALPWWLAATSAASCSPPRDSSVSSSLAFLFLSPCPQRALVAALDLLFLLAALALALRARLSRSGGVGPDRRAREPLLDKSDGAAPPPARRGRGNFRHGLALGVSAVQAAAAVVLLVLALLRLRGRTAWLAAECAFLAAHAVAHIAAAGVVAAERKPGAAALVVHPLHLRLFWLGTAAFAALFSGCAAARYAAGDPLLPDDPLAFAWLALSLPLLYFSVTGSTGLGAGGGHAAEAEVTYATASWLSLATFGWIGPLINKGYRATLAPDQVPPVAPADSAEAAYALFASNWPAPAPGESKPKRPVLTALLRSFWPQFLLTAVLGLAHLSVLYIGPSLVDRFVKFVRRGGEPMEGLQLVAILLVGKAAETLASHHYEFQGQKLGMRINAALLAVVYRKSLRLSTGSRRAHGAGAIINYMEVDAQEVANVTHQLHNLWLMPLQIAVALALLYTHLGPAVLTAVAAITVVTVAVAFANKLNIEYQFMFLGKRDERMKAITELLNYIRVIKLQAWEETFGDKIRKLREEELGWLAKSMYFMCANTIVIWSGPLAMTVLVFGTCVLTSVELDAGKVFTATAFFKTLDAPMQSFPEAIAAVTQATVSVGRLDRYLLDAELDDSSVEHVEGTGIGTGALVVEVRDGIFAWDMRGNKQSKEGEDGDEGGEGEDQKDVEEIPVLETVLKGINMEVRRGELVAVVGTVGSGKSSLLSCIMGEMEKISGTVRVCGSTAYVAQTAWIQNGTIQENILFGQLMYAERYTEVIRSCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQNCDIYLLDDVFSAVDAHTGSNIFKECLRGTLKGKTIILVTHQVDFLHNVDNIFVIRDGMIAQSGKYDELLEAGSDFAALVSAHDSSMELVEQSRQVQDSERSQPVAVARIPSLRSRSIGKGEKMVVAPEIQAATSKIIQEEERESGQVSWQVYKLYMTEAWGWWGVVGMVAFAVVWQGSDMASDYWLSYETSGSIPFNPSLFIGVYVAIAVFSIVLQVIKTLLETILGLQTAQIFFKKMFDSILHAPMSFFDTTPSGRILSRASSDQTAIDVVLAFFIGLTISMYISVLSTIIVTCQVAWPSVIAVIPLLLLNIWYRNRYLATSRELTRLEGVTKAPVIDHFSETVLGATTIRCFKKDKEFFQENLDKINSSLRMYFHNYAANEWLGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYFAISISCTLENDMVAVERVNQFSNLPSEAAWKVEDRLPSPNWPTHGDIDIKNLKVRYRPNTPLILKGINIRISGGEKIGVVGRTGSGKSTLVQALFRLVEPAEGKIIIDGIDICTLGLHDLRSRFGIIPQEPVLFEGTIRSNIDPIGQYSDAEIWQALERCQLKDVVVSKPEKLDAPVADSGENWSVGQRQLLCLGRVILKQTRILFMDEATASVDSQTDATIQKITRQEFSSCTIISIAHRIPTVMDCDRVLVLDAGLVKEFDAPSRLLEQPSLFSAMVEEYANRSANL >KQK99289 pep chromosome:Setaria_italica_v2.0:VII:32157290:32161260:-1 gene:SETIT_010037mg transcript:KQK99289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLGLRLVSALALLAFSSCSRDADRVARLPGQPETPSVSQFAGYVTVNERHGRALFYWFFEAQTAPEEKPLLLWLNGGPGCSSIGYGAASELGPLRVVRQGAGLMFNEYAWNKEANLLFLESPVGVGFSYTNKSSDLDKLNDDFVAEDAYSFLLNWLERFPEYKDRGFYIAGESYAGHYVPQLAELVYERNMDKKGKAYINLKGFIAGNPITNDYYDSKGLAEYAWSHAVVSDEVYDRIKKYCNFKNSNWSDDCSAAMDIVYSQYREIDIYNIYAPKCLLNQTSPSSAAQAFFENDQEHFRRRIHMFSGYDPCYSSYAEDYFNKQEVQKAFHANISGLLPGKWHVCSDSILNSYNFSVFSVLPIYSKLIKAGLRVWLYSGDADGRVPVIGSRYCVEALGLPTKTQWQPWYLDKQVAGRFVEYQGMSMVTVRGAGHLVPLNKPAEGLTLINTFLRGEQLPTHR >KQL00034 pep chromosome:Setaria_italica_v2.0:VII:35872359:35875016:-1 gene:SETIT_010418mg transcript:KQL00034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSRLLPATLQILRRRCASRFSTASSIVSPPSKAVLYDEHGAPDQVLRVADVPPVHLGDRDVCVRMLAAPINPSDINRIEGVYPIRPPLPGAVGGCEGVGQVHALGPAVTAPLSPGDWVIPSPSSFGTWQTYIVKNESMWHKVRSDVPMEYAATVTVNPLTALRMLRDFVQLNPGDAIVQNGATSIVGQCVIQLAKVHGIHTINIIRDRPGSEEAKGKLKQLGADEVFTESQLDMKNLKSLLGALPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMSKRPVTAPTSYFIFKDISMRGFWLQKWMNSDKAEDCRTMIDYLLGLVHEGKLKYEMESIPFSEFSLALEKALGKHGSQPKQVVRF >KQL00035 pep chromosome:Setaria_italica_v2.0:VII:35873122:35875016:-1 gene:SETIT_010418mg transcript:KQL00035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSRLLPATLQILRRRCASRFSTASSIVSPPSKAVLYDEHGAPDQVLRVADVPPVHLGDRDVCVRMLAAPINPSDINRIEGVYPIRPPLPGAVGGCEGVGQVHALGPAVTAPLSPGDWVIPSPSSFGTWQTYIVKNESMWHKVRSDVPMEYAATVTVNPLTALRMLRDFVQLNPGDAIVQNGATSIVGQCVIQLAKVHGIHTINIIRDRPGSEEAKGKLKQLGADEVFTESQLDMKNLKSLLGALPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMSKRPVTAPTSYFIFKVCTSNPACESCASQFCTT >KQK96230 pep chromosome:Setaria_italica_v2.0:VII:2089674:2091535:1 gene:SETIT_012439mg transcript:KQK96230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSMAIMTDAAASQSKQNVAKLAPHGNRIPFVVLQEATNYFDNQMVIGVGGFGKVYRAVMQDGSKVAVKRGNPKSQQGLAEFWTEVEVLSGVRHRHLVALRGYCDEQNEMILVYENMEKGTLRSHLYNSDKPPLSWNKRLQICIGAAKGLHYLHTGFKKSIIHRDVKSTNILLDENLSAKVSDFGLSKVGGGVDDTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEVICARPALNPSLPKEMVSLAEWGMEWQKRGQLYQIIDPRIAGNIKPEALKRYGDTVEKCLADHGVDRPTMGDVIWNLEYALQLQESGEDNSDMSMNNTFSQLVSTDGRLTGLNCCVSPSCSTQLHMLIEGSICSHSELPQPS >KQK96739 pep chromosome:Setaria_italica_v2.0:VII:14765799:14767725:1 gene:SETIT_010493mg transcript:KQK96739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPVTVVRRMKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIELIHHAIAAGITFLDTADFYGPHTNELLLGKALQGGVREKLQLATKFGISSGGGWEVRGDPAYVRAACEGSLRRLGVGCIDLYYQLRIDTTVPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHGVHPISAVQLEWSIWSRDVEEDIIPTCRELGIGIVAYSPLGRGFLSGGAKLIDSLSEQDARKNFPRFRPENLDKNAQIFERVNAMATRKGCTPSQLALAWVHHQGSDVCPIPGTTKIENFNQNVGALSVKLTPQEMAELESYVAAGDVQGDRYPQMASTWKYCETPPLSSWKSE >KQK99040 pep chromosome:Setaria_italica_v2.0:VII:30620801:30622088:1 gene:SETIT_011027mg transcript:KQK99040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARAVQRLVARNDAVAAPDGRGGGGGGLRAFEAARGAPAPRIGVAEYLERVHRYAGLDPECYVVAYAYVDMAAHRRPAAAVASRNVHRLLLACLLVASKVLDDFHHSNAFFARVGGVSNAEMNKLELELLDVLDFAVAVDHRAYGRYREHLEKEMRRDHHGLPKPAIKPLPPLAEEQPAESADGDDHDRKPLPNGGVPPASTASLRELWAFEASITR >KQK98524 pep chromosome:Setaria_italica_v2.0:VII:27725367:27725966:1 gene:SETIT_011086mg transcript:KQK98524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDHRGPASPRTGKHPYYRGIRSRSGKWVSEIREPRKTRRIWLGTFPTAEMAAVAYDVAARALRGPDTALNFPDLAASRPAPASTSADDIRAAAAEAAAALQEPDRHSAQGGGIAPAAAGGTAQQQPGGSSAAAHQQEGSSSAGSQYYLDEEALFETPQYLRNMAAGMMMSPPRLGRNSSDDSPDPSEAGDSLWSYRDP >KQK99904 pep chromosome:Setaria_italica_v2.0:VII:35316602:35319117:1 gene:SETIT_010009mg transcript:KQK99904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAEETCAASSALAHPPGLLDYAAIHSCLLRGDARLSLPLLALLLLLHFRFLAASASAHFTPAVSRLAARLRLSPSMAAVTLLALGNGAPDAFASAAALGGPGGMPRAGLAAILSAGAFVSAFVVGAVALIAAPFAVPPAPFARDVFFYLLAASGLFYIYLSAEIFLWQAVGLVLFYVFFVGLVFYMDLGGDEGKAVANSAAELQMANGIGRVAMDLPVTEVWEWPIAFVLKLTIPSTLPSEWNKVYICANICLCPLLLLYSFSSFIPLDTQIVFLLPQIRFPIWSVVLFASLCLALSHFLLEKEAPESENIPSTLISFIMSVFWISTMAGELLNCLAAIGIIMDFPPAILGMTVLAWGNSVGDLVADVALARAGQPTIAMAGCFAGPMFNMLVGLGTALVVQTARVYPKAYVLEFHVGIVVAFVFLLLSLMGTLLVVTWARFRVPRFWGYCLMGIYVLFTVVSIAIASTSG >KQK98494 pep chromosome:Setaria_italica_v2.0:VII:27438967:27441604:1 gene:SETIT_011517mg transcript:KQK98494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNTLTWWEQMDNGKQLTRNRKFLTAVPVVLYLIASHTTDYQHPMLFLNTLAVTVLVVAKLPNMHKVRIFGINAGS >KQK98075 pep chromosome:Setaria_italica_v2.0:VII:24819824:24822337:-1 gene:SETIT_012922mg transcript:KQK98075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYPSYDQEDLQDPNYDEEDLNSYGQGSEPNYDFDNDGWED >KQK96465 pep chromosome:Setaria_italica_v2.0:VII:8221628:8222599:1 gene:SETIT_011590mg transcript:KQK96465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLQDGRSERLTVCAGSNPPTVQDALTEYHVIESCPQGPAGVENLDMLAVGVGSWWT >KQK98239 pep chromosome:Setaria_italica_v2.0:VII:25796890:25797942:-1 gene:SETIT_011708mg transcript:KQK98239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTGTQDKCTACDKTVHFIDLLTADGVPYHKTCFKCSHCKGILSMCSYSSMDGVLYCKTHFEQLFKETGSFSKKFTPGGKSADKGELARAPSKLSSAFSGTQDKCAACQKTVYPLEKLTLEGESYHKSCFKCSHGGCILTTSSYAALNGVLYCKIHFGQLFMEKGSYNHMKKKSTSQDTMPDVAAEEQPPEPAPPQEEKGEDN >KQK99822 pep chromosome:Setaria_italica_v2.0:VII:34904652:34906842:-1 gene:SETIT_011242mg transcript:KQK99822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPTPAPSGGEEFTEVVVVRHGETSWNASHIVQGQMDPELNEIGRQQAVVGGGESLNQLTERCVSYLNKIAQEHIGERVVVVSHGAAILEMSWHTDPPNSPIRRNIPNTLLNVFRVSSVTGHWILERCGDVSHLNGNGFLENSFGGNGASA >KQK97057 pep chromosome:Setaria_italica_v2.0:VII:18552212:18554174:-1 gene:SETIT_010972mg transcript:KQK97057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILVITVDLECCRCRAKITKVLNCLKEEFCIEKIEFEDKNKKVVVRGKFDAEKLCKKVWCKAGKFVKEIVIAEVWPMPPPPKPCKPCKEEPKSDPGKAAKPVKCDCDHCCKVKAEKCEPESCKPKTKPEQEKTKPPTAPKTEYKLVPYPYPYPLSYYPAMCPSWPRQCPPQQQCQGCQKPPPPPPPCSCSNHGSCGCHGTPPAWPTQPPVWPPPWGSSCNIVTEDNSCSVM >KQK98646 pep chromosome:Setaria_italica_v2.0:VII:28438557:28438868:-1 gene:SETIT_012683mg transcript:KQK98646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAHLACAFFFDAEPLGEPGRHALDACALCSKPLSRNSDIFMYKGDTPFCSEDCRDEQMQFDAIRARQAPYSSGTESRRGHQESRKVSAVAS >KQK97435 pep chromosome:Setaria_italica_v2.0:VII:21191694:21195305:-1 gene:SETIT_010048mg transcript:KQK97435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPAHTLGLRLPPPSSSARRRARRPVRTAAAAATQAAPRRETDPRKRVVITGMGLVSVFGSDVGAFYDRLLQGESGVGPIDRFDAGSFPTRFAAQIRDFSSEGYIDGKNDRRLDDCLRYCIVSGKKALENAGLAKGSDAHVKLDKVRAGVLVGTGMGGLTVFSDGVQNLIEKGYRKISPFFIPYAITNMGSALLAMDVGFMGPNYSISTACATSNYCFYAAANHIRRGEADIIVAGGTEAAIIPIGLGGFVACRALSQRNDDPTTASRPWDKERDGFVMGEGAGVLVMESLEHAMKRDAPIIAEYLGGAVNCDAYHMTDPRSDGLGVSSCITKSLEDAGVAPEEVNYINAHATSTLAGDLAEVRAIKQVFNNTSEIKINSTKSMIGHCLGAAGGLEAIATVEAITTGWVHPTINQFNPEPEVEFDTVANEKKQHEVNVAISNSFGFGGHNSVVVFAPFKA >KQK99148 pep chromosome:Setaria_italica_v2.0:VII:31167531:31167856:1 gene:SETIT_012009mg transcript:KQK99148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIAAQLKDMFFVLVERVTGYGRDENQKNSAGAKEATRTEEVAAVERVVIRARSVDPTVSAGSQPGVV >KQK98429 pep chromosome:Setaria_italica_v2.0:VII:26961765:26966674:-1 gene:SETIT_009346mg transcript:KQK98429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAIMAKKSYKQHSKSEKIQVGCMSGLVRMLDFRRSPKLLSDGRVKREPKGFEDVHENISSNDNKDHRVELIFAGRASIKTLMEEEMASSTQPLKQAQRNVTGICSEDIDLNLAASLMEIYRSCTESQEISNSVQSCHSSVSTDKEDNTDPPAQLYQIPSSIQRALEDVAEAVIRYQSANKEYITSSGEARSKEFVDALQLLSSNKDLFLMLMQDPSSRLLECLQNLYMSLGSTKLECEECDEKTELQNNLEQSVTSPSKVQRRHNSFLKEDKLVMRKQPNLNDSSRGFSRIVILKPSPARSHSSLISSSATSSPLSNHNDLQVQEASDKPDRQFSLRELKRRLRLAVRENRKDHQLNSMSNTFHKAEADTSKQLPVTSMSESLASTDSSDSKVAEEPSIVDKKTVPEDSGSGMRNDVAHGVGSFSYEKAKMYIIERLNDQGEDSSHIVQKSESFERLISLPENAAFSPSHCPQEDNISIAHEATDPLNLHTIEQEDGSASPNPTWLYQETESADSSNLGMESLVELKTDCGNHPQNEGAISQELNSEGVKTVQDAVENPQLCAEIETSQESVEGKNPDECSSEEPLSMNVLQEVALNEQENHSPSEIGGLVKPSVLTFPYSPENTNDKEEKLSPQSVLDPALREVTSPGHKARKRDELSMPISRVLFKELDTSSASPALWGEPQVAILDDKDARDSFIKAVLEASELLSEENLQIWYTEEPLLDVSVLAEVGNSYCLTDDAVLLFDCVEEVLLKIRDKFFGTGPWVAFLKHNARPAPVGRHLVQEVAKGIDSLVGDEFPNTLEQVMMKDLDTGSWLDLRSDSESVVVELWDGLLDDLLEEMIFDLWL >KQK99983 pep chromosome:Setaria_italica_v2.0:VII:35651572:35652165:-1 gene:SETIT_0095781mg transcript:KQK99983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAHRHTKRRRLSPAPAPIDALADELLFLILDRVAAADPRALKSFALASRACHAAESRHRRLLRPLRADLLPAALARYPSASRLDFSLCARVPDAALAAVSSSSGSSLHAVDLSRSWGFGAAGLAALAGPCPDLADLDLSNGVDLGDTAAAEVARMRRLRRLSLSRCKALTDMGLGCVAVGCTDLRDLSLKWCLG >KQK98736 pep chromosome:Setaria_italica_v2.0:VII:28904796:28916030:1 gene:SETIT_012464mg transcript:KQK98736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEKIPFSFSSLDDYLKSYVPPLIEETRSSLSSCLELIAEAPSSKILSMEIAGKSGQYFMDVDFWDNGAGFSTETYTARNGDIFILSSMKPEAAEDFNRYGLTYFLAMVSEVSMNDQYQKGFRVKVASDTVLDGDLTKLRHAIFLDNIMTNIWIWKALCFDTRMKNNFTIIRSLLAPRNTDEDVCAVCVKKDDDCLAPFPEQLLSVNLNQSQVDAIESIISAVQCRHLNLTKLIWGPPGTGKTKTVSSILWALACLKCRTLTCAPTNVAVVGVCTRFLQNLKDFNKKIDKSDLPLALGDVLLLGNKYKMDITKELHEIFLDYRVDELAECFSSLSGWRYTIASMISFFEDCGSQYDMLLEDDGSSDAVCFLDFLKKQFDVAATAVKKCMMSLWIHLPGRCFSHDNVSNISMLLSLLGKIDALLCDGGLTDENAKKGFDFQSTENSIYGKLMSPIEKELDGARSLCLKLLKDLQSSLNLPIGVDRNWVQNYCMRNATLIFCTASSSYRLHNAEIPPLDVLIVDEAAQVKECELVIPLRLHWLKHVILVGDDCQLRPLVRSQVCKEAGFGISLFERLVILNFEKHLLNIQYRMNPCISLFPNAQFYNRKILDGPNVLSPSYSKDYMGLPFGSYTFINITDGREEKEGAGNSWRNLVEVAVVLHLIQTIFKSWKKTGQGISIGVVSPYSSQVAAIKDKLGKKYDSCDGFHVRVKSIDGFQGEEDDIIILSTVRSNRRGAVGFLADYQRTNVALTRARHCLWILGNANTLCKSGTVWTDLLADAHQRKCIVNATNDTAMCKLIIHVKHELDELDDLLNTDSAVFSSTRWKVILSDNFRKSFMKLKSPQLRREVLQKLVKLGGGWRTTIKSSDVTDAFQLAKVYRIRDLYLVWSIDLEKNERYFQIIRVWDILSQQHVARTVQHLENLFSMYTDDYIDHCRRVQTEGKLEVPVIWDAGHNIIRYKKDVRVDNQEHHDHVDTSCTLENTKVSESFLLMKFYSLSSGVAKHLLTATDGSEIEVPFELTDEEEAIIRFPLTSFILGRSGTGKTTVLTMKLYQIEQQSLIASQGLALNEVDTSLAEHEGTLLEKDTSESGNIVKQVLITVSPKLCSAIKNHICRLKRFGSGDVSDQPSILHMHDIIDDLEQFTDIPDNFNDLPHEYYPLTITFRKFLMMLDGTFRTSFFDMFCGELKSSTERGHSKSRALQAFIESKEVTFEKFVSSYWPHFNAELTKNLDASTVFTEIISHIKGGYKATGPYNGKLERVDYVMLSDRRFSSLNSKVRDKVYDIFLDYESMKRTAREFDLSDFVCSLHSSLVSEGYNGDLVDFIYIDEVQDLTMSQLALLKYVCRNFKEGFVFAGDTAQTIARGIDFRFEDVRSLFYTSFLSETEACNQGSNQGTKHGKQVYLADMFQLSQNFRTHCGVLRMAQSIMSLLYYFFPSCVDKLNPETGLVYGEAPVLLESGNDENAIMTIFGESKSEHDKQHGFGAEQIILVRDDATKKQIVNLVGKQALVLTIVECKGLEFQDVLLYNFFSSSPLRNRWRVVYDYMKSKDVIASSEQISHPGFDRNKHYLLCSELKQLYVAITRTRQRLWICESTDDYCQPMFDYWKKLCIVEVRLLDSSLIQAMQTGSSADDWRLRGTKLFNEGQFEMATMCFEKAGDAYREKWARAAGLLATADRVISTNLEMGQASLQKASEIYESIGMHEKAATCYIKLGDYKRAGMVYMEKCGTSRLEDAGDCFAMTECWSQAAEVYFKARCYTKCLSCCSNGKLFSQGLQFLQQLEKEQCENFNSEVAVLRNTYLESCALHYFERGDMKHMMPFVKAFNSMDHVRAFLNSRNLLDELLSIEMEMDNFLEAAGIAKLKGDILLEVNMLEKAELFENATQLLLLYVTVNSLWAPHSRGWPPKSFAEKEQFLVQVKEMAKKVSEEFFFLACFEADVLSHSRKSLASLTYNLLQGRKCGNLLIEFISARSLIDVHLQSQTSGYNLDLEPGSEDEQYCHDFLACNQMSLRTLACVWNHWSSIIVKVIAHLQHYQDQKENYFAAMCEDLCAKYFGLRQDGDSRYAVLNMDSSWLTNIGRNSLEQDGNRCWLDTVQFKSCAQNFLVNELSSVGFCVVQKMESLVEASLEQASSPHTQWRTIIIISEIIKFMKDSEFCLPKFPKKLGNLFTLCEHRFFELLFMAWRDETTNSFFFMLDSPAAYDLIVDSLGSDLRPANRKLTHGYLGRITMYLLYVARLDDTVNSKLGEYLNRGSDWARFFQSLKRFLDFGDGRSTLILNFKLALEYTFSANWRTEPDYISLICYVNLMECLGFLASSFLIMDGFVFCTKSLLIKMLKCRTSKNYLGTCLVSGPGSQDLDQDVSLLSRRFIFQSIRELLTNKCAIQGWARKTYIPVLLRLVILLYLVTLSLPRAKCYEVTDFLKKCNIFEDLPPEFSNRIVNFLNLKYPTLTKFRIIFADALAAIGNRLVILGSPSKEICRNLNAHIISSEDLSDVKKVMVLLCPAEQESPMQEETKTFSVTPGNFSIPKVRDNKMESRLELYLSDENISFWEKFVSFQVLIYDQKDAWIVILFLRSALSWLELSSLPENIDAQLLQEVRHICYQFEGQSVREKNAYLTVDDLYSTWSDGENKLQKIISFMLSERASIEESERRKEAAPAVQLHTDGDDEWSDCSDNEPDTGGRETLEPVKEEATGTCSTSKKKAQKQNKKKSKKSKRGGKK >KQK96610 pep chromosome:Setaria_italica_v2.0:VII:12986886:12993500:1 gene:SETIT_010569mg transcript:KQK96610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVGERPSDPLLRSPNDFLGREVWEFDPDAGTLEERAEVERLRQEYTRNRFTQRECGDFLLRMQECSVPPLQYAKQNLHNTNLPVIKIKEDSEVTEETVLIVLRRALSQYCSLQGPDGHWPGGFSGILFILPLMIFALHVTQSVNEVLSTEHIREICRYIYNIQNEDGGWGTHTLGPSSMFGTCVNYATLRLLGEVLDGENVALSRGRAWILSHGSATAAPQWAKIYLSIIGAYDWSGNNAIIPELWMLPHFLPIHPGRFWCFCRMVYMPMAYIYGKKFVGPITPTILAIRNEIYHIPYNEINWSKARSSCAKDYWSPRRGLIQYKAE >KQK96609 pep chromosome:Setaria_italica_v2.0:VII:12986886:12989965:1 gene:SETIT_010569mg transcript:KQK96609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVGERPSDPLLRSPNDFLGREVWEFDPDAGTLEERAEVERLRQEYTRNRFTQRECGDFLLRMQECSVPPLQYAKQNLHNTNLPVIKIKEDSEVTEETVLIVLRRALSQYCSLQGPDGHWPGGFSGILFILPLMIFALHVTQSVNEVLSTEHIREICRYIYNIQNEDGGWGTHTLGPSSMFGTCVNYATLRLLGEVLDGENVALSRGRAWILSHGSATAAPQWAKIYLSV >KQK97276 pep chromosome:Setaria_italica_v2.0:VII:20196421:20199910:-1 gene:SETIT_012705mg transcript:KQK97276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQSPLKDASARARRSRPRTNLAAAPPGLAGLPRPAVQARNGARGTQPEPEEEMMAGDRVAPVPAVIVRLAAVGFLLILILCSSPAAVVVVSARTIGQTCALDRNCAAGLHCETCVANGNVRPRCTRVTPVDPQTKARDLPFNRYAWLTTHNSFARLGQKSQTGVAIATPWNQQDTVTEQLNNGVRGLMLDMYDFRNDIWLCHSFGGICQNFTAFQPAVNVLREVERFLSRNPAEVVTIFVEDYVESPMGLTRVLNASGLARYMFPVSRMPKSGGDWPLLSDMVRDNHRLLVFTSKSAKEAAEGIAYEWRYVVENQYGTKGMVKGTCHNRAESAAMNDLSRSLVLVNYFRDLPNFPEACKDNSAPLMDVLNVCHAKSGDRWANFIAVDFYKRSDRGGAAEATDKANGGLVCGCGSISACNANGTCTPRHGKTPKGIFNASSAAAAWRPPPVLQWQRLVLLPALLAVLLGQ >KQK96472 pep chromosome:Setaria_italica_v2.0:VII:8724826:8725295:1 gene:SETIT_012867mg transcript:KQK96472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRIMVYRSFPIKPVHLFRNKKATIYSAPGTIP >KQK98579 pep chromosome:Setaria_italica_v2.0:VII:28036698:28038911:1 gene:SETIT_009660mg transcript:KQK98579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLPKLSPSHLVPLDLSTSWCCTPHGLGAHAPSASSPAPAAADPMDPPPTGSSSSYATPPPPSYAPSYPSSYTKFNSALNAGLLNPMSPPPLPLDKTRSSPTLFDMMANEQDYHPRTAAAGVHSIPAPPPQHPHHQLQPARSMDRQVMLQDRIADLIGSCSPGNQFNDADSSDVRLTLTSKDGLSVTLCVHRHILVAHSRFFAAKLSDRWSKQQRTLPHIVEISDCDDIEVYAETLRLMYCKDLRRRLMREDVNKVLGILKVSAAIVFDAGVLSCLEYLEAAPWAEDDDEKVAALLTQLHLENSGAGEVLKRVSLELAPSAVVEEAEVGGSCSGGGTAGGGEEVLLRLLQVVLEGKDEKARREMKGLVSKMLRENSNSRGGAIGGDLRKESLYSACNGCLSLLLEQFVRAAGGDHSEVSQIARQADNLHWMLDILVERQIAEEFLRTWAMQTELAEMHRKVPAIHRYEVSRVTARLFVGVGKGQILVSKEARCQLLSTWLEPFYEDFGWMRRACKGLDRHLIEDGLANTILTLPLATQQEILLAWFNRFLNSGEDCPNIQRGFEVWWRRAFWKRNAEPEQPARLRITAICENS >KQK98946 pep chromosome:Setaria_italica_v2.0:VII:30106094:30110064:1 gene:SETIT_010226mg transcript:KQK98946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATPRSRSQARTTRPWILPGMDFTDTRRKPNFTGKIAVAAALTVMCIIVLKQSPSFSGTSVFSRHEIGVTHVLVTGGAGYIGSHATLRLLKDNYRVTIVDNLSRGNMGAVRVLQRLFPQPGRLQFIYADLGDAKAVNKIFSENAFDAVMHFAAVAYVGESTIEPLRYYHNITSNTLTVLEAMAAHNVNTLIYSSTCATYGEPDTMPIVETTPQNPINPYGKAKKMAEDIILDFTKSKKSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFAAALGIVPGLKVHGKDYPTTDGTCVRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGQGRSVKEFVEACKMATGASIKVSYLNRRPGDYAEVYSDPSKIHRELNWTAQYTDLGQSLSQAWKWQKAHPNGYGSA >KQK98947 pep chromosome:Setaria_italica_v2.0:VII:30107164:30110064:1 gene:SETIT_010226mg transcript:KQK98947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKLYPLSELIVFFVCVYISAQLRSVLHLTNSQVNKIFSENAFDAVMHFAAVAYVGESTIEPLRYYHNITSNTLTVLEAMAAHNVNTLIYSSTCATYGEPDTMPIVETTPQNPINPYGKAKKMAEDIILDFTKSKKSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFAAALGIVPGLKVHGKDYPTTDGTCVRDYIDVTDLVDAHVKALDKAQPGKVGIYNVGTGQGRSVKEFVEACKMATGASIKVSYLNRRPGDYAEVYSDPSKIHRELNWTAQYTDLGQSLSQAWKWQKAHPNGYGSA >KQK96742 pep chromosome:Setaria_italica_v2.0:VII:14822932:14825619:1 gene:SETIT_012235mg transcript:KQK96742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTVVAWLGWTSCPLQSGRYLIVIDDVWTKDAWEYFKCCFVQNNRGSRVLITTRIEDVAKAWDPCFDGHVYRIKPLYDLDSKRLFHRRIFGSEDACPEQLKAVSDEILKKCGGLPLAILSVAGILASHEEVNSKQTWEKIKNYLGFQLERNHGFGSMRHVFNLGYNNLSLDLKTCMLYLGIFPEDSEIIKDDLMNRWIAEGFITKKLFSGPEEIAESYFNELINKNMIQVARMDDCGHVLSCRVHDVMLEFIMLKAAEENFVTIINDLQNTRGSLKARRLSLQVRNSGCNNHAREDMDVSQVRSLNFWGPAECMPSLSKFRLVRVVHLDVNDSEDDQCDMSSFCKFFQLKYLRIRGLGCRKLPRQLRSLQHLETLEIHPGKTTTMKIDATKLPPTLWHLVVPSTVKMIGAMESMTALRTLALGKLDVVHPKDAEKIIKGLGELANLRELKLSLSHSSSQIAQVVGDLLPSSLGRLSNLKSLIIGGSFAIHEDALTRWHTPPRHLRRLHALVCPFSTFPTGWITQLDSLRSLEIKVVSLPRDGAEVLARLTLLVHLRLHVDKHAPEEGVVIHRAAFPHLKEFWFAYQVPCLMFEAGAMPGLQSLTVQCYASAERQADGVLDGIEHLGSLKLCRVDIAVFGGIGLQAAFVPKKEVQRWNKQDLQAAFRMAINKHPGSLDIYMMNM >KQK97670 pep chromosome:Setaria_italica_v2.0:VII:22518963:22519592:-1 gene:SETIT_0111881mg transcript:KQK97670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGEGGDDHHGAAAAPLIAHHEKHDGGIVRSGSMWTAAAHVITAVIGSGVLSLAWSIAQLGWVAGPAAMLAFAGVTALQSTLFADCYRSPDPEHGPHRNRTYAGAVDRNL >KQK99402 pep chromosome:Setaria_italica_v2.0:VII:32775260:32776045:-1 gene:SETIT_010996mg transcript:KQK99402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTPPLATGAAALSAPPPPTTTQSPSSASTRTAAGRRQLLVSGLLLAATGGARAAAAAGGRGEGALVGYDGPVVTEAERAASAAVSQRVGEAVGLLELGRELQARGEFPEALASFTRVVREYADLALSEYARVGRALVLYEIGDRDESIAEMEDVSIALKGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHYTDLTYVRDTKHWPPSLVASLHDFITLS >KQK99401 pep chromosome:Setaria_italica_v2.0:VII:32774736:32776175:-1 gene:SETIT_010996mg transcript:KQK99401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTPPLATGAAALSAPPPPTTTQSPSSASTRTAAGRRQLLVSGLLLAATGGARAAAAAGGRGEGALVGYDGPVVTEAERAASAAVSQRVGEAVGLLELGRELQARGEFPEALASFTRVVREYADLALSEYARVGRALVLYEIGDRDESIAEMEDVSIALKGYPEIHAALAAALYADKHAALLAENQFAIATLLDPHYTDLTYVRDTKHWPPSLVASLHDFITLS >KQK97319 pep chromosome:Setaria_italica_v2.0:VII:20536347:20536653:1 gene:SETIT_012890mg transcript:KQK97319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQAPLCCSRQWQMSVAFGAWLGQLNFRNS >KQK97543 pep chromosome:Setaria_italica_v2.0:VII:21788382:21788999:-1 gene:SETIT_011465mg transcript:KQK97543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMISGDFAEAYVRKNACKKETGMAEANAAAVDGSSAAQGEKKAGDGASGKKTTTGEAGVKGDGVLFGLIKKKVHPKAGGRGASSSSS >KQK96482 pep chromosome:Setaria_italica_v2.0:VII:9435916:9436379:1 gene:SETIT_011624mg transcript:KQK96482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQTKQDKHSMHETDDASYIKVNCSPQAYPKIIRSQTACKIAGPGCVRNSVI >KQK99482 pep chromosome:Setaria_italica_v2.0:VII:33083627:33086533:-1 gene:SETIT_010080mg transcript:KQK99482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRWAPPAGLVLLVLLLASAVSGDKPLRGGPSGAGKEPESSSAIFPLYGDVYPHGLYYVSMNIGNPSRPYFLDVDTGSDLTWLQCDAPCVSCNKVPHPLYRPIKNKLVPCVDQLCASLHGGLSGRHKCDSPHQQCDYEIRYADQGSSIGVLVNDSFALRLANSSVVRPSLAFGCGYDQQVGSSSEVSPTDGVLGLGSGSISLLSQLKKHGISKNVVGHCLSLRGGGFLFFGDDLVPYSRATWAPMARSAFRNYYSPGSASLYFGGRSLGVRPMEVVFDSGSSFTYFAAQPYQALVSALKGGLSRTLKEVSDPSLPLCWKGKKPFKSVLDVKKEFKSLVLSFANGKKALMEIPPENYLIVTKYGNACLGILNGSEVGLKDLNILGDITMQDQMVIYDNERGQIGWIRAPCDRIPKFGSSALL >KQK99483 pep chromosome:Setaria_italica_v2.0:VII:33083117:33086625:-1 gene:SETIT_010080mg transcript:KQK99483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRWAPPAGLVLLVLLLASAVSGDKPLRGGPSGAGKEPESSSAIFPLYGDVYPHGLYYVSMNIGNPSRPYFLDVDTGSDLTWLQCDAPCVSCNKVPHPLYRPIKNKLVPCVDQLCASLHGGLSGRHKCDSPHQQCDYEIRYADQGSSIGVLVNDSFALRLANSSVVRPSLAFGCGYDQQVGSSSEVSPTDGVLGLGSGSISLLSQLKKHGISKNVVGHCLSLRGGGFLFFGDDLVPYSRATWAPMARSAFRNYYSPGSASLYFGGRSLGVRPMEVVFDSGSSFTYFAAQPYQALVSALKGGLSRTLKEVSDPSLPLCWKGKKPFKSVLDVKKEFKSLVLSFANGKKALMEIPPENYLIVTKYGNACLGILNGSEVGLKDLNILGDITMQDQMVIYDNERGQIGWIRAPCDRIPNDNTIHGFEEGYCWPQFPGIIGLPNEDCPAYYRSNIV >KQK99836 pep chromosome:Setaria_italica_v2.0:VII:34986312:34987448:1 gene:SETIT_011148mg transcript:KQK99836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGVPFFGSSGAKKEVSKRTRSKNGKSASFGSTTSSSSDECATVTKRRTVLPPSASASASGAKRNPAPVTREDLEIALRRVVSSEAELAAMLAEAEAAGLALEDIAAAEVEDVGELRETFAVFDADGDGRISAEELLAVLASLGDDRCSVEDCRRMIGGVDVDGDGFVCFNEFTRMMTQGV >KQK97491 pep chromosome:Setaria_italica_v2.0:VII:21445740:21449238:-1 gene:SETIT_009684mg transcript:KQK97491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAKAWVAANYAEPMASMQHSLRVAYVVFSFCAAFFLGGIKAMVVGPVAAALMILGNVSVILVLFPAHVWWTIYSLIKTDRINAGLKLAVLLALPVLFGLWLGLSIFGGALVALGYGFFTPWISTFEAFRQESEAKKFVHGIVDGTWGTIKGSCTVVRDFADICFHSYPVYLKELRESSHNREPHSIRLLDVPSCIVVALLGLVVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVIVFQEKSFQRGVSYVVAMVAEFDEYTNDWLYLREGTILPKPSYRKRKSSNSTEFSVRTNASVKGAEYPSGSGEAPAMLVPNLAPARSVREAIQEVKMVQFMLVDVPIGWKIREFSVDNSVSIYCIRKILTMANNMGGHDEGMRAEGQGPSEPECHYGRRPERVAKGKGKRP >KQK97490 pep chromosome:Setaria_italica_v2.0:VII:21444737:21449582:-1 gene:SETIT_009684mg transcript:KQK97490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAKAWVAANYAEPMASMQHSLRVAYVVFSFCAAFFLGGIKAMVVGPVAAALMILGNVSVILVLFPAHVWWTIYSLIKTDRINAGLKLAVLLALPVLFGLWLGLSIFGGALVALGYGFFTPWISTFEAFRQESEAKKFVHGIVDGTWGTIKGSCTVVRDFADICFHSYPVYLKELRESSHNREPHSIRLLDVPSCIVVALLGLVVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVIVFQEKSFQRGVSYVVAMVAEFDEYTNDWLYLREGTILPKPSYRKRKSSNSTEFSVRTNASVKGAEYPSGSGEAPAMLVPNLAPARSVREAIQEVKMVQIWEGMMKACEQRGRDLLNLNVITAVGLSEWLRAKESGHETISLGLPSYSLLCTVLQSIKAGAGGLLLGNVEVNQHNRPQDRLLDWFFHPVLVLKEQIQALKMTEEEVRFLEKLTLFVGNAASTSVWDNGAEMPQDPVRLAQIQAISRRLVGIVRSLSKFPTYRRRYRHVVKLLIAYSIERDGSCRSSASSHSVSFFEITQLDV >KQK97489 pep chromosome:Setaria_italica_v2.0:VII:21445740:21448976:-1 gene:SETIT_009684mg transcript:KQK97489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGPVAAALMILGNVSVILVLFPAHVWWTIYSLIKTDRINAGLKLAVLLALPVLFGLWLGLSIFGGALVALGYGFFTPWISTFEAFRQESEAKKFVHGIVDGTWGTIKGSCTVVRDFADICFHSYPVYLKELRESSHNREPHSIRLLDVPSCIVVALLGLVVDIPLYTVIALIKSPYMLFKGWQRLLHDLISREGPFLETVCVPIAGLAILFWPLVVVGSVLLAIVSSIFVGLYGAVIVFQEKSFQRGVSYVVAMVAEFDEYTNDWLYLREGTILPKPSYRKRKSSNSTEFSVRTNASVKGAEYPSGSGEAPAMLVPNLAPARSVREAIQEVKMVQFMLVDVPIGWKIREFSVDNSVSIYCIRKILTMANNMGGHDEGMRAEGQGPSEPECHYGRRPERVAKGKGKRP >KQK98642 pep chromosome:Setaria_italica_v2.0:VII:28411097:28412913:-1 gene:SETIT_012978mg transcript:KQK98642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGSGGRTSTFALGGGGASAAAWTRLVSSGVEDELVVFASGGGGGCAGAGPGGLPQGHFLEACFLCRKPLASNRDIFMYRGDIPFCTEECRREQMEMDEEMERKENSNPKKVAARAPSHAGVESPPRPPKARAGSILAG >KQK99214 pep chromosome:Setaria_italica_v2.0:VII:31578890:31579832:-1 gene:SETIT_012229mg transcript:KQK99214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLHHFHVAYLDKAASPSSSPPSISQSLSSSAIPLALQCLRPLAPKISFPEARKMVVLPEFSRVRNASRLLNCTVQVPTGGTTRWNPSPDQIKVLEMLYRGGMRTPNSFQIEQITEELGKYGRIEGKNVFYWFQNHKARERQKQKRAALLTLSTTSSLPSPETKVGVGKEEEASCEDASSRKRRCRTWGDDVHGDAAPATEVVADCTDDVTLELFPLRPDQGKSKLIN >KQK99564 pep chromosome:Setaria_italica_v2.0:VII:33537906:33538514:1 gene:SETIT_011346mg transcript:KQK99564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRGFCSFETVPVRLCFPTTLEGMFFSFEKRGEGIPYRGAFFRVVDELDSCGFAPGRRRRLFLLGQAGDGDSRCAPEGRCLAASKSWRSRRLAWPGFCPGRQASSPSPADQEEVQRLGLPL >KQK98686 pep chromosome:Setaria_italica_v2.0:VII:28627606:28628196:1 gene:SETIT_012796mg transcript:KQK98686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTSSTEPAPVKHITLHHFLKQQLLQQHRLKPAVMWGWPAAAATIGRHAQEDAADDNDGLGGAWPPRSYTCAFCRREFRSAQALGGHMNVHRRDRAKMRGGNHGAAAAAQAQLVAAAAPAADEAPLAAAAATKYAVLYPILNSNAAGAVLIPSGDVLLSAPVALAPAHDRCHVSDDEEEEGGDKDVDLELRLWWP >KQK96296 pep chromosome:Setaria_italica_v2.0:VII:3134322:3138194:1 gene:SETIT_009213mg transcript:KQK96296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRHLLLSLPLLLLHLLLAATFPTAAAAAGSSEVAFLTAWLNTTAARPPDWSPAAASPCNWSHVSCDGGGGVVTSVSFQSVHLAVPVPAGLCAALPGLVSFVVSDANLTGGVPDDLWRCRRLAVLDISGNALTGPIPPSLGNSTALETLALNSNQLSGPIPPELAGLAPSLKNLLLFDNRLSGELPPSLGELRLLESLRAGGNRDLAGPIPDSFSKLSNLAVLGLADTKISGPLPASLGQLQSLETLSIYTTELSGAIPPELGNCSNLTNIYLYENSLSGPLPPSLGALPQLQKLLLWQNALTGPIPDSFGNLTSLVSLDLSINSISGTIPASLGRLPALQDLMLSDNNITGTLPPSLANATSLIQLQVDTNEISGLIPPELGRLAGLQVFFAWQNQLEGSIPAALASLSNLQALDLSHNHLTGIIPPGLFLLRNLTKLLLLSNDLSGPLPLEIGKAASLVRLRLGGNRIAGSIPASVSGMKSINFLDLGSNRLAGPVPAELGNCSQLQMLDLSNNSLSGPLPETLAAVHSLQELDVSHNRLTGAVPDAFGKLETLSRLVLCGNSLSGPIPPALGQCRNLELLDLSDNDLTGSIPNELCDIDGLDIALNLSRNGLTGLIPEKISVLSKLSVLDLSHNALDGSLAPLAGLDNLVTLNVSNNNFSGYLPDTKLFRQLSASCLTGNAGLCTRGGDVCFVSIDANGHPVMNTAEEAQRVHRLKLAIALLVTATVAMVLGMIGILRARRMGFGGKSGGGGGGGGDSESGGDLSWPWQFTPFQKLSFSVDQVVRSLVDANIIGKGCSGVVYRVSIDTGEVIAVKKLWPNTTHAAAASCKDDGGTNGRVRDSFSAEVRTLGSIRHKNIVRFLGCCWNKTTRLLMYDYMANGSLGAVLHERRGAGAQLEWDVRYRIVLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLDFEAYIADFGLAKLVEDGDFGRSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRRCRDRADVLDPALRGRSDGEVEEMMQVMGVALLCVSPTPDDRPTMKDVAAMLKEIRMEREDLANVDVLLKGGASPPPSHHGHAPVAAAAKLASSSTSSTPPSYRQGPSNSHSNSCSSSSFSAIYSSSNKAKSPFG >KQK99920 pep chromosome:Setaria_italica_v2.0:VII:35398001:35400208:1 gene:SETIT_010316mg transcript:KQK99920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSPTLTPNLPTLHRPVPAREGRKISTDGGMRDGRWQVNEEVRAYGTGGGTKLDQSARDGAGWSLGRPPPSYHQGEHTGRSFGDFLLLAFPFIPIILVFIVSGNVGMPFGLLCALVFLVANHGLPMKSNFRLVLLMVHISMVGPDKMRVTWITQDDAPAIVEYGTTSGSYPLSATGSTTTYSYVLYHSGKIHDAVIGPLQPSTTYYYRCSSNPSREFSFRTPPATLPFKFVVVGDFGQTGWTASTLKHIAAADYDMLLLPGDLSYADYIQSRWDSYGRLVEPLASARPWMMTEGNHEIEKLPFVKPFQGLQRAVAHAVRRRRLAVGRQPLLLLRRRRRRRARHHAGLLHRLRRRQRAAPVAPARPGGDRPRQDGVRGSAGARAVVQ >KQK98250 pep chromosome:Setaria_italica_v2.0:VII:25883413:25888454:1 gene:SETIT_009846mg transcript:KQK98250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGPGDCRRRTVLAGALSRTSAAFLFLSVVAVAAVVSARWITATTAGRLTRHPATVAIPGAAAAALHPEAEHPQPPRPAPKTSPTLARALSSPSICPSSPSPPPPPAPAASHPSNRSCPSYFRFIHEDLRPWRAAGGVTRAMLRRARLTATFRLVVLGGRAYVQRFRPAFQTRDLFTVWGVLQLLRRYPGRVPDLELMFDTVDWPVVHTHLYRGKYAEVMPPLFRYCGDDRTLDIVFPDWSFWGWPEINIKPWDALQEDLKDGNNRVKWMDREPYAYWKGNPSVSATRQELVKCNVSSTKDWNARIYAQDWFKESKAGYKDSDLGSQCSHRYKIYIEGSAWSISQKYILACDSMALLVTPKYYDFFSRSLMPIQHYWPVRDDNKCASIKYAVDWGNSHKQLAQRIGKQASNFIQEELSMDHVYDYMLHLLTEYAKLLKFKPTKPPEAVEVCSESLACQADGLEKKFLVDSMVKSSHDAGPCNLPPPFSSHELKMLKQRKENSVKQIEMWEQKASGA >KQK99340 pep chromosome:Setaria_italica_v2.0:VII:32454434:32454928:1 gene:SETIT_012702mg transcript:KQK99340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSLRCCLACVLPCGALDLIRIVHLNGRVEEYGRPVAAGEILAANPNHVLSKPCSQGVVRRILIVSPDSELERGEIYFLIPASSVPPEKKQKGTKSLSAGSHSDQLVKKESYHGKAGGHPKSNGRRDLGDALSQKRSSSHRRRISAGRTAVWKPHLECIVEDS >KQK99708 pep chromosome:Setaria_italica_v2.0:VII:34312592:34313675:1 gene:SETIT_012824mg transcript:KQK99708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQVTYGLLQRKSAYVLRSTIKHLRLPLLFRMVLKLAKQFHMSTFMSSRGRKGISRKMMKYMMRLM >KQK99810 pep chromosome:Setaria_italica_v2.0:VII:34859426:34861274:1 gene:SETIT_012077mg transcript:KQK99810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATATTEDAEKLQFIEEMTTNVDAVQERVLAEILARNADAEYLASNCGLAGTTDRTTFRAKVPMVTYEDLLPYIRRIADGDRSPVLTGSGTSGGEPKLFPTVNDDLDRRLLLQSLIMPVMKKNVSGLDEGSGLYFNFVKSETTTPGGLPLRTVLTSLFKSDHLKKLPYDPCHKYTSPTAAVLCEDTFQSTYAQMLCGLCHRHAVRRVGTVFAYGLLRAINFLQLNWRQLAADIEAGELTHRITDPSVREAVAGVLRPDPDLAQFIRMECSNSNGGDCWAGVITRIWPNTKYLDAIATGSMAQYIPTLNFYSGGLPIASTIYGSSECFFGINLRPMCDPSEVSYTIMPNMAYFEFLPLDGDGGDASQLVELAGVELGREYELVVTNYSGLCRYRVGDVLRVTGFHNAAPVFRFVRRGNVVLSVDVDKTDEADLQRAVERASSALLRPHGAAVLDYTSRVCTESIPGHYVVYWELMVVGDGGESVDDDGDVLGRCCLEMEEAMNSVYRQSRVADGSIGPLEIRVVRSGTFEELADYAISRGASIGQYKVPRCVSAPDMIQLLDSRVVSRHSSPALPHW >KQK99732 pep chromosome:Setaria_italica_v2.0:VII:34456396:34458177:1 gene:SETIT_011021mg transcript:KQK99732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAATTSSLVLFSTTPRRSPISSFASPSLLLRPPCNYSCSVSSAKQQHLVCLAGPWLNSKKSRVLRCSSSLADGASTVRSSVRWVLDPAGDGDWRHIGYKVARPGAFEIASDAVTVGRVADKADIVLPVATVSGTHARLEKKDGRLFVTDLDSTNGTYINERRLNPGFPIPIDPGSLIIFGDIHLAMFRVRKMIVEATSETDAAREETKTEVVSAATS >KQK98041 pep chromosome:Setaria_italica_v2.0:VII:24675510:24678372:-1 gene:SETIT_010385mg transcript:KQK98041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFSSGSRALVEILTRMQSAERPMPVDHTFFEFGSIRYHVEASASDPENVYLSIATPSLSHEASSSSSGLPEATLQETRKAYHRFAEVVEPPREGYVLTLKLNFSGLNRPKDRVKAINQVSLLQSVVLSSQLRDMLGSLGPSGTMRLVYNQRDPFFVSKTAERINAVFPMRFRDDTDLAIATSFFQELRELGSSFARAPECSWSPIPPPELRGEYVHRLTTNGGFVSFGVLSRHVRGKRAAKTAWILLNFQAYVKYHIKCTRRHIQSRMRERLEALTEVIHDARLRGNDDKRKSQAVAKKRRNNKRRLISFAKASKRLQKGFRAVLDKIKRLRLRIRVRGLDRLRRQCRCFAVPKLPPRRKEHGYHKLTEQ >KQK97225 pep chromosome:Setaria_italica_v2.0:VII:19717543:19719864:1 gene:SETIT_011684mg transcript:KQK97225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKLLKQTFILPRSEELRKRVKHYARKQLDESFRRWRGELNDNNIHKVHLGPGGYRGKIDKWRQDREAAIAAGQPDPFEGLDERGWQWLAARNPTIVDGKPTFSTSESNQLAETIYDHSERQRKGEFVPNRDKNVLSSALGTKEHGGHVRGVSSKLTIKDGFKRDRASYKSHSRYKDDLREEVEKALESRFKDFLLATLAEQQQSVGRAGKAKEVAKGYPAPLCMRPASPAAASEQQLVATPTATASEPVDWPEDHPPPAQASPLQQQVDLPDETQQQHVDLLEEGHWIAFIIQSKNGVVTAFDSLDYD >KQK99448 pep chromosome:Setaria_italica_v2.0:VII:32931671:32935600:1 gene:SETIT_009272mg transcript:KQK99448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVGMRRYCLCASHGFFVLVFLPFLFLFHHAPVAAGADAPSSQPSLDKTQEAIMKDLLRIVGSSSWSNTTTSNPCKWSGVNCTRSGSSWVVTNITLPNCGISNSSIFASICRLESLLALDLSRNSLTNLTTQFFSPSCSTKEGLRLLNLSSNMLSHPLSNFSAFPQLEVLDLSLNSFTSENLSADLGSFLKLRSLNVSANKLTGEVPTSMVGSLLELVLSGNQLNGSIPPDLFKYENLTLLDLSQNFLTGVVPDKFMKLSKLETLLLSGNKLIGEIPPSLSNVRTLSRFAANQNNFNGSVPSNITKHVRMLDLSYNNLNGTIPLDFLSHPGLQSVDLTTNMLEGSIPRNFSPSLYRLRLGGNRLSGNISDSICDGMGLTYLELDNNQLTGNIPSELGNCKNLSLLSLASNKLQGQVPPAISSLDKLVVLKLQNNSLNGPIPYAFSDLKSLSILNLSQNLLTGEIPSGIFELQKLSILDLHDNSISGAIPISVSLSKALIELNLGNNALAGTIPTMPTTLTTSLNLSHNNLSGSIPSDIGYLSELEILDLSYNSLSGEVPSSLGNLQSLTQLVLSYNDLSGSVPIFRQNVSIRIEGNPDVVNGTGDKNGIHTTSTRKRHTIVIIIFTIAGALVGLCLLAAIVMMSLSKRIYRVEDEGLSAGESVPQITNGCLITMNSIHTSAIEFTKAMEAVCNHQNIFLKTRFCTYYKVVMPNGSTYSVKKLNSSDKIFQIGNQEKFAREIEVLGKLTNSNVMVPLAYILTADSAYLLYEHGYKGTVSDLLHGEKSDNIDWPSRYSIALGVAQGLTFLHGCTQPVLLLDLSTRTIHLKSSNEPQIGDIELYKIIDPSRSTGSFSTIAGTVGYIPPEYAYTMRLTMAGNVYSFGVILLELLTGKPSVSDGIELAKWALSLSGRPEQREQILDTRVSGTSIAVHSQMLSVLNIALSCVAFSPDARPKMRNVLRMLFNAK >KQK98425 pep chromosome:Setaria_italica_v2.0:VII:26922911:26925262:1 gene:SETIT_011836mg transcript:KQK98425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNPIHRRCALLRLVVRAAAAAVLLLLLSPAAVTPAAGHNDHGVHKNYLVIVRSPYEYDKKLHQNVSSWHASLLSSVCDSAKETLEADPSAMTRLIYSYRNVVNGFAARMTLEELEKMSKMDWFDRALPEQTYHLLTTHTPEMLGLMGGPRRGGLWNTSNMGEGVIIGILDDGIYAGHPSFDGAGMKPPPAKWKGRCDFNKTVCNNKLIGARSYFESAKWKWKGLRDPVLPISEGQHGTHTSSTAAGAFVPNASVFGNGVGTATGMAPRAHIAFYQVCYEQKGCDRDDILAAVDDAIEDGVDILSLSLGHEDAVDFSDDPVSLGGYTAILNGVFICAAAGNTGPSPATLVNEAPWLLTVGASTSDRRFVASVKLGDKSELDGESLNDPKTTMGDPRPLVQDVDGTCASESVLLAQKITGKIIICDAGGVVSTEKAKMAKRAGAAGMIVVSPEVFGPVVIPRPHAIPTVQVPYATGQKIKSYMQTSRDATATFVFKGSMFKTPQSPMVAPFSSRGPNRRSRGILKPDLIGPGVNVLAGVPSIEDVELAPKAEVPRFDIKSGTSMAAPHLGGIAALIKHAHPTWSPAAIKSALMTTAETTDNLRKPIADVDGKPATFLALGAGHVNPQKAIDPGLVYNMTAAGYVPYLCGLNYTDQKVNTIIYPEPPVSCANLSRLEQDDLNYPSITAILDQPPFTATANRSVTNVGAASSTYVVEVEVPASVKVEVNPPKLTFRVLDEVLNYSVTIKSADGRAPASPVEGQLKWVSGKYVVRSPLLVVAGTRQA >KQK98656 pep chromosome:Setaria_italica_v2.0:VII:28490857:28492476:1 gene:SETIT_010343mg transcript:KQK98656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEAGEIQLQIAGIRGQEAEDDQGQRAGNGGACPATAAPRPALSKRLAWWAVVLVNIVFVLAGQSVATLLGRIYYDQGGKSLWMQTVVQSCGTPLAIPLLIYLRSRKPSVAAASRPSLVKLAAIYAGLGVLLAGDNLMYSYGLLYLPVSTYSIISASQVSFNAVFSYFLNKEKFRALILNSVVLLTFSAALVGVSHGSDGSGSAIPKGKFPAGFALTLSASALFSLILSLMQLTFEEVLKSDALPAVLEMQFWSNTAAACVSVAGLFASGEWRGIAGEMAAYEKGEVAYAMTLAWTAVSWQLCTMGLMGLVATVSSLFTNVISTAGTPLAPVMAVIFLGDRMEGVKLLAMLIGVWGLLSYVYQHYLDDRAKAKKQVATGKC >KQK97999 pep chromosome:Setaria_italica_v2.0:VII:24422789:24424232:1 gene:SETIT_0100211mg transcript:KQK97999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRARRRAERSLARRLLSAALEDSGMKRQWSHPSATLPLLVAVSLLLTFRWCCLRHAQQAAPSRGGADAPAGDAEGYGYGYVDATLAELAAVDPAASAVLRAAEALLEGNLTRAPPQLRDAAVRGLRDWIGQQRRFDPGVMAELVDLIKRPIDRYAAGGARRDGEGRRRRPYASCAVVGNSGVLLAKEHGALIDGHDLVIRLNNAPAGEAGGGRLARHVGARTGLAFLNSNVLSRCAERGGGGCRYCRAYGDGVPILTYMCSAEHFVEHAACSSAGAGAAAPVLVTDPRLDALCSRIVKYYSLRRFVRETGRPAAEWGTRHEEGMFHYSSGMQAVVAAAGVCGRVSVFGFGKDPGARHHYHTMQRGELDLHDYEAEYEFYRDLEARPQAIPFLRDSGFTLPPVVVYR >KQK98009 pep chromosome:Setaria_italica_v2.0:VII:24487363:24489653:-1 gene:SETIT_011035mg transcript:KQK98009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVTASISISAAAAVMSRPAGRRRRRGPAVFRCSSSTAGERQALFSRIAPVYDHLNDVLSLGQHRTWKRICVSWSRAKMGDRVLDLCCGSGDLAFLLSQKVGLDGEVMAVDFSRQQLQTAADRQEQRWKLCYKNIKWIEGDALDLPFTDCYFDAVTIGYGLRNVVDKSRAMQEIFRVLKLGSIQDQERLFLILTRAHHFSRHHYRVGRLTTLWFL >KQK96942 pep chromosome:Setaria_italica_v2.0:VII:17649618:17652944:-1 gene:SETIT_010630mg transcript:KQK96942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSPYFATEESFRGIRQGESPAAALRRILASPGAHQAPCCFDALAARLVEQAGFPIAFMSGFCVSAARLGLPDVGLISYGEMVDQGRLINEAVSIPVIGDGDNGYGNSMNIKRTIKGYINAGFAAIMLEDQVSPKACGHTEGRKVYPREEAIMHIKAAVDARNESGSDLVIIGRTDSRQAISLDEALARAKAFADAGADVLLIDALASVEEMKQFCAVAPGVPKMVNIIEGGKTPILSPPELAKIGYSLVIYSVSLLGASIRAMEDALDAIKDGGVPPPTIMPSFKKIKDTLGFDRYYKEDKQYQLE >KQK96945 pep chromosome:Setaria_italica_v2.0:VII:17650741:17652785:-1 gene:SETIT_010630mg transcript:KQK96945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSPYFATEESFRGIRQGESPAAALRRILASPGAHQAPCCFDALAARLVEQAGFPIAFMSGFCVSAARLGLPDVGLISYGEMVDQGRLINEAVSIPVIGDGDNGYGNSMNIKRTIKGYINAGFAAIMLEDQVSPKACGHTEGRKVYPREEAIMHIKAAVDARNESGSDLVIIGRTDSRQAISLDEALARAKAFADAGADVLLIDALASVEEMKQFCAVAPGVPKMVNIIEGGKTPILSPPELAKIGYSLVIYSVSLLGASIRAMEVCILIHMKPVTLFFFLIHLCYQG >KQK96944 pep chromosome:Setaria_italica_v2.0:VII:17649618:17652944:-1 gene:SETIT_010630mg transcript:KQK96944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDQGRLINEAVSIPVIGDGDNGYGNSMNIKRTIKGYINAGFAAIMLEDQVSPKACGHTEGRKVYPREEAIMHIKAAVDARNESGSDLVIIGRTDSRQAISLDEALARAKAFADAGADVLLIDALASVEEMKQFCAVAPGVPKMVNIIEGGKTPILSPPELAKIGYSLVIYSVSLLGASIRAMEDALDAIKDGGVPPPTIMPSFKKIKDTLGFDRYYKEDKQYQLE >KQK96943 pep chromosome:Setaria_italica_v2.0:VII:17649900:17652785:-1 gene:SETIT_010630mg transcript:KQK96943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSPYFATEESFRGIRQGESPAAALRRILASPGAHQAPCCFDALAARLVEQAGFPIAFMSGFCVSAARLGLPDVGLISYGEMVDQGRLINEAVSIPVIGDGDNGYGNSMNIKRTIKGYINAGFAAIMLEDQVSPKACGHTEGRKVYPREEAIMHIKAAVDARNESGSDLVIIGRTDSRQAISLDEALARAKAFADAGADVLLIDALASVEEMKQFCAVAPGVPKMVNIIEGGKTPILSPPELAKIGYSLVIYSVSLLGASIRAMEFPMSDMQDALDAIKDGGVPPPTIMPSFKKIKDTLGFDRYYKEDKQYQLE >KQK96591 pep chromosome:Setaria_italica_v2.0:VII:12441200:12444330:1 gene:SETIT_012919mg transcript:KQK96591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRLGQRNHAHGCFYWMVALTHRWLVLDTRKMEFSIVDISPVLSGRAMMFSNQIITLESSDGRTTVVVSDVFRPDKRCVLYFYAFMYFSDRWQLLNRVTLPEEWGYRFRGIIGAAEGYLFIKLDHPKENLNDQSEQHIEYHSLDVKTMQLGSFCRTTLLTVTEAYLYCGFPPSLSLPSI >KQK98739 pep chromosome:Setaria_italica_v2.0:VII:28934049:28936706:1 gene:SETIT_010785mg transcript:KQK98739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNNGCLCCTVRGDLVKMLLKLVKQKGDKFDHIVIETTGLAKPGPVIETFCSDELVSKYVKLDGVVTMVDCKHAMKHLNEVKARWVVNEAVEQVAYADRIILNKIDLVNDAELEALTNKIKLINGMAQMKKAKFGDVDMDFVLGIGGYDLDRIEAEVQLQQSKETGHCHHGDAHGHHHDHVHDSAVTSVSIVSEGVLDLDEVNDWLERLVDEKGEDLYRLKGVISVNESTGRFVFQGVHSMLEGCPAKPWEPDEKRISKLVFIGRNLDEAALRKAFNGCLL >KQK99633 pep chromosome:Setaria_italica_v2.0:VII:33913265:33914776:-1 gene:SETIT_012138mg transcript:KQK99633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIWFNRHAISQCARSLIAVCSELHFGARSFCNKLNDGIAPKDKRLVRFDRKLIDMFALHALLQLCAKRRCLLIGKGCHGLAIHLGLASDTITCNILINLYTKCGMNDCARHVFDAMPFRSVVSWNTMIAGYTCNGDDLQALKLFSKMHQEGQHMSEFTLSSTLRACAAKFATIECKQLHTIAIKLAFDSNSFVGTAVLDVYAKCNMINDACLVFEKISEKTAVTWSTFFAGLVQNGLHEDALRLFQSSQREGVQLTEFTLSAILSTCASLALMIEGIQLHAVIFKYGFHSNLFVASSLVDIYARCGQIEEAYLIFVDMKHKNVVVWNAMITSFTRHGNFWEAMILFEKMHQSGISPNEVTYLSMLSVCGHAGLVEEARCYFSLLISDQSVKPNVVHYSCMVDVLGRSGKTDEAWELIQQMPFEPTASMWGSLLGSCRKYRNIGLARIAAEQLFKLEPENGGNHALLSDVYAAGGSWENAVLARKHLNNRGAKKDVGSSWIYTK >KQK99896 pep chromosome:Setaria_italica_v2.0:VII:35279530:35285692:1 gene:SETIT_009715mg transcript:KQK99896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIEKKPDAEDGKDAKSRATKEDQAVSFRTAAAKSVYQWIIKPQSIIKENELFLPGRMSFIYNMEEGLTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKERDSKGKNNLASGDYDEAVKPSQTNGSALKHQSEKNMPPPPPPPARNDNFNGKEKQPVPISRADDDDIFIGDGVDYTVPNKEMSQSPVSEDMDESPHNHQKQSYFTEPMYGPVPPSEPAQAWQQPSGYDAVQAQMVAAGYQGDWSGYAYAEQQMGYPEQFVQQSTQEYDVLADPSIAQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDAREKDPNFISDSYSECYPGYQEYHNEIAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWATYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNDLHKINKILARKKGEKDGTDDGGHYDDDLPSAKKQRG >KQK99763 pep chromosome:Setaria_italica_v2.0:VII:34636418:34636841:1 gene:SETIT_011595mg transcript:KQK99763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKHHESLVPFRFCQNPDSREMWSVGQDCIICTPKPGISQIKNQQLGWLQLYNEWI >KQK97291 pep chromosome:Setaria_italica_v2.0:VII:20311350:20317225:1 gene:SETIT_010093mg transcript:KQK97291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFFYAVLLFSLGVFGYEVLNFNSVTSDVRMLIKCNKLQIPPLRFPSLPLLPQLLIPAKLQTLPRDSSARQSDRSESPRAQSSRPELGAMERYELLKDIGAGNFGVARLMRNKETKELVAMKYIPRGQKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFDRICNAGRFSEDEARYFFQQLICGVSYCHFMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSKPKSTVGTPAYIAPEVLSRREYDGKSADVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRIMSTQYKIPEYVHVSQDCKELLSRIFVANSAKRITIREIRNHPWFLKNLPRELTEAAQAMYYKKDNSAPTYSVQSVEDIMKIVEKARTPPPSSTPVAGFGWAQEDEEEDSKKPEENAEEEEDGEDEYEKQVKQVHASGEFHIS >KQK97192 pep chromosome:Setaria_italica_v2.0:VII:19538775:19543024:-1 gene:SETIT_011241mg transcript:KQK97192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKKTREPKEENVTLGPAVREGEYVFGVAHIFASFNDTFIHITDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVAQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPVPTDSTRRKGGRRGRRL >KQK98661 pep chromosome:Setaria_italica_v2.0:VII:28504768:28513373:1 gene:SETIT_009217mg transcript:KQK98661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKKRAASAAASKKPPSSQPSQPAKFGILHFFERQTQASQNAKRQKPDPPPPPPPPPPPPPPEEEPTEVSPEVTKALAPKRVRFSPGMLIKQSQDDGAAEVVTWKISPVNHRLGTAKSRQFLGMALHPCSNNEKNSSLEAMKKWHSSPLGLSRCTASGRNSGIIGSALAGCDGVDDSQSPFRTPPSLSYGCSEQLNGGGTSEGGLEPLGAGQHKKALLDLLDQVEDAIMEEELPVDTESKVGQATNEDNTNKNCSSVSNGDLIVPSKKTIDAPPLDSFLVLEVSEKHKADDSSSDRYPVKILRLLNEHSGKECALHLCDEWFHSLVGPGDTVNVIGEFGDQGKCIVDHDNNLVIVHPELLISGTRVASSFHCPRRSVLDDRLKSNEYSTSALIGTLLHQVFQAGLLEDAPSWQFLEQQAKEVLVKNIESLYACEANESNMYSTLIEAIPKILNWFKCFLKGSKCSNVDFGHTEGRKTVGVTEVMDIEEMAWAPRYGLKGMIDASVISRVDSCNGGSYDKIMPLEFKTGKGTSGQTAMEHSAQVILYTLLMTERYLNKDIDSGLLYYLHTDQTLGIKVKRSDLIGIIMRRNELAAEILKASISQSFPPMLQAHGGNAATSGLGDLFDNLVNHLTVSHHNFLKHWDRLIDLEARASQVKKKNIFQPYHSNSGSGNCAPSYFALDIKNGHLIDSSGKSKRYIYNFVYHKMQPETVDQAGAQFDSLDFSLKCGDPVVLSTQSGRIAVANGSIRDISRSHITVSLPRRLRIPDSNSLSEPDDLTREIWRIDKDEFSSSFAIMRLNLVQLFAQNPQNSHLRKLIVDLEAPRFDSGGLFSQDPALSYIRSLPNLNNDQQRSLHKILGAKDYSLILGMPGTGKTYTMVHAVKSLLIRGESILLTSYTNSAIDTLLMKLKTEGVDFIRIGRHEAVHPDVRDHCLSTEVQSVDAIKARMEQVQVVGVTCLGIYHPLLAHKKFDTCIMDEAGQITLPVSLGPLMLATKFVLVGDHYQLPPLVQSSEARENGMGISLFWRLSEAHPQAISALRCQYRMSSGIMELSNALIYGNRLCCGSLEIANAKLKFSGRESVQLKLREILNADRAVIFANTDQIPALETKDHRTVNNPTEAHIISWVCTYVC >KQK96463 pep chromosome:Setaria_italica_v2.0:VII:8158795:8159286:-1 gene:SETIT_011454mg transcript:KQK96463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSRRVSLLLLGIIGCCACLVCRAQIPIPARTDGFVYGGKPPAWGETVVVEAFFDPVCPDSRDAWPALKKAVEHYGSRVSVVVHLFPLPVFI >KQK96475 pep chromosome:Setaria_italica_v2.0:VII:8945326:8949880:-1 gene:SETIT_0109892mg transcript:KQK96475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVKDPKVSLDFYSRVMGMSLLKRLDFEELKFSLYFLGYEDVTSAPADHIKRTEWTFRQKATLELTHNWGTENDPEFKCYHNGNSDPRGFGHIGVTVDDVYKACERFERLGVDFVKKPDDGKIKGIAFIKDPDGYWIEIFDHTIGTVTASAS >KQK96784 pep chromosome:Setaria_italica_v2.0:VII:15533566:15536736:-1 gene:SETIT_011867mg transcript:KQK96784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVLTFFLVAHQLIFLSNPAAILAAESANGSEIDRQALLSFRQGITSDPLGVLSSWGNNSLYCSWRGVACGKSPPFRVVSLQLNSLQLAGELSPSLANLTSVTRLDLGNNLFSGGIPKELGNSMSLSYVNLANNSLTGVIPHSLASSSSLSKLILSHNNLTGAIPTTLFTNSSRLTTYLCVTENFFSGSIPPSIGNVSSLLFMLLGQNILTGSIPESLRHISKLLELDLSFNSLSGHVPLPLYNMSSPRYFSLGSNGLVGQLPSDIGYSLPNLQVLIMQSNNLVGLIPPSLENASNLQVLDLSNNSLHGRVPSLGSLAKLHQVLLGMNQLEAYHWQFLASLTNCTQLTKLSLEGNMLNGSLPRSIGNLSTSLEYLFLGSNRISGSIPVEINNLVNLTALSMENNLLSGSIPTTMGNLQSLFILNLSKNKLSGHIPSSIGNISQLGELFLDDNDLSGNIPSSLGQCSMMLQLNLSSNSLGGLLPNELFAGPPLPLGVDLSFNNLTGELPDRIGRMETTVLINISNNLINGSIRPALGVLLSIQYLDLSWNDLSGNVPEFLENFTLLQKLDLSHNNFDGPIPTGGLFQNSTVVILDGNKGLCSRSPTPLALPICDVATESKNHGVALLPLIVIPSVIIALILLLWFVVTLWKKRVFEFPRWEDILRIIRSVVQTKRREVQAFPCSNNETLKKVSYGDILKATNCFSTVHTISSTRTGSVYVGRFKYDRSLVAIKVFNLNEPGAYKSYFIECEVLRSTRHRNLMRPVTLCSTLDTENHEFKALIFKFMVNGSLERWLHCEYYSGMPERVLSLGQRICIAADVASALDYVHNQVTPPLVHCDLKPSNILLDIDMTARLGDFGSAKFLFPGLIVPKSLAEVGGTIGYMAPEYGMGSEITTGGDVYSFGVLLLEMITGKQPTDDFFIDGLNLHNFTDSMFPDRLAEVVDPQMVREESQPCTEVWLQSYIAPLVALGLSCSMESPSDRPGMRDVCAKLSAIKESFLKSDAEILNSH >KQK98887 pep chromosome:Setaria_italica_v2.0:VII:29774859:29775264:-1 gene:SETIT_011996mg transcript:KQK98887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPPRSTSSYLEEQTKVAGSRRHQGTGRGAWRWSSGSNSYLAVSSSPSSLLLSAAISAEAELHGRRSQAVAPAGEFFLAPAPRFLLARAATSSPSDFLCAILGLFGFQKKK >KQK97251 pep chromosome:Setaria_italica_v2.0:VII:19976980:19977165:1 gene:SETIT_011850mg transcript:KQK97251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWCTERASERCSVQEMVSELKRSCSTLRRLADELEEHVWLCTATIHRARVFFLPQSKHD >KQL00038 pep chromosome:Setaria_italica_v2.0:VII:35883078:35883564:1 gene:SETIT_012870mg transcript:KQL00038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRCCSIIKSTAKVAAAASSIQFRGGGGVAWQRLSFFNSILPWDI >KQK99892 pep chromosome:Setaria_italica_v2.0:VII:35258671:35262231:-1 gene:SETIT_010929mg transcript:KQK99892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADLLRREEEFYSSLFDSAKGDGVRSRSQLIERKIEALEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPLSAFCRTSVGEWDAFRSIDMDTEARLMQHMKRSSEKQRTHVDEDELVALNAWRRIDRQTRQSIKRNFLPDLLEIYEERVRTFIEDASDKDVLVLNVQDPFQRLLLHGVCEFYNVTSTTTSCVRDGKLWRTTSIKKRQGTGVPSRITLASFLRKKKNGSQ >KQK99895 pep chromosome:Setaria_italica_v2.0:VII:35282606:35283154:-1 gene:SETIT_013071mg transcript:KQK99895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIKDDNKIKRLFSSKVRTSENWLKFCTSGDERRNR >KQK99394 pep chromosome:Setaria_italica_v2.0:VII:32733281:32738476:-1 gene:SETIT_009959mg transcript:KQK99394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPRGAPPLLHPRLRRHLRSPISRCACLLLAFAALLLLSALRQVARVDFPHPDAPRQVSSEQLWASIGYGYDACVTPTSRYKVPGKSDRYMTVRSNGGLNQMRTGICDMVAVARLVNATLVVPQLDKRSFWQDTSTFKDIFDQPHFIKALEGDVHIVDDLPESLESAPRTRKHFTSWSGASYYEEVKELWKNQKVVHIPKSDSRLANNGLPIDIQRLRCRCLYQALRFLDPIEDLGKKLVDRLRSHGKFIALHLRYEKDMLAFTGCTYGLSDSEANELRIMRERTSHWKLKDINSTEQRSEGNCPLTPNEVGIFLRAMGYPESTWIYLAAGEIYGGDKYISKLRSYFPNLVSKEMLATKEELEKFSNHASQVAALDYIISVESDVFVPSHSGNMAKAVEGHRRFLGHRKTITPDRRGLVELFDLLEKGELMEGPKLSSVVTDMHKYRQGAPRKRYGSLPGSKGRARLRTEESFYENPFPECICLTGKH >KQK99400 pep chromosome:Setaria_italica_v2.0:VII:32772914:32774488:1 gene:SETIT_012089mg transcript:KQK99400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKQLLAVAVAVAVAVLLVVVLCKRRKPRPNLPPGPWTLPVIGSLHHLMRSPLLFRVLRGLAHKHGPLMAIRLGEVPAVVASSVEAAQAILKTHDAAFADRFAPATFATAAYDATDLILSPYGERWRQLRKVVVQEMLTAARVQSFRHIREEEVARFLHDVAASAAAGAAVDFSNGVLKLVNDTFVRECVGSRCKYQDEYLDAIHGAIRLSSGITVADLYPSSKMMQMLGTAPRKALACRRRIDRILKQIIQENKEAITDHESFVSVLLRLQNEGGMPIELTDETITALMFDMLGAGSDTSSTTLNWAMTELIRSPAAMAKAQAEVREAFKGKSNVTEDDVAGLSYLKLVFKETLRLHPTSPLLIPRKCRETTRVMGYDIPKGTTVFVNVWAIGRDPMYWDDAEEFKPERFETNNLDFRGTNYEFLPFGAGRRMCPGINLGLANIELALASLLYHFDWKLPQGMEPKDVDVWETVGVVASKKTSLMLHPVTFIPPPVA >KQK99789 pep chromosome:Setaria_italica_v2.0:VII:34768275:34773678:-1 gene:SETIT_009943mg transcript:KQK99789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEGQSSPLLETKTAGGRMYVEGCPGCAVDRRKAANPGIPYGSFIYVWIVTLCTALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVIFGIFSVVVFNTLFGLSVTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAENFPNVFSPESLFGRFPYFLPCLCTSVFAAAVLISCIWMPETLHKHKGSENENQSIEALEAHLIDPKEKVEESGSLNTKKSLFKNWPLMSSIVIYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFLYPRINKVLGPINSSRIAAILCIPILFAYPYMTYLSEPGLSIVLNVASVIKNNLAVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIV >KQK99788 pep chromosome:Setaria_italica_v2.0:VII:34767337:34772846:-1 gene:SETIT_009943mg transcript:KQK99788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEATSPLLRVKEEYHPGCPGCAYDRRKDLLRGMPYKEFLYVWMISLTAALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVIFGIFSVVVFNTLFGLSVTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAENFPNVFSPESLFGRFPYFLPCLCTSVFAAAVLISCIWMPETLHKHKGSENENQSIEALEAHLIDPKEKVEESGSLNTKKSLFKNWPLMSSIVIYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFLYPRINKVLGPINSSRIAAILCIPILFAYPYMTYLSEPGLSIVLNVASVIKNNLAVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHGFFFPGDQMVFFLLNVIELLGLILTFKPFLAVPEQYNRN >KQK99787 pep chromosome:Setaria_italica_v2.0:VII:34767337:34772004:-1 gene:SETIT_009943mg transcript:KQK99787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVIFGIFSVVVFNTLFGLSVTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAENFPNVFSPESLFGRFPYFLPCLCTSVFAAAVLISCIWMPETLHKHKGSENENQSIEALEAHLIDPKEKVEESGSLNTKKSLFKNWPLMSSIVIYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFLYPRINKVLGPINSSRIAAILCIPILFAYPYMTYLSEPGLSIVLNVASVIKNNLAVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHGFFFPGDQMVFFLLNVIELLGLILTFKPFLAVPEQYNRN >KQK99790 pep chromosome:Setaria_italica_v2.0:VII:34767337:34773904:-1 gene:SETIT_009943mg transcript:KQK99790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEGQSSPLLETKTAGGRMYVEGCPGCAVDRRKAANPGIPYGSFIYVWIVTLCTALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFVGASFMFGRCLTSTAWGIAADRIGRKPVVIFGIFSVVVFNTLFGLSVTYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVSTAWGIGLIIGPALGGYLALPAENFPNVFSPESLFGRFPYFLPCLCTSVFAAAVLISCIWMPETLHKHKGSENENQSIEALEAHLIDPKEKVEESGSLNTKKSLFKNWPLMSSIVIYCIFSFHDMAYTEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFLYPRINKVLGPINSSRIAAILCIPILFAYPYMTYLSEPGLSIVLNVASVIKNNLAVTIITGTFILQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHGFFFPGDQMVFFLLNVIELLGLILTFKPFLAVPEQYNRN >KQK98735 pep chromosome:Setaria_italica_v2.0:VII:28896648:28901223:1 gene:SETIT_010552mg transcript:KQK98735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWLPRLLSHAAAAGRPAAAARSTTSHVRGGSHGFASGGWDGSPAVPREWLRKLWGEELRKRKEAARVIGAFARSYRSVEAAGAAREAPSRSYQFDDRDLDPVEAKLAPLLARANLVIARDIEWASIMFAFEQESRYIIMDPLFPQSPVGFIREKSNVIFRQLLRTRRPFVAEITDAMGNEIFKVRRPFWFINSSIYAEVDGKEIGVVHRRWHLWRRIYDLYLGNRQFAVVENPGFWNWTFSLVDEDDKLLAQIDRNWRGIGFELFTDAGQYAIRFGDEGLRQKFALAADVEELHVARQLTLPERAVALALAISLDSDYFSRRRGWGLPFLIATE >KQK99950 pep chromosome:Setaria_italica_v2.0:VII:35509057:35510129:-1 gene:SETIT_010883mg transcript:KQK99950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRDKQSMAAPMAADGGLRRLFEKPLPENPTLLEALSACNRNVHPNKSIDPSSFTEIFGELHFQEKQQPERAVLMSQPPPRPPPRTASWVDIAAEAEMSNLSKDDSSLDGLLRPKPASTVKRSASFCMKKSSASLLLCTEGLGSESTVDADDMLKDGDAEAEASAAAALSGHSKNTDTDTDTERSSDVKDAGAGAVEHGKAEKQPPSFPPPIRRRARAGGSGCSLPRAPLQPWLVVWASTRRCPEETTTPSVQAKKH >KQK97697 pep chromosome:Setaria_italica_v2.0:VII:22731807:22738877:-1 gene:SETIT_011994mg transcript:KQK97697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRLLLPFLLLAVASGAYDGDGQPPISRRSFPEGFIFGTSSASYQYEGGVMEGGRGPSIWDTFTHQHPDKIIDRSNGDVAVDSYHLYKEDVRLMKDMGMDAYRFSISWTRILPNGSMSGGVDREGVRYYNNLIDELLLKGVQPFVTLFHWDSPQVLEDKYGGFLSPNIINDYKDYAEVCFKEFGDRVKHWITFNEPGGFCSGGYASGVLAPGRCSPWEQGKCSAGDSGTEPYTVCHHQLLAHAETVRLYKEKYQAIQKGKIGISLVSLWFLPSSPSKCNDNAVRRALDFTFGWFMDPLVKGDYPLSMKGLVGNRLPQFTKQQSETIKGAFDFIGLNYYTTYYASSANNLPPNGLNKSYNTDSRANLSGVRNGVPIGPQAGSPWLYMYPRGFRELLLYVKENYGNPAIYITENGFDEANNMSLPLHEALKDDTRIEYHHKHLLALHSAIRDGANVKGYFAWSLLDNFEWAYGYTVRFGLNFVDYNDGLKRYPKNSAHWFKEFLHK >KQK97708 pep chromosome:Setaria_italica_v2.0:VII:22786247:22786645:1 gene:SETIT_012108mg transcript:KQK97708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GIVGVAAAQKTWCIAKPSASNEILAQNLDYACSQVSCTVIQKGGPCYYPDSLVSRAAVAMNLYYASRGRNPWNCYFNSSALVVQSDPSYGSCTYY >KQK99978 pep chromosome:Setaria_italica_v2.0:VII:35635936:35639668:-1 gene:SETIT_010269mg transcript:KQK99978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNILKCFTGGDKDNGDDDYYPYYRPTSRPRPRYEHGLQAGDDEPAASSSQPLDFTFTGEDDDDDDDHGDHHYPDYGVVHQSGRTDQPAAASRPHHDVASLLQDLLYFECTSMVPEALGQHVTSSKKAQVKWYRNILEAYKNTRPSLKTPEEAAQLIATALSRIQRADLEGVLSFYNLPIPFPLPPSGSASSLPEGVQFVLNTLPIHNKCIGDGDGFSAYVDTADPRESANVPLEVHEMVIARTEARTHRDYQKADALQRSLHEAGFRVITISGEEILAKKYRIRMRGVDAPELKMANGKESKNALVKLIGGKRVTIYVYGQDQFGRYVGDIYCDDVFIQFAGANAEEWPCMAFQDLRQAPRICTVGERGKSCTSRALGVREP >KQK99979 pep chromosome:Setaria_italica_v2.0:VII:35635815:35639668:-1 gene:SETIT_010269mg transcript:KQK99979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNILKCFTGGDKDNGDDDYYPYYRPTSRPRPRYEHGLQAGDDEPAASSSQPLDFTFTGEDDDDDDDHGDHHYPDYGVVHQSGRTDQPAAASRPHHDVASLLQDLLYFECTSMVPEALGQHVTSSKKAQVKWYRNILEAYKNTRPSLKTPEEAAQLIATALSRIQRADLEGVLSFYNLPIPFPLPPSGSASSLPEGVQFVLNTLPIHNKCIGDGDGFSAYVDTADPRESANVPLEVHEMVIARTEARTHRDYQKADALQRSLHEAGFRVITISGEEILAKKYRIRMRGVDAPELKMANGKESKNALVKLIGGKRVTIYVYGQDQFGRYVGDIYCDDVFIQEQMLKSGHVWHFKIYDKRPEFAQWEREARAARRGLWASENPEKPWDWRREQRSANVQVY >KQK98404 pep chromosome:Setaria_italica_v2.0:VII:26789119:26792526:-1 gene:SETIT_010537mg transcript:KQK98404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRDDSSDITRGQGYAADIDSIREAQARIAPYVHRTPVLSSTSIDAIAGKQLFFKCECFQKAGAFKIRGASNSIFALDDEQASKGVVTHSSGNHAAAVALAAKLRGIPAHIVIPKNAPACKVDNVKRYGGHIIWSDVSIESRESVCKRVQEETGAVLIHPFNNKYTISGQGTVSLELLEQVPEIDTIIVPISGGGLISGVTLAAKAINPSIRILAAEPKGADDSAQSKAAGRIITLPSTNTIADGLRAFLGDLTWPVVRDLVDDVIVVDDNAIVDAMKMCYEILKVAVEPSGAIGLAAVMSDEFKQSSAWHESSKIGIIVSGGNVDLGVLWESLYKR >KQK98405 pep chromosome:Setaria_italica_v2.0:VII:26789313:26792026:-1 gene:SETIT_010537mg transcript:KQK98405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYSVIEMLCIWYCTDFLLTNGAVTVVCVGKHIVLCSGAFKIRGASNSIFALDDEQASKGVVTHSSGNHAAAVALAAKLRGIPAHIVIPKNAPACKVDNVKRYGGHIIWSDVSIESRESVCKRVQEETGAVLIHPFNNKYTISGQGTVSLELLEQVPEIDTIIVPISGGGLISGVTLAAKAINPSIRILAAEPKGADDSAQSKAAGRIITLPSTNTIADGLRAFLGDLTWPVVRDLVDDVIVVDDNAIVDAMKMCYEILKVAVEPSGAIGLAAVMSDEFKQSSAWHESSKIGIIVSGGNVDLGVLWESLYKR >KQK98994 pep chromosome:Setaria_italica_v2.0:VII:30401541:30404042:-1 gene:SETIT_011347mg transcript:KQK98994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKTSSFKLEHPLEKRQSEADRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLH >KQK98999 pep chromosome:Setaria_italica_v2.0:VII:30401312:30404433:-1 gene:SETIT_011347mg transcript:KQK98999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKTSSFKLEHPLEKRQSEADRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLH >KQK98996 pep chromosome:Setaria_italica_v2.0:VII:30401541:30404042:-1 gene:SETIT_011347mg transcript:KQK98996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKTSSFKLEHPLEKRQSEADRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLH >KQK98995 pep chromosome:Setaria_italica_v2.0:VII:30401541:30404042:-1 gene:SETIT_011347mg transcript:KQK98995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKTSSFKLEHPLEKRQSEADRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLH >KQK98997 pep chromosome:Setaria_italica_v2.0:VII:30401312:30404433:-1 gene:SETIT_011347mg transcript:KQK98997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKTSSFKLEHPLEKRQSEADRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLH >KQK98998 pep chromosome:Setaria_italica_v2.0:VII:30401312:30404288:-1 gene:SETIT_011347mg transcript:KQK98998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKTSSFKLEHPLEKRQSEADRIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNTLPPTAALMSAIYEENKDEDGFLYMTYSGENTFGLH >KQK97485 pep chromosome:Setaria_italica_v2.0:VII:21424579:21429444:1 gene:SETIT_011685mg transcript:KQK97485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSTAVSLPSAASCRARTVLALPTPSAPRRRSPGGRARLVTTAAMPAPEPNKARVLVAGGGIGGLAFALAAKRKGFEVLVLERDVSAVRGEGRYRGPIQLQSNALAALEAIDAAAADEVMDAGCVTGDRVNGIVDGVSGSWYIKFDMFTPAAERGLPVTRIISRMTLQQILARAVGDDAILNESHVVDFIDDGNKVTAILEDGRRFEGDLLVGADGIWSKVRKKLFGHSEPTYSGYTCYTGVADFVPPDIDTVGFRLFLGHNQYFGFSDVGASKVQWYAFHKEVAGGTDPENGKKKRLLEIFSGWCDFVVDLINATEEEAILRRDIYDRPPIMNWGRGRVTLLGDSVHAMQPNLGQAGCMAIEDGYQLAVELENAWQESVNSGTRVDIVSALKRYEKERRLRVAIIHGFARMAAIMATIYTPYLGVGMGPLSFLTKWIKFSIKYGVDMMLSWVLSGNSSKLEGKPLSCRLSDKANDQLYRWLEDDDALEEAMCGEWYLFPASGGNNTSLQPVRLFRNEQWSLSVGSQSDHCDYGSSLSLSLPQISQRHATITCKNKAFYVTDLGSEHGTWITDNVGRLSRVPPYLPVHFHPSDVIEFGYDKKAMFRLKVLNTLPYESARKGKQQLQQQVLQAA >KQK96349 pep chromosome:Setaria_italica_v2.0:VII:3960441:3963473:-1 gene:SETIT_009251mg transcript:KQK96349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGCVYGVEEAVESIIPLLEDTGTTAHKAIYFDGWDGLAASAVLRAIAEDPPPSLKKKFDKILHIDCSMWKSRRALQRAIADELNLTQRVMALDGQDVEDDFSGVDEGSRAEMADVTREIYQTIRDLTCLVIFHNGSDSTVDFSNFGFPLFDWFCPCIVLWTFRGRLRLNPEVKDKVDSSHLYIYRPITWWFNDNDAKLILDEATEIVKSIQHKQSITPTIAAKCISYILWLNNEKVGGTMDYDWTTHASNYWVCDGIIEEGQSDDSWELSTALHQQIRLEDCSYRTVNFRGYEHKGHWKSVIYPSNIEEGTSSYFLSAKNELSLPPKMFQQSYRLRVLKLSGCNFSFYSPPFCCCHSLRFLGLDKCKDQPQEEEDRQRRPTTKYLHSLWVLDVRNMDWELDLLQDSIEQMAVNIREIHVKNGRIWRSNLAWGQLQNLRKLRVIKPTSSWETGLENEFMGMLKLEILDLSGNNAIQVLPSLCGATGLKTLILDGCAELDHIGPGLPPSLESFSFDARAGDDGNKTAKISRITLAGCPKLVEFRLLGSLSKLEELDLSGTPVKILDLKKEIQVQNLQRIFLIGCKQLRSIIWPEKRMEQLRLVCIDTRQELVLTETSRDSLVCREQEKYCHARVSVTDMRFFQSLVATDGEVFPCITTPFKLNLNLSCTSNDDGNNCIFISRTKKLVRSSNLHKPLISMACRIYNDISIEEIADGSSALQFEPQDLHVEIGQGAINTEVLNPRGVRAIRFMMDRVMSLHVHDSCSITTIIPKHIASATGQEINYRALKWCRVEKCPKLEIVFHTNYDGDRYYWFQQLETFWAADLLIARSIWSRGRPFGRVDFESFSRLRAIHLHMCPRLQFVLPLSWGHTLSSLETIHIVCCSDLKQVFPVESGFLKRVATMHPNGMLEFPKLKHLYLHDLSCLQQICEAKIFAPELETVRIRDCWDLRRLPPTDRHRRDGRLVAVDCEKDWWDNLEWDGMDVGHDPSLFAPRHSAYYKKRLLRTTVLR >KQK96341 pep chromosome:Setaria_italica_v2.0:VII:3845115:3847012:1 gene:SETIT_011722mg transcript:KQK96341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCPFPSIMILTITKATYSYRLVCQIYWIARMSSAIAYLVGEERLTEVQAIRNNEHGMFNMGSSRRERSVKGPKEAQSKSVLELLKEKIKSKGGEVIEHRPLCFVGLDLTCRRCRASQHPTVLLSSQINIPAEINCLFVAKKDFLAFLSRGDVVVFRSPRNHRELVVKRLIALPGGWIQVPEKQEIRQIPQGHCWVEGDNAGLSLDSRTYGPVPLGLMQGRVTHVVRPPNRIGRVDRKIPEGRIMPL >KQK98714 pep chromosome:Setaria_italica_v2.0:VII:28787805:28788955:-1 gene:SETIT_012157mg transcript:KQK98714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSSVPDAVCKCGKDMQYAGDRPENHGNLAPAGGDADSGLNSTSLMMSLFQRFGVRDPATLEKTVVQLNSQKITSLLGRSLTGIYFDIPIDYDDVDLFVLSEKLYADQHNDIDQKLNNVKIRVLQTRNNSVLYAEVSDDFVDLLFGSLSIPLGSIMKTYCHQGSFKGCIDNLYKSIDGSAKGCVRPECQSLLLDPKAEPFSGCRTTKILQVEELAPRKLQIKACIKCFKAGGFADIGRCLKETPVYSCNFGRIRYYECTYCKNSLKSTNLHESNPKSPNGGSEDSEAYVKGGSVKFMVTDGLHILPLSMSSTLQAVRAAKIRPGKLL >KQK98533 pep chromosome:Setaria_italica_v2.0:VII:27771624:27778529:1 gene:SETIT_009523mg transcript:KQK98533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFGKRLMVDQVEEWKGYYINYKLMKKMLKQYVEQTQNGGKDREQVLKEFSRILDDQIERIVLFLLQQQGRLASRIEELGEQRTAILEQYDISQVSQLRDAYREVGFDLIKLLRFVDINATGVRKILKKFDKRFGYKFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLEYLQHHEGSFVSIYDHPAVTLKDPIIDQVNHAVQKLTHATNFMQFLGQHALIVQEDGQSGSEDLVDDQNYHFMSLMLNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGIIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFFGNLLYALAYDLNSLIVLLIGRLLCGLGSARAVNRRYISDCVPLKMRLQASAGFVSASALGMACGPALAGFLQIKFKIYSLNFNQSTLPGWVMCIAWLIYLLWLWLTFKEPEHFSKSVVNKQSSESGHQGNTNLEEGLAQPLLIGRERNQDENSEDNDDSEVASENSHEPATSIASAYRLLTPSVKAQLLIYFMLKYAMEILLSESSVVTTYYFSWSTSAVAIFLAILGLTVLPVNAIVGSYITNLFEDRQILLASEVMVLIGIITSFRFTPHYSIPQYVISALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADATITAAGYLGTDLLLNVTLLPPLVICIASIAATLYTYNTLY >KQK98531 pep chromosome:Setaria_italica_v2.0:VII:27771624:27777601:1 gene:SETIT_009523mg transcript:KQK98531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFGKRLMVDQVEEWKGYYINYKLMKKMLKQYVEQTQNGGKDREQVLKEFSRILDDQIERIVLFLLQQQGRLASRIEELGEQRTAILEQYDISQVSQLRDAYREVGFDLIKLLRFVDINATGVRKILKKFDKRFGYKFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLEYLQHHEGSFVSIYDHPAVTLKDPIIDQVNHAVQKLTHATNFMQFLGQHALIVQEDGQSGSEDLVDDQNYHFMSLMLNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGIIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFFGNLLYALAYDLNSLIVLLIGRLLCGLGSARAVNRRYISDCVPLKMRLQASAGFVSASALGMACGPALAGFLQIKFKIYSLNFNQSTLPGWVMCIAWLIYLLWLWLTFKEPEHFSKSVVNKQSSESGHQGNTNLEEGLAQPLLIGRERNQDENSEDNDDSEVASENSHEPATSIASAYRLLTPSVKAQLLIYFMLKYAMEILLSESSVVTTYYFSWSTSAVAIFLAILGLTVLPVNAIVGSYITNLFEDR >KQK98532 pep chromosome:Setaria_italica_v2.0:VII:27771624:27778529:1 gene:SETIT_009523mg transcript:KQK98532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFGKRLMVDQVEEWKGYYINYKLMKKMLKQYVEQTQNGGKDREQVLKEFSRILDDQIERIVLFLLQQQGRLASRIEELGEQRTAILEQYDISQVSQLRDAYREVGFDLIKLLRFVDINATGVRKILKKFDKRFGYKFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLEYLQHHEGSFVSIYDHPAVTLKDPIIDQVNHAVQKLTHATNFMQFLGQHALIVQEDGQSGSEDLVDDQNYHFMSLMLNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGIIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFFGNLLYALAYDLNSLIVLLIGRLLCGLGSARAVNRRYISDCVPLKMRLQASAGFVSASALGMACGPALAGFLQIKFKIYSLNFNQSTLPGWVMCIAWLIYLLWLWLTFKEPEHFSKSVVNKQSSESGHQGNTNLEEGLAQPLLIGRERNQDENSEDNDDSEVASENSHEPATSIASAYRLLTPSVKAQLLIYFMLKYAMEILLSESSVVTTYYFSWSTSAVAIFLAILGLTVLPVNAIVGSYITNLFEDRQILLASEVMVLIGIITSFRFTPHYSIPQYVISALITFVFAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADATITAAGYLGTDLLLNVTLLPPLVICIASIAATLYTYNTLY >KQK98534 pep chromosome:Setaria_italica_v2.0:VII:27774247:27776939:1 gene:SETIT_009523mg transcript:KQK98534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNFGKRLMVDQVEEWKGYYINYKLMKKMLKQYVEQTQNGGKDREQVLKEFSRILDDQIERIVLFLLQQQGRLASRIEELGEQRTAILEQYDISQVSQLRDAYREVGFDLIKLLRFVDINATGVRKILKKFDKRFGYKFTDYYVTTRANHPYSQLQQVFKQVGIVAVVGALSRNLEYLQHHEGSFVSIYDHPAVTLKDPIIDQVNHAVQKLTHATNFMQFLGQHALIVQEDGQSGSEDLVDDQNYHFMSLMLNLVNTFLYMVNTYIIVPTADDYAVSLGAAATVCGIIIGSMAVAQVFSSVYFSAWSNRSYFRPLVFSSIMLFFGNLLYALAYDLNSLIVLLIGRLLCGLGSARAVNRRYISDCVPLKMRLQASAGFVSASALGMACGPALAGFLQIKFKIYSLNFNQSTLPGWVMCIAWLIYLLWLWLTFKEPEHFSKSVVNKQSSESGHQGNTNLEEGLAQPLLIGRERNQDENSEDNDDSEVASENSHEPATSIASAYRLLTPSVKVSFPLPSQV >KQK97451 pep chromosome:Setaria_italica_v2.0:VII:21277403:21280880:1 gene:SETIT_010575mg transcript:KQK97451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAGRSSIRHSKIPEFLVGPSGQPMPAVGLGTVSHPFVEDEVRAAVLTALELGYRHIDTAALYASERVVGEAMAEALQSGIVASREELFVTSKVWCTQCHPELVLPSLKESLQNLQMEYVDLYLIHWPMAVKPSKPHFPMKREDILPMDLSGVWQAMEECHRLGLAKMIGVSNFTTKKLQELLSIAKIPPAVNQVELNPTWQQKKLIEFCKDKSIQVAAYSPLGGQRIPKMNPVRQSDVLEEIGRTRGKSVAQISLRWIYEQGASMVVKSLKRERLKENIEIFDWELSDGDRSKIGQIPQRKLITVQNLLCPEGISSVDISDVDVFEM >KQK97698 pep chromosome:Setaria_italica_v2.0:VII:22740530:22742940:1 gene:SETIT_009397mg transcript:KQK97698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHIFLFLLLLLVLLLQLPHSCTLAQHIISTGSTLKPEGPNSSWLSPSGYFAFGFRSLETNSSLYLLAIWFDQIEEKTIVWYTNGSTPVSSGSSLQFTHNGMLSLRDPAGAEVWRSPISGGAYASMNDTGNFVIYGEDGSPKWQSFTTPADTILPSQELYSGTILQAKLMDTDYSNGRFILSLETDGNLTFYTVAVPTGFKYDGYWSTNTSGNGGKLVYDANGTIYYALENSTQHPIMPAEMDSVDQYYHWAKLDPDGVLRQYKYPKWGVVSSGLPAAVKTVPESICSIMYSNFGSGVCGYNSYCILNWNQTQTDCACAPNYSFFDPERKYKGCKPDFALQSCDLQEAEVLEQFQMIPMKKIDWPLRAYEQYYPVNETTCQNLCLTDCFCAAAVFEQDGHCWKKKLPLSNGNEGSEVQRMVFLKVPKNNEARSNWKGNRKDWIIGGSIIIGISVFLNFLFISAHLLGAHFRIATKKNQLRPWTRVIARDFTYRELEEATNRFNEEVGRGASGIVYKGNLHDVFGTSIAVKMIDRIPRETEKEFAIEVETIGHTLHKNLVPLLGFCYEGTARLLVYPFMVNGSLAKFLFSDMRPSWDLRVDIAHGVARGLLYLHEECGKQIIHCDIKPENILLDDNFIAKISDFGLAKLLKADQTQTSTGIRGTRGYFAPEWFKNVGISSKVDVYSFGIVLLEIVCCRRNVDLKATNEEQVILTYWAYDCYRGGRLDLLVEGDEEAIINMKMVERFTRVALWCVQDEPTMRPTMLKVTKMLDGAIEVPQPPINTPPFISSLL >KQK98470 pep chromosome:Setaria_italica_v2.0:VII:27227103:27231339:-1 gene:SETIT_010837mg transcript:KQK98470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAVSTLTALSIFASTVEHAAFRSVHGYRVIGRKDGKWVRWERWVERQFVLSLSVPPCREVAVPAASPRILMAGWRGRPVFREGQTVGTWRCIVAFDSVAAVKPSSPPPPVLSPLVNPQLECLPNLYKDLQKVFQFQKVEKVPRRVLRDAKEQPIHSGEQEKTSDEADSSGSDSDGDTQSDKELAPSVQKQPRANRKHIDSITLVEIAQYFHLPIREASKTLKIGVSILKRKCRKYGIPRWPHRKIKSLDSLIQDLEVNLTVHSISLHL >KQK97593 pep chromosome:Setaria_italica_v2.0:VII:22070462:22073290:-1 gene:SETIT_011161mg transcript:KQK97593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPASEELERRSRYLSALVRRTRLADPPQPEPEEEEVAEGKVDVVEPVLLKAAPRVLEEGKGGKEDTKAKERAAAEAKGEGKVAVCVRAADMPLPLQRRAVRIAVEAVAAMPRLQSKRLALALKKDFDTTYGPAWHCIVGTSFGSYVTHSLGGFLYFSVDKVYILLFRTAVEPLAHPE >KQK99701 pep chromosome:Setaria_italica_v2.0:VII:34271231:34277395:1 gene:SETIT_009335mg transcript:KQK99701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIDECSPVPEPAPANPDPSSISPDAWRRFESATLSVVHKIQPTVSSENIRAAIIDYVQRLFRFHAGYQVFPFGSVPLKTYLPDGDIDLTAFGPAISDENLANEVCAILKSEGRRKDSEFEVKDVQYIHATEVKLVKCLVQNIVVDISVNQIGGLCTLCFLEKVDQNFGKKHLFKRSIMLIKDWCYYESRILGAHHGLISTYALETLVLYIFHIFHKSLDGPLAVLYRFLDYYSKFDWDNKGISLFGPVSLSSLPDLVTDPPDTHDDGFLPREEFLKECAEAFSVPNKNSEKDAQVFSRKFFNIVDPLKQNNNLGRSVSKGNFYRIRSAFDFGARKLGKILQVPACSTVNEVNQFFRNTLKRNCTGLRLDVLVSSSDDDLLSDHATNDSLSLSLNVESIKGSSPLYSNSCGNLSSQFSHIDTSDSNNHGSIKQKQCNSVAGHKEIVSVSGGLIGTNATDYATTDSGTERNGCDFYEASQIASETCTLPSGRRCAPHQFYQSENGKDVDVRDDTDLPHHGMPANQFTGKSYHSFEGAKYHNVFSRSFPAPLEHNAYSPAGLVNGLATSNSMFTPENSQPGGTINDIVPDLTGDLLTNFNNLLFAQGCQQGNPVHHYYYPMPPTPLQYQNMRPSNGLGRKNSYGYTGMNGAIPSPPYPPGYVVWRPVYQTDDHIPMRGHGTGTYFPDPNLRKDRPPVGHRERGRNHFSSNNYQKFHHHVRTDMPIDMVPLQESRHDVPLQIYVPGANDHAIPSPMNMPMPSPSSQSPRDPLKVPAHSPSSQVRRDNFHGNGFMVPQDSKLEFGTLGTVPLEVTSKDHANRSDSASSNQVSESVSRMPATKNTVAGLNGMRNAQPYSLKDSGDFPPLP >KQK97111 pep chromosome:Setaria_italica_v2.0:VII:19124170:19124889:-1 gene:SETIT_013003mg transcript:KQK97111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYSLFSSPCLSAITSKHRKCLNKNISAVPTSIGIPILSLALIIETIHLKASHNNISMPAC >KQK97701 pep chromosome:Setaria_italica_v2.0:VII:22759189:22761572:-1 gene:SETIT_010939mg transcript:KQK97701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLLTAYSPLRLPSTGGSARRRSAAAAAGTITMRDRSKNRKPTQRGRYLSTEAIQAVQSLKRAALRSGSAASAAAAVEPKLRRLLKADMVAVFRELAAQGEALLALQVFDEIRKEHWYRPRLLWYVDLVTVLASKGLQSEVDKACSYLKREQLEPDTEGFNLLLKALLDAGFTQLAMDCFRLMKLWDSDPDRITYTTLIKGLESVGKMELCAGIQLEAENDYGSLDFLDEVEIKEACTSSS >KQK98506 pep chromosome:Setaria_italica_v2.0:VII:27549785:27555150:-1 gene:SETIT_009396mg transcript:KQK98506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFGDLLGGAGDAAGAPYPPYGVFASSPALPLAVADAGRRRGGSGERAGSVAHGGGNAKDAPEADDGSRSPIGDHIDVVLVCGGGEDDDEDGEAANPRKRKKRYHRHTPQQIHQLEAVFKECPHPDEKQRAELSKRLGLEPRQVKFWFQNRRTQMKNQMERHENALLKQENDKLRAENLSIRGAMRDTVCGGCGGPALLGDMSLEEHHLRLENARLRDELTRVCALTAKFIGKPISTMALAPVQQLHPMPGSSLDLAVTCVGSVPPSTMPVSTISELAGSVSSQMGTVITPMATAPSAMTSIDKSMFVQLAMRAMDELVKMAQMNEPLWVPSVSSPGSPTMETLNWKEYSKTFSPCVGLKPIGFVSEASRESGIVAIDSAALVEFFMDEVRWSDMFSCIVAKASTIEEISAGAVGSRDGALLLMQAELQVLSPLVPRREVTFLRFCNQLAEGVWAVVDVSIDGLERDQCLVTNMNCRRLPSGCVVQEIPNGCKVTWVEHTEYHEASVHQLYQPLLCSGLAFGAGRWLATLQRQCECLAILMSSVAVPEHDSSAVPLEGKRSLLKLAHRMMENFGAGVSGSSAEWSKLDGLTGSMRKDVRVMVRNSVDEPGVPPGVVLSAATAVWMPVTPERLFNFLRNEGLRAEWDILSNGGPMQQIVRIAKGQLDGNSVTLLRADHTNTHLNSILILQETCTDKSGAMVVYAPVDFPAMQLVMGGGDSTNVALLPSGFAILPGRSCTGGVGHKTSGALLTVAFQILVNSQPTAKLTMESVDTVYSLISCTIEKIKAALHCEV >KQK96439 pep chromosome:Setaria_italica_v2.0:VII:7260968:7261796:1 gene:SETIT_013104mg transcript:KQK96439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLILGMLCTAGVHVPDPGRRRGGSGAPVPGLQRRQGGDVERGVRRVWRLLPQGSDLGGHHLRLRALLHPPLPHLLLPPLQRLRGAPVVGTRQQGRRDRCLPALKPPPATRGCLPSSVVA >KQK96438 pep chromosome:Setaria_italica_v2.0:VII:7259893:7261796:1 gene:SETIT_013104mg transcript:KQK96438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIMLKDQQTNEYGTVAYSDLGGFKYLVYANGLCAAYSLVTAFYTAVPRPATVSRSWVVFLLDQVFTYLILAAGAAAAELLYLAYNGDKEVTWSEACGVFGVFCRKARTSVAITFGSVLCFILLSLISSYRLFSAYEAPPSSALGNKGVEIAAYPR >KQK97436 pep chromosome:Setaria_italica_v2.0:VII:21199324:21203647:1 gene:SETIT_011315mg transcript:KQK97436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWAGPDEILLSTSLAGFLDKKLIVLLRDGRKLLGTLCSFDQFANVVLQGACERVIVGEQYCDVPLGLYVIRGENVVLIGELDREKDELPAHMTCVSEAEIRKAEKAEREARDLKGTMRKRMEFLDFD >KQK97258 pep chromosome:Setaria_italica_v2.0:VII:20008236:20008531:1 gene:SETIT_012907mg transcript:KQK97258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMPAYTKTSKEKRLAQILFSYVKVGRIGRQIDNFVFAHAKALSL >KQK96823 pep chromosome:Setaria_italica_v2.0:VII:16034085:16035616:1 gene:SETIT_011968mg transcript:KQK96823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTATASASLAVALLFASLLAGTVFGDDIIPIIRMPLLERFHAWQAEYNRTYATPEEFQYRFRVYCENVKFIETMNRPGSSYELGENQFTDLTQEEFEDMYLMKLNEVASAPEAMGQLGTMNAGGTSGGGNTDEAPNYVDWRTKEAVTPVKDQQQCGSIYIDHKRCFNSSTSIHVEHPYVGRHRQCRRDKLGHHAATIRGGWAVQRNNEAMLERAVARQPVTVLINASRAFKSYKRGVFSGPCNTSLNHAVTVVGYGADTGGRKYWIVKNSWGQTWGENGYVRLERRVSSSKGMCGIAMAPYYPVM >KQK98970 pep chromosome:Setaria_italica_v2.0:VII:30270507:30271021:1 gene:SETIT_012971mg transcript:KQK98970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWIAILRVPDEQKLGHRRRLPALDLQLSTAGSFVPPPTATATVRRLGAVQERGREALRRITTTCAGSRTLCFVPPSMVWLVV >KQK97373 pep chromosome:Setaria_italica_v2.0:VII:20868101:20873890:-1 gene:SETIT_009640mg transcript:KQK97373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPAAEVAAAAQPQGRGPAGRQGGGLGQSITGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPMDMWMYLSENEKFNDFANEDALIWHEANMPYAVWGPTSTRTRSLTYYPSEALKRNGSLYAHVYFARSGYPVDPTDAEYEQKSAFGRTHPVVTFLPKSKAGKKKSLLGDSEKPEEEAPPKENKESEDKDEGPAEYISYWKPNVTINLVEDFTRYPHNNVPPIVAPYLNVDPATGNYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPMSMTKWQLFLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMVVSLLHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVILNFVCQLIVFLYLLDNDTSWMILASSGIGVCIEFWKIGKAMHIEIDRSGKIPMLRFRDRESYAQNKTKEYDALAMKYLTYVLFLLVVGFSIYSLKYEKHKSWYSWILSSMTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYVYQRWKYPVDKKRVNEFGFGGEDEPAAQEALEGSDSSAAAQLTEAKTEAEAETSTEDKKTK >KQK97372 pep chromosome:Setaria_italica_v2.0:VII:20867762:20873962:-1 gene:SETIT_009640mg transcript:KQK97372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQPAAEVAAAAQPQGRGPAGRQGGGLGQSITGIVRMAVFWYFAAKFFGPKRPPAEPGMLMSNLFQKGEPMDMWMYLSENEKFNDFANEDALIWHEANMPYAVWGPTSTRTRSLTYYPSEALKRNGSLYAHVYFARSGYPVDPTDAEYEQKSAFGRTHPVVTFLPKSKAGKKKSLLGDSEKPEEEAPPKENKESEDKDEGPAEYISYWKPNVTINLVEDFTRYPHNNVPPIVAPSTGNYYPTVFFNEFWLLRDKLIALNETVEELPLNLEVGPMSMTKWQLFLQIEQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLGLTMVVSLLHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVILNFVCQLIVFLYLLDNDTSWMILASSGIGVCIEFWKIGKAMHIEIDRSGKIPMLRFRDRESYAQNKTKEYDALAMKYLTYVLFLLVVGFSIYSLKYEKHKSWYSWILSSMTSCVYMFGFIMMCPQLFINYKLKSVAHMPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDVIFLIYVYQRWKYPVDKKRVNEFGFGGEDEPAAQEALEGSDSSAAAQLTEAKTEAEAETSTEDKKTK >KQK97487 pep chromosome:Setaria_italica_v2.0:VII:21437121:21441660:-1 gene:SETIT_010098mg transcript:KQK97487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAPARGVRRRAGSVALGDLLRREASAERVAAAGAGVERPTVAAGQAGRAKKGEDLALLKPACERRPGAPSTSFSAFALFDGHNGSAAAVYAKEHLLGNVLGCVPTDLSRDEWLAALPRALVAGFVKTDKDFQTKAHSSGTTVTLVIIDGSVVTVASVGDSRCVLEAEGSIYYLSADHRFDSSEEEVGRVTECGGEVGRLNVVGGAEIGPLRCWPGGLCLSRSIGDQDVGEYIIPVPYVKQMKLSNSGGRLIIASDGVWDALTAEVAFSCARGLSPEAAADQIVKEAVESKGLRDDTTCIVIDIIPPEKPKSTIESPKTPGKGLGLLKSFFLRKTASDSLSLATKDNYSEPDFVEEVFEDGCPSLSRRLNSEYPVRNMFKLFACAICQIDLESGQGISIHEGLSKPGKLRPWDGPFLCHSCQEKKEAMEGKRHSRDSSSRNSGSSE >KQK96272 pep chromosome:Setaria_italica_v2.0:VII:2835005:2839401:1 gene:SETIT_009307mg transcript:KQK96272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQINAVHAIHGGNSSITAMPQVTSMMSTHGRQSSQLQSLPMTPPGSGLVPNQNVSYPFAPNMHVNVKQEQLEVTGKPDQIRNSCHASVSPFASGVQLSQQMVQSVASQNLKQLALQMQPTNFSGGNPISTAHQQRQPLDQANVQEQMMANQQGLGFNQQQDRLKYQILVEQQANVTNTNNSHPGAQNNHPGITVGFRSMLKMHEQEALNEHIKMEPQPVSLLQPLITVSQQNSSFCTIPQTLATMGRAGEVDWREDMFQQIKPLKDAHLSELMELNQVLHVPKLTEKQFESLPKDKAGQYIFRVNLKKRTTLVLNFLLLEKCNIPDNYRGQFSMFLKSIKDLVGYYRKSKNRMMDARDRPQIFHGQPQIINLSGDQAPSGGSPSHQKQQEQLVHSQLRENIISTTSAAQEMNHNHLFGVARSCFPEKSRGSLQSLPIDKRQECCTLTPSPISKSGVLNVSSPSASLKSTTPSPDATPGAAKAEASSSVSVKFTLPSPVTTSGVVKVSSSYASVNSTFPSAIAESATIQAATPCASANSALPSPFAKSGVIEATSSVTNSGCAPFALPCPSMHSTSSEDIESLYALLLQDNSDPSGAQAAVGGTAIKTVNVSKQVTTTKPIMQASPIEAETADHQAEDNLHPRNEILVAKKPIDRLLDAVRISSPSMLCSAANSVYSVLNMNDWVPPREIDAFQYSQQGGSNTVKKMKRVFESTSLCSESAPLGSMDGSCMTFDWTVSEAEYSGERGAKRQKVQNAKDTLLDEINSVNNMLLDTFISIAQDNGTDGMASGNGGTLIELFYTAISLTPDLASLFATSGMSIVMPVKVLVPVDYPRSSPVLVCDQGDEQMRKRFSEISGAADVAFRRTLYGLQEPMSVVDMARAWDASVRRSIVDFAQRHGGGMFSSRYGEWTWC >KQK97942 pep chromosome:Setaria_italica_v2.0:VII:24112584:24114594:1 gene:SETIT_011236mg transcript:KQK97942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAAPAKKGDAKAQALKVAKAVKSGTVKKKTKKIRTSVTFHRPKTLKKARDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >KQK97943 pep chromosome:Setaria_italica_v2.0:VII:24112584:24114594:1 gene:SETIT_011236mg transcript:KQK97943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAAPAKKGDAKAQALKVAKAVKSGTVKKKTKKIRTSVTFHRPKTLKKARDPKYPRVSAPGRNKLDQYQILKYPLTTESAMKKIEDNNTLVFIVDLKADKKKIKAAVKKMYDIQAKKVNTLIRPDGKKKAYVKLTPDYDALDVANKIGII >KQK97541 pep chromosome:Setaria_italica_v2.0:VII:21779760:21781120:-1 gene:SETIT_010905mg transcript:KQK97541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASTSAAAVLKTPFLGARHALANAASVAAAKPAPRRALVVAAAAAKKSWIPAFKSDAEFINPPWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPDSQSVEWATPWSRTAENFANFTGDQGYPGGKFFDPLGLAGTVKDGVYIPDTEKLERLKLAEIKHARIAMLAMLTFYFEAGQGKTPLGALGL >KQK97772 pep chromosome:Setaria_italica_v2.0:VII:23174570:23176434:-1 gene:SETIT_011364mg transcript:KQK97772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVASTSFAYKPRFAVVCRKNKDGRDREREKEKEHKHPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLQNLLDQS >KQK97773 pep chromosome:Setaria_italica_v2.0:VII:23174798:23176107:-1 gene:SETIT_011364mg transcript:KQK97773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVASTSFAYKPQPRFAVVCRKNKDGRDREREKEKEHKHPFKVVEITPPPRCLGVRCFPTNIHCGESVTIEGQAYTVSAVTHRYQLRKGRYEPSEKRLDVLSTGRYILNLYLQNLLDQS >KQK99447 pep chromosome:Setaria_italica_v2.0:VII:32925530:32928726:1 gene:SETIT_009248mg transcript:KQK99447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMRRYYLCASHGFFLLVFLPFLFLFHHAPVAASAYAPSSQPSLNKTQEAIMKDLMTIVGSSSWSNTTTSNPCKWSGVNCTRSGSSWVVTNIALPNCGISNSSIFASICRLESLLALDLSRNSLTNLTTQFFSPSCSMKEGLRLLNLSSNMLSHPLSNFSAFPQLEVLDLSLNSFTSENLSADLGSFLKLRSLNVSANKLNGEVPTSMVGSLLELVLSGNRLSGSIPPDLFKYENLTLLDLSQNDLTGVVPDKFMNLSKLETLLLSGNKLIGEIPPSLSNVRTLSRFAANQNNFNGSVPSNITKHVRILDLSYNNLNGTIPLDFLSHPGLQSVDLTTNMLEGSIPRNFSPSLYRLRLGGNRLSGNISDSICDGMGLTYLELDNNQLTGNIPSELGNCKNLSLLSLASNKLQGQVPPAISSLDKLVVLKLQNNSLNGPIPYAFSDLKSLSILNLSQNLLTGEIPSGIFELQKLSILDLHDNSISGAIPISVSLSKALIELNLGNNALAGTIPTMPTTLTTSLNLTHNNLSGSIPSDIGYLSELEILDLSYNSLSGEVPSSLGNLQSLTQLVLSYNDLSGSVPSFLINVSIHIEGNPDLVNGTGDKNGIHTTSTRKRHTVVIIIFIIAGALVGLCLLAAIVMMSLSAGESVSQIINGGPVLNNNQTSATATEFMKARPDNWQVTRFQALNFEDADIHQGLIEKNLIGSGGSGHVYRVMYINRCNGSTGVVAVKLIRSTGSLDEKLEREFESEVSSLGNVRHNNIIRLLCCISGDESKLLVYDYMDNGSLDNWLHGNTLCTGHSMVRPQSVQRVPLDWPTRLIVAVGAAQGLCYMHHDCSPPIIHRDIKTSNILLDSEFQAKVADFGLARMLVRAGEPNTMSAVAGSFGYMAPEYAYTRKVNEKVDVYSFGVVLLELTTGKKANEGGELGCLAEWARHHYQSGAAILDVIDKSIRYAGYPSEIETVFSLGVQCTATFPLTRPTMKNVLRILLKCCDQTLRKSRMEFSMEYEAAPFLMP >KQK96180 pep chromosome:Setaria_italica_v2.0:VII:1259587:1259797:1 gene:SETIT_013008mg transcript:KQK96180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTSPLFRMSIYLFISFILLIKNYSVQICNLLLQF >KQK98010 pep chromosome:Setaria_italica_v2.0:VII:24489809:24490007:1 gene:SETIT_0126072mg transcript:KQK98010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLGSCYLGGNADAVEFCPHRPFRHVLAAATYTLQEQEQDRAGTISLFSVDARRGGRVPAAPAAAY >KQK98518 pep chromosome:Setaria_italica_v2.0:VII:27669260:27673207:1 gene:SETIT_010923mg transcript:KQK98518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYARRNKSGKKDASVCYMKAPLPYAIEENHGGCFFDDDDDLAEVLQDQEILYQLIQGNKGSSSSRTHSPPSSSYGHDRTSKGRKPEVVKYDYELQLAVDEALARELQEMEGQLAKTSLYDNKGRKPISSSSSDRGHSSASRPPQVVEEDGIDPDNMTYEELQQLGEAIGTQSKGLPESVIALLPTSTCKIRIFSRKEKHEECVICCMAYKNRDRLTKLPCGHQYHQACVAKWLQINKVCPVCNKEVFGS >KQK96480 pep chromosome:Setaria_italica_v2.0:VII:9386708:9392772:-1 gene:SETIT_009211mg transcript:KQK96480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVSVDDDSSGWQVSPSQAVLFADEIAAVRAVLGAGLPEARVVAALSRCGGNTERAVNALLDDSAGAAEVGPTSEGRGAPKPVKAERDVGGAPPPAKVKAEDPVDEDVGSQDSDAASAKAAAKVKSEPLVVPHRVKKEEKPAADARDAPRRGAAAAAASVGGGISLVPRPKKRPREEVVETIDLTTTHPVPYLNPRPIRALPPPGGAETCDPRPILVVPPLDVQMYDAGPVLPAPPPPVDVEMYEPRARAPAPTLPRPLRAIAPAPVTDLRMVVAPPDAEFGDFPEERDFFLVGKSYVPGLSTNRGRRRMDAGEIVHFAFPSYERSYGGLKLSAKKVKALAGIVRFSTKRAGEIGKLPPEWSECLVPLVNSSKVKIHGKVVFPTIELRLMQEVMLYVSLYIHKSVFTEMDNSSCDMLYHVNVSFSSSPLHQLLTLLKLKASNKDDFSLGDLSSRKLQRILRGNHNNGAESTSELGQTFLEQGPDEQAISEAALNKLVGTAETFDLEEAEPPSTLVSVLKPYQKQALFWMSKLEKGMDANEATKTLHPCWSAYNIADKRAPQVYVNIFTGQTTTQFPSATGTARGGILADAMGLGKTVMTIALILSNPRGECSNYTERDTRVLRDHGTRAHTSRSSVRGGTLIICPMPLLGQWKDELEAHSTQGALSVFVHYGGDKTDNLMLMAEHDVVLTTYGVLSAAYKADRTSIFHRMDWYRIVLDEAHTIKSPKTKGAQAAFGLNSECRWCLTGTPLQNNLEDLYSLFCFLHVEPWCSANWWQKLIQKPYENGDDRGLKIVRAILRPLMLRRTKETKDKIGKPILVLPPAHIEVVECEQSEQERDFYEALFRRSKVQFDKFVAQGSVHNNYANILELLLRLRQCCNHPFLVFSRADPQKYADLDQLAQRFLEGVQSCSGRQNALPSRAYVEEVAEEIRQGATTECPICLESASDDPVLTPCAHRMCRECLLSSWRTPDGGPCPLCRSHISKSDLIILPAQCRFQVDAENNWKDSCKVSKLIMILEGLQKKREKSIVFSQFTSFFDLLEIPFNHKGIKFLRYDGKLNQKHKEKVLKEFSESQDKLVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRAVQVRRFIVKDTVEERMQQVQVRKQRMISGALTDEEVRGARIEQLKMLFT >KQK96842 pep chromosome:Setaria_italica_v2.0:VII:16407869:16413159:1 gene:SETIT_012022mg transcript:KQK96842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFDGPPSFSDLVDRVMTKYGCRVDEIGLRGRFDCGKGRAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVAVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGVEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQLKISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHTVALLWGDWKESYGIVPRVLSAMTYYNPGVKWCIDSCGMMLPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVVSENNESWSWFMKLVRVHVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFCEKLEDLVKDLNDDAKELLKGEMEDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWEKAREMLDQGYRIGSVHHLAEPYGQERMVYSIRSYGTTNIGGESHGGRHYIVDLHEVSCTCNVPQLLHLPCLHFITACKARGLNYESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGAGYVPNPSLMGNKVGRRQKKRFTGDMDVMAAPGYPLLEAAYDLHHRAHHLADLNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHLPFGEMTITMQDAAMILGLPLEGQPVTGIIQNENWRDMVEMLIGIRSPEPEVCPPGANDEVVQRYARVWLWHFVSTFLLPDAAGNTVSWMVLPILGQVWENIAVYSWGSAALAWLYRQLCEACRRTARDSNVGGDGELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRLKHGQYLLLWQNKQGCDPEGGPYWRPNNEYIRWYCTSMRTKVKPSWTNVPIEDAPSDDDADIADVYDTVTRHGTQPECAPLHDYMLSNEAGVIMEHAVGEGDGLLRAFAERVRKSCRRMAMRMNCMTSSDAHDGGNVQDTSSGSRRTALATTPRAATSSTAAGPSRRSQGKEPASPQESEDSEGEQSEDDDPTYGEELEISHDAPPVTQTQGESSQ >KQK97274 pep chromosome:Setaria_italica_v2.0:VII:20185160:20188137:1 gene:SETIT_009901mg transcript:KQK97274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDSDQGTLVFGLHLWELVGIGVGAAFVLLLVLLSLVCLLASRRRRRRRAVPATPVLHLPVVVPNAQSKHPAKPPKDIQEVPSRGAAAPAAPAKAPLAQVLQAPPPDSIQIETGKEHRITFPEQPPPPHHQRSGGPSSRGASGESRGGGGEPGVPEVSHLGWGHWYTLKELEDATCMFADEKVIGEGGYGIVYHGVLEGGVQVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGNQRMLVYEYVNNGNLEQWLHGDVGPVSPLTWDIRMKIILGTAKGLMYLHEGLEPKVVHRDVKSSNILLDKRWNAKLSDFGLAKLLGSERSYVTTRVMGTFGYVAPEYAGTGMLNETSDVYSFGILIMEIISGRVPVDYNRPPGEVNLVEWLKTMVSNRNSEGVLDPKMTEKPTSRALKKALLVALRCVDPEARKRPKIGHVIHMLEVDDFPYRDERRGGKAPTQARSVETPASDPGDSSGNNTPKDTPKGGEPFKWRTQET >KQK99890 pep chromosome:Setaria_italica_v2.0:VII:35248936:35250294:-1 gene:SETIT_012801mg transcript:KQK99890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGGGRLRASSIKKQRQRTMNNIKITLLCGFITVLVLRGTAGFNLLVNSGDPDGAAADAKVVEDIERILAEIRSDSEPDDVVVLVGDGSSSSPNNATAGLGNFSSSATLIRVKEYILGPKVSDWDSQRQEWLSRHPEFPSRDARGSPRVLLVTGSPPGPCDNPAGDHYLLKATKNKIDYCRLHGMDIVHNMAHLDPELTGYWSKIPLVRRLMLAHPEVEWIWWVDSDAIFTDMAFELPLSRYEGRNLVVHGYTDLLFEKRSWISLNAGIFLLRNCQWSLDLLDAWVPMGPRGPSRVEAGKLLTASLSGRPPFEADDQSALIHLLLIQKDKWMEKVQIETEFYLHGFWTGLVDRYEQMMEEHHPGLGDDRWPFITHFVGCKTCGRYEDYPLERCLRGMERAFNFADNQVLRLYGFQHRSLVSAKVRRVTDPRANPLEAKEAALKMDAKFQRV >KQK99669 pep chromosome:Setaria_italica_v2.0:VII:34140268:34141980:1 gene:SETIT_012769mg transcript:KQK99669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPVSLYGDGRVRLCYSACRGEGASQKFLFWPVAWFAKVGARLDLGLPKHIVSALASFNLGSPPIYDHPCFHDLHEIIILINSFHDFLAFIGTCSSWRASASSFPSVYTFSFPPLHLEPDDPYFHPHCRGIKPLLLSNCKWQLSDPSKKNLSLRCSVLQNTPNKTYYLGCSHGPPKLPCNNKLGYLSGMGVLTSPFTSPNSHLLLFSRAFMFEWQVGTNSWSVHPLDIDDERIHQIVSFKGHILVIDALMRLHRVQLTPQFSMQEIAIMWQSLQYLPVNPWLVASGDMLLMVDLSFRSLCSDEKDDFSRIFEVFRLDFSVEPAKWVKMEKLENQALFVSLDKRNPAICCMNPERWGGKSNCIYVARLYDNPDETWTAVELGQLVPNQGTIHSMV >KQK97695 pep chromosome:Setaria_italica_v2.0:VII:22727004:22727723:-1 gene:SETIT_012506mg transcript:KQK97695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKQQTSLETLPTELLTVIAIHLVATSDQPMEDLGSLRATCTVIRRVYYSLLRLLLDVGNSEASLLTGIPDFFGGYQPSLDQLSRAAVAGLNVVADLYTLMLYRNVGGAAADDMAKMYIRRLEGEEGTATSSSPKMLHNHVCRECREDAMYLVVRILWNNVALLLAPGRGEFPCDGGGCGFPNGYGEDTLFCNEDCRLRHELVAFKRRLVD >KQK97326 pep chromosome:Setaria_italica_v2.0:VII:20579599:20581740:-1 gene:SETIT_012024mg transcript:KQK97326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAAASAVSAISNHVAAGHLFAAIDALPAYSGSSLLPAELYASLLRLATSRRSLAAARRVASHLASSSSSSPSTSRSSTPTFLFNRAIESLAACGSLADARELFDAMPRRDGGSWNAIISAASRGGNPAEAFSLFSGMNSVGIRPKDVTLASVLACCAECLDLCGAQQLHCHIAKRDFQSNVILGTALVDVYGKCLLLADARRAFDGILQPNDISWNVIIRRYLVAGMGDMAVQMFFRMVWAGVRPLVYTVTHAILACRDNCALKEGRCIHNFVLRHGYEHHVHVRSAAVDMYAKCGNINAAQRLFNLAPMKDVVMSTSIVSGLAACGRIVDAKWVFDGMEQHNLVSWNAMLTGYVRSMDLTGALDLFQQMRQETRELDAVTLGSVLNACTGLFDLGKGEELHAFAFKCGLFSYPFLMNALVRMYSKCGCLRSAEQLLLSEMGSERDRYSWNSLISGYERHSMSEAALHALREMQSEAKPSQSTFSSALAACANIFLLKHGKQIHAYIIRNEYEVDDILRSALVDMYSKCRLFDYSTRVFELGLSQDVILWNSMIFGCAYNSKGDYGLELFDEMRKQGIRPDSVTFLGALVSCICEGHVGLGRSYFTLMTDEYSIVPRMEHYECMIELLGKHGYMVELEDFVDHMPFEPTTAMWLRIFDCCREYGNKKLGERAAQRINESKPLTPVRFVEPSPDYECSGSDDVDDSMSFC >KQK98809 pep chromosome:Setaria_italica_v2.0:VII:29317658:29320168:-1 gene:SETIT_009978mg transcript:KQK98809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRFPSHQLSNGLYVSGRPEQPKEKAPTICSNVMPYTGGDIKKSGELGKMFDLHVEKSRKSGPLGNAPSRNTSFGGAASNSGPVSNSGGRSNYSGSLSSSVPGAGGSARAKSNSGPLNKHGEPTKRSSGPQSGGVTPMARQNSGPLPPVLPTTGLITSGPISSGPLNPSGAPRKVSGPLDSASSMKMRATSFAHNQAVTNLNTEDGYTIQGSFPKPILWAVILLFVMGFIAGGFILGAVHNAILLIVVVVIFGFVAALLIWNACWGRRGAIGFVNRYPDADLRTAKDGQYVKVTGVVTCGNFPLESSFQRVPRCVYTSTSLYEYRGWDSKAANTEHRRFTWGLRSMERHAVDFYISDFQSGLRALVKTGYGARVTPYVDESVIIDINPDNKDMSPEFLRWLRERNLSSDDRIMRLKEGYIKEGSTVSVMGVVQRNDNVLMIVAPSEPISTGCQWAKCVLPTNIDGLVLRCEDTSNIDVIPV >KQK97097 pep chromosome:Setaria_italica_v2.0:VII:19060988:19062503:-1 gene:SETIT_010622mg transcript:KQK97097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPAFVVAAAAAVLLCGALARAQDMDKEWARPPYRGFFGAPGSMLPQSDVDLLEFPLNLEYLETEFFCWSALGYGLDAIDANLTGGGPPSIGGQSASLTPFIRDIATQFCYQEVGHLRAIKQTVRGFPRPLLDISAANFGKVIEQALNATLDPPFNPYENSVNFLIASYIIPYVGLTGYVGANPKLFTPQARKLLAGLLGVESAQDAVIRTLLYERGMTQVSSYGVGVAEVTAHISDLRNDLGRRGVKDEGLVVAPGQGPEGLTVGNVIAGDHLSLAYDRTPEEILGIVYGTGNPAQHGGFFPQGADGRIARGLLGV >KQK97238 pep chromosome:Setaria_italica_v2.0:VII:19875909:19876665:1 gene:SETIT_012541mg transcript:KQK97238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAEPSSLAAAETTRWGHRSTPQPPQPMASQRPTTRIATTSSATLTPPRPAPMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KQK98034 pep chromosome:Setaria_italica_v2.0:VII:24631969:24636863:-1 gene:SETIT_009458mg transcript:KQK98034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGAASRCTRAAARRLSSSAAEAEAVAASPAAVGARRKPSLDEGDWSYHPEWWGEEDGPGEGAQTVFRRHSECGNGVVSVSAYPASRPATEHWPAKERWLQERNARLYPESAGADQFKILGYQWRVMRFNDHTRQSTAKVMTCYRTSGQRSLFLMQQPHVLAVPYVKSMVSAGLTTLPCSAYDLPEAASGQDNMKILCIGHGGGSLPLFLASKFRGASVHIVEIDPVVVSASIEAMGFPMSSVKGLSSESMLPADADDLLWGGIHDRISLHIADAEDFIVSDSNQYDLVFIDAYDGDDIFPRKLWDVDGTFMKNLEKKVHPDHGTVVVNLHSDSELPASDAESVDQFQSILPMGRQVSQVCQAYKQHFGLAFTAAVPWLCNITLVACRDKAITSGVRLGLSRRDFVLGKLLSKSDMVERALGLPFPCLPSDLEDEAASPPPPSRSEKARSRRMLLRSSSTPFLHPFLSSFPSSPSSLQLRRAFSDSHIPSLHRLSSTTPSGASKPTSGGLHTELPFSIYNTFGEHGGAEPLASQEEPRHDEPELLLQQRTSQPDHPEVPLFLARGLGIDRIASGFFTAGTDKTKQGAGAKMEGVEERLAPQDEAVAALDAQYKRMVDEQPGNALFLRNYAQFLHEVKGDPRRAEEYYSRAMLADPSDGEIKSQYAKLIWEVHRDQERSLGYFQKSVQAAPQNSHVLAAYASFLWEQDDDDLGQEEQGAGGAGAPDQRAAHQAGQVRELASATV >KQK99106 pep chromosome:Setaria_italica_v2.0:VII:31024158:31024412:-1 gene:SETIT_012094mg transcript:KQK99106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIPRTAKANAPSFAQAKKLAPAAVAERRRADKAEEGMRTVMYLSCWGPN >KQK97559 pep chromosome:Setaria_italica_v2.0:VII:21894463:21896331:1 gene:SETIT_010261mg transcript:KQK97559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMLSATTAPLQGAGLSEFSGLRSSASLPLRRNATSDDFVNAVSFRTHAVGTSGGPRRAPTEAKLKVAINGFGRIGRNFLRCWHGRDDSPLEVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSDRNPSNLPWGEMGVDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVCGVNADQYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPNLKGKLNGIALRVPTPNVSVVDLVVQVSKKTLAEEVNQAFRDSAANELAGILEVCDAPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >KQK97381 pep chromosome:Setaria_italica_v2.0:VII:20915636:20921452:1 gene:SETIT_009980mg transcript:KQK97381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAATRHPSPTVLASTPRHRLRLHRRGYPPSKLGYQTSRLKVNALFGWLRGDTAMRELIPPAESYTLSGSASEVGAEPREVSISVASSIMDIPAADWDACACDPADPEKFNPFLTHAFLSSLEESGSAVKETGWLPFHVVARDETGHIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRSTPYRDQVFDALIKGLKSLTTKMNVSSLHITFPSEGEFSKLKDNGLLQRIGLQYHWRNRNYKSFDEFLMDLKQPKRKNIRQERKKIPAQSLQMKRLRGDEIKSSHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKMGGNVMLVVAENDDKVVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQILVLGLLLEIFLHVRRLRLNMLLRSSMIRVHTRKTF >KQK97379 pep chromosome:Setaria_italica_v2.0:VII:20915636:20921452:1 gene:SETIT_009980mg transcript:KQK97379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAATRHPSPTVLASTPRHRLRLHRRGYPPSKLGYQTSRLKVNALFGWLRGDTAMRELIPPAESYTLSGSASEVGAEPREVSISVASSIMDIPAADWDACACDPADPEKFNPFLTHAFLSSLEESGSAVKETGWLPFHVVARDETGHIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRSTPYRDQVFDALIKGLKSLTTKMNVSSLHITFPSEGEFSKLKDNGLLQRIGLQYHWRNRNYKSFDEFLMDLKQPKRKNIRQERKKIPAQSLQMKRLRGDEIKSSHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKMGGNVMLVVAENDDKVVAGALNLIGDPGFGAAIGNFLARETAQVKHVIKVLHDSGPYKENILKEFAPQQDDEM >KQK97380 pep chromosome:Setaria_italica_v2.0:VII:20915636:20921452:1 gene:SETIT_009980mg transcript:KQK97380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAAATRHPSPTVLASTPRHRLRLHRRGYPPSKLGYQTSRLKVNALFGWLRGDTAMRELIPPAESYTLSGSASEVGAEPREVSISVASSIMDIPAADWDACACDPADPEKFNPFLTHAFLSSLEESGSAVKETGWLPFHVVARDETGHIIGVVPLYLKSHSRGEFVFDQSWAEAYYSYGLEYYPKLQSCVPFTPVTGQRILLRSTPYRDQVFDALIKGLKSLTTKMNVSSLHITFPSEGEFSKLKDNGLLQRIGLQYHWRNRNYKSFDEFLMDLKQPKRKNIRQERKKIPAQSLQMKRLRGDEIKSSHWDTFYKFYRNTTDNHWGRPYLTREFFHLLGEKMGGNVMLVVAENDDKVVAGALNLIGGDTLFGRLWGCLPDAYFPNLHFEACYYQAIEAAIELNLSKVEAGAQGEHKIQRGYLPVTTYSCHYFSDPGFGAAIGNFLARETAQVKHVIKVLHDSGPYKENILKEFAPQQDDEM >KQK98115 pep chromosome:Setaria_italica_v2.0:VII:25037649:25040471:-1 gene:SETIT_009509mg transcript:KQK98115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNTAILESDPLNWGKAAAEMAGSHLDEVKRMVAQFREPLVKIEGSSLRVGQVAAVAAAKDASGVAVELDEDARPRVKASSEWILDCIAHGGDIYGVTTGFGGTSHRRTKDGPALQVELLRHLNAGIFGNGSDGHTLPSEVSRAAMLVRINTLLQGYSGIRFEILEAITKLINTGVSPCLPLRGTITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAIVNGTSVGSALGAMVCFDANVLAVLSEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGSIEAAAIMEHILEGSSFMKHAKKVNELDPLLKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREVNSVNDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAIANIGKLMFAQFSELVNEFYNNGLTSNLAGSRNPSLDYGFKGTEIAMASYCSELQYLGNPITNHVQSAEQHNQDVNSLGLVSARKTAEAIDILKLMSSTYMVALCQAIDLRHLEENIKTSVKNTVTQVAKKVLTMNPVGELSSARFSEKDIITAIDREGVFTYAEDAASASLPLMQKLRAVLVDHALSSGDAEREPSVFSKITKFEEELRAVLPREVEAARVAVAEGTAPVANRIKDSRSFPVYRFVREELGCVFLTGEKLKSPGEECNKVFIGISQGKLIDPMLECLKEWDGKPLPIC >KQK96305 pep chromosome:Setaria_italica_v2.0:VII:3243858:3245743:1 gene:SETIT_010274mg transcript:KQK96305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGLMSRRPSSPPPAAALLPDNDDILREILLRLPPLPSSLPRASLVCKHWRRLLSDPRFLRRFRAFHRRNPPLLGIFNTAFYGLLQFTPTLDPPDRIPSARLSLQVGHGDEIWDFLGCRHGLALILNQTRLELTVWDPLAGDQRRVPVPPGWFRNEGAVYNAALICNNHLAGGVPLEAFKVVLLRGLLDADHPQLFASIYESETGVWGDAISIAIGVPVTLVNPSVLTKDSLCWLVQEYDTGSDGNHILEFDLDRQALAVFGAPVGTEESCLELVRMEDNKLGLAALLDDVTIQLWERKAGSDGVARWMLQKSIKLDKLLSVGSPIVGTLIHGYDENGHVIFISTSLDVFMIQLKTMQFRHLFKAMFITSYHPYTGFYTTGRGIGSGDVGAESLNST >KQK97002 pep chromosome:Setaria_italica_v2.0:VII:18135019:18135884:1 gene:SETIT_012191mg transcript:KQK97002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPAFSRYLQMQNPDGKDTTFPDACPSLKTSQSRATMKGPMPGVDLRFYHSSIRPAGLPLGSDVSSAFHPIHDLQIDNSPRELTLPVAQEATWEACSPSQTQQNSSVHKKCLPAFVNNVTSESYVWSMQSKSKARVQSLACEFQETDSPVMKIKVCKYYSPPTPWQLGQKEEPTQNSALEFYQWMTTLQPRPAITAPWLMHFKPKFIELAPDDIHGQITAQAEFDVDFMDICIRRIKQMDGALYGAHVDSRWRHLMESDFM >KQK96780 pep chromosome:Setaria_italica_v2.0:VII:15474904:15476223:-1 gene:SETIT_012553mg transcript:KQK96780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGGLLCWLLVLEVCIAQQSLISISQLTAGGQIGDDDLLFQDPIRLMSIHGRDELGGHSADSIFDDWVDTGDEYASINRGVPVAGGRVGDEEEEGLRPPYGDDDGGDSGGTKGSSGSGGVDVECPECGKFFKNDKSMFGHLRSHPNRGYKGAIPPVKKLKQSPGTTAAASASSSSQGTDRTPAQRSSRDPQLTPLEILCAYVLLTLKYRGHTTQQVPQPPSSSFGKLDAIGQAEGGTEGSVSRNAAAELKCNAGAEARKLENCDEHGYSILKISKKRRNMPKDVREAHRKKARLVPTLKEKRPYACKHCKAEFPTNQALGGHVAGHHREKKLPSRLNDPSAVTTVSQNGKHQVKDGDDDDDKNLSLRRGLLSEQFSMALDVPWQSGHQASGGKMRHHYERRNGDLSMAVAAPTPTPIDDGGAGRPWNIDLNVEAPEQE >KQK98536 pep chromosome:Setaria_italica_v2.0:VII:27790758:27792218:1 gene:SETIT_011774mg transcript:KQK98536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIFLSAVLGELASRSINFFISKSSKPEVLDVEDSMQRALLRAQVIIDEATGRHITNQAMVQQLNMLRDAMYQGCYILDTFRYQSHDEKDAKDQVRKTRILEQLEDALGSLSAMIIDVKELVLFLKSYPHLYRQPCSMQILLGKCMFGRQMEAELVLSFLLRAQPNGAEELEVLPVVGPSKVGKSTLVAHVCNDERVHDHFSEIMFLSNHDFNAEKLTYLRGGCVKKCQNSTSSKYGRMLVVVEVAGDFNEDEWKKLYAASKRCMTSGSKIIITSRSDKIIKLGTARPVTLKYMSHEAYWYFFKTLEPRMMKGSFFHAMCAICLLRDSFDIHFWCKVVTFLRRLDKWHVSKFGEHLSHALDQNKPAHFWSMARTSEEIVVCHQYECSSQEEVPKIALASVMYGSVKPPGRFEGLVWRSPIPPYYDYIHTCEVQDLKTTAAKRKRS >KQK98864 pep chromosome:Setaria_italica_v2.0:VII:29659671:29661188:1 gene:SETIT_011373mg transcript:KQK98864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSLRSKREKRLRTLRREIAEPFYDKKEAAKLAAQAAALEAPPLPVRGPPPSQDAGSSRADNSASAMDVEMSDGGNSRSKSLLKPLGSISKKKVQLHLKIKKDKRKARKKGKFSFKK >KQK97408 pep chromosome:Setaria_italica_v2.0:VII:21074009:21077334:-1 gene:SETIT_012802mg transcript:KQK97408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRMAPPSPPMSMKPPTLPFSPPKKPPPMPIYKDLHFNRDLSATKKLQAGVDLVARLVGVTLGPKGRNVVLGNKYGPPKIVNDGETVLKEIELEDPLENLGVKLVRQAGARTNDIAGDGCTTSIILARGLIAEGMKVLAAGINPVQVARGIEKTAAALVSELKLMSREIEDHELAHVAAVSAGNDYAVGNMISDAFQRVGRKGMVRIENGRGTENSLDVVEGMQFERGYLSPYFVTDRSNMTVEFTDCKILMVDKKITDASEIIRILDSAVKENYPLLIIAEDVEEAAMADLIKNKLKGTIKVAAVKAFSFGEQKTQCLDDIAVMTGGTVVRDDMGYSLEKAGKEVLGSAAKVVIRKDSTLIVTDGSTHHAVEKRVALIKGQIENSKERYNKKILGERIARLCGGIAIIQVGAQTVIEMKDKKLRIEDALNATRAAIEEGVVVGGGCSLLRLSKKIDAIKDSSLDNMEQKIGADIFKKALSYPTSLIANNAGVNGDFVIEKVLLNDTTNYGYNAAKNRYEDLMAAGILDPSKVVRCCIEHAAVVAKSFLTSDVVVVEAKESKPIRIRPPMPPRSLIPPMPASVSGIRV >KQL00008 pep chromosome:Setaria_italica_v2.0:VII:35741661:35747228:1 gene:SETIT_009231mg transcript:KQL00008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKRGVDAGEVQDLHNKAPRPAAPSPDQDKEELLGEMAARAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVALHDDGKVDLWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISIEKAVEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTSYGTYIRGGIVTQVKPPKVLKFKTLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRSELLRFPIAGSADDAKKLIDFAISINESLGDSKLEEIDKKLLQHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPSDLKPGNSRYDAQISVFGAKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCSENGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMTINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLDRDKCETFQDCITWARLKFEDYFANRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLELSSADPSHLNFLLAASILRAETFGIPIPDWAKNPEKLAEAVDKVIVPDFQPRQGVKIETDEKATSLSSASVDDAAVIEELIAKLESISKTLPPGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGRKVEDYRNTFANLAIPLFSIAEPVPPKTIKHQDMSWTVWDRWTITGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSVYFR >KQK96966 pep chromosome:Setaria_italica_v2.0:VII:17792781:17793128:-1 gene:SETIT_012311mg transcript:KQK96966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GREFENAEKASRFGREPAAEGSGDRREGGREGKGGISRQPAAVDRPMADWGPVIVATALFVVLTPGLLCTLPGRGRVAEFGSMHTSPIAIIVHAILYFALITIFLIAIGIHIYAG >KQK98445 pep chromosome:Setaria_italica_v2.0:VII:27056637:27059382:-1 gene:SETIT_009791mg transcript:KQK98445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCFTKTYEHEIPITVDTPRRPSQPQYRPPHERKTRDVPLSSGSRWPSRTQTQARRPPFPSSGSRMGGASRRAPSGEVGPVLQRPMVDVRTLFHLERKLGSGQFGTTYLCTERATGLKYACKSVSKRKLVRRADVEDMRREITILQHLSGQPNVAEFKGAFEDADSVHLVMELCTGGELFDRITAKGSYSERQAAAVCRDVLTVVHVCHFMGVMHRDLKPENFLLASPADDAPLKAIDFGLSVFIEEGKVYKDIVGSAYYVAPEVLRRNYGKEIDVWSAGVILYILLCGTPPFWAETEKGIFDAILVGQLDLSSAPWPSISESAKDLIRKMLHRDPQRRITAAQALEHPWLKDAPDRPIDSAVLSRMKQFKAMNKLKQLALKVIAENLSPEEIKGLKQMFNNMDTDKSGTITVEELKEGLTKLGSKISEAEVQKLMEAVDVDKSGSIDYTEFLTAMMNKHKLEKEEDLIRAFQYFDKDDSGYITRDELEQAMAEYGMGDEASIKQVLDEVDKDKDGNIDYEEFVEMMRKGSYT >KQK98586 pep chromosome:Setaria_italica_v2.0:VII:28103521:28104163:-1 gene:SETIT_012456mg transcript:KQK98586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPASIDPCSDHSYELPLRRNLLLLLDLLGLLRFVAAVLLDRLGVVSCEGGGVQLPGRTWGRGGVRADYDDAAVERFIEAMLWAPRSPVTGTTRARTAALYRRRRRVAQPADDKDGGEGAAVCAICLAGLGAGGCETVVELGVCSHAFHAACIDAWAGTGEAATCPLCRAPMSLPTAWDDGRQGSCHASSPRNGCLNTYVDR >KQK98265 pep chromosome:Setaria_italica_v2.0:VII:25933756:25934457:1 gene:SETIT_011463mg transcript:KQK98265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGTLTGSSALLQRNASVSMPPLDATRLKAIKAAMAQRELSLSDMGGGQIEPVGGAKEGITGLGGGGSPSPSIFAGDLAGGGQGDQRRR >KQK99382 pep chromosome:Setaria_italica_v2.0:VII:32673234:32676496:1 gene:SETIT_010507mg transcript:KQK99382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERGRLPRRPIDDRRGYHEVRLVHPRGYPEVHDIRAVDERRGYPDNRLVDERRGYPGVRLIDDHRGYPATRADDRRAYPDIHEGPRMRGAPHPHPHPHPHPAVLEEELELQEVELRRLLADNRALAEERADLNRELQAGKDEVRHLNVIISDITAEKEAYISKLVDKKRKLEAELRANEHLRDEIMQLRGEIEKLIATRKELSAEAASLMEDLTREKSVKHQLPMLKEELDGLQLELIHVRTACELERKGNFELVEQRKAMEKNMISMAQEIEQMRAELAKFEVRPWGTGGAYGMQMGSPEVAFTKPYEDSYNIHAGVSEKGPLHPPESSSWGTYDKNRLQYR >KQK99381 pep chromosome:Setaria_italica_v2.0:VII:32673234:32676379:1 gene:SETIT_010507mg transcript:KQK99381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSERGRLPRRPIDDRRGYHEVRLVHPRGGYPGVRLIDDHRGYPATRADDRRAYPDIHEGPRMRGAPHPHPHPHPHPAVLEEELELQEVELRRLLADNRALAEERADLNRELQAGKDEVRHLNVIISDITAEKEAYISKLVDKKRKLEAELRANEHLRDEIMQLRGEIEKLIATRKELSAEAASLMEDLTREKSVKHQLPMLKEELDGLQLELIHVRTACELERKGNFELVEQRKAMEKNMISMAQEIEQMRAELAKFEVRPWGTGGAYGMQMGSPEVAFTKPYEDSYNIHAGVSEKGPLHPPESSSWGTYDKNRLQYR >KQK97579 pep chromosome:Setaria_italica_v2.0:VII:21990062:21991683:1 gene:SETIT_011158mg transcript:KQK97579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPMAVHPATTPALAPRARVAPPRPSTSLAAASSSSCSRIVGVKTRRLPLRSLRSVAAAAAADAVEEEEVQLGGAADALYEEEAEEYEVKVPERQDPMLVLKFIWMEKNIGIALDQLVPGYGSIPLSPYYFWPRKDAWEELRAKLEEKEWISQKQMIILLNQATDIINLWQQGGGSLST >KQK98203 pep chromosome:Setaria_italica_v2.0:VII:25646477:25653637:1 gene:SETIT_009341mg transcript:KQK98203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKSVVYYGNIPVGEAELWPKGETDLAWAREIRVDRLSPPSERCPPLAVLHAVAAGARCLVMESRPTSTADEPPPPLVAMHTACLSGNKTAVFPLGAEEIHLVAMTSKRNLPNHACFWGYKVPLGLYNSCLTMLNLRCLGIVFDLDETLVVANTSRSFEDKIDAVQRKLSNETDPQRISGMLAEIKRYQDDKSILKQYIESDQVIDGGEVYKAQSEVIPPLADNHQQPMTRPIIRLQEKHIILTRINPSIRDTSVLVRLRPAWDDLRNYLIARGRKRFEVYVCTMAERDYALEMWRLLDPDSKLINSVQLLDRLVCVKSGSRKSLLNVFHDGSCHPRMALVIDDRLKVWNEKDQHRVHVVPAFAPYYAPQAEANFPIPVLCVARNVACNVRGGFFKEFDEGILPQISEVRYEDEMDGIPSAPDVSNYLISEDENSAIININKDPHAIDGMADAEVEKRMKEASSCFQATNPITTDIDVMSVAAKQHFVTPTSSSTPIAAPPGIIMPLNNEHLPQPPSFSWPVTLSGLVDPSQGSPAREEGEVPESELDPDTRRRLLILQHGQDTREAAQPFPDRPPAQVSVPPVQSHGNWLSLEDEMNPRNLNKASTEFHLESDSVNYDNKQPQHPSYFPDGDNPISADRHSYKNQRYPPRPLHNEDHRMLHNHAPATYRSFSGIQRSRQMESGRYFIQHGGILGVLEEIAVKCGFKVEYRSTLCDTTDLQFSIEVWIFGEKIGEGFGKTRKEAQCQAADTSLRNLADKFLSWDPDKMTVAKENGFNSNPNSHRYPGSNRDDMLPAASTSDESRYTNDRIDNLRKPGGSVAALKELCKVEGYNLAFQDQPSIDGSAGKEVCAQVSTSSG >KQK96215 pep chromosome:Setaria_italica_v2.0:VII:1841411:1842007:1 gene:SETIT_011990mg transcript:KQK96215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLRRRNPDVHVKALEGIVSANTFFTVAVFIGITGTITPSAAIPPACVAGDDIARNFFLFEILSFGFYLLSSLVAQGMKLAVTLLAADDFYGDGDQKPPPSDDCEEMPAWRAAGPRERRRAVLRFAQPMMLLAAGCSIMGTFFLLLSMVDAIQLKFGIVSCGTPLAVGATFGLSALVVGGLIFYGSTVAYALTHYLP >KQK96524 pep chromosome:Setaria_italica_v2.0:VII:10939395:10940602:-1 gene:SETIT_011557mg transcript:KQK96524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPAVVNFQERRGSKEERERIEGGEEEVWRVLELSERRQVSLRLRQVHDEPLSCLSTIFLSYCSLIQCL >KQK99494 pep chromosome:Setaria_italica_v2.0:VII:33142638:33143482:1 gene:SETIT_011154mg transcript:KQK99494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAMAAAAAATLLLPLLLSAMITASVAAAGEQETLIKVYWHDVVSGPDPTVVKVARAATTNASKTLFGSVMVIDDPLTEGPDLGSSRLVGRAQGTYVSAGKDVPELAMAMTFVFQGGSRYNGSSVAIMGRNEVGADVREMAVVGGTGVFRWARGYAQARTHAFNLSTGDATVEYSLFVRH >KQK96240 pep chromosome:Setaria_italica_v2.0:VII:2254483:2259714:1 gene:SETIT_010082mg transcript:KQK96240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRKKGAARSADGDHANLKTSRASRRSTQPPVAEKKVTDLITSSSRKQKPVGVTSKKHSKGGRKLLAACDSADAENDAPQVAPSIPADQQHSDGGGADDRPNNSIFSPTYHHHKDGGLNNLSKAGSLEDQTAPVHGCNEATQKSGSNIARNTCDGASDHSCTLNLQSTGQSKLLEVDEYSELGNLSSEVSAIYLAMQQSKLECIDEQSQDSTSTEGYCDAEETEEYDEFDPYSFIKDLPDLSMVVPKFRPVLLPKQTRSCPTTTLVLDLDETLVHSTLEHCEDADFTFPVHFNFREHTIYVRCRPYLKEFLDRVATLFETIIFTASQSIYAEQLLNVLDPKRKLFRHRVYRESCVYVEGNYLKDLTVLGRDLTRVMIVDNSPQAFGFQLDNGIPIESWFDDPNDTELLKLLPFLESLVGVDDVRPYIARKFNLREKVATAASLTMDMQM >KQK98456 pep chromosome:Setaria_italica_v2.0:VII:27091402:27093060:-1 gene:SETIT_011793mg transcript:KQK98456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRGSLALVVLCSVLVLAPHGAAKKPRLVPAMFVFGDSLVDVGNNNHLAQCNLSCKANYAPYGIDLPCRSPTGRFSNGYNMADQLAQLLGFAKSPPPFLSLSNASVYQRMSTGGINFASGGSGLLPETGFNTCGEVIPMSEQVGNFTSLVRRRSGSGRDRTAADLISKSLIFISVGSNDLFEYADNFTQANVSDPSRNDTEFLQRLIASYTGYVKDLYAAGATKFSVLSPSLVGCCPFQRAVAKKFNGSEQQSGCLGLANNLSRQLHPMIASMLQDLSLELPGMNYSLGDAIEMAEFVFKRPRTRDYNFTTLDLPCCALGEFGEGMCNTWVTLCQNRSSYFFWDRFHPTDAASAITANELFNDTGHFVSPINVQQLVAPGPRP >KQK96645 pep chromosome:Setaria_italica_v2.0:VII:13374730:13377059:1 gene:SETIT_011385mg transcript:KQK96645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMKFCRECNNILYPKEDRDKRTLFFACRNCEHQEVSDYNCVYRNEVHHTAGERTQVLQDVASDPTLPRTKTVRCALCGHGEAVFFQATARGEEGMTLFFVCCSPDCGHRWRE >KQK97720 pep chromosome:Setaria_italica_v2.0:VII:22854820:22855341:-1 gene:SETIT_012149mg transcript:KQK97720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAESKMGAPDVEKQQPLLPVVAGEKVPSSGDTCGCDAFPRASPTATRTMALVVLVAGAAFAAQLAACEEYVLLAVFASQLASFCVFTSLLALCALPEGGGGVRGRRARWAARAAAQVLQWSLAMAVPTSMACWVVQSAPAPVGAGLVGLALAIVLACYAELVRALWPVQGPR >KQK96239 pep chromosome:Setaria_italica_v2.0:VII:2230788:2233913:-1 gene:SETIT_010807mg transcript:KQK96239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGGGGGGEVVVLGRAEIDTRAPFRSVKEAVVLFGERVLAGELSAGRQLSVNQNRAAATRPNHHAVSITAGAVTTATPRPVPPVTAELAVAKQELEKEREEKQKMAGCIQSLQEELSHAMRELKRLKARDDEEAGAAAAAKVIDLEIDEGLSFTEAEKKPAPPRRSADGVAAAAGSELQKKRYVTFADPPTAAASRAPPLPDVVMELHRAPPQPQPHYYREPRFQRQMSAGHEAAKAMAAEEGRKKKKKPLIPLVGALFMRRKKSSGSCHDDSF >KQK96420 pep chromosome:Setaria_italica_v2.0:VII:6562411:6573337:1 gene:SETIT_009785mg transcript:KQK96420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDAHTEAPPHAVPPPEDATVDDWAREDAEPMDSGAAPTDVAAADSAADGPPAPAPEAEGVKDIQSSLQSLELKANVAAQEDAQDVEDEVEETKRHLNVVFIGHVDAGKSTSGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETEHTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYEKGGQTREHVLLAKTLGVAKLVVVINKMDDPTVKWSKERYDEIEAKMVPFLKSSGYNVKKDVQFLPISGLLGSNMKDRMDKSTCSWWDGPCLFEVLDRIEVPLRDPKGPVRMPIIDKYKDMGTVVMGKMESGTIREGDSLLVMPNKSHVKVIGISLDESKVRRAGPAENVRVKLSGIEEEDIMAGFVLSSVGNPVGAVTEFNAQLQILELLDNAIFTAGYKAVLHVHSVVEECEIVELIEEIDMKKKKEADPKKKKPKRKPLFVKNGAVVVCRIQVNNLICVEKFSDFPQLGRFTLRTEGKTVAVGKVVEVPPAGSPTF >KQK96535 pep chromosome:Setaria_italica_v2.0:VII:11144770:11148405:1 gene:SETIT_012155mg transcript:KQK96535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDDEQVDPMEIDCQQKLQVEASTAVPEGFNADYLRVYYGKLFPYGDFFKWLCYGNDGKHPGCDQSYVGRREFSFTLENDIYLRFQSFDSASELESSIKEKCPFKIDIGPVYSVDPAKRHAYAQSGNNVFVPVERELIFDIDISDYDDVRYCCSGADVCLDCWPLMTIAIKILDTSLRDDFGFNHILWVYSGRRGVHCWVCDSRARKLSNEQRAAVADYFRVYKGGENALKKVSLAGPVLHPCSYTDVLESFFEDKLLLSQQLFASEDRCQKILDLIPDENVASELHDKWQRNRSTSISKEDVNAARWKQLKTTLQSGKHKGLRRCVEEIVFLYTYPRLDMEVSKHMNHLLKAPFCIHPKTGRVCVPIDPNNCEDFDPTAVPTLSQLLGELNAASFQTDSENNWERTSLEKSIRFFRTSFLQPLLKACKEELESTYNAKLQQSKNSLNW >KQK97156 pep chromosome:Setaria_italica_v2.0:VII:19310789:19314231:-1 gene:SETIT_010641mg transcript:KQK97156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSAPPRTVICVGDVHGYIAKLESLWSNLQSALPADAFATALVIFLGDYNDRGPHTREVLDFLLALPGRHPAQRHVFLCGNHDLAFAAFVGVLPPPPDGSPFSATWDEYIHNEEHEGWFRGPGYEGMHVQGRRWGGVIKERWNPKKGLPYRGSIYDAQPTFESYGVAHGSPDLAKAVPEDHKMFLHDLVWIHEEENVPIDTDEGQIICNLIAVHAGLEKSIDLNEQLRVLRTRDTRVPKVPMLSGRQDVWNTPKDCLDFH >KQK97157 pep chromosome:Setaria_italica_v2.0:VII:19311258:19314161:-1 gene:SETIT_010641mg transcript:KQK97157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSAPPRTVICVGDVHGYIAKLESLWSNLQSALPADAFATALVIFLGDYNDRGPHTREVLDFLLALPGRHPAQRHVFLCGNHDLAFAAFVGVLPPPPDGSPFSATWDEYIHNEEHEGWFRGPGYEGMHVQGRRWGGVIKERWNPKKGLPYRGSIYDAQPTFESYGVAHGSPDLAKAVPEDHKMFLHDLVWIHEEENVPIDTDEGQIICNLIAVHAGLEKSIDLNEQLRVLRTRDTRVPKVPMLSGRQDVWNTPK >KQK97155 pep chromosome:Setaria_italica_v2.0:VII:19310789:19314231:-1 gene:SETIT_010641mg transcript:KQK97155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSAPPRTVICVGDVHGYIAKLESLWSNLQSALPADAFATALVIFLGDYNDRGPHTREVLDFLLALPGRHPAQRHVFLCGNHDLAFAAFVGVLPPPPDGSPFSATWDEYIHNEEHEGWFRGPGYEGMHVQGRRWGGVIKERWNPKKGLPYRGSIYDAQPTFESYGVAHGSPDLAKAVPEDHKMFLHDLVWIHEEENVPIDTDEGQIICNLIAVHAGLEKSIDLNEQLRVLRTRDTRVPKVPMLSGRQDVWNTPKDLTGKHTIVVSGHHGQLHIDGLRFIIDEGGGYEDKPIAAIVFPSKTLIRSTEGAASQN >KQL00041 pep chromosome:Setaria_italica_v2.0:VII:35899281:35902302:-1 gene:SETIT_009451mg transcript:KQL00041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLFYDLSLLPSSSSSIGGGGDDSSNPSSSRLQLLAATARALELGYAAVALDRTHRGLLADSHRCRTELFPPLSSLPLPPSAALHRRRLASPASEPFRQYTRITLSLDSAAAAASALAPSAARLLRTYDLVAARPLTQAAFDHLCQTPLSAQHLDLISIDFSSHSKLPFRIKLPMLKLALQKGLHFEIAYSPLISTDINAKRNLLAEVKVNQYCFSEHFATIYSTCTYIIMHSTNFQLLVDWTKGKNLIISSAAHTASQIRGPYDVINLSAYLLGLPINRAKAAISTNCRSLVLKALRKKHFYKETIRVNRLLPNEQLTSTEFKLVDWIAGISVSSEGGLNQLESSSNFDERPGSPICGVMEGLHEEPHDFDVSVFAKLSEQSGDHEQIPSQTQEETLQIDRTEVLLDCGQSILPASSYYQNAVLAKTGDSKVVPNPFMQAGPGSSAKHVEFVQDAMEVDATESRRLNLIVGDSIPSTSGISAKLSCSALIHGVELSGTSLEDQGPCQSSEILANDKSYTKYHTDCAGGEREKTIVDHEIPSGSVVCPEDKDLDQSTAMQVDAETCRGTSELVEWPPSGIDDEAPLDLAFYSSHKLHSNVIIQREVMEGKIEQSMDENVERTAENETESIDKITRTSVSMEPAFHGQEISLTSYKRSTDASCESDELKEQNSEETNASLEKSVAKTHELLPKFSYPSGKVEMSTIRSEKRRRKLRPHHPAYLPFLGFLRSLHFKQKICKVRRLNG >KQK99414 pep chromosome:Setaria_italica_v2.0:VII:32828161:32832338:-1 gene:SETIT_009858mg transcript:KQK99414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTTTSASPAAAAHRRRSRRKAPPPRQPWCCSFGLDPSTAAAAAANRSPLPAPPRAKPSHQLAPPLSRRIRSPGRVSPIDDPSFAATAGSCVSARLSSVTECPPPALPPPPPPPPPPAAAVEKPRATLRLRLVEKGVVLEVDEVERVRRESKVVRKVLGGRGGEVAVEGKVEVESFREAVEMMLEDEDETAAMRRLARGGVARAIGVLEVSLSLLFDRGVNNCLMYLEAVPWNESEEDIIKQLLSQHSSYEAAFRNLLARLQPQRPTSSAELVVELVDSITKGTNNNARKELRNLVNGILSKSSVYIKGDKELDKRSIYCICHSCLNSLVGLFEESSDLVHADERSISSVGKGPLERIYKLVEDINWLLQILIDRQMGEEFVDVWANKKTLSSMQERVSPMIRHELSRISATIFIAMGSGKLHCTGDKRFSFFQAWFRPMLVDFGWLRRYPKGLNVTTLEEGIGQALLTLTLAQQQVLFMEWFEAFSGQGRECPNLMRAFQVWWRRSFVRSLGSSS >KQK96478 pep chromosome:Setaria_italica_v2.0:VII:9205394:9205669:1 gene:SETIT_011863mg transcript:KQK96478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVTRVDRMKREVEETAFWTEVERAHHTATWSKILVAQTSVGKQNCWEVDVEVEALGADKLPVFVKVLEVLKIDFQCHLDAMDSSRKENRQP >KQK96270 pep chromosome:Setaria_italica_v2.0:VII:2813452:2814636:-1 gene:SETIT_011696mg transcript:KQK96270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATRSHPDLLASSSPGPGEVVADHQALPYIPDDLILAILRRLPAKSVLRFRCVSKAWLAMLSSRSFVDAHLEFSAAARPTMLIVPGKYHLLDPRRLRTAFWMGFYKYTSGGADTELLHGERFPGGVASWFKPMHCDGLILVYTRHQELMVCNPATREFVQLLRETERDCLSCLHDAVGFGRDPRSNTYKVVRLFYSSEHGGGLVNVFSCRAEVLTLGTNQQWRRVATPPRLFNPHPAPVHVSGFIYWSDVSDPNNLVLLRFNLATETFAATPYPPCKLPGHACLAEWEGELCCFWVPAPAELVEIWTCDGKADAPTWTRRISVRLPTDTITPGLAYAQGPPTVTFHGKDMLLRGCRKLYRYSVETEEMKRVAVAVEDLKYDRPVDSDYLQKIS >KQK98610 pep chromosome:Setaria_italica_v2.0:VII:28233227:28238955:-1 gene:SETIT_009375mg transcript:KQK98610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPDTFVSFASYLNPFAYPLIEEVHADIFSSLEGYAQANFIEVIQMEKLDKENPMFGLEVAKPVKDVKSKEMYEPAEHVSDLTWNKASYVLGSVLKSGEEDIFPPNCCIVQLSSAVPVEADPQTKMPKGPLFVVFLINMKTYNRIWKCLHMGSTDANLDEFSNKRSSDLCQYGVAKAVEDASSLCFQLSQSSCHGSVNGLDLEQFNLNDSQLNADCVVAMDNHSSIAPPLPLPPPPPPPPRIGGTKTISTILWAMLVKGRKTLCMMKIENGNILSAVFLDSRAKRLLPCFMPNTGWRHCLCSLIDLLENSATKRALRRITMSKIARSLNTRVMSDNEEWYDSGDVEQTLMVPPFKHYLKDDYNKLSENLYDCIDILYNDHPRNSETGRSFQCMLEVLELIKIIYGLINSNNDDGDLWSDELLESKIEDNGNPDIWPEQLASVRINSCNKLKFSLARSLCVQELRYLCMNLELPNYYSERPVQQYLLARTKCILCTVSSSFRLYNVPMGNSSSNICGLLLIVDEAAQLKECEILIPLQLPGLKQAVFIGDEYQLPALVKSKISDNTNFGRSVFERLDMFGSSSGYSKHLLNVQYRMHPEISKFPVATFYDGKISDGPNVTHKNYSKSLKNTIEAAAVGRIVQRLFKESVSTGSKASVGVVSPYNAQVRAIQEKLGKTYSRYDGFSVKVKSVDGFQGAEVDIIIIPTVRSNGAGSVGFVTNLQRTNVALTRAKHCLWIVGNGTTLSSSSSVWQKIIKDAQDRGCFFDVNDDKDLSNAVVKAIIELGDAENSLKMESLHISRARFQLCYCS >KQK96351 pep chromosome:Setaria_italica_v2.0:VII:3983335:3983698:1 gene:SETIT_012992mg transcript:KQK96351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQLMIHKENKTKKRTDTHDTLKEELMITLSNHCISHCWIYIHVSAQ >KQK97206 pep chromosome:Setaria_italica_v2.0:VII:19592149:19593353:1 gene:SETIT_011260mg transcript:KQK97206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSAVSGLAGAALASRPAFSTSFVRGGRVSARNPLMTRNLERNGRITCMTFPRDWLRRDLSVIGFGLIGWIGPSSVPVINGNSLTGLFFSSIGEELAHFPTPPPVTSQF >KQK97208 pep chromosome:Setaria_italica_v2.0:VII:19592277:19592873:1 gene:SETIT_011260mg transcript:KQK97208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSAVSGLAGAALASRPAFSTSFVRGGRVSARNPLMTRNLERNGRITCMTFPRDWLRRDLSVIGFGLIGWIGPSSVPVINGNSLTGLFFSSIGEELAHFPTPPPVTSQFWSGSRPRAHALPGHCF >KQK97207 pep chromosome:Setaria_italica_v2.0:VII:19592149:19593353:1 gene:SETIT_011260mg transcript:KQK97207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSAVSGLAGAALASRPAFSTSFVRGGRVSARNPLMTRNLERNGRITCMTFPRDWLRRDLSVIGFGLIGWIGPSSVPVINGNSLTGLFFSSIGEELAHFPTPPPVTSQFWLWMVTWHLGLFIVLTFGQIGFKGRTEDYFEK >KQK97957 pep chromosome:Setaria_italica_v2.0:VII:24180947:24183294:-1 gene:SETIT_011215mg transcript:KQK97957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQTEKAFLKQPKVFLCSKKAAKGNKPGKGGNRFWKNIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVLKVIPAGSKSGAVKKAFTAA >KQK99229 pep chromosome:Setaria_italica_v2.0:VII:31656648:31660101:-1 gene:SETIT_009919mg transcript:KQK99229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKGRPPPPLTPQLPSSTCSAPSSSSSSASLLGAAAGMGTPSTTSLAAAALLLLVSLAAAADMSIVSYGERSEEETRRMYAEWMATHGRTYNAIGEEERRYQVFKDNLRYIDAHNAAADAGVHSFRLGLNRFADLTVEEYRDTYLGVMTKPQRERKLSARYLTGENEELPESVDWRAKGAVAEVKDQGSCGSCWAFSTIAAVEGINQIVTGDLISLSEQELVDCDTSYNQGCNGGLMDYAFQFIINNGGIDTEEDYPYKAKDNRCDVNRKNAKVVTIDSYEDVPVNSEKSLQKAVAHQPVSVAIEASGRAFQLYSSGIFTGTCGTALDHGVTAVGYGTENGKDYWIVKNSWGGSWGEKGYIRMERNVKSSSGKCGIAVEPSYPLKKGANPPNPGPTPPSPTPPPTVCDNYYSCPESTTCCCIYEYGKYCFAWGCCPLEGATCCDDHYSCCPHDYPVCNVQQGTCLTSKDSPLSVKALKRTLAKPHWAFSGNSADGMKSSA >KQK99228 pep chromosome:Setaria_italica_v2.0:VII:31656263:31660101:-1 gene:SETIT_009919mg transcript:KQK99228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKGRPPPPLTPQLPSSTCSAPSSSSSSASLLGAAAGMGTPSTTSLAAAALLLLVSLAAAADMSIVSYGERSEEETRRMYAEWMATHGRTYNAIGEEERRYQVFKDNLRYIDAHNAAADAGVHSFRLGLNRFADLTVEEYRDTYLGVMTKPQRERKLSARYLTGENEELPESVDWRAKGAVAEVKDQGSCAVEGINQIVTGDLISLSEQELVDCDTSYNQGCNGGLMDYAFQFIINNGGIDTEEDYPYKAKDNRCDVNRKNAKVVTIDSYEDVPVNSEKSLQKAVAHQPVSVAIEASGRAFQLYSSGIFTGTCGTALDHGVTAVGYGTENGKDYWIVKNSWGGSWGEKGYIRMERNVKSSSGKCGIAVEPSYPLKKGANPPNPGPTPPSPTPPPTVCDNYYSCPESTTCCCIYEYGKYCFAWGCCPLEGATCCDDHYSCCPHDYPVCNVQQGTCLTSKDSPLSVKALKRTLAKPHWAFSGNSADGMKSSA >KQK99230 pep chromosome:Setaria_italica_v2.0:VII:31657856:31660101:-1 gene:SETIT_009919mg transcript:KQK99230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIKGRPPPPLTPQLPSSTCSAPSSSSSSASLLGAAAGMGTPSTTSLAAAALLLLVSLAAAADMSIVSYGERSEEETRRMYAEWMATHGRTYNAIGEEERRYQVFKDNLRYIDAHNAAADAGVHSFRLGLNRFADLTVEEYRDTYLGVMTKPQRERKLSARYLTGENEELPESVDWRAKGAVAEVKDQGSCGSCWAFSTIAAVEGINQIVTGDLISLSEQELVDCDTSYNQGCNGGLMDYAFQFIINNGGIDTEEDYPYKAKDNRCDVNRKNAKVVTIDSYEDVPVNSEKSLQKAVAHQPVSVAIEASGRAFQLYSSVIITNLAVGFICHAVQFRDETMKSSTIWLCLSVGGS >KQK99559 pep chromosome:Setaria_italica_v2.0:VII:33509427:33510185:1 gene:SETIT_012377mg transcript:KQK99559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPSPWLLPLVLAVSVATLLHALAAGAGGDPTAGFEKVELADGDFVVQSPYNVPERQRFRYRDGVRTFWVYKDDKPFNTATHTNPRSEVKIRGHDYSSGVWQFEGYGYVPSGTSGVSVMQIHNQEGAPHSTVLMLHVYDGVLRFYSGAAVEPGIYDRWFRLNVVHDVAASTVAVYVDGQERFRTRVIPSDSYYFKFGVYMQHHDQSACMESRWTNVTLYTKH >KQK96516 pep chromosome:Setaria_italica_v2.0:VII:10741390:10742035:-1 gene:SETIT_011555mg transcript:KQK96516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMKIIGLLVIGIVVINSCTCIPNKIDEDRVHKDVPGKEVRKLTNTDGRAASTGDAIDHVCPLGSYPCR >KQK97920 pep chromosome:Setaria_italica_v2.0:VII:23959562:23960686:1 gene:SETIT_012736mg transcript:KQK97920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADQEQPDWLDLPSDLLRLIGQRSREAVTGLAAFRSVCRTWRAAVGPAPRLLLPRAGSDSGSSKHVLVFPLLRGWSIVVDARDASCHLSHLATGATASLPKLNAVWDGGRIRNLIYVHRPDAETAIRTSAILCFTYLSFTDLLRFAVHNATPDAPAAEGMTIMMYHMMHAYGATGVLFCRPGDAAWTKVAKPARLGGGFFDFAYHDGRMFGMDINGEMAVYDAATLDVLHRVQRPPATRNFVTKMYHDAARLEEFDYVHLVSLPSKLVLIRTSVKSSQPVAFNIFELGSTPDGLAWLKVMDAGNYELFLDGYHTTFWENSANSGTRIYYVHDEHYSIVSSAYCYSMQDNKLEQCIYRPPENSPECSTKPSWFVP >KQK99006 pep chromosome:Setaria_italica_v2.0:VII:30446295:30450194:-1 gene:SETIT_009526mg transcript:KQK99006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRNRSATATAAAAASCAAPAAAAAPSLRTPRRLRRRPVKASASGGGRRSGPATPLLKWDVGGGGGGGEGRKVGGGEEAGAGAREKAREVSVRRLAAGVWRLRPPEAVAGATAAGGAERRVRVGVEHIPRHLQVQLLKQNTLGHHQSMKNEASSPISVLERKSGELHKVQLHATSTTMEKATKWEPEDRKGMESHDAYLIASQLNLLDEQQDTTYVANLQMELQQVRDRVTELETERRSAKKKLDHLFKKLAEEKAAWRNREHEKVRAILEDMKADLDHEKKNRRRLEMINLKLVNELKEAKMSAKQLLQEYETERKARELTEEVCNELAREVEEDKAEIEALKQDSLKLREEVDEERKMLQMAEVWREERVQMKLVDAKLTLDAKYTQLSKLQQDVEAFISACSCANGDITVVEEAENIIQAIKSVRAQDAEFRYEPPAASEDIFSIFEELRPSEEPVIKEIEPCYKNNSAKCESEIQEASPMTDIFLEKKAKVYSNKSPQDESDTEDGSSWETISHEDMQGSSGSPDGSEPSVNNKICDGSISWKSGNDFEYRGNEKLKDDLTDAYLTNVNQPKKKESAISKLWKSSRPKNSEICKKDAVETVNARSSNVRLSVGTYSTVESGIQEIGLSPPSVGQWSSPDSMNIQFNRGFKGCIEYPRTSQKHSLKAKLMEARMESQKVQLRQVLKQKI >KQK96397 pep chromosome:Setaria_italica_v2.0:VII:5442966:5443732:-1 gene:SETIT_012355mg transcript:KQK96397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPHATIWPSLHPSRSERWGRAASGTSQARYILWAILTEQRPRAAVAVLMMFTSRGVLGCAMQLPLPTEFLGSGMDFSFFLFFSGHVAGAVIVAANMRRKSRVALAWLYDALNLLQGACRGHYNIDLAVGVGAGILFDTIARCYFDTKNGGGKNAHCRSCQCHKALLSH >KQK98005 pep chromosome:Setaria_italica_v2.0:VII:24460440:24460907:-1 gene:SETIT_012211mg transcript:KQK98005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWKKLVQFGKGFFEKKEESTSTDMPLGTAIHNIEITHGSGGQLARAAGAVAKLIAKEGKLATLRLQSGEVRLVSQNCLATVGHVGNVGVNQKSLGRAGSKCWLGKRPVVRGVVMNLVDHPHGGGEGKAPIGRKKPTTPWGYPALGRRTRKRKKI >KQK99093 pep chromosome:Setaria_italica_v2.0:VII:30975234:30979253:1 gene:SETIT_009519mg transcript:KQK99093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTCIHLLLSLVLSLLLLSHRAFTAGDTFSKGRNITDNDTLVSADGTFTLGFFSPGVSTKRYVGIWFTVSRDAVCWVANREHPIDGNSGALVISDTGSLVVRDGSGQVAWSSNSTSTSPVEAQLLSSGNLVVRNRGSTTATIMWQSFDFPSNAMLSGMKIGKDFWNGAEWYLTSWRSADDPSPGPYRRALDTTGLPDNVVWQGNAKKYRAGPWNGRWFSGIPEVLTYTNVMEHEMVISPREITYSYIIAKPGGPLTYIVLLDTGVVRRLVWDSDARAWQTYFQGPRDVCDSYRNCGAFGLCNAGAASTSFCSCLNGFSPASPAAWNSRDTSGGCRRNVKLDCGDGATTDGFLLVHAVKLPDAHNVSVDRSISLEECRARCLANCSCLAYAAADIRGGDVPSGCAMWTDDIIDLPYVDHGQDLYLRLAESEIPPLVATGVSYCAGAHSSAPSFPSVEHHRSPAQSVTSSSVPASVLVPSLELLSLKEATGYFSESNIIGRGGFGVVYEGRLPDGRKVAVKRIIQSPQKVDVMSKLKHNNLVQLLHYCKDGNERILVYEYMKNKSLELYISGEDPKLHALLNWERRLEIIRGVAKVIHRDLKPSNILLDDNWRPKIADFGTAKFFVVDQTDPTLQLGFYYFSFLEKVQITPLKGYTAPEYMMERYLTLKCDVYSFGVIMLEIVSGQKNRNTPTLLSDDH >KQK96570 pep chromosome:Setaria_italica_v2.0:VII:12053027:12054805:-1 gene:SETIT_012393mg transcript:KQK96570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFTPPFPLDRDARRRGEDPQEMEALFGRVLSYIYHALPDPPVTADGDLCILFDDDGGGIDRLSLLPDTLLGNIVSRLPIKDAARTAVLSRRWRPIWRATPLVLLDTHLLPGGDDVIPNHLDRASSSAVVAAVSRILDAHPGPFCCVRLTCCYMDEHRAPFARWLKLFAVKGVKELFLINRPWPLEAKTPIPATLFSMAELNTLYLGFWMFPDTTGLLRGAAFPRLRELGLCSVYIDAWDIEFVLSRSPVLEILSFEGLFLPLRLRLVSNSLRCLQVHSCKLDSLTVVDAPRLERLFLRTYESEGLKNRIKIAHAPALLLFGNFELGKDELQIGRTIVKARTGADPSATITSVKTLDVDVRFGIRSDAKMLLIILRCFPNLERLHIHSKNTTESTGRLNIKFWQESGAIKCVLSRIAVMAFHDFRGERNEIAFLKFVIESAPMLRMLMIVYANGYFGSRDEATSKTKALFAGKRANDHCLLAVCENMQADGGLWNFQKGSDFSCRDPFGIVQCSSFGVGHWYV >KQK96958 pep chromosome:Setaria_italica_v2.0:VII:17740483:17747491:1 gene:SETIT_010050mg transcript:KQK96958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVPSRRQLDAAAAAGSGGTGALPTYRAAPQLEVRLEEFELFAIDRLRVLKGISDGLSRGKRPEEMEKLVSELWKTHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLETSESQKKLMTDFQLPYKALPRSEFEVVKDKLSQVARSIGQSANVESVFFKVPFEEVPDLVSSRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWMATIKEQEKDRLTPIVEALSNAYFGPDYSQPKDAVEISLKDIDQLAKSSFPLCMRHMLDKLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNKMGVSGHALEEIMDKVKNRHYQLACTLTFEATHGVSCDSGINHPNQYFSESQRVLRAKNQTVESKSAT >KQK96959 pep chromosome:Setaria_italica_v2.0:VII:17740483:17747243:1 gene:SETIT_010050mg transcript:KQK96959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVPSRRQLDAAAAAGSGGTGALPTYRAAPQLEVRLEEFELFAIDRLRVLKGISDGLSRGKRPEEMEKLVSELWKTHMRHQDPAETLNKDIISHFVLRLVYCRTEELRKWFLSMETTLFRYRFRLETSESQKKLMTDFQLPYKALPRSEFEVVKDKLSQVARSIGQSANVESVFFKVPFEEVPDLVSSRRVFLSKGYAYVAMSQVVSLVVTQFRCNISKALVLTNRKWMATIKEQEKDRLTPIVEALSNAYFGPDYSQPKDAVEISLKDIDQLAKSSFPLCMRHMLDKLRENHHLKHGGRMQFGLFLKGAGLKLEDALAFWRAEFSQKVGSERFDKEYAYSIRHNYGKEGKRTDYTPYSCQKIISATPGVGDHHGCPYRHFGEENLRAALNKMGVSGHALEEIMDKVKNRHYQLACTLTFEATHGVSCDSGINHPNQYFSESQRVLRAKNQTVESKSAT >KQK97700 pep chromosome:Setaria_italica_v2.0:VII:22756802:22758510:-1 gene:SETIT_011176mg transcript:KQK97700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAKPRAQARLAAPAPTWAQRAEALTHILTHPSHSPSLHSQLFLASRVPCPGSAASYPPFLCPGASLLRWALASVFLPRAARLCLPPSSWRSRCPFQLPPPLVPSVAIEPAPERWGEAELAAYAHRRRARRGPLKTRPPMSVVGVVLTTVPSVVIVIAFIREFFWIRPNRV >KQK96309 pep chromosome:Setaria_italica_v2.0:VII:3332293:3333312:-1 gene:SETIT_010802mg transcript:KQK96309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDHGGHPLLHGGQQLQSPAGAATLAYAWDYTPGGAPFDDHDAAAAGGGQLDSCASSTASDLRRALVRALAELDASRAAHQAELRRMESEAARLAALVASAAAERDELRRHCHSLLLLLHHQAQAATAAPPAPTPSLHAGVLGGSGGAAATAAAAAMDEHAPDAAACADDTELEMALARRLPEKGRLVEAVVSAGPLLQTLLLAGPLPRWRHPPPAAPADIPPFNPGRQSPLKTDGSNSFSSASAGSSSPESNCSGGPPPAAAHALPSFHMSPFCM >KQK97601 pep chromosome:Setaria_italica_v2.0:VII:22112121:22112734:1 gene:SETIT_012397mg transcript:KQK97601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSARAAAAAAAPPAQASMPPRNAPHQYFCSDDCEHLPDDAKTPTDKDLESDEAAFNKERDHAEMARRFKIFRYRAKHVHHCNNRLPPDPEEAAIYHRKRREARLLLSRGEEVSSYDEWYLPMELGPFADGGDPVISEHYKQLLKDGAVQCC >KQK97093 pep chromosome:Setaria_italica_v2.0:VII:19034741:19038600:-1 gene:SETIT_009852mg transcript:KQK97093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDEELFYRDNSDHSISSEEEDMLVRSCSNLNVSFGYHCDSYPSFPSENDHENGISPKNIFGTNTMMRSRNGSFTCLSGAAISANFTLANTNICKGLIGEEILPELDSPNSFRKIVSSPSMSRLDSFSTSQGSPESSIFEISKNTWRSSAPTAVSSNFLTNTEVKMAGGAAGEDRVQAVCSEKNGWLICGIYDGFNGRDAADFLAVTLYDNIVYYLYLLENRIKQQNGLYNFSESSLSGVKSELTLAMRIAENEDIKFSETFRAGVLNCLSTAVEQAENDFLCMVEQEMDDRPDLVSVGSCVLVVLLHGTDLCILNLGDSRAVLASMPYAEKGILKATQLTETHSLENPLEYQKLIADHPNDSSVVRGNKIKGKLKVTRAFGVGYLKQKKLNDALMGILRVRDLSSPPYVYTNPHTLSHKVTDDDLFVILGSDGLFDFFTNNEVVRLVYQFMHDNPMGDPAKYLIEQLILKAAREAALTAEELMRIPVGSRRKYHDDVTVIVIILGNGQRTMTASTSL >KQK98174 pep chromosome:Setaria_italica_v2.0:VII:25483483:25484439:1 gene:SETIT_011873mg transcript:KQK98174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSFKQPFLSQDEEKTNAETKTNHQELYQHYANSVSSSPSAEGLSHLILHRHHQGWHGSRMCIAGAMAADACFTARPSDIVVATLPKSGTTWIKSLLYATVHRRKYPADAADHPFNSFGPHECVKFLEYQIYTGKETKDNLDELPDPRLLATHVPFVALPAAVDTMVSLWHFANKLRASEGLEPLPVETAAGLYCYGMSALGPYWDHVLGYWRAHLARPEQVLFFKYEEVWRDPPAHVRRLAAFVGLPFDVEEEENGAMDAIVRLCSFEHMRGLEVAKSGKTEFVVGAVENRSFFRPGGVGD >KQK99217 pep chromosome:Setaria_italica_v2.0:VII:31600236:31600850:-1 gene:SETIT_012052mg transcript:KQK99217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANQFLHLKYLNIDLTDDDDDDDDDDDDETTFRAYGYLSLVSFLDASPVLETFILSIPEHKHGRLKKMQINGFCSAKSMVELACHILENSTALESLTLDSIFSQGKDADDIVRCSGRKTSKCISKSTQMIVEAHKALKVIERYIVERVPSTV >KQK99108 pep chromosome:Setaria_italica_v2.0:VII:31028901:31029405:-1 gene:SETIT_011483mg transcript:KQK99108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSVHRAAKANAPRFVQAKKLAPAAAAAGRSRADKAEEGMRTVMYLSCWGPN >KQK99257 pep chromosome:Setaria_italica_v2.0:VII:31937235:31939136:-1 gene:SETIT_011262mg transcript:KQK99257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPPSQSPAQTPPPPQQQAPGSGGREDMLACVAALEAALLPCLPARELQAVDRSLQSSHQIDVERHARDFMEAAKKLQSYFISLQREDQPTAEDVLRKEITTMEEELKTKSELIAKHKKLIEGWRKELKEQLGKHITELERV >KQK98027 pep chromosome:Setaria_italica_v2.0:VII:24571287:24574007:-1 gene:SETIT_010993mg transcript:KQK98027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAATEEPRLLGSAPGVEDAGEIDGAVVGKQGRPRGDDDKEILRFMDSVDGYLFLMDSLSSALRQGWLDLASARHSMGPSRVSSTLFDHKEQSAATKLQVVDHAGLKPSESKPHFALSKWCLKEECHSTYDVGKQASTEPKLRHRGSATTPDGNPESDATTADSATDAGTSNHVQRARSKALSVFGALVSPKLRTAQISFETALELIVELANSRSNMLASFSQLKE >KQK98028 pep chromosome:Setaria_italica_v2.0:VII:24571713:24573895:-1 gene:SETIT_010993mg transcript:KQK98028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAATEEPRLLGSAPGVEDAGEIDGAVVGKQGRPRGDDDKEILRFMDSVDGYLFLMDSLSSALRQGWLDLASARHSMGPSRVSSTLFDHKEQSAATKLQVVDHAGLKPSESKPHFALSKWCLKEECHSTYDVGKQASTEPKLRHRGSATTPEDGNPESDATTADSATDAGTSNHVQRARSKALSVFGALVSPKLRTAQISFETALELIVELANSRSNMLASFSQLKE >KQK98460 pep chromosome:Setaria_italica_v2.0:VII:27142508:27144812:-1 gene:SETIT_011984mg transcript:KQK98460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTVIVVLAGLALGCGAVDNAGGELVFDVVDHGARGDGITDDTKAFEAAWTAACGAKAPSASMVVPPQRSFLVGPVSFQGPCASERITVQIQGNIVAPPSTAANTWTSVRNNYWLMFSRVAQGDRQRHARRQRPKLVDQDSGDAAMSIAFNLHRRMHIAILESSGVNVWGLNITAPGSSPNTDGVHIEQSQNVQVTNSRICTGDDCISMSSGSRFVTADGIECGPGHGVSIGSLGKNGDTAAVEFIDVKNVHFINTMNGARIKTWEGGQGYAKSISFTNIEFDNVDNPVLIDQFYRDRSVARAVAISNVTYSNLKGTSSRATAVAFDCSDGGSCTDIHVESMNITGPGSSCQEVGGASGVGVGAL >KQK99531 pep chromosome:Setaria_italica_v2.0:VII:33345681:33346181:-1 gene:SETIT_012915mg transcript:KQK99531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIVFAKPGDARWTVVPLLRQLAGRFGLPGGAVRRGVEHVGVPIHCGWRHIHIHQSRGFSV >KQK98184 pep chromosome:Setaria_italica_v2.0:VII:25529224:25532366:1 gene:SETIT_010214mg transcript:KQK98184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASSQKDAAGGPRRRPGSVGDVVVFLPGLRVPRSVDLAQALGGRLDRSIVERLSALRARVVEMAMQESAVALKPRRRAAARHGSSTANLLQALEEYLPVLLGLVKEGSMLRHAVQFTWTNQEDNAEANTLLLPRSYGDGYAPRVSEESRRVTVDVFLKAAGYLDCAIRHVLPQIPPELRRQLPVDLVEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMIKYWQHVQESIPDLPGSDGWGKKHRLFVKWKYVEAKHPYSAAYYFHGLILDEGNTEKSHGMAIAALQASEEFLKESKRVSEAFHATPPTSRSPTPFGTAKYMFDKIPKDASSKVRINQDLYTQEKVIGTPPPLPDFALALTPEDYDLPPLDPLWNKEDRRH >KQK98183 pep chromosome:Setaria_italica_v2.0:VII:25529224:25533503:1 gene:SETIT_010214mg transcript:KQK98183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASSQKDAAGGPRRRPGSVGDVVVFLPGLRVPRSVDLAQALGGRLDRSIVERLSALRARVVEMAMQESAVALKPRRRAAARHGSSTANLLQALEEYLPVLLGLVKEGSMLRHAVQFTWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANTLLLPRSYGDGYAPRVSEESRRVTVDVFLKAAGYLDCAIRHVLPQIPPELRRQLPVDLVEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMIKYWQHVQESIPDLPGSDGWGKKHRLFVKWKYVEAKHPYSAAYYFHGLILDEGNTEKSHGMAIAALQASEEFLKESKRVSEAFHATPPTSRSPTPFGTAKYMFDKIPKDASSKVRINQDLYTQEKVIGTPPPLPDFALALTPEDYDLPPLDPLWNKEDRRH >KQK98185 pep chromosome:Setaria_italica_v2.0:VII:25528648:25532366:1 gene:SETIT_010214mg transcript:KQK98185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGASSQKDAAGGPRRRPGSVGDVVVFLPGLRVPRSVDLAQALGGRLDRSIVERLSALRARVVEMAMQESAVALKPRRRAAARHGSSTANLLQALEEYLPVLLGLVKEGSMLRHAVQFTWTNQEDNAEETAMADAWYEVLSVLHLMAMVCLLQANTLLLPRSYGDGYAPRVSEESRRVTVDVFLKAAGYLDCAIRHVLPQIPPELRRQLPVDLVEGNLKALSLQALGQGVDMQLGLAIDSPKATLAVKRRLACEMIKYWQHVQESIPDLPGSDGWGKKHRLFVKWKYVEAKHPYSAAYYFHGLILDEGNTEKSHGMAIAALQASEEFLKESKRVSEAFHATPPTSRSPTPFGTAKYMFDKIPKDASSKVRINQDLYTQEKVIGTPPPLPDFALALTPEDYDLPPLDPLWNKEDRRH >KQK96388 pep chromosome:Setaria_italica_v2.0:VII:5084300:5088331:-1 gene:SETIT_009555mg transcript:KQK96388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALRISYHYLPFHLKKCFQLCALFLEDYEFDGLELINMWKALGIIDLSGQNTSIEQVGLQYLNTLVNTGIFSKLSFNTFKLLPHRFSKLIHLRYLKIQLPFGSVTSLPDTITRFYDLEFFDLKGWDGDSSLPRGMSRLVNLRHLLSHKELHCKIPGVGKMKFLQELRKFQVRKHDIGFELRELEELKELGGSLSICNLENVKTKGDAARAKLMLKDKLDKLKLVWDSQRNRKPTLEADVLESLRPHPNLRELCIKDHGGSTYPTWLRADSSIKMLKSLHLHGVSWTTLPPFGHMSHLMELKLEKISSMHQFGGTEFGHITDRSFQKLAVLKLTDMPQLEKWVGEDTQRLFHQLRKLAITNCPKLTELSFSVCTGSSPQDSNTTWFPNLRELVIEACPQLSLPPLPHTSTIDHVSVQTTEGSFSYHRKDLVIDAYNRALAFHNMHKLEELYACKISLLSLTGLQKLTSLRKLDIRYCGSVLCDANLGGVIALPVKSLMIYDSAITGKELSNLLKCCSDLTYLEVFDCPNITRLCRTHDLEREDEVEEGLLSFPSHLSISLLKLEICNCKKLFLHPEDGGIGHLTSLQSLQIQGCDELLSWWSMEEAIGFIAFFSLKVLLIDTCPGIQSLPKEGLPTSLEQLEVYNCSKELKEHCKKLKVEKLKLY >KQK99491 pep chromosome:Setaria_italica_v2.0:VII:33116295:33120884:1 gene:SETIT_009738mg transcript:KQK99491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQLSENPIHKWGGLGEVLGGNELIDSQLDIKFLRNEEKGFICTLELDSKKVQQFADAIENSYWFELFIDDLPLWGFVGETDKNSENKHYLYTHKNILVKYNENRIIHVNLTQESPKLLEAGKKLDMTYSVKWVATDVSFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNDESGWKLVHGDVFRPPRSLMFLSALVGIGTQLAALILLVIVLAIVGMLYIGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKAMVLTASLFPFLCFSIGFALNTIAIFYRSLAAIPFGTMVVMFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILLIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAIGYVGSTLFVRRIYRNIKCD >KQK98283 pep chromosome:Setaria_italica_v2.0:VII:26031494:26032515:-1 gene:SETIT_010943mg transcript:KQK98283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPQLSSHVHATAAAGGKNAGGGVLPPAAVVILDVEANAAAAAPADPSDGGDGGVDYMARAQWLRAAVLGANDGLVSVASLMIGVGAVNASRKAMLVSGMAGLVAGACSMAIGEFVSVYAQYDIEVSQLKRDGTDGDEDARDGLPSPTQAALASALAFAFGAILPLLSGGFVALWWARLIAVAAASTVGLAAFGAAGAYLGGSSMVKSGLRVLLGGWFAMLVSFGVLRLFGAAFHMDVSSV >KQK98580 pep chromosome:Setaria_italica_v2.0:VII:28047163:28049394:-1 gene:SETIT_009601mg transcript:KQK98580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGAPWRDPRQGYAYGVGSAMQMQLQQRADAAAGGGGVLKRSLGELERWQHQQQQHVAAQQALYLRAVRQRTAAAADIAALLGGGPTQPLVLSGSSFGGGLASPSSTLSSLTTASRAAVPLMHPQPQPQLQQQRQVPLMTSSPQTQAFGLSRAPPPPQPASPSQLFMLQELEKQLFDDDDDESVAAMSGTGSAVTNSEWEETIQQLNSITAAPSPGLPASATPNNNNNANAGMTRSPSNSSSSTASSSASCSPPTPGAASRQLLSEAAVALADGNHEAAATHLVALKRAANQHGDAEQRLIAMMVAALSSRIVPTASALAQHLAELCGAEQRAGSQLLHDISPCFRLALHAASAAIVEAVGDHRAIHLVDFDVSFQQHTALIQYLADRRVPGTSLKVTAVIDPSSPFTQTQSLTATLSAIGEQLKQLAERAGIEYRFKVVSCRAAELDASRLGCAPGEALAVNLAFALSHVPDESVSPANPRDELLRRVRALGPQVVALVEQELNTNTAPLAARFTDACAHYGAILESLDATLGRESAEKKARAEAALARKAANAVGREGPDRLERCEVFGKWRARFGMAGFRPVALGPGIVDQVAARVGPAPPGITFKADNGVLRLCWMGRVVTVASAWR >KQK98267 pep chromosome:Setaria_italica_v2.0:VII:25938333:25940627:-1 gene:SETIT_010859mg transcript:KQK98267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSVPEAAFAMDQHLAPPQLQTAAEQEQLCYVHCKCCDTILAVGVPCSSLFKTVTVRCGHCANLLSVNLRGLLLPTAAANNQLPFGGQQQALLSPTSPHGLLDEMMPFQQAPSLTSAEPASACVSTITSINNSCGGRNNASAMSMAPPPLKPAPQEPQLPKSAASGNRTAEKRQRVPSAYNRFIKDEIQRIKASNPDITHREAFSAAAKNWAHFPHIHFGLMPDQGLKKASMQNHEGGECMLFKDGLYAAAAAAASSMGIAPF >KQK99445 pep chromosome:Setaria_italica_v2.0:VII:32916656:32920138:1 gene:SETIT_011254mg transcript:KQK99445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIQCSSTSKTNQEEPTEQRVHLPRRIGRDGTNSCSITNGKKILCVLLVTGDCSPLCVRGGQNSERNAVLNWRRREEEEGGEMAQPSKEPCKKEACDIQACLSKNMFDSRKCVRVIQLLQSCCEQCEYKSTHCGSLSGLLKNISK >KQK99444 pep chromosome:Setaria_italica_v2.0:VII:32916656:32920215:1 gene:SETIT_011254mg transcript:KQK99444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIQCSSTSKTNQEEPTEQRVHLPRRIGRDGTNSCSITNGKKILCVLLVTGDCSPLCVRGGQNSERNAVLNWRRREEEEGGEMAQPSKEPCKKEACDIQACLSKNMFDSRKCVRVIQLLQSCCEQCEYKSTHCGSLSGLLKNISK >KQK99380 pep chromosome:Setaria_italica_v2.0:VII:32665898:32669717:-1 gene:SETIT_010440mg transcript:KQK99380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMANGRLQKQALLPPRSPFPAAAAAPAPHAELGPIARPRDAHHRHGHQRTSSESFLADEQPSWLDDLLDEPETPARGHGRPGHRRSSSDSFALFEGGGGAAAGMYDNVLDGMRGGGGGGQVASWAGAPEFFPEPSSFGRPQGRPWESRQMYRQGGGMPIPGREKNVGRHGPSSSFGDHEHGHVPNGVDRKGHGDAAHDQRIGVERKDGLRHSQSEADTKRAKQQYAQRSRVRKLQYIAELERRVQSLQTEGIDVTAEMDFLGQQNIMLDLENKALKQRLESLSQEHLIKRYQQEMFEREIGRLRQLFQQQQQQQQHVPQQQAPTHSRSNSRDLDSQFANLSLKHGDPNSTRDAVSGLRI >KQK99663 pep chromosome:Setaria_italica_v2.0:VII:34119409:34121133:1 gene:SETIT_012711mg transcript:KQK99663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDRQIMTTNLTIEALAKAPARSAFPALLKSYARAFKLSSGARAAAVFAAEGAELHCRALKLGCSEDRYVQNALVSLYGKFRLLGDARKVFDEMPVKNAVSWNALAGAHGVAGDFEGAEGVSQATPARNVSWWNTDIMRNVRLGEKAEAARIFMEMPERDAVSWNSIIGGYAKLGMYDRALDVFQEMQESGMEPTELTVVSALWACAGTGELELGRRIHSYIASKGIAADGYVGNALVDIQVFYGMSIRDVTCWNAMIIGLLVHGHSCDALKLFDSMKIEPDHVTFWGVLNACSHGGPVNEGRAYFNSMIGDYKIVPTMKHYGCMIDMLCRYGEVHEAYRMIKDMLIKANSVLWKMVMAACRLSMNCISHQLMPMDDGDVITISNAYAQVEWWDDVEHLRTKVIGCSASKHAAHSQFHVR >KQK98747 pep chromosome:Setaria_italica_v2.0:VII:28960742:28962346:-1 gene:SETIT_010539mg transcript:KQK98747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRMAGAALLRHLGPRLFAAEPAVSGLAVRGVMPAAARILPARMASTAAEAAREDAGAKHNGSTGGGGGGGAEKPEEAAGGQSKKAIVSYWGIDSPKLVKADGTEWKWSCFRPWDTYTSDTSIDVKKHHEPTTLPDKAAYLMVKSLRVPMDLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFLEVTQPRWWERALVLAAQGVFFNAYFVGYLLSPKFAHRVVGYLEEEAVHSYTEYLKDLEAGVIDNTPAPAIAIDYWRLPADAKLKDVVTVVRADEAHHRDVNHFASDIHYQGMKLKDTPAPLSYH >KQK99009 pep chromosome:Setaria_italica_v2.0:VII:30463864:30464061:1 gene:SETIT_012145mg transcript:KQK99009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSAGRNSTVRVRPVPLTVKVLPPIRTDGWEEGRISECLEMVRSLFADNLPDSQKPLDALAARKAD >KQK99639 pep chromosome:Setaria_italica_v2.0:VII:33978535:33979138:-1 gene:SETIT_011516mg transcript:KQK99639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVTGISLSGSASSSARGRRTQHFPWGRVIFLGEADERRNRGKGGGGAHEGNTINWNPQPRFGSCGLNFANTSQP >KQK97119 pep chromosome:Setaria_italica_v2.0:VII:19190681:19196350:-1 gene:SETIT_010083mg transcript:KQK97119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMAAASPPPASAVRVRGGGGAAASSSARLFTGPRSRVAAPIGRGRRRRCAISCCSSQDGDGPRPAAPPTSASPSDGSIQLYSQIERVITEAAKQSREGWGSTGDWTEVEGAWVLRPKSSEPSFVVHFVGGIFVGAAPQITYRFFLEQLADRGALVIATPYASGFDHFFIADEVQFKFDRCLRNLDEPINDLPTFGVGHSLGSVIHMLIGSRYAVQRSGNVLMSFNNKEASSAIPLFSPVIVPMAQSFGPILSQLTSYPTIRFGAETAIKQLENLSPPVVKQLLPLLQQLPPLYMDLIKGREDFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSEAAISSLLDMSIRSLPGDHSLPLQQVLPDVPPAMADAVNRGGELLANLTAGTPWEAVAKEVGTTLGTDSGILRAQISKDVETLVDVIVSWISSNSGPRLLRP >KQK97122 pep chromosome:Setaria_italica_v2.0:VII:19191682:19196281:-1 gene:SETIT_010083mg transcript:KQK97122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMAAASPPPASAVRVRGGGGAAASSSARLFTGPRSRVAAPIGRGRRRRCAISCCSSQDGDGPRPAAPPTSASPSDGSIQLYSQIERVITEAAKQSREGWGSTGDWTEVEGAWVLRPKSSEPSFVVHFVGGIFVGAAPQITYRFFLEQLADRGALVIATPYASGFDHFFIADEVQFKFDRCLRNLDEPINDLPTFGVGHSLGSVIHMLIGSRYAVQRSGNVLMSFNNKEASSAIPLFSPVIVPMAQSFGPILSQLTSYPTIRFGAETAIKQLENLSPPVVKQLLPLLQQLPPLYMDLIKGREDFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSEAAISSLLDMSIRSLPGDHSLPLQQVLPDVPPAMADAVNRGGELLANLTAGTPWEAVAKEVGTTLGTDSGILRAQISKDVETLVDVIVSWISSNSGPRLLRP >KQK97120 pep chromosome:Setaria_italica_v2.0:VII:19190925:19196350:-1 gene:SETIT_010083mg transcript:KQK97120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMAAASPPPASAVRVRGGGGAAASSSARLFTGPRSRVAAPIGRGRRRRCAISCCSSQDGDGPRPAAPPTSASPSDGSIQLYSQIERVITEAAKQSREGWGSTGDWTEVEGAWVLRPKSSEPSFVVHFVGGIFVGAAPQITYRFFLEQLADRGALVIATPYASGFDHFFIADEVQFKFDRCLRNLDEPINDLPTFGVGHSLGSVIHMLIGSRYAVQRSGNVLMSFNNKEASSAIPLFSPVIVPMAQSFGPILSQLTSYPTIRFGAETAIKQLENLSPPVVKQLLPLLQQLPPLYMDLIKGREDFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSEAAISSLLDMSIRSLPGDHSLPLQQVLPDVPPAMADAVNRGGELLANLTAGTPWEAVAKEVGTTLGTDSGILRAQISKDVETLVDVIVSWISSNSGPRLLRP >KQK97121 pep chromosome:Setaria_italica_v2.0:VII:19190789:19196350:-1 gene:SETIT_010083mg transcript:KQK97121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMAAASPPPASAVRVRGGGGAAASSSARLFTGPRSRVAAPIGRGRRRRCAISCCSSQDGDGPRPAAPPTSASPSDGSIQLYSQIERVITEAAKQSREGWGSTGDWTEVEGAWVLRPKSSEPSFVVHFVGGIFVGAAPQITYRFFLEQLADRGALVIATPYASGFDHFFIADEVQFKFDRCLRNLDEPINDLPTFGVGHSLGSVIHMLIGSRYAVQRSGNVLMSFNNKEASSAIPLFSPVIVPMAQSFGPILSQLTSYPTIRFGAETAIKQLENLSPPVVKQLLPLLQQLPPLYMDLIKGREDFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSEAAISSLLDMSIRSLPGDHSLPLQQVLPDVPPAMADAVNRGGELLANLTAGTPWEAVAKEVGTTLGTDSGILRAQISKDVETLVDVIVSWISSNSGPRLLRP >KQK97118 pep chromosome:Setaria_italica_v2.0:VII:19191682:19196281:-1 gene:SETIT_010083mg transcript:KQK97118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRMAAASPPPASAVRVRGGGGAAASSSARLFTGPRSRVAAPIGRGRRRRCAISCCSSQDGDGPRPAAPPTSASPSDGSIQLYSQIERVITEAAKQSREGWGSTGDWTEVEGAWVLRPKSSEPSFVVHFVGGIFVGAAPQITYRFFLEQLADRGALVIATPYASGFDHFFIADEVQFKFDRCLRNLDEPINDLPTFGVGHSLGSVIHMLIGSRYAVQRSGNVLMSFNNKEASSAIPLFSPVIVPMAQSFGPILSQLTSYPTIRFGAETAIKQLENLSPPVVKQLLPLLQQLPPLYMDLIKGREDFIPKPEETRRLIKSYYGISRNLLIKFKDDQIDETSILAQVLSSEAAISSLLDMSIRSLPGDHSLPLQQVLPDVPPAMADAVNRGGELLANLTAGTPWEAVAKEVGTTLGTDSGILRAQISKDVETLVDVIVSWISSNSGPRLLRP >KQL00005 pep chromosome:Setaria_italica_v2.0:VII:35727114:35727663:1 gene:SETIT_011304mg transcript:KQL00005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDILGDFEARDPFPEEIESKFGEKALGNVETLHQILIPTLSALSLAHLPLQPGAEPLSLDQRRVRRRRPRPSSAAPRGRGPTNQQLQVRGELSTLSTAGDSLTINDYILAARIDKVKTLDLIPKKRVWA >KQK97031 pep chromosome:Setaria_italica_v2.0:VII:18378501:18381361:-1 gene:SETIT_010590mg transcript:KQK97031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAGEARGVGTGRGSRLPRWTRQEILVLIEGKRVVERSGRGRGRGRARGGGGEGAEPTKWAAVAEYCRRHGVDRGPVQCRKRWSNLAGDYKKIREWERGGFAARKEASFWAMRNDARRERRLPGFFDREVYDILEGRAPGNAAAAAVARPVAVDVESKEDVAAALDGGARGAEGAGLFSSSEDEDDQEDDAATPSPTPMATPALAPAPVALPISEKTTDASRQESSEQAGTSKRKQLEQITEDSPAQCGQKRQRSDDNASGRATTTDLQGRLVEILDRSSQMVAAQLEAQNINSRLDREQRKDQVSSLLGVLGKVADALFRIADKL >KQK98935 pep chromosome:Setaria_italica_v2.0:VII:30053491:30054315:-1 gene:SETIT_012323mg transcript:KQK98935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGERKEPLIRSLDYRCVRQFCLRRLFAFLWLSKEMTVFFRVEHLQHVVRLGMWSGAMNYVFGFVPVFYRYLNKITAYRPTNPSTFAAFDPYSRHEVRCQGGVNPDGIKLAQIIRSVCSKEAWLKAAEVIVDLVA >KQK97370 pep chromosome:Setaria_italica_v2.0:VII:20832755:20833749:1 gene:SETIT_011972mg transcript:KQK97370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDYSGLFLQWAMDRPQQEHLAAAPVDGECGEVATFPSLQALREASQAEEMVQELIGQPHPANSWSPGDGYIIDGSSIGKNADPALSRSTSWNFGAASAPPPGPRDGGMSGAAATRSLPELTCGPPPTRRAVLKSVGSMYAQDHIIAERKRREKINQRFIELSTVIPGLKKMDKATILSDATRYMKELQEKIKALEDGSSDRSIESWVLVKNPCIAVPDEGSSQSWTSSGTPAMSRNPLPEIEARFLEKSVMVRIHCEDGKGVAARVLTEVDELHLSIIHANVTPFPASTLIITITAK >KQK96183 pep chromosome:Setaria_italica_v2.0:VII:1292155:1294012:1 gene:SETIT_011920mg transcript:KQK96183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPTCSACGASACCPHLLHAGADDSRAAFSIFTGEVHDHHQPGTQQPPGSLHEFQFFGQDDHESIAWLFDDPPPAVGDDQSPVENQPYQRPPAFDPFGPQYHPGKGLTFEVSLGQGEVDARLGLGGGAPHTETAASATIMSFCGSTFTDAASSRLKEPILINNQLQRPADPSMEREAKLMRYKEKRTRRRYEKQIRYASRKAYAEMRPRLRGRFTK >KQK99358 pep chromosome:Setaria_italica_v2.0:VII:32548536:32549712:1 gene:SETIT_011926mg transcript:KQK99358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTTDDAGESQWSELPPDLLRDISGRLHAAADFVRFRAVCRPWGESLADRPPNFLPWLLAPSDAGVDLEDQRCRCVFSRTSCRAPGICIRDRRVVRRRYTGRRMPDHTHSVVSGDDTILVYEFTPHPPGKVERFLSDFEGAILCPDDECPDHEPWMNVRSNLFTDRCCAAVYNRGDVVCSDLANCYVLWKTVHSYVNNCHCRTTVTTRAALPDEPGKVRRCSYLLESRGGDEHELLLASVLKEAAGGGDLSVSVHALERRTGGEEPVVGWSRRDNDMGMLGDDVLFLGFPGSFAVDAARFGGEVSGGTAYFVIGDNSGGQTEPCSVYRYSFHDGAATLVETLPPGWHDARCMWFLPYPEISP >KQK97494 pep chromosome:Setaria_italica_v2.0:VII:21479176:21484014:1 gene:SETIT_010062mg transcript:KQK97494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHTSLSFPPSTPCEPSNPTRPTSSAAAAALAMQMAATTTDSQAAVPPHHPHAHPHAPPQHAHPHHHMPQPRWVVIPYPPPPPMVAAPPPPPQFAKHFAAGPPPPPQAAGRRTPTPPAAGSGGNACEENKTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFFSHGSAEKALQNFTGHVMPNTDRAFKLNWASYSMGEKRSEVASDHSIFVGDLAADVTDEMLMELFASKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMSEMNGVYCSTRPIRIGPATPRRSSGDSGSSTPGHSDGDSSNRTVYVGGLDPNVSEDELRKAFAKYGDLASVKIPLGKQCGFVQFVSRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQSRGDSGNRRNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPFYGGNQQLVS >KQK97495 pep chromosome:Setaria_italica_v2.0:VII:21479176:21484014:1 gene:SETIT_010062mg transcript:KQK97495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPHTSLSFPPSTPCEPSNPTRPTSSAAAAALAMQMAATTTDSQAAVPPHHPHAHPHAPPQHAHPHHHMPQPRWVVIPYPPPPPMVAAPPPPPQFAKHFAAGPPPPPQAAGRRTPTPPAAGSGGNACEENKTIWVGDLQYWMDENYLHSCFGPSGEVVTIKVIRNRQTGQSEGYGFVEFFSHGSAEKALQNFTGHVMPNTDRAFKLNWASYSMGEKRSEVASDHSIFVGDLAADVTDEMLMELFASKYRSVKGAKVIIDANTGRSRGYGFVRFGDDNDKSHAMSEMNGVYCSTRPIRIGPATPRRSSGDSGSSTPGHSDGDSSNRTVYVGGLDPNVSEDELRKAFAKYGDLASVKIPLGKQCGFVQFVSRTDAEEALQGLNGSVIGKQAVRLSWGRSPSHKQVCHSRGDSGNRRNMYYGTPFYGGYGYASPVPHPNMYAAAYGAYPFYGGNQQLVS >KQK96256 pep chromosome:Setaria_italica_v2.0:VII:2597155:2598138:1 gene:SETIT_012522mg transcript:KQK96256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYRVLELTLVSARELKNVNLITRMDVYAVVTISGDPLTRQCTAPDPFGGRNPRWDATLRFAVPPTAAAAAGSCLHVLLRAERVLGDRDIGEVVVPLADLLAGAPAAGPQQPQVASYQVRKVHRWEPRGVLNVAYRLGPVVAPVEAAERKPPPAVMGIAYPDAPVGVPSTQPFQPPAGAYQPPPPPRPAAKAKHDPAPAPAPSPSPRRGKSNGLGLEGPTQVIVGPHTQIILGGGGPATTAMSSPTGSTVSSPRKLHGAWPKQELHHDRDDTTYASAAQSIFSPRKEDHSRPKVFPDHDAQGRRRSFQETEATSQRSVVSPSSREPGT >KQK98718 pep chromosome:Setaria_italica_v2.0:VII:28808465:28811479:-1 gene:SETIT_011219mg transcript:KQK98718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGLINANPVIHEKKERRVRQAPETTDENAVEPIDQLEIFDHIRDIKDPEHPYSLEQLNVVTEDSIELNDESNHVRVTFTPTVEHCSMATVIGLCIRVKLIRSLPPRYKVDIRVAPGSHSTEAAVNKQLNDKERVAAALENPNLLDMVEECLSPTFD >KQK99506 pep chromosome:Setaria_italica_v2.0:VII:33177239:33177778:-1 gene:SETIT_013078mg transcript:KQK99506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHNFLAPATKSSPRSSRAVGFDFPNHKQAGITQEHSRKIQKTTPAPVAASFPPARLSGPSPRSPCPPPTARRAPPSYTFALPSYPST >KQK97161 pep chromosome:Setaria_italica_v2.0:VII:19335357:19335879:1 gene:SETIT_012976mg transcript:KQK97161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQQDRVAKLWFTGKPNSIIYADILHRQSSIVFPVFPTPKQG >KQK97456 pep chromosome:Setaria_italica_v2.0:VII:21317775:21318420:1 gene:SETIT_012098mg transcript:KQK97456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSFMNWRDLQVQSGLGRDEHTGGVAADSNFWATDEGEISAGAAQMSTAKPPSFLEELYTLLSHTTQDRGTLLTAGGVHEATPNIGSEDTPTDMYLDPMAASSVRNMSKRLTWEEVVDSPPKKKSGSLEDYVRELSETVAMTSQKCLSQEDDIDEGSNLYCMAIYLCKNAVN >KQK96827 pep chromosome:Setaria_italica_v2.0:VII:16104895:16107185:-1 gene:SETIT_009530mg transcript:KQK96827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRAGLSLTEATFASVLGACARGRWFRAGAQVHSQVIKSGCENFPIVGASLLDFYSSCFDLTATRALFESLHQKNELLWSPMVVALVRFGLLGDALNLLERTPVPRDVFAWTAVISGFAKGSTKCCGKALELFVRFLADGSVMPNEYTYDSVIRACVRLGTLDFGRSVHGCLIRSGFQSEQLITSALVDLYCSSDALDDALLVYNDLEIPSLITSNTLIAGLITMGRTEGAKMVFSHMPEHDSGSYNLMIKAYAMDGRLEDCRRLFEKMPRRNMVSLNSMMSVLLQNGRLEEGLKLFEQIKDERDTITWNSMISGYIQNDQPSEALKLFVVMCRLSIGWSPSTFSALLHACATIGTLEQGKMVHGHLCKTSFDSNGHVGTALADMYFKCGCVSDAQSAFGYITSPNVASWTSLINGLAQNGHWMEALIQFGRMLRHHVNPNEITFLGLLIASARAGLVNKGMKIFRSMENYGLVPTVEHYTCAVDLLGRTGRTREAEKFICEMPVPADGVVWGALLTACWYSMDLEMGEKVAQRLFCMGTKHRSAYVAMSNIYAKLGKWEDVVKVRTRLRSLNAKKEPGCSWIEIKDIVHVFLVDDQNHHERDKICLMLEELVSHISIHSEPDDMLSMYTDCIPRIPSHIDYIIEVPSPHWGVCGVFPITYLSTWYHETGINLSWDFPSAPPLPNVPKP >KQK98329 pep chromosome:Setaria_italica_v2.0:VII:26321268:26325102:1 gene:SETIT_010235mg transcript:KQK98329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFRRAARLLGLDSKLEKSLLIPFREIKVECTIPMDDGSLSSFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWMLDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSISGSTFVIQGFGNVGSWAAQLIHERGGKIVALGDVTGSIKNKAGIDIPALMKHRNDGGALKDFHGAQVMDSTELLVHDCDVLVPCALGGVLNKDNAPDVKAKFIIEAANHPTDPEADEILAKKGVIVLPDIYANSGGVIVSYFEWVQVIACTYFAISLHICFLVLI >KQK98330 pep chromosome:Setaria_italica_v2.0:VII:26321848:26323583:1 gene:SETIT_010235mg transcript:KQK98330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFRRAARLLGLDSKLEKSLLIPFREIKVECTIPMDDGSLSSFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWMLDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSISGSTFVIQVSHSGLPNYFYKMVKGE >KQK98331 pep chromosome:Setaria_italica_v2.0:VII:26321268:26325102:1 gene:SETIT_010235mg transcript:KQK98331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFRRAARLLGLDSKLEKSLLIPFREIKVECTIPMDDGSLSSFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWMLDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSISGSTFVIQGFGNVGSWAAQLIHERGGKIVALGDVTGSIKNKAGIDIPALMKHRNDGGALKDFHGAQVMDSTELLVHDCDVLVPCALGGVLNKREGQVYN >KQK98333 pep chromosome:Setaria_italica_v2.0:VII:26321848:26323994:1 gene:SETIT_010235mg transcript:KQK98333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFRRAARLLGLDSKLEKSLLIPFREIKVECTIPMDDGSLSSFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWMLDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSISGSTFVIQGFGNVGSWAAQLIHERGGKIVALGDVTGSIKNKAGIDIPALMKHRNDGGALKDFHGAQVMDSTELLVHDCDVLVPCALGGVLNK >KQK98332 pep chromosome:Setaria_italica_v2.0:VII:26321268:26325102:1 gene:SETIT_010235mg transcript:KQK98332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNALAATSRNFRRAARLLGLDSKLEKSLLIPFREIKVECTIPMDDGSLSSFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVAAVPYGGAKGGIGCSPGELSRSELERLTRVFTQKIHDLIGTHTDVPAPDMGTNAQTMAWMLDEYSKFHGHSPAVVTGKPIDLGGSLGRDAATGRGVMYATEALLAEYGKSISGSTFVIQGFGNVGSWAAQLIHERGGKIVALGDVTGSIKNKAGIDIPALMKHRNDGGALKDFHGAQVMDSTELLVHDCDVLVPCALGGVLNKDNAPDVKAKFIIEAANHPTDPEADEILAKKGVIVLPDIYANSGGVIVSYFEWVQNIQGFMWDEEKVNNELEKYMSSAFQHLKAMCKSLDCDLRMGAFTLGVNRVARATLLRGWEA >KQK97711 pep chromosome:Setaria_italica_v2.0:VII:22798148:22805461:-1 gene:SETIT_009411mg transcript:KQK97711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKEKAPASCCRAAPARVAGGAPAAPVRAIAAPPAGKVVAVASGGGERVAAAGAGSAVIEEIAAVQPTTAKASSKGIPIMTRAQRCHPLDPLSAAEIAVAVVTVRAAGRSPEERDSMRFVEAVLLEPNKNVVALADAYFFPPFQPSLLPRTKGSAVIPSRLPPRRARLVVYNKQSNETSIWIVELSEVHAATRGGHHRGKVISAEVVPDVQPAMDAMEYAECEATVKSYPPFIEAMKRRGVDDMDLVMVDAWCAGYYGEADAPSRRLGKPLIFCRTESDSPMENGYARPVEGIHVVVDMQNNTVIEFEDRKLVPLPPPDHLRNYTPGETRGGVDRSDVKPLIINQPEGPSFRINGYFVEWQKWNFRIGFTPKEGLVIYSVAYVDGNRGRRPIAHRLSFVEMVVPYGDPSEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGAVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALMPGESRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKPNEAHNQVVEVNVKVESAGTHNVHNNAFYAEEELLKSELQAMRDCDPSSARHWIVRNTRTVNRTGQPTGYRLVPGSNCLPLALPEAKFLRRAGFLKHNLWVTQYKSDEMFPGGEFPNQNPRIHEGLPTWVKKDRPLEETDIVLWYVFGLTHIPRLEDWPVMPVEHIGFMLMPHGFFNCSPAVDVPPSSTDADVKEAESPKDIQTGGLNSKL >KQK98398 pep chromosome:Setaria_italica_v2.0:VII:26743134:26743709:-1 gene:SETIT_012273mg transcript:KQK98398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLSSPFAPKGRLMTSKHAAAAFLLILLSIVLFASPCEARGLRVHGKRRSSSKSSHLPAGKNVAATSLKADGWGTRETQARSTVHTAMDDDMMAQPDAKAKVGVAMASSTSAAGTVGVTPVVRALSQREDTGFHLDYAGPRTHTPSHN >KQK96179 pep chromosome:Setaria_italica_v2.0:VII:1259149:1259506:1 gene:SETIT_011613mg transcript:KQK96179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDERRGPSYIRCFFLFFPDPTATVRSEVNFIAALLQHERGVVPCPSHVLMAVQ >KQK97317 pep chromosome:Setaria_italica_v2.0:VII:20529899:20530319:1 gene:SETIT_011576mg transcript:KQK97317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQECKCPLMLEEKLEHSHSFMFPFLLLLGPLFLPVPCSQIVKDRSSNSGTIHTSGVNIYGDL >KQK99578 pep chromosome:Setaria_italica_v2.0:VII:33608120:33612204:-1 gene:SETIT_010144mg transcript:KQK99578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGLGSLSRRGFEVKLLGHRRGKSHGAVHELHDPAPVIQSSCWANLPPELLRDVIERLEASEATWPNRKNVVSCAAVCRTWREMCKEIVKNPEFSGKITFPVSLKQPGPRDATIQCFIKRDKSTQTYYLYLCLSTAVLVESGKFLLCAKRTSRPTCTEYTIFMNSENISRSSKMYIGKLRSNLLGTKFAIYDTQPPCNAAQPGKTSRRFYSRKVSPKVSSSTYNIAQVSYELNVLGTRGPRRMNCVMHSIPASSLEAGGTVPCQPDSVLTRPLDESFGSISFSKSSVMDHSMRFSSTRFSEVSMGSHRIGDMALGDNDECNERPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQPSAGAPTPSQAAPAPPPEHEKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KQK97371 pep chromosome:Setaria_italica_v2.0:VII:20854265:20857929:-1 gene:SETIT_009670mg transcript:KQK97371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVESRAGAPEAAAVAVDWRGRPCRPHRHGGMRAAVFVLGIQGFEIMAIAAVGNNLITYVFGEMHFPLSQAANVVTNFVGTIFLLSLLGGFLSDSYLGCFWTMLTFGFVELSGFILLSLQAHLPQLKPPPCNMASMDGSCEKASGFKSTVFFLALYLVALGSGCLKPNMIAHGADQFDTAAPGGAGRLSTYFNSAYFSFCAGELVALTALVWVQTHSGMDVGFGISAAAMAAGLVSLVSGAALYRNKPPQGSIFTPIARVFVAAYSKRKQVCPSSSNSVNAGACEPARAAAGNFRHGNKFRFLDKACIRVAPQGPNTKPESPWRLCTVAEVQQAKTLLAVTPIFACTIVFNTVLAQLQTFSVQQGSAMDTTLPPGSSFRIPPASLQAIPYAMLLALVPAYELLLVPLMKRATGTRSGITPLQRIGVGLGTVAFSMVAAAAVERRRRDAATAGGHQMSVLWIVPQFLVFGVSEMFTAVGLIEFFYKQACAGGMQAFLTALTYCSYAFGFYLSSLLVSLVNRVTARQGGGGGWLGDNDLNKDRLDLFYWMLAALSVLNFFCFLLCARWYNAGVDGSDAAASGQVAAEGADGKEII >KQK97034 pep chromosome:Setaria_italica_v2.0:VII:18404851:18410634:1 gene:SETIT_009262mg transcript:KQK97034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYKLMDQKAQFGLDDKLLDQRNVNLPPSFWRADQNHVHQRDSFPKLLVSPLEGRMGSLNGTQYESGLFSSSLPDIFDKKMRLTPKDSVVGQLVEKVDHNQADDEPFEMTKEIENQIIGNLLPDDDDLLSGVLGCVGYNTQGNYQDDIEDDIFCTGGGMELEADRNEKLFKVNGGVDYSHTRSNVQLNGKCTYAEQPSRILIVGNIDSNIEDSELKFIFEQYGDMHTLNASSKHHGFVIVSYYDVRSAENAVRALQRKAIRHRKLDIRYSIPKDYPLEKYINHGTLILNLDPSITNDDLHRIFGVYGEIKEIHNTSDNDRHKSIEFFDLRAAEAAQYALNRSDIAGNKIKLEPSCLDGTKRLMKQTSRALEQERFGVCKLGSPNSPLSTYFGSVKMASIRSTGPESGTVQVLRSRGQTPINQFREGRSFLGLPSTTIPSRSSPVGIATAGSESSHYALDEHCHSLEKMNGQNNERMDYGVQESSGFHPHSLPEFNGRLNNCGPYNFSIPSVGVKSNARATEAMDARHIYRGGSGNLRNQSSTHTEALGISRIGSCPLHDHDLAQSNSNNLHCQPSSPMLWSSTGPSINNIPSHPLMKLHGFSRAPLRMLDNIIPMNHEHVGSSPAFNPSIWDRRGYSGEMMEAPGFHPGSAGSVMGFSGSTHLHQLETNGMHNGGTFMDPAISPAHMSAPSPQQRGHMFHRMSHMAPIPSSFDSAGERMRIRRNESNVNQSDNKRLFELDIDRIVRGEDSRTTLMIKNIPNKYTSKMLLAAIDESHRGIYDFIYLPIDFKVCGSALSLC >KQK97035 pep chromosome:Setaria_italica_v2.0:VII:18404851:18413229:1 gene:SETIT_009262mg transcript:KQK97035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTEQRRRMPPTHFLPAGSESSPFTDELGLRPERQVGVLKQGCFPENVGRFACSPSGAVVNQLDMQPYKLMDQKAQFGLDDKLLDQRNVNLPPSFWRADQNHVHQRDSFPKLLVSPLEGRMGSLNGTQYESGLFSSSLPDIFDKKMRLTPKDSVVGQLVEKVDHNQADDEPFEMTKEIENQIIGNLLPDDDDLLSGVLGCVGYNTQGNYQDDIEDDIFCTGGGMELEADRNEKLFKVNGGVDYSHTRSNVQLNGKCTYAEQPSRILIVGNIDSNIEDSELKFIFEQYGDMHTLNASSKHHGFVIVSYYDVRSAENAVRALQRKAIRHRKLDIRYSIPKDYPLEKYINHGTLILNLDPSITNDDLHRIFGVYGEIKEIHNTSDNDRHKSIEFFDLRAAEAAQYALNRSDIAGNKIKLEPSCLDGTKRLMKQTSRALEQERFGVCKLGSPNSPLSTYFGSVKMASIRSTGPESGTVQVLRSRGQTPINQFREGRSFLGLPSTTIPSRSSPVGIATAGSESSHYALDEHCHSLEKMNGQNNERMDYGVQESSGFHPHSLPEFNGRLNNCGPYNFSIPSVGVKSNARATEAMDARHIYRGGSGNLRNQSSTHTEALGISRIGSCPLHDHDLAQSNSNNLHCQPSSPMLWSSTGPSINNIPSHPLMKLHGFSRAPLRMLDNIIPMNHEHVGSSPAFNPSIWDRRGYSGEMMEAPGFHPGSAGSVMGFSGSTHLHQLETNGMHNGGTFMDPAISPAHMSAPSPQQRGHMFHRMSHMAPIPSSFDSAGERMRIRRNESNVNQSDNKRLFELDIDRIVRGEDSRTTLMIKNIPNKYTSKMLLAAIDESHRGIYDFIYLPIDFKNKCNVGYAFINMINPENIVPFYKTFNGKRWEKFNSEKVASLAYARIQGKSALVAHFQNSSLMNEDKRCRPILFHSDGPNAGDQEPFPVGPNVRSRPGRSRILSWEQNHHNVLSDHAKGETPPLPVITDPTSVA >KQK96235 pep chromosome:Setaria_italica_v2.0:VII:2165009:2165460:-1 gene:SETIT_013001mg transcript:KQK96235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRHSTHMHAMQPITPYFFMCLGQWHAIFLFILLYFLHAPSSS >KQL00042 pep chromosome:Setaria_italica_v2.0:VII:35903808:35907249:-1 gene:SETIT_010355mg transcript:KQL00042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFIYLLALNLRSTRSAREEEEMAAAEMVLERRAYARVGLLGNPSDVYGGRALSFAIADFSATVRLRPSAELLIQPHPHHDLVAFPSLPHLVNRLQSEGYYGGVRLLMAICKVFYNHCIQNNISLKAENFTLSYDTNIPRQAGLSGSSAIVCAALSCLLDFYDVRHLIKVELRPNLILDAEKELGIVAGLQDRVAQVYGGLVYMDFSKEHMDKLGHGIYRRLDANLLPPLYLIYAENPSDSGKVHSTVRQRWFDGDEFIISRMKEVAQLALDGHRALLQKDYTELARLMNRNFDLRREMFGDDVLGSVNIKMVEVARSVGASSKFTGSGGAVVALCPDGEAQVELLHRACQEAGFVVQQIIVAPSALSDEELTSLLTC >KQK96875 pep chromosome:Setaria_italica_v2.0:VII:16783020:16786120:-1 gene:SETIT_011038mg transcript:KQK96875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAFRLAGDMTHLMSVLVLLLKIHTIKSCAGVSLKTQELYALVFATRYLDMFTDFISLYNTVMKLIFLSSSFSIVWYMRRHKLVRRSYDKDHDTFRHQFLVLPCLILALLIHEQFTFKEVMWTFSIYLEAVAILPQLVLLQRTRNIDNLTGQYVFFLGAYRSLYILNWIYRYFTEPHYVHWITWISGFVQTLLYADFFYYYLNSWKNNVKLTLPA >KQK99858 pep chromosome:Setaria_italica_v2.0:VII:35088352:35090808:-1 gene:SETIT_012300mg transcript:KQK99858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDEGRHHFLLVVYGFQSHLNPGRVLAHRLARLGADGSVLATLSVPAATYRRMFPSPDAAATAETTTTDGVISYVPYSDGVDDGSWGKDADDRALRRRASSESLSAIVARFANRGQPVTCIMCTMMLLPVLDVARERNIPVAICWIQPATLLAITYHYFHGYDDLIASHATDPAYEVCLPGLSRPLEISNFPSFLTDTSGSESTKAFIEVFQELFEYMDQWRPKVLVNTFGELEANVLREMKRHLDVFTVGPMVGSSTEARIHLFKHDDVDEKRYMEWLQAHPEKSVVYVSSGSVTKYTKRQMDEIVGGLRQCGRPYLLVVRKDDESHSLEDHTQGQGMVVEWCNQLEVLSHSAVGCFVSHCGWNSTIEAMASGVPIIGVPNMFDQPTNTYLVDKEWEIGIKGERNGDGVLTGTELARCIELVMGEGAKAKAIRERAKALKEMAQASASRGGSAERNLRDFVKTIQRNLKVDLAKLAEAVGLGDATVRALDKVVMPQLASWIRCSQDRMHELEMATTRTALFSLFRSSVMPPCSLLCLCSSVVLSRVVLPGAGAVLFCFFFLFLLCTVILPMLSAGVLFNIYEFW >KQK96106 pep chromosome:Setaria_italica_v2.0:VII:385188:389808:-1 gene:SETIT_010695mg transcript:KQK96106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASAFAAVFFSSAFAACFAEVCTIPLDTAKVRLQLQRKAPQSLPPAAAATGAGWAASAGGTLATILSIARDEGVAALWKGIIPGLHRQFLYGGLRVGLYEPVKAFFVGGTAVGDVSLISKILAALTTGVIAIVVANPTDLVKVRLQADGKANTVKRNYSGALNAYATIIRQEGIGALWTGLGPNVARNAIINAAELASYDEFKQMFLKLPGFTDNVFTHLLAGLGAGFFAVCIGSPVDVVKSRMMGDSTYRSTLDCFAKTLKNDGPGAFYKGFIANFCRIGSWNVIMFLTLEQVRRLFL >KQK96295 pep chromosome:Setaria_italica_v2.0:VII:3124926:3125123:1 gene:SETIT_012695mg transcript:KQK96295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISRILCIVVPWYLHQQRCILEPDSVLCTMGKFVLTTHVVRREGENKDDSHCRTGVNQLKLRWE >KQK99608 pep chromosome:Setaria_italica_v2.0:VII:33782975:33783646:-1 gene:SETIT_011255mg transcript:KQK99608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRSLPFRAAMEGGRATALWLVLVASAIVSASSSGEASANGQPATPTGPDTNVLCVSKCGTCPTVCTSPPPPSPSDAGDSTTPPTTPKSGGGYPSLPSPTGQGKGGRPSNYYYFFTAAGSRSSCAGASAYALVLLVLVSVVANLQ >KQK97480 pep chromosome:Setaria_italica_v2.0:VII:21402527:21409599:1 gene:SETIT_009564mg transcript:KQK97480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSATSPAKAHFSALFLCHDEPQHGHALPPAPHPQCSGAGNRLRARGRWSVSAAVAPAPAGAKEEEKRKPRVLVAGGGIGGLVFALAARRKGYEVTVFERDLSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMRAGCVTGDRINGLVDGISGSWYCKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNGSHVVDFIDDGSKVTAILEDGRRFEGDLLVGADGIWSKVRKTLFGHSEATYSGYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEEAGGTDPENGKKKRLLEIFSGWCDNVIDLINATEEEAILRRDIYDRPPTINWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELENAWQESVKSGTPMDIVSSLKRYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIMIGMPAMLSWVLGGNSSKLEGRPLSCRLSDKANDQLYRWFEDDDALEQAMGGEWYLFPISEGNSNSLQPVRLIRDEQRAISFGNRSDPSDSASSLALPMPQISERHATITCKNKAFYLTDLGSEHGTWITDNEGRRYRVPPNYPVRFHPSDVIEFGSDQKAMFRVKVLNTLPYESARRGKQQQQQQVLQAA >KQK97478 pep chromosome:Setaria_italica_v2.0:VII:21402527:21407990:1 gene:SETIT_009564mg transcript:KQK97478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSATSPAKAHFSALFLCHDEPQHGHALPPAPHPQCSGAGNRLRARGRWSVSAAVAPAPAGAKEEEKRKPRVLVAGGGIGGLVFALAARRKGYEVTVFERDLSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMRAGCVTGDRINGLVDGISGSWYCKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNGSHVVDFIDDGSKVTAILEDGRRFEGDLLVGADGIWSKVRKTLFGHSEATYSGYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEEAGGTDPENGKKKRLLEIFSGWCDNVIDLINATEEEAILRRDIYDRPPTINWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELENAWQESVKSGTPMDIVSSLKRYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIMIGMPAMLSWVLGGNRCIPKSNCHHLFLFQAHM >KQK97481 pep chromosome:Setaria_italica_v2.0:VII:21405062:21409599:1 gene:SETIT_009564mg transcript:KQK97481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTMPSSRTSISLPFASPATRRGSRTLRLLALPTPSAPRRRLGLPPGRARRPVSAVASAAMPAPGPKARVLVAGGGIGGLVFALAAQRKGFEVLVLERDMSAIRGEGRYRGPIQLQSNALAVLEAVDAAAADEVMNAGCVTGDRVNGIVDGISGSWYCKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNGSHVVDFIDDGSKVTAILEDGRRFEGDLLVGADGIWSKVRKTLFGHSEATYSGYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEEAGGTDPENGKKKRLLEIFSGWCDNVIDLINATEEEAILRRDIYDRPPTINWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELENAWQESVKSGTPMDIVSSLKRYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIMIGMPAMLSWVLGGNSSKLEGRPLSCRLSDKANDQLYRWFEDDDALEQAMGGEWYLFPISEGNSNSLQPVRLIRDEQRAISFGNRSDPSDSASSLALPMPQISERHATITCKNKAFYLTDLGSEHGTWITDNEGRRYRVPPNYPVRFHPSDVIEFGSDQKAMFRVKVLNTLPYESARRGKQQQQQQVLQAA >KQK97479 pep chromosome:Setaria_italica_v2.0:VII:21402689:21408626:1 gene:SETIT_009564mg transcript:KQK97479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLSATSPAKAHFSALFLCHDEPQHGHALPPAPHPQCSGAGNRLRARGRWSVSAAVAPAPAGAKEEEKRKPRVLVAGGGIGGLVFALAARRKGYEVTVFERDLSAVRGEGQYRGPIQIQSNALAALEAIDMSVAEEVMRAGCVTGDRINGLVDGISGSWYCKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDAILNGSHVVDFIDDGSKVTAILEDGRRFEGDLLVGADGIWSKVRKTLFGHSEATYSGYTCYTGIADFVPPDIDTVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEEAGGTDPENGKKKRLLEIFSGWCDNVIDLINATEEEAILRRDIYDRPPTINWGKGRVTLLGDSVHAMQPNLGQGGCMAIEDGYQLAVELENAWQESVKSGTPMDIVSSLKRYEKERRLRVAIIHGLARMAAIMATTYRPYLGVGLGPLSFLTKLRIPHPGRVGGRFFIMIGMPAMLSWVLGGNSSKLEGRPLSCRLSDKANDQLYRWFEDDDALEQAMGGEWYLFPISEGNSNSLQPVRLIRDEQRAISFGNRSDPSDSASSLALPMPQVS >KQK96297 pep chromosome:Setaria_italica_v2.0:VII:3138756:3142844:-1 gene:SETIT_012654mg transcript:KQK96297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QLSTICPLENLRHVKRVRRCTDCGKSDLSIILCLSTGSQTCSKQLPGDVQKIVDTYQLSPFIAKVAKCSATSKEEWEEQCKLWPTSYHPAHNLDIIRGFRNDELPSIFNCMKTAIQLSKAGNAAIIVDPSSMQIIAKATDQTHQHDTSAEGNKLAEVKANDTFSLDESTENNGNLLRPSSRLSKCNSLNMEVSCINPLGWTKRRTTEQKPLPCEGCFAWHPLRHAAMVAIENAAERDRMLFPSSTPITMPDSNGNLEDYSDNEPAKRLKTDTKDKEQSTDESCCGDLSETTRPYLCTGFDIYLVWEPCAMCAMALVHQRFKRVFYAFRNPVTGALGGVYRLHGEKSLNHHYNVFQVSVPETYLNGLSDC >KQK99136 pep chromosome:Setaria_italica_v2.0:VII:31126751:31127199:1 gene:SETIT_012950mg transcript:KQK99136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPAGSIDLPPATYCRAGAFPTRNARKPWPCLVGSASQSRRCPRSPSRQRCPQSPHATQNIWEKRPVRTRKLPIKFARARSRV >KQK99691 pep chromosome:Setaria_italica_v2.0:VII:34234168:34236337:-1 gene:SETIT_012671mg transcript:KQK99691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDAAGVLRVASPPPAAPPPPPVAAVAPRSSSRDGSDDERLLELVVVSRSVELQAAEDALSVAMVGGTRPEVSPAMVRDHLHQAFGIAAGAVSVHRHVPEDFIVRFRRLEDLDLVLSTPNAEGMPFSLIWRRWRRTSRASAGSFKFRVLVGMKGIPSHARSASTVQLILGSSCAKVEVAPVAVDDDDERQFFVAAWCVHPQLIPDGKIMAVPEPQPPHVVQPPLFLREEEPIISELPALRYLVRLRIIEFQDWRSPITSSDDGPGDDDDDSGDSCHYNGYWPGVDDRGRSSSWPTTVRVAGEGDPGFGSGWGPTFRTRAASHCCGTLAQALREKVQFSG >KQK98964 pep chromosome:Setaria_italica_v2.0:VII:30210595:30211074:-1 gene:SETIT_012243mg transcript:KQK98964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMLHHIETEVLLGSAKGLENFETLKAAKDRLYEGCGKEWIVLWFVLHLLILKAKFGWSDNSFNDLLTLLGNLLSKPNFVSKNTYEAKKNINPFKIEYAILKKCPNCDASRYKSNVNFCEDRAGSSIKNKRKKGAKTSVGA >KQK98982 pep chromosome:Setaria_italica_v2.0:VII:30317696:30322941:1 gene:SETIT_011905mg transcript:KQK98982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVEDAFLEGASLWLAQTILQSLFTGKLDEWIRQVGLADDAEKLKSEVERAEAVAAAVKGRTIGNRALARSLARLREVLYDADDVVDELDYYRLQHQVEGVTLHSAIEQEGMGGDGEQQVDAARDSVAMLPSSSDRKKRSKAWEEFRVKESADGKPVKAECIHCGTLVRCETTKGTSVLHNHLKSESCKRKRAAIEQTPDPPSAGDGAQNGATVSTHNSDIRKRMRIVEVSAHNTAAKTHAWTKAEFCNKIQQTTYQLRKATNEIQMLSVSGSVAYSNLCGNTTADPCRRTSSVVQCKMYGRVDEKNSIIKSMTEVKFDDVIIIPIVGMGGIGKTALSQLVYNDPIVKSQFDHRMWIWVSSKFDEVRLTMEMLDFVSQEKCVGIRSLAKLQEILVTHVTSNRTLVVLDDVWDDIDDCRWNKLLAPLRSDNAKGNVIIMTTRKLSIAKRICTVEPVKLGHLQNDDFWLLFKTCAFGDENYKEHPSLTIMGQQIAKNLHGNPQAAQTAGMLLREHLTTDHWSHILKNEKWKSLQLNGGIMHALKLSYDELPFYLQQCFLYCSIFPNDHQFISSELIYIWIAQGFVKCSCSTERLEEMGQRYLTDLLNFGFFEQVETKDPTLGDKILYVMPALMHDFARLVSGNECAAIDDLTCREILPTIRHLSILTYSAYQEDKYGDILRNEKFEGKLQRVVNSTRKLRTLLLIGKYDCFFLQCLQGLFQKAQNLRALLFSAAYADFCYSECNLVNSTHVRYLKLRTEEDNEPLPEALSKFYHLQVLDIGLDRYSTVHNGMNNLISLRHLVASKEVFSSISRIGKMTSLQELHDFKVDNCTSFEIAQLHSMSELAQLGVSQLEKVVTREEAYGANLRGKSHLEKLHLSWEYTSQHEYDINIISEPSYEVGEIGTSKVVLEGLEPHHSLKHLQISGYRSGTSPNWLVSTVSVTCLQTLHLEDCRELEVLPSVEKLPLLTKLKLRNMWKVRQVTVPSLEELVLINMPKLECCSCNSVMDLNSSLRVLKFEQCHVLKVFPLFESWKKLKIERKSWLSCVKELTICECPHLMVPNPLPPSSNVCKLHIARVSTLPTMEGSSSEELVIGGHNLRENNGLTELDDRILSFHNLRALSRLRIAGCQKLSSISLEGFRQLISLKTMDISYCFNLFSSDVPPPPEHTHEDMTDINFNALPSLKHLRIEFCGITGMWVSVMLRHAPALEELRLDDCDQISGLLIEVGDSSSSNHTSAPRAPSAGNPDDALTSSTPDGLLRIPSNSVSSLKKMSILWCGELTFQGNKDGFSVFTSLEELTIRGCPKLIPSLVQTYENNDQRNGRWLLPYSLGKLEIDEGNFTCLQKLDLFDNPRLKSLQLRSCTALEELTVRSCESLAALEDFWSLRCLRYLVISKCPGLLPYLEHLSSEGYELCAGLERLDTDYYSFLTTSFCKCLTSLRRLELHDPTGEVTGLTEEQERALPHLTSLQELRFEDCSNLAGLPVGLHSLSSLKRLEINYCPFLSRLPEKGLPPSLEELKILGCSEELADECRMLATKTRKPKVKIGGKY >KQK99670 pep chromosome:Setaria_italica_v2.0:VII:34144350:34146329:1 gene:SETIT_010257mg transcript:KQK99670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASKNAGHTSGSTSLTSSGPYVCADLLDSLLHEIIVLINSFHDFLAFTGTCRSWRTAVSSFPSVYTFSFPPLHLKPDGPYVRPHSRGFQPLLLSNCKWQLSDPCKKNLSCCCSVPQNTPDTMHYLGCSYGYLIFTYEEDCLLVDAYTGAKVKTPNLPCDNELGFTSGIGILTAPLSSPNSCLLLFSRASMFEWQVGTNSWSVHPFDLDRERIHQIVSFKGHILVIDALMRLHSVQLTPQFRMQEIAIMWRSLQVFPVNPWLVACGDMLLMVELSYRSVSSFGLDDASRIFEVFRLDFSVEPAKWVKMEKLENQALFVSYDKRNPAFSCMNPERWGGKSNCIYVARLFDDQDEAWTAVELGELVRNCGTCHNMMYSFTFPPDYSQIGSLWLFPSLVYGASQ >KQK96228 pep chromosome:Setaria_italica_v2.0:VII:2043119:2048089:-1 gene:SETIT_011009mg transcript:KQK96228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRWKGFDMSKPKEIECQISSLKEELESLYDEEFRLDDEIREARDKLQALTLDEDKRKFLYLSKEDINKIPHFQGSTLIAVNGPHGTCVEVLDPNADLYLYGNLGLQEKHYKIVLRSSMGPIDCYLISDHQEILNPDQQVASDKLEPVVAAGSSQAVQEMDCDPNQTPEKGHSNAVCTHASELSRKHEIMSGILRIVPSHSDANSDYWLASDVDASMTDAWGT >KQK98396 pep chromosome:Setaria_italica_v2.0:VII:26725962:26726759:1 gene:SETIT_011309mg transcript:KQK98396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKASIALFLAVNLAVFAMASACGGSCPTPSTPSTPTPTPASFGKCPRDALKLGVCANVLGLIKAKIGVPPTEPCCPLLEGLVDLQAAVCLCTAIKGNILGINLNLPIDVSLILNHCGKTVPTGFKCL >KQK97186 pep chromosome:Setaria_italica_v2.0:VII:19497178:19500496:-1 gene:SETIT_009691mg transcript:KQK97186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGALVIRVACAWLLLLQLTGASHVVYNYKELETEAATANVPPSIVDPLLRTGYHFQPPKNWINDPNAPMYYNGWYHFFYQYNPKGAVWENIVWAHSVSRDLINWEALETAIEPSIPGDKYGCWSGSATTLPCGTPVIMYTGINRPDVSYQVQNIAFPKNKSDPMLREWVKPGHNPIIVPEADINATQFRDPTTAWLSGGQWRLLIGSAAEGGSRGVAYVYRSRDFRRWRRVERPLHSAATGMWECPDFYPVEAGYADGLEASASGPKVKYVLKNSLDLRRYDYYTVGTYDEKAERYVPDDAAGDEHHLRYDYGNFYASKTFYDPAKKRRVLWGWANESDTRTHDVAKGWAGIQAIPRKVWLDFSGKQVLQWPIEEVEALRHQPVTLKDKVIKPGKHVEVTGLQTAQADVEVSFALSSLEGAETLDPALAHDAERLCGVKGADVQGGIGPFGLWVLASADMQERTAVFFRVFKAAGKDKPVVLMCTDPTKSSLNPDLYLPTFAGFVDTELPDGKISLRTLIDRSVVESFGAGGKTCILSRVYPSLAIDKNAHLYVFNNGKADVKVSLLTAWQMKKPLMNGA >KQK96710 pep chromosome:Setaria_italica_v2.0:VII:14325418:14326286:1 gene:SETIT_011192mg transcript:KQK96710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSSSSIALTCCLLLALVASHAAFAAAAQCTFEIIVKTGGREDAGTDSRVSLQVSAATGRTLTITNLESWGKMPAGHDYFERGHLDRFSGKGRCLPSEPCKMVLRSDGQGHKPGWYVDYVKVTQLGSRGSPKQRRWAVDQWLAIDEAPNQLFASRDGCGFAAALP >KQK96798 pep chromosome:Setaria_italica_v2.0:VII:15631211:15632387:-1 gene:SETIT_011174mg transcript:KQK96798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIAGIIYTSRAIDFGGIQRIIAYPANHHERSALLALYNALSLRGDLTVPQEAEHQVPLQSLVSRLQRKYRRSHLGLGGGDVITRIEMNNCITALSRAINVSVDFTKSDGITSNTVNLEVFEHLKVSLHHGRIVDHENEVVANAIGVGPHEVFRAADPDAPIQIINEGTKL >KQK98945 pep chromosome:Setaria_italica_v2.0:VII:30101431:30102513:1 gene:SETIT_012267mg transcript:KQK98945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRALARRPAPPFLRLRSIVCDDGYWMGRLDHKDWLAPNEVLKIFANIRDPGLITSVFNKACNRRDYKPSEALYSLMIDKLACARRFSDVEELLAKARAEKFRFSDEFFYRLIKMYGNVAEHPQKAIDTLFAMPGYNCWPSTKTFNYVLHMLVCKRQYEVVHEIYSSAPRLGVTLDTCSFNILVKGLCQFGKIDEAMSLLHEMPKQGCQPNVTTYSTLMHFLCQRCQVDKAFELFERMQKQDIAADTVVYNILISGLCKEERVTEAFGLFKSMTSEGCYPNSGTYQVLLDGLISSGKFGEAKNLISVMSTESVRPSFQSYKLLIDGLCSDDCLDDAHLVLKQMVGQGFVPRMGTWRKLLTS >KQK99262 pep chromosome:Setaria_italica_v2.0:VII:31951244:31953606:-1 gene:SETIT_009598mg transcript:KQK99262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCDCIEPLWQADDLLMKYQYISDFFIALAYFSIPLELIYFVQKSAFFPYRWVIIQFGAFIVLCGATHLISMWTFTAYTKTIAVVLTVAKVATAIVSCITALMLVHIIPDLLSVKLRERFLKAKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVEMGRTLGLAECALWMPSRSGTTLQLSHTLHNNAPLGSVVPINLPIVTAIFNSNRAERISHNSPLASIKTKTSRYVPPEVVGVRVPLLQLTNFQINDWPELSAKAFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMRAIISLSSLLLETKLTAEQRLMIETILKSSDLLETLSNDVLDISKLEDGSLELEIAPFNLHATFTDVVDLIKPVAAFKKQSVMVHLAPELPTWAIGDQKRLMQIILNVAGNSVKFTKEGHISITASVARPDSLRDPYAPDFHPVLSDGSFYLAVQVS >KQK99261 pep chromosome:Setaria_italica_v2.0:VII:31949790:31953606:-1 gene:SETIT_009598mg transcript:KQK99261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGCDCIEPLWQADDLLMKYQYISDFFIALAYFSIPLELIYFVQKSAFFPYRWVIIQFGAFIVLCGATHLISMWTFTAYTKTIAVVLTVAKVATAIVSCITALMLVHIIPDLLSVKLRERFLKAKAEELDREMGIIRTQEETGRHVHMLTHEIRSTLDRHTILRTTLVEMGRTLGLAECALWMPSRSGTTLQLSHTLHNNAPLGSVVPINLPIVTAIFNSNRAERISHNSPLASIKTKTSRYVPPEVVGVRVPLLQLTNFQINDWPELSAKAFAVMVLMLPPDSARKWRPHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNIALDAARREAEMAICARNDFLAVMNHEMRTPMRAIISLSSLLLETKLTAEQRLMIETILKSSDLLETLSNDVLDISKLEDGSLELEIAPFNLHATFTDVVDLIKPVAAFKKQSVMVHLAPELPTWAIGDQKRLMQIILNVAGNSVKFTKEGHISITASVARPDSLRDPYAPDFHPVLSDGSFYLAVQVKDTGCGISPQDMPHTFTKFAHPQNATNKLRSGNGLGLALSRRFVTLMQGNIWLESEGAGKGCTATFFVKLGLSDNKPNANLRRIAPPVLLKQGAAGPDTSSIANSDMPILPLCYQSVV >KQK97909 pep chromosome:Setaria_italica_v2.0:VII:23911184:23912200:-1 gene:SETIT_010934mg transcript:KQK97909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDVQVAEARAFYGFQIAIENIHSEMYSLLLETYIRDGAEKDHLFRAIDTVPAVRRKADWAMRWIDGGERFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYDLLRSKLDEGRVREIVADAVDIEREFVCDALPVALVGMNGGLMSQYIEFVADRLLMALGHQKMYNVANPFDWMELISLQGKTNFFEKRVGEYQKASVMSSLNGGGAANHVFSVDEDF >KQK99600 pep chromosome:Setaria_italica_v2.0:VII:33698758:33701719:1 gene:SETIT_012606mg transcript:KQK99600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NIVCEVCGDAGFKHLLLSCSDCKGAHTHQYCLDKILYDASSVDWFCKECHQRHSEGSYIRSLGKVSIERPSSHIHFVTTSQHPITKRLESARVFSPRGQRKSAYVKKKYSSRMNYLQKKCIRKNSNMGGMGISRRQCRDASIASTKISSEAIALKASATEVEISDDVDNFGKDKPRKRRRLILDDDDEVDEEKAEDVQKENVNPQPPKCDEPMTKHRVDTEYFVEETVQTGELNDQNLINGRLVKRRRRYIAENEDEEDIVGSDNVECALNDATNQSLNDGANMVPQTLVATGHSQQSRPSHSEYDDQEYYIYSQPLDEPVWSGVFKIDTEVLMLDAHLSSKACERVRELSASLQSVVEVKKLPRSQAWPKSWKSFGPTDDNIGLFFFPNSSRQNEVSNRLVNGIIKSDGALKVAVGMAELLIFPSSLLPEQYH >KQK97527 pep chromosome:Setaria_italica_v2.0:VII:21706452:21708907:-1 gene:SETIT_010788mg transcript:KQK97527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILQSLCLGFLPLLDAVGGLVSTLHSSIALHSPSHGGQFIHGVDLLDTSHVPVDLVFPCACNVASARRSQRSRTKLQRRKGSVFGGGSSRRDGGSFRRLVARHEQHLTCAVVVAALQVFLHLTRANATTLFLPLLSQATGSGRGAARVGDAVLVLVNTCGVLGSALAARELGREAMCAIGGVLIVLWQVAVPAVAGGLGGSARMAGGRAGTRRGCSRWRAPCRAGSAGRGAFWAVPGEGIRSVGQAAGAALGFAQMQCFLLTLRQLKHAAFAYYAVWIWS >KQK99603 pep chromosome:Setaria_italica_v2.0:VII:33743311:33745817:1 gene:SETIT_012315mg transcript:KQK99603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPRKHVPFRPKARVPNRQKSKIMKMSLKDLRKTQENMRLAGPQHGKQYHGQLEAKIAKKSDSKLSQVQPTSKDMKQHSTLSLKNHWPRPDMDVVLNEPFPLKKKRDVPTRLKAMSELGHKETKRLKERPDFDQQDFSKSTEFSISNGPNAPKASKILVLENNCSNEGKERPDGIVSTKEKGKYNTRASHAICAKQEGPKSLKGIQHMQQLQRNLEKALSKNDNQRDLIEINFESSNRGQVAKCDGGTNNDMSKKRKEPLLEEKAKTIEDDGNKDVQGNNTASRLMKKQRCVKGKDDEEGHEGGGNHNPIGVGDDNTRSLSQDNISKDGHVKVSMVTFVEQQHHCCSKPIDKPNWSGIFKIDGGEYISLVGHLSTKSCEKAWSLSRQLLPLVELKRLPRSEVWPKAWEASKPSGDNIGIYFFPHVMRQDKDLDELIKEVMENDLVLRAIIGDAEMLIFPSILLPNHHKTFQRKHYLWGVFKPREDIGAVVAEPLSAIGRCAQEVEKEKQQHVSDQKDDNLQREEPNTINHRPPNMSSDLNAPEEGTQEEAEATPVATAAAASPANYGQIDPSMGLPLGRLMGFVVRQTPKLEQLIQEMKRE >KQK98811 pep chromosome:Setaria_italica_v2.0:VII:29330997:29334801:-1 gene:SETIT_011180mg transcript:KQK98811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALARLLAARTLTLPRAAAGLSSALRHRTSRALSAKVEFVEIDLSEESPSSSSGGGGAGDSAKVQAQMGMRRLEDAIHGVLVRRAAPDWLPFVPGASYWVPPMPRPLGVADLLGAAVHTARGATAMTAEEAMSFTTVRGWPSAAYFVEGKFSHPVKRPRNDATQTDDEES >KQK99360 pep chromosome:Setaria_italica_v2.0:VII:32555658:32558165:1 gene:SETIT_012403mg transcript:KQK99360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLMHDLAKYVSLGECARAVDVASLENVASTVRHICIEHINNLSAEKIIKITHLENLRTIIIKGMKPINEVTLNIVEELVQSSKSLRLLQTNLWQTSHFVGKLAILKHLRFVGLQWIPPESICGVIKLYHLTTLECRYMRIEQEQLRDVANIEAQVNLMKLSISNFSGIIVSLWAEKLVVQNLVKLELQGLGSCEQLLAIEKLLTLEDLMLVNIPRLEKIGQGFDVSGYECTELFLPPNLHNLVIRNCPKLKELPLLPPSLKSLYIKEVGLTKLPGVGKVNNRSSETASFRWLSIVVQSCQSLTSLEGSLFEQKQHMEAVTYLRITDCVQLESAPLPFEEMKQLSRLDISCCPKLRTLRGSEDKLLTSSLRRLTIGHFGELEGSLQGIPLPDTLKSWVNVSIVECESLSSLGGLESLPLVRSLEITGCCKLTEAGLSLNLDVSGGGEEHLVVPRSSLRIQKLRIDHPSLLLVEPLNSLCQTNKVILDGSEMESVPEGWLLQNRSSLKSLSLLQAKSLESLPSSMQDLSSLEKLCLTSTGKLRSLPYLPSSLKKIYLSRCNLDLEKNLREFGSLEQTKIYSYFIMGKQCNKETFEKLR >KQK97631 pep chromosome:Setaria_italica_v2.0:VII:22272347:22280395:-1 gene:SETIT_009319mg transcript:KQK97631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPTGGAAASSSSSKKQKPKQKQRGGVDFKKYKHKVGRKLPPPKNATNTEIKSKAIVLPEQSMASERAGMAVNKRGLTLRELLQQTAHYNANVRRAALNGIKDIVVKHPTELKLHKVAIIEKLQERICDTDNVVRESLYNILQSLIFPSLKQDNAISTRSTLFLLMANILNGMTHLSIDIQLMAFRILELVVLNFPSSFPSYAEQVFNNFVVVLSNDRINLHDRNKLNSVLGGLGHCLSLVAKVTENDDTSNQQVHNLSARELWKCTTDEDNSGGAFAMPNLLVKLQSLVQILINSVEVSASELCAKSAIDAQSSEALLSALHCLDIICRIFIHEVKKPQLKFSISKTQFGPDWLRSSLLIHLKNLWGVKRLFHEKGEDKFFIFNLKIAEIFLYLSAWIDSTMFPAEEFCHFVSSLFTKAKTLRNKDILEMYLSPLITSIPDLIFNSPDDSKGYLLEAFTDAFRDCKVDCKLILPYLDAVGEMLLPEKTGIWLPENDSGMLGYQDAWIHELPRILLKSIDKAPPVTKAVLELLLRIGQYFPTMDCENLRPFIKLFGVESSSGTVELGPFVNLPRDCQELAISCLYYFPSLLPDVIRPLASCCLSDVLEPLILLKVVEVLQSTYKAGGLQITEQLSFLSLLMARFRVHPGGLVTPEDPSKVSNWDTFKLLNRIILTSLSEMGDGSLVLELMWNNLSNAIAQKPSMHNMNGLFRIIVTLDAGTSKLMNEDVVKLIAGYLVDASLDLSKTIEFGFQPDKTRLFQYFIKPCTIMFAKNDKVLFSTLEMLKSFVTGDDHLFSSLSKLNYPGELSCRICVVTTILIFLCNDRKLRRNLSFGKSVIKGILDYIRHQLDSSVPNVTYEEKQKSKSAFEQLKTKALQLNCWDRSELEGLSITR >KQK98702 pep chromosome:Setaria_italica_v2.0:VII:28711136:28716730:-1 gene:SETIT_009327mg transcript:KQK98702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTQALKKNYRCDRSLQQFYTGGPFAVGRPPAGEGEGEGGAEADPFLACACGGEVRVVSAADASAIGEPVDGDSEAITALTLSPDSRLLFAAGHSRLIRVWDLATRTCIRSWKGHDGPIMAMACHASGGLLATAGADKKVCIWDVDGGFCTHFLRGHTGIVTTIMFHKDPKRLLLFSGSEDGTVRVWNLETKKCVAVLKEHFSTVTSLTLSDDGQTLLSAGRDKVVTVWDVRKYSSKKTIPTYEMIEAVSFIGSGSELLACLGIELVNMKGGSDGYFLTVGERGVVRIWCLESGRCVFEQQSSDVTVNSENEETRRGFTSAVMLPNDQGLLCVTADQQFLFYCPKKTDEGTFQLSLYRRLVGYNDEILDLKFVGDEEQYLAVATNLEQVRVYDVASMSCSYVLAGHTEIIVCIDTCVSSSGKTLVVTGSKDNTVRLWDAERRRCIGTGKGHLGAVGSVAFSKKSKNFFVSGSSDRTIKIWTWDDTLDDADDEVPLRAKAVVAAHDKDINSLSVSPNDGLVCSGSEDRTACIWKLPNLVSSVVLKGHKRGIWSVEFSLVEQCVMTSSGDRTIKIWSVADGTCLKTFEGHTSSVLRASFLSRGTQVISCGSDGLVKLWTIKTNECIATYDKHDGKVWALAVGRKTEMLATGGTDSILNLWHDCTMEDKQEDFRKKEEEVLRGQELENAVSDADYTKAIQLAFELRRPHRLLELFSQLARRADSEDPIEKALLGLPKDGLRVLLEYIREWNTKPKFCHVAQFVLFRVLRTLPPTDILEIKGISELLEGLIPYSQRHFNRVDRLVRSTFLLDYTLMRMAVVDPDVDAGTTKDDMNGSSVENNETAEAQPASPITEKSSKKRKQGKSSKKGKEKKVKLASKDVSVEA >KQK96537 pep chromosome:Setaria_italica_v2.0:VII:11229647:11234962:-1 gene:SETIT_012329mg transcript:KQK96537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KPFESPSQLLDPKEQRRKRDRDRYARMTDQEKQEKLKKCREAYHQQKRTSTNSTQIQKKCSQVTDQEKQQKLQKKCMHERERYANMQPNQKKAKLEQDSANRARRRDTLSKNSIAMENPANRNEKFTARTMEKTPAPPDEDCYTNTKVIDDLESTKQPTNMKEGNTPNCIYDSHNQIKHASIFYNVNNLSWTYIPYSMCPASKDGEEPNQSFIDDEQYAEWEDMEVQIKENESTVPEDSNINDPYDIVYSNIPDNTHMLKPVENCKYCNAKKFHHEPEGLCCRKGQIKLANLETPHQLMRLWTSNDSDAIHFRKNIMFSNGHFSFTSLYCRLDRDTTTMRNSGIYTFRAHGQIYHNIRSFGKDGSDPKHLELYFYDDDPTLEHRYRYCRKEMYEQDKHVLLIIINTLRNNPYSEQFRSLGQEENLEYRVMLNLDQKLDQRTYNAPITSEVAAVWIEGNERRNTFDRNVILHGNNNEIQAIRSYTGCYDPLSYPLFFPRGELGWHADIPKVGITTEDVKRARANQNNKNNDPDSSGRMWVTMREYYCYKFHVRPNIFNQILYGGRLFQQFAVDTYIKVESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDAVGKRTVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEEIMNELEFGQTPQDRPDLVVRVFRAKLEEMKKQLLQEHIPGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISVELPNKQKYPELYKMVIKHMMHGPCGTLNKNCPCTKNRKSCKNYYPRQFNATTIQGKDSYPLYRWVVPYNPYLLQMFNCHINVEVCSSIKAVKYLYKYIYKGHDRASVCVNGTSEKEDIDEIKQYRDARWVTPPEALWRIYGFELSKTNPPVMQLQLHLTNMHMSMLTAYFEANRTHEKARGILYRDFPEHYTWQTQGKFWQQRKRKTLYQVGRIVSAHPAEGERYYLRVLLNHVEGATCYEELRTVDGQILPSFREAADRRGLIEADNTLDDCLTEAELFRMPSSLRRLFATILVFCEPHDIRALWNNHIEAMSEDYRRNCKNARTVEQMVLINIRDMLQSMGKDIQSFPLPKIDEQNDTKDNTPREITEESNIEVDPEDMELPKHLNDEQKAAYNEILTAVDHDGGGLFFVDGPGGTGKTFLYRALLATVRGQGKIALATATSGVAASIMPGGRTAHSRFKIPLRIDDGAICSFTKQSGTAKLLQATSLIIWDEASMTKRQAIEALDKSMRDIMDKPNLPFGGKTVVFGGDFRQVLPVVRKGTRSQIVDASLRRSELWNYMRHMKLVRNMRAQNDPWFAEYLLRIGNGTETNDKGEIRLPKNICIPRTKDDSGLDRLIDSVYQMNSACLEDPNYITSRAILSTRNDCVDRINLKMIERFQGEEMVYHNFDTVEDDPHNYYPPEFLNILTPNGLPPHMLKLKINCPIILLRNIDPANGLCNGTRLVVRGFQKNAIDAEIVLGQHYGTRVFLPRIPLCPSDDEMFPFHFKRKQFPVRLSFAMTINKAQGQTIPNVGIYLPEPVFSHGQLYVALSRATTAKNIKILTAENDDEDEDQKQDNKIKPSEKNKKKKKKKSKSDMTHKKEVNQKDTTDRYTKNIVYSEVLTK >KQK97734 pep chromosome:Setaria_italica_v2.0:VII:22957013:22961156:1 gene:SETIT_009805mg transcript:KQK97734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPWGGVGAWALDAERAEEEEREQAAALPAPEPPAPAGGAASFPSLREAAAATGGGKSKKKNKGTTLSLSEFTTYGAAAAHRRAAPVEPRGLTPEEMMMLPTGPRERSAEELDRSRGFRSYGGGGGFGGGERRGGFDDEGRRGPGRSSDLDMPSRADEVDDWGAGKKFTPAAADSGRRDRFGGPSPLGRADDIDDWSRDKKPLPSRYPSLGSGGGGGFRSSPGFRDSPGFRDSAASSDSDRWVRGATPLPHNGEGMGERPRIVLNAPKRDPSATTTPPAEVARNRPSPFGAARPREDVLAEKGVDWRKIESEIEQKTSRPTSSHSSRPNSAHSSRPGSPGSQVSAVGSEGAPRARPKVNPFGDAKPRELVLQEKGKDWRKIDLELEHRAVNRPESDEERNLKEEINLMKVDLKEIEGKISDDSDQASVDAKNLAEKITQLESQLEQLTRELDDKIRFGQRPRSGAGRVTALPPSPAEEPQATVVDRPHSRGGMEPHARPEERWGFQGSRERGSFDGSRSSDRPMTRQRW >KQK98082 pep chromosome:Setaria_italica_v2.0:VII:24836100:24837569:-1 gene:SETIT_010374mg transcript:KQK98082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSHHLAAHSCSYTTTYTHCSIGFSPRVRTAGWIRAAADGGDGGADRRRRGASLAADGPRVVEVTAATVASGAAGEAGTGSAGFGARDAELAMWDKLGAVVRLSYGIGIYGAMALTGRFICQMAGIDCTGGFHPSLTALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFFGMSPWQFMLIVTASSIGEELFYRAAIQGALADIFLRSTELMKDARGIASLSGIVPPLVPFAQTFAAVITAALTGSLYYIATAPKGDPCSRRSKFARSYSKFSLYSSRINGIPPEKC >KQK98081 pep chromosome:Setaria_italica_v2.0:VII:24835201:24837836:-1 gene:SETIT_010374mg transcript:KQK98081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSHHLAAHSCSYTTTYTHCSIGFSPRVRTAGWIRAAADGGDGGADRRRRGASLAADGPRVVEVTAATVASGAAGEAGTGSAGFGARDAELAMWDKLGAVVRLSYGIGIYGAMALTGRFICQMAGIDCTGGFHPSLTALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFFGMSPWQFMLIVTASSIGEELFYRAAIQTLLMW >KQK98084 pep chromosome:Setaria_italica_v2.0:VII:24835639:24837569:-1 gene:SETIT_010374mg transcript:KQK98084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSHHLAAHSCSYTTTYTHCSIGFSPRVRTAGWIRAAADGGDGGADRRRRGASLAADGPRVVEVTAATVASGAAGEAGTGSAGFGARDAELAMWDKLGAVVRLSYGIGIYGAMALTGRFICQMAGIDCTGGFHPSLTALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFFGMSPWQFMLIVTASSIGEELFYRAAIQGALADIFLRSTELMKDARGIASLSGIVPPLVPFAQTFAAVITAALTGSLYYIATAPKDPTYVVTPAMRSRSGRDNLKKLFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQVQYSAGSRINGDELRFVLRNITLD >KQK98083 pep chromosome:Setaria_italica_v2.0:VII:24835201:24837836:-1 gene:SETIT_010374mg transcript:KQK98083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGSHHLAAHSCSYTTTYTHCSIGFSPRVRTAGWIRAAADGGDGGADRRRRGASLAADGPRVVEVTAATVASGAAGEAGTGSAGFGARDAELAMWDKLGAVVRLSYGIGIYGAMALTGRFICQMAGIDCTGGFHPSLTALVEGLGYAAPPIMALLFILDDEVVKYSPHARAIRDVEDEELRSFFFGMSPWQFMLIVTASSIGEELFYRAAIQGALADIFLRSTELMKDARGIASLSGIVPPLVPFAQTFAAVITAALTGSLYYIATAPKDPTYVVTPAMRSRSGRDNLKKLFAAWYERRQMRKIYSPLLEGILAFYLGFEWIQTDNILAPMITHGIYSAVVLGHGLWKIHDHRRRLRQRIQQVRSQGKSSDSL >KQK97349 pep chromosome:Setaria_italica_v2.0:VII:20692638:20701520:-1 gene:SETIT_009183mg transcript:KQK97349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIERYNAAAGEGTALCGIFSDIHRAWATVDNSFFIWRFDKWDGQCQDYNVDEQAICAVGLARAKPGIFVEAIQYLLVLATPVELVLVGVCCSASADGTDPYAELSLQPLPEYMIATDGVTVTCITCTDKGQIFLAGRDGHIYELQYTTGSGWRKRCRKVCLTTGIGSLLSRWVLPNAFKFSTVDPIVDMVIDDERNTIYARTEGMKLQLFDLGANGDGPLTKVTEEKNIVDPRDAPYGGRRSNAQRSARSPKPSIVCISPLSSMESKWLHAVAVLSDGKRLFLTTSGGSGSSVGLSSSLQRPTCLKIVATRPSPPLGVGGGLTFGAVSAAGRAHPEDLALKVESAFYSAGALIMSDSSATAMSSLLAVQKDSAAQLSLPSTFGTASRSSRALRETVSALPVEGRMLCASDVLPLPDAAFTVQSLYADVECFTSFRKPSEKASIKLWAKGDLPTQHILPRRRVVVFNTMGLMEVIFNRPVDILRKLFDGNTLRSQIEEFFNRFGAGEAAAMCLMLAAKLLYAEDSLISNAVSEKAAEAFEDPGLVGMPQIDGTTALSNTRTQAGGFSMGQVVQEAEPLFSGAYEGLCLCSSRLLYPIWELPIMVIRGPAGANEHEDGIVVCRLSAGALKILESKIRSLETFLRSRRNKRRGLYGYVAGLGDSGSILYKTGPIMGSGGRGNGRSPYNSQIRDMNPTDQSASSKKPRLVYTSAELAAMEVRAMECLRRLLRRSGEALFLLQLICHHNVVRLAQTLGNDLRKKLVQLTFHQLVCSEDGDQLAMRLISALMEYYIGPEGRGTVEEISTKLREGCPSYFNESDYKYYLAVECLERASMTNNPDERDILARDAFNLLTKIPDSADLSAICKRFENLRFYEAVVRLPLQKAQALDSNADVINGQIDPRHHDMITLQREQCYEIVMNALRTLKGVGQGADKSSGLATAVDPASRSKYIKQIIQLSVQWPDTVFHEHLYRTLIELGLENELLEYGGSDLVSFLQSAGRKHQEEVRAVSSLTSGAAKLQDLGAPISTSQTKYLELLARYYVLKGEHIAAARMLLILAERQCSNSEEAPTLDKRYEYLRNAVLQAKSAGIAADSSRNPIDSSTVDLLEGKLAVLRFQMQIKQELELMAARVENILSNSESPNDPFPRDNILADAEAAKAAKDKAKELSLGLKSITQLYNDYAVPFNLWEVCLEMLSFANYSGDADSKIVREIWARLLDQALTRGGVAEACSVVKRVGSKLDPADGACLPLDIISLHLEKAALDRLSSGEELVGDDDVARALLGACKGLPEPVLAVYDQLLSNGAIMPSLNIKLRLLRSVLAILREWGMSVIAHRLGTTSAGASFFFDGTFSLNQTGTANQGARDKIISLANRYMTEVRRLNLPQNQTENVYRGFRELEEKLLSPY >KQK99601 pep chromosome:Setaria_italica_v2.0:VII:33705074:33706111:1 gene:SETIT_010724mg transcript:KQK99601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADETVLGKEQDGSVNNAAEQGQLQEPDLLNQRDEALYESSDQETCAVKHVVHIENQLLVECDHEAEKEAAKAATSEGTTSPGSSWSSAKMNSPKVGSNCCVEPRNYSKLDAHGDLDQQEDFTSLPANASSITEQSSDSGPAAVSTKLIKPVEHGHGQPHSGSEPSTRKLFGFVTARTPRSQQLIQEMVSEGALLFPVPEEVVTTGSITGISTRVVSSEMNPDTERLHLSEPPQAFDFVSMGHCEPGADSEACLELFPVRQEHIGWAPKADVSREVDLDLSLGKQSRAPSLPPLL >KQK99939 pep chromosome:Setaria_italica_v2.0:VII:35461097:35466739:1 gene:SETIT_009577mg transcript:KQK99939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLKSKFKLATAIGIMLSMLSLLVHLFLANYSAGGITKGSMRMDDVLPFGPRPRPRRLWGPLSKLDHLHPFAKPIKPYAAPSKHNGFIYAKIYGGFEKIQSSICDLVAVARLLNATLVIPEIQATTRAKGISPKFKSFSYLYDEDHFVDALSNDVAIVRGLPKDLREARKKIKFPTVSPRNSATPEYYITEVLPRLVKSKVIGIIVNGGNCLKSILPASLEEFQRLRCRVAFHALRLRPQIQALGSQIVGRLRASGRPYLAYHPGLLRDTLAFHGCAELFQDIHTELIQYRRNQMIKRGTVKEPLTVDSVSRKMAGLCPLMPEEVGLLLQALGYPPTTIIFLAGSETFGGQRMLIPLRAMFANLVDRTSLCSQKELSDLVGPEDPLASDLPQSPPPKSEKQLIEEWKRAGPRPRPLPPPPARPFYAHEKEGWYGWIGENDTEPDASSIEFRRQAHRLLWDALDYFVSVEADAFFPGFHNDGSGWPDYSSLIMGHRLYQTPSGITYRPDRKIVAALFENVSDHRYHPPRNWTIAAREHLNRSAGVEGVVSSAMLSRPVSFLSHPLPECSCITPKSPAVQPVKDRNGRLLFGGEEECPEWMARHLAMASAKNSEPQNEDYEDELPEDDSSPGTQQESDRSDANKSSEQDEEMDPDD >KQK98651 pep chromosome:Setaria_italica_v2.0:VII:28465589:28467803:1 gene:SETIT_012792mg transcript:KQK98651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELIPKDSNGGFLGLVNNPGNPANTYFPATVAVEFDAFRNVWDPNNTVNHVGVDVNGIASAAYAALPDGCFNGTMSAWVRYDADAGTLSATLRFDDQPGLLGLYKVSAPVDFRAVGLPQQAAVGFSAATGDYVERHQILSWSFESTLANLSKATRGFSDDWKLGEGGFGSVYRGFLQDQGLHVAIKRVSKTSKQGRREYISEVTIISRLRHRNLVQPVGWCHEGNELLLVYVLMTNGSLETHLYSTSNVLTWPIRYNIILGMGSALLYLHQEWEQCVVHRDIKPSNVMLDASFTAKLGDFGLARLVDHTCAARTTMVAGTRGYIDPECAVTCRATTRSDVYSFGVVLLEIACGRKPVIHEEDEGRVLLVRWVWELYGSGELLAAADARLLDAPEAEVERALVVGLWCAHPDSAARPSIRQAMGVLQFEAPLPELPLEMPVAMYGPPGGGGESNSGRSGMTEQFQCTRCSS >KQK98572 pep chromosome:Setaria_italica_v2.0:VII:27989008:27989351:1 gene:SETIT_011858mg transcript:KQK98572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPAKFLVQNAIRHIKPVNGRAMTTQKHGKENTSSESAITKDENVEPLVAFSRPPPLPPVLGPLFVLSFFEMSSGDEDNK >KQK97510 pep chromosome:Setaria_italica_v2.0:VII:21582996:21583217:1 gene:SETIT_012472mg transcript:KQK97510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDARTLRAASVPTAVDTSAARPWSGPGGAAPNAAVPVDVPALWGDEGRMKRELVAWAKAVASMAIRESMQR >KQK97800 pep chromosome:Setaria_italica_v2.0:VII:23327088:23328672:-1 gene:SETIT_0097552mg transcript:KQK97800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTSSSRRGAGARWTGCGSTPAWACSTSRRGCPGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAELDAGGVPVRATPELLARVLEEEMARVEAEVGTERFRQGRYAEAGRIFGRQCTAPELDDFLTLDAYNLIVVHHPGGELRIFVLRRKVVT >KQK97801 pep chromosome:Setaria_italica_v2.0:VII:23328034:23328672:-1 gene:SETIT_0097552mg transcript:KQK97801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTSSSRRGAGARWTGCGSTPAWACSTSRRGCPGSGSVPLYNLMEDAATAEISRVQNWQWLRHGAELDAGGVPVRATPELLARVLEEEMARVEAEVGTERFRQGRYAEAGRIFGRQCTAPELDDFLTLDAYNLIVVHHPGAIYKAE >KQK98680 pep chromosome:Setaria_italica_v2.0:VII:28597047:28601961:1 gene:SETIT_0091911mg transcript:KQK98680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAGSYVPALKAAGGIKYDDPVLYLDAGIWHPLAPTMYEDVKEYLNWYGTRRDANDKLKDPDAPVIGLVLQRSHIVTGDDGHYVAVIMELEAMGAKVIPIFAGGLDFSGPVQRYLVDPITGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWAKLKRKTKEEKKLAITVFSFPPDKGNVGTAAYLNVFSSIYSVLSDLKKDGYNVEGLPDTPEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQSLTSYASLLEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDTCYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVPLPEEGEELPPKERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIAALDRPEEGISSLPSILAATVGRDIEDVYRGSDKGILADVELLRQITEASRGAITSFVEKTTNSKGQVVNVTNNLSNILGFGLSEPWVQYLSTTKFIRADREKLRVLFGFLGECLKLIVQDNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTAAALKSAKIVVDRLLERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPVEMNYVRKHAQEQAEELGVPLREAATRVFSNASGSYSSNVNLAVENASWTDEKQLQDMYLSRKSFAFDSDAPGAGMKEKRKAFELALATADATFQNLDSSEISLTDVSHYFDSDPTKLVQGLRKDGRAPASYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMMKSGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIEDEAMRKRLMETNPNSFRKLVQTFLEASGRGYWETSEENLEKLRELYSEVEDKIEGIDR >KQK98679 pep chromosome:Setaria_italica_v2.0:VII:28597047:28600672:1 gene:SETIT_0091911mg transcript:KQK98679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMIAGSYVPALKAAGGIKYDDPVLYLDAGIWHPLAPTMYEDVKEYLNWYGTRRDANDKLKDPDAPVIGLVLQRSHIVTGDDGHYVAVIMELEAMGAKVIPIFAGGLDFSGPVQRYLVDPITGKPFVNAVVSLTGFALVGGPARQDHPKAIAALQKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWAKLKRKTKEEKKLAITVFSFPPDKGNVGTAAYLNVFSSIYSVLSDLKKDGYNVEGLPDTPEALIEEVIHDKEAQFNSPNLNVAYRMNVREYQSLTSYASLLEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYTFVEKIFQADAVLHFGTHGSLEFMPGKQVGMSDTCYPDSLIGNIPNIYYYAANNPSEATVAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTAKQCNLDKDVPLPEEGEELPPKERDLIVGKVYAKIMEIESRLLPCGLHVIGEPPSAIEAVATLVNIAALDRPEEGISSLPSILAATVGRDIEDVYRGSDKGILADVELLRQITEASRGAITSFVEKTTNSKGQVVNVTNNLSNILGFGLSEPWVQYLSTTKFIRADREKLRVLFGFLGECLKLIVQDNELGSLKLALEGSYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTAAALKSAKIVVDRLLERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVRPVADTFGRVNRVEPVSLEELGRPRIDVVVNCSGVFRDLFINQV >KQK99757 pep chromosome:Setaria_italica_v2.0:VII:34601580:34605203:-1 gene:SETIT_010372mg transcript:KQK99757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPSPSSAAPEPPLPPPEEGDGWVFLPPSEVEGVDDPKVIHWEDLQQELARLWSLSAALQAARDRKAHLAARLESAIEARQGFLQQDNELAEMRQRLQEHIDHLANLKMHTKKISEDAEDQRAQLCISIRTLSVGSKNIGAARSNLEEANKLLSGENGRGRLKDMEQKLRMRQQYMITQVAQIYPVRPLDEQSPDHKPGFTTNITKTRNAGSGFQNGSQNRPLAIFGLQLSKLSVKKTGYFSDKTEFQKSSTVLGYAAHVVSLIASYLNIPLRYPLRFGGSQSYVLDHAPAVEPSSITSVVSSVHPSTSMRTMEFPLFFDGQETTRSAYAIFLLNKDIEQLLNYIGAESLGPRHVLSNLKQLTTIVQSQQYISS >KQK96263 pep chromosome:Setaria_italica_v2.0:VII:2648778:2651739:-1 gene:SETIT_011321mg transcript:KQK96263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRGDAASMVAVGLVWGATNALMRRGALVWDRRSRASPSGSVIRRWAALLLTWQYSTPFAANLCASAAFFALLGAAPISVAVPVTNAVTFAATAVAAAILGERVRPAPAALGTALIVLGVWVCIS >KQK96647 pep chromosome:Setaria_italica_v2.0:VII:13396019:13401558:-1 gene:SETIT_009994mg transcript:KQK96647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPLAAAAVLRLRLLSSSSTTPARLLSPSAFLLSRRDDDDGREGPSSSPPPLPPPAASSFSPRPLLTSASGAAGLLGLRGGLWRRALPPAASRPHGAVDDAPPVRLTISRSYSLRVAKGKKKAHFDDEHSHRAVNTALWCNFLVFSLKFGVWITTSSHVMLAELVHSVADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVHGVQNLWSSQPPENIHWAALVIGGSFLIEGASLLVAIKAVRKGAEAEGMSIRDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVQTTGNAMYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDHDMQRVLEFLKSDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERTGRGTWAKQFREAAMSKDDTELLRVMANYGEDVVEALGYEVDRLESEIQKLVPGIKHVDIEAHNPEGLSLRAEVL >KQK99798 pep chromosome:Setaria_italica_v2.0:VII:34799249:34803972:-1 gene:SETIT_010189mg transcript:KQK99798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAALVNPELHTAVTAKDQSEQVAPDAEDDFEKFQKEVIEAEAEVSALKGSAEDGDVNQLDDERPATPPDGEEEFTDDDGTIYKWDRTLRAWVPQNDTSGKEEDYAVEEMTFALEEEVFQAPDIPGTSAVEEVNTLDENKNKELDKVEKRGDKKRKSSEKTAEKKEANKPPDSWFDLKVNTHVYVNGLPDDVTLEEIVEVFSKCGIIKEDPETKKPRVKIYTDKETGRKKGDALVTYLKEPSVALAVQLLDRTSFRPGGKTLMSLSPAEFQQKGDVFIAKKTDKQKKRKTKKVEDKMLGWGGHDDKKVMIPTTVILRHMFTPAELRADEELLTELEADVREECVKFGPVDNVKVCENHPQGVMLVKFKDRKDGAKCIEKMNGRWFGGRQIHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEEST >KQK97961 pep chromosome:Setaria_italica_v2.0:VII:24205166:24207493:1 gene:SETIT_009690mg transcript:KQK97961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLGNSPTNLLLLLALGIPTACIVVMYFVRPCTPSLDEDNSTEHSHFMYTQISSVVLGIYLMVATILGDTLKLSQAVTYLLFGIMILLLLAPLAIPIKMTFYPNKQTKEKPSTLAPSYSTDSLSGADPENSEPLLGSASTILVTGTNESDDSTDLDVLLAVGEGAVNLKKKRGPRRGDDFTFLEALVKADFWLLFIVYFCGVGTGVTVLNNLAQIGMSVGANDTTILLCLFGFCNFIGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSVTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAARQHPGVLEPSNCYGPDCFRVTFHVCGIVCCCGTLLSVLFIARIKPVYQMLYASGSFRHPRSQQQLH >KQK97959 pep chromosome:Setaria_italica_v2.0:VII:24202257:24207002:1 gene:SETIT_009690mg transcript:KQK97959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGPGPGKVKAGRRPPWVGLAAAVWVQVAAGSAYVFPLYSHAVKEALGYDQKALTMLGVGNDVGENVGLLPGVLANRLPPWLILVIGSACAFFGFGTLWLAVTKTVAMPYWVLWIALGVGTNSSAWLGTAALVTNMRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLGNSPTNLLLLLALGIPTACIVVMYFVRPCTPSLDEDNSTEHSHFMYTQISSVVLGIYLMVATILGDTLKLSQAVTYLLFGIMILLLLAPLAIPIKMTFYPNKQTKEKPSTLAPSYSTDSLSGADPENSEPLLGSASTILVTGTNESDDSTDLDVLLAVGEGAVNLKKKRGPRRGDDFTFLEALVKADFWLLFIVYFCGVGTGVTVLNNLAQIGMSVGANDTTILLCLFGFCNFIGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSVTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAARQHPGVLEPSNCYGPDCFRVTFHVCGIVCCCGTLLSVLFIARIKPVYQMLYASGSFRHPRSQQQLH >KQK97960 pep chromosome:Setaria_italica_v2.0:VII:24203503:24207002:1 gene:SETIT_009690mg transcript:KQK97960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNFPLSRGTVAGLIKGYVAVSAAVYTETFNGMLGNSPTNLLLLLALGIPTACIVVMYFVRPCTPSLDEDNSTEHSHFMYTQISSVVLGIYLMVATILGDTLKLSQAVTYLLFGIMILLLLAPLAIPIKMTFYPNKQTKEKPSTLAPSYSTDSLSGADPENSEPLLGSASTILVTGTNESDDSTDLDVLLAVGEGAVNLKKKRGPRRGDDFTFLEALVKADFWLLFIVYFCGVGTGVTVLNNLAQIGMSVGANDTTILLCLFGFCNFIGRILGGSVSEYFVRSRMLPRPFWMMCTQIIMVITFLLFATGLHSLIYVSVTLLGICYGVQFAVMIPTVSELFGLKDFGLMYNFMLLVNPLGAFFFSALLAGYIYDKEAARQHPGVLEPSNCYGPDCFRVTFHVCGIVCCCGTLLSVLFIARIKPVYQMLYASGSFRHPRSQQQLH >KQK98064 pep chromosome:Setaria_italica_v2.0:VII:24749924:24752986:-1 gene:SETIT_011834mg transcript:KQK98064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSASAALLRAAPRPRPERPRPLRSSPANAGDPEPAAYYASLLEALARECHARHPFDASPQPARACGVLHARLLRLGLPLRGRLGDALVDLYCKSDRAGHAWRALGRCLGARPSGAAASSVLSCHARSGSPHDVLDAFRAIRCSIGTCPDQFGLAVVLSACSRLGVLGHGRQVHCDLLKCGFCSSAFCEAALVDMYAKCGQVADARRAFDGIACPDTICWTSMIAGYHRVGRYQQALALFSRMAKMGSAPDQVTCVTIISTLASMGRLEDARTLLKKVHMPSTVSWNAVISSYTQGGLVSEVFGLYKDMRRRGLRPTRSTFASVLSAAANIAAFDEGQQVHAAAVRHGLDANVFVGSSLINLYVKHGCISDAKKVFDFSTEKNIVMWNAMLYGFVQNELQEETIQMFQYMRKAGLEVDDFTFVSVLGACINLDSLDLGRQVHCMTIKNCMDADLFVSNATLDMYSKLGAIDVAKALFSLMPDKDSVSWNALIVGLAHNEEEEEAVCTLKRMKHYGIAPDEVSFATAINACSNIQATETGKQIHCASIKYNVCSNHAVGSSLIDLYSKHGDIESSRKVLSQVDASSIVPRNAFITGLVQNNREDEAIELFQQVLKDGFKPSSFTFASILSGCAGLISSVIGKQVHCYTLKSGLLSQDASLGISLVGIYLKCKLLEDANKLLKEVPDDKNLVGWTAIISGYAQNGYSDQSLVMFWRMRSCDVRSDEATFASVLKACSEIAALADGKEIHGLIIKSGFVSYETAASALIDMYAKCGDVISSFEIFKGLKNKQDIMPWNSMIVGFAKNGYANEALLLFQKMQESQLKPDEVTFLGVLIACSHAGLISEGRNFFDSMSQAYGLTPRVDHYACFIDLLGRGGHLEEAQEVIDHLPFRADGVIWATYLAACRMHKDEEGGKVAAKKLVELEPRSSSTYVFLSSMHAASGNWVEAKVAREAMREKGVAKFPGCSWITVGNKTSLFVVQDTHHPESLSIYEMLGNLTGVLNRDDRIDEYDQLSLSGMLA >KQK97958 pep chromosome:Setaria_italica_v2.0:VII:24201365:24201901:-1 gene:SETIT_012893mg transcript:KQK97958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVGRWGRSGLEEFGRLQHVRKEARKVGLGRGGRGARVGPGGGCDVFAPGLALLVQVAQGGLEDGEGVAAVACGRRRARFGLSALDG >KQK96531 pep chromosome:Setaria_italica_v2.0:VII:11111939:11112425:1 gene:SETIT_012961mg transcript:KQK96531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDGTLVPVLTLRTSKDRILMLYWQLLQPVLMLMLEEFD >KQK96275 pep chromosome:Setaria_italica_v2.0:VII:2882220:2885668:-1 gene:SETIT_012341mg transcript:KQK96275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQGTAARSLPTTSPCPTPLLSPAPAVNNPARLAAVTPVQHVSSGTMTTPDPERTYLHDYWFFERIRLEHNHPLHPLPTVTQILRIQKDNNPIVMGIVDQMHRCDASHNTTVNVLAELYGGQQNFTFTEMDLRNRKAATTREERENDIPKLLDKNVIKNVVCSHASQRAEYRDFGDVDEQANTFEWLFRAFQNCMSGSRDPRCILTDEECLYMNDSSMAAAIRKVFKQTQHRLCRWHMLKKYKAELKKLYKIHDGMKVKLLTIINHPLTPTVFESAWNELVDEYGIREDDTIHRLWESRKLWVAVYLKPLYCGRMTSIQRSESINKMIKDKPFDGHLSRVYTQAVYKKYKEIYIYSTAFRSDPHPNEVDAYLVTHTDQLWQYAWFEHSFRVEAHVRSGLFCTHLIKAFTYLQIDNIPAKYIMKKYMRGARTMVMWDRHEIVTSGPGCKSDQYKTKKLVEITMAVVRAFRKTSLELEKGYMWILRILGQYSTGCDVNLDNGNKKRGASGSLWGKMG >KQK99487 pep chromosome:Setaria_italica_v2.0:VII:33102251:33105964:-1 gene:SETIT_010536mg transcript:KQK99487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLVPMSQPWVEKYRPRQVKDVAHQEEVVRVLTNTLQTADLPHMLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTARKAGYPCPPYKMIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSSRIMHICNEESLNLDAQALSTLSAISQGDLRRAITYLQSAARLFGSSISSSDLISVSGVIPEDVVKSLLAACRSGEFDVANKEVSNIIADGYPVSQLMAQFLDVIVSADDIPDEQKARICKKLGETDKCLVDGADEYLQLLDVASETIRALFDMPQTLVF >KQK99486 pep chromosome:Setaria_italica_v2.0:VII:33102507:33105520:-1 gene:SETIT_010536mg transcript:KQK99486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFYGPPGTGKTTTALAIAYQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGTARKAGYPCPPYKMIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEVMSSRIMHICNEESLNLDAQALSTLSAISQGDLRRAITYLQSAARLFGSSISSSDLISVSGVIPEDVVKSLLAACRSGEFDVANKEVSNIIADGYPVSQLMAQFLDVIVSADDIPDEQKARICKKLGETDKCLVDGADEYLQLLDVASETIRALFDMPQTLVF >KQK99023 pep chromosome:Setaria_italica_v2.0:VII:30517882:30523002:1 gene:SETIT_009174mg transcript:KQK99023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVAVSAARWVLGKALGSVADGLLEAWAASAGLGPNIDALKMELLYAQGMLDNAQGREIRSPALKEMLLKLQQLAYGADDVLDELEYFRIQDMLDGTCHAADVHDGGCIQGLTLNARHTARAIARKLKICSGSREGSRGDPDDEHEDDARQGCLSGICSCGRLAISSTTKSPSTQSDRYGGCMSKVASCARRAAHNVGNEWESFCSACQSKIEEGKHVVQTPKLKFDRVDISKKMKDIVEKLKPVSAKVSTILDMELLGSAILKLELLGSNTTTQKNAMGRPETTPDIIEPKLYGRDNQKRSLIDGITDGQYFANDLVVVPIVGPGGIGKTTFTQHVYDEVKKHFEVSIWICVSLNFNVSRLAQEAVRKIPKVDNEKENSSAQELIEQRLKTKRFLLVLDDMWTCQEDEWKKLKAPFGRGGAKGNVVIVTTRIPEVAEMVKTVYCSVQMDRLGDKDFMHFFEACVFGYQQPWKDCLELRLVGKKIVRNLKGFPLAAKTVGRLLRKQLTLDHWTSVLESKEWELQTNDNDIMPALKLSYDYLPFHLQQCFSYCSLFPEDYEFLNDELIHLWIGLDILHTCGQNKRTEDIARSYLVDLVNHGFLKRNEKDDGTPYYVVHDLLHNLAVKVSSYECISINSSNVRSIEIPISVRHLSIVVDDKDVENRVNFENFKKELRELDKRLNVENLRTLMLFGSHHGSYAKIFGHLFREARALRATYVSGASYNVEDMLHNFSKFVHLRYLRIKSSEYNDNDILCLPAALSRLYHLEVIDLREWRGCFELRRFEVGKESKGFDLSELRQLSELGGSLVICSLERIQAMKEADEARLIQVKRLHKLTLEWGADRPEKDIAHEENALEILVPHSNLQHLCIKGHGGIKCPQWLGEKLSVKNLESLHLDGVAWNIFPPIGELWLVNGPHEEISSNVCNKKFQNLRRLELIKLPRLKKWAVDAPCQLFAHLEVLIIKDCSKLTKLSFAHSTCCQQEKEKGDNMNWFPSLRELEIYKCPELSSFPPIPWTSAPCSATVSGTSGLHRLYCGKYYKSKYSLSIRGTIDLDSTFWNMLAFGNLTELEDLSISNCPPLPLHHFHMLSSLKTLTLWGSSIIVFPLIEGESRAEYQFPATATPADVAHIEQHQQQDGTRGEEEISAEGLLLLPSQSHLQNLVLWFCPELSLRSIPADYNREAGRTRGGQGLQGLTSLRSLHIIDCPRLLSSYSSSYTSPCSPFPTSLEYLSLNDVETLLPLSNLISLTALSIDDCGDLRGEGLRPFLAHGRLTSLSVTGTPNFFAGSESSLPHEQDIPSSSSKLQELLTDDVAGALSAPICTLLPSSLTKLIFRGDSDVERFTKEQEEALQLLTSLEGIRFQQCDKLQCLPAGLHRLPNLKRLDIDTCKAICSLPKDGLPGSLLELEIDDCQGIRSIHKECLPNSLQKLVIRHCPGIRSLPKVEYLPSSLRELDVGTWNSDELRRHCRKLIGTIPIVRV >KQK96557 pep chromosome:Setaria_italica_v2.0:VII:11942153:11945440:1 gene:SETIT_011042mg transcript:KQK96557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAKLGAPSTPGFMMDRRRLMLIPAITIGIGSCQYTFEKGAAKAEFADMPALRGKDYGKTKMRYPDYTETESGLQYKDLRVGDGPSPKNGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGGDKDFFKFKVGSGQVIPAFEEAISGMAPGGVRRIIVPPDLGYPDNDYNKLGPKPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKIIPNQ >KQK98371 pep chromosome:Setaria_italica_v2.0:VII:26594609:26596078:-1 gene:SETIT_011018mg transcript:KQK98371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLLSHAIPKTFAPPIPRARHRLAPRPPSAASFLRGLFSARPPPAKADLLRLIADQDRGLETQSDPSRLADIVACIDALAAVSPGADTVSDAEKLSGTWRLLWTTEQEQLFIVRNAPFFRTAAGDVLQVIDVPGGALNNVITFPPSGAFVVNGDIEVQPPQRVNFRFTRAVLRGSSWEVPFPPFGKGWFDTVYLDDDIRVAKDIRGDYLVVERAPYSWNG >KQK97537 pep chromosome:Setaria_italica_v2.0:VII:21763894:21764403:1 gene:SETIT_011669mg transcript:KQK97537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATGSRSPAGVRQVQAVAPLKSSSATTADDYECVYTVYVQTGSIWKAGTDSVIGLGLRAADGAGFTIPDLAKWGGLMGAGHDYYERGHLDIFSGRGPCLPSPPCGMNLTSDGSGAHHGWYCKSVEVTASGPRAACARAAFGVEQWLATDAPPYQLHAERSVCGKSDAAAE >KQK97809 pep chromosome:Setaria_italica_v2.0:VII:23378321:23386495:1 gene:SETIT_009398mg transcript:KQK97809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAGDSATAAAAAAAAAASFRVGMVRVVSFLVGGLNLAVLLLGLYLIDAVLPPGCGGGLAIAAAPALAGVRVLTMIGAARAQHATADAIAKRHLHEDDASVAADAVARHEIRVRYKRWLWWTRFGMAVGALQLIAAIYLMFVIVKDLSKERRSKSCFFGQDEADRNSGRALIALFLVLSWVVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKYLTVLEEDEVYSVARLLGDLVAYRASGTGHLEFLAGLALLQKHGNLPESQTDLMEASHELMQEAAFLHPFAEACYTGPLLDFGRNPILFPCAWVYRQGVLTPWARGRRPALDGDNWWRGHAAAFLRFVNIPPKALLRGRVCQSKREAAYFVVVLHDKRTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLINEKLPATTRERVISTFPHYGHGGIVESARELFMQLNECTGENTSSGRIGFLSTLLGEGSECHGYKVRLVGHSLGGAVATVLGMMLFGKYPDVHVYAYGPLPCVDFVIAEACSHFVTTIICNDEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILHVNRYHDNGTHGPGDDIIEGYSDRTRAAGTAIPSERPISHQDPLCNSEPGLQNMQNGFVGCSGSNASTDEHLSYEGLNNDHDVQIIPIDGPDSGFKEHPASYREIPVEPPEMFLPGLIVHIVRQRRGLFPLWQCWNIQQTEPPYKAVLAKRENFKDIVVTPSMFTDHLPWRCHLAMQKILEAQTPKISKNSGSPIQHLV >KQK97810 pep chromosome:Setaria_italica_v2.0:VII:23378321:23386495:1 gene:SETIT_009398mg transcript:KQK97810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAGDSATAAAAAAAAAASFRVGMVRVVSFLVGGLNLAVLLLGLYLIDAVLPPGCGGGLAIAAAPALAGVRVLTMIGAARAQHATADAIAKRHLHEDDASVAADAVARHEIRVRYKRWLWWTRFGMAVGALQLIAAIYLMFVIVKDLSKERRSKSCFFGQDEADRNSGRALIALFLVLSWVVVIVQCFTGSDILRWRSFYATHDMAWKAHYREVFDHGIREALCCLGRAKYLTVLEEDEVYSVARLLGDLVAYRASGTGHLEFLAGLALLQKHGNLPESQTDLMEASHELMQEAAFLHPFAEACYTGPLLDFGRNPILFPCAWVYRQGVLTPWARGRRPALDGDNWWRGHAAAFLRFVNIPPKALLRGRVCQSKREAAYFVVVLHDKRTVVIGVRGTETPEDLITDGLCRECAFTMEDLDGLINSEKLPATTRERVISTFPHYGHGGIVESARELFMQLNECTGENTSSGRIGFLSTLLGEGSECHGYKVRLVGHSLGGAVATVLGMMLFGKYPDVHVYAYGPLPCVDFVIAEACSHFVTTIICNDEFSSRLSINSILRLRSAAISALSDNSPADTAMIQKLARRILHVNRYHDNGTHGPGDDIIEGYSDRTRAAGTAIPSERPISHQDPLCNSEPGLQNMQNGFVGCSGSNASTDEHLSYEGLNNDHDVQIIPIDGPDSGFKEHPASYREIPVEPPEMFLPGLIVHIVRQRRGLFPLWQCWNIQQTEPPYKAVLAKRENFKDIVVTPSMFTDHLPWRCHLAMQKILEAQTPKISKNSGSPIQHLV >KQK98366 pep chromosome:Setaria_italica_v2.0:VII:26571867:26573596:1 gene:SETIT_010442mg transcript:KQK98366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSNSVLQRLASWCPWLLSQLRDEPKLKQPVKVLVTGAAGQIGYAIVAMIARGLMLGPDQPVVLHVLDIPRMADALNGVRMELVDAALPLLRGVVATSDEAEAFQGVNFAVLIGGWPRKDGMERKDLIAKNVAIYKSQASALQQQAAPNCKVLVVANPANTNALMLKEFAPAVPAKNITCLTRLDHNRALGQIAERLGVHVADVRNVVVWGNHSSTQFPDASHATARTEHGEKPVTELVADEKWLREEFVSTVQQRGAAVIKARKQSSSLSAASAACDHMRDWILGTPKGTWVSMGVYSDGSYGVPEGIFYSFPVTCEKGEWSIVQGLHVDDFARSKMDLSANELDEERSMAYEFVNT >KQK99739 pep chromosome:Setaria_italica_v2.0:VII:34502968:34504018:-1 gene:SETIT_010608mg transcript:KQK99739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKHQAIGAIFAPPPPSSESSSIIPTTAGASHHVDLHHDIIGSKDYNYARPAACSNPAEVTQLIARRSPFAATDGDSSSSSYASSMDNISKLLNGFMKSSPPTQNDATADIKPCATDINPLLSFNHMSGGSNTLPPFSDMLPPSPPPQQQPALTMGHRGYDNEPKQQHHQQGPLSPIEKWLFEEAAEQVVDLMDLSDACCSVPMMF >KQK96445 pep chromosome:Setaria_italica_v2.0:VII:7445309:7446651:1 gene:SETIT_011903mg transcript:KQK96445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAFDSELLESTSMDVNFATVWHTIGWDNFVPIFEEVDFDKAVYNFNRHSFWTSISNKVVVGKFAPQCNDIHNRTLRLMNKWLALSLFPRKDCWELTLPLAPQEEARMSNVSGRVTRSRSRNEATTLQYQLPYWANARVSLGYQQEWQQQVDTHFDTINTTLQQSHDDLLAYFCSQGFNRHPGQ >KQK98611 pep chromosome:Setaria_italica_v2.0:VII:28243693:28247735:-1 gene:SETIT_012512mg transcript:KQK98611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKRIPSTFNYLKSYLGSYATPLLEELRAEMSSGLESLSTMPFLRISSIEEKKGNKIYEISVASDSQIAKSCNQLESYAPSVGDIIILEAFVTEGGDEDDDSPPSKYVIGASGKIDAADGKCQNGKRNSTFAAYLLNIVTYIRIWRCLDYETAVRINPGLVQEMVHYPLKWDVDSIDSMEIWTKLSTMDLNNSQNDAIINCISEMHCNSNSSSFSLIWGPPGTGKTKTISVLLWLMREMKHGTLTCAPTNLAVKQVASRFLRLVKEHSFGTSSLGDILLLGNKERMCVDGDLKEIYLHDRVRKLLGCFAPLTGWRHCLSSLSDLFENGYSQYLQYLQDQEEDLRRCLKDLLFHVPKSSILEVNYDNILSILKMLEDFNSIFQRRCTGDEIKEVFLYRNGESDSRDYSMMKHWKTIVTLGKRIIRDFCIESASIIFCTASSSSKVTNKKVELLVIDESAQLKECETLVPLRLRTFKHAVLIGDECQLPATIKSKVCTDALFGRSLFERLSSLGHEKHLLNMQYRMHPSISIFPNLSFYDGKISDAPSVTEREHEKKYLPGSMFGPYSFVNIEGGREESDELCHSRKNLIEVVVIEEILRNLRKACSKAKRKVSVGVICPYTAQVLAIQEKLGKMKFDPVQVKINSVDGFQGGEEDIIILSTVRSNSDGLVQVQSQSGPNEQGSYSMSVSFDAGNPPSNIATVADLQCPGDQKEDADDITACLLDLKLS >KQK99712 pep chromosome:Setaria_italica_v2.0:VII:34337057:34337789:-1 gene:SETIT_011277mg transcript:KQK99712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHLRVSMISLTGFTVLSLALLFTSLQAQGASNLGSGKVKRQSSPEEYVPVRSVVYWSRSSVALPAAATAEAVGYEPFAVCEGCRCCLPSNASSCVDTSCCYSIDCNLPGKPFGTCAFTPQTCGCAGTNNCTQPS >KQK99713 pep chromosome:Setaria_italica_v2.0:VII:34337069:34337789:-1 gene:SETIT_011277mg transcript:KQK99713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHLRVSMISLTGFTVLSLALLFTSLQAQAGASNLGSGKVKRQSSPEEYVPVRSVVYWSRSSVALPAAATAEAVGYEPFAVCEGCRCCLPSNASSCVDTSCCYSIDCNLPGKPFGTCAFTPQTCGCAGTNNCTQPS >KQK96382 pep chromosome:Setaria_italica_v2.0:VII:4929604:4930244:1 gene:SETIT_011390mg transcript:KQK96382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSSGSSPSPAILRNRYWIVRHGRSVPNERGLIVSSLENGTKPEFGLAPQGFEQARAAGEQLRKELEEMGVPVDSVKIRYSPFSRTTETARAVAGVLGIPFEGPSCEVSLV >KQK96321 pep chromosome:Setaria_italica_v2.0:VII:3605400:3610829:1 gene:SETIT_012570mg transcript:KQK96321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCQALPDGVAMNTGCIYGSSSSSDKMHHSQSRDELPPDCADKIHALYCKHVILSDIIQKRANVKPSFLQRNLRYKIHAKQKKRIQITLSLPGSLNPEMRAQNIFPLCALFAKPISSVLHEGHSPVYQFTRACLLTCFDESGCDSHTEATFIIQDLRTLANIILVSCGQIGQTPDENNFSNNDLENSSLQKLEGQCFWGKIPKDLLCSSLENCVDLSLGRTKQFALSITMSPGFVESKFLKQDSFLTFCSRKLNSVCPYQLQVSVCAQEAGARDMFKSLYNSYLYNDVPPSSLPHIIRLRVGNVLFKYGDNICKTE >KQK97706 pep chromosome:Setaria_italica_v2.0:VII:22775308:22778888:1 gene:SETIT_010459mg transcript:KQK97706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDASQTWPPPAPSPPPFSSRPRASPSPHRRRRRRHYSKKHAPPPAPPTPPPTPAPQGADFSALPPELVHRALAAACASDVAAASRACRAWRDALRPLREAAALHAYGRRVKHGPVAGAAARGDGGRNEAERQRALGLFRRAARLGSAAAMVDAGLMCWEEGRRREAVEYYRSAAELGHPVGMCNLGVSYLEADPHKAEEAIRWFYPSASAGNARAQYNLGLCLQNGKGIKRNQKEAAKWYLRAAEGGNVRAMYNISLCYSYGEGLAQDLVRAKRWLQLAADCGHKKALYECGIKLCAAGDKVKSLTYLELATRRGETAAAHMRDVILESLSAVNSQRALSDADKWKPRALHPRR >KQK96612 pep chromosome:Setaria_italica_v2.0:VII:12997001:12997515:1 gene:SETIT_012926mg transcript:KQK96612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYLNKFVEPVSNWWPITNLRERAMKNLMEHIHYEDENSNYVGLCPINKVIIRSHCCLNKGQAFFYLMHYCLLLF >KQK99493 pep chromosome:Setaria_italica_v2.0:VII:33135255:33136105:-1 gene:SETIT_012585mg transcript:KQK99493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDDLIIKLHQILGNKWSQIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPKTHRPLSVTAAAAAAPSSRPEDQPAARSSCSPETSGASNSSDEESGASVPFRCSIDLNLCISQPSQQPSLPSTSSPPARKQETEATSSATAVDASSRSYSDGKKICLCLNRLDLQGGEGCTCGHGGR >KQK97457 pep chromosome:Setaria_italica_v2.0:VII:21324692:21326226:-1 gene:SETIT_010579mg transcript:KQK97457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGTATVAAAVPEVALRSGNARPMPAVGMGTAAFPLVPEATKNAVLAAIEVGYRHFDTAYMYGTEKPLGDAVAEAVRRGLVASRQELFVTSKLWCTQCHPDLVLPALRQTLQNLQIEYLDLYLIHWPICIKPVPPSFPAKKEDAMPFDFEGVWLAMEECHRLGLAKAIGVSNFTTKHLDKVLAAATIPPAVNQVELNPVWQQRTLRGYCAEKGIHVAAYSPLGGQNWDGTGNAVLESDVLAGIAKARGKTIAQVSLRWIYEQGVTSIAKSYNMERLKQNLEIFDWELTDEDRLKISQIPQKKVVKGTDLFSREGEFTSVDAADLNVEE >KQK99723 pep chromosome:Setaria_italica_v2.0:VII:34403783:34405332:1 gene:SETIT_010946mg transcript:KQK99723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLKLSGPAVLLIIVVPLFVYAGALLIGIQLGRALERSPDSASVSFSIRGALAYLAKPRGVISVGTWGGSGGKPFYMRASSPPRLRSITLYHSSAIHFMACDYYSPAAAAAAQWGLPHSFGSKGVPAVIELSAGEHVTAVAGTIGHFGSVPDAVITSLTFRTSTGRTYGPYGNKTTTTFSVPAADGACIVGFWGRSGWLLDAIGVYIKPSCSSSNPAGYNYSHQPYAVRPPTEMEQRKI >KQK98908 pep chromosome:Setaria_italica_v2.0:VII:29889016:29890066:1 gene:SETIT_012698mg transcript:KQK98908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAREGELKRIDLKVNVSCCEGCRRKVMKAMSLKGVLRTEIQPSHDRVTVVGDVDAKVLVKKLSKVGKIVEVLPPASHSENCKRREEGVVKDSSDDRPAPEAEEKSGKGKDDGKGTGGDKAAAACEEGCKKCAHKAARACAAADGGSGDHHASGKAAASRDIGADARSGEGRRDADGSFSGKAALAPDHAAPAPQVQMQQHYHRAEPAMVVPVHVPAYYPPVAAPAPYYGGYYPMPPPPPMPMPMLMGAPRRQLRPQPSRFDEDYFNDDNTIGCRVM >KQK98782 pep chromosome:Setaria_italica_v2.0:VII:29134887:29135366:1 gene:SETIT_011635mg transcript:KQK98782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIDIGVYRVHAHDPKRAGCNGKLPVLFCSSLQLPRVHDTFIYETANWL >KQK96217 pep chromosome:Setaria_italica_v2.0:VII:1852966:1856264:1 gene:SETIT_010752mg transcript:KQK96217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAAARRPLLLCALAAAAALSFLLVAPPPPLAAHLSTLLLTFPASPYAPRPKLLFLLAGQSNMAGRGIAPSPLPPPFRPHPRVLRLAASRRWVVAAPPLHADIDTHKACGLGPAMPFAHRLLRESGGDSSLVLGLVPSAVGGTRIWMWARGEPLYEAAVARARAALGAGGGTLGAVLWFQGESDTIELDDATAYGGRMERLVNDLRADLGIPDLLVIQVGLASGEGNYTDIVREAQKNIKLPNVILVDAIGLPLRDDQLHLSTEAHLRLGDMLGQAYLKFNSSTDSRQ >KQK96216 pep chromosome:Setaria_italica_v2.0:VII:1852975:1854058:1 gene:SETIT_010752mg transcript:KQK96216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAAARRPLLLCALAAAAALSFLLVAPPPPLAAHLSTLLLTFPASPYAPRPKLLFLLAGQSNMAGRGIAPSPLPPPFRPHPRVLRLAASRRWVVAAPPLHADIDTHKACGLGPAMPFAHRLLRESGGDSSLVLGLVPSAVGGTRIWMWARGEPLYEAAVARARAALGAGGGTLGAVLWFQGESDTIELDDATAYGGRMERLVNDLRADLGIPDLLVIQVYLLFRAHLD >KQK98367 pep chromosome:Setaria_italica_v2.0:VII:26573745:26577423:-1 gene:SETIT_009920mg transcript:KQK98367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKPDAVAKPHFSGSVGAGASSPRAARKAPPSPVFLGTALFVLGFVSLFTGHVVTDADWSRIRSRWRSKQIRIYEPIDIWKSRYSSIYYGCSGRSVNFRSAVPENSSTGYLLIATSGGLNQQRIGITDAVVVAWILNATLVVPELDHHSFWKDDSDFSDIFDVDWFISYLSKDVTIVKRIPYEVMLSMDKLPWTMRAPRKSVPEFYIDEVLPILMRRRVLQLTKFDYRLTSELDEDLQKLRCRVNFHALRFTNSIRTLGQKLVRKLRLMSPRYVAVHLRFEPDMLAFSGCYYGGGEKERKELSEIRKRWDTLPELSAEDERSRGKCPLTPHEVGLMLRALGFGNDTNLYVASGEIYGGEETLRPLRELFPNFYTKEMLAGDDLKLFLPFSSRLAAIDFIVCDESDVFVTNNNGNMAKVLAGRRRYMGHKRTIRPNAKKLNVLFQRRKQMGWDTFSQKVQKVQRGLMGEPDDIRPKQDDFHEFPSACICLRKPGNVSVTT >KQK99330 pep chromosome:Setaria_italica_v2.0:VII:32387775:32392138:1 gene:SETIT_010150mg transcript:KQK99330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEIAPIMTEYWEKAEFPFHAIPNLASLGLAGGTIKGYGCPGLSLTASAISIAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLAQFKTVGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNSTFADVLIILARNADTNQLNGFIVKKGAPGLKATKIENKIGLRMVQNGDIILNKVFVPEEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDICHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLIGWRLCKLYESGKMTPGHASLGKAWTSRKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAVVTKSRL >KQK99332 pep chromosome:Setaria_italica_v2.0:VII:32387775:32392138:1 gene:SETIT_010150mg transcript:KQK99332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGGSGGAGAGSKVGLPALDVALAFPQATPASLFPPAVSDYYQFDDLLTDEEKALRKKVRGIMEKEIAPIMTEYWEKAEFPFHAIPNLASLGLAGGTIKGYGCPGLSLTASAISIAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLAQFKTVGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNSTFADVLIILARNADTNQLNGFIVKKGAPGLKATKIENKIGLRMVQNGDIILNKVFVPEEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDICHRWESPYDIYIQFIQPDMFKISTLSHSFTEQVFERKETIWSPAGGLSAQPREACPNAWQHSGHASHWLATMQAVRVRQNDARPC >KQK99331 pep chromosome:Setaria_italica_v2.0:VII:32387775:32392138:1 gene:SETIT_010150mg transcript:KQK99331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLGGSGGAGAGSKVGLPALDVALAFPQATPASLFPPAVSDYYQFDDLLTDEEKALRKKVRGIMEKEIAPIMTEYWEKAEFPFHAIPNLASLGLAGGTIKGYGCPGLSLTASAISIAEVARVDASCSTFILVHSSLAMSTIALCGSEAQKQKYLPSLAQFKTVGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNSTFADVLIILARNADTNQLNGFIVKKGAPGLKATKIENKIGLRMVQNGDIILNKVFVPEEDRLTGINSFQDINKVLAMSRIMVAWQPIGISMGVFDICHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMLLIGWRLCKLYESGKMTPGHASLGKAWTSRKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGIASFKPAVVTKSRL >KQK99682 pep chromosome:Setaria_italica_v2.0:VII:34203039:34203533:1 gene:SETIT_012861mg transcript:KQK99682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQRPNVYHDFHHLFSCRRDQSKLKVQHQASTSS >KQK99322 pep chromosome:Setaria_italica_v2.0:VII:32347363:32347823:1 gene:SETIT_013015mg transcript:KQK99322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKRISKLLTLEVKSTAHECASRWIESKSQTLSLVCQICVWSP >KQK97827 pep chromosome:Setaria_italica_v2.0:VII:23462338:23463484:1 gene:SETIT_012455mg transcript:KQK97827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNNLFYNIDGTEADVDDHTNNDHCVMQGHTKRPSRVSDDILIDNPSKDICIDTLIDHVFPNLHVNCTSEPYMHERAILSTRNEHVDAVNALMINRFPGSKQVYYSFDSVEDDPRNNYPLGFLNSITPNGLPPHELTIKKNCPIILLRNLDPHNGLCNGIRLIGQTIPNIGIYLPEPIFSHRQLYMALFRGVSRIKNIVYRDVLES >KQK99955 pep chromosome:Setaria_italica_v2.0:VII:35547666:35549418:-1 gene:SETIT_010914mg transcript:KQK99955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCIIVVVLAVAAAVMAAARPSEAARYTAAPAASSDADADALRFPGRPGSSRPRSPIFPGFPGARPSPPTSSSGTPSSRSSPAPVSGGVPSAPPCPRPAAPSVSGFPFVPGFPGLPGGGVGGSTPSSSPTECVTPLAALMTCGSFLTGSEQDTPTPQSECCSGLGAFLNTTAAAGDGDRTLRCLCPVILGDVNKMLPKPLDPVRMMYLPIACGVVLPPQVLYICFTGQQTPPLVGRIPDVWEKPSAASE >KQK98339 pep chromosome:Setaria_italica_v2.0:VII:26373130:26374241:1 gene:SETIT_010584mg transcript:KQK98339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGASDNEVVFKAAHRIRIFKSGRVERYCGSDPTPASTDAGTGVASKDHAISPDVAVRLYLPPEAAKDDGSSRLPVLVYFHGGGFCLLSAFNAIIHGYLTSLAARARAVVVSVEYRLAPEHPIPAAYEDSWRALGWVASHASGGAGEEPWLADHADFSRLCLAGESAGANIAHHMAMRARAEGLPGSARISGVVLVHPYFLSDARVASEESNPAMAANMVRMWRVVAPATTGLDDPWINPLADGAPALRALACGRVLVCLAEQDVLRDRGRAYCEGLAASGWAGELEVVQAAGQDHCFHLSDFTSGDAVWQDEAIARFVNRCHIRF >KQK99908 pep chromosome:Setaria_italica_v2.0:VII:35326511:35328030:1 gene:SETIT_0109631mg transcript:KQK99908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGANEVVLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTNSVLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFKVEGSTVLTAL >KQK99907 pep chromosome:Setaria_italica_v2.0:VII:35326511:35327099:1 gene:SETIT_0109631mg transcript:KQK99907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGANEVVLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTNSVLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGNASPSSDVRSCPHC >KQK99941 pep chromosome:Setaria_italica_v2.0:VII:35477428:35479283:-1 gene:SETIT_010064mg transcript:KQK99941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTSTSPPSSTSPTSSRTARGGREHAATSPTPSLRGLQLRRDVSRRTPSPFSSFVHGETVRPSSRFRFGDSLEGQQRHGRAAELMPAAARTRWPRSPRRAPAHCTFTRSNAAAHSRTRQPTRCAWPWSPTRPGATPETRAARREPPPPGTAGARSTARRMRAAAAPSATLARTQAHARTSPVAQCAVRHFTEHLRRSGTATLRRTHGGNTGYGAALCKKLALNKFLLHDPLDYKPQAVDSGGASEDHVSNRFHRQFLLWVVLLTVLLSASTAYSAKNPPKQEGQCRYDLTSIAALSCMQKDAVRRPPSHACCKALLYAVDQVPVEDVSGACCLCRYMKEKVLAAGLATAYILCNGKDSRIVAKWSSFPITRCLDDCGQGNSTSSQAHGTGNREAHVSGMVIWVTVAVLIVIGVICFWYFRRFKAAGNAIQPRAGRRRRSVGPSSAKRKEKRYSLS >KQK97359 pep chromosome:Setaria_italica_v2.0:VII:20771971:20773417:1 gene:SETIT_012744mg transcript:KQK97359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTELDRGSDASTPDRSPPIAMEEETPAACHDGERGRGGAAAEDRLSALPDDVLRIVLSCLTSLQAARTSALSRRWRHLWRAVPCVDIDQREFFRPPPPRPAATWIHAFRGVATEEEDRPRAPAADLWDRFEDAADWLSLRHIGPSSPPPLDAFRLRVACGGFHAARKWIRRGLARRPAALHVRVDNDGVDAEDSGWPFFPDAHAAGAFTCRLRTLRLSGLTLTSDFAGALAADFPVLGDMQLEDCRYEFTRLASASLKKLSIEYHDHAYNVDELVLATPSVVSLRVLGNAPPVALEHETPSIVEATLTHRAGDLGVLRSLRGAASLKLFRFSTAALLDDGEPGGFPVFGNLGTLLLDGCDVGAECHVLRRFLRNAPRLETLTLRNCLRNRVFSGGAPVSRSRKRKERAKRKRSDDQRAPAGYPCRNLKLVELEFYEDNALKWFIRSKVLLRTRSVRSKSRTCEELQMV >KQK96588 pep chromosome:Setaria_italica_v2.0:VII:12409934:12413399:1 gene:SETIT_010935mg transcript:KQK96588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLPSVRLLPLTPSRATAPTSSPLSIRRTQPRALPLPPVRCCASRTSHPAASAREAAASWASEFAGVVPWKAAVSGALALAVCFTCFVGSANARTGVNKPELLPKEFTTVIDVAGFLSSGQENRLRQEIEDLEKDTGYKLRVLAQNYPDTPGLAIKDYWQVDERTIVFVADPTFGNIINFNIGPLVDLDIPRSFWSQVSGKYGNMFYWKEKGEDASIEAAVTAISRCLRDPSGTNNCSEVL >KQK97474 pep chromosome:Setaria_italica_v2.0:VII:21391325:21392605:-1 gene:SETIT_0112302mg transcript:KQK97474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WGIAYKIREEDKQTALEYLEVREKQYDEKVYLDLYTDSSPKVPAVANVMVYFATTNKESNKNYLGPAPLEEMTRQIYLAEGPSGPNKEYLFKLEDALNKLGVVDPHVQELANAVREYSDAKLSE >KQK96708 pep chromosome:Setaria_italica_v2.0:VII:14284700:14286533:1 gene:SETIT_010351mg transcript:KQK96708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPREKTANTAAASLTDDLIVDILSRLPVKSLCRCKCVSPHWRDLISHPDHRRRLPQTLAGFFRDDFNDGREVWRFTNLCEARQPPLISTPFAFMPGYEDAAIVDACNGLLLCRPPKGSPHHLSRYVVCNPATKSWVVLPDSGSHGDDVEDDEPFVARLGFDPAVSVHFHVFEFVENTYGTVAGVEIYSSEVGAWSYKESQWNYETHLFEFSPSVFLNGLLHFSTIQFEVVAVDVVGESWWVLPAPEDPDDVDDRANWDPGFLGRYQGHLCYMTLCYNVRDLSIWVLEDYGEDGWVLKRQVTVRQLTEKISPPESYYYHLITVHPDCNWILYVTGLESMLMAYDMDHDEVHVIQNLRSVMSCIPYVPLYAKSLTDGN >KQK98624 pep chromosome:Setaria_italica_v2.0:VII:28338362:28342903:1 gene:SETIT_009748mg transcript:KQK98624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNACGGSLRSRYLHSFKHAASQRQDSEYSAGAAANDSPKKPSRPATPPATTDAHGGHASAPPAAGMRRGGAGAPPDLGSVLGHPTPNLRDLYALGRKLGQGQFGTTYLCTELATGVDYACKSISKRKLITKEDIDDVRREIQIMHHLSGHRNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKNYGPAADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPAERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAF >KQK98623 pep chromosome:Setaria_italica_v2.0:VII:28338362:28341484:1 gene:SETIT_009748mg transcript:KQK98623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNACGGSLRSRYLHSFKHAASQRQDSEYSAGAAANDSPKKPSRPATPPATTDAHGGHASAPPAAGMRRGGAGAPPDLGSVLGHPTPNLRDLYALGRKLGQGQFGTTYLCTELATGVDYACKSISKRKLITKEDIDDVRREIQIMHHLSGHRNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKNYGPAADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPAERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAVS >KQK98625 pep chromosome:Setaria_italica_v2.0:VII:28338362:28343538:1 gene:SETIT_009748mg transcript:KQK98625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNACGGSLRSRYLHSFKHAASQRQDSEYSAGAAANDSPKKPSRPATPPATTDAHGGHASAPPAAGMRRGGAGAPPDLGSVLGHPTPNLRDLYALGRKLGQGQFGTTYLCTELATGVDYACKSISKRKLITKEDIDDVRREIQIMHHLSGHRNVVAIKGAYEDQLYVHIVMELCAGGELFDRIIQRGHYSERKAAELTRIIVGVVEACHSLGVMHRDLKPENFLLANKDDDLSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLCKNYGPAADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGVIDFDSDPWPVISDSAKDLIRRMLNPRPAERLTAHEVLCHPWIRDHGVAPDRPLDPAVLSRIKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFQTMDTDNSGAITYDELKEGLRKYGSTLKDTEIRDLMDAADIDNSGTIDYIEFIAATLHLNKLEREEHLVAAFSYFDKDGSGYITVDELQQACKEHNMPDAFLDDVINEADQDNDGRIDYGEFVAMMTKGNMGVGRRTMRNSLNISMRDAPGAF >KQK97212 pep chromosome:Setaria_italica_v2.0:VII:19624274:19624849:-1 gene:SETIT_012305mg transcript:KQK97212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNTQPLLITHNHDDTGSSSSGELRGGEVRRQRSCGDFLPIGGGGGGGGGGSSSSSGELRGSIARPGEARRRSCGDLLPIGGGGSGFPRGKPRHRKGGDEAAEGAVGYVSFEDVIGTAAFRDGIGRPPEAGISDPLVRTASRLYYAPQAPRLRHRRQRSPGPLGTRRGSAMHGLVKKYVHPFFSFIAGIFC >KQK99264 pep chromosome:Setaria_italica_v2.0:VII:31961714:31963751:-1 gene:SETIT_011269mg transcript:KQK99264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDSSEQKVIGIAPAPAVAEAGRPCCVECRTTATPMWRGGPTGPRSLCNACGIRYRKKRRQELGLDNNQKPQQNHQQPPPQQQPQQQQHQDHSQAPNAVKDNKSSGLQVVKKRRVLMGVEEAAILLMALSSSSRSTLLHG >KQK99459 pep chromosome:Setaria_italica_v2.0:VII:32972282:32972750:-1 gene:SETIT_013088mg transcript:KQK99459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLHWISSSSVNECVLRLCAYQFSVFLLPEKLI >KQK96396 pep chromosome:Setaria_italica_v2.0:VII:5349208:5349784:-1 gene:SETIT_012427mg transcript:KQK96396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKNSMRDYLMAVNTALWEVMSVGIIFPSKDATLTQYQSFDLQQNYQALHLIKSSLCAEEFDKVDGLQSAKEVWDTLFINHQGTKRVREGRIRALESELNQFIIEADKTPQEMFNRLNKIINKIRSLGSDKWGRREVNPSYPRRCL >KQK97333 pep chromosome:Setaria_italica_v2.0:VII:20633750:20635993:-1 gene:SETIT_010604mg transcript:KQK97333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAGGVLHGRLPIRAPRFPPVLRSLPAPTVAVSAARPCPPIVRRNAPAVVPFAKKKRKGYRDDPPDEEAGAAEDFFDELEEDEEVEEEEEDFDDDDEDIMDEDEDDYDFEDDFESDDEQDLYVGDGGAGGGISLAGTWWDKEALALAEQVSASFDGDLKIYAFKTAANLTIRVRIEKMSTRYGSPTIDDIEAYTIAYRAKLDDAESAGKIPQNISLEVSSPGVERVVRVPEELERFKERTMYVRYTTTSDEAAATPQEGDGVLRLISYDMDLRECTWGIADVKINRQQAGKGRPLSKKQREWQLQTPFESLKLVRLYSEC >KQK96709 pep chromosome:Setaria_italica_v2.0:VII:14287383:14287878:1 gene:SETIT_012917mg transcript:KQK96709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCLKCTRDCSRKNKHIHDALKENKMHVSFVTGMI >KQK96319 pep chromosome:Setaria_italica_v2.0:VII:3600438:3601306:1 gene:SETIT_011919mg transcript:KQK96319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGTWCRELLTTLSYDTAHAGARCSAFEALIATASRTRVAGFGADAVIHLCFAMSTRALLRGSLPRGGTGFYGSCYYIMRVSAPAWKVASSSVAKVVRLIKDGKRRMPAEFARWAAGRSACRAAHEPFPRRHQPTTCILVRPRAHHKPGGTWLLTQCITAEFHKAMLEMS >KQK97267 pep chromosome:Setaria_italica_v2.0:VII:20119716:20120644:-1 gene:SETIT_010967mg transcript:KQK97267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVRAMVAPPPPPMVSSEHAQAVEMAAIVSALTHVVASGRGPPRPAPAIVVPWRHADDSSSSAAGHGQEQLTGVAGQGTAAQAPARKYRGVRRRPWGKWAAEIRDPQKAARVWLGTFATAEDAARAYDAAALRFRGSRARLNFPEDAAARRARDAEAASAAASAGPPAALLESQAAGDDMADYLEYSRILEGGEPSGIMDGIFGGDANGRFLDSWSIGTSPPSSGSDAAATLFR >KQK97576 pep chromosome:Setaria_italica_v2.0:VII:21964704:21966618:1 gene:SETIT_010553mg transcript:KQK97576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQELHQPMELPPGFRFHPTDEELITHYLARKVADARFAAFAVSEADLNKCEPWDLPSLAKMGEKEWYFFCLKDRKYPTGLRTNRATEAGYWKATGKDKDIFRGKALVGSKKTLVFYTGRAPKGEKSGWVMHEYRLHGKLHGAMPKPASKNEWVLCRVFKKSLIVGVGVPPAARRGAMEMAAKMDDMAAISHLPPLMDVSGAAANPAAAHVTCFSNALEGQSFLNQTAAPQVAAAAATDHLGLASSSPFLSSFAQYGSLHHGGVSLVQLLESSGYAGGGGLPDMPKQQQQPAPPCKGGERERLSASQDTGLTSDVHPEISSSSGQRFDHEQLWGY >KQK96695 pep chromosome:Setaria_italica_v2.0:VII:14060765:14061034:-1 gene:SETIT_0094702mg transcript:KQK96695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QVPEWWIWLYRITPMSWTLNLLFTTQFVYGDNKNIMVFGEIKSISEFAKDYFGFHHALVPQAAVMMAVFPVLFGLAFAFSISKVNFQRR >KQK97669 pep chromosome:Setaria_italica_v2.0:VII:22516829:22517001:-1 gene:SETIT_0111882mg transcript:KQK97669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSSWVCMLLQHTALFGYGIAYTITASISCRYDSSYIPSCTVPKHAACAFWQDHTL >KQK98101 pep chromosome:Setaria_italica_v2.0:VII:24960066:24961640:-1 gene:SETIT_011709mg transcript:KQK98101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VATSEIMDDSGLFMQWALSTLQEQQEPPPVPAADDSGSEVTIVSLLDQFGHPASPDCTVPGRPPVLEARRWAATSWSAGDTNSGSDGGGNAPVPVPAMERDGLSPSLDSVKRATAATQTGSVGSGTSQPMSWDFSHSASPRLSNEVMPINYAAAARSSAPYARDHTISERKRREKISQRLIELSTVIPGLTKTDKATILGDAVRYVKELQEKLRVLEDGSRKNGRSFSPVLAKKPRIATPNDEDAVLPSYPPAASNAEIDARISGDNVTVRIHCKDAKGVLVKLLTEVEGLHLSIIDTNVMPFLECTLIINIMAKVEEGFNSKPDDIVGSLKSVLHQHGTRNSTQEKRSSC >KQK96541 pep chromosome:Setaria_italica_v2.0:VII:11398123:11398473:-1 gene:SETIT_011941mg transcript:KQK96541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGSETKYRGVRRRPSGKFAAEIRDSLRQSARIWLGTFDTAEEAARAYDRAAYRMRGHLAVLNFPSETQNYVRGSSSSQHPQHGGGASSQQVIELEYLDDKVLQDLLIKDGKEKRRS >KQK97298 pep chromosome:Setaria_italica_v2.0:VII:20361761:20364944:-1 gene:SETIT_009717mg transcript:KQK97298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAAAMRKASSQASLADPDDFDLTRLLNHKPRINVERQRSFDDRSLSELSLSGAGSRGGWGYGGGMMESYESMYSPGGGLRSYCGTPASSTRLSFEPHPLVGEAWDALRRSLVSFRGQPLGTIAAVDHSTDEVLNYDQVFVRDFVPSALAFLMNGEPEVVRNFLLKTLLLQGWEKRIDRFKLGEGAMPASFKVLKDPKRGVDKLVADFGESAIGRVAPVDSGFWWIIILRAYTKSTGDMTLAETPMCQKGIRLIMNQCLAEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLMLKPDAEGKEIMERIVTRLAALSYHVRGYFWLDFQQLNDIYRFKTEEYSHTAVNKFNVNPESIPDWLLDFMPTRGGYFVGNVSPARMDFRWFALGNCVAILASLATPDQASAIMDLIEERWEELVGEMPVKICFPAIEGHEWQIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRLKIARRAIELAEARLARDGWPEYYDGKLGRYIGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKAMKPVLRRSASWTV >KQK98473 pep chromosome:Setaria_italica_v2.0:VII:27243851:27246899:1 gene:SETIT_011290mg transcript:KQK98473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKAGADASFKASGAKRKKAGGPKRGLTPFFAFLAEFRPQYLEKHPENKGVKDVTKAAGEKWRSMSDEEKKKYGGGKKQEDKASKPTNKKKESTSSKKAKTDGGEGEEAEGSDKSKSEVEDDDEQDGNEEEDEE >KQK96559 pep chromosome:Setaria_italica_v2.0:VII:11955874:11956523:-1 gene:SETIT_011878mg transcript:KQK96559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLSGSTTSPSSSSSSSAASNESRSLWGVHMLLRPVTGAQRHINPMLQLGRRPAHHGLRPTLVSTRCVHSTTDTHPLSPFRVDAISDGFNDCGADGCPNLAELLRASDPVADAEGRRLLRGLVYDPRLPWARRVAGPCSVDLIYGELWAGRLSLPVTDGVELYDLLGVYLGHADVTPFPAKPAGLVPYEHSSCQ >KQK99343 pep chromosome:Setaria_italica_v2.0:VII:32469740:32474642:1 gene:SETIT_009367mg transcript:KQK99343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAACPFLAAAPLVDAPPRAAAPRLAAVRWKALALVFYSVRDCNFHLNRRVYISIISDKLVEKQAPLDWTLVNVMHPFSSLGSGHNPGQLRPKRTLKSWVGPQSRPTPAQTNKPSQATNPHRPSHRPTRRRTTTRPSPSAAQGHSPPEMPPKSKAAAAAAAAAAAEPVSVEDLFTSLHRHIQADEFTQAVNVADQVLKAAPGDDDAVRCKVVAHIKADEIDKALAAMRSAERLPIDLSYYKAYCYYRQNKLQEALDLLRGQEETAAVLQLESQILYRLGRMNDCINSYEKLQKFKIDSMDMKINIIAALVAAGRASEVQAAMKVQKVDLTTRALRDARSFELAYNSACSLIEIKKYLEAKEQLDLSKRIGKEELMVEDYGEDEIEYELAPVSAQLAYVQQLQGQSQEAMQTYVNMVNRKSADSSSLAVATTNLISLKGTKDAADSLRKLDRLFEKSTAPNQLQLIENLDFKLSPRQKEAMYSARVLLLLHANKTDQAHELVSGLLGMFRDSVFTVLLQAAVHVKEKKVQKAEEVLSQYAEKHPENSTGILLALAQIAANANHFQLAADSLSKIPEIQHMPATVATLVALKERLGDSNAAASVLDSAIQWWKNSMTGDNKLDVFTREAAAFKLSHGRDEEACLLYEELVKSHGSIEALAGLVVTSARTNLEKAEQYEKKLKPLPGLKGVNVEALEKTSGARHVEGPQDMKVDVPEEVKKQKAKKRKRKPKYPKGFDPANPGPPPDPERWLPRRERSSYRPKRKDKRAQVRGAQGAVTREIAATSGGGSSKGSQTTGSSKTPAANTDQSKASNKSRKKKSRS >KQK97325 pep chromosome:Setaria_italica_v2.0:VII:20571277:20573388:-1 gene:SETIT_009532mg transcript:KQK97325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHLHGGHELVAHLRASSPLADLLRAVPSLPAARAAHARVLKSPFAGETFLLNTLVSAYARLGRLRDARRVFDGIPLPNTFSYNALLSAYARLGRPDEARALFDAIPDPDQCSYNAVVAALARHGRGHAGDALRFLAAMHADDFVLNAYSFASALSACAAEKDPRTGEQVHGLVAKSPHAEDVHIGSALVDMYAKCERPEDAHRVFDTMPERNVVSWNSLITCYEQNGPVGEALVLFVEMMASGFIPDEVTLASVMSACAGLAAEREGRQVHACVVKCDRFREDMVLNNALVDMYAKCGRTWEARRVFDSMASRSVVSETSMLTGYAKSANVENAQIVFSQMVEKNVIAWNVLIAAYAQNGEEEETLRLFVRLKRESVWPTHYTYGNVLNACGNIADLQLGQQAHVHVLKEGLRFDFGPESDVFVGNSLVDMYLKTGSIDDGAKVFERMAARDNVSWNAMIVGYAQNGRARDALQLFERMLCSKESPDSVTMIGVLSACGHSGLVEEGRRYFQSMTEDHGITPSRDHYTCMIDMLGRAGHLKEVEELIKNMPMEPDSVLWASLLGACRLHKNVELGEWAAGKLFEIDPENSGPYVLLSNMYAEMGKWTDVFRVRRSMKDRGVSKQPGCSWIEIGRKMNVFLVRDNRHPCRNEIHDTLRIIQMEMSRMSLDAEIANCLTNYCSEACG >KQK98130 pep chromosome:Setaria_italica_v2.0:VII:25207745:25212057:1 gene:SETIT_010899mg transcript:KQK98130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFARLVRWLRCGVGCLRTARPTPWYATGRKLSLTSVLVTNGRALISGLDGAFRSSRLSPTSLILGGPAHQDLDWGPADPSSLSRCRPRGPTHAAGSPIPPKESPNPKRRNATKPATIRIPKSSRFSSPSSSPRRRQRPEPDRPPLAPSKKMHLWPSLRIRDSFKHGYLQKLELNLGHMKRAQRGQGQKGEGQDDQDGQAGDGEAPLLQDRSPPGSVLAGTLELAWDAVLLLTCCFCCFCCGACSDEEDHPTAR >KQK97566 pep chromosome:Setaria_italica_v2.0:VII:21915299:21917730:1 gene:SETIT_0100971mg transcript:KQK97566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNLDFAPDPIAYQLNVRNGALILQVPGGSAAAKAGLAPTSRGFAGNIVLGDIIIAVDGKPVKGKSDLLRVLDDYGVGDQVTLTVRRGAETLEVTLPLEEANI >KQK97567 pep chromosome:Setaria_italica_v2.0:VII:21915299:21917730:1 gene:SETIT_0100971mg transcript:KQK97567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GGPLLDSKGHMIGINTAIFTQTGTSAGVGFAIPSSTVLKIAPQLIQFGKVRRAGLNLDFAPDPIAYQLNVRNGALILQVPGGSAAAKAGLAPTSRGFAGNIVLGDIIIAVDGKPQVKGKSDLLRVLDDYGVGDQVTLTVRRGAETLEVTLPLEEANI >KQK97633 pep chromosome:Setaria_italica_v2.0:VII:22286967:22290745:-1 gene:SETIT_009731mg transcript:KQK97633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDDERPYQSHIFQELPSNGNPKLDFETERQSKHKFLADKMVEQTNQSEHSFLKGEHQNGGKTGQTCIEDYSYDKDVVEIKLPDTILSSNYGGHFIKDVCIDEGVLPDKKTSTEKLVDQKVSINFDSSEDTNGDLGEEIRADSTKTALELKSHIVILPVMCATDGNTGEQISLCKEHDLEGNNTAPISTDSNDEKSNPKQSLHEDAQGGQQVGSVISESNENLEPFFNGEAAHQDSFNGCHETGIGIASETSNIIHSDLPAESAAADFAVAIPDSTALDKGASNQVNHYNPFIAYGSLDETWEPNYSLPTIVDAASVAPICPVEKTDSFSDLVNRALEGFDPIEIDEAIIEENRSDSVEASTSTLDVQASEQCNDKRESPTDDVKTDVTQETGIAAVTTSLSTSNGESSDVKSENGKKCEIDNAQDINDFNPRDVEVGTKRSEDITDSKSSPLVQTESVVQQNGPDSAKVTAQTVIRNPFESSFSGPSITSGPLTPSGHIPYSGNISLRSESSTTSTRSFAFPVLQNEWNSSPVKMAKADRRRLREDRGWGYRILCCKF >KQK96806 pep chromosome:Setaria_italica_v2.0:VII:15690397:15692828:-1 gene:SETIT_009450mg transcript:KQK96806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARLSSIFAATASSTASSPSPRSAPIDALAAATERARAGTLRPADAHHLFDQLLRQPTSVPERALNGFLAALAQARPSSACSDSPALAVALFNRRSRNSAGPRVLPTTVHTYAILMDCCCRARRPDLAFAFFGRFLRTGLAISAILFNSLLKGLCDAKRTDESLDVLLCRMPELGCVPNVISYSVLLKSFCSEKKSQRAVELLQIMAKEGGVCSPNVVSYNTVIDGLFKECEVAKACDLFHEMVQQGISPDVMTYSSIIRALGKARAMDKAEMFFRQMVDKGVQPDIFIYTNLIHGYATLGQWKEAVRVFNLMVDDGIVPDHHIFNILIHAYDARGMMGDADAMLIFHEMKQQGVKSDVVTYLNVIAAFCRMGRLDDAMDIFTQMIDQGLPPNQAVYHCLIKGFCTHGGLVKVKELLSEMISKGMHLDVVFFNSVINDLCKEGKVVKAQQVFDFIRHIGLCPNIAMFCSLMEGYCLIGKMKVALRIFESMVSAGLQPNVVAYGTLINGYCKRGRIDNGLNIFREMLLKGVKPSTITYNIVIGGLFHAGRTVAAKEKFQEMIESGIPVDIGIYNTVLYGLLRNNCFDEADTLFKKLRSTNVKIDIVIITTMISGMFKARRIKEAKYLFDSISANKLVPSIVTYSLMITNFINEGLLEEADDIFSAMEKAGCAPNSRLLNHATRLLLEKGEIIRAANYLAKIDKKNFSLEASTTEMLISLFSRKEAWQEHIKLFPAKYQFLVGASYS >KQK99021 pep chromosome:Setaria_italica_v2.0:VII:30509635:30513149:-1 gene:SETIT_010196mg transcript:KQK99021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGNKDVPNEALSMEQSSTSGAKRKRGRPRKYEYPVYDVPQKAQPIQSVPPLRCTQDGLSIRQDGLQSSLTSGDRVHGNRSGRPRNSANKMKNSDNQASYHSSALQDNSGKDDVLGKHFVGKLTKKIPGFSLITVKVKDNQVLKGWVPDENNLRQITPKDDLAPELPMLRPSQVRKRASAIHMQAAPPVPIHLEDVTLAKPLQMRRPAEKIIAKHTAPLPPRPYIGSAVLAAIPVSISPSNPEMRTLAKHDTELVIPQSSVAAVPIKSARPVLVPCKQVENELAGKKSVNEFQKDSESSNETKDSSVIIDKPNTALVDIAVKDSPEERQLLNVQVTDVVKESSGQTRNVDVRMTDEIKITSGTADQPDSAKSEQQSSKEPSEQSEQLKTETVVLKGVDGLKSGTSDDVHPAHDEHEMKVDSN >KQK99020 pep chromosome:Setaria_italica_v2.0:VII:30509635:30512279:-1 gene:SETIT_010196mg transcript:KQK99020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEGNKDVPNEALSMEQSSTSGAKRKRGRPRKYEYPVYDVPQKAQPIQSVPPLRCTQDGLSIRQDGLQSSLTSGDRVHGNRSGRPRNSANKMKNSDNQASYHSSALQDNSGKDDVLGKHFVGKLTKKIPGFSLITVKVKDNQVLKGWVPDENNLRQITPKDDLAPELPMLRPSQVRKRASAIHMQAAPPVPIHLEDVTLAKPLQMRRPAEKIIAKHTAPLPPRPYIGSAVLAAIPVSISPSNPEMRTLAKHDTELVIPQSSVAAVPIKSARPVLVPCKQVENELAGKKSVNEFQKDSESSNETKDSSGQTRNVDVRMTDEIKITSGTADQPDSAKSEQQSSKEPSEQSEQLKTETVVLKGVDGLKSGTSDDVHPAHDEHEMKVDSN >KQK97639 pep chromosome:Setaria_italica_v2.0:VII:22343089:22343940:-1 gene:SETIT_012150mg transcript:KQK97639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEIIIRMQPDSDKGCNKALKVAASVSGVESVTVTGAGKDHLLVIGDCMDAGKLTRKLQKEVGEAEIVELRTLPGRTTSAVSKDVFFTLSPYQRHPTPGRSVPGGGRIECPVAASSRWPGKHSRHGVGYYHRTPSPGYYQHCAPSPLAAGQGSYGYAGGSAGGGSLYVREVARSHPANYSPMIARHDLRAVGHTPPRATAGGEGREHGGGGPNCCSIL >KQK97725 pep chromosome:Setaria_italica_v2.0:VII:22892129:22896168:-1 gene:SETIT_010735mg transcript:KQK97725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENSWIMTGVGLIKKIRNATQSICLRLGELVAEPYIKCPNCECEIDTSNVHLVWPALPAGVKFDPSDFELLQHLEGKSSLLNSKSHALIDAFIPTIEEKGGICYTHPKNFPGIKMDGSTFHFFHRVPNAYGCGHRKRRKVSGDVGSVCDEPIRWHKTGKPKPIRDDNGVKKGWKEILVLYRGSKRGGSNTHIDNWVMHQYHLDAYEEADGELVVSKVFYQLASKKNGKSEMDDIVVESKASVAKIDHRTPKTDPPQPCFPNNSPCDTEQYTPIQVDQVNPMIIFEDFSSCWWV >KQK99333 pep chromosome:Setaria_italica_v2.0:VII:32402321:32402518:1 gene:SETIT_011672mg transcript:KQK99333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQNFRTKVQNCKTLCSLPWDANGHCGLLQIMNQFRAKIKEPAYNGFSRYDFVLLICGLYAHEH >KQK99356 pep chromosome:Setaria_italica_v2.0:VII:32533311:32540620:1 gene:SETIT_009849mg transcript:KQK99356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLNEEGICKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQAKINETKDIMKKKANELDKMRMERGKLDKGGYSSISGPRVIEKTFSDMSISGSGFGGLSTDMDSFASKPKGGRPSTTATAPGKGLGMKLGKTQKTNQILESLKAEGEVILEDVQTSSVPTRSPSLPPTDPVMVTIEEKLNVVAKRDGGINNFDVQGTLALQVLNDADGFIQLQIESQDIPGLSFKTHPNINKELFNSQQILGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPALREAPSVKQIDGEWKFDSRNSVLEWSILLIDQSNLVPWNLLFPQLTHHHFSPSLLGFLHQVLSVI >KQK99355 pep chromosome:Setaria_italica_v2.0:VII:32533311:32540620:1 gene:SETIT_009849mg transcript:KQK99355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLAASIVSKSGKALVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVETENVRYVYQPIEGLYLLLITNKQSNILEDLDTLRLLSKLVPEYSPSLNEEGICKTAFELIFAFDEAISLGNKENVTVQQVKQYCEMESHEEKAHKLMMQAKINETKDIMKKKANELDKMRMERGKLDKGGYSSISGPRVIEKTFSDMSISGSGFGGLSTDMDSFASKPKGGRPSTTATAPGKGLGMKLGKTQKTNQILESLKAEGEVILEDVQTSSVPTRSPSLPPTDPVMVTIEEKLNVVAKRDGGINNFDVQGTLALQVLNDADGFIQLQIESQDIPGLSFKTHPNINKELFNSQQILGAKDPNRPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVVISIPLPALREAPSVKQIDGEWKFDSRNSVLEWSILLIDQSNRSGSMEFVVPPADPSSFFPISVGFSASSTFSDLKVAGILPLKEGNPPKFSQRARLLTANYQVV >KQK96698 pep chromosome:Setaria_italica_v2.0:VII:14197422:14198783:-1 gene:SETIT_012327mg transcript:KQK96698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQQQHHILPLVALLIATFFFVGAPSLAQDATPSLHPVVLVPGNTCGQLDARLTDEYEPPTPACGIPKQGRGWFRLWENFTALQEDPSLLPCYADQLRLVYDPVAGDYRNLPGVKTRVVAFGTTRSFRFDDPARKNVCMEGLVDALEGVGYVEGANLFGAPYDFRYAPAAAGLSSEVFSDFSSSLKLLVERASERNGNKPVILVTHSMGGLFTMVFLDRSPLAWRRRYVKHLVMLCLGVGGSPLNMWPLAFDTLSPPSLPGTVLTYGNRSFASMFSLLPSPKVYGDTPLVITGAKNYSADNMVEYLAAVGLSEEQVALYRTRALPLTLNLRAPLVPMTSINGIGVPTIDRLVFLDGNFTAKPELVNGDGDGQINLQTVLALERLVGGDPDQPYFKSILIPNTTHKGMISDELALKRVVSEILGAN >KQL00027 pep chromosome:Setaria_italica_v2.0:VII:35838115:35839684:-1 gene:SETIT_012637mg transcript:KQL00027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTENPVVRDAGAAVLSGVAVAVVLRFWEEVASRALLDQKLCRKLVHITVGLVYFLMWPLFSSDDVYAPFLAPLIFVINIVKVTVIGLGVVKDEGVVNSMTRHGDCRELLKGPLYYACAITLTTMVFWRTSPISIAVICNLCAGDGVADIVGRRFGQVKLPHNPEKSYAGSIANFMAGFIASVLFMCYFNIFGFVDKSWAMVGAFGVVSLAAAVVESLPISTRLDDNLTVPLASVLVGALVFYLVGATNLCCFMSSGTQDSSSRSISAIVDQWWLFAGSSYASSW >KQK97052 pep chromosome:Setaria_italica_v2.0:VII:18510831:18511805:-1 gene:SETIT_012132mg transcript:KQK97052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGRVVAGKPKVVFVLGATATGKSKLAIAIAERFNGEVINADKIQVHDGVPIITNKVTEEEKAGVPHHLLGVVHPDADFTAEEFRREAAAAVARVLSSGSLPVVAGGSNTYIEALVEGDGAAFRSAHDLLFIWVDAEQALLEWYAALRVDDMVARGLVAEARAAFAGTEADYSRGVRRAIGLPEMHAYLVAEREGGAAEHELAAMFDRAVREIKENTFGLARTQAEKIRRLSSLDGWDVRRVDVTPVLARKADGAACHEETWKKLVWQPCEDMVRAFLELEEDPTAAAAAAVVPTVVAAGGASSVVATAAAAAADLAITADTI >KQK97733 pep chromosome:Setaria_italica_v2.0:VII:22934137:22944901:-1 gene:SETIT_011906mg transcript:KQK97733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRLKVAEGGGPWLWSTNNFAGRQVWEFDPDHGTAEERAQVEEARRGFADHRFELRDSADHLMRLQFAKANPLKLDLSATKLEEEEDVTEEAVSTSLRRAISRLSTLQAHDGHWPGDYGGPMFLIPGLIITLYVTEAMSAVLSSEHQKEIRRYLYNHQNEDGGWGLHIEGPSTMFGSVLNYVSLRLLGESPSGGDGAMEKGRNWILGHGGATLTTSWGKFWLSVLGVFHWSGNNPVPPEVWLLPYCLPFHPGRLWSHCRLVHLPMSYIYGKRFVGPITQVVLDLRKELFRDAYDEINWDKVRNQCAKEDLYCPHSFVQDILWAALHKFVEPVMLDWPGSKLRKKALDIVMQHIHYEDENTRYICIGSVNKVLNMLACWIEDPNSEAFKLHIPRVYDYLWLAEDGMKMQGYNGSQLWDTAFTVQAILAADLIEEFGPTLKLAHDYIKNSQFLDDCPGDLNYWYRHISRGGWPFSTVDQGWPASDCTAEGLKTSLLLSKISPEIAGKPVETNRLYDAVNCLMSYMNDDGGFATYELTRSYAWLELINPSETFEDIMIDYPHVECTSSAIQALASFRKLYPGHHRKKVDNCIIKAANFIESAQRSDGSWYGSWAVCFTYGTWFGVKGLTAAGWTFRNSPAIRKACDFLLSKQLPCGGWGESYLSSQNQVYTDLEGRRPHLVNTSWALLALIDAGQAERDPVPLHRAAKVLINLQSEDGGFPQQEISGVFNRNCMISYSQFRTIFPVWALGEYRCRVLAKAKH >KQK96752 pep chromosome:Setaria_italica_v2.0:VII:14971946:14977159:1 gene:SETIT_010854mg transcript:KQK96752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWRARRLLPLLTFVTLGMILGSLLQLALLHQLDDPSHLMHTDNDPEAAVLRLGYVKPEVISWTPRIIVFHNFLSSEECDYLMAIARPRLQISTVVDVATGKGVKSDVRTSSGMFVNSEERKSPVIQAIEKRISVFSQIPKENGELIQVLRYEASQYYRPHHDYFSDTFNLKRGGQRVATMLMYLTDGVEGGETHFPQAGEGQCSCGGNIVRGLCVKPNKGDAVLFWSMGLDGNTDPNSMHSGCPVLKGEKWSATKWMRQKMTF >KQK99571 pep chromosome:Setaria_italica_v2.0:VII:33568202:33571930:1 gene:SETIT_011713mg transcript:KQK99571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVPQRHDPPVEAAPAAITQEHEEPKPPVIDHVQEPPQVDPPADEPVQELPAEERVQETPPVEPPAEEPPSQEPVQETPPVEPSVPEPAPPQVAPPAPPPPPAPTPTVALALAQEDPPHYESDGGSSGGTGDDWNTGDGARRRRDRRARRRPPRRGRRHGFPLGMVAVPVVILLVAAARSRRQAQIHPHAWQIVRAAAGQAGAMDMDLDLGVQVTCPPAPGEDFAFATSETDAAFLVLAHLPGTHGSISPPVSIGATPRMRSRSASERAARRSPSRARGRMRSRWRRRRRGCGWRTGSATWRPSPSRPLRWNPRSQSHPPRNLCKSRRPKSRHRLSRLPKNRCRSRLPKNPQWKRRRSKSQWKNPQWKRRRSKSQWKNPQWKRRRSKSQWKNPQTRRRSKSQWKNPQWKRRRSKSQWKNRRKSRRSSHQNQRPHQNLLQAARMAILTRTTLEVLRTAGGGVAGEEGGGRGEGGAEASGWEWWSPPR >KQK98893 pep chromosome:Setaria_italica_v2.0:VII:29799861:29805044:1 gene:SETIT_010453mg transcript:KQK98893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVRHRASIHHESTPSCVSKTRPRHAIWYQPVPSAPWVLPTRPPHRPPHPRRGQRPGREMESPFRPDVLRGKAALVTGGGSGIGFEVAAQLARHGAQVALMGRRREVLDKAVAALRSEGLRAVGFDGDVRKQEDAARVLAATVEHFGKLDILVNGAAGNFLASPEDLKPKGFRTVLDIDTVGTYTMCYEAMKYLKKGGPGRGPSSGGLIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQGTPGLRKLAPEEMSKGLREMMPLFKFGEKQDIAMAALYLASDAGKYVNGTTLVVDGGLWLSHPRHIPKEEVKDLSKLVEKKVRTSGVGVPSSKL >KQK98895 pep chromosome:Setaria_italica_v2.0:VII:29802654:29805044:1 gene:SETIT_010453mg transcript:KQK98895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPFRADVLKGKAALVTGGGSGICFEIAAQLARHGAQVAIMGRRREVLDKAVAALRSQGLRAVGFDGDVRKEEDAAKVLAATVEHFGKLDILVNGAAGNFLASPEDLTPKGFRTVLDIDTVGTYTMCYEALKYLKRGGPGKGPSNGGLIINISATLHYTATWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQGTPGLRKLAPEEMSKGLREMMPLFKFGEKQDIAMAALYLASDAGKYVNGTTLVVDGGLWLSHPRHIPKEEVKDLSKLVEKKVRTSGVGVPSSKL >KQK98892 pep chromosome:Setaria_italica_v2.0:VII:29799861:29802349:1 gene:SETIT_010453mg transcript:KQK98892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVRHRASIHHESTPSCVSKTRPRHAIWYQPVPSAPWVLPTRPPHRPPHPRRGQRPGREMESPFRPDVLRGKAALVTGGGSGIGFEVAAQLARHGAQVALMGRRREVLDKAVAALRSEGLRAVGFDGDVRKQEDAARVLAATVEHFGKLDILVNGAAGNFLASPEDLKPKGFRTVLDIDTVGTYTMCYEAMKYLKKGGPGRGPSSGGLIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQDTPGMRKLAPEEMSKGRREMTPLFKLGEKWDIAMAALYLGSDAGKYVNGATIVVDGGLWLSRPRHIPKEEVKALSKVVERKVRTSGVGVPSSKL >KQK98894 pep chromosome:Setaria_italica_v2.0:VII:29800560:29802349:1 gene:SETIT_010453mg transcript:KQK98894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVALTHHIKFDVVNYLVIQDCHGVQAVGFDGDVRKQEDAARVLAATVEHFGKLDILVNGAAGNFLASPEDLKPKGFRTVLDIDTVGTYTMCYEAMKYLKKGGPGRGPSSGGLIINISATLHYTAAWYQIHVSAAKAGVDSITRSLALEWGTDYDIRVNGIAPGPIQDTPGMRKLAPEEMSKGRREMTPLFKLGEKWDIAMAALYLGSDAGKYVNGATIVVDGGLWLSRPRHIPKEEVKALSKVVERKVRTSGVGVPSSKL >KQK97066 pep chromosome:Setaria_italica_v2.0:VII:18661155:18661272:-1 gene:SETIT_012544mg transcript:KQK97066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLISSLISTVGLLVKPPAEVLQLGDFDDNGRLSIDAS >KQK97152 pep chromosome:Setaria_italica_v2.0:VII:19296863:19298998:-1 gene:SETIT_010012mg transcript:KQK97152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPRRDAVGEDLISNLPLELRSAIISRLATAEAARTAVLSTRWRDTWRGTPLRLDDLDLPASPGLSIALATAAAGTPWAARADAVAVALASHPGPVERFRLARTTLRARVPTAEAWFRDLAAGDHRAREVSLFCPPEWCHCALADPLLTSPTLETLALGECRFSDAGAAAASASRLTELSLSRTHISEAALQSLLSGCPALRSVMLKHIQGPRRIHISSCRNLVLLGVWQYKLLEELTVEDAPRLERLLGDAHLGTEITIVGAPKLTALGYLVVGYRDFFHGIEMPTAQKKVAKGLRAPFNSVKVLAIGVMLSSKKNLESVMNLLKCFPFLETLHIQGNKRAEGEFHTIDSNYYQKLDPVGCMVNHLKSVRLEINIENQRSKVENPNILEFVCFLLANAQVLQIMKIQSSMFNNPAWITEKQNLLSQCHRASVEAKVVLEGLKVVHRKGFSIEDVNALPDPFDSDIDIMGY >KQK99274 pep chromosome:Setaria_italica_v2.0:VII:32055199:32058972:1 gene:SETIT_010075mg transcript:KQK99274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWPTGVHLAIHPDHKSRGRVHVDPSHSLGSPTSGLPLGEATPATTRETSRRGRGTRGRHARARARVSTPPFFSPRGSELAAAGGPVECVRGVGGVPRGGGSGMAAPGKGGAARIAAAFIVLLHLVAAIAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPNNEEATKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQGRGGGGGGMNIQDIFSSFFGGGGGMEEEEEQILKGDEVVVELDASLEDLYMGGSLKVWREKNVIKPAAGKRQCNCRNEVYHRQIGPGMYQQMTEQVCDQCPNVKFVREGEFLTVDIEKGMQDGQEVLFFEEGEPKIDGEPGDLKFRIRTAPHGRFRREGNDLHATATISLVLPLSCPVCMGKHDHLAWTKEIFCDC >KQK99275 pep chromosome:Setaria_italica_v2.0:VII:32055199:32060626:1 gene:SETIT_010075mg transcript:KQK99275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWPTGVHLAIHPDHKSRGRVHVDPSHSLGSPTSGLPLGEATPATTRETSRRGRGTRGRHARARARVSTPPFFSPRGSELAAAGGPVECVRGVGGVPRGGGSGMAAPGKGGAARIAAAFIVLLHLVAAIAGKSYYDVLQVPKGASEDQIKRSYRKLALKYHPDKNPNNEEATKRFAEINNAYEVLTDQEKRKIYDRYGEEGLKQFQGRGGGGGGMNIQDIFSSFFGGGGGMEEEEEQILKGDEVVVELDASLEDLYMGGSLKVWREKNVIKPAAGKRQCNCRNEVYHRQIGPGMYQQMTEQVCDQCPNVKFVREGEFLTVDIEKGMQDGQEVLFFEEGEPKIDGEPGDLKFRIRTAPHGRFRREGNDLHATATISLLQALVGFEKTIKHLDNHLVEIGTKSITKPKEIRKFKGEGMPLYQSNKKGDLYVTFEVLFPKTLTDDQKAKLKDILV >KQK99219 pep chromosome:Setaria_italica_v2.0:VII:31610929:31611130:-1 gene:SETIT_011772mg transcript:KQK99219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHNHMMLLAVPAVAAGGLQAFHLAFLVWPVNAALPLAHDLSRACIALRGIASSTPPGCTPT >KQK97657 pep chromosome:Setaria_italica_v2.0:VII:22455030:22455970:-1 gene:SETIT_011392mg transcript:KQK97657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVIKVSMSSEKSRSKAMELVARADGVSSMGVTGNGKDQLEVVGDGVDTVCLVKCLRKKLGHADILKVEEVKDKKPEEKKPEEPKVVDLPPYYYPGYYYHHHHLPAPWW >KQK99934 pep chromosome:Setaria_italica_v2.0:VII:35444697:35446859:-1 gene:SETIT_011726mg transcript:KQK99934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNIPYCKSQAPAAAVAAISSIRFSSSPALIPPPSQPLISPPPALPEENPFAALLASDPPPPEPLRLVLATGDVHSALRGLPGLARQLFRWAEDTPRGFPRTASAFAAVLVPLAQSNHIRAAYPVSLRALHLGLLLPLVSLLLSTHLSPAPKSLLSLLLRLSTKFSPECEGRDAAPTTCSTLCLSTFREMAHHRVAPDVKDCNRVLRVLRDAAMWDDICAVYAEMLQLGIEPSIVTYNTLLDSFLKEGREDKAAMVLKEMQKRGTGCLPNNVTYNVVISWLTRKGDLGDAVELVDWMRLSKKASSFTYNPLITGLFARGFLKKAEALQLVMENEGIMPTVVTYNAMIHGLLQSGQVEAAQLKFVEMRAMGLLPDVITYNSLLNGYCKAGNLKEVLWLLGDLRRAGLAPTILTYNILIDGYCRIGDLDEARRLKEEMVEQDCLPDVCTYTTLMNGSHKVRNPAMAREFFDEMLSKGLQPDCFAYNTRIRAELALGDASNAFQLREAMMLEGISSNTVTYNILIDGLCKTGNLKDAEDLRMKMVRDGLKPDCITYTCLIHAHCERGLLREARKYFNMMDSYHLPPTAVTYTVLIHAYCRKGNLYSAYGWFRKMLEKGVEPNEITYNVLIHALCRMGRTQLAYHHFYEMLERGLAPNKYTYTLLIDGNCKEGSWEDAMRLYFEMHQNGIHPDYCTHKALFKGFDESHMHHAIEYLENVVLGE >KQK99711 pep chromosome:Setaria_italica_v2.0:VII:34329088:34329393:-1 gene:SETIT_011864mg transcript:KQK99711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRIHPSSSETTRPLLPHRRGEDAGRASSSAAAAALQYMVWKRSSMGFQGTDGLSVYDAAGALAFRVDNYSRRSKLFAGELLLMDGQGAPLLALMHRVLLQ >KQK97673 pep chromosome:Setaria_italica_v2.0:VII:22534422:22539124:-1 gene:SETIT_009264mg transcript:KQK97673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKTCPVCKVFASTSNTTLNAHIDQCLSAESNTELVETVLVKPKVKPRKKRLLEDIYKTALPYTLEDLDRRNGTNWAVELAMSTVSKEVCTENQSPEVAPFDRRDDEKEGDVYVDSNGIKIRILSKCSDGPLVLRDDDSRKVAKHETGKCILMSKKIPKSKMLKNKKLKMHRKKYNKTNHLNSQVPAYSHDDINEETSEEERHSRNPSGSTSNCGSVTMRQWACSKRSDITKNFSRKFSDKVASGAQKSDRSSMLGFNDSQITESPAGVFSSESPEDMATTSEAIGFEQSNARLLGSIPTWSSKTPLQSGIVPKVPRSAAALAKRKIKEIGRREANKLDNYDIERNPSSAKRSEARSLSFSTAGPSNGPNRLVPTSKKIRKHRSMLRTGKRAFSSSSSRLVHGFGRDHEPDTGHVNKKFRVSNNEGPKKFVKHTEEDTADNDSSFGTDMPESGQQDDQYDVPQETEGTQMYYEGEEPETDVPYDSTSRSNPVDCQISDGSLSPENNRSAGNVLVEGYSVAVEDPSSSEQLAHHGQESNSVVNNETEEWQIDPASTKESSACLTNNRDMGPGAPQDNSSITSNREDSNQEQGLPLGRDSLDSPISTASTMSPPAALKDSRMNESEPGPSTGRTVEERTTGSLNQENKSIPIAREGEQMPNEKPFRCSCQENIARDSNQSAVVRPPMLNFTGKQVPQLHIGLRASSCFSTYQRTSTKPNPCLDTHDHPLAAKVSAESAMNLPSYTADCMSPALQNQLPSPSNPILRLMGKNLMVMNNEESVHPQPPSSDYMLRGNYVAPVGFVPPKYQHLSNSAFINTPPTTASHQMPLPSVQAGSFVGPPLHGGSVMQSDHHALQKAYRNIVPVMHHPNYMMKEVIVIDDSPEHRSEPQVSMLLPHAPSPATMPTPNTMPPQPFYCLPSQSPILPRDRAVGSMPVYANVGSMVGVGSSSQGSQTEVANPYMQNPFFAQSATGYLNQPMYYSQNLRR >KQK99850 pep chromosome:Setaria_italica_v2.0:VII:35041031:35044450:-1 gene:SETIT_009928mg transcript:KQK99850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGAPAPLLLAAAAFAAALGWLFAVRSSRRGSARLPPGSTGLPLIGETLRLISAYKTPNPEPFIDERVARHGSGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVDCSYPSSITTLLGPHSLLLTRGPAHKRLHSLTLTRLGRPASPPLLAHIDRLILATMRDWEPAATVRLLDEAKKITFNLTVKQLVSIEPGPWTESVRREYVKLIDGFFSIPFPFAHLLPFTTYGQALKARKKVAGALREVIGKRMDDKLEDRAANSEDDGKREKKDMVEELLEAEDGSFSVEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALAQLKEEHDNIRDIKGKNQPLEWSDYKSMPFTQCVINETLRVANLISGVFRRANTDIHFKDYIIPKGCKIFASFRAVHLNTEHYENARTFDPWRWQSKHKLQNAVGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRIVFFPTTRTVKGYPINLRRRTDSVS >KQK97551 pep chromosome:Setaria_italica_v2.0:VII:21838492:21840230:1 gene:SETIT_012672mg transcript:KQK97551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAALLPVGLLLCLALACGADAVRKTVGVYELKNKKGDFSIKVTNWGATLMSVIVPDSKGNLADVVLGYDTLAEYVDASSAFGTVVGRVANRIANGSFVLDGKTIHLNKDGTTVLHGGLKGFNRVIWTVKEYVPRGDSPYITLYYHSFDGEQGFPGDLDVYVTYQLSCPYQLSARMNATALNKATPVNLANHAYWNVGGHGSGDVLGQVIQVFASRYTPVDRNMIPTGEVAPVSGTPYDLRAPTPLGTRIGLVSGAGMAGFDINYAVDGGGGFRKVARLWDPQSGRVLELWADQPGVQLYTSNWLGNVTGKGGEVYGQYGAVCLETQGFPDAVNHPNFPSQIVRPGQAYRHDMLFKFS >KQK99456 pep chromosome:Setaria_italica_v2.0:VII:32965242:32966422:1 gene:SETIT_010690mg transcript:KQK99456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDRGEVDTSRAFRSVKEAVAVFGERILVREAQLRPNGITHGNHRAGREVNLKANVVAVAASDAKLERTDGVRDSVGLQPRESYSKPSVSFNAKQEGSSSNTKTASNELPVPVPRPVSEDVPMYLVPSSPPFFASSPSLANDDDDEQAERKETDLMVMSTIKKLEEEAARTRQEVSQLKWRLAEMELSMATLNAKLHRALSKRGRSGDVALAVWAERRAPSRPQLGHLLRLGGADREAAVMSGGGGGGRTVAVPSRRKVQKQKPIVPLVVPLINGVLFSKKRRMKDKESVYMKELYSLLRLS >KQK99476 pep chromosome:Setaria_italica_v2.0:VII:33055304:33056179:1 gene:SETIT_011743mg transcript:KQK99476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPFQALPVGIYFNPSAEECVRDFLRPWIAGVRPATDRVIIGVDIYSDRPAALLQGRAPGFSRGFEHKWFMLTQCVRICGGKNRGKARAKRDVATGGNWKVEQRSKGVAEPDDGEDDPPGGDRRRTNGFYLLLGGGAGKGTKKDGGVKTPWLMEEFTTAEDEAAAVDGWKGQRIIKVFCKLYVSPRATNDEKRDIFGEDGVPVDLHGHVKTVMAKLSHEYFDAVAENLNGDQGQGTAPPRALGHQQGQPAAPVLPRVPGHHHGHAVLPRGVPARPHQIQGSLGFQRGQATP >KQK96590 pep chromosome:Setaria_italica_v2.0:VII:12423994:12425404:-1 gene:SETIT_012203mg transcript:KQK96590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAILGEELLNDEFYIGLQQKRATGKEYHELIEEFMSAVVQIYGEKVLIQFEDFANHNAFDLLEKYSKSHLVFNDDIQGTASVVLAGLLASLKVVGGTLAEHTYLFLGAGEAGTGIAELIALQISKQVKVKNFMVDVRSINSLDTCPSHELSQDNNLNETSQARQDLAEGSHCLSKGSSHQWMK >KQK99350 pep chromosome:Setaria_italica_v2.0:VII:32521613:32522615:1 gene:SETIT_010476mg transcript:KQK99350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAAAARRLLLLRHRHGHLLPKRHLSSSSAADSLDDGGGGGGRVKIFDRDLKRRHRDRAAWAMREADGFVDAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRLLRGRGGIEKLIMMDMSADMVRKWRESENATDDGPETHFVVCDEEFLPIKERITSLRLQSPPVPLGVRQSTANSTLVTLAS >KQK99351 pep chromosome:Setaria_italica_v2.0:VII:32521613:32524156:1 gene:SETIT_010476mg transcript:KQK99351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAAAARRLLLLRHRHGHLLPKRHLSSSSAADSLDDGGGGGGRVKIFDRDLKRRHRDRAAWAMREADGFVDAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRLLRGRGGIEKLIMMDMSADMVRKWRESENATDDGPETHFVVCDEEFLPIKESSQDLIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDSYTVKYNSGLYKKKT >KQK99352 pep chromosome:Setaria_italica_v2.0:VII:32521613:32525017:1 gene:SETIT_010476mg transcript:KQK99352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAAAAARRLLLLRHRHGHLLPKRHLSSSSAADSLDDGGGGGGRVKIFDRDLKRRHRDRAAWAMREADGFVDAVADNLLDRLEDCRKAFPSALCLGGSAGAVRRLLRGRGGIEKLIMMDMSADMVRKWRESENATDDGPETHFVVCDEEFLPIKESSQDLIISCLGLHWTNDLPGAMIQCRLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRMSPLAQVRDAGNLLTRAGFTLPGVDVDSYTVKYNSALELVEHLRAMGETNALFQRNPVLKRDTALATAAIYQSMFGLEDGSIPATFQVIYMTGWREHPSQQKAKRRGSATISFSDIQKQFSPSEN >KQK99806 pep chromosome:Setaria_italica_v2.0:VII:34847725:34850604:1 gene:SETIT_011837mg transcript:KQK99806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVEDGGGGGCFALNDDLLLHIFASYLDDTADLLRCAATCRRGRRLVASEAGFITCRRKPPSEDDRLVNALAVGSEARLQLEPASGIPPAPPLLPAPQPKATVLPSADMPEHYACARLATADLDGAAVHPLLRPGSAAFRIVVVYKRGKDTMCRSYSADAESWGAEGELSGPNISSRLLGYMGAGGVAVGGSVFWLSGDRVVFGLRLDTLQGRVESPKWYRMHRFSFAHPYPDRRLVVLPDGKLGLVQVGQGDAGNLVMNVFSGSDYEKTRHRSGYWRERRWDWENVGLDALLPSSVVSGAVKRMRLRSITEQDPALYALDLEKKKVQLMPVRPESCCFRRSSCSFQGCDNMDLVAYLTSLGA >KQK96935 pep chromosome:Setaria_italica_v2.0:VII:17584206:17585152:1 gene:SETIT_011357mg transcript:KQK96935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAGVAMANKSSEGGAAQWRPGPPPAALRWGGGAPRCEACGGSGKEECRLCARWSDAGARGSRRSGCGACAGTRRTPCRSCGGSGTGRRAPVRVATSGRAAPTAWSAR >KQK97921 pep chromosome:Setaria_italica_v2.0:VII:23970079:23973261:-1 gene:SETIT_011734mg transcript:KQK97921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPSRHRLAFPPPAPISISLSHPLRAPSHHLPLTPGEGKRPFLARGDVPVSFRRALLVLVRSRWGGGSAAQSSAQCCYCRRCWRSPPRSRLPRPSRRLRARRGLLRRRPRGRPRQLRGCRSHRCRLPRSRHPRHPQRQLGSHPRLRGRLQRCRLHPARHRRRGRCLRLPRQFLPRRGRLRRRGSRNHLPRMLRLRHGPAPTPPPPPPWSSQAPRPSPSPTIPAPQPPTAPKPSPSPASPPPPATPQHSANSTPSTSSTLGQLSPSFYAQSCPGVELAVRDVVRSASLLDPSIPGKLLRLVFHDCFVEGCDASVLIQGSGTERTDPANLSLGGFNVIDAAKRLLEVVCPATVSCSDIIVLAARDAVVFTGGPAVPVMLGRRDGLVSLASNVRRNIIDTGFSVDAMAASFTAKGLTLDDLVTLSGGHTIGSAHCNTFRERFQVANGSMAPVDGSMNTDYANELIRACSANGTVSAGTAVDCDSGSASVFDNRYFANLLEGRGLLRTDAVLVQNATTRAKVAEFAQSQDGFFASWADSYARLTGLGVKTGADGEIRRTCSSVNG >KQK96530 pep chromosome:Setaria_italica_v2.0:VII:11106130:11107580:1 gene:SETIT_011755mg transcript:KQK96530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASACIAKMVSKVCSYVEDQHEYQRDDAKDKLTRLNNNLWKIPAVLHKASSLQTKDSSMESWLGNIKDAAYQAEDVLDLFDYRFLEAKAEDMEKLMANTFDYATAVKRSVRVLKRFLFSDEDLNKLIANVDKFDKIASEMQTFLELTNTRDRKPGKALQCKSYSVIAIVGVAGVGKTALLQRVYSHFRDTGHFDIMAWLYVSEKFGIKRLTKEMVTEMIAWHASIPDDLNSISNLDLAQRILQDKLNGSRILVVLDDVWNEMSSKWETLYKPLQFAKMIHLNGLEGKEYLGHFMQCAFGNATPSGFPTLVKIGKQLAKKLAGSPLAAKTGGADDIMPALKLSYEHLPNHLKRCFVYFALFPKNHQLQGDVLIQMWQAHAIWL >KQK98896 pep chromosome:Setaria_italica_v2.0:VII:29807408:29807959:1 gene:SETIT_013027mg transcript:KQK98896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRPPLVVDSATRRTSRKRRGKRGRGPGALRPTHRLRCTRHLSFPAAPRGGGAPGTPAWRSSSSTAACLLTQRARHPGRDDAKRRRRGSNGLRPCRTAQMTRRTVVAGRKKAAAP >KQK99443 pep chromosome:Setaria_italica_v2.0:VII:32913072:32915937:-1 gene:SETIT_010171mg transcript:KQK99443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLKHCCAFGTHHLLTWGSIFQTSGREQKQIRSWSAQCSANYDRASVPEKDSPLKNLTLPDNSLLDARILYCTSPALGHNKEAHPESNKRVPAIVDALDKLELSPKHRGSQVLEIQNFNPASLDDVARVHSKSYITGLEKAMSKAADEGLILIEGTGPTYATETTFQESLLSAGAGITLVDSVVAASKLGPNPPLGFALVRPPGHHAVPRGPMGFCVFGNIAVAARYAQHQYGLKRVMIIDFDVHHGNGTSDAFYDDPDIFFLSTHQLGSYPGTGKIDEVGQGDGEGTTLNLPLPGGAGDYAMRCAFDEAIAPSAQRFKPDIILVSAGYDAHALDPLAGLQFTTGTFYMLASSIKQLAKELCGGRCIFFLEGGYNLQTLSSSVANTFRAFLDEPSLAAQLDDPAMLYEEPTRKIKEAIAKAKGIHSL >KQK99018 pep chromosome:Setaria_italica_v2.0:VII:30499928:30503866:1 gene:SETIT_010174mg transcript:KQK99018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQQPPPQQPQPGMAPPPPPQAAGGQPPQWGGIPPPMTQQYGAPPPQQPPAMWGQPPPQGHYGQAPPPQPYYAAPPAQAPAAPAAADEVRTLWIGDLQYWMDENYIYGCFANTGEVQSVKLIRDKNSGQLQGYGFVEFTSRAAAERVLQTYNGQMMPNVELTFRLNWASAGEKRDDTPDYTIFVGDLAADVTDYLLQETFRVHYPSVKGAKVVTDKLTMRSKGYGFVKFGDPTEQARAMTEMNGMPCSSRPMRIGPAASRKTTGVQERVPNSQGAQSENDPNNTTIFVGGLDPNVTEDVLKQVFAPYGEVVHVKIPVGKRCGFVQFVTRPSAEQALLMLQGTLIGGQNVRLSWGRSLSNKPQQDSNQWGGAAASAAAGGYYGGYGQGYEAYGGGYAQPQDPNMYGYGAYAGYPNYQQQPAAQQPQQQQ >KQK99772 pep chromosome:Setaria_italica_v2.0:VII:34680376:34684416:-1 gene:SETIT_012557mg transcript:KQK99772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAGATRSPLLLPVILLVAAVGASSSLAAAAAALPNAAAHGVTLRVDHHQVLVDNGVVQVTLSKPQGHITAVRYNGERSLLHFAGQENTGGYWDVVWNYPGSDHPRGMIDMLDSTEFKVVSSSPEQVELSFRSIYNPSRQDSVRLNVDKRIVVLKGSSGFYCYAIFEHASNWPAMNISEARLAFKLNTDKFNYMAISDDIQRYMPSAADRDEPRGTALAYKEAVLLVNPQEPQFKGEVDDKYQYSLDNKDNVVHGWISSNHPSPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLTMFLGTHYIGDDIVLNVGNGEYWKKVLGPVFIYLNSSPKHGDLRALWQDAKAQAQTEVSKWPYSFPKSPDFAKAGERGSVTGRLMVRDRFMRNDDMPAGMAYIGLAAPGQPGSWATECKGYQFWTTATSCGSFTIGNVRAGVYNLYAWVPGVLGDYMYTSAVTVTPGCAIDLGDLVFLPPRSGPTLWEIGVPDRTAAEFFIPDVDPRYANRLFLHRDMYRQYGLWERYAELYPDSDPVFTVGRSNHSKDWFFAHVTRKVGNGNVPTTRQIRFNLDHVVADGTYTLRIALAAAQMSRLQVHVNGGGMRRGGGVFTTPEFGGGNAIARHGIHGVQWSFEFPIRGYLLEEGENRISITQTRAFGEFLGVMYDYIRLEGPPGSWRDPTRRA >KQK97552 pep chromosome:Setaria_italica_v2.0:VII:21841074:21844506:1 gene:SETIT_010154mg transcript:KQK97552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVRPGAALVHHYHHAAHSPLAVHVSVTVAGRPVDSFRPRLLPPFYVEGPDKLTHTCCVREGRIMARAPAPLLLLALLAALAAAAGANAAGRKMVGVYELRKGDFSVKVTNWGATLTSVVLPDCKGNLADVVLGYDTIADYVNGSNYFGALVGRVANRVANARFVLDGKVYHLVPNDGKNALHGGKRGFSKVIWTVKDYVGGGDSPYITLYYHSFDGEEGFPGDLDVYVTYRLLGRYELSLHMNATALDKATPVNLANHAYWNLAGQGRGDILGHTVQLFASRYTPVDGALIPTGAVVPVAGTPYDLRAPTPVGAHVRAIYGGKAGIYGYDTNYAVDGGAGAAALRKVAVVRDGGGSGRAMELWANQPGVQFYTGNFLKDVKGKGGKVYGQYGALCLETQGFPDAVNHPNFPSQIVRPGQVYRHDMVFKFSF >KQK99947 pep chromosome:Setaria_italica_v2.0:VII:35502924:35504078:1 gene:SETIT_012349mg transcript:KQK99947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFASICRSRRLLRFRQIPSAAGADPSRRPYPIDALLSHGYSSAALAGAPPPEPCPATVSNLTSCGLLSPASTAARKHSIRSADRADAVRALFRSYGFTDADITEIVRRASVVLTLDPDRILRPKLDLFASLGVRPRRLSTEPILLTRSLDNHLVPCVQFLRGVLGTDAAVRDAISQAPRILHASLEKNMRPAVAALRRLGLLDEFISKLITIQVGVLRLSPERITQIFEYLKLLDLGVTDRAFLYAFRALCHLSRETWLRKVALYQSFGVSEGELLKAFKKQPTIPLFSDETITKKLQFYLDELKLQVSDVMRHPVLMGYSLEKCIIPRCAVLSVLMREGKIEPNIKLHTALLGSAKNFSDKYVMRYAHDVPDVVKAYEGNIKFE >KQK99413 pep chromosome:Setaria_italica_v2.0:VII:32816999:32823332:-1 gene:SETIT_009299mg transcript:KQK99413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAGQQVGASLLRTSSSLLGGGGGGGGGGGQPGMGMGMGMGGAGGVLPSQSPFSSLVSPRTQYGSGLLAGASNVASLLSRQQSYGNGGIGAMPGAGAGLPMGGLHQQQQQQQRGGIDGVADLVGAGGPDSMAFPSSSQGSLGNQLGGENLQQQQHQQQMDAPQDSQNQQQQQQQHQHQHQQQMSMPYNQQHMLPQTQPQQQPAVKMENGGGILGGVKLEQQMGQPDQNGPAQMMRSSSGGVKLEPQLQAMRGLGAVKMEHQSSDPSVFLQQQQQQQHMLQLSKQNPQAAAAQLSLLQQQQQRFLHLQQQQQQQQQQQILKNLPLQRNQLQQQQQQQQQQQQHQQLLRQQSLNMRTGKTPAYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPSAKKRWCVSLYGNGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYVDMPRESQNSSGQIVLDYTKAIQESVFEQLRVVREGHLRIVFNQDLKIASWEFCARRHEELIPRRSIIPQVSQLGAVVQKYQSSVQNSASLSNQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDYSRQTGSGPIDSLHKFPRRGAPAISSLQPPQQPEEQQPVPQSSNQSGQNSAPTTGMQVSASGNGDATSNNSLNCAPSTSAPSSSSVVGLLQGSINCRQEHPTSSGNGLYNGGNNAAVAKANSTNSMQSNPQASFPSPVPSASNGNMMPAPQHSSQMNSPTMPSNLPPMQTPTSRPQEPESNESQSSVQRILQEMMMQSQMNGVGPVGSDMKRANTITPGLNGVNSLVGNPITNNSGMNGMGFGAMGGIGQSMRTAMGNNAMVMNGRTGMNHSAHDLTQLSHQQQQRDIGSQLLGGLRAANSFNNLQYDWKSSQ >KQK97386 pep chromosome:Setaria_italica_v2.0:VII:20957019:20957705:-1 gene:SETIT_011474mg transcript:KQK97386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAQDTNKKVPENSDSLTGGRHDAPPWILPFWHVVVSHLLKMPFFIERSSSLAMDQPRPSFFFCVCVFSVRVCISMPIAPRKKVI >KQK96786 pep chromosome:Setaria_italica_v2.0:VII:15551565:15555303:1 gene:SETIT_012067mg transcript:KQK96786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCAYECLGLGCQPCMQVCENFMIGFPCWWQSCNLLYPKETGTGNSEVDSTRFYLEKFQLGERFHSHGTSLLSELLNSVRSYSRNDAAFQKSSHLPNGAPRFEEYTGDGDIAINENAAASNDDRERHEAACNEVYNVDMHMTACRALRERDGGYIDTHASLVLTVECPNDAANKEADSATPTSTYDGDIDINASLVLTMECTNDASNEEADNALSTSDQRTPVISLKTQGCWEKTGHVALNKKAAVDEGMPTSVCLDVQNSSYLSNETTRLEKNTCIGDTPTNEGAAALNDNSERCTSVLEEVNSVETSFIVGSVTRERGHDDVATNVSLTPTVECTNDAVNEGVDNTSLLGCKTTPVASLKSQGCQEKQQHIPSNEKPSGPPKKQRSALEKLRGATRSPLTSPVPYAHDSPLTRGRTTSLSMSTPESLKLRKTRSGRVVVPTLDKGCQRIVYDM >KQK96123 pep chromosome:Setaria_italica_v2.0:VII:708437:709455:-1 gene:SETIT_011578mg transcript:KQK96123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQESAGVQSVVTPASQTLNGLIQIERGHNTQESDLALHATWHVGSGAQGRILTRLGQSNPE >KQK99926 pep chromosome:Setaria_italica_v2.0:VII:35418380:35421075:1 gene:SETIT_011083mg transcript:KQK99926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYITGESYAGHYIPAFANRVHQGNKANEGIHINLKGFAIGNAYTDYALEMNLIGKSDYERINRFIPPCEFAINGTDGKASCMAAYMVCNNIFNSIMKLVGTKNECEGKLCYDFLNLEKFFGDKAVRHLDTVYEAMLTDWMRNLEVGIPALLEDGINVLIYAGEYDLICNWLRNLRWVHSMEWSGQKDFVSSSETSFV >KQK98025 pep chromosome:Setaria_italica_v2.0:VII:24563626:24571853:1 gene:SETIT_009352mg transcript:KQK98025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSAPASACRVTPARSTASFLPARSLAGCRAVVWRCWSARRGRRRRWAALRARCVGGQSSAVQPDSGSAGEGLVAEEDGPRRPPFDLNLAVVLAGFAFEAYTSPPADVGWRETDAADCQTVFLSDVFLREVYDGQLVVKLKKGINLPAMDPWGTSDPYVILQLNGQTARSNIKWATKEPTWNESFTFNIRKSRENLLQVAAWDANLVTPHKRMGNAGLYLESLCDGNSHNVTVELEGLGGGGTIEIEVKYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPSSLESGGEVSESVEEPRDNAVESNNLQQQKIDSGDSLDSHCEAQSPAAAVNSEGDVSSDEYFWRALNNVLNQNVLQNFGFSLPEVKKLDGFDLLSSLGLKSREIAEQKYLESGLATTDTSTSDGSETTPEDSVGVDNENGALTTKEEDQSSFLDINKVSRDVLSQTENILGALMILSKNLSPHDNKSVTTNETNKKDDMIIEQEVAAAEDSIDKDNTVASTKLSVDAQKAEDMRHLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQIISTNVFRLPFGAILHEEGYGFLGAYDSVRNRIMALIKFAVGYQDEEDAENIPRWHVYVTGHSLGGALATLLALELSSSQMAKNGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRIVNHRDIIPTVPRLMGYCHVEAPVYLKFGDSKDELVNNGILDDEDQGDVIGEYTPDVLVTEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLENVRSRYQVVDSAIDESHQLTA >KQK98026 pep chromosome:Setaria_italica_v2.0:VII:24563626:24571171:1 gene:SETIT_009352mg transcript:KQK98026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSAPASACRVTPARSTASFLPARSLAGCRAVVWRCWSARRGRRRRWAALRARCVGGQSSAVQPDSGSAGEGLVAEEDGPRRPPFDLNLAVVLAGFAFEAYTSPPADVGWRETDAADCQTVFLSDVFLREVYDGQLVVKLKKGINLPAMDPWGTSDPYVILQLNGQTARSNIKWATKEPTWNESFTFNIRKSRENLLQVAAWDANLVTPHKRMGNAGLYLESLCDGNSHNVTVELEGLGGGGTIEIEVKYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPSSLESGGEVSESVEEPRDNAVESNNLQQQKIDSGDSLDSHCEAQSPAAAVNSEGDVSSDEYFWRALNNVLNQNVLQNFGFSLPEVKKLDGFDLLSSLGLKSREIAEQKYLESGLATTDTSTSDGSETTPEDSVGVDNENGALTTKEEDQSSFLDINKVSRDVLSQTENILGALMILSKNLSPHDNKSVTTNETNKKDDMIIEQEVAAAEDSIDKDNTVASTKLSVDAQKAEDMRHLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQIISTNVFRLPFGAILHEEGYGFLGAYDSVRNRIMALIKFAVGYQDEEDAENIPRWHVYVTGHSLGGALATLLALELSSSQMAKNGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRIVNHRDIIPTVPRLMGYCHVEAPVYLKFGDSKDELVNNGILDDEDQGDVIGEYTPDVLVTEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLENVRSRYQVVDSAIDESHQLTA >KQK98024 pep chromosome:Setaria_italica_v2.0:VII:24563626:24570171:1 gene:SETIT_009352mg transcript:KQK98024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSTSAPASACRVTPARSTASFLPARSLAGCRAVVWRCWSARRGRRRRWAALRARCVGGQSSAVQPDSGSAGEGLVAEEDGPRRPPFDLNLAVVLAGFAFEAYTSPPADVGWRETDAADCQTVFLSDVFLREVYDGQLVVKLKKGINLPAMDPWGTSDPYVILQLNGQTARSNIKWATKEPTWNESFTFNIRKSRENLLQVAAWDANLVTPHKRMGNAGLYLESLCDGNSHNVTVELEGLGGGGTIEIEVKYKSYDDIEREKQWWRIPFVSDFLVKSSLGSALRTVLGSESINASQFVQSAFGQLSSFTYTYLPKPSSLESGGEVSESVEEPRDNAVESNNLQQQKIDSGDSLDSHCEAQSPAAAVNSEGDVSSDEYFWRALNNVLNQNVLQNFGFSLPEVKKLDGFDLLSSLGLKSREIAEQKYLESGLATTDTSTSDGSETTPEDSVGVDNENGALTTKEEDQSSFLDINKVSRDVLSQTENILGALMILSKNLSPHDNKSVTTNETNKKDDMIIEQEVAAAEDSIDKDNTVASTKLSVDAQKAEDMRHLFASAETAMEAWAMLATSLGRNSFIKSDFEKICFLDNVSTDTQIISTNVFRLPFGAILHEEGYGFLGAYDSVRNRIMALIKFAVGYQDEEDAENIPRWHVYVTGHSLGGALATLLALELSSSQMAKNGVIFVTMYNFGSPRVGNRRFAEVYNAKVKDSWRIVNHRDIIPTVPRLMGYCHVEAPVYLKFGDSKDELVM >KQK97300 pep chromosome:Setaria_italica_v2.0:VII:20370866:20373617:-1 gene:SETIT_010217mg transcript:KQK97300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRVLPPALLPLLLLLLPLSARATAAAGEEFSRDGRVIDLDESNFEAALGAIDFLFVDFYAPWCGHCKRLAPELDEAAPVLAGLSEPIVVAKVNADKYRKLGSKYGVDGFPTLMLFIHGVPIEYTGSRKADQLVRNLKKFVSPDVSILESDTAIKNFVENAGTSFPIFLGFGVNESLIAEYGKKYKKRAWFAVAKDFSEDIMVTYEFDKVPALVAIHPQYKEQSLFYGPFEGNFLEDFVRQSLLPLVVPINTETLKMLNDDERKVVLTILEDDSDENSTQLVQVLRSAATANRDLVFGYVGIKQWDEFVETFDVSKSSQLPKLLVWDRNEEYELVDGSERLEEGDLASQISQFLEGYRAGRTTKKKVTGPSFMGFLNSLVSLNSLYILIFVIALLVVMVYFAGQDDTPQPRRVHEE >KQK97299 pep chromosome:Setaria_italica_v2.0:VII:20371260:20372610:-1 gene:SETIT_010217mg transcript:KQK97299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFIHGVPIEYTGSRKADQLVRNLKKFVSPDVSILESDTAIKNFVENAGTSFPIFLGFGVNESLIAEYGKKYKKRAWFAVAKDFSEDIMVTYEFDKVPALVAIHPQYKEQSLFYGPFEGNFLEDFVRQSLLPLVVPINTETLKMLNDDERKVVLTILEDDSDENSTQLVQVLRSAATANRDLVFGYVGIKQWDEFVETFDVSKSSQLPKLLVWDRNEEYELVDGSERLEEGDLASQISQFLEGYRAGRTTKKKVTGPSFMGFLNSLVSLNSLYILIFVIALLVVMVYFAGQDDTPQPRRVHEE >KQK99547 pep chromosome:Setaria_italica_v2.0:VII:33447032:33449438:1 gene:SETIT_011156mg transcript:KQK99547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVGDTLESIRSMQVRNVLSQIISLGMIVTSALIIWKGLIVVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNIDGREIPIVHRVIKVHERQDTAEVDILTKGDNNFGDDRLLYAHGQLWLQQHHIMGRAVGFLPYVGWVTIVMTEKPIIKYLLMGALGLLVITSKD >KQK98755 pep chromosome:Setaria_italica_v2.0:VII:28998185:28998497:1 gene:SETIT_011623mg transcript:KQK98755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVQKETGLGYYHASLFRYRFPGTWMRRSPARKARNRPVFRHRTSSTRKNKQ >KQK99905 pep chromosome:Setaria_italica_v2.0:VII:35323676:35323999:1 gene:SETIT_0109632mg transcript:KQK99905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFSGEVVEVPAELVAAGSRTPSPKTRASELVSRFLGSSEPAVSMQLADLGHLAYSHTNQALLRP >KQK99906 pep chromosome:Setaria_italica_v2.0:VII:35323797:35323999:1 gene:SETIT_0109632mg transcript:KQK99906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFSGEVVEVPAELVAAGSRTPSPKTRASELVSRFLGSSEPAVSMQLADLGHLAYSHTNQALLRP >KQK96990 pep chromosome:Setaria_italica_v2.0:VII:18048994:18049446:1 gene:SETIT_011872mg transcript:KQK96990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLRWSRSSSSPRNQAERKGFLAKTVERCRRSLSRRRSPAAPPGCFAVLVGPERERFVVRAERANHPLFRALLDEAEAEYGFPHPAAGPLVLPCSAEEFRRVMSEVERDEDGEKEDVARGASVAASSPAWRFFSGGGDHAGYVKMSPEPH >KQK98446 pep chromosome:Setaria_italica_v2.0:VII:27064808:27066497:1 gene:SETIT_011105mg transcript:KQK98446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEKSRRNGTQPNLSFSTRSKLREIDRPSHPSCHRFPPLQPPKPCFPEARAATTMAAVPVYSITRAEIDEFWRRKEVEEEERRLAADKEAARIKAKALKMEDYVLFEQMIREILEEGNTGGGATMGPAAAGSTEARIIGIKHWWTRSAYAYLNAPALSMDENGGSKHAITYIPQERCTMFFTSTPCQPNSTACAIF >KQK96157 pep chromosome:Setaria_italica_v2.0:VII:1040661:1042942:-1 gene:SETIT_010554mg transcript:KQK96157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAASGEEPVPLLPALLLFRRLDADFAAALRQRFRVLDFFASGEPLPAFLAGAAALPDPPRAAVVMGGGAVRVDAALLDAVPSLGFVFSTGAGVDHIDLRECARRSVAVANSGTVYSADVADHAVGMLIDVLRRVSAAERFVRSGLWPAQGDYPLGTKLGGKRVGIIGLGNIGSLNSKRLEAFGCIIHYNSRRSRDSVPYKYFFNVHDLASESDVLVVACALNKDTRHIVNKDVLEALGKDGIIINIGRGANIDEAELVRALKEGRIAGAGLDVFENEPKVPAELFSMDNVVMTSHVAVFTSESRSDLRDTTIGNLEAFFSGKPLLTQVLPW >KQK96674 pep chromosome:Setaria_italica_v2.0:VII:13796657:13797956:-1 gene:SETIT_012309mg transcript:KQK96674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTCKCSQKLLAEIISVFNQEEKDVVEKAGFGSLLKLKDIEIRRELCKEIADNFYLDKEEFNIQENKVKISIKDVDHILGLPSQGDEIKEPPKKHVPGLFYKYTWNDSTKIHSSELREYLSKNKTYGDDFIRIFVLYTIGFYLCPTLQPYVKSDYLGLVEEIDNIKNLNWSSLVLNFLIRSIREYKEVKAANLKGNLVLLQESRAVVQPTKMSCLRRRTHI >KQK97889 pep chromosome:Setaria_italica_v2.0:VII:23767940:23769670:1 gene:SETIT_012195mg transcript:KQK97889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDALSAADVPVPARGSKCGEDELQSDGHHHGRTVVDVGHLIRTRTERFRLNPIGTQGPSSYSTGCPGIMPLVQLVVLDQDNVVARSIPTTGPYAIPHWEISGYVEYIGSGQKQGDMLLPVPEGAYAAEQLDVTVAPESDILPIQTVYTSSGWDSYSWAFKATCSVVLFIVHNPGVSEDPACGPLIDLFAIKTLPPPKSSKNNMRKNGDFEEGPYIFRNTPWGVLVPPMDEDDYSPLSPWMVMSSTKSVKFVDAPHHVVPHGARAVELVSGVETALVQDVGTVAGLPYRLEFSAGDAGDGCAGAMTVQAYAGRGSVRVPDQSQGKGGHKRGVLEFTAIANQTRVVFISMAYNMKGDGTLCGPVVDDVSFVCTRKHGARRVLL >KQK96103 pep chromosome:Setaria_italica_v2.0:VII:380080:380702:1 gene:SETIT_011417mg transcript:KQK96103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCFLQATEGSLHFSIDTMSGTYPIDHYLSLLKVGGMMVLLSFPSEIKVQAANLNLSSVTGGTKDIEEMINFWAATKIYPERLIDQDDRYPFVVDRESFQVLKL >KQK98189 pep chromosome:Setaria_italica_v2.0:VII:25553999:25558036:-1 gene:SETIT_012720mg transcript:KQK98189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGASAIVQEEANAVFDGAVGSHSNLRCFLDSVTPVVKAYRVHKAPYLPLSNNYCGKIADNGVKCFYLGDLWNLFYQWSACGVGTSVCIAPGETLEQFFVPYLSAIELYTYKTNVLTSQSMVYDKRFIEYSQHGTIDWTHGCQTPSPVSKVEVESKEELFFKYFEPDSPYERVPFVDKVYELYHNCPGLISLSSAELSPSSWLSVFWYPSGHVPAKNRKDMNTCFLTYHSLSTSEDVSLDNVNASDHVALAPFGLATYKLDTKVWASPNSDDEEYISSLFDAARSWLKKHNIHHNDFNHFSYRCSFT >KQK99102 pep chromosome:Setaria_italica_v2.0:VII:31009333:31010040:-1 gene:SETIT_011440mg transcript:KQK99102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGKAKASSWAAAMSVGTVEALKDQAGLCRWNYAFRSAQQRARGAVAGTGSGSNAHALPSSSSGGAAAAAARRKARQQEEELRTVMYLSNWGPNN >KQK98530 pep chromosome:Setaria_italica_v2.0:VII:27755572:27757040:-1 gene:SETIT_011969mg transcript:KQK98530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPTAGSLSALLRRCAALGALYPGTQLHARALVGGCLPDTTLDTDLRDTGPTGTPWPLRNAVLGAALHGFAVRLVFFPNVVVSGALLDMYAKVGLLDDAVRVFDEMPKRDAVVWNCMVTGYARAGRAAKALDLFRRGQVEAVNMANDLRAMPNVLNVCAKEGELMKGREIHGRMVRFLAFDSDIAVGNALVGMYAKCGRVDMARAVFVGMKEENVVSWSTLISCYGVHGMGEEALRIYEEMVHSGLVSDGRRIFDEMSKVHSVEPTADHYACMVDLLGRAGTIEEAVEFIRKMPMEPGASLWGALLSACAMHNNVDVGEIAAYRLFELEEGNVSNYVTLCGIYDSVGRSDRVAGLRSRMRELGVMKTPGCSWVDVKGRAHAFYQGSIPRYLWRRILWVLDQLLEDMGASESEDEYLSMY >KQK99558 pep chromosome:Setaria_italica_v2.0:VII:33501050:33508743:-1 gene:SETIT_009232mg transcript:KQK99558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAEYSIDRKLSKLVEEARLSAAALRAAAQAADAVAELIKRVPQQQATPEAARGFIRDLGLEAEKLAFTFRPPEEVRLAGSHAAGAVARPDVAADLLVRLPKECFHEKDFLNHRYHAKRCLYLCVIEKNLRSSRLIRKVLWSTFQDEARKPVLHVYPATEIADLPGFYVRIIPTANSLFNVSKLNVSTRNNVRAYTKDGINLPTAKYNCSILEDMFLEENAEFISSTVADWKALQEALVLVKVWARQRTSIYTHDCLNGYLISAILVFLTVDSGGSIITRSMTTRQIFRVVMNFLATSKVWAKGLVIQSMKKRTITKEDIANCLKTFDVAICDISGHVNLSFRMTKSAFLELQDEAACALNCLDKCRDGGFEELFMTKVDFGAKFDSCLRINLKGNSKVTALSCCVDDESWRILEKDVQSLLQQGLTDRTKMIRVLWRSTPSEWKIMDGFSEFGSSPLLVGIMLSSLEKSFRLVDIGPNPENRSEAIKFRKFWGEKAELRRFKDGNIAESTVWESESWERHTIIKRIADYVLMKHLSLQKDDLTHVVDQLDFCLLVDGQDPVSSSGALLEAFDTLSKQLRLLDDVPLKISTVQPLDSAFRHTSVFPPEPHPLAYGKNSQRLPNFATTCIRSMEVMIQLEGSGNWPLDPVAMEKTKTAFLLKIGESLEDRGMYVSASEDEVNVLTSGYSFLLKIFHERGLVLQKQAGDDNTQSALSQDKVLFQRSQHSSMINGLHGRYQMYGPVVRLAKRWISAHLFSSIISEEAVELVVAYLFLKPFPFHAPSSRVAGFLRFLRLLSSFDWTFSPMVIDINNDFNLKDEKEINENFMMSRKSYEQNPHDIEPAMFLATSYDKASEAWTKQSPSKSVLKRMAVYAKSSAELLTNLILNGQSGQYTWECLFQTPMGNYDAVVLLHQEKLCRPHHVLFPAEIPNGKLVIWGKPSKDFHPYMPLNKGVVKSLHDARDKLLVNFDPTTYFLRDLKCAFPKTFKLWYGSEGGDAVGLTWENPKKRGREEADETMPELTSILKEVGDVGKGLVRGVYLLKAPKLQ >KQK99068 pep chromosome:Setaria_italica_v2.0:VII:30755848:30756341:-1 gene:SETIT_012887mg transcript:KQK99068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQQISPALSKEIRISEKRKDAIFSAAIHQKTKASSQKRSYNLRSIILVNWQNQ >KQK96912 pep chromosome:Setaria_italica_v2.0:VII:17399158:17400636:1 gene:SETIT_010468mg transcript:KQK96912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEHQLLLFSPQEHFMASPPGFFAVGAGYSAHFQTGEFVEPVGVVATGIEDGAWVEDLMQLGDELFGGGDVAAVAGTGDHEPWWYEDDGGGGSGSTDGPPASVSPGGYGSPPPSGEQGAEPHREDGGDDASPVTRKRRDRSKTMVSERKRRVRMKEKLYELRSLVPNITKMDKASIIADAVVYVKNLQAHARKLREEVAALEVRPRSPSPAGPGHEQHGGRTAAAAAAGRRHHRPAAAGHGARLSHVGVVQVGDGRFFVTVECERRDGVAAPLCAAAESLACFRVESSSLARSGPDRVVSTLTLKLSERVGDTIIGENSVKLWVMAALLKEGFRPEPTVEISSRSTCQPIN >KQK99845 pep chromosome:Setaria_italica_v2.0:VII:35031173:35031459:1 gene:SETIT_011785mg transcript:KQK99845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLDDAGSSAAAFFDSHAAGRRRQFIGQLGLSAAASYGNGGFGARGGRARLPETTVRETGDQKECGVCLDDFAEGDKIRAIPCSHGFHES >KQK96622 pep chromosome:Setaria_italica_v2.0:VII:13144257:13148513:1 gene:SETIT_009184mg transcript:KQK96622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVSAARWVLGKALAPVTDGLLEAWAASAGLGDNIDALKMELLFAQAMLDNARGRELHSPALAQMLHKLRQLAQGADDVLDELDYFRIQDALDGTYHAADTPADGALAGKLKLTSGSKLPKLKFDRVEISIKMRAIIEQLKPICAKVSTILNLEPLGSIAMNRPITSSEIIEPKLYGRDSQKKRIVDAIAYGEYAAYKFTVLPIVGPGGIGKTTFTQHVYQEVNSHFQVSVWTCVSLNFNANKLLQDIVDKIPEVNGESKTDSVEERIGQRIKSKRFLLVLDDMWTYHEDEWKKLLAPFRKGEEKGNMVMVTTRIPEVAKMVKTVDSWLELERLEGEDFLRFFEACVFGEEQSFKDHDALLGVGKQILDKLKGFPLAAKTVGRLLRNQLNLDHWRRVLDSKEWELQTSDNDIMPALKLSYDYLPFHLQQCFSYCALFPEDYEFHSKELIRLWIGLDILHSHEKNKKAGEVGESYLDALVSYGFFKESKRGYGSPCYVIHDLLHDLAVKVSSDECLSIYGSNMGSIQIPPSVRHISIVIEDTILEDRIRFEECKTGLSALDKRLNAENLHTLMLFGKYHVSFAKEFRDLFREARGLRVVFLSKASPSYDVDNLLCNFSKLVHLRYIRIANSVFSVRLPSSTARLYHLRILDVSGCNIDSRFLREMRNLTKLQHFLTLDGSVLSRIFEVGKLKLLQELRIFEVNKENSGFELKELGQLQELHGSLSIYNLEKVQAKEEAEQAKLIQKSDLKQLTLSWDSERSDDSKNPKREELVLESLKPHSNLQELCIRGHGGAKCPTWLGTNLNVQNLESLCLDDIGWKNLPPIGGLWMVSGHAENCHGQSFKNLKRLELVKIPTLEKWVGNDPCKVFSHLEELVIRDCSVLMELPFSRSTCEHRDKEVNRNWFPKLRKLEIVNCPNLLSLPPLPWTRAPCSLKIGGLGLCFKYLFYKKDESGCDLVVDGLGGAWLKVLDFDNLTELKSLEAQRCPPLPPDHLQMLSSLKTLNIMNPNSGLWPVAGHQFPVENLVITECGASGKEFTQLLSHFPKLSTLRISGCQKITRAGVMGKQATIKSGPSPSASAKMEGVQIGQNQLIHARGDREIAVETEDEEVLLLPPNLQRLVMIGCPNLILINLPSDLHSLQSLDIEACPKFLSSYSSSSTSCFAFPSSLQFLHLHGLEGMEAAAVPLSNLVSLTRLRIGKCADLRSEGLGTLLTQGQLTELKVYRSPRFLVDCSKPSWTREEEPLPCSSKLQELTSDDIAGVLTDSFCSLVSSSLATLNLESNHDVERFTMEQVEALLLLTSLHDLEFSWCGKMQYLPDGLHKLDKLKALSIEGCKALRSLPKDGLPSSLQHLCIGNCPAIRSLPKVDTLASSLLSLRVNASNSKELIRQCRKLKGTIPRVYT >KQK99232 pep chromosome:Setaria_italica_v2.0:VII:31690220:31691671:-1 gene:SETIT_009974mg transcript:KQK99232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKETAAATPIQAPHVLVVPFTAQGHTLPLLDFAALLAARGLRLTVVTTPANLPLLSPLLAAHPEAVRPLTLPFPSDTFLPPGLESIRGCSPEYFPVFVHALASLREPILAWARSQPADPVVAVIADFFCGWAQPLARELGAAGIVFTPSGVLGTAIPHSLFRRLVRRPAECGDKFTVSFPAIPGEPTYQWRELSMMYKWFVEGGGGHEEQVAQVRESVRQNFLWNLQESWAFVSNTFLALEGRYLDAPLEDMGFKRIWAVGPVAPETDPAGTRGGEAAVAAANLSAWLDAFPEGSVVYVCFGSQAVLTPAVAAALAEALERSAVPFVWVVSTGNSGVVPEGFEARTAAAGRGLVVRGWAPQLATLRHAAVGWFMTHCGWNSVLEAAAAGVPMLAWPMTADQFTNARLLVDEVRVAVRACAGGYGVAPDAGELAAVLRDAVGDKARGVRARTKELAAEAARAMKEGGSSYADLEALVQEIRKLC >KQK99825 pep chromosome:Setaria_italica_v2.0:VII:34930322:34935382:1 gene:SETIT_009881mg transcript:KQK99825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSTENALRQEDHLEFDDPDEVDEEEVEYEEIEEEVEYEEVEEDEEEEEEKSEVACEVDAKHDSKMVDQKDEEEKEKHAELLALPPHGSEVYVGGISSDVSSEDLKKLFESVGEVVEVRIRGKGDNKLYAFVNFRTKELALKAIQKLNNKDLKGKKIKVSSSQAKNRLFIGNIPKDWTQDDFKNAVEEVGPGVLKVNLPKAPHSDCHKGYGFIEYYNQACAEYARQKMSTPEFKLDTNAPTVNWADTKNSGESASTAKQVKSLYVKNLPKTVTEEQLRTLFEHLGEITKVVLPPAKAGHENRYGFVHFKERYMAIKALKNTERYELDGQLLDCSLAKADKKDDTISVPTAKGGPLLPSYTPLGYGLSGAYNPLGNGLAGAYNPLGNGLAGAYNRLGNGLAGAYNRLGNGLAGAYGVLPAPAAQPILYAPGAPSGSTMIPMVLPDGRLVYVPQAAGQQTVHVASPPSQQGGHRYGGSGGGGSGSGGRRQRGDDRGSTSSNNSRRGRHRPY >KQK99211 pep chromosome:Setaria_italica_v2.0:VII:31560963:31563574:1 gene:SETIT_012635mg transcript:KQK99211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLNDSPAAEAAPPSPSADDSGASSSSAAAPVEIPDDADDDSAAAAAAADAVVTRQFFPAPAAAPGAAGSARAGWLRLSAAAPPPASNGATASGAAGAAASKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNLEDYEDDMKQQMGNLSKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEEEAARAYDRAAIKCNGKDAVTNFDPSIYAEELEPAAATTGGGDEHNLDLSLGSSAGSKRGSLDGGGAGDDETSDQRVPMAFDLDWQTAAARSTKAKFDASSKQPQMPPPLQGAHHLPFSPRHQQFLSNEGDPGTAGGLSLAIGGAGAVGGHWPPQLLQQQQRLLHGWGNGGGTSWPLPPHPPPPTNAAAAAAATAAAASSRFPPYVTTQGPTSWVQKNGFHSLARPT >KQK97987 pep chromosome:Setaria_italica_v2.0:VII:24296835:24299383:1 gene:SETIT_009873mg transcript:KQK97987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVPRQVALAAASSSSSSQARAAAAMAKEEPKKEKKSKSKSKAAAKENTAAAAPGPRAAVVASVAAFLEAGGFPRTLAALQSEADLEAGAWRSSPVNLEELVAKFLDSSNPTPMAVVMGSDEQGKATDGVAEDGGKKKKGKKTGAEAGESENKVSPSAHEKPSENAGGEAKEKKQKKKKDETSAANAGGDKATETVRKDDHKPDGKKKKSKKQEKEDDVEARLDKVELAIKTKFEAAENLNGDGDMSREEEPKVQDDDADKNNGAVEKEKKKKKKKDKSATETSVKTDAGAVPADADGAKGKSGVVEPVKDDNEKKAKKKRKKSDPEENVQVEGKEVAGKDSVPKPEDENKSGMEIEEGDDGKPSNENAVAGKKRKLEEVEGSNPPATVKEDNTANQSLTNGFAEDKINQDSNIKPSKRQKHSSEPKTVNPFQRVKLEDVKFADERLQDNSYWAKGGADTGYGAKAQEILGQVRGRLALIGLPVLFSV >KQK97986 pep chromosome:Setaria_italica_v2.0:VII:24296835:24299937:1 gene:SETIT_009873mg transcript:KQK97986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFVPRQVALAAASSSSSSQARAAAAMAKEEPKKEKKSKSKSKAAAKENTAAAAPGPRAAVVASVAAFLEAGGFPRTLAALQSEADLEAGAWRSSPVNLEELVAKFLDSSNPTPMAVVMGSDEQGKATDGVAEDGGKKKKGKKTGAEAGESENKVSPSAHEKPSENAGGEAKEKKQKKKKDETSAANAGGDKATETVRKDDHKPDGKKKKSKKQEKEDDVEARLDKVELAIKTKFEAAENLNGDGDMSREEEPKVQDDDADKNNGAVEKEKKKKKKKDKSATETSVKTDAGAVPADADGAKGKSGVVEPVKDDNEKKAKKKRKKSDPEENVQVEGKEVAGKDSVPKPEDENKSGMEIEEGDDGKPSNENAVAGKKRKLEEVEGSNPPATVKEDNTANQSLTNGFAEDKINQDSNIKPSKRQKHSSEPKTVNPFQRVKLEDVKFADERLQDNSYWAKGGADTGYGAKAQEILGQVRGRGFRHEKTKKKRGTYRGGQIDLQTHSIKFENSDEE >KQK98469 pep chromosome:Setaria_italica_v2.0:VII:27216812:27219626:-1 gene:SETIT_010151mg transcript:KQK98469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCLGSEEAELEAVKNVGRHGQTQAPARALPMAAPEPDPSMSSSSGHPSSRSPGSSMSSIATTRSGSSSSSLAAYPEGRILEAPNLRVFTFAELRSATRNFKPDTVLGEGGFGRVYKGWVDERTMNPTRSGIGMVVAVKKLNPESVQGLQEWQSEVNFLGRLSHPNLVRLLGYCVEDRELLLVYEFMPKGNLENHLFRKGGAFEPIPWNLRLRIAIGAARGLAFLHSSERQIIYRDFKASNILLDTNYNAKLSDFGLAKHGPTGGDSHVTTRVMGTYGYAAPEYVATGHLYVKSDVWAFGVVLLEMLTGLRALDTGRPAQQHNLVDWAKPHLADRRKLARLVDPRLEGQYPSKAALQAAQLSLRCLEGDPRSRPSMAEVVAALEEIEQIKVRPKGGGAPREERRGATARRARGPAGPAGRGAATTTTPRLQV >KQK97385 pep chromosome:Setaria_italica_v2.0:VII:20956553:20956736:1 gene:SETIT_013063mg transcript:KQK97385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFMSIYYSIHPKLPTYPSSVWLLRLYPTSKQAGQL >KQK97578 pep chromosome:Setaria_italica_v2.0:VII:21987239:21989689:1 gene:SETIT_010352mg transcript:KQK97578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCDKEGLKKGPWTPEEDQKLLAYIEQHGHGCWRSLPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKPRSDALGAGGAGGAAAGAQHAKAAAHLSHTAQWESARLEAEARLAREARLRALAASASVSAPAPSSAAHGLESPTSTLSFSESAALASVLEAHGAAAAAAAARAAMQPMQAYEEACKEHEQQQQQLWGDHVVHAADAAFSGAGFTGLLLEGALNQLQQDLRPAARHGAGDDAGLQETEEEKNYWDSILNLVNSSSVSQPTSVAAPTPEAYSSSASLTTSVVVPTPEAYSSSTSLTTSIAVPPPEAYSPAPEF >KQK99580 pep chromosome:Setaria_italica_v2.0:VII:33614208:33617442:-1 gene:SETIT_010102mg transcript:KQK99580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNLPSGSLMQGTNYGSLDLHHNHMQMHAPNSGNQGFNHSQMPSNFPIHLNQVTDSDQLSEFQFGEHGKANHHHQHHNQQHTKISMSDDEEHGVNEDATDSQTGKGKKGSAWHRMKWTDSMVKLLITAASYTGEDPGADSGGRRNCAMMQKKGKWKAISKVMGQRGCLVSPQQCEDKFNDLNKRYKRLTDLLGRGTTCRIVANPELLDSMANLSDKTKDDARKILSSKHLFYEEMCSYHNNNRFSLPEDPALQRSLQLALKCKDEHDTRRRASGDADEDDQSADTDYEEENDDEHPVVHVNKGTLPVHKRMRYMADQEDVGFGNSSSSHDCSRRSDPLSITVDINKVFPDGTNLALVQKDLATQSAEIEKQRMEIEAEALELAKQRHKWERFSKKKDRELEKMRLENEQMKIENRRLELEVRHKELELELRLKGNRSQAWHDNI >KQK99579 pep chromosome:Setaria_italica_v2.0:VII:33615677:33618609:-1 gene:SETIT_010102mg transcript:KQK99579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNNLPSGSLMQGTNYGSLDLHHNHMQMHAPNSGNQGFNHSQMPSNFPIHLNQVTDSDQLSEFQFGEHGKANHHHQHHNQQHTKISMSDDEEHGVNEDATDSQTGKGKKGSAWHRMKWTDSMVKLLITAASYTGEDPGADSGGRRNCAMMQKKGKWKAISKVMGQRGCLVSPQQCEDKFNDLNKRYKRLTDLLGRGTTCRIVANPELLDSMANLSDKTKDDARKILSSKHLFYEEMCSYHNNNRFSLPEDPALQRSLQLALKCKDEHDTRRRASGDADEDDQSADTDYEEENDDEHPVVHVNKGTLPVHKRMRYMADQEDVGFGNSSSSHDCSRRSDPLSITVDINKVFPDGTNLALVQKDLATQSAEIEKQRMEIEAEALELAKQRHKWERFSKKKDRELEKMRLENEQMKIENRRLELEVRHKELELELRLKGNRSQAWHDNI >KQK96198 pep chromosome:Setaria_italica_v2.0:VII:1525132:1526037:1 gene:SETIT_011761mg transcript:KQK96198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIIKAFTHLQVQSILEKSVVTWDRHDVVQVGSRGNTEQSRLSKLLPKLMRLGRAGIGSSMHPSNLSRRASGELQAVGAIQNVGAAALILDCSVVAVVESNEPEPESNLDPTSTNYLAGISLAEPPVSCTKGRKSGKESQSAEKSKEASNPYGTYTRSYWSKVCQTCNVTSHCNFGCYTNCKTPSGNYYSVCT >KQK96329 pep chromosome:Setaria_italica_v2.0:VII:3711257:3712782:-1 gene:SETIT_010819mg transcript:KQK96329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVAHLLLQLSVAGNAARNRMVPPAILLILQLLFAALGADGASSFTFTNACQHPVWVGALHGASSPPLARSGFYLAPSATSRLDAPSSGSWSGTFWARTGCAVDSATGRFSCATADCGTGDVACEGRGPAPPVTLAEVTLAAPGSGGQDFYDVSLVDGFNVPVRVAPSGGGGGGDCRPASCAGDVNAMCPADLRVVAASGGVVACKSACAAYGSARYCCTGQYGTPATCGPTNYSQVFKSTCPAAYSYAYDDASSTFTCSGATSYDVTFCPGS >KQK98127 pep chromosome:Setaria_italica_v2.0:VII:25179898:25180338:-1 gene:SETIT_011659mg transcript:KQK98127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSARSAAAKHAYRMFAPSRGAAARGPGTAEEFDESDVWGSFGPGAAGGGMVDSSPGGGELAAARARPIPASRAARKKPADGAPGSLPMNIPDWQKILGVEYRDHHAGEWELDGEDDGGYGRVGSGGSEMVPPHELAWRSRAASLS >KQK99364 pep chromosome:Setaria_italica_v2.0:VII:32587654:32592384:-1 gene:SETIT_010775mg transcript:KQK99364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLSEGEKHFIRGGIAQDIRTDGRRRLQFRALSVETGVIPQANGSARVRLGATEIIASVKAELGKPSILHPDKGKVSIFVDCSPTAEPTFEGRGSEELSAELSVALQRCLLGGKSGAGAAIDLSSLIVVEGKVCWDLYIDGLVVSSDGNLLDALATAIKVALSDTGLPKVNVSLSAASDEEPEVDVSDEEFLQFDTSSVPVIITLTKVGRHYIVDATSEEESQMSSAVSVSVNRNGQICGLTKRGGAGLDPSVIFDMISVARHASQQFIALVDSQIAAAEADE >KQK96774 pep chromosome:Setaria_italica_v2.0:VII:15369954:15372902:1 gene:SETIT_011653mg transcript:KQK96774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSGDDGAAASAKHLRLIPDELAQEIGAREALVVGPLGGNGKVVWRVELAEACGFAAGWLLVLRRRGRGVLTVKAFDDTRCLWDLGAPAPPAASTLLHSEKLQQAQYVSIQKHRRKNRTSIGRSSIYKIGPPSWIKKQINTNTTSYFCQQLLFHYGEIEANIFIRSPLTTDNTCVLATAFCDAIGLWEPCTIMLRTSTEGNGSWVVRGLPCKGRSYLLVQGWRLFCQENRLKEGDICTFNVIETTMWDVIITRHKEKMNQFCDVQQGTPKSKKYMSSTDAKKRVQGSMTYLNKARTKGAFEIGPPAWIKEINTSIIENQQLVSTIVSILMRVKSYK >KQK99341 pep chromosome:Setaria_italica_v2.0:VII:32459890:32462690:1 gene:SETIT_010891mg transcript:KQK99341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGQPNYQILFPRVEAQATHLACRFHLPVVLPAAGAAPAAGLRRSGSGASLAVTSSQKLLFRSTGTMDQEQKWEAPCGIYTYKHHCSMGVDVHEIFVKKSSLRVVLSYIGVVFLLVNVSQPLLAKESLSLGSVWNITFAILVAKCLQYKPVKKESVVIMPAFGVQLEIHFWSGRVDRRFVPIGKILKPLINECVTPVTCYWSLALLLRDEEELLLVFQKCRPPVKMLVPVWKALCTLTNSERLSPSRVDKPNSLEK >KQK96984 pep chromosome:Setaria_italica_v2.0:VII:17973024:17978372:1 gene:SETIT_012384mg transcript:KQK96984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFVIKSMEGFVMRRWRTRLRPPARSSSPPPGTAPWREQVTARGLAVSAVLGVPFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRTWTVALGKIGVASRPFTKPGEHRHPDLRRRLLRPRLQGGGNYMLAMDQKTYKLIGDDYPGNSNMDVNNPSLGWMIGFMFAVSFLGLFSLVALRKVMVIDYKLAYPSGTATAMLINSFHTASGAELAEKQVACLGKYLSITFLWNCFKWFFNGVGDSCGFNNFPSLELSAFRNTFYFGFSVTYIGCGLICSHAVNCSTLLGAIISWGFLWSYVSTKAGDWYPSNLGNNDFKGCMATRECGGTDNALTILQFIFGRIMVKKTMKMCSRIVFISLSVILGDGTCNLIKILYPTIKQILNERSKQGRLPLVQLQNGYKLSANEKLQNETFVKDGIPLWLAGSGYISLAALSTASIPVIFPQLKWYLVLSAYVVAPLLAFCNSYSAGLTDWNLASTYGKLGLFIFASWVGHNGSVIAGLVACDVMLTIISTGADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCIIAPLTFWLYWTAFDIWDPDGMFKAQYAIIYREMVILGVEGFRALPQHCLTICCGFFLAALVINLLRDATPKNVSKFIPLPMAMAIPFYIGAYFGIDMFVGTVILFVRQRVNRKESEEFVGAVASGLICGDGIWSIPSEVLSILRIDPPICMYFKPSIAS >KQK97940 pep chromosome:Setaria_italica_v2.0:VII:24102323:24103196:1 gene:SETIT_011974mg transcript:KQK97940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVDSPLFLLQVTCFKCGGVSLGVGTYHNVADGMSSLHFIDSWSDLCRGTQISLMPFIDHTLLRACDPPTPSFQHVEYQPPPAMLSSTPHPLAPKSVPPSPAVGIFKLTLADLTRLRSQLTAGECAPRFSTYPPLPDVFGNVIFTATPIAEASKVTNGLADGAAVIQGALNRMDNDYCRSVLNYLGMQPDLSKMLPGDHIFKCPNLGFSSWTRLPIHDADFGWGRPVFMGPITCEGLGFVLPSANGDGACPS >KQK97350 pep chromosome:Setaria_italica_v2.0:VII:20711307:20716768:1 gene:SETIT_009220mg transcript:KQK97350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGAREPVAMEIPAEEGAAARSPPRRIRRRLVEGARGGGAPASVEEIEARLREAELRRQQFHEWLACKARKKPRSPSWSSQEEDHGQRLEAKLLAAEQKRLSLLAKARNRLAKLDELRQAAKNDVEMRFEKEREELEIRVESRVRQAEENRLRLLHADMQKRAALKERTARSLVQKATSESKYMEQVRTAILQKRTAAEKKRLRLLEAEKMKAQARLLRIQKAAMTVCSQRETERKKLKEQLDSKLQRAKRQRAEYLKQRGSPRNSAHADYIKHADFFSIKLARCWRIFVKSRKTTLTLVEAYDALGINEKSVKSMPFENLAMSMESPTVLQTTKALLDRLERRLVISHSVASSSLENVDHLLKRVSSPPRRKVPPSREGRTRAVAKRSAKSSVASIRLPRYSLRVVLCAYMILAHPSAVLSGQGEREKQLMESAANFIKEFELLIKIILDGPGRSSDVTGQRKFRTQLANFDKAWCTYLYCFVVWKVKDARLLEEDLVRAACKLELSMMQTCKLTADGQSPNNLTHDMKAIQKQVTDDQKLLREKVQHLSGDAGIERMDSALSDTRSKFFEAKENGSPLAAPVANVFTPLSINSSGKTPSVVKENSRTNALGSSSVARSLLGASSSSSTSPVKQLTENEQMVNEMLHEDDSAFAGRSDSANTAEEEFQKKVRETMEKAFWDSVTDSIRGDMPDYSRLINLVKEVRDSLHELAPKEWKEEILENIDIEILSQVLGSGSQDAQYLGQILQYSLAMVRKLSAAAKEDEMKKSHDKLLSELAASSEVNDNGINSFVIAVIKGLRFILEEIKELQAEVSKARIQLMQPIIKGSAGVEYLQKAFTDRYGPPDNASASLPLTMQWISTSKNIVEEEWSEHSDCLSIIPSAGQAPALVPVLRAGHGTPVEQPSSSAAGASGQPECKGEKLDKLIRIGLLQLITSMEGLQMQSTPESLQINLLRLRSVQSQFQKVIAIATSMLVLRQVLMSENSEATPLELENAISELFKALEKILDSSPDAGTEEIVEAMISASASVGSPSEEKIQARRQMITRVFLKSLQPGDVVFKVVSRAVYCAFRGVVLGGSSPKGQKLADAAMRRIGAVKLVDRVVKAAEVLIKVATVSEKVHGPWYKALM >KQK96760 pep chromosome:Setaria_italica_v2.0:VII:15222716:15224204:-1 gene:SETIT_012066mg transcript:KQK96760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PEESSGCCFWSHGKIWKIELEINQSDVFFAGGWSQFMVFHGITAANSLLFRYEGNMMFTVKVFEPNGCQRDSKHKQIGIQQRSTLPNFEKQQEAPSSIKNCKSKSDWPSDERQKIPKGSIQSKLVYEIGPPSWIKKVIDTNTLENHISLSTAFCDAIGLWGHFTIMLKISINSTQSWKVHGASYKNSSYTLVLGWKRFFQENNLKEGDICTFNIIKTTLWHVVITRFRENMNQFCYQETPEREKDWSCSDGQIRPKYSVTYLKQTKTKCVFEIGPPAWIRKEINAITIEKHLVSISIDMSKLEIW >KQK97597 pep chromosome:Setaria_italica_v2.0:VII:22085663:22086727:1 gene:SETIT_012281mg transcript:KQK97597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFSSSATPPFAPARRSIARPCSSLPARASPAPARPYRWSAAPARLCLPRQPCSLRLPKPHRAYRRKQAAHAGGGSELNINIWPFSRSRSADGGSGSSKPRPPAWKVSNAPCSRSNSRGEAGPPWWWAASPGRAAAGMPVGWSSPVWQIRRPVAKPAPAPLASELAFADRRAPPPQTYKDSKLVGAAGGGRKPGMGGDVQGLNLSVNSCIGYRHQVSCRRADVGAARGPSGGGLFGINERRPCSPLLAPLAPPAGAPSAPAAGAREAQAQVK >KQK98274 pep chromosome:Setaria_italica_v2.0:VII:26000120:26001239:-1 gene:SETIT_012288mg transcript:KQK98274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSASVENLYFPFVTPSPSAKPPSTATSLPPTTISAMHNMTDEELFRWASMATKVDGTPYHRVPKVAFMFLVRGDLPLRPLWEKFFEGHHGLYSIYVHANPSYTGSPPRDSVFHGRMIPSQRTTWGGVTLVDAERRLLANALLDLGNERFALLSESCIPVYNFPTVYAVLTGSGGASFVESIATPARYRPLFALRNNVSVAQWRKGSQWFEVDRALAAEVVADGAYFPTFRENCAGERFCVVDEHYVPTLVSVLGWGRRNANRTLTFADWDPKRRTGSHPRTHRAEEVTEELIGRIRRGGAGRSNCTYDDGASGVCFLFARKFAPDTLQPLLRLAPKAMGFG >KQK97671 pep chromosome:Setaria_italica_v2.0:VII:22525257:22526765:1 gene:SETIT_012167mg transcript:KQK97671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSRAYQFQLQAAKAAAEAPPVHAVDDDALEPPTPKALLLELDEAQGTADAPPAPCQGNGVAPMGVGNAEPTKCPECPKWFASEKAMFGHLRKHPERGYKGAIRPATASAAAAVAGDKKSKKQEARKDADVSAMNMTAAAATAGGKKPWEEAELSTKWPVTAKRGRAPLAPSGERALEAGKQASSCSEDEEAAMILLGLASSSHSTTSETQQESVQQAVHAPDAASGHQMPDVEEPMVVDHVAGNQTPPEAEQIVQPEIVLEVSAESQTPAVKEPTNLEITTEAVLVVVPANKSAIAPSPGSSGAGAKKAKKRRAAPDLEQTAASSPAPPEGADGKPAVWRIPSPASDKKHGCPTCGKSFPTYQALGGHMSSHVKGKDAARHDDLVAAQAMHNILAHRSLSGGGVFITAGGGAGAGWGLDLHVQDVQPPTPAVAQSAAPHVCSECHMTFPTGQALGGHKRKHWFPEKHQAKAAAPAELSAPAPAPAEPAARDFDLNELPEEGE >KQK99751 pep chromosome:Setaria_italica_v2.0:VII:34566655:34570373:-1 gene:SETIT_009694mg transcript:KQK99751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAFKSTTRRDLHASSSTTSRSDPPPCPRRSRSRSVSAAPRARGHDSLLQEDYANTRTNPLFDSAASPSPPQQPAGSATAAGGGDAPTRDRGREPLKVGGRGGGGRARSVSVAPQRRDTASAPSVDSAGAVGGRRASRARSVADEVRPYRCSETDTEARDAARKLQSWRSRHSISESKQGGIGGSCSSQGSTTGVAIWQQNHSTVPVDPVLEIPPEFDPDSAEFISDLSDYATEYRKKDVVEIPLDFDTDAAELDSDARNNAAKQHRELMEIPLEFDTDASELVSDIWHHEANQQLGQLEAPLEYDPDTSELAPDITEYTIKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTAPNFSETHKKRPRRKSSIERLKVSRHLAEEALNYFEECVSISTMDSTDFSSPEDHQPNSVLNVQPKSNSRFFHKGRSSFQEPHTPADQHGHHEELDKQTQCSISITGSDVSDGVIFSNTKCHMKFRNNSSEDLDGFDTPRSRSSCFSFTHESIKNVENCDVRQYLGNFGMGNNKELRETRSSYFADDYVSQKVNPDILKDMATFQNRMQYGGFLICNIRTF >KQK96877 pep chromosome:Setaria_italica_v2.0:VII:16792143:16796519:-1 gene:SETIT_009576mg transcript:KQK96877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPQKSCLLITYSQEIVDGVPLYVSSNCLPMKALKYEPAGHSFHAAAMKLLGLGEQEDTETDDRSVSSDDKSQDFNTASDTFSSKGKKKSSGSQQQDHYALLGLGHLRFLATEDQIRKSYRDMALKHHPDKQAALILAETTEEAKQAKKDEIESNFKAIQEAYEVLIDPTKRRIYDSTDEFDDDVPTDCAPQDFFKVFGPAFMRNGRWSVTQPIPSLGDDATPVQEVDKFYNFWYNFKSWREFPDDDEYDLEQAESREHKRWMERQNAKLQEKAKKAEYARVRTLVDNAYKKDPRIQRRKDEEKAEKQRRKEAKYLAKKQQEEEAARAAEEERKRKEEEAKKAAEAALNQKKLKEKEKKLLRKEKTRLRTLAAPVVAESNFGLSDEDVETACASLDMEQLKKLCDSMEGKDAAEKAKLLSSALNKESSSKEAKKSDANGVEGSAPKSNSTGGKVTQGSSLLNSYEKKERPWGKEEVELLRKAIQKYPKGTSRRWEVVSEFIGTGRSVEEILKATKTVLLQKPDSTKAFDSFLEKRKPAPTIASPLSTRDETVSSSTDGAGTASSKTAAQPASSQPANGKAAADPVPDAAPSVTDPEAWSETQVLALVQALKAFPKDASQRWERVAAAVPGKTVVQCKKKVAAMRENFRSKKSGE >KQK98790 pep chromosome:Setaria_italica_v2.0:VII:29173540:29174872:1 gene:SETIT_010702mg transcript:KQK98790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPSCSPKLCRSSLLLVVGVAVLLGSMLQLCAATLDEDIELIWGASHTYFFMDGPDTESLALSLDEQQGSCFRSRNTYLYGTISMDIKLVEGNSAGVVGTIYTISEGPWSYHDEIDLEFLGNLTGEPITLHTNIFADGVGGREQQFYLWFDPTADYHTYTIEWNPKYIIIRVDGKAIRAFKNYQDQGVPYPTWQQQRVYGSLWDADEWATQGGAIKTDWSNAPFVAYYRNYTATSCRPSPGVSWCGAEPKDSTRFDLDPQTLADLQWVNDNYRIYDYCSDHKRFNESEFPKDCYLQRAGV >KQK96617 pep chromosome:Setaria_italica_v2.0:VII:13088448:13093158:1 gene:SETIT_011815mg transcript:KQK96617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCLCLLLLLLLCSRISCSFASSAACSRDGTNYSFHYSFSSDSSDGLVLLRDAEISNGALHLTPGPRNSNRSGAALLAAPVTLWSQNSEGFMQNASFDTSFTMNFPYSSPAGQEAAGPNGEGLAFVVVPTLNSPPLGILGLRNNPPKDTSSASRGTGFFLVKFFDMDDNRSRLNISIITSAASLVNTMSIATNQTTTKNYKISIKYTRQHVGVYMDDKPVLEADLNLNDNVLQRAFVGFLFSSELHSILSWELTVKLPGNDNKDIDWKVTLPAVLGCISVTAIMKMFVAAFYFKSKYNKLKMELVLSETLRRLPGMPREFKHATMRKATDNFHEARRLGKGGFGAVYKGTLWSGKDGMTCVEVAVKKFTGDENRRYDDFLAEIDIINRLRHRNIVPLVGWCYEKGELLLIYEYMPNGSLDQHLCPKEQPQRILGWATRYDIVADIAAGLHYVHHEHEHMVLHRDIKASNIMLDSTFRARLGDFGLARIVGLDKNSYTDLGVAGTWGFIAPEYSVSHKATRRTDVYAFGVLVLEIVTGRRALCVFQDTFQLLTDWVWRLHQEGRLLEAVDKEVVSTEEYDADGATRLLLLGLACTNPNPLDRPTMAEVVQVVAKSVPAPDVPHVKPSFVWPPEEGMPQSFDDITEMSDLDESHWEETSSSDALA >KQK98778 pep chromosome:Setaria_italica_v2.0:VII:29116281:29117066:-1 gene:SETIT_011479mg transcript:KQK98778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTEGLKCRSWHTHLNITLFEILQVDHVNIFLQSNHKCRKQIMSCLCYRSQVQTEIERYQSTSLGSVMNYMLSETLQKNTLNVKI >KQK99883 pep chromosome:Setaria_italica_v2.0:VII:35228712:35229635:1 gene:SETIT_011827mg transcript:KQK99883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSASSLLLPSSVRDLASCVSDGAVRVACTTPASTLVASVGAAGSSSPATISVTATYHACTSPPLLLRLTWAHSPVGPPTLSFAGPTASSPAVPLRRRKGTRSLPSSSDDHHHPPLALFWDLTAAKYASDSSPEPVSGFYFVAVANAEVVLAVGDLAAEFVKAKFEGQIPKARFLPVARADRVVAAPNAMHAARVRFAEGAPEHEVTVGCTTTSGGGGEELWVSVDGKRTVHARRLRWNFRGNQTVFVDGAPVDVLWDLHGWWFRDPPGSAVVMLRARSALESRLWLEEEAAAPGFALVVQALRAPP >KQK96982 pep chromosome:Setaria_italica_v2.0:VII:17935460:17936521:1 gene:SETIT_011432mg transcript:KQK96982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERECSRRVIMIIKFAKPTLAAVMVILLVGTAVVDAQGNAEAEFTLRGIKTNNPTTFGDNIPHSSDKEKRKQLTSARVLTAQFAKQIISREDYCRSVKCP >KQK97059 pep chromosome:Setaria_italica_v2.0:VII:18588216:18589267:1 gene:SETIT_011441mg transcript:KQK97059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPVTTGPGHKSMVMMESSPPDLLSAHICVQTACRQQQTSSRTKQHVHPDSLGHTRTNHRRNLRHATHTNMVTRIASESGAITHADTDQCRCLNYWQ >KQK97784 pep chromosome:Setaria_italica_v2.0:VII:23247085:23253187:1 gene:SETIT_009340mg transcript:KQK97784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQDDADGSLDSWARFCSLSNELLGGDGDLSVGPRLAPVVADLCTRGLATLVRDYFLHSLEETFRNNAVKKFWQHFHPYCNASPVDRIKFCVKEHWPEEILSGALEDICLEKSYQEKCVLVLVHALQSYEDRTPKRKFKAVDCSSSLMPRYQLMVSSVLLTTLPLSFPEILNVYFKKKLEELNIIMAGSYESDQLGNHELFESSNTSDWHSGMDIDGQDVSESSCLVKNIGKVVRDLRCLGFTSMTEDAYSSAIIWLLKSKVYELAGDDYRVPVLGSVKKWIQAVPLQFLHALLTYLGDSVDYDSGSSGLKSPLASRPSSFPGIGVPSEALVRWHMRLEYFAYETLQDLRIGKLFEIIVDYPESSPAIEDLKLCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPTGVFLEAVGEPIRDYLRGRKDTIKCIVTMLTDGSGGNASGTGNAGDNLLEELNRDAENQENADYDNHANIDEKQAWLNSESWEPDPVEADPLKGSRNRRKVDILGLMVSIIGSKDQLVNEYRVMLAEKLLNKSDFEIDSDIRTLELLKIHFGESSMQKCEIMLNDLIDSKRTNSNIKTSLLKTSQTVAGQEEAEISHDVLDATIISSNFWPPIQTEDLVVPASVDQLLSDYAKRFHQIKTPRKLLWKKNLGTVKLELEFEGRSMQFTVAPVHAAIIMRFQEKSSWTSKTLATEIGIPMDSLNRRISFWTSKGVLTESAGPDADDHTFTVVDSMSDVNKNSIANERLAEYQMTEEEGESSVASVEEQLKKEMTVYEKFIIGMLINFGSMSLDRIHNTLKMFCIAEPSYDKSLQQLQSFLSGLVVDEKLETRDGLYLLKR >KQK98650 pep chromosome:Setaria_italica_v2.0:VII:28451978:28457446:1 gene:SETIT_009455mg transcript:KQK98650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAAKPFPLLGHGRGDADSRLTIWIRPWPSPMGDARKPRLPARTATGKLSLPSSNSSLFNRGCKLQISRNSIFSSFPISAPASQPLFSAPPFPTEEVHQAAATAPPAAAGLTSVAWPPLCRPTSSYDGDREMKRASSSFSRAELDIFCSLAYRPSRARARYPNELADRAELELAELELGIQTSWHEPSQPQYSQSGSPVIPHSLFPFSLCLSPRLAFPSWRRRLGERRRLSPSLRPPSLPATSTHQVVDKRNISMDIMQTSDSSHHGIVENSPYRVPYDRHAEGGQLGASWYFNRKEIEENSLSRRDGIDLKKEAYLRKSYCTFLQDLGMRLKVPQVTIATAIVFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILISYEIIHKKDPAAVQRIKQKEVYEQQKELILLGERVVLVTLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVAPPVSQGNDTEGSSASVANQRAPGKVPGAADEPTAHEHHQAPRQSSQQNMSGHHGYDHPHPEKQNNRVTHSEARDSAANSNEGPNVSSSMMDAMKKINKDKVKAALEKRRKSKGDVSRKVDVMDDDDLIERELEHGVELAAEDEKSKQERRQSWPHPSHREDHQNTNRDNTEEGELSMDSQEYRSPEHGNRKRKDAHEHRNYDRDERDLKRLRS >KQK96120 pep chromosome:Setaria_italica_v2.0:VII:636744:639943:1 gene:SETIT_011808mg transcript:KQK96120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEVIEADTIDAAAEQILNELSKDTTRRSSRSRENVIYFDGWDGLGASAVLRTVAQRLAASASDEQPAPDGVQFHHIIHIDCSKWESRRAVQRAIAEQLKVPARVMEMLDRQDEEDDIHGLAEGSRAEIRQVVTEIYEFIQNHRFVVIFLNGSCEEVDLTEFGLPLYGYTSNKMLWTFRGRFRLNPRMEIDRAVKSTGVTDVFFTASRHEQDPQVHWSYLVHQEAADLIARKITDTAGGIMYSPAQVEDCFLYMLKLCVMTGGHHSIDYDLTTHGCNYWICDGIIQQGDDGDDGAWRAADDLQQEMRLDVECYHQYLSPHMLRRAETMPYWSSPSYGFLLSPANNGDIFQQLNKPVDVLKLSRCSFSFSSPPFLCCHNLRFLWLEHCQDQAIGTDGAADKEQVIQRCFQRLWVLDVRYTRCDWILSARTLDFMAQLKELNVMGAQGWDMGQLQGRLPNIRKLRVTKSTVGCSNCSENNLILGMNKMELLEFSGNRTTMPGVANIPEMSTSNNCLETVIIIDGCVGIQKFSFRGCAKLKHILLSGLFEDLRILDLSGTAIKTLDLNAMIVRNLDELFLDGCEKLCAILWPPEDRRKSHLQKLHIDTTQSAAASLLAPGRDEKSMEGRNTTTRVRRRSSASLSVAHGGRLPSEFYWCIWVRDARLLRSLVPLEKSYFDNNMVVHMEISTYTDNYYHQSSMKQGKDNSTYTDVVDSFKDRMLLQASQGDGDAPTLTGICPCPDMPSLAASNCYMHMQDRGQPRSTESLQEGEETSTITMPDLICRRALILHVHDSLSITSIPGHAPAMSSKWYRLDWCRVERCPKLGCVFTTPQLEGSGDEPIFYYLKTFWASQLPKARYIWNWSRTLAFDIGRRSFEDLKILHLDLCPRIIHVLPLAMPMVEHSLRNLVTLEIVWCGNLEVVFPLYTDAGGSHQHQEQSIITVELRNLERIHLHELPKLQGICGRWMISAPMLETVKIRGCWSLKRLPAVSSGGSKKVECDCEKEWWEKLEWDMGANHHPSLYKPIHSRYYKKTMLRY >KQK96250 pep chromosome:Setaria_italica_v2.0:VII:2518056:2519436:-1 gene:SETIT_012454mg transcript:KQK96250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PKAPQAKTSPRLLPLPPNSSPTQIPPPRAAAAAMPFTPGPYSGVSTLALVARASAFGVGVVYGSIKLSILKATKPKKEEAHAHH >KQK97095 pep chromosome:Setaria_italica_v2.0:VII:19050116:19051897:-1 gene:SETIT_010783mg transcript:KQK97095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDRPAATAADPFYSRTVCVRRCAGSREILFRSRRLQPRGRRQANRQTRWRACSQPTRSAWPSIQPAAQPAKPIVSRHLKETAAKRKSPTGLTVLPRRARGRAVPGRGLIHWRLALLAGLVSKPVEPVVTYSTCRDVCRARHLASLLCFDSCLSAVYFSCSGGRKEAGKLMASNYVDTAAEEGRFHGHYHHHSTTPTGAAAASPKHMRRSWSSASSAHGHGHAPKCVCAPATHAGSFKCRLHRSSSHGHGHPASPPSPAATTSAAPPPAVPPSSSRTVAAQ >KQK97665 pep chromosome:Setaria_italica_v2.0:VII:22503872:22506218:-1 gene:SETIT_011016mg transcript:KQK97665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAQLHGSAAAAATYRRTRAYSVPSSCRWLRTPLAASPKLSISSTEVGMKPLGFAAKLSTNENARVEELNLQSDQMKEFVQAEGHVIPQKRSAKIHDFCLGIPFGGLLFSMGLLGYIFSRSTISLVLGVAPGFATLLLGTLSLKFWRSGRSSFLFILAQAAISASLAWKYSHAYFLTNRLLPWGFYASLSTAMTCFYGYVLLAGGNPPPKKLAAIPQQ >KQK99238 pep chromosome:Setaria_italica_v2.0:VII:31731913:31733586:-1 gene:SETIT_010609mg transcript:KQK99238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMHSLAFYTLALLFAAAAVSAQLSTDFYEETCPDALDIIESAVRAAVSKESRMGASLLRLHFHDCFVNGCDGSVLLDDAPGFTGEKTAVPNKNSLRGFEVVDDIKKQLEDACNLTVSCADILAVAARDSVVALGGPTWDVELGRRDGTTASLDDANNDLPAPTLDLGDLIKAFSKKGLSATDMIALSGGHTIGQARCLNFRGRLYNETTSLDASLASSLKPRCPSADGNGDDNTSPLDPSTSYVFDNFYYKNLLRNKGLLHSDQQLFNGGSADKQTTAYASDMTGFFDDFRDAMVKMGGIGVVTGSGGQVRANCRKAN >KQK99390 pep chromosome:Setaria_italica_v2.0:VII:32707359:32708860:1 gene:SETIT_010572mg transcript:KQK99390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGAAAAALAMAVLLFLGIIGVARGQLQVGFYSDSCPDAEDTVTAAVQDAANNDPTILPALLRLQFHDCFVKGCDASVLIRSASNDAEVDNSKNQGLRGQDVVDAAKAQLEDQCPGVVSCADIIALAARDAVALTGGPTFDVPTGRRDGLTSNLRDADVLPDAADSINVLRSSFAAAGLNDRDLVLLTAAHTVGTTACFFVKDRLYSFPLPGGKAGSDPSIPAAFVAELKARCPPGDFNTRLPLDRGSESDFDDSILRNIRSGLAVIASDAALANSNATRALVDAYLGPAAGSFERDFAAAMVRMGSIGAITGDDDGEIRDVCSAFNTN >KQK97310 pep chromosome:Setaria_italica_v2.0:VII:20438907:20440925:1 gene:SETIT_009735mg transcript:KQK97310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNVVAIGLVLSTLAAAGVWSPSPAPPTPAAQQHGDHVVREGRRVVIVEYEREVSGEDGGRVKVKETRVLPPDALDGVEDGGGAGGVVDGARGVVSEAAGKAAGVAEEGKERLYDANGGVLGAVKRCKDRLCGAGRRAEEGAKDAASRAEHAAEDAARGAVETVSDAKDSAENKALDAARHGKERLKSAKDRASDAARQGKETLKDKASDEAHQGKETVKSAKDKVAEAASKAKEKASDVQHGAAEAAKGAKDRVSEAAKHAKETVRGAKDRVSDMAERAEEYAEDAAERAADRVAEAEAAVEAKAGEMRRNLTDIARRARGVASDAAAYLFLAGGGPREAARVATAVMHLLGLATAYGTCVWVTFVSSYVLAAALPRQQLGMLQSKLYPVYFRAMAYGVGLALAAHLLGRERRSAAARAQSFNLAAALALVLANMLLLEPKATKVMFERMKVEKEEGRGRDVADIVDPPAATVATTDTNAARAARPEAAAAHTTPVDGAATAKAKAGNPEMSKSRVVRLSKRLKQLNGYSSLCNVLSLMSLTWHLVHLARRINTGTAC >KQK99909 pep chromosome:Setaria_italica_v2.0:VII:35331713:35332008:1 gene:SETIT_013055mg transcript:KQK99909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGHSFMHPNFQSTGKAHWVNLVFAHSSTITLWSKKGSHQHHLLELL >KQK96435 pep chromosome:Setaria_italica_v2.0:VII:7207543:7219778:1 gene:SETIT_011911mg transcript:KQK96435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNADLVQRCLEAGGRDLLLHHPSSPPSPTSASAAASSSILQSLPLHVSFDRGYYLLVKAIQELRARKDGHIVTVGIGGPTGSGKTSLAEKVASVLGCVVIVSMEDYRTGAGGDDGSSDIDAIDFDALTRNLQDLVKGKDTMVPLVDFQEKKRTGWRELKISSSGVVIVDGAYALHSTLRSLLDIRVAVVGGVHFSLLSKVQHDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRIDNSFVCSFREPYYKLKCKNESPDGTKFYSFDQNKAETENFIEMYLRPPFASEEMKIDDWIKVRQCGIRYYLSLGDQRIVDKYFIIRPKAEFEVGRTTLGGLLALGYSVVVSFKRTSTSVNRDQLLIAVEAIDTLNETFLVLKGPSRKVVAAESSKLGIKGPWITKSYLEMILDSKGVPRLNTPPPVSSTLLTESQEKKIAAPKPIRVSAENITNLDDLMQPWTRSPPKKLEQEHVLAKWQFITDSSSRSNIQLAPLPDSYDLDRGLLLSVQAIQALLENKGYPVIVGIGGPSGSGKTSLAQKMANIIGCEVVSLESYYKPEQVRDYKYDDYSSLDIALLTKTIMEIRNSHKAEVPCFDFENFSRKGFKELQVSEESGV >KQK99826 pep chromosome:Setaria_italica_v2.0:VII:34937047:34940030:-1 gene:SETIT_011062mg transcript:KQK99826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRRLRTSAALRRGAIDGGVLAALRAELAHELPSSAPSVPPPFHCQDAPDFVTVSDAPLAGDLLLRRRADSEEVLVSALLAPLMFEGQEPLPRDLLIKVFVSKLGATPVLHFNCRAFWAEGKAGGADYVINAVRYHSSPGDDGEDKYEGPEFRDLDPRLKAALQEYLVARGIDSKLASSILLHLHQKERTQYLNWLKTMEETFAKDH >KQK99515 pep chromosome:Setaria_italica_v2.0:VII:33248089:33252264:-1 gene:SETIT_009864mg transcript:KQK99515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDPQGPGRGKAGGANAHARLPPPVTTGSAGRPASVLPHKTDNVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIRGAYEDALFVHLVMELCAGGELFDRIVAKGHYSERAAAQLIRTIVGVVEGCHSLGVMHRDLKPENFLFASTAEDAPLKATDFGLSVFYKPGDKFADVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAESEAGIFRQILRGKLDLESEPWPSISDSAKDLVRKMLIRDPTKRLTAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKNFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITYDELKNGLKRVGSDLMEPEIQALMDAADIDNSGTIDYGEFLAATLHMNKLEREESLVSAFAFFDKDGSGFITIDELSQACQQFGLSDVHLEDMIKDVDQNNDGQIDYSEFVAMMRKGNAGGAGRRTMRNSLHVDLGELLKPAES >KQK96267 pep chromosome:Setaria_italica_v2.0:VII:2745947:2750377:-1 gene:SETIT_010927mg transcript:KQK96267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPVFVGNLDYDTRHSELDHLFYRYGRIERIDMKSGFAFVYFEDERDGDDAIRALDGYPFGPGRRRLSVEWSRGDRAARRDGNKPEANTKATKTLFVINFDPITTTVGDIEKHFAPFGNISNVRIRRNFAFVQFETLEEARKALEATHATTLLDRVISVEYAFRDDGERSDRYDSPRRAGGYGRCGDNPYRRSISPVYRSRPSPDYGRPPSPVYGSYGRSRSPVRDRYRRSPGYRSRSPPAKRRAYD >KQL00019 pep chromosome:Setaria_italica_v2.0:VII:35792049:35793281:-1 gene:SETIT_012485mg transcript:KQL00019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWLRRFPHDVMHRKDNGGAAGRRAASSTTWRNKNSNSFTARIIRCASSVARRRRFDDDDDDDDRQQLPSSPPPPGRSPPPAESKLDRNNKVGVVSARAFSFRELAEAAGNFRQEHLIGEGGFGRVYKARIVKEQQQQEEEEEQVVVAVKQLDRNGPQGNGEFVVEVLMLSMLHHPNLVSLLGYCADGEQRLLVYEYMALGSLEDHLLLVGDHHRPLLPWRTRMRIACGAGRGLEYLHERGVIFRDLKPSNILLDDHHNPKLSDFGLARLLPPSSSSTSSTGSSSSRVMGTYGYCAPEYLRTGKLSAKSDVYSFGVLLLELITGRRALDASRPDGEQSLVGWAAPMFGDPARIHELVDPRLVMAMQAPPAPELKQAVGLAAMCLQEHHALRPVMTDVVFALDFLATDRP >KQK96436 pep chromosome:Setaria_italica_v2.0:VII:7224109:7229500:1 gene:SETIT_012515mg transcript:KQK96436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EASQVASGSIPAMRRLRRGGAAGVQACWRILLVIFEGVYTLHPAIRKLLDLWIAVVGGVHSHLITRIQRDKSRAGLSISQTEIMTTVFPLFQQYIEPHLVHAHLKIQNDFDPVLSPESSLFVLKSKKQVSYQDILKVLDATKVCSSVQNFTDVYLRLPGVPSNGQLTEGECIRVRICEGRFALLIREPIREGNFIIQPKVDFDISASTVAGLLKLGCHFSMYHSSGMLCKMSHDGTIAKEQYECSINGAPELKTYQVYSDAFFTPLKILIEVDHLQGVATPYLQIKGTNKDIVSSAGSALSLDGSYTTKSYLQIILESLPADDNVSVGIHNQQAARLQELVEFIQSQGGSFSSDSSSPMREISSTDSVLDDMQSRIRKLERWNTINMVLWTILLSALVGYSFYQKRRH >KQK97721 pep chromosome:Setaria_italica_v2.0:VII:22856622:22857215:-1 gene:SETIT_012850mg transcript:KQK97721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKEGIQPDLVSYNTLISGYSMKGDIKDALRVRDEMMNKGFNPTLLTYNALIQGLCKNGQGDDAENLMKEMVEKGITPDDSTYISLIEGLTTEDERTAAADAAEA >KQK99373 pep chromosome:Setaria_italica_v2.0:VII:32614254:32619520:1 gene:SETIT_009649mg transcript:KQK99373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGDRRGGGPPGSDAGWETIGKKSKKPGQAAGKQWAPWGSTNAAPNTTRPAWGGNGSSQPSGTSWAQSSDRSAANRGNPRLPPQTRAMERELQAPRPIVTPPLANGWQWQSRSRPSASEGQQDDAPPPGGDPEEENVDGNDTSDDDDDLSDDISDEYDSDASEKSFETRKMNKWFKSFFEVLDTLSVEQINEQTRQWHCPACKNGPGAIDWYKGLQPLMTHARTKGSTRVKLHRELAALLEEELSRRGTSVVPAGEQFGKWKGLRESTDREIVWPPMVIVMNTLLEKDDDDKWKGMGNQELLDYFGEYAATKARHAYGPAGHRGMSVLIFESSAVGYMEAERLHRHFITQGTDRNTWQLRRVPFLPGGKRQLYGFLANKEDMETFNKHCQGKSRLKYEMRSHNEMVVIPMKQMSEDNQQLNYLKNKVVKTEQRSKAVEETLGVVTERLRETMKDMKIVKDKVQQKHMEHEQEMKYQEDFFHSQIESIHKITEAKEKEFEKLLQEERSKARRFDVDSGTTEDRRLRKEHVQKFIDGQVKDVAEFEAERDELIKAHEETKMKLKKEYMEKEVELEKELDAALTSLMEKHKPDTFQASSS >KQK96901 pep chromosome:Setaria_italica_v2.0:VII:17261302:17272475:-1 gene:SETIT_009487mg transcript:KQK96901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSEAAAEAAPETVGQAVIPLVNSLQDIIARLDGDAAAGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHSAPEEWGEFLHAPGRRFHDFEQIKREIQLETDKEAGDNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRTMIMQYIKHPSCIILAVSPANADLANSDALQLARLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFNRSVKDALGFEEKFFSTLPAYHGLAHCCGVPQLAKKLNMILLKHITNMLPGLKTRINAQLVAVAKEHAAYGDTVESTAGQGVKLLNILRKYCEAFSSMVEGKNRVSTDKLSGGARIHYIFQSIFVKSLEEVDPCKSITDEDIRTTIQNYGGPKGAMFLPEVPFEILVRKQIGRLLDPSLQCAQFIYDELIKISHGCLTSELQKFPILKKRMGEVVSSFLRDGLRPAETMITHIIEMEDGTIIGSDIQLTAERGQKSRAVFARDATRKATSEQADTDAGTNLTGGSQMGNSQVGGSSSIKLPSIIQLKEPPITLKPSETEQDATEVAIVKLLIKSYYDIVRKSIEDAIPKAVMHFLVNHTKRDLHNFLIRKLYRENLLNELMRETDEVLIRRQRIQETLEVLEQAHRTLEEFPLEAEKIEKGYDLAEHATGLPKIHGLSEDGPNGILTSSSNRYDLVVGF >KQK96902 pep chromosome:Setaria_italica_v2.0:VII:17261697:17272475:-1 gene:SETIT_009487mg transcript:KQK96902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSEAAAEAAPETVGQAVIPLVNSLQDIIARLDGDAAAGLELPQVAAIGGQSSGKSSVLEALVGRDFLPRGPDICTRRPLVLQLVRHSAPEEWGEFLHAPGRRFHDFEQIKREIQLETDKEAGDNKGVSEKQIRLKIFSPNVLDITLVDLPGITRVPVGDQPSDIESRIRTMIMQYIKHPSCIILAVSPANADLANSDALQLARLADPDGSRTIGVITKLDIMDRGTDARNFLLGNVIPLKLGYVGVVNRSQEDINFNRSVKDALGFEEKFFSTLPAYHGLAHCCGVPQLAKKLNMILLKHITNMLPGLKTRINAQLVAVAKEHAAYGDTVESTAGQGVKLLNILRKYCEAFSSMVEGKNRVSTDKLSGGARIHYIFQSIFVKSLEEVDPCKSITDEDIRTTIQNYGGPKGAMFLPEVPFEILVRKQIGRLLDPSLQCAQFIYDELIKISHGCLTSELQKFPILKKRMGEVVSSFLRDGLRPAETMITHIIEMEDGTIIGSDIQLTAERGQKSRAVFARDATRKATSEQASTADTDAGTNLTGGSQMGNSQVGGSSSIKLPSIIQLKEPPITLKPSETEQDATEVAIVKLLIKSYYDIVRKSIEDAIPKAVMHFLVNHTKRDLHNFLIRKLYRENLLNELMRETDEVLIRRQRIQETLEVLEQAHRTLEEFPLEAEKIEKGYDLAEHATGLPKIHGLSEDGPNGILTSSSNRYGAHQASHIVI >KQK99404 pep chromosome:Setaria_italica_v2.0:VII:32787754:32788721:-1 gene:SETIT_011720mg transcript:KQK99404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENDLPKKLVQSGLRAELMPQHVAFVLDGNRRWAQARGLTTLEGYEAGAQVLNKIVELSAAWGIRAITVFAFSQDNFRRPESLRLHTALILHYSLNLQAEVDFLMEMTARMIRHYMDEYEREGIRVHVAGDRSRMPTSLQDAAREAEEMTRNNSQYHCIIAVCYSGRWDIVQACRELATKVQDNMLQPEDIDEEMLAGHLSTNALGEFGCPDLVIRTSGELRLSNFLLWQSAYAELYFTNTMWPDFGEDDYLQALKDFQSRERRFGQRRSSQQE >KQK96775 pep chromosome:Setaria_italica_v2.0:VII:15378255:15380143:-1 gene:SETIT_012772mg transcript:KQK96775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYTSPIEALKFLKDHEKDMDFALVAVNMKEMHGFQFLDISRESHKNLQVIMMSDDMTWPTVKRSVELGARFLVKKPLDANTICDIWQHLDHKLLKCEKIKYLFQGIEGEWDDVFKSEKRLRGGANKQKVTQLMWTPFLQRKFLQALELLGKAATPTKIQLIMNVNSIGRKQISAHLQKHRKKVEKELRNTDAKTCNNGASSSQPLRICETDPNTCQYNPKFQPADRLDEDMYWDQKETTEETQGKNTYEAMRRALQLGTVFDESQLPNDPPVKEASKWEVDMMGDGMCRTDLTYPSGNKNENSETHNAGNAKGVMEKGDSDSGDAQERVLKIVAYSDSEDDEAL >KQK99220 pep chromosome:Setaria_italica_v2.0:VII:31612017:31612443:-1 gene:SETIT_011744mg transcript:KQK99220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLYDIPGVEVGQHFYWQIGGFQIHAQVLITSWVVITILLDLSKTQIGEEYGPWVPFIGTMFLCIFVLNWSGALLPWKIIELPYGELAASMNDINTLVALALLKLAAYFYVGLRKKD >KQK97201 pep chromosome:Setaria_italica_v2.0:VII:19577476:19582015:1 gene:SETIT_009769mg transcript:KQK97201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIFVQRGAAGSSSSSSRSGSQPAQPQPPAAAREEELPLRPQPQLPELLATDDITEHLNEGSENSSSSNKPSRLDDPISESSSSAEERAAREKPPKDDSNLINPTFLVEELKGLQISDQIEHGNSVPSSTGSSQMAGAASHPPPPPAPPPKPSPGNIGLRRMGSGSSNSVRIGSSRRPVAWPPVAARTSASGSRPSSPRSLVDGEGYNSADEQGPCYGSSYDDSERDLMFEHDLRRVKGLEIRKMAEDGNCLFRAVADQVYGDAEAYDMARQMCVDYMERERDHFSEFITESFTLYCKRKRRDKVYGNNVEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRRQTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRFYSDLELTEREIERMVMEASRAEYLAEEKKLNIRESSTSGAEPSSSAAISGSSRSAAAVDRGSEECFVLPDTVLTRSMQLLLAMGFSYIQVMEAYSIFGEDVDSMICYLVETGGPGASEGGSNRRKGKAAE >KQK97200 pep chromosome:Setaria_italica_v2.0:VII:19577476:19582015:1 gene:SETIT_009769mg transcript:KQK97200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIFVQRGAAGSSSSSSRSGSQPAQPQPPAAAREEELPLRPQPQLPELLATDDITEHLNEGSENSSSSNKPSRLDDPISESSSSAEERAAREKPPKDDSNLINPTFLVEELKGLQISDQIEHGNSVPSSTGSSQMAGAASHPPPPPAPPPKPSPGNIGLRRMGSGSSNSVRIGSSRRPVAWPPVAARTSASGSRPSSPRSLVDGEGYNSADEQGPCYGSSYDDSERDLMFEHDLRRVKGLEIRKMAEDGNCLFRAVADQVYGDAEAYDMARQMCVDYMERERDHFSEFITESFTLYCKRKRRDKVYGNNVEIQAFAEMYNRPIHIYSYSTEPINIFQGSYNTDVPPIRLSYHHGNHYNSVVDPRRQTVGAGLGFSSLRGTNNVDRDQVKAAIKAQQDQQIENALLAEGRFYSDLELTEREIERMVMEASRAEYLAEEKKLNIRESSTSGAEPSSSAATGLLVPLRQ >KQK96874 pep chromosome:Setaria_italica_v2.0:VII:16780087:16781766:-1 gene:SETIT_012747mg transcript:KQK96874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTATLRPRCTLQLATSPRRPNPRRLAAPPPPTTPTPSTTAPVAAMWRQVQGSGDWDGLLQPLHPVLRDEVARYGGLVGACYDALDMDRASAGYMRCKYRKQRLLEEASGGAGYEVTKYIYAAPDVAVPVPGAATPLQAAGAWVGYVAVSTDEMTRRLGRRDVLVSFRGTVTPAEWTANLMSLLRPARLDARRPRPDVKVESGFLNLYTSPASDGRTGRSCREQLLGEVSRLLASRAGDDGEDVSVTLAGHSMGAALALLLGYDLAELGLNRRGRGGRRIPVSVFSFGGPRVGNAAFKARCDALGVKALRVANVRDPVTCVPGALLNERTGELLGGWAGGACYVHVGVELALDFASLRDLGSVHDLGAYVSCLVTGSGGRDGQGHGARLFSLFYLQLMDLK >KQK97754 pep chromosome:Setaria_italica_v2.0:VII:23056113:23059017:-1 gene:SETIT_010749mg transcript:KQK97754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLAAASASASPARCRLSPSSAALPRRFLRSLLGAPSPARPSPPPQQPTKPLLRRCIPFHRMAQYWTRASLDKNKALVDYLKQYGAVRTDKVAEVMETIDRALFVPEGTPYIDSPMPIGFNATISAPHMHATCLELLKDHLQPGMHALDVGSGSGYLTACFAMMVGPEGRAVGIEHIPEIVASAIENVQKSAAAPLLKDGSLSFHVADGRFGFPDAAPYDVIHVGAAAPDIPQPLLDQLKPGGRMVIPVGTYSQELQVVDKNADGSISVRNDASVRYVPLTSRSAQLQDP >KQK97860 pep chromosome:Setaria_italica_v2.0:VII:23587981:23590155:-1 gene:SETIT_011717mg transcript:KQK97860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFMLRSCPSSSNFSVVAYFYGTLHLDIVTSSTVIGYLVGAVLISAALMNFISDAYIKRTTAIFVFGPCVILGYTLLALQAHFPSFHPEICEINKEPNNCEPAKGWNLTMLCLSLLLFAVGEGCMRSCIPSLGGDQFSNDDPKKSQLKSMFLIWLKFANSLGAIIGLAFLVWIENNLGWNIGFMICALIVLVGLLVAASGMPFYRMQKPTGSPLTRILEVLVVSSNKRQAIVDVIELQETGQAECIHKSGATQVDETKAITRMLPIFISCLVIYLPFTLLMTLTIQVGSTMDKGAGIIQIPSASLIAIPTAFHMLMQPCYSRVLTPLLRTTTGHECKISPLQRIGAGSVCGTAAACIATLVEVKRLTVVEQHGLTSTGTGVPISVFWLVIQFFLLSIMDAASFSGLIEFIKSEAPPAMKPIAPAVQSFLAGLAAWSSCAFVQLVNRATRGGDCSRGWLDGANFNRTRLDHFFLLLAAFELVALINYAFWARRYAMKQQRIGTVGLEDDN >KQK98823 pep chromosome:Setaria_italica_v2.0:VII:29397750:29402387:-1 gene:SETIT_009720mg transcript:KQK98823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAHKLGELLHHLRQCSAAALDRAMSLVCSLSQAYAEHQVTERVARSRRTLGGQVWRAAVDVAARLNSLLIHVVYFVALSCAGWGLLSALKVRAPHGSPRGIDMFFTAVSAATVSSMSAVEMEVFSNGQLLIITALMFAGGEVFISLVGLSSKWSKLRKRIINRSQRVESHDDGVELETPAAIAAEAEAAADADDERSSMTITTVTEDHTGPVVDSKMLRLNAVRSMFYIVLAILLVVHVVGAVAVAVYMHAAPGARQTLRRKALNVWTFAVFTTVSTFSSCGFMPTNENMMVFSRDVPLQLLLVPQALVGNTLFPPLLAACVWAAAAATRRQDLAEMARKGSGEDLLPARRCWMLAGTVAWFLAVQVALVCAMEWGGALQGLSSGEKVANALFLAVNSRHTGESTLDLSTLAPAILVLFVLMMYLPPYTTWFPFEENSTTRDHSTESQGIRFLKSTVLSQLSYLTIFVIAICITERRKLKEDPLNFNVLSIIVEVVSAYGNVGFSMGYSCSRQINPDQLCTDRWTGFAGRWSDSGKLILIIVMFFGRLKKFSMKGGKAWKLS >KQK98980 pep chromosome:Setaria_italica_v2.0:VII:30308834:30310138:-1 gene:SETIT_012226mg transcript:KQK98980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPGLGYASINNKNALLDLIWFVLGGSLNGRGAEDDIRSVRTAAACRRPCRRTGAARRGAAPRAGATTAAAPHVEAREEGVRLHVGGAALRPEPGARVLVQEPRDQVARRGVVAPAAVGGGGGGELERAAHDVAERGLAGLPHERGAPAHQSTAAPCPPPCATSGATYSCVPTKELDRAWRSCSSGIGRHVGAPSPLSRLLASSSAGDAVDSSDRSKSVLEGEEHLGGVEPRGGEREAAARHAVVEGVEVAAGAELHDDAREIRAGVEVRQHGGQERVVEPSENASMQPCDSRAMQPSEPLAHAVPGASEPLDEYRERCRRIGIGVHASRERTGVHRMGFASGAHLIFFPSIASAAETVVSEGETGRGVVVEEQ >KQK97255 pep chromosome:Setaria_italica_v2.0:VII:19991943:19992974:1 gene:SETIT_011964mg transcript:KQK97255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WRYAATDPVFSRHGELYVVSYVRRDMLMIENQLPLLVLQRLVTFLHGASAATDDAINNMVLDFVKLNHDSPAVTGGGLALHALDVCHRSLLHGPPRPSRTGPLDEFVPSATELHQAGVRFRPSRTRTTRSCCLHDIRFHHGVLHIPELAVDDTTGHKLLSLMAFEQLHAGRRANNEVTAYVFFMDNVIKSAADARLLSAAGVLRNGLGSDKAVAEMFTRLATEAVLDRRSRLHDVHAAVNAYRGARWNEWRASLVLNHAGNPWAIISLAVAFVLLVLTVVQTVYTVLPYLDQKQQQTVAAGAGIGLLQEL >KQK97321 pep chromosome:Setaria_italica_v2.0:VII:20554652:20559793:1 gene:SETIT_010123mg transcript:KQK97321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSVMAAPDAPPQAVVLVSAGASHSVALLTGNALCSWGRGEDGQLGHGDAEDRLVPTVLSGFDAPGITSVICGADHTTAYSEEELQVYSWGWGDFGRLGHGNSTDVFTPQPVKALQGLKIKQIACGDSHCLAVTMAGEVQSWGRNQNGQLGLGTTEDSLLPQKIQAFEGVCVKMIAAGAEHTAAVTEDGDLYGWGWGRYGNLGLGDRNDRLLPEKVSSVEGEKMVLVACGWRHTITVSDSGTMYTYGWSKYGQLGHGDFEDHLVPHKLEALKDSTISQISGGWRHTMALTSEGKLYGWGWNKFGQVGVGNNDDHCSPVQVHFPEEQKISQVACGWRHTLALSEKKNVFSWGRGTSGQLGNGEIVDRNTPVLIDALSPDGSGCKKLESSAAAPFAAKVWVSPSERYAIVPDENVPKSGEGTARGNGADANVPENDVKRMRVQS >KQK97730 pep chromosome:Setaria_italica_v2.0:VII:22918219:22920895:-1 gene:SETIT_010161mg transcript:KQK97730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRGHLDGLNAQAPGLLRHGSFAAGSLSNRSPLDSSSTLEMLENKLAMQTAEVEKLIRENQRLAKSHVVLRQDIVDTEKEMQLIRTHLGEVQTETDLQIRDLLERIRLMEADIQSGDTVKKELHQVHMEAKRLIAERQMLNLEIENANNELQKLSASGDNKSLTELLAELDGLRKEHHSLRTQFEFEKNTNVKQVEQMRTMEMNLITMTKQAEKLRADVANAERRAQAAAAQAAAQAAGAQVAASQPGTAQATAVSAAAPNPYASAYANYPTAYQQGTQPGEYQQGTQAGAYQQATQAGAYQQGTQPAAYQQGTQAGAYAYAYNATAYQMHAAQANTYAGYPGYQVPGYAQTAVPNYPGAYAVPPQQPISSGAATDVGNMYGVVGSTGYPAGQVQPSSGTANAAQAPPPPPPPAAPYPGTYDPARGAQR >KQK97729 pep chromosome:Setaria_italica_v2.0:VII:22917943:22921953:-1 gene:SETIT_010161mg transcript:KQK97729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHRGHLDGLNAQAPGLLRHGSFAAGSLSNRSPLDSSSTLEMLENKLAMQTAEVEKLIRENQRLAKSHVVLRQDIVDTEKEMQLIRTHLGEVQTETDLQIRDLLERIRLMEADIQSGDTVKKELHQVHMEAKRLIAERQMLNLEIENANNELQKLSASGDNKSLTELLAELDGLRKEHHSLRTQFEFEKNTNVKQVEQMRTMEMNLITMTKQAEKLRADVANAERRAQAAAQAAAQAAGAQVAASQPGTAQATAVSAAAPNPYASAYANYPTAYQQGTQPGEYQQGTQAGAYQQATQAGAYQQGTQPAAYQQGTQAGAYAYAYNATAYQMHAAQANTYAGYPGYQVPGYAQTAVPNYPGAYAVPPQQPISSGAATDVGNMYGVVGSTGYPAGQVQPSSGTANAAQAPPPPPPPAAPYPGTYDPARGAQR >KQK96922 pep chromosome:Setaria_italica_v2.0:VII:17438631:17439632:-1 gene:SETIT_011110mg transcript:KQK96922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTKASAFLKQMVSTIVAAVKAKSTAVRVKTSALKTRLLILGILRNKKMLMAAINHKIHAIMGNQHQQQLADKDAATGDGDDSAKKAIVLYAAPSFSDAELVAHEVEAQDEEDSDDYLTHTLFAEEEDELVSAPGSVIDVMRDAREREDGEGAEFRLEDEIDHVADVFIRRIHRQLKLQKLDSFKRLCEMLERGA >KQK99551 pep chromosome:Setaria_italica_v2.0:VII:33468837:33471359:-1 gene:SETIT_010307mg transcript:KQK99551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRASRHRGKVKSFPRDNPTKPCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKYDSDAGKQEIQVQLEKMKKYASVVRVIAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKAGQESHDASTEFDRTEKDITPMGGFPHYGIIKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFIDTSSKFGHGRFQTTDEKQRFYGKTKA >KQK97840 pep chromosome:Setaria_italica_v2.0:VII:23518222:23518688:1 gene:SETIT_013035mg transcript:KQK97840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLVNCVGSPRTATTSSFTASSRHTHGRRWVSQLPVLQ >KQK97952 pep chromosome:Setaria_italica_v2.0:VII:24153638:24154116:1 gene:SETIT_011577mg transcript:KQK97952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYEIKNANRYICIIGTNIATYAYILKLYHHSNEIIHRVPNRLQTHSYIIALIHFSDLKIRH >KQL00050 pep chromosome:Setaria_italica_v2.0:VII:35939985:35940614:1 gene:SETIT_012037mg transcript:KQL00050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGCAVALAAAAAALLVSLPMLLVLPPDADTYEQESRRMFMEWKARFKKTYKYAGEEECRYAVFKESRCRVAWARAAGVTTSGLNGLAARSNEEIYRGHGVEKGEGSYEQETRRMFVGWKAKYGKTYRDVGEEECRYRLFKGNRRAVVWLNAAAAAGQNAYDINQFGDLTNEEVRQSCYPEMVDQELSARCQAAAPYPDPEHGRRIWYQ >KQK96093 pep chromosome:Setaria_italica_v2.0:VII:100914:102089:1 gene:SETIT_012125mg transcript:KQK96093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPNEHEFGHERVKTAQQRVITYSGRGWDGVSVLAPSPLRAPPVILAYHMDFGPPPKLCALWDKIPQNFWVGGMPHGYSRGSWCALRVPEKTMFGRTNNVGFDPFSSQRLVLKLAPVCRGGRATWLILPVVICLSQRLSHACASMN >KQK97412 pep chromosome:Setaria_italica_v2.0:VII:21095083:21100294:1 gene:SETIT_009565mg transcript:KQK97412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRQGRHLERSNSKRVLDHGGGGGGDDDDRAPKRPRVPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALTKLGPAGPARIQGRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHAVTSGPESSAKLDVLVLEGDFNKEEDEGWTEEDFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGIRIMEAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNSSGIYTVEHFLQFLVRDQQKLRSILGSNMSNRMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQFISAENLTENQRVFADTLVKQAYDDWINVVEYDGKALLRFKQKKKSVTTRSETAKASASYPASNGLVHSQKQLASPVNAEQSSLSNISEADGTRVVSIGNQGARGYAANPQDMAPTSSITMQYDMSSLAPEGQFSGSSIQPQASRSSNTLALRPMQQQQQPLLQNFEFSGLGGQSTQPSSLNPFDDWSRLQENRGSVDDYLMEEIRARSHEILENDEMQQMLRILSMGGAPTGLNNVDSFPSYPSPAPAFSFEDDRSRSSGKAVVGWLKIKAAMRWGIFVRRKAAERRAQLVELED >KQK97413 pep chromosome:Setaria_italica_v2.0:VII:21095083:21100294:1 gene:SETIT_009565mg transcript:KQK97413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYVVLPGYAAISCELHIPNKNRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHAVTSGPESSAKLDVLVLEGDFNKEEDEGWTEEDFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGIRIMEAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNSSGIYTVEHFLQFLVRDQQKLRSILGSNMSNRMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQFISAENLTENQRVFADTLVKQAYDDWINVVEYDGKALLRFKQKKKSVTTRSETAKASASYPASNGLVHSQKQLASPVNAEQSSLSNISEDGTRVVSIGNQGARGYAANPQDMAPTSSITMQYDMSSLAPEGQFSGSSIQPQASRSSNTLALRPMQQQQQPLLQNFEFSGLGGQSTQPSSLNPFDDWSRLQENRGSVDDYLMEEIRARSHEILENDEMQQMLRILSMGGAPTGLNNVDSFPSYPSPAPAFSFEDDRSRSSGKAVVGWLKIKAAMRWGIFVRRKAAERRAQLVELED >KQK97411 pep chromosome:Setaria_italica_v2.0:VII:21096508:21099936:1 gene:SETIT_009565mg transcript:KQK97411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYVVLPGYAAISCELHIPNKNRSSPKRIEGPDGRNLQLQFRTRLSLPLFTGGKVEGEQGAAIHVVLLDANTGHAVTSGPESSAKLDVLVLEGDFNKEEDEGWTEEDFESHIVKEREGKRPLLTGDLQVTLKEGVGTIGELIFTDNSSWIRSRKFRLGLRVSSGFCEGIRIMEAKTEAFTVKDHRGELYKKHYPPALKDEVWRLEKIGKDGSFHKRLNSSGIYTVEHFLQFLVRDQQKLRSILGSNMSNRMWESLVEHAKTCVLSGKHYIYYSSDARSVGAIFNNIYEFTGLIADDQFISAENLTENQRVFADTLVKQAYDDWINVVEYDGKALLRFKQKKKSVTTRSETAKASASYPASNGLVHSQKQLASPVNAEQSSLSNISEADGTRVVSIGNQGARGYAANPQDMAPTSSITMQYDMSSLAPEGQFSGSSIQPQASRSSNTLALRPMQQQQQPLLQNFEFSGLGGQSTQPSSLNPFDDWSRLQENRGSVDDYLMEEIRARSHEILENDEMQQMLRILSMGGAPTGLNNVDSFPSYPSPAPAFSFEDDRSRSSGKAVVGWLKIKAAMRWGIFVRRKAAERRAQLVELED >KQK97461 pep chromosome:Setaria_italica_v2.0:VII:21348748:21350115:1 gene:SETIT_010686mg transcript:KQK97461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVGMGTAAPTLVPEATKNAVLAAIEVGYRHFDTAYIYGTEKPLGDAVAEAVRRGLVASRQELFVTSKLWCTQCHPDLVLPALRQTLENLQMEYLDLYLIHWPICIKPVPPSFPAKKEDAMPFDSEGVWLAMEECHRLGLAKAIGVSNFTTKHLDKVLAATTIPPAVNQVLLNPVWQQRTLRGYCAEKGIHVAAYSPLGGQNWGGTGNAVLESDVLAGIAKARGKTIAQVSLRWIYEQGVTSIAKSYNMERLKQNLEIFDWELTDEDRLKISQIPQKKVVKGTDLFSREGEFTSVDAADLDVEE >KQK96639 pep chromosome:Setaria_italica_v2.0:VII:13247043:13249238:-1 gene:SETIT_010002mg transcript:KQK96639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSMDEPLLGNGVEKTGGAVLTELRKQLYLAGPLIAAWLLQNLVSMISVMFVGHLGELALSSASIATSFASVTGFSFLAGMASSLDTLCGQAFGAKQYSLLGIYKQRAILVLTLVSVVVAVIWSYTGQILLLFGQDPEIASGAGSYIRWMIPALFAYGPLQCHVRFLQTQNIVLPVMVSSGVTALNHLLVCWLLVYKIGLGYKGAALANAISYLTNVSILAIYVRLSPACKNTWRGFAKEAFRGIPNFLKLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNLGSLAFMIPFGLSAAISTRVSNELGAGRPQDARLATRVVMVVALFVGVFIGLAMVLARNLWGYAYSNEEQVVAYIARMMPVLAVAFVFDDLQCVLSGAAGGKRLVLVLILVRTTSSGFQLPSVSPSFII >KQK96640 pep chromosome:Setaria_italica_v2.0:VII:13247043:13249263:-1 gene:SETIT_010002mg transcript:KQK96640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSMDEPLLGNGVEKTGGAVLTELRKQLYLAGPLIAAWLLQNLVSMISVMFVGHLGELALSSASIATSFASVTGFSFLAGMASSLDTLCGQAFGAKQYSLLGIYKQRAILVLTLVSVVVAVIWSYTGQILLLFGQDPEIASGAGSYIRWMIPALFAYGPLQCHVRFLQTQNIVLPVMVSSGVTALNHLLVCWLLVYKIGLGYKGAALANAISYLTNVSILAIYVRLSPACKNTWRGFAKEAFRGIPNFLKLGVPSALMVCLEWWSFELLVLLSGLLPNPKLETSVLSICLNLGSLAFMIPFGLSAAISTRVSNELGAGRPQDARLATRVVMVVALFVGVFIGLAMVLARNLWGYAYSNEEQVVAYIARMMPVLAVAFVFDDLQCVLSGVVRGCGWQKIGACVNLGAYYLIGIPAAFCFAFIYHLGGKGLWFGIICALIVQMLLLLSITLCSNWEKEALKAKNRIFSSSLPVDMTT >KQK99430 pep chromosome:Setaria_italica_v2.0:VII:32890276:32891548:-1 gene:SETIT_009977mg transcript:KQK99430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRGFTLQEFANQCFGNNMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTTEQFRSDGVVIRGQAYGIRGIRVDGNDALAVYSAVHAAREMAIAEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRSRVRKELLQAIQVAERMQKPPVAELFTDVYDQVPSNLREQEQLLRDTIMKHPADYPTDVPV >KQK99431 pep chromosome:Setaria_italica_v2.0:VII:32889960:32893850:-1 gene:SETIT_009977mg transcript:KQK99431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRGFTLQEFANQCFGNNMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTTEQFRSDGVVIRGQAYGIRGIRVDGNDALAVYSAVHAAREMAIAEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRSRVRKELLQAIQVAERMQKPPVAELFTDVYDQVPSNLREQEQLLRDTIMKHPADYPTDVPV >KQK99432 pep chromosome:Setaria_italica_v2.0:VII:32890993:32893693:-1 gene:SETIT_009977mg transcript:KQK99432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMWLARRAARRLPPLLSRQGGEPTRPWFLGSTAPPPVLGSPPLPAVERIFRRGFCSVRRFAGESSAAAAADADEEEPENGFAGGDQAIDFPGGKVSFMAEMNFLPESHGERIKCYRVLDDDGKTISGSRFQEVSKEVAVKMYSEMVTLHIMDNIFYEAQRQGRISFYLTSNGEEAINIASAAALSIDDIVLPQYREPGVLMWRGFTLQEFANQCFGNNMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTTEQFRSTKPLIIKNHVLYK >KQK99433 pep chromosome:Setaria_italica_v2.0:VII:32889960:32893850:-1 gene:SETIT_009977mg transcript:KQK99433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEKLYLVVDSKSKEVAVKMYSEMVTLHIMDNIFYEAQRQGRISFYLTSNGEEAINIASAAALSIDDIVLPQYREPGVLMWRGFTLQEFANQCFGNNMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTTEQFRSDGVVIRGQAYGIRGIRVDGNDALAVYSAVHAAREMAIAEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRSRVRKELLQAIQVAERMQKPPVAELFTDVYDQVPSNLREQEQLLRDTIMKHPADYPTDVPV >KQK99436 pep chromosome:Setaria_italica_v2.0:VII:32889960:32893850:-1 gene:SETIT_009977mg transcript:KQK99436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMWLARRAARRLPPLLSRQGGEPTRPWFLGSTAPPPVLGSPPLPAVERIFRRGFCSVRRFAGESSAAAAADADEEEPENGFAGGDQAIDFPGGKVSFMAEMNFLPESHGERIKCYRVLDDDGKTISGSRFQEVSKEVAVKMYSEMVTLHIMDNIFYEAQRQGRISFYLTSNGEEAINIASAAALSIDDIVLPQYREPGVLMWRGFTLQEFANQCFGNNMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTTEQFRSDGVVIRGQAYGIRGIRVDGNDALAVYSAVHAAREMAIAEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRSRVRKELLQAIQVAERMQKPPVAELFTDVYDQVPSNLREQEQLLRDTIMKHPADYPTDVPV >KQK99435 pep chromosome:Setaria_italica_v2.0:VII:32890751:32893693:-1 gene:SETIT_009977mg transcript:KQK99435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMWLARRAARRLPPLLSRQGGEPTRPWFLGSTAPPPVLGSPPLPAVERIFRRGFCSVRRFAGESSAAAAADADEEEPENGFAGGDQAIDFPGGKVSFMAEMNFLPESHGERIKCYRVLDDDGKTISGSRFQEVSKEVAVKMYSEMVTLHIMDNIFYEAQRQGRISFYLTSNGEEAINIASAAALSIDDIVLPQYREPGVLMWRGFTLQEFANQCFGNNMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTTEQFRSDGVVIRGQAYGIRGIRVDGNDALAVYSAVHAAREMAIAEGRPILVEVQNKNNSTKLHYLKQQNFIV >KQK99434 pep chromosome:Setaria_italica_v2.0:VII:32889960:32893850:-1 gene:SETIT_009977mg transcript:KQK99434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMNFLPESHGERIKCYRVLDDDGKTISGSRFQEVSKEVAVKMYSEMVTLHIMDNIFYEAQRQGRISFYLTSNGEEAINIASAAALSIDDIVLPQYREPGVLMWRGFTLQEFANQCFGNNMDYGKGRQMPIHYGSNRLNYFTVSSPIATQLPHAVGAAYSLKMDKKDACAITYFGDGGTSEGDFHAALNFAAVMEAPVIFFCRNNGWAISTPTTEQFRSDGVVIRGQAYGIRGIRVDGNDALAVYSAVHAAREMAIAEGRPILVEALTYRVGHHSTSDDSTKYRPVDEIEHWRTARDPISRYRKWVQGNDWWSDAEESELRSRVRKELLQAIQVAERMQKPPVAELFTDVYDQVPSNLREQEQLLRDTIMKHPADYPTDVPV >KQK99218 pep chromosome:Setaria_italica_v2.0:VII:31605883:31606218:-1 gene:SETIT_011752mg transcript:KQK99218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHVLLLAVPAVAGGFLQAFQFTFLLWPFNLALPLARHLPRACAVLRELASFYDAELRPYASGARRARRALLRDELQRAAHEDIVANAMIALVDISY >KQK98941 pep chromosome:Setaria_italica_v2.0:VII:30072311:30077454:-1 gene:SETIT_009287mg transcript:KQK98941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERRQRRKPLVLASTQALLDSLPGDRPPPPPQEPVRLRAGVLRFPSGGGGAEFGELASFVALPAPALRRLAVVTGTPVLVKNADNNVGRIVKALLFDHPSLDESGTEQTDHVACASPHGHAGHAMGILPCRSFPATGFASVNEDAAYVSPLLAFNLGLHISCLNLLIQRGGEPFKFCSQVEEPHAASSARSDISLLLDLLPCPQVPKYALHVRVSVVRIPECGVLASLNINSSVGGSDYQDMVDQALNEYFKFDRFLARGDVFCIQNNWNCGASSCLACNKQVDNLHPHNVIYFKVTSMEPSDEPILRVNCNQTALVLGGAASAAIPPYSFFSASGDSVPLHGEIVEHFASIIAPALCPSDILPKIKFSTFIYGPSGCGKRTVVRHVANHLGLHVVECSCHDLMTSSESGAPVALATAFKEARKYSPCIILLRHFDAIGNSSSNEGPQSEQSGIASNIESVIKQYTGQCWVAKDSMPGRYVNGSSYLVEPECVSSLQIILVATADSSEGMQQSIRRCFRHEIDMKTMNEEHRNKLISETLQGIATVGDESIDDKFVKDLAAQTSGFMPRDILALIADAGVSFAHKIAAEKDSKEFSNHEDILPESSSATQNEEKHFCKEHIMSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFISVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTDASYRERILKAQTRKYKLHKNVSLLSVAQRCPPNFTGADIYALCADAWFHAAKRSVKTFETDTSRSNDASAEEVIVEIDDFMTVLGDISPSLSLEELQNYELLRQKIEGPSR >KQK98940 pep chromosome:Setaria_italica_v2.0:VII:30071817:30077454:-1 gene:SETIT_009287mg transcript:KQK98940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERRQRRKPLVLASTQALLDSLPGDRPPPPPQEPVRLRAGVLRFPSGGGGAEFGELASFVALPAPALRRLAVVTGTPVLVKNADNNVGRIVKALLFDHPSLDESGTEQTDHVACASPHGHAGHAMGILPCRSFPATGFASVNEDAAYVSPLLAFNLGLHISCLNLLIQRGGEPFKFCSQVEEPHAASSARSDISLLLDLLPCPQVPKYALHVRVSVVRIPECGVLASLNINSSVGGSDYQDMVDQALNEYFKFDRFLARGDVFCIQNNWNCGASSCLACNKQVDNLHPHNVIYFKVTSMEPSDEPILRVNCNQTALVLGGAASAAIPPYSFFSASGDSVPLHGEIVEHFASIIAPALCPSDILPKIKFSTFIYGPSGCGKRTVVRHVANHLGLHVVECSCHDLMTSSESGAPVALATAFKEARKYSPCIILLRHFDAIGNSSSNEGPQSEQSGIASNIESVIKQYTGQCWVAKDSMPGRYVNGSSYLVEPECVSSLQIILVATADSSEGMQQSIRRCFRHEIDMKTMNEEHRNKLISETLQGIATVGDESIDDKFVKDLAAQTSGFMPRDILALIADAGVSFAHKIAAEKDSKEFSNHEDILPESSSATQNEEKHFCKEHIMSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFISVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTDASYRERILKAQTRKYKLHKNVSLLSVAQRCPPNFTGADIYALCADAWFHAAKRSVKTFETDTSRSNDASAEEVIVEIDDFMTVLGDISPSLSLEELQNYELLRQKIEGPSR >KQK98939 pep chromosome:Setaria_italica_v2.0:VII:30071817:30077454:-1 gene:SETIT_009287mg transcript:KQK98939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERRQRRKPLVLASTQALLDSLPGDRPPPPPQEPVRLRAGVLRFPSGGGGAEFGELASFVALPAPALRRLAVVTGTPVLVKNADNNVGRIVKALLFDHPSLDESGTEQTDHVACASPHGHAGHAMGILPCRSFPATGFASVNEDAAYVSPLLAFNLGLHISCLNLLIQRGGEPFKFCSQVEEPHAASSARSDISLLLDLLPCPQVPKYALHVRVSVVRIPECGVLASLNINSSVGGSDYQDMVDQALNEYFKFDRFLARGDVFCIQNNWNCGASSCLACNKQVDNLHPHNVIYFKVTSMEPSDEPILRVNCNQTALVLGGAASAAIPPYSFFSASGDSVPLHGEIVEHFASIIAPALCPSDILPKIKFSTFIYGPSGCGKRTVVRHVANHLGLHVVECSCHDLMTSSESGAPVALATAFKEARKYSPCIILLRHFDAIGNSSSNEGPQSEQSGIASNIESVIKQYTGQCWVAKDSMPGRYVNGSSLSFLQYLVEPECVSSLQIILVATADSSEGMQQSIRRCFRHEIDMKTMNEEHRNKLISETLQGIATVGDESIDDKFVKDLAAQTSGFMPRDILALIADAGVSFAHKIAAEKDSKEFSNHEDILPESSSATQNEEKHFCKEHIMSSLERAKKRNRAALGTPKVPNVKWEDVGGLEEVKKVILDTIQLPLMYKHLFSSKLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFISVKGPELINMYVGESEKNVRDIFEKARSARPCVIFFDELDSLAPARGSSADSGGVMDRVVSQLLVEIDGLSDNSQDLFIIGATNRPDLLDSALLRPGRFDKLLYVGVNTDASYRERILKAQTRKYKLHKNVSLLSVAQRCPPNFTGADIYALCADAWFHAAKRSVKTFETDTSRSNDASAEEVIVEIDDFMTVLGDISPSLSLEELQNYELLRQKIEGPSR >KQK96989 pep chromosome:Setaria_italica_v2.0:VII:18021544:18024494:1 gene:SETIT_009725mg transcript:KQK96989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVTAMLSNTTTRYRKHGDRSEGASSEEGTHQRHHSQSRVGLSGPGRHNELGKGAVGCSLSSSAARALAAGVSEAVDASEGVISMALMAAAAARMDDHDEYAKLVRGMNPPRVVIDNDASDDATVIRVDSVNSHGTLLAVVQVIADLNLVIRKAYFSSDGSWFMDVFNVTDRDGNKVVDASTISYIQKTLESDDWYYPEARNSVGIVPSEEYTSIELTGTDRPGLLSEVCAVLAAMGCAVQSAELWTHNTRVAAVVHVTDAEAGGAIEDACRIAGISARLGNLLRGQSDVRAGGGGAAGGLAQHKERRLHQMMFDDDRGGHAAAPVADADADADADADATTAAGGPARTEVSVTACAERGYSAVVVRCRDRPKLLFDTVCTITDMEYVVHHGTVSAEPGGGAYQEYYIRRVDGHAVRCEAERRRLVRCLEAAIERRTAEGLELEVRTGDRAGLLSDITRIFRENGLTIRRAEISSAGGEAVDTFYLSDTQGHPVEAKTIEAIRAQIGEATLRVKNNPFAAGDDAARKDADVAGAGTTAFIFGNLFKFYRPFQGFSLVKLYS >KQK98514 pep chromosome:Setaria_italica_v2.0:VII:27630524:27633553:-1 gene:SETIT_010169mg transcript:KQK98514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILQIQYAALCGVALMIGWLLHWVYKWINPPCNGILPPGSMGFPFFGETIQFFKTSPSIHMPDFYKLRMKRYGSVFKTNLVGQPLVISADPEVNRFIFQQEGQLFRSWYPETANTSIGKKSIDEFSGAVQKFVRTFASRLFGLEYLKQELLPELENSMRDSFAEWATKPSIEAHEGATNGRKNMQNIMSDLLRQRLSTPTKKHGDLLDLIVEELQSEKPAIDEKFAIDALVALLFTSFVTMAPTLTLAFKFLSDNPKALEALKEEHEAIVRNRENPDSTFTWEEYKSLTFTALVVNELTRMSNVTPGIFRRTLTDVQVNGYTIPAGWMVMMIPMAIHLNPKYFDNPLNFNPWRWLEESKRSTLHKNFVPFGLGIRACPATEFSKLFIALFLHILVTKYRWKEIKGGEVSRKAVIMFPQGYQIQLLPKA >KQK96526 pep chromosome:Setaria_italica_v2.0:VII:10946634:10947280:-1 gene:SETIT_011453mg transcript:KQK96526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTTVALLILMNMMFTIPYFGHASSQGIKDEETTLLSKSYASSDGTSFAGDMTLGRKLMAETSINSAAVSTDSSRTVSVTWYNDFIRNARRP >KQK98890 pep chromosome:Setaria_italica_v2.0:VII:29778353:29781259:-1 gene:SETIT_011895mg transcript:KQK98890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGKKRVLISLSDKTDLAYLGNGLQGLGYSIVSSGGTASNLEAAGVNETKFEDITNFPEMVQRPAKCSDGRVKTLHPSMHGGILARRNQEHHLKALKDHGIGTFGVVVVNLYPFYDRVTSGTISFDDGIENIDIGGPTMIRAAACSQVDHNDYPALLEYLKRNKEDKQFRRMLAWKAFQHVASYDAAVSEWLWKQSQKGEMFPPSFTVPLELKSTLRYGENPHQNSAFYVDKGLYLAGAGGIATAIQHHGKEMSCNNYLDADAAWNCVSEFDSPTCVVVKHTNPCGVASRQDILEAYRLAVRADPVSAYGGIIAFNTTVDEELAKEIREFRSPTDGEMRMFYEIVIAPGYTEKGLEVLQGKSKMLRILEAKRSSKGMLWLRQVSGGGLAQESDDLTPEDIIFETKFAWLCAKHVKSNAIVIAKNNCMLGMGSGQPNRRESLRIAFRKAGEEAKGAALASDAFFPFAWNDAVEEACEIGIGIIAQPGGSKKDKDAVACCNKYGVSLVFATREGTDASVRHFKH >KQK99841 pep chromosome:Setaria_italica_v2.0:VII:35016547:35019289:1 gene:SETIT_010183mg transcript:KQK99841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAENINAPGTVSVAAPHASILCLYSSETSLARSSDATLLTLLCCLPACVPASSSSPSLAMRAEVLVLVAAAAFLSLDSLSDVRRLEIGDGDVELVPLDGAAGPESIVFDESGGGPYTSVSDGRVLRCLAEERRWVEHSCSAPELLDSCRGSQDPGREHECGRPLGLRFNSETGELYVADAYHGLRVVGPEDHVSRPLVPEWQGSRPFSFANGIEIDYETGAIYFTETSTRFQRREFLNIVISGDNTGRLLKYDPKSNQVEVLVDGLSFANGLAMSTDGTYLLLAETTTGKIMRYWIKTPKASTLEQVVQLPWFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRRLILKLPMRHVQRASWLLNRLGRQVIALRLSEDGKTIEVVSVHGAVQKAFKSVSEVEERNGSLWIGSVTSPFLGVYKL >KQK99921 pep chromosome:Setaria_italica_v2.0:VII:35401994:35403121:1 gene:SETIT_012629mg transcript:KQK99921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSLLSLSFRRHLFLPRGPSPYAADALLRRLATTDVGGDQVVAEAAALFANASVSSFPSLGNHHRLLYLRLPYHSNTTSAPRQRVVSRLRVPFDTLPSDESLLAAFRASLRSFHLAHRRRRGGDVAGVMGELAGQLGRPRRFPTCAVVGNSGILLGSGRGAQIDAHDLVIRLNNARVAGYAADVGTKTSISFVNSNILHYCAVRSAITTPGCSCHPYGRTVPMAMYVCQPAHLLDALICNATATPASPFPLLVTDARLDALCARIAKYYSMRRLVSTTGEPPGNWTRRHDERYFHYSSGLQAVVMALGACDEVSLFGFGKAVGAKHHYHTNQKKELDLHDYEAEYQFYRDLQARPEAVPFLDEAPGFKMPPVKLYW >KQK96597 pep chromosome:Setaria_italica_v2.0:VII:12703498:12704158:-1 gene:SETIT_011886mg transcript:KQK96597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRPAGELHACKLIAWALSPTPAWMDERGADNYGTYVMVRGLYVMVGLEVQAGDGRWLAVHPEPGTFVFMAGDQFTVVTNGRVPACVHRVRTPPGGRERFSVLLFRRRKGEGEAAVLNAMEELVDKVHPLMYRPCNHEEYRAFRYSEEGRRLCERDPLKAFCGVEKDESME >KQK97557 pep chromosome:Setaria_italica_v2.0:VII:21872009:21873790:-1 gene:SETIT_009724mg transcript:KQK97557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKALLGGLSAILVVAVVVGVVATVTRSGKKAGDSFTVPGEASLATSGKSVQSLCAPTLYKESCEKTLSGATNGTENPKDVFHSVAKVALESVKSAMEQSKAIGEAKSSDSMTESAREDCKKLLEDAVDDLKGMLEMAGGDIKVLFSRSDDLETWLTGVMTFMDTCIDGFVDEKLKADMHSVLRNATELSSNALAITNSLGGLLKKLDLDMFKKDSRRRLLSEQDEQGYPVWMRSPERKLMAAGNQPKPNAVVAQDGSGQFKSIQQAVDAMPKGNTGRYVIYVKAGLYNEMVMIPKDKVNIFMYGDGPKKTRVTGRKSFADGITTMKTATFSIEASGFICKNMGFHNTAGAERHQAVALRVQGDLAAFYNCRFDAFQDTLYVHARRQFFRNCVISGTIDFIFGNSAAVFQNCLIITRRPMDNQQNSVTAHGRTDPNMKSGLVIQNCRLVPDQKLFPDRFKIPSYLGRPWKEFSRLVIMESTIADFIKPEGYMPWNGDFGLKTLYYAEYNNRGPGAGTSQRVKWPGYRGVITRQEAEQFTAGPFIDGATWLKFTGTPNILGFKF >KQK97366 pep chromosome:Setaria_italica_v2.0:VII:20809797:20810652:-1 gene:SETIT_012069mg transcript:KQK97366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SCRPACFLGGGGASGATPNLDIDYREFATASGGGGAATSGHSGPSPNEVTWRKLLEFMSNLFGSHGAPILDRFQLHVGPYLSGDAVNSWIRRGTRYRPAALEITMADSCGYWFTPSLVPATACRLTRMHLRRVRLAGGFAEHLRSGCPVLEDLVLTRCDCIFQEIFSSTLKSLVIECCQCSPSSVVSRTVTAPALASFRLIFPKHERQDAFSVNGGAAGGGSRLQASITVPNMFALLGSLCNVTAHELWRFSHRDVRINSETRTSGWLSGRS >KQK97462 pep chromosome:Setaria_italica_v2.0:VII:21350138:21350686:1 gene:SETIT_012924mg transcript:KQK97462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFFPEMTVSFPSFSSTVPTILGLLYIEWKIERPSIAWPSTAQHAHARS >KQK96347 pep chromosome:Setaria_italica_v2.0:VII:3925280:3925861:-1 gene:SETIT_012822mg transcript:KQK96347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTATQLIFVIHTVVRGGNNAYGMAMSDGNAFLNINNCRIVCQKLRNLGFFFLLKFTPFIYICLLGKTLYLIYITSDYCLTIW >KQK98587 pep chromosome:Setaria_italica_v2.0:VII:28111482:28112289:1 gene:SETIT_011245mg transcript:KQK98587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSQLLSIVERREVLPESYIRPESDRPRLAEVMTDSNVPLVDLSSPDKQRVIAEIGLACRTYGFFQVINHGIEKELLDKMMAVGLQFFRLPPEEKEKLYSDEPSKKIRLSTSFNVRKETVHNWRDYLRLHCHPLEEFLPEWPSNPESFK >KQK96849 pep chromosome:Setaria_italica_v2.0:VII:16526730:16529860:-1 gene:SETIT_012054mg transcript:KQK96849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPPPSNNCQRKCGEVDIPYPFGIGPDDSPDHCSLPGFNLSCKEVGHGSFRPFYIDVEVLNISLQQGLARMRMDMSTYCYNTSTKEMDYLNWRLNLTGTPYRFSETANLFTVVGCRTLAYIGDEDNVGRYMSGCVSMCRRGDVRTLTDGSCSGIGCCQTAIPKGLQYYEVWFGEGFNTSRIYNTSRCSYAALVEASEFTFSKSYATSSAFYDAYSGQPPLIVDWAIGNGTCDEARNKPESYACVSSSSECFNSDNGQGYICNCTKGFQGNPYLVDGCKDVDECNNNLEKYPCSVKGTCKNTQGGFQCICPPRYPKGNAYTGTCEKDHSIPLKVTIPIGTARIFACVLLGLLLYLGKEWIKHKRQIIRQEYMRKTDECFQQNGGKLLMDMMKVESNKTFKLYNREEIELATNNFDKSSIIGEGGQGTVYIGKNLDLENNSIAIKICKGFDENRRMEFGKELLILSRVKHENIVQLLGCSLQFEAPVLVYEYVPNQTLQHLIHTQVDPSKRTLEVRLKIASEIAAALAYLHSLSHPVFHGDVKSVNILLGHDLSAKVSDFGCSMIRSADENVQVVKGTMGYLDPEYLLNFELTDKSDVYSFGVVLLELLTRRRALSKTKVSLVSVFMEGVKEGKLTELIDREIDNQENMELILQVAAVASRCLAMTGQQRPMMREVAEELQRLARPVSPRTQGFHGVSALMMQGRSSDNSSGDYTSEESTDYYILQKKASMSTEFAR >KQK98543 pep chromosome:Setaria_italica_v2.0:VII:27835263:27836914:1 gene:SETIT_009889mg transcript:KQK98543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPLSQPALLALSLLFLLALHLARRRRGRGRNRNYPPVAGTVLHQLLNFGRLVEYQTELARRYRTFRMLTPTCNYVYTVEPANVEYMLKTNFANYGKGATMHDVLEDLLGDGIFNVDGARWRHQRKVASHEFSTRVLRDYSSGVFRDTAAELAGIVAAAARGDGGIRVDIADLLMRSTLDSIFKIGFGVGLGSLSGCSKEGAAFARAFDDASEQVLYRFFDVFWKAKRLLNVSSEAAMKHSVRTINDFVYAVIDRKIEQMGRNHQEFAKKEDILSRFLLERERDPGCFDNKYLRDIILNFVIAGRDTTAGTLAWFLYMLCRNLHIQEKIALEVRAATTGDRDVGVQEFVAFLTEDAISKMQYLHAALTETLRLYPAVPIDVKYCFSDDTLPDGYAVRKGDMVNYQPYPMGRMKFLWGVDAEEFRPERWLDDDGVFVPESPFKFTAFQA >KQK98542 pep chromosome:Setaria_italica_v2.0:VII:27835143:27837426:1 gene:SETIT_009889mg transcript:KQK98542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPLSQPALLALSLLFLLALHLARRRRGRGRNRNYPPVAGTVLHQLLNFGRLVEYQTELARRYRTFRMLTPTCNYVYTVEPANVEYMLKTNFANYGKGATMHDVLEDLLGDGIFNVDGARWRHQRKVASHEFSTRVLRDYSSGVFRDTAAELAGIVAAAARGDGGIRVDIADLLMRSTLDSIFKIGFGVGLGSLSGCSKEGAAFARAFDDASEQVLYRFFDVFWKAKRLLNVSSEAAMKHSVRTINDFVYAVIDRKIEQMGRNHQEFAKKEDILSRFLLERERDPGCFDNKYLRDIILNFVIAGRDTTAGTLAWFLYMLCRNLHIQEKIALEVRAATTGDRDVGVQEFVAFLTEDAISKMQYLHAALTETLRLYPAVPIDVKYCFSDDTLPDGYAVRKGDMVNYQPYPMGRMKFLWGVDAEEFRPERWLDDDGVFVPESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLYLFRFEMWDASAIMGYRPMLTLKMDGPLYVRASLRR >KQK96947 pep chromosome:Setaria_italica_v2.0:VII:17681591:17682416:1 gene:SETIT_011072mg transcript:KQK96947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGARGRAGTAPSRGHGHASHSRCIVLITNKSNQRRCTYDRHDRCRPTDCLFSMHIAWEFDPLGAPFPISSRWKHRTRARSIRLLCSWGCLNPPLAIISRLGCHTGRYWSACDLAVASGHPQPCSGKRKQSLPDHIRGLRTQIGSPSPCVATCMSSCRLDRSVLRLDGRWGLFESDGVLFEVDGYTFLLLTLVRTKSCKYEL >KQK96960 pep chromosome:Setaria_italica_v2.0:VII:17752068:17753515:1 gene:SETIT_010415mg transcript:KQK96960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITKDSKELLQAQLELWHHAFGYVKSMALAVALDLGIADAIHRHGGAATLSQILAEAKLSPCKLHGLRRLMRALTVAGTFTIATSSGHGGDDEDIYELTPASRLLISDDVAGDDGEPSLSPVLSLVLNPFRVSPLGMGIGAWFRQGDQPGVAPFAVAHGKNMWEMAARKPAFNALVNDAMAADSRFLMRIVLRECAEVFHGVSSLVDVAGGLGGAATSIAKAFPELRCSVLDLPHVVANAPSGGNVQFVAGDMFQSIPQADAVFLKWILHDWGDDECIKILKNCKQAIPSRDKGGKVIIIDMVVGSESSDTRHLETQVLYDLLIMGINGVERDEQEWKKIFLEAGFNDYKIMSILGVRSIIELYP >KQK97623 pep chromosome:Setaria_italica_v2.0:VII:22228713:22234220:1 gene:SETIT_009219mg transcript:KQK97623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAAPAPGATATVRVSNIPPSAIAAELLAFFDSAVATDAAFACEIAAAHRGWLSRGHGSVQFDSAAAATRAVDLASSGRLPPFLGSRLSVSPAHVDLLPRAPDFALRALGSSLVVGNRVAERELEVAYAWDGVRAEIIPAKRRVDLYLKHDSRSYKLEVLFEDIRECFGCSFDGTGAILLQLTYAPRIHTAISGSTIKSRFTDEHFHACKEDAKFAWVRALDFTPNSSFGECSTLVLKLSKGAPVSDILESLPFSGELGELAISSMDMFGSSSKVVPLVDCPSGFSVPYEILFRLNSLVHMGKLVARHVNADLFKVLEDLPIGTLRRIFEKVSKLKSTCYEPLEFIRHEAHRMKISKKTLLSKKGEGEGKLLRCYRIHITPSKIYCLGPEEEVSNYVVKYHSQYASDFARVTFVDEDWSKLSPNALSARIEQGFFSTPLKTDLYHRILSILKEGFCIGPKKYEFLAFSASQLRGNSVWMFASNDSLSAESIRRWMGHFNDIRSVSKCAARMGQLFSSSRQTFEVSSYDVEVIPDIEVTTDGNKYIFSDGIGKISSRFARQIAKTIGLDPNNPPSAFQIRYGGYKGVIAVDPTSFYNLSLRPSMKKFESKSTMLNITNWSKSQPCYVNREIISLLSTLGIKDEIFLSMQQDDMHESDEMLTNKEVALSVLGKIGGADTKIAAEMLLQGYEPSSEPYLLMILKAHRANRLTDIRTRCKIHVQKGRVLIGCLDETSKLDYGQVYIRITKNRKEQKYSEQPFFCNDDGKTAVIVGKVAISKNPCLHPGDVRVLEAIYDPGLDARGLVDCVVFPQRGDRPHPNECSGGDLDGDLFFITWDDKLIPEKVDAPMDYTATRPRIMDHVVTLEEIQKHFVSYMINDALGVISTAHLIHADRNPLKARSPECLQLAALHSMAVDFAKTGAPAEMPRALRPREFPDFMERWEKPMYVSNGVLGKLYRSALRHAENAEALLPEGPACSEYDPDLECPGFHDFLDAAEEHYEAYAEKLGTLMTYYSAEREDEILTGNIRNKLVYLRRDNKRYFEMKDRIIAAVDALHEEVRGWLRARREEDASKLASAWYHVTYHPCRRGEKRFWSFPWLACDTLLAVKAARRCRKRVEDAAAVPMDCDA >KQK98186 pep chromosome:Setaria_italica_v2.0:VII:25535730:25538303:1 gene:SETIT_013046mg transcript:KQK98186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGQSQSAPPAEEPSPPAVEPSSPSPAPAPASSSLEALAAEAMSFDEGDTAESIDEKVQKALECPCVADLKNGPCGGPFVAAFSCFLRSTEEEKGSDCVSPFIALQDCIKANPEAFSKEILEEEENDEEAEKSNLKVRAPAWSRESKPKA >KQK98849 pep chromosome:Setaria_italica_v2.0:VII:29590777:29591356:-1 gene:SETIT_012864mg transcript:KQK98849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTRSIVQYRFRKASVKEIHVSTLMQSNSARQTGRARTVTRNRFITRQIIDSVAMLNT >KQK98771 pep chromosome:Setaria_italica_v2.0:VII:29093420:29097027:1 gene:SETIT_010270mg transcript:KQK98771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRARLARAAAAAVLGFVVAAVLAEAGPADVEMVFLKNAVAKGAVCLDGSPPVYHFSPGSGSGANNWVVHMEGGGWCRNPDECAVRKGNFRGSSKFMRPLSFSGILGGSQKSNPDFYNWNRVKIRYCDGSSFTGDVEAVETAKNLYYRGFRVWRAIIDDLLTVRGMNKAQNALLSGCSAGGLAAILHCDRFHDLFPATTKVKCFSDAGYFVDGKDISGNYYARSIYKNVVNLHGSTKNLPASCTSKQSPELCMFPQYVVPTLRTPLFILNAAYDSWQIKNVLAPSPADPKKTWAQCKLDIKNCSPSQLVTLQNFRTDFLAALPKPAGQSPSLGMFIDSCNAHCQSGAQDTWLADGSPLVNKTQIGKAVGDWYYDREVSRRIDCPYPCNPTCKNREDD >KQK98922 pep chromosome:Setaria_italica_v2.0:VII:29954780:29960154:-1 gene:SETIT_009322mg transcript:KQK98922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNYLTGPIPSFLGELTALQYLNLAINALSGSVPKELGKLVNLVSLGISMNNLNGSLPPELGNMVKLEQLYIDSSGLSGPLPPSFSKLTSMKILWASDNDFTGQIPDYIGSLSNLLDLRFQGNSFQGPLPTTLSNLVQLTSLRIGDIVNGSSSLAFISNMTSLNILVLRNCRISDNLASVNFSQFATLTLLDLSFNNITGLVPQALLNLNSLSFFLPSSIGTSLKNLDFSYNQLSGNFPSWVSPNLTLNLVANNFVIDDSNNSSYFGFKLTMFDIFSALPSGLGCLQRDTPCFLGSPQSSSFAVDCGSQRSMSVSDNSMYQPDNADLGPASYYVTGAPTWGVSNVGRFMEAPNNSYIINSSRQFLKTLDSELFQTARMSPSSLRYYGIGLENGNYTVTLQFAEFDFEDMQTWKSLGKRVFDIYIQGERKEQNFDIRKAAAGKSYTAVTRKYTVPVTRNFLEIHLFWAGKGTCCIPSQGYYGPSLSALSATPNFEPTVRNAAHAAQTKRTSNTGVAVGVGVGAAVLGLLVLSGLYVLRQKRRKLSLDKRELYSCVGRPNVFSYSYGELRTASENFNSTNLLGEGGYGAVYKGKLTDGSMVAVKQLSETSRQGKKQFIAEIETISQVQHRNLVKLYGCCLEGENPLLVYEYLENGSLDKALFGTGSGRKNLDWLTRFGICLGITRGLAYLHEESSIRVVHRDIKASNILLDTNFNPKISDFGLAKLYDDKKTHVSTKVAGTL >KQK98920 pep chromosome:Setaria_italica_v2.0:VII:29953522:29960949:-1 gene:SETIT_009322mg transcript:KQK98920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNYLTGPIPSFLGELTALQYLNLAINALSGSVPKELGKLVNLVSLGISMNNLNGSLPPELGNMVKLEQLYIDSSGLSGPLPPSFSKLTSMKILWASDNDFTGQIPDYIGSLSNLLDLRFQGNSFQGPLPTTLSNLVQLTSLRIGDIVNGSSSLAFISNMTSLNILVLRNCRISDNLASVNFSQFATLTLLDLSFNNITGLVPQALLNLNSLSFFLPSSIGTSLKNLDFSYNQLSGNFPSWVSPNLTLNLVANNFVIDDSNNSSYFGFKLTMFDIFSALPSGLGCLQRDTPCFLGSPQSSSFAVDCGSQRSMSVSDNSMYQPDNADLGPASYYVTGAPTWGVSNVGRFMEAPNNSYIINSSRQFLKTLDSELFQTARMSPSSLRYYGIGLENGNYTVTLQFAEFDFEDMQTWKSLGKRVFDIYIQGERKEQNFDIRKAAAGKSYTAVTRKYTVPVTRNFLEIHLFWAGKGTCCIPSQGYYGPSLSALSATPNFEPTVRNAAHAAQTKRTSNTGVAVGVGVGAAVLGLLVLSGLYVLRQKRRKLSLDKRELYSCVGRPNVFSYSYGELRTASENFNSTNLLGEGGYGAVYKGKLTDGSMVAVKQLSETSRQGKKQFIAEIETISQVQHRNLVKLYGCCLEGENPLLVYEYLENGSLDKALFGTGSGRKNLDWLTRFGICLGITRGLAYLHEESSIRVVHRDIKASNILLDTNFNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHVTEKVDVFAFGVVILETIAGRPNYDDRLDEDSAYLLEWVWQLYEEGRPLDVVDPSLMEFVSDEVLRAIRVGLLCIQSSPRQRPSMSKVVSMLTEDIEVPEAVTKPSYVTEWQASSSKREVVGMSASTSSYLSSLIEEGR >KQK98921 pep chromosome:Setaria_italica_v2.0:VII:29954710:29960949:-1 gene:SETIT_009322mg transcript:KQK98921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNYLTGPIPSFLGELTALQYLNLAINALSGSVPKELGKLVNLVSLGISMNNLNGSLPPELGNMVKLEQLYIDSSGLSGPLPPSFSKLTSMKILWASDNDFTGQIPDYIGSLSNLLDLRFQGNSFQGPLPTTLSNLVQLTSLRIGDIVNGSSSLAFISNMTSLNILVLRNCRISDNLASVNFSQFATLTLLDLSFNNITGLVPQALLNLNSLSFFLPSSIGTSLKNLDFSYNQLSGNFPSWVSPNLTLNLVANNFVIDDSNNSSYFGFKLTMFDIFSALPSGLGCLQRDTPCFLGSPQSSSFAVDCGSQRSMSVSDNSMYQPDNADLGPASYYVTGAPTWGVSNVGRFMEAPNNSYIINSSRQFLKTLDSELFQTARMSPSSLRYYGIGLENGNYTVTLQFAEFDFEDMQTWKSLGKRVFDIYIQGERKEQNFDIRKAAAGKSYTAVTRKYTVPVTRNFLEIHLFWAGKGTCCIPSQGYYGPSLSALSATPNFEPTVRNAAHAAQTKRTSNTGVAVGVGVGAAVLGLLVLSGLYVLRQKRRKLSLDKRELYSCVGRPNVFSYSYGELRTASENFNSTNLLGEGGYGAVYKGKLTDGSMVAVKQLSETSRQGKKQFIAEIETISQVQHRNLVKLYGCCLEGENPLLVYEYLENGSLDKALFGSGRKNLDWLTRFGICLGITRGLAYLHEESSIRVVHRDIKASNILLDTNFNPKISDFGLAKLYDDKKTHVSTKVAGTL >KQK98472 pep chromosome:Setaria_italica_v2.0:VII:27244957:27245313:1 gene:SETIT_012902mg transcript:KQK98472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRGVLPLPYHLGSHLPNWQIPVVDSLAF >KQK99719 pep chromosome:Setaria_italica_v2.0:VII:34386224:34391106:-1 gene:SETIT_009553mg transcript:KQK99719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGHQLQQQHSSPLSLPPPNKRRCSGLAAAVPALVVCSILLPLVFLLGLHRPGPGYGSEERAAVVISTELASFGARSNKQHLENGGAMKHKLLKDVSKKKASGSNGISAEMSPRSKSKNHAIKSKAKLKGAFSLVELNNDIFKSNGPDMLKRYQRKDLSWRSKESKTVLRHDTVVNGKENHGQETVHEGNPKSCEHEYGSYCLWSTEHREVMKDAIVKKLKDQLFIARAHYPSIAKIKQQERFTRELKQSIQEHERMLSDTITDADLPPIFAKKLEKMENTIERAKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTLEYFESRSIRTDQLNKQMLESPTFQHYVIFSRNVLAVSTTINSTVLNSQDSGSIVFHLFTDAQNFYAMKHWFDRNSYLEATVHVTNIEDHLKFPKHEDSLEMQQLWPSEEFRVTIRNYSESSQRQMKTEYISVFGHSHFLLPDLLRSLNRVVVLDDDLIVQKDLSSLWNLDMDGKVVGAVQFCGVTLGQLRAYIAEHSFNSDACVWLSGLNVIELEKWRDLRVTSLYHQSLQKLQKENLTSKQLKALPVSILAFQDLIYPLEDSWVQSGLGHDYGISRDDIEKAPTLHYNGVMKPWLDLGIHDYKSYWRKYMTTGEKFMMECNIH >KQK96259 pep chromosome:Setaria_italica_v2.0:VII:2619229:2620334:-1 gene:SETIT_0121472mg transcript:KQK96259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVPEKPPPPDRRKVDEERRALLREQEKDAEFCLDWFFSLPDGAVTANNDNVEETHQAHQIQQLPSVEEPDDDPCRLMEWPPVPWTLQVFSSRTGRNEPAGIVQDMRLDPPSKSLFHGHANGTVYLQGALYVHCRGSFVARISFTDDKYRVIKAPANIEYAKPYVGRLENRVCFGITHECQLRVWTLNESYGKMEWVMKCQDDLMHCAKHVGENSREMHGAWIVKEEDTVPKESSEWDSDNDDIFEVIVDAEYMNQFDILGFHPYKEAVFLVGHFGVVAYHLDTSKGSVSRQRTTTILLYI >KQK96188 pep chromosome:Setaria_italica_v2.0:VII:1345393:1346864:1 gene:SETIT_010654mg transcript:KQK96188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDFDAALRQRYRVLDFFVSGEPLPAFLAGAAALPDPPRAAVVMGGAAARVDAALLDAVPSLGFVFSTGAGVDHIDLAECARRGVAVANSGTVYSTDVADHAVGMIIDVLRRVSAAERFVRSGLWPAQGDYPLGTKLGGKRVGIIGLGNIGSLIAKRLEAFGCIIHYNSRRPRDSVPYKYFFNVHDLALESDVLVVACALNKDTRHIVNKDVLEALGKDGIIINIGRGANVDEAELIRALKEGRIAGAGLDVFENEPKVPAELFSMDNVVMTSHVAVFTSESRSDLWDTTIGSLEAFFSGKPLLRQVLPW >KQK97792 pep chromosome:Setaria_italica_v2.0:VII:23292768:23296202:-1 gene:SETIT_010068mg transcript:KQK97792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNCSSRSPLLATDDCAGMMLGCGCRSEEASPLSSCGVNSLWWDDLELELEEEVDESDPVDLLPTDPFGMNLETSFTAAIASCIEDLTVMSGAGHFGNGGDDDLFADLSYHYFNQAFVFAPEPCIGGYMGVFEGSFGFGGLSGGGGMDQFSRLPFEPAGSMEDPSSSCEATLACCDTVDAAPVQEGNDAHEGMVFVLGYLGLRDILSLEMVCKSLRSAIRNEPFLWKCIHIDSQLGEKISDADLLCLTQKSLGSLQCLSLEGCTEISDQGLKAILESNLQLTKLGIFGAFRMTYQGLIDNLRSFNMVADIGIKKLRVANRFTLSEAQYEELLSLLRIDNGQALHKQEPRIFHPDSLLPDPQGGYVPDGFMPDLHDEYALDIEKCPLCPNYKLVFDCPSEECKISGSVTCRGCAVCIKRCIKCGRCIDDEFHETFLLESICPICQPHGDSSPSEK >KQK97793 pep chromosome:Setaria_italica_v2.0:VII:23292944:23296202:-1 gene:SETIT_010068mg transcript:KQK97793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNCSSRSPLLATDDCAGMMLGCGCRSEEASPLSSCGVNSLWWDDLELELEEEVDESDPVDLLPTDPFGMNLETSFTAAIASCIEDLTVMSGAGHFGNGGDDDLFADLSYHYFNQAFVFAPEPCIGGYMGVFEGSFGFGGLSGGGGMDQFSRLPFEPAGSMEDPSSSCEATLACCDTVDAAPVQEGNDAHEGMVFVLGYLGLRDILSLEMVCKSLRSAIRNEPFLWKCIHIDSQLGEKISDADLLCLTQKSLGSLQCLSLEGCTEISDQGLKAILESNLQLTKLGIFGAFRMTYQGLIDNLRSFNMVADIGIKKLRVANRFTLSEAQYEELLSLLRIDNGQALHKQEPRIFHPDSLLPDPQGGYVPDGFMPDLHDEYALDIEKCPLCPNYKLVFDCPSEECKISGSVTCRGCAVCIKRCIKCGRCIDDEFHETFLLESICPICQPHGDSSPSEK >KQK98578 pep chromosome:Setaria_italica_v2.0:VII:27998363:28001263:-1 gene:SETIT_009822mg transcript:KQK98578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGGGKETVTATLLRFLLLLLLPLTALYFFYTLHLLLTSASSSAASNCMPDSTAAAATTASVSVSRMSANLTAAAVEAEKRPTAAAAASTATTLQHVVFGIAASSRFWDKRKDYIRVWWRPRGAMRGYVWLDRAVRESNMSTARTGLPAIRISSDTSAFPYTHRRGHRSAIRISRIVSETFRLGLPGVRWFVMGDDDTVFFPDNLLAVLNKFDHRQPYYIGSLSESHLQNIYFSYGMAYGGGGFAISRPLAEALSRMQDGCLRRYPALYGSDDRIQACMAELGVPLTKHPGFHQYDVYGDLLGLLASHPVAPIVTLHHLDVVKPLFPDARSRPAAVRRLFDGPVKLDAAGLMQQSICYDGANRWTVSVAWGFAVLVARGVMSPREMEMPARTFLNWYRRADYTAYAFNTRPLARSPCQKPAVYYLSSSARRAAERGGGETTVTRYERWRHPNETRPACRWDIADPDAHLDHIVVLKRPDPGLWDRSPRRNCCRVVSSPKEGKNGEKTMTIDVGVCREGEFSQVAGV >KQK96623 pep chromosome:Setaria_italica_v2.0:VII:13150741:13151377:1 gene:SETIT_011130mg transcript:KQK96623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARTRMSSLWSILLLLALSAALCTRSAAATNDDDGSYGTDLDAGRRARSAATVAEILSVHNEARREVGVPPLVWSPQIAGYAKGFAQSRRGDCAPRRSPLFYFGENVVVGKGRHWNATALAAPWVAEGQWYDYGSNSCAAPPGAGCLRYTQVVWRNTTQVGCARIVCGSNDTLLVCDYFPPGNYGTGRPY >KQK98721 pep chromosome:Setaria_italica_v2.0:VII:28820530:28824324:-1 gene:SETIT_010835mg transcript:KQK98721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHDPNPFDEGAADDNPYSNGGGGGGKQQYGFRPTEPIGFGGAGRGDAVVDVPLDTMGDSKGKARELSSWESDLKRREADIKRREESLKNAGVPMEEKNWPPFFPLIHHDIANEIPANVQRLQYLAFASWLGIVLCLSWNFIAVIVCWIKEGDSKLFFLATIYALLGIPLSYLIWYRPLYRAMRTNSAFSFGWFFLCYLIHIGFCIIAAIAPPIVFHGKSLTGILAAIDTFSEHIVIGIFYFVGFGLFCLETLLSIAVLQRVYMYFRGNK >KQK99527 pep chromosome:Setaria_italica_v2.0:VII:33324356:33325910:1 gene:SETIT_010753mg transcript:KQK99527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDNSIQQALIEDPPNVLKRKPSGGAKWFRRSSGCHHLLPFHGSDIWEDRVLDALYFVIVTMVGYGDLVPNSATTKGMAMIALLISKAADYLVEKQKVLFFKALHMNMKGGDAKMMRAMETNRIKYKFYCVALLVAMVMVVGTVFLWKVEKLSLVDSFYCVCATITTLGYGDKSFSSKLGRVFAVFWIIASTIILAQFFLYLAELYTERRQKMLAKWVLKRRITMDLEAADLDGDGQVGAAEFVLYKLKELGKINQEDVSCFLEEFDMLDVDQSGTLSAYDLTLAQSSQ >KQK99880 pep chromosome:Setaria_italica_v2.0:VII:35215190:35216674:1 gene:SETIT_012380mg transcript:KQK99880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGKGDPAIAAVMGVLCVASAVLVVAGAKGGELTTQLLNGFTATHAAGAAAAFEPLLYATNGVFAFGFLRVGTASLDLAVVHLPSSFPLWRATPARLGDWSRPATLTFDSSLVLTDEDDDVLWRTLDTIGDVVVLLNSSNLVLRRYSKPVPAWQSFHNPSDTLVLDQNFTASSPPLISSNRRFAFRLGKTYMALHMEFNGGRTTPIYWQHTALEAQPQNATEPPVYGRLDGRGFFGLYLEGGGQKVDVLSFDTFVQNLTGVFRRMTLDDDGNLRAYYWTDGAKGWISDYRAIAERCELPASCGAYGLCVPGTAQCQCLDNTTTSTSPQCHAGETADLCATDGRQQLDFDVVRRKQVSVAYKEELPPETNRTAEECEAACAGNCSCWGAVYNGASGYCYLMDFPVETLVYEADDRKVGYFKVRKVPSPKRARMSPGVAAATAVLSLILAGLAAAGAYSGYRLWERRRRKRAGMEQELVPGPYKDLKTMGSSNSSF >KQK96879 pep chromosome:Setaria_italica_v2.0:VII:16813897:16818196:-1 gene:SETIT_011893mg transcript:KQK96879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HFTQFFFFFPVSSHPSTPRHLSRPLLCPVRFRRRKNRQPPPTHPRRARRRNRRRGGPRCQPVRYPPAAAPAPCALPCFRLRLERGCRHQRKHERRAADSVPAPCCSPSRARGGEAASSSRRIRPRRPAGSRAPGVPEFSPLPAMAEKLAPEKRHAFVHNGQKVFEWDQTLEEVNMYIELPKGVPTKLFRCTIQAGHVEVGIRGNPPYLNHDLTYPVKTDTSFWTIVDGEMHITLQKREKGKTWSSPIQGQGILDPYVADQEQKRLMLQRFQEENPGFDFSQAQFSGTCPDPRTFMGGIRSE >KQK98989 pep chromosome:Setaria_italica_v2.0:VII:30363492:30368051:1 gene:SETIT_010405mg transcript:KQK98989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITNVSDYEELAKQKLSKMVYDFYAAGAEDQRTLKENREAFSRILFRPRVLIDVSCINMSMSVLGYNISMPIMVAPTALHKLAHPEGEVASARATAAAGTIMMLSSWSSYSIEEVNSSGPGLRFFQLSVFKDRNFVQQLVRRAENAGYKAIAITVDAPRLGRREADVRNRFSLPENVVLKCFEGLDLSNLDKKNVSGLAAYVTSQIDSSLSWKDIKWLQTITSLPILVKGVITAEDARIAIECGVAGIIMSNHGGRQLDYLPATISCLEEVVREAKGRVPVFLDGGIRRGTDVFKALALGASGVFIGRPVLFALAVDGEAGVRKALQMLKDELEIAMALSGCTSLKEITRDHVSTEGDRIRRSRL >KQK98988 pep chromosome:Setaria_italica_v2.0:VII:30363492:30368051:1 gene:SETIT_010405mg transcript:KQK98988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALITNVSDYEELAKQKLSKMVYDFYAAGAEDQRTLKENREAFSRILFRPRVLIDVSCINMSMSVLGYNISMPIMVAPTALHKLAHPEGEVASARATAAAGTIMMLSSWSSYSIEEVNSSGPGLRFFQLSVFKDRNFVQQLVRRAENAGYKAIAITVDAPRLGRREADVRNRFSLPENVVLKCFEGLDLSNLDKKNVSGLAAYVTSQIDSSLSWKDIKWLQTITSLPILVKGVITAEDARIAIECGVAGIIMSNHGGRQLDYLPATISCLEEVVREAKGRVPVFLDGGIRRGTDVFKALALGASGVFIGRPVLFALAVDGEAGVRKALQMLKDELEIAMALSGCTSLKEITRDHVSTEGDRIRRSRL >KQK98880 pep chromosome:Setaria_italica_v2.0:VII:29745019:29750250:-1 gene:SETIT_010589mg transcript:KQK98880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLQATLTIPPSFAGASPPSPSPVAGSSGGPALGQAAKDKKMASAEQLVLELCDPELRENALLELSKKREIFQDLAPLLWHSFGTIAALLQEIVSIYPSLSPPTLSPGASNRVCNALALLQCVASHPETRILFLNAHIPLYLYPFLNTTSKTRPFEYLRLTSLGVIGALVKVDDTEVISFLLQTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLRYICATAERFFAVGSVLANMVVSLADQPSTRLLKHIIRCYLRLSDNPRACAALQSCLPDMLKDGTFNNCLRDDPATRRWLQQLLHNVTGGGGMGGAPQPGLDHMMGI >KQK98021 pep chromosome:Setaria_italica_v2.0:VII:24542741:24547029:-1 gene:SETIT_010156mg transcript:KQK98021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVGGGGGGFNAPSTTSGRRRNPGDEEEDEEEEGAEGRVLEAWERAYADDRSWEALQEDQSGLLRSIDTKTVVHAQYRRRLLLRSAAAAAARIQKGLIRYLYIVIDLSRAASEMDYRPSRMAVVAKHAEAFIREFFDQNPLSHVGLVTIKDGISHRLTEIGGSPESQINALMGKLECSGDSSLQNALELVNGYLDQVPSYGHKEVLILYSALNTCDPGDIMETIEKCKKSKIRCSVIGLAAEIFICKHLCEETGGSYTVALDESHFKELLLEHAPPPPAIAEYAAANLIKMGFPQRGVEDLISICSCHKKIKSGAEGYICPRCKVNVCELPTECRTCGLTLVSSPHLARSYHHLFPVAPFDEVSSVPNRIQRGVQNCFGCQQNLFNPDGQTSLHVRCPKCNQHFCLDCDIYIHESLHNCPGCESQRSFSSL >KQK99084 pep chromosome:Setaria_italica_v2.0:VII:30866009:30869034:1 gene:SETIT_009360mg transcript:KQK99084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTKFPNLPLLIFPILLLSHRASSAGIVSKTLNNGANITDGETLVSPGGSFTLGFFSPSGVPTKRYLGIWFTASGAASVYWVANRDTPLNNNSGVLVVTAGGILRLLDGSGQTAWSSNTTGTSASTVAQLLDSGNLVVRENSSGGRVVWQSFDDPSNTMLAGMKFGKNLKTGAEWSLTSWRSANDPATGGYRRVMDTKSLPDIVTWQGSVKKYRSGPWNGRWFSGVPDMDSDFKLFSVQMVDDPGEVTYALDATAGAPSARVVLDEVGKVRVLVWFPTSKVWRPYPWLPRDACDEYASCGAFGLCSVDAAPTPSCSCVQEFSPVNQSQLSSGCRRDVQLECGNGTAATDRFTVVRGVKLPDTENATVDRGVTLEQCRARCLANCSCVAYAPADIRGDGSGNGCVMWKDNIIDVRYIDNGQDLYVRLGKSESATGKRNIVAKILLPVMAFVLVLAAAGMYLVWICKFRAKRRNRDILKKAILGYSNAPNELGDENIELPFVSFGEIAAATNNFSENNMLGQGGFGKVYKGTLGQNIEVAIKRLGQGSGQGVEEFINEVVLIAKLQHRNLVRLLGCCIHGDEKLLIYEYLPNKSLDFFIFDAANKYLLDWPTRFKIIKGISRGLLYLHQDSRLTIIHRDLKPSNILLDADMSPKISDFGMARIFGGNQQEANTNRVVGTYGYMSPEYAMEGAFSVKSDTYGFGVIVLEIISGLKITLTNYKGFPNILSYAWSLWVDGKAMDLVDSSLAKSCFQTEALRCIQIGLLCVQDNPNYRPLMSSVVTMLENETTPLEVPKQPVYFSYAGTQGTAGENASSSINDMTLTTVLEGR >KQK96153 pep chromosome:Setaria_italica_v2.0:VII:1020779:1023715:-1 gene:SETIT_010220mg transcript:KQK96153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVNTTEEEPMLAVVRFTAELAWADAGPEVADPEVTRLCLEAQEHILAGCWLDMASLMLASADLLLTCPSRVPDKDLECILSVICSVVTKAESEDQALQITDLICTKLTQQPDDKPALRLKVLFSLYNLLPSAYGKAFVYKKALELATAGKAADYIIPSFKNINSFVSEWGIGNSEQRELYLAIARILKDHKGMAKEYFNFLNKYLATFKGSDDDSATIGDAKEEAVAAIIEFVKSSNLFQCDLLNMAAVSQLEKDEKYQLVYELLKIFLTKRLDSYIEFQTTNSALLKDYGLVHEECITKMRLMSLLDLSSRCSGKVPYSAITEALQINDDEVEQWIVKAIAFKILDCKVDQLNQTVIVSRHTERIFGMPQWQGLRTKLGVWRGNIASAINIIQANKVTEEGTQAMQGLTIR >KQK96154 pep chromosome:Setaria_italica_v2.0:VII:1020779:1023715:-1 gene:SETIT_010220mg transcript:KQK96154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIVNTTEEEPMLAVVRFTAELAWADAGPEVADPEVTRLCLEAQEHILAGCWLDMASLMLASADLLLTCPSRVPDKDLECILSVICSVVTKAESEDQALQITDLICTKLTQQPDDKPALRLKVLFSLYNLLPSAYGKAFVYKKALELATAGKAADYIIPSFKNINSFVSEWGIGNSEQRELYLAIARILKDHKGMAKEYFNFLNKYLATFKGSDDDSATIGDAKEEAVAAIIEFVKSSNLFQCDLLNMAAVSQLEKDEKYQLVYELLKIFLTKRLDSYIEFQTTNSALLKDYGLVHEECITKMRLMSLLDLSSRCSGKVPYSAITEINDDEVEQWIVKAIAFKILDCKVDQLNQTVIVRTLCYYFG >KQL00012 pep chromosome:Setaria_italica_v2.0:VII:35766241:35770122:1 gene:SETIT_010669mg transcript:KQL00012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIFNTTCNTTMPSTLQNQQEEAAIPPPAAARNVKKAKQQQAGGERMPRPQQEQALNCPRCHSTNTKFCYYNNYSVTQPRYLCKACRRYWTKGGTLRNVPVGGRCRKNKQQNPSAPSALASSSDSKKIMNSSTQQLLMMMPPPPPPTAANLSNVLPTTFMSATGVGGGFELPSSDHHPLPFAPLSLPSNPGTTPPASSFLDLLPFLPTPSSFGAMMLQHGPGMIAGAGGGLQQQWLPSSQHGNDDGGLFAAGGSPAAAAVQEPQQQQEEEVGGGDGGTAADAAGNDDMGGGGASADIINIYWSSSRI >KQK96787 pep chromosome:Setaria_italica_v2.0:VII:15559005:15562133:1 gene:SETIT_010079mg transcript:KQK96787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNIVNTLKRFSSNDATAIPAQGCSDLSIGTSTSRLDKCTYIGNGISATGETATASKRCSINGQKDFCEEVANEGMHMTAGSTLTNRCLGALDLLSLPMVHTRPSGSEEGNKDRETSNGTVQTDARELGSVDHYEKSGLIYSQIAGHMFHSLEDESTETPRSLVLDISKDSLCKVSAEGNSFRNPNLADDVENSPATDAHRGKELILHRVSPTDGLFDRNACEELADGALNSCCECSAEVSLDGQRHEQRTQHKSLNYDAVPIEVITASANLDVSNPKSSLKGRAKQKRTPSLKGRAKRKRTTEASSQMLVPNENTGISIPSDLICLEIEKQPTSPMVKRSSGDKVLQGTPRSRMTKTPVSYVHQSPLTGSKSKAPSISTPESVNVKRSRSGRLIVPRLDPGSQNIIYDPDGRICGITNFEAQFPKGISSEPPSKRRSRRFSADHKRLLTF >KQK98206 pep chromosome:Setaria_italica_v2.0:VII:25660879:25665321:-1 gene:SETIT_009781mg transcript:KQK98206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVKMRPATAATKQEYRRMELVGGEEGEELDEAEWLHRAEAQSQRRRRGQRYAFSCALFASLNGILLGYDVGVMSGCIIYIQKDLHITEFQQEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMGLGAIVFQIGAVIMTFAPSFTVLMIGRLLAGVGIGFGAMVSGVYIAEISPAGARGTLTSLPEICINFGILLGYVSNYAFSGLSEHINWRVMLGVGILPSVFIGFALFVIPESPRWLMMEKRVSEARAVLLQISESEAEVEERLSEIEEAAGLMKSMKSEEKEVWRELLNPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFKDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDKVGRKPLLYVSTIGMTACLFLLGVALTLQKHAMGLMSPRVGIDLAIFAVCGNVAFFSIGMGPICWVLSSEVFPLRLRAQGSALGQVGGRVSSGLVSMSFLSMARAISVAGMFFVFAAISSISVLFVYFCVPETKGKTLEQIEMMFESGDEWRGGEIELEDTQHLIPSDKKSVPLG >KQK98205 pep chromosome:Setaria_italica_v2.0:VII:25660879:25663588:-1 gene:SETIT_009781mg transcript:KQK98205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASAAANGGRNKYAVLDRSEEQERELDVRRRPPVPESERRRRERFVYTCAVFASLNAILLGYDVGVMSGCIIYIQKDLHITEFQQEILVGCLSVVSLLGSLSGGRTSDAIGRKWTMGLGAIVFQIGAVIMTFAPSFTVLMIGRLLAGVGIGFGAMVSGVYIAEISPAGARGTLTSLPEICINFGILLGYVSNYAFSGLSEHINWRVMLGVGILPSVFIGFALFVIPESPRWLMMEKRVSEARAVLLQISESEAEVEERLSEIEEAAGLMKSMKSEEKEVWRELLNPSPAVRRMLYAGCGIQLFQQITGIDATVYYSPTIFKDAGIKSDQELLAATVAVGFTKTVFILVAIFLIDKVGRKPLLYVSTIGMTACLFLLGVALTLQKHAMGLMSPRVGIDLAIFAVCGNVAFFSIGMGPICWVLSSEVFPLRLRAQGSALGQVGGRVSSGLVSMSFLSMARAISVAGMFFVFAAISSISVLFVYFCVPETKGKTLEQIEMMFESGDEWRGGEIELEDTQHLIPSDKKSVPLG >KQK98629 pep chromosome:Setaria_italica_v2.0:VII:28350316:28352644:-1 gene:SETIT_010635mg transcript:KQK98629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASIAISLPFRLLLPPRPFRGRATWPRAIRATASGDTSGVAGGERKVALERRVGDLKALVASVPPAVASIQRNIGPNFVAGFCLGIAVLAAVARQVIIRSRERDNRGSVADLVRRGQLKSGQRGTAKLRTYDDPFNNPLVKIDEDASTAQMFGKEYRLAPVRLTKEQQELHQKRRSRAYQWKRPTVFLREGDSLPPHVDPDTVRWIPANHPFAAASSEVDEETAKQNVYQKDGVPSRVKAEHEALQARLEASNDVTKLPSNSSMQHNERPLRLSGKPFGNLQSSEFGSELENQDIEPGKHSCDESLQSNRLEGQ >KQK98628 pep chromosome:Setaria_italica_v2.0:VII:28350173:28352695:-1 gene:SETIT_010635mg transcript:KQK98628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASIAISLPFRLLLPPRPFRGRATWPRAIRATASGDTSGVAGGERKVALERRVGDLKALVASVPPAVASIQRNIGPNFVAGFCLGIAVLAAVARQVIIRSRERDNRGSVADLVRRGQLKSGQRGTAKLRTYDDPFNNPLVKIDEDASTAQMFGKEYRLAPVRLTKEQQELHQKRRSRAYQWKRPTVFLREGDSLPPHVDPDTVRWIPANHPFAAASSEVDEETAKQNVYQKDGVPSRVKAEHEALQVTKLPSNSSMQHNERPLRLSGKPFGNLQSSEFGSELENQDIEPGKHSCDESLQSNRLEGQ >KQK97414 pep chromosome:Setaria_italica_v2.0:VII:21115047:21118436:-1 gene:SETIT_009989mg transcript:KQK97414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSESEATLEETPTWIVASVCSAIVLISLVFERGLHHLGKALERRRVTLYETLLKLKEELMLLGFVSLLLVVFQDLIQKICIDESLMEHWLPCRGGNNDKHASAAAAHYGAASTFAASGRRMLKGGAAFGHCSSKGKVPLLSRHALEQLHIFIFVLAITHVVLSALTVLLGLLQMRKWMHWENNIQQEGSSAPKMIKRVQKIKFIQDRCKGHERLTWVIIWMRSFFKQFYGSVSNDDYVTMRLGFVMEHFRGHPKFNFYDYMIKALDKDFKRVVGIKWYYWIFVMIFLLVNITGWHSYFWISLVPLSLLLLIGAKLEHIINKLAYEVASKHAAGRGEGGAVVRPSDKLFWFHSPRLVLVLIHFILFQNAFEFAYFIWTLATFGINSCIMDKLGYSVSRIVVCVIVQVLCSYSTLPLYAIVSHMGSSFKSAVFADDVADHLRGWADNARERMRRSALGTAAAERNWEEKSRPAQLRSISF >KQK98781 pep chromosome:Setaria_italica_v2.0:VII:29129049:29132379:-1 gene:SETIT_010377mg transcript:KQK98781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEHMGRRTVGGLLFTKGGSILLFREDGSRPKAKNCCSRHGCSGRHSVDKAKGKEVHRAAVPNESTPATPGRSQVLRKPNRKPPQPQESSASDSISRDAGGSCSETGNRSRETPGRDLLARLKERVNASRKRSLNRENSPPSPNGLSASSPSSSRSISRPSHRAASRIRKADEGANAGADHAHRNGTADARRNSERSDDDLLLVEQVTRDHVPSEGFLSGFMARYRSGHQGGVSSLEDSVEDSNGYWRFDMGVSEELENYFIFNDRHRGMRMDIDGMSYEELLALGERIGTVNTGLSDDALAKCLNRSIYMPTASGSHEDCDRKCSVCQEEYLAGEVVGKMACKHYYHMSCIQHWLRQKNWCPICKSVALNTN >KQK99673 pep chromosome:Setaria_italica_v2.0:VII:34152440:34156972:-1 gene:SETIT_009612mg transcript:KQK99673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRSAGAMLQLLVWAALLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFTKKAWNAQNAGAAAILVADDKDEPLITMDTPEESGRADYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQTDFVKSFKGAAQVLEKKGYTEFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVIQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANITACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKETRNGRTYSACTDDGCKCPDGFKGDGKHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNGATETGWGFLWVIFFGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVQSHSHHIEM >KQK99674 pep chromosome:Setaria_italica_v2.0:VII:34152973:34156972:-1 gene:SETIT_009612mg transcript:KQK99674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRSAGAMLQLLVWAALLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFTKKAWNAQNAGAAAILVADDKDEPLITMDTPEESGRADYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQTDFVKSFKGAAQVLEKKGYTEFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVIQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEDIQTNECLENNGGCWQDKAANITACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKETRNGRTYSACTDDGCKCPDGFKGDGKHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNGATETGWGFLWVIFFGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVQSHSHHIEM >KQK99764 pep chromosome:Setaria_italica_v2.0:VII:34634709:34637456:-1 gene:SETIT_011512mg transcript:KQK99764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASALNNPRLKALIEEERTKALTNELVAKLTLACWDKCVTGSIGSSFSRSEASCLSNCAKRFAEVKMMTMQRFTER >KQK98638 pep chromosome:Setaria_italica_v2.0:VII:28393251:28394408:-1 gene:SETIT_012694mg transcript:KQK98638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DTEDLKLERVLKKASMGDNTVMLTTLNAAWASPGSVIDLFIDSFHSGVRTSLLLKHLVIVAFDWNAYEQCVKIHPYCFALGTEGVDFSEEKRFLTSGYLEMMWRRPDFLRLVLEKGYNFIFSDADIMWFCNPFPHFYPDVDFQIACDHYVGNATDLRNIANGGFSYVKSNERDIEFYSFWYSSRLRYPGYHDQDVFNAIKHDPYIVGIGLTIKFLSTKYFGGFFCTMHANCCIGLRSKIHDLRIMMEDWRSYLPLPPNLKRLWTSAWRVSQNCSLSSSHR >KQK99212 pep chromosome:Setaria_italica_v2.0:VII:31571364:31576632:1 gene:SETIT_009309mg transcript:KQK99212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKAWLWRKKSSERELEKEKVLLLEKSLQDLNEQLSFAHSECVEKDAILAKQAKVAEEAILGWEKAEAEALLLKTQLDDTLDDKTAIEQRICQLDEALNVAMVERDSLIKETAQMISCEQDKVQKLEESLVEKINIIANLDAENDKLSEIVSVKENMISELIESKGLTESNLKDLARKLESAERTNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHKQHLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRSEVETVGSDTTTTRMRKLNSAASQNSCVSVQNSHDALHGNSPLLARLHAIEDENKAIKESLSRKDGELQFSRTMLARTTSKLSQVEAQLEELSRGRAGAELVKGSPTVVENPLSSISENGCNEDNVSCSGSWASALLSELEHFKKGKPTAHSSKSTALSDMSFMDDFAEIEKLASGCNDKPLEPYVSKKEVTESSGKELVPVDVSAGTTDQIHQPKIEKAVLKLIELIEGVIQKSSKDCSKTVVLSGGEENNGQEMLSGYVARAFLWNISELTSVLQNFVFVCNELLYGSTDVESFVHDLQLTLDWIINHCFSLRDVSDMKEAIMKHLELNNSDGLEIVAVTGHTGIHTSDEPRTAENAQTSILSDSCCINMGSKDDVSTQKTSNEVAVSKFQGIEEKASHLRAELNELKESGKITAHVDGKSTMNECSTRESIFTSGLNKGKQEGISCPESKHQLECCSAKEGSKNVADNEEKHLQMQLEISTASEKLIECRETILNLGKQLKALASPKDAILFDQVLQTAARSERKPRSQSLSEMLSMEDGGFYVPSSPKTKEIICTEPRASTERNLSADEGDDGSAAACSSSHPMPVAQPVKQACRVNGTCKGEADVKVVTLAVVPRKQKGNGSLLKRIMTGRRKEANAMAKPQVVLSS >KQK99213 pep chromosome:Setaria_italica_v2.0:VII:31571364:31576632:1 gene:SETIT_009309mg transcript:KQK99213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKAWLWRKKSSERELEKEKVLLLEKSLQDLNEQLSFAHSECVEKDAILAKQAKVAEEAILGWEKAEAEALLLKTQLDDTLDDKTAIEQRICQLDEALNVAMVERDSLIKETAQMISCEQDKVQKLEESLVEKINIIANLDAENDKLSEIVSVKENMISELIESKGLTESNLKDLARKLESAERTNSSLRYEVCMLQKQLEIRSEERKFSLKSADAAHKQHLENVKKITKLESECQRLRSMVRKRLPGPAAIAKMRSEVETVGSDTTTTRMRKLNSAASQNSCVSVQNSHDALHGNSPLLARLHAIEDENKAIKESLSRKDGELQFSRTMLARTTSKLSQVEAQLEELSRGRAGAELVKGSPTVVENPLSSISENGCNEDNVSCSGSWASALLSELEHFKKGKPTAHSSKSTALSDMSFMDDFAEIEKLASGCNDKPLEPYVSKKEVTESSGKELVPVDVSAGTTDQIHQPKIEKAVLKLIELIEGVIQKSSKDCSKTVVLSGGEENNGQEMLSGYVARAFLWNISELTSVLQNFVFVCNELLYGSTDVESFVHDLQLTLDWIINHCFSLRDVSDMKEAIMKHLELNNSDGLEIVAVTGHTGIHTSDEPRTAENAQTSILSDSCCINMGSKDDVSTQKTSNEVAVSKFQGIEEKASHLRAELNELKESGKITAHVDGKSTMNECSTRESIFTSGLNKGKQEGISCPESKHQLECCSAKEGSKNVADNEEKHLQMLEISTASEKLIECRETILNLGKQLKALASPKDAILFDQVLQTAARSERKPRSQSLSEMLSMEDGGFYVPSSPKTKEIICTEPRASTERNLSADEGDDGSAAACSSSHPMPVAQPVKQACRVNGTCKGEADVKVVTLAVVPRKQKGNGSLLKRIMTGRRKEANAMAKPQVVLSS >KQK96917 pep chromosome:Setaria_italica_v2.0:VII:17415936:17417893:-1 gene:SETIT_010178mg transcript:KQK96917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNCSSAWQQSDAAANGTTGSGNGIGSPVSNLVSRLGSFTFKRTSSGRVETTTDSESDESASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQMSDTFLTMLLLLSKYRMKSLPVVEVGGDKIENIITQSSVMHMLAECVGLPWFESWGTKKLSELGLPIMKPSKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGAKAIGNISIRDVQYLLTAPKIYKEHRTITTKDFLTAVRHHLQEQHEASPLLHDVITCKKDDTIKDIMLKLDSQKIHRIYVVDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANSTV >KQK96918 pep chromosome:Setaria_italica_v2.0:VII:17415936:17420860:-1 gene:SETIT_010178mg transcript:KQK96918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMESPRSPEAEIGHRVEDLWEVAEPQLSPSEKLNSCFEDIPVASFPSTHPSQVIEIPSDASLAETVEILSKNKILSAPIRNVEAPEDASWMDKYIGIVEFAGIAMWLLSQSDAAANGTTGSGNGIGSPVSNLVSRLGSFTFKRTSSGRVETTTDSESDESASVGGSFFETLTSSEFYKNTKVGDISGSFRWAPFLALQMSDTFLTMLLLLSKYRMKSLPVVEVGGDKIENIITQSSVMHMLAECVGLPWFESWGTKKLSELGLPIMKPSKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGAKAIGNISIRDVQYLLTAPKIYKEHRTITTKDFLTAVRHHLQEQHEASPLLHDVITCKKDDTIKDIMLKLDSQKIHRIYVVDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANSTV >KQK96919 pep chromosome:Setaria_italica_v2.0:VII:17416391:17417495:-1 gene:SETIT_010178mg transcript:KQK96919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTFLTMLLLLSKYRMKSLPVVEVGGDKIENIITQSSVMHMLAECVGLPWFESWGTKKLSELGLPIMKPSKLVKVNEDQPVLKAFQLMREKGVGGLPVMDTSGAKAIGNISIRDVQYLLTAPKIYKEHRTITTKDFLTAVRHHLQEQHEASPLLHDVITCKKDDTIKDIMLKLDSQKIHRIYVVDDKGNTEGVITLRDIISKLVHEPRHYFGDFFDGVVPLPANSTV >KQK96243 pep chromosome:Setaria_italica_v2.0:VII:2283076:2285819:-1 gene:SETIT_010065mg transcript:KQK96243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLGHRTMMELSRRHGPLMFLRLGEVPTLVVSSAEAAELVMKTHDLAFCSRPTTSVTIDIVGCKGKGIGFAPYGDRWRQMKKIVVMELLCAAQVKRIESIRAEEVGRLLQSVAAGARAGVVNISEEVKALAPDLVAMAMFGGKCAEKSDFVIQYDEVSKLVSGFFPVDFFPSSRLVRWLSIGERRLVRSYGRIQRIIASTIESRKAAKNGACSPDQEDLLGVMLRLQEDDSLTFPLTSEIIGAVMFDIFGGATTTIGSTLEWAMSELMKKPEAMQKAQQEVRKELGGLRGVITNTDLVGLSHIRMVIKEVLRLHPPNPLLVPRESTEDCEILGYHVPKGTKVLVNAFAISRDPRYWNNPEAFNPERFENSNIDYKGTNFEFTPFGSGRRQCPAIMFGTSTLEIALANLLYHFDWMLPDGLSPELVDMSEKYGMGVSKKLDLHLRAIPYVHSSAA >KQK96646 pep chromosome:Setaria_italica_v2.0:VII:13388446:13395282:1 gene:SETIT_012020mg transcript:KQK96646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLCRSPIRTEQASVSCTCANSLLLIGVAALLNRASARQLVTLRFNSPLQLAGVVFDGSLGLVYLGLGLWMVAVDASVYLPHWWLVSLLQGFSLILTGFAFGVRPWFLGVAFVRFWSVSLTVCAAFISYSSVVRIDLSDKAITMKACLDVLFLLGTILLIVYGIWHIREDGYGGTDNDLCEPLNLETNGDGDTAHSASQPGFAKDLSLLDHADRAHNQYLMFFEKMNSNKQLQGNGTPSVFWTILSCHKSGIMVSGLFTLVKGKGTFTYEAYVLAAALFFCKCCESLSQRQWYFRTRRLGLQVRSFLIGEFPYRFHQTWTASLQLCIALSILYNAVGLAMTASLVVIVITVLCNAPVAKLQHKFQSELRKAQDVRLKAMSESLTHMKVLKLYAWEKHFKMVIEGLREVEYKWLSAFQLSKAYSRVLFWASPVFVSAATFLTCYLLKIPLDASNVFTLVATLSLVQDPIRQIPDVIGVVIQAKVAFSRIAKFLDAPELSGQVRNKHCVGEFPIVINSGSFSWDENPFKSTLKNINLVVKNGAKVAICGEVGSGKTTLLAAVLGEVLKTEGMKILQRYVENNILFVCPMDKQRYQETLSRCCLVKDLEMFPYGDHSQIGEKGVTLSGGQKQRIQLARVLYENADIYLLDNPFSVVDAHTATSLFNEYVMGALSDKTVLLVTHQVDFLPVFDSILKLVNAHKNTIGVSDPNKKLPQKAKEISTKEKNDTHGSLCLESVKPSPADQLIKKEEREIRDTGLKPYMLYLRQNKGFLNVSLCAISYIVLLAGQKSQNSWMAANVQNPSVNTLKLILVYIVIGVCMTFFLLSRSLFIVVLGVQTSRSLFSQLLVSLCRAPVSFYDSTPLGRVLSRVSSDLSIIDLDVPFTFMFSISASLNAYSNLGVLAVVTWKILFIVVPMIVLATRLQRYYLASAKELMRISGTTKSTLAKHLGEAISGATTIRAFKEEDCFLAKYLELVDKNASVYFYNFAATEWLILRLETMSAVIVSFCVFAMALLPPGTFSPGFVGMALSYALSLNVSIVFSIQNQCSLANQIVSVERVNQFMEIQSEAAEVVEEHQPAQDWPQVGRVDLRDLKDIKIKFLHIRYRQDAPFVLHGITCTFEGGDKIGIVGRTGSGKTTLIGALFRLVEPAEGKILVDSIDITTIGLYDLRSRLGIIPQDLTLFQGTIRYNLDPIGKFTDEEIWEVLHKCQLLDSVQEKVQGLDSPVVEDGSNWSMGQRQLICLGRILLRRCRILVLDEATASIDNATDAILQKIIRTEFKDCTVITAAHRIPTVMNCSMVLAISDGKLVEYDKPMKLMETEGSLFRDLLEEYCAHA >KQK97965 pep chromosome:Setaria_italica_v2.0:VII:24218590:24223915:-1 gene:SETIT_009830mg transcript:KQK97965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCVAKVREDMNRYSDFSQLPRDLSQQIFNELVESGYLTEASLGAFHDCDLQDICLGEYPGVTDAWMEVVASQGQSLLSVDISCSDVTDSGLNLLKDCSSMQSLACDYCDRISEHGLKTLSGFSNLTSLSIKKCAAVTAEGAKAFANLVNLVNLDLERCPKIHGGLVHLKGLKKLEKLNMRYCNCITDSDMKYLSDLTNLRELQLSSCKISDFGVSYLRGLHKLAHLNLEGCAVTAACLEVISGLASLVLLNLSRCGICDEGCENLEGLVKLKALNLGFNHITDACLIHLKDLINLECLNLDSCKIGDEGLFHLKGLVQLKSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLSSLKSLNLDNRQITDTGLAALTSLTGLTHLDLFGARITDAGTNCFRFFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKTLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCRVTPTEIKKLRLAALPNLISVRPE >KQK97967 pep chromosome:Setaria_italica_v2.0:VII:24219393:24224789:-1 gene:SETIT_009830mg transcript:KQK97967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCVAKVREDMNRYSDFSQLPRDLSQQIFNELVESGYLTEASLGAFHDCDLQDICLGEYPGVTDAWMEVVASQGQSLLSVDISCSDVTDSGLNLLKDCSSMQSLACDYCDRISEHGLKTLSGFSNLTSLSIKKCAAVTAEGAKAFANLVNLVNLDLERCPKIHGGLVHLKGLKKLEKLNMRYCNCITDSDMKYLSDLTNLRELQLSSCKISDFGVSYLRGLHKLAHLNLEGCAVTAACLEVISGLASLVLLNLSRCGICDEGCENLEGLVKLKALNLGFNHITDACLIHLKDLINLECLNLDSCKIGDEGLFHLKGLVQLKSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLSSLKSLNLDNRQITDTGLAALTSMLLTLVGCSMIVLFEQKHLITYKDFP >KQK97966 pep chromosome:Setaria_italica_v2.0:VII:24218168:24224789:-1 gene:SETIT_009830mg transcript:KQK97966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCVAKVREDMNRYSDFSQLPRDLSQQIFNELVESGYLTEASLGAFHDCDLQDICLGEYPGVTDAWMEVVASQGQSLLSVDISCSDVTDSGLNLLKDCSSMQSLACDYCDRISEHGLKTLSGFSNLTSLSIKKCAAVTAEGAKAFANLVNLVNLDLERCPKIHGGLVHLKGLKKLEKLNMRYCNCITDSDMKYLSDLTNLRELQLSSCKISDFGVSYLRGLHKLAHLNLEGCAVTAACLEVISGLASLVLLNLSRCGICDEGCENLEGLVKLKALNLGFNHITDACLIHLKDLINLECLNLDSCKIGDEGLFHLKGLVQLKSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLSSLKSLNLDNRQITDTGLAALTSLTGLTHLDLFGARITDAGTNCFRFFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKTLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCRVTPTEIKKLRLAALPNLISVRPE >KQK97964 pep chromosome:Setaria_italica_v2.0:VII:24218180:24224789:-1 gene:SETIT_009830mg transcript:KQK97964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCVAKVREDMNRYSDFSQLPRDLSQQIFNELVESGYLTEASLGAFHDCDLQDICLGEYPGVTDAWMEVVASQGQSLLSVDISCSDVTDSGLNLLKDCSSMQSLACDYCDRISEHGLKTLSGFSNLTSLSIKKCAAVTAEGAKAFANLVNLVNLDLERCPKIHGGLVHLKGLKKLEKLNMRYCNCITDSDMKYLSDLTNLRELQLSSCKISDFGVSYLRGLHKLAHLNLEGCAVTAACLEVISGLASLVLLNLSRCGICDEGCENLEGLVKLKALNLGFNHITDACLIHLKDLINLECLNLDSCKIGDEGLFHLKGLVQLKSLELSDTEVGSNGLRHLSGLRNLQSINLSFTLVTDIGLKKISGLSSLKSLNLDNRQITDTGLAALTSLTGLTHLDLFGARITDAGTNCFRFFKNLQSLEVCGGLITDAGVKNIKDLKALTLLNLSQNGNLTDKTLELISGLTALVSLNVSNSRVSNSGLHHLKPLQNLRSLSLESCRVTPTEIKKLRLAALPNLISVRPE >KQK98666 pep chromosome:Setaria_italica_v2.0:VII:28549687:28550197:-1 gene:SETIT_011232mg transcript:KQK98666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPTKKTAAPPMGDLFSRPQAARYIAEWLAGLSLLRRKMLRRRESMTPQGPAAAASNEALANVFQRLPPKCAAACRGVCLRWSVLLSSPRLAALADADVDAAGSSTCFAGRDGVCGAGQIERRRRRGGAVFWLPPLPKHQYRRSRKKHVYRGMF >KQK99610 pep chromosome:Setaria_italica_v2.0:VII:33794944:33796196:1 gene:SETIT_011061mg transcript:KQK99610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDCGNHGDDDLRRSCRRLLAILLFLAFLVAIIALIVYLVLRPTHPRFFLQDASLRQLDLSNGSSNVLSTTLQVTVASRNPNDRVGVYYDRLDVYASYKYQQITVAASLPPVYQGHGDVDVWSPVLAGPSVPFAPYLADALSQDCQAGYLILQVKIDGRVRWKVGSWISGHYHLFVTCPAFLVTNGGNGAPGASGFKFQTTTTCHVEV >KQK97053 pep chromosome:Setaria_italica_v2.0:VII:18525628:18528104:-1 gene:SETIT_011008mg transcript:KQK97053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPSAEKMLSVYLYIPNIIGYFRIIINFIAFAVRYSNKPLFAILYFFSFVLDGVDGWFARKFNQASTFGSVLDMVTDRVSTACLLALLSQCYKPGLIFLMLLGLDITSHWFQMYSSLLSGKTSHKDVKHTNNWLLKLYYGYRPFMAFCCVSCEVLYIILFLFADEKSTSLLSVCRVVMKQSPLIVLVFISTLVGWAVKQVSNITQMKTAADTCVEFDPKHSK >KQK96356 pep chromosome:Setaria_italica_v2.0:VII:4123575:4124396:-1 gene:SETIT_011531mg transcript:KQK96356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPADSKACDAPKTEWPELVGCTIKVAKERIKADRPDLNVVVTPIGTMVDQMIDPNRVRLRVDTVAEVPKIG >KQK96357 pep chromosome:Setaria_italica_v2.0:VII:4123864:4124085:-1 gene:SETIT_011531mg transcript:KQK96357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPADSKACDAPKTEWPELVGCTIKVAKERIKADRPDLNVVVTPIGTMVDQMIDPNRVRLRVDTVAEVPKIG >KQK99680 pep chromosome:Setaria_italica_v2.0:VII:34186396:34187653:-1 gene:SETIT_010832mg transcript:KQK99680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILESSTHGGCQAVINEIEHQRALMMDLHDLILPILDPYSGQEKLVQQLFQDIFSCSSKVISFLELGDNNSGKQANLIKYKRKGSKNNMEGYILEEEPKEVGNKRRKNAQHIGSVVTQAPYFDGYQWRKYGQKWISKAKHFRSYYRCANSKGQGCLATKTVQQKETDGSGKVRLFNVDYYGQHICKKDGIIHPYVVETTSHSVPIVHDNQSSISTFVNNDVHGIQDESYENLFVVPDMPEYFTDFTDIEMARALEITSMNLPLISEDIWA >KQK98584 pep chromosome:Setaria_italica_v2.0:VII:28089201:28094301:1 gene:SETIT_010898mg transcript:KQK98584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIVFSSRGKLYEFGSAGVNNTLEKYHNCCYNAQGSNSEFGGEPQGWYQEMSRLKAKLDSLQRSQRHMLGEDLGPLSIKELQQLEKQLEYALSQARQRKTQMMMEQVDELRRKERQLGELNKQLKNKLEAEGSSNYRTVQTSWAIEAAVGTDGGSLSAPNSQTPAAAMDCEPTLQIGYHQFVSPEAAATMPRSSTTEGGEQNSHFLLGWAL >KQK96158 pep chromosome:Setaria_italica_v2.0:VII:1084643:1085266:1 gene:SETIT_012494mg transcript:KQK96158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIPRELRTEDRMVHPSRTPTLVAVLPPDLSRHLGDLLAAGHGADVTFQVAGETFRAHRYILAARSSVFKAQFLGAMRESNVSSQAYPIRMADMEPQVFRGLLAFLYADALPDYCSSGQEDEAAAMAQHLLVAADRYGMERLKLVCEDSLCKHIDTGSVATILALAEQHNCQGLKKACFRFMIRSSSALNDVLATDGFNIYPEASTGV >KQK98023 pep chromosome:Setaria_italica_v2.0:VII:24565722:24566213:1 gene:SETIT_013044mg transcript:KQK98023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTNLPIPPVRESQRCNLSCAPGVLILSNSHCRFP >KQK99891 pep chromosome:Setaria_italica_v2.0:VII:35255092:35257708:-1 gene:SETIT_012426mg transcript:KQK99891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TKRPLCKPSRRRSVRFIAGRARSGSLGVDDALKLFDELLPIARPASVCAINNLLNAVSRARGPSTSALAVSRFNRMARASSNKVPPDLRTYSILIGCFCRIGRLELGFATFGLILKTGWRVEAIVINQLLNGLCEAKRVGEAMDVLLQRMPEFGCTPDLFSYNTILKGFCNEKRAQEALELLRMMTDDRDGSCPPNVVAYNTVINGFFREGQVDTAYSLFHEMPDRGILPDVVTYTAVVDGLCKAGAVSRAEGVLQEMIHKGVKPNINTYTCLINGYCTSGQRKEVVRILKEMSTQGQRPNGIQPDVTTYSILLNGYASKGDLADMHDLLDLMVANGISPNHRVSNIVFCAYSKGGMIDEAMHIFDQMRQHGLSPNVVNYGALIDALCKLGRVDEAMLKFNQMINEGVTPNIIVFTSLVYGLCTVDKWRKVEELFSEMLNQGIHPNAWFFNTIMCNLCREGRVMETQSLIDLMVRLGVRPDVISYNTLIDGYCLVGRMEEAMKLLDVMVSAGLKPDVVAYNTLLHGYCKAGRIDDAVRLFKEMLSNAVTPDIITYNTILHGLFESGRFSEAKELYLNMIKSGAQLNIYTYNIILNGLCKNNSVDDAFKMFQSLCSTNFQLDTITFNIMIDALLKSGRKEDAMDSFAAISAHGLVPDAVTYCLVTEHLIKEGLLDEFDNLFLAMEKSGCTPNSGKNIGTT >KQK98441 pep chromosome:Setaria_italica_v2.0:VII:27027664:27030348:-1 gene:SETIT_009376mg transcript:KQK98441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQAPPYAPLPLVSSLPPDPTATPSRNPTLVLPNPAFPNKRKRTGFRRKVPSGSPAAPALSPAAPSQPPPPASAADDIIVINREPTAEALTALTAGFPADSLTDEEIEAGVVSDVGGIEQVNYILIRNHLLCRWRETYDSWLAKEPFATLIPPHCEHLLNAAYSFLVSHSYVNFGVAPAIKERIPKEPTRPTTVVVIGAGLAGLAAARQLVAFGFKVIVLEGRKRCGGRVYTKKMEGGGRSAAGDLGGSVLTGTFGNPLGIVAKQLGLPMHKIRDKCPLYRPDGSPVDPEVDKKVEITFNKLLDKSSNLRTSMGEVAVDVSLGAALETLREADGGISTQEEKNLFNWHLANLEYANAGLLSRLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPIVYERTVHTIRYGGDGVQVVVNGGQVYEGDMALCTVSLGVLKNGGIKFLPELPQRKLDSIKRLGFGLLNKVAMLFPHVFWSTDLDTFGHLVEDPRRRGEFFLFYSYATVAGGPLLMALVAGEAAHNFETTPPTDAVSSVLQILRGIYEPQGIEVPDPLQSVCTRWGTDSFSLGSYSHVAVGASGDDYDILAESVGDGRLFFAGEATTRRYPATMHGAFISGLREAANITLHANARVAKTKVDKSSSTNTQACATILMDLFRQPDLEFGSFSVIFGGKASDPKSPAILKVEFGGQRKKNAIEGAKAEQNHSNKLLFQQLQSHFNQQQQLYIYTLLSRQQAMELREVRGGDDMRLHYLCEKMGVKLVGRKGLGPGADAVIASIKAERNRSRAKPGPSKLKKSMKPNVTAS >KQK96285 pep chromosome:Setaria_italica_v2.0:VII:3054610:3059106:1 gene:SETIT_011075mg transcript:KQK96285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAARRLSASTSPLLLRRLSVQTHPTALPPPPPPEATESGPGAWARRVAALSLLGLTGAVAASAVSDLSIFLSCSSQAIEKATQNQQIVNAIGKPIVRGPWYSASIAVNHARHSVSCTFPVSAPQGSGLLKFKAVRLGDESWYSFLQPSDWEILIMDAILDIHTEDGKHRTMRVTIPDNTPAPPPADCTACKSHTAPSAEK >KQK99568 pep chromosome:Setaria_italica_v2.0:VII:33551282:33554328:1 gene:SETIT_010470mg transcript:KQK99568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAGMGSDGRLRRALAAFGGGGDVWDLVDAALTAAARDNPDELRARRDGIVERLYAGARCHNCDAGAPSPPQPRKAPEAAAAAEAVSPVSPDEEVDADGLGEDDDDAGVESKILAIRDFLEDPDQSEDEMVSLLQNLADMDITYKALQETDIGRHVNGLRKHPSGEVRQLVKLLVRKWKEIVDDWVRLHNSAGDGDSPDKVQPKNHQSTKASDFKYSPSPQSHNGFSSERSIYQNSVESTMEKRRTSPAPAYHNTKPNNNSNYSTTSSSVLARTMREQKYTLMDPGKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNTFIRKSSGGGGLPARHR >KQK99567 pep chromosome:Setaria_italica_v2.0:VII:33551282:33554328:1 gene:SETIT_010470mg transcript:KQK99567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAGMGSDGRLRRALAAFGGGGDVWDLVDAALTAAARDNPDELRARRDGIVERLYAGARCHNCDAGAPSPPQPRKAPEAAAAAEAVSPVSPDEEVDADGLGEDDDDAGVESKILAIRDFLEDPDQSEDEMVSLLQNLADMDITYKALQETDIGRHVNGLRKHPSGEVRQLVKLLVRKWKEIVDDWVRLHNSAGDGGSSIISDGDSPDKVQPKNHQSTKASDFKYSPSPQSHNGFSSERSIYQNSVESTMEKRRTSPAPAYHNTKPNNNSNYSTTSSSVLARTMREQKYTLMDPGKLDSARKRLQENYQEAQNAKKQRTIQVMDIHDIPKPKNRNTFIRKSSGGGGLPARHR >KQK99258 pep chromosome:Setaria_italica_v2.0:VII:31939583:31943652:-1 gene:SETIT_012434mg transcript:KQK99258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYSLMANYEEALRRNDVRAIVLIVEKLKVDFIDAMTDTLEVAEKPLVAAIDGLAFGAGLEFFMACQARISTPTAQLCFQKLRLGVIPGFGGTQRLPRLVRLTKALEMILLSKHIRAEEAHQLGLVDAIVPPEELLSTACRWALDVCESRRPWCREILKFARDQVRKRAPNLKHPLVCIDVIEEGIVSGPRAGLLKEGIAFQKPFFSDTCKSLVHVFFSQRATLKIAGVTDLGLIPRNVKKVAVVGGGLMGSGIATTLILNHYNVILKEANEKLLNAGVDRIKANLQRHVKKGKMTQEECKKTHSLLIGVVGYERFKEADLVFEAVIENVKLKQQVFAELERHCPPHCILATNTWTIDLELIGQDTNCQERIVGAHFFQHTLPQAVIDLLDVVRNCTGFAVNRMFFPYIQQALFLLHHGLELYKIDRACTEFGMPVGPFRLADLVGFGVVLATGTRYLENFPDRVYKSMLVPLMIEDKWTGLGFYMYEGRRKAIPDPDTMKYVEKLRSMAGASTDPELMKLEGKDIVEMVLFPVINEACRVLDDGIAAKASDLDIASIFGMGFPSYRGGIMYWADSTGAKRIHAKLSEWEKKYGHFSRPCSYLPERAAGGVPLSAPTSQAKARL >KQK99807 pep chromosome:Setaria_italica_v2.0:VII:34850837:34855365:-1 gene:SETIT_009386mg transcript:KQK99807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSFYKWLVTRYPSIVSPAKEEPEEGIIFHNLYLDMNCIIHPCFHPQDQMHADVDVCPPTTVSEVLESMFEHLDRLFRIIRPTRLLYLAVDGVVPCAKMNRVRRGRFYSAMLATSEALEEEKMRRELREQGKEVPPREISEVSDSNVITPGTEFMEKLSQALEYYTRYKLNTDPGWKDIMVILSDANVPGEGEHKIMSFIRAQRSMEGYDPNTRHCLYGHDADLIMLALASHEVHFSILREGVLLPNQAETEGNSDKPYLFLNIWVLREYLELDLKILDPICEPDIERLIDDFIFICFLMGNDFIPHIPSLETHEGAVHLLLEVYKTTFNKMGGYIVNTDKVKDKHGAYLEVSRLEIFFHELSMYEEKIFLKRYELKQDLLQKLDDRLFNEDRPYDRVRLGLPGWKSRFHREYFGVETSNEIEKLQNDMAEKYLEGLCWVFQCYFAGVPSWSWYYPFYVAPFVSDLKGLARFQISFTVDKPLRPFDQLMAVLPLPSWCALPKCYHWNFMGRREDDYPKLQTDTNGKRFLWSGISEELLLSATEAVDEKLTMDEVRRNTTRQDKVFLHRNSNALAHIKEVVVQTTYCSAQKLPIDSATSGIGGWLSPDDNDGLSNSFFPSPIKNLQDITNDQAISAMFFNPEVGNPITRLLSDVRVPEKTVSGADISKRPLWHTYPGSRPPPPIVQRPDSIWKPSTPVTPREEHKNAGTGWMGRGRGNNAIAAAQAKLARSSSYGHGTATDTPRSSSSSSYSYRKGFHRVDMPHSRGSNSRFDNDGGGAPWTGGGGGGDHAASAQPRRW >KQK99808 pep chromosome:Setaria_italica_v2.0:VII:34850629:34855665:-1 gene:SETIT_009386mg transcript:KQK99808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIPSFYKWLVTRYPSIVSPAKEEPEEGIIFHNLYLDMNCIIHPCFHPQDQMHADVDVCPPTTVSEVLESMFEHLDRLFRIIRPTRLLYLAVDGVVPCAKMNRVRRGRFYSAMLATSEALEEEKMRRELREQGKEVPPREISEVSDSNVITPGTEFMEKLSQALEYYTRYKLNTDPGWKDIMVILSDANVPGEGEHKIMSFIRAQRSMEGYDPNTRHCLYGHDADLIMLALASHEVHFSILREGVLLPNQAETEGNSDKPYLFLNIWVLREYLELDLKILDPICEPDIERLIDDFIFICFLMGNDFIPHIPSLETHEGAVHLLLEVYKTTFNKMGGYIVNTDKVKDKHGAYLEVSRLEIFFHELSMYEEKIFLKRYELKQVRLGLPGWKSRFHREYFGVETSNEIEKLQNDMAEKYLEGLCWVFQCYFAGVPSWSWYYPFYVAPFVSDLKGLARFQISFTVDKPLRPFDQLMAVLPLPSWCALPKCYHWNFMGRREDDYPKLQTDTNGKRFLWSGISEELLLSATEAVDEKLTMDEVRRNTTRQDKVFLHRNSNALAHIKEVVVQTTYCSAQKLPIDSATSGIGGWLSPDDNDGLSNSFFPSPIKNLQDITNDQAISAMFFNPEVGNPITRLLSDVRVPEKTVSGADISKRPLWHTYPGSRPPPPIVQRPDSIWKPSTPVTPREEHKNAGTGWMGRGRGNNAIAAAQAKLARSSSYGHGTATDTPRSSSSSSYSYRKGFHRVDMPHSRGSNSRFDNDGGGAPWTGGGGGGDHAASAQPRRW >KQK99754 pep chromosome:Setaria_italica_v2.0:VII:34580224:34583273:1 gene:SETIT_011924mg transcript:KQK99754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSWRHHTLLQALLTRGPLSERDFHAVFAAVSGRNPATHQQLFNDTLLKINKDLAYLQFELRACINQYDGTVYCGVVNNIADEESKLGTKYSVPQIAFYKGLLEAIVQEAGNDGSITSIDALNVRLDNQNFSLSQKEKALDELIRDSWLSYTSTGKIGLGTRSFLDLRSWFRGNGIPSCMVCNEACIKASSCPNEECNVRIHEYCLRKFSQRKSSRACPGCGTEWPRQDGEADGDDDVNEPEEDQAPSAKRSSRKRHNQVKAELVEENDNAGPSTALPRRSSRSIKAEAVEAAQEASSAGASQATRTSKRRKK >KQK98467 pep chromosome:Setaria_italica_v2.0:VII:27214807:27215665:1 gene:SETIT_013018mg transcript:KQK98467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLAKRAGSTACSSSAPPPCVPQGLVHLHPDRRSSALQLRRRRRRACLDSMRSTSPVYSLPPLLCM >KQK96232 pep chromosome:Setaria_italica_v2.0:VII:2127791:2130286:-1 gene:SETIT_012453mg transcript:KQK96232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLFSSAVDQEDTFILNAPEVVAALPEKIEAMEHGSWQRHRPSNPEQGTIVASFLTSRRCCSCRIFFAKILSTGFTFAQFAAGFTSIVLAALRLSRQDYVGPADQGSSDHKSIRGSLNLFYGLVLAQGLASFLADTMLAADYMQVRKLSMTYQLGSSGVQIIRRYMLDTYMKCSGGSVREAMNMDMVSFAMEMARSNSVADRLVGVRILDRILRVDKYRGLALMRLRASSDTVANLVSMLGLKANTREEENTRGHAANVVLWLSPDLLVESFPELLQMVSSMLTMKTTTAAVTITARVNPQSSSNVSIELTWLGVKILKKIMDNPDNCKKVMDDDDQLMSSIVDLTAVSDDSSSISWSPVVEEIIVEAVRVLHKLVRTTGDAGRALRCKTSENLHVIRNIRKILEHPQSHTELLTEAIGVLACLALDETGKQEIGSSPRIIRKLVSFLVAETQTPSNRVELAKSAVEALVMLGVDSPSIAWRILEELKPENVQQLVETLSSDSTELRTMVAKLLGSLRVNSKPEHAHYNRKIDSQLPLNLEEWRTKQGALLESIVGLSVQICKFIHASEYGDALRNANLAVDVFMQKLRRILDLYKSPETEFPGVRRVTVELIIWMVRRNSSYVEAFFRYQVDKAVKEVAETEARLEMFKMFCCGVGVVKHSQSISSLVAHSTNCLVAGMDDPHCKPKVSPLLSTTNLCLRP >KQK97524 pep chromosome:Setaria_italica_v2.0:VII:21678749:21680978:1 gene:SETIT_012679mg transcript:KQK97524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRASVVPDGGAGDYGGGVTFSVVVTSLMAASCGIIFGYDSGVSGGVTQMDSFLSKFFPEVVEGRKNARVDAYCKYDNQWLTAFTSSLWIAGTLSSLVASRVTRMVGRQAIMLIGGALFLAGSIINAAAVNIAMLIIGRMLLGFGLGFTLQAAPVYLSETAPAKWRGAFTSAYNAFVVIGILSATITNYFTNRIPDWGWRVSLGLAAVPGAVVVLGAFFVSDTPVSLVMRGQPERARAALQRIRGAEADVDAEFKDIVRAVGVARQNDEGAFRRLFSREYRHYLAIGVAIPVFYEFTGMIVISVFSPVLFRTVGFSSQRAILGSVINSAVNLASTLLSSVVMDRTGRRFLFVIGGLGMMLCEVAISWIMADHLGKHGGVTMPRNYATGVLVLICMCTFSFGLSWAPLRWVVPSEIYPVEVRSAGQAMSISITLCISFVELQVFIALLCAMKYAVFLFYAAWLLAMTIFIVVFLPETKGLPLEAMRSVWAQHWYWNRFVKDAKEEDNQENCL >KQK99779 pep chromosome:Setaria_italica_v2.0:VII:34725300:34726471:1 gene:SETIT_010448mg transcript:KQK99779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHHHDMVRMRSYPRVTKITLAQITDSQRGHGGGLLLLLLAEERRVGVGVAAVEAGVLLEPLLAQHLPGPGHHLPVAHRRRVRRQHGLHRAAERAARLAAPLPRRLVVVVVPRHVRGRLVRLAPAQQDPAVGARRAVVVARVVELVAGVSAEEREEVVSDVVGRAETRGAGEVADDGGVREEAGAGRSSWWCRAVNGESAAVARVGHHGEGRALRHTVHELPEIHVRDEVEVAGHDGLVVAVAVAARDERAVAAVVEEEHVPGRRAGHHLGQRALDVGAGGEHGGRRGAAAAVVVGEEGDVAGREAEAGNEGVAHDENVVDAAPELVRRAGVVAADQGSQHLLLPLHRWQPATVSG >KQK96204 pep chromosome:Setaria_italica_v2.0:VII:1699741:1700211:-1 gene:SETIT_012463mg transcript:KQK96204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQHLLLVVLVASILHATASSLETTSSASNSTVAAAASTVYDVLEQNNLPRGLLPQGVQSYVLHDGGALEVTLPGECNFFVSVAGKRFHFRYGSSVAGVIQSGSISRVSGVRVQAGFAWLGFNQVQRAGDQLNIQLEKSTQSFPVSAFAQSPRCS >KQK96109 pep chromosome:Setaria_italica_v2.0:VII:443367:444555:-1 gene:SETIT_012726mg transcript:KQK96109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVATLRLARQELEDLYMGVPDDSVDLTLKDLASSSLPAAPAATTTTNLSVDHDAGQDERNKKQQTTTGGALVRSSTNIFTYRPLEHHDDAVGAGGGALLQLPPSPAPPPPPTASFSHHNDDDYDDHHQYHYAAAASVGTTTIGEAAAGGRRARRSHVADDAAGGRHQRRAAHGSNYRRPGIPHSNICALCNSYVYLFRHRCLVCGRVYCRRCVGAGMGDMTEGRKCVDCLGRRYSHRYIHRAGDTGCGGFCCFWGYYPNAKAVTAQELIWAEKGPAPRRRPRPTGSSSSYGGGGSGYYSSTNTVNSASMSMTMNNSDSSIATMKTMNGQGRNASSGMPASASSSFVASFPSNPHALPL >KQK98585 pep chromosome:Setaria_italica_v2.0:VII:28096872:28098719:-1 gene:SETIT_010782mg transcript:KQK98585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPAPPSGDLAKGVEVVLAVGHGGRQSLGKVRSGSGVEGARQSTSGGGVNWASEGEGNFGIDRCQMTTGSPPTHPQTRALVVALATTSSSIAAASSPPAGRAAVIIMTFPLVCYCDAVPRPVAALFKLLHAVALMFVLVLCFLGLYEFPYNPEDHAPLINGRRRPPRDAPLPEAVKRRLPPVGFLELSLAPAAVARRGEDDEEASSSSSPPSGEPATCRVCLERLEATDEVRRLGNCAHAFHTRCIDRWIDMGEVTCPLCRSNLLPRRRAGLLGIGRARYG >KQK96587 pep chromosome:Setaria_italica_v2.0:VII:12393055:12408789:1 gene:SETIT_009260mg transcript:KQK96587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPPLRTTSVASVSSSTDSPTFAGPPGGVPQSITALLNNPLPSAAASSYSWLPWPPPTIALPEAAPTPPSHPSEVTRADFAPYLAAVSDPYARFADIRLHATAELAASSDAEGAPAASSGLAACLREVPALFFKEDFALEDGPTFQAACPLDDDGLQERLGQHLDVVEAHLVREIALRSESFYEAQGRLRGLDGEIVTAVGRIRELREVVRVLTGDLVGSARQVQELNATRGNLVALQQKLTVILYVSQALAALKLLVAAADCAGALDVIDDLQNLLDTDELAGLYCFRHIRDQLGTSLDSVNSILSAEFVHAAVPDGKAVDAMISSNVKRKASSPLNGTEHEGNIDEEESFILRDRLLPLIICLLRTDKLPAVLRIYRDTLITVMKASIKATVAELLPVLTARPIDSDSVTGDRAADADAGGQSLANKLRSLSSEGFVQLLSAIFRIVQVHLQQAAEVKRIVEWIMGNLDGTLSIDASNPTVQHGGSVVSDISQENDYSVSSRVSNTLTRSTSKIPFVQAKANDLSIINSIKNVRADVLRENTEAVFAACDAAHGRWAKLLGVRAALHPRLRLQEFLIIYNITEEFIAATEKVGGRLGYNIRGILQQQSKQFVDYQHSVRMTKIKAVLDQETWVAVDVPEEFQAIVLSLSSTYSSVNGMDMPGAEDNSKFSDPTSQEPTYSGENNADNGKLTSAIGESKVESTSPQTENNATGNQRSTLQTIVHGGVGYHMVNCGLILLKMLSEYVDISKCLPSLSFEVVQRVVEILKLFNNRTCQLVLGAGAMQVSGLKSITSKHLALASQIISFIHSLIPDIRRVLFLKIPEARKQLLMSELDRVTQDYKVHRDEIHSKLVQIMRERLLANLRKLPQIVEGWNGPEDNDLQPSQFAKAVTKEVNYLHRILSQTLLEVDVQTIFRQVVQIFHSHITEAFRKLEVSTPQAKNRLCRDVQHILTCIRKLPAENFSAETTPNYGLLDEFLAENFGTKVGE >KQK98715 pep chromosome:Setaria_italica_v2.0:VII:28789267:28790304:-1 gene:SETIT_012308mg transcript:KQK98715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDGATVAVKLFLDKEKQRVLLAESDNDFVDVLFSFLTLPLGTIVRLLGKQSGAGCLDEVYKSVESLSIDHFQTKACKAMLLSPLNAAASQCGQLKIRIDDTNPRKVGICSNRRCPGFSYSSVPDAVCKNCGTGQTFAEHPQIVHTSVAYSGDGGFVTSGIKLIITDDLHVSPASASIVFSLLDKFGLHAEPAILLLKRALISQQALTGLFFDAAVTPDAVNLDQLPENFIQQPKHPEHKFDPIKIKPIQTKDDASVLYAEAGQDFVDLIFGLLSIPLGSIIKAYGQWSPNGCIDNLYRNIAGIGHISECKELLIAPKLAPHFGCSINALHVKELDTRHLKVKC >KQK99346 pep chromosome:Setaria_italica_v2.0:VII:32490139:32491232:-1 gene:SETIT_011022mg transcript:KQK99346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAMASAGGTEEPALFVKQGSKLHSKMLSKEAAAQLAVPSFRVYYSVASAGAVPFLWESQPGTPKNDSPSASALPPLTPPPSYYSADRGGAGGRSRKRRPGLIGAILPRIAFLRRPGRTAPCSSWSSSSWSSSSSNTSSMSPVFTVQSSPAARGSRGHRRAFSAGGAGDDAEAAPPRCFWTERDCCEKGVVRGCGVAVAVRNALATVVGGKPGHRATAA >KQK99570 pep chromosome:Setaria_italica_v2.0:VII:33558626:33559420:-1 gene:SETIT_012724mg transcript:KQK99570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCFLPWLLLAFIVFTSSCCGLSSAGAAADPTLGFTAVELTEDKFKLHKPYDLPPEQRYEFGNGVRRMWVYCTDKPFSPGSPTKPRSEILLNATYTTGVWQFEGYGYVPAGTSGVSVMQVFGASGRNTTLMLHVYGGRLMYYNDETRVVDGDIYDRWFRLNVVHDVDAGMLTVFVDGEERLAVEGHGGYRHYFKFGVYTQTDPSHYMESRWRDVKVYAKF >KQK97049 pep chromosome:Setaria_italica_v2.0:VII:18490995:18493968:1 gene:SETIT_011093mg transcript:KQK97049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGEKKILVARKGRLRQRYDGEYRLVAGCVPYRVGADGQPELLMVSTPNRDDLVFPKGGWEDDEDVHEAACREALEEAGVKGAINRTALGMWVFRSKSSPVSGDSPRGACKGYIFALEVAEELEQWPEQDTHGRRWVSPADAYRLCRYDWMREALSALLGRLAAKPAAQEMSDGHGGVYMMVKAAAAAADRAVALC >KQK97853 pep chromosome:Setaria_italica_v2.0:VII:23564075:23566120:1 gene:SETIT_012803mg transcript:KQK97853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSALLSYLQALWPFSALVREEDDLRASARLVRALSVPEETKQFVLALREPPRDRDGSGGVIYILAAQNLSEQSASDAERLIREVRPGAVVTQVSRAALDDVRIEEDCLSGSAGGGVLVPASPFQVIKRCVTEKRSKDQYVKAAACQVLQEIFGVGFYGHLLAAKRAAEETGSWFLLLESPYERNCGGNGAGSRDSAADDSSAQQLQASCSLPHSTTDDESAQQLQASCSLPRSTTDDESGLHLQASCLVPRNATSIVSSHGRKICLMDDNGGQLLKSLAPSLGFLMSEAITSNAATECRPSECKPADGYEAPPFAQSVYPLLADLYHIFVDIPSIGRAMASAQELLKQVHEGEPISSDMLSDVYIFRIAIEALRMGLNNAARCHIDTRDKHGSEKLEFSELQSDEKCHILLVQALRSQLKEFDSVVAIVDASCLAGIRRHWNTPVPSEITQLASRCFNHYGDENDDNIELPSPGSTDKKSWISEKPVVAVGAGGTAILGFSSLSKTVQASAFLKLAPYKSPVVLKYGLMQLQRHAAIVLSKILPHGFATAGSKASALQFTASAEKIRAVAHTIISSAERTSLLAMRTSFYEIMQKRHRQPFRITPWATFGCSMVACAGLVMHGDGIECAAEVAPSVPMIASLGRGLESLRLTSQEVRQTKGQNVKEAMRALMNSLKKSAK >KQK98168 pep chromosome:Setaria_italica_v2.0:VII:25448463:25449068:-1 gene:SETIT_011869mg transcript:KQK98168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAPTYTRYETRRRDPDPRKAALLVIDVQGHFASLAAPIMPAIASTVALCRSAGMPVVHTRHVDRDDVPRSRPLREWWPGDRIDAGTPAAELLPGAGRAEGDLVVEKSTYSAFAGTGLEEALRRAGAEEVVVCGVMTNLCCETTARDAFVRGFRVFFSADATATASRDLHEATLANMAYGFAYIVDCMRFEAALGKVAK >KQK96759 pep chromosome:Setaria_italica_v2.0:VII:15213102:15214725:1 gene:SETIT_010010mg transcript:KQK96759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLQLLWIALATIVFVGILLRSTRHAPYTLLQPTVEISNPDVARRMLFDHADAFSNRPTKPFPLDFDAAGRHHSISTIPYGPSWGVLRRSLTAGILHPTRLGLLEQAQREAVESFVADLYAARRGDAGGEVVVRDGLRHAIYTLMARLCFGEGAVDEHDVRAIDRAQMEFLFAYAGTKAVEATRLPRVLYRRRWLRLDAAFNRLSYLMITLIIAARRRWTERGCGGGGGGSVTPYVDSLFVLRVPADDAAGGDRRGRLLTDAEMGPIVWEFILAGAETWVLAHLVARPEVQEKVHRQVAGHEEHHLRTTPPYLRAVILECLRIHPALPSIMREVGTEAAAAAAVGGATAVAEGDATMHFKLNASDIGRSREAWTDPDEFRPERFLAGGEGEGVALVPGPKEVKMVPFGAGRRYCPGAALGMLHVGCFVAAAVREFEWSPAAKDGGGVDFTEMDMFFNVMKTQLRARITPRRKT >KQK98818 pep chromosome:Setaria_italica_v2.0:VII:29351739:29355016:-1 gene:SETIT_010333mg transcript:KQK98818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLHQVWQLGIKDMMAVSVPRPRTSPKRRVWILVIAAFITIAIVWAYLYPPPHYTSPMRDWLPAEPARELTDKERASRVVFRQILTTPPVRSKSSKIAFMFLTPGTLPFERLWEKFFEGHEGRYTIYVHASREKPEHVSPIFVGREVHSEKVTWGTISMVDAERRLLANALQDIDNQHFVLLSDSCVPLHNFDYVYDYLMGTNLSFIDCFYDPGPHGNFRYSQNMLPEVTETDFRKGSQWFSVKRQHALMIIADSLYYTKFKLHCRPGMEDGRNCYGDEHYLPTLFHMMDPDGIANWSVTHVDWSEGKWHPKAYRAKDVTFELLKNITSIDMSYHVTSDSKKVVTENPCLWNGAKRPCYLFARKFYPESINNLMTMFSNYTLI >KQL00006 pep chromosome:Setaria_italica_v2.0:VII:35731882:35732531:-1 gene:SETIT_011647mg transcript:KQL00006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLRRRCTQECVFAPYFPPEDPHKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILCVQMQHDGHATVSSPPSAASQQLERKQQQLMECEAYGSLLMQNGLMNTSFNSTAAAHQQQQMLAGSLGSAGNTAMMLQEACLKKESLWT >KQK97100 pep chromosome:Setaria_italica_v2.0:VII:19077915:19080296:-1 gene:SETIT_010363mg transcript:KQK97100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIVDDAGAFIPAMNHSPWDGVTVADFVMPFFLFIVGVALALAYKRVPDKLDATKKAVLRALKLFCLGLVLQGGFFHGVRSLTFGVDLQEIRLMGILQRIAIAYLLTALCEIWLKGDEDVDYGFDLLKRYRYQLFVGAIVAITYMALLYGTYVPDWEYQTSGPGSTEKSFLVKCGVRGDTSPGCNAVGMIDRKILGIEHLYGRPVYARSKQCSINSPQNGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLIGLQYGHVIVHFQKHRERIMNWLIPSFSMLVLGFAMDFFGMHMNKPLYTLSYTLATAGAAGLLFAGIYTLVDLYGYRRPTVAMEWMGMHALMIYVLIACNILPIFIHGFYWKEPKNNLLKFVGIGA >KQK96758 pep chromosome:Setaria_italica_v2.0:VII:15102705:15104333:-1 gene:SETIT_012352mg transcript:KQK96758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PILPAATCARLRPPAPSLRFLRRKSARGGDRISKMVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >KQK96386 pep chromosome:Setaria_italica_v2.0:VII:5076139:5079548:1 gene:SETIT_009836mg transcript:KQK96386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKRVAIVGAGVSGLAVCKHLLERGCRPAVFEAEAVLGGVWARVPDCTALQTPRPLYTYSDFPWPESVTEVFPDHRQVMAYLDAYARRFGVLGCVRFGRRVVGMEYDGVGEEDVAAWEEWAGTGEAFGSGDGEWRLTVADDEGHVEIHKADFVILCIGRFSGVPNIPTFPPGKGPEAFDGQVFHSMDYAKMGTKKAKEMIKGKHVTVVGYLKSAIDIAAECAEANGPDHPCTMVVRTKHWIIPDFFAWGIHISLLYLNRFAELLIHKPGEGFLLWIIATLLAPLRWLFSKFAESYYSIPMKKYDMVPDHSLFEALATCLIAIEPKGFYKRLEEGSIILKKSKTFTFCKEGVLVEGESSPIKSDIVIFGTGFRGDQKIKDMFKSEYFRSIAVGSTSTTVPLYRECIHPKIPQLAVLGYSESIANLYTSELRAKWLAHFLDGGIRLPSVAAMQNDVLEWEKYMKRYAGRYFRRSCVGLLHIWYNDQLCRDMGCNPRRKKGFFADLFGIYGPGDYAELHPKED >KQK98413 pep chromosome:Setaria_italica_v2.0:VII:26851450:26852835:-1 gene:SETIT_012663mg transcript:KQK98413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAVVAVPFPAQGHLNQLLHLSLHLATRGLPVHFAAPAEHVRQAKARVHGWGDDALRRVDFHELAISEYASPPPDPAAVSPYPSHLMPLLEAFIADAPAALAALLRGVSASHRRVVVVYDSANAFAAEEAARLHNGEGFAFHCTAASAFVGRMDGGAQLLRDVHGLDDLPVHAFVTEEFLEFIGKRARVAQTIPSSAGILMNTSRALEGEFIDFVAERLTAGGKKVFSIGPLNPMLDASALEQGTARHECLCWLDRQPVASVLYVSFGSMSSLRGEQIEELAAALHGSKQRFIWVLRDADRGNLFTDSGENRHTKFQTKFTKEIEGTGLVIIEWAPQLEILAHPSTAAFMSHCGWNSIMESMSHGKPILAWPMHSDQPWVAELVCKYLKAGFLLRPCDKHAEVIPATTIQQVIEKMMVSEEGLAMRQRAMALGEAVRTSVAVGGSSQNDIEDFIAHITR >KQK96624 pep chromosome:Setaria_italica_v2.0:VII:13162361:13162861:1 gene:SETIT_012096mg transcript:KQK96624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LAASPPSGASADVAAPSPRRAPRGPAIAAQFLSLINAVRADAGVPPLSWNATAAQRAKLHASWLRDSAGCDLDQKERAPVRVEMGTAMTWYRGYDGRPTPADAVALWLTERPWYDRAADTCAAGQECGNYRLVVKPEWRQLGCALVACPSGGAVAACAYRRGLGTK >KQK96800 pep chromosome:Setaria_italica_v2.0:VII:15643029:15646034:-1 gene:SETIT_012408mg transcript:KQK96800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPAPAEEDAAALRRRLRRSLAAVAAGGAAADVYDEAAAALEALREAELGTGGRKGGGGGGGEGRRPAAEGEEEKDAVQVPTQFLCPISSRIMSDPVIVASGQTYDRRCIEEWFSAGNQLCPQTHQALLDTTLIPNHLVRSMILQWCTENRFNLPPAENQEESNATNSDQKTFDEIFKKITSSPKSSEMRQAIKYLRLATKQNSDFRAVLGERPDSISRMIFARSTPGLQNDPQVLEDMVTIILNFSLHDSNKKVIGDDPEAIPFLIWALKSGDMGSRGNSAAAIFTLSALDSNKEKIGELGAIGPLVDLLDNGNIIAKKDAASAIFNLCLLHENKSRATKNGIVDVAMRAIDDQLLVDGSLAILALLSSNHEVVEMITEFDGTACMLRAIRESECSRSKENAVVVLFAICMFNRMKLKEVEVDEKINGSLALLAQSGTSRARRKAVGILEKMKRNMHNRHLSC >KQK98762 pep chromosome:Setaria_italica_v2.0:VII:29027603:29028505:-1 gene:SETIT_011399mg transcript:KQK98762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRSQMEAEFQRKVAEKSGDSGANVKRLDQETAAKIEQLNQQAASISPEVIQMLLRHVTTVKN >KQK98763 pep chromosome:Setaria_italica_v2.0:VII:29027320:29029033:-1 gene:SETIT_011399mg transcript:KQK98763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDANRRQSGIQQLLAAEQEAQQIVNAARAAKSARLRQAKEEAEREIAEYRSQMEAEFQRKVAEKSGDSGANVKRLDQETAAKIEQLNQQAASISPEVIQMLLRHVTTVKN >KQK96508 pep chromosome:Setaria_italica_v2.0:VII:10369609:10371219:-1 gene:SETIT_011707mg transcript:KQK96508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDHKVLDALDAAKTQWYHFTAVIIAGMGFFTDAYDLFSISLVTKLLGRIYYFNPSSKTPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMVICCLASGLSFGSSPKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGFGNLTGGIVAIIISATFKARFDAPAYKDDPAGSTVPAADYAWRVVLMFGAIPALLTYYWRMKMPETARYTALVAKNAKKATSDMARVLNVELTEEQKKAEEELERREEYGLFSRQFAKRHGLHLLGTTVCWFMLDIAFYSQNLFQKDIYTAVNWLPKAETMNALEEMFRISRAQTLVALCGTIPGYWFTVFFIDIVGRFAIQLGGFFFMTAFMLGLAIPYHHWTTSGNHAGFVVMYAFTFFFANFGPNSTTFIVPAEIFPARLRSTCHGISSAAGKSGAIVGSFGFLYAAQSTDPAKTDAGYPPGIGVRNSLFMLAGCNVIGFLFTFLVPESKGKSLEELSGENDEEAAPGQSIQQTVPTNLSE >KQK99149 pep chromosome:Setaria_italica_v2.0:VII:31169856:31170298:1 gene:SETIT_011504mg transcript:KQK99149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYFVAQLKDMFFGLVDRVTGYGWSESQDGAGAQDEPTKLASAEVSPTEEEVTVVQNIQIRPRSSADPFVSGGTKPQVN >KQK99361 pep chromosome:Setaria_italica_v2.0:VII:32564055:32568377:1 gene:SETIT_012574mg transcript:KQK99361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALKEKVRLLFEDSKDIIEQMNLVDTIQHLGIGHHFEKEIANTLCNIQHMEFNTSSLHEVSLRFRLLRELVFGYLQVYEFNRFKDTNGSFNMEMTNDPRGLLSLYNAAYMFIHDEALEEAISFARLHLESMRYNLKYPLSEQVNRALHIPLPRTVRRVETLHYMSEYKHEREYNPNILELAKIDFNNLQQLHIKEIEAISKWWKNLYAEVALSFARNRIVQLYLWTYAVYYEHEYSCARIILTKLFAVITMMDDIYDTRATLEESQKLNEAIKRWDESAVSILPEYLKKFYLRILRTFKEIQDMLAPNEQYKVSYAQKSFQTLSNYYLQGAEWFHCKKTPTFKERVEASLMDSGGSFSCVALLVGMGDIASKEALDWALGCTGAVRACGLITRYMNDITALKHGNREQDAANSVECYIAEYNVASEVAIANIYRMVEDAWKTANKDLLELGSLRPAVRRVIDATASLTLMYGDKKDTFTFGDDLESLITRLFFSPITI >KQK98238 pep chromosome:Setaria_italica_v2.0:VII:25794930:25796802:1 gene:SETIT_010666mg transcript:KQK98238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILTARDEKRGSESVEMLHESGLPDVQFHRLDVSDPSSAARLAEFIREKFGRLDILINNAGVIGATAEIDTTAPIEEVLVGKSTMERLQWLLQRSTESYEEAEECLKINYFGTKYVTEALLPILLSSSDGRLINVSSNLGLLRHFSGEDLKQELSDVDNLTVERLDEMSELFLKDYKNGQLKSHGWPADSHYLAYKVSKALINGYTRIMSKKYPGLRINSVHPGYCKTDINFDTGIYTAEDGASCIVAVALLPEGGPTGVFFFRTEEAPFV >KQK98237 pep chromosome:Setaria_italica_v2.0:VII:25794930:25796802:1 gene:SETIT_010666mg transcript:KQK98237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSSFPNPSDKRVAVVTGGNKGIGLEVCRHLASRGVMVILTARDEKRGSESVEMLHESGLPDVQFHRLDVSDPSSAARLAEFIREKFGRLDILINNAGVIGATAEIDTTAPIEEVLVGKSTMERLQWLLQRSTESYEEAEECLKINYFGTKYVTEALLPILLSSSDGRLINVSSNLGLLRHFSGEDLKQELSDVDNLTVERLDEMSELFLKDYKNGQLKSHGWPADSHYLAYKVSKALINGYTRIMSKKYPGLRINSVHPGYCKTDINFDTGIYTAEDGASCIVAVALLPEGGPTGVFFFRTEEAPFV >KQK98643 pep chromosome:Setaria_italica_v2.0:VII:28421044:28421940:-1 gene:SETIT_011319mg transcript:KQK98643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGSGAMKPSSMFYVHEADVVQIHHFLEECSLCAKSLSGDIFMYRGDTPFCSEECRQQQIEVDRAKHRRKKRAAAHALSSRSREHRQHHHHPHHHHHHHHQQPQPRKAGMDTNPWVDGGFPRAPALRV >KQK97891 pep chromosome:Setaria_italica_v2.0:VII:23776824:23777945:1 gene:SETIT_010704mg transcript:KQK97891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLAVPEGAHAVRLGNGASIRQQLTNLARRSYYSITFSAARTCAQAEQLNVSVAPESGVLPIQTVYTSSGWDSYSYAFKARHTTAWLTIQNPGVEEDAACGPLVDAFAIKTISPPHHEKGNMLKNGDFEDGPYIAPDNPWGLLVPPMDEDDVSPLPGWMIMSDTKSVKYVDAAHHKVPHGSYAVELVAGSECALLQEARTVAGRSYRLSFSVGDAGNGCAQPLAVKASAAYSSQVVTYESQGTGGSKRAELEFAAIADATRVVFQSMNHYMKPDGTLCGPVVDDVSLVSVHKHAARRLFM >KQK98517 pep chromosome:Setaria_italica_v2.0:VII:27657505:27660951:-1 gene:SETIT_009585mg transcript:KQK98517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGTTAVKLHLSSAAAAARRPSLLHLAAVAALCSLSYLFGIWHHGGFSAARASGAGAAVSIATAVSCASPTPTASSSSSTPAGPLDFAAHHTAEGMEEDAAPRQRAYDACPVKYSEYTPCEDVERSLRFSRDRLVYRERHCPSSDAERLRCLVPAPRGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPRGAGAYIDDIGKIIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARSFDMAHCSRCLIPWQLYDGLYLIEIDRVLRPGGYWILSGPPINWKKHYKGWARSKDDLNQEQQAIEKVAASLCWKKVKEEGDIAIWQKPTNHIHCKAIRKVIKSPPFCSSQNPDAAWYDKMEACITPLPEVSDLKEVAGGKLKKWPERLTAVPPRIASGSLEGVTEEMFVEDTELWKKRIGHYKSVIPQLAQKGRYRNLLDMNAKFGGFAAALVNDPVWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYHGR >KQK98516 pep chromosome:Setaria_italica_v2.0:VII:27656523:27660951:-1 gene:SETIT_009585mg transcript:KQK98516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGTTAVKLHLSSAAAAARRPSLLHLAAVAALCSLSYLFGIWHHGGFSAARASGAGAAVSIATAVSCASPTPTASSSSSTPAGPLDFAAHHTAEGMEEDAAPRQRAYDACPVKYSEYTPCEDVERSLRFSRDRLVYRERHCPSSDAERLRCLVPAPRGYRNPFPWPASRDVAWFANVPHKELTVEKAVQNWIRVDGDKFRFPGGGTMFPRGAGAYIDDIGKIIPLHDGSIRTALDTGCGVASWGAYLLSRNILAMSFAPRDSHEAQVQFALERGVPAMIGVLASNRLTYPARSFDMAHCSRCLIPWQLYDGLYLIEIDRVLRPGGYWILSGPPINWKKHYKGWARSKDDLNQEQQAIEKVAASLCWKKVKEEGDIAIWQKPTNHIHCKAIRKVIKSPPFCSSQNPDAAWYDKMEACITPLPEVSDLKEVAGGKLKKWPERLTAVPPRIASGSLEGVTEEMFVEDTELWKKRIGHYKSVIPQLAQKGRYRNLLDMNAKFGGFAAALVNDPVWVMNMVPTVGNSTTLGVIYERGLIGSYQDWCEGMSTYPRTYDLIHADSVFTLYHGRCEMENVLLEMDRILRPEGTVIIRDDVDLLVKIKSIADGMRWNSQIVDHEDGPLVREKLLLVVKTYWTLGDNKQ >KQK99386 pep chromosome:Setaria_italica_v2.0:VII:32689261:32690356:1 gene:SETIT_010687mg transcript:KQK99386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTDSFVAAAAKAQQGSAPASSAGGDAPELRAFLAEADAAKADMAALRDELSRLRAAHEASRHAVVVGSGGGRAATQAALVRLLGSARRLRARLASMDRRAPAPAAQAAAGLRGRVHDLTADVQALRCQVSAERREDAARRYLTVAGDAPTEEQLDRLLANTDDSDAAMRAALLSAAPAAVAEEQEEAAREVSEVERGLLELQQLFLDMAALVEAQGAPLDDIERHVAAAAGDVGAAEAELREARRLQGEARRRRVCLAGGIAALLLVAVAVAVVAALVLARRGGGGGKLVLQIAADFPAR >KQK97074 pep chromosome:Setaria_italica_v2.0:VII:18743569:18746505:-1 gene:SETIT_009266mg transcript:KQK97074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALVLGTRLSINDKLAIKVAEQLGLLNQEYDMLKAEGDELWYYTYGYQDATDSLQKNVKSTVYQIVKKLLEKKYLLVINNLNEPIKPINLSAFTEDLSCLPPPQWEGSFWIVSGTSKDVYDRSKPDYNCIVDSFSGDDILMLTLYSLHQTAKYILGVTGHKDEQYWHHVAVRCFHYILMLLIPHCSYAHRDGDQQSSDALADITSDEQLIRQWAIQGLITGVLERTTEVTAADCQGKYNNIYQVGNVILEAFREYSLLQLLFSPATKVDEATKSAAHFLACYNLVAECHTTEEVFFCEGNHPGLERMRWISHLGDQGWHVSREWLRQGASGPTTLIIRHCPQQSRLFMKLQSNHFLAKLSCLHVLDLSYTPLESLPPSICCLQKLQLLSLRGCYNLRSPFSFPDTEITLRENNNNKKLSSLYYFDLSYSNISNFQGDFFRNMPNLKELLLVKCSNLEEMPPSIVALSSLTTLELTRTQIKSFPREMFEEMKKLQSLKLTENKKLLLVPGLVSKLCGLINIHIEGCEPMTEVEVTLERHPTLRSFTFIGAPHMRRLSLRGCTMLEHVDIKEVDALEELDLSATAIRELPEDIPNLPQLRRLLLMGVPFLRRFPWHKLQRLPGVFCLDQCSDKTGNHSNPQDAQVCVSDSRFFYSFDSSTRDLVRGGSLLKSFYVRVTSCKATTREIHDEEDMVKTDRLQVALTAYADVNHHYLTDGVVFMVSMDDVPPFREAERHVEISAVDRYPRGLYYLLQVTKSISMSDDTHISCLSDLGYLDSELEECKLQRCHQMQEVFSDYVRPLRHAFVSHLKSLTRFYSGGYDGFDTLKHLHLEYCPRLEVISSPSALPSLVTLDIRFCYNLKAIFYGNNYHPRNYYQLPRLRRIRLQELPLLEHPQVDEAILTAPAWEELHVRGCWSLRRLPRLDQQPDKAVKVSGERAWWTKLWWDDVPSHRGSYEARLPPASASIRERVVIRTYLR >KQK96841 pep chromosome:Setaria_italica_v2.0:VII:16403341:16406651:1 gene:SETIT_011661mg transcript:KQK96841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLKYRRNVMYMGHHRFLRANHLLRKKGMHFKGTPDHRKKPAHHNGKRVFEMIKDPSSNCQRRYGQLEIHYPFGIGSGDSPDHCAMPGFYLNCSDSKTFLGNVEVLNISIQNSTARMRVTMASSCYNTSTKDMDYNARALNLTGTPYRLSDTANKFTVVGCRTLAYIADQDNVGKYASGCVSICRRGDVSILTDGSCSGIGCCQTAIPKGLQYYKVWFDEGFNTSQIYDISHCSYAALVEASNFTFSTRYATSSAFYDTYGRKPPLVVDWAIGNGTCEEARNKPGSYACVSSNSQCFNSTNGPGYVCNCSKGFQGNPYLVDGCQDVDECKDLETNPCSVKEACKNTHGGFECTCPQQSPKGNAYNGTCEKDQSIPLKVTIPIEYFSDSFFQPSNNLKFPYMSDLQEFLAVFWLARIPKMNECFQQNGGQLLMDMMKVESNNSFQLYDREEIEVATNNFDDRSIIGEGGQGTVYMWQNLDAQNNPVAIKICKGFDESRRREFGQELLILSRVKHENIVQLLGCSLQFEAPVLVQDDASIRTMEIRLKIATEIATALTYLHSLSHPIFHGDIKSVNILIGHNLSAKLSDFGCSMIRSADDNVQVVKGTMGYLDPEYLLNFELTDKSDVYSFGVVLLELLTRRKALSETKESLVSVFTEAMKEGKLVELIDTELANQENMDLLHQMAALARECLAMTGQHRPMMSQVAEELQRLAGPVPQRTRLFHGINALMLQGRSSNNAAGDYISEESTDYYNLKEKASMSTEFAR >KQK98002 pep chromosome:Setaria_italica_v2.0:VII:24435605:24436100:1 gene:SETIT_011500mg transcript:KQK98002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIPRRKIKEKKGNENEKQVSTTTELCSVDSENNEMVHMVLQRNLSVAHFLRPSFFAANLIGGKRKKGTMIKAEKMYNNKV >KQK99996 pep chromosome:Setaria_italica_v2.0:VII:35696837:35700031:-1 gene:SETIT_010157mg transcript:KQK99996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSPTATRPAAAALIRVPRSGINRVSLPCCHRRATPWRRPPRCSRKGKPVVTDVVEEEAPRGPETKREDDEEAEAGSLSGALGWLRLDGVAADIISIAVPAVLALAADPITALVDTAFVGHIGSAELAAVGASASVFNLVSKLFNVPLLNVTTSFVAEQQAVDANSSSTTGQTAGIGLLEMVALIVGSGTLMNIIGIPVVLALAAQGAFRGFLDTKTPLYAVGGLLIGRTIAVFLTLTLSTSLATREGPVPMAGYEICLQVWLTISLLNDALALAGQALLASEYAKGNYKQARMVVSRILQVGGVTGVVLAATLFIGFGSLSLLFTDDPAVLDVAQSGVWFVTISQPINAIAFVADGLYYGVSDFAYAAYSTFFAGAVSSVFLLIAAPKFGLGGIWAGLTLFMSLRAIAGFWRLGSKGGPWEIIWSESE >KQK97099 pep chromosome:Setaria_italica_v2.0:VII:19071541:19077355:1 gene:SETIT_009449mg transcript:KQK97099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHIYATTSGCARPAIPPLFPAPTPTLPLPSSSSRLRRRRGSHSPSPSPTPMGATGHLGALAVAAGAAKPRNGGRPCAAVLGDPLPALDDDPGLLVHPSADFAAQALVSSTQQYREMYQRSIDDPAGFWSEIAEEFYWKQKWNPDAVCSENLDVTKGPIKIEWFKGGKTNICYNAVDRNVESGNGDKIAMYWEGNEPSDDGKLTYSELLDQVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADAIAQRIIDCKPKVVITCNAVKRGLKAIPLKDIVDTALVESAKNGVDVGICLTYENQSALNKVDTRWKPGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPADVYWCTADCGWITGHSYVTYGPLLNGATVLVFEGAPNYPDPGRCWDIVDKYGVTIFYTAPTLIRSLMRDGTEYVSRYSRKSLRVLGSVGEPINPTAWRWFYDVIGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGREMEGECSGYLCIKKSWPGAFRTLYGDQDRYETTYFKPFAGYYFSGDGCRRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVAYSDDLRKSLIMTVRGQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPGVVDQLIALSDS >KQK97098 pep chromosome:Setaria_italica_v2.0:VII:19071541:19077355:1 gene:SETIT_009449mg transcript:KQK97098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHIYATTSGCARPAIPPLFPAPTPTLPLPSSSSRLRRRRGSHSPSPSPTPMGATGHLGALAVAAGAAKPRNGGRPCAAVLGDPLPALDDDPGLLVHPSADFAAQALVSSTQQYREMYQRSIDDPAGFWSEIAEEFYWKQKWNPDAVCSENLDVTKGPIKIEWFKGGKTNICYNAVDRNVESGNGDKIAMYWEGNEPSDDGKLTYSELLDQVCQLANYLKSVGVGKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSADAIAQRIIDCKPKVVITCNAVKRGLKAIPLKDIVDTALVESAKNGVDVGICLTYENQSALNKVDTRWKPGRDVWWQDVVPNFPTKCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKHAFDYKPADVYWCTADCGWITGHSYVTYGPLLNGATVLVFEGAPNYPDPGRCWDIVDKYGVTIFYTAPTLIRSLMRDGTEYVSRYSRKSLRVLGSVGEPINPTAWRWFYDVIGDSRCPISDTWWQTETGGFMPVIVDEKGREMEGECSGYLCIKKSWPGAFRTLYGDQDRYETTYFKPFAGYYFSGDGCRRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGIDHEVKGQGIYAFVTLVDGVAYSDDLRKSLIMTVRGQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPGVVDQLIALSDS >KQK96150 pep chromosome:Setaria_italica_v2.0:VII:1007551:1007928:-1 gene:SETIT_013022mg transcript:KQK96150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQQKTLTCHISVLFPPPHLSISGIKSMELQTDAYKRAKLLTPSSMTPDVRYIVR >KQK96808 pep chromosome:Setaria_italica_v2.0:VII:15705517:15706112:-1 gene:SETIT_011292mg transcript:KQK96808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARMVTITSILLLSLLVVATAAADTAAAAVVGGRRDIKDVGSNKLVQSLGQFAVAEHNRHLRRNGGVGTSSDPVTALLSFRAVAAAQQQVVAGVAYYLKVIARDHGGGDRPFDAVVVVKAWLKSKELVSFTPSPK >KQK97876 pep chromosome:Setaria_italica_v2.0:VII:23710294:23711500:-1 gene:SETIT_010884mg transcript:KQK97876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIQCDACEGAAATVVCCADEAALCARCDVEIHAANKLASKHQRLPLDGEGAAGDLPRCDVCQEKPAFIFCVEDRALFCRDCDEPIHVPGTLSGNHQRYLATGIRVGFSSVCGAGAGAGAEGLPPPKGSSNSKPAATAGAAKTPPVVKDAQPQEVPSSPFLPPSGWAVEDLLQLSDYESSDKDSPLGFKELEWFADIDLFHGHAPAKTTTAEVPELFASPQPATNAGFYKATGARQSKKPRVQLPDDEDDFFIVPDLG >KQK97430 pep chromosome:Setaria_italica_v2.0:VII:21181247:21182118:-1 gene:SETIT_011271mg transcript:KQK97430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMVATPVQLRTSTGRLSYSSSSPSAARRRFAAVRASAETMATEKLGIRVERNPPESRLSELGVRQWGCEKSKFPWTYSAKETCYLLQGKVKVYPEGHGEEFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYNFE >KQK97429 pep chromosome:Setaria_italica_v2.0:VII:21181519:21182057:-1 gene:SETIT_011271mg transcript:KQK97429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPMVATPVQLRTSTGRLSYSSSSPSAARRRFAAVRASAETMATEKLGIRVERNPPESRLSELGVRQWPKWGCEKSKFPWTYSAKETCYLLQGKVKVYPEGHGEEFVEIAAGDLVVFPKGMSCTWDVAEAVDKHYNFE >KQK98841 pep chromosome:Setaria_italica_v2.0:VII:29545608:29561028:-1 gene:SETIT_009192mg transcript:KQK98841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSSPSPAAAPVQVRCAGCRGVLAVGPGVTEFICPKCRMAQRLPPQLMPKSTSSSSLSPPPKSPAKPSFPPPTQPRKGAPPAQGVDPTKIQLPCAHCQAVLNVPHGLARFRCPQCGVDLAVDHAKLQNFLASSNSAPPSGPAPASGLTTQVSPVPFLPILPPGVAQPLQPVAGVTIPMVLPAVEPEEINEVAIDVEREEDEGGTVGETFTDYRPPKLSLGLPHPDPVVETSSLSAVQPPEPTYNLNIMDELDDTKALSCLQIETIVYACQRHLHHLPTGDRAGFFIGDGAGVGKGRTIAGLIWENWQQGRHKAVWVSVGSDLKYDAQRDLDDVGAKCVQVHPLNKLPYSKLDSKAIGIKNGVIFVTYSSLIASSERGRSRLQQLVQWCGHEFDGLLVFDECHKAKNLIPDAGSQPTRTGKAVLEIQEKLPEARVVYCSATGASEPRNLGYMVRLGLWGEGTSFHNFTQFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGADFDIVEAPLEERMMNMYRKAAEFWAELRLELISASEFFAEEKGNSNQIWRLYWASHQRFFRHMCMSAKVPAVVRLAKEALAENKCVVIGLQSTGEARTEEAVTKYGVEMEDFVSGPRELLLKLVEENYPLPPKPDSFQQGEEKVTEIQRKRHSALDVSFKGRIRKVAKMVDVSDDDTDDYSPSESDHESTESDEEFHMCQICNTEEEKSLLLHCSGCSRHVHPSCLTPPWTGMMTDDWACYTCKIVEDEEKEQDAHVADFSKRYDAALEKKLKILDIIRSLDLPNNPLDDIIDQLGGPDNVAEITGRRGMLIRASDGKGVVYQARNAKEVSMEMINMHEKQQFMDSKKLIAIISEAGSAGVSLHADRRAKNQRRRVHITLELPWSADRAIQQFGRTHRSNQTSAPQYRLLFTNLGGEKRFASIVAKRLESLGALTQGDRRAGPSLSAFNYDSNYGKKALTMMYRGIMEQDSFPVVPPGCSDDEASIQAFINEAKAALVSVGIIRDAVVCNGKDGGKLSGRIVDSDMHDVARFLNRLLGLAPNIQNRLFDLFTSILDVVLHNARIEGQLDSGIVYIKAKNAEMKESPKTVHTDSLSGALTVLFTFTIDRGVTWESAKAMLDERQKDGAGSSNDGFYESRREWMGRRHFTLAFEGSTEGMYKIIRPAIGEALRDMPLTELKSKYRKVSSMDKVSKGWQEEYDASSKQCMHGSKCKIGSYCTVGRRLQEVNILGGLILPVWGTIEKALAKQVRQVHKRIRVVRLVTTNDSQRIVGLLIPNSAVESVLTGLQWVQDIDD >KQK99768 pep chromosome:Setaria_italica_v2.0:VII:34658421:34663738:-1 gene:SETIT_010141mg transcript:KQK99768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPPPGAVTVCEINRDLVAADSLSDDRAKEAYGDVLGMVFSPIPFQPDALPPIREPPAAEQTESNENVPAASVTSSISEFFKRMIFPPQEPNLLQKFDTQKISWNPHKHCLAFVSGKNQVTVHDFEDSDAKEPCILTSDHVKAIEWRPNSGKMIAVACKGGICLWSASYPGNAPFMKAGVTSSSLSAFRSGDQWILVDVLRDSSAEQVSALCWKPDGRYLASASCNSPSFTIWDVSQGLGTPIRRGLSNISLLRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIEKLAWDASGERLALSFKDGNEMYRGLVAVYDVRRSPLVSLSLVGFIRGPGEGAKPLAFAFHNKFKQGPLLSVCWSSGWCCTYPLILHSH >KQK99769 pep chromosome:Setaria_italica_v2.0:VII:34658862:34663738:-1 gene:SETIT_010141mg transcript:KQK99769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSFPPPGAVTVCEINRDLVAADSLSDDRAKEAYGDVLGMVFSPIPFQPDALPPIREPPAAEQTESNENVPAASVTSSISEFFKRMIFPPQEPNLLQKFDTQKISWNPHKHCLAFVSGKNQVTVHDFEDSDAKEPCILTSDHVKAIEWRPNSGKMIAVACKGGICLWSASYPGNAPFMKAGVTSSSLSAFRSGDQWILVDVLRDSSAEQVSALCWKPDGRYLASASCNSPSFTIWDVSQGLGTPIRRGLSNISLLRWSPSGDYLLAAKFDGTFHFWETNTWTSEPWSSSNGYVSGANWDPEGRVALLSFSNSTTLGSIHFSSKPPSLDAHLLPVELPEISSLIVSRGIEKLAWDASGERLALSFKDGNEMYRGLVAVYDVRRSPLVSLSLVGFIRGPGEGAKPLAFAFHNKFKQGPLLSVCWSSGWCCTYPLILHSH >KQK97284 pep chromosome:Setaria_italica_v2.0:VII:20263892:20264312:-1 gene:SETIT_011671mg transcript:KQK97284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKTTHELHFHGTIYLHRIKKYMLLDVSNFNILPPSQNIATFRFFFQSQIFLTLINNISKNNLFQIKKSNRKGFTLKNSKPSYILRRRKYLYCMNFRRVYNDHIYVSLVF >KQK99247 pep chromosome:Setaria_italica_v2.0:VII:31795983:31800200:1 gene:SETIT_009776mg transcript:KQK99247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKQQQLATGGGPKMGAEKLIIRSEKVRFVDILSMLFLRRPITSYPFVEAGDQTAADVGSTPGDMFVLLTQIIQKALAAAYYPAVMIGTVVEFILNFIALNNGILGIFLNIFRCKLVIPLNREAPNFRSMIGMIDGRTELKPLPAGGGPDDRRLQVVGVSGAGKAATVGEDYYVDVESGECGGASVPLVHQQYVNGRLIRLRTFSIFEVTVMAAKIAYENAAYIENVVKNVWKFNFVGYYSCWNKFVGDHTTQAFVMTDKEADASVVVVSFRGTEPFNMRDWSTDVNLSWLGMGAMGHVHVGFLKALGLQEEDGKDAARAFPKAAPNADAGKPLAYYKLREVIQDQLKKHPKANLVVTGHSLGGALAAIFPALLAFHGERDVLDRLISVVTYGQPRVGDKVFAAFLRANVPVELLRVVYRYDVVPRVPFDAPPVAEFVHGGTCVYFNGWYKCTAIADGGDAPNPNYIDPRYLLSMYGNAWGDLVKAAFLGMKEGKDYREGAVSLLYRAAGLLVPGLASHSPRDYVNAARLGSMTAKEA >KQK97652 pep chromosome:Setaria_italica_v2.0:VII:22400144:22400619:-1 gene:SETIT_012518mg transcript:KQK97652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNPCSRSRAMEVAAKANGITGDFKDRLVVVGEGIDIACLVQCLRKKLCCHAVTILLVEGSERQEARGEEEGGRAQALRVPSAVLARRLLLPHAAAAAAAASDVFCCEETPANDCHIM >KQK97900 pep chromosome:Setaria_italica_v2.0:VII:23857257:23857529:1 gene:SETIT_012461mg transcript:KQK97900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPEGQVVGRWRRRRTSWVARRGFWPHALPPSFGPDRTRTRRDGLIRLVAGVRAGAGAGAGRAWAWWDVVTAAVLREARAPAAIMPAGV >KQK99944 pep chromosome:Setaria_italica_v2.0:VII:35491873:35496673:-1 gene:SETIT_009778mg transcript:KQK99944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATALALHSPPAAVVTRCSPEKLAFASLHLRCSSTGSFHLRASRNPALRALSLGWRRRRRRGNGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENITEPMRDIRRSLLEADVSLPVVRRFVSSISEKALGADTIKGVRPDQQLVKIVHDELVQLMGGEVSDLVFAKSGPTVILLADTYIRVRLQGKSCMLVAADVYRPAAIDQLTILGEQVGVPVYSEGMGAKPPQITKNAVEEAKSKNIDAIVVDTAGRLQIDKAMMDELKEVKKAVNPTEVLLVVDAMTGQEAAALVTTFNIEIGITGAILTKLDGDSRGGAALSVKEVSGRPIKFVGRGERLEDLELFYPDRMAQRVLGMGDVLSFVEKAQEVMRQEEAVELQKKIMSAKFDFNDFLKQSQNVAKMGSMSRVIGMIPGMNKVTPAQIREAEKRLAFVESMINAMTAEEREKPELLAESRERRIRVAEESGKTEQEVSQLVAQLFQMRAQMQKLMGMVQGQEAIAGMGDLMDSLKAEEKAPPGTARRRRRNIKPKQRNLDAVLS >KQK98393 pep chromosome:Setaria_italica_v2.0:VII:26711419:26712894:1 gene:SETIT_011391mg transcript:KQK98393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPCGSAMYDRVGLTHGPPYRWVQLTGASAHTLWSVQVLRHILCGVECSLLASKDQVSLPPVAVSIFVHIVRLVTFVLLRAGFGSRDSAQPVGGLEDQCKEFKVLTCFDLL >KQK99635 pep chromosome:Setaria_italica_v2.0:VII:33943037:33944415:1 gene:SETIT_010588mg transcript:KQK99635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPRATAIADDRCCPAAFAADGDAATAIEDLPADVMALVLRRLDGASLAALGCSCAAFRDLAADPDAWRALCLALWPSLRDVPAADHKGHRRLFADAFPLPSAAASPAPALDPAHRLPARLVSAVDLHHRGAGIMSRVVETDAASGWFLGAPFRVDALVQEGFTAPAPIAPAELTLSWVLIDPSTGRAVNASSRRPVSVERRWLTGETVARFAVVLGGGGGVALDAAVTCDERLGHVREVSLCIVDGEGSGVSGRDGLAVVAAAMAGARQGRGAEDAARLRYEEFVKGRAARKERKARREGFIDLCCSSVGAAAFLGFLVMLTFR >KQK96302 pep chromosome:Setaria_italica_v2.0:VII:3196400:3197047:-1 gene:SETIT_011605mg transcript:KQK96302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNTIVCSHYFECFARSKKHKLAYLAGNVTGQPVQAFIQSLASCSTSALNVPISKD >KQK98305 pep chromosome:Setaria_italica_v2.0:VII:26171545:26171924:-1 gene:SETIT_0121211mg transcript:KQK98305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQHQDGGGSQYGAAPPDMGPFSPPAASGPMPLSSRPPSATQPPPQQQQQQPRVTYEELAAVSGAGAGGFDDEMLGGSGGGGSSGASSNRWPREETQALIRIRSEMDATFRDATLKGPLWEDVS >KQK99224 pep chromosome:Setaria_italica_v2.0:VII:31624625:31625188:-1 gene:SETIT_012383mg transcript:KQK99224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRSSRTKPLHWTSCLKIAEDVAQGLAYIHQASRLVHGNIKSSNVLLGSDFEACLTDNCLSFLLESSEVKDDAAYRAPENMKSNRMLTPKSDIYAFGVLLLELLSGKPPLQHSVLVASNLQTFVQSAREDEGVDSDRISMIVDIAAACVRSSPESRPAAWQVLKMIQEVKEADTTGDNDNDSDLTSNS >KQK99043 pep chromosome:Setaria_italica_v2.0:VII:30627790:30631761:-1 gene:SETIT_010034mg transcript:KQK99043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSGVSPCDLDREFAPQIAQLLATPPLQSAQEYYDELIRSKKHDGIRVSYSSKHGKGVCANRDFAEEDLILKDQMVVGAQHSLNKIDCVVCSYCFCFIGSIEFQIGRRLYLQSIGASIDSTSERHCHGSDVGSSTGCSGSTNGNSNDVPQGVVMSLMDGDTSLPFTDQFRLPSVVACPGGCEGELYCSQSCADSDWDSYHSLLCTGSKTEPLRRSALQKFVQHANGTNDIFLVAAKAITFTLLRYKKLKRQPASHESSFSLLMEAWKPLSMGFKKRWWECVALPEDIDSSEEDSFRQQMRDLAFESLQLLKDAIFDPELFSLDVYGHLIGMFELNNLDLVVASPVEDYFIHIDDLPESEKEEVEKVTGLFLDALGEDYSVPCEGTAFFPLQSCMNHSCCPNARAFKRDEDKDGHAVILALKPISKGEEITISYIDEDLSYEERQAQLADYGFTCACSKCQEERPI >KQK99622 pep chromosome:Setaria_italica_v2.0:VII:33852953:33853553:1 gene:SETIT_012923mg transcript:KQK99622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAAGQDQEEDVGVAVEKESSDPRADFRESMVQMVVEMGLCGWDDLRCMLRRLLALNAPRHHAAILAAFAEVCAQLTAPPPHPAYHAYDYHYHY >KQK99899 pep chromosome:Setaria_italica_v2.0:VII:35292117:35293319:1 gene:SETIT_011807mg transcript:KQK99899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASISGSCTCYPSITPARGNRRSRTVVRSSFAPCSPASKPSTTLRIGRKWPELQGARDWDGLLSPLDGALRGELVRYGEFVRAAYASFDFDGGAPSYGSCRFPSRSLLRRAGLPETGYQVTRLLHAASTSAPGWLSPCSSSYIGYVAVCDDEDEIERLGRRDVVIAYRGTATCSEWLDNFKSSLTRLPSSSTAAPSSSDAGEEEEEEPMVESGFWRLFTTPGEAHSSLQQQVRDEVQRIVHEYGGKGMPPLSITITGHSLGAALAVLSAYEITTAAAQQGVDAAPMVTAVSFGGPRVGNAAFRRRLEESGGKVLRVVNSNDIVTKVPGFPVGGDERCSQGGEPAKRKARVPRWLVSKMGWAYSDVGRELRLSSRDSAPNNVVASHGLDLYLQLVAACTD >KQK98804 pep chromosome:Setaria_italica_v2.0:VII:29271541:29271759:-1 gene:SETIT_011849mg transcript:KQK98804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGAVRALWGAEEERVVGTHKAPGACPRCGGAVMATDVESERRILCLPLCLKSKRKYSCTRCLRRLVTLYS >KQK98278 pep chromosome:Setaria_italica_v2.0:VII:26013011:26013413:1 gene:SETIT_011674mg transcript:KQK98278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCAPVTMLLMLSRRGYATAGGVEVHQCATPAATMSARVFGVWGGSSAASSSREIFWTRDPRRSAGLLAPKNRFADVDAAELHTRMQEGLWRTWLNFWTP >KQK98401 pep chromosome:Setaria_italica_v2.0:VII:26759940:26781273:-1 gene:SETIT_009158mg transcript:KQK98401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAREVSDSSSPPAPAPPTPRPEEGSEEEQFEAVALGDEAGVEEEEPASVPGMGASTPATPVTPYEPSPRPRRPPRPPGAPADAPPEVVRAVEAAIAGGPDLLREVASQEQGELAHSVVDVLLGTMGGADEAGDSTGTGAPPSVMSNARAAVVAAELLPCLPCDDEPSPRTRMVAGLHAALRACTRNRAMCSCAGLLAALLDSAEKLFVEMDPGSSWDGAALLQCIEVLGGHSLSVKDLHSWLGLVRKALGTSWATPMTLALEKAMGSEEARGPAVTFEFDGESSGLLGPGDSRWPFLNGYGFATWIYIESFSDTLSTATAAAAIAAAAAATSGKSSAMSAAAAASALAGEGTTHMPRLFSFLSSDNQGVEAYFHGQFLVVESVGGRGKKASLHFTYAFKPQCWYFVGLEHTNKHGLLGKGESELRLYVDGSLYESRPFEFPRISKPLAFCCIGTNPPPTIAGLQRRRRQCPLFAEMGPIYIFKEPIGSDRMRRLAFRGGDTLPSFGNGAGLPWKATNDHVKSMAEESFALNNELAGGLHLLYHPSLLTGRFCPDASPSGSSGTQRRPAEVLGLVHVSSRVRPAESLWALAYGGPMALLPLTISNVQMDSLEPIPGDLSLSLATVSLSAPVFRIISLAIQHPGNNEELCWTFAPELLSRVLHYLLQALSKVESGEEALTDEELVAAVVSLCQSQRNNHELKVQLFSSLLLDLKLWSSCNYGLQKKLLSSLADMVFTESACMRDAKAMQMLLDGCRRCYWAIQEPDSIDNFAFTGTKRSLGEVNALVDELLVVVELLLGAASSTAASDDVRCLIGFIVDCPQPNQVARVLHLVYRLIVQPNISRANMFSQSFISSGGVEALLVLLQREAKAGNKNILDDSGANLSENDVHRDRSSSRKVESADTRCQVDETQSTEHHETIFHEEADEHEASNANDMLESNIGSRVPGSENGLLKNLGGISFSITSDNVRNNVYNVDKGDGIVVGIIHILGALVASGHLKFDSDAATPNIPGGSQNALNEEGNPVSEDRVSLLLFAFQKVFQAAPRRLMTANVYMALISAAINVSSADESLNLYDSGHRFEHIQFLLVLLRSLPYASRAFQARAIQMGTKKNADGVSIGEIEDLIHNFLIIMLEHSMRQKDGWKDVEATIHCAEWLSMVGGSSTGDQRIRREESLPIFKRRLLGDLLDFSARELQVQTEVIAAAAAGVAAEGLSPEEAKAQAENAAHLSVALAENAIVILMLVEDHLRSQGQHFCTSLAGDSIVSSTSVASLAASRSNSLGTAGKEPTAAGASRRSSLSSDAGGLPLDLLTSMADANGQISAAVMERLTAATAAEPYESVKHAFVSYGSCIADLGESWKYRSRLWYGVGIPPKSDIFGGGGSGWESWKSVLEKDSNGIWIEFPLVKKSVAVLQALLLDESGLGGGLGIGGGSGPGMGVMTALYQLLDSDQPFLCMLRMVLVSMREDDKGEGDAFMKDNNIKDVVSEGMGHQAGSMMPFDGNSYSSPEKPRSALLWSVLGPILNMPITESKRQRVLVASSILYSEVWHAIGRDRKPLRKQYIELILPPFVAILRRWRPLLAGIHELTSSDGQNPLIADDRALAADALPIEAALLMVSPGWAAAFASPPVAMALAMMAAGASGTETRTPPRNTVNRRDTSLPERKAASKLQTFSSFQKPIETAANKPGSTPKDKAAAKAAALAAARDLERTAKIGSRRGLSAVAMATSGQRRSSGDIERAKRWNTSEAMSAAWMECLQSADSKSVAGRDFSALSYKYVAVLVSCLALARNLQRVEMERQTLVDVLNRHRASTGLRAWRHLLHCLTEMGRLYGPFGEPLCTPVRVFWKLDFTESSSRMRRFMKRNYKGSDHLGAAADYEDRKLLSTAAQSNECNSEDANSSLANALPSSASVIMADAMSMDERNAENEQLETDTTHSSVDDDQLQHSSAADKQSVKGSVGSRSSDICADRNLVRSTVLAPSYVPSEADERIIVELPSLMVRPLKVVRGTFQVTSKRINFIIDECSSDNNIDDAASTSGQCDQQDKDRSWLISSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGDIDARKNAYRAIVHTKPPNLNDIFLATQRAEQILKRTQLMERWANWEISNFEYLMELNTLAGRSYNDITQYPVFPWIVADYKSGVLNLDDPSTYRDLSKPIGALNPERLKKFQERYSTFEDPIIPKFHYGSHYSSAGTVLYYLFRVEPFTTLSIQLQGGKFDHADRMFSDLSGTWDSVLEDMSDVKELVPEMFYLPEVFTNVNGIDFGTTQLGGKLDSVELPPWAENHVDFVHKHRKALESEHVSAHLHEWIDLIFGYKQRGKEAVMANNVFFYITYEGTVDIDKITDPVERRATQDQIAYFGQTPSQLLTVPHMKRKPLAEVLQLQTIFRNPNELKSYVLPHPDRCNVPASAMLVSNDSIVVVDVNAPAARVALHHWQPNTPDGQGTPFLFHHGRNAANSTGGALMRIFKVSAGSAEDYEFPRAIAFAASAIRSSAVVAVTCDKEIITGGHIDGSLKLVSPDGAKTIETASGHLAPVTCLALSPDSNYLVTGSRDTTVILWRVHRTGSSHKKNAPEPPPTTPTTPRSPLSSNTSSVSNLSETKRRRIEGPMHIMRGHLGEVTCCSVSPDLGLVASSSNTSGVLLHSLRTGRLIRRLDVCEAHAICLSSQGIILVWNESKKTLSTFTVNGLPIATSILTPFSGQVSCIEISTDGHFALIGTSSFNNYKCDEITESGDHELGPSGKDDVSKDSEQSETEQSVHVPSACFVDLHRLKVFHTLKLAKGQDITAIALNKENTNLLVSTADKQLIVFTDPALSLKVVDQMLRLGWEGDGLLQ >KQK98248 pep chromosome:Setaria_italica_v2.0:VII:25875537:25876411:-1 gene:SETIT_011478mg transcript:KQK98248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQKVVLKVSSMSDDRVKQKAMETVADIYGIDSIGADVKEQKVTVIGDMDPVEIAKKLKKFGKIDIVSVGPAKEEKKDDKKGGKK >KQK96448 pep chromosome:Setaria_italica_v2.0:VII:7547562:7547993:-1 gene:SETIT_012823mg transcript:KQK96448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNYSVQIEQAKNNNFEKPSQFGSLTSLGTTPLSIT >KQK99681 pep chromosome:Setaria_italica_v2.0:VII:34194619:34195866:-1 gene:SETIT_010764mg transcript:KQK99681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHNSRYLPQSSPSDHPSFVSDHRSAMKEIARGQSLVTQLRAIVLPALQADQRCELVAQMFQNILDCSSKAITELQLHHQSNARADDALVDDKKRVRRISSDDCIKEEGATANLHHQHKRRKSDDLVSLETPVPHYDGRQWRKYGQKHINKAKHPRSYYRCTYRQEQDCKATKTVQQQDDSAGTDHPVMYTVVYHGQHTCKDNNGVESGTDDSETNTQGSSDSRSSISTTCTDAYEHQTSLDDNKPLDKSADLITKNCMYEPFDMSAFAPSDSDSWELDALLRFGA >KQK99049 pep chromosome:Setaria_italica_v2.0:VII:30659440:30659907:-1 gene:SETIT_011330mg transcript:KQK99049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCCYVGKATKIFLCLVAALLVAGLVLGFGLARHTWGANRAQPDCHWPDCQQQPAEEGPVYGDPLLPATSGAATTPPTNPLTQPAVAAFPGVASSSSSGSAAAPPTGVPYFGPPGPFVVGLGPAAHA >KQK96595 pep chromosome:Setaria_italica_v2.0:VII:12669377:12670366:1 gene:SETIT_011459mg transcript:KQK96595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILVGIFSTKKKNQTRGNFFYYITYTHDNSCLPKYFGAFTCETASSRLFVILFYHKYIFSWHINQGTINERKSNECFNNLLLLLPFISITL >KQK96664 pep chromosome:Setaria_italica_v2.0:VII:13631210:13632640:-1 gene:SETIT_011787mg transcript:KQK96664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DKSFDELAKRKEQKNGNISAEDFDEVFGNVIAKESKPRGYYDNKYWSEVKISQGLTFVWQSANEVNHSEIKGVENKMEHMSDKVDRIHAFLERKFPGECWVNEMVASQNDVVERVYDNDIQCDSNGSNEHHSDDKLEESIANAQPPKTTVQDQKQVYLMSLRHENKPVAKGNLVTTDSTHVVGGNMLGYEYIAVAVHVVSDIGDEDLPRPYDNICTVSDAIGYVIAWPQSRVSLSPY >KQK97939 pep chromosome:Setaria_italica_v2.0:VII:24089783:24094559:1 gene:SETIT_009939mg transcript:KQK97939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQQRRSNAATTTSHCQRLLSTKSCCYHQTVAGFVQSFARFGDPRSDPRRRVSAEMAITVRRSTMVRPARETPRQRLWNSNLDLVVPRFHTPSVYFYRRGGGPEAEGFFDGERMRRALAEALVPFYPMAGRLARDEDGRVEIDCNGEGVLFVEADAPNAAIDDYGDFAPTMELKRLIPAVDYTDDISAFPLLVLQVTYFKCGGVSLGVGMQHHVADGMSGLHFINSWSDLCRGAQISVMPFIDRTLLRARDPPTPSFQHIEYQPAPAMLSSTPQSLTSISKPPATAVDIFKLTRSDLGRLRSQLPTGEGAPRFNTYAVLAAHVWKCVSLARGLTPEQPTKLYCATDGRQRLQPPLPDGYFGNVIFTATPLAEAGKVTSGLAEGAAVIQGALDRMDNDYCRSALDYLELQPDLSALVRGAHTFRCPNLGLTSWVRLPIHDANFGWGRPVFMGPGGIAYEGLAFVLPSANGDGSLSIAISLQAEHMVKFRKLIYEL >KQK96291 pep chromosome:Setaria_italica_v2.0:VII:3104478:3104870:-1 gene:SETIT_011303mg transcript:KQK96291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSDFAPFLWFCLVEACSSFHKISHFKFTAFIFSGALGSSSSNLEQARGYTSSPLAALRPNMSAPGSRSLHTSRPLAAPVANRPLSPHLPLKKPQFSATFSISHRIFGVALGVAIISVPLATKFSLMFGV >KQK96290 pep chromosome:Setaria_italica_v2.0:VII:3104185:3107652:-1 gene:SETIT_011303mg transcript:KQK96290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKYNNNTRFAPLRDAPFAVRGALGSSSSNLEQARGYTSSPLAALRPNMSAPGSRSLHTSRPLAAPVANRPLSPHLPLKKPQFSATFSISHRIFGVALGVAIISVPLATKFSLMFGV >KQK97352 pep chromosome:Setaria_italica_v2.0:VII:20732123:20734570:-1 gene:SETIT_011933mg transcript:KQK97352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPPPPRHLLLSLLALLALLPPLLLASSSFPFPTIAIAAVGANATACPHHVACALVPTGTGTADYQISCASITNSSAQHHNYSYGGESTPFSAVVAGDGYLCSAGPSVSSQPMSMRWWDLRDSEATSKRVYWGKALSAVSGGGEYVCGIVEERIQCWRWSSGTVPERVRFSAVAVGGGFVCGLVKGSGEVRCFGGGDAARWEPKGRHAMLAAGERHACAVRAESGEVVCWGEAAAVAAASPSPRIAGRAVSSLAVGDAVTCVLWGNWTVACWPPEEAAPPRSVAQKQFVALEAKGKVVCGVLMSDYSLVCWGPGVQAGAGAPGGVSKVFDRVLPGPCAPWASCLCGVWSGSGPLCGGAGVAAVCYPCGYSPPPMRALAPTPSSSTSSSHSSGKRRPSDLAIALVSAGIGSGVLAAIAAVVVYCLRRRRGGGSQDSGRIHAEPNGPAAPRVERRLSALLSKGPNTTVEQFPLVALRAATSGFSPSHRIGSGGFGTVYRASLADGREVAIKRVERRDPGAASSSSATAAAARRASSHEAAFVSELALLSRVNHKNLVRLLGFCADGGERILVYEYMPNGTLHDHLHRRPPAAPLSPPLTSWPARLRLALGAARGIEYMHTYAVPPIIHRDIKSPNILLDADWTAKVSDFGLSLLNDLSAGCGNAGGDVDDEPCLTAGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELLSGCKVIQRFEGSGTPKNVVDVTVPHIEADRVHRVLDARLPLPTPGEMEAVAYVGYLAADCVRPAGRDRPTMSEVVGVLERAVAACEENDEGGGGEAVLSRSCTDGSTT >KQK96451 pep chromosome:Setaria_italica_v2.0:VII:7742248:7744896:-1 gene:SETIT_011108mg transcript:KQK96451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCLHGPDTKKSSVGSFTLKFDLHKEKPGIRKERIGEESTWMLSRFYPILENLIEKLSKGELPKDEYHCMNDPSPSFRGIPGSTSAQTSPANQPAQSMRSRRIGGTWARHRNSDDGYSSDSVLKHASSDFRKLGQRLFIFVIGGATRSELRAAHKLSGKLKREIILGSSSLDDPLQFITAHTGERGVIFIR >KQK96450 pep chromosome:Setaria_italica_v2.0:VII:7742466:7744854:-1 gene:SETIT_011108mg transcript:KQK96450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCLHGPDTKKSSVGSFTLKFDLHKEKPGIRKERIGEESTWMLSRFYPILENLIEKLSKGELPKDEYHCMNDPSPSFRGIPGSTSAQTSPANQPAQSMRSRRIGGTWARHRNSDDGYSSDSVLKHASSDFRKLGQRLFIFVIGGATRSELRAAHKLSGKLKREIILGSSSLDDPLQFITKLKMFSIEELSLDDLQI >KQK96257 pep chromosome:Setaria_italica_v2.0:VII:2599337:2601778:-1 gene:SETIT_013095mg transcript:KQK96257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAAGEGETVRASHILIKHEGSRRKASWKDPDGRVISATTRADAAARLLDLRNQILAGQANFADLAARHSDCSSARRGGDLGTFGRRQMQKPFEDATFALKVGELSDLVDTDSGVHIILRTA >KQK98197 pep chromosome:Setaria_italica_v2.0:VII:25587632:25588006:1 gene:SETIT_012932mg transcript:KQK98197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSMRSSFTPTSDSTTRLGPGPLPPYLPLTDSLSLTDLSSSKLLFSDEEELRSATASRIEQAFDTHAGRAKVGAAVAVAALVLCWW >KQK96201 pep chromosome:Setaria_italica_v2.0:VII:1643252:1646673:1 gene:SETIT_010831mg transcript:KQK96201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYIVGESYGGKHAAMLGVSLSRAIRAGTLKLTLGGVLLGDSWISPADFSLSYAQLLHYVSRLNDNAVADVNKMAVMVKEQMLAGQYATARQTFTDQLDLIDSQTDSVNMNNFLLDAGMNLVLTDSNLKTNSSSNRTTEESGSAPNNTIDGDMNGPIKKMFKLIPKGLFWQEASIDVYDALVNDFMKPAIEQVDELLSHGVNVTVYQGQLDVICSTIGAEAWVRKLKIEDTNLMMSPEASVFTIFHCRSSCKYVVDFPYPLPKVRGSYIYIDM >KQK99399 pep chromosome:Setaria_italica_v2.0:VII:32767290:32769140:1 gene:SETIT_011780mg transcript:KQK99399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSDDETPAFTNTGSSKGLVTIKPVKYFKDDAALTADTVTAEVEINATSSTTVREGLDLVAVLDVSGTMDGEKMNSMKKGMLFVIMKLTPVDRLSIVTFSDVATRLSPLRSMTLAAQQDLNALVDGLQANGGTNIQAGLETGLAVIAERVHTKARTANIFLVSGGHQTSGNARQVEHGQVAMYTFGFGRDMDHQLMSDIARKSPGGTFSSVPDGSQVSLPFSQLLSGLLTVVAQDVELTITPNPYTDQADVDTIVVAPGTDYTQDTDTATGVITVKFGTLFAGEGRRVLVTLTLKDVSATVSEEYEVTLAEVQHSFTAQGRPRDPQIPHDILIRRTPSPSQAPDASSRVRQVQAEIARRNHAEVIKQARLLADDGQLDDARGKLVDAHKALDDIVLDRLDDGPKLVNALRAELVQLIKLMETEDLYEAKGRAYALASETYHGRLGGDDVDVRLFATPRMDTYREQAKNFEKDPTAPVPTADED >KQK97428 pep chromosome:Setaria_italica_v2.0:VII:21176106:21177806:-1 gene:SETIT_012318mg transcript:KQK97428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDIDGKLLCQYSDHPALLQAQQPFDRILSSPPISAKNDDAANKGNMDQADDLLLVSSGDKSTLSLAFSDGEYVVGEFLKGMEDANRFLPSDNSFIKGHQMNQMFIRSKRKHLEEEVGRTSKIMMTTTEVPEETGIHEMLDDMMTGGDEALIRDMEKLRTAMSNKEEKKKRRKGSSKVTRDMVDLSTLLIRCAQAVDRSNYLIGGELLNQIKQHASTTGDAMQRLAQCFSKGLQARLMGTGKQLRNLLIADRPSAMEFLKAYNLYMSACYFNKVAHIFSALTIAQVMKGKSRLHIVGYGIHGAFQWAGLIRWLAKREGGPPLGMKITTICCSHPESFPVQWIEEQGYRLSKYASELGLPFVFEVVTAEREKVCIQNLNVDADEVLVVSDLFNLSTLKDESIFFDSPNPRDTVLSNIKKMRPNVFIQSVLNCSNGSCFLSRFRQKLFYYSALFDMLDAIVPRESEPRSVLEQELLGRYVLNAIACEGIDLAQHPEKYRQWQSRNQRAGLRQLPLRPVVVNVLKDKVKKHHHKDLLLSEEGQWLLQGWKGRVLFAHSTWVVEDGSSE >KQK96869 pep chromosome:Setaria_italica_v2.0:VII:16719002:16721781:-1 gene:SETIT_011120mg transcript:KQK96869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAGEAAGATTPEAPSPFSDDWKERIIFPAAGASVVGAAFGLLSRHRARLGAARAAVTYAANLAIVAGCYGGARELARDARATRPDDPMNSVVGGLASGAVLGRIQGGHFGAVKYAVTFAAAGTAMDYAALKLAPEWHAWKEQLSEKKDWFTLPEWSPIQVLDEEALAKKKAREEKLFAQRALGKLDKEDS >KQK98541 pep chromosome:Setaria_italica_v2.0:VII:27819117:27821193:1 gene:SETIT_009893mg transcript:KQK98541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSPPSQPALLALTLVLLLALYLARRRRAAGKNRKYPPVAGTVLHQLFNFGRLVEYQTELARRHRTFRMLTPTSNYIYTVEPGNIEYILKTNLANYGKGSALHELAEDLLGDGIFNVDGAKWRHQRKVASHEFSTRVLRDYSSAVFGDTAAELAGIVAAAARGAGERLDISDLLMRSTLDSIFKVGFGVSLGVLSGSSEEGVAFARAFDNASEQVLHRFLDPFWKAKRLLNFSSEAAMKRWLRTINAFIYAVIDRKIEQMGRDQQEFAKKEDILSRFLLERERDPGCFDNKYIRDIILNFVIAGRDTTAGTLSWFLYVLCRNQAIQDRIAEEVRAAATGGRDVGAQELVACLTEDAIGKMHYLHAALTETLRLYPAVPVDVKCCFSDDTLPDGYAVNKGDMVNYQPFPMGRMEFLWGADAEEFRPERWLDGDGVFVTESPFKFTAFQAGPRVCLGKEFAYRQMKIFVAVLLSMYRFEMWDADATVGYRAMLTLKMDRPLYVRASLRR >KQK96655 pep chromosome:Setaria_italica_v2.0:VII:13462905:13465225:-1 gene:SETIT_010685mg transcript:KQK96655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGESSMVAMGFGLYWQSPPRFLLEPLDLAGAAVDDSMYAYVPPYDEAESLSGLCSSYAPGHSSSPDGGADSCSTPAAVAPPPPAVATRNTAMERGRRRRLNEKLYALRSVVPNITKMDKASIIRDAIAYVEHLQEQERRVLADISALRQSSATATATVKTEGAPATEDAGRSFLPRKKMRRALAIACANDATRSITTSSPPVQILEVEVSEAGERVAVVSIRSSRGRDAVSQVCRALEPLGLGVLTASITAAGDTVVHTMFVETGEMGGALLKEAILAALAQLDVTIGPLKSMSCWELDAAMES >KQK97677 pep chromosome:Setaria_italica_v2.0:VII:22594407:22599357:1 gene:SETIT_009453mg transcript:KQK97677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACHVCSVLAQLMLLWLGVAAAQKATSWKTLSGKAPAIVAKGGFSGLFPDSSPDAYGFVQYSSSPDTVLYCDVRLTKDEVGLCLPDIKMDNCTNIADIYAQGQKSYLVNGVPTSGWFSVDYNNTELGQVSLIQSIASRSPRFDSNFYPPLAVEDVRSKFKPPGIWLNVQHDRFYSQFNLSMRNYIISVSKRVVVNYISSPEVSFLTSVLGRVSKKTKLVFRYLDESTLEPSMNQTYGSMLKNLTFVKTFASGILVPKSYIWPTSADNYLQPHTSVVNDAHKAGLEIYAADFANDFMISYNYSYDPLAECLTFIDNGVFSVDGVLTDFPVTPSEAIGCFTNLNKSNTDHGKPLIISHNGASGDYPGCTDLAYQKAVDDGADVIDCPVQVTKDGVLVCMSSVNLMDDTTVARSQFASQTAVIKEIQSARGVFTFNLTWDDIVKNLRPIISTPLSTYRMDRNPRYRNAGKFMRLSDFLDFTKNKDLSGIMISIEHATFVAEELGFDMVDTVIKALGDAGYNNQTTQKVMIQSTNSSVLEKFKQQTKYDLVYMINEEVRDATPSSLVDIKKFASAVSVDTSSVFPEPHHFTMYKTNLVQTLQTAGLSVYVYTLMNEFVSQPYDFFADATVQINAYVKGAGVDGLITDFPATARRYKVNSCMNMGNSAPIFMAPPRAGDLMQIISKLAQPPALAPMPLLTDSDVAEPPLPPARSNSSTAPTHSGATRMHAHATHIPVLVTLAVLFAWCSLV >KQK99572 pep chromosome:Setaria_italica_v2.0:VII:33573209:33575108:-1 gene:SETIT_012459mg transcript:KQK99572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPANIGGKRTLARRVLNVPSKRSKHDSPVIAPSAAAAASASEVDAAASSSSPWASLHEDLVRLVEWRVLAGDFVDYVRLRAACQHWRSSTACPRGRGVLDRRFHPGHWMLLPEGFRLYPGHTRLRGRVRFFNLRTGAFASVAVPIFKDHYAMDSVDGLLLLQRDHDMAVRLLHPFTGDVVELPSLATLLAQLRALFHPVEVALMKEKECLLCILRKVCAAISLSPDDDGVVTVMLALTNPMAPRVAFRYLRGPAVDSRKLGSTHVGKKGKPSSEPSSPTPSSSPPPPKLIAACPLDKIRPPVFLAECDSEILLVGRTGKTRKHILMYRLADIIQGRAER >KQK98112 pep chromosome:Setaria_italica_v2.0:VII:25018087:25020976:-1 gene:SETIT_012256mg transcript:KQK98112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSTAIVTSDPLNWGKAAAELTGSHLDEVRRMVAQSREPVVRVDGSRLHVGQVAAVAAAKDASGVAVELDEEARLRVKASSEWVLSCIENGGDIYGVTTGFGGNSHRRTKDGHALQVELLRYLNAGIFGTGSDGHTLPSQVSRAAMLVRINALMQGYSGIRFEILEAIAKLINTGVSPCLPLRGSITASGDLVPLSYIAGLITGRPNAQAVTVDGRKVDAAEAFKVAGIEGGFFKLNPKEGLAMVNGTSVGSALAAMVCFDANVLAVLAVVLSAVFCEVMNGKPEYADHLTHKLKHHPGSIEAAAIMEHILDGSSLMKHAKEVNAMDPLLKPKQDQYALRTSPQWLGPQIEVIRAATKAIEREINSVSDNPVIDVHRGKALHGGNFQGTPIGVSMDNARLAVASIGRLMFAQFTELVIDFYNNGLPSNLAGSRNLSLDFGLKGAEIAMASYCSELQYLANPVTNHVQSAEQHTQDVNSLGLISARKTAEAVEILKLMSSTFMIALCQAVDLRHLEENLKSAVKNCVKTVALKVLTTSPDGEHCSARFSEKALLAAIDRKAVYSYYDDPCSASSSLMMTIRAVLVDHALANGEAENEARAPIFSKITKFEEELREALPREMEKTRVAFETGTAPIGNRIKESRSYPLYRFIREDLGAVYLTGEKLKSAGEECNKVFLALSEGKLIDPMLGCLKEWNGEPLPIC >KQK97139 pep chromosome:Setaria_italica_v2.0:VII:19257876:19259233:1 gene:SETIT_010497mg transcript:KQK97139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDLVSCFSEHAVRISDVACSGAANAAAGAPEAAGGGGGGGGGGRAVAVSAVTTVYRSRLSASGKELLLEVTWSRAPDGPALSVAVHEAAAASRHRGAGNAAPRHLHKKKGSGTFTAGSCVVGVFWDYAAARYAAGGAGPEPASGFYVAVVADAEFVLLLGDLSRGYVERLHGGIPIAGSRMARRRERFVGCGCWSTKARFLEAGAEHEIGVVLLDGDAEACVTVDGRKVVQLRRLRWNFRGSHTIFLDGGAPVDMTWDLHGWLFHAGAGPPHASSSSSCAVFTFQVRGASETRLWTEDDDADAGEEQEKPPAPSSGRRQKPGGVGASGQGFCLLIQGFRGFSKST >KQK97504 pep chromosome:Setaria_italica_v2.0:VII:21532002:21532389:1 gene:SETIT_011546mg transcript:KQK97504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQITEARGDAVLLCMNGRIFENQPATNMSRMVSSIMEEGLLWCVDQGWSEAGRPRMACCMTAISSTTSAA >KQK98008 pep chromosome:Setaria_italica_v2.0:VII:24483665:24487028:1 gene:SETIT_010425mg transcript:KQK98008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALPVTFLLAAVAAALLGTASAAPRAFFVFGDSLVDNGNNNYLMTTARADAPPYGIDFPTHMPTGRFSNGLNIPDIISEHLGSEPALPYLSPDLRGDRLLVGANFASAGVGILNDTGIQFVNIIRIGQQLENFQEYQQKLAAFVGVDAAAQVVNNALVLITLGGNDFVNNYYLVPFSVRSRQFAIQDYVPYLISEYRKILVRLYELGARRVVVTGTGMIGCVPAELAMHSIDGECARELTEAADLFNPQLAQMLGELNAEIGRDVFISANTNRVSFDFMFNPQDYGFVTSKVACCGQGPYNGIGLCTPASNVCPNRDVYAYWDAFHPTERANRIIVGQFMHGSTDHISPMNISTILAMDNRD >KQK97171 pep chromosome:Setaria_italica_v2.0:VII:19414197:19417957:1 gene:SETIT_010420mg transcript:KQK97171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSGEKRPPPPAPASGGIARKLMLALFVSLSALLYKQLQPPPPKIPGSPGGPPVTATRTRLSDGRYLAYLESGVPKEEAKYRIIFVHGFDSCRYDALPISTELAQELGIYLLSFDRPGYAESDPHPGRTEKSIALDIAELADNLQLGPKFYLAGFSMGGEIMWSCLKYIPHRLFGVAILGPVGNYWWSGLPSNVSWDAWYQQLPQDQWAVWVAHHLPWLTYWWNSQKLFPASSVIAYNPALLSEEDKLVIPKFVHRTYMPQIRQQGEHECLHRDMMVGFGKWSWSPLQLEDPFAGGDGQQGKVHLWHGAEDLIVPVSLSRYISEKLPWVVYHELPKSGHLFPIADGMADAIVKSLLLGHDGST >KQK99153 pep chromosome:Setaria_italica_v2.0:VII:31185877:31192971:1 gene:SETIT_009500mg transcript:KQK99153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLHPRAVPAPALRGRPPPPRPRPRRRLVPPPLAAASGSIAVSSDEDAFTRCSGYLFEEGAATESQLPIAYDLPGIAAVYRRRPLLVLRRSLQIGTSFGRWFALRYLDRVNERADDMFELRAAQLRRILLELGPAFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTDAAFNIIEKELGLPLDMIFSEISPEPVAAASLGQVYQARLRSNGKVVAVKVQRPGVQAAISLDIYILRFLASLARKAAKLNTDLPAVLDEWASSLFREMDYREEARNGLKFRELFGKFRDVSVPEMYLEQSRRRVLIMEWIEGEKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDALAKDFITLGLLPPTAQKGEVTKALTGVFENAVNRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQALLYKDGTFQIDRLESLLTESLRARTEQSLVRNQQEDVDSTRYAIKQVLSFTLTDQGAFVKDLLLQEIAKGIDALGVATLSSATSAAASRLPFAGGPSPLTSLDDEDVNNLRNLYRLLLLLSKVSQKENSSPSPGNNNAIENGGSTDELSLALYEMASLPEFLPVLSIIPELPPESQQQLLLLPTDLANRILSRAVARTIRRMFM >KQK99152 pep chromosome:Setaria_italica_v2.0:VII:31185877:31190637:1 gene:SETIT_009500mg transcript:KQK99152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLHPRAVPAPALRGRPPPPRPRPRRRLVPPPLAAASGSIAVSSDEDAFTRCSGYLFEEGAATESQLPIAYDLPGIAAVYRRRPLLVLRRSLQIGTSFGRWFALRYLDRVNERADDMFELRAAQLRRILLELGPAFVKIAQAVSSRPDVIPPAYLDELSLLQDRIAPFSTDAAFNIIEKELGLPLDMIFSEISPEPVAAASLGQVYQARLRSNGKVVAVKVQRPGVQAAISLDIYILRFLASLARKAAKLNTDLPAVLDEWASSLFREMDYREEARNGLKFRELFGKFRDVSVPEMYLEQSRRRVLIMEWIEGEKLSEVRDQYLVEVGVYCSLSQLLEYGFYHADPHPGNLLRTVDGKLAYLDFGMMGEFRQELRDGFIEACLHLVNRDFDALAKDFITLGLLPPTAQKGEVTKALTGVFENAVNRGVQNISFGDLSGNLGRTMYKFKFQIPSYFSLVIRSLAVLEGIAISFNPNYKVLGSSYPWIARKVLTDSSPKLRSTLQALLYKDGTFQIDRLESLLTEVGFFCSMS >KQK97859 pep chromosome:Setaria_italica_v2.0:VII:23582100:23582476:1 gene:SETIT_011628mg transcript:KQK97859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSKNEKKRKEKKRTQALLQHAIILSVIFVIQMSITNYLSIFRKPSSSA >KQK98618 pep chromosome:Setaria_italica_v2.0:VII:28298732:28304233:1 gene:SETIT_009235mg transcript:KQK98618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSFDGRTPTRGVEQAIVALKKGAYLLKCGKRGKPKFCSFRLSSDETALIWYSKGREKRLSLSSVSAVVLGQKTICSNKDQAEYWYLGLRALLPAPCSPCSSIGSRSSRQIDSCTNTPRSYIQLKSRLPSVHSTPRHIQVYPSHRSPKNRQGFFSGGSVDYSEALFYPRQRTLSDIDTYLEKLTRKMSNPEIHGLKNIMVGNKEKDQKIAQTPKLKTFEGPRAACRLDSLKDVFFWGDVLGSTLDCDDMSKSLPRLVESTNMLDVQSIACGETHAAIITKQGEVYSWGNESSGKIGQQVNIKVSRPKLVESLSSLHVKAVAYGSKHTCAVTVSGELFEWGEGAHIGLLNDCYARNQWFPHKLFSLLDGISVAKIACGPWHTAIVTSSGQLYTYGDGTFGVLGHGDTQGISRPKEVESLKGSKVKCVACGPWHTAAVAEVISDLKNNMPSSKLFTWGDGDRGKLGHADKKMKLVPTCVDALADYDFIQVSCGTALTVVLSITGVVFTIGSSMHGQLGNPQVDGKSVCAVEGLLKSEFVRHISSGSSHVAVLTTNGKVFTWGKGKEGQLGLGDYVNRSSPTLVEALEGRHVESISCGYNYTAAICLHKAISRKDLSVCSGCKMAFGFTRKKHNCYHCGSMFCRSCSSNKVAKAALAPDKSRRYRVCDVCFSQLLKVVDSGKIKSELKTSKGDMSRTEIMRSYTPKLSRIFKDANLSVEKVALVQDPNQRNEIPADSVQVKSQRWGQVECPAQFVAAQDSFRYQLMCSSSISQRMQAPAALKCGSSLQQSTDGQRKRTNTETLLTEEVKQLRSQVTLLAEQYQQKSLQVQLYKQKLDETWLIVRDEAAKCKAAKDIIKVLTDQCKAMSEKLLVGQQSENPKITSNIDRGQPSTADLQYYRSEKLATGKFSQHNNSQNNQSSSRGDEGPPSNSDVSVDRSHSHQNCTTLDVNCYITEAGAPASPVMCNGVIEQIERGVYVTFDLSPSGKKDIRRVRFSRKHFGEKEAQQWWEENKSKVYANYGTEQMQHELAVAVKSVQIQE >KQK97643 pep chromosome:Setaria_italica_v2.0:VII:22361508:22362012:-1 gene:SETIT_011950mg transcript:KQK97643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKIVIKVHMTCDNCRRNDLALAGSTCGVQSVAIEAAERDQLVVASDGVDAASLASRLRKAVKVGRADIIKVEAVVHGKKAAATKPPGQAAAVAAGSSNPYYPGYGCYCPRNGTIYPYAAGHCYVEDSDEGSWCTIM >KQK98490 pep chromosome:Setaria_italica_v2.0:VII:27387143:27388031:-1 gene:SETIT_011109mg transcript:KQK98490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSSDQSPYGGEERSEGGARRVYTPYQPEGLDLPSVRALYDLPTSPELVFSEERREARSWGENLTFYAGCGYLAGCASGAAVGLRRAAAQSERGESAKLRASRALTQCGAVGRAYGNRLGIIGLLFAGVESGMAAFRDADDWKNTVAAGLGAGLLYRAGAGPRSAVFGCVVGGLMTGAALVANQALEKYVPDLAL >KQK98356 pep chromosome:Setaria_italica_v2.0:VII:26492417:26493521:1 gene:SETIT_010703mg transcript:KQK98356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMEANVTHAPTISSSSSSSSSSSTSSSSCLVNGAPQEVPKNSKTTNKRKRASSPDSQEVEANGSTGSDGHQGEESSCCCSTEDNAVASGGSKAQAASAAGGAARSSSRSGYKHPSYRGVRRRSWGKWVSEIREPRKKSRIWLGTFPTAEMAARAHDVAALAIKGRAAHLNFPDRAHELPRPASTSPADIQAAAAQAAATAADAPCEASPSSSPSPSPSSSAELPSSPAADETPEAACCPETAAHGDGEQGQDNALFDLPDLLLDLRDGLWWPPVWSGPMAAEEYDGGDAVGMHEPLLWAE >KQK96629 pep chromosome:Setaria_italica_v2.0:VII:13169093:13169386:-1 gene:SETIT_011442mg transcript:KQK96629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVQSQRSSGTSNHVILSLCLITTCLLNITTLPLAMEQGGHILLWVNLAVGYLLAMASLFSTDAETKAAAAKLSVISAWISMVVVMMGELNRTPP >KQK96628 pep chromosome:Setaria_italica_v2.0:VII:13168688:13170244:-1 gene:SETIT_011442mg transcript:KQK96628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVQSQRSSGTSNHVILSLCLITTCLLNITTLPLAMEQGGHILLWVNLAVGYLLAMASLFSTDAETKAAAAKLSVISAWISMVVVMMGELNRTPP >KQK96630 pep chromosome:Setaria_italica_v2.0:VII:13168688:13170099:-1 gene:SETIT_011442mg transcript:KQK96630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVQSQRSSGTSNHVILSLCLITTCLLNITTLPLAMEQGGHILLWVNLAVGYLLAMASLFSTDAETKAAAAKLSVISAWISMVVVMMGELNRTPP >KQK96627 pep chromosome:Setaria_italica_v2.0:VII:13169093:13169386:-1 gene:SETIT_011442mg transcript:KQK96627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSVQSQRSSGTSNHVILSLCLITTCLLNITTLPLAMEQGGHILLWVNLAVGYLLAMASLFSTDAETKAAAAKLSVISAWISMVVVMMGELNRTPP >KQK98226 pep chromosome:Setaria_italica_v2.0:VII:25740873:25743921:1 gene:SETIT_009441mg transcript:KQK98226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRACRPTLPVRSGGRVGQLVPVGRRGQSIAVVHTQTLRDTEGQGGSSVVNYSATSSIQNRFRGETARLAAAVTSRYAMGRLRLLVLPLSLLLLLAAACSDHATVLAAEEFTYNGFGGANLALDGMSVVAPNGLLVLSNGTSQMAGHAFHPAPVRLRDGPGGAVRSFSAAFVFAIVSNFTVLSDNGMAFVVAPSTRLSTFNAGQYLGVLNVTDNGKDDNRVLFVELDTMLNPEFQDMNSNHVGVNVNSMRSLQNNSAGYYDDATGVFNNLSLISRQPMQFWVDYDGATTRLDVTMAPMGVPRPRKPLISAPVNLSAVGTDTAYVGFSAATGVIFTRHYVLGWSFAMGGAAPPLDTSKLPSLPRFGPKPRSKVLEIVLPIATAAFVLALLVGVFLFVRRRVRYAEVREDWEVEFGPHRFSYKELYHATKGFKNKQLLGTGGFGRVYKGVLPKSNLEIAVKRVSHDSKQGMKEFIAEVVSIGHLRHRNLVQLLGYCRRKGELLLVYDYMSNGSLDKYLYDKTKPVLDWEQRFHIIKGVASGLLYLHEDWEQIVIHRDIKASNVLLDGDMNGRLGDFGLARLYDHGVDPQTTHVVGTMGYLAPELVRTGKATPVTDVFAFGVFVLEVTCGRRPLGCIAPDEQNVLLDWVQEHERKGAGLDTVDPRLCGKYDADEARLAIRLGLMCAHPLPDARPGMRQVVQYLEGDAPMPEVAPTYVSYTMLALMQNEGFDSFAMSFPSTVTSGVSPVSGAFSDVSGLSGGR >KQK99123 pep chromosome:Setaria_italica_v2.0:VII:31069937:31072130:1 gene:SETIT_011651mg transcript:KQK99123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICNTELESDVVVEVGEISFHLHKSPLISRSGTLQKLINESTGNDEDDRKPCTVRLDDFPGGPEAFQLAAMFCYDVRMELNAGNVVPVRCAAEHLAMTEDYGEGNLVEQAETFFSQVLGTWNDAVRALHACDAVLPDAEDLLIVPRCIDSLASKACADPTLYGWPMLEYYTAKSLEETVIWNGTSATAKPRSLGVDWWYKQASSFRLPVYKRLIAAVQSKGMSPENVAGSLVHYARRHLSGLKRHGDNSDGSSRGGASGTTAVLSDGDQRTILEEVVALLPTEKGVTPTRFLLGLLRTATVLHASGACRDALERRAGNQLEEAALEDLLIPNTGYSAETLYDVDSLQRMLEQFMMTSTSAFAASPEITDEGQLVDAPSAELVPVSTVAKLIDGYLAEVGTDTNLKLSKFQTIAALVPDYARAIDDGLYRAIDIYLKAHPWLTDSEREQLCRLMNCQKLSLEACTHAAQNERLPLRVVVQVLFFEQLRLRTTVSGWFFVSDNADQGSSSDTCVLPRRADDDLAFAAGSEETTDEGGSAATRPGELSPAMSVVEIRQRVSELEEECSSMRQEIHKLEKPKSALSRLFRKLGLGRSSPSRERDRQQHQEPLPLPGAGDKRRKSFGGC >KQK97397 pep chromosome:Setaria_italica_v2.0:VII:21005743:21009619:1 gene:SETIT_010198mg transcript:KQK97397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHGWTASSLGGGVGRSSTLRNPQRRGRNVSATAAAGGTRRSHGPDSVQIYRGKGRSMTQQRHQGRGRTASPRSPFVPCSLPSPVPGDLSLSLARLAMVLLAHPARHHSLGTSPPGRAPVPAGARARLPRRARARTLARARPPSAGAAETETASTSGGGGSVLSFLCPLLKLLGGGDPSQERNDVVEVATSSISSLARLPWGSKVASSSGESIDSATSAPTLQLYEFEACPFCRRVREAMTELDLSAEVYPCPKGSLRHREVVKKIGGKEQFPLLVDASTGVTMYESGDIVKYLFRQYGQGRSPSSGLLESTIFTGWVPTLLRAGRGMTLWNKAGVIPAEKLELFSYENNSYARIVREALCELELPYVLQNVGEGSSKMDALLRISGSKQVPYLIDLNTGFQSGDYKKILSYLFQQYSISS >KQK97347 pep chromosome:Setaria_italica_v2.0:VII:20683789:20691899:-1 gene:SETIT_010565mg transcript:KQK97347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAATRALKSVSHAVFSWKPRLTLFFQTGRPQQTLAAAVSRSGVGLHSGARVTATLLPTYAGEGRYFQVEGKEEARVAAEVGNAEPRSQLCTTLQRGEGCGPRIRTVEHLLSAMEALGVDNCRVEVIGGDEIPLLDGSAQEWVEAIRSAGLCAAEDTGGQKLEKLAPQIHEPVYLQRDDCFVAAFPSSQIHITYGIDFPKVPAIGCQWFSTFLDANIYSSKIAPARTFCIFKEIEKMRGAGLIKGGSLENAMVCSMSGGWLNPPVRFEDEPCRHKILDLIGDFSLLAQNGNQGFPIAHIIAYKAGHALHTDFLRHLCGRITVDQEEVARQC >KQK99184 pep chromosome:Setaria_italica_v2.0:VII:31370841:31375156:1 gene:SETIT_009621mg transcript:KQK99184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTDIYTPHIGAPKSHRKTIGAPKHSSQLLRSTPMASDEAPAPAADRIRVVGKWVGALEVDLGAWTVPMLRAEVARRVGDGVDPERVGLIFGGRVLKDDPPASLRESGLKGNAKVLSSLTSPDRAKEIAAEAAKAKAEEEHAARLVRLWDAAKALSQRHTDGSFLEDEDYNLDLEDQSGQKVMFGSVDDMKALKMALMLHQKGKTQMKKKMYKEALDVLIMAEEAFSLCDSKLIEKVDNVPMLQLDIVWCYFVLRDVSCLEVAGTRLEKARVGFERSHGKDSSRFRILQAGRQADLAIYVRLELLEGVVAYYNGRTEKARGSLTSAQAKYLQLQVPDEAISMLMDMGYEARSAKRALKMTGYDIQSSVDLLCEEREKKIRRKEEDRERQREILEQMKYGKTPMNKAVDLQKLKGLTTIGFEKYLAAEALRINENDAEKALDLLTNPEQNCILQSKIQAKRSRASRGIGAGSSSSRAAAARTALINNSQAFASAPPHAVDGNAPPHAGDGNPPEGNDANGNLPEGNDADGNPPEGNDAQFLDSEEAMNNEETEKEDVDMTDEVAVDDEDTNSHPVPARDVSMESELAHDLTGDALDDYDIEVSDEGQAIAEYLSLLESAASS >KQK99080 pep chromosome:Setaria_italica_v2.0:VII:30845481:30850216:-1 gene:SETIT_009293mg transcript:KQK99080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISEGGDFALGFFSPGTSNKSLYIGIWYHNISERTVVWVANRDNPINSPSSAKLAITNNSELVLSDSQSRSIWKTTADLTATWLAPGQGPNKAMRAPDRERPKDPRTYPARSRGLMMDMVYIPIFILVFFSSPCQSNNQLTQAKPLFLKDKLVSEGGDFALGFFSPTNSSRKLYIGIWYNSIPERTVVWVANRDNPINSPSSAKLAITNNSELVLSDSQGQSIWKTNNTRGGAGAFAVILNSGNFVLRLPNGRDIWQSFDHPTDTILPTMRFILRYKAPPAATRFFAWKGPDDPSTGDISCGLDPTLNLEMFIWNRTLPYIRFSIVNGVSVSGGTYQINGSSIIMYEEMISTRDELYCKYTVSPGSPYMRFSLDYTGKLRLFSWSSAASSWAVIFERPTADCDLYASCGPFGFCDLTEAIPTCHCPDGFEVVNQLNFSRGCRRKEAIKCGKESYFTTMPNMKVPDKFLHIRNRSFDQCASECTRNCSCVAYAYANLSNAGTTGDTSRCLVWTGDLIDMQKASFIAENLYIRLGESPVQKKSNLLKILLPVLVYVLLLVFTALIWTCKYRGTQKKKKAQKRMMLEYLRSTDEAGDKNIEFPFISFEDIVLATDNFSDSNMLGKGGFGKVYKGMLEGANEVAIKRLSKGSGQGTHEFRNEVILIAKLQHKNLVKLLGCCIHEDERLLVYEYLPNKSLDYFLFDSSRKPLLQWPTRRKIIQGIARGILYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFCGEQIQANTNRVVGTYGYMSREYAMEGIFSVKSDTYSFGVLLLEIVSGLKISSPHLIMDFPNLIAYAWKLWKDGKTEDFLDSTVMDNCSLHEVSRCVHIGLLCVQDSPNCRPLMSTVVFMLENESTPLPAPKQPVYFSPQGSEKAGDNTESSMNGLSLTALEGR >KQK97724 pep chromosome:Setaria_italica_v2.0:VII:22873673:22875202:-1 gene:SETIT_012740mg transcript:KQK97724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVGLIKKIRNATQLISLRLGELVAEPYIKCPNCKCGIDTSDVSLVWPALPAGVKFDPSDLELLQHLQGKSNLPNSTSHALIDEFIPTIEEMEGICYTHPKNLPDIKMDGSSLHFFHRVSNAYGCGHRKRRKISGDDDSVFDERIRWHKTGASRAIYDEDGVKKGWKKILVLYRGSRRGGSKIDRDNWVMHQYHLGADEDEPDGELVISKVFYQLPSKKNDKSEMGDVELESEPSAAKIDPRTPMIHPPQSCLPNNSPCDTDQYTPNQVDQVNVVNPMLIFDDFSTCCWV >KQK99170 pep chromosome:Setaria_italica_v2.0:VII:31289308:31290262:-1 gene:SETIT_011750mg transcript:KQK99170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DMPFREDIEKIEEYEKAMTSRNTSIFHIEATTFSLYLCMIAATGVRLAAKVMNNAGFRLDKHDGISPYTTKQTLMMYVSIFVKLAKDTHDKKFNDESNFSLLGAFRGVAAVGHILLQDAVENANNAAYSYSFAREADDAWCDFEQKMYSLEERFRAVSKSNKAYEVG >KQK98907 pep chromosome:Setaria_italica_v2.0:VII:29887319:29887805:1 gene:SETIT_013081mg transcript:KQK98907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDCEALRMPQALSYRWPPVSLPVAWYHA >KQK99565 pep chromosome:Setaria_italica_v2.0:VII:33538732:33541271:1 gene:SETIT_010360mg transcript:KQK99565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRYWIVSLPVQSPGATASSIWSRLQDNISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNIFVEGVSHKIRRQIEDLERAGGVESGALTVDGVPVDTYLTRFVWDEGRYPTMSPLKEIVGSIQSQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMITSEHLVTLLAIVPKYSQKDWMSSYESLDTFVVPRSSKKLYEDNEYALYSVTLFAKVVDNFKVRAREKGFQVRDFEYSPEAQESRKQEMEKLLQDQEAMRSSLLQWCYASYSEVLVFPT >KQK99566 pep chromosome:Setaria_italica_v2.0:VII:33538732:33542925:1 gene:SETIT_010360mg transcript:KQK99566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRYWIVSLPVQSPGATASSIWSRLQDNISRHSFDTPLYRFNVPDLRVGTLDSLLALSDDLVKSNIFVEGVSHKIRRQIEDLERAGGVESGALTVDGVPVDTYLTRFVWDEGRYPTMSPLKEIVGSIQSQVAKIEDDMKVRAAEYNNVRSQLSAINRKQSGSLAVRDLSNLVKPEDMITSEHLVTLLAIVPKYSQKDWMSSYESLDTFVVPRSSKKLYEDNEYALYSVTLFAKVVDNFKVRAREKGFQVRDFEYSPEAQESRKQEMEKLLQDQEAMRSSLLQWCYASYSEVFSSWMHFCAVRIFVESILRYGLPPSFLSAVLAPSTKSEKKVRSILEELCGNAHSTYWKSEDDVSVAGLGGESELHPYVSFTINIV >KQK97065 pep chromosome:Setaria_italica_v2.0:VII:18653464:18658867:1 gene:SETIT_009421mg transcript:KQK97065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVENPGGGESANRLSLKRHDSLFGDAEKVSHGKYHGSEGSWARTLHLAFQSVGIIYGDIGTSPLYVYSSTFPDGIKYNDDLLGVLSLIIYTLIIIPMLKYVFIVLYANDNGDGGTFALYSLISRYAKIRMIPNQQAEDAMVSNYSIEAPNSQLRRAQWFKQKLESSMVAKIVLFTLTILGTSMVMGDGTLTPAISVLSAVSGIREKAPNLTQTEVVWISVAILFMLFSVQRFGTDKVGYTFAPVISVWFLLIAGIGLYNLVVHDIGVLRAFNPWYIVQYFKRNGKDGWVSLGGVILCVTGTEGMFADLGHFNIRAVQISFSGILFPSVTLCYIGQAAYLRKFPENVGDTFYRSIPGPLFWPTFVVAILAAIIASQAMLSGAFAILSKALSLGCLPRVQVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGNAYGICVVTTFSITTHLVTVVMLLIWKKHIIFVLLFYVVFTCTELIYLSSILSKFIQGGYLPFCFALVLMTLMATWHYVHVKRYWYELDHIVPTNQMTTLLEKNDVQRIPGVGLLYTELVQGIPPVFPRLIKKIPSVHSIFLFMSIKHLPISHVVPAERFLFRQVGPREHRMFRCVARYGYSDSLEEPKEFAGFLVDRLKMFIQEEIAFAQNDAENEDHTEVPDAPTRPRRSTNSVVHSEEAIEPRVSSNSGRITVHANQTIEEEKQLIDREVERGVVYLMGEATVSAGPKSSILKKVVVNYIYTFLRKNLTEGHKALSIPKDQLLKVGITYEI >KQK96754 pep chromosome:Setaria_italica_v2.0:VII:15035658:15037236:-1 gene:SETIT_013082mg transcript:KQK96754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMVQLEIDPTMPTPLLDRLKAAPGQLKALLKDTTVECIKNVFTLLVTHFPQIPLEHAAIGVTPDFNADLLPKLTYQYHDVVENIVDDLDL >KQK97136 pep chromosome:Setaria_italica_v2.0:VII:19237938:19238874:-1 gene:SETIT_012605mg transcript:KQK97136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRASWDEGTTKILLDLCIEQKNQLNWSDRCLTKLGWRNVYSSFRAQTGLQLGSKQLQNKLNNLRRQFLGWRALQNSSGLGHDTQTGGVSADATTPPASSARASARAMSKRPVREFSVDSPTKKRSDNLEQYIRELFESMAKRSLLRGPSTHDQTSRCIEILKEDGIEEGSELHNQAMFLCGQSAECRSTFMGLGTKEGRMSWMKFYWDMTHKK >KQK98528 pep chromosome:Setaria_italica_v2.0:VII:27745927:27751568:1 gene:SETIT_009825mg transcript:KQK98528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKNHPPLNPGPPTQNIFSLPPPPPPPPPPPLDMYFCCFVILRVWRLDVLIRSSSWLRGGATPFRWICLRSNVQLPSKRVMDGPSFDVHRAESSHQHVMAGPATLDPRRAEAASKHVRALNNQFASWVQLQLQNHPAELWEDGVKDYISHASEIMEKFKDVVNWLRQNQAGSTVVSSPSPHKDEKANLPAVVSSPSPLKDEKTSPPTADDSKLLVQPSSDNSQKAPVMASSSSAFQSSSSPTPNLFSSPPKSQTPDFSGMFGGKKNTSGDSNKPAFQFGGNNVIFGDKKNASGDSSKPPFQFGGNNAIFDDKKSTSGDSSKPPFQFGVNNGFSTSNAPSLFSTKAAQSFSSQAPPLFSLNQQSVLSGNKNTSEASADADEDAEPEKPSSPSVKKAEEKGIVVVHEAKCKVYVKHDDATKGWKDIGVGQLSIRSKEGAEKASKESTPTIVIRNDVGKILLNALIYKGIKMNVQKNTVASIFHTSDAQSDESSGGTVVARTYLFRLKNEEEATKLSTAIKENAPSD >KQK97008 pep chromosome:Setaria_italica_v2.0:VII:18166544:18169528:1 gene:SETIT_012165mg transcript:KQK97008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDELTQAVDNLKKEWNQAVSQLEESIAVIRSCGKTGKGTEEANSLPRLNGSAQDALQLLKSLQLHASLRNANLQAKENIRKAAQEERELLLGGGEESTIRRRNLQTKTGMTSAAESITESLRRSRQMMVQEVERSASTLATFDESTSVLRKAEGGHRLYSYATGSTTNGSNNSYPQSTITCTVILTAGFIIFSLAVLYVVSRRIGLLTLQRKLADAIRSGSLSAEDIVAKA >KQK98789 pep chromosome:Setaria_italica_v2.0:VII:29167298:29168894:-1 gene:SETIT_010796mg transcript:KQK98789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSGARALAVALLLGLCTAAAAAGRMDDGLEVTWGDGRGSVSPDGQTLTLSLDHTSGSGFRSRDTYLFARADMQIKLVPNNSAGTVTTFYFISEGPWDVHDEVDLEFLGNVSGQPYTLHTNVFGNGNGGKEQQFHLWFDPTTDFHTYSIEWTQHHILVLVDGTPIREFKNHADRGVPYPSSQRMRLYGSLWDAEDWATQGGRVKTDWSQAPFMAQYRKFTAADASSSSTSASGYGQELDAAAQQAMKWARDNYMVYDYCADSKRFPQGVPPECSMP >KQK96799 pep chromosome:Setaria_italica_v2.0:VII:15635082:15640198:1 gene:SETIT_009268mg transcript:KQK96799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACAKDENYEPNDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDTRQRKRKRKGIDYNAEIAFEKRPPPGFYDTVGEDKPPEHVQFPTTIEELEGKRRVDIEAQLRKQDIARNKILQRQDAPAAIMQANKLNDPEAVTKRSKLMLPPPQISDHELEEIAKMGSAGDPALAEELGEGSTATRALLSSYSQTPRLGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGDNPDLHPSDFSGVTPRKKEIQTPNPMATPLALASPGPGATPRIGMTPSRDGNSFGLTPKATPFRDELRINEEVELQDSAKLELRRQAELRKSLRSGFASIPQPKNEYQIVMPPITEDEKEEAEERIEEDMSDRLARERAEEQARQEALLRKRSKVLQRSLPRPPAASVEVLRQSLIKGGESRSRSTFVPPTSLEQADDLIHEELLRLLEHDNAKYPLDDKTQKEKKKGNKRQANAAAVPEIEDFDEYELKEASSLVEEEIQYLRVAMGHESESFDDFVKAHDACQEDLMYFPANNSYGLASVAGNADKISALQNEFEIVKKRMDDEAKKASRLEQKIKLLTQGYQVRAGKLWSQVQDTFKQMDTAATELECFQELQKQEQLAASYRVRNLTEEVDKQKALERTLQSRYGDLVSIYHRMQEQLEEHKIQLRKQEAIEAENRAREEAAAQNRADEEENERRRNVEEGKEQTNSVPDEVPAGSKQINEDQMDVDSSNVDGEFVGPIPPAPDTQGDSTEASVQENSFNVQSGDDVTTNGEACDMVDASKLESQDNSNGSLPVDAVNQEDNKSNLPLVGASEGNTALSSDGDDAVTNE >KQK97353 pep chromosome:Setaria_italica_v2.0:VII:20739701:20745294:-1 gene:SETIT_010149mg transcript:KQK97353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVLASSCSASPRLPVLSAAARFRGLPGAAPPTAASTGGAARGGARRPRLLVAAAAPRGSRNAFEGLRAKGFASVSSSTSNENTSTGTGTLPPMPPPSSYIGSPVFWIGVGVALSVAFTTVSSMVKKYAMQQAFKSMMSQSPSNSFGSNSPFPFAMPPQAAPTAPSSYPYPYSVPKKDTYPQAATVDVSATEVEAAGTSKEADVAETPKPSKKFAFVDVSPEELQQKNLQSSLETVDVKSDSTDSESKEDTEREVPTNGEAFKPNEGAARGPTESSNSGPMLSVETIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDMLNNMGSSPDQWDNRMVDHLKNFDLSSPEVRQQFAQVGMTPEEVVSKIMANPEVAVAFQNPKIQTAIMDCSQNPLNIVKYQNDKEVMDVFMKISQIFPQING >KQK97354 pep chromosome:Setaria_italica_v2.0:VII:20741216:20745294:-1 gene:SETIT_010149mg transcript:KQK97354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLVLASSCSASPRLPVLSAAARFRGLPGAAPPTAASTGGAARGGARRPRLLVAAAAPRGSRNAFEGLRAKGFASVSSSTSNENTSTGTGTLPPMPPPSSYIGSPVFWIGVGVALSVAFTTVSSMVKKYAMQQAFKSMMSQSPSNSFGSNSPFPFAMPPQAAPTAPSSYPYPYSVPKKDTYPQAATVDVSATEVEAAGTSKEADVAETPKPSKKFAFVDVSPEELQQKNLQSSLETVDVKSDSTDSESKEDTEREVPTNGEAFKPNEGAARGPTESSNSGPMLSVETIEKMMEDPAVQKMVYPYLPEEMRNPDSFKWMLQNPMYRQQLQDML >KQK96816 pep chromosome:Setaria_italica_v2.0:VII:15806486:15806677:1 gene:SETIT_012039mg transcript:KQK96816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMTMAKVALLLVLLIQIVNVLTVTARPFKGEGLLDDGIQMVVDMLGDKKSGSNPPSHCCN >KQK97176 pep chromosome:Setaria_italica_v2.0:VII:19436008:19437726:1 gene:SETIT_010817mg transcript:KQK97176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSIGNAAFLLLLLTACCATTLACDPNGAKFGYTGSVGPDHWGTLSPNFTQCARGTNQSPVDIWTPGAVYNPALQPLHRDYTVANGTLVDNIFNVGLRFDGGAGSVTVDGKQYRLKQMHWHSPSEHTINGQRFPVELHMVHASDDGNVTVVAMLYRFGRPDPFLWQASTIQDKLAALYAEGCDAEKGAPVAAGVVSLWPLRLHSHAYYRYVGSFTTPPCTENVVWSILTQVREMTVDQAAALMAPLEQEYRHNNRPTQPMNGRVVQVYRFMP >KQK98154 pep chromosome:Setaria_italica_v2.0:VII:25377516:25379348:1 gene:SETIT_010278mg transcript:KQK98154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAASPSLSSLTSSTSSAAGAAASAASSSTSSCNAFHAPRPLHLSLKPVSPQPKPQSLSCSAPHVPCAAAGDGSGTGNRGDGSGGNGGKDGGGGGAGEDDDDYEEAEFGPLLGFDEVLRLAAARGVALPGDMMEAAKDAGIREVLLLRYFDLQAAPWPLGAMIRAFSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVAVDIALVGLLAPYVRFGKPSASTGLLGRFNRMAVSLPSSVFEAERPGSRFTVQQRIGTYFYKGVLYGSVGFVCGIIGQGIANMIMTAKR >KQK98155 pep chromosome:Setaria_italica_v2.0:VII:25377516:25380089:1 gene:SETIT_010278mg transcript:KQK98155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAASPSLSSLTSSTSSAAGAAASAASSSTSSCNAFHAPRPLHLSLKPVSPQPKPQSLSCSAPHVPCAAAGDGSGTGNRGDGSGGNGGKDGGGGGAGEDDDDYEEAEFGPLLGFDEVLRLAAARGVALPGDMMEAAKDAGIREVLLLRYFDLQAAPWPLGAMIRAFSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGVAVDIALVGLLAPYVRFGKPSASTGLLGRFNRMAVSLPSSVFEAERPGSRFTVQQRIGTYFYKGVLYGSVGFVCGIIGQGIANMIMTAKRSVKKSDEDIPVPPLVKSAALWGVFLAVSSNTRYQIINGLERVVEASPVAKRVPPVAMAFTIGVRFANNIYGGMQFVDWARWSGVQ >KQK96310 pep chromosome:Setaria_italica_v2.0:VII:3345505:3346677:1 gene:SETIT_011946mg transcript:KQK96310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVEPANPAPTQPETCRNYHESEESELQAVPGVLGDGAETSISSMAAQVARQRPVTYMLPAFDAGEDAQHRSAAELQGHGGASQGVAARRRPSYVVPSRRRRDELASLGAGSRQGRDEDISMVAMLNGPGGLPLPPPGSTYTPPRRVGRLPTAPTIERVEPEDISMVAEEPAPRLVGLGRFPTAQTIERVSTPPAPRPGKKKHPEAALYFTACCLLSCLLALAIGFALMVVYLRYHPQPPRMRVTTATLKNSTLGGRVTNYSVSIQAHIFNPNTELHVVLRYVQLDLYFQGSLVGTQAVWPAPIHEAPGDSVLRSAHLLVSVTQEHDVSAWQNATNESGGIKPLVELLLVGRFHSQLNFGRRLPFRFWVYPRCTLWLDPLRGGALRQSWC >KQK99702 pep chromosome:Setaria_italica_v2.0:VII:34278708:34281889:1 gene:SETIT_009826mg transcript:KQK99702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVFSSHQWLILPPLVLLCLLLSYLYTILWLRPERLRQKLRSQGVKGPKPSFLFGNIAEMRRIQKELAVPVQELEAKNTDKFSSDYTATIFPYFLHWSRIYGSIYLYSTGSIQALNITDPDMVKELANCKSLDLGKPSFLQKERGALLGMGILTSNGELWEHQRKVIAPEFFMEKVKGMLHVMVEAAMPMLTSWEKIFGREGGSAEIVVDESLRNFSADVISRTSFGSNFAAGKEIFNKIRQLQIAMAKQSILGVPGARYLPTKSNREIWSLDRSIRRLILNVAMKHEQDSVALSTDKDLLHSIIEGAKARHFASQTPEGFIIDNCKNIYFAGHETTSTTAAWCLMLLASHPEWQSRARTELLDVCQGKPIEFDMLRKLKMLTMVVQETLRLYPPAAFVTREALNDIKLGSLNIPEGTNIRIPIALVHRDPAIWGPNSDRFDPGRFANGIAGACKPPHMYMPFGVGTRTCAGQNLAMVELKVILSLLLSRFEFALSPKYVHCPAFRLTIEPGNGVPLILKKLY >KQK96511 pep chromosome:Setaria_italica_v2.0:VII:10503463:10505907:1 gene:SETIT_011876mg transcript:KQK96511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTGYSGCCSRKASGSRWPATRNSSCSWLICNLNGVGSLTFYRLQKAVTSMNCEPRRARRQIKVLRALDQAKTQWYHFTAVVIAGMGFFTDAYDLFCISLVTDLLGHIYYPSTVCDDKPGSLPCSVALAVKGIALCGTMFGQLVFGRLGDNMGRKRIYGVTLMVMVVCSIASGLSFHHTPRTVITTLCFFRFWLGFGIGGDYPLSAAIMSEYANKKTRGAFMAAVFAMQGLGNLAAGIVVLVVSASFMKTPAYKTDMLGQADYVWRIVLMFGAIPALLTFYWRMRMPETARYTALVAMNLKQAVSDMNMVLDIDVSDLTEEEDANILAKQDNFGLFSSMFICRHGWHLLSTTVCWFMLDVVFYSLNLFMIDIFTNQSGDASNEGILEQTNKMAKTQAIIAVGCTLPGYFFTVIFVDRIGRIRIQLMGFAMMTIFLLGLAATNDIWKKSGSLPIGFTVMYGLVFFFANFGPNSTTFIVPTEIFPTRLRSTCHGISGAGGKAGAIVGVLLFIYAGNSLQRKLLMLAACNLVGIIFSLFLPESKRMSLEDIAGDIQEDVEWLSVPMGIVTGTNIKIIHAG >KQK98162 pep chromosome:Setaria_italica_v2.0:VII:25416680:25418498:-1 gene:SETIT_010789mg transcript:KQK98162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARACNHALRLLPNPATTPLPGSRSRGARCRNLAVHAQLSTEDDEPLKKVQITQGVRRSRRRGTGGARQSLVSVGTARGGGDQWSSDFDLTMRQLHLDDLIEDGQRDADVLVHLVVQQHTQFGMSIKGRVLTSFRKNCDSCSSPYCTNIDEQFNLTVLSSSRRDQSGLPYLGDSDPSVIYVRPGEEVDLDSVIQETVRLTASAKSSCSEACEKSTVVWQNGSNQRKKISSQRWSFILQCYIQCIPYAGYYCQNDAGIQVESCNHLFRSEDRLMVCNLLAI >KQK98161 pep chromosome:Setaria_italica_v2.0:VII:25416954:25418396:-1 gene:SETIT_010789mg transcript:KQK98161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARACNHALRLLPNPATTPLPGSRSRGARCRNLAVHAQLSTEDDEPLKKVQITQGVRRSRRRGTGGARQSLVSVGTARGGGDQWSSDFDLTMRQLHLDDLIEDGQRDADVLVHLVVQQHTQFGMSIKGRVLTSFRKNCDSCSSPYCTNIDEQFNLTVLSSSRRDQSGLPYLGDSDPSVIYVRPGEEVDLDSVIQETVRLTASAKSSCSEACEKSTVVWQNGSNQRKKISSQRWSKLLDLKKTLDKAPK >KQK98163 pep chromosome:Setaria_italica_v2.0:VII:25417063:25418498:-1 gene:SETIT_010789mg transcript:KQK98163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARACNHALRLLPNPATTPLPGSRSRGARCRNLAVHAQLSTEDDEPLKKVQITQGVRRSRRRGTGGARQSLVSVGTARGGGDQWSSDFDLTMRQLHLDDLIEDGQRDADVLVHLVVQQHTQFGMSIKGRVLTSFRKNCDSCSSPYCTNIDEQFNLTVLSSSRRDQSGLPYLGDSDPSVIYVRPGEEVDLDSVIQETVRLTASAKSSCSEACEKSTVVWQSKRLLKLSALIFSW >KQK98403 pep chromosome:Setaria_italica_v2.0:VII:26785987:26788942:-1 gene:SETIT_010818mg transcript:KQK98403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKRQREARKRFREANPDLFPPQPAPSADGSKKKSKKNKKKSMFKKVKKGGTGRSKHPMRVPGMRPGECCFICKSTDHVAKACPEKALWDKNKICLHCRERGHSLKNCPEKSEGNLKKFCYNCGESGHSLSKCPKPIENGGTKFASCFVCKQQGHLSKDCPENKHGIYPKGGCCKICGEVTHLAKHCPNKGKQDLMSSRDYDVNMEEHYQEGPVGHHGGDDLEDDFIEEEEPKPTKSKKAKQPGSKSAGNDEKNANTKAKAKQSPKVVKFFG >KQK96512 pep chromosome:Setaria_italica_v2.0:VII:10560258:10561892:1 gene:SETIT_011940mg transcript:KQK96512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFALDPHPHLPSGFTWAPRVMPFVAPARPHVNLALNIEHTNEDLTITLLTPPIAKIHYILLAWALNQYLLDEGVQVPEIQPFPIRDAYVSFTKPLERECFLQGTPRQFSQYHLRFIKHDEGENFISHGMDRVVLLMLMCYPTDGWFLSLISKSIYNFAQLLYVHESVTVARITVKALVNKEQDTPDDIVVSAGEGPCICSFTVPIFILSPTDVVVGADEQPPPNDGPAHPMPHPAACWMHVNADVDSKGSNVEVGDIGVAPDDPMENDAAVGDTVVGDDHHEDRIQQPLEKLDGELVRVVLGDHVSCLSPTAKDFESSVGLVRKPPMDGPQMPSPDVPVGEATTTALVPMRSILDLVIDLSSLFPCNPPFNSFANVNRLVINLDTPVPSHFANTDTLWHLAKVLVDPLEPAALVSSDDDEVKILDEMPFLHRSSRLNKNLQGFKDQSSADIDAVKGKEVASQRKDLEANTLAIVPAYQGMVEDGAGSAPHLSADMVQAIGTRFLKMQLEVVSQATLLASDDE >KQK99843 pep chromosome:Setaria_italica_v2.0:VII:35023526:35029193:1 gene:SETIT_009603mg transcript:KQK99843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAKPFCSTSQTPTPIANRFPSQSPSLSSRAAPRFAHGLSAAAVRASPGARRRLGALARPIRASSQQQQQPPRRPEYVPGRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEDGHVPVICGLSRCNRKDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGNLIADIKANTPGIENAIISTHCQNDLGLATANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLNGLYTGINSQHITLTSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEISDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDQIFQPKVIWSLADVQATCGTLGLSTATVKLIAPDGEEKIACCVGTGPVDAAYKAVDEIIQIPTVLREYGMTSVTEGIDAIATTRVIITGDLSNNSKHALTGHSFNRSFSGSGASMDVVVSSVRAYLSALNKMCSFAGVVKASSEVPESTSV >KQK98934 pep chromosome:Setaria_italica_v2.0:VII:30047335:30050148:-1 gene:SETIT_010903mg transcript:KQK98934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASATRRGLTALLLSSSRALPRRLGPLAAAAASAHLTPWAMLASRGAKTASSGGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPTDPKPSEEEMVAAYVKTLTAVVGSEEEAKKKIYSVCTTTYTGFGALISEELSYKVKGLPGVLWVLPDSYLDVPNKDYGGDLFVDGKVIHRPQFRFTERQQVRSRPRPRHDRRRDTVQVDRRETMQRGPPTQQQRPPFPQEAAQHPQQHYETMPPGVGK >KQK96501 pep chromosome:Setaria_italica_v2.0:VII:10120665:10121294:1 gene:SETIT_0091761mg transcript:KQK96501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FKSREDNEWWILPVILFLIKSLQAGLVNWHVANLEIQDYTLFSPDPDRFWAM >KQK96611 pep chromosome:Setaria_italica_v2.0:VII:12995840:12996235:1 gene:SETIT_013101mg transcript:KQK96611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLITNHLYKWKHFHRQSNIRTDCVNGITSQIYP >KQK98859 pep chromosome:Setaria_italica_v2.0:VII:29622327:29624896:-1 gene:SETIT_011152mg transcript:KQK98859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKKQSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERAGYRVARRRRCKSRVGIQHRVTKEDAMKWFQVKYEGVILNKAQAN >KQK99191 pep chromosome:Setaria_italica_v2.0:VII:31418185:31423089:1 gene:SETIT_009699mg transcript:KQK99191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFWVGMLLGVAAGVAIIVGFAHSENSRAARRRQLAATIASFSKMTIDDSRKLLPPDLYPSWVVFSSQQKLKWLNQELTKIWPFVNQAASELIKTSVEPTLEQYRPIILASLKFSKLTLGTVAPQFTGISIIENNKESGIVMELEMNWDANPNIILDVKTRLGVALPIQVKDIGFTGIFRLIFKPLVEELPCFGAVCFSLRKKKKLDFRLKVIGGEISAIPGISDALEETIKNAIEDSITWPVRKVIPIIPGDYSDLELKPVGTLEVKLVQARDLTNKDLIGKSDPFAIVYVRPLPDKMKRSKTINNDLNPIWNEHFEFIVEDADTQTVTVKIYDDDGIQESELIGCAQVRLKDLQPGKVKDIWLKLVKDLEIQRDRKDRGQVHLELLYCPFDMKDDAPNPFRQQFSMTSLERTMTSMENGSGGSSFDMMSSSRKRKEIIVRGVLSVTVISGEDLPAMDMNGKSDPYVVLSLKKTKTKYKTRVVNESLNPVWNQTFDFVVEDGLHDMLILEVYDHDTFRRDYMGRCILTLTKVLLEEDYEESFNLEGAKSGKLNLRLKWSPQPIMRDSREEDSLRFR >KQK96166 pep chromosome:Setaria_italica_v2.0:VII:1131186:1134495:1 gene:SETIT_009962mg transcript:KQK96166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAMQDTTAPPAHEQNPASDQRPRPHLSIDIPASNLTPTPTEGDITPTPTGSCSTRRGGIPITPVSSSSSTKGAQKPLRSPSFMLRQTVKSLLPMGSFKSSVTKSYEASFSKLFNSKSKVMARTSSLPLDDVAGVDALSHSQHAVDNKSSASCTAAEPALHICRSQSLPMNMKKFNAKSFKRMDSLGGMYRVVPSTPRAPAASNVIPDIVPSESGVGEDDGEDIPEEEAVCRICMVELSEGNDTLKLECSCKGELALAHKDCAMKWFSIKGTRTCEVCKQDVQNLPVTLLRVQSVQREANRVGNGGSRSRYDRYRVWHGTPILVIISILAYFCFLEQLLVGHDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYAAVQFLFVVFFTHLFYRYLHLQAVISIILATFAGFGVGMTGNSIIVEILRWRMRRRAPPTQARRDRRARAAQQHAPASDQPSGQSSVASGGQNDTVTSDVENPAVPQA >KQK96167 pep chromosome:Setaria_italica_v2.0:VII:1131430:1133927:1 gene:SETIT_009962mg transcript:KQK96167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAMQDTTAPPAHEQNPASDQRPRPHLSIDIPASNLTPTPTEGDITPTPTGSCSTRRGGIPITPVSSSSSTKGAQKPLRSPSFMLRQTVKSLLPMGSFKSSVTKSYEASFSKLFNSKSKVMARTSSLPLDDVAGVDALSHSQHAVDNKSSASCTAAEPALHICRSQSLPMNMKKFNAKSFKRMDSLGGMYRVVPSTPRAPAASNVIPDIVPSESGVGEDDGEDIPEEEAVCRICMVELSEGNDTLKLECSCKGELALAHKDCAMKWFSIKGTRTCEVCKQDVQNLPVTLLRVQSVQREANRVGNGGSRSRYDRYRVWHGTPILVIISILAYFCFLEQLLVGHDGIAALAISLPFSCILGLFSSLTTTSMVTLQWQEDTCGSTRQSSSSSSSSSPICSTDTSICRP >KQK96165 pep chromosome:Setaria_italica_v2.0:VII:1131186:1134495:1 gene:SETIT_009962mg transcript:KQK96165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAMQDTTAPPAHEQNPASDQRPRPHLSIDIPASNLTPTPTEGDITPTPTGSCSTRRGGIPITPVSSSSSTKGAQKPLRSPSFMLRQTVKSLLPMGSFKSSVTKSYEASFSKLFNSKSKVMARTSSLPLDDVAGVDALSHSQHAVDNKSSASCTAAEPALHICRSQSLPMNMKKFNAKSFKRMDSLGGMYRVVPSTPRAPAASNVIPDIVPSESGVGEDDGEDIPEEEAVCRICMVELSEGNDTLKLECSCKGELALAHKDCAMKWFSIKGTRTCEVCKQDVQNLPVTLLRVQSVQREANRVGNGGSRSRYDRYRVWHGTPILVIISILAYFCFLEQLLVGHDGIAALAISLPFSCILGLFSSLTTTSMVARRYVWIYAAVQFLFVVFFTHLFYRYVSAHQNTNF >KQK99528 pep chromosome:Setaria_italica_v2.0:VII:33326715:33330896:1 gene:SETIT_012798mg transcript:KQK99528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASASTGLMNSVLEKLSSLMADESTKLVGVHKQLRFLHHELSSMRGALEDVADMEDLTNETKVWRNEVTELAYDTEDCIDDFRHRVESPHDGQGLMCRAARLLKTLMARYQISRKIEELRTRVQEASDRRTRYRLGECLSRSAHVSVDPRITALYAETSSLVGIDAPKEEVIKLLTKVDDASPQELRVVSIVGFGGLGKTTLANEVYRNLRLSFSCKAIISVSQRPDMMSLLNSLFSKVSGQGANHANDLQGLIDNLREYLQGKRYFLVVDDLWDASAWEIIKCAFPESHCGSRVLTTTRIERVAVASCNYQLKFVYRMKPLGDHHSRQLFLRRVFGSADTCPEPFEGLQEKILQKCGGLPLAIITIASLLASQPTRSIEQWKFVLNSLRHNLGLDPTLEGMRQTLNLSYTHLPHPLKACILYIGMYPEDYEIQRDDLVVQCVAEGFISGVDGREAVEVAGSYLNELVNRSMIIQHVKYGARGDRIIYRVHDMVLDLILSKSTEENFLCAVENLQAISTRQRQYKARRLSLQFQDRSLVESAGRISLPHVRSLIIFGWPHGSLELLELKFIRALYIERATNELDLTLISKLFQLRCLCVRGNYKRLQLPEEIIGLQHLEAVIILSDLSNVPRDIVSLPALLYLQFPPAAKQSVANTWALGELLNLRVLDLLIAGGSFANKGAHMDALMSSLEKLISCNLKTLSIIARDNVGRHGRWSSLCFSCSHLEQLHLLYFKIQRMPAWVCQLRALSSLKIKVGGAVVPAEGVVFSGGTAFRVLGYLRAPYVAEAGVTFQAGSTPQVEVLRLLVRASDVQRHGVKLAGIEHLTNLKQVLVNLRNGDCDESEVPAIKEEIRRAFDAHPASSSIQIEFY >KQK99329 pep chromosome:Setaria_italica_v2.0:VII:32383472:32386352:1 gene:SETIT_010272mg transcript:KQK99329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFGMSDYYQFDDLLTDEDKALRKKVRGIMEKEIAPIMPEYWEKAEFPFHAIPKLATLGLAGGTTKGYGCPGLSLTASAISTAEVARVDASCSTFILVHASLVMPTIDLCGSEVQKQKYLPSLAEFKTLGCWALTEPDYGSDASSLRTAATKVPGGWHLDGQKRWIGNSTFADMLIILARNADTNQLNGFIVKKGAPGLKATKIENKIGLRMVQNGDIVLNKVFVPEEDRLPGINSFKDINKVFAMSRVMVAWQPIGISMGVFDMCHRYLKERKQFGAPLAAFQLNQEKLVRMLGNIQAMVLVGWRLCKLYESGKMTSGHSSLGKAWTSRKAREVVSLGRELLGGNGILADFLVAKAFCDLEPIFSYEGTYDINSLVTGREITGVASFKPAMLAKSRL >KQK99027 pep chromosome:Setaria_italica_v2.0:VII:30560897:30564059:1 gene:SETIT_009643mg transcript:KQK99027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPELGGGDGSSYDFHLRSLSAASRDSAAAADPASDPTSSNPRVCEMCREAKEARDEMVARAFPVMSKLFQRCAAAPTQAVASTGTLLLLSRLFSTILQFFLNFGEAVLHDADGSLKTFFRSCLSREFADPIVAERTLEFLIANKTKILGSFPTLIPLFYPLLLKLIASNGEKLEKKFLEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDSSGISGSDDSSPLDLADPMFLDLLKDENDGIAAKHWISPTISSTLQAAVNSTQSDRLKQSLEMAPRFLSLYFATALWDVNDCRFPIFLKLDCY >KQK99028 pep chromosome:Setaria_italica_v2.0:VII:30560897:30565966:1 gene:SETIT_009643mg transcript:KQK99028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPELGGGDGSSYDFHLRSLSAASRDSAAAADPASDPTSSNPRVCEMCREAKEARDEMVARAFPVMSKLFQRCAAAPTQAVASTGTLLLLSRLFSTILQFFLNFGEAVLHDADGSLKTFFRSCLSREFADPIVAERTLEFLIANKTKILGSFPTLIPLFYPLLLKLIASNGEKLEKKFLEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDSSGISGSDDSSPLDLADPMFLDLLKDENDGIAAKHWISPTISSTLQAAVNSTQSDRLKQSLEMAPRFLSLYFATALWDVNDSLLCALIPVVMSRYAAMFPDKVFSFEVRKRLSDFILAAFQRSSDIIAILKKPITDRLGEAYDDPAKTELALHLCWAIGEHGAGGINRTDVARELFENLELLLYENLATSRLALSQEPGFDSMGVASRKSSQARLLCFVVTAIAKLATCHSELLPRARVSLAKVARTRTSDRRVWQRACDYLGLMNEPAICLSVLGPSTAQGNGPGIVDWSEGGTKMLAHVPFYLLAEQKGPPFHDFSFADLLPGQNEEKLPSGIYHEGHGSGTDFASRIPCL >KQK99029 pep chromosome:Setaria_italica_v2.0:VII:30560897:30565966:1 gene:SETIT_009643mg transcript:KQK99029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPELGGGDGSSYDFHLRSLSAASRDSAAAADPASDPTSSNPRVCEMCREAKEARDEMVARAFPVMSKLFQRCAAAPTQAVASTGTLLLLSRLFSTILQFFLNFGEAVLHDADGSLKTFFRSCLSREFADPIVAERTLEFLIANKTKILGSFPTLIPLFYPLLLKLIASNGEKLEKKFLEVLPLMMSAGSFLPLFLSLMDLPMLVVALEKVERSSGTLIGSSIATIQKSAAPEMLLALMDEAYTGSAIEDSSGISGSDDSSPLDLADPMFLDLLKDENDGIAAKHWISPTISSTLQAAVNSTQSDRLKQSLEMAPRFLSLYFATALWDVNDSLLCALIPVVMSRYAAMFPDKVFSFEVRKRLSDFILAAFQRSSDIIAILKKPITDRLGEAYDDPAKTELALHLCWAIGEHGAGGINRTDVARELFENLELLLYENLATRNQDLIQWV >KQK96094 pep chromosome:Setaria_italica_v2.0:VII:148351:148843:1 gene:SETIT_011473mg transcript:KQK96094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVVGCFGSLDRRATLAYELSWTCDCLEAPRPSAADSRRQCPFSCVGIVLAPMTSACVLLPVLREVAFATGCLRCAHPLGEALRL >KQK96578 pep chromosome:Setaria_italica_v2.0:VII:12137803:12142025:1 gene:SETIT_010805mg transcript:KQK96578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADRKAMANQVNVPGDLPFQSKTTYRIRNKNLQSIYTGYHHNQSICQTSVVDFQLPYALSQLVHGYGYLLLDGARIGIQYETFFAGEPCKIFHCVLESKSFLEKMTLIEHTLPFFLPIRELESDLLSSNATKFIDHLEEILQAYIVRRAGQYQLLGVRLIKELYGNQIGELFHSLPYNVIEFVLEDFECKITVSIRYSDLLLTLPSQARVLAWPLRSSKRISTRSSSASASQPVPFRLSYAEEALKTLCLPEAYADIVLDLPHALKRILTSQDSD >KQK96732 pep chromosome:Setaria_italica_v2.0:VII:14681300:14682118:-1 gene:SETIT_012399mg transcript:KQK96732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGGHQWRICCYPTGIHDLWYPPTGPEGISVILGLMNNTQKLEQGFNCLVGHHEIERVLDHSDRINISCTITILEDDCIEIPPPLVGRSICTTIVAQAPVDVVFDIALSRAMEALYYGSGVESKSEIISIKEANLDGFSLLIKYACEGSLRQEADLWDTLVNAWPVFLSLADMYCVEWLKFHCASNLWDMVCVEIVTTFL >KQK99532 pep chromosome:Setaria_italica_v2.0:VII:33349301:33354333:1 gene:SETIT_009749mg transcript:KQK99532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLAASMRKPAAAWRRPGARGGGGPRGRGVVVLLLALAYAAGTLMFLLAGRLSGGGPGVEVASSSPLQRPRRHAAPPPSPPQPGSVYRSHLVFERLWPSMRDDATLVASASSLSSSASWRRSMLMTSQYQNSGEPWMPCVNSRLIRSELPPSNGYLMIEANGGLNQQRLSICDAVAVASLLNATLVIPTFHLNSVWRDASKFGDIFDEDHFIETLREHVRVVKKLPEDVLLRFNHNISSIPNMRTKAYSSPNHYVQKVLPKLLELGVVRIAPFSNRLAQSVPSNIQALRCLVNYHALRFAEPIRNLAEDLVGRMMKKSSLTGGNYVSVHLRFEEDMVAFSCCTYDGGLKEKTEMDNARERSWRGKFRRHGRVINPEANRRDGKCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNSEKYMAPLRQMFPLLATKDSLAIPVELAQFKGHSSRLAALDYTVCVQSEVFVTTQGGNFPHFLMGHRRYLFRGNSKTIKPDKRKLVLSFDDPNIRWDRFKQNMQEILQHSDVRSIAFRKPNDSIYTFPMPDCMCQQDGLI >KQK97256 pep chromosome:Setaria_italica_v2.0:VII:19994279:19995129:-1 gene:SETIT_011429mg transcript:KQK97256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKHHHHLFHHHKEEESSGEVDYEKKEKHHKHMEQLGGLGAIAAGAYALHEKHKAKKDPENEHGHRIKEEVAAVAAVGSAGYAFHERHEKKDAKKHGHN >KQK98974 pep chromosome:Setaria_italica_v2.0:VII:30287419:30290121:1 gene:SETIT_011965mg transcript:KQK98974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFLKNNAAILPFHFAGNTGGHTDAAARIDGTSSTWSLSSIQQLGSTLISNFGNFIFKGNLTGKRKREDDRNIAVSTHLGNNCEFSCRIKHIAGKLRDVGHDVSDALKMDGSNLVGSQSMYRSTPQATRLTTSYLVEHKVYGRDAEKEYILKLMTSKGSNGLTVLPIVGIGGVGKTTLAQFVYNDPIVRRQFEIKIWVCVSDNFDVIRLTREMLDCVSKQRLAETGNLNKLQEDLEKHMQSKRFLIVLDDVWDDMNSHCWDQLLAPVKHNQAMGNMILVTTRKLSVAKMTQTIEPVKLGALEGGDFWQFFQSCAFDDERYVHPSLYAIGKQIAQKLRGNPLAAKTVGALLRRNINVDEWTNILNNEEWKCIQATEGIIPALKLSYDYLPDHLQQCFRYCCLFPKDYRFNGGELVRIWISQGFVHVSHTTKNLEDIGKVYLVDLVNSGFFQQVERYLYPVNSGFFQQNKRDWHSSNFVMHDLMHDLARKVSRGEHATIDGSKCEEFLPTMRHLLIVTDSAYFGKRRQGNAFSCENFGKQLQVASVRKLRSLVLIGYYDPHFFKYFQNIFKELISLRLLQISATYADFSSFINNLVSCTHIRYMKVHFYEQRVGTLPRALTNFFHLQVLDVGFLGHLTLPSGMSNLVSLQHLVAAEEVHYTIADIGNMTSLQELPKYKVRNTSGFDIKQLKSMSQLVHLGIYQLENVRNKQEASEARLIDKGLLKDLHLSWDVGSTNSEISAMTATEVLEGLEPNQNLKNLQITGYSGSISPSWLATDLLFTSLQLLHLENCKKWRVLPSLEKLPSLKKLKLINICDVVEVRIPCLEELVLTELPSLEKCVATYRRGLNFHLQVLIMENCPKLSDFTPFQIQNFRSFGIEQKSWMPSLAGTSADKGEQSVY >KQK98201 pep chromosome:Setaria_italica_v2.0:VII:25638739:25643733:1 gene:SETIT_009374mg transcript:KQK98201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGEEEEEEEEVFYESRERVLSSSGSSTSASDDDDHGLPRRRRDGTASAAAAAAAALDVWMSEPAPVQERRRRLLQMMGLAGDPALARLEMGRSASYDGPVRPAAVSPISRSRSDGAAPVKPPLGGRSRQASSGSSEATPEGEEADPRCLIRNLDDGSEFVVKEEFELREVGTGRQLTMEEFVDLCVGRSPIVQELMRRENVANAGSNNDSSTPIQRSNSDSSNGATRHRRRSSWLRSIRNIAGSMVVTSRDRRSSDEKDTCSEKGGRRSSSATDDSQDSARAVHHGPVRVKVRQYGKSYKELSGLFMNQEIQAHNGSIWSIRFSPDGRYLASAGEDCVIHVWEVSEFERKREENGVCNPFVAMVCNGSPEPTLALASLDGSNSEKKRRARFLESRRSVSSDQLMVPEHVFALSEKPIRTFVGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHISSTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSTQNREIVDWRDLHEMVTAACYTPDGQSALIGSHKGSCHIYDTSDNRLLQKKQIDLQNKKKKSSQKKITGFQFLPGNTSRVLITSADSRIRVADGLNLVHKYKGFRNTSSQIAACLAANGRYVISASEDSHVYIWRNDDNLEQGRSKGNVTVTNSYEYFHCQDVTAAVALPSAGSAMVSRTNSRKHDEQDCVSEHPLLHAVPELQDSCDFQGQSGNILSTSSNHSGDRATWPEELMTATKQSPRSSASLPSGAGQAPSRSAWGMVIVTAGRGGQIRTFQNFGFPARV >KQK98202 pep chromosome:Setaria_italica_v2.0:VII:25638739:25643733:1 gene:SETIT_009374mg transcript:KQK98202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGGEEEEEEEEVFYESRERVLSSSGSSTSASDDDDHGLPRRRRDGTASAAAAAAAALDVWMSEPAPVQERRRRLLQMMGLAGDPALARLEMGRSASYDGPVRPAAVSPISRSRSDGAAPVKPPLGGRSRQASSGSSEATPEGEEADPRCLIRNLDDGSEFVVKEEFELREVGTGRQLTMEEFVDLCVGRSPIVQELMRRENVANAGSNNDSSTPIQRSNSDSSNGATRHRRRSSWLRSIRNIAGSMVVTSRDRRSSDEKDTCSEKGGRRSSSATDDSQDSARAVHHGPVRVKVRQYGKSYKELSGLFMNQEIQAHNGSIWSIRFSPDGRYLASAGEDCVIHVWEVSEFERKREENGVCNPFVAMVCNGSPEPTLALASLDGSNSEKKRRARFLESRRSVSSDQLMVPEHVFALSEKPIRTFVGHSEDVLDLCWSKSQYLLSSSMDKTVKLWHISSTSCLKTFSHSDYVTCIQFNPVDDRYFISGSLDEKVRIWSTQNREIVDWRDLHEMVTAACYTPDGQVLIIYTSFLDLNIFVLRSNMFPSYLKSALIGSHKGSCHIYDTSDNRLLQKKQIDLQNKKKKSSQKKITGFQFLPGNTSRVLITSADSRIRVADGLNLVHKYKGFRNTSSQIAACLAANGRYVISASEDSHVYIWRNDDNLEQGRSKGNVTVTNSYEYFHCQDVTAAVALPSAGSAMVSRTNSRKHDEQDCVSEHPLLHAVPELQDSCDFQGQSGNILSTSSNHSGDRATWPEELMTATKQSPRSSASLPSGAGQAPSRSAWGMVIVTAGRGGQIRTFQNFGFPARV >KQK98901 pep chromosome:Setaria_italica_v2.0:VII:29858327:29858772:-1 gene:SETIT_012912mg transcript:KQK98901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQLGRGVQQQGASASGAGGAGHRAEAVGEQQRGVR >KQK99277 pep chromosome:Setaria_italica_v2.0:VII:32065856:32071514:-1 gene:SETIT_009681mg transcript:KQK99277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTAAVSVSASAIASGGGARSEAARRPGGLRVCGLRGEALACPSLRISQAPARLAVGRAAAATNGAVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSTGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGKQKVRYGKVGFPDKEITARNIIIATGSTPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRKIDYHTSVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEVDVVKTSFKANTKALAENEGDGIAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKLAVHAHPTLSEVLDELFKAAKVNSGVSHSVNEPVAA >KQK99278 pep chromosome:Setaria_italica_v2.0:VII:32065466:32071685:-1 gene:SETIT_009681mg transcript:KQK99278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSTAAVSVSASAIASGGGARSEAARRPGGLRVCGLRGEALACPSLRISQAPARLAVGRAAAATNGAVAGSGGFDYDLVIIGAGVGGHGAALHAVEEGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELHDEHHMKSLGLQVSSTGYDRQAVADHANNLASKIRSNLTNSMKALGVDILTGVGTIVGKQKVRYGKVGFPDKEITARNIIIATGSTPFVPKGIEIDGKTVFTSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIAKLAQRVLINPRKIDYHTSVFASKITPAKDGKPVLIELIDAKTKEHKETLEVDAALIATGRAPFTKGLGLENINVVTQRGFVPVDERMRVMDADGNVVPNLYCIGDANGKLMLAHAASAQGISVVEQISGRDHILNHLSIPAACFTHPEISMVGLTEPQAREKADKEGFEVDVVKTSFKANTKALAENEGDGIAKLIYRPDTGEILGVHILGLHAADLIHEASNAIALGTRVQDIKLAVHAHPTLSEVLDELFKAAKLQPREGRDSKLSQPPQPLLKALSFITALLSFPRQDRQQ >KQK98175 pep chromosome:Setaria_italica_v2.0:VII:25485548:25486275:-1 gene:SETIT_011048mg transcript:KQK98175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPAGAVRLLLLLLSVLAASLSRVAAAPSPVYDMDGHELSADADYYVLPAPRGSGGGGGGGLTMAPHTFRCPLFVAQEDDPLRRGFPVRFTPLHGHGGDRTVRVSFDVAIHFAAVTTCVQTTEWHVAGRGDEPFASGPRHVVTGPVLAPTAGGRERVFRVERHSHGYILVWCGVPTECEELGVFRDERDRAWLAVSDEPHVVVFKKAPPTPA >KQK98867 pep chromosome:Setaria_italica_v2.0:VII:29676117:29679466:1 gene:SETIT_009658mg transcript:KQK98867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTNAAANKLCGGRSMPPAACCRHSGGQRFLSSLPCWMMPSTQLAGDLRRRPAKVTRVSGGIISTQHNKGTSSESTIRKQLQQVDVLQNMGISRHFAAEIKCILDMTYSCWLQRDEEITLDVETCAMAFRILRMNGYNVSADELYDVAQASWFHPSLEGYLSDTRSLLELHKASKVSISEDESILDTIGSWSGWLLKEQLRSGALQSTPLFREVEHALECPFYTTLDRLDHRRNIENFDATGHQMLKTSYLSCYNNNDILALGVRDFSASQFTYQEELRHIDSWVKESRLDQLPFARQKLAYFYLSAAGTIFTPELSDARILWAKNGVLTTVVDDFFDVGGSTEELENLVTLVEMWDEHHKIEFYSEHVEIVFSAIYTSVNELGEKASLLQDRDVTKHLVEIWLDLLRSMMTEVEWRTSSYVPTAEEYITNAALTFALGPIVLPALYFVGPKIPEPVVEDPEYNELFRLMSTCGRLLNDAQTYEREYKEGKVNIVSILVHQSGGSMSIADARREIQKPIDTCRRDLLRLVLSKEGAIPRPCKELFWKMCKVCYFFYSQGDAFSSPEAKAREVDAVVNLPLQLKGSNANKLPVLWE >KQK99485 pep chromosome:Setaria_italica_v2.0:VII:33098048:33099386:-1 gene:SETIT_0094121mg transcript:KQK99485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKASSNSDARAKWRKRKRAAASPSPSKQQADHSDDSDSAAAANGDEDATRGAFANGGGGTLAAGGGGDDDPVLDLRGAEVLSSPAEPVSVFPAAVRRAVGRPHPSVLAVIDAERAAAGADGAPAAVATVPVLENISHGQLQVISAMLPDHPSLSYDPDKPSTYVCTPPPLMEGCGVHKQFYGKLHIVPRHSDWFVPTTVHRLERQVVPQYFSGKSQGQTPEKYMMLRNRVIAKYLERPGKRLVFAECQGLVTSTPELYDLSRIVRFLESWGIINYLATGSVHRGLRMAASLIKEETTGELHLVSAPMKSIDGLILFDRPKCSIRADDIASAVSTSSAPVVANGDANSVNLDDKIWERLSETSCSFCSQPLPSLHYESQKE >KQK97574 pep chromosome:Setaria_italica_v2.0:VII:21946404:21947187:1 gene:SETIT_012428mg transcript:KQK97574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKDVVVEHGESSKAPLVAAAGVGRAVSFADIFLRFLAIIGTIGSAISMGTTNETLPFFTQFIQFEAKYSDLPSFTFFVAANAVVCTYLVLSIPLSIVHIIRPRARYSRLILIFLDASMLTLLTAGASAAAAIVYLAHKGNVRANWFSICQQFDSFCERISGSLIGSFAAMVLLIVLIFLSAFALARRH >KQK98833 pep chromosome:Setaria_italica_v2.0:VII:29484032:29484800:1 gene:SETIT_012774mg transcript:KQK98833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLNIKVFLAKPKICSKDVVFFECRFAHAVWSVIYAASGLSQPRSVSNMFGSWLRGIGKDLKLLSLWLCRNDIIFGKKYNSSPLQVIFLIIHWLRTWVILQKPASQDLVVAASLRLAQVAKEFFTQAHGWRSSLRIDCQ >KQK99089 pep chromosome:Setaria_italica_v2.0:VII:30901718:30904997:1 gene:SETIT_012123mg transcript:KQK99089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSHLLLLSFMLLSPIAFAAGVADTIGTGRNITDSETLVSADGTFTLGFFSPGVSTKRYLGIWFSVSDDAVCWVANGDRPINSNSGVLVISNTGSLLLLDGGGQIVWSTNSSGASSAEAQLLDNGNLVVHDRGSSTILWQSFDHPSNTLLSGMKLGKNIWTGAEWYLTSWRSGDDPSPGAYHRVLDTSGLPDLIVLQGHVRTYRTGPWNGRWFSGVPEVTTYMDLVTRQVTTSPGEISYGYTAKPGAPLSRVVLTETGMAERLVWDAGTRMWQTFFQGPRDVCDAYGKCGAFGLCDAGAAAKSFCNCLTGFNPASPSAWSLRDTSGGCRRKVKLDCANGTTTDGFLLVRGVKLPDTHNATVNMSTTVEDCMARCLADCSCVAYAAADIQGGDVRSGCVMWRDDIIDLRYVDKGQDLYLRLAKSELPAPSPRRPFPTAPVVGASAATVAVVLIILVALFVIRRRRKPIISVFHNSSTVPSTEGHSAAPSQPSIGQGSPAPTVQSVELSFLKKVTNDFSIHNTIGRGGFSVVFEGNLPDGRKVAVKKLTQSSATDDGSEIFMREVKVMSNLKHENLAQLLAYCKEGNERILVYEYMKNRSLNIYIFASDRTRRALLNWEKRLEIIVGVAKGVAYLHGLSKEVIHRDLKPSNILLDDNWRAKIADFGTAKVFVDGQTNPTQVQTAGYTAPEYAAHGRLTLKCDVYSFGVVLLEIISGQRNNASPTLISDAQESWNQHKIKEDLLDAAVAQPEPETLLELERCVQIGLLCVQQSPADRTSMAEVVAMLTTNSSSSRIRQSDRQVIDGMAASSPLREANLSTQEDASGAQGDSIYLT >KQK98713 pep chromosome:Setaria_italica_v2.0:VII:28778932:28782511:-1 gene:SETIT_010634mg transcript:KQK98713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASMFSTVKVSNVSLKAAQRDIKEFFSFSGDIVHVEMQSGDELSQVAYITFKDNQGAETAMLLTGATIVDMAVIVTPATDYELPAYVLADLEPKDTKPSALQKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARVSSFDKRIGLSEKISVGTSAVNDKVKEMDQKYQVSEKTKSALAAAEQSVSTAGSAIMKNRYVLTGAAWVTGAFSKVTSAANDVGAKAKEKIAAEQEHKIVEGGSAAQPDIPEGPATHRELDGEFAKIHVSETPDDIPISTVATVPAVIDEEPSKASPPADAPKKPEPAQGLIL >KQK99961 pep chromosome:Setaria_italica_v2.0:VII:35571988:35573474:-1 gene:SETIT_012779mg transcript:KQK99961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHKPLACSVLAFFFAASLVSAQLTANFYDKSCPNALYTIQTAVKSAVAKENRMGASLLRLHFHDCFVNGCDGSVLLDDTPTFTGEKTAVPNNNSIRGFDVIDSIKAQIEGICPQVVSCADILAVAARDSVVTLGGPTWVVNLGRRDSTTASLDAANNDIPKPTFDLSDLTKSFSNKGLTATDMIALSGGHTIGQARCVNFRNRIYSEANIDTSLATSLKSNCPNKTGDNNISPLDASTPYVFDNFYYKNLLNKKGVLHSDQQLFNGGSADSQTTTYSSNMAKFFTDFSAAMVKMGNISPLTGSSGQIRKNCRKVN >KQK96983 pep chromosome:Setaria_italica_v2.0:VII:17957676:17958717:1 gene:SETIT_011450mg transcript:KQK96983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERECSRRVIMIIKFAKPTLAAVMVILLVGTAVVDAQGNAEAEFTLRGIKTNNPTTFGDNIPHSSDKEKRKQLTSARVLAHVLSREDYCKMFKCP >KQK99243 pep chromosome:Setaria_italica_v2.0:VII:31761312:31766060:1 gene:SETIT_009429mg transcript:KQK99243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDKAVELDAALGSHAVQYRETQGEESDKFLSYFKPCIIPVHSQSPPHMVGSGHKSSRTTMFRCEGEHVARVKEVPFSRSSLDHKGVFIVDTASKIFIFCGCNSSVQTRAKALDVVKHLKENRHSGRCEIAAIEDGKLVGDSDAGEFWNLFGGYAPIPRDLSEAVSEESMNMPSKIFFWINKRILVPMEAHLLDREILNSDRSYILDCGTEIFLWMGMTTLVSERKTSVTALEDYVHSQGRSSRTVIMTEGHETVEFKLHFQHWPKIVGMKLYNAGREKVAAIFKHQGYDVTEIPEDKPQQLISCDGSLKVWLVDHGCTTLLSTEEQEQLYTGDSYIIQYSYVEDGKDYYLFFAWSGKNSVKEDSMATASLVSNLADSVKGHPVVAQVFEGREPGLFFSIFKSLIIFKGGRSAAYRNHVLQKSDRNGSHQRDGVALFRVQGLKHDCMQAIQVDLVASSLNSSHCYILQDGGSYFTWLGSLSLPSDHNILDRMMNKLRPLKQSLLVREGSEPDDFWKALGGRSEYSKEKHVKGWPADPHLYACRFEQGRLKVKEIFSFCQDDLATEETLILDCNDEIYVWVGLHSDVTSKEQALDIAKMFLQDGILQNRRSIETTVYIVTEGDEPAFFTNFFNWDSSKQSSMAGNSFERKLALLKGLSPKLETPDRSMRRPSTRRPGVSSEPTTPEQQQQQPSVARRAFGSASTWRLARERSPATGLPPSPTLSQSPRSRSSSSTSTPTAVARRLFPASLHASETVHALSNGNGTARRR >KQK99119 pep chromosome:Setaria_italica_v2.0:VII:31054259:31059401:1 gene:SETIT_009595mg transcript:KQK99119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRARTHDAAGRAARREIYLGSRGHRGKSRREQLARARSGWRVSDPGAVSLSPGESSVGSGVPGAGAGPAPRDPMRRRPFLDQRRPSFKRRWQQRPWWVRLALSLLLALACVLLLAVLLGSPDPGASPSTSTSTASSGSGTTSSPLLRQRSYLEGITDALNMTDEMLSARSFSRQIMDQIYLAKTYIAASKEANNLQFAAELSAQVRRAQSILAHAAAHGGKVMEQEAEKAIRDMSVLLFQAQQLRYDSGITIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKSPELQRKFSDRSPAVQRNLRDNSLYHFCVFSDNILAVSVVVNSTAINSKHPEKIVFHLVTDELNYAPMRAWFAMNDYRGVTVEIQKVEDFTWLNASYVPVLKQLQNAATQKFYFSGSGSRGTPIKFRNPKYLSMLNHLRFYIPEIYPELQKVVFLDDDIVVQKDLSELFTTNLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLIEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDSYVDYSHPLIQQCFMR >KQK99118 pep chromosome:Setaria_italica_v2.0:VII:31054259:31057178:1 gene:SETIT_009595mg transcript:KQK99118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRARTHDAAGRAARREIYLGSRGHRGKSRREQLARARSGWRVSDPGAVSLSPGESSVGSGVPGAGAGPAPRDPMRRRPFLDQRRPSFKRRWQQRPWWVRLALSLLLALACVLLLAVLLGSPDPGASPSTSTSTASSGSGTTSSPLLRQRSYLEGITDALNMTDEMLSARSFSRQIMDQIYLAKTYIAASKEANNLQFAAELSAQVRRAQSILAHAAAHGGKVMEQEAEKAIRDMSVLLFQAQQLRYDSGITIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKSPELQRKFSDRSPAVQRNLRDNSLYHFCVFSDNILAVSVVVNSTAINSKHPEKIVFHLVTDELNYAPMRAWFAMNDYRGVTVEIQKVEDFTWLNASYVPVLKQLQNAATQKFYFSGSGSRGTPIKFRNPKYLSMLNHLRFYIPEIYPELQKVVFLDDDIVVQKDLSELFTTNLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLIEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDSYVDYSHPLIQQCFMR >KQK99120 pep chromosome:Setaria_italica_v2.0:VII:31054259:31059401:1 gene:SETIT_009595mg transcript:KQK99120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METKRARTHDAAGRAARREIYLGSRGHRGKSRREQLARARSGWRVSDPGAVSLSPGESSVGSGVPGAGAGPAPRDPMRRRPFLDQRRPSFKRRWQQRPWWVRLALSLLLALACVLLLAVLLGSPDPGASPSTSTSTASSGSGTTSSPLLRQRSYLEGITDALNMTDEMLSARSFSRQIMDQIYLAKTYIAASKEANNLQFAAELSAQVRRAQSILAHAAAHGGKVMEQEAEKAIRDMSVLLFQAQQLRYDSGITIMKLKGQIQSLEEKSKAEAEKSTKYGQIAAEELPKGLYCLGVRLTMEWFKSPELQRKFSDRSPAVQRNLRDNSLYHFCVFSDNILAVSVVVNSTAINSKHPEKIVFHLVTDELNYAPMRAWFAMNDYRGVTVEIQKVEDFTWLNASYVPVLKQLQNAATQKFYFSGSGSRGTPIKFRNPKYLSMLNHLRFYIPEIYPELQKVVFLDDDIVVQKDLSELFTTNLNGNVMGAVETCMETFHRFHKYLNHSHPLIRAHFDPDACGWAFGMNVLDLIEWRNKNVTGIYHYWQERNADHTLWKLGSLPPGLLAFYGLVEALDPKWHVLGLGYTTVDPATIKEGAVLHYNGNMKPWLKIGMEKYKGFWDSYVDYSHPLIQQCFMR >KQK96429 pep chromosome:Setaria_italica_v2.0:VII:7067925:7070549:1 gene:SETIT_011901mg transcript:KQK96429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDILLELEETTPKTDYLDANKYQEGLTPDKLKDVDMSQQVLITDETNESEDAFKIPEACDIVLVNRNHMECLFCRNAYLYDEVINAYIHLLRTQDNMINRPGGTCYLENTSMTDMEDHYPSRGHSQVPRLAERVLSYMQHDMLFLPIKIKDTHWYLAVVNARRRKIHLKGLPMQMEYTLQCTGLKDHAWPDVNVDIWDVVEVMVDRIQFDGVSCGLFMVAFIKYWTGDHLCATVDQESMVKFRTKMAATLLSTIFNERLGKPLLRNEDENIGSPSDFAEIIEPNEFQQIKQKRKSTNSHENALKPKKIDTEIDSDKQDVLLYYKDWPLKRDELAEIFCDYILTIKDPAELDMVWVRSDLPYRSVYKLGDLKVLLKRGSPMPEPFFNLGVRFLAYREAKGMIRYNNKVANHHVDLRFCKMLELTRHEKYRKHHSGKELGDVIGGWEIVKYDILGCRYFLLPWKHVNTYLLYVLDIKRKKLIVIDTKPIPKYATDVPYKHYAIQIVGFRLKFMNAFRQLKPDSWEDVHKWEFERAKGIVEDTDG >KQK99537 pep chromosome:Setaria_italica_v2.0:VII:33385547:33386362:1 gene:SETIT_013094mg transcript:KQK99537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSQANFRNEYTNRDCDKLTMVTSNVTTRQMTLATERTENKDSLTN >KQK99679 pep chromosome:Setaria_italica_v2.0:VII:34178529:34181607:-1 gene:SETIT_011007mg transcript:KQK99679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSVPTYLRDLGSHRATRTQQQRVRKDERTWTSDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTSDPPLFSVTYFNHHTCSTSSNPLKGNGDTAAQSSSRKAVSICFSPHTASEQPTFLTSSALPQSPSIHSYRGNQQPERNPYASQFQWTDTSSPTSSGPFMLEVDNVSGASASSSSAGALPRTLLPIGQSRCIEYFHFL >KQK98943 pep chromosome:Setaria_italica_v2.0:VII:30093406:30097136:1 gene:SETIT_010826mg transcript:KQK98943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPQFVSTSPARLSYTPTSPVPKSSGKGCGRVPAFPARPRGFSLRLRDSSPVMAAAGVGGNGSPTAPGDCTGSSRIGEVKRVTKETNVHVKINLDGTGVADCSTGIPFLDHMLDQLASHGLFDVYVKATGDTHIDDHHSNEDIALAIGTALLQSLGDRKGINRFGHFTAPLDEAAVEVILDLSGRPHLSCGLDIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEACFKAFARALRQATEYDLRRQGTVPSSKGVLSRS >KQK98539 pep chromosome:Setaria_italica_v2.0:VII:27798052:27801470:-1 gene:SETIT_009417mg transcript:KQK98539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSTRWRALPLCLALAVALQACLSACAPAPKTYIVQMAASEMPSSFDFHHEWYASTVKSVSSVQLEGEEDDPFARIVYNYETAFHGFAAKLDEDEAERMADADGVVAVLPDTVLQLHTTRSPDFLGISPEISNSIWSAGLADHDVVVGVLDTGIWPESPSFSDKGLGPVPAKWKGLCQTGRGFTTASCNRKVIGARIFYNGYEASSGPINETTELKSPRDQDGHGTHTAATAAGAPVPDANLFGYASGVARGMAPRARVAAYKVCWAGGCFSSDILAAVDRAVADGVDVLSISLGGGSSPYYRDSLAIASFGAMQMGVFVACSGGNAGPDPISLTNLSPWITTVGASTMDRDFPATVTLGNGANITGVSLYKGRQNLSSKEQYPLVYMGGNSSIPDPMSLCLEGTLKPHEVAGKIVICDRGISPRVQKGQVVKNAGGVGMILANTPANGEELVADSHLLPAVAVGESEGVAAKKYSKAAPKPTATLSFDGTKLGIRPSPVVAAFSSRGPNFLTLEILKPDVIAPGVNILAAWSGDASPSSLSTDRRRVGFNILSGTSMSCPHVAGVAALIKASHPDWSPAKIKSALMTTAYVHDNTYRSLKDAATGRASTPFDHGAGHIHPLRALNPGLVYDIGQDDYLEFLCVENLTPMQLRAFTKNSSRTCKHTFTSPGDLNYPAISAVFAEQPSAALTVRRTATNVGPPTSTYHVKVSEFKGANIVVEPSTLHFTSSNQKLTYKVTMTTKAAQKTPEFGALSWSDGVHIVRSPLILTWLPPM >KQK97409 pep chromosome:Setaria_italica_v2.0:VII:21080437:21081544:1 gene:SETIT_010712mg transcript:KQK97409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPEDVDAGSGGGPPAAWWSAASPVGGDVVLSGAVLLFVALAFAFVVYHYFTINRRGGVAGIEVPSSSSAQQRRSTLGGGDAAGGRGGVDAAVLRALPVMVYRAKDRPPGEALECAVCLAELADGEAARFLPRCGHGFHAECVDLWLHGHSTCPLCRVDVDKAGSLPAPPPSSLALPPALPEPANYPTNLPTNVLFWGSQDAVTTVIGGGPSSSRGAPAAALVIEVRDRETAPAVAPTPREGGAAKAQGLARLSSLRRLWSRGRPDAAAASSRSCRQATAADGTEQERAMRLDTCM >KQK99998 pep chromosome:Setaria_italica_v2.0:VII:35700310:35701021:1 gene:SETIT_012551mg transcript:KQK99998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFAGWYLKIAAVGASIGAAMELFMIHTGFYEKVTVLESEKRAWESSPEAQAMREALNPWRKHDEQQKK >KQK99044 pep chromosome:Setaria_italica_v2.0:VII:30635726:30636589:1 gene:SETIT_011218mg transcript:KQK99044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALAMAKALVVALVAIAAVAELAAAKNHTIQWSVSGNYGDWSASNAVSVGDTVVFTYGPPHTVDELPSEADYKACSFDGKLSSDDGGRTAFTFDKVGTRYFACAAGSHCTQGQKVAITVTDGASSATPKGNSAATGAAGLAAKLALGLGVGGALLAAF >KQK96648 pep chromosome:Setaria_italica_v2.0:VII:13405808:13406341:1 gene:SETIT_013048mg transcript:KQK96648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAARSGGPAFALCLGYQDKFKCDVYMQVDAHIVNEQFPLVDGKMSLNSRGTPVCMTV >KQK97103 pep chromosome:Setaria_italica_v2.0:VII:19086962:19090558:1 gene:SETIT_010954mg transcript:KQK97103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRSSAKRTLPANCSSDNHAWQWWGRIIKVPNGHKSETARVARGRTARPIESGRNAQFGIQCSALSIITSQPHRRHFRGTFDIHDRMGLKRPLYGGPLQSYVAVVAYKAVVAIGGGGRAVPAKLQAAMDKDATVGNSRAAETFDPDLIHAIFKLVWRRRAEKGAGGNEDIDVEPAPETSRRNRSTTANASALKVSCELLRIFVTEAIQRSAFIAEAEDATVIEPTHLERVLPQLLLDF >KQK97102 pep chromosome:Setaria_italica_v2.0:VII:19086962:19090406:1 gene:SETIT_010954mg transcript:KQK97102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSRSSAKRTLPANCSSDNHAWQWWGRIIKVPNGHKSETARVARGRTARPIESGRNAQFGIQCSALSIITSQPHRRHFRGTFDIHDRMGLKRPLYGGPLQSYVAVVAYKAVVAIGGGGRAVPAKLQAAMDKDATVGNSRAAETFDPDLIHAIFKLVWRRRAEKGAGGNEDIDVEPAPETSRRNRSTTANASALKVSCELLRIFVTEAIQRSAFIAEAEDATVIEPTHLERVLPQLLLDF >KQK97355 pep chromosome:Setaria_italica_v2.0:VII:20746177:20749142:-1 gene:SETIT_010645mg transcript:KQK97355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRYGLQLRTKPAASSSSRAPPPPARPLAAFADDDDDDVEAEILRQAAKKRALQKVEEQKKKAIEEDPSVYAYDEVYDDMKEKEARPKMQAKVVRESKYIAQLKEKAEQRKREQDIIYERKLQKERSKEDHLFGDKDKFVTSAYRKKLEEQQKWLEEERMRQLREEREDVTKKKDLSDFYFGLEKNVAFGARTHGNAKAADPQKSDNKPEDTKSNSVDAEVSEPSPKRRRESSVGPERAKSVEEPSGSQPKDSTAAASTEKTGADAPSNASQTPQNIQPAKVTDDHYKRSDDALAAARARALARKKAKEQQL >KQK99070 pep chromosome:Setaria_italica_v2.0:VII:30771361:30772431:1 gene:SETIT_010742mg transcript:KQK99070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKGSYEFAPVLSDFFQYLLHYLLVCVCREGHLRDISWNGAFSLSDVLLYDGHMRISPDVPVHRYSPAGGAADYRRSYDIVLLFVDLDTGNYPVHVRYLLNTLLNADESVRLKPEFVTFLVNHPCLLTYAEKQMVYSLVDRLCSKLPEAVVNRVDQLVGVRGWVTTIKGADAFKDTYTYVPPQKRSVQNPPVPGAAVQNPPVPGAAVQNPPVHGAAVLNTITATNMQGYGQGVTHCLHLGCNFLNNPPVEADLETAEVALSYLLEFVLPEVLEVLSKELTGYHARTFMEIL >KQK97280 pep chromosome:Setaria_italica_v2.0:VII:20242600:20243073:-1 gene:SETIT_013066mg transcript:KQK97280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSEYCRPAVIWYQQDGHGFSRFLCCAASFLWSQGHYIFGCFGD >KQK97911 pep chromosome:Setaria_italica_v2.0:VII:23914632:23919455:-1 gene:SETIT_010109mg transcript:KQK97911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAPLDEAKARNVLRQVEFYFSDSNLPRDGFLRRTVEESEDGLVSLALICSFSRMRSHLGLEGEVKPETVPEETVLAVAEVLRRSSSLRVSEDGKKIGRAKELLKPDEIIEQVDSRTIAASPLPYNVKLEDVESFFAQCGKVNSVRLPRHVSDKRHFCGTALVEFSEEDEAKGVFEKTLVFAGVDLEIRPKKEFDAERDAKKEAYEKANLNKNNGESYPKGLILAFKLKKIPADIGTDQNGVEKVDDAEGAKKEGSSNTTDKSSTGHEEKAPEGKGDASEEQLDGVEMKGVAAGETAQSVDKDDKSPSDNDEETISREDIKEEFTKFGIVRYVDFSKGDDSGFIRFEDSTAAEKARAFAAIADEGGLIMKAHIVTLEPVSGQGEKDYWSAIRGGQDKYKDSRSNRGRDWKNNRGGRHFGGGKRGRHFDSRDRASNKAQKV >KQK97760 pep chromosome:Setaria_italica_v2.0:VII:23113667:23114538:-1 gene:SETIT_011151mg transcript:KQK97760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSGAMGTTTPAVVLATMLVVALHVGLAAATHSDTDTIIRLPTGVRGDDSPWECCDFIERDPSFRPPRWQCNDVLGECSANCKKCEEALAGDGYVCRDWVTSIVQPPVCTPRPWDCCDFAVCTRAYVPTCWCADKVDKCSSHCKDCSEVEKDPRRYRCLDRFLGYPGPKCTPWSSKEEGN >KQK97046 pep chromosome:Setaria_italica_v2.0:VII:18481818:18483919:1 gene:SETIT_012503mg transcript:KQK97046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLVWSDLVWFLVVALQVLQNDIDLLNPPAELEKLKHKKKRLVQSPNSFFMDVKCQGCFSITTVFSHSQTVVVCPGCQTVLCQPTGGKARLTEGCSFRRKGD >KQK96117 pep chromosome:Setaria_italica_v2.0:VII:627261:627983:-1 gene:SETIT_012480mg transcript:KQK96117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PAMASFRSGPGNQPAVTISSGSSVRSVVDLSSSSDSNEATSRPTRRVARRRRPSSSVRSPAAASTDTGGGGGGDEAATSRPRTASRRRRPSSPASSAVSVGSSARSAGDESTSRLRRRYNKWTHEDEREVLNIMGDLLKDNHGVIPGASHILKELRGKPAFSRRGLQVRELSDKMYRLKRKFATTADKAAANRGKLPRRTKYRDEKLYEMSQDHDLWPDVAADDGAAYLEARGIAPRPRR >KQK99536 pep chromosome:Setaria_italica_v2.0:VII:33385867:33386362:-1 gene:SETIT_013086mg transcript:KQK99536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCTTNSWCLNQKQTIVSLSQSLLVYSFLKFACDLIVLSAVRACNRTR >KQK96668 pep chromosome:Setaria_italica_v2.0:VII:13706265:13708070:-1 gene:SETIT_012748mg transcript:KQK96668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRERRTVFVTVGTTCFDALVKAVDSDEVKEALLQKGYTDLLIQMGRGTYMPSKVSGNPTLQVDYFTFSPSIADYIREASLIISHAAYFETLRLGKPLIVVVNEDLMDNHQSELAEELADRKHLLCARPQTLQETVRAMDLNNFQPYMPGDANQVVTLINRFLGFPVD >KQK98436 pep chromosome:Setaria_italica_v2.0:VII:26989609:26999711:-1 gene:SETIT_009321mg transcript:KQK98436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPWWSKSSSKDVKKTTKENLIDTFHRLISPNEQKGSTKSKRNCRRGNNAAVEKVCKSTAVSRPTSPSKEVSRCQSFSVDRPHAQPLPIPGGCPRAKRTVSEVIESKPILEKRGKPPLFLPLPKPDPLHKRPGNSEIASEIVVASVSSNCSADNEYHADSQLQSPVGNDTENPTKISSKNKSNVRKEHPGAITTKNTKETSKPTASAFLNNHTLSTSPRGIAADNNQPNLQNLRPVVLESAPNSLMSSPSRSPRRICPDHIPTSAFWAVKPHADVTFLGSGQCSSPGSGQTSGHNSVGGDMLAQLFWQPSRGSPECSPIPSPRMTSPGPSSRVHSGSVSPLHPRSGGVAPESPTSRHDDGKKKQTHKLPLPPLSISNSSFFPNNSTPTSPISVPRSPGRTENPSSPASRWKKGKLIGRGTFGHVYVGFNSDSGEMCAMKEVTQFLDDPKSKESAKQLGQEISLLSRLRHPNIVQYYGSERVDDKLYIYLEYVSGGSIHKLLQEYGQLGEPAIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPSGRVKLADFGMAKHINGQHPFSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATSKPPWSQYEGIAAVFKIGNSKELPPIPDHLSERCKDFIRKCLQRDPSQRPTSVELLQHPFIQNGISLEKSVAPNPLEHLAAISCRPKPKVAMQTRNTSLGLEGQTIYQRRGVKLSSKHSDIHIRSNVSCPVSPCGSPLLKSRSPQHTSGRMSPSPISSPRTTSGTSTPLSGGNGAIPFNHLRYATYSSEGFGTTSRGRDDLFPNRHKDPILGQFTQAHQVAQGPRERVVSEADILSPQFGKRLGNVFDLRERLSPSEHFTRHAFVDHVELNPSLDLTSGPLNLGLQHGK >KQK97187 pep chromosome:Setaria_italica_v2.0:VII:19503417:19504824:1 gene:SETIT_010043mg transcript:KQK97187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVAALQRAWVLVSHGELVGLLTPLHVVMAFTLGAGVVMLWRVCRSRDVYLVDYGCFLGEPRHRVPFAMALEHGRLMTWFVDDESTKFMVRLYERSAIGEETSVPDSYRYMPPERGVEASREEAELVIFSAVDKAFARSTLNPGDIDTLIVTCSFTTLTPEFADVIVNRYKLRAEVRSVNLSGMGCSGALICVGLAKNLLQVAPPGSHVLIVATEILSSMFYTGTKREMLVPNVLFRMGAAAIIMSNSPERARFRLGPIVRTLTAAKDGDYRCAFQEEDDEGITGINLSKDLPVVAANALKSHIIAFGPAVLPVSVLLRVAFSFLRHRFSSGEARAEERCYRPAFHNVFQHFCIHPGGRRVLYEVQRGLGLSDGDMEASHMTLHRFGNMASSSLLYELAYIEAKGRMSKGDRVCMISFSPGIDCSSVVWECIKAAAEPGDGPWAGCIHRYPVQLPKILKRT >KQK99761 pep chromosome:Setaria_italica_v2.0:VII:34619930:34622347:-1 gene:SETIT_010809mg transcript:KQK99761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIVFRWLGLSQPSHLPLADLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVAVCTVTDVSVNARGLIAAVIAVWSTAFQQYYVHFLQKKYALNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGKRVDHFNFSSLALFFLTLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLNLQVVLGMVLAVLGMIWYGNASAKPGGKERRSILPVRSTSLKGSSEETDGAEK >KQK99411 pep chromosome:Setaria_italica_v2.0:VII:32809902:32813522:-1 gene:SETIT_009934mg transcript:KQK99411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSWVFVKNKPLIPKVILLYVPGLDAALYMSQTRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKTSNQSAKPDGEGKISSLDDLGDIPFPVTYYTLSEKDLEDNGYSFNLSGFVPTVSAPSGSSPHKILALDCEMCVTGAGFELTRVTLVDIKGMVVLDKLVKPANQIIDYNTRFSGITAEMLADVSTTLQEIQEEFVGLVHKETILVGHSLENDLTALRISHGLIIDTAVLYKYNRGARCKIALRVLTKKFLGREIQNTGSGHDSVEDARAALELAILKIKNGPDFGSQPSLSRRKLTSILHESGKKCSLIDDVSVLERYSDTSCNSIAAFSDDDALSRSMKEVKNDKVSFVWTQFSGLISYFRRRVQDPEKLKSCVAEAIALKTCDGKTASKKARRQICPELKEVLCELDKKIRELYGALPDNAMLIVCTGHGDTPLVQRLRKMLHHEENTIESRENIVQALGDLQAQAEVALCFCCVKH >KQK99409 pep chromosome:Setaria_italica_v2.0:VII:32809902:32813522:-1 gene:SETIT_009934mg transcript:KQK99409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSWVFVKNKPLIPKVILLYVPGLDAALYMSQTRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKTSNQSAKPDGEGKISSLDDLGDIPFPVTYYTLSEKDLEDNGYSFNLSGFVPTVSAPSGSSPHKILALDCEMCVTGAGFELTRVTLVDIKGMVVLDKLVKPANQIIDYNTRFSGITAEMLADVSTTLQEIQEEFVGLVHKETILVGHSLENDLTALRISHGLIIDTAVLYKYNRGARCKIALRVLTKKFLGREIQNTGSGHDSVEDARAALELAILKIKNGPDFGSQPSLSRRKLTSILHESGKKCSLIDDVSVLERYSDTSCNSIAAFSDDDALSRSMKEVKNDKVSFVWTQFSGLISYFRRRVQDPEKLKSCVAEAIALKTCDGKTASKKARRQICPELKEVLCELDKKIRELYGALPDNAMLIVCTGHGDTPLVQRLRKMLHHEENTIESRENIVQALGDLQAQAEVALCFCCVKH >KQK99410 pep chromosome:Setaria_italica_v2.0:VII:32809512:32813701:-1 gene:SETIT_009934mg transcript:KQK99410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSWVFVKNKPLIPKVILLYVPGLDAALYMSQTRLLSSLKELCGNPKPVLASSCIPDERHTIDALLTCRVKRKRDVKTSNQSAKPDGEGKISSLDDLGDIPFPVTYYTLSEKDLEDNGYSFNLSGFVPTVSAPSGSSPHKILALDCEMCVTGAGFELTRVTLVDIKGMVVLDKLVKPANQIIDYNTRFSGITAEMLADVSTTLQEIQEEFVGLVHKETILVGHSLENDLTALRISHGLIIDTAVLYKYNRGARCKIALRVLTKKFLGREIQNTGSGHDSVEDARAALELAILKIKNGPDFGSQPSLSRRKLTSILHESGKKCSLIDDVSVLERYSDTSCNSIAAFSDDDALSRSMKEVKNDKVSFVWTQFSGLISYFRRRVQDPEKLKSCVAEAIALKTCDGKTASKKARRQICPELKEVLCELDKKIRELYGALPDNAMLIVCTGHGDTPLVQRLRKMLHHEENTIESRENIVQALGDLQAQAEVALCFCCVKH >KQK99470 pep chromosome:Setaria_italica_v2.0:VII:33031867:33036449:1 gene:SETIT_009965mg transcript:KQK99470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFYVPGDKAAAIHARADVLILFLALCYSKFSFSSCQRRVTYLHEFLHVHTLKRMSERIKNWRRTACPSTSRAPPLAGEDSPLTYQLERLMAAAPATHAYRASASIIAGLTGALRAASTGSCAHFCNFQALVNNGLLYRRENPLSLRCSFRSLQARNNHSSAVAPKDYCETYIQFLRDKRIVPDSDPPSSKDVDLLYEFIDKSKRLMVVTGAGMSTESGIPDYRSPNGAYSTGFKPLTHQEFVRSIRARRRYWARSYAGWRRFTRAQPNAAHYALASLERIGRVHLMVTQNVDRLHHRAGSKPLELHGSVYEVICLDCGTSISRESFQEQVKDLNPKWAQAIDSLEVGQPGSDKSFGMQQRPDGDIEIDEKFWEQDFEIPNCHQCGGVLKPDVVMFGDNVPQERAESAKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAVSIGETRADSILSLKINARCGEILPRILQMGSLTVPNVS >KQK99469 pep chromosome:Setaria_italica_v2.0:VII:33031867:33036449:1 gene:SETIT_009965mg transcript:KQK99469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRFYVPGDKAAAIHARADVLILFLALCYSKFSFSSCQRRVTYLHEFLHVHTLKRMSERIKNWRRTACPSTSRAPPLAGEDSPLTYQLERLMAAAPATHAYRASASIIAGLTGALRAASTGSCAHFCNFQALVNNGLLYRRENPLSLRCSFRSLQARNNHSSAVAPKDYCETYIQFLRDKRIVPDSDPPSSKDVDLLYEFIDKSKRLMVVTGAGMSTESGIPDYRSPNGAYSTGFKPLTHQEFVRSIRARRRYWARSYAGWRRFTRAQPNAAHYALASLERIGRVHLMVTQNVDSKPLELHGSVYEVICLDCGTSISRESFQEQVKDLNPKWAQAIDSLEVGQPGSDKSFGMQQRPDGDIEIDEKFWEQDFEIPNCHQCGGVLKPDVVMFGDNVPQERAESAKEAARNCDALLVVGSALMTMSAFRLARLAHEANAPIAAVSIGETRADSILSLKINARCGEILPRILQMGSLTVPNVS >KQK99008 pep chromosome:Setaria_italica_v2.0:VII:30459581:30461431:-1 gene:SETIT_009841mg transcript:KQK99008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADTSKPFFPASPHQALLPSRGAAARASLDGSYWRAFRSSELVSGADFPVTDLTFAPAAATASSPTLAAAWSTSLHLFSGDPLKSLRRISVAGDLGVVRVFRADKPATGAALRTLRAHAAETRVVRYPVGGGDKLHLLTAGDDALLTYWDVPSETPVFTVPAAHKDYIRGGAASPADHNIFATGSYDRSVKLWDARTGNAGPSLSFSHGELVESVLFLPSGGLLATAGGNVVKIWDVIGGGRLVHSVESHVKTVMALALGKMATTGETRLLSAGIDGYVKCFDFGKLKITHSLRHPQPLLSVACSPCGTVLVAGSAKGKIYMGKRKKKAVDEEDEGTKAGSGEIDWVSPEPEKPVLKPNYFRYFLRGQNEKAKEGDFVIKKPKKVKIAEHDKLLRKFRHKEALVSALVKNNPRSAVAVMEELVARRKLVRCIGNLDKEELGLLLEFLRRNATLPRYARFLLGVANKVVEMREEDIRSDDKLRMHIRNLKRMVGEEIQIQHTLQGIQGMISPMLALAAR >KQK99602 pep chromosome:Setaria_italica_v2.0:VII:33719617:33722614:1 gene:SETIT_009673mg transcript:KQK99602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQRQHSSSFGPKLRMSTKSKAANGSMRRKPRFNSKRMNNIIKDMERRKAYMPNMRKMNARSGVGVKDNAHIHSGKKPCGSDKKDQDGINRIAEVNILPQKDSRAGQSSDVLPLKNGASKSKQSLSHISKNARQSPTSRLDNDLIPLMDIKSSHPLRVENVLPSICSKELENPTPFHSKDGSNATKDGSNTNLGLRKQSHSGTSHHVDVELMAKSAHQARNADLELMAKSAHQARNVDLELMAKSAHQARNVYASSSDDDTLGPKSNGGQESNVRKDSKEISRPLKCKRVSRGSNIPFASETLKASCKDKNCAIVSSKDVAQSEFTKTCLHKKDQPSGYVGKNNDVNSKRKKVEGRLTTCDNDDYTRVVQQDNLTCGTIKRRRCMAPNEVGDEVDGCNKNLMGVDSTITLTPQEALLKKQQCNYCSKPICEPSWKGIFKIDGKEYISLAGHLSTKSCEKVWELSKSLPPVVEVERVSRLVAWPSVWKASKPSSDNIGLYFLHENMRNVEELDQLVKEVVENDLLLRAVVNEAEMLIFPSVLLPKRYQTFQAKYYLWAVFKPREDKGDVLAEPLNGTGHRAQATCLKPAI >KQK98492 pep chromosome:Setaria_italica_v2.0:VII:27405957:27408076:-1 gene:SETIT_009898mg transcript:KQK98492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAKPAQNGRPTPSAPEWRVTVAEGASVTVEHEAGRAARAWAWLVARLLAFGDKALGFGGRVWRIGADDPRRAVHGLKVGLALAMVSVFYYTRPLYDGVGGAAMWALLTVVVVFEYTVGGCVYKGFNRAIATASAAVIALGVHWIASKSGDKFEPFIRSGSVFLLAAMATFSRFIPTVKARFDYGVTIFILTYSLVAVSGYRVGALVALAQQRVCTISIGVSICFAICVLICPVWAGQELHRATARNMESLAGALEAIVEGYFLADAAEEEASGDKRPSSSNATEGYRCVLNSKASEDSQANLARWEPPHGSFGFRHPYGQYRNVGAAMRHCAYCVEALAGCARSAEAQPAAPHGGHSRRHLAGACTRVAALCARVLREASASVTSMTASGILDFAVADMNAAVQDLRDDLRALPSGLLLAGAGSAASTALLVDAAQLFTVTSLLIEVSARVEGVVDAVDTLASLANFRSADDGKPAESATKEQSMISSDSEESGGNRTTMKALE >KQK99745 pep chromosome:Setaria_italica_v2.0:VII:34533187:34537430:-1 gene:SETIT_012394mg transcript:KQK99745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPVKSVLPVVLLGCGGVGRYLLRHIVSCRPLHANQGVAVRVVGVADSSSLLVADDVHSSGLDDALLTQLCAAKAAGSPLSALLGQGHCQLFQNPEARSKVIDAATTLGRTTGCLVLVDCSATYDTVSLLKDAVDHGCCIVLANKKPLTGAYEDFQKLVSNFRRIRFESTVGAGLPVIASATHIIASGDPVSRIVGSLSGTLGYVMSELEDGKKFSEVVKNAKSLGYTEPDLRDDLGGMDVARKALILARLLGQQISMDYINVESLYPSELGPDAVSTEDFLESGLVQLDRSVEERVKAASSRGNVLRYVCEIGSTGCQVGLKELPKDSALGRLRGSDNVIYSRCYESSPLVIQGAGAGNDTTAAGVLADIIDLQDLFRKTA >KQK99042 pep chromosome:Setaria_italica_v2.0:VII:30624436:30627625:1 gene:SETIT_010761mg transcript:KQK99042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASAPAPAAGAGAGGGKDDELADLVRRLVDALARYADRLPFDLDRQKLRSLTTLAAISVTLLFAWKMLRAPQEQPRRPRRRAAPSSSNTSSRLRPGALAATDACSSADSRAHEAVNQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVMEVLLEIAKFCDVYLMERVLDDESEEKVLSALSEAGLFANGALINDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLARFIKYQLHISPQQPERIASNVFSSPSLEQYFGGLDQR >KQK99041 pep chromosome:Setaria_italica_v2.0:VII:30624603:30627015:1 gene:SETIT_010761mg transcript:KQK99041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASASAPAPAAGAGAGGGKDDELADLVRRLVDALARYADRLPFDLDRQKLRSLTTLAAISVTLLFAWKMLRAPQEQPRRPRRRAAPSSSNTSSRLRPGALAATDACSSADSRAHEAVNQLFQPVNLTLEQLVRHKLSEGRRVTCRLLGVILEETTPEELQNHVTVRPSVMEVLLEIAKFCDVYLMERVLDDESEEKVLSALSEAGLFANGALINDKVLFCSTENGRTSFVRQLEPDWHIDTSPEIVHQLAVSTRSYKDIFLTHSWSSF >KQK96638 pep chromosome:Setaria_italica_v2.0:VII:13236611:13237168:1 gene:SETIT_012093mg transcript:KQK96638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLELEKKLLHVDYGVALVAGITGKYFADCNAVELKSHAANREMAKRLWDFSVSLLR >KQK96836 pep chromosome:Setaria_italica_v2.0:VII:16191893:16193605:1 gene:SETIT_012182mg transcript:KQK96836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVDMPATMRAVQYSGYGGGSSALKLQNTLFLNPPMHFLWFDLFEKNEVLIKVKASCINQGDWWVQNGFLRPFLPKFPFIPVTDVAGEIVEVGSAVREFKPGDNVVSKLHFLKAGGLAEYVAASEGSTVACPAGVSAADAVGLPMAGLTALQGLKTIGTKFDGTDTGANILITAASSGVGTYAVQLAKLGNHHVTATCGARNLELVTSLGADEVLDYGTPEGEALMNSLGKKYDYIINLTNSNRWSVLKTTLSSRGRVVDIAPNFGNMVASVTTLFARRKLSIMTLSLGKEDLRFLLELMKEGKLRTVIDSRYPFEKAAEAWEKSMSCHATGKIIIDM >KQK99001 pep chromosome:Setaria_italica_v2.0:VII:30416856:30421815:-1 gene:SETIT_009636mg transcript:KQK99001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLMDGEPKILEKLSHEHMYSWYFTREELEKFSPSRKDGITESKESELRNLYCSFIRDVGIRLKLPQMTLATAIMFCHRFYLHQSLAKNGWQTVAAVCVFLASKTEDTPCPLDHVVRVAYETMYRRDTAAAQRIRQKDVFEKQKALILIGERLVLTTIRFDFNIQHPYRPLFDAMTNLGINQKEVKQVAWNFVNDWLKTTLCLQYKPQYIAAGSLYLAAKLHNIKLPLHGARVWWHQFDVAPKPLEAVIQQMMEHAAVKKLMPARPSPVKQKEVPCEAKLHVSNSPDSVLNQSSLLISSSSPDIGDPSDHMQVDSCQYLISSHTGDGSVSGPDSSLLNGSAYINVSSKAHDEESLDQASITKHDDEMMSCTNQTSLYAVAATDGSAECMKQDVSHCTVNGKNLNQASGNWHGDSANPLPVVTALGAKADKESTRCVDPSIGSSNRCTDSLNADILRTDQRLADAASVPIDHAPSASPVVVEADPLRAELKKVDVARIKDLLSKRKRKKGIQEQAVCSDDLSEEAWIERELESGIITKQEPAASDGLSDEAWIERELESGIVVGPRNKQAITLDGLSEDDWIERELESGIIVEPAPASKKQKLKTSCC >KQK99800 pep chromosome:Setaria_italica_v2.0:VII:34807719:34809087:-1 gene:SETIT_012414mg transcript:KQK99800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRCTVKILSPFWNRSDLAAWASRAGKDETCTARRRLSSSSTARRLHRRSASSQSRAARLSFPRHRSSDASLIRHLSEVSSAASSLMCAISSRCRRSFSLGFREPDRPWP >KQK99766 pep chromosome:Setaria_italica_v2.0:VII:34648302:34648671:-1 gene:SETIT_012815mg transcript:KQK99766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFDIHSLRRSFLCTYFFSSQNEEDRFDPWALVSVYTYSTCVRSSPAIIFRA >KQK99323 pep chromosome:Setaria_italica_v2.0:VII:32347828:32348323:1 gene:SETIT_012826mg transcript:KQK99323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFHVNNMTLIAQSNQRPTIPSHIHDLSAEAEVSNGFGIVSIH >KQK97963 pep chromosome:Setaria_italica_v2.0:VII:24213849:24217805:1 gene:SETIT_0097791mg transcript:KQK97963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPLAPARLLSAPRGPGPGWGGSRARGRIVAAAVAVAGSGLGLWLKPPSLADSGETEAGGQISVAGAGAGAAEARGEKGRFLFADSFRRRVFFNYEKRIRLLSPPEKIFEYFASVRKPEGEVCMLPADLMRAVVPVFPPSESTVVREGRLRGERSPGELHCAPSKFFMLFDTNGDGLISFAEYIFFVTLLSIPESNFSVAFKMFDVDHSGVIDREEFKKIMSLMRSFNRQGATHKDGLRIGLKVGQPVENGGVVEFFFGNDGNEPLHYDKFSKFLKELHDEIIRLEFSHYDVKSSNTIPAKDFALSMVASADMNHINMLLDRVDDLVNDPDLKDMRISFEEFKAFADLRRRLEPLSMAIFAYGKVNGLLTKQDLKHAAQHVCGVDLTDRVVDIIFHVFDTNQDGNLSSEEFLRALQRRETDIRQPTIPGPLGFLSCWFGGRKSSSLRQMVF >KQK98923 pep chromosome:Setaria_italica_v2.0:VII:29970651:29979365:-1 gene:SETIT_009325mg transcript:KQK98923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNYLTGPIPSFLGELTALQYLSLAMNALSGSVPKELGKLVNLIALGISINNLNGSLPPELGNMVKLEQLYIDSSGLSGPLPPSFSKLTSLKTLWASDNDFTGQIPDYIGSLSNLSDLRFQGNSFQGPLPTTLSNLVQLTSLRIGDIVNGSSSLAFISNMTSLNTLVLRNCRISDNLASVNFSQFAKLSLLDLSFNNITGQVPQALLNLNILSFLFLGNNSLSGSLPSSIGTSLKNLDFSYNQLSGNFPSWVSPNLTLNLVANNFVVSNSNNSVLLPSGLECLQRDTPCFLGSPQTTSFAVDCGSSSSMSGSDGSVYLPDDANLGPASYYVTGAPTWGVSNVGRFMEAFERDYIIQSSNASYTVYTSTRLFRNTPDSELFQRARMSPSSLRYFGIGLENGNYVVTLQFAEISFEDSRTWQSLGKRVFDIYIQGERKEQNFDIRKEARGSYAAVQKQYIIPVTRNFLEIHLFWAGKGTCCIPTQGHYGPLISALSVTPAPNAARKRSKNKTGAIVGAAVGAVVVGLIVLTGLYVRRQKSRKLSLEQQDLYNTVGRPNLFTYSYGELKTASENFNSSNFLGEGGYGSVYKGKLSDGSVVAVKLLSETSRQGKKQFITEIETISQVQHRNLVKLYGCCLEGNNPLLVYEYLDNGSLDKALFGNGRLNLDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNSKISDFGLAKLYDDKNTHISTKVAGTFGYLAPEYAMRGHMSEKVDVFAFGVVILETIAGRPNYDGRLGEDKAYLLEWVWQLYEDDHPLDVTDPRLTEFNSEEVLRAIRVGLLCIQSSPRQRPPMSRVVSMLVGDIEVPEAVTKPSYVIEWQSNAMGTSSSEPGAEAMSLSSVIDEGR >KQL00052 pep chromosome:Setaria_italica_v2.0:VII:35952792:35955172:1 gene:SETIT_012752mg transcript:KQL00052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSHLPPPNASFDLMSRRLLPRITPLPRRRRNPSSPPITPALAASVEHILTTRSTNPAWPRSYPPRSRTRASPPPSPPSPTPTSRSRSSPGLAATPLAHSALLRFLARAGRFDAAEATLQSMSSRAGDGVVAAAPTLACLGELAAAYADAGMDGKAAEMCQRSRELYGALPRAADCNRLLRLLVQRRRWEDARKLYDEMLAEEGGADDYSTCVMLRGMCLEGRVEEGRKLIEARWGAGCIPHPVFYNVLIDGYCQRGEIRRGMLLLGDMEMKGFLPTEVTYGVIITWLGQKGDLERIGGLLGEMKVRGLSPNVQIYSTVIDAVCKRHSASQAMVVLKQMFASGCDPDVVTFNTLISAFCREGRAHEAEKLLREAIRRELEPNQNSYTPLIHAFCIGGDVTVASDFLVEMMEGGHTPDVITFGALIHGLVVSGKVTEALSVRDKMMERQVMPDVNIYNVLISGLCKKQMLPAAKNLLAEMLEHNVQPDKYVYTTLIDGFIRSGNISDAKKIFEFMEQKGVCPDVVGYNAMVKGYCQFGMTDEAILCMSSMKKVGCIPDEFTYTTLIDGCAKQGNISGALSLLCDMMKRRCKPNVVTYSSLISGYCKISDTDTAEFVFENMQSGVNGLTNSVTWIINSNCSNSVKLHDKNALLDVFKGLVSDGWDPRISAYNAIIFSLCRHNMLGKALDLKDKMVSKGYSSDPITFLSLPYGFCFVGKPRNWGSILPNEFQKNEFETIF >KQK97231 pep chromosome:Setaria_italica_v2.0:VII:19780982:19781824:-1 gene:SETIT_012827mg transcript:KQK97231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLDDKRSLEGLEKSRGKSAGLGSSPILNPNLGLLGRAYQFSKHQPKRMFCSAALPLLKVLRNA >KQK98653 pep chromosome:Setaria_italica_v2.0:VII:28473352:28474729:1 gene:SETIT_010350mg transcript:KQK98653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTSSLQPPPAAAIGGTSPYSASSSFLPSFMIIAALLAFVFLASVSIHLLLRFLSDRSSSSPSSAGPSLPRTHRDGEAAGSTGSAAGDSAPRPPAAAAAEGGGKKEEAPADEKQRLIDSLPLFTMASALAALPKSSPDCAVCLSPFTPDAELRLLPACRHAFHAACVDAWLRTTPSCPLCRAAVAPLHPSLTAAMLAAAQQQQQPAQRGRPGSSFLVEIGTVSNRGSSPAAARGGGDRNSRTYSLGSFNYQIDEEVEAVVSRVARIIAARESSTVKEDKPPAEEGSAPAPAPSPPGEAVAEAAGSSRGWLREYVDRLASSAYTFSERWSSRWSQGGQQRQEEPWLWDAEAAAGMSAPPGSDDEEETAFMVMYRWIAGV >KQK97085 pep chromosome:Setaria_italica_v2.0:VII:18971315:18972058:1 gene:SETIT_012388mg transcript:KQK97085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGWGWSHEEPLFSAPSPSNGSSQCDFWSSSVEAIRGVPPKLCDWITIKEIASSLGKLSEINWQSLFSSFFSIIRVKIKCKDPKMIPCKRVVEMEDQLFMLHFKVEDAEQEPEKPTGDEGKGDDPDDEDDNLLDDDLG >KQK99339 pep chromosome:Setaria_italica_v2.0:VII:32441424:32443397:-1 gene:SETIT_010153mg transcript:KQK99339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTYNQNSKYGELTRNLRENQLGGVIFGCKYDTIDECFKKQLFGLPSVHYSYVQNVKPGMPLFLFNYSDRKLHGIFEAASPGQMYIDPYAWSNDGSLRTTFPAQVRICTKTQYPPLLESQFKTLLGDNYYNHHHFYFELDHAQTRALISLFKSLAPANFNQVPAVSSKRNLAVSSSPTKMRLTAVPDPKKVTADSKETNPFSVLSDRASPFIWADDVDSASNTDEKKSEELVSDCDDLDDNLLQDQFVPHSNPDEVSQNSSDKTVDQVVELVECSHPVVNPVNGERVTIDESMLLSSLNDHIGAADVDEIESEVHNSPGGVGLQPERLTILEKLKELSSLRQQAAISSQGCADSSSDQCVPDETQINANLSGDPFDVTMEDKTSSDECHGNAEVTIILFVASFYFQYSILFHNQLPDTMGVVLLLYYFYIPL >KQK99026 pep chromosome:Setaria_italica_v2.0:VII:30551192:30555653:1 gene:SETIT_009185mg transcript:KQK99026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFGFSAAQWVVGKALAPVADGFLEAWAASKNLGSEVDGLMTVLQYAQAMLNNTRGRDIDNPALNDLLLKLRLLAYDADDVLDELDYFRIQDELDGTYHAANQHAGGCARDLLLNARSPDATRGRRFLCGAWPSKVPQRRQTAQIPKLKFDRVDISTRMTEIVKKLKPICAMVSTVLNLELIGSKAITTATMERPTTSEEITEPTLYGRETELQSVVDSITHGECFANELTVLPIVGPGGIGKTTFTQHVYQEARSHFQVTIWICVSLDFNADRLAQEAVKKIPEAKDEKKSGSDHELIEQRLKGKRFLLVLDDIWKCHEYEWEKLLEPFGKGGGKGNMVIVTTRMSDVAKMVKTGDSQIQLHRLGAQDFKDFFDACVSTRIYSFWSEHPELIETGKEIMAKLKRNPLAAKTVGRLLGKQLTLEHWRRVLESKEWELQTSDNDIMPALKISYDYLPFNLKQCFSYCALFPEDYEFDSKELVHFWIGLDVLHLGDQSIRIEDVGKSYLIDLVNYGFFKRNEKDNGCHYYVIHDLLHELAVKVSRYDCLSIQISKVRSVHIPASVRHLSIIVDNKDVEDIITYKDCEKDLGALDKRLQIENIRTLMLFGENVESFSKTFGNLFKKAGALRAIFFNFSKLVHLRYLKIKGSYHFLETDLPGTISRLYHLKILDIKDSTDCPFPSRYLSNLASMQHFLVPGNNSLHSDILNVGNLKLLRELRRFEAKKENKGFELKQLAELLVLEVLGIYNLEKVKVKEEAVAMKLIQKHHLQELVLDWDINRSDKDPIGEENILECLMPHSNLYKLSITGHGGDTCPSWLGMNLSVKTLDSLRLSSVSWKTFPPLGEMWFVGEHCKSCIREQSFKKLKRLELEKVPKLIKWVGNGPSDLFSHLEVLVIKDCPELMELPFSHCAGYGQHVEDNMTWFRKLKKLEITDCPKLSSLPCVPWSSSTCSAKIVQAGSGIEQLSFRGHILEIKGKDTLDSAFWRVLAFHNLSELEVLQRLTRLFSYFPNLLWFRMFRCEKLTGLGVVGLHKRTEALPRPPSISVNQVEEAQEQQGARAEEEIAAAATSEGVLLLSHQLQRLDISYCQNLVLCPGLLDHDEDEGRTGGGGLQGLSSLTSLTIRKCPRFLSSYSSSSSSSCFPFPTSLEFLSLRGVEGMETLLPLSNLTSLTELDIRECGDLKGEGLQSLLVQGRLTRLTVHETPKFFAGSEPSLPREPELPSSSSKLQTLDTDDVAGVLAAPICAFLSSSLTKLDFRWVKEVERFTKEQEEALQLLTSLESIEFWFSNKLQCLPAGLHRLPNLKRLVIIDCQAIGSLQDGLPGSLLELVICDCQGIRSIHKECLPNSLQKLVISNCPGIRFLPKVEYLPSSLRELVVDDRNSEELRRHCRKLIGTIPIVRA >KQK99943 pep chromosome:Setaria_italica_v2.0:VII:35486175:35491526:-1 gene:SETIT_009750mg transcript:KQK99943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATRTLALSSSPAAACRSPEKAAVAPLYLRRFSTTSSLQLRAVQSPALRARSSHFPGWRRRRRAGGLVVRAEMFGQLTTGLESAWNKLRGVDVLTKENIAEPMRDIRRALLEADVSLPVVRRFIESVSEKAVGTDVIRGVSPDQQLVKVVNDELVQLMGGEVSDLVFAKSGPTVILLAGLQGVGKTTVCAKLALYLKKMGKSCMLVAADVYRPAAIDQLTILGKKVGVPVYSEGTDAKPSQIAKNGLKEAKSQKTDVIIVDTAGRLQVDKAMMNELKEVKKAVNPTEVLLVVDAMTGQEAAGLVSSFNDEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKFVGQGERIEDLEPFYPDRMAQRILGMGDVLSFVEKAQEVMRQEDAEELQKKILSAKFNFNDFLKQTQMIAKMGSFSRLIGMIPGMNKVTPAQIREAEKNLNFMESMINVMTPEERERPELLAESRERRKRVAKDSGKTEQQVGQLVAQLFQMRTRMQKMMGAMQEKDSPDMDKLMESIKAEEQAAAGTGRRRRKYGNLRQRQLDAMRGFRRR >KQK97105 pep chromosome:Setaria_italica_v2.0:VII:19095163:19100182:-1 gene:SETIT_011810mg transcript:KQK97105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGWLLSVRGASGTILEVVVPYSRASMAQLLGKASDVIGLLLTPDGTVCTDRALMVRYPCDSGVNKHPLTSKQAAEDMSLAAYNRALKLSGPGLQVMGVGFTGSLASSRPKHGDHRFYVSTWTHNCLRTSHVTLSKGLRSREEEDEVSSCFLLKFVTNKYKQPILIMSGLANLMIIGILGEPELPEESTEQFDEDQELQQVIDGQVCMKVYHFPAPVETNFNRKVILPGSFNPLHEGHLKLLEVASSMYDDGLPFFEISAINADKPPLSIAEIKRRVEQFRKAGKNVIISNQPYFYKKAELFPGSAFIIGADTAARLVNPKYYGGDYNRMLEILLECKSTGTIFLVGGRMIEGVFKALEDLVIPEELSDMFISIPEEKFRMDISSTELRKSQGL >KQK98070 pep chromosome:Setaria_italica_v2.0:VII:24782092:24785959:-1 gene:SETIT_009625mg transcript:KQK98070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVAAAGSAPPPPPLSWAYQIRVAAAHGHFRDAVSLFLRMRASAAPRSSVPASLPAAIKSCAALGLRALGASLHGLAIRSGAFADRFTANALLNFYCKLPDSYLHSSGVISMDGTGSATALESVRKVFDEMLERDVVSWNTLVLGCAEEGRHQEALGLVRKMWSDGFRPDSFTLSSVLPIFAECADVKRGMEVHGFAIRNGFDNDVFVGSSLIDMYANCTRTDYSVKVFDKLPVRDPILWNSMLAGCAQNGSVEEALGIFHRMLQAGVRPVPVTFSSLIPICGNLASLRFGKQLHAYVIQGGFEDNVFISSSLIDMYCKCGEISIARCIFDRMPSPDIVSRTAMIMGYALHGPAREALVLFEKMELGNVKPNHITFLAVLTACSHAGLLDKGRKYFNSMSEQYGIVPTLEHCAAFADILGRAGELDEAYSFISKMQIKPTPCVWSTLLRACRVHKNTFLAEEVAKKIMELEPRSISSHVVLSNVYSASGRWNEAARLRKSMRNKGMKKDPACSWIEVKNKLHMARQGYVPNTEDVFQDIEEEQKRYVLCGHSEKLAIVFGIISTPSGTTIRVMKNLRVCIDCHTVTKHISKLAEREIVVRDANRFHHFKDGNCSCGDFW >KQK98107 pep chromosome:Setaria_italica_v2.0:VII:24989581:24991998:-1 gene:SETIT_012244mg transcript:KQK98107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRFLQFGLGLLLLLAARHAPVTAVRSPQCQSQCGGVDIPYPFGIGDNCSLSSGFNVSCKVQGRTSKPFIGKAELLNISLISATVRVLLPIATHCYNTSSGRMDFSGKWVNASNATYRFSDVYNKFTVIGCNTLAYISDMNGTSYQSGCVSTCSNLSYVTNGSCSGIGCCQTEIPKGMDYYRVGFDRGFNTSKIWTFNRCSYAALMEAAAFNFSTAYISTTKFNDTRNGMAPSVLDWAIRNGSMSCEMAKQNKTGTYACLSRNSACVESTNGPGYACNCSQGYEGNPYLADGCHDVNECNNSPCPSGGVCTNTVGHYQCSCRAGRKLSKLKNACDPDTGLIIGVTIGFFVLMVFCFSGYMILQKRKLTKVKKEHFRQHGGMILFERMKLEKGLAFKVFTEAELIHATNNYDNSTIIGKGGHGMVYKGIVKDNVPVAIKRCVMIDERQKKEFGQEMLILSQVNHKNIVKLVGCCLEVEIPMLVYEFISNGTLFELIHGKNKALQISFNTLLRIVHEAAEGLSFLHSYASPPIIHGDVKTANILLDGNYAAKVSDFGASVLAPSDEDQYVTMVQGTCGYLDPEYMQTCQLTDKSDVYSFGVIVLEVLTGQVPLKLDGPETQKSLSSSFLVAMKENNLDAVLPSHLKGQESAELIRGLAELAKQCLDMCGTNRPSMKEVADELGRLRKLSLHPWAQLEAERESSQSLLGGTPPANFETIEDYTSGYPTQEGEILPMNPGSQYYAR >KQK97233 pep chromosome:Setaria_italica_v2.0:VII:19783462:19784005:-1 gene:SETIT_012865mg transcript:KQK97233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLWQLTSLPRWMDARGVERPRPAPTCREVDHAGSCSGASPISPVPSSSSGAH >KQK98960 pep chromosome:Setaria_italica_v2.0:VII:30190691:30192043:1 gene:SETIT_011900mg transcript:KQK98960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLQDCNSKSLVALPGSLVLHLFRLFGQQDQSSWQKYILAYFLLVRNEYFSGESKGHSDEFCDISELDVFPYATDLGSEELELKKQKPILKVQSGGDSSSSRSNDCYFPGLHDDLSQDCLAWSSRSDYPSLSCLNKRFNLLINSGYLYKLRRKYGIVEHWVYLACSLMPWEAFDPSRKRWMRLPRMPCDECFSCADKESLAVGTQLLVFGREYTGLAIWMYNLLTRSWSRCTPMNLPRCLFASGSSGEIAIVAGGCDKNGQVLRSAELYNSEIGHWETIPDMNLARRLSSGFFMDGKFYVIGGVSSQRDSLTCGEEYNLETRTWRRILDMYPGGTSASQSPPLVAVVNNQLYAADQSTNVVKKYDKANNTWNILKPLPVRADSSNGWGLAFKACGDRLLVIGGHRGPRGEVILLHSWCPEGGEDGADWEVLSVKERAGVFVYNCAIMGC >KQK96553 pep chromosome:Setaria_italica_v2.0:VII:11894101:11894602:1 gene:SETIT_012998mg transcript:KQK96553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAGLLKVPHLVEPSAAASTKFPVLSMAAYTAPTTLGFHTSVPVGSWSESAPFELSRSWEPDETCTSAGSGSLVLAYQTTVLSLVLSNQTASR >KQK96986 pep chromosome:Setaria_italica_v2.0:VII:17987893:17989774:1 gene:SETIT_012476mg transcript:KQK96986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYPFCLLPMACSARQNSAEANSSRRRRWAAMEMLSMLKVGYTILRSETPATDLVNTFMDWAARRSLLLLAIFLPPYYVYKLATSAFAVVAPEDVAGKVVLVTGASSGIGEQIAYQYAKKGARLALVARREASLHDVAAKAKDVGSPDVLVVAGDVANPEDCRRFVQTTVEHFGQLDHLVNNAGVASVCWFEEVPDVADFKQVLAVNFWGTVHPTHCALPHLKKSGGKIFANSSAAAVLAMPRMSFYNASKAAVLNFFETLRMELRDEVGITIATPGWIESEMTKGKHLSKEGTVEVDQDMRDAQVGLFPVVRAERCAEAIVDAVCRGRRHLTVPLWYRAMFLWRMLAPEVADFSQRVFYRRTAGGGHGDRQAKARRFLEATGAKGVLQPASLRSSDIKRD >KQK97295 pep chromosome:Setaria_italica_v2.0:VII:20336863:20359850:-1 gene:SETIT_009180mg transcript:KQK97295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKQPGSKQKQKPKPSSSSSSSSSAAAAAPRLQISSENERRLRRLLLNSSAAAAPSLAPTDGPAARGESREQKARRLRGVYDKLALEGFSSAQIEQALSAIPDSATFESALDWLCFNLPGDELPLKFSSGGTSTTSSRTGAEGSVKVLSTAKDNWVPQSREPEEVKVSTEQLEIRIGGRREENVSLDDGRSSQAAWIRQYMEQQEEDDDANSNDSSTWEDHCLESFEVVEAKPNRRKSKAAKKNSKHGSSKEHITHSAHPVSSNSETANIEGGQNDLGVAENKSDSLGNDEGPDLKKGIPNDVVETCTKEIDEEEIELGGMFFEDSSAWDAVAPEILKQQKIEKLSHDGYGNLLGNIDDIWKKGDSGKMPKAVLQKFCQRLGWEAPKYSKISEKNGKFVYAVNVLRGATGRGKSRKAGGLTKIQLPEIDEEYGSVEEAQSRVAAFALYQFFADLPLRHLLTEPYSSLVLRWQEGELLSTSRVLDTEDSRRAGFVDMLLNMDADTSLIEDSSAGGIFVDSRDTEDNKSVHEKREAAMMSCMGLKSPEHAESAILKKQLEDKRKLPNYLKMLEARASLPIAKQKQHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIESELGGYCNIICTQPRRIAAISVAERVSDERCESSPGSNDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNRDLSDVTHVVVDEVHERTILSDFLLIVLKNLVEKRSYQQGRKLKVILMSATVDSSLFARYFGECPVINVEGRTHPVSTHFLEDVYEKMEYCLALDSPASGAYFAHHGEKWKHASSSVNNRRGKKNLVLSSWGDESTLSEGYVNPHYVSDYYKSYSERTNQNLKCLNEDVIDFDLLEDLICYIDENFPPGALLVFLPGVAEIDMLIDRLSASVRFGGASSDWILPLHSLLGPTDQRKVFQSPPDNFRKVIVATDIAETSITIDDVIYVVDAGKHKQNRYNPRKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFENTMRPFQVPEMLRMPLTELCLQIKSLHLGDIKCFLLKAVEPPNEEAISSAVDLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKATLLNENLDGSTSATDNKQSDHLLMVIAYDKWSRILLQHGTKSARQFCHSFYLNSTVMHMIRDMRLQFGTLLADIGLIDLPKDSMRPKEGSRKSNLESWFSNMSLPFNTYARCTSVIKSVICAGLYPNVAASLEGVDPGALGGRKPSDILFSKDRPRWYDGRREVHIHPSSVNHSLKAVQYPFLVFLEKVETTKVFLRDTSVISPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIIHLLLEEDKAQLA >KQK97297 pep chromosome:Setaria_italica_v2.0:VII:20338221:20359850:-1 gene:SETIT_009180mg transcript:KQK97297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKQPGSKQKQKPKPSSSSSSSSSAAAAAPRLQISSENERRLRRLLLNSSAAAAPSLAPTDGPAARGESREQKARRLRGVYDKLALEGFSSAQIEQALSAIPDSATFESALDWLCFNLPGDELPLKFSSGGTSTTSSRTGAEGSVKVLSTAKDNWVPQSREPEEVKVSTEQLEIRIGGRREENVSLDDGRSSQAAWIRQYMEQQEEDDDANSNDSSTWEDHCLESFEVVEAKPNRRKSKAAKKNSKHGSSKEHITHSAHPVSSNSETANIEGGQNDLGVAENKSDSLGNDEGPDLKKGIPNDVVETCTKEIDEEEIELGGMFFEDSSAWDAVAPEILKQQKIEKLSHDGYGNLLGNIDDIWKKGDSGKMPKAVLQKFCQRLGWEAPKYSKISEKNGKFVYAVNVLRGATGRGKSRKAGGLTKIQLPEIDEEYGSVEEAQSRVAAFALYQFFADLPLRHLLTEPYSSLVLRWQEGELLSTSRVLDTEDSRRAGFVDMLLNMDADTSLIEDSSAGGIFVDSRDTEDNKSVHEKREAAMMSCMGLKSPEHAESAILKKQLEDKRKLPNYLKMLEARASLPIAKQKQHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIESELGGYCNIICTQPRRIAAISVAERVSDERCESSPGSNDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNRDLSDVTHVVVDEVHERTILSDFLLIVLKNLVEKRSYQQGRKLKVILMSATVDSSLFARYFGECPVINVEGRTHPVSTHFLEDVYEKMEYCLALDSPASGAYFAHHGEKWKHASSSVNNRRGKKNLVLSSWGDESTLSEGYVNPHYVSDYYKSYSERTNQNLKCLNEDVIDFDLLEDLICYIDENFPPGALLVFLPGVAEIDMLIDRLSASVRFGGASSDWILPLHSLLGPTDQRKVFQSPPDNFRKVIVATDIAETSITIDDVIYVVDAGKHKQNRYNPRKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFENTMRPFQVPEMLRMPLTELCLQIKSLHLGDIKCFLLKAVEPPNEEAISSAVDLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKATLLNENLDGSTSATDNKQSDHLLMVIAYDKWSRILLQHGTKSARQFCHSFYLNSTVMHMIRDMRLQFGTLLADIGLIDLPKDSMRPKEGSRKSNLESWFSNMSLPFNTYARCTSVIKSVICAGLYPNVAASLEGVDPGALGGRKPSDILFSKDRPRWYDGRREVHIHPSSVNHSLKAVQYPFLVFLEKVETTKVFLRDTSVISPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIIHLLLEEDKAQLA >KQK97296 pep chromosome:Setaria_italica_v2.0:VII:20338221:20359850:-1 gene:SETIT_009180mg transcript:KQK97296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKKQPGSKQKQKPKPSSSSSSSSSAAAAAPRLQISSENERRLRRLLLNSSAAAAPSLAPTDGPAARGESREQKARRLRGVYDKLALEGFSSAQIEQALSAIPDSATFESALDWLCFNLPGDELPLKFSSGGTSTTSSRTGAEGSVKVLSTAKDNWVPQSREPEEVKVSTEQLEIRIGGRREENVSLDDGRSSQAAWIRQYMEQQEEDDDANSNDSSTWEDHCLESFEVVEAKPNRRKSKAAKKNSKHGSSKEHITHSAHPVSSNSETANIEGGQNDLGVAENKSDSLGNDEGPDLKKGIPNDVVETCTKEIDEEEIELGGMFFEDSSAWDAVAPEILKQQKIEKLSHDGYGNLLGNIDDIWKKGDSGKMPKAVLQKFCQRLGWEAPKYSKISEKNGKFVYAVNVLRGATGRGKSRKAGGLTKIQLPEIDEEYGSVEEAQSRVAAFALYQFFADLPLRHLLTEPYSSLVLRWQEGELLSTSRVLDTEDSRRAGFVDMLLNMDADTSLIEDSSAGGIFVDSRDTEDNKSVHEKREAAMMSCMGLKSPEHAESAILKKQLEDKRKLPNYLKMLEARASLPIAKQKQHFLQLLKENDVIVVSGETGCGKTTQVPQFILDDMIESELGGYCNIICTQPRRIAAISVAERVSDERCESSPGSNDSLVGYQVRLDSARNERTKLLFCTTGILLRKLSGNRDLSDVTHVVVDEVHERTILSDFLLIVLKNLVEKRSYQQGRKLKVILMSATVDSSLFARYFGECPVINVEGRTHPVSTHFLEDVYEKMEYCLALDSPASGAYFAHHGEKWKHASSSVNNRRGKKNLVLSSWGDESTLSEGYVNPHYVSDYYKSYSERTNQNLKCLNEDVIDFDLLEDLICYIDENFPPGALLVFLPGVAEIDMLIDRLSASVRFGGASSDWILPLHSLLGPTDQRKVFQSPPDNFRKVIVATDIAETSITIDDVIYVVDAGKHKQNRYNPRKKMSSIVEDWISRANAKQRRGRAGRVKPGLCFCLYTRHRFENTMRPFQVPEMLRMPLTELCLQIKSLHLGDIKCFLLKAVEPPNEEAISSAVDLLYKVGAFEGHEELSPLGYHLAKLPVDVLIGKMMLYGAIFGCLSPILSVAAFLSYKSPFISPKDEKQNVEKAKATLLNENLDGSTSATDNKQSDHLLMVIAYDKWSRILLQHGTKSARQFCHSFYLNSTVMHMIRDMRLQFGTLLADIGLIDLPKDSMRPKEGSRKSNLESWFSNMSLPFNTYARCTSVIKSVICAGLYPNVAASLEGVDPGALGGRKPSDILFSKDRPRWYDGRREVHIHPSSVNHSLKAVQYPFLVFLEKVETTKVFLRDTSVISPYSLLLFGGSMVIQHQTGVVVIDGWLRLSAAAQTAVLFKQLRITLDAVLKELTRKPEMATFVDNEVVRSIIHLLLEEDKAQLA >KQK98607 pep chromosome:Setaria_italica_v2.0:VII:28226856:28227306:-1 gene:SETIT_013014mg transcript:KQK98607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSCVPATATGAPLPRCLPMAREAGGYSHCCRLFDRIWYFCLFFGD >KQK98594 pep chromosome:Setaria_italica_v2.0:VII:28195689:28195922:-1 gene:SETIT_012692mg transcript:KQK98594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGGDAEGVRRRRRGPGRRHEGEAPQHEEAHPAREGRVVEQLLQHPGHQRRVLLQQLRHDRHQNHCRTGNLCFNCRLD >KQK99204 pep chromosome:Setaria_italica_v2.0:VII:31489720:31496459:1 gene:SETIT_012284mg transcript:KQK99204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCILLETMLWILCIMLQSMFHMTCGCVAEETIALMHLLSSLVKANSLVPDSWGQSDDCCSWERVTFDGKVARVSGPDLTNMYAPIGKSIAESECWNLNLAVFSPLHELQLLELSLSYACLHNLDGLQGLTKLRYLNLSENSFIGNDTMGSLGKLASLEVINLVRSNISGTLPNTAFKNLKNMRELHLRSNQLSGSIPSSLFELPRLEYLDISENLFQGHIPMSSFATFHFFWLRNCTMLEKVDLSGNSDLSIDVKFHGLVPPFQLRSLVLSGCNIDDSIIVGPNFLGTQRHLQMLDLSHSNLTGSIPNWIFTNIATLVYLDIASNSLVGSIDPMWQHQSALQMINISSNHLVGQLPTNIGSAFPGLEVLAVSNNVISGYLPPSLCNIIDIIIVDLSNNKFTGEVPTCLFTDLPSLQILKLSNNNLGGSIFGGLAIFPNLSGNLLRSLMLAGRNLDDSIIAFLGTQHHLHVLDLSSNKLTGSIHNWNSLTGEIDPAICKLTSLGLLDLSGNNFSGSIPNCNNNLTLSFLNMSGNSLSGFPSVFFNSSYVTAMDLRHNQFTGTLHWIQYLSRIKLLLLGGNRFEGHISPNLCHLRFFTVINLSHNRLSGSLPSCIGGIAFGYHADDLQYGLQGFTYFTKGNLYTYRHSFINIMFGIDLSGNMLSGEVPQEMGNLSHVKSLNLSHNFFTGRIPATLTNMSSIEILDLSHNELSCIPDSGQFSTFSMNSYQGNKNLRNMCPASLGPVAPAPEDVDDDETSNDPTLYMVSAASFALAFWATVAFTFCHSYGRCHTQTIDDIIGIQLTT >KQK98979 pep chromosome:Setaria_italica_v2.0:VII:30307915:30308277:1 gene:SETIT_011667mg transcript:KQK98979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFTAVLIKIVYLISEACRNVDKLPAAMITSGILQAAAAMALAIFKSPAGIFVGHGKAPLYLYYGILIAIVIFGFVEAYGGFYVSGDLIRRRAIGMTILWISILPIILVAALGGCFVILK >KQK99110 pep chromosome:Setaria_italica_v2.0:VII:31031229:31033157:1 gene:SETIT_011681mg transcript:KQK99110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRFCHVPRGRRAAAASYHHQPLPPPEWIEPYPDLADPSPYASAASAPPTPSPWLPRVISLVLRSPPATLAADLRAFCKTFLLRLSPAFVAAALRSPQLATHPLPSLHFFRSLPNSADLLAHPQNLLSCYVSLLHSFARSRDAVPDAVGQARQLVAELRERGDAVLQHLTPASSASLIRSLAALGLSDELLWAWQAMRLAGVEPSRLTYNCLLDGLVNAGLLDTAINVFDAMSTEERVRPDVVSYNILIKGYCRAGRTHDAMARLADMREQAELAPDKVTYLTLMQRHYSEGTFPQCVALFQEMEERGMGKEIPQHAYVLVIGALCKDGKPFEGMAVFERMLKRGCPANAAMYTALIDSMGKFGREKEAMTLFEGMKASGIELDAVTYGVVVNCLCRFGNMDEALACFRSCVEKGVAVNAIFYTSLIDGFGKAGMVDQAQELFVEMIAKGFVPDSYCYNVLIDALIKAGRIDDACALYKRMEDDGCDQTVYTYTILIDGLFKEHKNEEALKLWDSMIDKGITPTAAAFRVLASGLCLSGKFSRACRILDELAPMGVIPETAHEDMINVLCKTGRFKQACKLADGIVQKGREIPGRVRTMMINALRKAGNTDLAVKLVHSKIGIGYERSGSIKRRVKFQTLFE >KQK99135 pep chromosome:Setaria_italica_v2.0:VII:31120074:31121742:-1 gene:SETIT_010074mg transcript:KQK99135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVRIVAVSYVAAPADAVPPPEPIKLNAMEAQWVVAPVLQHLLLFEGDQLPPFDAVVQSLRSSLASTLTSYAPLAGKLHYLADTGEVAICCRTAGDDGVRFVVAETDADARSLAGDADHDVLTFEQLVPEVDMALLPAPVLAVQATRLGGGGGVALGFTLHHGVADGRSLWKFVEAWAAVCRGDTPPAPPVFDRSRVKMPGGEELARSVLRKYAPDLPVVTVPDVWHQDRLRFTRRTFNLDAPRIARLKQAIARLAEAQGGAPLRRPPSTFAAVIALAWASAVRGRSIPAEDEVFLFFLADVRDRLDPPAGADYFGACLAGCIARVPARELHGEGALAAAAAAIQDAVGKMVEDPLGCWPGWEFLKLAAASGLTVPADRFMNVSGSAGFRVYEVADFGWGKPRRTENVRMNLDGQVALVRARDGAGVQASVSMLRREHVDEFESEMLKLLG >KQK98620 pep chromosome:Setaria_italica_v2.0:VII:28305839:28308424:-1 gene:SETIT_010077mg transcript:KQK98620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFQEIKARNDQRPSADRVGHGNFMDHLKNTLNSGAMDLPEGARAPKARKPYTISKLREKWTEDEHKLFLEALQQHGRAWRRIQEHIGSKTAVQIRSHAQKFFSKVIRESSGDSNSIAAPPQIQIPPPRPKRKPSHPYPRKLGNSLRKDASTIKQLEKPLLKIQPLFEQENCSPKSVLTTAQIGSEILALEGSGSPASSVYMEEKCLTPSTSVGELGVQVARSKDATTSDGAACGMPEGPVLRLFGKRVVVNNLHQQQNSNTGDLQHVADMELDTSAETPTSGTGKFSSHGAEEAKTWSPWLTGTQQLMYYLPQGEVLSVHSACQFLSYGNGSISYRVLNPQTVTSDKQQHQPSEAADCKFTRAEGSWTESITTSNNVPETAPQNSDSIESTQVNNNEDEVIPVPGSRKYSTVPAYLRGFVPYKKCAAQSKMLQSWVPGEEADGEMTRLCL >KQK98740 pep chromosome:Setaria_italica_v2.0:VII:28937381:28940130:1 gene:SETIT_010005mg transcript:KQK98740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGSNSISSQKCGSRRAAPPRPALQEAGSRPYMPPLSTGPRNPSAKCYGDRFIPERSAMDMDFAHYLLTEPRKGKENPAAAVSPAKEAYRKLLAEKLLNNRTRILAFRNKPPEPQNVLTDLRSDVVQAKPAKQRRHIPQSSERTLDAPELADDYYLNLLDWGSSNVLSIALGSTVYLWDASSGSTSELVTIDEDFGPVTSVSWAPDGRHIAVGLNSSDVQLFDTTSNRLLRTLRGVHELRVGSMAWNDSILTTGGMDGKIVNNDVRIRNSVVQTYHGHEQEVCGLKWSGSGQQLASGGNDNLLHIWDVSMSSSVQSAGRTQWLHRLQDHLAAVKALAWCPFQSNLLASGGGGGDRCIKFWNTHTGACLNSVDTGSQVCALLWNKNERELLSSHGFTQNQLTLWKYPSMVKMAELNGHTSRVLFMAQSPDGCTVASAAADETLRFWNVFGTPEAAPKAAAKASHTGIFNSFNHIR >KQK99533 pep chromosome:Setaria_italica_v2.0:VII:33376937:33377452:-1 gene:SETIT_012003mg transcript:KQK99533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLVLLVAAAAVAAAACLPAPASATEWMVGDNGGWRAKFNTTGWADGKTFTVGDALMFMYPQGKHTVVQVGNKDDFVACNLMANAIATWNSGNDVVTLDKPGKMWFFCSVPGHCANGMKLVIDVEDGALVPAPAPASAWF >KQK99164 pep chromosome:Setaria_italica_v2.0:VII:31248057:31250293:-1 gene:SETIT_010909mg transcript:KQK99164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETGYTVQVTNLSGRVSESDLHEFFSFSGPIEHIELIRSGEYGATAYVTFKERYALETAVLLSGATIVDQPVCITYWGQPEETFSFWDRPTWEVEEEIEYRNYQSCQFNTTPQEALTVAQDVVKTMLARGYVLSKDALAKARAFDESRGYTAAAAAKAAELSKRFGLTDRVNAGVGALSAGVGAMRSVDETYGISETTKTVATATGRTAAKVANSIVTSSYFSAGAMMVSDALNRAAKAAADLAAHGRQS >KQK99166 pep chromosome:Setaria_italica_v2.0:VII:31247822:31251736:-1 gene:SETIT_010909mg transcript:KQK99166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETGYTVQVTNLSGRVSESDLHEFFSFSGPIEHIELIRSGEYGATAYVTFKERYALETAVLLSGATIVDQPVCITYWGQPEETFSFWDRPTWEVEEEIEYRNYQSCQFNTTPQEALTVAQDVVKTMLARGYVLSKDALAKARAFDESRGYTAAAAAKAAELSKRFGLTDRVNAGVGALSAGVGAMRSVDETYGISETTKTVATATGRTAAKVANSIVTSSYFSAGAMMVSDALNRAAKAAADLAAHGRQS >KQK99165 pep chromosome:Setaria_italica_v2.0:VII:31247822:31251987:-1 gene:SETIT_010909mg transcript:KQK99165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSETGYTVQVTNLSGRVSESDLHEFFSFSGPIEHIELIRSGEYGATAYVTFKERYALETAVLLSGATIVDQPVCITYWGQPEETFSFWDRPTWEVEEEIEYREALTVAQDVVKTMLARGYVLSKDALAKARAFDESRGYTAAAAAKAAELSKRFGLTDRVNAGVGALSAGVGAMRSVDETYGISETTKTVATATGRTAAKVANSIVTSSYFSAGAMMVSDALNRAAKAAADLAAHGRQS >KQL00022 pep chromosome:Setaria_italica_v2.0:VII:35807112:35812418:1 gene:SETIT_0092261mg transcript:KQL00022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPVANSLMAAIKRSQANQRRNPDTFHFYHHQATSATSPNAVKVDLSHLVLAILDDPLVSRVFADAGFRSGDIKLAILRPAPPMPLLGRLPTRARPPPLFLCSFAAADDAQVPSPAAAVAGAAPGEDNRRRITEILSRGRNPMLVGVGAASAAADFATASPYRILPVGPTPINNPNPNANSGLILSIGDLKDLVADDDPDLQERGRRVVSEVTRLLETHRAGHTVWVMGWSATYETYLAFLSKFPLVDKDWELQLLPITAVRDAGTAAAGVMPPATTATALSKPATASLMESFVPFGGFMCDAYDANSLMPSSTRCQQCNDRYEQEIATIIRGSGITAEAHQECLPSLLQNGSMMDPNSGFDAVKVRDDQMVLNTKILNLQKKWNEYCLRLHQGCQRINRDPHQLFPRYIGVPADRETGPNPSQGSEAVALQREVIKPSAVSASHTNTTAKSISSPSISNQRNADLVLNLQVRQSKSDEPLHDRGVQSQHSNSSNCDNREDHASPSSAAAVATDLVLCTPRGSSSKDSSSALCKHVEDAEGSIQPMPKKVDNLNLKPPQFSVQPYSCSRSSSNWGQSQTSPSALHSAASGGTSAFGQWQRPSPLAAQSFDYKLLMEHLFKAVGRQEEALSAICASIVRCRSVERRRGAHRKNDIWFSFHGPDNIAKRRVGVALAELMHGSSDNLIYLDFSVQDWDNSNFRGKRATDCIFEELRKKRRSVIFLENIDKADFLVQESLTQAIETGRYKDLHGGRVADLNDSIVVLSTRMIRGCQDASVGMGEGHALSEEKVLAARGHHLKIIVEPGTANVGGGPGAFLYSSSFSKRKLNISDGVEKVEEPSSTSKRLHRTSSVPFDLNLPVDEAETHDGDDGSSSSHENSSGDPDGSIDNLLRSVDESINFKPVDFGKLCEELLQEFSNRMSNVVGSRCRLEIDVGAMVQIVGAACASDSEKRPVRTWVEQVFVRSLEQLKVKCKNVSAFTLRLVACEDELLKDEGFGGLLPSRIFLD >KQK98649 pep chromosome:Setaria_italica_v2.0:VII:28449461:28450674:-1 gene:SETIT_011433mg transcript:KQK98649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVAFFFDAEPLGEPGRHALDACALCSKPLTRNSDMFMYKGDTPFCSEECRYEQMHHDAAYARQAGSRRKQQQAQRGGGRHEGGASVPAKADVSVASY >KQK97820 pep chromosome:Setaria_italica_v2.0:VII:23430884:23433642:-1 gene:SETIT_009754mg transcript:KQK97820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAASLGTAVQAEQRHKPRPYHPSPWGDFFLGHQPCTPAELLAMMEEARTKEEELRRAVLAAAASPDLAVKLELVDALQRIGVDYRFGEEINGLLGAVVHDADEDDGGGDLYLTSLRFYLLRKHGFNVTSDVFAKFRDEEGNFATGDDDVNCLLMLFDAAHLRVRGEEVLDGAIAFARSRLESLMKSLEPEVAEEVRYTLETPSFRRVERVEARRFISVYEKKATRDETLLEFAKLDYNILQTIYCEELKALTMWWKNFQSVTDLGFARDRMLEMHFWMMGVCYEPYYSYSRIMLTKLITLASLFDDFYDNYSTTEESNVFTAALERWDEQATEQLPAYLREFYLNTLSSTNGIEEDLKFQNNKHAELVKELAIDLAKNYNAEVKWRDERYVPTKVEEHLQLSVPSSGCMQITAFALISMGEVATSEAIEWTRTYPKIVRGVCIIGRVMNDIVSHEREQTSDHVVSTVQTCMKEYGFTVAQANKKLGETVEEAWMDIVQECLDQKHPMAVLEKAVNLARTMDFIYKREDAYTLSYSLKDIMTSLYVNFV >KQK98719 pep chromosome:Setaria_italica_v2.0:VII:28811364:28814590:1 gene:SETIT_010203mg transcript:KQK98719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAGEGVQCSSSWPGRKKEGSGGVWDWLAMAAALEFLEAQGATRPELAEWYAALADLYQRKLWHQLTLKLDQFLGLAVVQAGDALIQLYNHFISDFETKINLLKFAHFTVVVSRQYLDKDAGINYLEGVISKLHDTREARVEEPILYVKMQIATFLLEKGNQKGCKKLLEEGKTTLDSMVDVDPSVHGTYYWVSSQYHKAHQDYCEFYKSALLYLAYTTVESLSEPFKQNLAFDLSLAALLGENIYNFGELLAHPIIHSLLGTQAEWIFHMLQAFNSGNIALYQELCKTHNGALSAQPALVQNERKLLEKINILCLMEIIFSRSSENRTIPLSDIAERTRLSVEDVEYLLMKSLSARLIEGIIDQVDGTVHVSWVQPRVLGIDQVNSLRDRLDTWVGKVHTTLLSVEAETPDLLSS >KQK96716 pep chromosome:Setaria_italica_v2.0:VII:14556050:14557477:-1 gene:SETIT_011603mg transcript:KQK96716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHEARGATARRRPEKGSGGEWRHGRAGIWRCAARLGTAMNARRNNFARGGIKEVD >KQK96718 pep chromosome:Setaria_italica_v2.0:VII:14552581:14557613:-1 gene:SETIT_011603mg transcript:KQK96718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHEARGATARRRPEKGSGGEWRHGRAGIWRCAARLGTAMNARRNNFARGGIKEVD >KQK96717 pep chromosome:Setaria_italica_v2.0:VII:14552581:14557613:-1 gene:SETIT_011603mg transcript:KQK96717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHEARGATARRRPEKGSGGEWRHGRAGIWRCAARNCDECKAE >KQK98953 pep chromosome:Setaria_italica_v2.0:VII:30146616:30147763:1 gene:SETIT_011759mg transcript:KQK98953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGGVPRRSTPPTRRSRSAEFHNFSERRRRDRINEKLKALQELLPNCTKTDKVSMLDEAIDYLKSLQLQLQMLVMGKGMAPVVPPELQQYMHYITADPAHMPPLRPSGQQPRPFQLTQANPQRQSNVESDFLSQMQNLHPSEPPQNFLRPPKLQLYTPEQRGGLASTSHNGGWIPERSSSYNFME >KQK96289 pep chromosome:Setaria_italica_v2.0:VII:3091539:3091779:-1 gene:SETIT_012914mg transcript:KQK96289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIIIIVTSMVYHHANSIALLNNKSRERN >KQK99886 pep chromosome:Setaria_italica_v2.0:VII:35232467:35233604:-1 gene:SETIT_011410mg transcript:KQK99886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDILKGMADLAAQMDMVKSFEWGKDVLNQEMLTQGFTHVFSLTFASADDLTAYMAHEKHAAFAATFMAALEKVVVIDFPVVIAKPPPQA >KQK99885 pep chromosome:Setaria_italica_v2.0:VII:35232901:35233525:-1 gene:SETIT_011410mg transcript:KQK99885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKHLCLVKFKEGVVVEDILKGMADLAAQMDMVKSFEWGKDVLNQEMLTQGFTHVFSLTFASADDLTAYMAHEKHAAFAATFMAALEKVVVIDFPVVIAKPPPQA >KQK99884 pep chromosome:Setaria_italica_v2.0:VII:35232467:35233604:-1 gene:SETIT_011410mg transcript:KQK99884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVKHLCLVKFKEGVVVEDILKGMADLAAQMDMVKSFEGKDVLNQEMLTQGFTHVFSLTFASADDLTAYMAHEKHAAFAATFMAALEKVVVIDFPVVIAKPPPQA >KQK97440 pep chromosome:Setaria_italica_v2.0:VII:21216610:21218274:-1 gene:SETIT_012259mg transcript:KQK97440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLRHNTFLLVRLRLPPHHPLLRLLHYSFSPAAHLTPQHPSTPPAPAPPHAAELWIAKALASAALLRPHRLPAFRALAPSPLAAAAAVRLAPCAAAALRIFSALHSPPLSLPPSEHSYRHVISLLCQSGRHSDALKLFDQMMGQSGYFPDAGFFSFVAGSCTNAGLLDATVTLLAKGSQFGCDIEPYAYNKLMNSLIAHGRVQDAVALFENWIEEGLYSPDVWSFNVVIKGVCRVGNVQKALALVERMDEFGCSPDTVTHNILVDGLCRVKEVNKGREVLRRLQRDGVSTPNVVTYTSVISGYCKAGRMEDAMAVYNDMLESGTRPNTVTYNVLINGYGKAGDIESAVGMYQQLMLRRCPPDIVTFSSLIDGYCRCGQLDGAMRIWKEMAQYHIQPNVYTFSIIIHSLCKQNRSEEALGLLRELNMREDIAPRTFIYNPVIDILCKGGKVNEANLILLDMEEKGCHPDKYTYTILIIGHCMKGRISEAVTLFHKMVETGCHPDNITVDSFISCLLKAGMPNEVDRIMLIASGHASSSQKVCSRQSQRLDTSIAV >KQK98280 pep chromosome:Setaria_italica_v2.0:VII:26018998:26022807:-1 gene:SETIT_009422mg transcript:KQK98280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEAPVRAPAAAARSARRLAAAAVSASSASRLLLGHRPFLAPRFAAGRAAVAGPAAGLRPRPRKPRLSVVAMAGSDRQVPLEDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTAFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVANLGAKPLVIQLPIGSEDSFQGVVDLVRMKAIVWTGEELGAKFEYQDIPANLQEMAEDYRVQMLETIIELDDEVMEKYLEGIEPDEETVKKLIRKGTISASFVPVLCGSAFKNKGVQPLLDAVVDYLPSPLDLPAMKGTDPDDPELVLERNPSDDEPFSGLAFKIMTDPFVGSLTFVRIYSGKLVAGSYVLNANKDKKERIGRLLEMHANSKEDITVAVTGDIVALAGLKDTITGETLCEPDKPVVLERMEFPDPVIKVAIEPKTKADADKMATGLIKLAQEDPSFHFSRDEETNQTVIEGMGELHLDIIVDRLKREFRVEANVGAPQVNYRESISKVAEVQYVHKKQSGGSGQFADIIVRFEPLEAGSGYEFKSEIKGGSVPKEYVPGVMKGLEESLPNGVLAGYPVVDFRAVLVDGSYHDVDSSVLAFQIAARGAFREGMRKAGPRLLEPIMRVEVVTPEDHLGDVIGDLNSRRGQVNSFGDKPGGLKVVDAFVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLSAAKTEEAAA >KQK98794 pep chromosome:Setaria_italica_v2.0:VII:29198348:29199645:1 gene:SETIT_011139mg transcript:KQK98794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSLLPLRLPSPVAATAPTTAFLLPALTRVSLRRTPPRSNMSASAAAPDSAASNPATTAAGGEEGGKEAVDVLVQYVVLRRDLADAWPMGSVVAQGCHAAVAAVWAHRDHPDTAAYCAPDNLDRMHKVTLEVKGETQLKNLAEKLQAAGVRHKLWIEQPENIPTCIATAPCPKSQISSFFKKLKLCK >KQK96997 pep chromosome:Setaria_italica_v2.0:VII:18107031:18107465:-1 gene:SETIT_013080mg transcript:KQK96997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLVSATNCGLQTFLAPCPTCYRLIFVPNFATSVATFLFSPLFFWQPHFP >KQK96764 pep chromosome:Setaria_italica_v2.0:VII:15293629:15295226:-1 gene:SETIT_0100262mg transcript:KQK96764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTSPTEATGATFYEETEGYTRGSLLLSLGTGGIRFSNLSPIELIEATVSSRDDARKQQFISAHPTNFMEKMLIPAKFVQQYLPKEHLNNQSAIVLGPIGKVHSIKLEMGQSDLFFAGGWSQFLAFHDITEANALLLRYEGNMVFTVKIFGPNGCPIESKHKEVRVPQNIEEQQEAPSASIQKCCENDLPISDGEKKPQGSITSLKKASPRVKCIYKIGPPAWIRKEMSTKTIRKYIQPLPAAFCNAIGFQEACTITFKTSLSSTSSWQVHVLPYKGSSHQLGSGWRRFCQENKIKEGDVCTFNIIDSTLWHVVIARR >KQK96765 pep chromosome:Setaria_italica_v2.0:VII:15293479:15295226:-1 gene:SETIT_0100262mg transcript:KQK96765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTSPTEATGATFYEETEGYTRGSLLLSLGTGGIRFSNLSPIELIEATVSSRDDARKQQFISAHPTNFMEKMLIPAKFVQQYLPKEHLNNQSAIVLGPIGKVHSIKLEMGQSDLFFAGGWSQFLAFHDITEANALLLRYEGNMVFTVKIFGPNGCPIESKHKEVRVPQNIEEQQEAPSASIQKCCENDLPISDGEKKPQGSITSLKKASPRVKCIYKIGPPAWIRKEMSTKTIRKYIVHVLPYKGSSHQLGSGWRRFCQENKIKEGDVCTFNIIDSTLWHVVIARR >KQK99705 pep chromosome:Setaria_italica_v2.0:VII:34288227:34291420:-1 gene:SETIT_012526mg transcript:KQK99705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAPGVLLKLLQSMHTDERVAGEHRSPVLQVTAVVPALTASTSDSLLVPSNGFLLNLSDGLHSTYVQLPPADADALLLAARPHLVGHLVHLDRLRFARPVPRAVGLRTVPSSRSLPCVGNPEPLVARPAACARGYVIQPAASPSDAAPPLMPSSGSNTNGADDGVKRTVLGPKNAVAEPAPPPAGSAVKRRFSSPAPSKQQRDPSPSAKGALSRASSPMVVKAASRASSPAVRGTPRATSPAPSKCVVPSLVAAKEENRRAAREPAIVVPSRYRQPSPAGGRRGAASPAVGGRRASLSPSSRRLSGEGTGKKKVGVLVAGISKMSDLGNGSAMKPGRKSWDDPTMALAAAKAGSVMKSKVKVDKDTILRTQEAMSRRLSDATTEQSSNDDSSVDERPKPRKKIDSTTVKAKNVVPKITLHDPKWTDGSIPLDALSDKLSKIGREAIERRDAAAATAASALQEAMVTESVVRNLSKFSNICSLSKTSNPLPTVDLFLAVYEDTLKWKTIAESMVTIEADMAFLEKSSHDWVHAALATDLEVLKLLNGATESISRMKSINRPKVPSVEPPRASLSRKQSLGASAKVQSKVSPSSPVSCTWNNTESMYEIVELSKTLWREMHMWFLNFVDEALDVGFHLFEDQNVASRGKHSNSITMVLSQFKKISDWLDQVGKIAEEEGTKEKIECLKRKIYGFVISHMGSALESSVSVSSRS >KQK98846 pep chromosome:Setaria_italica_v2.0:VII:29580655:29585800:1 gene:SETIT_012381mg transcript:KQK98846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPGIVEVRCAGCGETLEVEPGLTEFACPDCGTHQALPPELLPRRPRRALPLPGRCAPLPTAPSRVTCWGCGAVLSAPHGQGRFACPLCGSELAASQTAAVSVVAPPAAVPITPSRLAQPSEPASPSSHREESHTEPLDEIISRPSKKKSRFVAGPNYYAARKLHEEHPNQAVYASEAQGMPSNSSVQTDKVEDRFPNGTVTVDSKQKIGNVVVPSTIEQEWTKSLNQAVDEQQAGEIPNNIVHANKVQVNFASKAGNCIKSAKGSKVNQKRKSKILSNFSNELPQLRRSKCLVNGSHNLVDIDPIEKIDPSPNQNLSEDPEIERNLTDSDPCSPARYRFPHGGSNEWDRVDATTPPALSHGTPQADQFPRTQMCSPETRWALPVACSNSWHDHEIPQESSNGVGHLERGYAEVCSNPAEMQNQDMDGHLAQEACSDKNRLGQLRLKPHNENLSEHGRPKRNGFTGSSSNGGVHLEDQSVSGTCHQNLSASCSRLAALLPIPAATALPMITSPSSHLPLNCSSPTLLHQQPPSPLYSQDAPCGDVLPKPISNSSKKRRGRAPEKLMEPRKEADRPVLTPSGADWNVHPPCPKVANTLSLLIKQNYPGIYVSDDTSGNGQSCEHVVYHWHQCPPDTVATILDEFLKRYKWSPGHEEECQKLFDRKAVRQLVNLFCYEKQRVRQVLAAKKAKKSSLVCRAHGEMELEEDGDREDSDGQQGDESVVLLEHEDPLKWKPFFPAWMKPKWWEMLCDHWAKDEIMKVSYQQRKNRYSGKRPCDAAGSQKLGSHSVLRQVGSGKRGRYCGAIGISKKSQHKSLSKLPPVCVSKQEQQPMFTKEQVQEMINQALQGLNEAWEKKFLSLEQKMPRMMSSSHIVPNGVKESSLAVGRSKQCKLARQ >KQK96474 pep chromosome:Setaria_italica_v2.0:VII:8944366:8944533:1 gene:SETIT_012034mg transcript:KQK96474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRRSVMFMLGIGCGIYAEQNYNMPNVKKLVETYVFLTRYLAETYRKPKKDDE >KQK99122 pep chromosome:Setaria_italica_v2.0:VII:31066823:31068655:1 gene:SETIT_010662mg transcript:KQK99122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGKGLLAGSFLRAAALGLVVLASAAPVARSWSKEGHMMTCQIAQGLLEPDAAHAVRNLLPEDVGGDLSALCVWPDQVRHWYKYRWTGPLHFIDTPDKACTFDYSRDCHGPDGAKDMCVAGAIANFTSQLLHYKHGSADRKYNLTEALLFLSHFMGDVHQPMHVGFTSDQGGNSIDLRWFRHKSNLHHVWDREIIQTALAEFYDKDMGTFRKQLEHNLTKGTWSDDVSSWGDCEDLLSCPTKYATESINLACKWAYSGVHGGETLSNDYFDSRLPIVSRRIAQGGVRLAMFLNRIFSQHNRDVAAPS >KQK98875 pep chromosome:Setaria_italica_v2.0:VII:29708112:29711663:1 gene:SETIT_010438mg transcript:KQK98875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTEAEQHPRRALALAAHDASGRVTPIRISRRETGDDDVAIQILFCGICHSDLHTVKNEWRTAIFPVVPGHEIAGLVTEVGKNVQRFNVGDKVGVGCMANTCQSCESCEEGLENFCSKIVFTYNCQDRDGTVTYGGYSDMVVVNQRFVIRFPDGMPLDKGAPLLCAGITVYTPMKYHGLNEPGKHIGVIGLGGLGHVAVKFAKAFGMRVTVVSTSPEKREEALEKLGADAFVVSSDASQMKAAKGTMHGIINTASASMSMYPYFALLKPQGKMILLGLPEKPLQISAFSLVAGGKTLAGSCMGSIKDTQEMMDFAAKHELTADIEVVGAEDVNDALERLDKGDVRYRFVIDVGNTLVAA >KQK99389 pep chromosome:Setaria_italica_v2.0:VII:32701168:32702757:1 gene:SETIT_012233mg transcript:KQK99389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHPLILKSGRASRPHVATRLVVAYADSGCLPHARRVFDETPHKDLILCNAMVACYAAHGLALHAWSLVASMRRSGPDLAGDGFTFSALLRPPRRWPDDDAGLLLRLRALAHGLVLRLGHLADVVVATALLDMYAKYGRVADARRVFEAMVVRNVVSWNAIIVGYGCHGEGKEAVELFRRMLRDGCCRPDERTLASVLSSCANMAAASEATQVHAYAQKKGLQGFLQVANALIMAYGKNGFVREVTRIFAMTDYPDIVTWSSMVSSYAYLGHAKDAIHMFERMLQQGVQPDGIAFLGVLSACSHAGLVEDGLHYFLMMTRGYRIGPSPQHLACLVDLLGRAGRIEDAYDVVVKLSCESNADIIGAFLGACKMRGNIELAKWATDKLLFLEPSEAVNYLLMSNTFAAAGDWNELAKVRSVMRNRCVNKVPGCSWIEIGGTVQTFVSNDMVLHRSMEMQQMMELTISEVQKECNEDTIYKDSLLI >KQK98758 pep chromosome:Setaria_italica_v2.0:VII:29018816:29021439:1 gene:SETIT_012070mg transcript:KQK98758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQAKTSVSSSRDGAPEETGMEQVMSDLQALKRLYGLLHRGPADENLDETSRDLLMKMLDDATQQTLLKQAKMLSGSLMSPVLERKLSIRSDRRTRDAEPPLTLRPLVSPSPSPSIPPGERSSRLNQQYSTVSSRAGGHFDGHRQTAEEPLLARLASYRSSRTAVSALTPRHRPSGEQRNSGLSLYRLPVAATSQHGTVTGSNRHADRRDRTRQSSGRGDLSMYISRSNSSSGAAVSYPSTSPTASLESSASASYSPPPVSRRGIAPPLSLHGFAPAPPVSLRGIAPPVYAPRVSRSMRRRRRQEILERRVGRLRMLKNKIDMVFHHRHDHHHHLGRGLEGPSSRLIPGEHHRKSPWRHLGEMFHRTKRQDKEITSRTVVGGAPAKRRGGGGNMHALFDAMRRHLRGKRRTPASVKMRGAANRSRVQAKKMHWWQRLRKRRGRKDVTAGIPRRRLGL >KQK98688 pep chromosome:Setaria_italica_v2.0:VII:28630366:28634024:-1 gene:SETIT_011104mg transcript:KQK98688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAALSVSGGAHTNTFGCRSNKLKSNRNRLQLAVPSSSDNANYCTKLTICRAQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISRTLDSLLDENGQDSESDETGLQRVPRPRRGQYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVTGNVENEALTDETEIGMPSLNDNEHVVENLNEHGFVQGESAT >KQK98687 pep chromosome:Setaria_italica_v2.0:VII:28630909:28633981:-1 gene:SETIT_011104mg transcript:KQK98687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSAALSVSGGAHTNTFGCRSNKLKSNRNRLQLAVPSSSDNANYCTKLTICRAQSEDSKGGGGFLTGFLIGGAIFGTLGYVFAPQISRTLDSLLDENGQDSESDETGLQRVPRPRRGQYYDEGLEKTRQTLGDKISQLNLAIDKAASRLKRVTGNVENEALTDETEIGMPSLNDNEHVVENLNEHGFVQGESAT >KQK99609 pep chromosome:Setaria_italica_v2.0:VII:33784582:33790978:1 gene:SETIT_011725mg transcript:KQK99609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHTGPGMPEGIEELSRQASALRDALERSEENTQSMVAAIGSFDLRVSAIEASIRPVQVRTQAITMAHENIDRTIENAEAILAQFDIVRRAEAVILRGPREKLGTFLEAVDLLKGVVHFFSSNKNFKCCEGVLNQVDSLLTNSALKIEEEFRQLMDTYSKPIEPDRLFNCLPKHQLASKGDSEAVGEQPSKSFETALKNPTIIPSGILLLLHDIARQLVQDGNQQSCYRIYRDARGSALELSLRKLGIEKLSKGDIQRMQWAALKVDIGNWTHLMQIAVKVLLPGERKNCDQIFDGITFNKDQCFVELAGSSVMTLLSFGDTIAKSKRSHGNLFVLLEMYGVMHELQSEVEVVFQGKFCSEMRDAALNLTKSLAQAAQETLVDFEEAVEKDSSKTIMQNGAVHPFTIEVINYVKPLFDYQSTLKILFQQSETSGGAESYLAGVIVKIMQALQNNLNGKSKQYKDPALSHIFLMNNLHCMVMSVRRSQSKDILGGDWIQRHRKIVQQNANQYKRVAWARILQTLSVQATGGIGSSAPSDVSSSGVSRSMIKERFNSFNMQFEELHAKQSQWTIPDHELRDNLRLAIAEVLLPAYRSFINRFGSLVQRGKNPHKHIKHSPEALDQLLGQFFQGQQVDEQKQ >KQK98377 pep chromosome:Setaria_italica_v2.0:VII:26640977:26642237:1 gene:SETIT_010990mg transcript:KQK98377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSELPSDAFAFPCREDGSSSALSPPVVISVLASILDRHIARNERALAPGSDREVAASGDDDDSAATRRRVRAFDGGTELDMSLRAFLERFSRYAQVSPAVYVVAYAYLDRLRRGDAGVRVVRANAQRLLTAAILVASKFVEDRNYSNSYFAAVGGLGAAELGALELDFLFLMQFRLNVCASVFQSYCRHLEREVSHGGGYRVEQGLEKALVCAGEARGQRRQAAAA >KQK99879 pep chromosome:Setaria_italica_v2.0:VII:35213459:35213804:1 gene:SETIT_012853mg transcript:KQK99879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINMGPTRNKRRRGMGGETVTEAPSCAICLDDFALLPRGLPNRRRN >KQK97564 pep chromosome:Setaria_italica_v2.0:VII:21911049:21913865:1 gene:SETIT_0100972mg transcript:KQK97564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLPCAASTTAPSARAAVSSISRRRVVVEGAASATHDGEEAPPRSLRATCDLGEMSSKTLLLVSKRKLIALSAFCFSLHSSRYLSALALGEPSVKIEDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGIVEIPEGNGSGVVWDDSGHVVTNYHVVGSALSKNPKPGEVVARVNILAAEGIQKSFDGKLVGADRAKDIAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGVQTDAAINPGN >KQK97565 pep chromosome:Setaria_italica_v2.0:VII:21910979:21913865:1 gene:SETIT_0100972mg transcript:KQK97565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCLPCAASTTAPSARAAVSSISRRRVVVEGAASATHDGEEAPPRSLRATCDLGEMSSKTLLLVSKRKLIALSAFCFSLHSSRYLSALALGEPSVKIEDVTPKIFPSGPLFPTEKRIAELFETNTYSVVNIFDATLRPQLNVTGIVEIPEGNGSGVVWDDSGHVVTNYHVVGSALSKNPKPGEVVARVNILAAEGIQKSFDGKLVGADRAKDIAVLKVDAPTDLLKPINVGQSSALRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIFSQAGVTIGGGVQTDAAINPGN >KQK96218 pep chromosome:Setaria_italica_v2.0:VII:1892175:1894182:-1 gene:SETIT_012347mg transcript:KQK96218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRGNCWCEELNKDGSDTADLPGGELRSKERCSSVLEAEGSFVLETGVLVARAIRAGTLELTLGGVVLGDSWISPDDYALSYPWLLEGVSRLDDNAVGKGIMMAVKVKQQMAAGQFVAAYTTWVNLLDMIDSRSGNVNMENFVLDTTVSSVLSDSAARPLLSPGNSQAANNGSNTVSDTVNGFLKQKFKIIPKDFIWQEVSLQVFDALANDFMKPAINEVDELLSYGVNVTVYNGQYDVICSTLGAEAWLPVDKPSTAPYMISSIVQYPAN >KQK98313 pep chromosome:Setaria_italica_v2.0:VII:26238897:26242719:-1 gene:SETIT_009542mg transcript:KQK98313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQHRAAPPGAQEIEKTPSGRAAPLDMESEPAAARAAERVPPWREQITARGMVAALLLGFVFTVITMKLSLTTGLNTTMNVSAALLAFLTLRGWTRALERLGIASRPFTRQENTVVQTTIVACYTIGYGGGFGSFLLGMNKKTYELSGETTPGNVPGSYKEPAIGWMTGFLLAVSFVGLLTLLPLRKVLVIDYKLTYPSGTATAVLINGFHTPQGDKNAKKQMRGFLKYFGMSFLWSFFQWFYTAGEACGFVQFPTFGLKAWKQTFFFDFSLTYVGAGMICSHLVNVSLLLGAILSWGVMWPLISKQKGNWYSAKASESSMTSIYGYKAFLCIALLVGDGLYNFVKVILISVKNVRERSRRKSLNRVADADTMALDDLQRDEVFNRDNIPAWLAYAGYALLSIIAVIIIPIMFRQVRWYYVIVAYLMAPALGFCNAYGTGLTDMNMGYNYGKIALFILSAWAGKDNGVIAGLVGCGLVKQLVLISADLMHDFKTGHLTLTSPRSMLVGQAVGTLMGCVLAPLTFMLFYKAFDVGNPDGYWKAPYALIYRNMAILGVEGFSALPKHCLQLCAAFFAFAVAANLARDLLPRRLARFVPLPMAMAVPFLVGASFAIDMCVGSLVVFVWHKLDSKKAALMVPAVASGLICGDGIWTFPSSLLALAKVKPPICMKFTPGS >KQK98301 pep chromosome:Setaria_italica_v2.0:VII:26134105:26136080:1 gene:SETIT_010107mg transcript:KQK98301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTVRFVFVLLAFLCCSSSRFAGAYDPLDPNGDITINWDFQSLDVKDANPYTVMVSIHNNQLYRHIERPGWRLSWNWAGKEVIWGTWGAEATEQGDCSRFGGGNRPHCCQKRPVMVDLPPGTPYNQQVDNCCRGGVLSSLTQSNRTSVAAFQMTVGEYAAAKDGGGKEPEMPWGFDVGVPGYSCSNATKVPPTRSKVDKNRHVQVLLTWQVTCSYSQYRESGAQSCCVSLSTFYNSDIVSCPRCSCGCQGTPTSPRCVAGGEPGTLALPAGGGDDDEPAAPLVRCSEHMCPIRVHWHVKVNYRKYWRVKMTVSNYNLVRNYSDWNLVLQHPNLRSLTQLFSFNYKPLVEYGTFNDTGMFWGIRFYNEMLLQDGNVQTEMILEKNEGEFTFSGGWAFPRRVYFDGHECVMPPADQYPALPNGASAARRRSLLAGPSLLLLSFLALLV >KQK97584 pep chromosome:Setaria_italica_v2.0:VII:22027007:22027508:1 gene:SETIT_012958mg transcript:KQK97584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYADISFHKKRNVKGCKDLRISCAKPFSVEKCHLAFRHYDKIQRSRHIKRKQLRQYPKKLSGTLFCSLICNHSISIQHSTNTHISRG >KQK96954 pep chromosome:Setaria_italica_v2.0:VII:17723245:17723979:-1 gene:SETIT_013090mg transcript:KQK96954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPIHQVGASLSLLRFRMGFQMGLHADLGISVMGYAKLMASNASTLNNQISSQACKNFFIMYPSPIWISSKPWM >KQK96955 pep chromosome:Setaria_italica_v2.0:VII:17723245:17724015:-1 gene:SETIT_013090mg transcript:KQK96955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPIHQMGYAKLMASNASTLNNQISSQACKNFFIMYPSPIWISSKPWM >KQK99365 pep chromosome:Setaria_italica_v2.0:VII:32594998:32595729:1 gene:SETIT_011204mg transcript:KQK99365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERYNFPRGILPAGVQGYALHPDGTFEVYFPRPCEFLLARRWLVRYEARVSGSVAAGSLTALQGISVKVVFLWLGVGEVDRAGDNLSFYIGPVATSFPLRDFAESPRCRGYDVAGAASS >KQK98079 pep chromosome:Setaria_italica_v2.0:VII:24828890:24831202:1 gene:SETIT_011280mg transcript:KQK98079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEIEKKAAAMAEEEVAGKKVAADGDVSLKELSKKLNDFAKERDWEQYHSPRNLLLAMIAEVGELSELFMWKGEVRKGLADWDEAEKEHLGEELSDVLLYLVRLSDICGVDLGDAATRKIVKNAVKYPAPSKEGGA >KQK98631 pep chromosome:Setaria_italica_v2.0:VII:28359675:28361783:1 gene:SETIT_009506mg transcript:KQK98631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLSSRTGTRRRFALLASTTLAFLILCSTCSCLQFSYPTFDAANEADFSFSPGSGIANGALQITPTTGEISHRSGRVCYARETLKLWNSERTALTSFRTEFVLNILPRNGTGEGMAFILTNNPELPTNSSGRWLGIVNSQTDGSPANRIVAVEFDTRKSGGDDLDHNHVGLDVNSVRSVSTYPLTNLSIALSSGSDVSVSIEYDGAVLSIVAVQAYTFMYSWAGDLSRYLTDDITVGFAASTGKFTELNQIKSWNFTTLGDDAGGRRGRREARKKLILLLAYLIPLAIAGSFLAFCVWRRLTRPGRLAYRNLEKMIDARGPVRFGFRELKNATANFSSDRKLGRGGFGTVYLGYLERTGMEVAVKRVMASGGSSRGEQEFVAEVNSISKLSHRNLVRLIGWCHERGELLLVYEYFPMGSLDKLLYADDARGSGSPAPGLTWERRYGIICGVAAALEYLHHGSSRRILHRDVKTSNVMLDGGYGARLGDFGLARVVQSEGATHHSTRAVAGTRGYMAPESFFTGRAGLGTDAYAFGVFVLEVVSGRRPSSPVPQHHSYLDDNDDLGGGGLDDAVPSGARGGRQQDAYIVDWAWRLYGEGRAWRTADAALGGASDPEGVERAVRLALACCHPDPRERPSMRAAVQVLAGGVEAPEPPLVKPAFVWPPDGGRQQEMEMARVGVLFTGAHSSFCTMTSSSIISGR >KQK97824 pep chromosome:Setaria_italica_v2.0:VII:23446791:23447240:-1 gene:SETIT_013111mg transcript:KQK97824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLECGISDGKFVYLRLISDSRADWILKWGEADLPCDLKRPLDRYFGQWSL >KQK97473 pep chromosome:Setaria_italica_v2.0:VII:21389418:21390865:1 gene:SETIT_012471mg transcript:KQK97473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLLVLLLFLALPVLPARCDGPAPAPRQRSVRLELARVDANLTGHELIRRAVQRSLDRNQLGVVARPGGGGGGGLRAAAAGEAPVVPGGGEYLVKLGIGTPQHLFSAAIDTATDLVWMQCQPCLSCYRQLDPVFNPRLSSSFAVVPCSSDTCGQLDEHRHVVHTCRSEEDDACQYTYKYSGNGMTKGTLAIDKLAVGSDVFHGVVFGCSDTSAGGPPAQASGLVGLGRGPLSLPSQLSVHRFMYCLPPPTSRTPGKLVLGAGADAVLNVSDRVTITMSSSTRYPSYYYLNLDGIAVGDQTPRTLVRTTATPPPATDVGGSGANAYGMIVDIASTISFLEASLYEELADDLEQEIRLPRATPSRRLGLDLCFILPEGVGMDRVYVPTVSLSFDGRWLELERDRLFVEDGRMMCLMVGKTRGVSILGNFQQQNMHVLYNLRRGRITFAKGSCESMQ >KQK98839 pep chromosome:Setaria_italica_v2.0:VII:29539314:29541788:-1 gene:SETIT_010625mg transcript:KQK98839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRMERQTASSSASCSPSAAASSSSACGGKKRPDFLNMIRSAACLNSSSTDTGKGRSKLSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYEKNHELGLFAIFDGHLGDKVPSYLKANLFRNIIKEPVFWDSPQDAIKNAYRSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWIANVGDSRAVVCERGSANQLTVDHEPHTTNERQRIEKQGGFVTTFPGTAISCVLLFHCCN >KQK98838 pep chromosome:Setaria_italica_v2.0:VII:29538329:29542087:-1 gene:SETIT_010625mg transcript:KQK98838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGRMERQTASSSASCSPSAAASSSSACGGKKRPDFLNMIRSAACLNSSSTDTGKGRSKLSSNKVTHGFHLVEGKSGHDMEDYHVAEYKYEKNHELGLFAIFDGHLGDKVPSYLKANLFRNIIKEPVFWDSPQDAIKNAYRSTNKYILENAKQLGPGGSTAVTAIVVDGKDMWIANVGDSRAVVCERGSANQLTVDHEPHTTNERQRIEKQGGFVTTFPGDVPRVNGQLAVARAFGDQSLKAHLSSEPDIRHVPINPSIEFVILASDGLWKVMKNQEAVDLVKSIKDPQAAAKRLTTEALARKSKDDISCIVIRFRC >KQK96691 pep chromosome:Setaria_italica_v2.0:VII:14013933:14026483:1 gene:SETIT_009401mg transcript:KQK96691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPVVKTEAAEERGSLPRTPAAATAGANGGAASASPAAVIDLSSSDSDSDGEGAGGSGKRARGAGGGGSAGKRARVSAAVDLPPGFLEPIPLPPPVVPAACATKQFWKAGDYDGKPLGNGVPQPSASGMDHVRVHPRFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENEKDSDKEMSRMLLVEDDGGGMDPDKMRQCMSLGYSVKSKIASTIGQYGNGFKTSTMRLGADVLVFSRSRGKSGKRPTQSIGMLSYTFLRSTGKEDIIVPMIDYEYKQGWERMVRTTSDDWNTSLRTIIAWSPYSTEAELLEQFNSMKEQGTRIIIYNLWEDDQGDLELDFDADVHDIQLRGGNRDEKNIQMANQFPNSKHYLTYRHSLRSYASILYLRLPNYFQMILRGKEIEHHNIVTDMMLKKEVTYRPVAPNGHPKDSNMVADVTIGFVKDAKHHIDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLVQMQKDYWSGNAHRIGYVAPRPGRISESGEGEIFPENTTSAQPSPYCSGKGYTYLKDFHNSKKSGKAGTSFGIQQRAEKSARTKRSTKSVLHGLPDSDDSDSEFVGTPSSRSRSHILNINQKSFQNGSIGLTTPQSSGLTERERVRTESQSMDPNATIDEYEAVIKQLRDENSSLKER >KQK96692 pep chromosome:Setaria_italica_v2.0:VII:14013933:14028343:1 gene:SETIT_009401mg transcript:KQK96692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPVVKTEAAEERGSLPRTPAAATAGANGGAASASPAAVIDLSSSDSDSDGEGAGGSGKRARGAGGGGSAGKRARVSAAVDLPPGFLEPIPLPPPVVPAACATKQFWKAGDYDGKPLGNGVPQPSASGMDHVRVHPRFLHSNATSHKWALGALAELLDNSLDEVINGATYVNIDMLENEKDSDKEMSRMLLVEDDGGGMDPDKMRQCMSLGYSVKSKIASTIGQYGNGFKTSTMRLGADVLVFSRSRGKSGKRPTQSIGMLSYTFLRSTGKEDIIVPMIDYEYKQGWERMVRTTSDDWNTSLRTIIAWSPYSTEAELLEQFNSMKEQGTRIIIYNLWEDDQGDLELDFDADVHDIQLRGGNRDEKNIQMANQFPNSKHYLTYRHSLRSYASILYLRLPNYFQMILRGKEIEHHNIVTDMMLKKEVTYRPVAPNGHPKDSNMVADVTIGFVKDAKHHIDVQGFNVYHKNRLIKPFWRVWTAAGSGGRGVIGVLEANFIEPAHDKQDFERTTLLARLEARLVQMQKDYWSGNAHRIGYVAPRPGRISESGEGEIFPENTTSAQPSPYCSGKGYTYLKDFHNSKKSGKAGTSFGIQQRAEKSARTKRSTKSVLHGLPDSDDSDSEFVGTPSSRSRSHILNINQKSFQNGSIGLTTPQSSGLTERERVRTESQSMDPNATIDEYEAVIKQLRDENSSLKERLSKVEESMQQELVMERDKNKSLTERVEDLQRQLVSATKEQEALIDIFSEERTRRDLEEDSLKKKLKEASLTIQDLLEQLNNARKGRKF >KQK96394 pep chromosome:Setaria_italica_v2.0:VII:5162240:5164425:-1 gene:SETIT_009712mg transcript:KQK96394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAAAAANGDVLSEEEMRWLRRVRDTLEERSAEELGAAAKVFDVPRALRDTKPEAYAPQHFALGPYHHQRRPELRDMERYKLAAAKRAERHFAGGRTIEHLVERLRDLQDEMQAPYHRILELNRETLAWMMAIDTCFLLDFLEGYHRDETTDMVSSAANWINATVRDAMMIENQLPLCLFTEALELRRHAAEQHVTTARAMMCTVLDRFIKHVSPIKMRADVTIAEEVHLLELLYHFLVPPGAVFDENTGGGGGKNPTAHQDDQAQDRSLYDVEEQLLDAAPELAGVQPPQGDENTVKKACSQVSSHLNMGSLLVSWPMRSVSKLYGKMTRRLPALPGVVSVVRKLVASVDVEGILSGMNTEGVAGSAPLAKEIKIPSVEQLAKCGVRFLPTTEGIHGIAFNAAAATLWLPVITLDATTEVVLRNLVAYEAVAVRGPLVLARYTEMMNGIIDTTRDVKILRRSGVLVNRMKSNREVADMWNGMCRAARVSKVSRLDGVIRAVNAHRDRTPAVRVQKMLKRYVFGSWKILTLLASVVLLVMTGLEAFCSAYPCHNSWFGNVLQLDSPAP >KQK96370 pep chromosome:Setaria_italica_v2.0:VII:4577208:4579962:-1 gene:SETIT_012641mg transcript:KQK96370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPRLTVERDPATASSPSVHHRIQENAATKQQRERSDPRVPPPFRHGSLSMVPFSIEAPFHLGACGHRQIHGNKGRIVAKVKLSPTDGKLEIGGSMLGKEYVGVYVEGLENDSRNKGDELIP >KQK97330 pep chromosome:Setaria_italica_v2.0:VII:20605071:20610788:-1 gene:SETIT_009480mg transcript:KQK97330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWPRKSRSKSKAKPGSGAVSAASSPRKSADLDSPSPFPSPTPRAPEKLRSLDSPGAAAAAAARARCPAGHGLVGYKLPVPAPDPEPPELVGTLYEEEVASSAEDSSACSVGSSDEAQDHHGFRSMDPVAFGRGRNMPSDSDRMLNEDKHVMSCSMPRDHQKFFDIPVSSVREHHLHSDEPSTSETSCSRGRMVSEDLFAPRTRSLSPVPKGHAFAMSNGNSREFGFSPRSPVRMMDGLRSPPHPLPLPPGSAACSPLPPASAACSPLHPASGACSPLHPAAAACSPLPPNHSSCSPLPSSPSSCPPLPASPTTCSQSQSQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYHGSDLTDDALSIYLEYVSGGSIHKLLREYGSFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFVEIRSFKGSPYWMAPEVIMNSKGYSLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDSFSEEGKNFLQLCLKRNPASRASAAQLMDHPFVRDHPAVKAAKSSALSALSSPADGRLTMSNRELPSRKIITPLRDIGLSARDFTGFSTAVPSPHSSPIPGRTNMSMPVSPCSSPLRQFKQSNRSCMPSPPHPMLSPGAGYNTLSYAQNQTRRSPAPAISDPWMDVGQLKLQSPYGSPKRF >KQK97332 pep chromosome:Setaria_italica_v2.0:VII:20604608:20610788:-1 gene:SETIT_009480mg transcript:KQK97332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWPRKSRSKSKAKPGSGAVSAASSPRKSADLDSPSPFPSPTPRAPEKLRSLDSPGAAAAAAARARCPAGHGLVGYKLPVPAPDPEPPELVGTLYEEEVASSAEDSSACSVGSSDEAQDHHGFRSMDPVAFGRGRNMPSDSDRMLNEDKHVMSCSMPRDHQKFFDIPVSSVREHHLHSDEPSTSETSCSRGRMVSEDLFAPRTRSLSPVPKGHAFAMSNGNSREFGFSPRSPVRMMDGLRSPPHPLPLPPGSAACSPLPPASAACSPLHPASGACSPLHPAAAACSPLPPNHSSCSPLPSSPSSCPPLPASPTTCSQSQSQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYHGSDLTDDALSIYLEYVSGGSIHKLLREYGSFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFVEIRSFKGSPYWMAPEVIMNSKGYSLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDSFSEEGKNFLQLCLKRNPASRASAAQLMDHPFVRDHPAVKAAKSSALSALSSPADGRLTMGVAITEDYYSSEGYRTECERFHWIFNCCSFTAQQPNSWENKHVYAGFTMLKPSAAV >KQK97331 pep chromosome:Setaria_italica_v2.0:VII:20604608:20610788:-1 gene:SETIT_009480mg transcript:KQK97331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWPRKSRSKSKAKPGSGAVSAASSPRKSADLDSPSPFPSPTPRAPEKLRSLDSPGAAAAAAARARCPAGHGLVGYKLPVPAPDPEPPELVGTLYEEEVASSAEDSSACSVGSSDEAQDHHGFRSMDPVAFGRGRNMPSDSDRMLNEDKHVMSCSMPRDHQKFFDIPVSSVREHHLHSDEPSTSETSCSRGRMVSEDLFAPRTRSLSPVPKGHAFAMSNGNSREFGFSPRSPVRMMDGLRSPPHPLPLPPGSAACSPLPPASAACSPLHPASGACSPLHPAAAACSPLPPNHSSCSPLPSSPSSCPPLPASPTTCSQSQSQWKKGKLLGSGTFGQVYLGFNSENGQFCAIKEVQVIMDDPHSKERLKQLNQEIDMLRQLSHPNIVQYHGSDLTDDALSIYLEYVSGGSIHKLLREYGSFKEPVIRNYTGQILAGLAYLHGRNTVHRDIKGANILVGPNGEVKLADFGMAKHISSFVEIRSFKGSPYWMAPEVIMNSKGYSLAVDIWSLGCTIIEMATARPPWHQYEGVAAIFKIANSKDIPEIPDSFSEEGKNFLQLCLKRNPASRASAAQLMDHPFVRDHPAVKAAKSSALSALSSPADGRLTMSNRELPSRKIITPLRDIGLSARDFTGFSTAVPSPHSPIPGRTNMSMPVSPCSSPLRQFKQSNRSCMPSPPHPMLSPGAGYNTLSYAQNQTRRSPAPAISDPWMDVGQLKLQSPYGSPKRF >KQK97572 pep chromosome:Setaria_italica_v2.0:VII:21941162:21944227:1 gene:SETIT_010060mg transcript:KQK97572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGGAPSRGAADGSAASLPGLEHPLLHAYEARKEAEAADDDHEAQCFGSEPDAGGASFVRTCFNGLNALSGVGLLSIPYALSEGGWLSLALLLVVAAVCCYTGLLLQRCMAASPAAARGYPEIGDLAFGRGGRLAASAFLYAELYLVAIGFLILEGDNLDKLFPGTSLSLPLGGGGGGGGGRLLVVSGKQLFVVLVALVILPTTWLRSLGVLAYVSASGVLASAVVVVCVLWAAVADGVGFRGQGRMLNVSGLPTALGLYTFCYCGHAIFPTLSNSMKEKKKFSKVLVICFAACTLNYGSMAILGYLMYGDDVKSQVTLNLPEGNISSKLAIYTTLISPFSKYALMVTPLATAVEERLLAAGYKRSVNILIRTLLVVSTVVFALAVPFFGHLMALVGSLLSVMASMLLPCVFYLKIFGVARRGRAEVALIAAIIVLGSLVAATGTYSSLKKIVHEF >KQK97357 pep chromosome:Setaria_italica_v2.0:VII:20762863:20764205:1 gene:SETIT_012555mg transcript:KQK97357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAPKRACASGSSAPGRVERFRLRLRYGLLHLVLSFLPSRQAMQSVVLSKRGIDLWRSMPAVDLDISEFLSITRRSSQYCCKDVWGKMENFSTNLLMRHRAPRLDAFRLRIGSIMIDWSRNSFFFSIATEYCPMELEIRLNRLDWSVLYQLPKLVSCHLKRFVLSGVSLEHSFAEHLHSGCPALEDLVLWGCDTEFCGLQSETLKNLVIRSCTSRVADILVIRAPSPASLRLDLLYNTHKNGVLLDTEKFLVKASISLASDQLSQRGEAILLGSLFSVTSLELGGFNAMAILDKEFDKSPAFDNLRTLALNWCFHSKRDVNKFKALGRLLQKSPNLEKLTLQTFW >KQK98145 pep chromosome:Setaria_italica_v2.0:VII:25336198:25337926:-1 gene:SETIT_010867mg transcript:KQK98145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRALRATNRSQLDPKYCLAPRRRQASSATRRCSSRIPSTQAPPHRAGSVDRSTLKTYTTPSGTAGTTADVLPSHLKKTSQRTNRSPAAPCSSRRRPPLLPPPPSSPPPPSTRIGAGPSSASAREAARGRDGCAPARRAALRGQRRARPWPVARRVALRRAERAGMRTSSTAAGGLMWGCATAGAVWGRDGRRGEGRRRRGPPTAKSTSRWPRELPSRPNRRASAAPKLSRRSSAGTLAPPCLRSRRRFEVSRAWDEW >KQK98475 pep chromosome:Setaria_italica_v2.0:VII:27252928:27262421:-1 gene:SETIT_009243mg transcript:KQK98475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVKKLVEKASKKQNIGSISSLRAEDVSPRLAFHYGVPADASLLAYDPVLHVLAVATRNGQIKLFGRDNTQALLQSPSPLPSRFLQFAEGQGVLLNVNTQNQIEVWDIDAKKLCYVHPFEKGITAFSVLQKSFYIYVGDSSGNVSLLKLDLAQRCLADMPYWIPFAESYGSGPSVGNDVEVIFVSPQPMAESNRVLIIFRDGVMSLWDIKASKAVSVSGKTMQQQSHQEAKTVASACWVCAKGSKIAIGYDNGDLYIWGIPEAIINAQNLSSMGNQNLPIQRLNLGYKLDKLPIVSLKWISSDGKAGRLYINGFNDHGHLFQVLILNEESESRIVKMVLPLTKSCQGMELITGLSDPNKHRQSALVLLLKSGQMCLYDDSEIERYLLHSQSRSPPTLPNHSSVKLPYGDSGISVAKFYTSNHASSASFDEDYFSLASKFPWLFSMKDKGQTLTSFTNIHKTRNLYITGHLDGTLSFWDASCPLLLQIFKIKQQNDDNTSGGNPITSLQFDMPSSILISGDMSGMVRIITFKKDSTDNIFSFLNAKQGDNYNVRSIKLKGAVTTMSSISKSKYFAAGTEKGVVSVINVEDATILYQKQFECRLSGGIASLQFEIYSHNGYDKDILIIAMEDSSIFILEEETGKLLNPNPVQTDKPSKALLLQMLELSPNDASVSDNHNTVSKESLLLLCTENAVRLFSLSHAIQGTKKIINKKKFSSNCCFASLIHSSSDEIGLILVFSNGKIEIRSLPDLSLLKDASLRGFVYSRNLNSSSFIACSCDGETILVNGEETYFFSTLCQDDIYRHVDSITTVYRKESSPKEESSLVVKSPKEKKKGLFGMIMKDNKGSKSKESDANGSEQCITTSEKLASIFSSANFAPPSERRNSSLKDEENVDLDIDDIDIDDNPQKQKGPHFPGLSKDKISKGFQSLRGKLMPKTEEKTSSGNKKTEDETSVSQVDQIKMKYGYANATNDDSTSVPKMIGNKLQENMKKLEGINLRAADMATGAQSFSAMAKELLRTTKNEKGTS >KQK99777 pep chromosome:Setaria_italica_v2.0:VII:34719478:34720031:-1 gene:SETIT_011894mg transcript:KQK99777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVEQQLSKQQIEEFREAFSLFDKDGDGTITSKELGTVMRSLGQSPSEEELQEMVKEVDADGSGAIDFQEFLTLLARQMREANGADEEELREAFRVFDQDQNGFISRDELRHVLQNLGERLSDDELAEMLREADVDGDGQINYTEFAKVMLA >KQK98806 pep chromosome:Setaria_italica_v2.0:VII:29288990:29289856:1 gene:SETIT_011567mg transcript:KQK98806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVLSLFFSRDPWALSSTHKIYYLTIICCIIGVLKKSFKPAMEDSRDRKAGEYLVNESWYCCNEDQ >KQK99019 pep chromosome:Setaria_italica_v2.0:VII:30504768:30509266:1 gene:SETIT_010063mg transcript:KQK99019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRKAKPPPPPSPPKAAVPSLAEALLLATVCMVGLPVEVQVRDGSAYAGVLHTACVDDGYGVVLKKAKKIANGKGDANLLLGAFVDTLVVHPDDLVQVIAKGLTLPIKGVGTTPDCDAVAASGSLKPQTAHANDPKMAKTENISPVEQVEKCTTVGKGKDNSVKKSGPVSGTATSVSSSTGHVGPYFSMNGVSESTTMGPKVDVVASSVIAAPMVASDVKASQLANNLATNIVTSSKTTAKEFKLNPCAKVFSPSFASSRQVLASAPPVNSNYISHSTPEVPMGIPVYEPKSVPGVSSLSNKIHCSNLSPANYAFSPQYVQSIMGHNGSRMDPARAGTPYHPIPMGGTYTSPSPQPVMTGKYSPVVYVHPISQDAMHGTSVSSQGWPHPVLLNSYQASMQKFQGNAPVYLAPPVMATGNLPLVVPSPAPLVQPFQAIHPIMVPAASSMVPGKYM >KQK96471 pep chromosome:Setaria_italica_v2.0:VII:8711270:8724698:-1 gene:SETIT_009159mg transcript:KQK96471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLGLAAAASKALPLLPNRHRTSAGTTFPSPVSSRPSNRRKSRTRSLRDGGDGVSDAKKHNQSVRQGLAGIIDLPNEATSEVDISHGSEDPRGPTDSYQMNGIVNEAHNGRHASVSKVVEFCAALGGKTPIHSILVANNGMAAAKFMRSVRTWANDTFGSEKAIQLIAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEVAERIGVSAVWPGWGHASENPELPDALTAKGIVFLGPPAASMNALGDKVGSALIAQAAGVPTLSWSGSHVEVPLECCLDAIPEEMYRKACVTTTEEAVASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMRLASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPVTVAPRETVKALEQAARRLAKAVGYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEVNLPAAQVAVGMGIPLWQIPEIRRFYGMDYGGGYDIWRKTAALATPFNFDEVDSQWPKGHCVAVRITSEDPDDGFKPTGGKVKEISFKSKPNVWAYFSVKSGGGIHEFADSQFGHVFAYGLSRSAAITNMALALKEIQIRGEIHSNVDYTVDLLNASDFRENKIHTGWLDTRIAMRVQAERPPWYISVVGGALYKTVTANAATVSDYVSYLTKGQIPPKHISLVSSTVNLNIEGSKYTVETVRTGHGSYRLRMNDSAIEANVQSLCDGGLLMQLDGNSHVIYAEEEAGGTRLLIDGKTCLLQNDHDPSKLLAETPCKLLRFLVADGAHVDADVPYAEVEVMKMCMPLLSPASGVIHVMMSEGQALQAGDLIARLDLDDPSAVKRAEPFHGIFPQMDLPVAASSQVHKRYDASLNAARMVLAGYEHNINEVVQDLVCCLDDPELPFLQWDELMSVLATRLPRNLKSELEDKYMEYKLNFYHGKNKDFPSKLLRDIIEANLAYGSEKEKATNERLIEPLMSLLKSYEGGRESHAHFVVKSLFKEYLAVEELFSDGIQSDVIETLRHQHSKDLQKVVDIVLSHQGVRNKAKLVTALMEKLVYPNPAAYRDLLVRFSSLNHKRYYKLALKASELLEQTKLSELRASIARSLSDLGMHKGEMTIEDSMEDLVSAPLPVEDALISLFDYSDPTVQQKVIETYISRLYQPLLVKDSIQVKFKESGAFALWEFSEGHVDTKNGQGTVLGRTRWGAMVAVKSVESARTAIVAALKDSAQHASSEGNMMHIALLSAENENNISDDQAQHRMEKLNKILKDTSVANDLRAAGLKVISCIVQRDEARMPMRHTLLWSDEKSCYEEEQILRHVEPPLSMLLEMDKLKVKGYNEMKYTPSRDRQWHIYTLRNTENPKMLHRVFFRTIVRQPNAGNKFISAQIGDTEVGGPEESLSFTSNSILRALMTAIEELELHAIRTGHSHMYLCILKEQKLLDLIPFSGSTIVDVGQDEATACSLLKSMALKIHELVGAQMHHLSVCQWEVKLKLYCDGPASGTWRVVTTNVTSHTCTVDIYREVEDTESQKLVYHSASPSASPLHGVALDNPYQPLSVIDLKRCSARNNRTTYCYDFPLAFETALQKSWQSNGSSVSEGSENSRSYVKATELVFAEKHGSWGTPIISMERPAGLNDIGMVAWILEMSTPEFPNGRQIIVIANDITFRAGSFGPREDAFFEAVTNLACERKLPLIYLAANSGARIGIADEVKSCFRVGWSDEGSPERGFQYIYLTDEDYARISLSVIAHKLQLDNGEIRWIIDSVVGKEDGLGVENIHGSAAIASAYSRAYEETFTLTFVTGRTVGIGAYLARLGIRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSNILRWLSYVPANIGGPLPITKPLDPPDRPVAYIPENTCDPRAAIRGVDDSQGKWLGGMFDKDSFVETFEGWAKTVVTGRAKLGGIPVGVIAVETQTMMQLIPADPGQLDSHERSVPRAGQVWFPDSATKTAQALLDFNREGLPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYNQPAFVYIPMAGELRGGAWVVVDSKINPDRIECYAERTAKGNVLEPQGLIEIKFRSEELQDCMGRLDPELINLKAKLQGAKLGNGSLTDVESLQKSIDARTKQLLPLYTQIAIRFAELHDTSLRMAAKGVIKKVVDWEESRSFFYRRLRRRISEDVLAKEIRGIAGDHFTHQSAVELIKEWYLASQATTGSTEWDDDDAFVAWKENPENYKGYIQELRAQKVSQSLSDLADSSSDLEAFSQGLSTLLDKMDPSQRAKFIQEVKKVLG >KQK99794 pep chromosome:Setaria_italica_v2.0:VII:34788122:34791079:1 gene:SETIT_010769mg transcript:KQK99794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEETGVRRWLVDITRWCPSPAQFDAAAALLPSHERPAIARFVKEDDRKRALVSRLLQYSLVHHVLRIPFHQINICRTPEGKPYLQKNCSNFPNFNFNISHQGDYVGIASEPLCLVGLDIVSISKPQGETTTEFISNFSSYLTDHEWNCIVRAGTPSEVLTEFYRYWCLKEAFVKAIGAGVGFGLHRLEFHHEHWTDISIHIDGEVSRKWRFWLSELDEVHLASIAKGHPEDAVSSYKKTLSNATVVEEQLHSTLESPDGAFTLWTVEQLTQSLGNSLTGIKHS >KQK97924 pep chromosome:Setaria_italica_v2.0:VII:23985328:23987460:-1 gene:SETIT_010587mg transcript:KQK97924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPKISAFFKRQETEPDPNSGGGEEHGQGSGGCGGATEAKRKPKDGERGELVSKKRSYAQFHLELGQPDFLLHTCSVCGMMYARGNDDDEKVHKAYHKNYFEGVPFKGWRNEAVIARSEGGDRVTLATDENYCMWNSKVKEVITVVEKELGFAEGKLLHKLCKVYLYISGQRIVGCLVAEPIKTAHRVIPSSMEQSHSDLLASNTESRKTDHTLEFGKISFKREIIRRNTPSVKDKEEHQDPGAIICEKEAVPALCGFRAIWVVPSRRRKRIGSKLMDVARKTFSEGGTLGISQFAFSPPTSSGKALACRYCKTSAFLVYKEQMYNR >KQK96981 pep chromosome:Setaria_italica_v2.0:VII:17927275:17932780:1 gene:SETIT_009402mg transcript:KQK96981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPSAPSPAPRGARTWIPLPEFTVEETYTWSRTGGPVGGSRISGPTRHGGQPNRRGIGAASSSSTSHHTPNGKTKQTTGRERRREEEGDLCNRGIGGGGGRHCSDPSPAATPMGSEAEITGPLLAAGGGGGGAPGAEPEAVPPWREQVTARGLAVSAVLGVLFCLITHKLNLTVGIIPSLNVAAGLLGYFLVRTWTAALEKLGVVSRPFTKQENTVIQTCVVACYGLAFSGGFGSYMLAMDQRTYELIGPDYPGNRAVDVKNPSLGWMIGFMFVVSFLGLFSLVALRKVMVIDYKLTYPSGTATAMLINSFHTSTGAELADKQVRCLGKYLSISFIWNCFKWFFSGVGDSCGFDKFPSLGLEAFKNTFYFDFSPTYIGCGLICPHIVNCSTLLGAIISWGFLWPYISTKAGDWYPANLGSNDFKGLYGYKVFISVSVILGDGLYNLIKIIYATIKEIMNARAKQGRLPLGRVQDDDEGSKLSAEEKFLNETFIKDSIPPWLAGSGYVGLAAISTATVPMIFPQIKWYLVLSAYVVAPLLAFCNSYGTGLTDWNLASTYGKIGLFIFASWVGQNGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSPRSMFVSQLIGTALGCVIAPLTFWLYWSAFDIGNPDGPFKAPYAVIFREMSILGVEGFSALPQHCLAICSFFFIASLVINLLRDVTPKNVSRFIPIPMAMAIPFYIGAYFAIDMFVGTVILFVWERMNRKECEDFAGAVASGLICGDGIWTVPSAILSILRIDPPICMYFKPSLAS >KQK97336 pep chromosome:Setaria_italica_v2.0:VII:20649964:20652674:-1 gene:SETIT_010524mg transcript:KQK97336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSQGFGPGSPKSFRYPRGVDFDLESGVSRKGRKPKNPHLEASIVMRIRYFYEAHPVAVALILLSFGLSVLILLSVYETRFRTMRSGGAWSSEVGEYPFPNLRNLVMVAGHSIYTSASCGKTDREDSWFLEPYQKHPGQAATFLAHIKEGVDIAARDEGALLLFSGGETRKDAGPRSEAQSYWAIAESKGWFGNDESVRSRALTEEHARDSFENLLFSVCRFRELTGRYPQNITVVSYDFKEERFAQLHRTALGFPEGRFFFTGTPATPAAREAALKGEAAVRAQFLEDPYGCLGSLHVKRLKRDPFHRTIPYPDGCPELKGLFSYCGPVPFSGHLPWTE >KQK98015 pep chromosome:Setaria_italica_v2.0:VII:24503119:24508352:1 gene:SETIT_009945mg transcript:KQK98015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHLLLPHRLLSSSPAMARASVSGSAAAAKALLPLNPARGARLPSLLPTARRLPVPGAGRVFRGASLRCYAAAAAVVAEQGRIKVQNPIVEMDGDEMTRVIWSMIKDKLIFPYLELDVKYYDLGILNRDATNDEVTVESAEATLKYNVAVKCATITPDETRVKEFKLKSMWRSPNGTIRNILNGTVFREPILCKNIPRILSGWKKPICIGRHAFGDQYRATDMIINGPGKLKMVFVPDGAEPVELDVYDFKGPGVALSMYNVDESIRAFAESSMAMALSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEENWKEKFEENSIWYEHRLIDDMVAYAVKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHEKGQETSTNSIASIFAWTRGLEHRAKLDKNDRLLDFTQKLESACIETVESGKMTKDLALLIHGPKVTREFYLSTEEFINAVAQQLRGKIQEPAAVQS >KQK96338 pep chromosome:Setaria_italica_v2.0:VII:3824292:3825554:1 gene:SETIT_010391mg transcript:KQK96338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTAAMYNNYGNPPGMQMPQQNSQPGQFNNPLYGASSGLIRSGLGVYGEKFLGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKVILFPFFHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFTRALIGWAFQLVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVARLIWAYSYYVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSSRQHYFLLFMAIAQFPLFFWLGSIGA >KQK96337 pep chromosome:Setaria_italica_v2.0:VII:3822422:3825554:1 gene:SETIT_010391mg transcript:KQK96337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIRSLGRSRESKNPREWRRMRRNSRVGRKVAVYVGRLGARLAGTKPRRHVRAFSFNREEGKNPHRSHRLPTRLRRPRAVASSPRSPDPSALHRGAAPPPLHPTMYNNYGNPPGMQMPQQNSQPGQFNNPLYGASSGLIRSGLGVYGEKFLGSSSEFMQSNINRYFSNPQYYFHVNDQYVRNKLKVILFPFFHRGHWTRISEPVGGRLSYKPPIYDINAPDLYIPFMAFGSFIILAGFTLGFMGKFTPEAINLQFTRALIGWAFQLVILKGLLYSMGGGEVPLLDLVAYGGYLFAGLSLAVVARLIWAYSYYVMMPWMSLCMGVFLVRTMKRVLFTEMRSSERHSSRQHYFLLFMAIAQFPLFFWLGSIGA >KQK98216 pep chromosome:Setaria_italica_v2.0:VII:25703429:25704637:1 gene:SETIT_012957mg transcript:KQK98216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEHTHLQEQFLQAQVSNTITKQSPKLTLLSNPRNKGRTKHFRIGGTPPAANQSLPNHECLSHLSEEGGRIGESVLERKVGI >KQK97688 pep chromosome:Setaria_italica_v2.0:VII:22667741:22670197:1 gene:SETIT_009368mg transcript:KQK97688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYPNVFRAQAPPVRVEDHKAAKDAAAPVPQAREQWPAGASRSASPHGAGAGWLGGLGSGERLASAYDLVETMHYLYVRVVKARGLPASAVTGGCCPYVELRVGSHRGATRHLEGKANPEWNQVFAFSRDRVQAMALEVLVRDREGCVGRVAFDIAEAPMRVPPDSPLAPQWYRLEGAGGKMAASGEVMLAVWVGTQADEVFADAWHTDAAPVRGGNGAAAVQSTRGKVYVTPKLWYLRVSVLEAQDVVPLGAGGVADKGRHAEVFAKVQVGGVVLRTRPCIARSPTSLAWNEELVFAVAEPFDDPAVLIIEARAHPGKDEIIGRAVLPLTVFEKRMDRRQVQALWFSLEPFGRPVRPPPEAVFAGRVQLRACIEGAYHVMEEPTMYASDTRPTARQLWRPPVGVLEVGVLGAQGLTPAKTVHGRGVTDAYCVAKYGHKWVRTRTVVDSCSPRWNEQYTWEVYDPCTVLTLAVFDNCHLGSDATAAGAGAVRDQRIGKVRIRLSTLEMDRVYTNAHPLVTLHASGLRKNGELCLAVRLTCLSLGSVVHLYGQPFLPKMHYAHPFTVQQLDSLRRQAAGIVAARLSRAEPPLRREVVEYMLDADSHAWSIRRSKANFLRATALLSGAAGAARWLADVCRWRNPATTVLTHVLFVTLACFPELILPTVFLYMSAAGLWNYRRRPRRPPHMDARLSCAEAAHPDELDEELDTFPTSRPNAVVRVRYDRLRSVAGRIQAVVGDVATQGERVRSLLAWRDPRATAMFTAFCLVAAVVFYVTPIRVVALVAGLYVLRHPRFRSSMPSAAGNFFKRLPSRADTML >KQK98808 pep chromosome:Setaria_italica_v2.0:VII:29313126:29313653:1 gene:SETIT_011566mg transcript:KQK98808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDALQGACGDRDRDRGATEELGWAASLQHSRRGSALNRWRRLACIVHGLGCGSRSASTLCSGGAN >KQK97375 pep chromosome:Setaria_italica_v2.0:VII:20903438:20903770:-1 gene:SETIT_012756mg transcript:KQK97375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERPLRHLALLLGLLAWAAAAATGAAAQPACEPSNLATQITLFCMPDMPTAPCCEPVVASVDLGGGVPCLCRVAAQPQLVLARLNASHLLALYTACGGLRTGGAHLAAACQ >KQK99654 pep chromosome:Setaria_italica_v2.0:VII:34077070:34077776:1 gene:SETIT_012359mg transcript:KQK99654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVARVHLAMALAALPALLPTPPRSKMLALLPTPHRRASYRADAVERWDARKNAIQPPSKPGRADAVERWDARKTRPASPASSVSSQRSTDSIRSSPGRASSSERWDADKKTSRPSSSSSSSSNRPSSRASSCASHWGGGSRASSSAKRWDAHKKPRPPASEELDDGASSTGSNYVELDTPRAQRALYAGPGFLSASPEPSMLPMPSSLMLRVAAGTNRVASCIT >KQK98400 pep chromosome:Setaria_italica_v2.0:VII:26755994:26759484:1 gene:SETIT_010114mg transcript:KQK98400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKVEDALQKGTDFSNQGSILEEDPEYQLIVDCNALSVDIENEIIIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLSEENLEKTVEACDRALTLDTAKKKVLDFVESRMGYIAPNLSAIVGSAVASKLMGTAGGLGALAKMPACNVQLLGAKRKNLAGFSTATSQFRVGYLEQTEVFQSTPPALRTRACRLIAAKSTLAARIDSIRGDPTGKAGRNLLEEIRKKIEKWQEPPPAKLPKPLPVPDSEPKKKRGGRRLRKMKERYAQTDMMKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSAGQSKLAAKVTKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQAQGNPLGGGTQSTYFSETGTFSKIRRTQWKLISPLQPSYLICTALVEILGMRC >KQK96999 pep chromosome:Setaria_italica_v2.0:VII:18126182:18130678:1 gene:SETIT_009970mg transcript:KQK96999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFPCFGSGQEDDELGYYGGNGGAAGWAAAAASSSAAAAAAAAVGGGAEAAVAAPPRAERSPTGSNKSKAKGNGSKKELAVLKDANGNVISAQTFTFRQLAAATKNFRDECFIGEGGFGRVYKGRLDGTGQVVAIKQLNRDGNQGNKEFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPLGSLEDHLHDLPPGKEALDWNTRMKIAAGAAKGLEYLHDKADPPVIYRDFKSSNILLGEGFHAKLSDFGLAKLGPVGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVLLELITGRKAIDSTRPAAEQNLVSWARPLFNDRRKLPKMADPGLEGRFPTRGLYQALAVASMCIQSEAASRPLIADVVTALSYLANQIYDPNTASTSKKGGGDQRSRVSDSGRALSRNDDTGSSGHRSPSKDLDDSPRERHATGTAHKGERERMVAEAKMWGENWREKQRAAQGSLDSPTGGG >KQK96625 pep chromosome:Setaria_italica_v2.0:VII:13163542:13168603:1 gene:SETIT_009659mg transcript:KQK96625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQSLLLLLPSCLPPPPPLARFAPRPLSSPSLTALQFPRLAAKLSPPALAASSPPPGGFRGSGDAGGSDGGGGGDGRGGMDPPDPGDGWWRRWLQALHPEFLLLFLLLQSGAASALAEALGATGDGAGGVWEVRGGARTRLVPDPTWTSYLIAGDDGSKREEGDGKGGGSRVDVAALRRQLERSWRRCADVAVQLLLPDGYPHSVSSDYLNYSLWRAVQGVASQISGVLSTQALLYAVGLGKGAIPTAAAVNWVLKDGLGYLSKIMLSKFGRHFDVNPKGWRLFADLLENTAYGLEILTPVFPHLFVPIGAAAGAGRSAAALIQAATRSCFYAGFAVQRNFAEVIAKGEAQGMVSKFVGIGLGIVLANHIHSSVPLALISFAGVTAVHMYCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQIPSVKEVNDEEPLFFNLSLGASRKECKILSAEAKDAADQICQRLQLGSKLSEIIESKEDACALFDLYKNEQYLLTNYKGKFCIVLKEGSSPEDMLKSLFHVSYLYWLERFMGFRPSNIVSECRPGGRLEVSLDYAQREFSHVKHDGSVGGWVMDGLIARPLPVRIQVGDVTP >KQK97904 pep chromosome:Setaria_italica_v2.0:VII:23866445:23871992:-1 gene:SETIT_009496mg transcript:KQK97904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAIIRRRKDILQHTNVPILSSASTSTTGQGKFGCEVDQRYECLFSEDSLAHSNHAKVQHTLSKQNLYGLSSGFTCRPTFGVSPPGYGSRAQNFVFPLGVRCFLQSVRTTSNTTGQPQVSIMGKQSEDDKEKQQKKEASPEECDQAVEGLRTAKAKAKAKLEEVQKTDQSIIQKFWAKLLDVRISSRLLVKLASGKSLTRRERQQLTRTTADLFRLVPFAVFIIVPFMELLLPVFLKLFPNMLPSTFQDKMKEEEALKRKLKARMECAKFLQDTAKEMAKEVQTSRSGEMKQTAEDLDEFLNKVRKGGHVSNEEILNFAKLFNDELTLDNMSRPRLVNMCKYMGIQPFGTDHYLRFMLRKKLQDIKNDDKMIQAEGVESLSEYELRQACRERGHLGLLSTEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGRMKPEEAVVATLSSLPDEVVDTVGTVLPSEDSVSERKRKLEFLEMQEELIKEEEKKKEKEEKAKLEEPKAIEEDLALKEMTEPTAREEEELKKAKMHDKKEQLCNISQALAVLASASSVTKERQEFLSLVNKEIELYNNMLAKEGTDGEEEARRAYRAAREESDHAAEAAVGEKVSSALVDRVDAMLQKLEKEIDDVDARIGNRWQLLDRDRDGKVTPEEVTAAANYLKDTIGTEGVQELISNLSKDNEGNILVEDIVKLASQTEENNDHEEAPR >KQK96575 pep chromosome:Setaria_italica_v2.0:VII:12115921:12116884:1 gene:SETIT_012282mg transcript:KQK96575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRANWDDNTTKIFLDLCIDEKNKLNYNKRGLTKVGWHNLYTNFKQQTGRKYSCKQLQNKFNAFKRQYKDWRKLKDKSGTGWNNSTRTIDCDDEWWAARIEENEANKHFRGKAFPFYDELTTLFGTTDTEGGPMLCVGGIGDRTPSYGSEDTPDPMADENVDWLEDTVGRSSVGRVSQRSGKEHVVDSPSPKRTKSMEYYVERISESMIQRTMTERNLISREEEEVTEMLHLVEQDGVPNGSELYFIATELFRSPARRASYRSITASENRIAWLRWTWDNVKRK >KQK96496 pep chromosome:Setaria_italica_v2.0:VII:9865063:9865506:-1 gene:SETIT_011630mg transcript:KQK96496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHLSTFGRIDVFMMSLCLQCIPGPSSNSKWVSGGGINSPKGSKSRCSNG >KQK99765 pep chromosome:Setaria_italica_v2.0:VII:34639624:34646364:1 gene:SETIT_009572mg transcript:KQK99765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFMEASSATIFCLLLLSCLGSRCLASELVATQVATLKVDASPQSARKIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIDPWSIIGDDSSVFVATDRTSCFSRNIVALRMEVLCDDCPTGGVGIYNPGFWGMNIEDGKAYNLVMYVKSAETTDLAVSLTSSDGLQNLASAAITVSGTSNWTKVEQKLVAKGTNRTSRLQITTNKKGVVWFDQVSLMPEDTYKGHGFRTELISMLSDLKPRFLRFPGGCFVEGDWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEFLQLAEDLDAAPIWVFNNGVSHNDEVDTAAIAPFVKDVLDSLEFARGSANSTWGSVRAAMGHPEPFPVKYVAIGNEDCGKKFYRGNYLKFYNAIRQAYPDIQMISNCDGSSRPLDHPADLYDFHVYTDSKTLFNMRNTFDRTSRSGPKAFVSEYAVWRSDAGRGSLLASLAEAAFLTGLEMNSDIVHMASYAPLFVNDNDRTWNPDAIVFNSWQHYGTPSYWMQTLFRESSGAMIHPITVSSSYSGSLAASAITWQDSENSFLRVKVVNFGSDAVSLTISTSGLQASVNALGSTSTVLTSGNVMDENSFSNPTKVAPVKSELSNAAEEMQVTLAPHSFSAFDLALAQSKLVAEM >KQK99727 pep chromosome:Setaria_italica_v2.0:VII:34410328:34413586:-1 gene:SETIT_009651mg transcript:KQK99727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRALDPDNASKIMGLFLIQDNSDKELIRLAFGPDHLLHAFVATARAELAAKPASPPSPVLGSPWGGVPSPGGGDHQSPFAADQVGSDSGDAFYPEGEYDCWSPASGGHRRSFSLSDAEVGAWRPCMYFARGYCKNGSSCRFLHGLPEDDAAGAAEREMAVMRAKALAAARSQQLTASAFPFSPSPPKGVSLNFLLQQQQQHEHQRAAAAGILLGGEDMHRFPVRSPRMDRGELIGSPAARQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYAETVKIILNKGNPHFVCNARVLVKPYKEKGKVPDRFRKLQHAHHGDFVGCTSPTGLLDSRDPFDLQQPQIGPRMMYGNIANHEAFLRRKLEEQQQAAELQQAIELEGRRFVRLQLLDLKSRGHHLGSPVPLGQADGKGSINGNGNAVHMEDVTIQDSKMNSTILAMSAPAAAAVSATDAEGRHEEQQEEDGDASPKQVVNPGEEKRESGPVTATPNVACAFQESGVVEHILPESPFASPTKAFTDTSTTGQNGNISNSNPHHVASSLFPPTSTLELPPYNSCFFQVPRFAPGHEAIGL >KQK99726 pep chromosome:Setaria_italica_v2.0:VII:34409423:34413586:-1 gene:SETIT_009651mg transcript:KQK99726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRALDPDNASKIMGLFLIQDNSDKELIRLAFGPDHLLHAFVATARAELAAKPASPPSPVLGSPWGGVPSPGGGDHQSPFAADQVGSDSGDAFYPEGEYDCWSPASGGHRRSFSLSDAEVGAWRPCMYFARGYCKNGSSCRFLHGLPEDDAAGAAEREMAVMRAKALAAARSQQLTASAFPFSPSPPKGVSLNFLLQQQQQHEHQRAAAAGILLGGEDMHRFPVRSPRMDRGELIGSPAARQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYAETVKIILNKGNPHFVCNARVLVKPYKEKGKVPDRKLQHAHHGDFVGCTSPTGLLDSRDPFDLQQPQIGPRMMYGNIANHEAFLRRKLEEQQQAAELQQAIELEGRRFVRLQLLDLKSRGHHLGSPVPLGQADGKGSINGNGNAVHMEDVTIQDSKMNSTILAMSAPAAAAVSATDAEGRHEEQQEEDGDASPKQVVNPGEEKRESGPVTATPNVACAFQESGVVEHILPESPFASPTKAFTDTSTTGQNGNISNSNPHHVASSLFPPTSTLELPPYNSCFFQVPRFAPGHEAIGL >KQK99539 pep chromosome:Setaria_italica_v2.0:VII:33403483:33404759:-1 gene:SETIT_010259mg transcript:KQK99539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPLSPSEQERHRETASPVPNAPPPPPQGSGPSKTQRPAVATAMMPGGGGTERAREGRLAGAVSWMAALLLAAVVAGRDAAVPRKKRGPRVRAETSRWWSFRLPVPPPPATPRAGTCGDCGVTKTSQWRTGPMGPRTLCNTCGRRRWAAGEQWGEPRRRRRATTPTTVSDQPPPPPPDGPVWEGPLPEGYRTARRNAAKGSSPSPAPATATTDSDKPAPQNKKKNKAAAAAASEKQCVHCGSSETPQWREGPEGPATLCNACGLRYRQRRLLPEYRPQASPTFDKENHASMHSEVLELRQQSKNKQKQQQPPALAQPQPMDDTQQDVDHLMPPPLPRCVANDLRVGATDGDSANKASGSGAAVASTDPVGEASSLDPFLLDGPAAPMVVDEPCWMIAGSSTP >KQK96714 pep chromosome:Setaria_italica_v2.0:VII:14481315:14487589:1 gene:SETIT_010555mg transcript:KQK96714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPLDPANKLTLQRRIAEGDTVVVYERHDAMRAVAVRAGGVLQNRFGVFRHDDWIGLPFGSKVFSASGGGGGRGGGGKGGGGGKAGGGFVHLLAPTPELWTLVLSHRTQILYIADISLVVAYLELVPGCVVLESGTGSGSLTTSLARAVAPHGRVHTFDFHEQRAASAREDFKRNGLSSLITVNVRDIQGEGFPEEHRAATDAVFLDLPQPWLAIPSVGSMLKQDGILCSFSPCIEQVQRACEAMRSCFTDIRTFEILLRTYEVRDGALKSGTADESNVGPPQKRKRSTASGENADHAQKNNSILVRPCSTAKGHTGYLTFARLRVSDDQTES >KQK96381 pep chromosome:Setaria_italica_v2.0:VII:4922335:4927101:-1 gene:SETIT_011817mg transcript:KQK96381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEAVEFFDGPPTFMDLVDRAMRKYGCRVDEMTLKGRFDCGRASAHYVLMNLASDSNWKHYKDAVHEANVACLEVVVEIVRMPGPNVVLRDEVAVVNHKGTQESEILQHVLGETESAFDLAIANDDFSNDNFKRDEANIDDDDISIGSKDSEFKDDGVEDVEGEEESPSQSDGHENENEESQYEEDGPQFNTTTMHDVETIGRMDECFSYTQNELRLLKECDVELPSVPNDKDISMIHKAICESSMVNSEGIPFSESLVELKFFLADYAVRLHRPFSVVHSEKNLRYNCTAKYLGRRILGIILKDSETSVPSLLESIFSFSGYRVRYSKAWRAKQHAVALLWGDWKESYGMVPRVLIVVVYYNPGVKWFIDSCGMMHPDNGVLKHMLQRVFWYFPQCSVACQHCRPVILMDGTFLTGKYKGTLLMAVGVDPEQQLVPLAFALAESENNESWSWFMKLVQRHVLGPSRQVCIISDRHYGLLNCVNDHMDGFPPLVHRWCTRHFATNMMKWRIRTNGCKLSMREGCVGGIMTMNYWESLNTVFKGIRSRPISGIIEYSFKKCNAYFVDRWQKARAMLDEGHRTGKVADKFISEAKLRFVHHLPEPYEKERMVYSVRGSGTTNVGGESHRGRHYRVDLNEVSCTYNVLQLLHLPSHYLQRARFLDIAVQVVAGLPPMDEPLLTTMVDRWCLETHTFHLPFGEMTITMQDVVMILGLPLEGHPHAQPVHGNPVRRYRAYTNELDVVTQHQFGRIQTCPPLELSTLQQLHRIDRWKRYKENDWRSKHASYLTYLRLFHPFL >KQK96866 pep chromosome:Setaria_italica_v2.0:VII:16716497:16718177:-1 gene:SETIT_011339mg transcript:KQK96866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARIKVHELRGRNKAELQGQLKELKSELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >KQK96867 pep chromosome:Setaria_italica_v2.0:VII:16716282:16718336:-1 gene:SETIT_011339mg transcript:KQK96867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKVHELRGRNKAELQGQLKELKSELSLLRVAKVTGGAPNKLSKIKVVRTSIARVLTVISQKQKAALREAYKKKKLLPLDLRPKKTRAIRRRLTKHQLSLKTEREKKREKYFPMRKYAIKA >KQK97703 pep chromosome:Setaria_italica_v2.0:VII:22764344:22766019:-1 gene:SETIT_010700mg transcript:KQK97703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEVPVIDLRLAGSASEESARLRAACERQGCFRVTGHGVPRGLLAEMKAAVRALFDLPDDAKRRNTDVIPGSGYVAPSATNPLYEAFGLIDAAAPADVDAFCACLDAPPHLRATVKSYAERMHDVIVDVAGKLASSLGLEEHSFGDWPCQFRINRYNYTEDTVGSPGVQTHTDSGFLTVLQEDECVGGLEVLDPATGEFVPVDPVAGSFLINIGDVGTAWSNGRLHNVRHRVQCVAPVPRFSIAMFLLAPKDDRVSAPEAFVDADHPRRYREFKYDDYRRLRLSTGERAGEALARLAV >KQK97702 pep chromosome:Setaria_italica_v2.0:VII:22764963:22766019:-1 gene:SETIT_010700mg transcript:KQK97702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAEVPVIDLRLAGSASEESARLRAACERQGCFRVTGHGVPRGLLAEMKAAVRALFDLPDDAKRRNTDVIPGSGYVAPSATNPLYEAFGLIDAAAPADVDAFCACLDAPPHLRATVKSYAERMHDVIVDVAGKLASSLGLEEHSFGDWPCQFRINRYNYTEDTVGSPGVQTHTDSGFLTVLQEDECVGGLEVLDPATGEFVPVDPVAGSFLINIGDVGTV >KQK97603 pep chromosome:Setaria_italica_v2.0:VII:22114814:22116510:-1 gene:SETIT_010798mg transcript:KQK97603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSHLAAAPSAATAAARARSPAPSHAPAFARVPATPRLASGVLSARGGRAASPVAFAAVAAPVADLNGRPATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVFYDEELGKALFNGLPKYDLISEPLSQPNCILFVMCIVLHFTDAHSLL >KQK97602 pep chromosome:Setaria_italica_v2.0:VII:22113849:22116510:-1 gene:SETIT_010798mg transcript:KQK97602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSHLAAAPSAATAAARARSPAPSHAPAFARVPATPRLASGVLSARGGRAASPVAFAAVAAPVADLNGRPATEKQPIIVIDNYDSFTYNLCQYMGELGLNFEVYRNDELTIEDVKRKNPRGILISPGPGEPQDSGISLQTVLELGPTIPIFGVCMGLQCIGEAFGGKIIRAPSGVMHGKSSPVFYDEELGKALFNGLPNPFTAARYHSLVIEEETFPHDALEATAWTEDGLIMAARHKKYKHIQGVQFHPESIITPEGKKIILNFVKFIEELEKQRS >KQK99847 pep chromosome:Setaria_italica_v2.0:VII:35033520:35034552:1 gene:SETIT_011173mg transcript:KQK99847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGSVLITAAHVMHEERASVNDAAHAGTRPNCRPKDRTASIPSPVSSQGDATADLDRTMETARRVLSELHRQGLRDAAQEAQDLGLEDAYRNGRFGAVPASSEAIACLRETAAAETREDGCAVCFQSYEEGDRIRTMPCAHGFHESCIIRWLGISRLCPLCRFALQAEAGTD >KQK99625 pep chromosome:Setaria_italica_v2.0:VII:33870674:33874744:1 gene:SETIT_010187mg transcript:KQK99625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNSQASPASASSSRFATASRAFSKQELDSLRTLFSSLAAQSQTSGRAISRPVFLEYYGVRGSLGERLFQLVAKESGGSDGVTFEDLIISKATYGRGTRDEVDEFIYQLCDVTGDGVLTRSDLESVLASIHETIFEENKEVGEGSNNRPFEAFLNSAVFSKDAEAVSEKSMSLSDFRNWCTLLPSLRKFLGNLLMPPDSGRPGFEVPLLHYPENISTDLLLLNKEYAWHIGGGFSQHEVQEWKLLYHSSLHGQSFNTFLGKVTNGDAQTVLIVKDTEGSVYGGYASQPWERHSDFYGDMKTFLFKLYPQASIFRPTGANKNLQWCAINFSSENIPNGIGFGGQPHHFGLFLSANFDQGHSFTCSTFTSPPLSKTNRFRPEVIECWGIQMRGAQDEKPELVKGTVLERFKEDRNMLKMVGLANASD >KQK97681 pep chromosome:Setaria_italica_v2.0:VII:22622920:22629532:1 gene:SETIT_009528mg transcript:KQK97681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGEGRTFNANFTGKGVTLLQDRVKEKLRELMGDYSDDTLAEYVVVLLRNGRCKDEAAKELQVFLGDDNDAFVSWLWDHLSSNLHLYVQPKATTSNDEPKSTHSAGRGLPVRSLTSSVQVNCEPEAEAQKTTIAHQKRDWGRIIREQSEAVPLRSVVANVSHAEEKDFHESHAEERDSHKSHAGRRTRSPDMHNHRKRSREADSRSTKRVSNPVIDAPRRLLQFAVRDAVRTVQPVTPRSESASKRLRSVVSTLASDSALDITHIRLQKTNSDVRIPALRAAAEAAEDAIKGSFSGSVFNRLGRMPTINHTDALREQDPEGEEYENIDNIRAENQVEFYERNQYGGSDAYMHDQESEEATGSAPNIDEYERYNGLGSRQSRLSSSAGKESLVLGYVRGAVEVRGRRLIAQGTHAGSGPSPSGKNLNMSANTSMRKLPTHQTRDAVVSDPQVPMEKKVADARNSNVKITHVNDTVMTDKSKDFIHSGSMLEAQKASSLAAGSNTTGQPEGGPDSRTVFVNNVHFGASKDALTRHFNKFGAVLKTLLVSDGITGQPTGSAYIEFLHKESAEQALTLNGTSFMSRILKVVRKSSTEATQLSGLPRASRGSPFASRLIRTAYPRPTFPGAIRGRLPLRGGARSFQWKRGAADSTDAGKPSQTAPAAPGNQLVTPITRSFTYTRTEPKSNDGAMA >KQK98315 pep chromosome:Setaria_italica_v2.0:VII:26245613:26248776:1 gene:SETIT_010018mg transcript:KQK98315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKGLAHVAIDAVRDRGRGGGGDEDDEAPRRGAPQRADPDADGEEGRDERSRSTWAEVVSEQKDGGPDDGRRDHRNSGRDQRHHERRDEEGWERVGGRNQQHPAGRQNQYDGDDRRDGGCQRPQKQQQAPGYRRQEQEGEGTNDGGWQTVGEKKHHGRPQQSEAWNGYRRPPSEQKYSEDVGQVHQGLNVEPTREELNSLSKACSRLWELDMNRLVPGKDYRIDCGEGKKVYQKGDMASESLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGAVSQDYEDFKRMLASLWFDLYGRGGTSCCSSAFEHVFVGEIKGRGQGESEVAGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESVSI >KQK98314 pep chromosome:Setaria_italica_v2.0:VII:26245713:26248116:1 gene:SETIT_010018mg transcript:KQK98314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKGLAHVAIDAVRDRGRGGGGDEDDEAPRRGAPQRADPDADGEEGRDERSRSTWAEVVSEQKDGGPDDGRRDHRNSGRDQRHHERRDEEGWERVGGRNQQHPAGRQNQYDGDDRRDGGCQRPQKQQQAPGYRRQEQEGEGTNDGGWQTVGEKKHHGRPQQSEAWNGYRRPPSEQKYSEDVGQVHQGLNVEPTREELNSLSKACSRLWELDMNRLVPGKDYRIDCGEGKKVYQKGDMASESLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGAVSQDYEDFKRMLASLWFDLYGRGGTSCCSSAFEHVFVGEIKGRGQGESEVAGFHNWIQASSCNVS >KQK98316 pep chromosome:Setaria_italica_v2.0:VII:26245613:26248776:1 gene:SETIT_010018mg transcript:KQK98316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKGLAHVAIDAVRDRGRGGGGDEDDEAPRRGAPQRADPDADGEEGRDERSRSTWAEVVSEQKDGGPDDGRRDHRNSGRDQRHHERRDEEGWERVGGRNQQHPAGRQNQYDGDDRRDGGCQRPQKQQQAPGYRRQEQEGEGTNDGGWQTVGEKKHHGRPQQSEAWNGYRRPPSEQKYSEDVGQVHQGLNVEPTREELNSLSKACSRLWELDMNRLVPGKDYRIDCGEGKKVYQKGDMASESLFSWLGDDVLRKPTYSRFCALLDNYNPHQGYKEVVTQQDKHEEVAFIEEIARTAPIKYLHRYLVQKGAVSQDYEDFKRMLASLWFDLYGRGGTSCCSSAFEHVFVGEIKGRGQGESEVAGFHNWIQFYLEEAKGNVDYQGYIFPRRRGESPDSETQLLTVQFEWHGVLKSVSSTLIGVSPEFEVALYTLCFFAGGEDNRVDIGPYTVNIKCYRLGNKIGSAFPIAEN >KQK97839 pep chromosome:Setaria_italica_v2.0:VII:23516756:23517051:1 gene:SETIT_012918mg transcript:KQK97839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPAAELFKNPAFGIYLIGEAAYNRVHRPSGDHHH >KQK98387 pep chromosome:Setaria_italica_v2.0:VII:26693256:26693966:1 gene:SETIT_011313mg transcript:KQK98387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVSSPSPAMVEIGLPGAAAELLRGRIIFFPDLEDEPVVFIVEVCGMLLCLSFGFAERFPSLLPFDFGRLKEDMVNLLRLFPSAGCYHWWPGGVDSWVAGSGSAYVGVVRWSILASMDLIHRRGKRR >KQK98240 pep chromosome:Setaria_italica_v2.0:VII:25815763:25818449:1 gene:SETIT_012119mg transcript:KQK98240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAENPHHLGVGGYTAKVAKWRREEKERRRAGLPDMFAGLDERSMNWVLARIPTVTTDNKVKFKHRSTTIIYERLEQLAEVQKKGLFRPDKEKDQLTAAIGTVEHSGCVRAMSSTLPWGKAFPNDQANYRKCKRYKKNLDEKMREIANAGSIANMRYPVDNIQVETPYRLVILYGRKQNKFPEVATGMAVTGHVFPKAPPPEYVWVQVVMVLDESCETDIPIDEGIEVFGDVMNQYILWHHRDIVMNASPETSRPSQEVPLPDSNIDTEQPTLSHVQGANNEEEQAMLSPVQEALNEDDGTSALEGDERVDDLEVNNPTSPSSASPPPKRPVVPHMVSTFEKAPSTDIDKFLNVLKKKASSFGEKSVTRSASRQKEKDQNLNFFASDDVSMDYEHGKPFLYRWDLLEGTWELNKLHGWIMNAMKQGIRAITTHVPTKVFLGVLPYQIMIDFEDLHRLYRQQHLDVNLISFWCLMQWREEELMHGGFKICIINLPKLGKVVVLDSSSYHRDRYKDFIGIIQNVYKLYILKGGCHKQPPSFVLCGYYVCEFIRNNGSNYSKIEDKQIDNICMDMTRFILREICHEDGAFFDKDGVLMVDECTNLRRWA >KQK99637 pep chromosome:Setaria_italica_v2.0:VII:33956584:33962962:-1 gene:SETIT_010247mg transcript:KQK99637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHTRRRKAKATKKKAQIPDTPRQKETPGGRRACWSDSDEPQSKFIMYDDDSGKVIDFKKDGPKYFESVLGDHRSVVSLVASADGGCVVCSGTVVDHEDKQIWILTSATLVRKPDTQFEAYKKEEIKIEVVLHNGDTVEGSLEMCNLHYNIAIVAIEYRDSLGCLPAVQLWDLPLYYSLQPRPVIALGRNVNSKAMVSWGQLVRENSELDCKELMVCLCGISEDFIGGPIMDSENRFLGIVYSFEETAPFLPADIAARCIQYHKKERALPWLRIRVQALHTLDLDVLETICSKFARPPSGLVVDKICDTSTENYGGIEVGDIISKLDGVALYSVAQFTAMFLDKFEVALDTSDAVTLQAVVDRPTDKTTFVAKLNVQQVASNERNKSFENRWMEWKFYGFDKQFY >KQK99648 pep chromosome:Setaria_italica_v2.0:VII:34053509:34053976:-1 gene:SETIT_013077mg transcript:KQK99648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMASCRPVHESREIENGGGGCKMMHACLPPIRFHCVVLQACSSDGIASVFAAGRAMASVFARAPFPPRSARRVVWGSDQMEYPYSPLISSALLLHRRQRVHLRAAGSWQLIDSS >KQK99244 pep chromosome:Setaria_italica_v2.0:VII:31767888:31768183:1 gene:SETIT_011501mg transcript:KQK99244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQEMDDWVDDDDAVEAAEEDNHEGEPAAATAAARVEHQRDGTTTGRLGAFIHALIRLPGQVLARGKRYQFWAVGRRRQWR >KQK98553 pep chromosome:Setaria_italica_v2.0:VII:27903070:27907167:1 gene:SETIT_010466mg transcript:KQK98553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGGPTLQPLLCLAWVAAILPIAAAALPIPAAAGGRLLHQLLCAFSSRGKTVRTASSSSSSSKARFTVPQKFFLHFYVVGVVVTTTLLLAIWFYAYMKMTPLVPEPSSYSTIASHLVVSSNSFSLASFWSSRPREHKYRVWRTVFVLILMEIQVLRRLYETEHVFHYSPSARMHIMGYLTGLFYYVAAPLSLASSCLPEAIQYLRYQIAEFIVKGRARMPDLVIDPSHLLKPLLKLGWTQWIGAVIFIWGSLHQIRCHAILGSLREHKDSDEYVIPCGDWFSRVSCPHYLAELVIYLGMLIASGGSDISVWFLYLFVITNLSFAAVQTHKWYLQKFEDYPRSRYAIIPFVC >KQK99035 pep chromosome:Setaria_italica_v2.0:VII:30596897:30598837:1 gene:SETIT_012354mg transcript:KQK99035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARFCYLRRPLADTASRRRFRVGAGEQPRKCRRRERREAALERGGGARGCGRAGYGQVTWCHVVGTYWLVHEVPEANSSAANRLVKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGL >KQK97150 pep chromosome:Setaria_italica_v2.0:VII:19286446:19288259:1 gene:SETIT_011484mg transcript:KQK97150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSCLILLNVNLTPVGSLESQAAARNLSLSELFSSEGIKKRGYISVYLHAKVTDAKGTAEVWIGLPNNTAFGKLLENRKQWSSSI >KQK98802 pep chromosome:Setaria_italica_v2.0:VII:29248964:29251593:1 gene:SETIT_010932mg transcript:KQK98802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEAAKVAVPESVLRKRKREEQWAADKKEKALAEKKKSIESRKLIFTRAKQYAEEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNQVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >KQK98801 pep chromosome:Setaria_italica_v2.0:VII:29248964:29251593:1 gene:SETIT_010932mg transcript:KQK98801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEAAKVAVPESVLRKRKREEQWAADKKEKALAEKKKSIESRKLIFTRAKQYAEEYDAQEKELVQLKREARLKGGFYVSPEAKLLFVIRIRGINAMHPKTRKILQLLRLRQIFNGVFLKVNKATINMLRRVEPYVAYGYPNLKSVRELIYKRGYGKLNKQRIPLSNNQVIEEGLGKHNIICIEDLVHEIMTVGPHFKEANNFLWPFKLKAPLGGLKKKRNHYVEGGDAGNRENYINELIKRMN >KQK99512 pep chromosome:Setaria_italica_v2.0:VII:33229729:33234618:-1 gene:SETIT_009760mg transcript:KQK99512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAASAAPPSRRTRSRPPSASSRKSDDPSAAAANGNGNGKAASKPASPHQLTGERTVKKLRLSKALTIPEGTTVSDACRRMAARRVDAVLLTDAQGLLSGIVTDKDIATRVIAEGLRVEQTIMSKIMTRNPTYVMSDSLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAIARLEKAAEQGSAIAAAVEGVERQLGGNFSAPSALIETLRERMFKPSLSTIITENTKVAIVSPTDPVCVAAQKMREFRVNSVVVATGNTLQGIFTSKDVLMRVVAQNISPELTLVEKVMTANPDCATLDTTILDALHIMHDGKFLHIPVIDRDGQIAACLDVLQLTHATIQLVEGGNGTVNDVANSVMQRFWDSALALEPPDEEFDSHSEVSLLLPSEAGDGKSSVYPPVIGNSFSFKLQDRKGRVHRFTCGSESLDELVSSIRQRLSITDEKETLQLLYEDDEGDRVLLTTDADLAGAVLHAKSSGLKVLKLHIEDPGLNTEVTKPSQQLAPPPSRSGISPVHVGLMAGAVALSGAAVMVYLKRAQL >KQK96395 pep chromosome:Setaria_italica_v2.0:VII:5268823:5279886:1 gene:SETIT_009644mg transcript:KQK96395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQIPNLDNAPLNLAALREQSQKDLLGILKSIRGKKCLVIDPKLAGTLSLIVQTSLLKEYGAELRILSSDPLQTECPKIVYLVRSQLSLMKFIASQIRNDESKGLQREYFLYFVPRRIVACEKILEEEKVHQKLTLGEYPLYLVPLDDDVLSFELDHSLQECLIEGDTSSIWHVAKAIHKLEFAFGVIPNVRAKGVASTKAAELLNNMQLEDPVNMDDMGIPEINTVILLDREVDMVTPMCSQLTYEGLLDEMLQINNGSVEVDASIMGTQQDGKKVKVPLNSSDKLYKEIRDLNFEVVVQVLRQKATSIQQDYAEVKSTNTQSVSELKDFVRRLHSLPEIARHVHLAQHLQSFTGKPSFHARLDIEQTILEVQNFEICFEYVEEMIHKQEPIENVLRLLVLLSLTNAGLPKKNFDYLRREMLHSYGFEHMNLLYNLEKAGLFKKQESRSNWVGITRALQLIVDVNDTANPSDISYIFSGYAPLSIRLVQHAVRSGWRSIEELLKLLPGPHLDLKRGGLTIDSSLEVHPGSGAQQSIDRVGHRSLVLVVFIGGVTFAEIAALRFLSAQEGMGYDFLVATTKVVNGNTILRPIIASSKSGMM >KQK98722 pep chromosome:Setaria_italica_v2.0:VII:28832035:28834904:1 gene:SETIT_011735mg transcript:KQK98722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDYFLQRMEGDQAGGDLTDIVRAGGAMPGSAEVPSTAAEWQLQGEPTMLFPPPPSSSDGCAGGAGGGADVFGDPFAGLGDPFSSDYASGADFLDAMPDAMAKVGFDTAVGGSGGGGGGGGQLMDMSRKPFLPRGLQQMPAVGVLAPRVLPSPLSPRAIRPYPALAGDMVKLGITAGQVAGCAIDAAVVGMQMSSPRAAGGIKRRKNQARKVVCIPAPTAAGGRPTGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRNDPNMLVITYTSEHNHPWPTQRNALAGSTRNHHGKNSSGSSSGSKSSQNEKQQQPNVKEEPKDPATTTTTSTITTTTSTSPVAAVKEEALAGSSEALGRVMDAAVVDHNIELMDQVFSESYKPMIPEAGHSDDFFSDLAELESDPMSLIFSKEYMEAKPSGGGDRAQEKAITKDLDPFDMLDWSTTSSAASSFEQGKRG >KQK96720 pep chromosome:Setaria_italica_v2.0:VII:14561259:14563704:-1 gene:SETIT_012673mg transcript:KQK96720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFEGPPSFTNLVDRAMRKYGCRVDEMSLRGRFDCGKARAHYVLMNLASNSNWKHYKDVVHEANVACLEVIVEIVRSEFEEDGVVGVEAEEESLSQSGGHENEDEEIEYEEDGSQFDTATVHDVEGIGRMDECFSYTQNELQLLKEHDVELPPVPNDKDISMVHKAICESSMAMKFNSLEELKFFLVDYAVRLHRPFSVVHSNKNLRYNVMCKQGCHWRVWSRVISSTGQWRISNVVQLHTCRSSQPKRVHVQCTIKYLGWRILGIIRKDSETSVPSLVESIFAFSGYRVKYSKAWWAKQHAVALLWGDRKESYGMVPRVLIAIAYYNPEAILVFPQCGEAFQHCHPVILVDGTFLTTKYKGTLIIAVGVDPEQQLVPLSFALAEMCMISDRHHGLLNCAKDHMDGFPLLVHRWCTRHFAANMSRRQKSDRVIGKLKTLCKVHVEREFSEKLEDLVKDLNDDAKEWLKGEIDDKDKWAQAFKIGAADHPCRARRLARGSPSHPLKPTGSYVQLARQLTTAAPGGVARQPPSTCPKPTESSIQLAWQLTTNAPGGVARQPSHHHSLN >KQK96757 pep chromosome:Setaria_italica_v2.0:VII:15099347:15101437:-1 gene:SETIT_011181mg transcript:KQK96757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGIQAAAAAAKGKGVDGEAAVTLEELRKRMADFAKERDWDQFHSPRNLLLAMVGEVGELSEIFQWRGEVPRGLPGWEARETEHLGEELADVLLYLVRLSDMCGVDLGKAALRKIGLNAIKHPVGKRHNTSSKKFARCSGSNGNAGSTTGDDKNEAVKEGDKEGALIG >KQK97637 pep chromosome:Setaria_italica_v2.0:VII:22325551:22329882:-1 gene:SETIT_009979mg transcript:KQK97637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAAVRERKRPREGDAAPSAAVAAAAGEAQYVYLPIVDALKAPGARVCLFAAVSEIGATVRSRGTDFTLTMRIADQSRPAGISVTFFADNTALLPCVKSSGDVISLHNVVITMHGEFFVTFNKKFSSFALFEGKVSTESSPYQTSMKYHGSKYDNELLTQMRMWLAYNPPGMKELELQLRSLKSETTFDLVCKVLHVHESNGEWTFYVWDGTDTPAAEFQAILDAEAVESSPLHLEGTPLPREVLCTMPCVGTVLRIFANRFLKELFHLQKNIYWARFCNITCKQEFGIWKGILAPSSRIRLLSHEDGSVVDRLKMYDRRIANQVHRQPMASLPEASNVADVEYETAGYSTLMESLTHEQVTHKFKTLVRVVAAYPCRASELRLLLTGSYFLRLTLEDPTARIHAYVHKDDGAKFFGGFLTAEALIKKMNKLLGIPEEDEEGAPLTRNPPWIWCCLKSYRLDKNDPWGSRRYRVFGTEIRD >KQK96904 pep chromosome:Setaria_italica_v2.0:VII:17310528:17314945:1 gene:SETIT_010576mg transcript:KQK96904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATLAAPPFLPSSPPAPARTWTRRRMKTRPFLRAACAYALQEGQSRRFHRLPCGLDLEVIAQPPPAPGERPPLVFVHGSFHAAWCWAEHWLPFFSRAGFPCYALSLRAQGESSVPQEAVAGTLETHTGDIADFIQKEIPSPPVLIGHSFGGLIVQQYISCLQGSELHPKLAGAVLVCSVPPSGNSGLVWRYLLTKPVAAVKVTLSLAAKAYAKSLPLCKETFFSPQMDDELVLRYQALMKESSKLPLFDLRKLNAALPVPSVPDNTTEILVMGASNDFIVDSEGLSETSRFYGVQPVCVEGVAHDMMLDCSWEKGAEIILTWLEKLTP >KQK97167 pep chromosome:Setaria_italica_v2.0:VII:19373426:19374078:1 gene:SETIT_011419mg transcript:KQK97167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLNAKLYLQNCYIMKENERLRKKALLLNQENQALLTELKQRLAKTAAVAANNNNNGSAKANGNAAAAGNRALIPDLNAAAAGAHGGHEKKAAPKPKKAVPN >KQK96864 pep chromosome:Setaria_italica_v2.0:VII:16704527:16705387:-1 gene:SETIT_011959mg transcript:KQK96864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKRRRKKNAMAPRQAKRTKAAMMPSVLPLPDGLVDAVFLRLPARTVAACRCVSPAWNHRLSSPAFTEVFHAFSAAAARGAPTFVSVPVDPREHDRTIVATRSPPGPSPCANPFTGGVLRLPPRRPEWLLHSAGLAYDAGAGAHKAVLLLIAWLARLRLIADESTTT >KQK99569 pep chromosome:Setaria_italica_v2.0:VII:33556217:33558238:1 gene:SETIT_011931mg transcript:KQK99569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSQAPYKCSSFSQATTRDDGGRTREVDRNFSLGALRDKRDVHHGGTREQAIKEEDEQEDGEGTAGHGENGGDGAGSAGGEPDLAALSAEIDAFISGQDGDAPVTVSEATLEKFASAVELVIARSEGAEDKWAAEASGEPSPLLAPITRIAALASALGKSPEGGGSKHTAAVHRVTGVLHRAMAFLEDEFHALLEDPRVPKAANEQGAHEPDRCVLRPPPSDASAGPGKEAAPPYPPETVERLRAMADAMITAGYSTECMQMFLVARRNAFDAALQGLGYEKSNIDDVVKMTWEALEAEIVTWTKAFRHAINVGLSTEHDLCTRVFAGRHAAAGRGIFADLARCVMLHMLSFTEAVAMTKRAAEKLSKVLDMYEAVRDASPVVEAFLAADEPTNNSALTELKSEIASVRSRLSESAAAIFRELQGSIRADAGKQPVPGGAVHPLTRYVMNYLKYACDYNSTLEQVFRDGGGGGGDNPFASQLMEVMELLHGNLEAKSRLYKDPSLSNIFLMNNGRYMLQKIRGSSEINAMLGEAWARKQSTNLRQYHKNYQRETWSRVLGLLRDDGVLTVKGHVQKPVLKERFKQFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVVVPAYRSFLGRFSQHFSAGRQTEKYVKLSAEDVETIIDELFDGNATSMVRRRT >KQK97126 pep chromosome:Setaria_italica_v2.0:VII:19211762:19215968:1 gene:SETIT_009787mg transcript:KQK97126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFGLLGDDAHQPAAPPPQAPTTAQQPAPPPQPAQAFCFADAAVAPGAGAGAGSFAQVPEESNHHAERGKAAHHAKRTRERADEFSSDGGEYCSYINSGGSGGGGKKGRGGGSSGASDYRKDREEWTDGAISSLLDAYTDRFEQLNRGNLRGRDWEDVAGAVTDGQGKTTGGKSVEQCKNKIDNLKKRYKVECQRLASSSGGAVSHWPWFKKMEQIVGNSASPASSKPLATAEDEKPSQQQQQQHGSKRYPLSSAGPITVVGSSRVNPLSNPRWKRVLLKIGGTALAGPAPQNVDPKIIMLIAREVQVACHHGVEVAIVVGGRNIFCGDNWVAATGTDRASTYPIGMMASVMNSVLLQASLEKIGVETRVQTALMIQEVAEPYVRRRAIRHLEKGRVVIFGGIGAGIGNPLFTTDTAAALRASEISADVVLKGIVGDEEYGCPPRSNNNAPFEHISFREFAARGFSRMDMTAVTCCEENNIPELQDMSILWGTSCILCLHGIFWIGEVKHVHQTEACTLTCPGMLVYYVLW >KQK97128 pep chromosome:Setaria_italica_v2.0:VII:19211762:19215968:1 gene:SETIT_009787mg transcript:KQK97128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFGLLGDDAHQPAAPPPQAPTTAQQPAPPPQPAQAFCFADAAVAPGAGAGAGSFAQVPEESNHHAERGKAAHHAKRTRERADEFSSDGGEYCSYINSGGSGGGGKKGRGGGSSGASDYRKDREEWTDGAISSLLDAYTDRFEQLNRGNLRGRDWEDVAGAVTDGQGKTTGGKSVEQCKNKIDNLKKRYKVECQRLASSSGGAVSHWPWFKKMEQIVGNSASPASSKPLATAEDEKPSQQQQQQHGSKRYPLSSAGPITVVGSSRVNPLSNPRWKRVLLKIGGTALAGPAPQNVDPKIIMLIAREVQVACHHGVEVAIVVGGRNIFCGDNWVAATGTDRASTYPIGMMASVMNSVLLQASLEKIGVETRVQTALMIQEVAEPYVRRRAIRHLEKGRVVIFGGIGAGIGNPLFTTDTAAALRASEMQMLSLKVLLVMRNMVALLGAIIMHHLSTSPSGSSRQEGSAEWT >KQK97130 pep chromosome:Setaria_italica_v2.0:VII:19211762:19216299:1 gene:SETIT_009787mg transcript:KQK97130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFGLLGDDAHQPAAPPPQAPTTAQQPAPPPQPAQAFCFADAAVAPGAGAGAGSFAQVPEESNHHAERGKAAHHAKRTRERADEFSSDGGEYCSYINSGGSGGGGKKGRGGGSSGASDYRKDREEWTDGAISSLLDAYTDRFEQLNRGNLRGRDWEDVAGAVTDGQGKTTGGKSVEQCKNKIDNLKKRYKVECQRLASSSGGAVSHWPWFKKMEQIVGNSASPASSKPLATAEDEKPSQQQQQQHGSKRYPLSSAGPITVVGSSRVNPLSNPRWKRVLLKIGGTALAGPAPQNVDPKIIMLIAREVQVACHHGVEVAIVVGGRNIFCGDNWVAATGTDRASTYPIGMMASVMNSVLLQASLEKIGVETRVQTALMIQEVAEPYVRRRAIRHLEKGRVVIFGGIGAGIGNPLFTTDTAAALRASEISADVVLKGIVGDEEYGCPPRSNNNAPFEHISFREFAARGFSRMDMTAVTCCEENNIPDKHLARPEDR >KQK97127 pep chromosome:Setaria_italica_v2.0:VII:19211788:19215163:1 gene:SETIT_009787mg transcript:KQK97127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFGLLGDDAHQPAAPPPQAPTTAQQPAPPPQPAQAFCFADAAVAPGAGAGAGSFAQVPEESNHHAERGKAAHHAKRTRERADEFSSDGGEYCSYINSGGSGGGGKKGRGGGSSGASDYRKDREEWTDGAISSLLDAYTDRFEQLNRGNLRGRDWEDVAGAVTDGQGKTTGGKSVEQCKNKIDNLKKRYKVECQRLASSSGGAVSHWPWFKKMEQIVGNSASPASSKPLATAEDEKPSQQQQQQHGSKRYPLSSAGPITVVGSSRVNPLSNPRWKRVLLKIGGTALAGPAPQNVDPKIIMLIAREVQVACHHGVEVAIVVGGRNIFCGDNWVAATGTDRASTYPIGMMASVMNSVLLQASLEKIGVETRVQTALMIQEVAEPYVRRRAIRHLEKGRVVIFGGIGAGIGNPLFTTDTAAALRASETDMERKSD >KQK97129 pep chromosome:Setaria_italica_v2.0:VII:19211762:19215968:1 gene:SETIT_009787mg transcript:KQK97129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCDDDFGLLGDDAHQPAAPPPQAPTTAQQPAPPPQPAQAFCFADAAVAPGAGAGAGSFAQVPEESNHHAERGKAAHHAKRTRERADEFSSDGGEYCSYINSGGSGGGGKKGRGGGSSGASDYRKDREEWTDGAISSLLDAYTDRFEQLNRGNLRGRDWEDVAGAVTDGQGKTTGGKSVEQCKNKIDNLKKRYKVECQRLASSSGGAVSHWPWFKKMEQIVGNSASPASSKPLATAEDEKPSQQQQQQHGSKRYPLSSAGPITVVGSSRVNPLSNPRWKRVLLKIGGTALAGPAPQNVDPKIIMLIAREVQVACHHGVEVAIVVGGRNIFCGDNWVAATGTDRASTYPIGMMASVMNSVLLQASLEKIGVETRVQTALMIQEVAEPYVRRRAIRHLEKGRVVIFGGIGAGIGNPLFTTDTAAALRASEISADVVLKGIVGDEEYGCPPRSNNNAPFEHISFREFAARGFSRMDMTAVTCCEENNIPVVIFNMLEPGNISRAICGDQIGTLVDQSGRIT >KQK97659 pep chromosome:Setaria_italica_v2.0:VII:22466222:22469659:-1 gene:SETIT_011129mg transcript:KQK97659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLVSTALVARGLVRSCRAPTTAAVSRPAFQQFMNYSSGHGGDDPNATGDSTATQIAADRDTHQDFKPMSKSSDTSLHDIVAQDIRENPVLIYMKGFPESPMCGFSALAVKVFQQYDVPICGRDILGDLRLKEAVKAHTNWPTFPQIFIKGEFVGGSDIILSMHQKGELKDLLGDITQRYGQKPDANEP >KQK97693 pep chromosome:Setaria_italica_v2.0:VII:22714234:22715317:1 gene:SETIT_011668mg transcript:KQK97693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLELLWPLASWILATVQEQSENDMDKQRPEEPETGGQQKITQLAELL >KQK98381 pep chromosome:Setaria_italica_v2.0:VII:26673880:26675258:1 gene:SETIT_010530mg transcript:KQK98381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAALLLRPTSATTHPLLHLSSPKSVFLRLHQSRRRLPVPRLSLTPTTASNSNSNNSPPPPPPLAPSPAPAAPPSLFANWSPPRAIWRGLSALLLAGQVFHRVLTGRVHRRNLLAQLRRVGPGSAGVALLTAAFVGMAFTIQFVREFTRLGLHRSVGGVLALALARELSPVVTAVVAAGRVGSAFAAELGTMQVSEQTDTLRVLGAHPVDYLVVPRVLACVLALPVLTLISFALGLASSAFLADSVFGVSVSIILESARRALRPWDLISSLLKSQVFGAIIAVVSCAWGVTTHGGAKGVGESTTSAVVVSLVGIFVADFALSCLFFQGAGDSLKYAMG >KQK99421 pep chromosome:Setaria_italica_v2.0:VII:32858004:32860213:1 gene:SETIT_010739mg transcript:KQK99421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVGSRSGPTGKLVTNRPIKAASPGTQYPGTRRPRASKPRTRRASIGRGRWPPRRRHYVSPPVADSEPQPQVPRTLAGPHTGRPNAGAARRRVAGRDPHSGGATWAPDHPYGGDTSWVSPLPGPGASCRQRGAESTGEARASIQKLSAGYTYVFTRGVLFHSGIYTSIIPKEEESIMAPAEMAATTATSRVEAAGRMPSIEWEPKTLTLDQIKFAREAALYVVSTKTEEEAIRIFTEGLKPVQVAVSKSNSFDSSSDDDVELGCYDAKSRGGSKGGSRRRRSIDKDFATAPF >KQK96391 pep chromosome:Setaria_italica_v2.0:VII:5101676:5102138:-1 gene:SETIT_012966mg transcript:KQK96391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGVLKKRFPILKVATFHTLKNQVKIPIAAAIFHDLIRLLHGDEEWLDHKPDNIDPTHFVSLPNGDQINDSGTAQGNALRDAITQEMWVQYQQHVN >KQK98726 pep chromosome:Setaria_italica_v2.0:VII:28863103:28864850:-1 gene:SETIT_011182mg transcript:KQK98726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDPVPPRSYPHPPNSELLRPSCRALAFSRSLAYRLHGPPMCTRARARAWSGGVGGGGGGGGGGTCAIAMGWRAVHASAYDKNLEDQVRPAFVPDDVIGGAANPDKYWGPHPKTGVFGPAAVDANKAAGAPDAAANGAGSVLDQKVWFRPLEDVEKPPPAA >KQK98727 pep chromosome:Setaria_italica_v2.0:VII:28863485:28864368:-1 gene:SETIT_011182mg transcript:KQK98727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLDPVPPRSYPHPPNSELLRPSCRALAFSRSLAYRLHGPPMCTRARARAWSGGVGGGGGGGGGGTCAIAMGWCAASCLLRRAVHASAYDKNLEDQVRPAFVPDDVIGGAANPDKYWGPHPKTGVFGPAAVDANKAAGAPDAAANGAGSVLDQKVWFRPLEDVEKPPPAA >KQK98729 pep chromosome:Setaria_italica_v2.0:VII:28863103:28865921:-1 gene:SETIT_011182mg transcript:KQK98729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSKGRAIAGSFVSRVLAGKAAASPRAVHASAYDKNLEDQVRPAFVPDDVIGGAANPDKYWGPHPKTGVFGPAAVDANKAAGAPDAAANGAGSVLDQKVWFRPLEDVEKPPPAA >KQK98728 pep chromosome:Setaria_italica_v2.0:VII:28863485:28865715:-1 gene:SETIT_011182mg transcript:KQK98728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASSKGRAIAGSFVSRVLAGKAAASPRRAVHASAYDKNLEDQVRPAFVPDDVIGGAANPDKYWGPHPKTGVFGPAAVDANKAAGAPDAAANGAGSVLDQKVWFRPLEDVEKPPPAA >KQK96236 pep chromosome:Setaria_italica_v2.0:VII:2162950:2166516:1 gene:SETIT_009863mg transcript:KQK96236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALASLLLPSSSASTASKAAGDRADSRRHDHHHHGSKRKKKPPPPSPQPSLSSAPRTPPGARSQRGMAVSASSSKKSPKVAAAAAAKNRPQYQQHRVQSTKKATATAASSSSSSWEQVKSLLSCRSATAAARVHDPAAPSALARLRGSGAGACGASLCAMRDVVDAASSAAASASADRDTAPLNRRRAHRAGSSSSSSAAAGGGSHSSLRGLSGCYECRAINVEPMSRRYPRPRELCACPQCGEVFTKADSLEHHQAIRHAVSELGPEDSGRNIVEIIFKSSWQKRDRPICHIDRILKVHNAPRTVARFEAYRDAVRSRCRATAARAAADGNELLRFHSAPLACALGLSGATSLCAGAAADPSSIRSSNAAAVDTASSSSSPAAAPPATAAAAACCGVCTAIRHGFAPWVGAHQLGVRTTASSGRAHDCGGSESVQAAANSNNGGCRAMLVCRVIAGRVRRDGDATSAAGEEGPFDSVAGEDAASSSVYGNLEELFVANPRAILPCFVVIYRVLE >KQK99962 pep chromosome:Setaria_italica_v2.0:VII:35576410:35576872:1 gene:SETIT_011542mg transcript:KQK99962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSVPRLLTHSPRHDRLELPTHPCKRPADGVFLLGEYQSGWNVFILNAGMEMECVHLASIQGETWKLIPCV >KQK99495 pep chromosome:Setaria_italica_v2.0:VII:33146561:33147323:-1 gene:SETIT_012017mg transcript:KQK99495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDDLIIKLHQILGNKWSQIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPKTHRPLSVTAAAAAAPSSRPEDQPAARSSCSPETSGACCHNSDDDSVSAPHHGGIDLNLAISPPRDPPSPSPLPTATQEAEATSSATVEETTPTRKS >KQK97808 pep chromosome:Setaria_italica_v2.0:VII:23362977:23369602:-1 gene:SETIT_010513mg transcript:KQK97808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPPPPPRARLRYGSGGSAQQGGQQFPFVVDPTEAAGAAAVRAFFPAPDGGEPPPPSSGDRAPGQERYGGHGEISLGHGQGVHHHRYHQFGVEGKQLDGGPAAAPLPRHSSSPPGFFSSPVVDNGFPSARAGVGVGGDVHHATSSYHKKMKSPMNLAGQGTLSHISEDGIPDLTNNVYGSGHSEENITTNSVARSSNGFSIGTWEDSNSIVFSNPASNAGIHNNDDIIASLSNYELQFGATKETSGMDKYLQMQQDQVPFRVRAKRGCATHPRSIAERERRTRISEKLRKLQALVPNMDKQTSTADMLDLAVDHIRGLQSELQALKQDKEQCTCRGNHHPSGR >KQK96470 pep chromosome:Setaria_italica_v2.0:VII:8516882:8518712:1 gene:SETIT_011997mg transcript:KQK96470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITYEKAPSLDVDKFLNILKKKASSSGEKFVTRSTSGQKEKDQNLNFFALDDAPTDYEHDKPFFYQWDLLCHKQPPGSMLYGYYFIRNNRRYQTNPEDMPTIDSNYSKIEDKQIDNICMDMERFILCEISHDD >KQK99253 pep chromosome:Setaria_italica_v2.0:VII:31905287:31910611:-1 gene:SETIT_010152mg transcript:KQK99253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVGAEPKEEAAAAGAAAAEEGAGGKEEKAAAVSCSICLDAVLAAGGERATARLQCGHEFHLDCIGSAFNAKGVMQCPNCRKIEKGNWLYANGSRSAHDVNMEEWAHDEDLYDVSYSEMPFRFHWCPFGRLAQLPSLFEEGESSPPVTFHDFMGQHVFTEQVAVSAAPGTTHPCPYVAYLHPLPPLTSSSSSHVPERTMDRPAYHDHWNPLAGPSDVRPMQSVQPADFHHNHWAHMPHSYAQPNSNNEQPGIPFGTRAARVDGDSQRRASVVSPSYFSNGSGSRSRAPNVPPLMPQFMRAHGSINEQYQQNSSSSLFAGAHRSGGMRPAPAPLPENPTFSLFPPGSSGHNSMETDDVGGSRFYAWERDRFAPYPLMPVDCETSWWTSQQSHSTSEPASAPRRLFGQWLGVGRSSPENRSPEGSSYRQLHSPRM >KQL00013 pep chromosome:Setaria_italica_v2.0:VII:35774235:35774568:-1 gene:SETIT_013011mg transcript:KQL00013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERCASRAMAGLARASGSAISSLPISECGSSSTVGHRGSVLWPWQG >KQK99423 pep chromosome:Setaria_italica_v2.0:VII:32866069:32868795:-1 gene:SETIT_012566mg transcript:KQK99423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRLKLVVEVVSANGLSDRQELLNPFVQLHFAGQSYTTSIKRMVRCPVWSERTTFDVLDKQRLPSLTLEASVYNAIDGCQVFLGKVRLSGATFSESTDEVIKDYQLKGGLFKRSKGVLLLRVFLKNEAPVSQVIRPPVPRRPLQVPVDAVPKEIQPKFEDGMIVWRTHYLFVRVVKARCLPNVDIDEKPDPYVEVNAGNLRGITKFAHEEQNPEWNSTFAFSKRQLDSAQVTRIYAVIYDGVTFGFIGLVSFDLNDVPLRSQNDKPVVPQWHRLIDESGRTTQGELMLSVWRGTQADEAFSESWKSDWLEASVTARPHIVPKVYNLPRLWCLRVHINEFKCISLASGTKFVEAWVKVVVGCQHKRTKIVKKPLAHYVWDEELTFVAAEPFEDGLQISVYAHLVPRIGEVIGQTVIPLETVQRQVGGLSTGLERQWLDLQIPPNASDSDGGGVELTVSSCRINLTTCLDGGYGAQYGFGEYTKDLRLAAEKTSNPPVVGLFELGILGAQGLPPIRRGNGRFSLHPYCVAKYGRKWVRTRTIINNCHPSFNEQYSWDVYDTATVLTIGLFDNGLVEESSSGKYKDVSIGKVRIRLSNLQPGRIYSHGYPLLILQPAGVKKLGELYLSVRFTTRSLVNTVRMYASPNLPTMHYEDPLSVILKDNLELPAIQIVTSRLSRMEPPLSKEAVEYMFDVQSNFWSWRKSRVNWNRIMSVLSIFNTFWRLFSYICSWQNPAVTLLAHAVFLLALAFHQFILPSALLYIFLITIWNYRCRPSYPSHADIKISLADTVHPDELDEEFDTFPTSRTIDLVMMRYDRLRSIAGRIQAVMGDVASCGERITSLTTWRDPTATAIFGFFTLAAAIMLFFTPWKILVAIAGAYTMRHPKLWRKTPSFVRNFYQRLPQKTDSLL >KQK96707 pep chromosome:Setaria_italica_v2.0:VII:14273196:14274431:1 gene:SETIT_012432mg transcript:KQK96707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIPEICRAEGWASRSSRLPAGVAAARRRLSSRGRSARTEELGTALDRPGWRAEGWASRSSRPPAGVAATRRRVVGAATRCRPSATRPIGNEEPSAPIGMRRGIKERKKMIIKKDLIYFHSAILHLSNYIPILLILLMAGGDAVAGAPQFRCKLWVEVEGNKENLALVTSMVASSNLSGGFVAAEQGMFLAVPPELLHDESGEAPALMVRIDRAGAAAAAASARSPSATPPSKLPKRLQ >KQK97054 pep chromosome:Setaria_italica_v2.0:VII:18532461:18534389:-1 gene:SETIT_010853mg transcript:KQK97054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILVISVDLECCRCRAKITKVLDCLKEEFCIEKVEFEEKLNKVIVRGKFSGEQLSKKIWCKAGRIIKEIKIVEVWPPPPPKPDPPKEDPKPEPPKEEPKPPKEEPKPDPPKEDPKPPKEDPKPPKEEPKPPPPPKPEVKWVPYPYPLPYPWSPSQSWPCSCPPQRPCQCPPPRPLPWPPLPPHCTCSKNDDKHDRCSACGGERPDPPAKPPCQCGGRPPWPPCSCGPNKQPFWWPPPPPPPPGVVYPPPWCNIVTEDNPGCSIM >KQK97694 pep chromosome:Setaria_italica_v2.0:VII:22721024:22721632:1 gene:SETIT_011368mg transcript:KQK97694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFPVGILPLDWLADSAVYLGREHVDGFDFHLWTKVDFIWYYEEIDTGRPVRWNFFNGMQQHVMSFEVGGVLEDSKWQAPAYCFDGDTANVAADRVDGMNSLIRFAGAPAAAMAASFDQ >KQK96163 pep chromosome:Setaria_italica_v2.0:VII:1118008:1118915:1 gene:SETIT_012952mg transcript:KQK96163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLFMYVGMDAFDIEKREFASNRCSSVMEPSLFEMLFSWPRRKPSASYFIDEIDAIGTKRFSSIFWRKKRMLVGQDYQAL >KQK96344 pep chromosome:Setaria_italica_v2.0:VII:3895821:3899341:1 gene:SETIT_011006mg transcript:KQK96344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLTEDVDEDEKESIAKKMIKLVNLYYWALDGHKIKVDRELRVEKYPHFMEKKGFESYHSISILGRIFDETEKAILQRSEDDKQIQITMLPFFTEVEAAPECISLWEYNYEEYLSKSRGLFDLDKEEKNEEFEKLYQSYKHLLYDAEEFEETSRDLSQVYMEACAIYRIVYERARSTKSISKCRFVWVVAGAALCHLYATKYAAQRGEKTVLCPLSVTRQLY >KQK96245 pep chromosome:Setaria_italica_v2.0:VII:2392002:2392718:1 gene:SETIT_011427mg transcript:KQK96245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDRATAMNKYGSMMLVLLLIIVEVSATAAAEESCNIPATELEQCVLDVVNSGLGIMQPKCCNRMAKEFGCGCVLREILKKYGYDPQKPFCTEGTACDKV >KQK96749 pep chromosome:Setaria_italica_v2.0:VII:14913229:14913832:1 gene:SETIT_012511mg transcript:KQK96749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIMRGIQALKDGLIWRVGNGEQIILTRKNPQYIVLGKNFLKFFWEEDQKNILVIPVRYETEDPPTWHFDTKGVFSVKSAYHA >KQK98834 pep chromosome:Setaria_italica_v2.0:VII:29491523:29493035:1 gene:SETIT_012743mg transcript:KQK98834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLSSLDFRLGLEFQALPSSRFGLSSQISSPDPSLDFFFLVASFSRFAHRLDTDVVALILQSILGGNALNFCVVHLADRSFRFSVVSKLIGLMVHRLSKFVCKGIAVFFTLWRDGGPDYLKEKAKWDQEMEVECKRSVFTRLPYPSSYYASNFGAHQIHNLFSELGLWELLSHGGMPPGLLLRWEAARNVQVLQLCLRHHRRNHEPIAREEAEAAVPADGRESPRAWLLGNGRRKDKRAEEWRGVERGTARGGGSSPCSDAGNAHVKSTTCARGLRHQGTTGHDGTAARQHLSSRVTTLELLRPGGWAKPKQQSRIRRPTQRTGSHPSRGPTKPRARDGWAERVPATTSQDAIWPSTSHAEGQGPNGWTTRINRMSPSETKPNFPQLEKRSGLAQIAQRRSNERTCPTSLVGKEPLPAKGPHGAQP >KQK98380 pep chromosome:Setaria_italica_v2.0:VII:26663076:26664286:1 gene:SETIT_010615mg transcript:KQK98380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNTCKLCSRRFASPRALAGHMRAHSIAAAKSQISSASSASTSIAAGGGGGVEDDADAKKPSPIQGHALREKPKRRVRLAESDFSDRESETTDYYSPDAKRSHDGSGDAEPVSSVSDGDTPVEDVALSLMMLSRDSWPAPPPPSYYSYYRADSDDEGDARRPAVAAAAAAAQKRTRYECPACKKVFRSYQALGGHRASNVRGGRGGCCAPPLSNPPPPAPLRPLPECDGGEEDPTKAQPHECPYCFRLFPSGQALGGHKRSHLCSGAAAAAAAAPGADPLSVATKSLGFIDLNLPAPFDDVEHSAVSDPFLSPKPAGS >KQK99283 pep chromosome:Setaria_italica_v2.0:VII:32123173:32123763:-1 gene:SETIT_012536mg transcript:KQK99283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISFQPRSPVTFRSQSSQEGMANWTIALEPAVHVPSGPFQPPPEHVLERPFGESPVRYREGPLEYEPARYFPGRRFYSCMVGSNGCGLSEWYDPPFSQFVTNMVGDLRDSVLELRERVQALKKEVDEYNEQKQELQGSLEDMVKNLSMK >KQK99383 pep chromosome:Setaria_italica_v2.0:VII:32679936:32680452:1 gene:SETIT_011533mg transcript:KQK99383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSFPALFKEKKKGFEHMLVESSNLVTGGTEAKISHLAYDLILRKKYQNANSFPINKFAYCFDIPMINASFK >KQK98415 pep chromosome:Setaria_italica_v2.0:VII:26861804:26863459:-1 gene:SETIT_010032mg transcript:KQK98415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEALESVAVVAVPFPAQGHLNQLLHLSLLLASRGLPVHYAAPPPHVRQARERVHGWDPGALSSIEFHDLNVPEYDSPAPDPAAPSPFPNHLMPMFEAFTAAARAPLGALLARLSTTYRRVAVVFDRLNAFAATEAARLANGEAFGLQCVAMSYNIGWLDPGHRLLRDYDLQFLPVDACMSKEFVDFVLRMELDEQVAPVAGVVMNTCRVLEGEFIDVVAASPQFQGQRLFGVGPLNPLLDADARKPGQARHECLEWLDTQPPASVLYVSFGTTSCFRAEQIAELAAALKGSNQRFIWVLRDADRADIFADSGENRHAKFLSEFTKETEGTGMVITGWAPQLEILAHGATAAFMSHCGWNSTMESLSHGKPVLAWPMHSDQPWDSELLCKYLKAGLLVRPWEKHGETIPAEAIQKVIEEAMLSDSDSGMAVRERAKELGKALRASVADGGSSRKDLDDFIGYITR >KQK99844 pep chromosome:Setaria_italica_v2.0:VII:35029522:35030214:1 gene:SETIT_012395mg transcript:KQK99844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDDGYGDVSLMAAEEPHRADPELPQPASPPTEPMNTEEYLHALASYDRLAASVQGPNVAPPTEPTNLAGLVPMYRRLAAYLPSTLALAVPGPHGAPVTVDLNRLVYVWRAGERDGDGDVVGADDAYVHGGFGAVPASGDAMAALPETTVGEGEVPEECAVCLVGYEAGDKMRTMPCSHGFHEHCILGWLAVSRLCPLCRFALPAEVELDVEDEGSDDDDEADDDDVAC >KQK98754 pep chromosome:Setaria_italica_v2.0:VII:28997405:29001070:-1 gene:SETIT_011853mg transcript:KQK98754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKSEQHAAQGDRERSQAAQGVHVERVRDQGGREGPRNITDQKGQRGGERDAVRAGACPLAGTLPCRPHPTDLPLPARLRPPIRLATPPASSRCPCPPSVRSSTAQRAALLGRRRLRPQAQPRASETQGKRQSQPQPGKRQKHSTLKERPRAAAERRERTEREAYMAMPFASLSPAADHRPSSLLPFCRAAPLSAVGEADAQQHAMMSGRWAARPVPFTAAQYEELEHQALIYKYLVAGVPVPPDLLLPIRRGFVYHQPALGYGPYFGKKVDPEPGRCRRTDGKKWRCAKEAAPDSKYCERHMHRGRNRSRKPVEAQLVTPPHAQQQPPVAAAGFQNQSLYPAVLAGNGGRAGGGGGGGGGLATGTFGLGSTAQLHMDSAAAYATATGGGSKDLRYSAYGVRSLSDEHSQLMPAAMDTSMDNSWRLLPSQTSTFQATSYPLFGTLSGLDESTIASLPKTQREPLSFFGSDFVTAAKQENQTLRPFFDEWPKARDSWPELGDDNSLASFSATQLSISIPMATSDFSNTSSRSPSGIPSR >KQK96118 pep chromosome:Setaria_italica_v2.0:VII:630130:632956:1 gene:SETIT_009929mg transcript:KQK96118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKKRRKRHREEVEVAVSSSESPRPPATPDSKTESPPLVTAETLTLTGVAAGKGRKRKKQEVAAASSLVEEAVRKEEKRKGKRSRHEAAAAATPSPSIPAAAAATAQILEVAAERAAARKEQRRGKLEQGQSGQQPSPVDVHPQGGEAVVDGGVSGSKSVRRRSSGKPRVLTDQEILRMRIASLKEQPVPQGLVPTMANANSIDQDPKYSSPFGAFFDQFCYRPERRQGRNAPSLPKTPDPPARPPPRDHLSFLSSQLTANQKAAKTTTLNTKRPPSASGSQVAVKAKEKKRPDEKMDTTKKPRKKPPLLSAAEKRSDKYRRLPLNQLVPPPRSPYNLLQERYAHDPWKVIIICMLLNLSKGDQVKKKLKGFFRRYPDAQTACTADPEKMAEYLAPLGLQRVKANRIQKLSKDYVSEEWTYITELFGVGKYAADAYAIFCAGRATEVVPEDHKLVDYWKYVCFELPTQRTWLCFKKRR >KQK96119 pep chromosome:Setaria_italica_v2.0:VII:630130:634460:1 gene:SETIT_009929mg transcript:KQK96119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQKKRRKRHREEVEVAVSSSESPRPPATPDSKTESPPLVTAETLTLTGVAAGKGRKRKKQEVAAASSLVEEAVRKEEKRKGKRSRHEAAAAATPSPSIPAAAAATAQILEVAAERAAARKEQRRGKLEQGQSGQQPSPVDVHPQGGEAVVDGGVSGSKSVRRRSSGKPRVLTDQEILRMRIASLKEQPVPQGLVPTMANANSIDQDPKYSSPFGAFFDQFCYRPERRQGRNAPSLPKTPDPPARPPPRDHLSFLSSQLTANQKAAKTTTLNTKRPPSASGSQVAVKAKEKKRPDEKMDTTKKPRKKPPLLSAAEKRSDKYRRLPLNQLVPPPRSPYNLLQERYAHDPWKVIIICMLLNLSKGDQVKKKLKGFFRRYPDAQTACTADPEKMAEYLAPLGLQRVKANRIQKLSKDYVSEEWTYITELFGVGKYAADAYAIFCAGRATEVVPEDHKLVDYWKYVCFELPTQASQNVEEAAGVTGQGNFAPAVQQIAVSC >KQK97687 pep chromosome:Setaria_italica_v2.0:VII:22656007:22656723:-1 gene:SETIT_011538mg transcript:KQK97687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILQNRRKFKISSVRPPTGIHRKVSIIIQDYNSSMDHITPHNSSCKSYKLKQKANSPIFFGPREENQECP >KQK97993 pep chromosome:Setaria_italica_v2.0:VII:24364827:24365312:-1 gene:SETIT_011487mg transcript:KQK97993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKCAFWLIEANRSSPLHLYCSILTKTRFGALLCFVLFCQRSVLISKCCICWQKPVFEKETRCFCPFQLLTYIKVRFSCYTFV >KQK98284 pep chromosome:Setaria_italica_v2.0:VII:26035921:26040333:-1 gene:SETIT_009859mg transcript:KQK98284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGMSDSAGGGRSGAELMVEQFHLKVLHAVLAVRTPRPLAAAAAPSAASFRRRDRWFHLPLHDPPPPPEAADRLEPLAPGEPLVLDVLLSPAGGGAGARAGGEVVERWTVACEPWPDAAVGEEVAVNRAYKHCFTLLRSVYAVLRVLPAYRVFRLLCANHSYNYEMVHRVDTFAEPFWRDQEAAMRSHRFVPVETQLGRLVVSVQYLPSLAAFNLEITSLSIIPDYVGSPAAEPMRAFPASLTEATGSAFPPSNQLQRPHSWAPPVFCPHSPAQQAMFSPPPVIYASPTPSPPHFPGGYLQSRRLFRGESAPVPIPQGGERRSPVHRQNTLPPPSPRRGEMGTAGAQESPSESGRLIGKLEGLRIADPHSTLSPRHKGKENKDESGRFSALSSCDSPRQDDSDDYPFFCDDVDTPVSQPGSSDGKEKGDQAGPSSHKSQDAQVGSLVNLLRNARPLRDPCYSLQTSRAESSEAASASSVTPRRTSDALEELQSFKEIRERLLSRSSAKHQEPPGKP >KQK99797 pep chromosome:Setaria_italica_v2.0:VII:34795813:34797073:1 gene:SETIT_012540mg transcript:KQK99797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRKPHGDGVGESPDDEFVAKPSDDTKESSAEFARPVYLVAARDDDLAAYSVLEIDAAAAAGGDEPPRIRTVAALPLAEPGMSFVAAHSEHGSWIVGVGGGLRAGTIIFDPRTMKTFHGPRLGYPKHEPVLISHGGEVYAISRRPRVVPRIDCEPWFECLSFNKGPPATTTLLPRFLNPYEFRSPPEISVSSYAVVGSYILISPQPELVVGTHAFHVVNKTWEKIHDENLPFVGQAVPVGGSLFAAGLVSNQAITGSASLFHLSIDDVSSWTSDAVVSTPSLSIQELKMVASEDKIPWPFFCPLGKGSFCLIRVVSSCRRHRHKANYLKKRLRAIMAHCQSQGAKAKDLLVGEQAYLAWLWLLPSPCKHMRSVPLFYFFRL >KQK96763 pep chromosome:Setaria_italica_v2.0:VII:15286727:15287443:1 gene:SETIT_012650mg transcript:KQK96763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYILNFIHHSTCQAPPRPKNCAKTSRILNIRCNPSDVIFTIQLLNPDQYAAVEALGFGHLLRMEIDAVECRELLAWLMDRVSPVDMIIRIGPGKVLPITAESISKVLGLPIGGSSFHSSPATEVSQFRKKLITELNQEFLTDDDPIHISNLQEEILKGSVNSLFLRCFFMIVFNRFLFPTSSSNIDSSDINKAMHPELFSAVDFSQAVFNDLHSAIRRWHGRNKKQLTHTIFGCAVFLI >KQK97335 pep chromosome:Setaria_italica_v2.0:VII:20636304:20640401:1 gene:SETIT_010057mg transcript:KQK97335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRGHHHRRGRLLPLVAAAAALLLLALLVLLPAAPPAGGPASLLRAAVAAHPSPAAYGRPCADHLALSLRRLRAALASLEAGDVPAALHLASASLQCQYDCSHLLSLPAFRSHPLTSRFLNSLAPRTLTAAPKPSSAAAFPVRIRPDATVCKSNSGAKPCRYSTVQAAVDAAPNYTAGHFVIAVAAGTYKENVVIPYEKTNILLVGEGRGATVITASRSVGIDGLGTFDTATVAVIGDGFRARDITFENNAGAGAHQAVAFRSDSDRSVLENVEFRGHQDTLYARTMRQLYRRCHIMGTVDFIFGNAAAVFEECVIKTVPRAEGVRKTARNVVAANGRIDPGQTTGFVFQNCTVDGNKEFLELFRTKPQSYRLYLGRPWKEYARTLYVSCYLGTVIRPEGWLPWRGDFAFRTLYYGEFDSRGPGANRTARVEWSNQTPEQHVKLYSLENFIQGHQWIAY >KQK97526 pep chromosome:Setaria_italica_v2.0:VII:21692386:21697514:-1 gene:SETIT_009879mg transcript:KQK97526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGAFLLNSGGGGGMADYGGGLTVPVVVTCFMAASGGLIFGYDIGISGGVSEMESFLKKFFPGLLKRTAHANKDVYCIYNNQALTAFTSSLYAFGMVGTLVASRVTRRLGRQAIMLIGGSLFLVGALVNAAAANVAMLIVGRMLLGLGLGFSGQATPVYLAEVSPPRWRGGFISAFPLFISIGYLVANLINYGTSRIPGWGWRLSLGLAAIPAAVMVAGAVFIPDTPSSLVLRGKHDDARAALQRVRGKGVDIGAEFADILAAAEHARRNEEGAFRRILRREYRPYLVMAVAFPVFLNLTGVAVTAFFSPILFRTVGFESDAALMGAVILGVMNIGGILASGFAMDRYGRKLLFVIGGALMFTCQVTMASIIGSHLGNGSKMPKGYAVAVLIATFVFSASFSWSWGALYWTVPGEIYPVEVRSAGQGAAVALNLGLNFLQAQFFLAMLCCFRYGAFLFYASWLVVMTAFAVALVPETKGVPLESMGHVFARHWYWGRFVKDQKLGDEST >KQK98857 pep chromosome:Setaria_italica_v2.0:VII:29618003:29621871:1 gene:SETIT_010291mg transcript:KQK98857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLLSTPSQTLAAPAGLRGRARPAQVHLPRGVLAPRRSRALRVRASVAIEKETPESEPPPTFLREDGRGAGSGSVRERFESMIRRVQGEVCAALEEADGSGAKFVEDVWSRPGGGGGISRVLQDGRVFEKAGVNVSVVYGVMPPDAYRAAKGEAGKNGAAAADGQKAGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPKDVPGAPRQWWFGGGTDLTPSYVIEEDVKHFHSVQKQACDKFDPSFHPRFKKWCDDYFYIKHRNERRGLGGIFFDDLNDYDQEMLLNFATECADSVLPAYIPIIERRKDTPFNEEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLTARWQYDHKPEEGTEEWKLLDACINPKDWI >KQK99598 pep chromosome:Setaria_italica_v2.0:VII:33691141:33691638:1 gene:SETIT_013085mg transcript:KQK99598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVEAEEAQTEPPDEEEEEGFAERDPTGRFIRVRLLPRSSLCWNQIR >KQK97217 pep chromosome:Setaria_italica_v2.0:VII:19654149:19654475:1 gene:SETIT_012666mg transcript:KQK97217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSLLLLGFVLLAGAAPPPGKDGECGATRPDHLALKLAPCASAVEDPESAPSAGCCAAVRDVGRRHSPGCLCALLLSDTVRHSGVNLDAVITIPKRCNLASRPVGYKCG >KQK98957 pep chromosome:Setaria_italica_v2.0:VII:30178518:30184248:1 gene:SETIT_009358mg transcript:KQK98957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAADDTRDAALDEMEVDGGERHRDRHRRDRHRREEKDHHGSGRREREREKEKDDRRKEKDDGKHRDRDRERDKDKDSKHRDRDKEPERDRGRDRDRAKDRERDRGKDRDKEPDRERDKERERRDRDKERSRNRDKDRADRGDREREDREREKSRGKGRGEDEVDLSKGDEGDHKQRVDASGDAEQPATAELRERIARVKEERLKDKKGGGILDGDDGASEVLSWVGKSRKLDEKRQAEKEKALRLARALEEQDNILAENGEDDDEEEEDKQVGDHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINEDADMLENIEIGEQKQRDEAYKSSKKKGTYDDKFSEDSSSKKSILSHYDDPVQDEGVTLDEGGRFTGEAEKKLEELRKRIEGGHVQKKTEDLTSTAKMSTDYFTPDEMLQFKKPKKKKSLRKKGKLDLDALEAEAIASGLGAADRGSRNDDRRQSAREEEQKADAEKRSNAYEAAIAKAEEASRALRPEKIMPAKPAEEEELVFGDDYEDLQKSLEQARKLALRKEEEAAGPLAVVELATATKGQEDTDATEGDSQQNKVVITEMEEFVWGLQLNQEARKTEDDGVYMDEDDDDMPSDNHVKDDTNGLAAMEEDAHAEKQVKVDEEEVKPDEVIHEVAVGKGLAGALKILKERGSLNEGTDWGGRTTDKKKSKLVGVEDGPKDIRIERMDEFGRVMTPKEAFRDLSHKFHGKGPGKMKQEKRQKKYQDEMKTKRMKSSDTPLMAAEKMREAQARNQTPYLILSGNAKTSQASDASGFATVEKEQPGSLTPMLGDKKVEHFLGIKRSAKPGGLPPPVPKKPKN >KQK98958 pep chromosome:Setaria_italica_v2.0:VII:30178493:30184248:1 gene:SETIT_009358mg transcript:KQK98958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAADDTRDAALDEMEVDGGERHRDRHRRDRHRREEKDHHGSGRREREREKEKDDRRKEKDDGKHRDRDRERDKDKDSKHRDRDKEPERDRGRDRDRAKDRERDRGKDRDKEPDRERDKERERRDRDKERSRNRDKDRADRGDREREDREREKSRGKGRGEDEVDLSKGDEGDHKQRVDASGDAEQPATAELRERIARVKEERLKDKKGGGILDGDDGASEVLSWVGKSRKLDEKRQAEKEKALRLARALEEQDNILAENGEDDDEEEEDKQVGDHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINEDADMLENIEIGEQKQRDEAYKSSKKKGTYDDKFSEDSSSKKSILSHYDDPVQDEGVTLDEGGRFTGEAEKKLEELRKRIEGGHVQKKTEDLTSTAKMSTDYFTPDEMLQFKKPKKKKSLRKKGKLDLDALEAEAIASGLGAADRGSRNDDRRQSAREEEQKADAEKRSNAYEAAIAKAEEASRALRPEKIMPAKPAEEEELVFGDDYEDLQKSLEQARKLALRKEEEAAGPLAVVELATATKGQEDTDATEGDSQQNKVVITEMEEFVWGLQLNQEARKTEDDGVYMDEDDDDMPSDNHVKDDTNGLAAMEEDAHAEKQVKVDEEEVKPDEVIHEVAVGKGLAGALKILKERGSLNEGTDWGGRTTDKKKSKLVGVEDGPKDIRIERMDEFGRVMTPKEAFRDLSHKFHGKGPGKMKQEKRQKKYQDEMKTKRMKSSDTPLMAAEKMREAQARNQTPYLILSGNAKTSQASDASGFATVEKEQPGSLTPMLGDKKVEHFLGIKRSAKPGGLPPPVPKKPKN >KQK98959 pep chromosome:Setaria_italica_v2.0:VII:30178493:30184248:1 gene:SETIT_009358mg transcript:KQK98959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAADDTRDAALDEMEVDGGERHRDRHRRDRHRREEKDHHGSGRREREREKEKDDRRKEKDDGKHRDRDRERDKDKDSKHRDRDKEPERDRGRDRDRAKDRERDRGKDRDKEPDRERDKERERRDRDKERSRNRDKDRADRGDREREDREREKSRGKGRGEDEVDLSKGDEGDHKQRVDASGDAEQPATAELRERIARVKEERLKDKKGGGILDGDDGASEVLSWVGKSRKLDEKRQAEKEKALRLARALEEQDNILAENGEDDDEEEEDKQVGDHLSGVKVLHGLDKVLEGGAVVMTLKDQSILADGDINEDADMLENIEIGEQKQRDEAYKSSKKKGTYDDKFSEDSSSKKSILSHYDDPVQDEGVTLDEGGRFTGEAEKKLEELRKRIEGGHVQKKTEDLTSTAKMSTDYFTPDEMLQFKKPKKKKSLRKKGKLDLDALEAEAIASGLGAADRGSRNDDRRQSAREEEQKADAEKRSNAYEAAIAKAEEASRALRPEKIMPAKPAEEEELVFGDDYEDLQKSLEQARKLALRKEEEAAGPLAVVELATATKGQEDTDATEGDSQQNKVVITEMEEFVWGLQLNQEARKTEDDGVYMDEDDDDMPSDNHVKDDTNGLAAMEEDAHAEKQVKVDEEEVKPDEVIHEVAVGKGLAGALKILKERGSLNEGTDWGGRTTDKKKSKLVGVEDGPKDIRIERMDEFGRVMTPKEAFRDLSHKFHGKGPGKMKQEKRQKKYQDEMKTKRMKSSDTPLMAAEKMREAQARNQTPYLILSGNAKTSQASDASGFATVEKEQPGSLTPMLGDKKVEHFLGIKRSAKPGGLPPPVPKKPKN >KQK97714 pep chromosome:Setaria_italica_v2.0:VII:22831066:22837040:1 gene:SETIT_009224mg transcript:KQK97714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGLSSGRAHGPPIIHARCHTRKPRNRNSTFKGPENLPLASHVRLYGYVLEARGLPSPQLSPARWAAAFCSTRVTVGKQRFRTREVEAADAGAGPAAAWNEEFVFAVGAEEAAEGEEFEVAVARRRQRRGGGRGGREVVGAVRLPVPAGSAAAPGERRSVPPTWFTLRPVGGGRRKEGGDDDAAAAADCGKILLSFSLYRENNDNAVVHMSPSSSSRSDTDVEIERSTDMEHSGSNGAVVDSPRSRDTARTSLDNSDRSIQADSNSITEDDDLAEAIAATTNGASDTEQMAPDASFEEAMEVMKSRSTPDMPEDLDGGIIFEHTYLVESKELNHLLFRPDSQFFKELRELQGTIDYEEQPWTWKSKDPPSLTRTCQYTKGASKFMKAVKTSEEQTYHKADGKNFVVMARVRTPEVPFGNCFAVVLLYKIIHSTGLSGGEESAHLTVSYNVEFLQSTMMRSMIEGSVRDGLKENFEGFAEVLSRHVKLADSVGMDKEQLLAPLQAERQTIIRLAYKYFCNFTAVSTVLFTLYVLVHILLSKPGPLMGLEFNGLDLPDSFGELITAGILVLQLERVLNMVSHFVQARVQRGSDHGVKANGDGWLLTVALLEATSLPPVSCGSVDPYVVFSCNGITRTSSVQLQTQEPQWNEIMEFDAMEEPPAVLDVEVFNFDGPFDLAISLGHAEINFLKHTSVELADIWVPLEGKLAQTCQSRLHLRVFLENTKGPETSMREYLSKMEKEVGKKLHVRSPHRNSTFQKLFSLPHEEFLIADYACSLKRKLPLQGRLFVSARIVGFYANLFGHKTKFFFLWEDVEEIEVLQPSFTTVGTPSLLFTLKSGRGLDAKSGAKSQDKEGRLKFQFHSFASFSKASKTIIGLWKTKSSAIEQRAKMEEDQEEDVSSVDLDDVHAVLSIGDVPLSKEYTLEHPIDADLLMGVFDGGPLETRTMSRVGCLDYSATPWEAARPGVVERHVSYKFNRYMSIFGGEVVSTQLKFPAEDGCGWAIHDVVTLHNVPFGDYFRVHLRYNVQSVTSEAPSSRCEILVGIEWLKSSKFQKRIARNICEKLAHRAKEVLEAAGKEIASAVSG >KQK97048 pep chromosome:Setaria_italica_v2.0:VII:18484768:18485249:1 gene:SETIT_011491mg transcript:KQK97048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSAHQNDVQEAHGLALKYVPCQAVGASRRLKNASTPGARRGEKKLFRLAAGCTASRRLRPPPLTLRLSLVASRRDCICRP >KQK97615 pep chromosome:Setaria_italica_v2.0:VII:22182855:22185685:1 gene:SETIT_012723mg transcript:KQK97615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEVAELQKGMKETNFDPDDKEREVVRKGEEVVEPDQEETKRGRASAPPPRFQYKEKRRARNSGGEEEVRGRKIQKGLAKYEEWRRKKDEEERHRDPEELTVRYAYEARLFEKSWNMIYPTGYGCFEDNTYIPCKRYTFNPAPHGGFKRDTLQWPLNVFGMVALRDSLDHNRNVIFKRERDNCQTLADEICMNTLLASGISLWKMHMICNLFLTNPYFVLTGPVRGVVFGDPVVLEVLLYVRGTTESDDKELNLLAYGLTNLYTSAHNSLLLEESYTSRLSTLDFELGHIVFSVEATISVKVISGPPDGFYGEFVAFTDVLKREIVLHNSGVEELHLAGDEINLSRSVVSVESFGKLMVSVRASDGSVTLTGTKEFKPLEKGTTTRVLRIPELGQLEITVAWSLFS >KQK98911 pep chromosome:Setaria_italica_v2.0:VII:29907420:29907997:1 gene:SETIT_011589mg transcript:KQK98911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFLHSDKKHGVQYWNSIRATHGKLQVRHAGHRRPGRVQDAHRYSRVVHQRKSHTGIDD >KQK98219 pep chromosome:Setaria_italica_v2.0:VII:25708892:25711767:-1 gene:SETIT_011142mg transcript:KQK98219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLAVSVPIAAPPPTRASATAYRARRACAIPCSSYSSTSSTFRSSPLRAITGLSGSRRCAGRGASVLRAVQGQDTTIEVSDVTKSTWQTLVMESELPVLVEFWAPWCGPCKMIDPVVGKLSKDYEGKLRCYKLNTDESPDIASQYGVRSIPTMMIFKDGEKKDSVIGAVPESTLTTCIEKFVER >KQK99549 pep chromosome:Setaria_italica_v2.0:VII:33466331:33467499:-1 gene:SETIT_012858mg transcript:KQK99549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRYMGATDDSTVILTTVHDSQLVDDIPVEKLQIHDVPVDIICTPTQVIFTNTTIPKPQGIYWEKLSPEKLGQIRVLRELKARIEQEMGTKLPCGPPVKLPQASKQEGLECKREGR >KQK99593 pep chromosome:Setaria_italica_v2.0:VII:33671404:33673002:-1 gene:SETIT_011261mg transcript:KQK99593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQPQSDADFLEPSVLLDETHYQEGYKNGYHDGLSSGKEEGRQVGLKMGFQVGEELGFYQGCLDVWASATRIDQNVFSARVRKNIEQLAALVSSYPLSDPENEQVQDVMEKIRLKFRVITATLGAKLEYEGRPASSKQDVEDL >KQK96928 pep chromosome:Setaria_italica_v2.0:VII:17507431:17507631:1 gene:SETIT_012023mg transcript:KQK96928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEDVRRQYQEKGYVEYEVTDDEEEDDAPAHASTPTASAAAFPANGRRRHRPGVARNSGVTNRLN >KQK99309 pep chromosome:Setaria_italica_v2.0:VII:32276063:32277499:-1 gene:SETIT_010419mg transcript:KQK99309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSLSLASVLAALLSATAVCACLEVGFYDRTCPSAETVVQQTVAAAFRNNSGVAPALIRLHFHDCFVRGCDCSVLIDSTANNTAEKDSPPNNPSLRFFDVVDRAKAAVEARCPGVVSCADVLAFAARDGVALAGGLGYQVPAGRRDGRVSLAAEAFNELPPPSFNATELLDSFASKNLTLEDMVVLSGAHSIGVSHCIHFFDRLYNVTNTTDGHTVFIHALLLLCVQIDPALSKAYAFLLQCICPRNTGQFFPNTTTFMDLITPTKLDNKYYVGLTNNLGLFQSDAALLTNATMKALVDSFIRSEATWKSKFARSMVKMGQIGVLSGTQGEIRRNCRAINPANAADVLAGPGSSAGFSGVAAS >KQK98876 pep chromosome:Setaria_italica_v2.0:VII:29714058:29714979:-1 gene:SETIT_012467mg transcript:KQK98876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATANFVITPDIASPDGNAGINIQISQFSWSPKRVDSARWPEGVRVFDAGDGREKTSRQRRGAVDWIGSFQVRGACHAQRRGRPLCSPAAPRRPTAPTPTKPPSRREEEMLLRVGLARSTRLRGGAVSLSLPLARRLSFETPPPPPDPEWTDTV >KQK99783 pep chromosome:Setaria_italica_v2.0:VII:34742605:34746803:-1 gene:SETIT_009549mg transcript:KQK99783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTIKKAKKAKSKKTKKVEASSSSNPVVASGPAKVWQPGVDALEDGEELQFDPEAYNYLRGFSIGWSCLSFDVVRDQLGLVRSEFPHTFYGVAGTQAEKAPWNYIGIFKLSNISGKKREPIPPSAVDGDTDVDSDSSSDEEDEEINEDTKPILHLKKVAHAGCVNRIRSMTQKPHICATWGDTGHVQVWDLSSFLNSLAESGAPAPKEDDIIHKHLPVKVFSGHKDEGYAIDWSPLVAGRLVSGDCNKCIHLWEPTPTNWNVDPNPFVGHSASVEDLQWSPTEADIFASCSVDGTIAIWDIRTGKKPRMSVKAHKTDVNVISWNRLASCMIASGCDDGSFSVRDLRSIQEDSLVAHFEYHKKAITSIEWSPHEASSLAVTSEDHQLTIWDLSLERDAEEEAEFRAKMKEQANAPEDLPPQLLFVHQGQRDLKELHWHPQIPSMIVSTAIDGRGHRALRLQPHHGRGFPAARGQCAAGRDLHHGVRLWPQRRTHRPGDLAVDSRAPPAASARARFLPYAAVVGYLSGASGVGASHHVADHLLALFGADASDKFHHPRHHDSAPSPDGGILLSGCQTDETSADVPGDDDDDEAAGEGGSKACGAFSSAVQAVLAVHPAPVSNREVVTRAREVLREKGFEQHPCLYCSDANADAPFLCQQEEKEPAAMPAL >KQK97210 pep chromosome:Setaria_italica_v2.0:VII:19605910:19606806:1 gene:SETIT_011380mg transcript:KQK97210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSNRKSSRGLDLKLNLSLPATGDSSRRLMADEESSPSSCLSSENEHGLQWSNSPEATSMVLAACPRCFIYVMLPQDDPRCPQCKSPVLLDFLQDNNNSKNNSNSNSNRRSRRG >KQK98493 pep chromosome:Setaria_italica_v2.0:VII:27422785:27427982:1 gene:SETIT_009371mg transcript:KQK98493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALGALCRAGGWSYAAVWRFHPHDPRLLTLGERYSEDEAKTVVEKMLGQVHIIGEGIIGEALISGECQWIYDATCNALNQTSHADNRELFEGYTWWQYQFLNGIKTIAVLPLQLQGLVQFGSFRKVPRSSDFLNQVRNIFDQMKNASMENTRINSLACSQQPIITSLRSANDILVHNTVNPLQSEKLEDNIEKAESIRSSICSPSNSQRPLNDLTSYVTGNTNIDTHILAMPVNSKSIYELKGFDKVTDFFHQNVDARTEVQVNSSKVPDSFIASIMSAYKSSNNLHRMTNESSDQNMPYPPYHYTTTNSPNSRLDELCYSSAGFSSSLTTVSGKCLQTESDRFLCKSVSFSSNPCVSEIQDNCLTPHHALMHKQSLIPDTGECVKLLSPDSTCPELPNRTSEEATAGTSNSDMKECTGNNSLLESMMLDLSTNSFVQDWWDDNVLLAGNLQNLGNVHSESATELANKHPSSTRERGLLSISAVEQLLSADAPPPAGHGPLGAEASALANCVSNYQLPQFPFRDCITAYNAQVPSLASSSYTSGNVQNGSSKATSVPPANISVDDTCSFNTTNSKGSQSNNTEGTKVAKKRARASESTRPRPKDRQLIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKYAEKIKQADEPKLIDKESGVILKDNQDAGKNGGATWAYEVAGKTMVCPIIVEDLSPPGQMLVEMLCEERGLFLEIADNIRGFGLTILKGLMELRDGKIWARFLVETNREVTRMDIFLSLVQLLEQNSLVRSNEQMAKVMNSGVPSFTDHQRSPLPIPVGVAERLQ >KQK98122 pep chromosome:Setaria_italica_v2.0:VII:25103594:25105879:-1 gene:SETIT_011763mg transcript:KQK98122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSPNATILQLYHSGRLSAALRAFESLPSSTAPAPLSVAAYAALVAACSRLRSLPHGRLVHRHILASFLEGAGLARNTVLSNHLITMYGRCAAPDSARAVFDGMPDRNPVSWAAVIAAHAQNGRCADALGLFSSMLRTGAAPDQFALGSAVRACAELGDVGLGRQMHARAIKSEDGRDLIVQNALVTMYSKSGSVGDGFTLFQRIKDKDLVSWGSIITGLAQQNCEMEALQSFREMIAEGVHHPNEFHFGSVFRACAAVDSLEYGEQIHCLSVKYRLDRNSYAGCSLSDMYARCNNLDSARKVFYRIESPDLVSWNSIINAYSAEGLLSEATVLFSEMRDSGLRPDGITVRGLLCACVGYDALCQGRAIHSYLVKLGLDGDVTVCNSLLSMYSRCLDFPSAMDVFHEMNDRDVVTWNSILTACVQHQHLEDVFKLFSLLHRSASSLDRISLNNVLSASAELGYFEMVKQVHAYAFKVGLVGDTMLSNGLIDTYAKCGSLDDANKLFEIMGTGRDVFSWSSLIVGYAQFGYAKEALDLFARMRNLGIKPNHVTFVGVLTACSRVGLVDEGCYYYSIMEPEYGIVPTREHCSCVIDLLARAGRLSEAAKFVDQMPFEPDVIMWKTLLAASKTHNDVEMGKRASEGILNIDPSHSAAYVLLCNIYASSGNWNEFARLKKAMRSSGVQKSPGKSWIKLKGELKVFIVEDRSHPEADEIYTMLELVGMEMVKAGYIPELSRHSCKYASFDHIDDYLLSEEMLAEYG >KQK96491 pep chromosome:Setaria_italica_v2.0:VII:9857055:9858902:-1 gene:SETIT_010663mg transcript:KQK96491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAMGTENDVLPLIKERQAGFFTKLLVLTKRSSVNMHRDIGYYWLRFAILSFVSFCIGTVFYNISDTGLGSIQARISLIMCITSILTMASLGGFPSFAEDMKVFRKERLNGRYGATAFVISNTLSSAPFLGLNCIIPGAIIYYMTGLRRGIDHFIYFVVVLWASTMLVEGLMMIVAAIVPDFLLGIVIGSGIQALLLLGCGFFRLPNDLPKLVWKYPMYFISYHKYGIQGLYKNEFQGLTFEDQLNRNGVLSGGDNILKNYLQVEIGYSKWVDLAIMCAMVIIYRAMFLATIKLTEIRGPIIKCGRMKV >KQK96738 pep chromosome:Setaria_italica_v2.0:VII:14740587:14741960:1 gene:SETIT_011563mg transcript:KQK96738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRAVGDRREASSAGEALPPLAASRIWCAWYRSLPAGLRICQQLPGTPWRRSTSGPCRIESQTTAG >KQK99051 pep chromosome:Setaria_italica_v2.0:VII:30660997:30661972:-1 gene:SETIT_011335mg transcript:KQK99051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVVAHFGASWCVTSLSMNYKFEELAQTHPEVLFLYVDVDDLQSVSSKYGVKAMPTFFLIKNKEVVRKMVGANPDELKKLVDSSTDPFETQ >KQK99052 pep chromosome:Setaria_italica_v2.0:VII:30660997:30662591:-1 gene:SETIT_011335mg transcript:KQK99052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIQQPRGVGNSKVVKVQSEEAWELFTNQASNEGRPVVAHFGASWCVTSLSMNYKFEELAQTHPEVLFLYVDVDDLQSVSSKYGVKAMPTFFLIKNKEVVRKMVGANPDELKKLVDSSTDPFETQ >KQK97459 pep chromosome:Setaria_italica_v2.0:VII:21334803:21338468:-1 gene:SETIT_009653mg transcript:KQK97459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMLPIAAALFFFYAAVALSGAASSKAAMVEHTFVVKQVYMRHLCNDTLVTVVNGQFPGPPVEATEGDTVVVHVVNESPFEITIHWHGVKQRLTCWADGAGMITQCPIQPNTTFTYRFNVDGQVGTLWWHAHVSILRATLHGIIVIRPKSSSYPFQKPHVDVPIIIAEWWQRDLMKVDKNFSMGGSFSDNPAAATINGKLSDLYNCSGVREDNFVLNVEHGKTYMLRLVNAALFSEYYFKVADHKFTVVGSDANYVRPYATDVVAVAPGETIDVLMVADAPPCRYYMVALANQPPAPDPQIPVFMSRGIVQYRGIPLDADKCRNEPLMPEMPDQHDTLTTFYFHGNLSGLPGHPLLPKIQGRVDEHLFISLGKGSICKGNKPSCRRGGNPESIEVAYMNNVSFRLPEKMSLLEARHYGKMNGADAAVVVQDLPSRPPRAFNYTDPALIPVVPGGELERLEATRKATTVRRFAHNATVEVVFQSTSTMQSDSNPMHLHGHDFFVLAQGHGNYDPAKDVSSYNVVDPQMKNTVQVPRLGWAAIRFVADNPGAWFMHCHFEFHIAMGMATVIEVANGPMLGDTLPPPPSDLPKCENKKN >KQK97525 pep chromosome:Setaria_italica_v2.0:VII:21688210:21691149:1 gene:SETIT_009865mg transcript:KQK97525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGFAAAAEGGGGGRDYGGGVTFSVVVTSLMAASCGLIFGYDIGVSGGVTQMEPFLTKFFPEVSSRMKNAKHDAYCKYDDQLLTAFTSSLYIAAMLSSLAASRVTRTIGRQAIMLIGGVLFLVGSAINAGAVNIAMLIIGRMLLGFGVGFTTQAAPLYLAETSPARWRGAFTAAYHIFVVLGALAANVTNYFTNRITVWGWRVSLGLAAVPAAIVVLGALLVPDTPSSLVLRGETDKARASLQRLRGPGADTDAEFKDIVRAVEDAHRNDEGAYERLRGKGYRHYLVMVVAIPSFFDLTGVIAMAVFSPVLFRTVGFSSQKAILGSVILSLVNLASSSLSSVVVDRAGRRFLFLTGGAAMIICQLAMAWILADHLGKHGAVTMPRDYALAVLVLMCLYTFSFGMSWGPLKWVVPSEIYPVEVRSAGQGLTVSIALCLSFAQTQVFISLLCAMKYAIFLFYAGWVLVMTVFVAAFLPETKGVPLEAMRSVWARHWYWRRFAGDAKQEVQVNCL >KQK97785 pep chromosome:Setaria_italica_v2.0:VII:23257898:23261222:1 gene:SETIT_009686mg transcript:KQK97785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGHRCGFDMTATTAMPDAVRTGLARSRGSSAARSASGTAAIISTSLGARASPYFRHAADLSSLRSTSSPRRLSSPIADTISAMARTRASSSERPAGRTTPPRPGAGFRRRGCSGAATAAAAAAVAMERRVSESKGFWARVGTRVGTSWASVGSASRPALSHTKKKEFLLCCLSLSLNLLAAEAKRARLSGGEMDIAGEDGKQQPHLVLAHKLFLLSHHDVDDLAKVDLRADVLAAVKSDDMAALYESLATDGVLEMDAALLAEMRARIDEEIRKFDEKIADAEENLGESEVREAHLAKSLYFIRVGEKEKALEQLKVTEGKTVAVGQKMDLVFYTLQIGFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMATRNFKKAASLFLDSISTFTTYELFTYDTFIFYTVLTSVTSLDRVSLKQKVVDAPEILAVIGKVPHLSEFLNSLYNCQYKSFFIAFSGLTEQIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTMEAMASAFGVTVDFIDQELSRFIAAGKLHCKIDKVAGVLETNRPDARNAFYQATIKQGDFLLNRIQKLSRVIDL >KQK98088 pep chromosome:Setaria_italica_v2.0:VII:24884783:24886096:1 gene:SETIT_011089mg transcript:KQK98088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMSSNPDSPSSGGGSGGGMGSSSGGASPSVGSMTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHGAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQLPGDGSGLHGHPHQPPPPPPAGAAC >KQK98089 pep chromosome:Setaria_italica_v2.0:VII:24884783:24886096:1 gene:SETIT_011089mg transcript:KQK98089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSGGASPSVGSMTPQSPSRYEAQKRRDWNTFGQYLRNHRPPLSLAQCSGAHVLEFLRYLDQFGKTKVHGAACPFFGHPNPPAPCPCPLRQAWGSLDALVGRLRAAFEENGGRPESNPFAARAVRLYLREVREHQARARGVSYEKKKRKKPQQLPGDGSGLHGHPHQPPPPPPAGAAC >KQK98423 pep chromosome:Setaria_italica_v2.0:VII:26917007:26918064:-1 gene:SETIT_012148mg transcript:KQK98423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDPPSHRKRKAPVAAVAAEDEAEAETQELRREVEELDEGLADLDRRILEHLRGTSTRLANAAVARLVALRPLARLESPTAETSIAEEEQLEKLNILKSKVEANIAYLPKVLDKMKESVA >KQK99874 pep chromosome:Setaria_italica_v2.0:VII:35163950:35164902:1 gene:SETIT_011378mg transcript:KQK99874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCSRISSPLAAVALLLLICLFHCAAAARPLPVSVAPLVIQENGAKVAADDEPVIQKDAAASGDELPSVAETEVMGAEEEAEEPACEEGNDECLQRRLLRDAHLDYIYTQHKGKP >KQK97514 pep chromosome:Setaria_italica_v2.0:VII:21605020:21605572:-1 gene:SETIT_012839mg transcript:KQK97514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATALAHATRSRFNASSSPNSTGTAPDTASPPPLPADHGLGVLQSPASRAGTGTADSREPTSRAMAVLELT >KQK96335 pep chromosome:Setaria_italica_v2.0:VII:3808852:3811643:1 gene:SETIT_012765mg transcript:KQK96335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKFGPDQLGDTHILEPRVAAERLLDFWNGWATQILVILSLTHQVILLFFSGIRRRQGRSPKRLLLWLAYQLADSTAMYALGNLSLRSTLRHNRLIAFWAPFLLLHLAGPDNITAYSLEDNKLWKRHLLTLVVQVLGAGYVVYKHIASSGILFSLGTTLMSAVAVAKFCEKTWALRCADFTIIRESLEAENTEQQGKCRLYLEDEPPQGGFKGKVVDKEEFLMLRAHAVFRVCKSAMVDSSKNPGNYVVGILKYLKENEMGYMWTLVEMELSLMYDVLYTKAPVLHTLPGYCIRVVSPLAVVASLLLFLFYGREGNRRTDIAITYILLGSAFLMEMTSLLSALWSTWTFSFLCATQWSGLRHAALCLERWHKLRRMVLSLRRLAHSIRITGFFRLSRRWSGTMGQYNMLEMCTTRPGRLAGILGYSMPAVGVPDGLKYLVVDYIQHMIKSGYVNTLGMVRKNWGTEALKRWKESSNITIKDKFLGAELHEGIIIWHIATDIFLSRRHNTKAKDKQRVKEVQILSNYMMFLLVKQPDMLPGLPQNKLYQWTKRSLATQWNEIISNGLISDSGLQPSENLASALYKELSERSVQNYRLQLAIELAKILVSTDYALDLVYEVWADFLIYTANRCSRESHAKKLNSGGEFTTLVWLMTDHLHQQYDNHH >KQK97432 pep chromosome:Setaria_italica_v2.0:VII:21185759:21187153:1 gene:SETIT_012685mg transcript:KQK97432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADADKRPAVDEILSYANDLAGVLRASNDNDANAQTGAAAGMLLSACRSDSDDLDLQIRECQEKIRSCKEKIDKAKAETITDDELNALQNKMEEKLQEEKQLRQELR >KQK96669 pep chromosome:Setaria_italica_v2.0:VII:13709076:13712412:-1 gene:SETIT_011239mg transcript:KQK96669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHLRSAAASSPGRLPAPPEDDEESVRAVAVSDQRTIYLVNMFIANTVEFLNSFAATCDDKLALLHRKIVKLDSSLALLEAKLHSIDENIALGHPTSQKAQQSSSDEKNFCSENLVGESSRSGDS >KQK96670 pep chromosome:Setaria_italica_v2.0:VII:13710916:13712412:-1 gene:SETIT_011239mg transcript:KQK96670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHLRSAAASSPGRLPAPPEDDEESVRAVAVSDQRTIYLVNMFIANTVEFLNSFAATCDDKLALLHRKIVKLDSSLALLEAKLHSIDENIALGHPTSQKAQQSSSDEKNFCSENLVGESSRFVCLLIAILLCAFIYLHKLIICSDVLNSCT >KQK97575 pep chromosome:Setaria_italica_v2.0:VII:21951290:21952602:-1 gene:SETIT_012601mg transcript:KQK97575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSIFSVLPRVTRSNGWRCFSTGIPYDTVAELNKEMESIFGESPSPSPLGSSPPQQPAQPAYEAEDSQPVLTHTDSNGQAKMVDVSPKEDTKRVAIASCRVLLGQKVFNLVASNEIAKGDVLTVAKIAGITGAKQTSNLIPLCHNINISHVRVDLTLNEEDSSVVIEGEATTSGKTGVEMEAMTAVAIAGLTVYDMCKAASKDICITDVCLQHKSGGKSGSWSRN >KQK96307 pep chromosome:Setaria_italica_v2.0:VII:3258262:3259151:1 gene:SETIT_012179mg transcript:KQK96307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVWHVAHNALQVKLNIAGREVSLDTLCPMRSRFDEHSGHLFFKCKEAKLGWQLLNMEDKRVMLMAENSPKAIPKWRAPPEDVYKINCDGSSIPGSNKAGWGFIVRDHYGNIIAAGAGLANLLLSAQPAEAVACMKGLEHAAELGMRRVILEASTDRYCNVIDDTLAAMALNCINSPLLWQDRLPDSVASLVSGDLPGAHV >KQK96147 pep chromosome:Setaria_italica_v2.0:VII:977194:981509:1 gene:SETIT_009258mg transcript:KQK96147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLELDEYRVEDAVERIIPLLEDTGITAHKAIYFDGWDGLAASAVLRAIAEDPPPSLKKKFDKILHIDCSMWKSRRALQRAIADELNLTQRVMAAFNRQDEEDDFSGVDEGSRAEIGEVWLLITESLLRCRYLVVFHNGSNDTVDLASCGIPRPEFLGSKILWTFRGRLRLNPQVKDKVDSSHLIIYRPIGWDFDDDTAKLILDEATEIVKSIQHKQSITPTIAAKCISYILWLNHEEGGGTMDYNWTTHASNYWVCDGIIEEGQSDDSWELSTALHQQIRLEDCSYQTVKFRGHEYREHWKSVIEDPSNIEEGTSSYFLSAKNGLSLPRKMFQQSYRLRVLKLSGCNFSFYSPPFCCCHSLRFLGLDKCKDQPQEEEDRQRRPTMKYLHSLWVLDVRNMDWELDLLQDSIEQMAVNIREIHVKNGRIWRSNLAWAQLQNLCKLRVIKLLDLSGNNAIQVLPTLCGATGLKTLILDGCAELDHVGPGIPPSLESFSFDVGAGDDGNNTAKISRITLAGCPKLVQFRLLGSLSKLEELDLSGTPMKILDLKKEIQVQNLQRIFLIGCKQLRSIIWPEKRMEQLRLVCIDTRQELVLTETSRDSLVCPEQEKYCHARVSVTDMRFFQSLVTIDGGEFPWITTPFKLNLYLSCTSNDDGKNCIFISRTEKLVRSSNLHKPLISMACRIYNDISIEGIATNKADGSSALQFEPQDLHVEIGQGTINTKVLNPQGVRAIRFMMDRVMSLHVHDSCSITTIIPKHIASATGQEIHYPALKWCHVEKCPKLEVVFHTNYDGDDYFFDQLETFWAVDLLMARSIWSRGRPFDREDDVSFARLRAIHLHMCPRLQFVLPLSWGHTLSSLETIHIVCCRDLKQVFPVESGFLKRVATGHPNGMLKFPKLKHLYLHDLSCLQQICEAKIFAPELETVRIRGCWGLRRLPATDRHHRDGHLVAVDCEKDWWNNLEWHGLHVGHDPSLFAPRHPAYYKKRLLRTTVLR >KQK98165 pep chromosome:Setaria_italica_v2.0:VII:25425572:25429113:-1 gene:SETIT_009868mg transcript:KQK98165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAEAVTTHPGVLLVPFPAQGHVTPMLQLARALAAHGVAATVAVPDFIHRRISGGGCAAAACGGVALASIPSGIAEDGSGSDAAPGFAVIVHAMEHHMPAHLERMLTSPPRSRPPVACVVVDVLASWAVPVAARCGVPAVGFWPAMLASYRIVAAIPELIEKGLISESGTPISSSDSSDGDDEQQDAGDQMIRGLKILPAQVELRAGELPWLVGDSATQRSRFAFWLQNLHRARSFRWVLVNSFPGEAGVAAGDDVHRLARQSPQVLPVGPALLPGGTKHQQQQPPCGNNNDGKNPSMWRADSTCIGWLDAQRAGSVVYVSFGSWVGSIGPDKVRELALGLEATGRPFLWALKRDPSWRAGLPEDFAERVAAAGRGKLVDWAPQEDVLRHGAVGCYLTHCGWNSTLEAIRHGVPLLCYPVSGDQFINCAYITGPWGIGLRLAGGMTWGDVSGSIGRVMDDAGEGRRLREKVRALRERVVAAEARRAADRNVGSFVDEVRREHPLLMQIYCHHP >KQK99917 pep chromosome:Setaria_italica_v2.0:VII:35389691:35391669:-1 gene:SETIT_009880mg transcript:KQK99917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIARVAAALIRVLYLVAVAAPVKSLLAVAKVAFSLVNAPCLRYMNQAALGRSCTGTFCGDLLVGAMAHSWRMLVQGLTSLMFFCAHADEYVRPPPSPLVLMAHDKPASHPQQVHISVVGPNHMRISWVTDDRNAPSVVEYGTSPGKYTASATGNHTTYHYFFYKSGAIHHVTIGPLEPVTTYYYRCGRAGDEFSFRTPPATLPIEFVVIGDLGQTGWTASTLSHIGGGDYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEMETLPVVEFAPFAAYNARWRMPHEESGSRSNLYYSFDAAGGAAHVVMLGSYAAFGEGSEQHRWLEADLARVDRRRTPWLLVLLHAPWYNTNQAHQGEGERMRLAMERMLYEARVDVVFAGHVHAYERFVSAALLNIDCYIWLVCEVVP >KQK99919 pep chromosome:Setaria_italica_v2.0:VII:35389280:35391669:-1 gene:SETIT_009880mg transcript:KQK99919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKIARVAAALIRVLYLVAVAAPVKSLLAVAKVAFSLVNAPCLRYMNQAALGRSCTGTFCGDLLVGAMAHSWRMLVQGLTSLMFFCAHADEYVRPPPSPLVLMAHDKPASHPQQVHISVVGPNHMRISWVTDDRNAPSVVEYGTSPGKYTASATGNHTTYHYFFYKSGAIHHVTIGPLEPVTTYYYRCGRAGDEFSFRTPPATLPIEFVVIGDLGQTGWTASTLSHIGGGDYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEMETLPVVEFAPFAAYNARWRMPHEESGSRSNLYYSFDAAGGAAHVVMLGSYAAFGEGSEQHRWLEADLARVDRRRTPWLLVLLHAPWYNTNQAHQGEGERMRLAMERMLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALRFIEDHKSAHLSVFREASFGHGRLRIVNETSAVWTWHRNDDEYATVRDEVWLESLAAAKPSLATTTAGRHTDEL >KQK99918 pep chromosome:Setaria_italica_v2.0:VII:35388921:35391980:-1 gene:SETIT_009880mg transcript:KQK99918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGLVLHARLGDGDGDGDGGELVPSRRHALLAVAKVAFSLVNAPCLRYMNQAALGRSCTGTFCGDLLVGAMAHSWRMLVQGLTSLMFFCAHADEYVRPPPSPLVLMAHDKPASHPQQVHISVVGPNHMRISWVTDDRNAPSVVEYGTSPGKYTASATGNHTTYHYFFYKSGAIHHVTIGPLEPVTTYYYRCGRAGDEFSFRTPPATLPIEFVVIGDLGQTGWTASTLSHIGGGDYDMLLLPGDLSYADTQQPLWDSFGRLVQPLASARPWMVTEGNHEMETLPVVEFAPFAAYNARWRMPHEESGSRSNLYYSFDAAGGAAHVVMLGSYAAFGEGSEQHRWLEADLARVDRRRTPWLLVLLHAPWYNTNQAHQGEGERMRLAMERMLYEARVDVVFAGHVHAYERFTRIYDNEADSRGPMYITIGDGGNREGLALRFIEDHKSAHLSVFREASFGHGRLRIVNETSAVWTWHRNDDEYATVRDEVWLESLAAAKPSLATTTAGRHTDEL >KQK96510 pep chromosome:Setaria_italica_v2.0:VII:10476949:10477650:1 gene:SETIT_012156mg transcript:KQK96510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLTDIADHVAATSFQPMDDLCKLRTVYKVMHRACGDPSIGQCMALLRTYWEDMQWNKTDRYYALLTLLVGVGNPEACTLKGIYICRIECEDGLAVSESAGPKKLRNDGCRVCHEEAAYLVNKVTWRGHGDPLPPAPFHGDFSCAGGDCGKDKGWEQAALFCNEDCRIHHEIVAFERRMGIDN >KQK98540 pep chromosome:Setaria_italica_v2.0:VII:27812413:27813981:1 gene:SETIT_012581mg transcript:KQK98540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDISDLLMRSTLDSIFKVGFGVNLGSLSGCSKEGAAFARAFDNASEQVLYRFFDLFWKAKRLLNVSSEAAMNRSVRTVNDFVYAVIDRKIEQMSSDQQEFGARPGCFDNKYLRDIILNFVIAGRDTTAGALAWFLYVLCRNQHIQERIALEVRAATATGDRDVGAQEFTASLTEHAISKMQYLHAALTETLRLYPAVPIVHSF >KQK98836 pep chromosome:Setaria_italica_v2.0:VII:29523843:29525342:1 gene:SETIT_012791mg transcript:KQK98836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNEATKEQGSHDVTVTVAPALPVQGHRLPLSNLDLLLPPLDVSLFFCYLHPAPTAAALKEALAKTLVAYYPLAGEVVANADGEPELLCSGRGVDFTVATAAGVDLREIRLGAVDESVEKLVPVKKAGGVVAVKVTKFRCGGAVVGCTFDHRVCDAYSFNMFLVAWAAAARGGPAPPAPSFRRSLAAPRDPPPRAPSTEALIDRLFSPRSAALPPPPVAASSVNRIYRIAAADVAVLKAAAGPGRTKMEAFTAHLWRLCSRAASRRQSQCCMGVVVDGRTRMFPDGAMKAYFGNVLTIPYGVIGSEELRRMALADVADDVHRWVVEAATGDHFRGLVDWVEALRPKPAAARAYLGGTGGSEAMACIVSSGMSFPVGKADFGTGLPAFASYHFPWPAGAGYVMPMPSARGDGDWVVYVHVAPELAKVMEEEPTVFRALENSYAFQ >KQK98502 pep chromosome:Setaria_italica_v2.0:VII:27526965:27527295:1 gene:SETIT_012996mg transcript:KQK98502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYRNFELFHTLFLNDDPCIRHMKLQIHLQRTDDESNSKL >KQK99359 pep chromosome:Setaria_italica_v2.0:VII:32552826:32555063:1 gene:SETIT_012667mg transcript:KQK99359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRDARRLRLRCAPPYSGGPETKGRAGTSGRRHLASRTVYGSPVPAAFALAPPHIREGEALPRRFMAASATLVFAGKSVATPAISFLINKAFTYLNKYRNAEGVAEMKDRILGRLDQIQAVFDVFNPERIKGESRALDAWLWKLRDAVEKAEDALDDVEYYELEKKAKDRKVSNWGSTFDKIKHNAMKYVTETTIVDKATKGLTHGGSLKRLRKSLEGLDKAAAGVADFVTLAECLRGSISQLEEDFLKDRETGSNLTAPEVFGQNKEKELLIGWLTKPSCEDAEIKVCSNHVSIVSIVGHGGMGKTTLAQFVCQDDAVRKHFEMVIWACISTGFDAMSATKSLQHYAALQPISGQIAKNLRGCPLVTKVAASHLRDNLSFQYWNNFLHQRLEHFGGTAEDIMNVLKLSYWHLPIEMQTCFRYCSIFPQDFVFDKEQLVKMWVSSGLISQVAFGSESLMNTGKQYLDQLTQPENHSFFFERNGKALP >KQK97841 pep chromosome:Setaria_italica_v2.0:VII:23520968:23521603:1 gene:SETIT_011818mg transcript:KQK97841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDNSVAKIIGLTGLALSSGASAALATGACSWCALQAYREGRLSRGWWWLRVGSLGGVSTLEQALDYDCALCRRGLDQREEVRTLSCDHVFHLRKSAKCENTIDAWLRENQMRCPVCCKIAYPVLPWKPPPASAPPAPARSPSTTDLEAQLPLPSAFVARPRRQPPRLPSEWFEDTLQPPSPSPSPSPSPSPSPSPSPSPSPSPSPSPSQ >KQK98664 pep chromosome:Setaria_italica_v2.0:VII:28528802:28536430:1 gene:SETIT_009173mg transcript:KQK98664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEWQELYSPCFWMTTFALIQLIFIMSISAQFLFKKIRWWRQRLKTATPDSNKQHQEHEITDIKLGISYKACKACCLLLLAAHVVRTVFPQLHEKISDCKYPPFILCEGLQVLSWLILALAVFGFQKTKSAKLPLIIRSWWIFNFLQSVTIVTLDLRSILTVNEDIGFEEGIDLFMLVVCTYLFAISARGKTGITFTYSNITEPLLSPSVGQQAEAKRACPYGRASIVGLVTFSWMNPVFAIGYKKPLEKNDVPDVDGKDSAEFLSDSFKKIIDDVERRHGLSTSSIYRAMFLFMRRKAMINAGFAVLSASASYVGPSLINDLVKFLGGQRQYGLRRGYILAVAFLSAKVVETIAQRQWIFGARQLGMRLRAALISHIYQKGLRLSCSSRQKHTSGEIINYMSVDVQRITDVIWYTNYIWMLPVQLSLAVYVLHRNLGVGAWAGLAVTLAIMACNIPLTRMQKRLQGKIMVAKDNRMKATTEVLRSMKILKLQAWDIKYLQKLEALRGEEYNWLWKSVRLSALTTFIFWGSPAFISSITFGSCILMGIPLTAGTVLSALATFRMLQDPIFTLPDLLSVFAQGKVSADRVAKYLEEEELKCDAVIEVPRNETDYDVEIDHGIFSWELETTSPTLTDVDLKVKRGMKVAICGMVGSGKSSLLSCILGEMPKLDGTVRVSGSKAYVPQTAWILSGNIRENILFGKPYDKDKYEKIIKACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYEDADIYLFDDPFSAVDAHTGSQLFKDCVMGILKDKTVLYVTHQVEFLPAADLILVMQDGKIVQKGKFDELLQQNIGFEAIVGAHSQALESVMNAESSSRMLSDNRKSADSEDELDTENEMDDQLQGITKQESAHDVSQDISEKGRLTQEEEREKGGIGKKVYWAYLRAVHGGALVPLTIAAQSFFQIFQVASNYWMAWASPPTSATTPMVGLGLLFSVYIALSMGSALCVLARSLLVSLIGLLTSEKFFKNMLHCIMHAPMSFFDSTPTGRILNRASNDQSVLDLEIANKLGWCVFSIIQILGTIGVMSQVAWPVFAIFVPVTVVCFLCQRYYIPTARELARLSQIQRAPILHHFAESLAGASSIRAFGQKDRFRKANLGLVDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSIAGLAVTYALNLNSQLASIIWNICNTENKMISVERIMQYSRIPSEAPLTVDHYRPPNSWPEAGTINIRSLEVRYAEHLPSVLRNISCTIPGRKKVGIVGRTGSGKSTFIQALFRIVEPREGTIEIDNVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDHRVWEILDKCQLGDIVRQSPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNVLVLDEATASVDSSTDAVIQETIREEFGNCTVLTIAHRIHTVIDSDLILVFSEGRIIEYDTPSKLLENESSEFSRLIKEYSRRSHGFSGTANS >KQK99760 pep chromosome:Setaria_italica_v2.0:VII:34615586:34617144:1 gene:SETIT_011295mg transcript:KQK99760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDLLTKTRKLVKGLAKPAPKWLKAMEEAPPVTFPRVDGKVKKIELPEDVYVKKFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEQGVSEDYVMAVADVIPKCTTKPIPKCHQRNTSRGKEVCYGPFL >KQK98886 pep chromosome:Setaria_italica_v2.0:VII:29772068:29773856:-1 gene:SETIT_011769mg transcript:KQK98886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGKYEPAGEGLPDGWLKECRPRKNRNGSRIKGDMFYIDPVNGYEFRSLKDVYRYLESGDISQCVTLPNKRKIEDLHTAGDQSDHTGKPSDHTQPDTDVESNEYHIPRGTNTLRNVQREAVRVEASESESIQSGLIEHTPGKAESVTRTGANAEQRPKEKKRKTKPVKGIATPLRSSPRLAALKISQEANNSAPRDELISTNSDITNQSQPKQAQKPRRKSNSSVLPERKDESSSEKFEDKYPSVPNQVQGASVPNSSGDAACQNAPAGAPVLPQQIGQGGTSDNNMPGSALSSLFRHVWSDPCLVFAFRTLMGDIPVLNDTLAYRSSAYDGNRSYFLPPQNLNKGAAPNWSSSAYDGNRNHTQVDHVSLSVPRPSDKFYGSGWFPPQ >KQK96294 pep chromosome:Setaria_italica_v2.0:VII:3119848:3120316:1 gene:SETIT_011784mg transcript:KQK96294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDVSSPLRVYSRQRRRARASSPPAAPDSQVESSLATPIQRLSRVCKSVDKLLPQPVIQKHWKKAPLPGSLPCRSRHVVATKPCLPGPAVTDAQKTQDSYSKLYKPLLSDSHVSAMAAIFGWTVGEGDEVRSTEVLAML >KQK96292 pep chromosome:Setaria_italica_v2.0:VII:3107862:3110631:1 gene:SETIT_012594mg transcript:KQK96292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGGGATARMDPEAATELVRKGSTLLLLDVPQRILFGIDTQVFSVGPKFKGMKMVPPGPHFVYYCSSSRSGSEFAPTVGFFVTMQPSEVIVRKWDAQEERLIKLSEEEEIRYSEAVRRFEFDDQLGPYNLESYGDWKQLSNYLSQSTIERL >KQK96422 pep chromosome:Setaria_italica_v2.0:VII:6729604:6732825:-1 gene:SETIT_011056mg transcript:KQK96422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAECRYEVAQAAYVKLALHALKHPSAPVNGLLVGRLVEPSSTPAVVSVVDAVPLSHHPQHLPLLPTLELALTLVEDHFAIQGDGLAVVGYYHANPRRDDADLPPVAKRVGDHIFRYFPRSAVLLVDNKKLEEAVQGKSREPVVQLYTRDSSKSWRQAGSDGSSQLALKEPSSNVVLADHVTTKKWEKLADFDDHLDDISNDWLNPGLLD >KQK96421 pep chromosome:Setaria_italica_v2.0:VII:6730415:6732676:-1 gene:SETIT_011056mg transcript:KQK96421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAECRYEVAQAAYVKLALHALKHPSAPVNGLLVGRLVEPSSTPAVVSVVDAVPLSHHPQHLPLLPTLELALTLVEDHFAIQGDGLAVVGYYHANPRRDDADLPPVAKRVGDHIFRYFPRSAVLLVDNKKLEEAVQGKSREPVVQLYTRDSSKSWRQAGSDGSSQLALKEPSSNVVLADHVTTKKWEKLADFDDHLDDISK >KQK99032 pep chromosome:Setaria_italica_v2.0:VII:30587173:30587905:1 gene:SETIT_011803mg transcript:KQK99032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENKSPSSCVSPAPTSTMSAGESSWAVHIASFLASSPQDRGMDQQAAVSGGSFSSGFSSSFNSFDDDVSFITSELMCDDDEEDESLQDTACSSAAATKVATMENFDIKAMPIMDAKEFNMPQLAKYFEAVGSQQPVTKADQQLINSYSNNAKALYESNELRKKGLCLVPISMLINYLG >KQK97170 pep chromosome:Setaria_italica_v2.0:VII:19409887:19411965:1 gene:SETIT_010398mg transcript:KQK97170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTTGGAAATAPGKKGETPAATRSGALKRLPLALLVFFAALLYSQIQPPPSKVPGAPGGPPVTAPRTRLRDGRHLAYLESGVPKEEARYKIIFVHGFDCCRYDVLNVSQGLLQELGIYLLSFDRPGYAESDAHPARTEKSIALDIAELADNLQLGPKFHLIGFSMGGEIMWSCLKYIPHRLAGVAILAPVGNYWWSGFPADVVEEAWYVQFPQDQRAVWVAHHLPWLTHWWNTQNLFHSSSVKGKNPIILSKEDTALSQKFLDRTYKEQVRQLGEHDSLHRDMMVGFGKWGWSPLEMENPFAGAGDEVKVHLWHGVEDLFVPVQLSRYISKKLPWVIYHELPTAGHLFPAADGMPDVIVRSLLLGDE >KQK97083 pep chromosome:Setaria_italica_v2.0:VII:18885462:18887320:-1 gene:SETIT_010611mg transcript:KQK97083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQARHFSHDFPAAVGGSLFLDEYAGCAPTTAPAWPRDTTVLRDFPRSDLACNYGFVPRKRPRLAAAEAPAAGCFLDDQRAVMIPAGIEGLVAVPSGVVDAQSRVVGSGVASTSGRAANGASAARGFLAWMHHQGMEIDALVRLEAERMRAGLEEARRRHARALLAAAGRAASGRLRAAEAEASRALRRNAELEEKARQMGAECQAWMGVARSHEAVAVGLRATLDQLLQSPRAAEGDAEDAQSCCFEAPPAAGDVDGAAGSSKAVAAAPSCRSCGGGEACVLLLPCRHLCLCRACEAAVDACPVCAAAKNGSLHVLFS >KQK98948 pep chromosome:Setaria_italica_v2.0:VII:30110828:30111187:-1 gene:SETIT_012718mg transcript:KQK98948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRARNGRADFVATGLANVITAMSYLLFVVVTAGRNDPGGTPVGAGTVWQAILAFGLMSAGLLMVTYGMRAPGARPPVLVRRAADAAGAALLHAGGPQRLMPVVILLVLSFLEAWFDFF >KQK99614 pep chromosome:Setaria_italica_v2.0:VII:33808655:33809651:1 gene:SETIT_011074mg transcript:KQK99614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGRSREKTCCSSCCTLLISLGFVVLIYWAIFQPHQIRAAVESAELSNLAVSNASSPVAVTYHVAVNLSLYNPSKRVNIYYDTIDAELRFRGAVLSPAAAAASPSEFYQRRKTAQAVRLEFDGKSVAVPGDVSTELENEVKGAAMLGLELSVNVRVRYVFGSIKIRQKPRVLCAVSIPVPTTPGGFSFLGSGDRCWVKY >KQK98619 pep chromosome:Setaria_italica_v2.0:VII:28304683:28305674:1 gene:SETIT_011250mg transcript:KQK98619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSAHAAFAPAPAVAASRTFSFTAAPSPSRTLRPAAASGAVRNQHRQSTVTACLQPLSASHGAATRLYVHGLSFRTTEESLRNAFEKFGDLTEVNLVMDRAAKRPRGFAFVSYADEEQTKTAIEGMHGKFLDGRVIFVEVAKRRPGL >KQK99606 pep chromosome:Setaria_italica_v2.0:VII:33760239:33765532:-1 gene:SETIT_009323mg transcript:KQK99606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGDALRRLCEEVGWSYAVFWKAIGAADPVHLVWEDGFCGHASCSAGSEAAEAGCEPGSGVCTLVRKVMALQIHVVGEGTIGRAAFTGNHQWIIHDPANEHSLRSEVSAEMNYQFVAGIQTIAIIPVLPRGVLQLGSTNVVVEDTNLVLQYKKLCSQLNNRSSVASSSSVKNDLNQKVQSRPLNGPPSIYPADVRPKIFNGSPLTYQQCYGLDATTVSSSTLANTGSNASMLMVAQRNGQMVKEHILYAPDMRFRTQNPYCDRTGESNTQSSVVSSGFVSSISTSMERHPLLMTNTRQLEQGNMGELSDPRNILLKSLAYRNPLVHENTNMTLLHGRSQVSDFVNGHGGFDFLPEGTRVVKGNLYASTANQILEQRCSSTSGVTGHKATISYKMPQSSQYVMKMESPKGEAFQASAAVSSASHLSSSLKTAISQGKQMSSSDLAGPGKANEVQNPADVIVQAVKNMDRRKLPDISNERAPSLLMDPTAESDLFDMFGSEFHHLRCNVDNDLIGKAAKPESSNRDAPESSIHVDTSPAFDSVDDEFPYSGIFSLTDTDQLLDAVISNVNPGGKQISGDSASCKTSVTDIPSSSYCRSKEPKRCESSVAPSSLIKNELAVSNLVKQPSFLEKADDGCLSQNNGIHKSQIRLWIESGQNMKCESASASNSKGVDTSSKASRKRSRPGENPKPRPKDRQLIQDRIKELRELVPNGAKCSIDALLEKTIKHMLFLQSVTKHADNLKDSNESKILGGENGPLKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEARKNKIWARFTVEANRDVTRMEIFLSLMRLLEPSCDGGGAGENPNNVKVPLGVVQYPVIPATGHLR >KQK96271 pep chromosome:Setaria_italica_v2.0:VII:2816348:2818882:1 gene:SETIT_012759mg transcript:KQK96271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVPMMASPSRPTFQSPNQHMAHPFASNMQINVNQGPSDMMPMLYHTFNSQPAMVAPMAQSVGQQIMQPIVMQSDNQHPVMQLQPTNIAQCHWENMAQLQGQPIAQPNGHQSYLLSQNVCAYAQHLQPTVQQQYFGINQQPVGLQRYQMLGANVAKTNDGYSGGWNNQQNAGWASGIQSPSTACEQVELKRQTNMESQLMPLAERQITINQQSNAHCPSPQSQARMESAGEVDWREEMVQQQKLSADESIDQVRQNVVTTPSAEKKTDSKQLQEVKGPCFSIKSPGALQSSPITDVGLSCVLSLTDKSGVASPNALLKSRSQSSIAHPVVIAVASPCLSTKSTLTSTVDKLGFVAGASSCSSVKSASPSAIAKSGILPVASPSDGDSSSLLHNNGAVNCCNLTPDPPCQTHTPAGQAEDQEHGGAETPVAKRPIDRLVAAVSLGYCSPS >KQK97530 pep chromosome:Setaria_italica_v2.0:VII:21727454:21728530:1 gene:SETIT_012289mg transcript:KQK97530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAPVYLAETAPPKWRGAFTTGFQLFLSIGNLAANLVNYGTSRIPTWGWRLSLGLAAAPAAVILAGALLIPDTPSSLIVRGRVEEARAALRRVRGPKADVDRELEDVARAVAAARAHEDGAFRRILRREHRHHLAMAVAIPLFQQLTGVIVIAFFSPVLFQTAGFGSNGALMGAVILGAVNLGSTLVSTVTVDRYGRRPLFLTGGFVMIICQVAVAWIMGSQIGGDGGSVMARPYSLAVLALTCVFSASFGWSWGPLTWVIPGEIFPVEIRSAGQGISVAVNLGATFLLTQTFLSMLCALKYATFIYYAAWVAVMTTFVVAFLPETKGVPLEGMGAVWERHWYWGRFVQPPVKVADEEP >KQK99946 pep chromosome:Setaria_italica_v2.0:VII:35499140:35501896:-1 gene:SETIT_011918mg transcript:KQK99946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQERTQPATKRARPSSPPQARAADAEDRLSALDDATLHAILARVPLRDAAVTAALSRRWPRVFATLPRLVIRPATFNRRGFPDEGDEDRCEDPRRWMDALRRVLDGRAAPVAALEIDSRFMSVHCNWFNKVFRELCCNGGLLELSIVNTDYTECYALPSTVYACTTLTSLDLYNCWLRLSGSLVGLRPLRLLRLRNVTATDAELCRLIRRGSTMEHLEIHDVHKARNIIIEAPCLKKLYIYSYRPLCIVVKKAPPLDMVKLSLSYGCPEHSWSLHDTKDIDRDYSIHEMEEMLDYKKMAEREHKQTDEIKNMVKFLCGVSSTKSLRLHLSTEYSEVVSMSKASIPKSLPKKSYLLGLKSLSLILDHNHEVLSTFVSCLLNSCPNLKDLRIIELRHPGSPAPLPAEFWFEKINGGGFLYRLSSVTFYTDSLFEGHPCGGICKFLVMNAITLKKMSIKYHHSQVKPEHAAKLEAARRELRAWPRASDHVLLELTPIDYFPSF >KQK96417 pep chromosome:Setaria_italica_v2.0:VII:6307171:6312385:-1 gene:SETIT_010633mg transcript:KQK96417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRSAGVAMAWNVFRFCTALRGLGSIMILLVLVIVGVTYYAVVLCNYGPALLAGGATTLAALAVLLLFHFLLAMLLWSYFSVVFTDPGSVPPNWNLDFDAEMGETAPLASSEFSSQMNSQQSVALGSMGNPRVRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDIDIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHISLVSANTTTIEAYEKKTTPHWIYDLGRKRNFAQVFGNDRKYWFIPAYSEEDLRRIPALQGLDYPVRPDFDGQEL >KQK96416 pep chromosome:Setaria_italica_v2.0:VII:6306571:6311615:-1 gene:SETIT_010633mg transcript:KQK96416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWSYFSVVFTDPGSVPPNWNLDFDAEMGETAPLASSEFSSQMNSQQSVALGSMGNPRVRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLSLLPHFIAFFSDIDIPGSPAALATTFLTFVLNLAFSLSVLGFMIMHISLVSANTTTIEAYEKKTTPHWIYDLGRKRNFAQVFGNDRKYWFIPAYSEEDLRRIPALQGLDYPVRPDFDGQEL >KQK97197 pep chromosome:Setaria_italica_v2.0:VII:19554137:19555891:-1 gene:SETIT_010577mg transcript:KQK97197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGAVGPAPPADARATISCGGCMVASPRRKSSSSSSNSSHRPISGFRGGRTGTLTGADWWYGGAAGPAFFGLAVAGMARAAEFGDWVGDIAPPLPAPAPVPVAAAAEAEAEAAAAAMVALRRSSVPALAAAAAAAVPPPPAPPRTRRRLSPSCIASAARRPRCPCACGAPRPGLLGGGGAASGDEKRKSSSWSSSPATNEGSVGDDEGVDGDENIPMKRSPAGDRRVRYSSIARSGPPGCPLLSWPRLERAMRRRGGLVFMGVCAARDPPGWGRGETRAAGPHARSLRSVPRLGGTRPGGGAGRGCYGGTSGEGRRLGAGGWICQVFAH >KQK97612 pep chromosome:Setaria_italica_v2.0:VII:22166411:22171724:-1 gene:SETIT_009246mg transcript:KQK97612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSFSPHPSPLLLSLPSTSASKPRARLQPVIASASASPSPELLGKSALRRISDKLRSLGYLETGSEAPAPAPVTSGDAPSAGEIFVPTPAQLPRHRVGSTLDPSWATADGEAGSATRRRGRGRGRDAPGSSSMPPSAAELALPRDELRRLQGIGIRVRKRLKVGKAGITEGIVNGIHERWRNAEVVKIRFEDVWAMNMRRTHEILERKTGGLVIWRSGSTIILYRGTNYKYPYFHYRERMDGFLDEESSEQSSSGDEDEDPGVESEALSNSAEEDETSFHHDSSHESSENPVIACAEQRSTGEGKNNNIGYLKQSLSREKDTAHPILSTKRLVFDTLGGNLDLRAGAPNQQHARLHVKTHADHLGKVGPRDRSSLVAGVGSPNKFRLQLPGEVKLAEEADRLLDGLGPRFSGWWGYDPLPVDADLLPAIVPGYRRSSNLQGLAASMIKLWERCEVAKIAIKRDAHNTDSELVTEELKGLTGGTLLSRDKESIVFYRGKDFLPPAVSLAIEKRRKWGSSTIYKTKPNAEESTLAQDASVLKVSSDVSVQIHEEVTSVSENRAESLNTVAQNVETRLSQAIAEKEKAEKLLEELENASQPSKADTREVITEDERYMLRKVGLKMKPFLLLGRRGVFDGTIENMHLHWKYRELVKIICKEHSLKDVEYAARTLEAESGGILVAVEKVSKGHAIIVYRGKNYQRPSTLRPKTLLTKRDALKRSMENQRCKSLKLHVLNLSKNIDYLRDQMNSSYYYNAIHDPSVNSGTLQQKNEEVPELAPMSSEPEVEECTSVEMDGTLNLTKSGAPFDDNDMQSKVCLNKPGDGPSVTVSPPCLTGRSSTVSFNGLNRHQNQYGSTVTFNPDSHSEGDSKDVDASKFDLESDPLLPLRATPLTNQERLMLRKQALKMKKKPVLSIGRNNVITGVAKTIKTHFKKHPLAIVNIKNRADGTPIQQLISELEEATGSVLVSRETNKVILYRGWGAEVAQKSSMENSANGEEKEVISPQLLEAIRLECGLLPGESG >KQK98861 pep chromosome:Setaria_italica_v2.0:VII:29645805:29647035:-1 gene:SETIT_012561mg transcript:KQK98861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHDPGEPWRAPLEEVPFFAAHHQRPRLRLRRRIRPDHLRRRRRSGLDDFLGPGRGAARRQEVPILPAPAPDQHGGALRQPRFLVFLHVLLAPCCPWRAADQPMAMISYISWISLLFCLYLFFLITSLSPATKCAALIFLRVSYLVVVGWVAAYYVSPFTGMILLYLNTFPAAGFFGYALAEHHLRNAPAFRSLKSKPKATPNKATSVHAAEADPKVKTTQHPAFYMHLLSIACGVRVLWVCCALSPRIAVLEASVAVGFCLFSWTCYLHYWPLNQMYFDVEGLGKLTCCAVMSFALYAAMGGQLFGEVFGVWFTVAGITGYHGYSLAMCHCYKQLLRRPR >KQK98498 pep chromosome:Setaria_italica_v2.0:VII:27521400:27522248:1 gene:SETIT_011054mg transcript:KQK98498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSDAAASAQADDAGAICAQIAAVFSAPSSHPPAGSVLVSELAAAAARGGRVFVHGVGREGLMMRALCMRLAHLGLPAHCVGDVTAPPAGAGDLLVASAGPGSFSTVDAICGVARGAGARVLLLTARPEGDFSRRQADVVAHLPAQTMADDDEEAEATELERASSRAKLPMGSLYEGAMFVLFEMVVLELARVLGQSPPQMRARHTNLE >KQK98077 pep chromosome:Setaria_italica_v2.0:VII:24825035:24827522:-1 gene:SETIT_011285mg transcript:KQK98077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFKRFVEIGRVALVNYGKDYGRLVVIVDVVDQNRALVDAPDMVRCQMNFKRLSLTDIKIDIKRVPKKTTLIKAMEEADVKNKWEKSSWGKKLIVQKRRASLNDFDRFKVMLAKIKRGGAIRQELAKLKKEVAAA >KQK98703 pep chromosome:Setaria_italica_v2.0:VII:28720450:28721570:-1 gene:SETIT_012660mg transcript:KQK98703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCCHDAGVKKGPWTEEEDRALVEHIQRHGGHVGSWRNLPKAAGLNRCGKSCRLRWTNYLRPDIKRGNFTDDEERLIIALHAELGNKWSTIATHLDGRTDNEIKNYWNTHIRKKLLRMGVDPVTHQRLPPDDILASAAGAPGLSEALLSAAASLGGLNNVLMQVQALQLLLQTINGGAAAAGLMANNNFGSAADNNAMFNARSMVPNFQDQMNLLAHANYRPVDDYLNNIASFSEHDAVQQLNAASSAPAPTAAALAVPASFPQEVAAAADRPVQGFADLLSEANEMPNMCSLEDDRFWKDMLAESSSLPL >KQK98548 pep chromosome:Setaria_italica_v2.0:VII:27868254:27871576:-1 gene:SETIT_010357mg transcript:KQK98548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPTTANPGVRLVGWKEAPALGMASASRLALPCRAAVAPHRTGRGKFPVAAITLDNYLPMRSSEVKNRTSTGDITSLRLITAVKTPYLPDGRFDLEAYDSLINMQIEGGAEGVIVGGTTGEGHLMSWDEHIMLIGHTFNCFGTRIKVIGNTGSNSTREAVHATEQGFAVGMHAALHINPYYGKTSTEGLISHFEAVLPMGPTIIYNVPSRSGQDITPEVIEAVSGYSTMAGVKECVGHERVKCYTDKGIAIWSGNDDECHDSRWKYGATGVISVASNLVPGLMRSLIHEGENAALNEKLLPLMKWLFCQPNPIALNTALAQLGVARPVFRLPYVPLPLEKRMEFVQIVEAIGRENFVGQKEARILDDDDFILISRY >KQK96440 pep chromosome:Setaria_italica_v2.0:VII:7278681:7279373:1 gene:SETIT_012406mg transcript:KQK96440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPTELLTVITIHLAATSDQPMEDLGRLRLTCTVMRRMCGLRAVGRHMALLRYYSLLRLLVDVGNPEASLLIGIPYFFGGYQSSLDQLSRAAVGGLNVMVYLYAPMLYRNAGGTTDDDIAKMYILCLEGQEGMAGSGSIGPKMLHNLLCRECREDAVYLVVGILWNKVALPPTPGHGEFPCDGGDYSFANGWGEDTLFCSEDWRLRHELLAFERRIVD >KQK96653 pep chromosome:Setaria_italica_v2.0:VII:13425348:13427237:1 gene:SETIT_011085mg transcript:KQK96653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETFTDQPPIYPPIDLPVNTRANAADFDGPVPKPGVEVNLVDAVPCRIAFERGKERHFCFLALSNGTSGWILLLEELPLKQQRGIVRVMAPLAGSDPRIDEKHEKWLHLRIRPSTLPFLDSGKQKGKTKKYLVDGRWTLAFSDEQSCKAAEAMVIEEMKLQQDAVGKQLQPLVEFDMPEDGLQHPQPSPHDIPSDDGS >KQK96688 pep chromosome:Setaria_italica_v2.0:VII:13972485:13974290:-1 gene:SETIT_011830mg transcript:KQK96688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAFGSGGGGGAMVTPRFARQVVLGRWFMVFSAVMILSASGATFIFGMYSKVLKTSLGYDQRTLNTLAFFKDLGYNVGILAGLINEVTPPWVGLSIGAALNLFGYLMIYLAVDGRTARPPVWLMCIYICVGANSQSFTNTCALVTCVKNFPESRGITLGLLKSFTGLSGAIFAQLYLAIYGDNAKSLVLLIAWLPVTVSILFGHAVRIMPHPRNSSTSTATTNSAFYRFLYISIALAGFLLVMIVVQQRINFSHAAYAVSGAAVLLLLFLPLTVVVKQEYMILNELEESLQEPPTLTIEEPAALQMVEQEQQNATVEKDAELPQQQLASSSSSLRSCLKHMFTPPPRGEDYTILQALVSVDMLLLFMATICGAGGTLTAIDNMGQIGQSLGYPAKTINTFVSLISIWNYAGRIVSGFTSEILLSRYKFPRTLMVTMVLLLSCVGHLLIAFGVPQSLYLASVLVGFCFGALWPLVYAIISEIFGLKYYSTLYNFGTVASPIGAYLLNVRVSGYFYDVEAAKQHGGTLAGVDKTCMGVECFKKSFLIVTGVTVLGMVVSLVLVWRTRKFYKGDIYARFRDNASAKVLPAGISIASEGQPRKEA >KQK96281 pep chromosome:Setaria_italica_v2.0:VII:3015391:3018333:1 gene:SETIT_012658mg transcript:KQK96281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLVVGMAVFYSPACKDSYPIYWRRDDGCKEIIRGHILDNQWVVPYNPCLLCTFNCHINVEACSSIKSMKYLFKYIYKGHDRASVAVREAGKKDDKGNVDEITQYREARWVTPPEVMWRIYSLDLSKNHPPVQQLQLHLPDMHMVTYHKRDKIEQVVKHPGADESMLTAYFDYNKLHEEARGILYHDFPEHYTWESNGKFWKPRKNVVYQVGILVLAHPAEGERYFLWVLLNHVAGATSYRDLRTVDGVLLPSFCEAAERRGLIEEDNILDECLTENSLFYMPSSLRRLFATILVFCEPNDVFGLWTKHLDAILVEQMVLIDIRNMLQSMGKDIRSFPLPGIDDAYDDASGIPREIFEEASIDQNSEDVGLSDSLNEERRAAYEEIMSKVDTKQGGLFFVDGPGGTGKTFLYKALLGTLRNQNKFAIATAPSSAAASIMPGGRTAHSRFKIHLTLEDGGCCIDASLRRSYLWESMHHLKLVRNMRAQSDPWFVEYLLRIGGDTEEVNGDGDVCLPDGICVPYSGDSEKDLDRLIECIFPNLNANMTNKDYITSRVILSTRNDWVDNINMKMIDMFQGGEMVYHSFDSAIDDPYNYYPSEFLNTLTPNGLPPHLLKFKIGCPVILLRNIDPANGLCNGTRLVVRGFQRNSIDAEIVLGQHAGKRVFLPRIPLCPSDDEMFPFQFKRK >KQK97415 pep chromosome:Setaria_italica_v2.0:VII:21119185:21122340:1 gene:SETIT_009912mg transcript:KQK97415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTFTSSARLQACDIPSRRLCRATLGARQSVDPGRPPLEVRLHRPSLSDEHAFRSIPAPSLPPERAAPHLLSLGVTMSSMESSYLPATTESLAKAQEAKDASESISILYRVIQDPSSSADALRTKEVAITNLTNYLTKENRAEELRNLLTQLRPFFAVIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVEWTRAEKRTFLRQRVEARLAALLLENQEYTEALALLTSLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKASLTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFSALEDPKAIFSLKYMLLCKIMVNQADDVAGIISSKAGLKYLGPDVDAMKAVADAYSKRSLKYFETALRDYKSQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPYSRVEIGHIAEMIELPVDHVEKKLSQMILDKKFAGTLDQGAGCLIIFEDPKTEEIFPATLETISNAGKVVDSLYMRSAKIMA >KQK98303 pep chromosome:Setaria_italica_v2.0:VII:26156241:26157430:1 gene:SETIT_010462mg transcript:KQK98303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSNLGHHLAVRFRSSGGCLLLLEHAHHVGHGRPELWAVAHALHGELRHGGGLRGVVLGAKPLVHHVRNFTAADLWPHRGREPVPGLAADGLLPRQELEQQHAKAVHVALLGGHAGAEEVGVDVARGAHDGARRGASAAAHRGRDTDVREPEVAELGVEVGIEEHVGGLDVPVDDGLVAALVEVAVGRVLEHQHALVALVAVAEEADDVRVAEREEHLELPAERAVQALAAAADLDGAEPRGGERGEVHRAEPAAADHAGREPARGGLHLGPRQLPRRAAALLLRLHWLGRRNSRARPAHLPALPEVATAPAGEREETATTEHCEIGSARVSYLLREEQVLCSFNRLASLVAGE >KQK98592 pep chromosome:Setaria_italica_v2.0:VII:28145591:28148253:-1 gene:SETIT_011099mg transcript:KQK98592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLERRRPRRASTRHPYAARRTIARGWCRVPLRPDATSPSKVPAPTPAAAVASLPGRRTWVTGPSSLVFDPNLCQSGGHQNRVRNSSPPLLASAIPNVICCVEAVMDHGLPSFLAQAILNSLNHQPNSRSGCQHEQRPTTARGNASKQRFFMNPLAKLPWIWVRSCSLTLGCWWTASLKVCAVFDNMFFEPSIFHVYF >KQK99735 pep chromosome:Setaria_italica_v2.0:VII:34474215:34477169:-1 gene:SETIT_010016mg transcript:KQK99735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGRTLLLPLAAATVLVASTIFLFAAAGARWRPADTGLPVPPHAFSAAAVPVTATASSNTTTGGRKELSFLDENGRPDDPGSSSGSAGCDPRGAAVRVFMYDMPPEFHFGLLGWSPPSPDSVWPDLSTPPPRYPGGLNQQHSVEYWLTLDLLSSSSAPCGPAVRVADSRDADLVFVPFFASLSYNRHSRPVPPEKVARDKALQEKLVRYLSARPEWKRYGGADHVIVAHHPNSLLHARGPLSPAVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDLAGFDDRPTLLYFRGAIYRKEGGSIRQELYYMLKDEKNVYFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDVLDYSKFSIFVRSSDAVKKGFLMRLISGVSKQQWTRMWNRLKEVDKHFEYQYPSQKDDAVQMIWQALARRVPAIQLKVHRSSRFSRSGRGK >KQK98985 pep chromosome:Setaria_italica_v2.0:VII:30332309:30337817:-1 gene:SETIT_009973mg transcript:KQK98985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSSYGENVRRKSHTPSAIVIGGGFAGLAAADALRNASFQVILLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCEENPLAPIIGRLGLPLYRTSGDDSVLFDHDLESYALYDTDGHQVPQELVQKIGKVFEAILEETGKLREETNGDMSIAKAISIVMDRHPHLRQEGIAHEVLQWYLCRMEGWFATDADSISLQGWDQEVLLPGGHGLMVRGYRPVINTLAKGLDIRLNHKVVEIVRHRNRVEVTVSSGKTFVADAAVVAVPLGVLKANTIKFEPRLPEWKEEAVRELSVGIENKIVLHFSQVFWPNVEFLGVVSSSTYGCSYFLNLHKATGHPVLVYMPAGRLARDIEKMSDEAAAQFAFSQLKKILPNAAEPINYLVSHWGSDENTLGSYTFDGVNKPRDLYEKLRIPVDNLFFAGEATSVKYTGTVHGAFSTGVMAAEECKMRVLERFRELDMLEMCHPAMGEDSPVSVPLLISRL >KQK98402 pep chromosome:Setaria_italica_v2.0:VII:26784608:26785362:-1 gene:SETIT_011270mg transcript:KQK98402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSASGMAVSDECKLKFQDLKSKRSFRFITFKIDEQTQQVVVDRLGQPGDTYDDFTASMPDSECRYAVFDFDFTTDENCQKSKIFFISWSPDTSRVRSKMLYASSKDRFKRELDGIQVELQATDPSEMSMDIVKARAL >KQK99615 pep chromosome:Setaria_italica_v2.0:VII:33815831:33818344:-1 gene:SETIT_009410mg transcript:KQK99615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSGGCAVQQELAPDAAAVMRQAVSLARRRGHAQVTPLHAASAMLADAGGLLRAACLRSRASSHPLQCKALELCFNVALNRLATAGPPAPAMFQQFHAHHAAGGHRAPTLSNALAAAFKRAQANQRRGAGSTSADVAARVELEQLVISILDDPGVSRVMREAGFSSAEVKANVEKAASSPEQQSSNTASSTTSAASPNTKPSRESNKAKADAAGDAARVLDCMASWRSRCVAVVGESAGAAEGVVKAVMDKVSKGDLQLQHECLKNAQLVPFSAASFQRLPREEVDARAGDLRAIIREARAAGKGVVLVLEDLACAAEAWAAASWKRSGHARYYYCPVEHAVMELSNLVRGGGGRGHDMFWLLGFSAYASYTSCRSGQPSLEAVLELHPILVPDGSLAGDSEITHCGAADMAMATAASVPSWIRRCQQQGPVPTGSELTLSFSSPASSSLSGFAHHDANMSCEPRHDLIDRRRQPLHLQNHGHHGPTMADSCDQQLIASPNPGSSNSVSKSNSSDGATEPAARRRRKFTELTAENLKILCGALEARVPRHRDIAPGIASAVLQRRSGVTRMTRPSSATTWLLFKGRDSDGKTAMARELARLVFGSYAEFTCITAPELTLAPSGSNSGDSLKRQRSPDDEHGYMQRLYETIRDNPHRVVMIDGAEHDSEDGIKNAMATGTVRGCDGDAVSLEDAIVVSCDEVFESSSRVSSRRLVKQQRVMSDVVVDSKVEEDGTEKGDVPRFGLDLNACAAAMDGEGEERSPPPNDMEILKAVDGVFFFQC >KQK96599 pep chromosome:Setaria_italica_v2.0:VII:12730714:12738635:-1 gene:SETIT_010522mg transcript:KQK96599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMQNLRPQGQVSNILKRHEDLKERLSRDSDKLIFERLQKEFEAARAAQTEEISIDDDDWNDGLLATIREKVHMEADRKAMANQVNVPADLPFQSKTTYRIRNKVIYCLDGARIGIQYETFFAGEPCEIFHCVLESKSFLEKMTVIEHTLPFFLPIRELESDLLSSNAIKFIDHLEEILQAYIDRREQVRLIKELYGNQIGELFHSLPYNVIEFVLEDFECKITVSIRYSDLLLTLPSQARVLAWPLRSSKRISTRSSSASAAQPVPFHLSYAEEALKTLCLPEAYADIVLDLPHALKRILTSQDSD >KQK96600 pep chromosome:Setaria_italica_v2.0:VII:12730985:12738421:-1 gene:SETIT_010522mg transcript:KQK96600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRTSPPHDYLHMHVEQLRRRHQSLSELTFARDEDAKLETTRARLSNILKRHEDLKERLSRDSDKLIFERLQKEFEAARAAQTEEISIDDDDWNDGLLATIREKVHMEADRKAMANQVNVPADLPFQSKTTYRIRNKVIYCLDGARIGIQYETFFAGEPCEIFHCVLESKSFLEKMTVIEHTLPFFLPIRELESDLLSSNAIKFIDHLEEILQAYIDRREQVRLIKELYGNQIGELFHSLPYNVIEFVLEDFECKITVSIRYSDLLLTLPSQARVLAWPLRSSKRISTRSSSASAAQPVPFHLSYAEEALKTLCLPEAYADIVLDLPHALKRILTSQDSD >KQK98382 pep chromosome:Setaria_italica_v2.0:VII:26677040:26678354:-1 gene:SETIT_011802mg transcript:KQK98382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VTGLSPRLRPADVSSVAHGQHSCVVARNGRARLGRVVVTAAHVATGPKYCRLRGVVAIAAPDAISRELFDQRARPGNYTASNQLTTMRKDRFFEIEMEVRDDELDEYGVVNNAIYASYLHSGRDGMLEQLGISVDYWTSTGNAMALSELNLKYFAPLRSGDKFTVKVKPIQIKGVRIIVEHMVETLPHRELVLEGRATAVCLNKDFRPTRVFPELSASLMEVFSCKVA >KQK97416 pep chromosome:Setaria_italica_v2.0:VII:21123728:21125119:-1 gene:SETIT_012201mg transcript:KQK97416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAAKHRGHASQQQQQHVDEAMLGGVHGHRPTAARPAPRRAKLKILLVVIATNLVSVYLFSGASLSVHLPASAPRIHLWDSAALLRDLNATRAELAGARAELAALRSQCNASSSLLESVLAGLGAVHGDAPETRDFGGWPEEPAGELKLAIEPHRLPLGFHPNFGTDELFPGLGFACRNFQDELARYMAYDAGGECPDDDALALQLALKGCEPLPRRRCRARSPVGYVEPAPLPRSLWSVPPDTTVRWAPYTCKNYTCLVRRARARGGVPSFCKDCFDLEGKERRRWQADNGGVGFGIDSVLRSRAPGTVRIGLDIGGGTGTFAARMAERNVTVVTTTMDLDAPFSAFVASRGLVPLQLTLMQRLPFADGVLDIVHSMNALSNWVPDAVLEAALFDIYRVLRPGGVFWLDHFFCLGPQLNATYVPIFDRVGFRRLRWKAGRKLDLSAERNEWYVSALLEKPMT >KQK97030 pep chromosome:Setaria_italica_v2.0:VII:18376063:18378316:1 gene:SETIT_010110mg transcript:KQK97030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEGGGGGGQEAFEARVKRLFGSRLFDAVPDSSFPAASWSVASGDVERHRWAKPSEARDAEEEAAGEAGRADTPCASAFYDANGCLRGRRRRSRQEEFEGNLDDLDEVEEEEEDGGKGRKAAEEDEEEGVRVNIGLDPTLDREEEEDKYDREAFGREDAADRVYMHDIMDDGINMSINSIVPDLLDDSIEEVYRSSKDPRADIRAASARLREDDGSAKDGASHFAARAKELPTAGMQMKKAVEDVNVKPILKRKEEQADLKPRKRVRFDASVKDPESDMLEHDEDSPMVPQSMDVVTEKDSTPTPSESPGVPDYVRNPSKYTRYTLDIPESNDASNRRALADLHDLLGKSDPNKIHSETPVEIPSSVTFIPRKKSVDAMAVDEGPRTSDSNSSVIGMVAGASDETDQCEMDEDDSRASATPQMPTNSKLSSRRYRSSRTEDNDE >KQK99422 pep chromosome:Setaria_italica_v2.0:VII:32863777:32865866:-1 gene:SETIT_012569mg transcript:KQK99422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLVRVEVLVTLSCTLLVTLVLLGSSRRASRSAAFRLVVWSALMLSYPAVSYTIGLMQSGSFSNELVIVWACFLGCADGIASCSVDDSDQQARTMLNQAAQIIYVFFLLFSYATSLPLHLKILLLLIWLLIVAKLGVRLKSFFSVGRDRVLSIENRLITMYMRDKKNLLVSGEAGLEDGRGEYKFSVPNSIVTIEMVWQCKGQLLNSDNPQARRLKDLCLSFALFKQLRRLLSGCSLRLRGQTHFDGSTKYERHIFPDEDTGNAVDSQERMHRIVEPSPRETLVPETVLFLAVLTTSLSTLFIPTLFNYHSPDRDMSISTTGFDIWLTRMVIILFVLLELFQYSMLVFSDWHKVTMLCRYVQDKLWQKHPILEMLLGPMCRVALKRQYWSNSVGQFSLLHTCIRSENELMFRLPLPSLIRRFLVRNRMMTRRDLPMTVKHAIHSHGACFSSLVRGQVALQYVDRIQTGILQELCPTISHQSVIRSMLIWHIATTLCTYKSEASMVAAERDTIRDQEVATTVSDYCAYLLFYAPELVTKNYRSTQISMEILQSTSIAAKLMSVAPSDTVMEHVKKLATGGEFITHVWTWLTDNGIMTQPTEFFP >KQK98037 pep chromosome:Setaria_italica_v2.0:VII:24649358:24654827:-1 gene:SETIT_009679mg transcript:KQK98037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVNVADKAEFKECLRLTWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFAAVEKSTVLRETIVSMAVAGAIVGAAFGGWMNDKFGRRPSIIIADALFFGGAVIMAFSPTPTVIIVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLAYLINLAFTKVPGTWRWMLGIAGVPALVQFILMLMLPESPRWLYRKGRKEEAESILRKIYPANEVEQEIDAMRQSVEEEVRLEGSIGEQGLIGKLRKALGSKVVRRGLMAGVIVQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSVVSMFFVDRAGRRRLMLISLVGIIVWLAVLGGTFLGAAHHAPPVSDLETRLLANQTQACPGFNPNVRWSCVNCLKAASTCGFCAHQGDKLLPGACLALNDASRRTCRAGHREFYTEGCPNNFGWLALIGLGAYIVSYSPGMGTVPWIVNSEIYPLRFRGICGGIAAVANWVSNLIVTQTFLSLTKALGTAATFFLFCGVSSLALVIVFFTVPETKGLQFEEVERMLERKDYKPWKRYHGVGDVGPGKNREIGLSAP >KQK98036 pep chromosome:Setaria_italica_v2.0:VII:24649744:24653339:-1 gene:SETIT_009679mg transcript:KQK98036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGVNVADKAEFKECLRLTWTQPYILQLVLSAGIGGLLFGYDTGVISGALLYIRDDFAAVEKSTVLRETIVSMAVAGAIVGAAFGGWMNDKFGRRPSIIIADALFFGGAVIMAFSPTPTVIIVGRVFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLAYLINLAFTKVPGTWRWMLGIAGVPALVQFILMLMLPESPRWLYRKGRKEEAESILRKIYPANEVEQEIDAMRQSVEEEVRLEGSIGEQGLIGKLRKALGSKVVRRGLMAGVIVQVAQQFVGINTVMYYSPTIVQLAGFASNNTAMALSLITSGLNAIGSVVSMFFVDRAGRRRLMLISLVGIIVWLAVLGGTFLGAAHHAPPVSDLETRLLANQTQACPGFNPNVRWSCVNCLKAASTCGFCAHQGDKLLPGACLALNDASRRTCRAGHREFYTEGCPNNFGWLALIGLGAYIVSYSPGMGTVPWIVNSEIYPLRFRGICGGIAAVANWVSNLIVTQTFLSLTKALGTAATFFLFCGVSSLALVIVFFTVPETKGLQFEEVERMLERKDYKPWKRYHGVGDVGPGKNREIGLSAP >KQK98927 pep chromosome:Setaria_italica_v2.0:VII:30002250:30002869:1 gene:SETIT_012643mg transcript:KQK98927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRPIRSVAGEGSSRPRALPPIHLPSPSADDRFEPVLRRFEPVRTDSSSSTATPPLKPISAPKLDAGVAAAHRPSVPRSSGWRSRRSTAELAHAGPWLDLARIRQGLVRQLPATTFLSGGFSLEAVVRSLNSSVPFPFPRCHSSIFHCSAYTCVVQWTIRLVRKSEPYLHRRFELLPEILHHGSVPELVL >KQK97442 pep chromosome:Setaria_italica_v2.0:VII:21221449:21221897:-1 gene:SETIT_012925mg transcript:KQK97442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYFLQHYATRIKAANTNKVTFTNLNLFNCNFLLA >KQK97115 pep chromosome:Setaria_italica_v2.0:VII:19162307:19163549:-1 gene:SETIT_010811mg transcript:KQK97115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSSDQVPAAARKLEGKVAVITGGASGIGECTARLFVKHGARVVVADIQDELGGRLCAELGAAAASYVHCDVTAEADVAAVVDHAVARFGGLDIMFNNAGIGGAACHSIRESTKEDFERVLSVNLVGPFLGTKHAARVMVPAGRGGCIIGTSSLASAVAGAASHAYTCAKRALVGLTENAAAELGRHGIRVNCVSPAAAATPLATGYVGLEGEAFEEAMEAVANLKGVRLRVADIATAVLFLASDDARYISGHNLLLDGGFSIVNPSFGIFKD >KQK98766 pep chromosome:Setaria_italica_v2.0:VII:29034763:29037445:-1 gene:SETIT_009817mg transcript:KQK98766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQPLHLLLAQPHHPRLPGLLPNHPTHYLPTSRLRLRVRLRRPKLLAAVPSRRPPGSQADAPGWEWAPNAAAALVLQLAVCSLLFFFPSRARAHAPPPPAAAAAAVEATQEEDDQEWEAALQKWKTKTYALSVPLRVVALRGSFPPSWIKDFVKAQGKRIKFSPELRANLDGIFSEMSQCMDKGQVKPKSAMAADIVSIGDSWLGYAIRKGLLEPVKNAEEQDWFRSLSDRWKVHLCRNQNGEADPNGSVWGVPYRWGTMVIAYKKNKFKRHNLKPIQDWEDLWRPELAGKIAMVDSPREVIGAVLKHLGSSYNTVDMETDVSGGREAVLKSFTQLQKQVQLFDSMNYLKSFSVGDVWVAVGWSSDVIPAAKRMSNVAVVVPKSGSSLWADLWVVPCASRFQTDQIGGRTRGPSPLIHQWFDFCLQSARSLPFRQDIIPGASPLYLENPVPEVPQDKNKRKPKLDTNIVRGVPPPEILEKCEFLEPLSGKALEDYQWLVSRMQRPRLGLFGNALQKISSVLDLKSRL >KQK99695 pep chromosome:Setaria_italica_v2.0:VII:34256150:34259428:-1 gene:SETIT_010168mg transcript:KQK99695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSLAVTSLPPFFSPFPWRRENQESPGHHHHHGHDGSPISSKVGCLIDSLLWRRGLLCCVKASPIPMAYRRKQGAADDHRSSYPQESASSYSYTSFKTINEPKLGLWQTLASKAKGILDEDALAHKFEDFRKERPRSNSGSSSGDQAPQSRWSFENHWKTGEAAARIRPEALSASVNQLGGRIKNALEEGLTIVDNKTSSIIEETKKIQIRRKPTSSSSYVSNSAVHTISTPNLSLDQDKSAAEETQLKASRDVANAMAAKAKLLLRELKSVKADLAFAKQRCAQLEEENKLLRETKQKGSKTEEDDELIRVQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFSTTQDVVSLDDGDMEDDDPEEDSNLMYTENLLPVVEENSGDEELSPVPSRPESPMVGPEQPSSPISSNSQNPSKPDASASDTA >KQK98096 pep chromosome:Setaria_italica_v2.0:VII:24914003:24915700:1 gene:SETIT_012371mg transcript:KQK98096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVAVDAVPATQGSSATAAVAVVTASALAGVSAVVCISVSVPGLLGYYSTFAFYLATFARGVWPDRVDAVLGPLGAYVRQKALLARADLRLLRAHPATAPVCAGAEECARRARAAVAGWADGARRRVEAKWREHKDAAGAALFVLRLVGCLVRLAATALLDAACEQAKTKAPSVLGYLRSVIHGIRSPTSSCKKGDEAEAEEEDEDAGFVFRDVVCLIGIPFYLLFSIQLIKRSINIITGMQFYGACLATTCGLAVLVADWIDPPDDDDSDEADTTGDSPDDAADTATPEEAKLHAELEVRGSWQFMRVLIFIAFCADAYLLHVTFGPQPLALALLAVCNLEVLNLGREVQLTPDDDGEGAEGPAEGVGGAVDEWRCGAVTVFAASSVKVLIIYLVLDFFMAALSFLWVCAMADLLLAEEESLLELLDASGDDEDREENAGSGEGIGGVVEEGADEARAEEHTDTSSSEDEEEDYTLQEHCDSSEVHSNTTSLEDEEEDALEKQHCDSSEEHDEHLKEQREEEPDCSGGGSMDDGWDLVEVDPEMPVKDETGVNRKSFRLFPWK >KQK98951 pep chromosome:Setaria_italica_v2.0:VII:30133522:30135151:-1 gene:SETIT_011746mg transcript:KQK98951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGAKGKGKGRLRAQGEEEQGLDHISDLPDAVLGDIVSRLPTKDGALTQVLSSRWRRMWLSAPLNLDLQFHPIPLGVIPHILSSHRGPGRRFSTPVPCYYYEEEELRNLSAATLDEWLLSPALDNLQELLFGHEIPCRDRGNPPPLPTSALRFSRTVRIATFRVCRFPDGINVRLPLLQELGLSRVIISESSLQALLAGCPVLQSLMLTYNDSYSRVRIVSPTIRSIDVASGSGSSDLSLQQLIIEDAPCLERLDQKAHFKYGKVMNILVISAPKLGLRLVTMTAVVRRVKVLALSNANLSLYVVLNIMRCFPCLEKLNIRTLLKGKKNVWGPKCRNLINIRDIHLKKLLLTNYEGSESHVNFVKFFVLKARVLESIRFELAFSQVTSGWIEWQRRLLQFEKRASRSALLDFVSCNSMCNTNL >KQK96425 pep chromosome:Setaria_italica_v2.0:VII:6897914:6898169:1 gene:SETIT_012828mg transcript:KQK96425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTIWLKLSSPVLANEQWPSLFWLGRRKESLMKLDLAFASALVSA >KQK99949 pep chromosome:Setaria_italica_v2.0:VII:35508037:35508512:1 gene:SETIT_012595mg transcript:KQK99949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCHSSGRSRRPETSEPALPPEAPPAEELSSYEAACRSDPELRTFDTKLQRCTSRAISSAVGVEVRSLSLDSLREVTGCLLDTNQEVVRVILDCKKDIWKNPELFDLPPHPCLKRARDSQLLLHVALQRFDDKEDTAAAAPSVSLSGRR >KQK97383 pep chromosome:Setaria_italica_v2.0:VII:20924974:20927808:-1 gene:SETIT_010966mg transcript:KQK97383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVGGRGGVEVEEGVMRVLLVDDSPVDRRVAQLLLSSDSCAGSFHVIAVDSAKKAMEFLGLKDGKEQAIDMVLTDYCMPEMTGYDLLKAVKALNPLKPIPVIVMSSEDEPQRISRCLNAGAEDFIVKPLQSKDVQRLRNCSTARPGKPTPPCEAVAKRKPLVLPPSAIAAASPSGRRAKFVGVAMVLHSSSVELSQYFPLLLKLVVVVYAVLCVGELLHRWSSGGGCSLALWCA >KQK96586 pep chromosome:Setaria_italica_v2.0:VII:12329428:12329919:-1 gene:SETIT_012465mg transcript:KQK96586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSQTSFSECTNTLFSMAKDLSQEFGAHVAIVAFSPTSEPKAYGAPTIDSILYTYPPEIHSSPSPACSEMREAAETAFLPEAEKAHQAAAWSKILAAQTSVGKQNWWEVDMEALGAEELPVFVSALEVLRTDVQRYLDTMELSWKENMQS >KQK99887 pep chromosome:Setaria_italica_v2.0:VII:35234271:35236470:-1 gene:SETIT_010920mg transcript:KQK99887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPLAGFCCSVIFIVFVCSRLACALIRRRRARRARRAPDLPQYAVSHYSYPVHVARHSVGSGAGGGLDPAAVAAFPTRAFAATPRGSGASDDSSEADSQCIICLAEYEEKDVLRTLPYCGHDFHMACIDLWLEQNSTCPVCRISLLDNPDTDSDHTTPPLHSIVISPPSSPESSRSDPCRCLFVSAGHSSRASEAPRHEPDQENQVASDPSVDGANNMPLSEVNPTPENNSQTVRKQVDRSTQLGPCK >KQK98590 pep chromosome:Setaria_italica_v2.0:VII:28124172:28126146:-1 gene:SETIT_010491mg transcript:KQK98590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTIAKPLLSDLVAQIGQVPLSHVRPVGDRPDLANVDNESGAGIPLIDLKKLNGPQRREVVEAIGRACGSDGFFMVTNHGIPAGVVEGMLRVAREFFHMPESERLKCYSDDPKKAIRLSTSFNVRTEKVSNWRDFLRLHCYPLESFIEQWPSNPPSFREVVGTYATEARALALRLLEAISESLGLERSHMVAAMGRQAQHMAVNYYPPCPQPELTYGLPGHKDPNAITLLLQDGVSGLQVQRDGRWVAVNPVPNALVINIGDQLQALSNDRYKSVLHRVIVNSESERISVPTFYCPSPDAVIAPAGALVDDAHPLAYRPFTYQEYYDEFWNMGLQSASCLDRFRPG >KQK99672 pep chromosome:Setaria_italica_v2.0:VII:34149773:34152403:1 gene:SETIT_011001mg transcript:KQK99672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRHLAASPSCHHHHAASLQLRRLPSCPRPLRSRLFTRIYALSSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYVTGNTVEKTFRAGSTLQEPSLSKETKQFTYKDGSQFVFMDLTTFEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVTDTDPGASDSVQGGTKPATLETGAVVTVPSFVNVGDDILVDSRTGQYMNRA >KQK96583 pep chromosome:Setaria_italica_v2.0:VII:12291061:12299299:-1 gene:SETIT_009172mg transcript:KQK96583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPWWLATTACAAPPPGHGSLADLLAFVLLSPCPQRALVGAVDLVFLAACLVLLARRPRSGGGSAVAAAAPPEREALLQKPSHHPSPPPFRYVVSLGASAVLAAASVVLLALAILLLPSTPWRAAEAAFLSVHAVAHGAAAWTVATSRRAGAAQGAHQAHLRVFWLATALGAVLFSASAVVRGADGSLIFPDDVLAFAGLLVSLPLAYVAATGFTGHGTGAGDCEPEHAGEEAPASPYVAASFLSRATFSWIISLINKAYAAESLIADDVPPVPPGLRAEAAHDLFMSNWPASPASRHPVGVALWLSFWPRLVLTAFLGLARLAAMYVGPSLIDQFVEFIRRGGTPWEGLRLVLILLVGKAVQTLASHHYNFQGQLLGMRIRGALQTALYRKSLRLTAGARRAHGAGSIVNYIQVDAGIVSFAMHGLHGLWLMPLQIVVALLLLYTYLGPAVLMTLAVITAVTVITAFANKFNLSYQLKFLGVRDSRVKAITEMLNHMRVIKLQAWEDTFGGKVRDIRRDELGWLAKIMLFMCANTVVFSSGPLAMTVLVFGTYIASGGQLDAGKVFTATAFFRMLEGPMQNFPQTIVMSMQAFVSLGRLNKFLTDAEIDTTAVERVESGGAEDTPVAVEVQGGVFAWDVPASEEMRSSDSQARLGVEENGQGNGSAELVTVLKGIDVEVRRGELTAVVGTVGSGKSSLLSCIMGEMHKVSGKVSIFGSTAYVAQTAWIRNGTIQENILFGKPMHLERYSEIIHACCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGSTIFMECLKGMLKNKTVLLVTHQMDFLQNVDTIIVMKDGLVIQSGIYGELLASCPDFSDLVAAHHSSMETTGEQGCHVQNTESSQASTGSVDVPSINSKSNDENGETTGTAINKEAGSSKLIKEEEKESGRVSWRVYKLYMTQAWGWWGVVVILVVTLLSEGSSMASNYWLSYETSGGPVFDTTIFLGVYASIVATTIILEMITTIIVTFLGLQSAQAFFNKMFDSILRAPMSFFDTTPSGRILSRASSDQSKIDTSLVFYVGFATSMCISVVTNIAVTCQVAWPSVIAVLPLLLLNIWYRNRYIATSRELTRLQGVTRAPIIDHFTETFLGAPTVRCFRKEDEFYQTNLDRINSNLRMSFHNYAANEWLGFRLELIGTLILSITAFLMISLPSNFIKKEFVGMSLSYGLSLNSLVYYTISISCMIENDMVAVERVHQYSTLPSEAAWEVADCLPSSNWPSRGDIDVKDLKVRYRQNTPLILKGITVSIKNGEKIGVVGRTGSGKSTLVQALFRIVEPAEGRIIIDGVDICTLGLHDLRSRFGVIPQEPVLFEGTVRSNIDPTGQYSEAEIWQALERCQLKDIVASKPEKLDALVADMGENWSVGQKQLLCFGRVILKRSRILFMDEATASVDSQTDAAIQRIIREEFAECTVISIAHRIPTVMDSDRVLVLDAGLVAEFDAPSKLMGRPSLFGAMVKEYASRSSSSKETDG >KQK96247 pep chromosome:Setaria_italica_v2.0:VII:2463607:2464150:1 gene:SETIT_012975mg transcript:KQK96247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCAANKKLQLVLCMALIVAAVAADVKPTCQVPNSVTACVEQIKDIKNNQGKLFSPDCCKQLTKQFGCGCTLRTALIDANLFDIQKPFCVEGTACE >KQK97955 pep chromosome:Setaria_italica_v2.0:VII:24169992:24170464:-1 gene:SETIT_011682mg transcript:KQK97955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SITIELKVYMHCDACERKVRRTISNCEGVETVEVDREENKVTVTGDFEPEVVVKKIKKKTGKKVEILILEKDEEEEGMGQEPYGPYYYENPEMYPDDADVPDEFRSYRPERWNFDYFDDENAQACMIM >KQK97438 pep chromosome:Setaria_italica_v2.0:VII:21205323:21205814:-1 gene:SETIT_012716mg transcript:KQK97438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HTRTQRHGEEEEANRGLRRGRGKREALQSEQSRVEKDHGRSRQERTRMGEAPCVVVVAPRRAAAHSFRLPRHGRRKVHVVRLGGGGGGPGARAPARRGFRLRRWLRRAVWRLAELCVAALSSGHHPAGAPAACAHPPWTGVEPYFAAPFVPIARMKRAAGAQA >KQK98044 pep chromosome:Setaria_italica_v2.0:VII:24690974:24692815:1 gene:SETIT_012509mg transcript:KQK98044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADERRYATHGRDAGDNCRWARARWGTGGYSASAHRADVPGRYGADYGRPPQKRPLGSGDLPKHVHFVSATGSAAVPSGDSRNPASSSSWDPARQATSPAPAPGSLASNTVVAKMMKRMNYKEGTGLGRHGQGIVAPIEVIPRPKNAGLGTAEGSITGWADDEPPPSAENWPKWDEAGGAKKRKRDLVFDVKILATPPEERAAEAVAWVHKALARASRWSSGGQGEPCYGEEAAAAAISKAIARVQEASASGALTTGELIREFTALKEQCPREYTTYRLADAARAIAAPLLRRAVFRQWEPLSDPSRGQEAVLELKGTLLDDGSAASPYAALVDDVVVGPALASAAETWDARDPEPMVRFLETWGDALPLPAIQRVLEQVVMPKLSAAVESWEPLWEPVPCHVWVRPWIPLLGRWLEPLYATVRGKLGRELQGWHAARASAARDMVLPWKDAFGPAAWGEFVGGHVVPYLRRGLRAVRVTPPEQDDGGFRGMMRWAPSVVSASDMARLLEEEEFFGKWQDALCRWLWAARPTVAEAMAWHEGWKRFLTPELLADERVRVPIEAGLEKISRAAQGLEIYRPPGRGQHAREVYSCRYRSRGRAGVGCGRNRRQQ >KQK97388 pep chromosome:Setaria_italica_v2.0:VII:20963455:20971553:-1 gene:SETIT_009381mg transcript:KQK97388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRHPPPSHQAPAAQPERHGSFNYDIENTDAAWRGAHTASEALLRYDDDGPREPLLRKRTRNTTSQIAIVGANVCPIESLDYEIVENDLIKQDWRSRKKQQIFQYIVLKWSLVLLIGLCTGLVGFFNNLAVENIAGFKLLLTGDLMLKQRYITAFLAYAGCNLVLAASAAAICAYIAPAAAGSGIPEVKAYLNGIDAYAILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRGLIQFCRSGKCGLFGQGGLIMFDLSSTIPTYSTQDMIAIIVLGIIGGVFGGLFNFLLDRILRAYSFINEKGAPYKILLTITISIITSACSYGLPWLAPCTPCPADAVEQCPTIGRSGNFKNFQCPAGYYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLMLPLVMLVLLISKTIADSFNKGVYDQIIVMKGMPFLEAHAEPYMRQLVAGDVVSGPLISFSGVERVGNIVQALRITGHNGFPVVDEPPLSEAPELVGLVLRSHLLVLLKGKGFMKEKVKTSGSFVLKKFGAFDFAKAGSGKGLKIEDLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGVSSLSPHFTLHCKKLTSMTFEFMLQHNLSYSFLTDLRR >KQK97387 pep chromosome:Setaria_italica_v2.0:VII:20963439:20971553:-1 gene:SETIT_009381mg transcript:KQK97387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRHPPPSHQAPAAQPERHGSFNYDIENTDAAWRGAHTASEALLRYDDDGPREPLLRKRTRNTTSQIAIVGANVCPIESLDYEIVENDLIKQDWRSRKKQQIFQYIVLKWSLVLLIGLCTGLVGFFNNLAVENIAGFKLLLTGDLMLKQRYITAFLAYAGCNLVLAASAAAICAYIAPAAAGSGIPEVKAYLNGIDAYAILAPSTLFVKIFGSILGVSAGFVLGKEGPMVHTGACIANLLGQGGSRKYHLTCNWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRAFFTTAVVAVVLRGLIQFCRSGKCGLFGQGGLIMFDLSSTIPTYSTQDMIAIIVLGIIGGVFGGLFNFLLDRILRAYSFINEKGAPYKILLTITISIITSACSYGLPWLAPCTPCPADAVEQCPTIGRSGNFKNFQCPAGYYNGLASLFFNTNDDAIRNLFSSGTEKEFHMSTLFVFFTAIYCLGLVTYGIAVPSGLFIPVILAGATYGRIVGTLLGPISDLDPGLFALLGAASFLGGTMRMTVSVCVILLELTNDLLMLPLVMLVLLISKTIADSFNKGVYDQIIVMKGMPFLEAHAEPYMRQLVAGDVVSGPLISFSGVERVGNIVQALRITGHNGFPVVDEPPLSEAPELVGLVLRSHLLVLLKGKGFMKEKVKTSGSFVLKKFGAFDFAKAGSGKGLKIEDLDFTDEEMDMYVDLHPITNTSPYTVVETMSLAKAAVLFRALGLRHLLVVPKTPGRPPIVGILTRHDFMPEHIHGLFPNLHKSH >KQK99071 pep chromosome:Setaria_italica_v2.0:VII:30774008:30777696:1 gene:SETIT_009632mg transcript:KQK99071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFLEPSFCLGLGKAGFFFWAQIEPTKLLEAVFVRPSQAGQQGGIRAVHPADRSVGGSTPTPPPPPTPTPTPPPLLYYSPPPLPVRCRRPSSSCSLSRPPPSNQSCATAPLLFLFALAAPAQQPSMLLVDRYVRLVDELEDVIQKTGSWQLDPLIEGVLADDFPECTSREEAEEKREAAIEALVMRYRAEVEISALASLSKLKASRRSRPGALRREPVAFSGAEDPAYYSEVLDGIDLHLHRLADPPRITSLSLGISWPPDHHLRTRPPAAFIAGCDESILVLYPGFYLVYNSWADSVAIVPPLSCSRVNFWSHYNIGGGVAVLNFIPARCYVLAELLLRKDDPSRHATLFMWWSPGSGPLAGRWIQKEVALPLPLPADEDCSFLADMAFAAAGTSLCWVDLLTGILVCNLIDRLATNIKDARAVFHFIPLPPKCAVKLNGTLRGQPEEYRSMCPVNNGDTFKFVSMVGYREGSPMDKVVLTTWTLKNALSMENWEWEEDAASFCIRDLWDDPIYKDKLKLQPLTPSFPVLCTQHDGVMYLSVTDYEYKHGQEGLQLQATGFYELGLDMLTGTLFSAIKLPSDERGIVQRPWIFTSHFGNYLNMISD >KQK97936 pep chromosome:Setaria_italica_v2.0:VII:24066564:24067334:-1 gene:SETIT_010892mg transcript:KQK97936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTPSTPASRLRREDAARAVAALLRWLQKHPTPAPEPIYLIVALKSAPARRFKHQLRLPHSPFPSISLVSDRLPADLPDDVDPLPSSALRSLPATARRGLVLVDRRLRVPGAGGSGKPAGAKRGVTVPVDPSDPAWAESAREAARCVELQVEGGTCRAVRVGHGAMAREEAVENVVAAVEAAAACVPRKWRNVRALHIKSPESVALPLYSVPGTSSGQDVDGDGNPEAAKREFAAAAAEEQGRVKRRKKSGVDCN >KQK96967 pep chromosome:Setaria_italica_v2.0:VII:17793853:17794430:1 gene:SETIT_011559mg transcript:KQK96967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDWAPVVVGVVLFVLLSPGLLIEFPGTTRWVDFGSLRVTGKAATIHTLVFFTLFAIITMACNLHIYA >KQK97890 pep chromosome:Setaria_italica_v2.0:VII:23771354:23773009:1 gene:SETIT_012197mg transcript:KQK97890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQTKLLLGPCEQTQAQASRAIPSHHLSSEYVRIRLCWFQLPPESEHTTAFSVYSVSRRRRLMGMEREMVVQHARRAALFLLTCVVSARAAAAIPDGLLPNGNFEEAPATSQLNGTRVMGRYAIPHWEISGFVEYIGPAQKQGDMILPVPEGAYAVRLGNEASIRQLLNVTRKAYYSITFSAARTCAQAERLNVTVAPESDVLPIQTVYTANGWDSYSWAFKARRSAVTLIVHNPGVTEDAACGPLLDSFAIKTLQVPQRTKNNMLKNGDFEEGPYIFPDTPWGVLVPPLDEDDYSPLSPWMVLSSTKSVKYLDAAHYAVPRGAHAVELVSGLETALVQDVRTVPGRPYRLEFSAGDAGNGCVGSLAVQAYAARGSVK >KQK98110 pep chromosome:Setaria_italica_v2.0:VII:25003149:25006478:-1 gene:SETIT_009460mg transcript:KQK98110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQFLHLGFGLLLLLSVQQHAAAVVIPSPQCQTHCGGIEIQYPFGIGDNCSSPGFNVSCLAQGSVYKPFIGNLELLNISLIHSTIRVLNHISTYCYNHSSGHMEGSSWTLNGSSSSYRFSDVHNKFTVIGCNTLAYISDINGTGYQSGCVSTCSDPSDLVDGFCSGMGCCQTAIPKGMGYYKVGFDSGFDTSQIWRFSRCSYAVLMEADKFNFSTTYINTTKFNDTSIGRAPVVIDWAIRKGRMSCEEAKLNKMGTYACLSRNSECVDSPNGPGYLCNCSKGYEGNPYLDDGCHDVDECNYSPCPSSGGICHNLKGSYRCSCRVGRKFNEQGHTCDPDTGLIIGVTVGSLVLVIFSSLGYMILQKRKLNQVKQEHFREHGGMILFERMRSEKGLAFTVFSEAELIQATNNYDKSRIIGKGGHGTVYKGIVKGNMPVAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPKGTLFELIHGKNQALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDENYMAKVSDFGASILAPSDKEQYVTMVQGTCGYLDPEYMLTCQLTEKSDVFSFGVILLEVLTGQEPLKLDGPETERSLSSNFLSAMKENNLDAILPSHVKGQENNELIRGLAELAKQCLHMCGSNRPSMKEIADELGRLRKLSLHPWVQVDVEMETQSLLGGASTASFEMEGATSIGYPTQEGENLPMNPGSSYYAR >KQK98218 pep chromosome:Setaria_italica_v2.0:VII:25700834:25704518:-1 gene:SETIT_010872mg transcript:KQK98218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRMDQPCMAAINSQPLVADVEAVKKSSGDMPTTMGSGCFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLRPGVESTASDNSSSARRQCPVCKATLSPDTLVPLYGRGGSSKKSLNGVAIPRRPMVHRETVEHQNAQSNVDDQQHHQSMEANPLHQPLQHAHYRPNPAGFDFIYPPAPLGRGLIHSTAGGVLGGMAEAVLPLVLRGQLPASLYYTSPYHVAAQNVNPRQRRHLEIERSLHQIWFFLFVFVVLCLLLF >KQK98217 pep chromosome:Setaria_italica_v2.0:VII:25700834:25704637:-1 gene:SETIT_010872mg transcript:KQK98217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGRMDQPCMAAINSQPLVADVEAVKKSSGDMPTTMGSGCFDCNICLDFAAEPVVTLCGHLYCWPCIYEWLRPGVESTASDNSSSARRQCPVCKATLSPDTLVPLYGRGGSSKKSLNGVAIPRRPMVHRETVEHQNAQSNVDDQQHHQSMEANPLHQPLQHAHYRPNPAGFDFIYPPAPLGRGLIHSTAGGVLGGMAEAVLPLVLRGQLPASLYYTSPYHVAAQNVNPRQRRHLEIERSLHQIWFFLFVFVVLCLLLF >KQK98327 pep chromosome:Setaria_italica_v2.0:VII:26315227:26319455:1 gene:SETIT_009369mg transcript:KQK98327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYKKLYSYTHLINGFALHAESEKTVRILSRAKGVRLIQEDIKMVKMTTHTPNYIGASGVWPLLGGAENSGDGVVIGMIDTGIDPKNPSFVSSNLSSQAKSPPASFKGTCRAGNRFPPDSCNGKIVGARWFARAAQATGEFNATVHYASPYDSDGHGSHTASIAAGNFHTPVISGGYSFGYASGMAPGARLAIYKAAYPFGGYMSDVIAAVDQAVEDGVDVISLSMAPSAVSSGPASFLNLLEAQLLLATKAGVSVVQAVGNAGPDENTIVSFSPWILSVAASTTDRKYRKSIIIGNGKAFSCGALSAPTPGETMYPLAWADDVIVENSTDDGWYNKCRDPRIFIKPLVQGKLIVCMFDSSDYYDDISLSSIIDTIQKIGAAGVIITDHSSHDVDIEFEPTFPTTVPSAILLKGSDMRALLRYYNNNTVRDDDGNVVSFGATARILEGRHATYTGESPVVADYSSRGPDVENSQMQPAEVLKPNVMAPGHLIWGAWSPTSTALPEIHGESYALLSGTSMAAPHVAGVAALIKQRHPAWSPAMIMSAIMTTADATDRSGRPLMARGEEGSLDPATPFDMGAGAVNAARALDPGLVFDAGYLDHLQFLCAVPGVDDAAVLRAVGAPCPPPRAGGAARWCSDLNSPSVTVASLVGSRRVERRVTSVGAQNETYAAYVRAPEGVAVRVSPAQFAIAPGATRALRIVLNTTAPGNAFSFGEVVLRGDRKHHVRIPLAVYPAGTLGP >KQK98328 pep chromosome:Setaria_italica_v2.0:VII:26315582:26319564:1 gene:SETIT_009369mg transcript:KQK98328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFFKPSLVFCSVLTFLSLNCGPSHVFAKVYMVVMEDDPVISYKVNRKHVMRGEEAQKYKQVATTKHDSFLDSFLPMGSYKKLYSYTHLINGFALHAESEKTVRILSRAKGVRLIQEDIKMVKMTTHTPNYIGASGVWPLLGGAENSGDGVVIGMIDTGIDPKNPSFVSSNLSSQAKSPPASFKGTCRAGNRFPPDSCNGKIVGARWFARAAQATGEFNATVHYASPYDSDGHGSHTASIAAGNFHTPVISGGYSFGYASGMAPGARLAIYKAAYPFGGYMSDVIAAVDQAVEDGVDVISLSMAPSAVSSGPASFLNLLEAQLLLATKAGVSVVQAVGNAGPDENTIVSFSPWILSVAASTTDRKYRKSIIIGNGKAFSCGALSAPTPGETMYPLAWADDVIVENSTDDGWYNKCRDPRIFIKPLVQGKLIVCMFDSSDYYDDISLSSIIDTIQKIGAAGVIITDHSSHDVDIEFEPTFPTTVPSAILLKGSDMRALLRYYNNNTVRDDDGNVVSFGATARILEGRHATYTGESPVVADYSSRGPDVENSQMQPAEVLKPNVMAPGHLIWGAWSPTSTALPEIHGESYALLSGTSMAAPHVAGVAALIKQRHPAWSPAMIMSAIMTTADATDRSGRPLMARGEEGSLDPATPFDMGAGAVNAARALDPGLVFDAGYLDHLQFLCAVPGVDDAAVLRAVGAPCPPPRAGGAARWCSDLNSPSVTVASLVGSRRVERRVTSVGAQNETYAAYVRAPEGVAVRVSPAQFAIAPGATRALRIVLNTTAPGNAFSFGEVVLRGDRKHHVRIPLAVYPAGTLGP >KQK99011 pep chromosome:Setaria_italica_v2.0:VII:30476243:30477298:1 gene:SETIT_012706mg transcript:KQK99011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINSTSAAKHGQCLSKTSWRCATGSVTATHNFQVTNFSQLFGMGIGKYVSSSAFSVGGCDWRINFYPDGNNTENNGAYVSAFLYFLRGTACVTVELSLSLLGKDDQVSEQETCTPTFPSVGADWGWPQFIEKSKLQELLRLNGDRFTIRCVMTVKEVPGTEEGSAIVVPQPKLHQDLSHMLKNGEGADVTFSVGAQLFPAHKCMLAARSAVFKAEFFGAMKERDDQCIKIDDMEPTIFEALLYFVYTGSLPDDWDADSSNNVAMLHLLVAADRYGLDRLRMMCEAKLCQDIDAETVATTLVLAEQHHCTQLKDACLGFIASRNVLGVVLKTDGFKHLLASCPSIMTEILDK >KQK98118 pep chromosome:Setaria_italica_v2.0:VII:25068150:25070372:-1 gene:SETIT_011415mg transcript:KQK98118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEENQAGKKEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKALPVNKDRFISKMFLRGDSVIIVLRNPK >KQK99067 pep chromosome:Setaria_italica_v2.0:VII:30749971:30755347:1 gene:SETIT_009175mg transcript:KQK99067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRDSDPAPDRSCLLVVVASLGSWFRSSFQSFHAATPLGTHEIQKEPVNHCVTREDGVRVQRGAVGGGQGARPRRRRLPGGVGGQQESRLRGRWPHDGAAHAGGCARDLLLNARHTGRYVANKFKLSSGSPDATRGRQTAQIPKLKFDRVDISTRMTEIVKKLNPICAKVSTVLNLELIGSKGITTATMERPTTSEEITEPKLYGRETELQSVVDSITHGECFANELSVLPIVGPGGIGKTTFTQHVYQEVRSHFQVTIWICVSLDFNADRLAQEAVKKIPEDEKKSGSDQELIEQRLKGKRFLLVLDDIWKCHEDEWEKLLAPFRKGGGKGNMVIVTTRMSDVAKMVKTGDSQIQLDRLGAQDFKDFFDACVSTRHEFWSEHPELSEIGKEISVKLKCSPLAAKTVGRLLRKQLTLEHWRRVLESKEWELQTSDNDIMPALKISYDYLPLNLKQCFSYCALFPEDYEFDSKELVHFWIGLDVLHLGDQSIRIEDVGKSYLVDLVNYGFFKRNKKDNGCHYYVIHDLLHELAVKVSRYDCLSIQISKVRSVHIPASVRHLSIIVDNKDVEDIITYKDCEKDLGALDKRLQIENIRTLMLFGENLKSFSKTFGNLFKKARALRAIFLSEESYIVENMWWNFSKLVHLRYLRIKGDYHFLERDLPGTISRLYHLKILDIKDSWGCPMPSRYLSNLVSMQHFLVPGYMRLHSDILNVGNLKLLRELRRFEAKKENKGFELKQLAELLELEVLGIYNLEKVKLKEEAAAMKLIQKHHLQELVLDWDINRSDKDPIGEENILESLMPHSNLYKLSITGHGGDTCPSWLGTNLSVKTLESLRLSNVCWKTFPPLGEMWFVGEHCKSCIPEQSFKKLKRLELEKVPKLIKWVGNGPSDLFSHLEVLVIKDCPELMELPFSRCAGYEQDVEDNMTWFPKLEKLEITDCPKLSSLPCVPWSSSTCSAKIVQAGSGIKELSFGGDSLEIKGKDTLDSAFWRVLAFHNLSKLEALRVTRCPPLSLVHLEKLSSLRSLQINDMGDAFCSAEGDGHAGYRFPVKNVLIEQYGGSGQRLTRLFSCFPNLLWFRMFKCEKLTGLGVVGLHKRTEALPRPPSISVNQVEEAQVGQHEQQGARAEEEIAAASTSEGVLLLPHQLQFLKISDCQNLVLYPGLLDHDEDEGRTGGGGLQGLSSLTSLEIVRCPRFLSSYSSSSSSSCCPRLLSSYSSSSTSPCSPFPTSLEHLSLHGVEGMETLLPLSNLISLTYLYIHDCGDLRGEGLQSLLAQGRLTRLIVYKTPKFFAGSEPSLPREPELPSSSSKLQFLDTDDVAGVLAAPICALLSSSLTKLSFATDSKVERFTKEQEEALQLLTSLEGIRFWECDKLQCLPAGLHRLPNLKRLVIVNCLAIGSLPKDGLPNSLQKLAIAGCPGIRSLPNVEYLPSSLRKLDVEDSKSVELRRHCHKLIGTIPIVTT >KQK98254 pep chromosome:Setaria_italica_v2.0:VII:25899874:25903319:-1 gene:SETIT_010916mg transcript:KQK98254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSKELLGIEPLELRFPFETKKQISCSMQLTNRTDDYIGFKVKTTSPKKYCVRPNSGIVPPRSTNDVIVTMQAQKEAPPDMQCKDKFLVQSVIVAEDTLPKDITGDMFTKQSGNVVDEVKLKVVYVPPPKPPSPVREGSEEGSSPRPSLSDGSTLNYQEMQTTRESDEPPSLTAVKAQRDQEGFTSETSALISKLTEERNSAIQQNNLLREELDLVRRELSKQNGGFSFVVVAAIALLGILLGFIMKR >KQK96870 pep chromosome:Setaria_italica_v2.0:VII:16723417:16723848:-1 gene:SETIT_011821mg transcript:KQK96870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARIKRPRRCARPISDDGGSTDRLSALPDNLLRLILRCLDTRTALSTAVLARRWARLPREVPALEIRVGDVLPRRYHHGLALRGRSRAVPGNLLAADAEWEVVQEQECPWSDVEKMVVRRQVRYGRTWCRPQLQVVVD >KQK99966 pep chromosome:Setaria_italica_v2.0:VII:35585594:35592485:1 gene:SETIT_009209mg transcript:KQK99966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVHSSSSPSPSPAAPPAPLPNHHHQHNNHVTDDLPVANGPEPRNGLEPAEVEKPEPVAYLPQVVVLCEQRHEALDEAAAAAAGPSTTGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLRSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRRGQEACINAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEARLLGLQSNAPEIVAYLLSETQPEVRASAVFALGNLLDMGSTSLNGVDDDSDDDEKLKAETIVVRSLLQVSSDCSPLVRSEVAIALTRFALGHNKYLKSVAAEYWKPQTNSLLKSLPSLANISSPNNAYSPNNIRQGSSGLGSHIGPVLRVGSDSSATGRDARISTSSPIATSSIMHGSPQSDDSSQHSDSGILLKENASNGGLSYNRSRPVDSGIYSQFISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGTHQGETSAPPSNFGMARSSSWFDMNSGNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKPHPMNSPEGLAGPLLSSVAAPSNAELSILPQSTIYNWSCGHFSRPLLTGSDDNEEANARREEREQIALGCIAKCQRSSCKMTSQIASWDTRFETGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSERGLSKLLLINELDESLLLAASSDGNVRIWKNFTQSGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGYLVLFCYFPSRSDFGFYFMHFRLF >KQK99967 pep chromosome:Setaria_italica_v2.0:VII:35585594:35592485:1 gene:SETIT_009209mg transcript:KQK99967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVHSSSSPSPSPAAPPAPLPNHHHQHNNHVTDDLPVANGPEPRNGLEPAEVEKPEPVAYLPQVVVLCEQRHEALDEAAAAAAGPSTTGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLRSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRRGQEACINAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEARLLGLQSNAPEIVAYLLSETQPEVRASAVFALGNLLDMGSTSLNGVDDDSDDDEKLKAETIVVRSLLQVSSDCSPLVRSEVAIALTRFALGHNKYLKSVAAEYWKPQTNSLLKSLPSLANISSPNNAYSPNNIRQGSSGLGSHIGPVLRVGSDSSATGRDARISTSSPIATSSIMHGSPQSDDSSQHSDSGILLKENASNGGLSYNRSRPVDSGIYSQFISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGTHQGETSAPPSNFGMARSSSWFDMNSGNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKPHPMNSPEGLAGPLLSSVAAPSNAELSILPQSTIYNWSCGHFSRPLLTGSDDNEEANARREEREQIALGCIAKCQRSSACKMTSQIASWDTRFETGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSERGLSKLLLINELDESLLLAASSDGNVRIWKNFTQSGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGYLVLFCYFPSRSDFGFYFMHFRLF >KQK99290 pep chromosome:Setaria_italica_v2.0:VII:32169854:32170267:1 gene:SETIT_012158mg transcript:KQK99290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVPSTTPVRQETVAPQPPPLSSHGGGIPTVDMSAPGGRGALSRQVARACAEHGFFRAVNHGVAPGAAARLDAATAAFFALAPRDKQRAGPPSPLGYGCRSIGFNGDAGELEYLLLHANPAAVAHRARSIDADDPSRF >KQK98768 pep chromosome:Setaria_italica_v2.0:VII:29062049:29064910:1 gene:SETIT_011833mg transcript:KQK98768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHPAAINHTKRQRFHKALAVRYHTLIFQSDKENSYDYIYSCIRCYHFSHALLVQDVLQHIHSLMPMRDAARAACVSRRFLGFWSCYPNLVFSQESLAARKQPLLWSNEHRGQYVIRTTKQVLGNHSGIGVKTLELKLSSCNKDDISSSLLDAWLQAFVKPGISELVVLLPECDAPDHQYNFPYSLLYDETGSSLNSIQSLCLASCGFHPIKGPRMLGCSRSLTKVCLQKVGVTGNELGIFLSICIALERLNLSNCSMITSLKIPCVLQNLRVLRLRLCSALQTVESDAPNLSTIRYEGCCPLLKFSLGDSLETKGLKMHATGMEDMIQYTGSNLPSIAPNLETLVLSTVDEKLKAPVMNDKFKHLKHLVISLGKWGRFCAGYDFFSLACFLDACIALETFILRIEDGFIWYKRYLVVGKPDENSLQSMEEIPELRHGGLGNLRKATITGFCSAKSLVKLTCHILERASSLQCLLLDTSPGYDRKGSSTDRCWPMCLEALRDAERALSNVREYVEPKVPAGVELKVLGPCSRCHAMDAKAMEEAESRIPRGCFQYQEDGSLALVFVQPRS >KQK99893 pep chromosome:Setaria_italica_v2.0:VII:35262712:35273507:1 gene:SETIT_009525mg transcript:KQK99893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDAQRRLRAVAAHLQPPPTAAGCGLAANPTAGEYVHAQGYSVVLPEKLQTGKWNVYRSARSPLRLISRYPDNPDIGTLHDNFEYAVDTFRDCRYLGTRIRADGTIGDYKWMTYGEASTSRTAVGSGLIYHGVLEGARIGLYFINRPEWIIVDHACASYSYVSVPLYDTLGPDAVQFIVNHAAVEVIFCVPQTLSILLSFVAQMPCVRLIVVVGGNDANMPSTPGTTGVQIITFSRLLIQGKASPQPFRPPKPEDVATICYTSGTTGTPKGAVISHENLIANVAGSSLNIKFYPSDVYISYLPLAHIYERVNQVALLHCGVAVGFYQGDNLKLMDDLAVLRPTVFASVPRLYNRIYAAITNAVKESGGLKEKLFHSAYNAKRQAIIKGRNPSPMWDKLVFNKIKARLGGRVRLMSSGASPLSADVMEFLRICFGGEVIEGYGMTETSCIVTAMDIGDKSIGHVGSPISSCEVKLVDVPEMNYSSDDQPYPRGEICVRGPTIFRGYYKDEVQTKEVIDEDGWLHTGDIGLWLPGGRLRIIDRKKNIFKLAQGEYIAPEKMENVYAKCKFIAQCFIYGDSFNSSLVAVVAVEPEVLKAWAGSEGIQYEDLRQLCADPRARAAVLADMDSIGKEAQLRGFEFAKAVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEISDMYAELQETETARPKL >KQK97570 pep chromosome:Setaria_italica_v2.0:VII:21924541:21928383:1 gene:SETIT_012531mg transcript:KQK97570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLNPTSRRLAAAAYRRISAPAAAEPLVSHRISQERYSSSPVDVSGGFSEMLDGTQRYYVLGGKGGVGRTSMAASLAVKFAKHGEPTLIVSTDLTRSLCASFEQDWDVSDGKSVRIDGFDSLYATEFTLNEMLNRIPPGLEEAYALSELIKSIELQGTDKFRRIVLDAPSTGHTLKLLSANNWIEKLQDMLIKGVNAASFVPALKLSPENGQLISSRLEELRQQTARVRELLFDPRATEFIIVTIPTMMAVDESSRFHASLKKVRAHTRRLVVNQVLQPSASDCRFCAAKRREQARAFSAIREDRELGGLKLIQAPLLDVEVKGVPALRFLSDSVWK >KQK98434 pep chromosome:Setaria_italica_v2.0:VII:26986436:26988672:1 gene:SETIT_010758mg transcript:KQK98434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKYSERQPIGTAAQGSDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYISILTVMGVSKSQSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMIMQCLGAICGAGVVKGFQQGLYMGNGGGANMVAAGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAVIYNRRQAWDDHWIFWVGPFIGAALAAIYHQVVIRAIPFKSRS >KQK98435 pep chromosome:Setaria_italica_v2.0:VII:26986680:26987442:1 gene:SETIT_010758mg transcript:KQK98435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKYSERQPIGTAAQGSDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYISILTVMGVSKSQSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMIMQCLGAICGAGVVKGFQQGLYMGNGGGANMVAAGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPVSVHI >KQK98433 pep chromosome:Setaria_italica_v2.0:VII:26986436:26987867:1 gene:SETIT_010758mg transcript:KQK98433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKEEDVRLGANKYSERQPIGTAAQGSDDKDYKEPPPAPLFEPGELKSWSFYRAGIAEFVATFLFLYISILTVMGVSKSQSKCATVGIQGIAWSFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMIMQCLGAICGAGVVKGFQQGLYMGNGGGANMVAAGYTKGDGLGAEIVGTFILVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAVIYNRRQAWDDHVSSLTENWCNLV >KQK98567 pep chromosome:Setaria_italica_v2.0:VII:27964029:27966879:-1 gene:SETIT_009971mg transcript:KQK98567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSGSELLSRIATGDGHGENSSYFDGWKAYDMNPFDLDHNRDGVIQMGLAENQLSLDLIEEWSLNHPEASICTAQGASQFRRIANFQDYHGLPEFREAMAKFMGQVRGGKVTFDPDRVVMSGGATGAQDTLAFCLADPGDAYLVPTPYYAAFDRDCCWRSGIKLLPIECHSSNGFALTREALVSAYEGARGQGIRVKGILITNPSNPLGTIMGRDTLAMLAEFATEHRVHLICDEIYAGSVFAKPDFVSIAEVVERDVPGCNRDLIHIAYSLSKDFGLPGFRVGIIYSYNDAVVACARKMSSFGLVSSQTQYFLARMLSDTDFMARFLAESKRRLAARHERFTSGLRDVGIGCLPGNAGLFSWMDLRGMLRDKTPEAELELWRVIIHKVKLNVSPGTSFHCGEPGWFRVCHANMDDETMEVALGRIRSFVQQQKQKAKAKSWAARGRLHLSLPRRGGAVASHLALSSPLALMSPQSPMVHAS >KQK98837 pep chromosome:Setaria_italica_v2.0:VII:29534942:29536413:1 gene:SETIT_011939mg transcript:KQK98837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNGATDENGIQEMTVTVAPAPARLPLSNLDLLLPPLDVSVFLCYPHPAPTASELKEALAKTLVAYYPLAGQVVANADGEPELLCSGRGVDFTEASSGGAALRELQLGLVDEGVKKLMPAKKAGVIAVQVTKFRCGGAVIGCTFSHRVCDAYSFNMFLVAWAAAARGSSAPPPPAPSFRRSLVAPRDPPPRAPSTEALVERLFSPRSAAPPPPAAGAGSVNRIYRIAAADIAALKASAGPGRTKLEAFTAHLWRLCSRAASPRQSQCCMGVVVDGRTRMFPDGAMKAYFGNVLTIPYGAATGDHFRGLVDWVEALRPKPAGARAYLGGTAGNEAMACIVSSGMGFPVGKADFGTGLPAFASYHFPWPAGTGYVMPMPSARGDGDWVVYVHVAPELAKVMEEEPTVFRALDNSYVFQ >KQK99521 pep chromosome:Setaria_italica_v2.0:VII:33287546:33288323:1 gene:SETIT_012488mg transcript:KQK99521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVAALLASTPAAASRAALGAAAGSGDPTVGFTAVSLSESNFVLQRPYNVPSGDRYRFAGGVRQLWVLSSDKPHDPHSHTSPRTEIRMTGHDYSSGVWQFEGYGYVPSGTTGVSIMQVFGAGESATTLMLHVYDGALRYYDRQVVEDNIYDRWFRLNVVHDVGASTVAVFVDGIERLRAPGRGGSSHYFKFGVYAQNHASSRMESRWKDIRIFRKDN >KQK98426 pep chromosome:Setaria_italica_v2.0:VII:26933191:26935269:-1 gene:SETIT_012565mg transcript:KQK98426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKFTLLPILLLATIAAVAGDELRTFIVHVRPHESQVFGTTDDRTAWYKKFLPEDERLIHSYHHVASGFAARLTEREVDALSGVPGFVAAMPNQVYRLMTTHTPQFLGLDLPQSGKNYTSGFGEGVIIGVLDSGVYPFHPSFSGDGMPPPPAKWKGRCDFVNASACNNKLIGARSFESDPSPLDLDGHGTHTSSTAAGAVVPGAQVLGQGSGTASGIAPRAHLAMYKVCGDECTSADILAGIDAAVGDGCDVISLSLGAPTMPFYRDSMAIGTFGAAEKGVFVSMAAGNDGPGDSTLSNDAPWILTVAAGTMDRLIAAQVRLGNGATFDGESVFQPNTSTTVTYPLVYAGASSTPDANFCGNGSLDGFDVKGKIVLCDRGNDIARLDKGTEVKRAGGFGMILANQFADGFSTIADAHVLPASHVSYDAGVDIKKYINSTANPVAQIIFKGTILGTSPAPAITSFSSRGPSVQNPGILKPDITGPGVSVLAAWPSQVGPPSAPVLPGPTFNFDSGTSMSTPHLSGIAALIKSKHPDWSPAAIKSAIMTTADPTDKSGKLIVNEQHEPANWFATGAGQVNPDKALDPGLVYDITAADYVGFLCDLYTSQEVSVIARRSVDCSAITVIPDRMLNYPSISLTLPSTTNPTAPVVASRAVRNVGEASEVYYPRVNLPATVQVKVSPSSLRFTAANQ >KQK99824 pep chromosome:Setaria_italica_v2.0:VII:34924454:34925796:1 gene:SETIT_010318mg transcript:KQK99824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGDDTAPAKAAMEVSSSSMSTSTAPAPSPAPPPSVLRSVLLSYAYVGIWISLSFSVIVYNKYILDPKMYGWPFPISLTMIHMAFCATLAAALVRVLRVVDVPTSPPMTPKLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDAFGVTLQLAAVAAEATRLVLIQILLTSRGMSLNPITSLYYIAPCCLAFLTIPWYAVELPRLRAAAASAAGLARPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLITFSWTVIKDTVTPINLAGYGIAFLGVAYYNHAKLQGLKAKEAERKAAATAVPKPDDAEAAARLLPEKDGSGGDHKN >KQK97220 pep chromosome:Setaria_italica_v2.0:VII:19676298:19679535:1 gene:SETIT_009348mg transcript:KQK97220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGCTVQQSLTAEAAAVVKQAVSLARRRGNAQVTPLHVASAMLAAPAGLLRAACLRSHSHPLQCKALELCFNVALNRLPASAAVASSPLLGGHGHHHHYYPPSLSNALVAAFKRAQAHQRRGSVESQQQPVLAVKIELEQLVVSILDDPSVSRVMREAGFSSTQVKANVEQAVCSTATTAATATPNSSQNPNPSSCTASTSPAHQEVKAAKLPLHQVRDEDVAAILDCLASQSKRKVVVIAESAAAAEAMAHAAVNKVRRGEAKHDALRGAQVVSLRVSSFRDAPREEAERRLGELRCLAKGRRQVLLVVEDLKWAAEFWAGHVQSGRRGYYCSVEHVVTELRALACGGGGLCWLLGFGTYQGYMKCRAGQPSLESLLGLQTLTVPAGSLALSLTCAFDDSALGTVNQSMKAGPDTNGNGAASCWPLLGGSQLISRCCGDCSAARIDTKASLPRPFVSSSSTTLPSWLQHCRDQQEPTHLTDLGKTWSSICSKPSQRMTLHFSAPVSPASSISSYEHGGGDHQPRHSWLLAGLDTTHPWKPTKREASGKQARSHDSGGSNGSVEVECRARFKELNAENLKLLCGALEKEVPWQKEIVPEVASTVLQCRSGIAKRRDRSRSADAAKEETWMLFLGGDAQGKERVARELANLVFGSSKHLVSIKPGASSSPVASGSSDEHRSKRPRTPPAGEPAYLERLYEAISENPHRVIFMEDVEQADRSCQLGIKEAIESGVVRNHAGDEVSVGDAVIILSCESFDARSRACSPPSRKVKVEVEEAKEERTGDHDEHNGDGASSSSPSCIDLNVNVESDQAGEHNFGDVCLLTAVDRTLFFRRQENQ >KQK96219 pep chromosome:Setaria_italica_v2.0:VII:1895985:1900214:-1 gene:SETIT_012307mg transcript:KQK96219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFGGITAVFWLLVLTQVYGGASLSSGTADGMERWGYVEVRPKAHIFWWYIQSLQRVSSPMKPWPTILWLQGGPGIPKIPHAAPGLQAAGPNLQRKWLQPLYHVPRHGGALGRLHLCARPLLGKLLPLRVPTVEPHHHLVVEGDEVAALAARARARLDGELLLGRPRPAAPAWDSLARGPHAIESGQGSSSPPGCYTCTGSEVEVWGMEWREVDGERGSGVSADGARVPDVPTGDKGWRLVMEAVVASKAVPRTAAIKEGMALYMPPPMLCGARQGAACAVLPLHGSSNGYIKGHRLANATARRSRRVGRVVGRGGPGSGVLTSREGLAAGLATGRGGASGGALTGQEEPAVGRVAGRGGAGSGALTSREGSAAGLVGGRGGAGSSALTGREGSAAGLAAGRIGAGSGALTHREGSAAGLAAGRVGAGGGALTGREELMVGSRGAGGGARRGQRRRANQPDSAEDE >KQK98190 pep chromosome:Setaria_italica_v2.0:VII:25559570:25560907:1 gene:SETIT_011332mg transcript:KQK98190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTAAAALLRPCPGVPAALQLSERWSPRAVAGRTTRRRRGAAGVVRACFNPLGDERILREAIKEPVAFMGGVFAGLLRLDLNEDPLKEWITRTVEASGIAEENSSEESSEADQNDAPQQIEIE >KQL00036 pep chromosome:Setaria_italica_v2.0:VII:35875381:35881758:-1 gene:SETIT_009308mg transcript:KQL00036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWLTDAGLPRDSRGSLEVFNPNAASASAAASIRPAKPTSPFLLPPPAADDAAAVGRAAQRAAEWGLVLQTDDHTGRPQGVTARPSGGSASASTRTSDSLDGIPRTLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRHALAAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGTKDTAVRPNGLPESLIKYDARQKDQARSSVSELLLALKNPRSLSESRNSTFKRKSQESEGVFSTQVPGKRSSESGSRRNSQSGIRSSLQKISEVPEGGNKTRKSGLRSFMGFLGMGHGNVEKNMLKPRDDPLVDSDDERPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLIKNTADNIDEAAKELPDANLRPEDLWANHSKPVLPKPHMKDTASWRAIQKVLESGESIDLKHFRPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHICLITDYYSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLNRDGHMSLTDFDLSCLTSCQPQVFLSEESDKKKRRRKSRGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDMRFPASVEVSLAGRQLMYRLLHRDPANRLGSYEGASEIKRHPFFRGINWALVRAAAPPKLAQVEVEAPPLQLQETAAAADALTDMF >KQL00037 pep chromosome:Setaria_italica_v2.0:VII:35877000:35881758:-1 gene:SETIT_009308mg transcript:KQL00037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWLTDAGLPRDSRGSLEVFNPNAASASAAASIRPAKPTSPFLLPPPAADDAAAVGRAAQRAAEWGLVLQTDDHTGRPQGVTARPSGGSASASTRTSDSLDGIPRTLPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRHALAAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGTKDTAVRPNGLPESLIKYDARQKDQARSSVSELLLALKNPRSLSESRNSTFKRKSQESEGVFSTQVPGKRSSESGSRRNSQSGIRSSLQKISEVPEGGNKTRKSGLRSFMGFLGMGHGNVEKNMLKPRDDPLVDSDDERPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLIKNTADNIDEAAKELPDANLRPEDLWANHSKPVLPKPHMKDTASWRAIQKVLESGESIDLKHFRPVKPLGSGDTGSVHLVELLGTGEYFAMKAMDKSVMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHICLITDYYSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGSHIHHTNYI >KQK99363 pep chromosome:Setaria_italica_v2.0:VII:32585431:32587057:-1 gene:SETIT_009907mg transcript:KQK99363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTPSLYYFGCLLLALLYSLTKCSRSRDRGLRLPPSPWQLPVIGSLHHVLGALPHRSLRRLSRRYGHLMLLNFGEVPVVVVSSAEAAREVMRTHDAAFATRPQTATIRTLTKQGQAIALTPYGDHWRRLRKICALELLSAARVRSLRPVREEEAARLVVAVAVSSASGNNKLVNVSEMVAAYVADTAVHAIMGRRLNDRDAFLRYIDEAIQLASGVSLADMFPSSWIAGALSWRTRKAEVYQQRLFEFLDAIITEHTEKKSHDEGKWQEDLIDVLLRIQSQGSSHFLTMGTIKAVIFDLLSAGTETAATTLQWAMAELMRNPDVMSRAQAEVRGAFMPHMKVIEEGLSQLTYLHWVIKETLRLHTPGPLLLPRECQETCKVLGYDVPKGAMVLVNAWAISRDPQCWEEPEKFMPERFESDTRDLKGNDFEFTPFGAGRRICPGMSFGLANVELALANLLFYFDWSLPDGIRPNEVDITEAMGITARRKTDLWLRATMCLNLPH >KQK97982 pep chromosome:Setaria_italica_v2.0:VII:24264202:24264867:-1 gene:SETIT_011132mg transcript:KQK97982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNAAEETIGGCDGGTAAPVVVVERVVTVEYLEQSMSRELLGKFPDSSAFDFDYSQSGIWSPLNKVPRGSPAPASRAGGACAADAEAAASSTDFLIANPKRRARAGGCWLKDSGAGGKSRWRRRRLRRDGSFLDLHETGRARLDFSPPAAPSPAKEGWRRVLKAAIRKFKAQQRRSRQAPLLQMMLPML >KQK96308 pep chromosome:Setaria_italica_v2.0:VII:3304319:3308008:1 gene:SETIT_010221mg transcript:KQK96308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLTVAVSCTACAVASRLLRRAGGDDDGPVAGAVEDPEAVVDDVHVSIRNSTARRNLAYLSCGTGNPIDDCWRCDQDWHKNRQRLADCGIGFGRNAIGGRDGKIYVVTDPSDDDAVNPRKGTLRYGVIQDEPLWIIFKRDMVITLKQELIMNSFKTIDGRGANVHISGGACITIQYVSNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTIADGDAVSIFGASHIWVDHCSLSNCADGLIDAIMGSTAITVSNNYFTHHNEVMLLGHSDSYVKDKAMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYLAPTNPFAKEVTKRVETAQTVWQSWNWRSEGDLLLNGAYFTPSGAGASASYSRASSLGAKSSSMVGSITSDAGALSCRKGVAC >KQK99337 pep chromosome:Setaria_italica_v2.0:VII:32428280:32432226:-1 gene:SETIT_012115mg transcript:KQK99337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTHHPNSQSGTGIHIRNLSEKQLGGVIFGCKPDTIEECLTKQLFGLPSIHYSYVKHVKPGMPLFLFNYIDRRLHGLFEAASPGQMSIDPYAWNNEDSLKTPFPAQVRVCTKIKYPPMLESRYKTVLLKNYYDRHLFYFELDHAQTKALISLFKSLPPASFNRVPAVSSKQSIVISVPQSKRKTPAVPDPKKVKAKSKNTNPFSILSNASDGVLDNWADSDAENASVSENSHSDTDEKESGEAVSDWEDLDDNVLQNQFSPHSNPDEASQNSSYKTVCQGMELAEWSHAVIDPVNGERHNFEEDMPVNLHDEHTGAGTIDKIESVVHNNPDGVELQPERQTVLKKLKELFFIRQQAALSSQDPVDSCSDQCVPEGKPVNANFSCDPFGATVDDKISFEERHGDYAENFLIWFCYFQNVSDQDILFLREVVKDSGRKVQQLEYLVDELQFKFDSLLSHLGSTCNNLTKPSIFLIGGYNSVTWLSSLDSFSPEKDILVGLTPMSSARSYASAATLDGHIFAFGGGDGMSWYNTGMPCEFALAATELNGTIYAAGGYDGKHILQEKAPLPPPPPPPPPKKKKHFMLLHAERYDQREGVWVRLPSMNTRRGCHTLTVLGETLYAIGGYNGDKMVSSVEIYDPRLNAWRMGDPMTSPRGYAAAVNLDGSVYLIGGLQSNVQILDTVEVYNPSSGWSVLGFSSLGKRSFASAIVM >KQK96506 pep chromosome:Setaria_italica_v2.0:VII:10352635:10361636:1 gene:SETIT_009502mg transcript:KQK96506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHNRITMLSSSETCQLGSNSSNPAIDQQNLHPNNSTADEQILLPNALESESYPHYLLNSHEVGMPSGSLIGQQNTSLSLWESAGSSSRGCLVDHGNFFQAKGEHLAPSLSIGGPLSIDRRRHEANSSLPSHNLNIDLNVNQADQFGSDDVDLVHSNGQSRTTTVSAHRGSSLTERILHHGISSDDIGSSSRNADCFEGASGQEVHLLDSHHPTFKRKYIDGCHAESSANGSSRNRHQNNNTLLTPPTTCENSTMSASTNFSVPYPPVEQLNQSTNISSSSSLSDHYSLYSDLHENEFVRSTRMRISPSDYEQSLPNLSPEGSFRCSAYQPTQSSFIPVQPRQMSSSAGSHSRPHVPAVTQFSQNLHRPSNVSFGSRIGSSSSSAGNGSSSRSAIQISASQDPSTSLMGSDYPEHLLLGSSFFNADSTNFLSAPGSRSNQQNSGSSSGSMLRAAVNVGSQQAPGFSASQPSTSLRGSADMSRRSLISAGVSHSRSSSIALQHRGTSSTSHEVRGHQPGSSSRAHQQHYLRAGHPAIDRQNSGYLDLQSFMQTIAASREGGRPISELRNVFDQFRQGRNARLEDLLLIDRSLIMRRANLVDRHRDMRLDVDNMSYEELLALGERIGYVNTGLSEEKIMSTLTQWKYAIRPLEDAPTGVEPCCICQEDYVEGEDLGRLDCGHDFHTVCIKQWLVIKNLCPICKKTALGT >KQK97756 pep chromosome:Setaria_italica_v2.0:VII:23060271:23061189:-1 gene:SETIT_012292mg transcript:KQK97756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIWLLSLLVMALSSTTTVLASSGDPGPSMAKSETFKGANSTAIRPVASPLGANSSFGSVGVLDDELRVGRDRASELLGRFQGLVLGTGLEGGANYLTSVTFVFTAGDYQGSTLSVVGPVLGFKGAIERPVVGGTGQVQDGQRGYSMLKLLGNPTPETVLFEVDLFVLVHRGKY >KQK97583 pep chromosome:Setaria_italica_v2.0:VII:22024786:22026939:-1 gene:SETIT_011455mg transcript:KQK97583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANFLIHTSVKLAGFLAIPMIFLQVVQLLSQACCLPLPELLKVKAQLSNAKSREAKRFSHTDLWSDDLSGGEKLNCFCAPKRYQIVVNIQLS >KQK97582 pep chromosome:Setaria_italica_v2.0:VII:22024760:22026939:-1 gene:SETIT_011455mg transcript:KQK97582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANFLIHTSVKLAGFLAIPMIFLQVVQLLSQACCLPLPELLKVKAQLSNAKSREAKRFSHTDLWSDDLSGGEKLNCFCAPKRYQIVVNIQLS >KQK97600 pep chromosome:Setaria_italica_v2.0:VII:22106065:22108565:-1 gene:SETIT_010737mg transcript:KQK97600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSYKNRGGDSEFTNQQSPAHPDSRTKGQSPSAVRHARFVSTGVGRSMDGDGASRGQPMLEKRPSHRERHFTAGEVVRDVIMGVSDGLTVPFALAAGLSGASAPSSLVLTAGLAEVAAGAISMGLGGYLAAQSEADHYKREMKREQEEIITVPDTEAAEIGEIMSQYGLEPHEYGPVIDGLRRNPQAWLEFMMRFELGLEKPDPKRALQSACTIALSYVIGGLVPLLPYMFISTAQNAMLTSVGVTLVALLFFGYIKGRFTGNRPFTSAVQTAIIGALASAAAYGMAKAVQAR >KQK99111 pep chromosome:Setaria_italica_v2.0:VII:31036452:31037048:-1 gene:SETIT_011094mg transcript:KQK99111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDIEAKYYADGEDAFDMRKPLRQPQPKKHHHHHHHHGPGGCCSHDAPAAAAGSSPQSSNSPDKKANT >KQK99112 pep chromosome:Setaria_italica_v2.0:VII:31034458:31037156:-1 gene:SETIT_011094mg transcript:KQK99112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDIEAKYYADGEDAFDMRKPLRQPQPKKHHHHHHHHGPGGCCSHDAPAAAAGSSPQSSNSPDKKANT >KQK99113 pep chromosome:Setaria_italica_v2.0:VII:31036452:31037048:-1 gene:SETIT_011094mg transcript:KQK99113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCIRQATIDDLLAMQACNLMCLPENYQMKYYLYHMLSWPQLLFVAEDYGGRIVGYVLAKMEEDPSEPCHGHITSLAVLRSHRKLGLATKLMSAAQAAMDQVFGAEYVSLHVRRSNRAAFNLYTSTLGYQIHDIEAKYYADGEDAFDMRKPLRQPQPKKHHHHHHHHGPGGCCSHDAPAAAAGSSPQSSNSPDKKANT >KQK98306 pep chromosome:Setaria_italica_v2.0:VII:26195117:26201529:1 gene:SETIT_009447mg transcript:KQK98306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSELENPTSRSSSQKSSRRSSSRRSQKSAGQHSSPSTQEKRSKLRSLRQKHLVIDDTDVKKGKSHDHKIDVADERSNFLGYEVYAGKLIFDKKNKSTSDNNQLPANGKAGAVDARLTSKALIWGSSVLLLEDVISVSYNSGVKYFTVHAYPTKKSLFGKTRRVQTDFCFVASILDEAILWVTCFAEQSIYVNLLPRPGVSSINQDSDNPLSESLFDQPPIKCKSPQRVLVILNPRSGHGRSSKVFHEKAEPIFKLAGFQMEVVKTTHAGHAKSLVSTFDFSTCPDGIVCVGGDGIVNEVLNGLLIRSDRTEAVSIPVGIIPAGSDNSLVWTVLGVRDPITASLLIVKGGFTALDILAVEWIQSGQIHFGSTVSYYGFISDVLELSEKYQKKFGPLRYFVAGILKFLCLPSYFYELEYLPLSKEMATNGKGTDQDKNQLSNVYTDVMHGRSKREGIPRASSLSSIDSIMTPSRMSLGDVDTSGSTVASTEPSDYVRGLDPKAKRLSLGRSNIVSEPEEVLHPQPHHGSFWPRTRSKARTERNSVGVTSTNDTQLSWAAPSMHDKEDISSTISDPGPIWDSEPKWDTGPKWDSELTWEPDHPIELPGPPEDREIGAPMELVPNLDEKWVVRKGHFLGVLICNHSCKTVQSLSSQVVAPKATHDDNSLDLLLVGGKGRWKLLRFFIQLQFGRHLSLPYVEYVKVKSVKLKPGANTHNGCGIDGELCRVKGQVLCSLLPEQCRLIGRQCRQSI >KQK99831 pep chromosome:Setaria_italica_v2.0:VII:34962450:34966296:1 gene:SETIT_011868mg transcript:KQK99831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVRACAPPAAAPTAPSADAAKRKPSRSARVLVLGGAGRLRPDLNILVGDRNREKGESFAAKLGEKSEFVQVEIRNASMLEKALQGVDLVVHTAGPFQRAEECTVLQAAISTKTAYIDVCDDTDYSWRANSFHEQAKAAGIPAITTAGIYPGVSNVMAAELVRAARSENGEPERLRFFYYTAGTGGAGPTILTTSFLLLGEDVIAYNKGTSYIFISIPKMFLGKISSHFICVTNSGEEIKLKPYSGAMTIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVNARFGTAPFFWNWGMQAFANLLPAEFLRDKVKVQKLYKSVDPLVRAIDGIAGERVSMRVDLDCSNGRNTIGLFTHKKLSVSVGFATAAFALAVLEGNTQPGVWFPEEPEGIAIEARKLLLERASQGTSNFVMNKPSWMVETDPKEVGLGIYV >KQK98807 pep chromosome:Setaria_italica_v2.0:VII:29295145:29303884:-1 gene:SETIT_009208mg transcript:KQK98807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVRFGLLVAMFQAMSGDKGSAKKRGRLRVFLDRAYVPSGGRDDFFSALRLVLPGLDRERGTYGLKEAALAAVLVDALGIAKDSPDAVRLINWRRGGGGRNAGNFALVAAEVLQRRQGITSGGLTIKEVNDALDRLAATENRSEKASILSSLIKKTNALEMKWLLMIILKDLKLGISEKSIFDEFHPDAQDLFNVTCDLKFVCEKLKDRSQRHKRQDIEIGKAVRPQLAMRVRDASAAWKKLHGKQVVAECKFDGDRIQIHKNGEEIHFFSRCILDGEMLVWDTALNRFAEFGSNQEIAKAAREGLETDRQLCYVAFDILYAGDTSVIHQSLTERQEILQKVVKPLKGRLEILIPTGGLNARRPSDEPCWSIIAHNLEDVEKFFKDTIENRDEGIILKDLDSKWEPGDRNGKWLKLKPDYIHAGADLDAIIIGGYYGSGRRGGEVAQFLVGLAVPSDDNSYPKRFLSFCRVGTGLSDEERDALVTKLKPYFRKNEYPKKPPKFYEVTNNSKERPDVWIESPDKSVIMSITSDIRTIKSEVFAAPYCLRFPRIQRLRYDKPWHECLDVQAFVDIVHSSNGTTQRVADDNSLENDNMKRSRTTKKGEKKKSVSIIPSHLMKTDVSDFVNIPSSYNLEYFHKLVVENGGSFSMNLNDSVTHCIAAEKKGIKYQAAIRQGRIIHYDWILDCCKEKRPLHLQPKYILYLADFARHKFPEEIDSYADYYYWDIDIADLKQIFSNIDKVAGDSNMVNQYKRKHCIDERFCFFQGCCVYLHNAPLVNVDYNLISDIALKRVKQDLTMHGGQVCSSIAAATHLVVVSVLQNYNFDILYKSFPPAERRYLHDKRLHVVSNKWLEDSVEKQMKLSETAYNLKPDTLEELEIERSEENIRPLDHKFEEHREVERAHVKHAPRKRSRAASISRVTKAVPRPARRMRARRGNQQAKIDDDVESEESAPGECQDDQNMDTDYNSKEIGKGISNKDQGPPRAAFRPVPRTRARREYQQANIDDGGSEESGPSGTDKEDQKLDVDYISKTVGDNSDKDLHGPPPGAQFVTLGEQEPKGVESNAMEEKPGSPFQRTSAAEVTSSVPGEKIEQMVDPLHAMLLDMIPTLSQTRTEGASRVPPPTIVEKAPPGVGSNTSKSSDILVPDAGTSGVPAPDPNAAPPPKKKKVSYKDVASELLKDW >KQK99451 pep chromosome:Setaria_italica_v2.0:VII:32943080:32943631:1 gene:SETIT_011650mg transcript:KQK99451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPQRRQPDQARPAGSACVWVVAVVLLLAVLAGGGCLVLYVTLPPAEVPHWLPAAGLALVAFPWAFWIATCAYRCCCSGSDAAAPPVASNVERQASSRKAVAPMPSSKSLKGARSARHAADGATPASRSPTASSAARRVRFGDTTVLGEEHAAAAAGDKDDGSSVHSNESEAPLAYNMQPSS >KQK97214 pep chromosome:Setaria_italica_v2.0:VII:19632303:19632959:1 gene:SETIT_012868mg transcript:KQK97214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTAYLVMLAVMSFNGGVLLAAVAGHALGFLLARSRVHPGGGGAAAAARDRELAAAPDGSKA >KQK96210 pep chromosome:Setaria_italica_v2.0:VII:1806066:1807136:-1 gene:SETIT_012775mg transcript:KQK96210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGSSKPFGSMSAIVADAASGYHILKIDGYSGTKGTPTGEYIKSRPFTVGGHRWCIQYYPNGHTSESADCVSLFLSLDDESVTKALKVQHRFCFIDDMEEETPSLTSESVNSFGSHSGWGRPWFIKREALEKSKHLKDDSFFVRCDVAIINELYTEEMSEAPTATFVSVPPSDLHQHLGDLLQSEKGADVVFEVGSETFKAHRCVLAARSPVFSAELFGLMKESDAAGIVHVDDMEAHVFKALLFFVYTDSLPELNGEAEVAMSQHLLVAADRYNLERLKLICERKLCKCIEASTLATILALSEQHYCHGLKKACFNFLSSPVNLKAVLATDAFEYLNKSCPSVLKELIAKLAK >KQK96408 pep chromosome:Setaria_italica_v2.0:VII:5862784:5863101:1 gene:SETIT_012404mg transcript:KQK96408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCIKARSEATPAGGEDGGGCAAEECRVCLSRIRVGEATRRLPCRHVFHRDCVDRWLLSCKRTCPLCRVYVADENRHPVAVKHTGREALADDLVIWFSTVLVPGF >KQK99561 pep chromosome:Setaria_italica_v2.0:VII:33527935:33530026:1 gene:SETIT_011840mg transcript:KQK99561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVNSGDTDLRIYEPSFACEYSCRQERANHRSRLLAEIHNAYCKAFERLTINSKARCPLATRTLAAGFCFGLLDPASNIVINALVPCRRLNHASKKGRDKEHLEDMERRSLDGMVIFLTRFFPYLADSEAERYLLLADADLLVATRIIVMDRRMKRFGSSERATEEAFRMALKCAALAARHPDPDRLVGSWLTISNRLDEAVRLGAKVRRRSPSSSLHSLARLLDGPPWRPAEGTRRVPYQRTSTPLKRLLLDAIHGFYLQALARLPAGELRSRFHRSLLKAGHCYGPRARSRLQHNRQHRRYDAAFPRTHELELDMISSLSLHRIENRSLYGMASFLCTRYHRLDFHQAMRFLLDGDANLLLADPGLHAADAGSGTGRRGGWKHKTEAQAARPPKSSKQAFRAAAVAASAWHPNNPDAQLSSVDVRGLARLLSPEPSCSEQPLLPFPLEEYVCEHIRITKKLHVICGVNDQVTGPVFCPGGSKFAPHKCYQSHVNFLATLKDTPPHAREAPVLLFAELSNDDEDKGGMHSMCCTVSAPPPCADAQNVRVRCLYCDYVGIRIVHPIDEEFHGRELEFEKM >KQK96350 pep chromosome:Setaria_italica_v2.0:VII:3981122:3981544:1 gene:SETIT_011966mg transcript:KQK96350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAKNATLCCLLVLLVLHADHALAECGYTHPMMPFCKGWMCEAECWTEAKLLVAKVMEHKCMKGGIKGWCYCRFCR >KQK98375 pep chromosome:Setaria_italica_v2.0:VII:26618061:26619319:1 gene:SETIT_011944mg transcript:KQK98375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTSRRPSALRFAVVAAASVILSLVASPVSCDDGAPEPMVDHSRQRNDTASRRNLWAPARGYGWSYGGATWYGSPYGAGSDGGACGYQGAVSQRPFKSMIAAGGPSLFKNGQGCGACYQIKCTGNRACSGRPVIVTITDSCPGGACLAESTHFDMSGTAFGAMANHGMADRLRSAGILKIQYKRVPCKYSGMAITFKVDAGSNPYYLAVLIMYVSGEGDISKVDIMQAGCNSWTPMQQSWGAVWRVNSNNGQPLRAPFSVRITSGSGKVLVARNAIPARWGAGATYRSTVNYGY >KQK97452 pep chromosome:Setaria_italica_v2.0:VII:21288487:21289792:1 gene:SETIT_012764mg transcript:KQK97452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLHSSSSPVLPLLVLSLLLLSPRGTLAGRCPPANGAPLVLDLAGPLVWSPCQSPHRTVPCKSSVCTVANRNRPASCASSANGGQPGSADPNCACTAYAYNPASGQCAGGDLFSVPLSANATDGNNPLFPVTFSAYSACAPDGLLESLPSGAAGVAGLSRQPLSLPSQVASRLKVAKEFALCLPGSGQTGAAIFGGGAFVIQAAPQPIDFAGEIRQNPLPILKNPKNGAYYFGVRGIAVNQEHLNLPAGAFDLDRRQGTGGVVFSTATRFTTLRSDIYSAVMNAFDAVTRGIPRRKPFPPFQLCYDVSGVPTTRVGPAVPNIDLMLDGGRNWTLPGDSLLVQFGGGTACFAFDSMGNEQSAAFYSPAVIFGAHQMENNLLLFDLEKGTFGSSGLLLGRSTTCGNFNFAMGSS >KQK97850 pep chromosome:Setaria_italica_v2.0:VII:23553566:23556186:1 gene:SETIT_010314mg transcript:KQK97850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWASAKRVFGDREDGEDGEDGDDGGSVGCFPRIARKLSRNSYAYTPDPGQGGGGPEAEEVVTVEVPEVPLREVNEITASFSGEKLIGQGSYAKVYRATLRGGRPAVVKRLERPSKHASNVIFLRQLSVASRLNHDNFVRLLGYTISNDLRVLVYEYATMGTLHDVLHGDREVLGQAAEQGAAGGRPVLSWIHRVHIALDAARGLEYLHETVRPAVTHKDVRSTNVLLFDGFRAKIADYNLFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMTDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPMLTEDRVQECIDPKLGDQYPPAGALKLGRIAVQCLHYDPILRPSMGTVARVINYAVLRDQQGVV >KQK97852 pep chromosome:Setaria_italica_v2.0:VII:23553566:23556186:1 gene:SETIT_010314mg transcript:KQK97852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWASAKRVFGDREDGEDGEDGDDGGSVGCFPRIARKLSRNSYAYTPDPDPLPVHAWQGGGGPEAEEVVTVEVPEVPLREVNEITASFSGEKLIGQGSYAKVYRATLRGGRPAVVKRLERPSKHASNVIFLRQLSVASRLNHDNFVRLLGYTISNDLRVLVYEYATMGTLHDVLHGDREVLGQAAEQGAAGGRPVLSWIHRVHIALDAARGLEYLHETVRPAVTHKDVRSTNVLLFDGFRAKIADYNLFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMTDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPMLTEDRVQECIDPKLGDQYPPAGALKLGRIAVQCLHYDPILRPSMGTVARVINYAVLRDQQGVV >KQK97851 pep chromosome:Setaria_italica_v2.0:VII:23553566:23556186:1 gene:SETIT_010314mg transcript:KQK97851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWWASAKRVFGDREDGEDGEDGDDGGSVGCFPRIARKLSRNSYAYTPDPGQGGGGPEAEEVVTVEVPEVPLREVNEITASFSGEKLIGQGSYAKVYRATLRGGRPAVVKRLERPSKHASNVIFLRQLSVASRLNHDNFVRLLGYTISNDLRVLVYEYATMGTLHDVLHGDREVLGQAAEQGAAGGRPVLSWIHRVHIALDAARGLEYLHETVRPAVTHKDVRSTNVLLFDGFRAKIADYNLFSQAADMARLNRSTHTLGSFGYQAPEYAMTGQMTDKSDVYSFGIVLLELLTGRKPLDRTLPQGQRSLVNWATPMLTEDRVQECIDPKLGDQYPPAGALKLGRIAVQCLHYDPILRPSMGTVARVINYAVLRDQQGVV >KQK99665 pep chromosome:Setaria_italica_v2.0:VII:34131345:34132288:1 gene:SETIT_011376mg transcript:KQK99665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAQVVVLAVVAVVLLAAAASEAAISCGQVNSAIGQCLPYARGQGSKPSDACCSGVKRLNSAATTTADRRAACNCLKNAARGISGLNAGNAASIPSKCGVSIPYSISTSTDCSRVS >KQK99202 pep chromosome:Setaria_italica_v2.0:VII:31477285:31480982:-1 gene:SETIT_009829mg transcript:KQK99202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEGEEVYVAAIDQGTTSTRFIVYDRHAKPVASHQLEFKQHYPEAGWVEHDPMEIIETVKVCMKEAVDKAKDGKYNVVAGLKAIGITNQRETTVMWSKSTGLPLYNAIVWMDARTSPVCRRLESELSGGRTHFVETCGLPISTYFSALKLLWLMENVEAVKDAVRTGDALFGTIDTWLIWNLTGGVAGGKHVTDCSNASRTMLMNLKTLDWDKPTLDALGISAAILPKIISNSEKIGVVADGFPLAGVPISGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEPTQSSHGLLSTIAYKLGPTAPTNYALEGSIAIAGAAVQWLRDSLGIIQTAAEIEKLAETVPDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAAGVWTGEQVFAGLHKENTTVFRPQLDETHRKKRADSWYKAVSRSFDLADLSL >KQK99201 pep chromosome:Setaria_italica_v2.0:VII:31477502:31479272:-1 gene:SETIT_009829mg transcript:KQK99201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQLSRRLESELSGGRTHFVETCGLPISTYFSALKLLWLMENVEAVKDAVRTGDALFGTIDTWLIWNLTGGVAGGKHVTDCSNASRTMLMNLKTLDWDKPTLDALGISAAILPKIISNSEKIGVVADGFPLAGVPISGCLGDQHAAMLGQLCQKGEAKSTYGTGAFILLNTGEEPTQSSHGLLSTIAYKLGPTAPTNYALEGSIAIAGAAVQWLRDSLGIIQTAAEIEKLAETVPDSGGIYFVPAFNGLFAPWWRDDARGICIGITRFTNKGHIARAVLESMCFQVNDVLSSMHKDAGEAGEVKSAEGEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAAGVWTGEQVFAGLHKENTTVFRPQLDETHRKKRADSWYKAVSRSFDLADLSL >KQK96920 pep chromosome:Setaria_italica_v2.0:VII:17422704:17423858:1 gene:SETIT_010400mg transcript:KQK96920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPQDVPTQGHAAAATNNAAVVVDGHHSPPVVAPAVTGHGKERRRRKHEVEDAGGSGVVVAAARSSEIEAAAADGGATDGARRSHHHRRPRAPATEGTKSGSVMYDAASVRPERGFSAVVHYSESAPATARPAVIVIGSRRKHRAAGSYSTTGGVNSYYSSDDHLAAASSSSSRLPNGAYAAAADGVSARAFTGGFGRYDSPSVTARPNGTVTGGKHRYHQATVGSSSGCGGGGGAYYADDYTAASSSSSRLIREPAAPPPPHHRPPKPHKDHGAPSSSAPTPPQEPSEDELLRVGLEVASKMDMATLHSMVKEHIDRNQEAEAVEEESLHFWRPLTDDEVDADLRAIYDKVAAWRAAASGSGKRRRH >KQK96909 pep chromosome:Setaria_italica_v2.0:VII:17385353:17387319:1 gene:SETIT_012535mg transcript:KQK96909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKECGKGKHTTCHVSLLPTTGGGFLADTPGFNQPSLLKVTKQILSLQKHFQRYKTGIMGVSKRSTGWCLERRLCLERN >KQK96601 pep chromosome:Setaria_italica_v2.0:VII:12821132:12821655:-1 gene:SETIT_012863mg transcript:KQK96601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNMERETFVCALLLLVLSSFEEELGPELPFLYQSICISKILNVQD >KQK96781 pep chromosome:Setaria_italica_v2.0:VII:15491641:15494646:-1 gene:SETIT_012460mg transcript:KQK96781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKFGKPDIFLTMTCNPDEIKNELYPSQSPQDRPDPLTRVFKVKLEELKRMLMENDILRKVRACVYVMEFQKKGLPHAHLLLIMQSKYKITCPEQYDLLISAELPNKKKGHTSCKNRYTWPFCDSTWQGEDLYLIYRRCDGGHKEIIRGHILDNQWVIPYNTCLLRTFNFHINVEACSSIKSMKYLSKYIYKGHDRAYVAGNVDEITQYREARWVTPPEAMWRIYSFDLSKNHPPVHMVTYHKWDKIEWVVKRPGADKSMLTAYFDYNRLHEKARGIFLFHMPSSLCTLFVTILVFYEPNDVFGLWIKHLDAMNMLQSMGKDIRSFCLLEIDDASSIPHEIFEEASIDQNSEDKGLSDSLNEVQRAAYEEIMSKIDTEQGGLALLGTLHSQNKLATTRATSGVVVSIMPSGRTAHSRFKVPFTLEDGGCCRSLIIWDEASMAKRQVVEALDNSLRDIMGRQDLSFGGKTIVFCGDFRQVLPDVWKGSKAQIGGEMVYHSFNSTIDDPHNYYPLEFLNTLTPTELPLHLLKLKIGCSVILLRNIDPMNGLCNGTRLVVQCFQRNSIDVEIVLGQHARKTVFLPRILLCSSDDEIFPFQFKRKQFPIRLSFAMMVNKLQGQTIPNVGVYLPALLFSHGQLYVAMSRATTRMNIKILALPPNAEAEEEEAKKKQMKNANKKENGRGGGGEKGTNSRWHVYKEYSI >KQK99604 pep chromosome:Setaria_italica_v2.0:VII:33748164:33749453:-1 gene:SETIT_012788mg transcript:KQK99604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDMGGMRHHLLCPISLQPMQDPVTAPTGITYDRRAIERWLAVGHATCPVTGQPLALADLTPNHTLRRLIQSWRPRSTTPAAGSNKPVDVGHRPDDVAADVAKKLLYAACCPPVDVIREAADVVSQSDVARRIMVDAGVLQRVLRLAVSCAKTKSCRGDQEGSLDDMPTVEACLDLVRALAVSGDELRPLVADKHVHELVDAVTDVLVALEPGPGNAARASAVHLLDAVTEVCGAPVLERLRPELFRAVTAVVRDRVSPGATRSALRALLHACPVGRNRTLVVDAGAAHEAIELELDASPPSEGSAAGGRRAAELAMALLAELCACADGRAAVAAHPAGVAVVARRLLRVSAAADACAVRVLAAVGGRAASPEVLREMARVGAVGKLCCVLQADCDAAVKEAARAVLRLHSGVWSGSPCVSAYLLSRYL >KQK96846 pep chromosome:Setaria_italica_v2.0:VII:16455406:16459564:1 gene:SETIT_012622mg transcript:KQK96846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLLRDIVKELQAEFAMKDLTADVLLWRNRNISAEVLGGVTAIWILFECLGYHLLTFVCHGLIFSLGVLFLWSNASSFINKSPTWIPEVIIPEDLVVNIALSTRYEINRAFVNLRQIALGRDIKKFLMDAAAGGSTMEMRMRNGGAARLSIAIGVYIMFYEYFCFHFLAM >KQK99809 pep chromosome:Setaria_italica_v2.0:VII:34857236:34858255:1 gene:SETIT_012063mg transcript:KQK99809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLGSFGKRLKRTPRVEDDGFPLNDEVLILVFARSLDTADLLGCAATCRRWLRLVTREAEFIFRLKPPPPPQPIGFFHQQHDDNYSGAPPRFLPFPSYSRRASLDAVFDDDMFKNYRLIASRKGRLVVELHRASRTAAIRLVVCNGNPMSGEVSVLPALSGKDRLGNYAYALLTADDLHDAAVDDDPPRPRSHAAFRLVVVSTTAARARCAGAWGPEGKVSGTSISGRRLGEMDAGVAFRGAVFWLSHTAVFGLRVDTLHATRESRTWNDDYCYCHGRLGDGSMNPSRRLIVFPDGRLRVVQVGGYRDTGNFGINFYTRDETRTRH >KQK98421 pep chromosome:Setaria_italica_v2.0:VII:26907454:26908374:-1 gene:SETIT_011768mg transcript:KQK98421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSAAAVSERLAARGLGAFVWRKKLDRGLSRGVLPGIVRSERRRCLARRKEADGVKASAAPRRASPPPPVAPALARAEEEAKEAAFLLEQSRRRAGIRFAEGRPRRIDMLVESLAGARRCALAAFRRASAEELKELGEEIRAQADLDTANGPFWEATKVLCDAEILKTAGSAGRSGVYLHSEVAADVMSVVEGKNLEELDAMQQTIAVRMAAGESKIGDQLQEVIGLIRVEMAKKFLAQNYSGDDAPPSFDDDYKTDAKRENHIADTDEEGSEPLRPVALPATQTTQAAGQEWMKPKYVARARTGYE >KQK98551 pep chromosome:Setaria_italica_v2.0:VII:27899830:27900097:-1 gene:SETIT_011601mg transcript:KQK98551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTHQHYGLQLWSNSTSPAPMVSFPCMHRTILQEAGLSSQPQPPHKNARRIFVER >KQK97483 pep chromosome:Setaria_italica_v2.0:VII:21413086:21414834:-1 gene:SETIT_012302mg transcript:KQK97483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSQLGGRSRSAVRPTTVLLTAAIVAAALLMAAVLFGARWTPSSAGETWVSTGVRVVMNAVSDQGAAVPLATVPDPGDRLLGGLLSPDFDDRSCLSRYRAARYRRASRHALSPHLVSALRRYESLHRLCAPGTPAYARALGRLRANASGDGADPSGTRCDYLVWTPNAGLGNRILSIAAGFLYALLTDRVLLLNGSRHEDLDDLFCEPFPGSTWILPPRDFPVRKKLTIDTAESLGSTLGRGEAPGGAPWLYLHLVHNYRTPDRLFFCDDVQTQLRRVPWLVFQADNYFVPGLFLIPRYERELSRMFPRRDAVFHHLGRYLFHPSNTVWGMVTRYHGSYFAKADERLGIQVRTFKWAPISTDDFYGQILKCTHRENILPAVVARAAANTSTGGADGQQAAKRKAVVVVSLHGEYSERLRDLYHEHGTAAGEAMSVFQPTHLGEQHIGDRQQNQKALAEMVLLSFSDVVVTTGVSTFGYVIQGLAGLRPWVLVRPDHGKAPDTPCRLAPTIEPCFHRPPNYDCRTKARGDTGRTVQHIRHCEDFPEGVQLLES >KQK96303 pep chromosome:Setaria_italica_v2.0:VII:3202009:3202764:1 gene:SETIT_011438mg transcript:KQK96303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLLGNPVVLHMSYLGGAPTNHPRLQLQDAVVLLSLQCPSTGVVPTPAIWMPQSNADVSLSPCGACTSGSNINKLRGVVLVFCNASVLGEKLRYSDVL >KQK96373 pep chromosome:Setaria_italica_v2.0:VII:4710994:4715975:1 gene:SETIT_011220mg transcript:KQK96373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNVLSVSQSSLFTSPVSLSLIGEWRRVESISVAAGEVWCGGRRVTPQGCEGSGATPPPPCGQRRATTAHKCYRGGNCGATSVGRAARRGGASSAMPSSSHAVNGKPPSPPKPTSPPGADLARWQRRGRQGLGLHCPAQAHRRVKQEVQVRVQHDLN >KQK99889 pep chromosome:Setaria_italica_v2.0:VII:35246508:35248031:1 gene:SETIT_009922mg transcript:KQK99889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPAGGGWLLQPRGRSSKLTQRTINNIRFTLLCAFVTFLVLRGTVGVNRRLVYIAGSDRAPPGAKAAEDIERIFRDIRADSDPDPDPEPNDDGNTSPSTPATRYYDHGSAWSTANYSLGPRVTRWNARRRRWLHLNPGFPSRDARGNPRVLLVTASPPGPCDDPAGDHFLLRATKNKIDYCRIHGIELAHITARLDGQLTGGWAKLPLLRRLMLAHPEVEWLWWVDGDALVTDMGFELPLARYEGAHLVVHGNSYLLFQLRSWVAVSTGSFLIRNCQWSLELLDAWAVMGPRGRARNDAGKLLTATLHRRPAFEADDQSALIHLLITEKERWMEKVYLENEYYLHGHWSGLVDRYEQAMEKHHPGYGDDRWPFVTHFVGCKPCGVGGVGRSVRSGGNSSDEYPLDRCVRGMERAFNFADNQVLRLYGFRHESLASAEVRRVTNRSANPLEAKEEAISFLKKPKDPDVKSHDVRRNRKRKGKGDSVLERILKRLGWTPEF >KQK97806 pep chromosome:Setaria_italica_v2.0:VII:23353040:23356791:-1 gene:SETIT_011067mg transcript:KQK97806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSEGETNRLWRIRRTVMQMLRDRGYLITEADIGLKREAFIDRYGDPVRRDDLVINRCKKDDPADQIYVFFPNEPKPGVKTIRNYVEKMKQENVFAAILVVQQALSAFARSAVQEVSQKYHLEVFQEAELLVNIKEHVLVPEHELLTPELKKMLLQRYTVKETQLPRIQITDPIARYYGMKRGQVVKIIRASETAGRYVTYRYVV >KQK98487 pep chromosome:Setaria_italica_v2.0:VII:27354499:27356216:-1 gene:SETIT_010740mg transcript:KQK98487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVATQQQHKFRWGDIADTTATSASSSRRGSSSGPTRPVIEYRFDDEGNKVKVTTTTRTRSLARTRLSRSAVERRAWTKFGDAVKRDDAGSRLTMVSTEEVLLERPLAPVNSIVGKQAEEPSTPGDPLSMESMRGGALLMLCRICKKKGDHWTSKCPYKDLAPQAGGFVDTPPSADGRAAPPGERAYVPPNKKEGADTRRASMMRRNDENSIRVNNLSEDTHEADLLELFRTFGPVTRAFVARDKWTRSSGGFGFVNFVRREDGEKAISKLNGYGYDNLILRVEWSDRPN >KQK99972 pep chromosome:Setaria_italica_v2.0:VII:35603820:35605596:1 gene:SETIT_010432mg transcript:KQK99972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALILVGGFGTRLRPLTLSFPKPLVDFANKPMILHQMEALKEVGVTEVVLAINYRPEVMINFLKDFEDKLGITITCSQETEPLGTAGPLALARDKLADGSGEPFFVLNSDVISEYPFAELIEFHKSHGGEATIMVTKVDEPSKYGVVVMEEATGRVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPQIAADQKLHAMVLPGFWMDVGQPRDYITGLRLYLDSLRKKSAAKLASGAHVVGNVLVHESAKIGECCLIGPDVAIGPGCVVEDGVRLSRCTVMRGVRIKKHACISNSIIGWHSTVGQWARIENMTILGEDVHVCDEVYSNGGVVLPHKEIKSSILKPEIVM >KQK97870 pep chromosome:Setaria_italica_v2.0:VII:23647723:23664266:1 gene:SETIT_009202mg transcript:KQK97870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSRKKKKRGAAGRKAAKDHAGQLEGDQTALDEELTALTSIFGEDFKVKSESPQTRFNICIRPYSDGMGFEDLNVSAILDVTCFPGYPHKCPKLRIIPEKNLSKEDANQLLSLLVDQANIYSREGRVMIFNLFEVAQEFLSEIAPAHVSTSNASCLGSSSTTDVDVKVNLDSDPYPGISYIYTSFDLYSQLYDYTSWSRQGLDLTTDSDRNTTGSQVKSSVRSKRKTIIEKSHVSADKINNAKSSSGDKAEQKRATKHGVIQEASPNLHVVVEAENDSKIFSTSNGGNTADTPERSSSSLREPEDSDLADEAWNEEDDSDFSSSNNSSYVSDMLDDASRNKKRDLILVLSEWAKDLVSDPPAVFGETFSHVFGKQMISSECSLFWRADNTSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGHHDILNAGGSRTAESSIFSYDDISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYSSSFNVDHAWHLFRQIVEGLAHVHSQGIIHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPTEAMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSLGVIFFELWHPFATAMERHLVLSDLKQKGDLPKSWAAQFPGQLNLLRRLLSSSPSDRPSAVEVLQSELPPRMEDEWLNDVLRMIQTPEDTYVYDRVISTIFNEERMIAKMQCQHESSKKSTDNSELLDTIIEVAKEVFKRHCAKRFQISPLHTLEGNFTEHRGKTVKILTQGGEMLELCYELRTPFVMSIARNETSSFKRYEISWVHRRAVGHSTPYRFLQGDFDIIGGASPIPEAEIIKVALDLGTRFYDSKALVIRLNHGKLAEAICSWAGVSQERRQNVAEFLSSTLVQYWPNNADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDDLSALLKCLRVWLVDEPITIDVLMPPSECYYTDLFFQVYSKEGNHGPSFHEKLLAVGGRYDWLMEQAWDKAYKSKPPGAVGVSIALEKFLPNNPSSDLGLPRLLSRIEPSISVLVCSRGGGGLLNERMELVAELWTANIKAQFVPQEDPSLQEQYEYASDHDIKCLVFITESGVSQTDLVKVRHLDFKREKDVKREELIKFLSEAICSQFKNPTIWSLTSGPP >KQK97871 pep chromosome:Setaria_italica_v2.0:VII:23647723:23664266:1 gene:SETIT_009202mg transcript:KQK97871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSRKKKKRGAAGRKAAKDHAGQLEGDQTALDEELTALTSIFGEDFKVKSESPQTRFNICIRPYSDGMGFEDLNVSAILDVTCFPGYPHKCPKLRIIPEKNLSKEDANQLLSLLVDQANIYSREGRVMIFNLFEVAQEFLSEIAPAHVSTSNASCLGSSSTTDVDVKVNLDSDPYPGISYIYTSFDLYSQLYDYTSWSRQGLDLTTDSDRNTTGSQVKSSVRSKRKTIIEKSHVSADKINNAKSSSGDKAEQKRATKHGVIQEASPNLHVVVEAENDSKIFSTSNGGNTADTPERSSSSLREPEDSDLADEAWNEEDDSDFSSSNNSSYVSDMLDDASRNKKRDLILVLSEWAKDLVSDPPAVFGETFSHVFGKQMISSECSLFWRADNTSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGHHDILNAGGSRTAESSIFSYDDISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYSSSFNVDHAWHLFRQIVEGLAHVHSQGIIHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPTEAMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSLGVIFFELWHPFATAMERHLVLSDLKQKGDLPKSWAAQFPGQLNLLRRLLSSSPSDRPSAVEVLQSELPPRMEDEWLNDVLRMIQTPEDTYVYDRVISTIFNEERMIAKMQCQHESSKKSTDNSELLDTIIEVAKEVFKRHCAKRFQISPLHTLEGNFTEHRGKTVKILTQGGEMLELCYELRTPFVMSIARNETSSFKRYEISWVHRRAVGHSTPYRFLQGDFDIIGGASPIPEAEIIKVALDLGTRFYDSKALVIRLNHGKLAEAICSWAGVSQERRQNVAEFLSSTLVQYWPNNADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDDLSALLKCLRVWLVDEPITIDVLMPPSECYYTDLFFQVYSKEGNHGPSFHEKLLAVGGRYDWLMEQAWDKAYKSKPPGAVGVSIALEKFLPNNPSSDLGLPRLLSRIEPSISVLVCSRGGGGLLNERMELVAELWTANIKAQFVPQEDPSLQEQYEYASDHDIKCLVFITESGVSQTDLVKVRHLDFKREKDVKREELIKFLSEAICSQFKNPTIWSLTSGPP >KQK97869 pep chromosome:Setaria_italica_v2.0:VII:23647723:23664266:1 gene:SETIT_009202mg transcript:KQK97869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSSRKKKKRGAAGRKAAKDHAGQLEGDQTALDEELTALTSIFGEDFKVKSESPQTRFNICIRPYSDGMGFEDLNVSAILDVTCFPGYPHKCPKLRIIPEKNLSKEDANQLLSLLVDQANIYSREGRVMIFNLFEVAQEFLSEIAPAHVSTSNASCLGSSSTTDVDVKVNLDSDPYPGISYIYTSFDLYSQLYDYTSWSRQGLDLTTDSDRNTTGSQVKSSVRSKRKTIIEKSHVSADKINNAKSSSGDKAEQKRATKHGVIQEASPNLHVVVEAENDSKIFSTSNGGNTADTPERSSSSLREPEDSDLADEAWNEEDDSDFSSSNNSSYVSDMLDDASRNKKRDLILVLSEWAKDLVSDPPAVFGETFSHVFGKQMISSECSLFWRADNTSSRPNSRYLNDFEELRSLGQGGFGRVALCKNKLDGRQYAVKKIRLKDRSPQVNEKILREVATLSRLQHQHVVRYYQAWVETEYGHHDILNAGGSRTAESSIFSYDDISLSDAGGGNKQESTYLYIQMEYCPRTLRQDFETYSSSFNVDHAWHLFRQIVEGLAHVHSQGIIHRDLTPSNIFFDVRNDIKIGDFGLAKFLKLEQLDHDQYLPTEAMGVSMDGTGQVGTYFYTAPEVEQKWPQINEKVDMYSLGVIFFELWHPFATAMERHLVLSDLKQKGDLPKSWAAQFPGQLNLLRRLLSSSPSDRPSAVEVLQSELPPRMEDEWLNDVLRMIQTPEDTYVYDRVISTIFNEERMIAKMQCQHESSKKSTDNSELLDTIIEVAKEVFKRHCAKRFQISPLHTLEGNFTEHRGKTVKILTQGGEMLELCYELRTPFVMSIARNETSSFKRYEISWVHRRAVGHSTPYRFLQGDFDIIGGASPIPEAEIIKVALDLGTRFYDSKALVIRLNHGKLAEAICSWAGVSQERRQNVAEFLSSTLVQYWPNNADRKSQWSLIRGQLLQDLRLSEEVVEKLHKADQRFCGSADQVLARLRGTLFYDKSACKALDDLSALLKCLRVWLVDEPITIDVLMPPSECYYTDLFFQVYSKEGNHGPSFHEKLLAVGGRYDWLMEQAWDKAYKSKPPGAVGVSIALEKFLPNNPSSDLGLPRLLSRIEPSISVLVCSRGGGGLLNERMELVAELWTANIKAQFVPQEDPSLQEQYEYASDHDIKCLVFITESGVSQTDLVKVRHLDFKREKDVKREELIKFLSEAICSQFKNPTIWSLTSGPP >KQK98293 pep chromosome:Setaria_italica_v2.0:VII:26090756:26094816:1 gene:SETIT_010973mg transcript:KQK98293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDPWVKEYNEASRLADDISSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLESLLTRIPPKSITDKEMHKRQDMLSNLKSKAKQMATSFNMSNFANREDLLGQSKKADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVIAIVILAVIVWLLVKYL >KQK98294 pep chromosome:Setaria_italica_v2.0:VII:26090756:26094816:1 gene:SETIT_010973mg transcript:KQK98294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDPWVKEYNEASRLADDISSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLESLLTRIPPKSITDKEMHKRQDMLSNLKSKAKQMATSFNMSNFANREDLLGQSKKADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQRVQKRLAILNKRTKGGCSCMCLLLSVIAIVILAVIVWLLVKYL >KQK98292 pep chromosome:Setaria_italica_v2.0:VII:26092060:26094030:1 gene:SETIT_010973mg transcript:KQK98292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSDPWVKEYNEASRLADDISSMIADRGSLPQSGPEIMRHTSAIRRKITILGTRLDSLESLLTRIPPKSITDKEMHKRQDMLSNLKSKAKQMATSFNMSNFANREDLLGQSKKADDMSRVAGLDNQGIVGLQRQIMKEQDEGLEKLEETVLSTKHIALAVNEELTLHTRLIDDLEDHVDVTNSRLQVIIYP >KQK97407 pep chromosome:Setaria_italica_v2.0:VII:21067983:21069259:-1 gene:SETIT_012319mg transcript:KQK97407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAFSSSNGVPPGFRFHPTDEELLLHYLKKKVGFEKFDLEVIREVDLNKIEPWELQERCRIGSAPQNEWYFFSHKDRKYPTGSRTNRATTAGFWKATGRDKCIRTSYRKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADDAQGGTSEDGWVVCRVFKKKCFFKIGGGEGSTSSQGVDAGGHLAVSPPLGGHHDQARAAALASHYMHPHQYYHHHASSYYSQMQAPAPHAAYSHHVQVQDLLTNHRPADDAGAGAGYDFSGLPVEHHPGGLDVGSSDGVAADGGQLGEGGDQASGAAAAEQWQAMDGFSNGGSAAVQQMAGAMSSGAQRGGEMDLWGYGR >KQK97145 pep chromosome:Setaria_italica_v2.0:VII:19287093:19289120:-1 gene:SETIT_011030mg transcript:KQK97145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLVAAWRSPSTWTLPWPAATAPHPATHPTGAAAPHPAAAVARTGRGPAGKGGLLARTGKDNSRAGIAIWGEIGTRKYTRWKLPDQTAHKTHTRRRGKPGVVRSRERRKGPQDRQQRKRAGCLAQIVRPPWRISSRIRRYPVYFLLIDHCCFRTM >KQK97148 pep chromosome:Setaria_italica_v2.0:VII:19286446:19289120:-1 gene:SETIT_011030mg transcript:KQK97148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLVAAWRSPSTWTLPWPAATAPHPATHPTGAAAPHPAAAVARTGRGPAGKGGLLARTGKDNSRAGIAIWGEIGTRKYTRWKLPDQTAHKTHTRRRGKPGVVRSRERRKGPQDRQQRKRAGCLAQIVRPPWRISSRIRR >KQK97146 pep chromosome:Setaria_italica_v2.0:VII:19287093:19289120:-1 gene:SETIT_011030mg transcript:KQK97146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLVAAWRSPSTWTLPWPAATAPHPATHPTGAAAPHPAAAVARTGRGPAGKGGLLARTGKDNSRAGIAIWGEIGTRKYTRWKLPDQTAHKTHTRRRGKPGVVRSRERRKGPQDRQQRKRAGCLAQIVRPPWRISSRIRRCHRYPVYFLLIDHCCFRTM >KQK97149 pep chromosome:Setaria_italica_v2.0:VII:19286446:19289120:-1 gene:SETIT_011030mg transcript:KQK97149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLVAAWRSPSTWTLPWPAATAPHPATHPTGAAAPHPAAAVARTGRGPAGKGGLLARTGKDNSRAGIAIWGEIGTRKYTRWKLPDQTAHKTHTRRRGKPGVVRSRERRKGPQDRQQRKRAGCLAQIVRPPWRISSRIRRTM >KQK97147 pep chromosome:Setaria_italica_v2.0:VII:19286446:19289120:-1 gene:SETIT_011030mg transcript:KQK97147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLVAAWRSPSTWTLPWPAATAPHPATHPTGAAAPHPAAAVARTGRGPAGKGGLLARTGKDNSRAGIAIWGEIGTRKYTRWKLPDQTAHKTHTRRRGKPGVVRSRERRKGPQDRQQRKR >KQK97144 pep chromosome:Setaria_italica_v2.0:VII:19286887:19289120:-1 gene:SETIT_011030mg transcript:KQK97144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLVAAWRSPSTWTLPWPAATAPHPATHPTGAAAPHPAAAVARTGRGPAGKGGLLARTGKDNSRAGIAIWGEIGTRKYTRWKLPDQTAHKTHTRRRGKPGVVRSRERRKGPQDRQQRKRAGCLAQIVRPPWRISSRIRRSLLFQNNVIIEEVNKGLNPGMIVLLVVASFLLLFFVGNYALYVYAQKTLPPKKKKPVSKKKLKREKLKQGVSAPGE >KQK99271 pep chromosome:Setaria_italica_v2.0:VII:32044685:32045486:-1 gene:SETIT_011738mg transcript:KQK99271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRLLGSLLTRRRFSPRGITRAQAESSPGIVTQAVPASAAGISPHPCSVPWCRGCAGGIAGEEARKEVAEREVVKEPYLSREAAIKAGFVGKDGQVNWPGYYDYVNSQTNYGRMPLHNREVSVKEASDREAVKKQEDGVIVKVDEATMKARFQDWMEQYGWSYRTEKEKAHRHSGDFDWERYIDHINNMAAHGWYIGREEFSVSEAVKQ >KQK99913 pep chromosome:Setaria_italica_v2.0:VII:35349918:35350468:1 gene:SETIT_012805mg transcript:KQK99913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGSAAAVVGTSVELLDIPSLRLRMCCARDTTTPRHPQSQCHQVVLLSHVSLRDPSGEEEDEERSAPVLWPPVGRWRAAIRQSLPMRRTTFSLISAVPCVHCAVQGDL >KQK98658 pep chromosome:Setaria_italica_v2.0:VII:28495794:28498004:1 gene:SETIT_010322mg transcript:KQK98658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAQEIQLQIRGTPDEESVHDAGREGPKAATRRPTTRGGLRWWMTVAVDMLMVLCGTTVATLLGRLYYNSGGNSKWMATLTQSGGSPLLLVPLLMTPAPPAEERQPAASKMLPIYVGIGVLIGFDNLMYSYALQYLPVSTFSLVAATQLAFNAVTSRLINAQRFTALIANSVVLLTFSAALLGVGSASDGTSSGVPRGKYPVGFVLVLAASALFALIMSLFEATFEKVIRARTLRWVLSLQMYTNLVAAAVSVAGLMASGDWRTIPAEMAAFRDGRARYVLTLVGTAVSWQAAAVGMVRLVARVSSLFANVTATLSLPLVPVFAVALFGDRMTGIKVVAMLMAVWGFLSYVYQHYADGRRAGRAECRVCAGAGAARAGSDAVLPA >KQK99302 pep chromosome:Setaria_italica_v2.0:VII:32234021:32237254:1 gene:SETIT_010252mg transcript:KQK99302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAIDTPSPAPPTPPTPSAAAGRQTRAAESVRLEHQLVRVPLEALRSTVRSNNRLAEKEIAAALSSASAAPAESSAAAVDHLTSLVSRLHGLKRKMEEGARAEEVQVQRCRARLDRLASASTGEDAEWEDMRLKRILVDYMLRMSYYDSATKLAETSGIQDLVDIDVFLDAKRVIDSLQNNEVAPALAWCAENKSRLKKSKSKLEFLLRLQEFVEFVKAKNCIQAIAYARKYLAPWGSIHMKELQRVTATLVFRSNTNCAPYKILFEKDRWDFLVDMFKQDFCKLYGMTLEPLLNIYLQAGLTALKTPYPSHDYVTFLFSLSLLKYRLLALWWLWYLVFCCP >KQK99300 pep chromosome:Setaria_italica_v2.0:VII:32233978:32237003:1 gene:SETIT_010252mg transcript:KQK99300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAIDTPSPAPPTPPTPSAAAGRQTRAAESVRLEHQLVRVPLEALRSTVRSNNRLAEKEIAAALSSASAAPAESSAAAVDHLTSLVSRLHGLKRKMEEGARAEEVQVQRCRARLDRLASASTGEDAEWEDMRLKRILVDYMLRMSYYDSATKLAETSGIQDLVDIDVFLDAKRVIDSLQNNEVAPALAWCAENKSRLKKSKSKLEFLLRLQEFVEFVKAKNCIQAIAYARKYLAPWGSIHMKELQRVTATLVFRSNTNCAPYKVSNIFSVLSYDMPLCQMSQQLMSWFLMACLIYS >KQK99301 pep chromosome:Setaria_italica_v2.0:VII:32233978:32237841:1 gene:SETIT_010252mg transcript:KQK99301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMAIDTPSPAPPTPPTPSAAAGRQTRAAESVRLEHQLVRVPLEALRSTVRSNNRLAEKEIAAALSSASAAPAESSAAAVDHLTSLVSRLHGLKRKMEEGARAEEVQVQRCRARLDRLASASTGEDAEWEDMRLKRILVDYMLRMSYYDSATKLAETSGIQDLVDIDVFLDAKRVIDSLQNNEVAPALAWCAENKSRLKKSKSKLEFLLRLQEFVEFVKAKNCIQAIAYARKYLAPWGSIHMKELQRVTATLVFRSNTNCAPYKILFEKDRWDFLVDMFKQDFCKLYGMTLEPLLNIYLQAGLTALKTPLCSEGSCPKEDPLSLEGFRKLAEPLPFSKQHHSKLVCYITKELMDTENPPRVLPNGYVYSEKALQEMAKKNDGKITCPRTGDVCDFSECVRAFIS >KQK99882 pep chromosome:Setaria_italica_v2.0:VII:35220891:35222328:1 gene:SETIT_010234mg transcript:KQK99882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAPHHVSSYQQDYSLATSTSDDALYTGRPRSTAAGDVNDALLFLAVPAGWLIRSVTFLGELVASAVLSLVFPVAALIGALRALPAVVASNLRRAGLGLLAAACTFAALVAALFMSVLLGFLLVQNWVEEPVTVRQPLYFDYTAAQPSATVALGGARGVALPAGHSVRVSMALLLPDSYHNREFGMFQIKAEPISVSGITMASAAQPYMLRYKSTPVRLAQSALMFVPLTLGMRGETQTANLKVLQYREGHGRHKRTGLIRVLLQPRAATVQMPQVYRADVVVQTTLPWTKGLARGLKWTLCVWVSSSVYIVLVLAICLVRPLAASVRNRRSSELQANGKMASGLGTGDRGESPSKELSEDFTMKRRERRSKWKPQFRTQLHGGSVELEFTEGSTSSVALAETGQAMNDP >KQK97640 pep chromosome:Setaria_italica_v2.0:VII:22346423:22347817:-1 gene:SETIT_011133mg transcript:KQK97640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKEMIIRLQTTSEKGHCKAIKVAAAISGVESVTIAGEDKNLLLVIGVGVDSNRITEKLRRKVGHAEVVELRTVDAADELGGLAAAEHAYRYHPSPSPYKYAAAARDHHYYAAGRADHRYYTGGGGGGSAYAPQIMTPRADYHYGGGGGYPAAQYQQQHDYFYHPAAAANTHTVVHHEYASDPNSCSVM >KQK97641 pep chromosome:Setaria_italica_v2.0:VII:22346423:22347792:-1 gene:SETIT_011133mg transcript:KQK97641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIRLQTTSEKGHCKAIKVAAAISGVESVTIAGEDKNLLLVIGVGVDSNRITEKLRRKVGHAEVVELRTVDAADELGGLAAAEHAYRYHPSPSPYKYAAAARDHHYYAAGRADHRYYTGGGGGGSAYAPQIMTPRADYHYGGGGGYPAAQYQQQHDYFYHPAAAANTHTVVHHEYASDPNSCSVM >KQK99650 pep chromosome:Setaria_italica_v2.0:VII:34066477:34068946:-1 gene:SETIT_010697mg transcript:KQK99650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATAAAPPEWATKEPCLMGIDEAGRGPVLGPMVYGCMYCARSYNNTLATLKFADSKTLKEEQREELFESLKVNSSIGWEVDVICPKDLSAKMLKKSKVNLNEISHNSAMGLVRKVLDMGILLAEVYIDTVGDPEKYRIKLTEKFPGIKFVVAKKADSLYPVVSGASIVAKVTRDRALRNWVFDETALNMHMKTGSGYPGDPDTKQWLEDHKHPVFGFPTLVRFSWGTCTPFFKDAVEVTWETDEIDEDATNNGSAKRQVKLSSLGFTGFKRKTEEIESSGKGRCKFFQARKLELVRKFQ >KQK96773 pep chromosome:Setaria_italica_v2.0:VII:15364314:15367458:1 gene:SETIT_012124mg transcript:KQK96773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPAAAAQHPRVLLRFTHNTLVRALARSASPRSSPRRSAPTGGRARPWPLGVEWDGEGAFLGGGWREFAAACGVEPGWDTAHKPQFLRVFPKDFMGKMLIPAKFVQWYIPKEHLLDNHMAIVSGPLGKVSHVELQMSQSEVFFGGGWSQFLVLHDITESNALLLRYEGNMVFTVKVFEPDGCQRESKYKDIKMQQSEQKMNNMKRKRENDRSRCEVQKRPKGSMTSSYKASSKAGCIFEIGPPAWVKKEINTCAIQNSTLYLPPFFCKAIGIWEPCSITLKTSMSSTMSWQARVIPYDHSSHHVNGLKRFYQDSGIKVGDVCTFIIIETTLWHVVIEPR >KQK99556 pep chromosome:Setaria_italica_v2.0:VII:33492833:33494332:1 gene:SETIT_010564mg transcript:KQK99556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSVAGTLKMPVEQLKTNLGDEGEEHSFSCGQDMIEIFCTGSAKKDLFPLPKDYHVDSFQKQDVPDVLSLLQSPEESANCLEESVTGSGSCQTSGQQCINEVSGMQVTSNIEVFHQGHEESYENNDGKITPGIPASGVSEAVDIERSETEIGLAPPGGTSALPDEQLNMKVESHEVDEYSCCYDEDTSSLFDTESLCSKASSLDKDTHKDPPSDLSTLRSPEVSTVFQNQSVPGSVGICQSSRRRGIDELRAKLQSFKVSSTVKGSYIAMSAPRPKPGDNLGQSAIALLRNRENAPPAKAGHHAMPNPDRSVAKESSRLALQPISGRPRDH >KQK99555 pep chromosome:Setaria_italica_v2.0:VII:33492833:33494332:1 gene:SETIT_010564mg transcript:KQK99555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSVAGTLKMPVEQLKTNLGDEGEEHSFSCGQDMIEIFCTGSAKKDLFPLPKDYHVDSFQKQDVPDVLSLLQSPEESANCLEESVTGSGSCQTSGQQCINEVSGMQVTSNIEVFHQGHEESYENNDGKITPGIPASGVSEAVDIERSETEIGLAPPGGTSALPDEQLNMKVESHEVDEYSCCYDEDTSSLFDTESLCSKASSLDKDTHKDPPSDLSTLRSPEVSTVFQNQSVPGSESVKAAGEEV >KQK97919 pep chromosome:Setaria_italica_v2.0:VII:23954496:23957283:1 gene:SETIT_010282mg transcript:KQK97919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSAADAHPVSAIGFEGYEKRLEITFSEAPVFVDPHGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYPLKIVIKTCGTTKLLLTIPRIIELAEELSMPLAAVKYSRGMFIFPGAQPAPHRSFSEEVAALNRYFGGLKSGGNAYVIGDPARPGQKWHIYYATEYPEQPMVNLEMCMTGLDKKKASVFFKTSADGHTTCAKEMTKLSGISEIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVMGFDATALAYGDLVKRVLRCFGPSEFSVAVTIFGGRGQAATWGMKLDAEVYDCNNMVEQELPGGVLIYQSFSVTEDAIVGSPKSVLHCFEGENLENAAPVKDGKLANLLCWEEEDAMEEKDGVLAE >KQK97918 pep chromosome:Setaria_italica_v2.0:VII:23954496:23957283:1 gene:SETIT_010282mg transcript:KQK97918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLSAADAHPVSAIGFEGYEKRLEITFSEAPVFVDPHGRGLRALSRAQIDSVLDLARCTIVSELSNKDFDSYVLSESSLFIYPLKIVIKTCGTTKLLLTIPRIIELAEELSMPLAAVKYSRGMFIFPGAQPAPHRSFSEEVAALNRYFGGLKSGGNAYVIGDPARPGQKWHIYYATEYPEQPMVNLEMCMTGLDKKKASVFFKTSADGHTTCAKEMTKLSGISEIIPEMEICDFDFEPCGYSMNAIHGSAFSTIHVTPEDGFSYASYEVMGFDATALAYGDLVKRVLRCFGPSEFSVAVTIFGGRGQAATWGMKLDAEVYDCNNMVEQELPGGVLIYQSFSVTEDAIVGSPKSVLHCFEGENLENAAPVKDGKLANLLCWEEEDAMEEKDGVLAE >KQK99587 pep chromosome:Setaria_italica_v2.0:VII:33649598:33650047:-1 gene:SETIT_012860mg transcript:KQK99587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPVRPLQLLPEALVLLDIQLLNLVEIF >KQK99066 pep chromosome:Setaria_italica_v2.0:VII:30739029:30741344:1 gene:SETIT_012097mg transcript:KQK99066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGCRELPIPQHMDIYHLTREIEASDMSALQAVVSCDEERVKLEKEAEILAAQDDGGGDALDHVYERLEAIDASTAEKRAAEILFGLGFNKQTQATKTRDFSGGCRMRIASARSLFMNTTILLLDEPTNHLEMCYFLGKCGELEIYYPTIKFDRILVVISHSQDFLNGVCTNIIHMQNKKLKLYTGNYDQYIASMKEYIARFGHGSAKLARQVQSKEKTLAKMERGGLTEKVVRNKILVLFRFTNVGKLPPPVLQFVEVTFGYTPHNLLYKKLDLVVDLDSRIALVGPNGAGKSTLLKLMTGDVAPLDGKVRRHNHLRIAQFHQHLAEKLDQDMSALQYMLKEYPRNEEERMRVAVGKFGLSGKAQVMPMRNLSDGRRSRVIFAWLAYRQPQLLLLDEPTNHLDDIETIGSLAEALYEWDGGLVLVSHDFRLINQVAREIWVCENQAVTRWEGDIMDCV >KQK98307 pep chromosome:Setaria_italica_v2.0:VII:26195400:26195904:1 gene:SETIT_012817mg transcript:KQK98307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRQIVGDLLLRGDTRCITSTCVGTVPGVLFAHCLII >KQK96820 pep chromosome:Setaria_italica_v2.0:VII:15969138:15971380:1 gene:SETIT_010469mg transcript:KQK96820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMATASASLAVALLFASLLAGTVFSDDIIPIMRIPLLERFHAWQAEYNRTYATPEEFQYRFKVYCENVKFIETMNRAGSSYELGENQFTDLTQEEFEDMYLMKLNEVASAPEAMGQLGTMNAGGTSGGGNTDEAPNYVDWRTKGAVTPVKDQQQCGSCWAFAAVASIEGVHKIKTGRLVSLSEQEIVDCDRGGNDHGCHGGYPSSAMAWVARNGGLTTESDYPYVGRQGQCRRDKLGHHAATIRGVWAVQRNNEAMLERAVAGQPVAVSINASRAFQFYKRGVFSGPCNTSLNHAVTVVGYGADPDGRKYWIVKNSWGQTWGENGYVRLERRVSSREGMCGIAMEPYYPVM >KQK96108 pep chromosome:Setaria_italica_v2.0:VII:428744:429266:-1 gene:SETIT_012889mg transcript:KQK96108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFSSLCTSYPYAPFCIILHLAISNKNCKKGGCLVHMSNNVII >KQK97477 pep chromosome:Setaria_italica_v2.0:VII:21397272:21401368:1 gene:SETIT_010426mg transcript:KQK97477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSESSRNALLPGFLYAAPAAASPFAAAGGVGGLAVAAPSAASAAGPAVWARAPSEPGRRIEMYSPAFYAACTAGGVASCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGAKGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEVIADVALCPMEAVKVRVQTQPGFARGLSDGLPKFVKSEGYSGLYKGIVPLWGRQIPYTMMKFASFETVVEMIYKYAIPSPKSECSKNLQLGVSFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGLVGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVMVGLPTTGGVAPTPAPAKA >KQK98569 pep chromosome:Setaria_italica_v2.0:VII:27977028:27977362:-1 gene:SETIT_012931mg transcript:KQK98569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTFAYLVLLILSSRFYLYHVWHSAIIIHHQ >KQK99731 pep chromosome:Setaria_italica_v2.0:VII:34448784:34450371:-1 gene:SETIT_011961mg transcript:KQK99731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRSDATKEIVHYQTVSPKSLAKSVLEEGGWSNFICSREDAQKNVDVAVVFIGSKLQSSDISKDKQVDPALADTLKLSFTSSDFSIAFPYVSTSDDEKLENSLLSGFAENCNNGFERNHITYTDTCTVGGQDLEKYTNMDAINDLVRYRKISTDGQTDLIIFCSGGFQNLDPAKSEGELLSALVYMLKDLGVKYTILYASQPSGLLENPSREPLGRHLAEKTNTTTKAGLSKCDGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPLRFEAPQES >KQK96221 pep chromosome:Setaria_italica_v2.0:VII:1903697:1905876:1 gene:SETIT_012703mg transcript:KQK96221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNLLLCLVLYVQVLVGTVAAAGARPPAMYVFGSSILDVGNNNYLPGGAVGRANRRYNGIDFPASIPTGRFSNGYNIADYVAKNMGFACSPPAYLSLAPDSSGPLVHTAVAYGISYASGGAGILDSTNTGNTIPLSKQVQYFGATKAKMVAAIGPCAVNARLSRAIFVIAIGNNDMSVSAAAERAQNKSATDRRRDVATLYVNLVSNYSSAITVQCTARVLSPMGECWHSLNQLAAGFNDALRSRLAGLAPRLPGLVYSLADLLGFTRDTLADPWASGYTDIVGACCGSGRLSGEAECFPNSTLCADRDQHVFWDRVHFSQRTAFLIAQAFYDGPAKYTTPINFMQLAQS >KQK97536 pep chromosome:Setaria_italica_v2.0:VII:21756508:21761731:-1 gene:SETIT_010321mg transcript:KQK97536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGLLSKLRNLDAYPKVNEDFYSRTLSGGIITLASSVVMLLLFVSELRLYLHAVTETTLRVDTSRGEKLRINFDVTFPALQCSIISLDAMDISGQEHLDVKHDIFKQRIDPHGNVIATRQDAVGGMKMEKPLQHHGGRLEHNETYCGSCYGAQESDDQCCNTCEDVREAYRKKGWGVSNPDLLDQCKREGFLQSIKDEEGEGCNIYGFIEVNKVAGNFHFAPGKSFQQSNVHVHDLLPFQKDSFNVSHKINKLSFGEHFPGVVNPLDRAQWVQHSSYGMYQYFIKVVPTVYTDINDRIILSNQFSVTEHFRSSESGRIQALPGVFFFYDLSPIKVTFTEQHVSFLHFLTNVCAIVGGVFTVSGIIDSFVYHGQRAIKKKMEIGKFN >KQK98321 pep chromosome:Setaria_italica_v2.0:VII:26278069:26282749:-1 gene:SETIT_010793mg transcript:KQK98321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRDRGAAAAAGGAGDRKRIGEPMDRSSPSTSWGFSGGREKERIVAGKQPEVPRSGSGSTAMSMSKLADEESGTDSEESDVDVSGSDGEDTSWISWFCSLRGNEFFCEVDEDYIQDDFNLCGLSNQVPYYDYALDLILDVESSHGDMFTEEQNELIESAAEMLYGLIHVRYIITSKGLAAMLDKYKNVDFGRCPRVNCSGQPCLPVGQSDIPRSSSVKIYCPRCEDIYTPRSKYLSNIDGTYFGTTFTHLFLMTYPHLKPQKPAQQYIPRVFGFKIHKP >KQK98480 pep chromosome:Setaria_italica_v2.0:VII:27290301:27297333:1 gene:SETIT_009295mg transcript:KQK98480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAFEEISDEEWSHHSFKSSRVFKRASQPTSKPPPPIDSFRYDRKASSAAGTSTATVVLSDDDDFDLDADGRSRAAKSQRGLKRPHHGPPSRAPPSTGSFGHNPEPSKAAAALGISDSEDDYFDLNDDDFGLPASPSRTSRLRRKGKTQRVLKGPQHRPQSRAPPSTGSFRHNPKPSKTAATTGLSDSDDDDFDLTDHDSDLPASPPRTSRPRRTAGRRLVTAAIDISEEDEDLDLADDDVDYQAPRPPQQRTSGRRFVIGDDDDSDVPVADGAMDVEEDDGVNWSELENDDEDGDYNGGRSVDVEEREGDVVGMALRKCSRISADLRQELFGSSARNVESYAEIDASTCRIVTQEDVDAACTSENSGFDPVLKPYQLVGVNFLLLLHRKSIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELRKWCPSFSIIMFHGAGRTAYSKELSSLGKAGCPAPFNVLLVGYTLFERRSAQQKDDRKALKRWQWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVKFVTMDTEQSKTYINAIDEYRSACQARSAKSSVDMTNNVVGLIPKRQISNYFTQFRKIANHPLLIRRVYSDKDVDRIARLLYPKGAFGFECSLERAIQELKNYNDFNIHQLLISYGDAGTKGALTDEHVFASAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWALEIIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTVYRLVTKGSVDENIYEIARRKLILDAAILQPGAELDNSTDVPEQTMGEILASLLLA >KQK98481 pep chromosome:Setaria_italica_v2.0:VII:27290301:27297333:1 gene:SETIT_009295mg transcript:KQK98481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAFEEISDEEWSHHSFKSSRVFKRASQPTSKPPPPIDSFRYDRKASSAAGTSTATVVLSDDDDFDLDADGRSRAAKSQRGLKRPHHGPPSRAPPSTGSFGHNPEPSKAAAALGISDSEDDYFDLNDDDFGLPASPSRTSRLRRKGKTQRVLKGPQHRPQSRAPPSTGSFRHNPKPSKTAATTGLSDSDDDDFDLTDHDSDLPASPPRTSRPRRTAGRRLVTAAIDISEEDEDLDLADDDVDYQAPRPPQQRTSGRRFVIGDDDDSDVPVADGAMDVEEDDGVNWSELENDDEDGDYNGGRSVDVEEREGDVVGMALRKCSRISADLRQELFGSSARNVESYAEIDASTCRIVTQEDVDAACTSENSGFDPVLKPYQLVGVNFLLLLHRKSIGGAILADEMGLGKTVQAVTYLTLLRHLYNDPGPHLIVCPASVLENWERELRKWCPSFSIIMFHGAGRTAYSKELSSLGKAGCPAPFNVLLVGYTLFERRSAQQKDDRKALKRWQWSCVLMDEAHVLKDKGSFRWRNLMAVAQHARQRLMLTGTPLQNDLHELWSLLEFMMPDIFATGDIDLKKLLNAEDHELISRIKSILGPFILRRLKSDVMQQLVPKIQHVKFVTMDTEQSKTYINAIDEYRSACQARSAKSSVDMTNNVVGLIPKRQISNYFTQFRKLLISYGDAGTKGALTDEHVFASAKCQALAELLPSLANDGHRVLIFSQWTTMLDILEWALEIIGVTYRRLDGGTPVTERQTIVDTFNNDRSIFACLLSTRAGGQGLNLIGADTVIIHDMDFNPQMDRQAEDRCHRIGQQKPVTVYRLVTKGSVDENIYEIARRKLILDAAILQPGAELDNSTDVPEQTMGEILASLLLA >KQK96636 pep chromosome:Setaria_italica_v2.0:VII:13209426:13209930:-1 gene:SETIT_011620mg transcript:KQK96636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQQFHVTHFLPPETSWVDSRQFYIANIIYAEKTMTCSIFNYGKAYAILVKI >KQK97172 pep chromosome:Setaria_italica_v2.0:VII:19421961:19422515:1 gene:SETIT_011758mg transcript:KQK97172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGDVEAAVDAVEVEQPAKACDGETSSPATTTTEESEPVRQEQQHEDTESDADHHEAVAPPDEAAAEVSASEEPERQKPMVAEEEVEPEAAADDHQESTRERLKRHRREMAGRVWVPELWGQEKLLKDWVDCAVFDRPLVPAGLPTARRALIAECCGTRRPDRTSPASSAGSSPLRVHNGCS >KQK97771 pep chromosome:Setaria_italica_v2.0:VII:23168647:23173394:-1 gene:SETIT_009554mg transcript:KQK97771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEGAMSPTRMLAEGHLRVATGGGAPADGGIAVRHLPHHHPAKKDGVGGKTEQDNHEDVDSLPSQELKKLANGNNKVPGTLDEYKRLVVPIVEEYFSTGDVELASSELRSLGSDQFQHYFVKKLISMAMDRHDKEKEMASVLLSSLYADLLSSYMISEGFMMLLESIEDLTVDIPDAVDLLAVFIARAVVDEILPPVFLARARALLPEFSKGIQVLQVVEKSYLSAPHHAELVERKWGGSTHFTVEEAKKRIQDILREYIESGDIDEAFRCIRELSLPFFHHEVVKRALTLGMENISSQPLILKLLREAAAGCLISSNQISKGFSRLAESVDDLSLDIPSAKDLFDKLVSTAISEGWLDASFSKSAASEEEMRNTSADKVKRFKEESGHIIHEYFLSDDVPELIRSLEELSAPEYNPIFLKKLVTLAMDRKNREKEMASVLLSSLSLELFSTDDIMKGFIMLLQSAEDTALDIVDAPSELALFLARAVIDEVLIPLNLDEISSKLRPNSSGSQTVQMARALLSARHSSERILRCWGGGTGWAVEDAKDKIAKLLEEYSTGGDLGEACRCIRDLGMPFFNHEVVKKALVMAMEKQNDAGILALLQECFGEGLITINQMTKGFTRVKEGLDDLVLDIPNAQEKFGAYVELATGRGWLLPAFTSVP >KQK99484 pep chromosome:Setaria_italica_v2.0:VII:33091915:33095894:-1 gene:SETIT_0094122mg transcript:KQK99484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADIALCSDCFHNSRFVTGHSSLDFQRVDGMKDRSDTDGDRWTDQETLLLLEGIEKYNDNWNHIAGHVGTKSKAQCIQHFICLPVEDGLLENIEVPEASLPSRMQSNGFLNSDSNGSTSGCLPQSSQPGNQIPFINSANPVMSLVAFLASEVGPRVAAACASAALSVLTRDDSRMCSEGIDGVGHAAHLNYGPSSSISPETVKHAAMCGLSAAATKSKLFADQEEREIQRLAATIINHQLKRLELKLKQFAEVETMLLKESERFELTRQQLAAQRVRILSTRLPSSGGTLPGGGSTMVSNPMNQAAGLRPPMMQGSMPQSSMPAMYANHMQGHPQMAALLQQRQQMLSFGPRLPLSAIHPGTSSSSAPSMMFNPGMPNSSAPNHHPLLRPPSGNNSNVG >KQK98295 pep chromosome:Setaria_italica_v2.0:VII:26103054:26105004:1 gene:SETIT_010126mg transcript:KQK98295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHQTLIPSFFAPYVSASSSPPFLHASDAATAPPAGSSVPVLLRGPAMPSLAHHHSPLDDGRTDALKCNNSFAPEETAEDAAAAAAAGALVEKDGFSVEDLLDLEEFGEPDKDGADNEEAPLPPPPAAAAEEKSNGDSQPLSVVTYELPPPPPEMVDLPAHDVEELEWVSRIMDDSLSELPPQPHPPAALVASLAARPPLAQQRRVPQPHVHDGAYRALPPAPGPLRTPTICALSTEALVPVKAKRSKRSRAPGWSLSGASFLSDSASSSSTTTTSSCSSSGSFSPFLFLDSAPFSSGLELAEGYYNHFLPAPASKKSKHGGGKGSKHKPKKRGRKPKHLPPNPSAAGAVASQPAPGDRRCSHCGVQKTPQWRAGPEGAKTLCNACGVRYKSGRLLPEYRPACSPTFVSTIHSNSHRKVLEMRRKKESGMVATAAPAVASF >KQK99291 pep chromosome:Setaria_italica_v2.0:VII:32173318:32174491:1 gene:SETIT_012495mg transcript:KQK99291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TVVNEYVGAVRQLACEILDLLGEGLGLKDPRSFSKLITDTDSDSLLRINHYPPACTIHKLDHDGQCKMKSIVRTKNGNGVNPSAGARIGFGEHSDPQIISLLRANDVNGLQVLLPNSDGKEVWIKVPADPSAFFVNVGDLLQALTNGKLVSVRHRVIASACRPRLSTIYFAAPPLHAQISALPETITAASPRQYRSFTWAEYKKTMYSLRLSHSRLDLFHVGDDDNSNVGKGEQE >KQK99101 pep chromosome:Setaria_italica_v2.0:VII:31007718:31008002:-1 gene:SETIT_012019mg transcript:KQK99101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRYASRVVLRAAQAVRARQPAARAVPMPGGAVKPAGTAAPAAQRPQGGQVVSGAGGSRSSVSMEKAVAVEKLRRRKAQKAENVMHLVCWGPN >KQK98251 pep chromosome:Setaria_italica_v2.0:VII:25890865:25895178:1 gene:SETIT_012081mg transcript:KQK98251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTARLLGISILCLLLVRNSESVSDDVSALLAFKRAIYDDPLSKLSDWNSRDKDPCTWSGVGCSAFNRQVVTLELSNSSLQGFLAPEIGSLKSLQKLVLDHNTFMGSIPKDIGKLKNLMELNLSTNQLAGPIPSEIGDMTKITKIDLHANRLDGAIPPEVGKLGNLLELRLSNNRLTGIIPASNDSNMESANSNDQIGLCQLSQLTDIDLSYNFLVGDIPTCLNQIQRSSLAWNCFQNNDTSNRPLQQCMNENGLPEPLWLLILEVIAAVSFLCLLTLCTITGLRRCRARSSESRNSSPWTRAVSWKENTVISIDDDLLVNVPKISRQELSEACEDFSNIIGSSQETVVYKGTLKDGREIAVVSLSVSVPYWNDYVIEMARLSHENVAKMVGYCKESEPFSRMLVFQYPPNGTLYEHLHDGEGWQLSWPRRMKLALAIARALRYLHTELQPPFAVAALTSSSIYLTEDYSPK >KQK98334 pep chromosome:Setaria_italica_v2.0:VII:26326122:26327718:-1 gene:SETIT_011795mg transcript:KQK98334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGVEVDAGQAAKRSKATDEEGKTPPPVGAVRKQRQPTPDHPPYCWMIGEAIDALGGSEEDSISAFIRARHPGVPAAHDRLLRHYLDKHVAEGFFMCTAAGLYLRSPDENTAEELPVEPAAAGSSEEAKRGRGRPRKDVSASMSPAGKKDGARSATPKPRGRLSVANKDGSQAASSTPKRRGQRRAVAPQSATEDSVPASLVAVADKKDGSQAAFSTPKRRGQRRAVAPQSATEDSVPASPVDVADKKDGTGSQAVSSTPKRRGRLRKLGMTTTTDSYGKTLVEGKKGGCEAPDTTIQEHEPRRELAQVIVGDGPATTSIMDKASAEVPPTTPVEGRQPLELALVNTTDVPVPAPAPAMDKDSRDAPSFNLAL >KQK98527 pep chromosome:Setaria_italica_v2.0:VII:27733414:27734199:1 gene:SETIT_012072mg transcript:KQK98527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVELEGRPRQPLMLKEWLELESSAELSRDGFGCYPRHLAAELRSANGRRRNGDVVARFSAAVRAALSRPPAGREGEAAALSRSLSRRLRAGFWRKRRGEAEETDRPVASCSAASSTRRDAPSRSPAMSPRRTSWEGRQAGGDSAGLSGGRRSYETEVEGCECETTCHLDEEREQEQRLSPVSVMDFPSQDRDDGNDDDCNDHGGGNGQSEDDGASPTFEQSLANIR >KQK98006 pep chromosome:Setaria_italica_v2.0:VII:24463721:24465276:-1 gene:SETIT_010580mg transcript:KQK98006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLRSPPPTSVSVPCAAIGASLHFSLPVSRKPSVSCNRACRAGIRCSAANKPSPPPPTTPDSSEVSSMAKIRSEVLSPFRSVRMFFYLAFMASGALGGLIALTRLLPALSDPARAAGAADTLKGLGIDVAAVSLFAFLYSRESRAKDAQVARLAREERLSRLKLRAGAGDVARPFALGELRGTARLVIVAGPGEFVAESFRRSQPLLRELAERAVLAVPFATDGNTPELRIDGGGDEDGVGDELARRSKRLWQLTPVYTTEWAQWLDDQKKLAGVPPDSPVYLSLRMDGRVRGSGVGYPPWQAFVAQLPPVKGMWSGLLDGMDGRVL >KQK96176 pep chromosome:Setaria_italica_v2.0:VII:1229437:1230873:1 gene:SETIT_012299mg transcript:KQK96176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLGVTLTLLILPPNKIIRDDGSKATKFTYSSMGKEGTEILKLFTNWKMLLVLPAAWASNFFYTYQFNNVNGVLFNLRTQGLNNVFYWCARMIGSAGIGYFLDFGLASCRKRGLIGVAIVAVLGTAIWGGGLANQLRYKDGKWQDLIFFKDGLRYAGPLLLFFSYGLLDAMFQSLVYWIIGALANDSQILSRYVGFYNAVQSAGAAVAWQIDMHKTPLITQLVVNWALMTVSYPLLVLLLFLAVKD >KQK98483 pep chromosome:Setaria_italica_v2.0:VII:27318735:27325363:1 gene:SETIT_009633mg transcript:KQK98483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSAAAAAAFFGIRDGDQQDQIKPLISPQQQQLAAALPGVAGAAPAPATGQGAPAAAAQPPPKKKRTLPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTGHLYGATNAGNMALSLSQVGSNLTSTLQDGGHHHHHHHHHPSPELLRLAATAGGGGSSIAARLDHLLSPASGASAFRPPPQAPPSSAAFFLNAAAAAAGQDFGDDGGNGPHSFMQTKPFHGLMQLPDLQGNGAGGPGGSAPGLFNLGFFANNGNSSGSSHEHASQGLMNNDQFSGGAGGGGGSEASAAGIFGGNFVGGGDHVPTPGLYGDQSTMLPQMSATALLQKAAQMGATSSANGAASMFRGFVGSSPHGRPATPHMEQSEANLNDLMNSLAGGGVGAAGIFGGSNGGGGGGGGAGMFDPRQLCDMEHHEVKFGQGGGDMTRDFLGVGGGGIVRGISTPRGDHQSSSDMSSLEAEMKSASSFNGGRMP >KQK98484 pep chromosome:Setaria_italica_v2.0:VII:27319174:27325363:1 gene:SETIT_009633mg transcript:KQK98484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSSAAAAAAFFGIRDGDQQDQIKPLISPQQQQLAAALPGVAGAAPAPATGQGAPAAAAQPPPKKKRTLPDPDAEVIALSPKTLLATNRFVCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNPLQAQRRRVYLCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARLPPTSLSSLTGHLYGATNAGNMALSLSQVGSNLTSTLQDGGHHHHHHHHHPSPELLRLAATAGGGGSSIAARLDHLLSPASGASAFRPPPQAPPSSAAFFLNAAAAAAGQDFGDDGGNGPHSFMQTKPFHGLMQLPDLQGNGAGGPGGSAPGLFNLGFFANNGNSSGSSHEHASQGLMNNDQFSGGAGGGGGSEASAAGIFGGNFVGGGDHVPTPGLYGDQSTMLPQMSATALLQKAAQMGATSSANGAASMFRGFVGSSPHGRPATPHMEQSEANLNDLMNSLAGGGVGAAGIFGGSNGGGGGGGGAGMFDPRQLCDMEHHEVKFGQGGGDMTRDFLGVGGGGIVRGISTPRGDHQSSSDMSSLEAEMKSASSFNGGRMP >KQK97719 pep chromosome:Setaria_italica_v2.0:VII:22850961:22853170:1 gene:SETIT_009604mg transcript:KQK97719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLMKLGARPDTFFTSGPVRSVYTEVATDMEILVDHCLFRLHKFPLLSKCLLLQALCAESDAVELPGFPGGAEAFEACAKFCYGIAVTVGAHNVVPLRCAAARLGMTEAADRGNLAAKLDAFLASCLLRRWRDALAALRSTARHASACEELGVTSRCVEAVAILATDPGSSAHAAGVPVPAACSSPPWWARDVSELGADLFWRVMVAVKAAGTVKGRAVGDALKVYARRWLPNVAKSGYLVVEQTDGSTGSADVAATNHRFLVEKMASLLPAERNAVSCSFLLKLLKAANVLCASPATKAELTRRAALQLEDASVGDLLIPSCAGETLYDVDAVMAILEELALRQAAAAAGGIPEASPPHARGHRRSRSAESSEFEGARRSASAAASHGAMVRIGRLVDGFLMEVAKDPNLALDKLIAIAEAVPDCARPEHDDLYRAVDTYLRVHPEMDKSSRKKLCRVLNCRKLSETASMHAAQNELLPLRVVVQVLFFENARAAALSMSGPGANRVAGVAGGVKALLAKTRREADGGEEVVKDEQRLRGLAAGAPGDDDWSVEGLKRAASRISTLRMKLEEDDDDDADDGAFVHRARPGLVRSASSRVRALCAIPAGKPKRMLSRLWPSSRSVAGSERH >KQK99956 pep chromosome:Setaria_italica_v2.0:VII:35553671:35555753:-1 gene:SETIT_010979mg transcript:KQK99956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAPLFLLLLLLLVASGPARAKDAGYGPVYKEQISSIKIPVHLKSSNPICSACENFTNEAVSYLSKKQTQDKIVEFLHDACSQSFSLEQKCIELMQSYAALLFSKIAEIKPEEFCKQHGMCRDIAHLSGVRSDSTCVFCHHLLDEIMSKLKDPDAEFEIIQILIKECNKIEGHVQQCKRLVLQYIPLILVNGEKFLEKNDVCALVQACPASRKRTAISVEEGVLLSDA >KQK99957 pep chromosome:Setaria_italica_v2.0:VII:35553449:35556248:-1 gene:SETIT_010979mg transcript:KQK99957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAPLFLLLLLLLVASGPARAKDAGYGPVYKEQISSIKIPVHLKSSNPICSACENFTNEAVSYLSKKQTQDKIVEFLHDACSQSFSLEQKCIELMQSYAALLFSKIAEIKPEEFCKQHGMCRDIAHLSGVRSDSTCVFCHHLLDEIMSKLKDPDAEFEIIQILIKECNKIEGHVQQCKRLVLQYIPLILVNGEKFLEKNDVCALVQACPASRKRTAISVEEGVLLSDA >KQK96484 pep chromosome:Setaria_italica_v2.0:VII:9445806:9453857:-1 gene:SETIT_009743mg transcript:KQK96484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKTVVPSQLMAERGSNLVVINPGSANVRMGFASQDVPFNIPHCIARHINPQEGEEPKFSVRDQMLNCRATSSQNAERESAYDIIAALMKIPFLDEEMPSPNQPLPPKMGRVDGFSSQQSRDDSKFTWTNVTERSIKPSTSIDRSVYKDAEEGTLPSTSGDDNGHDVEENKYKEMIFGEDALKIPPSESYCLSRPIRRGHFNVSHNYSLHQVLEDLRTIWNWMLTEKLHINPRDRGLYSAILVLGETFDNREIKEMLSIVLHDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHHTWPNFQTDPVSKPIDMLMLNKIKESYSQIRSGTFDAVALVHSYDDDRSAGHQKTRLSALNVIP >KQK96483 pep chromosome:Setaria_italica_v2.0:VII:9443478:9453857:-1 gene:SETIT_009743mg transcript:KQK96483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYLKTVVPSQLMAERGSNLVVINPGSANVRMGFASQDVPFNIPHCIARHINPQEGEEPKFSVRDQMLNCRATSSQNAERESAYDIIAALMKIPFLDEEMPSPNQPLPPKMGRVDGFSSQQSRDDSKFTWTNVTERSIKPSTSIDRSVYKDAEEGTLPSTSGDDNGHDVEENKYKEMIFGEDALKIPPSESYCLSRPIRRGHFNVSHNYSLHQVLEDLRTIWNWMLTEKLHINPRDRGLYSAILVLGETFDNREIKEMLSIVLHDLGFSTAVVHQEALAAAFGNGLSTACVVNIGAQVTQVVCVEDGVALPHTALALPYGGDDISRCLLWVQRRHHTWPNFQTDPVSKPIDMLMLNKIKESYSQIRSGTFDAVALVHSYDDDRSAGHQKTRLSALNVPPMGLLYPRVLVPEEYPPPPRSWFQDYDDMLEDTWQTSDSLYPGGNGGYGMWDSYPTFPTRLKKFDNIGLVEAIVSSVLSTGRVDLQRKLFCSIQLVGGAASTAGLAPVLELRILNKLPANQSVERVEVLQSRTYPLFVPWKGGVLVRVFGVANSICHRS >KQK98108 pep chromosome:Setaria_italica_v2.0:VII:24993620:24994175:1 gene:SETIT_013065mg transcript:KQK98108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVWQGSRAWPAWAAHSPAVERSGDRQCGCSMWMPPGSTIGVDTAIGGDCARRRPRAWRGRGSALLGCVVVAQPRVVCAGLASDTMVVWLAPACAGARQRRHGGMARGVGPPCLWCRRLRRRRAWCRRLL >KQK99932 pep chromosome:Setaria_italica_v2.0:VII:35441174:35442914:1 gene:SETIT_010305mg transcript:KQK99932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSICRKKATDGIKHNRHYKCALPLKAIFTFVSSHLKVPDLEKMAPKLVFVLPIVLLGWAFQAILRPPPTKLCGFPGGPPLTSPRIKLRDGRYLAYREDGIQKDKARYKIITVHAFDSTKDIPLPVSKELVEELGIYFLAFDRAGYGESDPNPKRDVKSEALDIEELADQLELGQKFYVLGASMGGYSVWGCLQYIPHRLAGAALVVPVINYWWPSFPAELSRQAFKKLVVPEQRTLWIAHNVPSLLYLWMTQKWLPSSAAAMHNPEIFSKHDMEVLQKMMAMPRTIENKSRQQGTYESIHRDLLVAFGSWDFDPMNSTNPFPQNEGSVHIWQGYEDRLVLVELQRYISKKLPWIKYHEVPEGGHMFMLVDGWTDRILKALLVGEEPSAA >KQK99053 pep chromosome:Setaria_italica_v2.0:VII:30664607:30669552:-1 gene:SETIT_009394mg transcript:KQK99053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLPPRSSYLKKENAGTARREMGFKVTPRRNVLSAINNGEANGGTPSAPADGGGGGGGGGGGAEAAPVVEFSGREDVERLLAEKMKGKSKNDYKGRVEQMSDYIKKLRACIRWYMELEDGYLVEQEKLRGAMDAENTRHTDLEAQLSTAIEELKAANLDLTRRCEFLEESLNREKSEKLIAVESYEKEKQERESAESSRDVLTVDLERVTHDAKRFSEQLKMVQDTNKRLQEYNTSLQQYNSNLQADASKSGETISKLQKEKSAMMETMAALRECNNSMSSQLESSRASQQESIRVKEELRKEVECLRAELKQVRDDRDHSVAQLNNLNLELANCKEQIGKSSKECEGLSTKVSALEETCNTQQEQIQTFRKQLAVATQKLKLADVTAIEAMTGYEEQKEKIKYLEERLAHAESQIVEGDELRKKLHNTILELKGNIRVFCRVRPLLRFDGDSNGPEGASISFPTSVESNGRAIDLMNQGQKLSFSYDKVFDHNASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPGIDQKGIIPRSLEQIFKTSQSLESQGWEYSMQASMLEIYNETIRDLLAPGRPNSFEMTPSKQYTIKHDSHGNTTVSDLTIIDVFGIADVTTLLEKASQSRSVGKTQMNEQSSRSHFVFTLKISGSNENTGQHVQGVLNLIDLAGSERLAKSGSTGDRLKETQSINKSLSALSDVIFAIAKGDDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPEASSVGETICSLRFASRVNACEIGIPRRQTQARSFDSRLSYG >KQK99940 pep chromosome:Setaria_italica_v2.0:VII:35472098:35473548:-1 gene:SETIT_011909mg transcript:KQK99940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGDRCVAAATLLQILLAFVCLLSSASGLQIPHPDLPDMYTDFVRDRTWYPPPVEVVAIDLGNTNSCIAGYAPAKTDTMFQFCIPSWVAFTDDGATLVGEAARNHAGAADPQAVVFGFKRLLGLRSNHWYEDAIVQTAIKRAPYKITARDFNTPMIDVKSKDGTVKHLSLMKVASMVIAQLKEKAMEYLGGPVDYAVMTIPQHYSGLSRDTAMMAGELARLHIVDMVPEPISVAVAYGLRTKLREGANALVLHVGGGTADASVVTLMDRSLGIRAYRDDPFLGGDDFDEKVVDYFAELVKMKHGKDIGGDSIALAKLRTACERAKKALSNQEHVEVTVESLFDGVDFSETLSRSKFEELNDDAFRRVVALVRRVMLEAEERRGNIKIDEIILVGGSTMIPKIQRLVKEYFHGMEPSIRVKPDEAVALGAVVHAYSAY >KQK99039 pep chromosome:Setaria_italica_v2.0:VII:30610514:30618224:-1 gene:SETIT_009205mg transcript:KQK99039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIIARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASLGLPPALAVETARVGKLEITLPSVSNVQVEPIVVNIDKLDLVLVEKDDSENLSPSSTASSPSATKSSGYGYADKIADGMTVQVGIVNLLLETHGGARRRGDATWSPPLAAITFRDLVLYTTNEKWQVVNLKEARDFSNNKGFIYVFKKLEWQSLSVDLLPHPDMFTDARFNSSSSQDNKRDDDGAKRMFFGGERFLEGISGEANITVQRTEQNNPLGLELQLHITEAVCPALSEPGLRAFLRFMTGVSVCLNRGDVDPKAQQLAEAAGSSLVSIIVDHIFLCIKDTEFQLELLMQSLFFSRASISDGECSKNLSCIKVGGLFLRDTFSRPPCTLIQPSMQAVSQEPLPVPDFGQNFCPPIYPFGNQLLEFAAGVPLFSLYCLQITPSPSPPKFASKTVITCQPLTVTLQEQSCLRIASFLADGVMPNRSTVLPDSSINSLSFSLKEFDLSVPLDSEEITRCSGTKNMCPQSSFSGARLHVEDLYFCQSPSAKCPLLNLDRDPACFLLWEYQPVDASQMKWATRASHLSLSLETSSASNGQRAARDSSANLWKCIELDDIRFEAAMVTADGSPLLDVPPPEGVVRIGVAFQQFTSNTSVEQLFFVLGFYTYFGQVAESISKVSKGNKSGVSESSAEKFENKLPSDTAVSLTMNNLQLNFLESLSAHDIHMPLVQFGGEDLFLKVSHRTLGGAFAVTTNLLWRTVNVNCLEGESAMICENGIAVTGEHNIEVHENGHPKMRAVFWVDHRSKNQDKKAQFIDVNITHVMPYDMRDMECHSLNVSAKVSGVRLGGGMSYTESLLHRFGILGPDGGPGEGLLRGLKDLSSGPLAKLFKSSHLTEEENERSKVDDHSSKFDLGVPDDLDVSVELRNWLFALEGTEEVGDCFTPTRGADRISREEKCWHSTFRNLHVSGKSSDRLNLGGAGKVSSKRAFPVERFTAGIEGLQAIKPRPRDQHAGKGTSNNHQMGSGFNNASSVGDHGVDVEATMVIGEDEIEGAKWTMDNVKFSVKEPIEAVATKEELEHLAMLCRSEADAMGRITAGILRLLKLDKSLGQGTIEQLRNLGSGGMDNIFSPRRLSRQNSFGSIGTPRTPTMQAIADVMGSKNTLEATISSLQVEISESKAKCAALISQASSTEDQNHAEDIRQLNEKLESMQSLVTRLRTLI >KQK96735 pep chromosome:Setaria_italica_v2.0:VII:14713451:14713985:1 gene:SETIT_012928mg transcript:KQK96735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRCPLLESAPAPAPPLRIDVTHLLLRRPPFQISVVPLLLHPQINAGPCSSTFPCPESM >KQK96222 pep chromosome:Setaria_italica_v2.0:VII:1934904:1935008:1 gene:SETIT_012492mg transcript:KQK96222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GECMRCCKHLGFVHGRCSLKHGDGCYCCHNPDEK >KQK96696 pep chromosome:Setaria_italica_v2.0:VII:14062023:14071232:-1 gene:SETIT_0094701mg transcript:KQK96696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRCVASFCQTIPIASIGGVLLFLIILVCGGFIIPRTLFPNWLKWIFWVSPMSYGEISLTGNEFLTQRWQKVMVSGVTLGNRVLMDRGLDFSSLFYWISVGALAVFIAVFNIGFAVGLTMRRWKILPFTPLAVSFRDVNYYIDTPMAMREQGYTESNLQLLHNITGAFQPGVLSVLMGVTGAGKTTLLDVLAGRKTGGVIEGAIRIGGYPKVQETFARISGYCEQTDVHSPQITVWESVVYSSRLRLPMEIDSKTRHDFVKEVLETIELDDVRDSLVGLPGANGLSTEQRKRLTIAVELVSNPCIIFLDEPTSGLDARAAAIVMRAVKNIAGTGRTVACTIHQPSIEIFEAFDQLMLMKRGGELIYAGPLGNNSCEVIQYFQAIPGVPKIKDDYNPSTWMLEVTSKSMEKQLGINFAAIYRESSTYKDNDEMVKQLSVPSPGTRDLHFKAQFPQKFMDQFKACLLKQFLSHWRTPSYNLVRIVFTALSCLMFGALYWQKGNINNINNQQGLFTALGCIYCTTLYTGIQNCQSVMPFVCIERTVMYRERFAGMYSPWAYSFAQLAMEIPFVLVQLLIFMLVAYPMIGFAWAITKVLWFSYSMFCTLLYFVYLGMMIVSLTPNMQVATILASACYTVLNLMSGFIMPGP >KQK98214 pep chromosome:Setaria_italica_v2.0:VII:25697226:25700403:1 gene:SETIT_010629mg transcript:KQK98214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVRDRTEDFKEAVRVAALSHGYTEAQLAALTSSFIIRKPSPKSPFTNAAIKTLESIRELERFIVKHRRDYVDLHRTTEQERDNIEHEVGVFVKACKEQIDILKNRIHEEEKNGGAKTWLGTRDESSRLDLIAHQHGVVLILSERLHSVTAQFDRLRSMRFQDAINRAMPRKKIQKKPEIKPAEPSKSNLVLKSDVSKIGDQEVSTAPMRVQEQLLDDETRALQVELTSLLDAVQETETKMMEMSALNHLMSTHVLQQAQQIQYLYDQAVEATNNVERGNKELSQAIQRNSSSRTFLLLFFFVLTFSVLFLDWYNN >KQK99187 pep chromosome:Setaria_italica_v2.0:VII:31397922:31398709:-1 gene:SETIT_011279mg transcript:KQK99187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTSRVNVMVILVVVLFACLLASTYCRGDRPVLGEVESTRRNYNVATNHTLADDPNGSDGNSSNIVTVFCVKENCRQSTCYCCNTNQPKPCFQSWDACRQNCRTCAPRCPSSQPLPSDVQGLRSLSIGNAAYIVSD >KQK98360 pep chromosome:Setaria_italica_v2.0:VII:26535562:26536810:1 gene:SETIT_012306mg transcript:KQK98360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSEYKRRRWYVRYVGESNVAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWIFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHKFRRWVPPPPNPPMMTDEEKQEAACRRVRDPPMCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKLRKEQEIKEKIRKKYGVPIPDDDLLWGKIYQDMVHETGVKPNGFYARETIIKYWRQNRSKEKIGGSCRRRGTWRNKG >KQK97205 pep chromosome:Setaria_italica_v2.0:VII:19590701:19591193:-1 gene:SETIT_011468mg transcript:KQK97205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNEPGQHDGGTLVRDVAIRLPLSVTPWVALRRRGAGGGTAEGGRAGSAARAKGSRRQISLRISATGGAIGQPFALWYDYHLCSPNSRRD >KQK98038 pep chromosome:Setaria_italica_v2.0:VII:24656385:24662247:-1 gene:SETIT_009482mg transcript:KQK98038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMNSDQILEVPDTPDRIQQSTCPASSSVVRRDVTVAAANPLPCRRIRFITRNNPMHGSTSQDNACSVLPAPSDTDHIFKQAELARILALSEKLEAKFSIQKSDRTEISVENEKRAEKRVLDQSSSISDHISCSVTGGRSPSCRVIDGKVSEQEANHRNAGFLGVGSGLPTIPVGKPRNRTCTSTNNRLKGVAGIDICRGSNSGKVKGELVTNKAIAGPSSPPCGVPQRHVGPKKLVRNGCISPSNIAKNSANADEKQEMCSQSGHLHHPHPQLDAIGKGNVIDLTDNSPIMTRQRYAVKDKLISGYSMDTRAAKKLRTDRAGKTLIPQSVYHANSKNCSELGLSGSNNKGKEISSDILDVDQIGEANLRRISLSAAGTYSVVNNNSPNMDEEQGWKTTHNHTSKLPISLMGKLSCNSERESGSSAPSSQDHGSGAPIMASSRVRNKPIMIGRGRSKYASTSSHPDESSSARDEPEASFISSSKITAGRNHTSLRHDIPVITINDIPPEATSSSSGYSNGASVDPTLQAQLESDELLARQLQEQLYNESPCFAPTEEIDAIVAMSLQHEEDTHHTSRPVRRSPNNTRGARVSRLNALRAELATTNRMVSHLQNIAPVTFRSGPALARYPAASRIQPNIDLNDYDALLALDENNHQHTGASESQINNLPQSVVQSNSIQEPCAVCLENPSVGDIIRHLPCFHKFHKECIDEWLRRKKLCPICKSGIR >KQK97758 pep chromosome:Setaria_italica_v2.0:VII:23103466:23104500:-1 gene:SETIT_011930mg transcript:KQK97758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGDLGSLAAVVAAVTACMCYARYAARRFRPGLPRLAALLPVLAVLPFPPLAFRALHLRAISAFFLAWVAEFRLLLLASGQGPLHPSLPLPAFVAIATFPVTLRDPKKSAAARPGLGLVESAAMVALLTAVVSLYRYEERINGYVLLALYSVHMYLALELVLAASAAAARALLGLDLEPQFDRPYLSSSLRDFWGRRWNLSVSALLRQVVFRPVRARLGAAAAGVLAAFAVSGLMHEAMFSYITLRPPTGEATVFFALHGAGVVAEWWWAAHERWLRPPRALATPLTLAFVAVTGFWLFFPPITRPGADKQVIAESEAMVAFVRDAAGWAVDSARSILSGRS >KQK98361 pep chromosome:Setaria_italica_v2.0:VII:26538677:26543293:-1 gene:SETIT_011695mg transcript:KQK98361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHESNVACLEVIVEIVRMPGPNVVMREEVMVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVEQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMVVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNGVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFQSPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRKKKRFTGEMDVSEGRLSADYDTGIAHAAVENQKALNLDRAVIVRVIRTIGMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTYGFGSECPWPWHRHDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSDIVFSPTCYRDRELWRCTTPMIMYFVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSEDDADIADAYDTVTRHGTQPERAPLHDYM >KQK97268 pep chromosome:Setaria_italica_v2.0:VII:20122709:20128474:1 gene:SETIT_009854mg transcript:KQK97268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEQIEAPSYIHIESNDFSYRRHKRQKEEDIAVCECQYDILDPESPCGDRCLNFLTNTECTPGYCRCGVYCKNQRFQKCQYARTRLVKTEGRGWGLVADENIMAGQFVIEYCGEVISWKEAKRRSQAYETQGLKDAYIIYLNADESIDATRKGSLARFINHSCQPNCETRKWNVLGEVRVGIFAKQDIPFGTELLYDYNFEWFGGVMVRCLCGAGSCSGFLGAKSRGFQEATYLWEDDDDRFSVENIPLYDSADDEPTSINKEILLGNDGPIAPYVNSNTVQNTENRGTASTNEFAPTIAEQFTASSNGLAPMAVEASAGNSNEFTPMTIEPLNAIPMVAHFVENGLTEYSAQDAHVAPQNSVPEAANHQNQIESQNNSNHSALVPVKSIPKRRGRKPKRVLLKQLDIPDICDRLASSVAREEILYCEEVKNQAASDIDALYDEIRPAIEEHERDSQDSVSTSLAEQWIEASCCKYKADFDLYAAIIKNIASTPLRSKEDVAPREQNGVKYLENGS >KQK96513 pep chromosome:Setaria_italica_v2.0:VII:10612771:10614417:-1 gene:SETIT_012482mg transcript:KQK96513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGQLSVLYALDVARTQLYHFMAIVIAGMGFFTDAYDFFAISLVTDLIGYQYYQGHTPRGVSAVIKGIALCGAVPGQLVFGWLGDKMGRKRIYGVTLILMVVTSLASGLSFSKRLGKNVVTVLCFFRFWLGVGIGGDYPLSATIMSEYANKKRRGAFIAAVFAMQGFGNLAAGIVGMVVSAAFLNSTTSNADFVWRIVLMFGAVPAALTYYWRMKMPETARYTALVTKDVKKAASDMASVLNEDIVPEDEAVNELAPPGQYGLFSSEFRRRHGVHLLATSVCWLAVDITFYSLNLFMKDIFTNVGLLPTPEEGNNNPFQRMIKTTALHTAIALCGTLPGYFGTVVFVDRIGRVRIQILGFTMMSVLIICLAAPYDHYWTKQHKNKYGFAVMYGMTTFFANFGPNTTTFIIPAEIFPARLRSSCHGIAGAFGKIGAIIGVFALRYTENHVARTMFGLVGCNIVGLVFTLLLPETKGKSLEEITGEIEGQRPQHHADAAPSAEHTQVVPV >KQK97690 pep chromosome:Setaria_italica_v2.0:VII:22674571:22675056:-1 gene:SETIT_012746mg transcript:KQK97690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAAIVAVLAVAVLLACLPPAAASSYRAAAALRRLETAAPMDTAQGMREKADVAKVAAEDVSTTGFGAESEREVPTGPDPIHHHGRGPRRQSP >KQK98928 pep chromosome:Setaria_italica_v2.0:VII:30011401:30020155:-1 gene:SETIT_009240mg transcript:KQK98928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPGPSCSCRHGSAALPTLLLLLLLLLAASAQAQQARTRTDPVEAAAVNAVFAKLGQTASSAWNISGDPCTGAATDGTNMDTDPTFNPAIKCECSGQNNTVCHVTKLKIYALNAVGAIPAELQNLTRLTNLNLAQNYLTGPLPSFLGKLTAMQYMSLGINSLSGSVPKELGNLTNLVSLSFSSNNLNGSLPLELGNLVKLEQLYIDSAGLSGSLPSSLSSLTRMKILWASDNDFTGQIPDYIGNWANLTDLRFQGNSFQGPLPTTLSNLVELTSLRIGDIVNGSSSLAFISNMTSLSTLVLRNCRISDNLASVNFSQFATLTLLDLSFNNITGQVPQALLNLNLLSFLFLGNNSLSGSLPSSIGTSLKNLDFSYNQLSGNFPSWVSPNLKLNLVANNFVINNSNNSALPSGLGCLQRDTPCFLGSPQSSSFAVDCGSNRSISGSDNSMYQTDNADLGPASYYVTGAPTWGVSNVGKFMDAQNGSYIIYSSRQFQKTLDSELFQTARMSPSSLRYYGIGLENGNYTVTLQFAEFDFEDMQTWKSLGKRVFDIYVQGERKEQNFDIRKEAGGKSYTAVKKQYTVPVTRNFLEIHLFWAGKGTCCIPSQGYYGPAISALSATPNFKPTVRSAAQKNSSNKTGIVVGVVIGAAVLVLVALAGLCMWRQKRRKLALEQQELYSIVGRPNVLSYGELRTATENFSSNNLLGEGGYGSVYKGKLTDGRVVAVKQLSETSNQGKKEFATEIETISRVQHKNLVKLYGCCLEGNKPLLVYEYLENGSLDKALFGSGRLNLDWQTRFEICLGIARGLAYLHEESAIRVVHRDIKASNVLLDTNLNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVVLETLAGRPNFDNTLDEDKVYILEWVWQLYEENHPLDMIDPKLADFNSDEVLRAIHVALLCTQGSPHQRPAMSRAVSMLAGDVEVGEVVNKPSYITEWQIKGGNTSSFMSSNVSGQSSLARRAASSDTSSPYLTSVIEEGR >KQK98662 pep chromosome:Setaria_italica_v2.0:VII:28515310:28517149:1 gene:SETIT_012029mg transcript:KQK98662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMASSQPKQMFGEPISLVGPTAADLESTAELEKLLHEAGLYESPEESALREEVLRDLQAIVDRWVKQLAFQHGYPDAMVEEANALLVPFGSYRLGVHGRGSDIDALVVGPSYADRDYDFFVVLAGALAETEAVTQLQPVPGAHVPVMKLRFRGVQVDLVYANVDLAVVPRDLDLGDRSLLRGVDGVTARSLNGVRVAAEILRLVPDAAAFRTTLRCVKHWAKARGVYSNVSGFLGGVAWAVLVARVCQLYPNAAPSMLVPRFFKVLAQWRWPAPVMLRDIEHDAELGLPVWDARRNPRDRAHLMPVITPAYPCMNCTYNVSPATQRVIWEQIQAGDAACREIAATAGRGWEALFRPFQFFGAHKSYLQVDATVAGGEDELREWKGWVESRLRQLVAKVERDTYGELLCHQNPHAYDAEPHGLHCTSSFFVGLSKPQQQQQPPPGQQPQFDLRATTEEFLQDVYTYGFWRPGLEVAVKHVRRKDLPPYVMQKIRSPNNSHELKRKRGGDDGSSSSSPSLSSSSPSSGEDDSGRRPIRRAKVHAT >KQK97476 pep chromosome:Setaria_italica_v2.0:VII:21394470:21396654:-1 gene:SETIT_011705mg transcript:KQK97476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRNQKLWGRLGGGGGQGWKAKRARPDCGWRGGAEPVVRWSHAEAMKKSPAGGGDAVVAGGSGGWARGVLGPGKAGLGGPSCGSGGFWTSWSEAAAPAKAAGTATCGGKGVQMWDWTEPDAEKARPWGAGVGEAGGAEEDVVYEWRWTEAVSPEILALVLRGRVAADEVARGVALVCRAWRQAAASPDMWGDVDIEAWCRRVNCRARADAAVRRLVTRAQGTLRRLSAYRVGDASLAYVAASGRLLNVLQIPMSEITDQTVEKHAECLPALKVLDISNCLNITSRGIEALGRHCKLLIQLKRNMPPPDPPLGNNTAAKVVEEEALAVANTMPVLEQLELAYGLFSDLALNAILNKCPLLRVLDILGCWNVRLDGDIEDRCCALESFREPWEPEYSTDSSSGGDYDDNNTDSDDSQGSV >KQK99268 pep chromosome:Setaria_italica_v2.0:VII:31973706:31977668:1 gene:SETIT_009952mg transcript:KQK99268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATVVAIAGDGDDLARRPLVGPTEEIHPYAEPPSPQHPSLEAAAAQPEQQRKPQRVASLDVFRGFTVAMMIVVDDAGGAWPGINHSPWFGVTVADFVMPAFLFIIGVSAALVFKKIPNKTAATKKATVRAIKLFILGVILQGGYIHGRHKLTYGVDLDQIRWLGVLQRIAIGYFLAAISEIWLVNNNLVDSPVSFVKKYFMEWIMALMITVLYVALLFGLYVSNWEFGVKISNSTLSIPSNLVEMKTIHCGIRGSLGPPCNAVGLVDRVLLGENHLYKNPVYKRTKECSINSPDYGPLPLNAPDWCLAPFDPEGLLSTLMAAVTCFVGLHFGHVLIHCKNHSQRMLFWLLASTVLTISAFLLLVLGMPFSKPLYTVSYMLLTAGVSGFLLLLLYYIVSFICFGFHISGLFATLSAQPFLGIVLKHSNMLIYIIL >KQK99267 pep chromosome:Setaria_italica_v2.0:VII:31973642:31978858:1 gene:SETIT_009952mg transcript:KQK99267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATVVAIAGDGDDLARRPLVGPTEEIHPYAEPPSPQHPSLEAAAAQPEQQRKPQRVASLDVFRGFTVAMMIVVDDAGGAWPGINHSPWFGVTVADFVMPAFLFIIGVSAALVFKKIPNKTAATKKATVRAIKLFILGVILQGGYIHGRHKLTYGVDLDQIRWLGVLQRIAIGYFLAAISEIWLVNNNLVDSPVSFVKKYFMEWIMALMITVLYVALLFGLYVSNWEFGVKISNSTLSIPSNLVEMKTIHCGIRGSLGPPCNAVGLVDRVLLGENHLYKNPVYKRTKECSINSPDYGPLPLNAPDWCLAPFDPEGLLSTLMAAVTCFVGLHFGHVLIHCKNHSQRMLFWLLASTVLTISAFLLLVLGMPFSKPLYTVSYMLLTAGVSGFLLLLLYYIVDVIHTKKPFILFQWMGMNALIVYVLAACELFPTLIQGFYWRSPENNLNLCSRPSSTRSDGAPWRSSSWR >KQK99266 pep chromosome:Setaria_italica_v2.0:VII:31973642:31978858:1 gene:SETIT_009952mg transcript:KQK99266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATVVAIAGDGDDLARRPLVGPTEEIHPYAEPPSPQHPSLEAAAAQPEQQRKPQRVASLDVFRGFTVAMMIVVDDAGGAWPGINHSPWFGVTVADFVMPAFLFIIGVSAALVFKKIPNKTAATKKATVRAIKLFILGVILQGGYIHGRHKLTYGVDLDQIRWLGVLQRIAIGYFLAAISEIWLVNNNLVDSPVSFVKKYFMEWIMALMITVLYVALLFGLYVSNWEFGVKISNSTLSIPSNLVEMKTIHCGIRGSLGPPCNAVGLVDRVLLGENHLYKNPVYKRTKECSINSPDYGPLPLNAPDWCLAPFDPEGLLSTLMAAVTCFVGLHFGHVLIHCKNHSQRMLFWLLASTVLTISAFLLLVLGMPFSKPLYTVSYMLLTAGVSGFLLLLLYYIVDVIHTKKPFILFQWMGMNALIVYVLAACELFPTLIQGFYWRSPENNLVDITESLLQAIFHSKRWGTLAFVLLEVVFWCLAAGFLHMKGVYVKL >KQK96733 pep chromosome:Setaria_italica_v2.0:VII:14703783:14704879:1 gene:SETIT_012209mg transcript:KQK96733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSWANLMPDLVVSIANKISDARDFIRFKAICKSWNCTRSGETYLFDPWILKSKHIGESRAVTFASIVDYRLFEVSFPALAGKRHRLIGCGGSGCLVAVDDRDESIVFLLNPLSHQEHIILPRLLAWCRMGSLDACILGLETPTSAESFLVLTNLWPLKSTPALGSLPICIWHLGSQFDWTTIPSEDFWCSSPEHMQIYLGHHLPAPPQNKIILFGPGKCIVVQEHAICGLSNLKGNHIYFLEPDRHDEESQYLLYQQGLRNVAGLNLVARNMDVA >KQK96566 pep chromosome:Setaria_italica_v2.0:VII:12009714:12010846:-1 gene:SETIT_011885mg transcript:KQK96566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRRSSAFLFAAVLACACALGALAARDLADQAMAARHEQWMAKYGRVYVDAAEKARRFEVFRANVAFIESVNSQNHKFWVEVNQFADLTDDEFRATRTGYKPAGKARTTAFRYANVSLDDLPDSVDWRTKGAVTPIKDQGQCGCCWAFSTVASMEGIVKLSTGKLISLSEQELVDCDVNGMDQGCEGGEMDNAFEFIIDNGGLTTESNYPYTASDGTCNSNKASNDAASIKGYEDVPANDEASLRKAVANQPVSVAVDGGDSLFRFYKGGVLSGSCGTELDHGIAAVGYGVASDGTKYWLMKNSWGTSWGEGGYIRMERDIADEEGLCGLAMQPSYPTV >KQK96413 pep chromosome:Setaria_italica_v2.0:VII:5973460:5978651:-1 gene:SETIT_010760mg transcript:KQK96413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRASDSQVHAMSPRVVLLFLAAALRPCAALVRLHSNSFSFTFLDAPARFGPRVGSDGICGSLRAAEPAEACEPIKDSGGRRGAGRKAFVLIARGNCSFEGKVRAAQKAGFDAAVVYDDEEKASLYSMVGDSEGIHIPAIFVSKMAGETLKKFARGEDDECCINSSMDETAGTVLEACAICLEDYNNGDMLRHLPCKHEFHKICIDSWLTKWGTFCPICKLEVTSS >KQK96414 pep chromosome:Setaria_italica_v2.0:VII:5973853:5978651:-1 gene:SETIT_010760mg transcript:KQK96414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRASDSQVHAMSPRVVLLFLAAALRPCAALVRLHSNSFSFTFLDAPARFGPRVGSDGICGSLRAAEPAEACEPIKDSGGRRGAGRKAFVLIARGNCSFEGKVRAAQKAGFDAAVVYDDEEKASLYSMVGDSEGIHIPAIFVSKMAGETLKKFARGEDDECCINSSMDETAGTVLVMSFVSLVVIISVLASFLFARNCRLLRHGVDNHPPYVKKHVVEKLPCSAYSAPCSSEDIFQEACAICLEDYNNGDMLRHLPCKHEFHKICIDSWLTKWGTFCPICKLEVTSS >KQK96793 pep chromosome:Setaria_italica_v2.0:VII:15594543:15598718:1 gene:SETIT_011010mg transcript:KQK96793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMGTQLCPSSVLSLPRDESGDEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSILEAPTGNEDDNDINGNNSLCSSSDMGEKDMDYSSIDYHKPTKPRVRHTRPWSSCAKSSNRGNFHPTSKLRAKVNLTKLGTPSLWRYWKHFNLASMNPSPSKEELFHGAQQHFQSQQLDELQVILGFIHTAKRLKSLYNSS >KQK96792 pep chromosome:Setaria_italica_v2.0:VII:15588227:15591664:-1 gene:SETIT_010689mg transcript:KQK96792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAPESTAAAAGRKRKKPHGPSKTLVKKRSNPESTSGKKKKEKHKHTAYKEKKPGPTGPHAKKPAEPEAAAGDGAAGGGVLLSASMPPARQLEFFLRSFERAVKMRLSPLELDSYSEGCMVQLDEGSAQDVDSFGEHVKGAFGASWKEELCEGQVVEGEIGVGSPALLVISSAALRSLELLRGLKTFTKECRPVKLFAKHLKVEEQVAMLNARVNIACGTPSRIKKLIDMEALSLSRLKLVVFDMQKDTKSFNLFTLPQVSNEFWDLYKGYLDPKVREGNTRICFYGAVSERDITKALPPAE >KQK96174 pep chromosome:Setaria_italica_v2.0:VII:1183806:1186517:1 gene:SETIT_010007mg transcript:KQK96174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTEVEGPPPPPPPPPPPEVPARRGLLRYNSPLAQVSLLGLICFCCPGMFNALSGLGGGGQVDNTTADNANTALYACFAVFGVLGGAAHNLLGPRVTLLLGAITYPLYAGSFLYYNHHASQAFPVAAGAILGAGAGFLWAAQGAIMTSYPPPNRRGTYISLFWCLFNLGGVLGGLLPFSFNYNHGDKPGSVNNGTYIAFMAFMLTGAALTALVLPPARIVRDDGTRATRVTFSSPATEGAEILKLFANWKMLLVLPAAWASNFFYTYQFNNVNGKLFTLRTKGLNNVFYWGAQMLGSAGIGYFLDFGFASRRKRGLVGVVAVTVLGTAIWGGGLANQLKFDHGNLAVPIDFKDGHRYAGPFLLYFSYGLLDAMFQSLIYWIIGALANDSQILSRYVGFYKGVQSAGAAVAWQVDRRKTSLISQLIVNWGLMTISYPLLALLVFLAVKDEDNSVSSVEDGKEKDSKLSAPTSFH >KQK99003 pep chromosome:Setaria_italica_v2.0:VII:30437429:30438779:-1 gene:SETIT_012534mg transcript:KQK99003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAFELVEAAGAGASRSRMRCSEQEMGTEAMGPGDERRQRAPATALSGRKRRRDEEPEAEGTQQAAERLSATPVQQPAVAPAPLPLQRLLDACRAVFGVASAPPMASIVPYIRGIMDTIRPDDVGLRDEISFFNEMNTHRHQNPPIITCKTIHQCNNFTIAVFFLPLRSVMPLHDHPGMTVFSKLLIGSARLEAYDWVHRNVTATSRTWVLFPDGGGNLHRFTAMGEEHCALLDVLTPPYAPAEQRACTYYQESSQERSVVRGGRMSRLVWLKEVPVPRNLRIVNLQFQGSQIL >KQK99544 pep chromosome:Setaria_italica_v2.0:VII:33437302:33440128:1 gene:SETIT_010877mg transcript:KQK99544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKRPAPPPEEPRPSSKPAPDAKPSEPTKSAPDSATPTISAAVLAKLPNMERQVYTLIFEAGSKGMWMLDVRKQLTISPNVATKVVRTLVGHGLLKEVSDVRHRSRKIFMATDFQPSDEITGGTWYHDGRLDTDAVSAVRRRCQAQVEKLGAATVQMIHHGILRDDPKAGYTIDKVRDIVKTMVLDKVLEEVKSTGEGDFAAVRSGTICYRMAGAAQGGMMEGIPCGVCPRIDECSPDGVISPSTCVYYKKWLEMDF >KQK98253 pep chromosome:Setaria_italica_v2.0:VII:25896394:25899467:1 gene:SETIT_010795mg transcript:KQK98253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGDETDESLTEYERQRLSRIRENEARLEALGLRCLAASPLLRNPSPPAAAKGKQKKRSADEDEEYVPSDDGRGGDDEEESSSGSEQDEEMDGDGKSASRSRAKGKKTKLSKSGKPTKITPTKGSSSFADFVDDDAALQQAIALSLAESSEKSVTTMGAETSSTVKGSSEGTSNKNNGKTSIQDSAKNRKIKILGKSRIQLTEDDVVAFFFSFDEVGKGYITPWDLERMATINDFIWTDSEISKMIRCFDSDGDGKINLEDFRSIIARCNMLQEEPEK >KQK97817 pep chromosome:Setaria_italica_v2.0:VII:23421099:23424354:-1 gene:SETIT_011159mg transcript:KQK97817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVSNSISIGLPSYGLYAEPRFLSQSYRNFPRRSSYKYLRIRAVQGNDGRRRLVDIIRIIPELSRNYFRSRSRRTLFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLAEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >KQK97816 pep chromosome:Setaria_italica_v2.0:VII:23421099:23423197:-1 gene:SETIT_011159mg transcript:KQK97816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSIIYFGCLFDEKTLCLCSANISMTGVSNSISIGLPSYGLYAEPRFLSQSYRNFPRRSSYKYLRIRAVQGNDGRRRLVDIIRIIPELSRNYFRSRSRRTLFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLAEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >KQK97818 pep chromosome:Setaria_italica_v2.0:VII:23421836:23423644:-1 gene:SETIT_011159mg transcript:KQK97818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKRNQALRNANISMTGVSNSISIGLPSYGLYAEPRFLSQSYRNFPRRSSYKYLRIRAVQGNDGRRRLVDIIRIIPELSRNYFRSRSRRTLFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLAEYVTKFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >KQK98489 pep chromosome:Setaria_italica_v2.0:VII:27375082:27378177:1 gene:SETIT_011884mg transcript:KQK98489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGRNGAVRQYIRSKEPRMRWTADLHRSFVRAIECLGGQDKATPKLILQFMGAKGLTISHVKSHLQMYRAARLGAGRRAAQLQRRHSCAGDEQGPKEFLCPPLKRLALQPPFPSPSLQRVFKARMGTAVAYESMQGSHRISEARTAAAAAAAGSLYCIDDYMQAMATRRRIKEEGLRWQRRDAAAAASSLQAVGCLVQESDPFKISRPEANHLVLVPNQKQGSVEDGNGNGCSLFGSFSTAAKDKPPEQCSLSLSLGLDPNCTRAMAASSPSESSCILTASPARRSSSDCSGHSDRFAGPGLSLELSLSTCGF >KQK98538 pep chromosome:Setaria_italica_v2.0:VII:27796778:27797322:1 gene:SETIT_012951mg transcript:KQK98538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLARVEATFSGLSPGKHGWSINEFGDLTRGAESTGKVYNPPGYVSDKVIFFHLKS >KQK99336 pep chromosome:Setaria_italica_v2.0:VII:32421361:32424292:-1 gene:SETIT_010244mg transcript:KQK99336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTGCFPDGGPAGSRACRDGAAAARLKTGSLLAILVASAVGICLPVALTRAFRGGPNYARGLLLVKCYAAGVILSTSLVHVLPDAQAALADCAVATRRPWRDFPFAGLFTLVGALLALLVDLSASSHLEAHGHGGGGGDGHGHGHQETTYAPIPKKAPVFELTGEMSPKKRAFLDDDQGDPAPHVFRNGADTDRDDVALFGAKKGAALVRSDEVAVVGGGCHGGGHEVLEVVGEGAGEEEEARRKQKMVSKVLEIGIVFHSVIIGVTMGMSQDVCAIRPLVVALSFHQVFEGMGLGGCIAQAGFGMATVGYMCIMFSVTTPLGILLGMLIFHMTGYDDSNPNALIMEGILGSLSAGVLIYMALVDLISLDFFHNKMMSASNKLKKACYIALVLGSASMSILALWA >KQK97250 pep chromosome:Setaria_italica_v2.0:VII:19971959:19973617:1 gene:SETIT_010218mg transcript:KQK97250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGGDAAAAAAAGVAKDVDAEVVVVGGGIAGLATALALRRAGVARGAGAGVLVLERHAELRAMGAALTIFPNGWFALRALGVAHKLTSRYEAYETGEEVRVRALDRKALLEALAEELPPGTVRFSSKLVSIDTERAAGDASETVVLRLDDGAVIRAKVLIGCDGVHSVVARWLGLPEPASSGRSAVRGLSTFPGGHGAKRELRQFLSQGLRAGMVPISDTDIYWFLVNDTVPAEKDAAGDPVRTLREVTGSLAGHMPAEYLDVVRRSDHGNLSWAPLLYRNPLSILTGAAARGAVTVAGDAFHPMTPDMAQGGCSALEDAVVLARELARAATPAEGVAAYVARRRWRAAWLVAGAYLSGWVQQGGTNVRGARGRLVKMFRDWVFYPFVFPRLADTMWFDCGDLAPCAEGKIHKE >KQK96598 pep chromosome:Setaria_italica_v2.0:VII:12714424:12715505:1 gene:SETIT_011832mg transcript:KQK96598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYWTGELPTSQLVNFAKLTFSDRVFHWWMELQQEYIDEGDDHILTWKGMKKLLQRIFAPSTKRHVYHKTKAATSRVQARYVVEEEDTSKALSMLAQEVKRDGTIVNVKGQCSCIFQSECKIQDKVCKLIIDGGSFTHAISLDLVHALSLSTRRLPVPHY >KQK96493 pep chromosome:Setaria_italica_v2.0:VII:9863392:9867539:1 gene:SETIT_010254mg transcript:KQK96493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNITNERAIISTSRTRRPVSLTRLHQTTTHRQTQHDDVTMSIAPAAYDRTAELRALDATLAGVRGLVASGATHVPRIFRLPDPEEEEHQQAPQEPPSATVPMIDLGGDRAAVVDAVGRAAAEWGFFQVTGHGVPKEAMTAAVAAVRAFHDADGGEGSDKARFYSREPGKAVKYHCNFDLYQSPVANWRDTLYLRMAPDPPAADELPESCRDALFEYAKQVKNLGDRLFEVLSEALGLKPSYLTDIECNQGQIILAHYYPPCPQPELAIGTSRHSDSGFLTILLQDEIGGLQILHKDRWVDVTPIPGAFIINIADLF >KQK96495 pep chromosome:Setaria_italica_v2.0:VII:9863392:9867539:1 gene:SETIT_010254mg transcript:KQK96495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNITNERAIISTSRTRRPVSLTRLHQTTTHRQTQHDDVTMSIAPAAYDRTAELRALDATLAGVRGLVASGATHVPRIFRLPDPEEEEHQQAPQEPPSATVPMIDLGGDRAAVVDAVGRAAAEWGFFQVTGHGVPKEAMTAAVAAVRAFHDADGGEGSDKARFYSREPGKAVKYHCNFDLYQSPVANWRDTLYLRMAPDPPAADELPESCRDALFEYAKQVKNLGDRLFEVLSEALGLKPSYLTDIECNQGQIILAHYYPPCPQPELAIGTSRHSDSGFLTILLQDEIGGLQILHKDRWVDVTPIPGAFIINIADLLQLISNDKFSSVEHRVVAKNAEPRVSIACFFSTHFHPASTRMYGPIKELLSEENPPLYKETLVRDYISRYYSAGLDGRKKTSDFRL >KQK96494 pep chromosome:Setaria_italica_v2.0:VII:9863392:9867539:1 gene:SETIT_010254mg transcript:KQK96494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKNITNERAIISTSRTRRPVSLTRLHQTTTHRQTQHDDVTMSIAPAAYDRTAELRALDATLAGVRGLVASGATHVPRIFRLPDPEEEEHQQAPQEPPSATVPMIDLGGDRAAVVDAVGRAAAEWGFFQVTGHGVPKEAMTAAVAAVRAFHDADGGEGSDKARFYSREPGKAVKYHCNFDLYQSPVANWRDTLYLRMAPDPPAADELPESCRDALFEYAKQVKNLGDRLFEVLSEALGLKPSYLTDIECNQGQIILAHYYPPCPQPELAIGTSRHSDSGFLTILLQDEIGGLQILHKDRWVDVTPIPGAFIINIADLLQSRSEEC >KQK97588 pep chromosome:Setaria_italica_v2.0:VII:22056893:22057639:1 gene:SETIT_012038mg transcript:KQK97588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPSFCVALLLLVVSVAGVHAVPQAGESSSTSTCSTDLFRLLPCLPFIDGTAAAPADTCCANLGSMVHDEPQCLCQALSNPSTAPVAVNMTRVMGMPGLCRLDLPSAAGACAVAGLLPHGPSPPPPAIPPRPSATSTVPSTLTPARQRPTTPQVTQSPWVSGPMPRYSRGSKVIVDGFSVALGLVALVSVLAF >KQK98751 pep chromosome:Setaria_italica_v2.0:VII:28977039:28978526:-1 gene:SETIT_010371mg transcript:KQK98751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLKFTGSSKHHHYKGAGRTPSSFRSGGGSYRRPYPGFIDDTSFTPAASKVPGEDYYPRTGLAAAGGKDTLDVTKRQVGKSPGGSGWIPSAEGEAAADVAVEEADDVPREWTAQVEPGVQITFGSIPGGGNDLKRIRFSREMFNKWEAQRWWGENYDRIVELYNVLTFSGRQQGCSTPMSSVDDSVLRESSYSHGGSTSRGSPITTALPPPPPPAPAAASKEPIARSASCKGTPGSTPAAPYAAAPSTRAAYYPSAAVPDPSDHVWAHHFNMLNSAAAAAGTSAMGGGAASFYDPSRATTSSRDEASVSLSNVSDLEAMEWIEEIEPGVCLTIRELGDGSRELRRIRFSRERFGEERAKVWWEQNKQRIQAEFL >KQK99995 pep chromosome:Setaria_italica_v2.0:VII:35694687:35696098:-1 gene:SETIT_011349mg transcript:KQK99995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNVSTNVNLEGVDTSAILAEASKAVANIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPGVNKKLSAGIASILESKLSIPKSRFYLKFHDSKAHPAQEHAQCLHALHQE >KQK99994 pep chromosome:Setaria_italica_v2.0:VII:35693915:35696230:-1 gene:SETIT_011349mg transcript:KQK99994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNVSTNVNLEGVDTSAILAEASKAVANIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPGVNKKLSAGIASILESKLSIPKSRFYLKFHDSKGSDFGWNGSTF >KQK99063 pep chromosome:Setaria_italica_v2.0:VII:30716607:30718290:1 gene:SETIT_013051mg transcript:KQK99063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGEERKKTACVTGGNGYIASMLIKMLLEKGYVVKTTVRHPENKEDNSHLEDMKKLGTLEVFRADLGEEGSYDEAVAGCDYAFLLAAPVDYTSKNPEKELMELGVQGTLNVMRSCVKAGTVKRVILTSSTAAVSSKPLQGDGHVLDEESFSDVEYLTAKRTGLWAYPVSKVLMEQAASKFAEEHGLSLVTLCPSVTVGEAPDRQVYTTVPAILSLLSGDDKELGVLKGIERASGSVPLVHVHDVCRAEIFVAEAEAAAGRYICNALDTTIVEIARFLADKYPQYNVNTELSGDLLKKPIALLPSTKLEKDGFEFEFKTLEHIYDDMVEYGKALGILRS >KQK97573 pep chromosome:Setaria_italica_v2.0:VII:21944842:21945156:-1 gene:SETIT_012596mg transcript:KQK97573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TEAVDFAALIPPSSSPSLVSSTVHTTRNSIQEARSGRAIGGEKRRRRRRGSMDRNLSGFLIGCAGAAVTLLAYQQTVVTSTQCIAAGFVVLLFGLFVKEGFISL >KQK98063 pep chromosome:Setaria_italica_v2.0:VII:24745034:24747642:1 gene:SETIT_010375mg transcript:KQK98063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITAAAGAATATTFPFVSSFHRPRLRLCPRRAAAILPPRATGSSSSWEEREEARWLREEQRWQREEQRWLREESRWRAEREALLAEVAALRLRLRALEGTHPHLAAAVDAVASPAPPAAVPAPQPRPALVEEVEVVEVRKEVVVVEEEKKAAAKAESAGSGAGAGKSRRTLRAGAEGEDVRAMQEALQKLGFYSGEEDMEYSSFSSGTDRAVKTWQATVGSSEDGVMTSELLERLFSGKTGEDGTTKDGTNGAAVPAVTGIAEVRQTVVAENGVSGVGVSEHRVFLLGENRWEDPSRLTSNKKPVSTGTAASTKTCISCRGEGRLMCLECDGTGEPNIEPQFLEWVGEDTKCPYCEGLGSILCDVCDGKKVVAS >KQK97114 pep chromosome:Setaria_italica_v2.0:VII:19139343:19141573:-1 gene:SETIT_010804mg transcript:KQK97114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKQKPRPLTVMASSSSSQVAAARGMGMANPLAEWTDRVRSLEAGLRAWLAKQPTHVEAAVSTAVGAVQGAALGGLMGTLAPDGGAALPVPPPPLGADPKALASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGKEDVQGSMAAAFGSGALFSIVSGMGTPNPVTNAITTGVAFAVFQGGFFMIGQKFSQPQSEDTYYSRGRSMLQTLGLQNYEKNFKKGLLTDQTLPLLTDRYKMFCLSFRKGKSQSTRLCSIENPWYQYLVHSEM >KQK97113 pep chromosome:Setaria_italica_v2.0:VII:19138898:19141712:-1 gene:SETIT_010804mg transcript:KQK97113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKQKPRPLTVMASSSSSQVAAARGMGMANPLAEWTDRVRSLEAGLRAWLAKQPTHVEAAVSTAVGAVQGAALGGLMGTLAPDGGAALPVPPPPLGADPKALASFKQAQALAGGPLVQARNFAVMTGANAGISCVMRRIRGKEDVQGSMAAAFGSGALFSIVSGMGTPNPVTNAITTGVAFAVFQGGFFMIGQKFSQPQSEDTYYSRGRSMLQTLGLQNYEKNFKKGLLTDQTLPLLTDSALRDVKIPPGPRLLILDHIKRDPELTRAN >KQK99930 pep chromosome:Setaria_italica_v2.0:VII:35433253:35433782:1 gene:SETIT_011610mg transcript:KQK99930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTARNSGVAPVADWRRGTASAMTPPKPQPPAAERWCCQVAGRRHFLIERLLPPR >KQK96950 pep chromosome:Setaria_italica_v2.0:VII:17690488:17693541:-1 gene:SETIT_010651mg transcript:KQK96950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSPYFATEESARGIRPGESPAAALRRILATPGAHQAPCCFDALGARLVESAGFPIGFMGGFCVSAARLGLPDVGLISYGEMVDQGRLINEAVSIPVIGDGDNGYGNSMNIKRTIKGYTNAGFAGIMLEDQVAPKACGHTEGRKVISREEAIMHIKAAVDARNESGSDIVIVARTDSRQAISLDEALWRVKAFADAGADVLFIDALASVEEMKAFCAVAPEVPKMVNMLEGGGKTPILSPAELEKIGFSLAVYPLSLVGVAMRAMKVCILTHTHTHTHTHTHTHTHTHTHIYI >KQK96949 pep chromosome:Setaria_italica_v2.0:VII:17688844:17693541:-1 gene:SETIT_010651mg transcript:KQK96949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSPYFATEESARGIRPGESPAAALRRILATPGAHQAPCCFDALGARLVESAGFPIGFMGGFCVSAARLGLPDVGLISYGEMVDQGRLINEAVSIPVIGDGDNGYGNSMNIKRTIKGYTNAGFAGIMLEDQVAPKACGHTEGRKVISREEAIMHIKAAVDARNESGSDIVIVARTDSRQAISLDEALWRVKAFADAGADVLFIDALASVEEMKAFCAVAPEVPKMVNMLEGGGKTPILSPAELEKIGFSLAVYPLSLVGVAMRAMKDALVAIKDGGVPPPSILPSFQEIKDTLGFNRYYKEEKQYQLDK >KQK98385 pep chromosome:Setaria_italica_v2.0:VII:26684816:26686110:-1 gene:SETIT_012251mg transcript:KQK98385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPFARLVPIARPTLPPIHAGAAGPSYPPVHRAAALRRAPLTAAGRRAYRPLAVSAQSASPAAGLRLDRDFFEVEMKVRDYELDQYGVVNNAVYASYCQHGRHELLESVGISADAVARGGESLALSELHLKYFAPLRSGDKFVVKVRLASIKGIRMIFEHIIEKLPNHE >KQK97745 pep chromosome:Setaria_italica_v2.0:VII:23038887:23040974:-1 gene:SETIT_012174mg transcript:KQK97745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAVHPAAALPATAAPSPPRPPPGRADLGHVPQLHAALIKSGELTASPKSFHSLLEAAADGASPAQLAYAVRLFRLGPRPPLSAPCYNVLMRAFLRAGHLEDALLLFVEMLDEASIWPDQHTVACALKSCSRMCSLDAGRGVQAYAVKRGLMVDRFVLSSLIHMYTSCGDVTAARVLFDAVDDKGVVIWNTIMAGYLKNGDWKEVVEMFKGMLEVGAPFDEVTLVSVATACARAGDSKLANWIGGYVEEKGMLRNWNLVTTLVDMYAKCGKLGEARRLFDRMQSRDVVAWSAMISGYTQADQCQEALALFSEMQVSEVEPNDVTMVSVLSACAVLGALETGKWVHSYIRKKHLPLTVALGTALVDFYAKCGCIDSAVEAFESMPVKNSWTWTALIKGMASNGRGREALELFSSMREASIEPTYVTFIGVLMACSHNCLVEEGCQHFDSMTQDYGIQPRIEHYGCVVDLLGRAGLIDEAYQFIRAMPIEPNTVIWRALLSSCALQKNVEVGEEALKQIISLDPSHSGDYILLSNIYASVGRWKDAAMIRREMKDRGIQKTPGCSLIELDGVVFEFFAEDSNHSQLREIYDKVEEMIDKIKMAGYVPNTADARLDVDECEKEVSVSHHSEKLAIAFGLMKLRPGTTIRLSKNLRVCTDCHSATKLISKVYNREIVVRDRNRFHHFKDGSCSCNDYW >KQK99397 pep chromosome:Setaria_italica_v2.0:VII:32756897:32757191:1 gene:SETIT_013050mg transcript:KQK99397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKILATKSLSLSVSWSRPWHSPHLSDASSALSLQHPCPGDVLSSVAFVAFHASCSWSFLAGTCLGSMALFASQAA >KQK97071 pep chromosome:Setaria_italica_v2.0:VII:18701653:18704227:-1 gene:SETIT_011955mg transcript:KQK97071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGGDADPPQPFPADLDDNGFPSLPSPPAAAGSTSVFAEDFYRSGTDWSSLRDPPPRRPPEGPPGVKAKEKEGASLVQSSLFQAWGIERPRREGGAAGHSSPVQKSLFQAWGIERPKREGVGAGDPCPSPIRSGSWSGRKRQRGGPGEAAPAAMNPRTCPFYKKIPGTPFTVDAFRYGEVEGCSAYFLSHFHHDHYGGLTKKWCHGPIFCSALTARLVKMCLSVNSDYICPLELDTEYVIEGVTVTLLEANHCPGAALIHFRLSDGKTYLHTGDFRASRSMQLHPLLQRGRVNLLYLDTTYCNPKYKFPPQEDVIDFVVRTARRYLAKQPKTLIVVGAYSIGKENVYLAISQALDVPIYTDASRRRILHSFDWPDLSKRICSCNQSSLHVLPLGSVNHENLKKYLETLNQKFVAVLAFRPTGWTFSEATGKQLDLIKPSSNGSVTIYGVPYSEHSSFTELRDFVMFLRPQVIRSVNVGNVASRDKMQAYFREWLKGS >KQK97674 pep chromosome:Setaria_italica_v2.0:VII:22557955:22561463:-1 gene:SETIT_012785mg transcript:KQK97674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWKDPNPPESLMRGFQTGTFPPDAEVAKAGFEKEGRSLPMTPQFGQKSSPGSSLAERMQARAGFRVPKLSMPFSTAVGADNSVPGAPSPYLTIPPGLSPATLLDSPVFISNGMGQTSPTTGKLFRLGGTNDNDPIRFGGSPLGAGPDSFSFKPLDLKSSLYTAEGKKPPLPSTHVSVKTETKIQPVQEANLLGKLNQQNQSGQTNLKSGSHDSKLSRLAPVTGAGNEHVSSPHGQPMEEGDARGGDYTAIATSTPAEDGYSWRKYGQKQVKHSEYPRSYFKCTHLNCQVKKKVERSHEGHITEIIYKGTHNHPKPTQSRRPGVPPLHPFGDGAQAEAPDNQGSHSNVAGARLNNAGIEDLHGDGTDATSPPSVPGELCDSSASMQIHDAGGLDVTSAVSDEVDGGDRVTHGSLSQGGADAEGDELESKRRKLESYTIDMSTASRAVREPRVVIQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTHPGCSVRKHVERASHDLKSVITTYEGKHNHEVPAARNSGHPSTATATGAAAARRPEHPSAHDGLMRHLGSCGAPFALPLPSRDPLAPMVNYPAYASAALGGSGGSGLLPSLLMPGGGPLGQVEGLKLPMLAQSSLQQQHPLLRHRQAMQAAGLVAPKAADVKVEGAGAAAPSVYQLMRNGLPLGHQM >KQK98256 pep chromosome:Setaria_italica_v2.0:VII:25907595:25908400:1 gene:SETIT_010991mg transcript:KQK98256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGDTLDKLVVFLAKRDGIDKLVKTFQYVSKLAHWGAESSRPELAKRAKSWETASGLSRKAFRSGRFLTGFNTLRRAPGEFGALAVLANAGEMVYYFFDHFTWMSRVGFLEARLARRSSFISAFGESIGYVFFIAMDLIMIRRGLRQERRLLREGGGGGKDAEKEKELRKIRMDRVMRLMATAANAADLVIAIAEVEPNRFCNHAVTLGISGLVSAWAGWYRNWPS >KQK99933 pep chromosome:Setaria_italica_v2.0:VII:35443883:35444389:1 gene:SETIT_011615mg transcript:KQK99933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMPTCQEKNSGKVNAASGRTVCTQLFIWEASSCLTQRTWYLSLAFSSFEWN >KQK97243 pep chromosome:Setaria_italica_v2.0:VII:19925307:19928231:-1 gene:SETIT_010338mg transcript:KQK97243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEILFLCGTGKRAVVASIARVNRGKTNAVLEGLSVESATDLQKILRTSTFTSRKDAFDILLANIPLFESRLGAMLFLISALLSRGLEYIQADRDDPSQPLVTAPFGHASQEIVNLLLCGEAVPNVFDGKMDLGGGMSLKGIPNNVEVGFLTLLESLNLCKVGQYLKCPKWPIWVVGSESHYTVLFALNPNVQEENELEERESRIRRAFDAQDQSGGGGFISIEGFQQVLRDTDINFPSDKLEDLCNAGIIVWSEFWQALLQLDKRAGGMKDPTGLMGKKQFNIFHFNGIAKSVLNGNASAGGSVPIQRPRLCKLNVTVPPRWNQDEYLADVVSASTSSSKDDNILSLAPPVQTSQHAPLVDCIRTRWPRAVCSWAGDMPSIV >KQK96903 pep chromosome:Setaria_italica_v2.0:VII:17286296:17289073:-1 gene:SETIT_011866mg transcript:KQK96903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANDSLITARVIGEVLDPFNTTVDLMVLFNGAPIVSGMELRSPAVSDRPMVEIGGDDYRVAYTLVMVDPDAPNPSNPTLREYLHWMVTDIPASTDNTYGRELMCYEPPAPATGIHRMVLVLFRQLGRETVFAPSRRHNFNTRGFARRYNLGAPVAAMFFNCQRQTGSGGPRFTGAYTSRRRAG >KQK98416 pep chromosome:Setaria_italica_v2.0:VII:26871064:26872972:-1 gene:SETIT_010053mg transcript:KQK98416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEARMRIMLELLFVSKSGWLTIEKFMVLRPNKDSDVSILPSSIFLAIINLETSRVTRRVADMEAAEETPSGGGDDEKVKYPVGFRFKPTLQELVEFYLLPKLLDNPTVPNDAVIEADAYECDPEILTKRYEARGADENWYFLSPRSRRYPGGDRPTRRTADNRGRWKPSTGQSKPGKDAAAGHSKAKKVLKKNLSVGAYEFTENTLAYYVGDPRNETKTKWLMHELTVPDPEKEKDLDSPTAEKPRDHMLLNKYVMCRIYKSPLKKWKELENEEGGTSSASACDEEVPTSSQSGPAPEGSGEASALTPLSSKCAGKRPAAEQPTSEQANAPNKRASQHTMRAPPVGVGAAGYYDYRRVPAQLPPLMQWPPAIYSSMQGPVKMQRRPPLMNAHNGQPPVQGPPVLRLYPPHRAAATVPNSLGRTVMMRPPNLAAGPPVRPPSFPRPPPPQQQQMEDMMMR >KQK96562 pep chromosome:Setaria_italica_v2.0:VII:11966615:11968224:-1 gene:SETIT_010006mg transcript:KQK96562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKLESSGKALTTATTSCSGRDRGGAHVLLVPLPAQGHMNPMLQFGHRLAYHGFRPTLVTTRYVLSTSPSAGEPFPVAAISDGFDDGGMDSCPDPVEYCRRLEAIGSETLARVIDAEARAGRPVAVMVYDPHMSWARRVASAAGVPTAAFLSQACVVDLIYGEVWAGRAPLPMTDGSALRRRGVIGVDLGAEDLPPFVVAPDLYPQYLKVSIRQFDGIEDADDVFINSFRDLEPQEAEYMERTWRAKTIGPTLPSFYLDDGRLPSNKTYGVSFFSSSAPSMEWLDEQPTCSVVLASYGTVYRLDANELDELGNGLCDSGKPFLWVVRPNEVGKLSQDLRGKCSEKGLIVSRCPQLEVLAHKATGCFLTHCGWNSTTEAIVAGVPLVAMPRSADQMTAAKYVESAWGIGLRMLSDEKGCLRREEVERCIREVMDGERKGEYRQNAAKWMDKAKAAMQEGGSSDKNIAEFAAKYLSA >KQK96499 pep chromosome:Setaria_italica_v2.0:VII:10100415:10115342:1 gene:SETIT_0091762mg transcript:KQK96499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVAWWAALLVAAAALVGARGDSILPALALAPEPRHDDRFALVPVGGGRDGGGAAAGLRGEFPCQTHSANSRTCEELNGSGSFNTTCVISSSSSLDGDLCVYGEGSVEIRPNVKIICPVRGCYITVNVSGSIRIGEHVEVIGGSVSLDAANVSLDHHSTINTTALAGEPPPQTSGTPHSLEAAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSMGGSMSADQQFGGYGGGRVMLRARTFMNIDGHVLAEGGVGSLKGGGGSGGSIIIHAFKLYGNGTISAAGGNGWGGGGGGRISMDCYSIQQDLEITVHGGQSFGCAQNAGGAGTIYDSSLQTLKVSNGNFTTHTETPLLGFPMTRLWSNVLVECNARVLVPLLWSRVQVTGQIRLLTKGSICFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGTKDVVLASMLEARNLVVLRHGSVISSNADLMVYGQGLLNLSGPGDGIKARRLFLSLFYNIEVGPGSLVQAPHDETVQSSLDALSRCESKTCPSELITPPDDCHVNRSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTIAKDGTISASELGCKEGIGKGTFLKYGAGGGAGHGGRGGTGIYNGMKSDGGLAYGNADLPCELGSGSGGSAVSADSTAGGGLIVIGSMKWPLSRLLIYGSMNSDGESHRGTTGNSNGTFKGGVGGGSGGSILLFLQWLLLEKNSSLSASGGNGGVYGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTVASSGGSGNNDGHFGQDGTITGKKCPMGLYGTFCTECPVGTYKNVTGSNSSLCSPCSVVSLPNRADFVYVRGGVTEPPCPYKCISDKYKMPNCYTPLEELMYTFGGPWSFAIILSFTIILLALILSALRIKIGESDITYRATNAIHNDGCSSFPFLLSLAEVPGASRAEETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIRIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVASTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQVKILKFCC >KQK96500 pep chromosome:Setaria_italica_v2.0:VII:10100415:10117264:1 gene:SETIT_0091762mg transcript:KQK96500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVAWWAALLVAAAALVGARGDSILPALALAPEPRHDDRFALVPVGGGRDGGGAAAGLRGEFPCQTHSANSRTCEELNGSGSFNTTCVISSSSSLDGDLCVYGEGSVEIRPNVKIICPVRGCYITVNVSGSIRIGEHVEVIGGSVSLDAANVSLDHHSTINTTALAGEPPPQTSGTPHSLEAAGGGHGGRGASCKVSNDTNWGGDVYAWSTLAWPWSYGSMGGSMSADQQFGGYGGGRVMLRARTFMNIDGHVLAEGGVGSLKGGGGSGGSIIIHAFKLYGNGTISAAGGNGWGGGGGGRISMDCYSIQQDLEITVHGGQSFGCAQNAGGAGTIYDSSLQTLKVSNGNFTTHTETPLLGFPMTRLWSNVLVECNARVLVPLLWSRVQVTGQIRLLTKGSICFGLSENPISEFELVAEELLMSDSVIKVYGAFRMYVKVLLMWDSKIQIDGGTKDVVLASMLEARNLVVLRHGSVISSNADLMVYGQGLLNLSGPGDGIKARRLFLSLFYNIEVGPGSLVQAPHDETVQSSLDALSRCESKTCPSELITPPDDCHVNRSLSFTLQICRVEDITVSGIVRGSIIHIHRARTVTIAKDGTISASELGCKEGIGKGTFLKYGAGGGAGHGGRGGTGIYNGMKSDGGLAYGNADLPCELGSGSGGSAVSADSTAGGGLIVIGSMKWPLSRLLIYGSMNSDGESHRGTTGNSNGTFKGGVGGGSGGSILLFLQWLLLEKNSSLSASGGNGGVYGGGGGGGGRIHFHWSNIATGDEYVQIASVNGTVASSGGSGNNDGHFGQDGTITGKKCPMGLYGTFCTECPVGTYKNVTGSNSSLCSPCSVVSLPNRADFVYVRGGVTEPPCPYKCISDKYKMPNCYTPLEELMYTFGGPWSFAIILSFTIILLALILSALRIKIGESDITYRATNAIHNDGCSSFPFLLSLAEVPGASRAEETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIRIVYEDAFNRFIDEINLVAAYEWWEGSIHSILSVLAYPCAWSWKQWRRRKKIHRLQEYVKSEYDHSCLRSCRSRALYKGLKVGSTPDLMVAYIDFFLGGDEKRLDVASTIQKRFPMCLIFGGDGSYMSPYYLHSDTLLSNLLGQYVSTAIWNRLVAGLNAQLRTVRQGGIRSTLSPVVTWINSHGNPQLERHGVRVELGWFQSTASGYYQLGIVVAVNENFYKSLHPHEHGPEFGERSRKNIAVLLQDFNQANQDQPCTSYAVSRKRLTGGVNGGIINEGTLESLDYKRDYLFPFSLLLQNSRPIGYAETLQLLICILLLGDFSITLLMLVQYYWISVGAFLAVLLIPPLALLSPFLAGLNALFSRGPKRSSVTRIFALWNITSVINIIVSMIYGALYFWLSSLAVSSVHHVYNAK >KQK98340 pep chromosome:Setaria_italica_v2.0:VII:26376556:26379322:1 gene:SETIT_011058mg transcript:KQK98340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNGGGGGGGGAPVVLNVYDLTPMNNYLYWFGLGIFHSGIEVHGMEYGFGAHEFPTSGVFEVEPKSCPGFVYRRSVWMGTTNMSRTEFRSFIENLAGKYNGNMYHLISKNCNHFTDDVCKNLTRKSIPGWVNRLARVGSFFNCLLPESIQVSTVRHVPTHPAFSDDDMDSISSSVVGDSDLEELDQHLLPSDVHSIDVSPKLAKDLL >KQK98341 pep chromosome:Setaria_italica_v2.0:VII:26376556:26379322:1 gene:SETIT_011058mg transcript:KQK98341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQNGGGGGGGGAPVVLNVYDLTPMNNYLYWFGLGIFHSGIEVHGMEYGFGAHEFPTSGVFEVEPKSCPGFVYRRSVWMGTTNMSRTEFRSFIENLAGKYNGNMYHLISKNCNHFTDDVCKNLTRKSIPGWVNRLARVGSFFNCLLPESIQVSTVRHVPTHPAFSVVGDSDLEELDQHLLPSDVHSIDVSPKLAKDLL >KQK99037 pep chromosome:Setaria_italica_v2.0:VII:30605766:30606410:1 gene:SETIT_011881mg transcript:KQK99037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPEAAASSTGFVAGRFRRPLPAERPHLTRCTKLLCSAFLTLLLVAGVVIFVVYLAVRPHRPRFHVTSFTAAGIPSGGPVVLSGQLSVRNPNRDIAYFFDQFYLSVEYRGADVAKDRPLTAAPMYQPPKTTSPLPFEGVELAPPSAGQDMAKDAAEGDGRVEMTVSVRSRIRARLAFWGNRHWHPLHVRCEVAVGPDGQLLAEYLQKRCSIDFF >KQK97731 pep chromosome:Setaria_italica_v2.0:VII:22922675:22926386:-1 gene:SETIT_010358mg transcript:KQK97731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSAPLLRRLVSASSPPAPQPLPGHCGGLARRTVTYMPRPGDGTPRAVTLIPGDGIGPLVTGAVRQVMEAMHAPVYFETYEVHGDMPTVPPAVIESIRRNKVCIKGGLATPVGGGVSSLNMQLRKELDLYASLVHCSNLPGIPTRHQGVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVASKYPGIQYNEMIVDNCSMQLVSKPEQFDVMVTPNLYGNLVANTAAGIVGGTGIMPGGNVGQDYAIFEQGASAGNVGNENIVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVVAEGTYKTKDLGGTSTTQEVTDAVIANLD >KQK97747 pep chromosome:Setaria_italica_v2.0:VII:23048763:23054010:-1 gene:SETIT_009328mg transcript:KQK97747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLRRVNGNRRRRFRAPPPARSRSSHRPTASPRDRSRSLSSSSPSFAFRTSPSGPHAQILYLIRSSPFDLSDMALTRLMSPRPPCSLPLPSPSYKNISGCCCHRGRRLLVRRAMPVASQHLSTATPLPPPSPQRQQMMARLEHGSLMVGGRELLARAPPNVTLRPADAEAAPGAAFLGARAAAPSSRHVFPVGTLASGWRWLSLFRFKIWWMVPATGAGAATVPAETQMMLLESRDEEGSAAAEGGAVYALMLPALDGDFRVSLQGSPENELQFCLESGDPEVQTMEAVDAVFINSGDNPFKLMKESIKLLSKIKGNFKHIGDKEIPANLDWFGWCTWDAFYKAVNPAGIEEGLKSLREGGVPPRFLIIDDGWQETVDEFEEVDETLREQTMFAQRLTDLKENHKFRGETCKNLGDLVKRIKEKHGVKYVYMWHALLGYWGGVQVTSDVMKKYNPKLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPDKIFEFYSDQHSYLSSVGVDGVKVDVQNVLETLGHGFGGRVAVTQKYQQALEESIAQNFKRNNLICCMSHNSDCIFSALKSAVARASEDFMPREPTLQTLHIASVSFNSILLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGSILRARYAGRPARDCLFNDPVMDGKSLLKIWNLNNFSGVIGVFNCQGAGQWVWPVKDIDYVPTSINITGHLSPSDLESLEEIAGDNWSGETAVYAFNSCSLSRLQKHQNLEVSLSTMACEIYTISPIKVFGEAVQFAPLGLINMFNSGGALDDISSTADSSATTIHVRCRGPGRFGAYSATRPENCRVDGQQVEFCHTEDDLLAFDLPRSSSRDGDLWCIEILYRTS >KQK97750 pep chromosome:Setaria_italica_v2.0:VII:23050627:23054006:-1 gene:SETIT_009328mg transcript:KQK97750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLRRVNGNRRRRFRAPPPARSRSSHRPTASPRDRSRSLSSSSPSFAFRTSPSGPHAQILYLIRSSPFDLSDMALTRLMSPRPPCSLPLPSPSYKNISGCCCHRGRRLLVRRAMPVASQHLSTATPLPPPSPQRQQMMARLEHGSLMVGGRELLARAPPNVTLRPADAEAAPGAAFLGARAAAPSSRHVFPVGTLASGWRWLSLFRFKIWWMVPATGAGAATVPAETQMMLLESRDEEGSAAAEGGAVYALMLPALDGDFRVSLQGSPENELQFCLESGDPEVQTMEAVDAVFINSGDNPFKLMKESIKLLSKIKGNFKHIGDKEIPANLDWFGWCTWDAFYKAVNPAGIEEGLKSLREGGVPPRFLIIDDGWQETVDEFEEVDETLREQTMFAQRLTDLKENHKFRGETCKNLGDLVKRIKEKHGVKYVYMWHALLGYWGGVQVTSDVMKKYNPKLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPDKIFEFYSDQHSYLSSVGVDGVKVDVQNVLETLGHGFGGRVAVTQKYQQALEESIAQNFKRNNLICCMSHNSDCIFSALKSAVARASEDFMPREPTLQTLHIASVSFNSILLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGSILRARYAGRPARDCLFNDPVMDGKSLLKIWNLNNFSGVIGVFNCQGAGQWVWPVKDIDYVPTSINITGHLSPSDLESLEEIAGDNWSGETAVYAFNSCKFRWLPASFY >KQK97752 pep chromosome:Setaria_italica_v2.0:VII:23051095:23054006:-1 gene:SETIT_009328mg transcript:KQK97752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLRRVNGNRRRRFRAPPPARSRSSHRPTASPRDRSRSLSSSSPSFAFRTSPSGPHAQILYLIRSSPFDLSDMALTRLMSPRPPCSLPLPSPSYKNISGCCCHRGRRLLVRRAMPVASQHLSTATPLPPPSPQRQQMMARLEHGSLMVGGRELLARAPPNVTLRPADAEAAPGAAFLGARAAAPSSRHVFPVGTLASGWRWLSLFRFKIWWMVPATGAGAATVPAETQMMLLESRDEEGSAAAEGGAVYALMLPALDGDFRVSLQGSPENELQFCLESGDPEVQTMEAVDAVFINSGDNPFKLMKESIKLLSKIKGNFKHIGDKEIPANLDWFGWCTWDAFYKAVNPAGIEEGLKSLREGGVPPRFLIIDDGWQETVDEFEEVDETLREQTMFAQRLTDLKENHKFRGETCKNLGDLVKRIKEKHGVKYVYMWHALLGYWGGVQVTSDVMKKYNPKLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPDKIFEFYSDQHSYLSSVGVDGVKVDVQNVLETLGHGFGGRVAVTQKYQQALEESIAQNFKRNNLICCMSHNSDCIFSALKSAVARASEDFMPREPTLQTLHIASVSFNSILLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVRCSLHMYICCYMQ >KQK97748 pep chromosome:Setaria_italica_v2.0:VII:23048763:23054010:-1 gene:SETIT_009328mg transcript:KQK97748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLRRVNGNRRRRFRAPPPARSRSSHRPTASPRDRSRSLSSSSPSFAFRTSPSGPHAQILYLIRSSPFDLSDMALTRLMSPRPPCSLPLPSPSYKNISGCCCHRGRRLLVRRAMPVASQHLSTATPLPPPSPQRQQMMARLEHGSLMVGGRELLARAPPNVTLRPADAEAAPGAAFLGARAAAPSSRHVFPVGTLASGWRWLSLFRFKIWWMVPATGAGAATVPAETQMMLLESRDEEGSAAAEGGAVYALMLPALDGDFRVSLQGSPENELQFCLESGDPEVQTMEAVDAVFINSGDNPFKLMKESIKLLSKIKGNFKHIGDKEIPANLDWFGWCTWDAFYKAVNPAGIEEGLKSLREGGVPPRFLIIDDGWQETVDEFEEVDETLREQTMFAQRLTDLKENHKFRGETCKNLGDLVKRIKEKHGVKYVYMWHALLGYWGGVQVTSDVMKKYNPKLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPDKIFEFYSDQHSYLSSVGVDGVKVDVQNVLETLGHGFGGRVAVTQKYQQALEESIAQNFKRNNLICCMSHNSDCIFSALKSAVARASEDFMPREPTLQTLHIASVSFNSILLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGSILRASLLKIWNLNNFSGVIGVFNCQGAGQWVWPVKDIDYVPTSINITGHLSPSDLESLEEIAGDNWSGETAVYAFNSCSLSRLQKHQNLEVSLSTMACEIYTISPIKVFGEAVQFAPLGLINMFNSGGALDDISSTADSSATTIHVRCRGPGRFGAYSATRPENCRVDGQQVEFCHTEDDLLAFDLPRSSSRDGDLWCIEILYRTS >KQK97749 pep chromosome:Setaria_italica_v2.0:VII:23050950:23054006:-1 gene:SETIT_009328mg transcript:KQK97749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLLRRVNGNRRRRFRAPPPARSRSSHRPTASPRDRSRSLSSSSPSFAFRTSPSGPHAQILYLIRSSPFDLSDMALTRLMSPRPPCSLPLPSPSYKNISGCCCHRGRRLLVRRAMPVASQHLSTATPLPPPSPQRQQMMARLEHGSLMVGGRELLARAPPNVTLRPADAEAAPGAAFLGARAAAPSSRHVFPVGTLASGWRWLSLFRFKIWWMVPATGAGAATVPAETQMMLLESRDEEGSAAAEGGAVYALMLPALDGDFRVSLQGSPENELQFCLESGDPEVQTMEAVDAVFINSGDNPFKLMKESIKLLSKIKGNFKHIGDKEIPANLDWFGWCTWDAFYKAVNPAGIEEGLKSLREGGVPPRFLIIDDGWQETVDEFEEVDETLREQTMFAQRLTDLKENHKFRGETCKNLGDLVKRIKEKHGVKYVYMWHALLGYWGGVQVTSDVMKKYNPKLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPDKIFEFYSDQHSYLSSVGVDGVKVDVQNVLETLGHGFGGRVAVTQKYQQALEESIAQNFKRNNLICCMSHNSDCIFSALKSAVARASEDFMPREPTLQTLHIASVSFNSILLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGSILRARYAGRPARDCLFNDPVMDGKRYKH >KQK97751 pep chromosome:Setaria_italica_v2.0:VII:23048763:23054010:-1 gene:SETIT_009328mg transcript:KQK97751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPATGAGAATVPAETQMMLLESRDEEGSAAAEGGAVYALMLPALDGDFRVSLQGSPENELQFCLESGDPEVQTMEAVDAVFINSGDNPFKLMKESIKLLSKIKGNFKHIGDKEIPANLDWFGWCTWDAFYKAVNPAGIEEGLKSLREGGVPPRFLIIDDGWQETVDEFEEVDETLREQTMFAQRLTDLKENHKFRGETCKNLGDLVKRIKEKHGVKYVYMWHALLGYWGGVQVTSDVMKKYNPKLVYPVQSPGNVANLRDIAMDSLEKFGVGIIDPDKIFEFYSDQHSYLSSVGVDGVKVDVQNVLETLGHGFGGRVAVTQKYQQALEESIAQNFKRNNLICCMSHNSDCIFSALKSAVARASEDFMPREPTLQTLHIASVSFNSILLGEIFIPDWDMFHSKHESAEFHGAARALSGGGVYVSDKPGVHDFSVLKKLVLPDGSILRARYAGRPARDCLFNDPVMDGKSLLKIWNLNNFSGVIGVFNCQGAGQWVWPVKDIDYVPTSINITGHLSPSDLESLEEIAGDNWSGETAVYAFNSCSLSRLQKHQNLEVSLSTMACEIYTISPIKVFGEAVQFAPLGLINMFNSGGALDDISSTADSSATTIHVRCRGPGRFGAYSATRPENCRVDGQQVEFCHTEDDLLAFDLPRSSSRDGDLWCIEILYRTS >KQK96540 pep chromosome:Setaria_italica_v2.0:VII:11347375:11348377:1 gene:SETIT_012490mg transcript:KQK96540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAESIRAHTIGRSRCVLFSNRLSNFSATNSVDPMLDASLADSLQSLCAGGDGNQTTALYVSSADVFDNYYYQNLLANKGLLSSDQGLFSSPEGTANTKDLVRTYSHDEVQFFCNFGWSMIKMGNIPLTGSEGEIRKNCRVVNS >KQK97898 pep chromosome:Setaria_italica_v2.0:VII:23847048:23853992:1 gene:SETIT_009330mg transcript:KQK97898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPEASQPPPPGKGKEKKKDDIDDLPEEDLALKEQLELYVLRAQDADPGVQKLALESMRQEIRSATSSMTSVPKPLKFLRPHYGALKSYFETMPESELKKYMADILSVLALTMSVEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEFHKRQDDDLPIDALMELVQQIISFHMKHNAEPEAVDLVMEVEDLDLLVEHVDTTNYKRACLYLTSSSKFLPAPDDMLALDIAYTIYMKFGDLASALKIALQLEKSMQYVKQVYTSTDDFLLKKQFSYIIARHGLAMEIDDEIAADDNDKEVLQEIVNNTKLSEGYLTLARDIEVMEPKSPEDIYKVHLIDGRGASSSLDSARQNLAATFVNAFVNAGFGQDKLMTAPSDSSSSGSSGNWLFKNKEHGKASAAASLGMILLWDTDSGLAQLDKYLHSNDTHVVAGALLGIGIVTCSVKNDCDPALAILMEYIGKDDSNIRIGAILGLGIAYAGSQKEELKTHLSAVLGDPQSPLEVLVFSAIALGLVFVGSCSEEIAQPIIFALMERSEAELGEPIIRLLPVALGLLYLGKQESVEATAEVSKTFDEKIGKYCDVTLMSLAYAGTGNVLKVQKLLGICSQHLEKGETHQGPAVLGIALIAMAEELGAEMAVRSLERLLQYGEQNIRRAVPLALGILCISNPKVNVMDTLSRLSHDADADVSMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEAAHLFCVRIAQGLVHLGKGLLTLSPYHSDRFLLSPMALGGLVTVLHACLDMKSTILGKYHYILYIIVLAMQPRMLLTVDEDLKPLPVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGERAELATEKYIPLTPVLEGFVILKKNPEYHEE >KQK99407 pep chromosome:Setaria_italica_v2.0:VII:32802800:32803305:-1 gene:SETIT_011251mg transcript:KQK99407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSSSSVVGLKPAAAVPQAASAAKRVQVAPKERAAEGRRAALLGLAAVFAVTATTGSAKAGIIDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKVLIAIIFIAHLSHR >KQK99406 pep chromosome:Setaria_italica_v2.0:VII:32802285:32803413:-1 gene:SETIT_011251mg transcript:KQK99406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVSSSSVVGLKPAAAVPQAASAAKRVQVAPKERAAEGRRAALLGLAAVFAVTATTGSAKAGIIDEYLEKSKANKELNDKKRLATSGANFARAYTVEFGSCQFPYNFTGCQDLAKQKKVPFISDDLEIECEGKEKYKCGSNVFWKW >KQK96908 pep chromosome:Setaria_italica_v2.0:VII:17376731:17380615:1 gene:SETIT_010017mg transcript:KQK96908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLPSTAAAAAALPRLRLRLRLRIPLLPLPLPPLAPRHHCPHPLVPLRRHHHPSPHPRLLPLAAALPPPPPEELLPSQATGLVAASQANFMRVIVDAAAPGLEHHRGSDLLCVVRALLKKIRRRVLVGDRVLVGAVDWTDRRGMIEDVFERRSEVADPPVANVDRLVVLFSLDQPRPEPATLTRFLVEAESTGIPFVLVFNKVELVDEQTIAYWRDRLKSWGYDPLFLSVDKQSGFTALEEMLEGQTTVVVGPSGVGKSSLINALRCNQNISEEDPIHKLLEQNSKWFGEQRVGTVSKKSGKGKHTTRHVSLLPIPGQGFLADTPGFNQPSLMKVTKKSLAETFPEIRKMLKENEPSKCLFNDCVHLGERGCVVKGDWERYPYYLQMLDEIKIREEIQLRTFGTKREGDVRYKTGVMGVKQAEPRLELKKHRRVSRKKINQSILDEIEDDIDDRDDDYQFDDVKQRSRKR >KQK96933 pep chromosome:Setaria_italica_v2.0:VII:17573009:17577103:1 gene:SETIT_009477mg transcript:KQK96933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAETLARSPSREPSSDPPRAASSEPPRDPSSEPHGNGDGSGAGAGDSSSRRRRRSRWEQSSDDPAANNSGGEGGAGGRKRKTRWAEEEPRPAIALPDFMKDFAAEMDPEVHALNARLLEISRLLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPSDYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNKMNTFKSDVQCKICGDGGHPTIDCPVKGTSGKKMDDEYQNFLAELGGGSAPESMTKSGGPMLALTGSGGSGGASAGSGSNPPWSAGGGAAAIGANGIKKDYDETNLYIGYLPPTMDDAGLISLFSQFGDIVMAKVIKDRNTGHSKGYGFVKYSDVSQANAAIAAMNGHHFEGRVIAVRVAGKPPQPAPAVSAPPSYPPTDPASGGYSSQSYMGAPPPPPPGSYTPVPWGHPPPYASYPPPPPGSSVYNPAPPAPGQTAPPPYGVQYPPPPPPPAAPIPPPGTAASSDGAQNYPPGVTPPSSGAPTHPAPAPVYASSGAPNAPPMYPPPPYSYSTYYPTYQPPPPPPPASVDPSQSIATAPWATHNAVPPPPPPLSSTTDQPASYGADAEYDKFMSEMK >KQK96932 pep chromosome:Setaria_italica_v2.0:VII:17573009:17577103:1 gene:SETIT_009477mg transcript:KQK96932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAETLARSPSREPSSDPPRAASSEPPRDPSSEPHGNGDGSGAGAGDSSSRRRRRSRWEQSSDDPAANNSGGEGGAGGRKRKTRWAEEEPRPAIALPDFMKDFAAEMDPEVHALNARLLEISRLLQSGLPLDDRPEGARSPSPEPVYDNLGIRINTREYRARERLNRERQEIISQLIRRNPAFKPPSDYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSVKEGKLLQKRDLKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLTPVDEVLNEHKRQQLRELAALNGTIRDDEFCRTCGEPGHRQYACPNKMNTFKSDVQCKICGDGGHPTIDCPVKGTSGKKMDDEYQNFLAELGGGSAPESMTKSGGPMLALTGSGGSGGASAGSGSNPPWSAGGGAAAIGANGIKKDYDETNLYIGYLPPTMDDAGLISLFSQFGDIVMAKVIKDRNTGHSKGYGFVKYSDVSQANAAIAAMNGHHFEGRVIAVRVAGKPPQPAPAVSAPPSYPPTDPASGGYSSQSYMGAPPPPPPGSYTPVPWGHPPPYASYPPPPPGSSVYNPAPPAPGQTAPPPYGVQYPPPPPPPAAPIPPPGTAASSDGAQNYPPGVTPPSSGAPTHPAPAPVYASSGAPNAPPMYPPPPYSYSTYYPTYQPPPPPPPASVDPSQSIATAPWATHNAVPPPPPPLSSTTDQPASYGADAEYDKFMSEMK >KQK97737 pep chromosome:Setaria_italica_v2.0:VII:22982050:22985840:1 gene:SETIT_009721mg transcript:KQK97737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPPAAGAAATSGDGPPSSPRELYTIPASSGWFRWDGIHETERRALPEFFGGAGGAGFGTATRNPRIYREYRDFIIARYREDPARRLTFTEVRRALVGDVTLLRKLFAFLDASGLINFSASSSSSGTASRQQEVGVVVEAPVGLQVTPRPPASYFAEEKRGGAGEERENGFRLPPLTSYSDVFGEWTPGKVPICGFCGEECNDEKFETLQDGFKVCSKCSKSNNDNKEEGNKCPGDKKESVDNHASSAWTDAETLLLLEGVLKHGDDWDLIAQHVRTKNKSECIARLIQLPFGEHMLGTINGKSVSRLHMNQATDGKMNQHITKESSSHSTEMVDGMQIDGNEDSADKSVEEHPPTKRRRLFSSMDATASLMEKLALLTTSSSPDVVAAAADAAIKALGNENPQARKAFRLSEREFKTKAFASNHAQQIDHKVGNKDVEMHGRTGSDKKQEKKFIANAYQVRAAVATAIGVAAARAKMLADQEEREMELLMASIIETQLRKMQYKIKHYEELELVMEQEHATSQQVKGSLVNEWLKVLEQAFRTGVSLPRDELLIKLFLNQSTA >KQK96171 pep chromosome:Setaria_italica_v2.0:VII:1168627:1169541:1 gene:SETIT_011748mg transcript:KQK96171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSNALDAGYYAATCPDAEAIVSNAMSRLHYNDPTLAPALIRLLFHDCFVRGCDASVLISPTPRYSSERAAIPNHTLRGFPAVDAVKRALEAACPGAVSCADALALMARDAVSLLGGARYGVALGRRDGTQSNPWEVDLPAPFARLDDVLAYFAARGFSAEEAVVLLGGGHTVGGAHCGSFRYRLTQPDGTMDEQVRCEMLDACGAADLPLDTDPATFLDPDTPFAVDNNYYAQLMVNRTLLQVDQEAATHHATAHHVAYYAASNDAFLQRFAEAMEKLSNVGVLEGDAGEVRKVCSRYNT >KQK96214 pep chromosome:Setaria_italica_v2.0:VII:1831930:1832948:-1 gene:SETIT_010733mg transcript:KQK96214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSDRMTGAIHRLAVLLLCAAAAISGGAGAARVDTFSYPAFDTTTMRDLVAASNAAILLPASLLFEHDDAFSEFNRTEGFLLLPGTVDVWRPGAGGALAVEASFNTSFTLTAAAPVAFVLLLDSLPPLHGRGGLRGFANYTSPDDGVSIAAAGGLATVEAGPVRSYGPDDPAVGLNVTVTPNVTAASRTVWIEYAAAGHRLSVRVASAGEPRPAMALIDAPLGLAGRRTTETASVGFFAAAIQDIVVGVRDWHLAVDSFEGGGKKGTAWWVILLAVLGSVAATAAVVTAVFD >KQK97589 pep chromosome:Setaria_italica_v2.0:VII:22057759:22059452:-1 gene:SETIT_010551mg transcript:KQK97589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPPPISALCSASPCLVATRTRLAPPVLRTSAAAWPLVLHGGCRGAQGFPGPGRLVARPPVPCAAKRGSGRGEVAAEDGDGTRSRLQAALWGAEAAYILWLFLLPYAPGDPVWAISQATISDLIGLSLNFFFILPLLNSAGVQLLESPVLHPMAEGLFNFVIAWTLMFAPLLFTDSRRDRYQGSLDVLWGFQMFLTNTFLIPYMAIRLNAPDTDQSPSPRSQLGSVMVKGAQVVGTVGGAVCILSIVWALFGRADAGFGDFVERWQYAQSYVVSERLAYAFLWDILLYSIFQPWLIGDNIQNVKADATEFVNVVRFIPVVGLVAYLLCLEKED >KQK99675 pep chromosome:Setaria_italica_v2.0:VII:34158856:34159340:1 gene:SETIT_013026mg transcript:KQK99675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLQKQNIHRRTRRRCMNLLSTTTLRYRTRAVAYR >KQK96534 pep chromosome:Setaria_italica_v2.0:VII:11130215:11131712:1 gene:SETIT_012533mg transcript:KQK96534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQISITKKLPVEATKNLKQKLSRTSIRRRKRGAVAILGSWDRLELFKSRLQRRQATIAEEERGGELRPSLARLISALASSISRKSRHCSLSFIKKAHNHDNHYIVHDLLYEMAESVSNGEQFRIEDDFHVSIPRNVRHLYVNATNISKVCMSLVESQDLKKNLSLIICKHDAPSGERIPPDNFNKVLKETLYGILPDNIEHLVHLRYLDISQSRRFTSIPKSLFRLYHLQGFIPQSHCQHNIQKEQKKHISKVTADPVKILGSIWNFRHQNDR >KQK96114 pep chromosome:Setaria_italica_v2.0:VII:592563:595125:1 gene:SETIT_012248mg transcript:KQK96114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGNRTWFGGLFNGSGKRRQVSAEKIVFDLTPLQESLRALWNSSFPDTELTSLVSAQWKDMGWQGVNPATDFRGCGFISLENLLFFARTYPAPFKRLMLKQQGMRAVWEYPFAVAGVNISYMLIQLLELNSARPKSLPGINFIKVLTEHEDAFDVLYCIAFEMMDAQWLAMRASYMQFKEVMEATKQQLERELSLEDVHGIHDLPAYNLLHK >KQK96473 pep chromosome:Setaria_italica_v2.0:VII:8916339:8917838:-1 gene:SETIT_012681mg transcript:KQK96473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSDVDAFLGCLYADIPPRLVHTPSTNNYSALLLSSVRNLRYVLPGTVRPLVIVAVTEPGHVQTTVLCGRRHSVRIRTRSGGHDYEGLSYASLDPHQRFAVLDLAELRAIHIDAARAEAWVGSGASLGELYYAVAAANRTLAFPAGSCPTVCFGGHLSGGGFGSLARKYGLSADNVLDAVVVDAQGRLLNRSTMGEDFFWAIRGGGGESFGVVVSWKVRLVRVPETVTVFGIRRFRNQSAIDLVTKWQDIAPFLPRDLYLRVLVQNPQADFVALFLGRCGRLFDIMRRRFPELGMTQADCQEISWVQSTIFIEFFTTDKPLEVLLDRSNKPDYYLKVKSDHVQEPIPRHAWESLWEKWLDEPGTPPIMLDPYGGRMGSISPSATPFPHRDYLYQLQIYSFWFENGTDALEKRISWVRGVHKELEPYVSKNPRAVYVNYRDLDLGTNELEGNVTSYDNAKVWGEKYFKGNFERLAGVKSRVDPDDFFRNEQSIPPLRAAKG >KQK99169 pep chromosome:Setaria_italica_v2.0:VII:31281512:31285909:1 gene:SETIT_010841mg transcript:KQK99169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVPSIGIMDGAYFVGRGEILHWINATLQLSLAKVEEAASGAVQCQLMDMVHPGVVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLRIVKNIEVNKLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGCKERGSKGSNKSSKSLQANRLSGGDSADGGPGVGKVCNTFAEEHYVEQIQQLSEKIADLKVSVDSMEKERDFYFSKLRDIEILFQRPELEHLPMTKAVRKILYAADAKDSPLPDANDIITKSPGLFSDEAE >KQK97153 pep chromosome:Setaria_italica_v2.0:VII:19299517:19309373:-1 gene:SETIT_009312mg transcript:KQK97153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCQCQATLPSTSAAHVAPLHPLFLRSTARSRGNISPATPPPTHALASRGARAGGSARICRGRGAGMASFAVSGARLGVVRPGGGSARSGAERRSGVDLPSMLFRRKDSFSRTVLSCAGAPGKVLVPGGGSDDLLSSTEPAVNTPVQPDEELQVPDEAELVVEETASSSAAEASSTVDVEEKPEPSEVIEGTGETVTDGVAIEAKAPLVEEKPRVIPPPGDGQRIYEIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKMGFTRSAQGITYREWAPGAHSAALVGDFNNWNPNADTMTRNEYGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYESHVGMSSPEPKINTYANFRDEVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHSSNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWLEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVIPGNNNSFDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEEKYEFMTAEHSYVSRKHEEDKVIIFERGNLVFVFNFHWSNSYFDYRVGCFKPGKYKIVLDSDDGLFGGFSRLDHDAEYFTADWPHDNRPCSFSVYAPSRTAVVYALAEDE >KQK97154 pep chromosome:Setaria_italica_v2.0:VII:19300654:19309373:-1 gene:SETIT_009312mg transcript:KQK97154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCQCQATLPSTSAAHVAPLHPLFLRSTARSRGNISPATPPPTHALASRGARAGGSARICRGRGAGMASFAVSGARLGVVRPGGGSARSGAERRSGVDLPSMLFRRKDSFSRTVLSCAGAPGKVLVPGGGSDDLLSSTEPAVNTPVQPDEELQVPDEAELVVEETASSSAAEASSTVDVEEKPEPSEVIEGTGETVTDGVAIEAKAPLVEEKPRVIPPPGDGQRIYEIDPMLEGFRNHLDYRYSEYKRMRAAIDQHEGGLDAFSRGYEKMGFTRSAQGITYREWAPGAHSAALVGDFNNWNPNADTMTRNEYGVWEIFLPNNADGSPAIPHGSRVKIRMDTPSGVKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYVFKHPQPKRPKSLRIYESHVGMSSPEPKINTYANFRDEVLPRIKRLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPEDLKSLIDKAHELGLLVLMDIVHSHSSNNTLDGLNGFDGTDTHYFHGGPRGHHWMWDSRLFNYGSWEVLRYLLSNARWWLEEYKFDGFRFDGVTSMMYTHHGLQVAFTGNYGEYFGFATDVDAVVYLMLVNDLIHGLYPEAVAIGEDVSGMPTFCIPVQDGGVGFDYRLHMAVPDKWIELLKQSDEYWKMGDIVHTLTNRRWLEKCVTYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPRIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRGPQSLPNGSVIPGNNNSFDKCRRRFDLGDADYLRYRGMQEFDQAMQHLEEKYEVRHCS >KQK99738 pep chromosome:Setaria_italica_v2.0:VII:34492591:34494411:1 gene:SETIT_011781mg transcript:KQK99738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRELRVDSFYARLRAAAAAAGSSSPLLILPSAADADSLCAVRALAHVLSADSIRFSIYPVASAARARDLLASFSATTVPLCCVLVNWGAHRDLRGILPHAATAFVVDSHRPVHLHNLCAHNDRVVVLFTADDEHTADLSYDFDLSSLADASDLAAEGDADDHLRVPDEDDENSDASESDSDAEDGGRRKRRRLSDDAEAEGGDPVRLFAKLRREYYRLGTFHGKPSGCLMYDLAHALRKNTNELLWLACVALTDQFVHDRITNERYQAAVMELEQHINGSGNLDPSGVGSVVTLKDGTKIRAPEASRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNSESKDSKESLAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTNKLCHPQALTKFCFFLMDALKERGARMKPLICACLAKEPEKVLVVGVCGKPRLGAVQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >KQK98660 pep chromosome:Setaria_italica_v2.0:VII:28503565:28503651:-1 gene:SETIT_0124581mg transcript:KQK98660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHVNAGGRLAGIWSRLVFARVNCGCTK >KQK98633 pep chromosome:Setaria_italica_v2.0:VII:28365634:28366093:1 gene:SETIT_013076mg transcript:KQK98633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFPVIFAFSRFVWEYAPDIFVLFALCKKLNAKPTLHSVEYVQLRKCRR >KQK99848 pep chromosome:Setaria_italica_v2.0:VII:35034801:35035274:1 gene:SETIT_012631mg transcript:KQK99848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSRYAPPAGGMQMEVARPPGGFLLPHQIYHETRPTTNAAPAATAGGPRVTAPHEFYDGSMMATRSVPASASAVAGLPQTTVAASGEESGDGGSACAVCLEAYAAGDALRTMPCAHAFHEGCIVEWLSVSPLCPLCRFKLPTQAEEDAAQPQQPPRLG >KQK97832 pep chromosome:Setaria_italica_v2.0:VII:23480553:23484954:-1 gene:SETIT_009513mg transcript:KQK97832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYRDYEARERELDAEGSRRSKEQQNHLGGRHRDADRRRDGGRSRGGRDLANGHGRRRSPPPRSRLAGRLGDREPGEVLSGSASDDSGGRPHRGRENGIPSSSREGESAAASAAAGAAVSSPSKKRKFSPIIWDRDSPKPPHSDASRGKKVVESVPAELPPPPPLPPKDHVPVRLAVEKSPIDVEPTVGTESAEQLPEHENRVAEEEEEYPTMRNISTSRWAGANDDEEDGASPMKKKSASPADSVPGQWKRASPELGEVVVSDISGGRTMSRSSDSGRMGNDEKEDFEVDKDDYMDVDRGQASDSDAEIRMSDTDSEDEARRPETPEPVKAPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTNEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRISADDALKHKWFSEVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >KQK97830 pep chromosome:Setaria_italica_v2.0:VII:23482285:23484954:-1 gene:SETIT_009513mg transcript:KQK97830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYRDYEARERELDAEGSRRSKEQQNHLGGRHRDADRRRDGGRSRGGRDLANGHGRRRSPPPRSRLAGRLGDREPGEVLSGSASDDSGGRPHRGRENGIPSSSREGESAAASAAAGAAVSSPSKKRKFSPIIWDRDSPKPPHSDASRGKKVVESVPAELPPPPPLPPKDHVPVRLAVEKSPIDVEPTVGTESAEQLPEHENRVAEEEEEYPTMRNISTSRWAGANDDEEDGASPMKKKSASPADSVPGQWKRASPELGEVVVSDISGGRTMSRSSDSGRMGNDEKEDFEVDKDDYMDVDRGQASDSDAEIRMSDTDSEDEARRPETPEPVKAPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTNEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRISADDALKHKWFSEVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >KQK97833 pep chromosome:Setaria_italica_v2.0:VII:23482285:23484954:-1 gene:SETIT_009513mg transcript:KQK97833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYRDYEARERELDAEGSRRSKEQQNHLGGRHRDADRRRDGGRSRGGRDLANGHGRRRSPPPRSRLAGRLGDREPGEVLSGSASDDSGGRPHRGRENGIPSSSREGESAAASAAAGAAVSSPSKKRKFSPIIWDRDSPKPPHSDASRGKKVVESVPAELPPPPPLPPKDHVPVRLAVEKSPIDVEPTVGTESAEQLPEHENRVAEEEEEYPTMRNISTSRWAGANDDEEDGASPMKKKSASPADSVPGQWKRASPELGEVVVSDISGGRTMSRSSDSGRMGNDEKEDFEVDKDDYMDVDRGQASDSDAEIRMSDTDSEDEARRPETPEPVKAPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTNEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRISADDALKHKWFSEVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >KQK97831 pep chromosome:Setaria_italica_v2.0:VII:23482285:23484954:-1 gene:SETIT_009513mg transcript:KQK97831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRHGGYRDYEARERELDAEGSRRSKEQQNHLGGRHRDADRRRDGGRSRGGRDLANGHGRRRSPPPRSRLAGRLGDREPGEVLSGSASDDSGGRPHRGRENGIPSSSREGESAAASAAAGAAVSSPSKKRKFSPIIWDRDSPKPPHSDASRGKKVVESVPAELPPPPPLPPKDHVPVRLAVEKSPIDVEPTVGTESAEQLPEHENRVAEEEEEYPTMRNISTSRWAGANDDEEDGASPMKKKSASPADSVPGQWKRASPELGEVVVSDISGGRTMSRSSDSGRMGNDEKEDFEVDKDDYMDVDRGQASDSDAEIRMSDTDSEDEARRPETPEPVKAPHRCINMLQGCRSVDEFERLNKINEGTYGVVYRARDKKTNEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKGVMETMKQPYTQSEVKCLMIQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLSRQYGSPLKPYTQLVVTLWYRAPELLLGTKEYSTAIDMWSVGCIMAELLAKEPLFNGKTEFEQLDKIFRTLGTPNEKIWPGYAKLPGVKVNFVKQPYNRLRDKFPAASFSGRPILSEAGFDLLNSLLTYDPDKRISADDALKHKWFSEVPLPKSKDFMPTFPALNELDRRTKRYLKSPDPLEEQRLKELQGNIGNRGLFG >KQK96539 pep chromosome:Setaria_italica_v2.0:VII:11258208:11259326:-1 gene:SETIT_012537mg transcript:KQK96539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPDGLADFPDEILQEILVRLPAKSVLRCRAVCRLWRRLTTDPAFLVAHHRHQPTLHLIRSYGTDGDNYSPHCLDAIHLQKAERQPVLWPRRCSIDASCDGLVVTGDYICNPATRQWAPLGHRKVYFDKVIGLYRHQPSGEYRVLFWTESSIPSADYCPNDYCVHTVGSEKPRRVSCSITLVDEELRAGLSGSGPDIRGASVHLHGNLHVHWKKQCIVHYHRILVFDTVAELFRQMRPPAVNPRDIMRLFDMDGMLAASCSKDAMMGMRIFALQDYKIEIWSFQYRIKLPEMEIRQFQEQGDWFAKIVSEEGDLLVICFGWLLHCDRMGNLLAKFQYDDDLPVLIPYRLKESLIQHTFFQKKQNKTPSSFMPC >KQK98885 pep chromosome:Setaria_italica_v2.0:VII:29765121:29767631:-1 gene:SETIT_010650mg transcript:KQK98885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSPFTDAATRKFFETRRYFGLDADQVTFFQQGTLPCISADGRFIMETPYKVAKAPDGNGGVYAALKSKKLMEDMAARGVKYVDCYGVDNALVRVADPTFLGYFIDKGVSSAAKVVRKAYPQENVGVFVQRGRGGPLSVVEYSEMDAAMTTEINQSTGRLRYCWSNICLHMFTLDFLNQVANSLEKDSVYHLAEKKIPSIHGYTTGLKLEQFIFDAFTYSPSTALFEVMREEEFAPVKNANGATYDTPDSAKLMLLRLHSRWVVAAGGFLTHSVPLYMTGVEVSPLSSYAGENLEAICRGRTFHAPSEISF >KQK97704 pep chromosome:Setaria_italica_v2.0:VII:22766804:22768159:1 gene:SETIT_012433mg transcript:KQK97704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGAPIRPWADLEPGLVSTIADCCALKDYASCRVVCAAWRSALPPPLSRPLTVLPADDAASLPVSLAACSLHARRWSRLLLHRPGGRVGAAARCRCVGASRDGWVALVAGDAAAPAGPMLFNPFTGEEIPLDESLYQPAHGQLAPKIIFSPNPTRRDFIAASLIRPNMVAVQRAANGCSYSEDTGPLLDGVVLVDIAYGDDGKVYCLAWDGEVHVLHLTRRHRVCRQMPPMEVGPLPKLPIGADAFPPPYDVISEYTDGKNLVLCEGGLYQVWRRSSGSGSVTVDAPPGGAARRIRIFEGDVFVLRYDPGNWPGSCWTVADAKDLRGNAVFVGMNDAAVVRGEGVSANSVYYWDGPRGGDGDYEAVVYNVATKASVRWPAASTGGVSCPVWYFLPAAGVSQRVGAETTGVEATSGEEATSLEHGEKEDSAHCLKKTMNLLGRLDYRVVYDS >KQK99728 pep chromosome:Setaria_italica_v2.0:VII:34425506:34428723:1 gene:SETIT_010055mg transcript:KQK99728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLAGLAALLLLLHLASAASASSNVLLGRKGSSVAAGQSAAAEEEEKAAASRFAVIFDAGSTGSRVHVFKFDSKLDLVPIGDDIEFFAKIKPGLSSYAGRPQEAASSILPLLEQAKKIVPTSLQKYTPLKLGATAGLRLIGDKKAEEILEAVQDLVHTKSKFQYNPKWITVLEGTQEGSYLWVALNYLLGKLGGDYSKTVGVIDLGGGSVQMAYAISTNAAANAPAVPDGKDPYITKEYLKGKDYNLYVHSYLYYGTFASRVEILKAKNGPFSRCVLRGFSGNYTYNGKEYDATASPEGAVYDKCREEIIKALNLSAPCETKNCSFNGVWNGGGGAGQDNLYVASFFFDKATQYGFIESEAPSAKSTPAAFKVAAEKVCSLSVKEVKAAYPNAFDLPYACMDLLYQYTLLVDGFGLDPTKEITLINRVKYGEYYIEAAWPLGTAIEAVAPKKMTLQDA >KQK97755 pep chromosome:Setaria_italica_v2.0:VII:23055446:23057034:1 gene:SETIT_010806mg transcript:KQK97755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRAPPQTLWPSPRPRLLSPAPRCSNSNDAQPSPAPSSQTAAAGSVRRLVLPPEGRAKLDPRPDRDFYAFPRLVTHVDDGFIAALTDLYRERLCAGWDVLDLMSSWVSHLPPEVPFRRVVGHGLNAQELARNPRLDYFFVKDLNTDQQLELESGSFDAVLCTVSVQYLQSPEKVFAEILRVLKPGGVCIVSFSNRMFYEKAIAAWREGTAYSRVQLVTQYFQCVEGFTQPEVIRKLPSAGGSASVSPLDAVMRLFGMASSDPFYAVISYRNFKPM >KQK99237 pep chromosome:Setaria_italica_v2.0:VII:31721024:31730877:-1 gene:SETIT_009392mg transcript:KQK99237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSGPASRFLTLLLVVVIACAVPRVRCSDRRFPHLDRVRELHRREGSSSAEQEAAARGLLERILPSHSASFEFRIISKEQCGGKACFIISNHPLFDGEGTPEILILGVSGVEISAGFHWYLKNYCAAHISWYKTGGAQLSSIPHPGSLPRVPAAGVFIQRPVDWSYYQNAVTSSYSFAWWDWERWEKEIDWMALQGINLPLAFTGQESIWQRVFQRYNISKSDLDDFFGGPAFLAWSRMANMHGWGGPLPQTWLDDQLALQKKILSRMYAFGMFPVLPAFSGNIPAALKSKYPSAKVTHLGNWFTVDSNPRWCCTYLLDASDPLFIEIGKLFIEEQIREYGRTSHIYNCDTFDENTPPLSDPNYISSLGAATFRGMQSGDDDAIWLMQGWLFTYDPFWEPPQMKALLHSVPVGRMIVLDLYAEVKPVWINSDQFYGVPYIWKVGIGMSMEGIEQNPIVYDLMSEMAFHHRQVDLQVWVETYPTRRYGKSVKGLQDAWWILYQTLYNCTDGKNDKNRDVIVAFPDVEPFVIKTQGLYMSSGKQYSTIPPKNYEKGTSNDAYDHPHLWYNTSVVVHALELFLQYGDEVSDSNTFRYDLVDLTRQVLAKYANDIFIKIIKSYKSNSTNQMITLCQHFLGLVNDLDTLLGSHEGFLLGPWLQNAKGLAQDREQEIQYEWNARTQITMWFDNTETKASLLRDYANKYWSGLLRDYYGPRAAIYFKHLLLSMEKNAPFSLEEWRREWISLTNNWQSDRKVFATTATGDALNISRSLYMKYLRNADLLELEGTFSPGKSASL >KQK99162 pep chromosome:Setaria_italica_v2.0:VII:31240870:31242856:1 gene:SETIT_009788mg transcript:KQK99162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGVKLSTVCASTLYPEKCEQSLKPVVNDTSNPEDVLRAALNVALDEVAAAFERSAHIGKDAKDNLTRNAMDVCKKLLDDATEDLRDMARLKPVEVLGHNSTELSSNALAIITRLGELLPEQDKKTNATTAAGHGRRLLSRTLVGINEVASEAKGQLEAVKKAMFSGGEPDLAHRVLTTDLVGTFDEIADGRSGLKSSDLPEWMPASQRRLLQMSGLQKPNAVVAQDGSGNFKTITEAINAVPKSYDGRYAIYVKAGTYKEYVTVPKNMANVFMYGDGPTRTVVTGDKSNTGGFATIATRTFSAEGNGFICKSMGFVNTAGPEGHQAVAMHVQGDMSVFFNCRFEGYQDTLYVHANRQFFRNCEVLGTVDFIFGNSAALLQNCLLTVRKPGESQSNMVTAQGRTDPNMPTGIVLQSCRIVPEQELFPVRLQIASYLGRPWKEYARTVVMESTIGDLIKPEGWAEWMGDIGLKTLYYAEYANTGPGAGTSKRVNWPGYRGIIGQAEATQFTAGVFIDAMTWLKATGTPNVMGFTK >KQK97033 pep chromosome:Setaria_italica_v2.0:VII:18387616:18390346:1 gene:SETIT_011249mg transcript:KQK97033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMDMDIETLADDADVALARDSGGEAERYEAAEAEADLLRDRLRLAVISIATAEGKKAGMTVAEPVVACIADLAYKSAEQLAKDAELFAQHAGRKSIKMDDVILTAHRNEHLMGLLRTFAQELKGKEPASSERKRKKSSKKDERVIDV >KQK98712 pep chromosome:Setaria_italica_v2.0:VII:28777615:28778247:1 gene:SETIT_011323mg transcript:KQK98712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTHSHIAGHIPSLARSRGSREAYSGQLLSLAVAQADLFGATSLDSGMQRQLSISAMPKLLPEEAGGGDDDDVEAKPEKAPAPRSTEKERSVHLIPLIIVVCFLLLFLCSRDPSPSDMSSFGNKAGS >KQK98956 pep chromosome:Setaria_italica_v2.0:VII:30167259:30169942:1 gene:SETIT_010680mg transcript:KQK98956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLISMMEARLPPGFRFHPRDDELVLDYLCRKLSGKGGGAYGGIAMVDVDLNKCEPWELPDEACVGGREWYFFSLHDRKYATGQRTNRATRSGYWKATGKDRPISISGRRLVAGAGGAGVVGMRKTLVFYQGRAPRGSKTEWVMHEFRVEGPAVAVAGRPCSPLKEDWVLCRVFYKSRTTTAIPACPDETSSLLSGELISLPLPQMPSADAYLAFDHGAVAAIGGYYQQDDDAGLPASHHQPALPLDKSLASFRDLLSSMVEGGDGGGAVAKTELHQDWTEAAYAQQQGGVLLHSQPAWNPFLSSG >KQK97867 pep chromosome:Setaria_italica_v2.0:VII:23642327:23646840:1 gene:SETIT_010492mg transcript:KQK97867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPSLLVQCFPGLLPSKATSCVPIVSEKDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVASAKYDGTLKYWESSITLVNILKNEIRDGQLSFRGKRVLELGCGSGLSGIFACLKGASTVHFQDINAETIRCRTIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGEWDELPTILSVVQPPAAPTNLSFSEDDFMDGCSSHDGSSIVGHDYCPRRSRKLSGSRAWERASETDQADGGYDVILISDVPYAVNSLKKLYALISKCLRPPYGVLYVASKKNLVSSNGGARQLRALMEEEGVLGGHFLTELSDREIWKFFFK >KQK97868 pep chromosome:Setaria_italica_v2.0:VII:23642327:23646840:1 gene:SETIT_010492mg transcript:KQK97868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAPSLLVQCFPGLLPSKATSCVPIVSEKDLQLPSPAVEIIPSKSAHPYKYAGEKVDVQGLDIFKGKVSVADMIAFSPSEVASAKYDGTLKYWESSITLVNILKNEIRDGQLSFRGKRVLELGCGSGLSGIFACLKGASTVHFQDINAETIRCRTIPNVLANLEQARDRQNRPSESPVTPSRQLLAPNVHFYAGEWDELPTILSVVQPPAAPTNLSFSEDDFMDGCSSHDGSSIVGHDYCPRRSRKLSGSRAWERASETDQADGGYDVILISDVPYAVNSLKKLYALISKCLRPPYGVLYVASKKNLVSSNGGARQLRALMEEEGVLGGHFLTELSDREIWKFFFK >KQK99724 pep chromosome:Setaria_italica_v2.0:VII:34406028:34406797:1 gene:SETIT_011751mg transcript:KQK99724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDKQVKLFGFWPNPYVFKVIWAPRIKGVEYDYNPVHKKIPVLVILEFIDEAWKDRGDRILPEDPYERAMACFWVRFLQDKLSPPIWKWFTTQGQEQEDAHEAAIEQLLVLEKELDGKRFFAGEKIGFVDLSLGPLSYVIPMYEEITGVKMIAGEKLPSLSAWMGNFLSSPVVKDHLPPLDKLRLRLQTIREAFLNGKVK >KQK96772 pep chromosome:Setaria_italica_v2.0:VII:15350356:15352744:-1 gene:SETIT_012086mg transcript:KQK96772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSRSNAGARAVAKQLKVLVPPSFHKMRISDELAGCFDAGGGVGEGAPGGTALVVSPFGKVWRVEVGRDGDGAFLGRGWAGFLAAHGVGVGWFVVLRHEGGGALTFKAFDTSFCIKEFAAPAAVMASRSRKGVSCKPQFIRIIYPNFTEKMIIPARFVKRYVTEEYLNSRTAVIFSPLGKFWQIELENDQSGMFFAGGWSQFLEFHGISKGDILLLRYEGNMVFKFKAFGLSGCQKDFKNKDAGINQNIEMQQESPSPIRKRKDNDEKSSSEKNKTPKSSVTFLNAKPSLKKPDYQIEPSSWIRKEITTSMLECLLSLPMKFCRRIGFQNTCTITLKTEMDSTRSWKVHGVAYKNYCCIRGDGWKSFCHENRLKTGDLCTFNIIETTLWHVEIIRH >KQK98144 pep chromosome:Setaria_italica_v2.0:VII:25332694:25334400:1 gene:SETIT_012051mg transcript:KQK98144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKALYLYAAVVVLLLCSSANFIQSPSDVFGPVALLEPTPSAARDFGAVVSEAPVAVMRPGSAADVARLLGALSSAPAGPGRRPRASVAARGAGHSLHGQAQARGGIVVETRALPRAVEVGGGGAYADVGAGALWVEVLEECLRAGLAPRSWTDYLYLTVGGTLSNGGISGQAFKHGPQISNVLQLEVVTGTGEVVTCSPTQSPELFFAVLGGLGQFGIITRARIPLQVAPPKVRWVRAFYDSFETFTGDQELLVSMPELVDYVEGFMVLNEQSLRSSSVAFPAEVNFTPDFGSDGVGGGKKVYYCIEFAVHDFQRQDSAAAADGDHVVELVSGKLSFLRPHAYSVEVAYFDFLNRVRMEEESLRSRGLWDVPHPWLNVFVPRHGAAAFKDLLMGTVTRGEFEGPVLVYPLLTD >KQK96584 pep chromosome:Setaria_italica_v2.0:VII:12311084:12311327:-1 gene:SETIT_012995mg transcript:KQK96584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPINHLCLLFMIIHDYWHVKLYYWSTNSYII >KQK96662 pep chromosome:Setaria_italica_v2.0:VII:13574458:13574872:-1 gene:SETIT_012872mg transcript:KQK96662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLMTSKSCSSRKMLARKQKHNPVGCYNNWWLCMADGGSLY >KQK98752 pep chromosome:Setaria_italica_v2.0:VII:28979360:28980204:1 gene:SETIT_011337mg transcript:KQK98752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCHSLMHARIAASKARLIMAATQQQMAMGHGPPSCVLSRCSCATRASNAESESKSHQSQVTLKLQQIQENTKEHGRGMAFHEGKRQPWRDPQFQGGAHTARAVTVAAGPQHSPGPWPFPFGQRE >KQK96633 pep chromosome:Setaria_italica_v2.0:VII:13174632:13175093:-1 gene:SETIT_012834mg transcript:KQK96633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSLAVTLFFPSKRSVSRKCPAEQDSYFMMSEILH >KQK96399 pep chromosome:Setaria_italica_v2.0:VII:5475032:5477969:-1 gene:SETIT_012270mg transcript:KQK96399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PLHRSLAALAAAHGPVLLLRFGSRRVVHVAHPAAAEECLTTHDATFANRPQLPSARHLSNGYTTLGSSSYGPNWRNLRRIATVDVFSTHRLLRSTGIRAGEVRHMARRLFKDAAGADASRPASADVKTRAFELALNTVARMIAGKRYYGDDEDDSGPSSVEAERFRAMVREYFAMHGASNLQDFVPVLALVDIGGVNNRAIRLSKARNEWAQRLIDEHRAAAAAGREQGKTMVGDLLEMQASDPEAYSDKVIRALCLSILQTGTDTSSGTIEWAMALLLNHPAAMAKARAEIDEVVGTVRILEESDLPNLPYLQFVVRETLRLHPIAPMLAPHESSADCSVAGYDIPAGTMLLFNVHTMHLDARVWGEDAERFSPERFEGGKSEGKWMLPFGMGRRQCPGEGLAMRVVSLALGTFVQCFEWRRVGDEEVDMTEGSGLTVPKAVPLEALYWPRPKMVLALREILER >KQK98500 pep chromosome:Setaria_italica_v2.0:VII:27528368:27538696:-1 gene:SETIT_009233mg transcript:KQK98500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSPSGNSYFLLSRPEPSDSPPKEAAPSIGSQAPQQENSSPVGQPRDFFRDAINTSGIGYGTRDDNLADISPQQVKEVDILSLGLPRLSTELSDDDMRETAYEVLLASLFVSGKVHFSEEKREKKNKFLKGLRTKTEVSNSTPQVEDGYAHILDLIRVQMEDWVVSVPEGRVEVLTIIERYNSKLSALTKKFNLKEETYHWTHNYHVNFRLYEKLLCSVFDILEDGQLVEEADEILETAKLTWPILGITEKLHGIFYAWVLFQKFAQTGETLLLKHASLQIQKLLLHHDIEELEVYTNSFICSADACGGDRALSLADSALLKINSWCRRQLENYHAHFSKKNYSIFEATLNLALLLVKTPPEDDCEEVLLIESPVGSTPESKLVHLLIVRSIHAAYKQALISSDGRSETEIKHPLTILANELKLVAEKECSAFSPILHKYYPEAQGVALIFLHMLYGKQLELFLERTDHLENSKEILAASNNFELFIAEKLRSVYGEAGSSFSNYLKPYMIGCLSSPLILQWLHAQHENVLEWTKRTIGIEDWTPLSVHEKQATSVVEVFRIVEESVDQFFNTSLPLDIVHLRSLLIGITSSLEVYLLHMENQQVSGSTLLPRAPVLTRYAESMNPFAKRKLIEPTVPEEKVATKLNNLTVPKLCVKLNTLQFIRDQLDAIEEGIKRSWISVLSGNPP >KQK98501 pep chromosome:Setaria_italica_v2.0:VII:27528368:27539113:-1 gene:SETIT_009233mg transcript:KQK98501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASMLEVYRRDRRRLLGFLLSAGGGGGRALDLSRVDLDAVSADYALECVAAGAQFDASEATRRYFDERRYPIMIGSPSGNSYFLLSRPEPSDSPPKEAAPSIGSQAPQQENSSPVGQPRDFFRDAINTSGIGYGTRDDNLADISPQQVKEVDILSLGLPRLSTELSDDDMRETAYEVLLASLFVSGKVHFSEEKREKKNKFLKGLRTKTEVSNSTPQVEDGYAHILDLIRVQMEDWVVSVPEGRVEVLTIIERYNSKLSALTKKFNLKEETYHWTHNYHVNFRLYEKLLCSVFDILEDGQLVEEADEILETAKLTWPILGITEKLHGIFYAWVLFQKFAQTGETLLLKHASLQIQKLLLHHDIEELEVYTNSFICSADACGGDRALSLADSALLKINSWCRRQLENYHAHFSKKNYSIFEATLNLALLLVKTPPEDDCEEVLLIESPVGSTPESKLVHLLIVRSIHAAYKQALISSDGRSETEIKHPLTILANELKLVAEKECSAFSPILHKYYPEAQGVALIFLHMLYGKQLELFLERTDHLENSKEILAASNNFELFIAEKLRSVYGEAGSSFSNYLKPYMIGCLSSPLILQWLHAQHENVLEWTKRTIGIEDWTPLSVHEKQATSVVEVFRIVEESVDQFFNTSLPLDIVHLRSLLIGITSSLEVYLLHMENQQVSGSTLLPRAPVLTRYAESMNPFAKRKLIEPTVPEEKVATKLNNLTVPKLCVKLNTLQFIRDQLDAIEEGIKRSWISVLSGNPP >KQK98499 pep chromosome:Setaria_italica_v2.0:VII:27524136:27539113:-1 gene:SETIT_009233mg transcript:KQK98499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAASMLEVYRRDRRRLLGFLLSAGGGGGRALDLSRVDLDAVSADYALECVAAGAQFDASEATRRYFDERRYPIMIGSPSGNSYFLLSRPEPSDSPPKEAAPSIGSQAPQQENSSPVGQPRDFFRDAINTSGIGYGTRDDNLADISPQQVKEVDILSLGLPRLSTELSDDDMRETAYEVLLASLFVSGKVHFSEEKREKKNKFLKGLRTKTEVSNSTPQVEDGYAHILDLIRVQMEDWVVSVPEGRVEVLTIIERYNSKLSALTKKFNLKEETYHWTHNYHVNFRLYEKLLCSVFDILEDGQLVEEADEILETAKLTWPILGITEKLHGIFYAWVLFQKFAQTGETLLLKHASLQIQKLLLHHDIEELEVYTNSFICSADACGGDRALSLADSALLKINSWCRRQLENYHAHFSKKNYSIFEATLNLALLLVKTPPEDDCEEVLLIESPVGSTPESKLVHLLIVRSIHAAYKQALISSDGRSETEIKHPLTILANELKLVAEKECSAFSPILHKYYPEAQGVALIFLHMLYGKQLELFLERTDHLENSKEILAASNNFELFIAEKLRSVYGEAGSSFSNYLKPYMIGCLSSPLILQWLHAQHENVLEWTKRTIGIEDWTPLSVHEKQATSVVEVFRIVEESVDQFFNTSLPLDIVHLRSLLIGITSSLEVYLLHMENQQVSGSTLLPRAPVLTRYAESMNPFAKRKLIEPTVPEEKVATKLNNLTVPKLCVKLNTLQFIRDQLDAIEEGIKRSWISVLSATRLLDYLSCIASGRPISENSSSSDESIDELFTIFDDVRMTAVNITDTILNFIGTRAVFYDMRDSLLFSLYRDSVEGARMQIFIPTIDQVLDQVCDLIVDVLRDQVVLRIFQACMEGLIWVLLDGGPSRAFLETDVDLMQQDLAMLKDLFIAEGQGLPLDVVEREAKQAQQILDLYMLKADIIIEMLINASDQMSHHLEVSSARRRHVHDAHTLLRVLCHKKDKIASTFLRIQYHLPRSSDYDDLPVKDVSSKVPMFSDMLKRGTSFNWSETGQQSFRVMKKKLQEATWQ >KQK99240 pep chromosome:Setaria_italica_v2.0:VII:31740258:31745896:-1 gene:SETIT_009547mg transcript:KQK99240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYAVLGSSRDLAVGPVSIASLVMGSMLRDAVSPTAEPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVFHHTKEWSWQTILMGVCFLAFLLTARHVSMRWPRLFWVSACAPLASVIISTLLVFLFKAQNHGISIIGQLKCGLNRPSWDKLIFDTTYLGLTMKTGLITGILSLTEGIAVGRTFASLKDYQVDGNKEMMAIGLMNVVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVVMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDAPAVYQIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGLSVFRVLMQITRPKMIIQGNIKGTDIYRDLHQYKEAQRVPGMLILAIEAPINFANSNYLNERIKRWIEEESSAHNKQTELHFIILDLSAVPAIDTSGIALLIDIKKAIEKRGLELVLVNPTGEVMEKIQRANEALNQFRSNCLYLTTGEAVASLSALAKMTKP >KQK99241 pep chromosome:Setaria_italica_v2.0:VII:31740955:31745815:-1 gene:SETIT_009547mg transcript:KQK99241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGMRGAYGGGGGYSNGNESRPLGGAAAAAAPMTTTSAEIAGMAVHKVATPPPQSTASKMKARVKETFFPDDPFRAFKGQPLGTQWLMAVKYLFPILDWVPGYSFSLFKSDLVSGLTIASLAIPQGISYAKLASLPPIIGLYSSFVPPMVYAVLGSSRDLAVGPVSIASLVMGSMLRDAVSPTAEPLLFLQLAFTSTFFAGLVQASLGILRLGFIIDFLSKATLVGFMAGAAIIVSLQQLKALLGIVHFTTEMGLVPVMASVFHHTKEWSWQTILMGVCFLAFLLTARHVSMRWPRLFWVSACAPLASVIISTLLVFLFKAQNHGISIIGQLKCGLNRPSWDKLIFDTTYLGLTMKTGLITGILSLTEGIAVGRTFASLKDYQVDGNKEMMAIGLMNVVGSCTSCYVTTGAFSRSAVNHNAGCKTAMSNVVMALTVMVTLLFLMPLFVYTPNVVLGAIIIAAVIGLIDAPAVYQIWKMDKMDFLVCVCAFAGVIFISVQEGLAIAVGLSVFRVLMQITRPKMIIQGNIKGTDIYRDLHQYKEAQRVPGMLILAIEAPINFANSNYLNERIKRWIEEESSAHNKQTELHFIILDLSAVPAIDTSGIALLIDIKKAIEKRGLELVLVNPTGEVMEKIQRANEALNQFRSNCLYLTTGEAVASLSALAKMTKP >KQK96369 pep chromosome:Setaria_italica_v2.0:VII:4502127:4502661:1 gene:SETIT_012083mg transcript:KQK96369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKNQGSSPSALVIEDIKVDSVVLLRMLQKLNCEVTVARNGEEAVDLFLRGKIFDIVFSDQDMPVMTGPEAVAKIRAMGATEVKIVGISADFGGMEAFMQAGADVFVPKPMKLQTLESLIQDVIRKKNMSG >KQK98545 pep chromosome:Setaria_italica_v2.0:VII:27858011:27859679:-1 gene:SETIT_010135mg transcript:KQK98545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHHHRGHFFLLALSLAASLAAAADSSSTHNITTVLDGRSEYTLYNSYLSETKVCDEINSEHTVTVLVLTNGAMSSLVANLSLADIKNALRLLTLLDYFDEKKLHSLDSGSELTTSLYQKTGQAAGNMGHVNITDLRGGKVGFAPAAPGAKFQSTYTKRVDEEPSTLSVLEVSDPITFPGLFGSPSASSVNLTDLLEKAGCKQFARLIVSSGVVKMYQAAMDKALTLLAPNDDAFKAKDLPDLSKLSSADLVTLLQYHALPQYTPKSSLKVAKGDIPTLASTGAGKYDLSVVSSGDDVSLDTGKDKSRVASTVLDDTPTVILTVDKVLLPPGLFGGAPSPAPAPGPAADVPASAPAPETSAPAPSPKAAGKKKKKAKSPSHSPPAPPSDSPDMAPADAPEGDAADKVESKKNGAAAAAASFAATGACVALAVASFL >KQK99823 pep chromosome:Setaria_italica_v2.0:VII:34918670:34921255:-1 gene:SETIT_011216mg transcript:KQK99823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNVQVVDPVTGKPCKVGYKYLEDGTKVRFARGMNASGAAIPRPEILKERRKPRPTSPGPKDTPIELVLEKTYDEKAGVGMPDL >KQK98479 pep chromosome:Setaria_italica_v2.0:VII:27281105:27282969:1 gene:SETIT_011448mg transcript:KQK98479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSKPFLLHKNTFWKSQQGAKIAHLNDFNSSNQITRSSNRLREPADSDQDTRAKTHNRKGIGSHKGPQLQHTYITDSLNNTTHGATGRTVKSNLRK >KQK99312 pep chromosome:Setaria_italica_v2.0:VII:32295038:32295318:-1 gene:SETIT_012336mg transcript:KQK99312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPELQATLVKVPGVFVLVQALSSTVFSRTKSLGLRPARSLSARRMVAMLSDLPLAGEPPPVAAFARTRSSSLSSPLLAADHLKED >KQK99314 pep chromosome:Setaria_italica_v2.0:VII:32299564:32303608:-1 gene:SETIT_010253mg transcript:KQK99314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAASTTTTVRTHTHTNPLSASFLQLPPPRRVSFHASPRLSLRATAMAATSQQQEEQLIITRPDDWHLHVREGSVLEAVLPHSARHFGRAIIMPNLKPPVTTTARALEYREEIMKALPPGSSFEPLMTLYLTDNTSPEEIKLGRKSGVVFAVKLYPAGATTNSQDGVTDIGKCMPVFEEMVRQEMPLLVHGEVTDPHVDTFDREKVFIDRILAPLVQKLPQLKIVMEHITTMDAVNFIESCEEGHVAATVTPQHLLLNRNALFQGGLQPHNYCLPVLKRETHRQAILSAVTSGSKRYFLGTDSAPHDKRNKERYCGCAGIYSAPVALSLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKIVLKKSAWKVPATYTYSSGEIVPMFTGCTLKWLPSDQTEE >KQK98148 pep chromosome:Setaria_italica_v2.0:VII:25351896:25352118:-1 gene:SETIT_012260mg transcript:KQK98148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENCIFGICNNVMGERRELNPHAISASYPAKGFSLFSIHHYSIYFDLHTSIEIVNIGCHSSK >KQK98408 pep chromosome:Setaria_italica_v2.0:VII:26813857:26816560:1 gene:SETIT_010900mg transcript:KQK98408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSCGTSEASRNMLSVRHPIQPPTPRGPGRYKSAQPVTSRPGPQPTTAPPLLLLSPLLGVVCTLPPRSRSPTPLNSSPPLLAVRPSMAAASSTTSVHDFTVKDASGKDVDLSTYKGKVLLIVNVASQCGLTNSNYTELAQLYEKYKDQGFEILAFPCNQFGGQEPGTNEEIVQFACTRFKAEYPIFDKVDVNGNNAAPIYKFLKSSKGGLFGDSIKWNFSKFLVDKEGRVVDRYAPTTSPLSIEKDIKKLLGSS >KQK97944 pep chromosome:Setaria_italica_v2.0:VII:24114882:24115379:1 gene:SETIT_011812mg transcript:KQK97944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRAYLHNPRANCSRPPPASSPVTSSRSLRAPDRVGVQSTVTVTSSHLLPCAARAASSQNRATAPAQPGGNRALPTTRATAAARLPGPMVRNFAALPDLLALSSRPPCSRTATRWTCSWCSWWSATSVRRPRARRRMAPPQAEAVKARLSAASWRITRSCTMGSIVE >KQK98090 pep chromosome:Setaria_italica_v2.0:VII:24903635:24905930:-1 gene:SETIT_012713mg transcript:KQK98090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVVDLRSDTVTKPSDAMRAAMAAAVVDDDVVGVDPTAQQFQEEMAALMGKEAALFVPSGTMGNLISVLVHCDVRGSEVILGDDSHIHVYENGGISTIGGVHPKTVRNNPDGTMDIDKIVAAIRHPDLHYPTTRLICLENTHGNCGGKCLSVEYIDKVGEIAKNHDLKLHIDGARIFNASVALGVPVDRLVKAADSVSVCLSKGLGAPVGSVIVGSKAFIDKARILRKTLGGGMRQVGVLCAAAQVAVRDTLGKLEDDHRKAKALAEGLKKIKHITVDPASVETNMVFFDITNPRISPDNLCQVLEQHNVLAMPASSKSIRFVLHYQISDSDVQYALTSVEKAIEELLK >KQK98231 pep chromosome:Setaria_italica_v2.0:VII:25761407:25763443:1 gene:SETIT_012646mg transcript:KQK98231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFFRSEELKQELDDVDSLTEDLEAGAVEARGWPAEFSAYKVAKAAMNAYSRVLARRHPALRVNVVDPGYVRTNMTRNSGLLAPDEGGARVVAVAAWRCYRKAARPARSLAAARRRRPRSCDRRVASTSTRARRIQPCCSSSRRKIMEGAISVPANTRVAVVTGGNKGIGLEVCRQLAGNGVTVVLTARDEARGGAAVEKLGELGLSDVIFHQLEITDASSIGRLAEFLKTRFGRLDILVNKPSMSL >KQK97403 pep chromosome:Setaria_italica_v2.0:VII:21044159:21046672:-1 gene:SETIT_011891mg transcript:KQK97403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NESSSPRPKAPSLAARIKRQEAPRRMGEEEETETGKKMNNDGANAAPLLTPHKMGRFHLSHRVVLAPLTRQRSYGNVPQPHAILYYQQRATRGGLLIAEATGVSDTAQGYRDTPGIWTKEQVESWKPIVDGVHAKGGIFFCQIWHVGRVSNSTFQPNGQAPVSSTDKPVNSVRVDKFTPPRRLETDEIPLVINDFRVAARNAIEAGFDGVEIHGAHGYLIDQFLKDQVNDRTDKYGGSLENRCRFALEIVEALVDEIGADKVGIRLSPFANYSGAADSNPEALGMYMAHALNKFGVLYCHVVEPRMVKHGGKFETPYSLGPVRDAFKGTLIVAGNYNRDDGNNAISSGYADLIAYGRLFLSNPDLPRRFEIDASLNKYNSDTFYIPDPIIGYTDYPFLSSDV >KQK97523 pep chromosome:Setaria_italica_v2.0:VII:21669313:21673651:1 gene:SETIT_011975mg transcript:KQK97523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGFVAAEGGQVRDYSGGVTFSVAVTCLMAASCGLIFGYDIGVSGGVTQMESFLNKFFPEVLRGMRSAKRDAYCKYDNQLLTAFTSSMYIAGMLASLVASGVTRRAGRKAVMLAGGTMFLAGSVINAGAVNIAMLIIGRILLGFGVGFTAQAAPLYLAETSPTRWRGAFTSAYHIFLVAGTLAANVANYFTNRIPGWGWRVSLGLAAVPATVIVLGALFVSDSPSSLLLRGEPEKARESLQRVRGPDAHVEAEFKDIARAVEEARRNEEGAFRRLRGKGYRHYLVMAVAIPTFFDLTGMIVITVFSPVLFRTVGFDSQKAVFGAVIISLVSLSGVVLSTVVVDRCGRRFLFLAGGTAMLIFQVAVSWILADHLGKHGAATMPRNYAVGVVVLMCLYTFSFSLSWGPLKWVVPSEIYPVDIRSAGQAVTLSVALTLSFTQTQVFISMLCAMKYAIFLFYSGWVLAMTVFIAAFLPETKGVPLEAMRSVWAGHWFWRRFVVLDAKQEVQMNRM >KQK96226 pep chromosome:Setaria_italica_v2.0:VII:2014652:2015754:-1 gene:SETIT_012739mg transcript:KQK96226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEFAFGLTKTAVEGTVSTVKSAIEDEAKLNEKVQHDLEFITAEFQMMQSSLSVAKRERAADNEVVRTWVRLLRDLAFDVEDCVEFVVHLEKRSSSAWLWRTLPSCLVPPQHLDLAAAEIKQLKARVEDVSHRNMRYNLTGDLAGSSHSISKPAEQPATTASPSAFHILRELHGMGDLRELITGEGGDDLQVISVWGSTGGDLGARSMIMETYCHGDICDGFKSRAWVKLVQPFNPNEFLKSLLTQLCRRSWSSHHQADAGEVEFRTRMKAAVDEDDHLMKAELMQQLMKHKRYLVVLEDVSTVVEWDDIKMLLPDCKNGSRIIVSTHDIGMAFMCTGKPYLVSELRRFPDGHSLCALFRK >KQK99721 pep chromosome:Setaria_italica_v2.0:VII:34399918:34403090:-1 gene:SETIT_010585mg transcript:KQK99721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHLRRSLRALHRLPASGHAACDPVPLHRFLSSQIEPISGWCKHATRDFSTSKNVAGGRVYQQKELEPTTPAKDTDIIIKRIQKSTRELEQGPVGKNLSSAEKRKFLVNTLLGLEDSREVVYGTLDAWVAFEQDFPLASLKQALSALEKEQQWHRIVQVIKWMLSKGQGNTMRTYEQLVCALEKDNRAEEAHKIWQKKISHDLHSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGRKTPSKDIIRKVEDAYEMLGLLEEKEALLEKYKDLYNRPSRDDRRRGSKSNKTELDKIDADGSMKSKMETSENHQDHCCPSDKESAVTA >KQK99722 pep chromosome:Setaria_italica_v2.0:VII:34399334:34403090:-1 gene:SETIT_010585mg transcript:KQK99722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRHLRRSLRALHRLPASGHAACDPVPLHRFLSSQIEPISGWCKHATRDFSTSKNVAGGRVYQQKELEPTTPAKDTDIIIKRIQKSTRELEQGPVGKNLSSAEKRKFLVNTLLGLEDSREVVYGTLDAWVAFEQDFPLASLKQALSALEKEQQWHRIVQVIKWMLSKGQGNTMRTYEQLVCALEKDNRAEEAHKIWQKKISHDLHSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGRKTPSKDIIRKVEDAYEMLGLLEEKEALLEKYKDLYNRPSRDDRRRGSKSNKTELDKIDADGSMKSKMETSENHQDHCCPSDKESAVTA >KQK98394 pep chromosome:Setaria_italica_v2.0:VII:26715518:26716228:1 gene:SETIT_011112mg transcript:KQK98394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSRPVVLFYSGTLFLEHHPKTFVNQIQITRLIARTCLRAPSNRVIKQAQLNHSASCLWSSNCCSAAMAGKASIALFLAVNLVVLAVASAGGVPCPPPPSTPTPTPASFGRCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAAVCLCTAIKGNILGINLNLPIDVSLILNHCGKTVPTGFKCL >KQK99492 pep chromosome:Setaria_italica_v2.0:VII:33127602:33128475:1 gene:SETIT_011098mg transcript:KQK99492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEKAHTNKGAWTKEEDQRLVAYIKAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDDLIIKLHQILGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLLARGIDPQTHQPLSGAAANAPSSRLQDQLAAARSSCSPQTSGAGHSSDEDSASVPYLVGIDLNLSLSPPSQPPSPVAAVAN >KQK96719 pep chromosome:Setaria_italica_v2.0:VII:14554666:14555065:1 gene:SETIT_013002mg transcript:KQK96719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTILQHDVEVCCFLFIFSILSSLHFSKRLMFM >KQK96860 pep chromosome:Setaria_italica_v2.0:VII:16653915:16657541:-1 gene:SETIT_012240mg transcript:KQK96860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWSEEGFNEEEQPSLRRRTARRRSPPGPGSRASESRSPSPRRGGLAPAGSRSPSPRRTGIMATRLGSSVRTTRRSPPPRTPGADAPAGGAGGGGEDTGVEEVIAKMREGLLPAPAAARDVAETSAAGASGSGGGGGADEVEARVAEGEVGGFTGFSFQELEAPPGVDGAEVLDAFAGSEEARKAKAAAEFLEATMGANTGARTEAIKAELVANGRMLDLAGLERWMRRTEAISELEWFTGLCCDEESPPPRIELFECAFRALGNASAGELHRGAEARRRWVGSVGVPHFFVCPVSDKVMENPVVIASGKTVDRSALEEWRKEHQRICPVTGEVLSHTMFIPNILIKLCIERWRAANKIADVVAAADPPAISPEVEALFKQVTLMPHSPRSSREVRDALFLLQELLLSEERSVVHLIGSHTGTIAKLASVLPETCLDPDPELDDLIFGVMEKVASYGPNKAVFGDDRYAIPVLIARAFVGPVPMRARCAHILGLLADDDHYNKIKIGELGGLAPLVELLHVGDKGVKKTVARAIASLCEAQENRSRFQREGVVDAAISALRSDGLEVEAEGILLQASGSNHAMDEVILKLQAFQGDKICQKLAMRLWRTFVLTNPEDKHGVVPSMPASRESWEEPSTSDAERSSTSSEGSADEKALRKQIKEDVKIIVSWLQKRCYFPRTYRYRD >KQK98889 pep chromosome:Setaria_italica_v2.0:VII:29777076:29777546:-1 gene:SETIT_013042mg transcript:KQK98889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLGVGGSGQPSCTAASGWISGKSTSPAASTADGARRCPEQRMAGTNSI >KQK96403 pep chromosome:Setaria_italica_v2.0:VII:5782958:5785835:1 gene:SETIT_012936mg transcript:KQK96403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGDLPKFTVIIAQKNHHTKLFQADSPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFSADNLQKHICGYGFPGGDGSEGHEG >KQK96404 pep chromosome:Setaria_italica_v2.0:VII:5782958:5785806:1 gene:SETIT_012936mg transcript:KQK96404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQGDLPKFTVIIAQKNHHTKLFQADSPDNVPPGTVVDSGIVHPRQYDFYMCAHAGPIGTSRPTHYHVLLDEIGFSADNLQKHICGYGFPGGDGSEGHEG >KQK97005 pep chromosome:Setaria_italica_v2.0:VII:18150787:18156013:1 gene:SETIT_010101mg transcript:KQK97005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIASGLLRRRAGANLGLLRSYTHVRNYSSQLSALIPATSQCSKLTRRRYYMPNASPYQVWSRSFASDNEDKVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKIAIISKSAQSAETHVAPSEEATPKESSPPKVEEKPKVEEKAPKVEPPKTQAPKPAAPSKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYVDVSVAVGTSKGLVVPVIRDADTMNFADIEKGINNLAKKANEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGDILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >KQK97006 pep chromosome:Setaria_italica_v2.0:VII:18150787:18156013:1 gene:SETIT_010101mg transcript:KQK97006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIASGLLRRRAGANLGLLRSYTHVRNYSSQLSALIPATSQCSKLTRRRYYMPNASPYQVWSRSFASDNDKVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKIAIISKSAQSAETHVAPSEEATPKESSPPKVEEKPKVEEKAPKVEPPKTQAPKPAAPSKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYVDVSVAVGTSKGLVVPVIRDADTMNFADIEKGINNLAKKANEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGDILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >KQK97004 pep chromosome:Setaria_italica_v2.0:VII:18150787:18156013:1 gene:SETIT_010101mg transcript:KQK97004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRIASGLLRRRAGANLGLLRSYTHVRNYSSQLSALIPATSQCSKLTRRYYMPNASPYQVWSRSFASDNEDKVEAVVPFMGESVTDGTLANFLKKPGDRVEADEPIAQIETDKVTIDVASPEAGVIEKFIASEGDTVTPGTKIAIISKSAQSAETHVAPSEEATPKESSPPKVEEKPKVEEKAPKVEPPKTQAPKPAAPSKTSPSEPQLPPKERERRVPMPRLRKRIANRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDEFVTKHGVKLGLMSCFVKAAVSALQNQPIVNAVIDGDDIIYRDYVDVSVAVGTSKGLVVPVIRDADTMNFADIEKGINNLAKKANEGALSIDDMAGGTFTISNGGVYGSLISTPIINPPQSAILGMHSIVQRPVVVNGDILARPMMYLALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDI >KQK96213 pep chromosome:Setaria_italica_v2.0:VII:1830088:1830963:1 gene:SETIT_011044mg transcript:KQK96213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATDPSTTRSITAHDVPFFLPSPAPEIRPSNLSNVMFHPRTPTMTSRTAPAKNPTDAFWVVRRPAASLIVASRRASGGLDDAPVAPAATYTNRRSCAVWRDGDVEAHARLVLAVRPHRAGLDGGEAAGRGGVRGERDVPGAAEPVPVQERRVDVPEDDERHGGGPGQREAGVERRLALRHPAAVDDVAGAPDADPLGKEQEALGVVNAGVVLI >KQK97628 pep chromosome:Setaria_italica_v2.0:VII:22257687:22262731:-1 gene:SETIT_009689mg transcript:KQK97628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCPLSAAPSFPRAHAARFRSPASCSGHAATARSNAYVCGCGAPPAAAKGCAGLRSRWRNPVRAKVDEADKDAGAGLGLPTPGRRRRRLRLRPRLRLLWWRLRRLSPRDLAADAGAALRRAVRRVPPAAAAPVVLALLLFAARLALPKNVAKEVAYSDLVAGLREGAVAAAAFEEDSRRIYFSKRAEDGDDGDEASEAGETESAPEAAPKWPYYARRVPHDEGFLLGLMREGGVDYRSAPRPAGRLVVDMLSTLLTLWVSLLPMMWFLQRQLSGGGSADKRRKPRKQRVGFDDVQGVDEAKEELVEIVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDAALCRPGRFSRKVVVGVPDVEGRKKILAVHLRDIPLEEDPEIICDLVANLTPGLVGADLANIVNEAALLAARRGGNTVSREDIMDAIEREKYGVNGRQETNDSERQGLTKLFPWLPKPGNKPSSPDDFRGLMGYQTLS >KQK97629 pep chromosome:Setaria_italica_v2.0:VII:22258017:22262731:-1 gene:SETIT_009689mg transcript:KQK97629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLCPLSAAPSFPRAHAARFRSPASCSGHAATARSNAYVCGCGAPPAAAKGCAGLRSRWRNPVRAKVDEADKDAGAGLGLPTPGRRRRRLRLRPRLRLLWWRLRRLSPRDLAADAGAALRRAVRRVPPAAAAPVVLALLLFAARLALPKNVAKEVAYSDLVAGLREGAVAAAAFEEDSRRIYFSKRAEDGDDGDEASEAGETESAPEAAPKWPYYARRVPHDEGFLLGLMREGGVDYRSAPRPAGRLVVDMLSTLLTLWVSLLPMMWFLQRQLSGGGSADKRRKPRKQRVGFDDVQGVDEAKEELVEIVSCLHGSLNYKKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGIPFFSVSASEFVEVFVGRGAARVRDLFKEAKEAAPSIIFIDELDAVGGSRGRSFNDERDQTLNQLLTEMDGFDSDMKVIVMAATNRPKALDAALCRPGRFSRKVVVGVPDVEGRKKILAVHLRDIPLEEDPEIICDLVANLTPGLVGADLANIVNEAALLAARRGGNTVSREDIMDAIEREKYGVNGRQETNDSERQGLTKLFPWLPKPGNKPSSPDDFRGLMGYQTLS >KQK97561 pep chromosome:Setaria_italica_v2.0:VII:21900697:21902104:1 gene:SETIT_010094mg transcript:KQK97561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVHSSKAVRPAYGANGVPFPAADAVPLTVFDKASFDEYISGISFFRPPAPPNNAVLEAGLARALAEYREWAGRLGTNARGSRAIILCDAGARFIEATADVALDSVMPALLQPSSAVSGLHPAADEEELLMVQVTRFACGSLAVGHTMSHAVADGQAACNFLLAWGQATRGVPIDPAPVHDRASLFLPRVPPRVEFDHRGVEFKPPHGAKAARSRSNDADDVVVVHRAHFTRELLSELRSRASSARAARPYSTTVCLVAHLWRCVTRARGLDGGAVTRLRVAVNGRARMNGAPRIPEGYTGNVVLWAWPAATARELVNRPLRHAAELVSRAVARVDGGYFRSFVDFAGSGAVEEEGLVPAADAAEKVLSPDVEVDSLLHAAFCDLDLGGGRPFLFMPGYLPNEGSVFIVRDFSGDGGVSAYVPLFSRAMERFGRCCYSVATADARL >KQK97302 pep chromosome:Setaria_italica_v2.0:VII:20376428:20381842:1 gene:SETIT_010502mg transcript:KQK97302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADQINHARKQIRTRDASPSSSPTPMESLVSQARPAAVLWLAGFLQAARLHRVVSFCASSRALSIRIAQCFLLNGLIFLGSLLTLKSVVIPTLLCILPEQCNEMGGHHLCDHTAATAIYSFLRWGLVEIFYVFWFYPLYVFSFILSTLWYNDIAKHALDVVKSKSLDSTRALDDRNVTEPEDQPEGFDRVALGIGEQVYSILLLTIFFVEVSVIGYVPYFGKAMNFLLLSLIYAYYCFEYKWNFFAVSLNKRLEFFESNWAFFAGFGAPCVLPIFFFSPLTSYGLMAILYPLFVLTAAGTQAEQVIDELKPAHEGKLQRVPVFFVAKRLTTQVLQLFPAMQKEE >KQK97303 pep chromosome:Setaria_italica_v2.0:VII:20376428:20381842:1 gene:SETIT_010502mg transcript:KQK97303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIADQINHARKQIRTRDASPSSSPTPMESLVSQARPAAVLWLAGFLQAARLHRVVSFCASSRALSIRIAQCFLLNGLIFLGSLLTLKSVVIPTLLCILPEQCNEMGGHHLCDHTAATAIYSFLRWGLVEIFYVFWFYPLYVFSFILSTLWYNDIAKHALDVVKSKSLDSTRALDDRNVTEPEDQPEGFDRVALGIGEQVYSILLLTIFFVEVSVIGYVPYFGKAMNFLLLSLIYAYYCFEYKWNFFAVSLNKRLEFFESNWAFFAGFGAPCVLPIFFFSPLTSYGLMAILYPLS >KQK99017 pep chromosome:Setaria_italica_v2.0:VII:30497420:30498801:1 gene:SETIT_010295mg transcript:KQK99017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRSITGPQQPAAPPPPPSLLAGADHRGGSALPDGCSLPKTSSTSLTKSVTAVHDFRVTDYTLLDGMGVGRYVSSTTFAAGGRDWAVRFYPDGATAGCVGHVSAFLYYFNRQAAAAGVRARFTLNLLERDGRMSQATNPYMKHTFTPASDNWGFIKFIEKSKLQPGSPYLHKDNLMIRCVLTVVIDSRTVADEVNSVVVPPPNLHRDFGEMLKDGEGADVTFTVDGQLFRAHRCVLAYRSPVFRAELFGPLKEKATSCIRIDDMEPSIFEALLHFIYTDRLPDSCNDGRNAAMQHLLVAADRYGVERLRLMCESKLSEAIDVETVATTLALAEQHNCSQLRRACIGFMASPNMLGPIMETDGFNHLVASCPLVLKEILDKVSCIWSDNQHR >KQK96427 pep chromosome:Setaria_italica_v2.0:VII:6899657:6900359:1 gene:SETIT_011543mg transcript:KQK96427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAWLQKQLCILNESSLFIRTSKSKCLTILNLQTIVSCLLLAYKVLVLNELEVTLSKSESIYTNKFVRNVA >KQK99517 pep chromosome:Setaria_italica_v2.0:VII:33262512:33266200:1 gene:SETIT_010000mg transcript:KQK99517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSQNHIHGQSAANFCYQFGSDNPFLGMGVQQPFASFTSPFGATPSTNIPHMDWNPATMLDNLTFIEEKIRQVKDVIRTMVDDGGQRPGELAQQQQVVNADLTCLIVQLISTAGSLLPSLKNSTFLSHPQAGHMGIVNHVGSSSSFVPNVTTISEENKEEMCGPEDYEELFKGFTDGALEGGIEIGNVLVEEQDAKDGDEGGDVGMDGESLPPGSYELLQLEKDEILAPHTHFCSICGKGFKRDANLRMHMRGHGDEYKSPAALAKPPRDASAEHELVRRYSCPFVGCKRNKLHKNFQPLKTILCVKNHYKRSHCEKSYTCSRCHTKKFSVMADLKTHEKHCGRDKWLCSCGTSFTRKDKLFAHVALFQGHTPALPTEEPKTSSDQISRVGSHQEPAKLPSSMGSSFVWGTSSGNENALDIKGVDGCSDDFLSTANFGSFNFSFGPADGFTGEPSGSSFSMLPSEHFQSAQKKGKN >KQK99335 pep chromosome:Setaria_italica_v2.0:VII:32418408:32420622:-1 gene:SETIT_011019mg transcript:KQK99335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPARCYRQIKNKPYPKSRYCRGVPDPKIRIYDVGMKRKGVDEFPYCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVDIGQVLLSVRCKDSNAAHASEALRRAKFKFPGRQKIIESRKWGFTKFSRADYLRYKSEGRIMPDGVNAKLLGNHGRLEKRAPGKAFLEAVA >KQK99649 pep chromosome:Setaria_italica_v2.0:VII:34063740:34064231:-1 gene:SETIT_012731mg transcript:KQK99649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLLSRPPLPVPTHAAAGADGDLLELDVLWPSSASASSAIGLGLLAALPEDEGKKKKRAAGGGPARSAARPVPETAALAASGMARSAPVRIPSEPSRRGRWAHAGAGGWEDAGEAMVPPHEIVARRAAAHSSVLEGAGRTLKGRDLRRVRNAVLRRTGFLD >KQK98247 pep chromosome:Setaria_italica_v2.0:VII:25873501:25874283:1 gene:SETIT_011712mg transcript:KQK98247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRLPNILSRDLVGPDTKIVLANGLHFKAKWARRFDPSDTVPHDFFRRDGRPVRVPFLSDAGMQCAESFDDPCLGFKVIQCFYKMVGREGRLDPGAPCFCILIFLPHGRDGLADLLRPAVTQPDFVMRCAPRREQVVCPCMIPKFKFSSGLDVVSALCQLGLTAPFDEGVADLSGMVSNMPPEGLYVSAVRQTCAVEVDEDGTEAAAATYSASSPTYSPPENPPPPPMSFVADHPFMFAIVEYEKAEVLFLGHVMDPSQEN >KQK96988 pep chromosome:Setaria_italica_v2.0:VII:17996677:18003073:-1 gene:SETIT_009420mg transcript:KQK96988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRNLIVDSDEDEDEDDGMGTVTPASASASAAASASASVASGGGSGSVGRPSPQNPRPLPVPFPSLSQSFDPVVISDDDDEEEVDEIVDSDGDSPIVDAPEVASPPFPPAPTSAPPPTTTPFRTPNPTPPPARTPTPTPPPPAPAPPPTTTPFRTPTPTLPPARTPTPTPLSAAPPHPTPPPSALSGRLRPVYELLRGLGLRLRPEWLESCAAGIPGFDGLGGAEAQARRCFEQFLFADMNACGAGVLPEGVGGMHAAVLDGPFVLQVDEIVNMSAPLKERYHDAHAGPKRCLKLSMTDGMQRIFGMEYRPIKDLAVLAPAGLKIVIRNVHIRRGLLMLVPEVIEILGAVVDELEAARGRLVSEVNKPPRGKRKQGGLPLSSRATLAAWPCSTNVTDGGEQGISMPRAVNSSRPTGLGTIWPCSTNVTNGGEQGISIPRAVNSSHPTGLGNTFQGRSTETLVEEHVSPPVVVNTVQEQIQYVQEINMEDPSTFHTMKNTETSAHTTHEYDHTHIIERSTQTVVEQCVDPPIRANNAHEQIQHVPEITKQEQSTAFGTTEGTLSVSTPFGCDSQRGSHVIEGTTANDVEAARSPNVDRINQMEHSFILNGENEKPYTYICSMFSDWGTQQDTKAYIQGKIKGLITSVKRFQYRRRTTYELYVYIDDGSFISEAFVDHNIVENMLGLSPGEVTAALAGEFEFASASEVKETLRGFQSFLINFEGMMLIEFNKDSSIPIIRELDEGCSSSNAWLLLRRLKMFSSQRRIRSLDAMDTTP >KQK97728 pep chromosome:Setaria_italica_v2.0:VII:22919491:22919912:1 gene:SETIT_012829mg transcript:KQK97728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITSKQTKSPSLGYFDLFLQIEASMLLILVRNYLLWTRSAI >KQK98437 pep chromosome:Setaria_italica_v2.0:VII:27009939:27012030:-1 gene:SETIT_009766mg transcript:KQK98437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGAWAVVAAAVAVYLAWFWRMSRGLSGPRVWPLVGSLPGLVRHAEDMHEWIAANLRRAGGTYQTCIFAVPGVARRGGLVTVTCDPRNLEHVLKARFDNYPKGPFWHGVFRDLLGDGIFNSDGETWVAQRKTAALEFTTRTLRTAMSRWVSRSIHGRLLPILGDATADGRSVDLQDLLLRLTFDNICGLAFGKDPETLARGLPENDFASAFDRATEATLNRFIFPECVWRCKKWLGLGMETTLARSVHHVDRYLSAVIKARKLELTGGKKGGDAPAPAATPHDDLLSRFMRKGTYSDESLQHVALNFILAGRDTSSVALSWFFWLVSTHPAVERKIVRELCAVLAASRGADDPALWLAAPFDFEELDRLVYLKAALSETLRLYPSVPEDSKHVVADDVLPDGTFVPAGSSITYSIYSAGRMKTVWGEDCLEFRPERWLSADGARFEPHDSYRFVAFNAGPRICLGKDLAYLQMKNIAGSVLLRHRLAVAPGHRVEQKMSLTLFMKHGLRMEVRPRDLGPVVDELRAAGEYDAAARATAACA >KQK99805 pep chromosome:Setaria_italica_v2.0:VII:34844463:34847510:1 gene:SETIT_009623mg transcript:KQK99805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDSTPGAATRTPASSLAKEMDTEKLRFIEEVTTNADAVQERVLGEILARNAETEYLGNKCDLAGATDRATFRAKVPMVEYEDLLPYIRRIANGDRSPILTGPGHPVTEFFTSSGTSGGERKLIPTVEDEFHRRMMLGGLIKPVIIQYVSGFDEGCGLYFHFAKSETTTPGGLPARTVLTRLFKSEAFQKLPPGALTSPVAAILCPDAFQSMYAQVLCGLCQRHRVVRVGAAFASGVLRAVRFFQQNWEQLAADIEAGELTDRVTDPSVREAVAGILRPDPELAQFVRAEGSGSNGDSGAGIIARIWPNTKYLDTVVTGSMAQYVPILNHYSGGLPIISKMYVSSEASVGINLSPMCDPSEVSYTIMPTMAYFEFLPVDAAAGDAPAASHLLVELAGVEAGREYELVVTTYAGLYRYRVGDVLRVTGFHNAAPRVRFVRRRNVVLSIESDKTDEAELQRAGERASALLRRHGGGAVLDYTSRACAETIPGHYVIYWELMVVGDGGESVDDDGDVLGRCCLEMEEAMNSVYRQSRVADGSIGPLEIRVVRSGTFEELADYAVSHGASIGQYKVPRRVTAPGVIQLLDSRVISSHFSPALPHWAPAHTLNSTGDRQKCSASA >KQK99357 pep chromosome:Setaria_italica_v2.0:VII:32541511:32542803:-1 gene:SETIT_012136mg transcript:KQK99357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHAIDIHASSKKPALESKQQMIREFWRKKQEEIEAIEDFSKHAIPMRRLKKVISANKGKIMMRFDTPSFLTKVCEIFVQELSFRAWMCAHSQDRGVILDSDIADAVASIEPYDFFNNVLPTDLEEYNSSLRSKPIKKHHHLLIDKPSTPTHLPSDQYQMPQFIPQSVGHSTCVHISPPLSPKTGCRVPLSLTCVPQEPYPLMPTTITPAPIVSGRMVFLRNNISNNVDILGVTTPLPVPPSAQPNIPNNRYISTIASTSSDCVGYANTSNVVTQDGGSSALQCSSPSPIANNSGPIATCLNHMKPEVAQIKNDIHAHGTDGIDPEATTGVNDGQNQHGSLDAEVSTIANVSGYSSNINWDEVDMADDSLLIEFWEDIFMNKDPAPSPTATSTTDHVPFPCDMPKLEGFGHELYLLDDIVSSASTSRRLS >KQK98164 pep chromosome:Setaria_italica_v2.0:VII:25419421:25419905:1 gene:SETIT_012837mg transcript:KQK98164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSEIYSPNSHLQTCTWRVVHRRNILSKYPTKY >KQK96182 pep chromosome:Setaria_italica_v2.0:VII:1261846:1262581:-1 gene:SETIT_011727mg transcript:KQK96182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDSNPRLHSGSRIEPELDELIEHLKIREDEYQGVVVLEEDLKELKAEARWTILAKVLSPIHLVMHAAFLANMKYPWSLSKYVSFKATHENLFVFQFSCLDDWCKVLDDGPCFFSMGNVALLEETLTLWVRAYDLPSSFRTKNVGYQIGDKIGQFIMMDLDDETENGKRLLFCVKYEKFPKFCVVCGLLGYVDLEWGGVYDKVA >KQK96092 pep chromosome:Setaria_italica_v2.0:VII:3595:3855:1 gene:SETIT_012117mg transcript:KQK96092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSVVGCFGSLDRRATLAYELSWTCDCLEAPRPSAADSRRQCPFSCVGIVLAPMTSACVLLPVLREVAFATGCLRCAHPLGEALRL >KQK97646 pep chromosome:Setaria_italica_v2.0:VII:22378069:22378923:-1 gene:SETIT_011388mg transcript:KQK97646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVIRVSQLASEKTRSKAMALVAKADGVSSMGVTGDGKDQLEVVGDGVDTVCLVLCLRKKIGHAEILKVEEVKPAEKKPEEKKPDEKKPEPLPYWWYHNYYHCHPQPPCW >KQK98603 pep chromosome:Setaria_italica_v2.0:VII:28213386:28213808:-1 gene:SETIT_012897mg transcript:KQK98603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPQDILQYCIRVCLTSVCTMEDITTSSQMMKLYANHWLDCRFRICTLVPACSVLQRFFCVEFWPCKSENSVIHGPIFIIPQESLSSILVQQLHVQNLLTLFSPCNDH >KQK98604 pep chromosome:Setaria_italica_v2.0:VII:28213321:28215889:-1 gene:SETIT_012897mg transcript:KQK98604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPQDILQYCIRVCLTSVCTMEDITTSSQMMKLYANHWLDCRFRICTLVPACSVLQRFFCVEFWPCKSENSVIHGPIFIIPQESLSSILVQQLHVQNLLTLFSPCNDH >KQK98601 pep chromosome:Setaria_italica_v2.0:VII:28213321:28215280:-1 gene:SETIT_012897mg transcript:KQK98601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPQDILQYCIRVCLTSVCTMEDITTSSQMMKLYANHWLDCRFRICTLVPACSVLQRFFCVEFWPCKSENSVIHGPIFIIPQESLSSILVQQLHVQNLLTLFSPCNDH >KQK98605 pep chromosome:Setaria_italica_v2.0:VII:28213319:28214429:-1 gene:SETIT_012897mg transcript:KQK98605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPQDILQYCIRVCLTSVCTMEDITTSSQMMKLYANHWLDCRFRICTLVPACSVLQRFFCVEFWPCKSENSVIHGPIFIIPQESLSSILVQQLHVQNLLTLFSPCNDH >KQK98602 pep chromosome:Setaria_italica_v2.0:VII:28213386:28213808:-1 gene:SETIT_012897mg transcript:KQK98602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMWPQDILQYCIRVCLTSVCTMEDITTSSQMMKLYANHWLDCRFRICTLVPACSVLQRFFCVEFWPCKSENSVIHGPIFIIPQESLSSILVQQLHVQNLLTLFSPCNDH >KQK99524 pep chromosome:Setaria_italica_v2.0:VII:33300733:33301631:1 gene:SETIT_012368mg transcript:KQK99524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMDGEDGPDPSSARFPLPPRSYPEMILEAIDALRDDNASNEWAISGYIRGRYGAGLPRKHLSVVRSHLARMVCAGEILLDKNKNNCYRRHPDPADPVKRGRRRRRRKPKGPGSEVIIGAMVTPSEAFVVGPVKVGRRGKAPVAVGTRRTVPLPTYPESFIKYSMAVIEQMIVDAIQALGNENGSDSAAIAGYIEAKYGAKLTRRHRHATFVSGELSLMKATGEVLSVSPTH >KQK97390 pep chromosome:Setaria_italica_v2.0:VII:20978676:20980989:1 gene:SETIT_012297mg transcript:KQK97390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAEPASLLECYASGEDDSPDEARVSLETAASSAESAAAPYVGQRFPTHDAAYVFYSGFARRCGFSIRRHRTEGKDGVGRGLTRRYFVCHRAGSAPAKPLAGAPRSQRNRSSSRCGCQAYMRIGRGEAAAGAPPEWRVTGFSDHHNHALLGQDKVRLLPAYRVISGADRDRILMFAKAGISVQQMMRIMELEKCVEPGSLPFTEKDVRNLMQSFRKVDHEEDESVDLLRMCKDFKEKDPSLMFEFTKDVNNRLQNIAWTYSASVQAYEMFGDAVVFDTTHRLSALDMALGIWVGLNNYGMPCFFACVLLREVNQESFAWALQVFLNFMNRKAPQMILTDQNMCLKEAIEKEFPSTKHALCIWLIAARFPSWLNVNLGERYNDWENEFSRLCNMENTVAFDIGWNDMVNCYGLHGNRHIASLFASRNLWALPYLRGHFSAGLTASPSVSKSINAFIQRFLSIQTRLANFIEQVSVGVNYKDQVGEQETMQQNLQNISLKTASPIEGHAAALLTPYAFSKLQDELVEAAHYASFHLEENIFLVRHHTEAVGGYSVTWNQREELISCSCQMFECLGILCRHALRVLSTLNYLQVPDTYLPVRWRRIQTPPSKSLNGAPPQSQRVASGRVGALQSLVAALVSEAAKSNERMDLATHEASVLLSRIKQQPVSMHVS >KQK99964 pep chromosome:Setaria_italica_v2.0:VII:35579924:35580925:1 gene:SETIT_011403mg transcript:KQK99964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKRMSSSSSVQSWVEEHKLSTVAGVWAAAVGASVAYSRRRATSLRLIHARIHAQALTLAVLGGAAAFVHYRNKKGKNDDADKLDLDFYSQLPPATDADGNENERWSW >KQK97265 pep chromosome:Setaria_italica_v2.0:VII:20099457:20102538:-1 gene:SETIT_010874mg transcript:KQK97265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYQRVEKPREESSAIGANEIRITAQGRPRNYITYALALLQDNATDEIVIKAMGRAINKTVVIVELLKRRVAGLHQNTSIESIDITDTWEPLEEGLVTLETTRHVSLITIKLSKKELDTSSPGYQPPIPADQVRSAAEFDQDAEAIPSGRGRGRGRRGRGRGRGFSNGGVDYDDEFGEPEEAPRGYRGRGGRGRGRRGSFGPGRGYGGDGYPMEEAGGYDDGYNAPPMQGYEGGRGRGRGRGRGRGGRGRGRGPPPQE >KQK99500 pep chromosome:Setaria_italica_v2.0:VII:33157830:33158821:1 gene:SETIT_011045mg transcript:KQK99500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRAEHSDHRSVLDVDVVLDGGVAGLEVEGVRARLRVPSRHPEHAGAPDDGHLPDGVEHGVPAHDGDGAAVVFAGLEDEVHVHHQGHLVLAGGDVGALRAAEGLGGLEVGALGERVVDDHDGAEARLGSVGDGGVRHLHRRRARPAHHVVPVELDVRLLLAAARRRRRGGGGGQEERQEEEGNGGGHGARLAYVAGGCGPCGLCGVAMEFTGA >KQK99617 pep chromosome:Setaria_italica_v2.0:VII:33824963:33829314:1 gene:SETIT_010044mg transcript:KQK99617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGGAAGEARARALLQRHQPFAPPPGEYHNFGAPADAGEEVVEAVVLRTPLKRKHNREGNEAAESNDWMMSPGYANAGSSPVPTPPSGKGLKPSAKPKATKGQKPCPQTPLNFGSPGNPSTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALTLQEQNLDERINEMRDRLRELTEDENNQKWLYVTDDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPTPTISMDSLENPRTPLAAECSKSAGIEPNIQEGFTLPPDAPSSSQDNGGMMKIVPSELDTDADYWLLSDTGVSITDMWKTARILLTQMWSGTGLRNSTRRISWKSARPGSRINRPTSWTLVYKLKTAESVQGTPSIF >KQK99618 pep chromosome:Setaria_italica_v2.0:VII:33824963:33829314:1 gene:SETIT_010044mg transcript:KQK99618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGGAAGEARARALLQRHQPFAPPPGEYHNFGAPADAGEEVVEAVVLRTPLKRKHNREGNEAAESNDWMMSPGYANAGSSPVPTPPSGKGLKPSAKPKATKGQKPCPQTPLNFGSPGNPSTPVGGCRYDSSLGLLTKKFLNLLKGAPGGIVDLNNAAETLEVQKRRIYDITNVLEGIGLIEKKLKNNIRWKGIDDSRPGEVSDDMSILQADIEALTLQEQNLDERINEMRDRLRELTEDENNQKWLYVTDDDIKSLPCFQNQTLIAIKAPHGTTLEVPDPDEVNDYPQRRYRIVLRSTMGPIDVYLVSQFEEMSGMETPPRPTPTISMDSLENPRTPLAAECSKSAGIEPNIQEGFTLPPDAPSSSQDNGGMMKIVPSELDTDADYWLLSDTGVSITDMWKTAPDVEWDGIEKFNAEDFLEVSTPRQQDKPADVVDPRV >KQK99747 pep chromosome:Setaria_italica_v2.0:VII:34547838:34549752:-1 gene:SETIT_012500mg transcript:KQK99747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLFVLIHFAAAAAAESSHSPATALFVLGDSTVSCASSILPLNLTAPSLSSAGPCLFPSGRRLLPDLLAAKMGLPPPPLIYTLNGTATAAARGVNFGGQYGDRGIFRMGAVGQQLRLATETLQLLQLETGTPQDAPSAAAAAGAVFVLSFGTDAYARLLARGPAEADAAAPKHGRRGLGRLLADRIARAVAELYEAEVRRVAVIGVAPLGCAPRVMWEAGGGRGCVEEANELIEGYNARLAARLDDLRPQLPGADVVFCDVYKGMMEIISNPRRYGLDETWEACCGVGPFKATVGCLSKEMACGAPERRVWWDLYTPTDAVAALVANWSWSSPPPATGSGSGDSDVMTMMSICSPMSLQQLAAGS >KQK97506 pep chromosome:Setaria_italica_v2.0:VII:21542699:21545197:-1 gene:SETIT_012310mg transcript:KQK97506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGDSHGDHLPETHSPPKSTIPANNHTKSTTDQDAPGDDLQIHPTFVAEEPRRTEIESCQEQARYQGPVEDTGVQELTPAAARHQDEDAPSQDHQARPEDSILPDLAQDLRLQADITLTRPGARLDGPDEDPLVKDLLQRLASREIPQNPPADVTTAQTLQGTRVMGREMKQSISSEKGKGQAGQATRTADRSYLQAAKGESTPMAAYPGDPRARPDQAFCAVTATGSIKRRREALVGRSAVCWLNGNSHDTGTHHVVEALEEQLHINCHEVRVVKHFPEQYLVFFSDCRAYNRVLHHRGIRNRGRVFNFEPWSEGRNAVESKLEYRVRLRIEGMPVHAWSEAVAAQVIGQHCAIHFVEEQSRRQERTRTYDLWAWSSNPSKIPKKVLLTVTDPDREQQPIDVPRNLVEMHLDPPRGFKGAYNYKLHIHLDVVEDLSFLHGRGGGGGHYRKPRREFLWNYGAADSLGERRSGQGHDDRTGRDYRPRHDRDDYDDNFQRGVRRHRSNSAWGRMTRCRGTVDDCYSSNRYRDSNHDYTGHRSRVGPPDSSNLTWHKKKGPLKSVTFANPMDRAEEAMERSDPMREEMLITHCYAGFPSKERRILDMLEAPGWTPVPSPVGGINTDSSTLSFKPFE >KQK97587 pep chromosome:Setaria_italica_v2.0:VII:22038589:22041583:-1 gene:SETIT_009339mg transcript:KQK97587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAMPSPSRRLLLCLLLIASFAGLLFLITSNGERTKEAGNGEAKFRVLRGLNTLGLKQKQRHGHGVSPAPAPARAHLPLLHKDARLPVPGKVAHEHERGNATAPRQSPTRHGGGGDGERGSKKKSMQLVVVAAAAALSGAALVLLAALVVFLTCRRFQGKRGGADLNAGTNKVSFEPGPGMFYLDAIKPYLDDAGREGGGKAAPGMAGPKEEEPKCDDEEGGGACSDDGAGSVHSSCCFHSSHFSYSELTKSGQADGVSPSPSVRSKRWGSAPATPLDKSKAARPYSPLGPRTPSSEDRGRRAQSPSSSASVLTSQSLNDHELRGTAQSVRSLRFQSSSACHAKEAEAVADTMSSDAASSKTVPPPPPPPPPPVMVKQQQNVHPSCGGPAVPPPPPPPPPPLIVPQRQNVQTSTGGPAVAPPPPPPPPLLVPQRQNGQRSGGPALPAPPVLFRQGAAVGKNGAALPKLKPLHWDKVRAAPNRRMVWDRIRSSSFELDEQMIESLFGYNAGARCSAKHEEAQSRSPSLGHHVLDPKRLQNITILMKAVNASAEQIYAALLQGNGLAVQQLEALIKMAPTKDEVEKLEGYDGDVGSLVAAERLVKVVLTIPCAFARVEAMLYRETFADEVSHIRKSFAMLEDACRELMSSKLFLKLLEAVLKTGNRMNVGTARGGAMAFKLDTLLKLADVKGTDGKTTLLHFVVQEMIRSQKPPARTAEAPDIVTGLAAELTNVRKTATVDLDVLTTSVSSLSHGLSRIRALVGTDDLAGDERGRCFVAFMAPFVAQAEEVIQELEDGERRVLAHVRDITEYYHGDVGKEEASPLRIFVIVRDFLAMLERVCKEVRGANRSCHGSNGALSNV >KQK99124 pep chromosome:Setaria_italica_v2.0:VII:31072390:31077821:-1 gene:SETIT_009916mg transcript:KQK99124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPNTEVFQASDKEAHTPKDLANEEAGAPEIPIDRDQLVPEEEKKSETLRQETHPVPDEHGSHTELPSQDSPAVEINPVVVDDKETGEVPHEQKTDQTASTVTPVQSSPTTVSPYNDPPTDVHAPKDLSGADVAEQKSLAETYLLQDEPDVSKDATAKETSRDEALPKKPSDDGKVVLDIIEAIHAAEKKQADADAYMYSEEKRKLKEKYEKELKDTRARELMYAEEAAILDKELKKEKLKNAAAIRELQEKAEQKLRDELQRKEEETRQQIEKAQELAKAEMAAAVAKEKATQIEQIAEANLNIDALCMAFYARSEEARQSHSVHKLALGTLALEHALSSGSPIRSEVELLHKSVEGIDKDSLLELALSSLPEDVLDYGSDTRMELKQKFNSLKETIRHFSLIPEGGGGILTHALARVASSIKIKEDSSGDGIESLISRVERLIVDGDLSTAADALEGGLQGSEAAEIATEWVKQARKRAIAEQTLALLHACASSTTFS >KQK99666 pep chromosome:Setaria_italica_v2.0:VII:34137189:34138132:1 gene:SETIT_011353mg transcript:KQK99666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMRKMQAVFALAMVFAAAALVASAAAAITCGQVASSLAPCIPYATGNANVMPSGCCGGVRSLNNAARTSADRQAACRCLKSLAGTIKKLNMGTVAGIPGKCGVSVPFRISMSTDCNN >KQK99667 pep chromosome:Setaria_italica_v2.0:VII:34137189:34138132:1 gene:SETIT_011353mg transcript:KQK99667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMRKMQAVFALAMVFAAAALVASAAAAITCGQVASSLAPCIPYATGNANVMPSGCCGGVRSLNNAARTSADRQAACRCLKSLAGTIKKLNMGTVAGIPGKCGVSVPFRISMSTDCNKVS >KQK96110 pep chromosome:Setaria_italica_v2.0:VII:455363:456247:-1 gene:SETIT_011779mg transcript:KQK96110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSDLDFSPSLEIERIVRDRFASMVSFHPQSSSPEFFLIALFGRSALRLNVDSVSLILQSCLGGKAKDFIVQFMSESMYRFSVSSKSVGFLVYKLQFIKCNLFAIFFALWGNRGPNSLREYDHWLQECVEEWTYVGSKKSKTSNVQTARSPPMYRCKSYAQAARVAPKSSFTTSKSMKWPLVFSRISFSSYGDALRAAGNGAPPGRKSIFDRLSSLPRSQEEKGSKVQNSNAPRDHRDPQLSCARCLSLGHLAQNYARDIHVDISFLPESTAVDKVLIADEGVRKAQLIKPR >KQK96838 pep chromosome:Setaria_italica_v2.0:VII:16260697:16265953:1 gene:SETIT_009539mg transcript:KQK96838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLVPKLAQVLWLASALAAIHPVVGALPQPSSNCQRRCGQLEIPYPFGIGSGDSPDHCAMPGFYLNCSDFRTFLGNVEVLNISIQNSTARMRVTMASSCYNTSTKDMDYDARALNLTGTPYRLSDTANKFTVVGCRTLAYIADQDNVGKYASGCVSICRRGDVSILTDGSCSGIGCCQTAIPKGLQYYKVWFARRFNTSQIYNISRCSYAALVEASNFTFSTRYATSSAFYDTYGRKPPLVVDWAIGNGTCEEARNKPGSYACVSSNSQCFNSTNGQGYVCNCSKGFQGNPYLVDGCQEWIKHKRRIIRHEYLKKMNECFQQNGGQLLMDMMKVESNNLFQLYDREEIEVATNNFDNRSIIGEGGQGTVYIGQNLDAQNNPVAIKICKGFDESRRREFGQELLILSRVKHENIVQLLGCSLQFEAPVLVYEYVPNQTLHYLIHRQDDASIRTLEIRLKIATEIATALAYLHSLSHPIFHGDIKSVNILIGHNLSAKLSDFGCSMIRSADDNVQVVKGTMGYLDPEYLLNFELTDKSDVYSFGVVLLELLTRRTALSETKESLVSVFTEAMKEGKLVELIDTELANQENMDLLHQMAALARECLAMTGQHRPMMSQVAEELQRLAGPVPQRTRLFHGVNALMLQGRSFNNAAGDYTTEESTDYYNLQEKASMSTEFAR >KQK96926 pep chromosome:Setaria_italica_v2.0:VII:17501690:17502207:1 gene:SETIT_012972mg transcript:KQK96926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMPSVPALLLVAVILVAAVAVPAAGAGYINPLNANRPTCPPRGSCAAPGAPYTDRGCHRIYHDPEC >KQK98615 pep chromosome:Setaria_italica_v2.0:VII:28265954:28279846:-1 gene:SETIT_009301mg transcript:KQK98615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGAADGDQRWLVECLTATLDTARDVRAYAEESLRQASLLPGYGAALTKVTINKEIPFGLPFVACWSFTTCYLAAVLLKQFIKQHWQEDEENFVPPVVSASEKVVIRQLLLTSLDDSHGKIRTAISMAVAAIGQQDWPEDWPELLPLLLNLITDQNNGNGVRGALRCLALLSDDLDDTCVPKLVPELFPSLYRIISSPHRDTASLMTSMLDPLIEQFSIILNSPLQSQNPDDWSMQMEVLKCLLQLVQNFPRLPEAKISAILPSLWQTFISSFKIYHLSSIQGSEDLDSVDYDSDGSERSLESFEIQLFELWTTIVGNSRLAKVIGGNIKELAYYTIAFQQITEEQVQSWSRDANQYVADEDDVTYSCRVSGSLLLEEIVTAFEDYGINSILEASKMRFHESQELKQAGSADWWRLHEASLFALGSLSEQLCEAQDSGFAKYNVRDLLEQMLTDIMVTGVHEYPFLHARAFSIVAKFSSVISKEVSEQYLCYAARAIASDVPPPVKVGACRALAQLLPESNQDLIQPNVMGILSSLVDLLRQASDETLHLVLETLQSAIKSGGEQSTLIEPIISPIILDVWAQHIADPFISIDAIEVLEAIKNAPGCLQPLVSRILPTIGSILGKPKIQPDGLVAGSLDLLAMILKNAPTVVVKAVFDTCFVSTVQIVLQSDDHGEMQNATECLAAFISGGRQELLVWGGEQGHTLKMLLDAASRLLDPMLESSVSLFVGSFVLQLILQMPSHLSAHIPDLIAAIGAYQIKVTTTALALLISTRHPELSKVEVQGHLIKIFSLLADTLAEIQEQIGGGSDDDCEEDSDWEEVHNGDTSIPDDIIYSASVPSNANPSVEHLNAMAKVFDEDEDDSYDDDLTKNDPVNEVKLADFLTNIFTNLWENDRPLFEYLCQGLSDSQRSAVEKVLRK >KQK96603 pep chromosome:Setaria_italica_v2.0:VII:12817641:12822815:1 gene:SETIT_010302mg transcript:KQK96603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTPPSVQPAPSVQPVPSSAGQSTRIDVREIKSKIFKRIGPERARKYFQHLERFLSSRLSKNEFEKLCLVALGHENLPLHNHLIRSILHNASRACGPPVINDPKLVRGATPSGHAFVPPVWDNGSALNQNVKENKPSSRRENALTHKSSLNHCATIQENGVHHLSDLKRCTQVQKGEHVEPLIKRPRLEKEPFSLHSLHSNGSALPSGENLGREIIHQSQGPVQAPLGIQLRPGSFAGFQKPSALASISSKDTSDTCIEFGELCDTLSVKKRMDKIAESEGLEGVSIECANLLNNGIDVFIKQLIGPCVELVTARSQLGKLRNEALKQQLRRKLINGVSLQNHIPGQGGIIPPETNSISMQDLKAVMELNPCLLGVNASLLLEKINSYD >KQK96602 pep chromosome:Setaria_italica_v2.0:VII:12817641:12822334:1 gene:SETIT_010302mg transcript:KQK96602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSTPPSVQPAPSVQPVPSSAGQSTRIDVREIKSKIFKRIGPERARKYFQHLERFLSSRLSKNEFEKLCLVALGHENLPLHNHLIRSILHNASRACGPPVINDPKLVRGATPSGHAFVPPVWDNGSALNQNVKENKPSSRRENALTHKSSLNHCATIQENGVHHLSDLKRCTQVQKGEHVEPLIKRPRLEKEPFSLHSLHSNGSALPSGENLGREIIHQSQGPVQAPLGIQLRPGSFAGFQKPSALASISSKDTSDTCIEFGELCDTLSVKKRMDKIAESEGLEGVSIECANLLNNGIDVFIKQLIGPCVELVTARSQLGKLRNEALKQQLRRKLINGVSLQNHIPGQGGIIPPETNSISMQDLKAVMELNPCLLGVNASLLLEKINSYD >KQK99685 pep chromosome:Setaria_italica_v2.0:VII:34212080:34213911:1 gene:SETIT_012173mg transcript:KQK99685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEHFVLVHGEGHGAWCWFKLRWLLEGSGYRVTCIDLAGGGVDPTDPNTVWSFKQYDKPLIDLISTLPEGEKVILVGHGAGGLSVIHAMHEFVDRISQAFFVAATMLPFGFQTDEDKKDGLPSLPENEIELTLSAAADDPPTSVALRPEFQRDRLSQQSPEEESVLASMLMRPWPATAISSASFEGDDERLNRVKRIFIKTERDHMLDPEQQDSMIKKWPPSEVLVIDTDHSPFFSAPEQLFNLIVKSL >KQK98665 pep chromosome:Setaria_italica_v2.0:VII:28540150:28543352:-1 gene:SETIT_011982mg transcript:KQK98665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFVMGDHARLVASMRLAMELAASSRLFAKLFDLFASDALARNLVTLNWSVEQLGKIVFLGHSFSAMVKMLELSMSKQEKFGVSEQREKVRRVLAVQDYVYEIELCAGIDGLLDSPLWEDDNSDSEECVCMYCIARRERCDMISALPNTFSVHLFHLDPEIDMEHLVPGNSTTVWSTVNVQMNYDAQLAGSSSPYTKFIGQLKENPSIGDCISSMLGAHECMELVIYGIGSFEFDVKSQYQLAFALLLKEDEVFPIGDIEIYDPALSLADVKACLDLGPTIFHVPGLKLGGNLLESNFSPKQKMILVSYRFKDSGKLISSAIENWNCGSTSIRDSLTLERDRFVLIGVSELKLEFLETDDDMDIHSKLPSIHVASLRVQLEERISRPFKDQSGYEDDDPPFWGSVFRHRLPAKNRTTWSPPPEGWIKLNFHGIGCSKRHVLSYYAGPVGDVDQIVASAMALQVGLQNMIELHEPVYKLNIEGDDLKVIRCCNGISSPPKRAHDSFSYIYPNMYLRPTKKLSPDKLPEECNNGKDDNDDSKDDDKNDRPMDRRG >KQK96325 pep chromosome:Setaria_italica_v2.0:VII:3663749:3665017:-1 gene:SETIT_011835mg transcript:KQK96325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANTRSHSGNILDRLPPELLAEIHGRLGLVDCFSFLVACGASSRRHLLLLKPEPPCLLLPGSTPGMSTLFSLSDRGDATAPAAPAPDPSTVVLGSSAGWLVTAGAREQLMMTNPVTGDQVDLPAITTIPFIRRKESTHSFLVDVEPFLQMRRFSGGSPLIRPKATASSLPAEQFRSSFYHKVVLSGSPRSGEGYVAMLILNRQYGGAPAFATAEHSAWRLAPFHDTVEDAIYHGGRFYSVTYSSVVESWHRHGDTGEFTSKTVAPRLACQDQYHYYKRHRKYLAAAPDGRLMAVHKHQRSCVFTVQALDEERGQWKETKDIGDAALFVGVNSSMCVPAGEHHPGIVRAGCVYFTNDQLDQAYLDWNLLRRYGSANSGYGVELRDRDVGVLSLKDGKVEKLVDGLGRRHLFWPLPAWFTPSIS >KQK96251 pep chromosome:Setaria_italica_v2.0:VII:2528746:2531350:-1 gene:SETIT_011421mg transcript:KQK96251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLSTSLLQGAAASRAWMHVDLLWHLSTSSTARGFFRRCLCSILWLRSLVLLVGSPCCSTRLQVNKAAVSPFGPCMLLPSSFTVPRLKTQLLPNLKPLAAVV >KQK97042 pep chromosome:Setaria_italica_v2.0:VII:18456313:18457194:-1 gene:SETIT_011199mg transcript:KQK97042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRILPAVTVAVLFYGVVAVATNAPDFVIQGRVYCDTCRAGFQTNVTEYIKGAKVRLECKHFGTGAVERAIDGVTDESGFYTIKLKGGHEEDICEVILIESPRKDCAEVPAHSDRASVLLAKDAGISDDMRFPNPLGYFKDVPLPVCGAVLKEYLLDDQDE >KQK98830 pep chromosome:Setaria_italica_v2.0:VII:29477988:29481482:1 gene:SETIT_009739mg transcript:KQK98830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSESQIVVEKNPSTSVDAKEQIITLVSSKDKEVSTTIVRGASSLESPKGAQEEASFMGKGGEQQFGYQPNVYGSQPQTLFSGGYLNHLGQWEEYPYVASVERLDSAYPVMYGAYSPLSTFGDSQSYFPFLYPMSSPYYQPAASPSMGYSSSATGISQFDPMHQYYLPDALYYSLTPGFHQPFGSFDAVPMQSSGVSEVFGQGTAPLSSGMHQESMDNSGSYTAFQQGGKFGGSTPCWRASSRFGTFNKGFKHEKGSVDFLNEQSRGPRAAKTKKEVESSSAEDKNKKTLLTVDPEKYNHPDFATEYKDAKFFVIKSYTEDHIHKSIKYNVWASTASGNRKLNAAYREAKEREDYCPIFLFFSVNGSGQFCGVAEMIGPVDFDKSVDYWQNDRWSGQFPVKWHTVKDVPNNLVRHIILENNENKRVTNSRDTQEVKLEQGVQMLAIFKNHGAETTILEDFDFYEQREKAMLDDRQQWKVQCAEAKAQKLVKTSAAVGIVTQISDTIAQAVQLEETKDREIRLNVGDTATAENASAAPVKPEEAMPNTAESGTKESG >KQK96880 pep chromosome:Setaria_italica_v2.0:VII:16823738:16826607:1 gene:SETIT_011053mg transcript:KQK96880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSDQISTSRKRSIHERLDGDLPAGAGAGGRARHTASKRQRQIDEKWKHDLYREDDEPASKSIDPRDLRLKLQRRSSQLGFTSTKSSGVRDLREKLSGTMHPQPSNADPPKPKPVSEVVKISRREAADEMPARQSKKASKQTSSKKTSQPKAESPLDIFLSSLGLEKYSITFQAEEVWLNFGTAKIFHVLYDVFFC >KQK96881 pep chromosome:Setaria_italica_v2.0:VII:16823572:16827432:1 gene:SETIT_011053mg transcript:KQK96881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSDQISTSRKRSIHERLDGDLPAGAGAGGRARHTASKRQRQIDEKWKHDLYREDDEPASKSIDPRDLRLKLQRRSSQLGFTSTKSSGVRDLREKLSGTMHPQPSNADPPKPKPVSEVVKISRREAADEMPARQSKKASKQTSSKKTSQPKAESPLDIFLSSLGLEKYSITFQAEEVDMAALRHMTDSDLKALGIPMGPRKKIILALESRA >KQK97596 pep chromosome:Setaria_italica_v2.0:VII:22082507:22084686:-1 gene:SETIT_009544mg transcript:KQK97596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPRAAAAVPVALLILALAAGAARAADDLASDAAALQAFLAPFGSATVSWNASRPACSWTGVVCTGGRVTEIHLPGDGLRGALPVGVLGGLTKLAVLSLRYNALSGPLPPDLASCVELRVINLQSNLLSGELPAAVLALPALTQLNLAENRFSGRIPPSIAKNGRLQVLYLDGNRLTGVLPNVSMPSLTMLNVSFNNLTGEVPKGLSGMPATSFLGMPLCGKPLPPCRVPSTPESPTRPPALAPEAPAASPDNRGRGRHHLAGGAIAGIVIGCAFGFLLIAAVLVLVCGALRREPRSTYRSHDAVAAELALHSKEAMSPNGYTPRVSDARPPPSVPPAVAAASVGKKKLFFFGRIPRPYDLEDLLRASAEVLGKGTYGTTYKAAIESGPVMAVKRLKETSLPEREFRDKVAAIGGIDHPNVVPLQAYYFSKDEKLMVYEFVAMGSLSSMLHGNRGSGRSPLSWESRRRIALASARGLEYIHATGSMVTHGNIRSSNILLSRSVDARVADHGLAHLVGPAGAPAATRVAGYRAPEVVADPRRVSQKADVYSFGVLLLEMLTGKAPTHAVLHDEGVDLPRWARSVVKEEWTAEVFDTELLRHPGAEEQMVEMLRLAMDCTVPAPDQRPAMPEIVARIEEIGTAGSASTARSGRSASMDEADDRPLRPTGSIRQS >KQK99730 pep chromosome:Setaria_italica_v2.0:VII:34444611:34446420:1 gene:SETIT_010417mg transcript:KQK99730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERSVKSEHGGGLFLPPGFRFHPTDEEVITSYLLEKFLNPSFDPQAIGEVDLNKCEPWDLPSKAKMGEKEWFFFCHKDMKYPTGMRTNRATKEGYWKATGKDREIFKPAAAAGAGRELVGMKKTLVFYMGRAPRGSKTNWVMHEFRLEGKSRHNNAKLRFNPKDEWVVCKVHHKNGEAKKPAEEYSASTPNVSSVISDDAGEGDDFLDSMINPMYFNNAGSLPTSTTTVNAAPPQNADYAISSTAAGATTGTTSSFVNLPNYGFNDTTSINNLHQVALANSAAPRSSFSSSWNMLHADHNQAMGSYNLHHQAMVAKALGGVISPNFAGGLPESSVTGILQQNSVGMPQQKLPGNYGEFYANHLKK >KQK97009 pep chromosome:Setaria_italica_v2.0:VII:18185431:18192310:-1 gene:SETIT_009615mg transcript:KQK97009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSPLRRFRGFGHHHRERKDHAPPPAKLDELVYAAQEMDEMRSCYDSLLSAAAATANSVYEFAEAMEEMGTCLLEKAALNYDDDESGRVLMMLGKAQFELQKFVDSYRTNIINTITNPSESLLKELQVVEEMKDLCDQKRVEYEGMRAAYKDKGRSTHSKSESFSTEQLQTSFLEYQEEAALFIFRLKSLKQGQFLSILTQAARHHAAQISFFRRGLKHLEALEPYVKAVAEKQHIDYHFSGLDDDSDIDDYSSYQDNHSDGSELSFDYEINDRDKDLPASRSPMDLDQTQPTNSPSPLKEHEQENAEEMKAAFVVPHVKPEIGTQSAPIFAENVPDPSMGFRKLNLPNRTFHSYKLPTPADDKNPDSVVTNTSPHSDPPARKSHVAVNVWHSSPLVKDFKPSSMYSGPIKMPSNNEGISAPLVYSYSTSDFKKMKREAFSGPIPSKTGSSNPLFSATDRRQSMNYPSRVLSTKSHGPGWKSSLPPKVTPRVTSLPTTTPKISELHELPRPPANVGTIRPGLVGYSGPLVSRRQMPNAPTRVSPPSHTASPLPRPPAAMTRSYSIPSNSQRTPIITVNKLLESRHSRESSELSSPPLTPISLADVSRRSTAETTVDSRRTKESL >KQK96459 pep chromosome:Setaria_italica_v2.0:VII:8002006:8003815:-1 gene:SETIT_010449mg transcript:KQK96459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVTPNHTQTVAGWAAMNESGKVEPFIFKRRENGMDDVTIKVQYCGMCHTDLHFIQNDWGITMYPVVPGHEITGVVTKVGTNVLGFKVGDRVGVGCISASCLDCEHCRRSEENYCDKVTLTYNGIFWDGSVTYGGYSNMLVANKRFVVRIPDNLPLDAAAPLLCAGITVYSPMKQHGMLQSGGSLGVVGLGGLGHVAVKFGKAFGLRVTVISTSPAKEREARERLKADDFIVSTNQKQMQAMTRSLDYIIDTVSAKHSLGPILELLKVNGKLVLVAAPDQPVELPSFPLIFGKRTVSGSMTGGLKETQEMLDLCGEHNITCDIELVSTDEINEALARLARNDVRYRFVINIGGNAKL >KQK99317 pep chromosome:Setaria_italica_v2.0:VII:32317088:32318298:-1 gene:SETIT_012099mg transcript:KQK99317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGRDAGGGEEAPEAVQDHAAAGEKRAADEHDRFLHALLLFGRDWKRIEQFVATKTATQSLTINRSSVHGSIQLACCVFQIRSHAQKYFLKAQKLGFEAALPPRRVADGHHSVDDELHGFRVSVRRRAAERRLGARLSRSRDCWPSRSGGEPGGASWAAAATLAQEDEMIQLPLPPGHPRFALVYRFVGDAQLRRLQGIVDPVVVDTILLVLRNLQDNLFA >KQK99010 pep chromosome:Setaria_italica_v2.0:VII:30472604:30473778:1 gene:SETIT_010424mg transcript:KQK99010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMLADVPDHDASRRRRAPDTSSRCRAEKVTGTHDFEVVNYSLLDGRIGVGVSVKSAPFAVGGYSWRIEFYPDGKSVEDCCCCMPAASAYVSLCDGAAPVSAKYTLSLVGRDGRASRRWRRRASTATYGWPHPKSWGFNNFYLKPLLRLSGCLDGVRLRIRCELTVFMPPRTEDTTPAPAPPPELPGHLERVLKDGRGADVTFNVAGREFRAHRVVLAARSPVFDAELLGPMAEKDARRAVRVVDMEPAIFEMLLHFVYTDSLPGSFDGYGTAVTQHLLVAADRYGLERLKLMCVEKLCRSIDVSTVTTTLALADQHHCQELKEACVAFIMSSPKVLRAIVATDEFKHLMASCPQLVSDIWKP >KQK99094 pep chromosome:Setaria_italica_v2.0:VII:30981557:30984815:-1 gene:SETIT_011857mg transcript:KQK99094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAATAATAAAMVWFRKGLRVHDNPALDAARRGAGRLYPVFVLDPRYLRPDPAAASPGSARAGVARVRFLLESLGDLDARLRRLGSRLLLLRARDDVADAVCAALKDWNIGKLCFESDTEPYALVRDKKVTDFAMASGIEVFTPVSHTLFDPAEIINKNGGRPPLTYQSFIAIAGEPPEPLMEEYSELPPVGDTGEYELLPVPTVEELGYGDISQEEIPPFRGGETEALRRMKESIENKEWVAKFEKPKGDPSAFLKPATTVLSPYLKFGCLSSRYFYHCIRDVYRSVRNYTKPPVSLTGQLLWRDFFYTVSFGIPNFDQMKGNKICKQIPWSENEELFVAWRDSRTGYPWIDAIMIQLRKWGWMHHLARHSVACFLTRGDLFIHWEKGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYHRIYSPITFGKKYDPNGNYIRHFIPALKDMPREYIYEPWTAPLSIQKKAKCIIGKDYPKPVVDHETASKECRKRMGEAYASSRLDSNPSRGKPSNMSRRKKSHGDQGASNSSIAKIMKRSRAE >KQK99171 pep chromosome:Setaria_italica_v2.0:VII:31307114:31309021:-1 gene:SETIT_012111mg transcript:KQK99171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDEQFKNFAEELKKNCEFDKDMSFREEIETICGYWKKITYWNTNIFDMEATALSLHLCMVATKAVKLASRVMTLTMYVSIFVKLAEDTYHRKFDDDSVFSLLGAFRGVAAIAHILVKDAIESVDSAEYGSWNYNSLVEDTDNSWPEFEQNIKNLEDQFRAVLKNNSKMYKLLRPTMEKAMALTVLFVSQMLTRREKVLGYIPACELVTKITKNDF >KQK98362 pep chromosome:Setaria_italica_v2.0:VII:26555134:26558032:1 gene:SETIT_009605mg transcript:KQK98362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPHTIAAVHVVVHHHPRHRAPPPRHCSGGGVCVVRASAATATTTSGASATAATDSPSAAFWDYNLLFRSQRAESPDPVALRVTEGAIPPDFPAGTYYLAGPGMFTDDHGSTVHPLDGHGYLRAFHFGGGGADGAAAAQYSARYVETAAKREEQGAGGTSWRFTHRGPFSVLQGGARVGNVKVMKNVANTSVLRWGGRVLCLWEGGEPYELDPRTLETLGPFDILGLGSGAGEAPARDSEAAARHRRRRPWVQEAGIDVAACLLRPVLSGVFSMPVRRLLAHYKIDHKRNRLLMVACNAEDMLLPRSNFTFYEFDAGFALVQKREFVLPDHLMIHDWAFTDNHYVLLGNRIKLDIPGSLLALTGTHPMIAALAVDPSRQSTPVYLLPRSPEAEAGGRDWSVPVEAPTQTWSMHVANAFEERDAGRGGGTSVRIHMSGCSYRWFHFHRMFGYDWHNKKLDPSFMNVAKGRELLPRLVQVSIDLDKRGACRGCSVRRLSDQWTRPADFPAINPAFANRRNRFIYAGAPSGSRKFLPYFPFDSVVKLDVSDGSARLWTAVGRKFVGEPVFVPTTGGREDDGYVLLVEYAVSDHRCHLVVLDARKIGERNAVVAKLEVPKHLTFPMGFHGFWADE >KQK98879 pep chromosome:Setaria_italica_v2.0:VII:29742066:29743998:-1 gene:SETIT_010780mg transcript:KQK98879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLLPKLTTPSCKLPPSPLLKPQLALPGHGGGGKIHGSGSGAAQAATPGHLSLLLLLSASQQAAVPSAKSTATKNRGKGGGDPQRSDFYLNLGTAVRTLREDLPDVFFREPNYDIYREDITFTDPLNTFQGIDNYKTIFWALRFHGRLLFREIGLDVSRIWQLTENSIVVRWELWGTPRVPWESYGCFSGTSRYKVDRNGKIYEHKVDNLALDFPRSVANVGSIADMVVATCPPSPNLTFWDVVGTGDGCSWTKLYESVVEAVEWEGQSSSGIGIGGLITCS >KQK96892 pep chromosome:Setaria_italica_v2.0:VII:17025379:17027901:-1 gene:SETIT_010081mg transcript:KQK96892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSRHCANRVFPTGGSNILHGPKSRARAATGRHSAVRFRRCCVRANFWRSDHLPLKVTPSEIIEVLQASDVFGSVKKWSRLQLVTMTGLVACVVLVVPSADAVDALKTCTCLLKECRIELAKCIANPSCAANVACLNTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPRKSDVGEFPVPDPSALVKNFNMADFNGKWYISSGLNPTFDTFDCQLHEFHVEGDKLIANITWRIRTPDSGFFTRSTVQRFVQDPSQPGILYNHDNEFLHYQDDWYIISSKVENKDDDYIFVYYRGRNDAWDGYGGAVLYTRSKTVPETIIPELEKAAKSVGRDFSTFIRTDNTCGPEPPLVERIEKTVEEGEKTIIREVKEIEGEIEEEVEELEKEEVTLFQKLAEGLIEVKQDLMNFLQGLSKEEMELLDQMNMEATEVEKVFSRSLPLRKLR >KQK98137 pep chromosome:Setaria_italica_v2.0:VII:25279002:25282906:1 gene:SETIT_010460mg transcript:KQK98137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAEAAEMVASAAAASEAKGKEEKEKRDGPGILGRIWRALFGGRGEDYEKRLQNLSKEEAALLARMRRRTQFSRRGVRNLIALSVLGEVPQFASLFALFTSVKSDTRMLERKDKDTLERLRAERKAKIDELKDRTNYYLTQELIQKYDLDPAAKAAAASVLASKMGAETGLKLHMGDEAKSGSTQARSNEVEVVPKDGLRNRRETKAKGSSYSSTAAAHTGGGMEAMPPSKVVGHYEGSGTSDGGWIAKIAALLVGEDPSQSYALICGNCHMHNGLARKEDFPHVTYYCPHCHALNVSNQSIGQCSGQLSPVAPADGVSTTHPITETELSSKSEVQELPEVTNAGQQPVEPAN >KQK97271 pep chromosome:Setaria_italica_v2.0:VII:20147355:20151144:-1 gene:SETIT_009413mg transcript:KQK97271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATKLQLQERVPLPRTAWKLADLTVLSLLLALLARRAASVLAVASGGGGAAPPSCTWCWLAALVCEAWFTVVWLLNVNCKWNPVRFDTHPERLAGRTEEELPAVDMFVTTADPRLEPPAVTVNTVLSLLALDYPAGKLACYVSDDGCSPVTCFALREAAEFARLWVPFCRKHGVGVRAPFVYFSSSSSGGPPERGGGGAADDKFQRDWTAMKSEYEKLASRIENADEESLLRRGDGEFAEFVGADRRDHPTIIKVLWDNGKAGDGHGIPSLIYISREKGPRHPHHFKAGAMNVLTRVSAVVTNAPIMLNMDCDMFANNPQVALHAMCLLLGFDDELQSGFVQAPQKFYGTLKDDPFGNQMEVLFEKIGFGIAGLQGMFYGGTGCFHRRKVIYGVPPESTADVQPTRMRGSPSYKELQKMFGSSRELIESARSAISGELFAAAPMVDLASRIDVAKEVSACSYEAGTCWGQEVGWVYGSMTEDILTGQRIHAAGWRSAFLTTDPPAFLGGAPTGGPASLTQYKRWATGLLEIILSRNNPILACTSKHLEFRQCLAYLVFYVWPVRAPFELCYALLGPYCLLANKSFLPKASEPWFVIPLALFLTQNVHSVAEYMECRQSARAWWNNHRMQRIVSSSAWLLAFLTVVLKTMGLSETVFEVTRKEQGGAADGGDAAGADPGRFTFDSSPVFVPPTALTILTLVAIAVGAWRVVAGAAAAGGVPPAGGGPGVGEFVCCGWLALCFWPFVRGLAGKGSYGIPWSVKIKAVLLVAAFVHFCTRN >KQK97337 pep chromosome:Setaria_italica_v2.0:VII:20657538:20658575:1 gene:SETIT_011430mg transcript:KQK97337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQEKTKTKGSIEPQPSVWVTFAELLNTNGSLDSIYFWFWGATLLCRQVQYSHLQAIQTLKHPPCCINYRSSMPSNSFFHAKTNFFLKAQEGQAPTGYIY >KQK98349 pep chromosome:Setaria_italica_v2.0:VII:26463045:26464269:-1 gene:SETIT_010938mg transcript:KQK98349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEAPQFACAVARQASSIMEPASQKEKKQGYIPQQATLPGCKGKASQSSKRHLSKKPFPRGRGGQAGAARRRRSSGRSCRRWEHQGSAARAGGDRDADPRPGDLDHPDDAGVRAVPAARGAGGQAAGAGGVHHAAAAAAAAPGLVGVGTRRGPARHEHHDVIEAAEDRGCSAAGGGGSGSPSISCISLCLPEMEGGPWGEAFSFQPPPVTVTCHRHDVHILCSLVCSSSPPVMFFFVLLDA >KQK99792 pep chromosome:Setaria_italica_v2.0:VII:34779320:34779775:-1 gene:SETIT_012900mg transcript:KQK99792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSPAEPCHRWSVSLSVVVGFFYMWGCEQILQMYPDERCKIKESNMSSI >KQK98621 pep chromosome:Setaria_italica_v2.0:VII:28321163:28323653:-1 gene:SETIT_010320mg transcript:KQK98621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPWLERIASACWDRVRRYGLTRKDEEDGDAGAGADDLLWSRDLARHAAGEFSFAVVQANDVLEDHSQVETGAAATFVGVYDGHGGAEASRFISNHLSAHIVRLAQEHGTMSEDVVRNAFSATEEGFMSLVRRTHLIKPAMTTIGSCCLVGIIWRGTLYLANLGDSRAVVGCSNGPNKIVAEQLTRDHNAGMEEIRQELQSLHPDDSQIVVLKNGVWRIKGIIQVSRSIGDAYLKKREFALDPSTARFHLSEPLRRPVLTSEPSICSRVLSSQDRFLIFASDGLWEHISNQQAVEIVHNSPREGIARRLVQAALKEAARKREMRYGDIKKLDKGVRRYFHDDITVVVVFIDHELRQEGPASVPELSVRGFVDAGGPSSFSGLNDIT >KQK98121 pep chromosome:Setaria_italica_v2.0:VII:25097978:25098377:1 gene:SETIT_012879mg transcript:KQK98121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCQTEVHIKSNKSVTLLIVWWMAIMSTGKG >KQK99969 pep chromosome:Setaria_italica_v2.0:VII:35593792:35593956:1 gene:SETIT_012223mg transcript:KQK99969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLVHKLCTTAPAILPSHQPFTQHPGHIHRKMPKHPFISNLPSKKKIISNQRR >KQK99115 pep chromosome:Setaria_italica_v2.0:VII:31040669:31047026:1 gene:SETIT_009503mg transcript:KQK99115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPAQAMEGGGDINTLRILVATDCHLGYMEKDEIRRFDSFQAFEEICSLADQNKVDFILLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTVNFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVYPVLLKKGMTSVALYGLGNIRDERLNRMFQTPHSVQWMRPGTQDGESVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKVVLKDEADVDPNDQASVLEHLDKTVRNLIEKSSQPTASRSEPKLPLVRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQATGDHIDDSEKLRPEELNQQTIEALVAESNLKMEILPVDDLDIALHDFVNKDDKMAFYSCLQRNLEETRNKLSSEADKSKIEEEDIIVKISECMQDRVKERSLRSKDGARFLSSSQNLDTGGKSVAAQSSLNSFSDDEDTREMLLGARSTEVGRKSSGFTRPSKDTTDVAKRGASKRGRGRGTSSMKQTTLSFSQSRSSTVIRSEEVASSSEEEADANEVVENSEEESAQQVGRKRAAPRGRGRGRGSTAKRGRKTDIASIQSMMSKDDDDSEDEPPKKAPPRAPRNYGAVRRR >KQK99116 pep chromosome:Setaria_italica_v2.0:VII:31040669:31047026:1 gene:SETIT_009503mg transcript:KQK99116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEPAQAMEGGGDINTLRILVATDCHLGYMEKDEIRRFDSFQAFEEICSLADQNKVDFILLGGDLFHENKPSRSTLVKTIEILRRYCLNDQPVKFQVVSDQTVNFPNRFGQVNYEDPNFNVGLPVFTIHGNHDDPAGVDNLSAIDILSACNLVNYFGKMDLGGSGVGQIAVYPVLLKKGMTSVALYGLGNIRDERLNRMFQTPHSVQWMRPGTQDGESVSDWFNILVLHQNRIKTNPKSAINEHFLPRFLDFVVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGEAKPKHVLLLEIKGNQYRPTKIPLKSVRPFEYAEVVLKDEADVDPNDQASVLEHLDKTVRNLIEKSSQPTASRSEPKLPLVRIKVDYSGFSTINPQRFGQKYVGKVANPQDILIFSKSAKKRQATGDHIDDSEKLRPEELNQQTIEALVAESNLKMEILPVDDLDIALHDFVNKDDKMAFYSCLQRNLEETRNKLSSEADKSKIEEEDIIVKISECMQDRVKERSLRSKDGARFLSSSQNLDTGGKSVAAQSSLNSFSDDEDTREMLLGARSTEVGRKSSGFTRPSKDTTDVAKRGASKRGRGRGTSSMKQTTLSFSQSRSSTVIRSEEVASSSEEEADANEVVENSEEESAQQVGRKRAAPRGRGRGRGSTAKRGRKTDIASIQSMMSKDDDDSEDEPPKKAPPRAPRNYGAVRRR >KQK99328 pep chromosome:Setaria_italica_v2.0:VII:32382531:32383272:1 gene:SETIT_013041mg transcript:KQK99328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGPAQDTVQNKPTAQEHRRQCLCEVCNSGSESTDHLILHCSFATQFWAAIGVEISGTASVSTLWELQRPPTVPDAFYSTYLLLCSWQLWKHRHDVVFRGLEPSLPRLLLSCKEEARLWSCRLPRADRLVAEAWCAPFSFNM >KQK97795 pep chromosome:Setaria_italica_v2.0:VII:23305348:23307024:-1 gene:SETIT_013091mg transcript:KQK97795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTASKDIITLRGSAAIVSEFFGYAANSILYNRAVYPEESFTKVKKYGLTMLLTQDEGVKTFIASLTSQLSEWLEAGKLQRIVLVIMSKATNEVLERWNFNIVTDAEVVEKGAIKEKSDKEIMREIQAIMRQIASCITYLPCLDEPCVFDVLAYTDTDVDAPGTWVESDAKLISNPQMVKLHSFDTKIHKVDTLVSYKNDEWDDEE >KQK97796 pep chromosome:Setaria_italica_v2.0:VII:23306369:23307024:-1 gene:SETIT_013091mg transcript:KQK97796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRTASKDIITLRGSAAIVSEFFGYAANRYGRVSGGRANGGGCADSPGIRSVRKVWGFMIG >KQK99984 pep chromosome:Setaria_italica_v2.0:VII:35653994:35656534:-1 gene:SETIT_012986mg transcript:KQK99984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLPIPQPSSPEPTGQRHTHTNGEQKAVDEMVDGFGIGRLQGVLGSAAGQAAEMDDMYAGMLRKLEKLAREVEESNLRVLEQENRNLLLRYRYAGMQ >KQK99985 pep chromosome:Setaria_italica_v2.0:VII:35654332:35656534:-1 gene:SETIT_012986mg transcript:KQK99985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLPIPQPSSPEPTGQRHTHTNGEQKAVDEMVDGFGIGRLQGVLGSAAGQAAEMDDMYAGMLRKLEKLAREVEESNLRVLEQENRNLLLRYRYAGMQ >KQK96208 pep chromosome:Setaria_italica_v2.0:VII:1752793:1753067:-1 gene:SETIT_012172mg transcript:KQK96208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQHLLLVVLVASILHATASSLETTSSASNWTVAAAASTVYDVLEQNNLPRGLLPQGVQSYVLHDGGALEVTLPRECNFFVSVAGKRFHF >KQK97715 pep chromosome:Setaria_italica_v2.0:VII:22837968:22840930:1 gene:SETIT_009900mg transcript:KQK97715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPQTHPILSYVLSRIPTLSKPRPAAASEFDIEQPPAHTPSPRTPSTAGEFELVERMPGLRHPSVLRAMTRAVADVSAARSALQVLGPRPDHELVDSSRAIVAAAEAGDSRIPEGDVEACRAVVRLEETHDAYEALLQEAEARLERVYRSAMEGTDLDVEAVEKGGEDGGPAAGADGGDAAVQEEVVAVLRQAEEGKPVESVRLVDRQLRLLPEAFGRIQGLRVLDVSRNQLEVIPDAIGGLDHVEELHLAANALISLPDTIGLLSNLKILNVSSNRLRALPDSISKCRLLVELDASYNGLTYLPTNIGYELVNLRKLWVHMNKLRSLPSSVCEMTSLYLLDAHFNELCGLPSAFGKLSSLEILNLSSNFSDLKELPASFGDLLNLRELDLSNNQIHALPDTFGRLDKLEKLNLEQNPLAMPPMDIVNKGVDAVKEYMSKRWLDILLEEEQRRIAAETPQASSTPKAWLARSVSWVTDVSGSFVGYLSGSEKSEKDAYLDQQF >KQK96783 pep chromosome:Setaria_italica_v2.0:VII:15529363:15532121:1 gene:SETIT_010354mg transcript:KQK96783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQVAAGVACCCPPPPLPCGGRRHPRAAALGRPPRAVASSGAAAVVEEGEGKVRLGESGVAVTKLGIGAWSWGDTTYWNDSEWDERRLKEAQEAFDSSIDNGMTFFDTAEVYGTALMGAVNSESLLGGFIKERQQKEQIEVAVATKFAALPWRFGRGSVLSALKKSLDRLGLPSVELYQLHWPGIWGNEAYLDGLADAYEQGLVKAVGVSNYNEKRLRDAHARLKKRGVPLAANQVNYSLIYRTPELNGVKAACDELGITLIAYSPIAQGVLTGKYTPENPPTGPRANTYTPEFLTKLQPLMNRIKEIGASYGKNPTQVSLNWLTCQGNVVPIPGAKNATQAKEFAGALGWSLTGDEVEELRTLAREIKGIKMPIEES >KQK99948 pep chromosome:Setaria_italica_v2.0:VII:35505484:35507099:1 gene:SETIT_012668mg transcript:KQK99948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNCQAAEGAAAARSALGRRRHGGHPEPLRCRRHRHHAAGDASGSAPVKHLKLLRPDDTLLLGRVYRLVSFEEVLREFASKWHEAKPAANHRRRRASVDSRSAGERKESDRSLAKTEEVEPEPEPEQDPSSSDPSATKHGHTDAAADLDAELDALLLLGRRDARQWRPALQSIAEG >KQK98693 pep chromosome:Setaria_italica_v2.0:VII:28657198:28659383:-1 gene:SETIT_010801mg transcript:KQK98693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSLARSPHTAAALPAPRVSHFAPPLPPLRSPRRHHRVGLRLRFPAAAVAASEAQAAPAAAEELQGEKRRKLYVANLPWSVPAPEVEKLFAQCGTVKDVELIKGKDGRNRGFAFVTMSTAEEAAAAVEKLNSHEVMGRKIKVEFSKSFRKPAPTPPPGTIIERHKLYVSNLPWKARAPNVKEFFSKFNPLSANVIFDNGKAAGYGFVSFGTKEEAEAALSELDGKELMGRPVRLNWKESGDDKVEVVKADAEVETVNTEGASADDASDDGGEDKQE >KQK97475 pep chromosome:Setaria_italica_v2.0:VII:21393023:21393115:-1 gene:SETIT_0112301mg transcript:KQK97475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASPTWVFGYGSLIWNPGFAYDARVVGF >KQK98474 pep chromosome:Setaria_italica_v2.0:VII:27247650:27252629:1 gene:SETIT_010020mg transcript:KQK98474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPPPPQAIPREAWEGCSVLLDINDGDRLAFFRLTPAATVKIGNKTCSLQPLVGRPFGSLFRVGADGLVPCAAGDAPSREDSMEDGADGQVQDETRDNRSLVDNNTAQNLSSDDIEAMKRDGATGDEIVEALIANSSTFGKKTAFSQEKYKLKKQKKYAPKVLLRRPSTRSICETYFKKSPGRIGFMRVDTLSLLLSMANIGAYSDVLVVDMVGGLIVGAVAERLGGTGYVCSTYLGSPSSIDIIRMYNLSSDMVSRIVQVPLSDLCSMQSSGNTPSVLNGSTEGEVVDSVVVQDEDARASLAQAVDTADEKAQLSTEQPTDMEVSKPLDGQDENSSLDCKDGDGDSVASKSKPGKAPSPEKMKYWKEHGFSSLIVAAPGHEVESLVADLLPLLSYSAPFAIYHQYLEPLAKCMHTLQVSKRAIGLQLSEPWLREYQVLPSRTHPHMQMNAFGGYILSGIRIRSEPVSHQ >KQK98252 pep chromosome:Setaria_italica_v2.0:VII:25895536:25896090:1 gene:SETIT_012935mg transcript:KQK98252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGTPTISGTEASGAAGGVQQAGGPEAAEAEREPGGPGHRLQRGEPVHRPGAVAAALHEHDRGHPGGRNRGVGGHLDEGLVSGLGRGRAGHPLDVLV >KQK99134 pep chromosome:Setaria_italica_v2.0:VII:31116447:31117619:-1 gene:SETIT_012064mg transcript:KQK99134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRRLARRALHRAALHHTPPPPPGSFSPQLRSHILLLRFSTSSSDQPHFMVDYLVSTCGLPPDKAAKAAPRFAHLSSPARPDAALAFLRSRGLTRAQARAVVSWNPSVLLSDVDATLAPKFRAVRSLGLTRAEAARVFALYPPALTMGVHTNLLPRLLLWLDLLGSARLLMKWLAKTWLLKSGVDALLRNLDALRGHGVPEARLAATVRLKPSLILQSPARLRALAARVDACGVPRGSRMYAWALLALHSVSDAAFRAKRDAVMRGTGCTEQEFLAMFRRAPCFLFMSAELLRRKVEFLVGTVGCGADGIVRDPVLLTLSLSKRMVPRCRAIGALKARGVDIGKQRLVNIVRASETRFVERYILKYSDQAPELLELYPPDHRKSSSQGD >KQK99298 pep chromosome:Setaria_italica_v2.0:VII:32222437:32223246:-1 gene:SETIT_012521mg transcript:KQK99298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLQEHHELIFGEEFCFPATTTYYPPLYGPTGDGSSVRTQEYRAETVEHTYHQPVPTPHYPALPSAVDRTPATTAQSLAYTNGLFVPGGLKQTVAVASESGTTALASSMEATILWWVHGAS >KQK97858 pep chromosome:Setaria_italica_v2.0:VII:23578556:23581960:-1 gene:SETIT_009745mg transcript:KQK97858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGGFVDWRGSLIKKEVHGGVRAAWFMYFLTFVTSMVNIPFLLNSVIYLQGTMHMGVSGSATTVTNLVGATSGFALIGAFLSDSYITRSRTILLFGPLEFLGYGLLALQAYIPSLHPPPCNIELSDCKEVHGWNATLFYAALYISAFGEGCIRACLPSLGADQFDHEDPSESHQQSSFFNWYTFGISFGGFVGLIFIVWLQNYKGWDIGLGFCAILILLGLLVFAAGLPFYRNQVPEGSPLTRILQVLVVAFRNRRFELPEELEEARGSSAERGSAKVLPQTNIVKFLDKACINHGKEGAWSLCSVTKVEETKIVFRMLPLFVSSMIGYVSNPIILTFTVQQGGMTNTRLGKIHISPATLFIIPTTFQMVMLAIYDQFIVPFLRRRTGYASGITHLQRIGIGFASMILASAFAAVVERKRKEAAVEMSLFWLAPQFFLLGVSDVTSFPGLLEFFNSEAPRGMKSIATALFWCEVGLASLLATFLVQGVNSATGHGHHGGWLEGTSLNNSRLDLFYWVVTVVGFLGFLNYLYWAKKYVYRQDPLVVDEPSADQDSP >KQK96677 pep chromosome:Setaria_italica_v2.0:VII:13829201:13830292:-1 gene:SETIT_011194mg transcript:KQK96677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASSSRAANNRDALTKAVGSGCFAETAAQPRATSVVREMTLNPGLPSCGGSTLLLRSSKMSRTAARRSAPARRRNRGSGRAARVCPPPRSPESLALWQRRRRFDGDASDETSRSSAATMYCGLVGAFLLVGTTAALTAEKVRAAQMFRVVKLGLFGPPRRKMSI >KQK96333 pep chromosome:Setaria_italica_v2.0:VII:3783354:3785137:1 gene:SETIT_011547mg transcript:KQK96333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRARNALVATGLLIFAGAGLSFPFLFVKSKNRPIIDSTKPLPPQATFRGPYVNTGSRDIGPDPTNYPKK >KQK96332 pep chromosome:Setaria_italica_v2.0:VII:3783354:3785137:1 gene:SETIT_011547mg transcript:KQK96332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRARNALVATGLLIFAGAGLSFPFLFVKSKNRPIIDSTKPLPPQATFRGPYVNTGSRDIGPDPTNYPKK >KQK98255 pep chromosome:Setaria_italica_v2.0:VII:25904069:25906637:-1 gene:SETIT_010578mg transcript:KQK98255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLSSQIKLSNAFVRRKVHENGQASLPRSLRWKPLQSGHFENLVLRCAKNLCWESSLPYASVEDDASIIKGPNAIEPIDTEEAPEIPIFQSNEDVVEVKNEPSMQLTVFKLPMWLIGPSILLVTGIVPTLWLPLSSVFLGPNIAGLLSLVGLDFIFNMGAMLFFLMADACGRPENTIFDLKRQIPVSYRFWNLAASIAGFVAPFALFFASHRGTLQPQLSFIPFAVLLGPYLLLLSVQMLTEMLTWHWKSPVWLVAPVVYEGYRVLQLMRGLQLADEISAPGWMVQSLRGLVSWWVLVLGIQLMRVAWFAGLSFARNSRYGVSDDLNQ >KQK98198 pep chromosome:Setaria_italica_v2.0:VII:25595067:25595554:-1 gene:SETIT_013024mg transcript:KQK98198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMAPTCPRPPEPSRPERRIAPRLPASQAEPSQGRTMAACSARHGGGWLAWRERICPCRSGVDAAAGVAGRRLRAGRSSFEVARPQGRDGGAGGEELHGRPEDGAAWRSTGQKRKRRKTGY >KQK96346 pep chromosome:Setaria_italica_v2.0:VII:3909435:3914044:-1 gene:SETIT_010368mg transcript:KQK96346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMLARLVRRRSLLHQGAAAASMELASAGGSPSLFSTQQQQAAAADPGVLPAGLNIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELVLTMPSYTSLERRVTMRAFGANLVLTDPTKGMGGTVRKATELYEKHPSAYMLQQFQNPANVKIHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGFKPDILDMDVMEKVKSEDAVKMARELAVKEGLLVGISSGANTVAAIELAKKPENKGKLIVTVLPSLGERYLSSALFDELRKEAEAMEPVPVD >KQK96345 pep chromosome:Setaria_italica_v2.0:VII:3909727:3913872:-1 gene:SETIT_010368mg transcript:KQK96345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERMLARLVRRRSLLHQGAAAASMELASAGGSPSLFSTQQQQAAAADPGVLPAGLNIRDSASQLIGRTPMVYLNKVTEGCGARIAAKLEFLQPSFSVKDRPAISMLEDAEKKGLITPGKTTLIEPTSGNMGIGLAFMAALKGYELVLTMPSYTSLERRVTMRAFGANLVLTDPTKGMGGTVRKATELYEKHPSAYMLQQFQNPANVKIHYETTGPEIWEDTLGQVDIFVMGIGSGGTVTGVGKYLKEKNPNAKIYGVEPAEANVLNGGKPGPHLITGNGVGFKPDILDMDVMEKVLEVKSEDAVKMARELAVKEGLLVGISSGANTVAAIELAKKPENKGKLIVTVLPSLGERYLSSALFDELRKEAEAMEPVPVD >KQK98138 pep chromosome:Setaria_italica_v2.0:VII:25280531:25280954:-1 gene:SETIT_012849mg transcript:KQK98138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSEDVVAMLKRKKLNLFKNISQYNQSITNE >KQK99898 pep chromosome:Setaria_italica_v2.0:VII:35287742:35288151:1 gene:SETIT_011528mg transcript:KQK99898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTMVYGGLLFELKSSSRAGSDWFIDFAGGEGRWCVAAGWQWPGGAQPGRGSDEHASGRTDGAWRRRFRRGRR >KQK98899 pep chromosome:Setaria_italica_v2.0:VII:29829759:29834187:1 gene:SETIT_009870mg transcript:KQK98899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPFTAGWQSNELHPLIIERSEGSYVYDINGNKYLDSLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNRTTRPSLDLAQEILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARTKAYHGSTLISASLTGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFDKVQAVVKKYDILFIADEVITAFGRLGTMFGSDYYNIKPDLVSLAKALSNAYVPIGATLVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYRERDIPGHVKQIAPKFQDGIRAFADSPIIGEIRGLGMIMGTEFTNNKSPTDLFPAEWGVGAIFGQECQKRGMLVRVAGDAIMMSPTLIMTPGEVDELVSIYGEALKATEARVAELKSKKN >KQK98897 pep chromosome:Setaria_italica_v2.0:VII:29829089:29833250:1 gene:SETIT_009870mg transcript:KQK98897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIARRLLRSNASAQASSLVKYVTSTASLQGHTECLPDASVRHFSSAPSAQSDSTEENGFKGHGMLAPFTAGWQSNELHPLIIERSEGSYVYDINGNKYLDSLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNRTTRPSLDLAQEILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARTKAYHGSTLISASLTGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFDKVQAVVKKYDILFIADEVITAFGRLGTMFGSDYYNIKPDLVSLAKALSNAYVPIGATLVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYRERDIPGHVKQIAPKFQDGIRAFADSPIIGEVRLYF >KQK98898 pep chromosome:Setaria_italica_v2.0:VII:29829089:29834187:1 gene:SETIT_009870mg transcript:KQK98898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIARRLLRSNASAQASSLVKYVTSTASLQGHTECLPDASVRHFSSAPSAQSDSTEENGFKGHGMLAPFTAGWQSNELHPLIIERSEGSYVYDINGNKYLDSLAGLWCTALGGSEPRLVKAATDQLNKLPFYHSFWNRTTRPSLDLAQEILSMFTAREMGKVFFTNSGSEANDSQVKLVWYYNNALGRPNKKKFIARTKAYHGSTLISASLTGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFATRLATNLENLILKEGPETIAAFIAEPVMGAGGVIPPPKTYFDKVQAVVKKYDILFIADEVITAFGRLGTMFGSDYYNIKPDLVSLAKALSNAYVPIGATLVSPEISDVIHSQSNKLGSFAHGFTYSGHPVACAVAIEALKIYRERDIPGHVKQIAPKFQDGIRAFADSPIIGEIRGLGMIMGTEFTNNKSPTDLFPAEWGVGAIFGQECQKRGMLVRVAGDAIMMSPTLIMTPGEVDELVSIYGEALKATEARVAELKSKKN >KQK99910 pep chromosome:Setaria_italica_v2.0:VII:35332161:35334303:-1 gene:SETIT_009856mg transcript:KQK99910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDVLKVQTCVLKVNIHCDGCEKKVKKILHKIDGVYQSSVDAEQGKVTVSGLMDPATVIKKLNRAGKPANLWGAKPGVVSQVQKLQLGGAGAGGKGQQPKDAGGKGQPKDAGGKGQPKGGAGAGNAGGGGGGGAKGAKPLAMPQATPQQLQQLQQLQQQMQMKGMKLPPQLMAMGGKMPFPAAAAPPAKDPKAVKFNVPVDDEFGDDGSEFDDEFDDDFDDEDFEDDGLDDDLYDDPKMMAKPMAMPMGNGGGGKKGGGGNEIPVQIKGNANNGGKKDSGAKQNQGGGGGNGKNGGGAQPPQNGKGGAPGGRNQPGQAKKGGGAGGPPAGVGAPMMGGMPPPQQQPGMMMRPPNMMGGAGFPGMGQMGAGPMGGMPMGHPHMGGNGMQPGGGGAAVHGMPAGGMMPGAGFYPGGAGGGGMPSGPEMMQAAGNPMAQQAYMSMMPQQQQLQMMMNGHGPHGHHGHHAHGGAGYPPMGYGYGYPRPAMPYPPPMYYPAPHSHDNMFSDENPNSCSVM >KQK99911 pep chromosome:Setaria_italica_v2.0:VII:35332161:35334693:-1 gene:SETIT_009856mg transcript:KQK99911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEDVLKVQTCVLKVNIHCDGCEKKVKKILHKIDGVYQSSVDAEQGKVTVSGLMDPATVIKKLNRAGKPANLWGAKPGVVSQVQKLQLGGAGAGGKGQQPKDAGGKGQPKDAGGKGQPKGGAGAGNAGGGGGGGAKGAKPLAMPQATPQQLQQLQQLQQQMQMKGMKLPPQLMAMGGKMPFPAAAAPPAKDPKAVKFNVPVDDEFGDDGSEFDDEFDDDFDDEDFEDDGLDDDLYDDPKMMAKPMAMPMGNGGGGKKGGGGNEIPVQIKGNANNGGKKDSGAKQNQGGGGGNGKNGGGAQPPQNGKGGAPGGRNQPGQAKKGGGAGGPPAGVGAPMMGGMPPPQQQPGMMMRPPNMMGGAGFPGMGQMGAGPMGGMPMGHPHMGGNGMQPGGGGAAVHGMPAGGMMPGAGFYPGGAGGGGMPSGPEMMQAAGNPMAQQAYMSMMPQQQQLQMMMNGHGPHGHHGHHAHGGAGYPPMGYGYGYPRPAMPYPPPMYYPAPHSHDNMFSDENPNSCSVM >KQK99490 pep chromosome:Setaria_italica_v2.0:VII:33111333:33113246:-1 gene:SETIT_012095mg transcript:KQK99490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAAAAGAAQFAPSTSSSSMIHCSVLPLHCIYLAETLRRFTVARSVADLHTVVQLPLTVGNVESVLDKVRPYLIADGGDVALHKIDGSVVRLKLQGACGSCPSSVTTMKMGIERRLIENIPEISAVERVSDKEMGLKLNPANVQKVLAEIRPYLAGTGGGKLEFIKIVGPIVKVRLTGRAAGVKTVRVALAQKLREKIPSVAGIRLVS >KQK97520 pep chromosome:Setaria_italica_v2.0:VII:21644672:21654077:1 gene:SETIT_009350mg transcript:KQK97520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLSGLRSLEGFRSLVGSTSTAMKAANPKPSSDAGGSSYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEGKLQQAVNENAKLKVKQNEDSKLWQGLDSKISSTKTLCDQLTETLQQLASQTEQAEEDKKFFEEMLGKNSKALDEFNCMLHDLSTKLECAEQKIISGKQEMLQIKQEKEEMDRSYKEQLYSNDTTIKEKDSLIKQLEGSVDENKSRLICLDSRLQCMEQELKLKDDVCISLKENLATSESEKNNLELKNQGCILEIEKLCKDNKDFNELLSSFMAKVTELDKEHASMSSHVSRLLCSYERFYEMAQEEKMLIERSSKDKFDHLQKQHVDLSSENNALKVEIEELKSRILELQKTQEIVMVQHVEECQVAENKIRRLESEAEISASNINRLEKLASELQGRVQKLLEDSTLAENHKQELLEKIVKLESDNQELQGRVQSIMEEKSNNAESLQGEITKRDQQVDTLENQINQLRCDLNEKEQLYCCSVEREKTLEDHKLQVEASLAATEYQLSEAKKQYDLMLEGKQIELSKHLKELSLKNDQAINEIRKKYELEKIEITNAEKEKAEKLVKEMENKCNEKISENKQDSERYLMRLKEEHGTMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSLLRKEHELQIKSFRMQHEEECQRMQEELELQKSKEEKQRALLQLQWKVMGESQQVDQEVNSKKEYSVSSMKRRDPYGRKEHGLQLASPETKQKDVNLPGILQSPISNMLRKVEKGSQDIPKHRKVTHHEYEVETANGRITKRRKTRSTVMFGEPNTQKSLHNTADKDVTKIRKECYFY >KQK97519 pep chromosome:Setaria_italica_v2.0:VII:21644672:21652481:1 gene:SETIT_009350mg transcript:KQK97519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKLSGLRSLEGFRSLVGSTSTAMKAANPKPSSDAGGSSYGSFANLKITAEKLVKEQASVKTDLEMAHTKLRRATEQINLLEGKLQQAVNENAKLKVKQNEDSKLWQGLDSKISSTKTLCDQLTETLQQLASQTEQAEEDKKFFEEMLGKNSKALDEFNCMLHDLSTKLECAEQKIISGKQEMLQIKQEKEEMDRSYKEQLYSNDTTIKEKDSLIKQLEGSVDENKSRLICLDSRLQCMEQELKLKDDVCISLKENLATSESEKNNLELKNQGCILEIEKLCKDNKDFNELLSSFMAKVTELDKEHASMSSHVSRLLCSYERFYEMAQEEKMLIERSSKDKFDHLQKQHVDLSSENNALKVEIEELKSRILELQKTQEIVMVQHVEECQVAENKIRRLESEAEISASNINRLEKLASELQGRVQKLLEDSTLAENHKQELLEKIVKLESDNQELQGRVQSIMEEKSNNAESLQGEITKRDQQVDTLENQINQLRCDLNEKEQLYCCSVEREKTLEDHKLQVEASLAATEYQLSEAKKQYDLMLEGKQIELSKHLKELSLKNDQAINEIRKKYELEKIEITNAEKEKAEKLVKEMENKCNEKISENKQDSERYLMRLKEEHGTMVARIQQDNEHKESTLRAYHKEELQRIQSQAENELRERLSLLRKEHELQIKSFRMQHEEECQRMQEELELQKSKEEKQRALLQLQWKVMGESQQVDQEVNSKKEYSVSSMKRRDPYGRKEHGLQLASPETKQKDVNLPGILQSPISNMLRKVEKGSQDIPKHRKVTHHEYEVETANGRITKRRKTRSTVMFGEPNTQKSLHNTADKDVTKIRKV >KQK98153 pep chromosome:Setaria_italica_v2.0:VII:25374820:25376122:1 gene:SETIT_011287mg transcript:KQK98153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCKGLGGEGSAAAPHVLAVDDSSVDRAVIASILRSSRFRVTAVDSGKRALELLGSEPNVSMIITDYWMPEMTGYELLKKVKESSRLKEIPVVIMSSENVPTRINRCLEEGAEDFLLKPVRPSDVSRLCSRVLR >KQK99563 pep chromosome:Setaria_italica_v2.0:VII:33536501:33537268:1 gene:SETIT_011967mg transcript:KQK99563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTLLAIVLVLVVLCLAVPASTVATGSCNDLTAGFVKVQLPESDFVVQSPYDLPVEQRYRYDACTGVRTFWVYAGDKPFNNATTTNPRTEVRLRGHDYSSGVWQFEGYCYVPSGTSGASVMQIHNENAGAAHATTLMLHVYNGTLRHYSGEAVEDCIYDRWFRLNVVHDVGASTVAVYVDGGAPRLAVAVAPSASHYFKFGVYVQHHDVSPRVESRWRNVTVYTKPY >KQK99900 pep chromosome:Setaria_italica_v2.0:VII:35298367:35302437:-1 gene:SETIT_009590mg transcript:KQK99900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVAFPPPPAPFLDDDFDFGDFTFASAPAPAAPQPALADPRPDTFAAFDDDWGDFVASELGSNAGAPAPPTPPTATSDAAPSSWEKPRGPLPLSLFGAGDDQEEEGPGGPLPTDTAPQRAASFTTDGSRPADLKDLIAGLYGSQPSPTAGAADAGPQEEAEDGEGLGDDDWEFTAATAEPADQDRGGRALGDGIGKIEGITKSLSTDQEVQSSLTSVDEKLNHFRQTTVDIGTHESTGECVKASGYSPPNNSAILNLYEESVRADVIRIEESSAESVQNSYDLFSNNEMNSSFETDENRSSSSTGDCILIEFYHRLKEESLALVFKHVKDLKAAQKVFTLSGENRKATAIGREIQEIYDKLKDSSLPNGFCTEEHPRDVCITEVLNCIKEEQLKDFEQEYCLAEKISRAIEDTSVAVELYKHSVSTLHTLELASRKEQGDYVGAWYSMLLPCAQELQHGAAIWQKSCHTNVCDQVISEGGRYFIALGEIYRVAQILCFSMQCFKPWVLADPGMLSKMLVCLDRCINAWTSGLEMALKRVVDSNHLDASVAKPLLESINNINELEVPSLQNFLPTNKTTCRLSLLPPSSVPGMKLIMWNGNHYIVKVANLWANWISSYPPQMSVTPVVEEQRSNTY >KQK98905 pep chromosome:Setaria_italica_v2.0:VII:29881037:29881965:-1 gene:SETIT_011530mg transcript:KQK98905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYQQGGAYPPPGTAYPPPGQQAYPPPAYGAPPPMAAGYPPPPPPQQEKGGNDGFLKGCLAALCCCCVLDMCF >KQK98287 pep chromosome:Setaria_italica_v2.0:VII:26055968:26057407:1 gene:SETIT_011177mg transcript:KQK98287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIHLLQRGSDSNAPAAARPPAINPKGNHISFQCTDMGLMKARLADMELEFVAAWVRDGETVVEQLFFHDPDGNVIEICDCEKLPVVPLAGAGAGVPSLLLPVHDG >KQK98289 pep chromosome:Setaria_italica_v2.0:VII:26055968:26057407:1 gene:SETIT_011177mg transcript:KQK98289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMECIPVEEPAAGPAVPLVRLNHVSFQCASVEESVGFYQRVLGFELVKRPASLDFGGAWLHKYGMGIHLLQRGSDSNAPAAARPPAINPKGNHISFQCTDMGLMKARLADMELEFVAAWVRDGETVVEQLFFHDPDGNVIEICDCEKLPVVPLAGAGAGVPSLLLPVHDG >KQK98288 pep chromosome:Setaria_italica_v2.0:VII:26055968:26057407:1 gene:SETIT_011177mg transcript:KQK98288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKHYCRLHKYGMGIHLLQRGSDSNAPAAARPPAINPKGNHISFQCTDMGLMKARLADMELEFVAAWVRDGETVVEQLFFHDPDGNVIEICDCEKLPVVPLAGAGAGVPSLLLPVHDG >KQK99259 pep chromosome:Setaria_italica_v2.0:VII:31948181:31948523:1 gene:SETIT_012845mg transcript:KQK99259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSFCHVLISKHCVVLNDFCNDDTLLPSSL >KQK98746 pep chromosome:Setaria_italica_v2.0:VII:28957215:28958599:-1 gene:SETIT_010566mg transcript:KQK98746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRMAGTALLRHLGPRLFTAEPVSGLAGRGLVPAAARILPARMSSTAAEAAKEPAATEQHGGSTNKPKPAAPDGQDSKKGIVSYWGIEPRKLAKEDGTEWRWFCFRPWDTYRADTSIDMKKHHEPRALPDKLAYLLVRSLIVPKQLFFQRRHASHALLLETVAAVPGMVGGMLLHLRSLRRFEHSGGWIRALLEEAENERMHLMTFLEVAQPRWWERALVLAAQGVYFNAYFVAYLASPKFAHRFVGYLEEEAVHSYTEYLKDLEAGLIENTPAPAIAIDYWRLPADARLKDVVTVVRADEAHHRDVNHFASDIHYQGMKLKDTPAPLGYH >KQK99354 pep chromosome:Setaria_italica_v2.0:VII:32530240:32532309:-1 gene:SETIT_012075mg transcript:KQK99354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAASSSSIRHPHFLPAKPVSPPKPPTHPLPPRRPPRAQLVGAASTPRTSQAELRPDSKNASALSAELRRLARAGRLPSALSLLDHLSHRGVPATASAFAALLSACHSLPHARQVHAHLRVHGLDTNEFLLARLVELYLALGAADDARGVLDTMPQRGATAYSWNALLHGHVRRGRGEAAGPVAEAFAEMRAAGADANEYTYGCMLKSISGSARPSMAMATATHAMLIKNAFAGAPGMLMTGLMDVYFKCGKVKLAVRVLEEMPERDVVAWGAAIAGFAHKGMKREALEHFRWMVEDGVKVNCVVLTSIVPVIGELRARNLGREIHGFVVKKFGDRKDVAKVQAGLVDMYCKCGDMISGRRVFYSSKKRNAVSWTALMSGYASNGRPDQALRCIAWMQQEGIRPDLIAVGTVLPVCTKLKALREGKQLHAYALRRWFLPNVSLCTSLITMYGSCDCLEYSHRVFHDMDKKTVQAWTALVDAYLKNGDPLTAVDLFRSMLLTNRRPDAVAITRMLSACHDIGALKLGKEVHGQVLKLRMEPLPLVAAELVNMYGTCGDLKAAQMVFNRTESKGSLTCTSIIEAYAINQKHKEALDLFAWMLSNKFVPTKATFDVVLRICDAAGLHDEALEIFSFMVQEYKLEASQENFDCIIRLLMGAGRISEAQRFADLKSTLFNLPAPVLDSEHQ >KQK99627 pep chromosome:Setaria_italica_v2.0:VII:33885231:33885727:-1 gene:SETIT_012844mg transcript:KQK99627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMLIFASNQESPPHSGKLVAMCWFTPGKGVWVEDCVK >KQK96477 pep chromosome:Setaria_italica_v2.0:VII:9185907:9193212:1 gene:SETIT_009607mg transcript:KQK96477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWRLRRSGAVALAASSAVAVALAASSASASDPSTAALDAARQRVAKPGAAPPPRDAQRAALAGSTAAEPLDVLVVGGGATGCGAALDAATRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALKERKQVIDNAPHLCHALPCMTPCFNWFEVVYYWFGLKFYDLVAGRRLLHLSRYYSVDESVELFPTLAKSGHDRSLRGTVVYYDGQMNDSRLNVGLACTAAVVGAAVLNYAEVVSLIKDESGERIIGARIRDTLSGKEFETFAKVVINAAGPFCDSVRKMANSNIVPMICPSSGVHIVLPDYYSPEGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSSTAITMLPEPHEDEIQFILDAISDYLNVQVRRSDVLSAWSGIRPLAMDPSAKNTESISRDHVVFEDYPGLITITGGKWTTYRSMAEDAVNAAVRSGNLKPANGCVTDNLHIVGGYGWDPASFTVLAQNYKRMKKTYGGKVIPGAMDSAVSKHLSHAYGTLAEQVATIAQNEGLGKRLAHGYPFLEAEVAYCARHEYCESAVDFVARRCRLAFLDTDAAGRALPRIIEILASEHKWDKARKKVELQKGREFLETFKSSKNAQFSDGKHNGR >KQK97453 pep chromosome:Setaria_italica_v2.0:VII:21299763:21301703:-1 gene:SETIT_010586mg transcript:KQK97453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPRMASARSPKIPEFPAGPAGRPVPAVGLGTASFPLVEEDVRAAVLAALELGYRHLDTASLYRSERAVGEAVAEAARRGVVASREEVFVTTKVWCTQCHPELVLPSLRESLQNLQMEYVDLYLVHWPMAVKPSKPHFPMKREDMVPMDLGGVWQAMEECRRLGLAKMIGVSNFTTRKLKELLATANVPPAVNQVELNPSWQQRKLIEFCKDKGIHVTAYSPLGGQFRSKVLPSKVLDGIAKARGKSVAQISLRWIYEQGASMVVKSWKQERLKENTEIFDWELSDEDRLKISQMPQHKMARVTGILCPEGVSSVDITEVDVVEM >KQK96572 pep chromosome:Setaria_italica_v2.0:VII:12078777:12080677:1 gene:SETIT_009807mg transcript:KQK96572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRAPPFLADRETPSIARRHGMEQQLEEGTEGILAHILCTIPGPPVSADAHLCILLDSDGGGVDRVSLLPDALLRDIVSRLPIKDAARTAALSRRWRPIWLSTPLVLDDTHLLPAGRDEIPRHVEVAQSSAVAAAVSHILATHPGPFRCIRLACCHMDEDHGRVARWLQLLAVKGVQELFLINRCLSPFTITTHMPATFFSIATLTRLYLGFWRFPDTADLPRGAAFPHLRELGLCSVVIESRDMDFILARSPVLEILFLQGHMCPPLHLRLVSHSLRCVQIHWSHVETIAVVDAPCLERLILSGDWRKKGKGTRIKLAHAPVLRLFGYFEPEKHALHIGNTTIKAGTLMNASAMVPATKILALQVRFGVRNDAKMLPSFFRCFPNVERLHIYSKKTDEPTGKLNLKFWQEAGAIECVRSHINQLILHDFRGEKNELAFLKFFIESAQMLKNLVIVCAKGCFNSKAEVNSKLQTLFAGKKASKCCLPLVYESAFPEGGFPWNLQRASDFSRDDPFGFLALAGLGSWFY >KQK97950 pep chromosome:Setaria_italica_v2.0:VII:24145680:24147830:1 gene:SETIT_012445mg transcript:KQK97950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRHPSERNPRVMISTEHLTQGASKFKLILYAQTRDQKAVEYSSIFCLQSLVCRNHSGYFFPVLSAHQRLQLQAAVQERMVQYGSSHEYTANKQVVVHRRSDDRRRRRLPDIEHVLLVVQGEEPVAADGEVTDGAAVAPPERRRQHVLGPAEHGDAVVHRREELPAVRGELRVAALLAGHLPVHQLAAGAPAHAAGAAPEVEEAEVVVLVDHADGALVLHGDGVEHALVVERRGGAGVGPGPGHVLGPEVQQADETVVGLGEQRSHHERVGGVQPLELVGVAQCAAGGLVEEVGLLAGGAVPDADAVLVEPALAAGEDARVLVERQRTGGEGLGLPAVRDAEAVAELAGGGGERGHAAVALAQPLARRDQERAGVEVGRVVDLRVVERDRAALVEHPVVHAHGAAVRDAATAVGRGADGRAVPGCAPGHGRGEAEAVPVESAPPRGADVVEEAVVERLAVEELLREVRRHVEAARAEQVQQHREARRVAVDEVLGHPAAPAAGGDVPGGVEQRAEHGVPAGVGERGGGRLEHLPAHVEPHAPAARHRRRLPKNRSLHRPSLARHPAHNLRSNCAANPVGIELPDLPPLLRCTWRLGRPRRIGQLLLAASVRGRGVYVYELVSTGWLDRCLGLIYGVTAARRRRRGKRRRRGRTGEAGGGDGQVAGEGGGGVVSLWREDGACLGGQVPRRHRRGRGRGF >KQK96252 pep chromosome:Setaria_italica_v2.0:VII:2531559:2533241:-1 gene:SETIT_010850mg transcript:KQK96252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKLAGRGSDLMGGKELAKKRKADKTAMASSQGGSRRATAGSERTLRPRRNKRAASKDADEIVNEEERNESSDDQESDENFYVSHRDAYAAEADSNDDEEEEGVEDEEENLDEDVRAPAGVIMTKAHVVTIAQYIDWNTLEEYNDPILNEIVSMCKQRKIYDLMGYKHDWNTEIIAQFFATLYFGDAGCDTVMYWRTEGRLYSVAYTKFAETFGYGKADARRPKIHRKSSMPASELKFMYPKDHTGDYGKVKGLYSYYSTLNRMFR >KQK96102 pep chromosome:Setaria_italica_v2.0:VII:372763:373154:1 gene:SETIT_012498mg transcript:KQK96102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPHFTPELVFPLPDKSPSRISDELEIPLVNGRSVYIQSAVEQSPGVVTPQLVPANHTIHSKPPVSLGIRNSHLNRRNQMFEATIGRNTKWSASEKFNYTSQSTQTSCVMDTGN >KQK96276 pep chromosome:Setaria_italica_v2.0:VII:2940611:2941999:-1 gene:SETIT_012015mg transcript:KQK96276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLPTDRMDSLFSPRCVWVNGPIIIGAGPSGLAVAACLREQGVPYVILERADCIASLWQKRTYNRLKLHLPKQFCELPRMPFPDHYPKYPTRRQFIDYLEDYAAKFEIKPEFNSTVQSARYDETSGLWRVLTSAPATGDMEYIGSWIVVATGENAESVVPDIPGLEGFDGKVTHVSDYKSGEIYNGKSVLVVGCGNSGLEVSLDLSDHGAKPAMVVRDAVHVLPREVLGKSTFELAVLLMRWLPLWIVDKIMILLAWLVLGDLAKLGLRRPAAGPLELKETHGRTPVLDYGALARIRAGDIAVVPAVKRFAKGTQVELADGRVLNFDAVILATGYRSNVPQWLQGNDFFTKDGYPKIAFPHGWKGQSGLYAVGFTRRGLSGASADAVRIAADLGNVWREETKPSKRPGACHRRCISVVF >KQK98464 pep chromosome:Setaria_italica_v2.0:VII:27164816:27166634:1 gene:SETIT_012758mg transcript:KQK98464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSWDVTGHLYHPVNADKSYGLSFPEGCFGVCCGASHGWLILANDLSNLVLYNPVTLAMIPLPPVTDFACVKAVSGGYSFGTSTSTVYKPNRFAIWFYQKAVLSCSPSKVGDYIAMIIHYTRQNASRVRPSETTGHTRPARTACASVRPGAGAGRTRLAGGCGDWQPSAEAVRRWPGRRRSTPGRRGGRGGGQRGGGQRRRGGAGGAEVVCSVGRRPAVPWRSCSRTTPGGGGGAGEELQPGGARRRKKIEKEVREKKEEDYRWGSQQTVLKGHPSQPSQPFQPNKRLGPTHPSPSLAGQSKWQVASTLSRRDRYLDCAYHKGRFYAVTLNGMVEKWDLDGANGPTREVLVAARPHPGCILSRHLVSAPWGDLLQVRAKLANNYPDGIAFEIYKVVPDGCEVVVQENVLEDHVLFLGLNHSACLPTQNLPGIRRHCIYFSAPVIIHVFDFLLQLRVWGGVRTYDLERGKFERAVPFCDVKELIYGVFPSEVWITQNM >KQK96372 pep chromosome:Setaria_italica_v2.0:VII:4628133:4630629:1 gene:SETIT_010429mg transcript:KQK96372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNPAAGAAVDGDEATCLHALELISSFAVSMTVKAAIELGLIDALSTAAGRAMTADELSAQLPTADKAEAAASVDRLLRLLASYNVVNCSTETGPSDEALQRRYTATPVCRWLTSNSGEGSLAPLAMFAVDEDYLPSWHHLGAAVAGGGPAAFERAHGVPMFRYMGMNTRLNRVFNQAMAQQTMMVIGKLLDRFKGFDGIGVLVDVGGGTGATLEMITSRYKHIKGINFDLPHALSEAPAIPGVQHVTGNMFEEVPYGDAIFLKSILHLQNDEDCMKILSNCHRALPERGKVIAVEIVLPAIPEATPVAQNPFRLDVIMLNNFRGGKERTEQEFVKLARDSGFEGEFGSTYIFANYWALEFSK >KQK99706 pep chromosome:Setaria_italica_v2.0:VII:34295871:34296521:-1 gene:SETIT_011870mg transcript:KQK99706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVHPNAADPAEPPSPAPEVEEPPTVLTVWRKSLLFNCDGFTVFDAKGDLAFRVDCYGSGRRRAEVVLMDVAGKPLLTVRRKRLSFLAEHWVIYDGDAAEDESSRPLLSVRRHVSLRASKALAHVTPHAASATSATYVVEGSYGRRACAVRDARGDAVAEVRRKESVGDDVFRLVADPHLGAPLAMGLVIALDEMFAGGRGRSARSSLLRRTWSA >KQK98968 pep chromosome:Setaria_italica_v2.0:VII:30238808:30250812:-1 gene:SETIT_009167mg transcript:KQK98968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFDPMDWYCQPVKHGAWSHVVENAFGPYTPCGIDTLVVCISHLALFGVCFYRIWRTTRDYRVQRYKLRSPYYNYLLGLLLVYCIAEPLYRIATGTSIMNLDGQPGLAPFEIVSLIIESAAWCCMLVMILLETRIYIYEFRWYIRFVVIYVLIGEAAMFNLVLSVRQYYSSSSIFYLYCSEIVCQFLFGILMVVYLPSLDPYPGYTPIRNEELVDNTDYEPLPSGEQICPERHANIFSRIFFSWMTPLMQQGYKRPITDKDIWKLDTWDETETLYSRFQKCWNDELRKPKPWLLRALHSSLWGRFWLGGFFKIGNDASQFVGPLILNLLLESMQKGDPSWSGYIYAFSIFAGVSLGVLAEAQYFQNVMRVGFRLRSTLIAAVFRKSLRLTNDSRRKFASGRITNLISTDAESLQQVCQQLHSLWSAPFRIVISMILLYAQLGPAALVGALMLVLLFPIQTVIISKMQKLTKEGLQRTDKRISLMNEVLAAMDTVKCYAWEQSFQSKVQDIRDDELSWFRRAQLLAALNSFILNSIPVIVTVVSFGVYSLLGGDLTPAKAFTSLSLFAVLRFPLFMLPNLITQVVNCKVSLKRLEDLLLAEERLLLPNPPIDPELPAISIKNGYFSWESQAERPTLSNVNLDVPVGSLVAIVGSTGEGKTSLISAMLGEIPPVSGSDTSVVIRGSVAYVPQVSWIFNATVRDNILFGSPFQAPRYEKAIDVTSLRHDLHLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVYIFDDPLSALDAHVGRQVFDKCIKEELQHKTRVLVTNQLHFLPYVDKIVLIHDGVIKEEGTFDELSNSGELFKKLMENAGKMEEQVEEKQDESKSQDVAKQTENGDVVIVDGGSQKSQDDSNKTKPGKSVLIKQEERETGVISAKVLSRYKNALGGVWVVSILFFCYALTEVLRISSSTWLSVWTDQGSLKIHGPGYYNLIYGILSFGQVLVTLSNSYWLIISSLRAAKRLHDAMLRSILRAPMVFFHTNPLGRIINRFSKDLGDVDRNVAVFVNMFMAQISQLLSTFVLIGFVSTMSLWAIMPLLILFYAAYLYYQTTSREVKRLDSITRSPVYAQFSEALNGLSTIRAYKAYDRMANINGRSMDNNIRFTLVNMSSNRWLAIRLETLGGIMIWFTATFAVMQNQRAENQKAFASTMGLLLTYTLNITNLLTAVLRLASLAENSLNAVERVGTYIELPSEAPPVIEDHRPPPGWPSSGVIKFEDVVLRYRPELPPVLHGISFIINGSEKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDTSKFGIWDLRKVLGIIPQAPVLFSGSVRFNLDPFNEHNDADLWEALERAHLKDVIRRNALGLDAEVSEAGENFSVGQRQLLSLARALLRRAKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTVIDCDRLLILSAGQVLEFDSPENLLSNEDSAFSKMVQSTGPSNAEYLKSLVFGSGEERSRREEIKLQDIQRRWVASNRWAEAAQFALARSLTSSHSDLLALEAAEGNNILRRTKDAVITLQSVLEGKHNSEIDESLNQYQVPADRWWSSLYKVIEGLATMSRLGRNRLQQPSYNFENNGSIDWDQM >KQK96968 pep chromosome:Setaria_italica_v2.0:VII:17814717:17824479:1 gene:SETIT_009249mg transcript:KQK96968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEPAYEHIVLVQYREVAEGRYYSSQLSNGPPESFSSLGYPSAIYGNQYLSSTSGTSEGSESHQSYSNLSSVTEVSSYSGNKEYNKDGGSLLSIPELGQTCLEQTTEVYRDDNDNSKNKSGLNVALKKIAEQLSLGDDNDDDYIYSNKAQSLGFATNIEAAGDDQLKQIQPEGTQKGLGRNIAPSWEDVLHSSSGLPTPSIYQSDVQYQQNSEYHPPGSLDSSDLRIQLSAAKRFLLGPEASIDSPSSNFMLRNKGNSGTDTLSAHDSRLESSLNPDWRTKAPLMFQSDSQGSEITELLFDHGQFEPYSRADTRLTLGLTKQFNIREISPEWAFSYEITKVIITGEFLCDPSNLCWAVMFGDSEVPVEIVQPGVLRCHTPLHSSGKLRVCITSGNREVCSDFKEFEFRSKPTSSTFSDLTPSSRPLKSSEELLFLAKFSRMLLSENGSSEIPDGDPQSAQFPKLRTNEELWDRLIGELKLGCETPLSMVDQIMEELLKSRLQQWLSVKLKGLNGTASSLSKHEQGIIHLISALGYEWALSSVLSAGVGLNFRDSNGWTALHWAAYFGREKMVAALLAAGASATAVTDPSAQDPVGKTAAFLASERGHTGLAGYLSEVLLTSYLASLTIEESDVSKGSAEVEAERAVESISQRSAQLHGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLRDEYGMTQEDIDELAAASRLYHQAHASSGQFYDKAAVSIQKKYKGWKGRKHFLNMRRNAVKIQAHVRGHQVRKKYRTIVSTVSVLEKVILRWRRKGHGLRGFRAEQQPMVGAVEDDDEEDDDFYDDEAVKVFRRQKVDQAVKEAVSRVLSMVDSTEARMQYRRMLEEFRHAT >KQK96969 pep chromosome:Setaria_italica_v2.0:VII:17814717:17825117:1 gene:SETIT_009249mg transcript:KQK96969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQSFDINVLREEARSRWLKPSEVYYILQNHERFPITHEAPKKPLSGSLFLYNRRVNRYFRRDGHTWRRKKDGRTVGEAHERLKVGNVDALSCYYAHGEQNPCFQRRCFWMLEPAYEHIVLVQYREVAEGRYYSSQLSNGPPESFSSLGYPSAIYGNQYLSSTSGTSEGSESHQSYSNLSSVTEVSSYSGNKEYNKDGGSLLSIPELGQTCLEQTTEVYRDDNDNSKNKSGLNVALKKIAEQLSLGDDNDDDYIYSNKAQSLGFATNIEAAGDDQLKQIQPEGTQKGLGRNIAPSWEDVLHSSSGLPTPSIYQSDVQYQQNSEYHPPGSLDSSDLRIQLSAAKRFLLGPEASIDSPSSNFMLRNKGNSGTDTLSAHDSRLESSLNPDWRTKAPLMFQSDSQGSEITELLFDHGQFEPYSRADTRLTLGLTKQFNIREISPEWAFSYEITKVIITGEFLCDPSNLCWAVMFGDSEVPVEIVQPGVLRCHTPLHSSGKLRVCITSGNREVCSDFKEFEFRSKPTSSTFSDLTPSSRPLKSSEELLFLAKFSRMLLSENGSSEIPDGDPQSAQFPKLRTNEELWDRLIGELKLGCETPLSMVDQIMEELLKSRLQQWLSVKLKGLNGTASSLSKHEQGIIHLISALGYEWALSSVLSAGVGLNFRDSNGWTALHWAAYFGREKMVAALLAAGASATAVTDPSAQDPVGKTAAFLASERGHTGLAGYLSEVLLTSYLASLTIEESDVSKGSAEVEAERAVESISQRSAQLHGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLRDEYGMTQEDIDELAAASRLYHQAHASSGQFYDKAAVSIQKKYKGWKGRKHFLNMRRNAVKIQAHVRGHQVRKKYRTIVSTVSVLEKVILRWRRKGHGLRGFRAEQQPMVGAVEDDDEEDDDFYDDEAVKVFRRQKVDQAVKEAVSRVLSMVDSTEARMQYRRMLEEFRHATAELGGSHEVTSIFDSDLELLGINNFML >KQK96970 pep chromosome:Setaria_italica_v2.0:VII:17821071:17824479:1 gene:SETIT_009249mg transcript:KQK96970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNKGNSGTDTLSAHDSRLESSLNPDWRTKAPLMFQSDSQGSEITELLFDHGQFEPYSRADTRLTLGLTKQFNIREISPEWAFSYEITKVIITGEFLCDPSNLCWAVMFGDSEVPVEIVQPGVLRCHTPLHSSGKLRVCITSGNREVCSDFKEFEFRSKPTSSTFSDLTPSSRPLKSSEELLFLAKFSRMLLSENGSSEIPDGDPQSAQFPKLRTNEELWDRLIGELKLGCETPLSMVDQIMEELLKSRLQQWLSVKLKGLNGTASSLSKHEQGIIHLISALGYEWALSSVLSAGVGLNFRDSNGWTALHWAAYFGREKMVAALLAAGASATAVTDPSAQDPVGKTAAFLASERGHTGLAGYLSEVLLTSYLASLTIEESDVSKGSAEVEAERAVESISQRSAQLHGGTEDELSMKDSLAAVRNAAQAAARIQNAFRAFSFRKRQQKTARLRDEYGMTQEDIDELAAASRLYHQAHASSGQFYDKAAVSIQKKYKGWKGRKHFLNMRRNAVKIQAHVRGHQVRKKYRTIVSTVSVLEKVILRWRRKGHGLRGFRAEQQPMVGAVEDDDEEDDDFYDDEAVKVFRRQKVDQAVKEAVSRVLSMVDSTEARMQYRRMLEEFRHAT >KQK97058 pep chromosome:Setaria_italica_v2.0:VII:18567522:18568400:-1 gene:SETIT_011742mg transcript:KQK97058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTVAITLDLSCGRCRSKIEKILCSIQERGKFVFDKVVYEKDKVLITGSFDAIELCCKLRCKAGCFVIKIDIVEEKKPPPPPPECPKVPKCCEKDPKKCCKKDPKCCKEEEPKCKPDPKCCKEEEPKCKPVVDSPKPVVDPKKPDPITCNKMIPFFPYPYPCPYPYPQPACPPPSCPTPPRPCECHICKPPPPPPPPPPCPKPPPVCPPPPPCPLPPVCHPQPPCPRPPCPCPPWTPCQCHYHYRDYCCEGSAHDGGSCALM >KQK98406 pep chromosome:Setaria_italica_v2.0:VII:26798360:26804478:1 gene:SETIT_009256mg transcript:KQK98406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTRTLFLSCFNGGGGGEVSRHLALRPRYPSMPRRPRPATVAGDAGGGGGSGGDLEAAKGAAEKAAEEEKVAVFEVIGMSCAACAGSVEKGVKRLPGIHDAAVDVLGGRAQVIFYPVFVSEEKIREAIEDVGFEAKLINEEVREKNILVCRLHIKGMTCTSCTNTVESALQAFPGVQRASVALATEEAEIRYDRRIVAANQLIQAVEETGFEAVLITAGEDRSRIDLKIDGVLNERLIMILESSIQALPGVEDIKVNTELHKITISYKPDQTGPRDLIEVIESATSGDITASIYPEAEGREHHRYGEIQRYKQSFLWSLIFTIPVFLTSMVFMYIPGLKDGLEKKVVNMMSIGELLRWILSTPVQFIIGRKFYTGAYKAMCHGSPNMDVLIALGTNTAYFYSVYSVLRAATSENYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLIHDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALSQIVRLVESAQMAKAPVQKFADQISRVFVPLVIVLSLLTWLVWFLAGRFHGYPYSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASKGVLIKGGQALESAHKVDCIVFDKTGTLTIGKPVVVDTRLLKNMVLREFYDCVAAAEDNSEHPLAKAIVEHAKKLHSEENHIWSEARDFISVPGHGVKAKVNDKSVIVGNKSFMLSSGIDIPMEALEILAEEEEKAQTAIIAAMDQEVVGIISVSDPIKPNAHEVISYLKSMNVESIMVTGDNWGTANAIGKEVGIEKIIAEAKPEQKAEKVKELQLSGKTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVVTAIDLSRKTFFRIRMNYVWALGYNIIGIPIAAGVLFPSSRFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKKFGN >KQK96549 pep chromosome:Setaria_italica_v2.0:VII:11847798:11850729:-1 gene:SETIT_011819mg transcript:KQK96549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYALGCTWVCVRWATRRRSTLAPRPLAATTSGGEANNGRHYDGGNIDSAINGRHDDGGGDARNDGGDINGGDVDDGNIDGAIRAHSTDYAECVEAATHAGLDVLEAYGSADGNEFSSHEATFAGLATGEVESSERNIDKTPKSSKSDADLCEDFWNEIGFPRGTRWWEHQDHGPSPSGPPVIEQSAATTPTAARTRNSTKRSCHPSLEGSVAAATNIDGGDVGGIHAAGAPIDTLIRALQPRHRTTPPPAPPAMAARQPAPPPTADARRAASTEPPKLATTKSSPPAGVGQTYGREHGPGHGDHQDGNGHGSAGQQQGAPTFRGRVETPGFGSNSVNQQGTNAHGPEQALPQHQAGTVDTGTSKAKKRPYCWRCSGDTVEGFKVDLDCIICNKKNSHISAKCPILKMPKPNATFFGFGKNEMGYLRMPEFDFKLQTPNPAPTALIKVTGGKLAAEVVQTELARLDHSGDFGGGHAYIQKKGVIRVLVGMLNRGLLPFSTDLVFGVEGYEVTFTPEANDLEPATPPPESNGQMDQDDPKSSGDGSLDNNNPDQVAKKQKNTGGASGSGSAPTATGPSPMHTTRELTLDTTSFSLGLISTREKRGVPR >KQK97447 pep chromosome:Setaria_italica_v2.0:VII:21259647:21263753:1 gene:SETIT_009302mg transcript:KQK97447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAMELLGRSFLPGPAAGAAGRERGGGPCFAAVGREGRRGRRPLRSAAPVGALAERVVVTPAPAERAGAAPPEEQPPQHPQSVAARAVVTVRRRRKEDTKRRVAEQLDAYADRVGRSVLLELVSTETDPRKGGPKKSKRSALVGWFEKKDVKAERVVYTAEFTVDASFGEPGAVTVLNRHQREFFIESIVVEGFPTGPAHFTCNSWVQPTRVDRNPRVFFTNKPYLPAETPPGLQELRQKELSDLRGESAADAAGERKLTDRVWDYDVYNDLGNPDKGAEFARPVLGGEQLPYPRRMRTGRPKTVTDERAESRVEYPEPTYVSRDEEFEEGKNEMLSEGALKALLHNFMPLLVSSVSPDIRDFAGFHDVDNLFKEGLRLKQALQDQLFQKIPFVRKIQENSEGLLRYDTPDIIKKDKFAWLRDDEFARQALAGINPVNIERLQAFPPVSKLDPAVYGPKESAITEEHIIGQLDGMSVAQALEDNRLYMLDYHDIFLPFLDRINSLDGRKAYGTRTLFFLTAAGTLKPIAIELSLPPMTEGCKRAKRVFTPPADATSNWLWQLAKAHVCSNDAGVHQLINHWLRTHACMEPFIIAAHRQMSAMHPIFKLLKPHMRYTLKINALARQILIAGDGVIESGFTPGRYCMEMSAFAYRELWRLDQEGLPADLIRRGMAVEDPTKPHGLRLLIEDYPYATDGLLLWSAIERWCDAYVATYYPSDESVRGDAELQAWYDEAVRVGHADKRDAPWWPRLSTPADLASLLTTLLWLTSAQHAALNFGQYPLGGYIPNRPPLMRRLVPAEGDPEHAHLVADPHRFFLSALPSLTQTTTFMTVIDTLSTHSADEQYLGERPDEAWTADPAALAAAREFAEEVRRAEEEIERRNADTGRRNRCGAGVLPYELMAPTSGPGITCRGVPNSVTI >KQK99255 pep chromosome:Setaria_italica_v2.0:VII:31928057:31931469:1 gene:SETIT_010603mg transcript:KQK99255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMTRAPMGPMEGAAVDEVVRRLVEGGRGGRQVQLSEAEIRQLCVEGKRVLLSQPNLLRIHAPVKICGDIHGQFVDLLRLFDLGGYPPTSTYVFLGDYVDRGKQSLETICLLLAYKIRYPEKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFSDCFNCLPIAALIDDKILCMHGGLSPELTSLDQIKDIERPAEIPDYGLLCDLLWSDPSPDGEGWGESDRGVSCTFGADKLVEFLEKNDLDLICRAHQVVEDGYEFFAQRRLVTIFSAPNYCGEFDNVGALLSIDESLMCSFQILKPTDMGPPHARKQIPNKPARG >KQK99830 pep chromosome:Setaria_italica_v2.0:VII:34957178:34959851:-1 gene:SETIT_010743mg transcript:KQK99830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYPPHGSGYPYGPGAGGGGGGYGSSPAASAPPYGEKPPKEGKTSSSSSAPPYYGAPPSSQPYGGGGYGAPPAGQQYGAPYGAPPPSSTPYGAPPTAYGGAGGYGSPFASLVPSAFQPGTDPNVVACFQSADRDGSGMIDDKELQAALSGYNQSFSLRTVHLLMYLFTNTNVRKIGPKEFTSVFYSLQNWRGIFERFDGDRSGKIDSSELRDALLSLGYSVSPTVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKEKDAAFSGSATFTYEAFMLTVLPFLIA >KQK98559 pep chromosome:Setaria_italica_v2.0:VII:27932762:27934416:1 gene:SETIT_012586mg transcript:KQK98559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYISLCKRRVHTQEAWQCHVHGNIFNLRDISQLATCKHKMALSRALCCSSLIATLLLIAPSSAVVSRALFIFGDSLVDAGNNDYLVTLSKANAPPYGVDFAFSGGKPTGRFTNGMTIADIMGEALGQKSLAPPYLAPNSSAAMTNSGINYGSGSSGIFDDTGSFYIGRIPLRQQISYFEKTKAQILETMGEEAATDFFKKALFVIAAGSNDILEYLSPSVPFFGREKPDPSSFQDALISNLTFYLKRLNELGARKFVVSDVGPLGCIPYVRALEFMPAGECSASANRVTEGYNKKLKRMVEKMNQEMGPESKFVYTNTYEIVLEFIQNYRQYGFDNAMDPCCGGSFPPFLCIGTANSSSSLCSDRSKYVFWDAFHPTEAANLIVAGKLLDGDAAVASPINVRELFQYEHK >KQK96151 pep chromosome:Setaria_italica_v2.0:VII:1017085:1020424:1 gene:SETIT_010328mg transcript:KQK96151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRPHSPAKQHLLRHHHPFASSSPPSSPLRHSSTSSSSSPRNHHHHLAAAGYPHPFLFFSRRPLPRFAAFFLLGSFLGLLHFLSHLPHTPHIQPTVSPNNPAVTTDFPIVRLQDDDDTNTNRKKLLIVVTPTRARAAQAYYLSRMGQTLRLVDPPVLWVVVEAGKPTPEAAAALRRTAVMHRYVGCCDKLNASDSSSIDLRPHQMNAALELVENHRLDGIVYFAHEEGVYSLELFQRLRQIRRFGTWPVPVISEDRKDGVVLEGPVCKQNQVVGWHTSEDSSKLRRFHVAMSGFAFNSTMLWDPKLRSHLAWNSIRHQDTVKEGFQGTTFVEQLVEDESQMEGIPADCSHIMNWHVPFGSENLAYPKGWRVGTNLDVIIPLK >KQK96152 pep chromosome:Setaria_italica_v2.0:VII:1017085:1019426:1 gene:SETIT_010328mg transcript:KQK96152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRRPHSPAKQHLLRHHHPFASSSPPSSPLRHSSTSSSSSPRNHHHHLAAAGYPHPFLFFSRRPLPRFAAFFLLGSFLGLLHFLSHLPHTPHIQPTVSPNNPAVTTDFPIVRLQDDDDTNTNRKKLLIVVTPTRARAAQAYYLSRMGQTLRLVDPPVLWVVVEAGKPTPEAAAALRRTAVMHRYVGCCDKLNASDSSSIDLRPHQMNAALELVENHRLDGIVYFAHEEGVYSLELFQRLRQIRRFGTWPVPVISEDRKDGVVLEGPVCKQNQVVGWHTSEDSSKLRRFHVAMSGFAFNSTMLWDPKLRSHLAWNSIRHQDTVKEGFQGTTFVEQLVEDESQMEGIPADCSHIMNWHVPFGSENLAYPKGWRVGTNLDVIIPLK >KQK99530 pep chromosome:Setaria_italica_v2.0:VII:33339535:33345389:1 gene:SETIT_009229mg transcript:KQK99530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGGGGGGRGGGRAARGGGGYGRRDTRPGGGASRDDRDRRPDYRPRRTPSPDRRPRRPRGEDDYRDPPRNGRIGYGGGDRSPLRRERTGYDHRRASPRRGRVEYEDPRDPPVRGPNRDYGGDRHLSPRGPREYGGDRHVSPRGARDYIRAPYNEDRDRYDRDGRGGDQRYETPPAYMLPDHPSDLGRPSLRAGKNERNYLGGSGDRSLLKGDHLEGGLGPRSIGNESELFGDGGVTLRISATEMGRTTAMYSQDRRSPLLRRSPPPRAALSAPMYPTVLPETGFLMGGSAMKASEDYGAGNTQLLHDDGSFKHHKHSRDPYIERSKDIERHYSGSRDLVIENGGGTERFYSAGDVTTGRVRDTDRLYSRGTLEPDLVPCTQSKFLGDSSPALLAKDHPYRMHTEPVYEPSNRYIMDGLGRSSHDSLGHGSGHRHKLSGSPLEHGSAHGDETLLDIARQSHPKRAIRAASMEYDAHDEHARRDPINDAYAAPENLRVNASLNSRHISAAPSLRGIRDERINHHLRLSHRTEEFESSFDAMNRDTEHLNKHSYDGDASIQYPTARGGNDRYSHSPESEPIGIARRPARHHESASFENLSDQEASPLVSRKRYRSPAYLYHDVYHADDGFAGCEHYDDDMDAYVLPPPRVSGYDMVDDDDEYDIPANCNVFSRLALPHENNGEWTDVDQGNHPHSDILTYGRPKHIPMSQRLSRPSSHSQFQGTFMHGRGRGRGRGGLTKSAKKRLKTGPHQFHGGYVSEKNEFIKPNKFSKLSEDDPNGSGVKHEDAPENDVLPVQKDPPESSDEFSKQVHQAFLNYVKILNESPAMQKKYREAAKGSLSCCVCGSVARKFPDLDALLSHAYDTSKAGLKTKHLGFHKALCVLMGWNWLVAPDTSKAHHSISSEEVNVMRGDLMLWPPVVVIHNSSIVNKAKDTEAKVVSMEEIEGVLADIGVPREKVKVSHGRPANQSVFLVKFQPTISGFQEAMRINDYFSSRNHGKEEFQQMRDGKGKKAAPVDNLEELLYAHIAVVEDLVYLDEEAKRRCKIRSKKEVETSADATLNLEP >KQK98099 pep chromosome:Setaria_italica_v2.0:VII:24934266:24936514:-1 gene:SETIT_010814mg transcript:KQK98099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCEKMGLKKGPWTPEEDKVLVAHIQRFGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSKEEEDAIIRLHEQLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEPTKQEEAQREAPAAGGGGKKRRPAAPAKRSGARRAATDAATEAAAAAAPVSSPERSASSSVTESSVTEQGNTGSSSGFPKEESFTSSPPDAEEFQFDESFWSETLSMPLESFDVPMEPVDAFGAAATSSAVGAADDMDYWLRVFMESGDVHQELPQI >KQK97503 pep chromosome:Setaria_italica_v2.0:VII:21530749:21531552:1 gene:SETIT_013010mg transcript:KQK97503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLICYLCLQDDGLAVRKRAGFIDCFQAFYRSVLSGNDLPASDIL >KQK97351 pep chromosome:Setaria_italica_v2.0:VII:20717414:20721054:-1 gene:SETIT_011703mg transcript:KQK97351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLRRSTFSLLEPPDNLDPNEEKVWTCEVSGKSNLTYEEALVCTLSLTDLLEKVYCSLLLDFFEGQELHAKKDGSEAACKILKVIGSGSTKLYEVGWLGQENAVVNTSVVKADDLIRKKAPASRNILKMFIRDSTSKRSPWIVNADLARKYGIDTEPPEDIMHGSQNGEDLYKGRKRFANGEDTSNKLKKDEKLVGLPVKYPIDDLLVKPTADDPILPKRPPLSRDFRVPVDSVGDLLMVWEFCLSFGRLLCLSPFSLSDLENAICNKESNLVLVVEMHAALFHLLIRDEGEYFTFLLNKKKTLKVTLVTWAEYLCNFLEMIRKEEFSSKVSKIRRGHYGLLDTGLKLKILRELVEEAITTSAVRGQLNEWIDQQQALAAAKREDARKNREEQKFNMEGVAENGRNHTDTIQNDNECPKNQPEGKEQKGLNIFLSSKTGDEKMFLRRHLETEMEQQSLRPGHLGKDRFYNRYWFFRCEGRLFAESADSKEWGYYSTKEELDALLGSLNIKGTRERALKRQLDKSYDKISNALENTSKDTEQKTLHEEVDLRRSTRIHAQPKEDSPSMSFLKYINKWKQK >KQK96905 pep chromosome:Setaria_italica_v2.0:VII:17315232:17317465:-1 gene:SETIT_011458mg transcript:KQK96905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKFAVKRKAVGIWGCKDCGKVKAGGAYTMNTASAVTVRSTIRRLREQTEA >KQK96862 pep chromosome:Setaria_italica_v2.0:VII:16667489:16668148:1 gene:SETIT_013073mg transcript:KQK96862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLWLGIICALVVQMLLLLTITLCSNWEKEALKATDRIFSSSLPVDMTT >KQK96509 pep chromosome:Setaria_italica_v2.0:VII:10399943:10400379:1 gene:SETIT_012508mg transcript:KQK96509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTLFSMANDLSQEYIAHVIVIVFSPTGEHKACGAPTADSILHTYLPKIHSSSCPACSETAGEATARFDGMNLEIEETAFLPWWKGRMSMGEQNWWEVDVEALRAVELPVFVKALEVLRTNVQCHLNAMESS >KQK99078 pep chromosome:Setaria_italica_v2.0:VII:30828880:30832155:-1 gene:SETIT_009333mg transcript:KQK99078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPAWLSRRLWRSARKVLPVYLDLESRARILDLGSSKKPPLTSRTTFLTTKSRGLMMDMVYIPIFILVFFSSLCQSNDQLTQAKPLFLKDKLVSEGGDFALGFFSPTNSSRKLYIGIWYNSIPERTVVWVANRDNPINSPSSAKLAITNNSELMLSDSQGRSIWKTNNTRGGAGAFAVILNSGNFVLRLPNGRDIWQSFDHPTDTTLPTMRLILSYKAQSAATRFFAWKGPDDPSTGDISCSMDPPFNLQMFIWNRTLPYIRFSIVNGVSVTGGTYQSNGSSIVMYQEMINTRDELYYKYTVSPGSPYTRLSLDYTGKFRLLSWSSAASSWAVIFERPTADCDLYASCGPFGYCDLTEAIPTCHCPDGFEVVDQLNFSRGCRRKEAIKCGKESYFTTMPNMKVPDKFLHIRNRSFDQCASECTRNCSCVAYAYANLSNAGTTGDTSRCLVWTGDLIDMEKASFAENLYIRLGESPDQKKSNLLKILLPVIACLMLFALVALVWICKSRGKLQKKKVQKRTMLEYMSSTDEAGDKNIEFPFISFENIVTATDNFSAHNMLGQGGFGKVYKGMLEGTKEVAVKRLSKGSGQGTEEFRNEVVLISKLQHKNLVKLLGCCILEDEKLLVYEYLPNKSLDYFLFDSARKAMLQWPTRFKIIQEVARGIMYLHQDSRLTIIHRDLKASNILLDKEMSPKISDFGMARIFCGNQHHANTNRIVGT >KQK99079 pep chromosome:Setaria_italica_v2.0:VII:30827978:30832276:-1 gene:SETIT_009333mg transcript:KQK99079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSPAWLSRRLWRSARKVLPVYLDLESRARILDLGSSKKPPLTSRTTFLTTKSRGLMMDMVYIPIFILVFFSSLCQSNDQLTQAKPLFLKDKLVSEGGDFALGFFSPTNSSRKLYIGIWYNSIPERTVVWVANRDNPINSPSSAKLAITNNSELMLSDSQGRSIWKTNNTRGGAGAFAVILNSGNFVLRLPNGRDIWQSFDHPTDTTLPTMRLILSYKAQSAATRFFAWKGPDDPSTGDISCSMDPPFNLQMFIWNRTLPYIRFSIVNGVSVTGGTYQSNGSSIVMYQEMINTRDELYYKYTVSPGSPYTRLSLDYTGKFRLLSWSSAASSWAVIFERPTADCDLYASCGPFGYCDLTEAIPTCHCPDGFEVVDQLNFSRGCRRKEAIKCGKESYFTTMPNMKVPDKFLHIRNRSFDQCASECTRNCSCVAYAYANLSNAGTTGDTSRCLVWTGDLIDMEKASFAENLYIRLGESPDQKKSNLLKILLPVIACLMLFALVALVWICKSRGKLQKKKVQKRTMLEYMSSTDEAGDKNIEFPFISFENIVTATDNFSAHNMLGQGGFGKVYKGMLEGTKEVAVKRLSKGSGQGTEEFRNEVVLISKLQHKNLVKLLGCCILEDEKLLVYEYLPNKSLDYFLFDSARKAMLQWPTRFKIIQEVARGIMYLHQDSRLTIIHRDLKASNILLDKEMSPKISDFGMARIFCGNQHHANTNRIVGTYGYMSPEYAMEGIFSVKSDTYSFGVLLLEIVSGLKISSPHLVMDFPNLIVYAWNLWKDGKTEDLVDPSVKENCSFDEVSRCIHIGLLCAQDNPNCRPLMSTVVLMLESKTTPLPTPLHPVYFASRDAEPGRSSYNRVLSLNDMSVTVLEGR >KQK98407 pep chromosome:Setaria_italica_v2.0:VII:26807018:26812910:1 gene:SETIT_009257mg transcript:KQK98407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGATTRAILLSCFHGGGGGSEVSRRLALRPRYPSMPRRPKRAAVSGGCGGGGGGGDLEAAAGAGNEEEEEKVAVFSVSGMTCAACAGSVEKAVKRLPGIHDAAVDVLGGRAQVVFYPAFVSENKITEAIEDVGFEAKLIDEDVMEKNILLCRLHIKGMACKCCTSTVEFVLQASPGVQRASVVLATEEAEIRYDRRIVSASQLIQAVEETGFEAILVTTGEDRSRIDLKMDGVLDERSLMIVKTSVQALPGVENIKFNTDLHKVTISYKPDQTGPRDLIEVIKSATSGLVNASIYLEADGRDQHRYGEIKRYRQSFLWSLIFTIPVFLTSMVFMYIPWLKAGLEKKVFNMMSTGELLRWILSTPVQFVIGRKFYAGAYRAMCRGSPNMDVLIALGTNTAYFYSVYSVLRAATSETYMSTDFFETSSMLISFILLGKYLEILAKGKTSEAIAKLMDLAPETATLLMYDHEGNVVGEKEIDSRLIQKNDVIKVVPGGKVASDGFVIWGQSHVNESMITGESRPVAKRKGDTVIGGTVNENGVLHVRATFVGSESALAQIVRLVESAQMAKAPVQKFADQISRVFVPLVILFSLLTWLAWFVAGRLHSYPNSRIPPSMDSFQLALQFGISVMVIACPCALGLATPTAVMVATGVGASQGVLIKGGQALESAQKVDCIVFDKTGTLTIGKPVVVDTRLLKNMVLREFYDYAAAAEVNSEHPLAKAIVEHAKKLHPEENHIWPEVRDFISVTGHGVKAEVSDKSVIVGNKSFMLSSDIDIPLEASEILMEEEEKARTGIIVAMDQEVVGIISVSDPIKPNAQEVISYLESMNVESIMVTGDNWGTANAIGKEVGIEKIIAEAKPEQKAEMVKELQLSGRTVAMVGDGINDSPALVSADVGLAIGAGTDVAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRMNYVWALGYNVIGIPIAAGVLFPSTGFRLPPWVAGAAMAASSVSVVCWSLLLRYYKSPKIVSN >KQK97166 pep chromosome:Setaria_italica_v2.0:VII:19362623:19363109:-1 gene:SETIT_012927mg transcript:KQK97166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFPFFKSLQSFAGLALFVLHISLKSRFNVTLLTLSPKSTFFLS >KQK97678 pep chromosome:Setaria_italica_v2.0:VII:22608235:22609131:1 gene:SETIT_011692mg transcript:KQK97678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPALMVEEILLRVPPDEPAHLIRAAVVCKAWRRILFDSGFRCRYCTFHRTRPLLGYIHNFGFRAGLEFVPTTTFFPPSLAASRNSYRALDCRHGLLIDTSGPPGFIVWDPITGDRQHLSFLAHAQENLCCYTGAVLCAVDGCDHLDCHGGPFLVVFVGGTEGAHPIDVDHVRYTWASVYLSETGEWSAQTSGTNKQHYYNIAVREPSLLIGGALYFTLGAMRILKYDLSRHGLSVIEIPRLFHKSVPIDIDGGLGFVDKYIYTWSQQDGIGGWMLHNVAELQTLIPQHRGSPYRDHP >KQK97998 pep chromosome:Setaria_italica_v2.0:VII:24422337:24422529:1 gene:SETIT_0100212mg transcript:KQK97998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLPQLARKSISLRTQPLPLSDTTCFVAVDLSRSSRSRKAIHGRHALSWEETQSWKLGASGRGR >KQK99374 pep chromosome:Setaria_italica_v2.0:VII:32619968:32623434:-1 gene:SETIT_009414mg transcript:KQK99374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNFRDWISYRLGSSLLSARPFALSSGADAAASEGDADGAHNEVVETVSANRFPSNDSRASEVTTGSGATYPGLVQQDEDNKKSDPLMKVEALQIKFLRLVYRTGVPPTTDVVAQVLYRLQLANLIKAGESDARRTNLAINKARVIAAQQEAPGGPDLDLSLRILLLGKTGVGKSATINSIFDERKVATDALVPATHRIKKIEGTIKGIRVTVIDTPGLIPHYHGQRRNRKILNSVKRFIKRSPPDIVLYFERLDHINSRYSDYPLLKLMTDILGSSMWFNTVLVMTHCSSSPPEGPDGYPLEYDAYTRYCKNVVQRHIQAAVSNTQLDNPVVLVDNHPMCRRNTKGERVLPNGQVWVSELLLLCGATKLLAEANSLLKFQDSFLLSPANNRLPSLPHLLSTLLKPNSSSSSDRIDGELTETSDEEDEYDQLPPFRILKKSEYEKLTNEQKSAYLDELDYRETLYLKKQWKEGIRRQRLTEAQNDEVADDYEESASPEVVHMSDMEIPLTFDSDYPVHRYRHIITDDQLFRPVLDPQGWDHDIGFDAINFEASQELKKNVSATIAGQMRKDKEDMYIHSECSVSYSDQKGCSLMGGMDMQTASRDLVCTVHGDAKFRNLRWNTTGGGISVTKFGNKYFAGAKLEDSVTIGKRVQLIANAGRMAGCGQVAHGGGVEITARGKDYPVREESITVSVTALSFDKETVIGANLHSDFRLGRGSKMSVGAKLNSSNLGKLSIRTSTSDHAEIALIAVVSLIQFFRRRSGAADKGEQQFDTYLDD >KQK99642 pep chromosome:Setaria_italica_v2.0:VII:33988582:33988998:1 gene:SETIT_012809mg transcript:KQK99642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGGSGHQDGGGTADEEAAAEVKRLARSERTRFELRSSPLVESQSSLLHISRNNYTCFV >KQK98770 pep chromosome:Setaria_italica_v2.0:VII:29088338:29091654:-1 gene:SETIT_0109062mg transcript:KQK98770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKETEAVIVQTLGVISTYAVLVQLAMAESMPVPQFVATSVVVAAGLVLNFLNYFGWIPGTLWLLWEDFITVGGLAVLPQVMWSTFVPFIPNSVLPGIISGSLAVAAVAMARMGKLSDAGVKFVGSLSGWTATLLFMWMPVAQMWTNYLNPSNIKGLSAFSMLLAMLGNGLMIPRAVFIRDLMWFTGSAWASVLQGWGNLACMYCFGSISREFFFATTSGLLLWLGFTFWRDTIAYGNSSPLTSLKELFFGK >KQK99784 pep chromosome:Setaria_italica_v2.0:VII:34755047:34758818:-1 gene:SETIT_010059mg transcript:KQK99784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELPPPPSPPGKVYYEGCPGCAMDRKKESHKGVPYKELLFVGIITFASALPITSLFPFLYFMIRDMHVAQKEEDIGFYAGFLVADRIGRKPVIAISVFSVIVFNTLFGLSVKYWMAIATRFLLGALNGFLAPVKAYSIEVCQPEQQALGISIVSTAWGMGVIIGPAIGGYLAQPVKQYPHLFHEKSVFGRFPYLLPCICISLFDTFAFISCAWLPETLHKHKGLDRGVEMVEGSTNQESTELPKKSLLKNWPLMSSIITYCVFSLHDTAYAEIFSLWTVSNRKYGGLSFLSKDVGQVLTVAGASLLVYQLFAYRWVNKTFGPIHSTQISSALSIPIIAAYPFMTHLSGIRLGVPLYIAAMLKSVFAITRVTGTSLLQNNAVPQEQRGAANGIATTAMSLSKAFAPAGAGIIFSWAQKRQHAAFFPGDHMVFLLLNLTEVIGLILTFKPFLAVPQQYN >KQK98143 pep chromosome:Setaria_italica_v2.0:VII:25308351:25316870:-1 gene:SETIT_009206mg transcript:KQK98143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENTEEESCTGSKQDEEEHRSNQEDEKARLEEYKRLIDLKAALRQSNLNPERPDANHIRTLDSSIKRNTTIIKKLKVINDEVKDGLMEELKTVNLSKFVGEAVSYICTAKLRSTDIQAAVQICSLLHQRYMDFSPCLIQGLLKTFYPEMSGEPDLDKNARAMKKRSTLKLLMELYFVGIFEDVSTFTTIIKGLTSLEHLKDRETTQTNLSLLASFARQGKSFLGLQQHEQDAYDEFFTGLNITFDQKIFFKKAFCSYYDAASELLLSEHASLRVMELENAKILNAKGELSDENTASYENLRRSFDQLLHCVSSLAEALGMQPPVMPEDGHTTRITTGTDLTTSEKESSVVQHIWDDEDTKAFYESLPDLRAFVPAVLLGQAEPTLAEQHGKVHQQSNECTMQSETEVQDTEHQSGGKTNVEHKNRVNTEKERVDKETSEEMIVGRKGDTEREKVRGVDGASLDSLLQKLPRCGSRDLVDQLAVEFCYLNSKANRKKLVRALFSVPRTSLELLPYYSRLVATLSPFMKNLPSMLLSMLEEEFNFLINKKDQIKIETKIRNIRFIGELCKFKIAPSCLVFGCLKACLDDFTHHNIDVACNLLETCGRFLYRLPETTIRMSNMLEILKRLKNVKNLDAHHSTLVENAYYLCKPPERSSRVSKLRPHLHQYIRRLLFSDLDKSTVQHVLLQLLKLSWADCEQYLVKCFLKVHKGKYSHVHLIALLTASLSHYHHDFAVTVVDEVLEEIRVGLELNDYAMQQQRLAHMRFLGELYNYEYIDSSVIFETLNLLTIFGHGTPEQDLLDPPEDCFRIRLIVTLLQTCGHHFSRGSSKRRLDRFLLLFQRYALRKGPLPLDVEFDVQDMFAELRPKMTRHSSIEGLNDALVELEENERVMAVEKGGDKRHLDSESQLKQSENAAFDTNGKRVANRPKKNVKSHEDIGDSESSSDSRSRYRSGHEDGESFPYEETLDDRLENEDHSEDIDAPVGSDEEGTVEVRRKVVQVDAKEQEDFDRELKAILQESLESRKLELARPTVNMTIPMNAFEGSKDLMAIEAADKENVCGDGEIGKPGDLGDVRVKVLVKRGHKQQTKQMLIPGDCPLVQSTKQQSAAELEEMQNIKRKILEYNEREEELDAGSLQGGDWDQGGSGNMPLAGWPGRVSWVGPNRVGGVRRHYWVAGGFYRGYGRR >KQK97470 pep chromosome:Setaria_italica_v2.0:VII:21379337:21385053:1 gene:SETIT_009597mg transcript:KQK97470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAEPSLKPEWLLRPATVAVTALRPATSPRADDQGRGASSRNRSSGRDRDRSSQQSSSRRNSGSGGPRRNDRDGTGKSRGYSSFGRHNRERVQEKDPDFRDRESKLVQLEDPLRDGFESFSSCRSEKDRLNRTRSKVSVSNRAGVSLENGNISKKDTGGISFEREFPYLGSEDKNGKQDIGRVPSPGISTPIQSIPLVIASEGWNSVLAEVPLLSDPSINSISTSSSPAGLSKQSEVSNSGSALSMAETVMQSPLKISTAPQLSIDAQKIEERTMRQCILRPLTPSSNKISASNSLDKLKSKGARVGDSNGPIKVAPQLSLQPSSSSIRTPVKTELVKPSQSGSLQVLTREQNGTVNTAAKDSTSNPVSPVLGRSSSMEPMRKSVVNPKLNFGINGRSLHLLQGSIGDRKASAKGKQDFFQTLRSKSANGSSTATESPSSLVDDQQNSCPEFIENGSSSCEEAYSCEGSQQHLSDNEEIIPPSESHNVLDEGSLGIQVDDRDASSLPVFAGTEDLASKKPQPDNIEDVLPVKPAYINDSSVISNSVDNEADLPLEGAHPAHEFEHTGAGEEKPCPAQEFESIGAGEEEELNLLRSMGWDENEVVQPLQQEEIADCVTRQNVRLQQKLQECRG >KQK97471 pep chromosome:Setaria_italica_v2.0:VII:21379337:21385501:1 gene:SETIT_009597mg transcript:KQK97471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAEPSLKPEWLLRPATVAVTALRPATSPRADDQGRGASSRNRSSGRDRDRSSQQSSSRRNSGSGGPRRNDRDGTGKSRGYSSFGRHNRERVQEKDPDFRDRESKLVQLEDPLRDGFESFSSCRSEKDRLNRTRSKVSVSNRAGVSLENGNISKKDTGGISFEREFPYLGSEDKNGKQDIGRVPSPGISTPIQSIPLVIASEGWNSVLAEVPLLSDPSINSISTSSSPAGLSKQSEVSNSGSALSMAETVMQSPLKISTAPQLSIDAQKIEERTMRQCILRPLTPSSNKISASNSLDKLKSKGARVGDSNGPIKVAPQLSLQPSSSSIRTPVKTELVKPSQSGSLQVLTREQNGTVNTAAKDSTSNPVSPVLGRSSSMEPMRKSVVNPKLNFGINGRSLHLLQGSIGDRKASAKGKQDFFQTLRSKSANGSSTATESPSSLVDDQQNSCPEFIENGSSSCEEAYSCEGSQQHLSDNEEIIPPSESHNVLDEGSLGIQVDDRDASSLPVFAGTEDLASKKPQPDNIEDVLPVKPAYINDSSVISNSVDNEADLPLEGAHPAHEFEHTGAGEEKPCPAQEFESIGAGEEEELNLLRSMGWDENEVVQPLQQEEIADCLGRT >KQK97259 pep chromosome:Setaria_italica_v2.0:VII:20012205:20014944:-1 gene:SETIT_011874mg transcript:KQK97259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPSDLSKTLAGDPAMAKARAPRPKPPQASTRRLLDFGGLGIAVLAYVGVDLSPAWHDRLQPALWAALALAAAARAPFYRHLSAELRAALPFLGSIAFMLAAFLCEAISVRFVSAVMGLQWHRSAAPLPDTGQWLLLSLNEKLPQSIVNLLSSFLFDCIKAPGLGIATRYMFTMAIGRFLWTITFIATILPSARPWCAAARYQIPQHPHPWAQKYYVPYASDSNAIRRVITHDMAYAAVQAYPDEYRPDWGRMSFLVDILRPIPGEGPSWYHLLKKASGGCNDLMYSGHMLVAVLTAMAWTEAYGGWISVAIWLLVLHSAQREIRERHHYSVDCIVAIYVGVLLWRMTGFIWGLLKDVELAGQEKQGFSQRAILAFAAGTIIFTLSCVLIAFTMTSDG >KQK98455 pep chromosome:Setaria_italica_v2.0:VII:27086556:27090531:-1 gene:SETIT_009876mg transcript:KQK98455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEEARSILERAAESSFPPLHAVHHLLSVGVCVRCILRLLGAYSSACSFASLTASVLHSFLEEHDNSIKGGSCSCLSADDSYCSVCLGVLLPAWHREEGVETSHGGFHIDSISSMISQVVQKESYLVDEFSLEISLPPVIAANERAVRLYMKQKFGNENWFKDKMFPQQIMSVKEALRLLMVPSLEKQMNAKHDNCSFRIRLTYTHDDASQKLHSLLPNEHGRKRKTDSRNGSDTSNEAHKRNSTDGNNKQISESDSFIYKTLEGIQDQELCNLIQLPPEKVSKPCHLVISCMRSPIYIGGRYLKLSRNVSQSCWIIDDERMGEASVEVRMLGSGRPFLVELLNVRSIPSEIEVQQIEDRINNSEKKYVRVRNLKLVGNEIWTMMREGESEKQKQYTALIWTSRELAENDLHNISVTKDMEIVQKTPIRVLHRRSPLERKRIIHWMEIEKVKGSSNYYLLHLCTQAGTYIKEFVHGDLGRTHPSIGAIFGCRAEILQLDVTDVKMDFLQ >KQK99239 pep chromosome:Setaria_italica_v2.0:VII:31737906:31741312:1 gene:SETIT_009448mg transcript:KQK99239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTITVVSPRQVTIGMIVVALKLQFLATVLLAGPGPITLPGCPETCGDVRVPYPFGIGQGCFHHGFNLTCDEEQHPPRLFLGDGVEVLGISLPDGTVRIRMNISWLDHAEFNGSWSVPAPAAGPLRVSSARNSFVAFGCNMVAKLIPYSALGGPTAQSSVCAAVCSETLSAASCSGVGCCRTSIAFWGDLPSYGVQVRHLVGQTDSGLFRRAVFVVDQDWFSRNEAEMASNYSKLDYEYENVVMAGSVPAVLEWSLDIARDEALFVLSPIGPGSSDFRCLSSNSFSYTIDGNYDRRRCNCSDGYEGNPYVSDGCQDIDECQLPDLYPCHGTCINLPGTHRCSPKKSIWSLPGLITIIAISSGFGLLFSLLGVAKITKKLKQQRAKKLRQFFFKRNHGLLLQQLISSNKDIAERMKIFSLEELEQATNKFDQNRILGRGGHGTVYKGILSDQRVVAIKKSKIVVQREIDQFINEVVILSQTNHRNVVRLFGCCLETEVPLLVYEFISNGTLSYHLHGQSENPLPWKDRLRIALETARAIAYLHSAASISVFHRDIKSANILLTDNLTAKVSDFGASRSISIDETGILTAIQGTHGYLDPIYYYTSRLTEKSDVYSFGVILAELLTKVTPVFSSHSSEVTSLASHFVSLKRDNRLWDVLDPQIIEEGGAEDAEVIANIAETCLSLKGEERPTMRQVETKLEDVQGSKVHPNSRITRTSQNAPQDKSFKGNRGSEGTRQYSLEKEFIQSSEIPR >KQK99193 pep chromosome:Setaria_italica_v2.0:VII:31441450:31442073:-1 gene:SETIT_011801mg transcript:KQK99193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRSPRVVREAAAASCVDANTTFVQADPATFRALVQKLTGAPAEKKPPKQEEEEAVTVVAQQHAPPPRRPKLQERRRAAPARLELQARPQAASFYYYHHHHHGHHGLMQHSPVSPMDAYVLASSSPSPLSSSSSMTPSPHSSSPSCGGVVVISKEEEEREEKAIASKGFYLHASPRSAAGGDGAERPKLLPLFPVHSPRSAYYAS >KQK98069 pep chromosome:Setaria_italica_v2.0:VII:24776075:24781043:-1 gene:SETIT_010067mg transcript:KQK98069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVVGGKFKLGKKIGSGSFGELYLAVNVQNGEEVAVKLESVKSRHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCSRKFSLKTVLMLADQMISRVEYMHTKGFLHRDIKPDNFLMGLGRKANQVFVIDYGLAKKYRDLQSHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPVEVLCKSYPTEFTAYFHYCRSLRFEDKPDYSYLRRVFRDLFIREGYQLDYVFDWTIMKYPQFRDKSKLQSSGKASGLAGPSAERTERTAAEALFRTGSGSGHNREHTKHKSLLESLMPSKAAVDSDKTRPASSSRNGSTSRRAILSSSKPSSSGDPSDPNRTGRIVSSSSSRPSATAQRAHHLGGAEIRSSSLSKIGRSSHDDAVRNFELLSIGVDRRK >KQK98986 pep chromosome:Setaria_italica_v2.0:VII:30346121:30349793:-1 gene:SETIT_010768mg transcript:KQK98986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLRFNLLRNNIWGSRSVRFLKQHAGFSSGKLLQPGTYEKRHFTTKLTDTASWHKTDSGSCSPAIPPLWLQQTFDRDNPGTVLVFDIETTGFLHADHRIIEFALRDLSGGKNCTFETLINPERNVPIYAAKANKITTELVCRPDVPRFSDVLPLLLAYVQRRQAPGKPVLWVAHNAKQFDIPFLMQEFERCSAQVPADWLFVDSLCLARKLKKLDGNIGHVNLEALGKHYGIIFKGPSHRAMPDVQALSEIFQKITLGLKLTRDGLMSEASIFYDFRKVSRI >KQK96768 pep chromosome:Setaria_italica_v2.0:VII:15297233:15300199:-1 gene:SETIT_010378mg transcript:KQK96768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSSGCHGAATAKHRCVLLRFTRDSLRIPDELAAEIAAEEALVVGSHSKVWRVGIGWDGDGALLGRGWRAFAAACGVESGWFLVLRHRSRGLLTLKAFDDDRCLTELGAQTTAPAVEATTNYKGASRKPQFINVFPTKSMGKMLIPARFAQHYIPKDHLNNRMVIISGPLGKVCPIELEMNQSSMFFAGGWSQFMAFHGITEADALLLSWQQEISSASSRKCQSMNNWPDTDGQRRPKGFVTPSKKKKNKSSETNCAYDLGPPAWLTKKMNASMMSKHHLLHNPFACNSCSLYRAPFCNAIGFRKRCMITLQDSMGSTSSWQVRGLPYKNGGCQLGSGWKKVCQDTGLKYGDVITLKVIKTRLWDVIIMRS >KQK98910 pep chromosome:Setaria_italica_v2.0:VII:29903125:29907363:-1 gene:SETIT_009182mg transcript:KQK98910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELTSGAVSSLLGLLQKEAQLLGGVGSDVDFIREEMESMNSFLEHLSMTAHLAGGHDKQVRTWMKQVRDLAHDCSNCIDSYLQSGDLAVHLARGGLRRYVWWTYWLVQKMVAQHRAAMRLRELKDRVSDVGKRRRRYGVEIPPPPSSSTPSQGAAAAAAPDAAEDADDDDDDDDTQNQVAAAAGGPDPRRRALEPRTLEDFCAEKLADWFSSLSSRQQAAGQWEGRYLIPSIAIVAQDAGTCAAAAQGAMGLAAAHNFEKTVSINLQALHHAWDLPLLPQEILCYILRECIHQQGTGQGGEVAEKNPWKALEDREKTYEEIWGNIDRLNIYDKINQVKSKVGAVHITIAEAESKKTEETKRFKATSGITLDEPLEVLRQALQLTLNKQGADMIQQSLEDILHEAAIMLKQHMETATPELPIHLDDIQYQDILRKVFLDSKLPQVQQQTSTTNPATTLGEATDCIKEILNNHKITLGNHKIALDIIRELLPGRPQLPDQTDNNSEETKANSTTAAIKETMEMVQEISWPIKVSLLIKGVVDKINQHLQSKKTLIILIDEMDYIARWEEIRNALSLLTCANGSAVIVITKNRQKAKEFCSASGEPVIYSLVGMYHDIVLKITGQGENEGGNNNSQLFRDILDKCNPDEFCMRMFSHALYANPNRSYEELRRLNDTLQVSGNSMATDATKAKAKMIFKFSYKDLPREHKTCLLYLAVFPQGHSIKRSSLIERWAIEGLITKEDWPTVVCHAKRCFEALIDRQLVMPVDLSAAGKVKSCMVGGQVHQFITKIANKEHILDTRLSQLQARHFSTSSGLRLRASDNINTIVEKLRPKYLHKLRLLKLLDLEGCDRHLNKNHIKDICSTILRLKYLSIRRTYVDDLPSEINNLHELEVLDIRQTKVPERATRGIVLLKLRRLLAGQRVDPSTSQEMGTLPGANKRLPSAVQIPRKINKMENMEVLSNVKASSKDGAELKEIRKLGQLRKLGVVIQNKKAHLTNLLWALSDLKECIQSLSVTILGTRTEGTATDQKLLEPPLYNYLIRPPKVLESLSIDGFTDIVQLLTLFAKGSDELSKVTLSRTLLEKNNLIHIAILPKLQCLRLRHDAYKESSLTFKKEDFPHLKNFLVECLHKTGMIKFKNEATPELEKIVLFRTNIKHLCGIGALPKLKELELKGNEFLVLLPEDGTPSAVTVEDGTAYAGAITRSTLTFREEEFKHLKYFLVQGAILQTDIKFEGGAPELEKIVLSDTNIKSLAGVDGLEKLKEIDLKGDRNLFSLFTSANHITKVTLLDTCLKQDDLQILAKKPKLCMLFLLDNSYDEIQLTFHEDEFPKLKHLTVKCLKIREISFAEKSACKLEKIIWSFIELKSLSGINKLPELKELEFNGDSIPLEVRRDIHAHDKKLIHNKTQHQDKE >KQK97454 pep chromosome:Setaria_italica_v2.0:VII:21304916:21306254:1 gene:SETIT_012617mg transcript:KQK97454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGRTATAAAVPEDVPLRSSAARPMPAVGMGTASPTPVAHEATKEAVLAAIEVGFRHLDTACMYGTERPLGEAVAEAVRRGLVQSREELFVTSKLWCTQNHPDLELPSLRETLKNLQMEYLDLYLIHWPVSIKPMPITFPNKKEDAVPFDVEGVWRAMEECQRLGLAKAIGVSNFTTRHLDKLLAVATIPPAVNQVELNPAWQQRKLRAYCAEKGIHVAAYSPLGGQNWSGSGEGNAVLESEVLAEIAKARGKTVAQVSLRWTHEQGVTWIVKSYNKERLEENIDIFGWELTDEDRHKISQIPQKKCVTATALFSPEGEFPSVGLSDMDIVEE >KQL00031 pep chromosome:Setaria_italica_v2.0:VII:35862040:35867702:1 gene:SETIT_009297mg transcript:KQL00031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFTAAALLLFFHFQLLAPPSAAQPGFISLDCGGARDHTDAIGIQWTSDASFVSGGQAAQLLVQNGLRSQQFTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISLGASPWSTIVIDDATTPVVEEATILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDETRFFLALSARINFGAESNDSVRYPDDPFDRIWESDSVRRANYLVDVAPGTERISTTKPVFVGTNEEPPEKVMQTAVVGQDGSLNYRLDLEGFPANAWGVSYFAEIEDLAPNETRKFKLDVPGMPALSKPTVDVEENAQGKYRLYEPGYTNLSLPFVFSFGFKKTDDSSKGPILNALEIYKYVQITMGSQDANIMASMVSRYSQAGWAQEGGDPCLPASWSWVQCSSEDAPRVFSITLSGKNITGSIPVELTKLSGLLELRLDGNSFSGQIPDFSECHNLQYIHLENNQLTGELPPSLGDLPNLKELYIQNNKLSGQVPKSLFKRSIILNFSGNSGLHIVNSGISHTIVIICVVIGAIVLLGAAIGCYFFTSRRKKKHHEDTVVIAAPAKKLGSYFSEVATESAHRFSLSEIEDATDKFERRIGSGGFGIVYYGKLADGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGTADEKITSWLKRLEIAEDAAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWARSHIESGNIHAIIDQSMDRGYDLQSVWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQHSVQIQQQLLLSNSNRSMSMADSSSANNNLDLDELLMRPGLR >KQK99014 pep chromosome:Setaria_italica_v2.0:VII:30488017:30489123:1 gene:SETIT_011643mg transcript:KQK99014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVSASSSQLLPETSSRCVAEGVTSAHSFEVVNFSLLDGMGTGNFISSSTFSVGGCDWTIRLFPDGSAAQNSKGGAVSAFLCLQGGAAGTRVKFIMHLLGKGSQSWSSGYGAHAFASVGEECGWTNFVDKSRLRWLLFGNNNCFTVRCVLTVIKDPRMQNVVVPEPNLRQDFKRMMEEGKGKDVMVHVDNQLFWCHRCVLAARSPVFNAELFGPMKNAQSVEIHGMKNKCTGDQPIIVIGDMKADIFRALLHFLYTDSLPDHQCDDDKNAVMQHLLVAADRYGVDRLKLMCEEELCRSVDMQSVASTLAIAEQHQCVQLKDACVRLIVSPGVLGAIMKTDDFKHLAASCPSVIKEIEDKMGNTLRIQ >KQK96975 pep chromosome:Setaria_italica_v2.0:VII:17861795:17866276:1 gene:SETIT_009671mg transcript:KQK96975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLGDRVRAFSTNRWLVFVAAMWLQSMAGIGYLFGAISPVVKEALGYNQRQLAALGVAKDLGDCVGFFAGSLSAILPSWAMLLIGAAQNFLGYGWLWLIVTRQAPALPLWMMCVLIFVGTNGETYFNTTALVTCIQNFPKSRGPTVGIMKGFAGLSSAILTQLYAVMHTPDHATLVFMVAVGPSLVAIGLMFIIRPVGGHRQVRPSDKNSFMFIYTICLLLASYLVGVMLVQNFMQLSDNVVNSLTVILLILLISPIAIPVTLTLSSKAQHPTEEALLSEPSKGETSTSQEKEDQPEVILSEVEEQKPKEIDSLPPSERRKRIAELQTKLVQAAARGGVRIRRQPHRGENFTLMQAMVKADFWLIWCSLLLGSGSGLTVIDNLGQMSQSVGFKDAHIFVSLISIWNFLGRVGGGYFSEIVVREHTYPRHIALAIAQILMAAGHFLFAMAWPGTLYIGTFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLTVANPAGSLVFSGLIASNLYDYEAEKQAQLHHMTALQSPRLLHNMGLVADGPLKCEGSVCFFVSSLIMSAFCVVGAGLSLIIVQRTKQVYVHLYRSART >KQK98853 pep chromosome:Setaria_italica_v2.0:VII:29603635:29605380:-1 gene:SETIT_012638mg transcript:KQK98853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPASGNGDQPAREEEEEDDAAAGPSTEQVFEGEPVPTPSEMITARSVAVGVSLGVVLSIVAMKLSLTSVYLPFLTIPAGLMSFFLSRWWVRLLHGCGVAQLPFTRQENAVIQTFVVSCTNIAYTDRPRLLGFLFLTSFPGMFAVMPFRNSLIIRHHLTFPTGTATAHLINSIHTPQGAKQASHGVVALAVVPDFGITAARLGFSFDFSVTDIGIGLLSPYKITISMLAGSLVSWGIMLPYIKTKEGCWYPRGVGGINTYRWFIGIAMVLADGLCQLLFILLRRLRAMHRRRHPRLAAQPSMDVGADGRRPARSFDDRRRAQVFLRDRVYDPAAVAGYIALAAVSIVAVPFLYPQLRPTHVAVAYLAAPLFAFCNAYGTRMNVDLGPTHGKIAVLAFGWWVGLQNGGVVAGLAGSVIILSAVITASDLMQVFRTGQNDRRPCPVPCRAVQVVIFHSVLSKIPN >KQK99210 pep chromosome:Setaria_italica_v2.0:VII:31527396:31527820:1 gene:SETIT_012965mg transcript:KQK99210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRVRLGVTARLRVESLYSHLQGNVSVRPDSSRLPATCARFW >KQK96723 pep chromosome:Setaria_italica_v2.0:VII:14622918:14623408:-1 gene:SETIT_011508mg transcript:KQK96723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTIDALVDSILHQLRHLRARYLQHIVNRSVRRSLTVRQGTMPGGVRRGLLAWNAMVAAPLGPRAARRCRGTRMSVGGR >KQK99560 pep chromosome:Setaria_italica_v2.0:VII:33518616:33520983:-1 gene:SETIT_010319mg transcript:KQK99560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGRRLVPAASVAAALVVAAVFLSAEAETTASSLRAGAPFDSIFAFGDSFTDTGNNPVVFGWYNIFDVVMRPPYGMTFFGGRPTGRNCNGRLVIDFIAQGLGLPLVPPYLSHKGSFRQGANFAVGSATGLNSSFFHIGDAPGANPFPLNISLEVQLGWFEELKPSLCKTDQECKEFFGKSLFIVGEFGINDYQYSFGKKSFQEIRAFVPDLIQTISRGAERVIEHGAKTLVVPGMIPSGCAPPVLVTFADADASEYDATTGCLKEPNEIVMLHNSLLREAIEKLRAKHPDVTIIHTDLFNHVTEMVKSPEKFWFKKDALTICCGGPGRYHYNLSIVCGDKAATTCEDPSTRLFWDGVHLTEAAYHFIAKDWLNTIVSSLSARASS >KQK99683 pep chromosome:Setaria_italica_v2.0:VII:34202391:34204106:-1 gene:SETIT_010813mg transcript:KQK99683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLDLQGDELLLAQLRELLSPSSPAVKAVESCDGRRRRRRGSKRAQDDDNTTNNGKRRSKKQKSTSSFVTSVPDFDGYRWRKYGQKQIEGAMYARSYYRCTRSAEQGCPAKRTVQRNDDGDNGGAAPKYTVVCMGEHTCTATDSLEAPVILETTAVVAPGIIGTNNRPDEDDNDDTFTSAGSTTTTGTGVESPAISDITWSSSSCGGDYVVDDYGAGLFDVHDSWASSASLQEMEDFTGPIRSPVHVPADGWTIDHFLLQLANNEPVSHFSSAC >KQK97885 pep chromosome:Setaria_italica_v2.0:VII:23746106:23747365:-1 gene:SETIT_010776mg transcript:KQK97885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSPTIMAVVALGLALLLAAGPAAAQNCGCQPNFCCSKFGFCGTSDAYCGDGCQSGPCRSGGGGGGGGGGGGGGGGGGRGANVASVVTDAFFNGIKNQAGGGCEGRNFYTRSAFLEAANKYSGFAHGGSEVEGKREIAAFFAHVTHETGHFCYISEINKNNNYCDANNRQWPCAAGKKYYGRGPLQISWNYNYGPAGRDIGFDGLGNPDIVAQNAGIAFKTALWFWMNNVHRVMPQGFGATTRAINGALECNGNNPAQMNARVGYYRQYCQQLGVDPGNNLTC >KQK97439 pep chromosome:Setaria_italica_v2.0:VII:21212722:21214065:1 gene:SETIT_011845mg transcript:KQK97439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSIPVVSKIFCSGTPTMLMIRRRPIVVNGGGFVVTDLSHNVVFVVDGCGILGSKGELMVKDGEGEPILSISKKGGIVQALSTRNKWNGYLMDYQGKDKLVFSLTDPKSCIAQSAPIRIHIEPKMHCKNWDFEIGGSFADRDCTIVDCTRKIVAQMGRKELMGGKDFYHVEVQSGYDQAFIIGVMAILDNIHGESTRC >KQK99869 pep chromosome:Setaria_italica_v2.0:VII:35127821:35129480:-1 gene:SETIT_009799mg transcript:KQK99869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLREPRGGGEKVGVLAFEVAALMSRAAGLWRALGDPHLARLRAEAIRLEGVRRLVADDDAALLALALAEMTAACRDLSRAVARLSARCADPLLRRFNALFAALVKGGGGADPHGLRYATEKKMDRKARKMQRLVAFTAHLCHELDVLAELEQAVRRDMQRAANGGECARRVARQRQEVERLRGASLWNRSFDYAVRLLARSLFTIVTRITEVFDLEPTNISISSSMDEDPNSKVSRLSWSSSFVSSSMQSMVYPSDVVAADTPGRMLRARSSKSTSGDARRFLMSRSKSLRQQLKWPAAGRHLVGCVVTGSNSPVRNGWTHGDADLPLSFSYISAASNDDYYSSINFQHQADHHTNAKPSTAVFESTHDVLTNAPATSLGGAALALHYANLIIFIEKLAISPHHICSDERDDLYGMLTDRIRASLRARLKPFAAMNTPCDPVLAAEWSDTVQRILGWLAPLAHNMIRWQAERNFEQRNVASSAGVLLLQTLHFADQRKTEAAVTELIVGLNYLWRFGRELDARAKLESAG >KQK97173 pep chromosome:Setaria_italica_v2.0:VII:19424616:19428102:1 gene:SETIT_011119mg transcript:KQK97173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAVTVPFLPHPSLALVVSIAPETETVSIPAPAHLRGLEHAKMATFASPVLCNFLAIAQNNARLLNNSTKRPPNASFPRSTPPFPGFRARQDVRVAAVYKVKLIGPEGQESVIDVPEDSYILDAAEDAGVELPYSCRAGACSTCAGKVLEGSVDQSDQSFLDDTQVGAGYALTCVAYPTSDCVIKTHREADLY >KQK97991 pep chromosome:Setaria_italica_v2.0:VII:24337318:24339072:1 gene:SETIT_010058mg transcript:KQK97991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPPRASRGLALLCFLFSCAALAAPRAGASRLSREDLDVSLGSGGGVGIGIGIGGGGGGGQGGSSGSSPAPSPSGPRACDFENERLYRAYLVIQQFRQTVTCDPMGITPSWSGTDLCSSYKGFFCERPPNVTDRTIASVDFNGYMLRSDSLQGFINSLPDLALFHANSNDFGGAVPALGGLQYFYELDLSNNRLAPAPFPTDVLGLTNATFIDIRFNSFYGELPAGVFCRFPRIQAIFVNNNQFSGSLPDNIGQSPVNYLSLANNRFTGEIPTSISRNAGTLLEVLFLNNSLSGCLPYELGLLEKATVIDAGTNQLTGTIPASFACLRKVEQLNLADNLLYGEVPDALCRLAFGRLKNLTLSGNYFTSLGSCCWDLIKEGRLNVDRNCIQWAPNQRSHEECAKFQRQTKSCPVNSYLPCRPKYRGSGEPAGAAARAAAEEEDAAAEYRYRTYSALHP >KQK98032 pep chromosome:Setaria_italica_v2.0:VII:24618719:24625971:-1 gene:SETIT_009391mg transcript:KQK98032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEPPEQASSDPTTPPQEDNQSTKTNSKKNRGTKKSKRAGASSLCSSTMVEDPFFVLAGGKEGGFLELEEIDEADFGIVGGYVEEVGADEGKAGKDRGKKKKKRKRKRGGDDQVLSGDGDSFLKQCDGDSVVENEQEGEKEKKNAKGKRDRKKRKVKDSEKSGESGKDIADDDNAEDVQDKNENMEQDKDDDLILGEDDVFAWHELRLHPLLVKAMRRLGFKEPTPIQKSSFPAAAHQGKDVIGAAETGSGKTLAFGLPILQRLLEEREKASRLHQEDEKMEESAGESPLRALILTPTRELAQQVCDHLKEAAKFLGIGVIPIVGGISMEKQERLLKNKPEIVVGTPGRLWELMSMNNQHLVELHSLSFFVLDEADRMIERGHFHELQSIIEMLPLTNGSDEQAARTMPNCETVPILQIKKRQTFVFSATLALSSNFRKKLKRGLSTSKASTDDVSSIEALSKKAGMKPNAEIVDLTKASILPEKLEESFIECSEEDKDAYLYYILSVHGQGRAIIFCTSIAALRHISSILRILGINALTNHAQMQQRARMKAVDRFRGSENSILVATDGFARGMDFDDVRTVIHYQLPHSTDVYIHRSGRTARKSLAGCSIALISSADKSKFYSLCKSLSKENANKSWLQRNAESMGLILETSDSEEERVKGHKQRKATSAHLQKLQQELSDLLQRPLQPNTFSRRYLAGAGISPLLQKQLEELAKRKVSNNRSKTENKGSRFVVIGQDRVEPLQALQNSGQEVCVNLDKQREKRRLAQNWKQKKHEEKKRSREQKRKEKRKAKAMD >KQL00018 pep chromosome:Setaria_italica_v2.0:VII:35790408:35790749:-1 gene:SETIT_012999mg transcript:KQL00018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFLFILPPSLLLISFYSQMQTTSHFTPACEIAVIQP >KQK98535 pep chromosome:Setaria_italica_v2.0:VII:27785348:27787557:1 gene:SETIT_011855mg transcript:KQK98535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANQLFAPTLITLIAATFFALMCSSPQVRAMNYTFMKDASRAPNVSYYDYIIVGGGTAGCPLAATLSERSRVLLLERGGSPYDDERIGDMTRFADTLSDTSPGSPAQRFVSEDGVINSRPRVLGGGSCINAGFYTRAGDNYVRDVGWDLDAAKAAYRWVEDVVAFQPELGPWQAALQSGLLESGIAPDNGFTFDHIDGTKVGGSIFDADGRRHTAADLLRYARPDGIDVLLRARVAKILFNVRGRRPVARGVVFHDSAGRMHKAYLNAGRGNEIILSAGAMGSPQLLMLSGVGPAGHLRSFGITLVHDQPEVGQGMSDNPMNAIFVPSPSPVEISLIQVVGITQVGSYIEGASGSNWGVGLVSSGRHRHRHRHRHRHRNFGMFSPQTGQLATVPPKQRTPEAIARAVEAMRQLDDSVLRGGFILEKVLGPLSTGHLELRNRNPDDNPAVTFNYFSHPEDLRRCVAGLSVIERVIQSKAFENFTYTSFSMETLLNISTGFPVNLLPRHDNDSTSLEQFCKDTVMTIWHYHGGCQVGRVVDAEYRVLGVDALRVIDGSTFNASPGTNPQATVMMLGRYMGVKIQSERLKAEGSEGTKL >KQK97285 pep chromosome:Setaria_italica_v2.0:VII:20265282:20267767:-1 gene:SETIT_010777mg transcript:KQK97285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATFCSGPAASAAANPSSAGRRLQNPAPASVLQARWRPRLPAPAFLTRRPNAELRPLRIAAGAGVDPKVVNGEDFPPMKDLIQLYKTAFLDGNDEVLGEVEKAITSVEKEKSRVASQFESVTAEITSGKEKFIRLNADLENFRKQTEKERAKFTSNIQVEVVQSLLPLVDSFEKTNLENTPETEKEQKISTSYQGIYKQLVETLRYLGVGVVETVGKPFDPSVHEAIAREESSQFKAGIVSHEIRRGFLLKERLLRPATVKVSTGSGKQSASSVEAAKDAAV >KQK99064 pep chromosome:Setaria_italica_v2.0:VII:30723396:30725145:1 gene:SETIT_010521mg transcript:KQK99064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAGGVKTACVTGGNGYIASALVKLLLEKGYAVKATVRNPDDTEKNSHLKELQALGPLEILRADLDQEGSFDEAVAGCDYAFLVAAPVNLTSENPEKDQIEPSVGGTLNVMRSCAKAGTVRRVVLTSAASSVCIRPLEGDGHVLDEESWSDLEWVTAEKPPSWGYVVSKVLSEKEALRLAQEHGISLVIACPVLTVGASPVPKVYTSVPASLSMLSGDEAALGMLKGIEKTFGGVPIVDLDDLCRAEVFLAETETTASGRYICSSFTTTIVEIARFLERKYPQYSVNVGNDSSGELLEKPRVCLSSAKLVEEGFEFRYKTLDEIYQDVVGYGKALGILPY >KQK99796 pep chromosome:Setaria_italica_v2.0:VII:34793020:34795203:-1 gene:SETIT_011580mg transcript:KQK99796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRARPMTGLLVFMGVNLVLVNTISPVYDFVCLHPYWERRRERRQREREALQVKGSLETTS >KQK98599 pep chromosome:Setaria_italica_v2.0:VII:28211634:28211996:1 gene:SETIT_011938mg transcript:KQK98599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRHAVATPAAAAVAIAVLAIVIAAATMTGVAASDGSGPRSYLTSWGGPGCTPGEQGHMASVGSCGCNHVRFHGGHEFNFRGEKATLYPKPGCAGTPYEVFEDTRACGDFGWHSIHIDC >KQK96517 pep chromosome:Setaria_italica_v2.0:VII:10752637:10753452:1 gene:SETIT_011486mg transcript:KQK96517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLGMSVVAVLIFVNMCICMPRNMADDASYGRTVPQREIRKLIAGTDGRNGPPSNDHQCPLGTYPNCQGMSQNTKETAQDVRGN >KQK99925 pep chromosome:Setaria_italica_v2.0:VII:35417127:35418071:1 gene:SETIT_012755mg transcript:KQK99925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPVLEPLYGLLPPPSGLFLHEDGNRVQLHHGARSIHPRLLIMQDKVHVTILKMLHGMYWRTCIGVRVR >KQK97067 pep chromosome:Setaria_italica_v2.0:VII:18658926:18662094:1 gene:SETIT_011642mg transcript:KQK97067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVESRCRNSTVEENLSLWKEMVNGTERGIQCCVRGKLDMQDPNKSLRDPVYYRCNTDPHHRVSSKYRVYPTYDFACPFVDAFEGVTHALRSSEYHDRNAQYYRILQDMELRRVEIYEFRRLNMVYTPLSKRKLLWFVQNKKVEDGTDPRFPTVQGIVRRGLKVEALTQFILQQGASKNLNLMEWDKLWTINKKLIDPACARHTAVLKDQRVIFTLTNGPEKPFVRILPRHKKCEAAGKKATTFANRIWLDYADASAISKGEEVTLMDWGNAIIKEIKMENGVITELVGELHLEGSELAPLSLVEFDYLISKKKRPPALGDANMRNLKHGEVIQLERKGYYRCDAPFIRSSKPVVLFAIPDGRQQASLN >KQK98931 pep chromosome:Setaria_italica_v2.0:VII:30039964:30042656:1 gene:SETIT_009982mg transcript:KQK98931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAAKGRNNGGELSAAGVELTGGSGGNFLARQARLKGRGRATTGRVDLTGLLSDDVLVRVLERVPDARDLVRTGALSRRWRGLWTRVPALRFAFNTRREFFRLAAGAERFVAFVDDVLALRVAQREPGLEHLAISLIIEFYEISDEELQQLAPLSIGAAQGWIQHAVEHALKSFELEMSLRDGACRRSKVLSLDCLPSSAKLEAMRLDLGEALVRLPATAVFVSLKSLSLESMMIIKGTWHLLARLLSTACCPCLQKLRMRDLNLDDFEGMYDQLLPLEAAALSELSMEKMNLTDFLQLKTPNLHVLCMKACMMHTLSISAPRLEELLNDDDQIVDIINWRMPHLPHVTSLTVHVSLPKLHSIRAGISDILTQCSNLKYLRVHLDYPIYMLIRQEPFDQESDFFCHHPYDCKSQEISLAHLQDVELKGLIGTDCELWFMQSVLWSAREVQEVAIKLRGGDWTPCSWGRYSSYKWRPYIVCE >KQK99954 pep chromosome:Setaria_italica_v2.0:VII:35538921:35545880:-1 gene:SETIT_009404mg transcript:KQK99954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWLVIPLIAIWATTQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELHKLRKTATRRCRNCSNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKAPTGWPCAQDCGYWLDMRCSSGNNNSFLAFSWRLLSSFCSTAASWFLRKIFRFTSSGDDEGLGPDGKRLSKRGENGGKAEESRVEKARRKAEEKRLARLEREMLEEEERKQREEVAKLVEERRRLRDEKAEAEERSKSATPVGEKDARREAERRRQERRKREDKGSSKSNSDCEDIDRRLGREGDRKRDFDRKSDLDKREGYKPHYFEANNHSNKTVESRTKYFGRMTGGFLSSSRGFGGGSFFGRSAQAPAPQVNKVSRPVVPATDQGNALKRETQHAATQATAKSATAGETRSSWTNFNRPVSPNVQPHPTGLKKSWHQLFSRSASVSPCPDVTTSARDMNWKPEPNGAQISSAHSFLSHYPPLESKPSSSQSMHFPGFPPLNGPPPIKPLPHFPAGHMTFYDDAESTVFEEPEQFEDPCYDPDAIALLGPVSESLDNFPLDMNCGFISSNVTKESHGKPSPIESPLSRSRMVDEKPIKQPHSSTTKGPGGFILPEASSEQGTWQMWSTPLVQETLGLQGPQSQWLRQSTNQFNHSANLFNGGTNSSLSTGLNDSDPWLQKAPFQQLPPDTPSLFLPHEVQGKAINNDLVFGSPNKSAHEHPFGPPGHPWPKEELVLKGAQEANHMPSPPCAHVGAGGLFSSTSPDVQSVWSFNEKETA >KQK96242 pep chromosome:Setaria_italica_v2.0:VII:2259747:2260182:1 gene:SETIT_012857mg transcript:KQK96242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNMLYQQFVQGVTQIEVPALYYLQHVKARERT >KQK96635 pep chromosome:Setaria_italica_v2.0:VII:13206946:13210436:1 gene:SETIT_010638mg transcript:KQK96635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGLLRRRGPSGFSPSSTAEEVTAGIDGCGLVAIVTGASHGIGTETCRVLALRGVQVVMGVRNTLSGARVREEIVRQIPTAKIEVLELDLSSMSSVRRFVKNFNALNLPLNILINNAGIAFVPFELSEDGIELHFATNHLGHFLLTDLLLEKIKVTAEQSDIEGRIVIVSSEGYKHAYREGIRFHKINDESGYSRFSAYGQSKLANILHSNELSKNLKEQNAKVVVNSLHPGEVCTNIMHHWAFLHGLMCTLGKFILKDVGQGAATVCYLALHPQVAGVTGKYFIDCNATDPKSPATDKELVKRLWDFSASLVH >KQK98521 pep chromosome:Setaria_italica_v2.0:VII:27715749:27719747:1 gene:SETIT_010213mg transcript:KQK98521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEGALTNSSDGKDVGPRRRQHSTLSSSGFLPPPFQLVVASIPPLPAPRCHMRADVPPQFPCALFFHSYLRGGKPSPSRQIRKAEHQASTATAAGPTLLRLRPPGRVAVHNSRRPFQPPSATAPLPPAPRRRPPPHRSPLPSRRPNRTLRRQRPSRASPRAPTKWAPPAATSQLSSLSAAAAAACSSGRPAPAPVGGWLVVSVWGRGAGKEGRWGETRVAATAAAAMFGTGLNLVSAALGFGMTAAFVAFACARFVCCRVRGDDSGAPPSLNFDADLDDPVEHRTGLEPLVIAAIPTMKYNFEAFQSKDDAQCSICLGEYKEKEILRIIPTCRHNFHLACLDLWLEKQTTCPICRVSLKELQAAMSSACSIQQLPTVPENSANPTPQCFLPVLQDQRGQSNGQERNESVEVVIEIRQ >KQK99717 pep chromosome:Setaria_italica_v2.0:VII:34378068:34378466:1 gene:SETIT_012811mg transcript:KQK99717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAINRSTTSGTSILPWFILLRTKKLRFGGPNLY >KQK97893 pep chromosome:Setaria_italica_v2.0:VII:23792985:23794597:1 gene:SETIT_011676mg transcript:KQK97893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYPLVGLLPNGNFEQGPNKSEMNGTRVKDRDAILHWEIYGFVEYIESGHTQEDMILPVPEGDRAVRLGNDATIRQKLCVTRQAYYSITFTAARSCAQAEALNVSVTPDFGVLPIQTVYTSSGWDSYSWAFKAKHSSVWLSIHNPGHEDNPACGPLIDSIAIKNLHPPHHTPVNMLRNGDFEEGPYIFPDVPWGVLVPPMSEDLYSPLPGWMVLSDTKVVKYVDAAHHAVPRGARAVELVAGMECALVQEVRTVPGRWYRLSFSVGDGANGCGGSLGVDAYAGKATTKVSYESRGTGGHRRVDLDFAAAENLTRIVFHSSNYHMKFDGTLCGPVVDDVSLVAVHKHAARRLRM >KQK98773 pep chromosome:Setaria_italica_v2.0:VII:29100280:29102707:-1 gene:SETIT_010478mg transcript:KQK98773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKSGYTRPRPPAEDTAPLPPSAVLYVANCGPAVGVTDAAVRAAFGAFGEVAEVQAADSSGARVIVRFHEPAAAEAAMAALHGRPCDRLAGRVLHIRYSVPVKPKALPGGSLLVSLSASELGIPGIYMVEEFVTATEEQELLAAVDSRPWKNLAKRRVQHYGYEFLYDTRNVDSKQFLGELPAFVSIVLEKIASFPGVKNCTTGLVDQLTVNEYPCGVGLSPHIDTHSAFEEMIFSLSLAGPCIMEFRKYPKGSWRAPIVLNRADEDISQEPECTRKAIFLPPRSMLLMSGEGRYAWHHYIPHHKIDDVGGQVIKRSSRRVSFTFRKVRMGPCDCEYNQFCDSHSMRC >KQK98796 pep chromosome:Setaria_italica_v2.0:VII:29214091:29226729:-1 gene:SETIT_009222mg transcript:KQK98796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSGGGRARRRSGGASRSSSWGSISGDCDPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKKEEQKEEIRRKIRAQAHVIRAAFRFKEAGRVHGQSKEPAVPHPDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVAKMLTTDTEKGISGDDTDLMARRNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVFVTAISDYKQSLQFQNLNEEKQNIRLEVVRGGRRIMVSIYDLVVGDVVPLKIGDQVPADGILISGHSLSIDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGIATFIGMVGLSVALAVLIVLLARYFTGHTYNPDGTVQYVKGKMGVGQTIGGVVRIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMKDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMESPDNAQVLSADVTSLIVEGIAQNTSGSIFEPEGGQEPEVTGSPTEKAILSWGLKLGMKFNETRSKSSILHVFPFNSEKKRGGVAVHLGGSEVHIHWKGAAEIILDSCTSWLDTDGSKHSMTPEKVAEFKKFIEDMAAASLRCVAFAYRTYEIDDVPNEDLRAEWKLPEDNLIMLGIVGIKDPCRPGLRDSVRLCQAAGIKVRMVTGDNLQTARAIALECGILDDPNVSEPVIIEGKTFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTNHLMEKPPVGRREPLVTNIMWRNLIIMALFQVSVLLTLNFKGISLLQLKNDDRAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGILGNHLFIGIIAITVILQALIVEFLGKFASTVKLSWQLWLVSIGLAFFSWPLAFVGKLIPVPKRPLGEFFACCCKGSKQASDDATSDDNKGNKSEHRDIV >KQK98798 pep chromosome:Setaria_italica_v2.0:VII:29215047:29226729:-1 gene:SETIT_009222mg transcript:KQK98798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSGGGRARRRSGGASRSSSWGSISGDCDPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKKEEQKEEIRRKIRAQAHVIRAAFRFKEAGRVHGQSKEPAVPHPDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVAKMLTTDTEKGISGDDTDLMARRNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVFVTAISDYKQSLQFQNLNEEKQNIRLEVVRGGRRIMVSIYDLVVGDVVPLKIGDQVPADGILISGHSLSIDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGIATFIGMVGLSVALAVLIVLLARYFTGHTYNPDGTVQYVKGKMGVGQTIGGVVRIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMKDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMESPDNAQVLSADVTSLIVEGIAQNTSGSIFEPEGGQEPEVTGSPTEKAILSWGLKLGMKFNETRSKSSILHVFPFNSEKKRGGVAVHLGGSEVHIHWKGAAEIILDSCTSWLDTDGSKHSMTPEKVAEFKKFIEDMAAASLRCVAFAYRTYEIDDVPNEDLRAEWKLPEDNLIMLGIVGIKDPCRPGLRDSVRLCQAAGIKVRMVTGDNLQTARAIALECGILDDPNVSEPVIIEGKTFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTNHLMEKPPVGRREPLVTNIMWRNLIIMVCYISHYIS >KQK98797 pep chromosome:Setaria_italica_v2.0:VII:29214495:29226494:-1 gene:SETIT_009222mg transcript:KQK98797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSSGGGRARRRSGGASRSSSWGSISGDCDPFDIPAKGAPVERLKKWRQAALVLNASRRFRYTLDLKKEEQKEEIRRKIRAQAHVIRAAFRFKEAGRVHGQSKEPAVPHPDGALGFGIKEDQLTALTRDHNYSALQQYGGISGVAKMLTTDTEKGISGDDTDLMARRNAFGSNTYPRKKGRSFLAFVWDACKDLTLIILMVAAAVSLALGITTEGIKEGWYDGASIAFAVLLVVFVTAISDYKQSLQFQNLNEEKQNIRLEVVRGGRRIMVSIYDLVVGDVVPLKIGDQVPADGILISGHSLSIDESSMTGESKIVHKDQKSPFLMSGCKVADGYGTMLVTAVGINTEWGLLMASISEDSGEETPLQVRLNGIATFIGMVGLSVALAVLIVLLARYFTGHTYNPDGTVQYVKGKMGVGQTIGGVVRIFTVAVTIVVVAVPEGLPLAVTLTLAFSMRKMMKDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYFGGKKMESPDNAQVLSADVTSLIVEGIAQNTSGSIFEPEQGGQEPEVTGSPTEKAILSWGLKLGMKFNETRSKSSILHVFPFNSEKKRGGVAVHLGGSEVHIHWKGAAEIILDSCTSWLDTDGSKHSMTPEKVAEFKKFIEDMAAASLRCVAFAYRTYEIDDVPNEDLRAEWKLPEDNLIMLGIVGIKDPCRPGLRDSVRLCQAAGIKVRMVTGDNLQTARAIALECGILDDPNVSEPVIIEGKTFRALSDLEREEAAEKISVMGRSSPNDKLLLVKALRKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVRVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGNVPLNAVQLLWVNLIMDTLGALALATEPPTNHLMEKPPVGRREPLVTNIMWRNLIIMALFQVSVLLTLNFKGISLLQLKNDDRAHADKVKNTFIFNTFVLCQVFNEFNARKPDELNIFKGILGNHLFIGIIAITVILQALIVEFLGKFASTVKLSWQLWLVSIGLAFFSWPLAFVGKLIPVPKRPLGEFFACCCKGSKQASDDATSDDNKGNKSEHRDIV >KQK99088 pep chromosome:Setaria_italica_v2.0:VII:30896441:30899539:-1 gene:SETIT_012215mg transcript:KQK99088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSILLVLLPLFLVLTALSANAASDILSKGRNITDGDTLVSAGGSFSLGFFSSGLPNKRYLGIWFSVSKDAICWVANRDRPLVDTSGVLLITDAGSLLLLDGSGQVVWSSNTTGATAPASAWLLESGNLVVSDRRSSAVMWQSFDHPSNTLLPGMKIGKNLWTGAVWYLSSWRSAGDPSPGRYRYTTDTRGVPENVLWDGDAERYRTGPWNGLWFSGVPEMMTYSDMFTYELTVSSGEITYSYAAKPGAPFSRLLLTDGGAVQRLVWDASTRAWKSFFQGPRDVCDAYGKCGAFGVCDAGAASTSFCSCARGFSPASPAEWRMRDASSGCRRNVTLDCAGNGTTTDGFLRLRGVKLADTNNASADTGVTLEECGARCLANCSCVAYAPADIRGGGAGSGCIMWTDGLVDLRSVDGGQDIYLRSAKSELDVIKPPRRPFRTPLVVGASIASIVVILLVILMIFFLIRRCLRPRISAARSIQPIPAPTVPSVELCSMKAATNDFHKHNIIGRGGFGIVYEGCLSDGKKVAVKRLIIRSSHTGDECEKAFDREVELMSKLRHGNLVQLLAYCKDGNERLLIYEYMKNRSLNFYIHGKNPELRATLNWEQRLEIIIGIADGIAYLHKGLNKGVIHRDIKPSNILLDGNWRPKVADFGTAKSFIEDQTNPTLFQTPGYTAPEYAMQGYLTLKCDVYSFGVVLLEIISGPRDRTMPPLILDAWESWNQNRIMDLLDSAVKKPEPDELLLKLERCVQIGLLCVQQLPDDRPTMSAVVTMLNSGSPEINPPKMTMFNRRTGSLLHDADFSKQEASSIGTHSITVDLT >KQK96437 pep chromosome:Setaria_italica_v2.0:VII:7230627:7233762:-1 gene:SETIT_012184mg transcript:KQK96437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGRRSSRSAVTSLTFLLCMGAAAVLVAARPAPDAPHAAADNGTCQSRVEPFGYKCEEHTVTTADGYILSLQRIPGGRGGSGQSPAGKIPVLLQHGLFMDGVTWLMNSPSESLGYILADGGYDVWIANSRGTVYSRGHTTLSSTDPAYWDWSWDELAGDDLPAVVQYVYAQSGQQRMHYVGHSLGTLIAFAALSERQQLGMLRSAGLLSPIAYLDKVSSPLARAAADVFLGEALYWLGLNEFDPTGETVHKLVTDICSQPGINCYNLMSVFTGDNCCLDNSSVQVFLAHEPQASATKNMVHLAQMIRRGTIAKYDYGNAADNTKHYGQATPPAYDVSAIPDDFPLFLSYGGRDSLSDVLDVGHLIHQALKSHDGDKLTVQYLDNYAHADFVMAGNARERVYAPLMAFFKLQEK >KQK98776 pep chromosome:Setaria_italica_v2.0:VII:29108951:29109465:-1 gene:SETIT_012945mg transcript:KQK98776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDSSGGCLAAPADAVIVAIGGGGGRGAARRAGDLPERQ >KQK98844 pep chromosome:Setaria_italica_v2.0:VII:29566855:29571977:-1 gene:SETIT_009285mg transcript:KQK98844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPPVAWAAAGDPADAEPLEVRCAGCGETLEVDRGLTEFICPDCATPQSLPPELMPPPPPRRRKALPLPRGAADVRGARLPCGACGALLSVPVGLPRCACPLCGAELAVDTARLRNYLLSSAAAADAVPVLPLGASSSVPPILQAREAQTEHPNRLIPEQAESEHPDYTVDEEEVRVSEDNARYREQRNLYSGGPRIVRAENRHGEPLNQVRHQAQDLPSSHAVRTKQTYQESPDRVTEALRNSSNPALFRESGCISHINDTTTTDINGTARRSICPKTVNLEKRNMQTPKQIIQKPQRQLPCTVTSPEHARTESSNRAIHVQEKQQEPGNEANHRENACTRLGDETIADNNNRRITRQLIDLNAMGAEKRQGLPNDATHQMRKEQSDSVIHRELDNQVTRVENEQPGHHRVHTRKRKGLMAASNSGLQLRRSRRLAKVSSAAIDRQPVIDDKLTESEPDEQWTASPGQCSPDPSDTDKIINNLSTSSSPLHEIRQTSSNELENLHSTPIPSSNPNMSNPEYFSSNPDMSDPKHFSSNPDMSDPEHFAHTYIPMDVRRALAKLSSKSLLHHMMSGPSSGESHLHDSMDSEGQKLQVASQNKGRRPRGLTLCLKLWTMPKGMRIPVSLNTSGLPIGKEAATLSSFLGTLARDGILAPLSHLDWRSVPEKNKDVMFHIVKLKFDIAPVGELWIVKSLGRKWKSWKSILKQKHFDTHETEEERLADRNPRVLEEQWRFLVAYWSTEKAQAASARNKACQANVTTYHSSGTKSFARIIEEERQQRHNDEPTVEDLFILTHKPKDGKPMAKAAASTIERFREQCQKPTEGSASDFGLESRRTRRRRKPALKTSLREAMEAKRRAEDEAATLRKKLFAMEERQTKLQEGKGSVKGADGPVNSPEELAGEPSPPPGFPQVQNTPGSDEIWEPYRDLSELYDASS >KQK96894 pep chromosome:Setaria_italica_v2.0:VII:17035904:17039318:-1 gene:SETIT_010296mg transcript:KQK96894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRASPVSHVAAPMPPCGGRGRRRASGAVVTMASTINRVKTVKEAYTPPREVHRQVTHSLPAQKKEIFDSLQPWAKDNLLNLLKPVEKSWQPQDFLPEPSSDGFYDEVKELRERAKEIPDEYFVCLVGDMVTEEALPTYQTMLNTLDGVRDETGASPTTWAVWTRAWTAEENRHGDLLNKYMYLTGRVDMKQIEKTIQYLIGSGMDPGTENNPYLGFLYTSFQERATFISHGNTARHAKEYGDLKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDYTVLAFADMMRKKITMPAHLMYDGKDKDLFEHFGAVAQRLGVYTAKDYADILEFLVQRWKVADLTGLSGEGRRAQDFVCTLAPRIRRLDERAQARAKQAPVIPFSWIYDRKVQL >KQK97680 pep chromosome:Setaria_italica_v2.0:VII:22619152:22619797:-1 gene:SETIT_011535mg transcript:KQK97680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGELAKPAGDPGTLTTVPERAAITYRASDFARGSHVVDVEPTATRAVTGEQVAKNHGGARLQRKTREPCP >KQK98583 pep chromosome:Setaria_italica_v2.0:VII:28076890:28077807:-1 gene:SETIT_012729mg transcript:KQK98583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLLLLLAATVALAVPQQQDLQLQDTVLLDDVVEEAAEEWYHGRHRRTGVAYPLALPGSLSSVEATVARFRAGSLRRYGVRRFGEFAVPPGLAVRGRAAHLIAVRVNLGNLSSLYDEYAVGAGYRLASPVLGLMFYGLARRNGTAALEIDLTGAAIRVNFSVAVPALRPGAAALCMAVGLNGSVTVTDVEDGTNTCHASDQGHFALVVGGAGDGGGSGEADIGEVSKWKLALFGAALGAGGTVLLGMVAVAVVSIQRRKSEMAEMERRAYEEEALRVSMVGHVRAPSAAGSRTTPDELESEYCATL >KQK97686 pep chromosome:Setaria_italica_v2.0:VII:22654080:22656272:1 gene:SETIT_010971mg transcript:KQK97686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESTDGRRAALADLSGGAGGGGFFIRRVASPGSLAARGIRKPLARRYISPSRNKENLLPVWALRATPKKRRSPLPEWYPRTPLRDITAIAKAIQRSRLRIAAAQQQSQRPEQSPQSVNVTTPGQAEQDAPHSAEASMAVASGSGSTERETVASPATVLAGDNLKVSSSPAESSSKTPSKPMDPAVAGIDEKKLSTSIEKIERLVRRNLKRTPKAAQASRRATQRRNLMSMR >KQK96315 pep chromosome:Setaria_italica_v2.0:VII:3516085:3516563:-1 gene:SETIT_011778mg transcript:KQK96315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSDSSVQQAELHQLQNSLLEAMERMFMNVLLLQVEGIDNINMKNLLMKIQDMVFMTALELVVMNLFANHGWFEHHRNRRCGACHDGKHHRGRNRDDPNSIARVKLSVPKFTGKQGADAYLDWEKQCDQIFRVPNLSN >KQK99269 pep chromosome:Setaria_italica_v2.0:VII:32025869:32027647:-1 gene:SETIT_012328mg transcript:KQK99269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PDDRSEGCHHVPSDMMLPYISRMLMEDDIDDKPADHPALLQVQQPFAQILSSPSFGSNHGDTEGANDLLQDSSGDERTLHLALSKGTFAVGAFLKGMKEANMLLPIANNGFRRDELVNQMVSESSNHSGAKKRYARDDHIEEGEARRTSKSLMRIKEPKDICAHEMLDDMMLRGTEPCIIRCMEKLRIAMANGTEKTTRKGSRNAVAKNVVDIRTKLILCAQAVAANDDMTARELLKQIKRHASETGDVTQRLAQCFAKGLEARLVGMGSQVWQLRTADRLRPTTVEFLKAHNLLTAACSFNRVVLLFSTMTILQAMVGKGRLHIVDYGMHHGFHWADLLRLLASREGGPPKVKVTAIGHPDLRPCSIEQIEEIGYRLSKCAHEFGVPFNFYAIRKKWEEVSIEDLNTDAGEVLIVNDHLNFNTLMDESIFFDDPSPKNIVLHNIRKMRPAVFIQSIVNSSYGASYLSRFREVVFYFSAIFDMFDATIPRDSKCRVVLEQDLFGCFALNAIACEGTDRINRPEKYKQWHARNQRAGLRQLPLEPSIVNALKDEVMRCYHRDFLICEDGQWLLHGWMGRILFAQSTWVADDTS >KQK97849 pep chromosome:Setaria_italica_v2.0:VII:23555584:23560561:-1 gene:SETIT_010251mg transcript:KQK97849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNGVLECSVCRSKVAVPSPRSVSMAYDKHRSKISSKYHALNVLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVIFAIIMLIIQSRKQKVGEKPLLSLSTFVQAARSNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKVIMRRKFSIIQWEALALLLIGISVNQLRSIPAGTNAFGLPVTAIAYAYTLIFVTVPSFASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGQPLTVNFLLGISIVFISMHQFFSPLAKVKDEKTAGTVELGDAQNHRSESSFVNMTAGAADDASHLSATDERKPLLPI >KQK97848 pep chromosome:Setaria_italica_v2.0:VII:23556481:23560561:-1 gene:SETIT_010251mg transcript:KQK97848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRNGVLECSVCRSKVAVPSPRSVSMAYDKHRSKISSKYHALNVLLVSGDCILVGLQPILVFMSKVDGKFQFSPISVNFLTEVAKVIFAIIMLIIQSRKQKVGEKPLLSLSTFVQAARSNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKVIMRRKFSIIQWEALALLLIGISVNQLRSIPAGTNAFGLPVTAIAYAYTLIFVTVPSFASVYNEYALKSQFDTSIYLQNLFLYGYGAIFNFLGILGTVIFQGPESFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGLASAAFLGQPLTVNFLLGISIVFISMHQFFSPLAKVKDEKTAGTVELGDAQNHRSESSFVNMTAGAADDASHLSATDERKPLLPI >KQK98223 pep chromosome:Setaria_italica_v2.0:VII:25727084:25727500:1 gene:SETIT_011914mg transcript:KQK98223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KGCDPEWNETFVFTVSDSSTELFIKLLDSDGGTDDDFVGEATIPLEAVFTEGSIPPTVYIVVKDEEYRGEIKVGLTFTPE >KQK98962 pep chromosome:Setaria_italica_v2.0:VII:30193226:30195239:-1 gene:SETIT_010389mg transcript:KQK98962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCFGKKAEQAVQGDGDVHSVKVFSYSELRKATQDFSGANKIGEGGFGSVFRGVLKDETVVAVKVLSATSRQGIREFLTELTAISDIKHENLVTLIGCCAEGSHRILIYNYLENNSLSQTLLGTRYSNIRFNWRARVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRCNTNTRLPSEDQFLLERTWGLYEEERLEEIIDIDVGDDLDVEEACRFMKIGLLCTQDAMARRPNMTNVVRMLTGEKRINVDKITRPAMITDFADLKVSNKGQRSSETRSPATTAPTTFTTTEPFSSSETPTQSSM >KQK98961 pep chromosome:Setaria_italica_v2.0:VII:30193226:30196422:-1 gene:SETIT_010389mg transcript:KQK98961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCCFGKKAEQAVQGDGDVHSVKVFSYSELRKATQDFSGANKIGEGGFGSVFRGVLKDETVVAVKVLSATSRQGIREFLTELTAISDIKHENLVTLIGCCAEGSHRILIYNYLENNSLSQTLLGTRYSNIRFNWRARVKIAVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLARLLPPNATHVSTRVAGTLGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRCNTNTRLPSEDQFLLERTWGLYEEERLEEIIDIDVGDDLDVEEACRFMKIGLLCTQDAMARRPNMTNVVRMLTGEKRINVDKITRPAMITDFADLKVSNKGQRSSETRSPATTAPTTFTTTEPFSSSETPTQSSM >KQK98510 pep chromosome:Setaria_italica_v2.0:VII:27597241:27599856:-1 gene:SETIT_010042mg transcript:KQK98510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAPRWLRGLLGGGRKAAETKPVKEKRRWGFGKSFREKAPAPVAARPPTPPVQPSATPRRGYAAATDEADDEQSKRAIAVAAATAAVAEAAVAAAQAAAAVVRLTSSGRCAPAAGKREEWAAVRIQAAFRGYLARRALKALRGLVKLQALVRGNIVRRQAAETLRCMHALVRVQARARACRAIRSQQAPAHPDPPTPEKYDHAGAPRHGRSGSLKGSSSKTPGSERLGRERSESCGRNWLDRWVEERFMDDEKNAKILEVDNGKPGRHASKRRGGGGNHHHSPCSTMTSDQNSRSYATMPESPSKDSTTAQQSVPSPPSVSMGEALSPLRLPVDIAELCDSPQFFSATSRPGSSRRGPFTPTKSECSRSLFGGYSDYPNYMANTESFRAKARSQSAPKQRPQYEKSSSLRKASAANAFATGPYAPTAAAAQRSAASLHAKFTNKAYPGSGRLDRLGMPVKY >KQK96991 pep chromosome:Setaria_italica_v2.0:VII:18051248:18052616:1 gene:SETIT_012291mg transcript:KQK96991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLGRPPHFDGTNFPYWHVRMSCFLKAKCLGIWRVTKHEMNPIAQPNNPTKADEKELHLNAIAWNSIFESLSIEVFNRVYELKSAHEIWTTLIELHNSTSDVKEQKYSLIKEAFDSFQMLPDELANYMYSLLNVIVNELDAIAHHPIIKN >KQK98677 pep chromosome:Setaria_italica_v2.0:VII:28596393:28596830:1 gene:SETIT_0091912mg transcript:KQK98677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLMSGAQGDWGSAGGDGRRRDWGKMGAALGEMAGGRTGGVEGDGGGAGGDGRRWDWGEMAGGAGGRWPVAGLGGDGGSAAERWRRDSGEPGRWRRRWGEMGAAARRQGAAVRGGAGGGRPTAALDPWGRWGTGVGGRLGDREMR >KQK98678 pep chromosome:Setaria_italica_v2.0:VII:28596393:28596830:1 gene:SETIT_0091912mg transcript:KQK98678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKLMSGAQGDWGSAGGDGRRRDWGKMGAALGEMAGGRTGGVEGDGGGAGGDGRRWDWGEMAGGAGGRWPVAGLGGDGGSAAERWRRDSGEPGRWRRRWGEMGAAARRQGAAVRGGAGGGRPTAALDPWGRWGTGVGGRLGDREMR >KQK97241 pep chromosome:Setaria_italica_v2.0:VII:19910183:19912706:1 gene:SETIT_012778mg transcript:KQK97241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAQPFNLTFVSNDQEKYFTYNLLDETTVVRHVMDVSGQVKTFIWLESSQDWLVYYAQPRARCDVYAGCGPFTVCNDNELPHCNCMKGFLIRSPKDWDLGDQTDGCMRNIPLNCVDNKSTGSSIDKFYSIQCVTLPHNAYNIEAATNAGKCETVCLSNCSCTAYSYGNGGCFVWYSELLNVKQQQCNGTSDINGGTLYVRLAAKEEQSRKNNIRGMMIAISLGVSSAIMFPLALVLMIWWNKKKRCNFALNNAQGGNGIIPFTYTDLQRATKSFSEKLGEGGFGSVFKGFLRNSTIAVKRLDRVHQGEKQFRAEISSLGLIQHINLIKLIGFSCNSNKKYLVYEYMPNHSLDKHLFPGNANILNWDTRYQIALGVAKGLAYLHESCRDCIMHCDVKPENILLDESFIPKIADFGMAKFLHRDFSRALTTMRGTIGYLAPEWISGVAITPKVDVYSYGMLLFEIISGRRNSHRELTTVGDDYTYFPVQVAHKLLIGNVGSMVDHKLHGDVNVKEAERACKVACWCVQDNEADRPMMGEVVQILEGLKELGMPPVPKLLQQHPGLPKGFGNWDGAAVALAGYLGMTQWCWPRRGFPEERSGGGPWGVPGWLDGGGSRRGIPRRRGG >KQK98067 pep chromosome:Setaria_italica_v2.0:VII:24758794:24762176:-1 gene:SETIT_009342mg transcript:KQK98067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPSIICAALQPVCGFINQAGVPGATAKHFSSFACIKRNRRLLRKAIENLQAIEKVVRGQFDLEINNLNECDPRVILWLRRVASILVDPTDQEIDRLMQSSGLCRSVLGLGKRYCLGKHIVEMLEDLAGLIEEGNQFKTFASKRLPDFVEERPRTQTFGIEPMLRDLWKSFESTDVSIIGVCGPGGVGKTTLLNTFNNELKLRGRDYQLWTAGLPWNDTEAEEIRARFLMKALRRKKFVILLDDIWNDFQLEDVGIPTPDSESTSKLILTSCSVDVCYQMDAKSLIKIEYLEKEAAWELFRSNLSTQAITAIDSPRPNNVVKEHADAIVQSCGGLPLAIKVIGRAVAGLRSPREWSLAMQATKHDIKDLDGIPKMFHKLKYSYDKLRPTQKQCFLYCTLFPEYGSINKDQLVEYWMAEELIQDLNRGHRVINSLLSACLLESCGSDIEVKMHQIIRHLGLSLAESGEQPEGFLDLSRTSITALPLCSTLSKLKYLNLSHTLIERLPEEFWMLKKLIHLDLSVTEQLKETFDNCSKLYKLRVLNLFRSNYGIRDVNDLNIDSLRELEFLGITIYAKNVLKKLTETHPLAKSTQRLSLKHCKQMKSIHISDFTHMCKLVELYVDSCLDLKQFDAEPDRIRAPCIEVLTLARLPALQTILIGSSTHHFRNLLEITISHCHNLQNITWVLKLQALEKLCICHCDELERLVQETGDRVDERNGGFEQSGIRRFGRINGVSEEQEIHGMVEDTYKEYVKSYQNMTENGRINGEVHHEEFPKLRYLVLTGLRKLTAICNPRDFLCLENIRVEGCPRLRTLPLGQMYECPKLKQICGSYDWWERLEWNGKETMENKYFIPIKDQE >KQK98172 pep chromosome:Setaria_italica_v2.0:VII:25473508:25477768:1 gene:SETIT_010511mg transcript:KQK98172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVAVPPPPPPHLRLYEFAKTALIKIFSFPYATVCDLYCDGGVDTDKWCDAQVGHYIGIDASASGVSDARELWENKRKPFTAEFIELDPSDDDFEAQVQEKGIQADIVCCMQHLQLCFESEERAKKLLNNVSSLLKPGGYFFGMTPDSSTIWTKYQKNVEASHNKGLKTVPNSIRSENYTITFEVEEEKFPFFGKKYQLKFASEAVFENHCLVHFPSLMRLAREAGLEYVEIQNLTEFYDDNRIQFAPMLGGYSASFLDARGKLVTRSYDILGLYSTFVFQKPDPDAIPPIVTPDLHDTDNAHEEEWLRRQQASADDGRRSHTDVLPLDHEKGILGPGPADMRL >KQK96379 pep chromosome:Setaria_italica_v2.0:VII:4784007:4785700:-1 gene:SETIT_012250mg transcript:KQK96379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLYEREKDTLLHIIAQASGGLCFSVDHWKSKATGDKYEDDSYVCVTACFVDADWNMQRRVVGFRFLRFPNDAPSVAETIASCFVDLGIDKKVLSITFDNTLDDASVANSLKTLLHEEGKFLYDGELCRVHCCTEILNSAVKAGLELISDVIGKIRHGIHYINYSAERKVKFYQCAKDVLHMDVNTKLCSDIVVYWDLTYKMLGCALYYKDALNHFSSTDETFLAHFHLGDEEWNKLESIEKFLKVLYDINCTFLSKESKTASLYFLGIYKVYRLLDVTKCQENFMSAMVGDIKAKFDKYWSEYSLILACAAVFDPRYKLSIISYCFRKIYGNADAIQHITRVVALLNRLFTEHEKSSCSSSVGTNVLECHTKDDLFDDYSPPKQISELDWYLESPVMDLSVDLDILKFWSGMSKCYPDLASLARVILAIPVSTVATKSAFTMGEKVLNQRIAVSAIEEYCTYDEEEEDEEVEKSLTITTIVLTVVVMNRMSGCILDL >KQK97518 pep chromosome:Setaria_italica_v2.0:VII:21622642:21628898:-1 gene:SETIT_010401mg transcript:KQK97518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMGASGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCIPFREQLLEYYANNKNPGDAEENLLTCLADLFMQISQAKKKTGVIAPKRFVQRVKKQNELFRSYMHQDAHEFLNFLLNELVDILEKESSAAKDSPQSSSPEKAPNGPVQPLANGVKKEPPVTLVHKNFQGILTNETRCLRCETVTARDETFLDLSVDIEQNSSITSCLKNFCSTETLNAEDKFFCDKCCSLQEAQKRMKIKKAPHILVIHLKRFKYIEQLGRYKKLSYRVVFPMELKLSNTSDDVDTEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMVEEQTLQTFFGSSHEYSGNTDHGYILFYEGLGGKS >KQK97558 pep chromosome:Setaria_italica_v2.0:VII:21875001:21881824:-1 gene:SETIT_009197mg transcript:KQK97558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDAGKAEAGSCSGGGGCEAVKKRPEQSVAFHELFGFADPLDWLLMAAGSAGAVVHGAAMPVFFLLFGELVNGFGKNQHNLRRMTDEVSKYSLYFVYLGLVVCASSYLEIACWMYTGERQVGALRRRYLEAVLRQDVGFFDTDARTGDVVFSVSTDTLLVQDAIGEKVGNFIHYLATFLAGLVVGFVSAWRLALLSIAVIPGIAFAGGLYAYTLTGLTSKSRDSYANAGIIAEQAIAQVRTVYSYVGETKALNSYSEAIQNTLKLGYKAGMAKGLGIGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGLSLGQSFSNLGAFSKGKIAGYKLLEVIRQRPTIVQDTADGRCLDEVHGNIEFKEVAFSYPSRPDVMIFRDFSLFFPAGKTAAVVGGSGSGKSTVVALIERFYDPNQGQVLLDNVDIKTLQLKWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAAATSANAHSFIALLPNGYNTHVGERGLQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRCVDMIAVIQQGQVVETGTHDELLAKGSSGAYAALIRFQETARNRACPSTRKSRSSRLSNSLSTRSLSLRSGSLRNLSYSYSTGADGRIEMVSNADNDRKYPAPRGYFFKLLKLNAPEWPYTILGAIGSILSGFIGPTFAIVMSNMIEVFYYRNPNKMESKTREYVFIYIGTGLYAVVAYLVQHYFFSIMGENLTTRVRRMMLAVILRNDVGWFDQEENNSSLVAARLATDAADVKSAIAERISVILQNMTSLLVSFVVGFIIEWRVALLILITFPLLVLANFAQQLSMKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQDKILSLFCGELRVPQMYSLRRSQISGALFGLSQLSLYASEALILWFGAHLVRTHVSTFSKVIKVFVVLVITANSVAETVSLAPEIVRGGESIRSVFAILNSRTRIDPDEPDTEQVESVRGEIDFRHVDFAYPTRPDVMVFKDFSLRIRAGQSQALVGASGSGKSTVIALIERFYDPLAGKVMIDGRDIRRLNLKSLRLKIGLVQQEPVLFATSILENIAYGKDGATEEEVVEAAKAANVHGFVSALPDGYRTPVGERGVQLSGGQKQRIAIARAVLKDPAVLLLDEATSALDAESECVLQEALERIMKGRTAVLVAHRLSTIRGVDSIAVVQDGRVVEQGSHGDLVSRPDGAYSRLLQLQLHHG >KQK99412 pep chromosome:Setaria_italica_v2.0:VII:32815691:32816772:1 gene:SETIT_011175mg transcript:KQK99412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETCFRAWALHAAPAGGKDRLLAGGSSTSFAPSKRAAAAAPLSVGRVATPRPRHVCQSKNAVDEVLVADDANWDGMVIACETPVLVEFWAPWCGPCRMIAPVIDELAKDYAGKIKCCKVNTDDNPKVASTYGIRSIPTVLIFKGGDKKESVIGAVPKTTLTTLIDKYIGS >KQK99574 pep chromosome:Setaria_italica_v2.0:VII:33590508:33596616:1 gene:SETIT_009372mg transcript:KQK99574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGRMRCLVGGGVQDSPRSAAKRVSPASWRSDTAAAEAAAVAGGKGPVICFRPPDVMETVHEVAIYIHRFHNLDLFQQGWYQMKISAMWEEGGNKTPASPARVVQYEASDVGADDALGIWKIDDVDNSFYTQPFRIKYARQDIYLSVMVSFNIFNSEEEGPAASAVMLKFELIYAPTLDNGSELQASSVTSSAAVHEFRIPRRALLGLHSYCPVHFDAFHSVLVDLTLHIVYLKAGATKPSLKVPDEGLGPVSYHIVKALLTSRKMLLEELKKISDAIGKRIDDLDGADLNLGKYEPVNPSNSGLPNSSKVFPATGKGVGQLAGILHDFLERPNDVVNGTEDSMLYALPKEELLELFLTVSGQLSLLWNAFLKFHRANKTKILDYLHDVWAIDRKAEWSIWTVHSKIEIPHRYLRSMNDDSSHRHSLLRVSGSRKFHDDPVQNSASRADLHRKSIAQMKINTQSVQDMHIYADPSRVPVVLIEQHVMVVPQHGSGKDLALNASEQKDTIVLPKLQGDSLAAKSSAGKKSGRILRAVIFVHGFQGHHLDLRLVRNQWLLLDPGADCLMSEANEDKTSGDFKEMGSRLAGEVVAFLKKKVDKLSRYGGCKELKLSFVGHSIGNIIIRSALAEPALQPYLKNLHTYMSISGPHLGYWYSSNSLFNSGLWLLKKLKGAQCIHQLTFSDDQDPQNTYFYKLCKLKTLENFKNIILLSSPQDGYVPYHSARIELCPAASSDTSRKGQVFTEMLNNCLDQIRAPSSDTRIFMRCDVNFDQSNQGRSLNTMIGRAAHIEFLETDLYAKFIMWSFPDLFR >KQK96253 pep chromosome:Setaria_italica_v2.0:VII:2582376:2589028:1 gene:SETIT_009538mg transcript:KQK96253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAPATDPPDSSPAVTTDASPPPRPTPEELVARAVAPVKPAFLRPPPVREVPKEEGKAGGGGAVVTGEKKSKRQLKRERQQEQKSTSHLCIGVGKSGNVDSCKYGTSCRFSHDINAYLAQKPGDLEGTCPFTTLGQLCPYGLTCRFLGTHKDNLAPQNHSDGNHERNPLSKDIQKLLWKNNYKFPKATAQIKLLGLKDGNKNKAKTANDDNPDETCELNGDGKTESLPVNVEPDLTLCKAIDNSEGEPLVVNSVQCVEPRPLKKSKVEVDGTQDDGTGIHGNEAESEDLNLSNGSKVSSNNHSSCRVDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLLQGQASEWALLRRHPSEDLFGVQICGPYPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITIHGRSRQQRYSKLADWDYIYQCAQKAPDCLHVIGNGDVFSFTDWNKHVSDSSKISTCMIARGALIKPWLFTEIKEQRHWDITSGERLNILKDFVHFGLEHWGSDSKGVETTRHFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETLMASDSAADWIRISEMLLGKVPEGFTFAPKHKSNAYDRAENG >KQK96254 pep chromosome:Setaria_italica_v2.0:VII:2582376:2589028:1 gene:SETIT_009538mg transcript:KQK96254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTAPATDPPDSSPAVTTDASPPPRPTPEELVARAVAPVKPAFLRPPPVREVPKEEGKAGGGGAVVTGEKKSKRQLKRERQQEQKSTSHLCIGVGKSGNVDSCKYGTSCRFSHDINAYLAQKPGDLEGTCPFTTLGQLCPYGLTCRFLGTHKDNLAPQNHSDGNHERNPLSKDIQKLLWKNNYKFPKATAQIKLLGLKDGNKNKAKTANDDNPDETCELNGDGKTESLPVNVEPDLTLCKAIDNSEGEPLVVNSVQCVEPRPLKKSKVEVDGTQDDGTGIHGNEAESEDLNLSNGSKVSSNNHSSCRVDLITTPHLREKKIIDFREKLYLAPLTTVGNLPFRRLCKTLGADITCGEMAMCTNLLQGQASEWALLRRHPSEDLFGVQICGPYPDTVARTVELVDNECSVDFIDINMGCPIDIVVNKGAGSSLLTKPMRIKSIVQAASTVTEKPLTVKVRTAFFEGRNRADSIVSDIYDWGASAITIHGRSRQQRYSKLADWDYIYQCAQKAPDCLHVIGNGDVFSFTDWNKHVSDSSKISTCMIARGALIKPWLFTEIKEQRHWDITSGERLNILKDFVHFGLEHWGSDSKGVETTRHFLLEWLSYTCRYIPVGLLDVIPQRLNWRPPSYCGRDDLETLMASDSAADWDL >KQK98667 pep chromosome:Setaria_italica_v2.0:VII:28550571:28552319:-1 gene:SETIT_011305mg transcript:KQK98667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAPGTGPGCLGSPAQAPSGSLAGVQGAPRRLGTSKPSWIVRTESNVRRERPKRPDPPCTICKGTGRIDCRNCFGRGRTNHAELVMLPKGEWPHWCRICGGSGLDYCFRCHGTGEYREPMGFHFTVKSK >KQK98200 pep chromosome:Setaria_italica_v2.0:VII:25605175:25606467:-1 gene:SETIT_012169mg transcript:KQK98200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASSASGESGGGGGAGGGRGGRRWKGKGGVTPIQPRRQLAPVMEDASAASLRPHKKIGRAPDRFQRSASSLSTTASSSAPPSPRASAASPTPAESSPPSARRIFPFAYEPSAPPVGGAPRLQLPPWQHSSASQPASPQQAPLQRQQMISFGAPPQFQAQFFLPDGSPQHQQQHLLRYWSEALNLSPRGGQAAAVLPSLYQHLVRAPPPPQKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDSAEDAAMAYDREAFKLRGENARLNFPDRFFGKGHAGGSGRTSATSAAAPTTAAGSGSSSSSSPPQTPDEASTQQTPPPHAEGSLDKQPQPPVATSWQQDVSSKTMPVSGEMIHAPVAHGSEWGPADEAWFNAWGPGSSFWDYDMDSNPGLFLHGRFAGDEATMEHSTAQETTAAAAAGTDMSCDHVP >KQK96700 pep chromosome:Setaria_italica_v2.0:VII:14204829:14205341:1 gene:SETIT_012894mg transcript:KQK96700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHSVFLLEAFDDDGRLLVEDELLVGRDRLEELVREGKRMRRARKKGVLKFDGDSDEDEDDSKAVEDGLLDVNDEFGDLFEDGIIGDDWEQVGDEGGSEADEEHDTESDAMEEFWVKKAVAEGLVNSSNDQDVW >KQK98113 pep chromosome:Setaria_italica_v2.0:VII:25027629:25031104:-1 gene:SETIT_010505mg transcript:KQK98113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSYEGILLGIGNPLLDISAVVDEAFLAKYDVKPGNAILAEDKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQIPGATSYIGCIGKDKFGEEMKKNAQAAGINAHYHEDENALTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVKKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFLMNLSAPFICEFFRDAQEKALPYVDYIFGNETEARTFAKVRGWETENVEEIALKISQLPNASGTHKRITVITQGRDPVVVADDGKVKTFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKSIDECVRAACYAANVVIQRSGCTYPEKPDFN >KQK98114 pep chromosome:Setaria_italica_v2.0:VII:25027510:25031165:-1 gene:SETIT_010505mg transcript:KQK98114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGSYEGILLGIGNPLLDISAVVDEAFLAKYDVKPGNAILAEDKHLPMYDELASKGNVEYIAGGATQNSIRVAQWMLQIPGATSYIGCIGKDKFGEEMKKNAQAAGINAHYHEDENALTGTCAVCVVGGERSLIANLSAANCYKSEHLKKPENWALVKKAKYIYIAGFFLTVSPDSIQLVAEHAAATNKVFLMNLSAPFICEFFRDAQEKALPYVDYIFGNETEARTFAKVRGWEGHTRGLL >KQK98268 pep chromosome:Setaria_italica_v2.0:VII:25950540:25952063:-1 gene:SETIT_010504mg transcript:KQK98268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFTHVPPGFRFHPTDEELVDYYLRKKVALKKIDLDVIKDVDLYKIEPWDLQEKCKIGNEEQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKPIYVKNCLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETHETGIPHEEGWVVCRVFRKRLATVQRMAGDSPYWFNDHAGFMAPELGSPRQVAHHQQSAVMYHRAQSSYPCKVELDYHHLLPQEHFLQQLPQLESPNKLPDLIGHVATTLQPCSLTPEHGAAPRYTVEELHAEPVYLTGGDASGTDWRALDKFVASQLSHGDTDTTPKESSYSNPVQVFQQAEEKEEEALDYVSTSASCGGDNDLWK >KQK97047 pep chromosome:Setaria_italica_v2.0:VII:18482680:18483123:1 gene:SETIT_012979mg transcript:KQK97047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNWIMIVLHIDQTTHLTILYYLQSLIYDVLDKQNELVLVLAV >KQK99205 pep chromosome:Setaria_italica_v2.0:VII:31496590:31501114:-1 gene:SETIT_009768mg transcript:KQK99205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVLRIQSVDFPAASASPAAAAADEVGTSGGATSHPLSPPSSNPPPSTTTSSIPPLELPGATSAAPARSPRIHHTSGVIHLYHSSSSTSTTSSSSYASAVAATSSSSHGPAAPQPASDSLLPPWRGTRLLVLAVPTRVSPDDFIRFCGPYLERASDIRFIRDDGVEDRYSVLVEFEDQKSADGFYLDLNGWRFSSSEGEVCHVLFIAAVQYTPSSEIATTPPAGSTELPICPVCIERLDQDISGILATTCDHSFQCSCVSVWVNSSCPVCQFCQKQPENSTCSVCPTSGNLWICVICGFVGCGRYQEGHAKQHWKDTQHCYSLDLETQRVWDYVGDSFVHRLNHSKSDAKNAKFKSKCKYSGDDCVNCTCDDDSDMGGAMFSSKAETIVDEYNRLLASQLETQREYYEGLLSEAKRNKERRISEAVDKAVNDKLQELQLKLENLMVEKKKVADKNEKLTRSQDMWRQTLRDIEEREKAQLKSKDETIRDLEEQIKDFKFSIKLQKSIEKNDGVKGGTLVPLHMESDSGGKGKRSSRTSKRRN >KQK96266 pep chromosome:Setaria_italica_v2.0:VII:2720643:2722592:-1 gene:SETIT_011728mg transcript:KQK96266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGVEVAKNAWKQWGLQALAMLSFTLQVALLILTEFRRRIDSGVLRFFVWSAYMLADGTAIYVLGHLSVTSASPEHELMAFWAPFLLLHLGGQDNITAYAIEDNQLWLRHLQTLAVQVAAAAYILYESSIITSQSLLRLATVLMFGVGVLKYGERVWALKCAGSSPSGSNYRPFNRKTASAVGPLPSRQDRDTEAFLLIAHRLLAAPMDLLKGPSTFVDVQCGTSTIPGEDLYKVAEMQISLMHDVFYTKAEVTHSWYGLCIRIISSLGTVTVLLQFHLQGDRKDGYNRVDVAVTYVLLVGAIILEITSALRAMLSSWTFALLYQRAEERNVWHLLAFIVGSLRRLVHAADWWRYWSGSMGQHNLLQLCARSWASKSSKIARWMGLEDPWNTMIYSSSIPVPACIKQLVVEQVLKSEGTLESTPEHIHNSRGREALEKRGLYENLAWSIDIGLDESILEKAKGDPELLAHAGVMKTVEALSNYMLFLLVSRPYMLPPPASRNAYVHVCHFLSFLEHGTPEDLANLLRRSGNELNTRSNTEANDITLTGISGDSSRYKKILDRGSQLGAKLVDDKLQDSGTAGILELITQVWVEMLCYVGYRCSAYSHAKQLSNGSELITVAALLMEHIRRQTPEP >KQK96680 pep chromosome:Setaria_italica_v2.0:VII:13851723:13857649:1 gene:SETIT_009534mg transcript:KQK96680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEENTSLFLIFILTMIALPLVPYTIMRLCRAASEKAKTIHCRCSGCHRSGKYRKSIYKRISNFSTCSNLTIVLLWIVMIFLVYYIKHVSREVQVFEPFNILGLEAGASESEIKKSYRRLSIQYHPDKNPDPEAHKYFVEYISKAYQALTDPVSRENYEKYGHPDGRQGMQMGIALPKFLLNIDGASGGIMLLGIVGFCILLPLMIAVIYLSRSSKYTGNYVMHQTLSTYYYFMKPSLAPSKVMDVFIKAAEYMEMPVRRSDDEPLQKLFVAVRSELNLDLKNIKTEQAKFWKQHPSLVKMELLIQAHLTRESFVLTPALLKDYRHMLELAPRLLEELVKIAVLPRNPHGFGWLRPATGVIELSQNIIQAVPLSARKATGGNSEGIAPFLQLPHFTEATVKKIARKKIRAFQELFDMSVEDRAALLTQVAGLSEEQARDVELVLEMIPSIEVDIKCETEGEEGIQEGDVVTMYAWVSLQRRNGLTAALPHCPNFPFHKEENFWLLLADAASNDVWLSQKVSFMDETTAITAASKAIQETQEALGASAKEIGIAVREAVDRVKKGSRLVMGKFQAPAEGTHNLTSFCLCDSWIGCDTKTSFKLKVLKRSRAGTRGHVPEEGPVAATEDGIEEEEEEEEEEYDDYESEYSDDEEDEKNKGKGKGKVVNGAAHQGGADSDIESGSDE >KQK96515 pep chromosome:Setaria_italica_v2.0:VII:10679701:10680456:1 gene:SETIT_011488mg transcript:KQK96515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEEMNARTKFVAIILVFAMVAHGLVSPGMGMLANNGAGETKGVKPTLGVGGGTTVDNHHAIPRDQYSNHGGDEGGSGSDSNN >KQK96952 pep chromosome:Setaria_italica_v2.0:VII:17712513:17713919:1 gene:SETIT_010179mg transcript:KQK96952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVKSSKAVKPANGPAAAPDVVPLSVFDKVNFDTYVSVIYVFRPPTPPNAALEAGLARALAEYPEWAGRLGVDGRGNRAILLNGEGARLVEATADVALDAVLPLSPAPEVRSLHPSEDGAEEVMLVQLTRFACGGLAVGFTAHHLVSDGRATSNFFVAWSQATRGVPVDPVPVHDRASFFKPRDPPRVEFDHRGVEFKKPEPAAPPLHAEAAHFSREFIARLKSQASPPGGRPCSTLRCVVAHLWRCITAARGLDAAGAATTSVCIAVDGRARMIQPVPDGYTGNVVLWARPTAAARDLVARPLRHAVELINRELARVDGSYFGSFVDFAASGAVEAEGLVPAADAAEMVLSPNIEVDSWLRIPFYDLDFGGGRPCFFMPSYLPVEGLLILLPSCYGDGSVDAYVPLFSRHMDAFKSCCYSIDLH >KQK97928 pep chromosome:Setaria_italica_v2.0:VII:23994838:23997085:-1 gene:SETIT_011420mg transcript:KQK97928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRHTIILMQPSQNRATRTFLDFDSVNHALDGICGLYERKIRNINPMVRNLTYDISDLYNFIDGLTDISALVFDDSLHAFLPYDRQWIKQKLFQHLKRLAQQ >KQK99814 pep chromosome:Setaria_italica_v2.0:VII:34870266:34872282:1 gene:SETIT_010451mg transcript:KQK99814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAICSLAAHRYNNSCNGSTQMVFHGNSHAWHPQCRQADSSCDVVELRDLPRKVMWDLPSFVKIVEVAPRDGLQNEKGNVPTSVKIQLIHKLVAAGLSVVEATSFVSPKWVPQLADAKEVLKGIQQVPGVRHPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLVRYRDVTAAAKKHGLLIRGYVSCVIGCPVEGAIDPSKVAYVAKELYNMGCSEISLGDTIGVGTPGSVAAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQMGISIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISRHLGRPVGSKTAAALRKHLSP >KQK99198 pep chromosome:Setaria_italica_v2.0:VII:31451871:31454221:-1 gene:SETIT_010303mg transcript:KQK99198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGLKYRAGLCLIVAVVLIWVISAEVTQGIFTKYKHPFAITYLGASLMVIYLPLSFLKDFIYNSMRQHSGNTGASKITSKSSFGGSAPLKNGEFQKMLEMESQKTIVIPVVEETKPLIYGITEINDGILKEKQLSTKEIATYGLYLCPLWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKIIAVFISMAGVVMTTMGQTWASDESEVGKSGATERTLLGDMFGLMSAIAYGLFTGRLFYFSALFAVNFMNLTAKCFNIVPVLLKKFCGEEGEKVDVQKLFGYLGLFSLVALWWLGNICLFQINITEVYKLEIYIHLNSLICAHISWLVYAKVFSLLCFHFSLAINRTRHRTKVFNAPLS >KQK99197 pep chromosome:Setaria_italica_v2.0:VII:31450946:31454428:-1 gene:SETIT_010303mg transcript:KQK99197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGLKYRAGLCLIVAVVLIWVISAEVTQGIFTKYKHPFAITYLGASLMVIYLPLSFLKDFIYNSMRQHSGNTGASKITSKSSFGGSAPLKNGEFQKMLEMESQKTIVIPVVEETKPLIYGITEINDGILKEKQLSTKEIATYGLYLCPLWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVLLGQDSINAAKIIAVFISMAGVVMTTMGQTWASDESEVGKSGATERTLLGDMFGLMSAIAYGLFTVLLKKFCGEEGEKVDVQKLFGYLGLFSLVALWWLVWPLTALGIEPKFSMPHSAKVDEVVVANGLIGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMVADMIIHGRHYSAVYILGSVQVFSGFVIANLADRFSRSLGL >KQK99281 pep chromosome:Setaria_italica_v2.0:VII:32113655:32117322:-1 gene:SETIT_010210mg transcript:KQK99281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPAPPPPLLASHAAVRATASDVSRFRGARLAGDHPPQVAALRRGDWVKLICGASFEDAADVRNLSLVYTLAGVDCIDCAADASVVGAVNEGIDVAASIIPAVQRPWVMVSVNDDCRDLHFRKAEFDPEDCPPDCSKPCEKVCPADAISLESIMVGGEHTRSDPLHGKLKGGVLTERCYGCGRCLPVCPYDRIRAVSYVRDPITTSELLKRNDVDAIEIHTTGKGTDTFDALWNSFSESINNVKLVAVSLPDIGESTVDFMNVLYAIMEPHLQGYNLWQLDGRPMSGDIGRGATRETVSFAIHMASVPERPPGFYQLAGGTNSYTIDCLKKAGLFQSMTFPGTTTSETISSQQALIGGIAYGGYARKIIGRTLRKIPAQFGRVHIEDHPDHLLEALQDALSLVGPVKGYPALSSMK >KQK98106 pep chromosome:Setaria_italica_v2.0:VII:24983238:24984727:1 gene:SETIT_010613mg transcript:KQK98106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRNQRAAKRNGSMSEQLKRDYEIGEEIGRGRFGVVHRCVSRATGEPFAVKSVDRSALADDLDRALAELEPKLAQLAGAGGANPGVVQVRAVYEDDAWTHTVMDLCTGPDLLDWVRLRRGAPVPEPDAARVVAQLAEALAGCHRAGVVHRDVKPDNVLLDLDDDPAGEGAPPRVRLADFGSAAWLGGGGGEGARRGSVEGLVGTPHYVAPEVVAGGEYGEKVDVWSAGVVAYVLLSGGALPFGGETPAEVFAAVLRGSLRFPPALFAGVSPAAKDLMRRMMCRDASRRFSAEQGTRGSRAEVAPERWCSRPESMPKPT >KQK98105 pep chromosome:Setaria_italica_v2.0:VII:24983238:24984380:1 gene:SETIT_010613mg transcript:KQK98105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRNQRAAKRNGSMSEQLKRDYEIGEEIGRGRFGVVHRCVSRATGEPFAVKSVDRSALADDLDRALAELEPKLAQLAGAGGANPGVVQVRAVYEDDAWTHTVMDLCTGPDLLDWVRLRRGAPVPEPDAARVVAQLAEALAGCHRAGVVHRDVKPDNVLLDLDDDPAGEGAPPRVRLADFGSAAWLGGGGGEGARRGSVEGLVGTPHYVAPEVVAGGEYGEKVDVWSAGVVAYVLLSGGALPFGGETPAEVFAAVLRGSLRFPPALFAGVSPAAKDLMRRMMCRDASRRFSAEQVLRHPWIQSGGGAREVVQPT >KQK97377 pep chromosome:Setaria_italica_v2.0:VII:20907222:20909939:1 gene:SETIT_012413mg transcript:KQK97377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAHYPRLKRASYAAASACASSGNARHALHAAAAAPDHRRRGGAPLGLGAPVDQRQLGCSLGEGLRPGALELAAAIRSASALPDGGGALSLGRCLHGLAVKAGRVASSAAVAKAVMDMYGRSGDLVDARLVFDEMARPDSVCWNILITASSRGGRLEDAFGLFRSMLACGVAQSMPTAVTVAVIVPACAKGRHLQTGRSVHGYVVKTGLESDTLCGNAMVSMYAKYGGSRAMDDAHRAFSSIRCKDVVSWNSIIAGYIENGLFQEALVLFSQMISQGFLPNYSTVANILPMCAFTEFGRYHGKEVHGFVVRHGLEMDISVCNALMIHYSKVFELKALESVFASMDVRDIVTWNTVIAGYVMNGYHSRALDLFQGLLSTGIPPNSVSFISLLTACAQLRDVKAGIGVHGYILRRPVLLQETSLMNALVTFYSQCDRFDDAFRSFTDILNKDLISWNAILSACANSEKRIEEFIRLLGEMSHQWDSVTVLNVIRMSAFCGIKRVREAHGWSLRVGYTGETSVANAILDAYVKCCCSQDASILFRNLAERNIVTDNIMISCYMKNNCIEDAEVIFNHMAEKDLTSWNLMIQLYAQNDMDDQAFSLFNHLQSEGLKPDVVSITSILEACIHLCSVQLVRQCHTYMLRASLEDIHLEGALLDAYSKCGNITNAYNIFQVSPKKDLITFTAMIGCYAMHGMAEEAVELFSKMLKIDIRPDHVVLTTLLSACSHAGLVDAGIKIFKSIREIHRVEPTAEHYACMVDLLARSGRLQDAYIFALDMPPHVVNANAWGSLLGACKVHGEVEIGQLAADHLFSMEAGDIGNYVIMSNIYAADEKWDGVESVRKLMKSKDMKKPAGCSWIEVDKTRHLFIASDVKHQDRSCIYDMLGSLYQQIKDTQTQNMATVKSM >KQK97901 pep chromosome:Setaria_italica_v2.0:VII:23861131:23862049:-1 gene:SETIT_011115mg transcript:KQK97901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSVIAPPARDSASPQHRRARRAFLVSNYLILGCASGCGFLTLSLRLVPSVDGFLLILLHALTVAAAVAGCAVIAAPDPPRGRCYTVHMSATVVVSILQGAAAVLAFSRTADFLSDGLKSYVREEDGAVILRMVGGLGVAIFCLEWVALALAFVLRYYAYVDRECGGNPLRRSAKVGGEDGTSTWPWPFQV >KQK98312 pep chromosome:Setaria_italica_v2.0:VII:26227921:26232404:-1 gene:SETIT_009559mg transcript:KQK98312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHARDGGGNEEAVCLDGDAETGARAAGRVPPWREQLTARGMVASLAVGAMYSVIVMKLGLTTGLVPTLNVSAALIAFVVLRGWTQALARLGVATRPFTRQENTVVQTCAVACYSIAVGGGFGSFLLGLNKKTYEMAGKETEGNVPGSYKEPGIGWMTGFLFAVSFIGIVALIPLRKIMIIDYKLTYPSGTATAVLINGFHTTHGDATAKQQVNGFTKYFAISFFWSFFQWFYSGGGNCGFSQFPTFGLRAWKQTFFFDFSLTYVGAGMICSHLVNLSLLLGAILSWGIMWPLISDLKGDWYPADVPESSMRSLQGYKAFICIALILGDGLYNFVKIVSFTVTSLIDRSRLKTAKKEEDIPVLDEIHRNEVFTRDSIPTWLAYSGYLALSIVAVFAIPLMFPEMKWYYVIIAYLLAPALGFCNAYGAGLTDINMAYNYGKVALFILAAWAGKDSGVVAGLVGCGLVKSLVSISADLMHDFKTGHLTLTSPRSMIIAQAIGTAMGCVIGPLTFFLFYKAFDIGNPYGYWKAPYALVYRNMAILGVQGFSALPQHCLQLCYGFFGFAVASNLMRDLLPPKYGKWVPLPMAMGVPFLVGASFAIDMCVGSLIVFTWHMIDRSKASLMVPAVASGLICGDGLWILPESLLALAKISPPLCMAFRPTH >KQK97215 pep chromosome:Setaria_italica_v2.0:VII:19634568:19635043:1 gene:SETIT_012269mg transcript:KQK97215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLLLVVAMVLAAACLADGKGECGASPPEKVAQKLAPCESAAKKPNSAPSSGCCNAVHTIGKQSPECLCAVMLSKAAMKHGIKPEVAITIPKRCNLVDRPVGYKCGDYTLP >KQK98133 pep chromosome:Setaria_italica_v2.0:VII:25224313:25224707:1 gene:SETIT_011658mg transcript:KQK98133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSRRAAAPVLFFLLLLLVASEMGTTRPVAEARRCVSQSHKFVGSCMRKSNCQHVCQTEGFPWGECRFHGGLLRRCFCNKLC >KQK97768 pep chromosome:Setaria_italica_v2.0:VII:23152551:23154478:-1 gene:SETIT_012653mg transcript:KQK97768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRRGGSGRERERDRERWRRGRAAPAARRVSARRPSPPPSGEPSPPCRARTASRASVRRSNVRSPRHTSRRPRTTAAGRISLPPSRLLRVTSPCLPVLSIRWADPTVRFLREQMEKAGCKVFPSLILATNCSSAGGYGSGEGIKVCCNHMTLQDEINQVIIHEMIHAYDDCVGKNMDWKNCAHHACSEIRANHLSGDCHYKRELLRGFMKIRGHEQDCVRRRALMSLKNNPYCSEAAAKDAIEAVWNICYNDTRPFDRAP >KQK97923 pep chromosome:Setaria_italica_v2.0:VII:23981687:23985173:1 gene:SETIT_009719mg transcript:KQK97923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTANEGGGGGTDGKSTSGWRAEDAIAGNRRALQALRELVTYPYLYARESRLLGLKWPRGLLLHGPPGTGKTSLVRAIVRECNAHLIMVSPYSVHKAHVGEGEKFLREAFSEAYSHASRGKPAIIFIDEIDAICPCRNNRREQEARIVGQLLTLMDGNKKSSKMLPHIAVVASTNRVNAIDPALRRGGRFESEVEVTVPTVEERLQILKLYAKNLHLDEKVDLQIVAAFCNGYVGADLEALCREAAKLAYHRMLDRGEKVLKLLMEDWESARSMVGPSITRGVTKELSTVSWDDIGGLKDLKKELQKAVEWPIKHAAGYDRLGITPVRGVLLHGPPGCSKTTLAKAAAHASQASFFSLSGAELYSKYVGEGEALLRRTFQKARLASPSIIFFDEADAIAPKRTGPGGNSSGGVTVGERLLSTLLTEMDGLELATGIIVLAATNRPNAIDAALMRPGRFDKVLYVPPPDVEGRYEILRIHTRKMKLGEDVDLWKIAECTELFTGADLEGLCREAGMAALREDLSASSIHNTHFQTARSSLRPSLTKAGVDKYSNAAINDPSTRKH >KQK96976 pep chromosome:Setaria_italica_v2.0:VII:17868371:17871762:1 gene:SETIT_009473mg transcript:KQK96976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEYNMDEALKAKDVAENKFRAHDIRGARKYAIKAQTLCPSLEGISQMVSTLEVHLAAESKIDGESDWYRILSLGAFADEEEVKKQYRKLALLLHPDKNKSVGAEEAFKLISEAWSVLSDESRKMLYDEKRRNHSVVNVTNGIYTYDKKANKRARKNAAAAAAAAAAAAAAEATTRPVGADTFWTSCNRCRMQYEYLRIYLNHNLLCPNCHHAFLAVETGFPCNGTSSSFSWSTKQQPQQNHNSTKHSYGSTSRTSSIPGTGNGGYQQDNTYDSYSNQSFQWNHYSKTAPAAGTNVYSTQASEKQRRKHDESYSYNYSASENTYVHEKTASRRGRFSKRRRHNYDGYTAMDYGGDNKETVAASTEPTATFTDVGRVNGTSVERFRSAVSGRRANILGEIAQIDTRGLLLEKAKAALREKLQELNITSSRLAEKRRSEAKLHPCDNNIKLNGVLSDKPGKGVKLCNSRIVDTQVPGTDDTNPEQRRVPVSIDVPDPDFHDFDKDRTERAFDSDQVWATYDSEDGMPRLYVMVQKVLSMRPFRIRMSFLNSKSNIELAPINWVASGFQKTCGDFRVGRYQVTETVNIFSHKVNWTKGPRGIIRIVPHKGDTWALYRNWSPDWNELTPDDVIYKYEIVEVIDDFTEEQGLTIIPLLKVAGFKAVFHRHMDPKEVRRIPKEELFRFSHRVPSRLLTGEEGNNAPKGCHELDPAATPVDLLKVITEVKEDAAAQTAK >KQK98236 pep chromosome:Setaria_italica_v2.0:VII:25785941:25787762:1 gene:SETIT_010436mg transcript:KQK98236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGQTTELKRYKYFPLDKMKMPQSYYGDGVLVRKHLGISYPTLRSIELVVPRLMAAAISSPPSTRVAVVTGGNKGIGLEVCRQLASNGITVILTARDEARGAAAVEKLRDGAGLSDVIFHQLEITDAQSIARLAGFLKARFGKLDILVNNAAIGGVQSLAIQDPSGEKFSGMDARQRAEWMWQQCRETCDAAKAGIQTNYYGTKNVTEALLPQLQASSDGRIVNVSSDFGLLRHFSNEELKQELNDVEKLTKERLDEVLATFLRDFEAGEVEARGWPMYFSAYKAAKAAMNAYSRVLARRHPELRVNCAHPGYVKTDMTRHSGLLTPEEGAANVVKVALLPEGGPTGVFFALGQEAPFV >KQK96527 pep chromosome:Setaria_italica_v2.0:VII:10953942:10955690:-1 gene:SETIT_011497mg transcript:KQK96527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLCNIMESPRKFFPAVVLLLLLVATAGMAPVQARECEKDSDKFVGLCMKVDNCQNVCRGEGFTSARCSTFRRRCVCIKEC >KQK97464 pep chromosome:Setaria_italica_v2.0:VII:21357074:21359464:1 gene:SETIT_010558mg transcript:KQK97464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAAAAAAAMVPKVALRSGNATPMPAVGMGTASFPLVPEATKNAVLAAIEVGYRHFDTASMYGTEKPLGEAVAEAVRRGLLQSREELFVTSKLWCTQNHPDLVLPSLRETLKNLQMEYLDLYLIHWPVSIKPMPITSRNKKEDAVPFDVEGVWRAMEECQRLGLAKAIGVSNFTTRHLDKLLAVATIPPAVNQVELNPAWQQRTLRAYCAEKGIHVAAYSPLGGQNWDGTGRNAVLESDALAGIAKARGKTVAQVALRWIYEQGVTSIVKSYNKERLKQNLEIFDWELTDEDQLKISQIPQKKIFEASDMFSQEGEFRSVDPADLDIVEE >KQK97465 pep chromosome:Setaria_italica_v2.0:VII:21357074:21359464:1 gene:SETIT_010558mg transcript:KQK97465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTAAAAAAAAMVPKVALRSGNATPMPAVGMGTASFPLVPEATKNAVLAAIEVGYRHFDTASMYGTEKPLGEAVAEAVRRGLLQSREELFVTSKLWCTQNHPDLVLPSLRETLKNLQMEYLDLYLIHWPVSIKPMPITSRNKKEDAVPFDVEGVWRAMEECQRLGLAKAIGVSNFTTRHLDKLLAVATIPPAVNQVELNPAWQQRTLRAYCAEKGIHVAAYSPLGGQNWDGTGRNAVLESDALAGIAKARGGVAMDI >KQL00047 pep chromosome:Setaria_italica_v2.0:VII:35928977:35930061:1 gene:SETIT_010860mg transcript:KQL00047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLLSNRVDREDLAAGDHIYSWRAAYLYAHHGIYVGDEMVIHFTRAAGHEIGTGTFLDSFLFSSSTSSAAAAAGGQCQRCGHLVRPDDGVVMSCLDCFLHGGGLYLFHYAVSPALFLAKARGGTCTLAASDPGHVVVHRARYLLDKGFGAYSLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSAPGLAIVTTGMYCAGRYVSDMGVRRDVVKVPVQTLVAQATPAATEEAACSLTNHPL >KQK96579 pep chromosome:Setaria_italica_v2.0:VII:12167228:12174727:-1 gene:SETIT_009170mg transcript:KQK96579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHSALARWLSTTACSPPPTPRSLPASLAFLFLSPCPQRALLAALDLVFLLAALALALRAWHTRRRNDGGGVDHRATEREPLLASAAGPRRGGSTRHGLTLAASGAQVAAALVLLVSALLRLRRGATGAWVAAECVLLAAHAVAHIAAAGVVAAEKKPGAAALAVHPLHLRLFWLGTAAFAALFSGCAAARYAAGEPLLPDDPLAFAWLALSLPLLYFSVTGSTGLAVVGASSDVGHAAAAEVTYATASWFSLATFGWINPLISKGSRETLATEDIPPVAPADTAEVAYELFTSNWPAPVPGSSMPKHPVLTTLLRSFWPQLLLTAVLGVAHLSVMYIGPSLVDRFVQFIRHGGEFTEGLQLVAVLLAGKTAETLASHHYEFQGQKLGMRIHAALLAAVYRKSLRLSTGARRVHGTGAIVNYMEVDAEQVSSVMHELHNLWLMPLQIAVALALLYAHLGPAVLTAVAAIVVVTVVVAFANKLNIGYQTKFLGKRDERMKAITDLLNYIRVIKLQAWEEKFGDKIRELREEELGWLAKSMYFMCANTIVLWSGPLAMTVLVFGTCVLTGVELDAGKVFTATAFFHMLDGPMESFPEAISAMTQATVSLGRLDKYLLEAELDDSAVEHVDDTGICTGEVVVAVRDGVFAWDMRGKKEREDGEDDDIDSDNESEDEDEEEEGEEEEYKDVEVTPVLETVLKEINMEVKKGELVAVVGTVGSGKSSLLSCIMGEMEKVSGTVRVCGSTAYVAQTAWIQNGTIQENILFGQQMHPQRYKEVIRSCCLEKDLETMEFGDQTEIGERGINLSGGQKQRIQLARAAYQNCSIYLLDDVFSAVDAHTGSNIFKECLRGTLKGKTIILVTHQVDFLHNVDNIFVMRDGMIEQSGKYDELLEAGSDFASLVAAHDSSLELMEQSQQAEKTERSQPAAVVRIPSLRSTSIGKGEKVVVTPDIKAATSKIIEEEEREIGQVSWQVYKLYMTEAWGWWGVVGMFAFALVWQCSDLAGDYWLSYELSGSIPFDPSLFIGVYVAIAVFSMVLEVIKSLLETVFGLKTAQIFFTKMFDSILRAPMSFFDTTPSGRILSRASSDQTTIDDVLAFFIGLTISMYISVLSAIVVTCQVAWPSVIAVIPLLLFNIWYMNRYLKTSRELTRLEGVTNAPVIDHFSETVLGATTIRCFKKEEEFFQKNLVGINSSLSMSFHNYAANEWLGFRLELIGTLVLSITAFLMISLPSNFIKKEFVGMSLSHGLSLNSLVYFAISTSCTLENDMVAVERVNQFSNLPSEAAWKREDNLPSQNWPTNGDIDIKDLKVRYRPNTPLILKGINVSISGGEKIGIVGRTGSGKSTLIQVLFRLIEPTEGKMIIDGIDISTLGLHDLRSRLGIIPQEPVLFEGTIRNNIDPIGQYSDAEIWQALKRCQLKNVVASKPEKLDAPVADSGENWSVGQRQLLCLGRVILKRTKILFMDEATASVDSQTDATIQKITRREFSTCTIISIAHRIPTVMDCDRVLVLDEGLVKEFDAPSRLIEQPSLFAAMVQEYGNRSLNL >KQK97929 pep chromosome:Setaria_italica_v2.0:VII:23997482:24002985:-1 gene:SETIT_009406mg transcript:KQK97929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDQPVISLRPGGGGGGPRASRLFSPAFAAATSGSSDFLRPHGGSASGISKIGDSHFEPRERVRYSRDQLLELREIVDVPEDILRIKQEIDAELHGEDQSWLRSESTVQVQTQAPAQAQGNNRYAEADNRDWRARSVQPPPNNEDKSWDNIREVKASSRQQEQARDQSSSQFTSKAQVGPTPALIKAEVPWSARRGNLSEKERVLKTVKGILNKLTPEKFDLLKGQLMEAGITTADILKDVISLIFEKAVFEPTFCPMYAQLCSDLNDKLPSFPAEEQGGKEITFKRVLLNNCQEAFEGASSLRAEIAKLTGPDQEMERRDKDRLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVMELLGAGPDKKPCPEEEDVEAICHFFNTIGKQLDENPKSRRINDTYFIQMKELTANPQLAPRLRFMVRNVIDLRSNNWVPRREEIKAKTISEIHSEAEKNLGLRPGAASVIRNGRSSPGGPLSPGGFPMNRPGTGGMMPGMPGSRKMPGMPGLDNDNWEVPRSRSMPRGDSLRNQAPLLNKPSTVHKASSINSRLLPQGSGAALMGKSALLGTGTPSRPPSFATAPTPAQTTPSPKPLSAAPAVAPVPDKPASAPKGNSAELQKKTVSLLEEYFGIRILDEAQQCIEELQSPGYYPEIVKEAINLALDKGTNFVDPLVRLLEHLYTKKIFKTQDLETGCLLYGALLDDIGIDLPKAPTQFGEIIARLTLSGGLRFEAVEETLKKMEDTFYRKAVFNAVMKTMEANPSGQAILGSHAAVVDSCKSLLE >KQK98828 pep chromosome:Setaria_italica_v2.0:VII:29466125:29472049:-1 gene:SETIT_009253mg transcript:KQK98828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVATVSSASGLLAMLQEPAPELKLHALTSLNSVVHLFWPEISTSVPTIESLYEDEEFDQRQLAALVVSKVFYYLGELNDSLSYALGAGPLFDVSDDSDYAHALLAKALDEYASIKTRASKATEEEENIDPRLEAIVERMLEKCILDGKYQQAMGMAVECRRLDKLEEAIVRCDNIQGALSYCINLSHQYVNHREYRFEVLRCLVKIYKTLPNPDYLSICQCLMFLGEPETVASILDKLLSGSKDDALLAYQIAFDLVENENQAFLLNVRNRLASPTPVPSNPDTGSALQDDQTASAGTGTEAAGDVQMSDDTTTPNGNAHTVDPNEIAHTDRLGKIKGILSGETSIQLTLQFLYSHNRSDLLILKTIKQAVEMRNSVCHSATICANAVMHAGTTVDTFLRENLEWLSRATNWAKFSATAGLGVIHRGHLQQGRALMAPYLPQSGAVGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRNTSAEVIQHGACLGLGLAALGTADEEIYEDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLSLGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIHQLLHFAVSDVSDDVRRTAVMALGFVLYNEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSDAISLLEPLTSDVVDFVRQGALIAMAMVMIQTNESFDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSRNKHDKLTAVVGLAVFSQFWYWYPLLYFISLAFSPTAFIGLNSDLKVPKFEFLSHAKPSLFEYPKPTTQQTTTSTVKLPTAILSTYAKAKSRAKKDAESKANQEKATEEASGSTSGKAAKTQEKDADAMQVDNAAEKKAPEPEPTFQLLTNPARVIPAQEKFIRFIEGSRYVPVKPAPSGFILLRDLQPTEAEDLALTDAPSTVAATTGNTAAAAGQQGSGSSAMAVDEEPQPPQPFEYTS >KQK98388 pep chromosome:Setaria_italica_v2.0:VII:26698021:26702607:-1 gene:SETIT_010456mg transcript:KQK98388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFKLTLNKLYAWSLVSYERVVMLDSDNIFLQNTDELFQCGQFCAVFINPCIFHTGLFVLQPSMDVFKNMLHELAVGRENPDGADQGFLASYFPDLLDQPMFHPPANGTKLEGTYRLPLGYQMDASYYYLKLRWSIPCGPNSVITFPSAPWFKPWYWWSWPVLPLGLSWHEQRRENLGYSSEIPVALIQAVLYVGVIAVTRLARPSLSKMCYNRRMEKSTMFLLSLLRVVAAWSILAAYTIPFFIIPRTVHPLLGWPLYLLGSFSLSSIVINIFLLHPLSVLTTWFGIIGTLLVMSFPWYLNGVVRALAVFVYAFCCAPLIWASLVKTMSSLQVLVERDAFRLGEPNQNAEFTKLY >KQL00015 pep chromosome:Setaria_italica_v2.0:VII:35778828:35781289:-1 gene:SETIT_009494mg transcript:KQL00015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSSDRPLEALLAAARGAIAHLHLPIIIHIPGSNSRSPSPNPDRLLHLHVVVTNFLHRPLRSLARCFRGDDARPKRRGGKHSRPLRDRERSAAAAGPQQQQQLELLLCIAFDAFDACKHKGAEFGIATIQSNQFQLLRKVIDGKRADFDGFLSNLGFAKVGAPPPPAVIMGAAAPAPAPVSDQEEGSAGIGDSEGVDNASGSPQSAQKLPARLLNIPLSNVERLRSTLSAVSLTELIELVPQLVSRSSTSPDGHPDKKKLFSVQDFFRYAKIEGKRFFEELDRDGDGQVTLEDLEIAMRKRRLPRRYARDFLRRTRSNFFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKSAGLPANEDNAAAMLRYLNADSEGSISYGHFRNFMLLLPSERLEDDPRNIWFEAATVVAVPPPVEISTGSVLKSALAGGLASALSTSLLHPIDSMKTRVQASTLSFPELISQLPQIGLRGLYRGSIPAILGQFSRSAQFHCFICKIFHRL >KQL00016 pep chromosome:Setaria_italica_v2.0:VII:35776739:35781289:-1 gene:SETIT_009494mg transcript:KQL00016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTSSDRPLEALLAAARGAIAHLHLPIIIHIPGSNSRSPSPNPDRLLHLHVVVTNFLHRPLRSLARCFRGDDARPKRRGGKHSRPLRDRERSAAAAGPQQQQQLELLLCIAFDAFDACKHKGAEFGIATIQSNQFQLLRKVIDGKRADFDGFLSNLGFAKVGAPPPPAVIMGAAAPAPAPVSDQEEGSAGIGDSEGVDNASGSPQSAQKLPARLLNIPLSNVERLRSTLSAVSLTELIELVPQLVSRSSTSPDGHPDKKKLFSVQDFFRYAKIEGKRFFEELDRDGDGQVTLEDLEIAMRKRRLPRRYARDFLRRTRSNFFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKSAGLPANEDNAAAMLRYLNADSEGSISYGHFRNFMLLLPSERLEDDPRNIWFEAATVVAVPPPVEISTGSVLKSALAGGLASALSTSLLHPIDSMKTRVQASTLSFPELISQLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPTLPEIQVQSMASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIIGTVQQDGLKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVAKRELEPWEIVAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQTIVLSILGNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIKEEKKTMVGYRV >KQK99916 pep chromosome:Setaria_italica_v2.0:VII:35374744:35376459:-1 gene:SETIT_009906mg transcript:KQK99916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGLPWAAQCAGMAFSAFSLCLVALAVVLLLVRRWPWCSCHVCRAYLTGSWARDFTNLGDWYAHLLRESPTGTVHVHVLGCTVTANPANVEYMLKTNFDNFPKGKTFAALLGDLLGGGIFNVDGDAWRHQRKMASLELGSVAVRSYAYKIIAQEVEARLMPVLADAADRGAVVDLQDVFRRFAFDTICKISFGLDPGCLEREMPMSKLADAFDTATRLCAMRGAAASPLLWRMKRLLNIGSERELKKAIKLVDELAAAMIRERRKLGFANSHDLLSRFMASAGDAHAVDDKYLRDIVVSFLLAGRDTVSSALTMLFMLLSKNPDVAAAMRAEAGDDSTPVTYEHLKGLHYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVSGGARVMYHPYAMGRMPRIWGADHGEFRPDRWLTGAGGSFVPESLYKYPVFQAGLRVCLGKELAITEMKAVSVAVVRAFDVEVVGESGSGACAPKFVSGLTASISGGLPVRIRRARK >KQK99306 pep chromosome:Setaria_italica_v2.0:VII:32257283:32257512:-1 gene:SETIT_012991mg transcript:KQK99306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIEYSQKGSQGSGSLGVNNSTLPQLAFLQ >KQK97441 pep chromosome:Setaria_italica_v2.0:VII:21220375:21223061:1 gene:SETIT_010815mg transcript:KQK97441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEFLIKLLQLSLMKSIYRSASCSHFKRAQRPNTQNLKILRPGGAGRPLHRVPRGRTAAAPPKSTAEKKGKPLSLFGSMASSSGSGGGAEGGVGEGPTTLDELYQINVVPAELHFKFRKELQGLRVGLNLEFYNLEVNGFEAKIVLKPLDYERKWKFQYKPISGDVQLLSKKIPVTKFLNLQVGIGHNFHMNATGWKWKLSTCLGGDGVSQIRNKSKISMFPGFDLRIGWRAEYVLPEIHGAVGTGEPAFSLNYGRLHASIDRVEAIVTQSDQY >KQK99853 pep chromosome:Setaria_italica_v2.0:VII:35070043:35073863:1 gene:SETIT_011936mg transcript:KQK99853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDFKDRHAQNPRKLVAGWHISNPTEHDITGSLAGFSTTKSDELDVIRDVLMVLPKAPRSILDCNHQSLGGGDLGGDGTKTSTLIHTKRGSTGSTASEVTTHDISIELTRFGFIRSCQLKTIWEKDLLILYDAAISLGGGDPGGDGTNTSTLIHTKRGSTGSTASEVTTHDISIELTRFGFIRSCQLKTIWENDLLILYDAAIVCAAKAIRVKVDMMKMITSILQNHPGAMKDQLEEWFNLLRKKEVEEVVILNCSWPYQMIDFPINEFDCESLTWIRLCFFRIFGTVLKYCENLCATDLSCCSISSQNLYALVDQTKNLKELDIGRFEDDIGPLQSLRRLVLNISLLVKKERLTLLNLMKSCTRLKELTLWRNDTPLNDEAVDAIADDWPAKLKDLSCLKLHLEVFNIKNFKGGDFEIFIATTVLENALCLQRLTLEADVGFHDDVFDRAKTDLQKTVQASVN >KQK97759 pep chromosome:Setaria_italica_v2.0:VII:23105234:23107652:-1 gene:SETIT_011138mg transcript:KQK97759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTTDGAASPALAPDADAPAGEGLALAQFAAGCFWSVELVYQRLPGVARTEVGFSQGHRHAPTYRDVCGGGTGHAEVVRVHYDPGACPYAVLLDVFWAKHNPTTRNRQGNDVGTQYRSGIYYYTAEQEKLARESLAEKQKEWKDTIVTEILPARRFYPAEDYHQQYLEKGGQSAKKGCGDPIRCYG >KQK99294 pep chromosome:Setaria_italica_v2.0:VII:32197511:32200398:1 gene:SETIT_011145mg transcript:KQK99294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQRQVVSENYANPITCFFHVLFKAAALAFYILFSLFVKSFVIIFVITVLLAALDFWVVKNVSGRILVGLRWWNEIDDEGNSIWKFECLDGESLARMNKKDSWLFWWTLYLTAAAWIVLGIFSLIRLEADYLLVVGVCLSLSIANIVGFTKCNKDAKKNIQAFAQNALASRVTSSLQSAFGVI >KQK97405 pep chromosome:Setaria_italica_v2.0:VII:21060191:21060681:-1 gene:SETIT_011492mg transcript:KQK97405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLTLHRHPMCAEIIEAFQKCHVDHPVKKFFGECTDLKIKLDQCFRQEKALKRKANFEESKKFKERLQAYKREMAEENKGP >KQK97406 pep chromosome:Setaria_italica_v2.0:VII:21060047:21061219:-1 gene:SETIT_011492mg transcript:KQK97406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPLTLHRHPMCAEIIEAFQKCHVDHPVKKFFGECTDLKIKLDQCFRQEKALKRKANFEESKKFKERLQAYKREMAEENKGP >KQK97562 pep chromosome:Setaria_italica_v2.0:VII:21902655:21906120:-1 gene:SETIT_010896mg transcript:KQK97562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTAEEKGKRARTDGAEEDGGEPIDRALLLSIEKLQEVQDEIEKVNEEASNEVLEVEQKYNEIRRPVYARRNEVIQKIPDFWLTAFLSHPMLADLLTEDDTQIFKHLESVFVDSEDVKSGCSITLTFSSNPYFEDKKLTKTYSMSDDGTITVKATSIKWKEGMDIVNGKACTKQGDKRLLVDESFFTWFSDTENESFAHGEMDQVADVIKEDLWPNPLKYFNNELEGEFEQEDDEEGSDEEEAKDEDEEDEEET >KQK97791 pep chromosome:Setaria_italica_v2.0:VII:23288675:23291341:1 gene:SETIT_010298mg transcript:KQK97791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAVSSPPPHCYSRRRPTLLDARHVFDHVPQRRLPPMSAGLRAQPAAAAVRRPARRSAVSAFAPACRAASSVPRLFRTFLQIPTASSTPRVCLTPSTFLPSRRNFEGYIPRSCSRSSLKIYSQPSLLTLQPSSALMVSSQLTSSDVAQRSEEWFALRKDKLTTSTFSTALGFWAGNRRAELWNEKVFGQTEIKLADAARSAMDWGTNHESVAIEQYTSITGKLVGTLGFAVHTEANSRWLGASPDGILGCELDGGILEVKCPFNKGKPELALPWRAMPYYYMPQVQGLMEIMGRDWVELYCWTPNGSSLFRVPRDRVYWELIHEVLRDFWWGNVMPARELVILGKDADARSFEPQPKHRLTNLVLFRSRKLASEAKLLCMDVGGHVEFFK >KQK98412 pep chromosome:Setaria_italica_v2.0:VII:26848576:26849922:-1 gene:SETIT_012135mg transcript:KQK98412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAVVAVPFPAQGHLNQLLHLSLHLATRGLPVHFAAPAEHVRQARARVHGWGDAALRRVEFHELAISEYASPPPDPAASSPYPSYLLPLWQAVVADTPAALATLLRGVSASHRPVVVLYDIINAFAAEEAARLPNGEGFAFHCTAASILVRGLDEGLQLLTNGPSLRHREHLEFIGKRARSHQTIPSSAGIIMNTSRALEGEFIDFVAEYLAGGGKKVFWIGPLNPVLDASAPEQVTKRHKCLDWLDKQPAASVLYVSFGSVSSLRGSKQRFIWVLRDADRGNVFTDSDERRHTKRREGLLVTEWAPQLEILAHPATAAFLSHCGWNSTMESLSYGKPILAWPMHSDQPWDAELVCKYLKAGFLVRPCEKHAEVVPAATIQQAIEKMMLSDEGLAARQRAMALGEAIRASAAMSGSSHKDLGDFIAHITR >KQK97025 pep chromosome:Setaria_italica_v2.0:VII:18337824:18339359:1 gene:SETIT_010434mg transcript:KQK97025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVTACTGPATVLSGLPAPVPFKDVGGGDGDAGQQDADASAPEEYASAVISDLPSNPKLFLRRYQGTWVLESWVPGIVAIQRGFAPRGGDVILASPPKCGTTWLKALAFATMARGVHPPAGDPGHPLLRVNPHDCVPFMEKLFAAGLGSKVMDALPSPRLMATHMHHSVLPASIKKNPDCKIVYICRDPKDMLVSMWQFSRRIRPDLELSDLLEAACDGSCLSGPIWDHVLGYWNASKVSPETVLFLRYEEMLQDPVSNVVKLSRFLGRPFSPAEEEAGVAMDVMRLCSFEKLKDLEVNKAGSGSGSPSLRGVREGAFVNSSYFRRGEAGDWANHMTPEMARRLDAVMEERFCGSGFSFS >KQK98549 pep chromosome:Setaria_italica_v2.0:VII:27881636:27882641:1 gene:SETIT_011118mg transcript:KQK98549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAKPASRPAKTAAAPKPKPAAAKPKAAAAGASHPPYFEMIKEAITALKERTGSSSHAIAKYMEDKHGASLPANYKKMLSIQLRGFAAKGKLVKVKASYKLSDAAKKDSPKAKPAAAKTAAAKPVKATAKPKKTAAAATKPKKTAAAGTKRKTPEKKKIVAKPKKSPAAKAKAKPKTVKSPASKKARKVAA >KQK97346 pep chromosome:Setaria_italica_v2.0:VII:20680933:20681534:-1 gene:SETIT_012334mg transcript:KQK97346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNRASWDEPTTKILLDLCIEQKNQLNWSDRCLTKLGWRNVHSRFRAETGLQLGSKQLQNKLNNLRRQFFAWRALETSSGLGRDTQTGGVSADATYWEQDQQDTQARSQPHSVKPLPFLNELFELYGHEPQDRGTLLSAGGIREDTPSMGTEGNFVDLEEDPAPASSAC >KQK96929 pep chromosome:Setaria_italica_v2.0:VII:17520384:17520836:1 gene:SETIT_012884mg transcript:KQK96929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSDAGGAWEAGAARPPVTNQWPVSYLIMFNLLFG >KQK98710 pep chromosome:Setaria_italica_v2.0:VII:28759854:28762996:-1 gene:SETIT_012181mg transcript:KQK98710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAPGAASARALEPYRIPTPESSADASSSSSPSTSRAADLRCNAGGEEGVLLDLDSPWAAPAEAERILGEAAATDAAAALKISREEVQEEEDEIRDNQQRQEDELMVLEAIYGHDLAVFENNGGLRYFQIYTRYDVADGIEVCAKLSSANVCSQDDGCSDGTGHVDGSDMFSYKCNFEYLPPLILTCLLPRSYPHKDAPYFTVTVKWMDVPQVSRLCEMLDTIWAELKGQDNRAIARTNSLESVIPLMMSYSSNKHYQAFLEDLHMSMICLNQSKGSNFVRLSCQHLFCVKCMETLCRMHVKEGSVFQLVCLDTKCNASIPPFVLVLKKALDSMSDVVYCPRCGIGCLEDESNDAECPKCSFIFCSLCKEPCHPAKQCITPEEKIKRQQASGKMSQKEMVQELLTIRKMFSDILIQLCPKCQMPIVKSEGCNKMSCGNCGQLLCFRCGRAISGYDHFWNECVLFELCQYSDVTPFERHMEEVQIGRSAKVQLTPIGSTIRCPKCRQRNFKENEEYIFCWACRIHYCSLCRMRVDDKYMKSGHYRSSECVGLGNF >KQL00026 pep chromosome:Setaria_italica_v2.0:VII:35834974:35837205:-1 gene:SETIT_010975mg transcript:KQL00026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKSKRNRPVTLSKTKKKPGLERKGRVVTEIKEAVDKYGSAYVFTYDNMRNQKLKDLREQHKASSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDSGLFFTNLPRDDVERLFREFEEHDFARTGSTATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNRGVVELIADHVVCEEGKPLSPEAGQTLRLLGMQMATFRLYLVCRWSCDDFEVYKEGLAHLGADDSS >KQK96734 pep chromosome:Setaria_italica_v2.0:VII:14708279:14716977:-1 gene:SETIT_009961mg transcript:KQK96734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRAALLLAALALPPLLLAAAASSDPGPAATGVFQVRRKFPAGGGNITALRAHDGRRHGRLLAAADVPLGGLGLPTDTGLYFTEIKLGTPPKRYYVQVDTGSDILWVNCISCERCPRKSGLGFDLTLYDPKASSSGSTVSCEQGFCLAAHGGKLPGCSASVPCEYRVMYGDGSSTTGFFVTDSLQFDQVTGDGQTQRGNGSVTFGCGAQQGGDLGTSNQALDGIIGFGQANTSMLSQLAAAGKVKKVFAHCLDTIKGGGIFAIGNVVQPKVKTTPLVADMPHYNVNLKSIDVGGTTLQLPAHVFETGEKKGTIIDSGTTLTYLPELVFKEVMLAVFNKHQDITFHNVQDFLCFQYSGSVDDGFPTITFHFEDDLALHVYPHEYFFANGNDVYCVGFQNGGSQSKDGKDIVLMGDLVLSNKLVVYDLENQVIGWTDYNCSSSIKVKDDMTGATHTVNSHDIESSGWRFQWHNSLVLLLVILVCSYLIC >KQK96457 pep chromosome:Setaria_italica_v2.0:VII:7847758:7853086:1 gene:SETIT_012264mg transcript:KQK96457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDFSFSRSGTHQRRRQGAHSPFTTPENSTSFAAPRMVRRRGLDDMSWQSSVSWQPDTSWAQPHGLGAAVGPWAPAESESASRRGPALFRRTARDYYLSTRSSRIYRDRSPVAQQQSRAGGGKRLELQSVVTDASRAIVVAPNTSFASNDDAIVRTAAGRDSGDKSMVKYSGTYNNAMSREVSFSRDNHDKLYVPPRQEAPSFGYDISVASYSRSQYLDDDDGGGDYGYDDDDDGEIEVRIGKPVSISGLFKYSTPLDIILLVLGCVGATVNGGSLPWYSYLFGNFINKVVNSDKAQMMKDVKQISIYMVFLAAVVVIGAYLEITCWRIIGERSALRIRREYLKAVLRQEIGFFDTEVSTGEVMQSISSDVAQIQDVMGEKMAGFVHHVFTFIFGYVVGFTKSWKIALAVFAVTPLMMFCGIAYKAIYGGLTAKDEASYQRAGSVAQQAISSIRTVFSFVMEDRLADKYAEWLNKAAPIGIKMGFAKGAGMGVIYLVTYSQWALALWYGSQLVAKGEIKGGDAIACFFGVMVGGRGLALSLSYYAQFALGTVAAGRVFEVIDRVPEIDAYDGGGRVLSALRGRIEFKDVEFMYPSRPEALILYNLNLTIPAAKMLALVGVSGGGKSTMFALIERFYDPARGTITLDGQDLPSLNLRWLRSQIGLVGQEPILFATSIIENVMMGKENATRQEAIAACTKANAHTFVLGLPDGYDTQVGDRGTQLSGGQKQRIALARAIIRDPRILLLDEPTSALDAESEAVVQQSIDRLSAGRTVVVIAHRLATVRNADTIAVLDRGAVVESGRHADLMAQGGPYAALVKLASDSGRSDTSEPSKLAAAATEMFNSFTDESGHDMSVMSKSRYHRTQTIDKDASKKDAWAKKDAKFRISEIWKLQRREGPLLILGFLMGINAGAVFSVFPLLLGQAVEVYFDADTSKMKRQVGYLAVAVVGLGVACILTMTGQQGLCGWAGARLTMRVRDRLFRAILKQEPAWFDEEDNAMGVLVTRLARDAIAFRSMFGDRYAVLLMAVGSAGVGLGICFALDWRLTLVAMGCTPLTLGASYLNLLINVGPKSDDGAYARASSIAAGAVSNVRTVAALCAQGNIVGTFNRALDAPVSKARRRSQIMGIILGLSQGAMYGAYTVTLWAGALFIKRDESKFGDVSKIFLILVLSSFSVGQLAGLAPDTSGAPVAIAGILSVLKRRPAINEDGTKRRKIKDGRPIDVELKNVTFAYPSRLDVTVLNGFSVRVKAGSTIAVVGASGSGKSTVVWLVQRFYDPVDGKVMVGGIDVRELDLKWLRGECAMVGQEPALFTGSIRENIGFGNPKASWAEIEEAAKEANIHKFIAGLPQGYDTQVGESGVQLSGGQKQRIAIARAIVKQSRILLLDEASSALDLESEKHVQEALRKVSRRATTIMVAHRLSTVREADRIAVVSHGRVIEFGSHDDLLANHRDGLYAAMVKAEVEAQAFA >KQK97620 pep chromosome:Setaria_italica_v2.0:VII:22218962:22221451:1 gene:SETIT_012564mg transcript:KQK97620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNKETAPPPNPHGRNNVRTPTHVFPGGRKTLALMLTTGLFVFLLSTYHPAALYHSPMATHISPQETKIGHQDKVCNLFNGTWVRDFTGPIYTNITCPTMPDSKNCAKYGKQMDYVNWKWMPHGCDMVRFEPQLFLNIVQGKTLAFAADSIGRNQMESLLCLLSQVETPTKVHSDTKDKFVTWKNYLYEGGKLIGCIYCSEDNIKSFDVITAIQRALRTALNNLTNCQERGLQLTLVRTATPAHFENGFWNTGGYCNRTEPVGKGEAMTRTVEWAIRNAQVEEANRAQKEISHKGRMNIEILDITEAMSTRPDAHPGIHWNNKWMRGYSDCSHWCLPGPIDMWNELLLSLLQKYRKDLQNHQ >KQK96313 pep chromosome:Setaria_italica_v2.0:VII:3418319:3420208:1 gene:SETIT_011811mg transcript:KQK96313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLLVDLRNEWAVQTLVLFSFTLQVFLLLFASIRRYNVKILPRFFLWLAYQLADSTALFTLGHMAISNRPHEEQPLMASWAPFLLVHLGGQDTITAYSFEDNRLWLRHLQTLVVQVLGSAYVLYKYMPGSETLMMVAAVLIFVVGILKYGERIWALQSASFDSIWNSFDRSDASVRESERNRILSDVLQRRYSLDEETVLMGAHGLLDVCVGLFIGLERRKRDYVREIMRTLNAVDLLDKLMEMELSLMYDILYTKATVIHTCSKHGHSRKDIAVTYVLSVGALLLEMASTVRAFGSTWTCANLHNSKWHWLHGELLSFRRSVGAATTRNRRWSGFVYQYNLLESCAHDAPRVPTLLRMARLLGQAPGQIAEKWWDELYHSGPAKLSNSTKELVLKQILRMGKRGEEIGSLPGLLTLKDVSLDDYVGWSIQDIGFEDSIMAWHLASDICLFADRSDQTDLQEAIKVLSNYMMFLLVLRPHMLPGPVRRSRYDKFHEDLLKFMASISGPSANSPQDRLEWCLRKGFHARINSDVPHAYFDAGVRLADVLYDRPNRLDVIFGVWVEMLCYVANHCSRESHARQLSMGGELVTVVWLMARHANLSFIV >KQK99935 pep chromosome:Setaria_italica_v2.0:VII:35447928:35452117:-1 gene:SETIT_010800mg transcript:KQK99935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAASHHGLVAVVPAASSAAAPPRPQRRRFLRFHAASPAAPLATARRIFCQSINSANVLGASSPASDEAVPVPVVMIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGTVATDSAATQTKFHIMRFGRKVEDPDMLERIRLTVINNLLKYHPESSEKLAMGEFFGIKPPEKKVDIDIATHVVVEDDGPKRRVWLPRTSST >KQK99936 pep chromosome:Setaria_italica_v2.0:VII:35448412:35451914:-1 gene:SETIT_010800mg transcript:KQK99936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAASHHGLVAVVPAASSAAAPPRPQRRRFLRFHAASPAAPLATARRIFCQSINSANVLGASSPASDEAVPVPVVMIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGTVATDSAATQTKFHIMRFGRKVEDPDMLERIRLTVINNLLKYHPESSEKLAMGEFFGIKPPEKKVDIDIATHVVVEDDGPKRSMLYIETADRPGLLLEIIKIIADTNIDVESAEIDTEGLVAKDKFHVSYRGAKLNSSLSQVLINCLRYYLRRPETDEDSY >KQL00023 pep chromosome:Setaria_italica_v2.0:VII:35812461:35815454:-1 gene:SETIT_011848mg transcript:KQL00023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QQQQTTTQIQMTLPPQQMSKRAAMRAEAAAKAAEDDGSRFFDAGKPPPFRIGDVRAAVPAHCWRKSTPRSLSYVARDVAVVAGLAAAAAALDGWWAVWPIYWAAQGTMFWALFVLGHDCGHGSFSDSAALNSAVGHLLHSFILVPYHGWRISHRTHHQNHGHIHRDESWHPMTEKLYRQLEPRTKKLRFTVPFPLLAFPVYLWYRSPGKNGSHFLPSSNLFSPKERGDVILSTTCWCIMLASLLAMACTFGPIQVLKIYGVPYLVFVMWLDLVTYLHHHGSHDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPQKSGPLPLHLFGVLLRSLRVDHFVSDHGDVVYYQTDHTLNTAAAHGSWATENHKQK >KQK98595 pep chromosome:Setaria_italica_v2.0:VII:28197066:28197924:-1 gene:SETIT_011485mg transcript:KQK98595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGGEDNKRRLRNDFHILELETVMWEEVKTEKGGPAPRYDHFAAVYADQYLLIFGGSSYSACFNDLYLLDLQTVSTESLCMLQLR >KQK98813 pep chromosome:Setaria_italica_v2.0:VII:29335379:29340399:1 gene:SETIT_010919mg transcript:KQK98813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNGPATPPMVMYPFYPAGAFPQQAGDDQAQGPGIYAIQQNQLAAAMGMGRYAPTTLVPLTYKIPAESIGAPAGEGNVQDAMQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASLIYFLKAIFQLTYDPCHIPEFKPISNWSNYTFCKMASAGGRGGCSSTTGSCSGGEPCSSPCSE >KQK98812 pep chromosome:Setaria_italica_v2.0:VII:29334985:29336855:1 gene:SETIT_010919mg transcript:KQK98812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNGPATPPMVMYPFYPAGAFPQQAGDDQAQGPGIYAIQQNQLAAAMGMGRYAPTTLVPLTYKIPAESIGAPAGEGNVQDAMQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASLIYL >KQK98814 pep chromosome:Setaria_italica_v2.0:VII:29334985:29341391:1 gene:SETIT_010919mg transcript:KQK98814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNGPATPPMVMYPFYPAGAFPQQAGDDQAQGPGIYAIQQNQLAAAMGMGRYAPTTLVPLTYKIPAESIGAPAGEGNVQDAMQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASLIYLYQTGAITPFVRWLQRAGGAAARPPQAPARVENRALLPAQNDGNDQPNDPANPDQAAENQEPGAGAGNENPQVAEGEGNRRNWLGGILKEVQLVVVGFVASLLPGFQHND >KQK98815 pep chromosome:Setaria_italica_v2.0:VII:29334985:29341391:1 gene:SETIT_010919mg transcript:KQK98815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPNGPATPPMVMYPFYPAGAFPQQAGDDQAQGPGIYAIQQNQLAAAMGMGRYAPTTLVPLTYKIPAESIGAPAGEGNVQDAMQQNGPQRQVVVRRFHFAFQLDLALIIKLAAVVFLFSQEGSKQRLFLLILFASLIYLYQTGAITPFVRWLQRAGGAAARPPQAPARVENRALLPAQNDGNDQPNDPANPDQAAENQEPGAGAGNENPQVAEGEGNRRNWLGGILKEVQLVVVGFVASLLPGFQHND >KQK98046 pep chromosome:Setaria_italica_v2.0:VII:24698728:24700229:1 gene:SETIT_011235mg transcript:KQK98046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAASLSLVCCVPFPAPGPRRASTSSTRRLPRFAARSSSGGSRPEPKTGDNESKAVLDAFFLGKAFAEALTERVESVVGEVFSVVGQWQAEQQKQVQEFQEEVVQRAQKAKERAATEVTDDKGPKTLREPSATIVTPAPTSPPPATPTQAE >KQK99963 pep chromosome:Setaria_italica_v2.0:VII:35576906:35578884:-1 gene:SETIT_011397mg transcript:KQK99963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRNPTTTTTTATTTTTTNSKGKETVAAAGTSSCPPLGRATRKNSRKDSMAQDTPPISSSAPCHGAKKKRPPPSTPKLPEDFVKRQRAYFADVDAFELPEEEVSESELE >KQK96703 pep chromosome:Setaria_italica_v2.0:VII:14260158:14260681:-1 gene:SETIT_011507mg transcript:KQK96703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKIIIHIYLKQQAKLDESTNQWTRLQTKTIFTQPIVFSRHNIPLLQKLQCKSNTPSIFINCLSFTRLLKNLSGTFHICP >KQK97224 pep chromosome:Setaria_italica_v2.0:VII:19701380:19703413:-1 gene:SETIT_012669mg transcript:KQK97224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHQVVTSGGGGTGGYVEFLVRCAEGLLSALGKVWGALAGLGKKLAKIATDDPRRVVHSFKVGLALTLVSVLYYVRPIFNNWGLSTLWAVLTVAVVMEYTVGGTLIKGLNRATGTLVAGFIAVGAHKVANLGGSKGEPIILATFVFLIATAATFTRFIPAVKARYDYGVTIFILTFSLVAVSSYRVEELIRLAHQRSSTIFVGVATCLFTTMFVCPVWAGENLHNLAADNLGKLAEFLEGLESECFGENAPGEDLESKPFLQVYKSVLDCKATEDSLANFAKWEPGHGNFYFRYPWGQYQNIGAVARQCASSMQTLASYIITLTKAQYPETNLELCSKVRTACGEMSLHSAKALRALSAAIQAMTVPSPAMTHMTAAIRAAKGLKAELSQDEDLAKVMHVAVIASLLAEVVSQTKKITESVGNLAQVAGFKSPENTDQKDVVIIVDSGEAAGLG >KQK97364 pep chromosome:Setaria_italica_v2.0:VII:20798215:20799618:-1 gene:SETIT_010340mg transcript:KQK97364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATGSAAGVASGYDRRRELQAFDDTKAGVKGLVDAGVTAIPSIFHHPPESLEDTTTSPPSCTDGAFAIPVVDLSADARREDVVAQVRHAAGTVGFFHVVNHGVPEGLMAGMLAGARRFNEGPAEAKRALYSRDQARKVRFGSNFDLFQSAAANWRDTLFCDLAPDPPLPEELPEVLRNVIMEYGDAVMKLGLRVSELLSESLGLSSGHLREMGCMESLHAVCQYYPPCPEPHLTFGIKSHTDPAFFTVLLQDGSTGGLQVLVDRGGGHRRTWVDVPPLPGSLTVNIGDLLQLVSNDRFRSVEHRVPAIKSKDPARVSVASFFNTDLKRSTRLYGPITDGRRPPLYRSVTAQEFMAHFNSVGLDRCPLDYYRLERHTLRPAV >KQK97311 pep chromosome:Setaria_italica_v2.0:VII:20449116:20459766:-1 gene:SETIT_009238mg transcript:KQK97311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVRFRPLSPREINKGDEVAWYADGDNMVRNEYNPSIAYAFDKVFGPATTTRHVYDVAAQHVVSGAMQGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRIREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLVSSRSHTIFTLTIESSPSGESDAAEEVKLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIAKLTDGKATHIPYRDSKLTRLLQSSLSGHGRISLICTVTPASSNSEETHNTLKFAHRSKHVEIKASQNKIIDEKSLIKKYQKEISCLKEELQQLRRGMMGNGCILPTDQEDLVNLKLQLEAGQVKLQSRLEQEEEAKAALMGRIQRLTKLILVSTKSSISSNVSGKTNLRRRHSFGEDELVYLPDRKREYFVDDDDISLDSELSLEGKLDSNNPDESARFDRRNRKRGMLGWFKLKKSDQLSGLSSSVDGDSNASGSPSCSKSSQQKNLLLDLKDGRRKSMTRKGDDATLADSFLERTQAGDLFSAASRAHHPPPSGTTIVDQIDLLQEQVKMLAGEVALCTSSLKRLSEQAANNPDDVHIQGQIEKLKEEIAEKKLHIHLLEQRMVQSLETTEDPATKTELSQTFSKLSTQLSEKTFELEIMSADNRILQDQLQAKVTENAELRETVAQLRQEISSLKAAKSEDSFASVQSSEPSTASTDTRDNTNEISNHANMPSRTTEGNESGLISQVLKQASEIESLKQDNLRLAEEKDGLEIHSQKLAEESSYAKELASAAAVELKNLAEEVTRLSYENAKLNADLAAAKEQTASVSRSNIHNDTKRCDNENGILVEELQKELVASCQREAVLEDTLSQKDRRESELIKIIDDAKCREHELENELASMWVLVSKVKKESSQEDVFEFKAKQNGFHSSKTDSGRAVSELQSSDNGSWDGLSTIEEARAAYNFERRRCKELESVVSRLKGEDLRGLGVKVLEELQNFHVEALSRICQEKMASQVL >KQK98476 pep chromosome:Setaria_italica_v2.0:VII:27270964:27271689:-1 gene:SETIT_012186mg transcript:KQK98476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTALPKEKSAACPAPMPDPTPTSASSCLRPCCASTTTKRESARRELPQFPISPDASRPRHHAPTAPADCCRSIRLLQRVAMGFDKEASSSSSRLDAAAPLLPQHGGLHGGGAGGKLSSQPKTFANVFIAVVGSGVLGLPYTFSRTGWAAGTLLLLAVAALTFHCMMLLVAARRRIADEHPKIASFGDLGHAIYGAAGRHAVDAMLVLSQASFCVGYLIFISNTMAHLYPIGAESPASPLLT >KQK96343 pep chromosome:Setaria_italica_v2.0:VII:3869029:3871956:1 gene:SETIT_010985mg transcript:KQK96343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWALEDLEFCRRFLILSYLCQNNMEDEAVLTVDYIKSLKFLSIAHFESEIWSKFGRKNFQASNRTASDRPKNLDLDPSKTKVYHCNIEIRGDSVFYVLKGPYMENKRTYLQKVLGDDNVLVVKFMVPSDTNADFYRQLYHKVAEDGIVLGLRRYRFIAYKDGANEKKKKDDGQGEIKKCTSSVRCYFVCTESVDETYILSNKTVGQCRKLFMDIHTAPTLPNYMKRFVI >KQK99304 pep chromosome:Setaria_italica_v2.0:VII:32246406:32251634:1 gene:SETIT_009709mg transcript:KQK99304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAAAAVPAGGRPCRRSRPRCGTLRLHRLRLPVVAAASSSPLDPSTSAPAPEGGGRLVAELVGAFNELTGRMGEELATSSSSRLLFRALKLALPALRDSDGGKALARALAVAASLADLQMDAEVISAGILREALDAGAVSMRDVKAQIGISTAHLLHESLRLKHAPSKLDVLDDESASALRKFCLTYYDIRAVILELALKLDIMRHLDELPKYLQRIKSLEVMKIYAPLAHAVGAGNLSLELEDLSFRYMFPHSYDHVDQWLRSQESECKALINLYKEQLLQALKADDELNRIVLDISVQGRYKSRFSTMKKLVKDGRKPEEVKDILALRVILEPRCDGNSSDWGPRACHRTHEIIQALWKEVPGRTKDYISRPKENGYQSLHVAIDVSEPGKMRPLMEIQIRTKEMHRFAVGGDASHSLYKGGLTDPGEAKRLKAIMLAAAELAALRLRDLPDSDRGVGNCKNPAFRQLDKNGDGRISIEELTEVMEDLGAGGEDATELMHLLDANSDGSLSSDEFESFQRQIELMRSLEDEDDHYRKILKEKLHTIDSAGLIHVYRKELGDKLLVS >KQK99550 pep chromosome:Setaria_italica_v2.0:VII:33467531:33468478:-1 gene:SETIT_013037mg transcript:KQK99550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGRGSGRYGSGCGTRWRLMASRETPAPPTTASPTSTAPPPQPTRWEGLKYF >KQK96168 pep chromosome:Setaria_italica_v2.0:VII:1144707:1146186:1 gene:SETIT_010612mg transcript:KQK96168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNLKNLVIAFLVPLPSILFYLSFVRPGGNADAGPLSSWCAEHPLLLANVLFFLNVNVLFWLVGLLLSNHWLIDLYWTVIPVMLLHYYRGHPASVTDAVRSAVVVALTWVWSARLTHNYLRREGWEFGKREDWRFNEMRGQYGKTWWWMSFFAVYLSQQVFLISICLPMYAIHSSNQPWGIWDVVATAACIAGIVIAHFADTQLHKFVTKNEKLKQLGEPTVPTLEDGLWWYSRHPNYFGEQLWWWGLYLFAWNLGQQWMFVGPLVNTLCLGYVTVLVERRMLKQEHRAEAYKLYQKRTSVWIPWFRKSVPELKQKET >KQK98298 pep chromosome:Setaria_italica_v2.0:VII:26130036:26131926:1 gene:SETIT_010870mg transcript:KQK98298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLHPSSSSSAAQTKPPLCDICQERRGFLFCKEDRAILCRECDVPVHTANELTRRHSRFLLTGVRLSSAPVDSPAPSEGEDQEEEELENSGSPCNADSCSGGAGATTAASASDGSSISEYLTKTLPGWHVEDFLVDDASAGACSDDALYQQGEQGQIGGLLQEAYTPWTGREQMLADVVVTTDERASRERWVPQMHAEFAACKRARASPPCSYW >KQK98299 pep chromosome:Setaria_italica_v2.0:VII:26130036:26131926:1 gene:SETIT_010870mg transcript:KQK98299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQCDVCAAEAASVFCCADEAALCDACDRRVHRANKLAGKHRRFSLLHPSSSSSAAQTKPPLCDICQERRGFLFCKEDRAILCRECDVPVHTANELTRRHSRFLLTGVRLSSAPVDSPAPSEGEDQEEEELENSGSPCNADSCSGGAGATTAASASDGSSISEYLTKTLPGWHVEDFLVDDASAGACSDDALYQGEQGQIGGLLQEAYTPWTGREQMLADVVVTTDERASRERWVPQMHAEFAACKRARASPPCSYW >KQK98157 pep chromosome:Setaria_italica_v2.0:VII:25395612:25399259:1 gene:SETIT_009492mg transcript:KQK98157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPQTTAAGGEETVEEATTLRHRHNAAKGGGGGGGGEEGQATHQQVEDEAAAAAGALSVERAFEGQPVPSWREQLTVRAFVVSFFLSVMFSVIVMKLNLTTGIIPSLNVSAGLLSFFFVRLWTKAIESMGLLRQPFTRQENTVIQTCVVAAYDIAFSGGFGTYLFGMSETIAKQATEANNPQNVKNPHIGWMIGFLFLVSFIGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAKLAKKQVKALGKFFLFSFLWGFFQWFYTGGDSCGFQNFPTLGLQAYKNRFYFDFSPTYVGVGMICPHIVNVSVLLGGILSWGIMWPLIRNKKGSWYPASLQESSLHGLQGYRVFISIAIILGDGLYNFVKVLIRTTAGFISMMKKDSTLPVSNDSSPITESVSFDDERRTELFLKDQIPKSVAYGGYVAVAAISIGTLPQVFPQLKWYYILVAYIFAPVLAFCNAYGTGLTDWSLASTYGKLAIFIFGAWAGASHGGVLVGLAACGVMMSIVSTAADLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFADIGLSGSEYPAPYAIVYRNMAILGVDGFSSLPKNCLTLCYVFFAAAIAVNLVRDLVPKKVARFIPLPMAMAIPFYIGSYFAIDMFIGTVILFAWEVMNKAKADAFAPAVASGLICGDGIWTLPQSVLALAKVKPPICMKFLSRSVNAQVDTFLGN >KQK96634 pep chromosome:Setaria_italica_v2.0:VII:13193551:13201307:-1 gene:SETIT_012091mg transcript:KQK96634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDLFLHRGLLHDSSVSTVLQHLRGWPQIDSPCFWTGTFTMTHMVFVIGVLAGLLFRKIRWCRQKLNLTSSERDNHLSQEHKYADVKLNVSYQASIACCLFILATHVLKLVLFHLKRGPSDCKYPYFLLGEGLQVLSWTILSLAVFSFKNTKSAKLPWIIRAWWIFSFMQYVTTIVFDLRSILSGHGNIGLKKCTDLLTLVTSSYLFAMSVRGNTGITFLGASLTEPRLSPTTGQHTETKRQCLYARGSLSELVTFSWMSPVFAIGYKRPLEERDMPDVDVKDSAEFLSDTFKKIISNVERSHGLTTSSVYRAMFLLIRRKAIINAGFAAINATATFVGPSLINDLVKFLGGERQYGLKRGYLLAAAFLSAKVVETIAQRQWIFGARQLGMQLRAALISHVYQKGLSLSCSSRQKYTSGEIINYMSVDIQRISDVIWYANYIWMLPIQLSLAIYVLHQNLGVAAWAGLAATLAIMSCNIPLTRMQKRLQGKIMDAKDNRMKATTEVLRSIKILKLQAWDMKYLTKLETLRSVEYNWLSKSARLSAVTQFIFWASPGFVSSIMFGLCILMGIPLTAGTVLSALATFQMLQDPIFQLPDLLSAFAQGKVSADRVAKYLREEELKPNEVTELQRCDTDCDVEIDHGTFSWELENTSPTLSGIELKVKRGMKIAVCGMVGSGKSSLLSCILGEMRKLAGTVTVSGRKAYVPQTAWILSGTIRDNILFGNPYDKDKYEKIIQACALTKDLELFANGDLTEIGERGINMSGGQKQRIQIARSVYGEADMYLFDDPFSSVDAHTGSHLFKDCLMGLLKDKTIIYVTHQVEFLPAADLILVMQDGKIVQKGKFDELLQQNIGFETIVGAHSKALESVVKAESSSRLLLAGNKNSVESDNEFETENEMDNKFQIMTKQESSHDVSEDINQKGRLTQDEEREKGGIGMTVYWAYLRAVHGGALVPVTIGAQSFFQIFQVASNYWIAWGSPPTSTTTSRVGLGLLFLVYIALSMGCALCVLIRSWLVSLVGLLTSEKLFKNMLHCIMRAPTSFFDSTPTGRILNRVSTDQSVLDLEIAIKLGWCVFSSIKLLGTIGVMSQVAWPVFAIFIPVTVACVLYQRYYIPTARELARLSQIQGAPILHHFAESLSGASSVRAYGQKDRFRKANLILIDNHSRPWFHNISSMEWLSFRLNMLSNFVFAFSLTLLVSLPEGFINPSLAGLAVTYALNLNSQLASIIWNICNTENKMISVERILQYSRIPSEAPLVVDCCRPTNSWPEIGAIRIRCLEVRYAEHLPSVLRNVSCIIPGRKKVGIVGRTGSGKSTLIQALFRVVEAREGTIEIDSIDISKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDQRVWEILDKCQLGDIVRQSPKKLDSAVVENGENWSVGQRQLFCLGRVLLKRSSVLILDEATASVDSATDAIIQETIRKEFRDCTVLTVAHRIHTVIDNDLILVFSAGRMIEYDTPSRLLENKNSEFSRLIKEYSWRSKSFNSKV >KQK99699 pep chromosome:Setaria_italica_v2.0:VII:34267424:34271082:-1 gene:SETIT_010792mg transcript:KQK99699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDRRGQGRDLGAAGERDGIGRCRIREHGSDWSGAVSRREGCRKALPGSPARGRRHGSAGRLITTRADRWAPLPPRFALAPSVLRAAGGGAAGRVANMEGEGYASVSLAALEYIHVHKTARLVEAAVASGAIAGAAGLPRWSASPGFLPLPHPRLARTWGRGDPRPGGGAVRRGLAGGPPRPALGLPLHPAHLPSAGGGRAFREVNAGVGTGAAGAVGAVGGPSATSVPELLECPVCTCSMFPPIHQQQLGFSLSDFQPRYQVDHGKHVQLSACACK >KQK99700 pep chromosome:Setaria_italica_v2.0:VII:34269313:34271082:-1 gene:SETIT_010792mg transcript:KQK99700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGDRRGQGRDLGAAGERDGIGRCRIREHGSDWSGAVSRREGCRKALPGSPARGRRHGSAGRLITTRADRWAPLPPRFALAPSVLRAAGGGAAGRVANMEGEGYASVSLAALEYIHVHKTARLVEAAVASGAIAGAAGLPRWSASPGFLPLPHPRLARTWGRGDPRPGGGAVRRGLAGGPPRPALGLPLHPAHLPSAGGGRAFREVNAGVGTGAAGAVGAVGGPSATSVPELLECPVCTCSMFPPIHQPRYQVDHGKHVQLSACACK >KQK99128 pep chromosome:Setaria_italica_v2.0:VII:31090761:31093012:-1 gene:SETIT_009819mg transcript:KQK99128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKKRKADPAESAAAAEPAAGNHRQEPASSENKPRGTIYFPITDDPPEPTAAAEDEDEGVGDDGDEAEDIGKLLEPLSREQLVSLLRTAAEESPVTMAAVRRAAEADPASRKLFVHGLGWGAGADDLRSAFSRFGELEDCRVISDKQSGRSKGYGFVLFRSRRSALRALRRPQLQIGGRLAFCHLAASGPAPPASQSQNPSSNANTNTNSNSNSGTTSNVSGSSSQPDNMPRKIFVGNVHADVDVDRLYEYFSQFGEIEEGPLGFDKNTGKPKGFALFVYKSVESARRALEEPVRNFDGKMLNIQKAIDGRTKGSSGTNANANSNATTASAAAAAQMTAPASAAISPYDASAYGAAAVPDLGFAQQAAMLGLGAQQQAFAQPNAMLAMIAAMQNPAALGMTPAMLAAMNPAFAAAALGAGGQQAHTAGLTGFGAQGFGTQAFGAGGAAFPNAAGVQAAAAAYQGAGAPPGFQGPPGFQVGQATTQTSTAAAAAAAAANAAGYQAGAAGQGQVSGAQIGGTGFQGGY >KQK96244 pep chromosome:Setaria_italica_v2.0:VII:2301846:2306358:1 gene:SETIT_009877mg transcript:KQK96244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERAELLKGQVHQVFNARMDAMGVADLVTYVDTLERLGLDNHFPEVIEAALNRIRTEEPEPDVFNSLHIVSLRFRLLRQHGIWVSADVFDKFRDEAGSFSTGICSDPRGLLSLYNAAHMAVPGEVALDDTIAFARGHLQAIKGKVRSPIAEQISRALDIALPRFTRRLETMHYIAEYEHEEPHDSLLLELARLNYNLVRTLHLKELKDLSLWWRDLYDTVKLPYARDRMVEIYFWTCGMLHEEEYSRSRMLFAKTFGMVSLLDDTFDVHATLDECHKLNEAMQRWDESEVSILPEYLRLLYIKTLSNFKEFEDSLERDQKYRMSYAKKAYKLSSKNYLREAIWSSQKYQPSFKEHEEVSIMSSGLPMLTIITLMGYGDVATQEVFEWVDAVPEMVRAGSQVTRFLNDLSSYKLGKHKKDMPSAVETYMVENGLKGEEAVAAIATLLENRWRILNQASMKIDRTLLPAVQVVVNMARTNEIIYLHGRDGYTFGDDLKDLIITLFLKQVPL >KQK98508 pep chromosome:Setaria_italica_v2.0:VII:27579464:27581318:1 gene:SETIT_010516mg transcript:KQK98508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNADVEAGGPARAAPATTGIKPPPGRYNMTAGNGNGSAPYMPPSPFYYDNAAAHERHHWAWLVPLVVIANVAMFVVVMFYNNCPRGGGDCVGRGFLRRFSFQPLKENPLLGPSAATLQKYGALDWYKVVRGNQAWRLESCTWLHAGLIHLLANMISLIFIGVRLEQQFGFWKVGLVYLVSGFGGSVLSVLFIRKGVSVGASGALFGLLGAMLSELITNWTIYTNRFAAMLNLVIIAAINLALGILPHVDNFAHIGGFATGFLLGFVLLIQPQFGWLEQPFGSKSKSKYKAYQIILLLLALVLLAAGFAVGLVMVFRGENGNDHCRWCHFLTCVPTSSWKCDN >KQK98918 pep chromosome:Setaria_italica_v2.0:VII:29935866:29939280:-1 gene:SETIT_010471mg transcript:KQK98918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKSRKLSLEQQDLYNTVGRPNLFTYSYGELKTASENFNSSNFLGEGGYGSVYKGKLSDGSVVAIKQLSETSRQGKKQFITEIETISQVQHRNLVKLYGCCLEGNYPLLVYEYLDNGSLDKALFGNGRLNLDWPTRFEICLGIARGLAYLHEESSIRVVHRDIKASNVLLDANLNSKISDFGLAKLYDDKNTHISTKVAGTFGYLAPEYAMRGHMSEKVDVFAFGVVILETIAGRPNYDGRLGEDKAYLLEWVWQLYEDDHPLDVTDPRLAEFNSEEVLRAIRVGLLCIQSSPRQRPPMSRVVSMLVGDIEVPEAVTKPSYVIEWQSNAMGTSSSEPGAEAMSLSSVIDEGR >KQK96237 pep chromosome:Setaria_italica_v2.0:VII:2221796:2222726:-1 gene:SETIT_012781mg transcript:KQK96237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLQAEPLNLKEATVTCIPRLRGGGGGRRSRRGSAAATQVSMLDRVRDVVLRLAMLSAASTATTTTNKGAPGTLRRAATSAAATPSRAAARVSPATSVAYTDSYRSEAVDDCIEFLKRSAAGSGGAPATAFRGSVMTQTINVWQYNGLMGGLIWRLGQLMD >KQK98563 pep chromosome:Setaria_italica_v2.0:VII:27946578:27948388:-1 gene:SETIT_012090mg transcript:KQK98563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLPLSLTVLLPLSLALLLALHLARQRRGAGGKNRKYPPVAGTVLHQLLNYDRLMEYQTELSRRHRTFRVLTPTGNDVYTVDPANVEHVLKTSFADYGKGATMHDVMEDLLGDGIFNVDGDKWRHQRKVASHEFSVRVLRDYSSGVFRDTATELAGIVAAAARGDGGIRVDIADLLMRSTLDSIFKVGFGVNLGSLSGCSKEGAEFARAFDDASEQVMYRFFDLLWKAKRLLNVSSEAAMKRSVRTINDFVYAVIDRKIEQMSSDQQEFAKKEDILSRFLLEREQDPGCFDNKYLRDIILNFVIAGRDTTAGTLAWFLYVLCRNQHIQEKVAREVRTAAATGDRDVGAQEFVASLTEDAISKMQYLHAALTETLRLYPAVPIDLKCCFSDDTLPDGYALKKGDAVHYQPYAMGRMDFLWGADAEEFRPERWLDDDGVFVPESPFKFTVFQAGPRICLGKEFAYRQMKIFAAVLLYLFRFEMWDANTTEGYRPMLTLKMDGPLYV >KQK99863 pep chromosome:Setaria_italica_v2.0:VII:35098342:35099833:-1 gene:SETIT_011227mg transcript:KQK99863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLTAAFRAAKIPRTLPPKRREAAASGDPSARATKGKAPPPWCVYLIASSRIPRTYVGVTTDFPRRLRQHNGELKGGAKAASAGRPWNLACLVEGFVSRSEACEFESKWKNISRKMARKRTEHSVESVLQHRQAALSKVETCMDCSHLQIKWHSS >KQK97394 pep chromosome:Setaria_italica_v2.0:VII:20995824:20998344:-1 gene:SETIT_010657mg transcript:KQK97394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLITYFTNIIGVVTIALISIVSIFGLVCLCRSVYFQLWIKRRGYQRLSYFNGPWLTRITLMLVGFWWGVGEVLRLTFVNGEGRLISDRAWQVNVCKFYIISNLGFAEPGLFLLLSFLLSAALQKQELGTLNRKWNRKTIRAAFILCSPSLIWDACVVFVGAHISSDDGQPPKIAKYWYSASKIHNGDITCTYPLLSSIFLGAFYIILTVYVMFVGRQMLSLVINKGLRRRIYMLIVATGILLPRATLLGLSVLPWPGEVHEALVFVSFLVLMLAAMVGIVILVYFPVADTFAIGDQEHIEMQASRDMIL >KQK96131 pep chromosome:Setaria_italica_v2.0:VII:772587:776938:1 gene:SETIT_011765mg transcript:KQK96131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRSGMKPSAGTAMYPLHRCKTIHLVRHAQGVHNVEGEKDRSAYRSPALLDAPITPLGWRQVDSLREHVKNCGLAKKIELVICSPLLRTMQTAVGVFGGESYTGGVGSPPLMVENAGQSERLAISSLNCPPFLAIETCRERLQGDHPCDKRRSITEYRTLFPAIDFSLIMNDEDVLWLPDVREAHKSVAARGMKFMDWLWTREEKEIAIVTHSVLLQDTLRMYSKECHPTIRYEMSKRFANCELRSMVLVDTRS >KQK96632 pep chromosome:Setaria_italica_v2.0:VII:13172687:13177686:1 gene:SETIT_011253mg transcript:KQK96632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLICASSGIGAETCRVLALRGVHVVMGVRNLSTGSQVREKIVEQVPKAKIEMLELDLSSMSSEQDAKVVINSLHPGAVVTNIARYWGFLNGLLSSLGKFVLKGVEQVAGVTGNYFVDCSMVQLKSHAIDKELAKRLWDFSMSLLR >KQK96631 pep chromosome:Setaria_italica_v2.0:VII:13172687:13177386:1 gene:SETIT_011253mg transcript:KQK96631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLICASSGIGAETCRVLALRGVHVVMGVRNLSTGSQVREKIVEQVPKAKIEMLELDLSSMSSEQDAKVVINSLHPGAVVTNIARYWGFLNGLLSSLGKFVLKGVEQVPPQCVIWHCILRLRESRAITLWIAVWFN >KQK97501 pep chromosome:Setaria_italica_v2.0:VII:21525310:21526871:-1 gene:SETIT_010191mg transcript:KQK97501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHRRSLFPVNGPGAVECDGYVCTPPSPPVPSSPYHGPAPSPAPASSPIPACPPIHFPPSPSPSAHRGRRNQGGGMHGHGPPPPPSGVGGGGDDHRWHYVRYVLIAVGVIAFVSLILLGVSVAVRRRQVRRRRQALLAPAAPPPGAGEADDGWNDPEGGGGGGGVVHHVWYIRTVGLDEAAINSIAATRYRAGAGLLGAADCSVCLGEFRDGELVRLLPKCGHAFHVPCIDTWLRAHVNCPLCRSDVIDPAVTAATGDGGGGGEASSDTPTDQDANDNVEAEQAAAASDAIPDHEQEDRESDHQEEEASPAVEDQQERSSSPDPPPPQQQPFCPLPRNVRRAASMDAAIVSTAAEVAARERLPEAAPEEEQIGGRRKRSCAKASGSGHRSNLSIDRPASGGIPRSFFSRHSRARSSVLPLSSPS >KQK99915 pep chromosome:Setaria_italica_v2.0:VII:35353143:35353356:1 gene:SETIT_011976mg transcript:KQK99915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIDQYYTDGELKEHDLIIVCLYAPTQPTKLQMDLY >KQK98525 pep chromosome:Setaria_italica_v2.0:VII:27726216:27726601:1 gene:SETIT_013004mg transcript:KQK98525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQRYTYICMYVQLLGMPSGEYVLRGRPGVLHEQMPLCKACIVRINR >KQK99750 pep chromosome:Setaria_italica_v2.0:VII:34554284:34559168:-1 gene:SETIT_012026mg transcript:KQK99750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSPRPSPPPVSSPPSSSSSSSISTEEIPIPPRKRRARPTQAEGGAPDKSKRPRKEAVRHRHSKEDPNATAASAGKRSSVYRGVTRHRWTGRFEAHLWDKHCLTSIQNKKKGRQGAYDTEEAAARAYDLAALKYWGPETVLNFPAEDYSNEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTFDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDHPLLLAQLQQDPQVVPALNQEPQPDQSETTVQESDSSEEKTPDNNAEPDDNAEPDANAEPANNAEPLTVDDSIEESLWSPCMDYELDTMSRSNFGSSINLNEWFTDADFDSNIGCLFDGCSLVDEGSKDGVGLADFSLFEEGDGKLKDVISDMEEGIHPPTMISVCN >KQK99154 pep chromosome:Setaria_italica_v2.0:VII:31192977:31195474:-1 gene:SETIT_010718mg transcript:KQK99154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASVGIGARPRIWAGLVEKPHGGAYSARAPALRFTAEKLPQRLVLGTDPWRGTDPGLIRAAKLKASCCKKSAGTEKVHYSADEALILQQKAQDVLPYLDGRCVYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWSYMKRGLTVWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTSLFEQRMDAYANADARVSLERRYCIKTRA >KQK99155 pep chromosome:Setaria_italica_v2.0:VII:31191970:31195657:-1 gene:SETIT_010718mg transcript:KQK99155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASVGIGARPRIWAGLVEKPHGGAYSARAPALRFTAEKLPQRLVLGTDPWRGTDPGLIRAAKLKASCCKKSAGTEKVHYSADEALILQQKAQDVLPYLDGRCVYLVGMMGSGKTTVGKILAEVLGYSFFDSDKLVEKAVGISSVAEIFQLHSEAFFRDNESEVLRDLSSMHRLVVATGGGAVIRPINWSYMKRGLTVWLDVPLDALARRIAAVGTASRPLLHQESGDPYAKAYAKLTSLFEQRMDAYANADARVSLEHIALKQGHNDVTILTPSTIAVEALLKMENFLSENAMVRN >KQK96186 pep chromosome:Setaria_italica_v2.0:VII:1307857:1311181:1 gene:SETIT_010532mg transcript:KQK96186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAAGTKWIHHIQRLSAAKVSAEAVERGQSRVIDASLTLIRERAKLKAELLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKTVMEEEPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNNYSHASSFARIMEGGYARRLLQVGLRSITKEGRDQGKRFGVEQYEMRTFSKDREKLENLIMYDLNPCLIFSFPAETWGRCKGSVCLS >KQK96187 pep chromosome:Setaria_italica_v2.0:VII:1307712:1311686:1 gene:SETIT_010532mg transcript:KQK96187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAAGTKWIHHIQRLSAAKVSAEAVERGQSRVIDASLTLIRERAKLKAELLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKTVMEEEPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNNYSHASSFARIMEGGYARRLLQVGLRSITKEGRDQGKRFGVEQYEMRTFSKDREKLENLKLGEGVKGVYVSVDVDCLDPAFAPGVSHIEPGGLSFRDVLNILQNLQGDVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >KQK96185 pep chromosome:Setaria_italica_v2.0:VII:1307712:1310656:1 gene:SETIT_010532mg transcript:KQK96185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAAGTKWIHHIQRLSAAKVSAEAVERGQSRVIDASLTLIRERAKLKAELLRALGGVKASASLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPIQEIRDCGVEDDRLMHVISESVKTVMEEEPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDCFEGNNYSHASSFARIMEGGYARRLLQVIVLFCLSVPGLSKILILLINE >KQK98589 pep chromosome:Setaria_italica_v2.0:VII:28122014:28122940:1 gene:SETIT_011168mg transcript:KQK98589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLSLGLEEDYIEKALGEQEQHMAVNYYPQCPEPDLTYGLPKHTDPNALTILLQDPNVAGLQVLKDGDHWIAVNPRPNALVINLGDQLQALSNGAYKSVWHRAVVNAARERMSVASFLCPCNSAVISPAAGLVGDGDPPVYRSYTYDEYYKRFWSRNLDQEHCLELFRSAQLQ >KQK98632 pep chromosome:Setaria_italica_v2.0:VII:28364269:28365446:-1 gene:SETIT_011111mg transcript:KQK98632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRAARRLMWGTSAPGSDVPSDHDVVIVLASLLCAMITVLGIGLVARCACGRGPRHPAAAAANRGVKKSVLRKIPTVPYVAPAPAASSACGGDEESGEAAAAAEAEEKAPEECAICLAEFEEGEAVRVLPPCGHGFHAACIDKWLRGHSTCPSCRRILSLRLPPGERCRRCGARPHAGDAGWKPTSYNGVPPFLP >KQK98125 pep chromosome:Setaria_italica_v2.0:VII:25148329:25153959:-1 gene:SETIT_010616mg transcript:KQK98125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDLHLSLNQTQRVRLEAALHELQSLTPAAASAAAVTVADTIPVNQEDNILKGHGTSDQDGEVVATLCGVVERVNKLVYVRTLRARYKPEVGDIIVGRVIEIAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFEENDVICAEVRGFQHDGSLHLQARSEKYGKLERGQLLTVPPYLVKRKKQHFHHLAQYDVDLILGCNGFIWVGEHVVVDEKVKATEDQQKSSDEAENFTPLETRKHICRLANAVRVLSALGFTLTVELIIETAEASASSNVEVNDMLGAEFYVQTAEREAKRRADLLRKKNGAR >KQK98787 pep chromosome:Setaria_italica_v2.0:VII:29155969:29156999:-1 gene:SETIT_011740mg transcript:KQK98787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRRRRRHLYLVLDDWEKGYSIYRVAEDDFHSAPGVDARPAESPLVCIEAQHPFSCCFAAHGTKIFAMQPPESSPGVPVFDTETLGETVCHHPPSRGLVSISNKPVYASAGGSLMALVYFNVDVLGGPGDQPWSWSRVNEPAPFASNRVSCYALHPDGHTVLMSVRAWRPTTAETHPYYHGGRDSTFAFDTEARQWTYIGEWLLPFHGRAYYDRRAWRLCCCDVPPVSRCEAMPSWRIGVEVFFHADSDHHMGATLVYMGDSRFCLLECRAGEDNDDSDRRLRVVEMTSFVLKYYEAGGLRTTHHRAFASMSYQAAHDRFQHAPDPVAFWM >KQK97770 pep chromosome:Setaria_italica_v2.0:VII:23164830:23166904:-1 gene:SETIT_011806mg transcript:KQK97770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATDGKASVVIKIHQIVNETSSNSSPSNPQIPLAANPSILLHVLSSYELEPNDLAALEANTILFHGTCKFFRSPANFEPDAALSLPELAALDTCCQKAMFKSMKHEEKEKLKQQCGGSWKLVLGYLLVGEKNYRREKSQVIAGPGHSIVVTTKGEVYSFGANSSGQLGLGNTEDQFKPCLIRSLQGIRITQAAVGSRRTMLVSDTGSVYTFGQDAFGGLESFGTYTSSPKLLESLKGIFVVQASIGGYFSAVLSREGQVYTFSWGRDERLGHRSDLTDVEPRLLSGPLENALVVQIAAGNCYLLMLVYQPTGMSVYSVGCGLGGKLGHGLERSLGIPKLIERFQVPNVKPLSISAGAFHCAVLALDGRVFTWGWSRHGCLGHDENDDDDEILPKAVEGLKDVRASHLSAGAHTTFVVTDNDDVYSFGWGRSLNLGVQADGAEKANVWTPKLATSIAALNEKVVQISATNTWDWIDQDCYSHTLVLTESVRLYSFGAGTKGQLGVKLVEGQKRSTPDRVDIDLA >KQK97301 pep chromosome:Setaria_italica_v2.0:VII:20374033:20376258:-1 gene:SETIT_011449mg transcript:KQK97301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALNRGLRSGIRLLAAGAEASKPASRGFHATGVKRMGGHGHDEPYYLHAKHMYNLHRMKHQGLKATLSVLGAVSIGVGVPVYAVVFQQKKTASG >KQK97222 pep chromosome:Setaria_italica_v2.0:VII:19688928:19690231:-1 gene:SETIT_011024mg transcript:KQK97222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEKHHRDWILRRCCGSICACFLTLAAVVGFIVLVIYLALHPSKPSFYLQDVQLRSIDLSDPAISLNLQVTIASRNPNERVGVYYKTLDAFTTYRDEPVTVPVSLPSIYQGHKDASVWSPVMSGDAVPVSQYVADAMRQDISAGYVLLHVKLEGRVKWKVGSWVSGGYHLFVNCPALLATSGAAVGGAFAVSGAAVPAGVNTTVSLKFTHPADCTVDV >KQK97183 pep chromosome:Setaria_italica_v2.0:VII:19477788:19480789:-1 gene:SETIT_011932mg transcript:KQK97183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FWNICGAIVKDKLQTMITTSNWKKVSTTTKDVLWAIVKERFTFPKGQEKFKGKHARDDFGKIPPEKWEEFKQQKNTPEAKALSEKNIAKAIKTAKNPHHLGAGGYATKITKWRREEEEWRRAGLPDMFADLDERSRNWILAQILIVTPDGKADMEKDQLIITIGTAEHFGCVRGMSSTLPWGKAFPNDQTSYMKYNRYKKNLEEKMREIAKQEFLELFANHAMSQMMADPTVSDGQRQAEPTMLLAQTGFVAPSSAGSIANVRYPVDNIQVDTPCRLVATGMAVMGHVFPKAPLAEYAWVQVVTVLDESCEIDIPTNEGIDVLDNVLNALPETSWMSQELPLPYSNVDMEQPTLSHVDNLEVNDPTSPSPASPPPKRLAVPCMVSTYEKALLTDVDKFLNVLKKKASSSGEKSVTRNTSRQKEKHQNLNLFASDDVPIDYEHDKPFLYWWDLLEGPWEQNKLHGWIMNAMKQGIRAITAHVPTKVFLGVLPYQIVIDFKDLHRLYHRQHLDVNLISMMQWREGELTHGRFKVVYLDPTRISKREHKLKMTKTIKAQIEAAETQAEKDAIKIKAHREEMHKVSIYIAKVMEKKADKDYIMAPYDFEDHWICIIILPKLVEAVVLDSASYHRGRYKDFIGIIQNAYKLYILKGGCHKQSPRSVLCEYYVYEFIRNNGRYRANPEDMSTIDSNYSKIEDKQNNNICTNMASFILREICHEDGAFFDKDGVLMADECTNLHRWAY >KQK98581 pep chromosome:Setaria_italica_v2.0:VII:28056825:28059716:-1 gene:SETIT_012391mg transcript:KQK98581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGGIARSRLAEERKKWRRSHPHGFVAKPETLPDGSVNLMVWKCVVPGKEGTDWEGGYFPLTLQFPEDYPSNAPVCKFPAGFFHVNVYPTGAVCLSILSNAWKPSITVCQILIGIQDLLDHPNPASPAQDACYRLYKKDMRSYKDRVRQQAKQYPSLV >KQK96249 pep chromosome:Setaria_italica_v2.0:VII:2510034:2510663:1 gene:SETIT_011764mg transcript:KQK96249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGRASSSTSPLGRTSGSTPPLGRASCSGSQTTMNRFYRSPSVSQVPFDIDLACSKGDAKVRLGKALAKWFQSDDILGRKADNPYFVAAIKLAQQLGEGVAIPTGRDIDGPLLDMSYDDLKAHMEDYKENWGPFGVTVMCDSWRGPTKMCIINFMIFCNGCMFFHKTVNATGRVQNADFIYDCIKEVVVEEVGQEF >KQK98780 pep chromosome:Setaria_italica_v2.0:VII:29128028:29128957:-1 gene:SETIT_012109mg transcript:KQK98780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PPLVRIEAQHGYSWSFAAHGSKIFAMCPSESIPGIPVLDTETLGVTVCPSPQSRKSIRNYRPVYASVGDRLVALVFPYLDVLGGPQPPPAETKKPWSWTSVEPLAPFASSLVSGYALHPDGRTIFMSVKGWKPNPNKMFSIRGERNSTFTFDTESLDWTYPGEWLLPFKGRADYDCELDAWVGLCLYKEGVGHLCWCDIPPAAGCETMPAWKFGKDLLFDVESSMFDVESSTHVGATLVYMGDGRFCLVECRKTEDHHANRVLNMTSFVVKYGKEGDLRTAKHRAYGSMSYQIAHECFDPLPNPVAFWM >KQK99589 pep chromosome:Setaria_italica_v2.0:VII:33660519:33661959:-1 gene:SETIT_012487mg transcript:KQK99589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMSYPCSPLIPFPTQHEESSYLLWSPQVLIPLSLENGDGDADPSSDHHQQQDHEVMDMLIQEANRLPLQDELSNGDPLFTGFDQRREGQENGSLLAVQEEFMAESSLSDLLVAGARAVASQDSISASAILSRLDDLIPGVPYRSCHHAAASPSDHLACYFARGLRSRISGARTERHAAAAPAPENRMPAYRMLQELSPFIKFAHFTANQAILEATADDPGVHVVDLNVGEGVQWASLMSDLARHGGKPFHLTAVVTAAGAESHTASSHPMAARWLSEFAESLNLPLPEDLHGFTASGNGGGSVIVSCDTTDKSYSSLIRLQMQLLGSVKILHPKLVILIEQELFRIGRSPAPFAEFFCEVLQHFAAMLESLESCFCDGGYGACLGLVEKETLGPMVEDAVGQYGSLTGGAGAELEGFRTCELSSFNVVQGKMLAGLFGRGFGVVQEEGRLALCWNSRPLTSVSVWRPV >KQK96323 pep chromosome:Setaria_italica_v2.0:VII:3618475:3623687:1 gene:SETIT_013072mg transcript:KQK96323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFHHQEYSSLERPGDYLLISWTPEISYSFKNVNSSILTKDSKWHWKKFSQIMIVKTKLMMMLLTLKIEGCLMISLIFRKMRSLSCICGIHLFPDKG >KQK96322 pep chromosome:Setaria_italica_v2.0:VII:3618475:3621629:1 gene:SETIT_013072mg transcript:KQK96322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIFHHQEYSSLERPGDYLLISWTPEISYSFKNVNSSILTKDSKWHWKKFSQIMIVKTKLMMMLLTLKIEG >KQK98523 pep chromosome:Setaria_italica_v2.0:VII:27724832:27725343:-1 gene:SETIT_012994mg transcript:KQK98523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIAAFSSAHTTIICTCARDCSGCGRACMRLARKRTTRNAAI >KQK96833 pep chromosome:Setaria_italica_v2.0:VII:16153965:16157882:-1 gene:SETIT_012320mg transcript:KQK96833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENDGHLNNNKEQALGLTLGDATAWDYPVEYDMDALLGEDHNVDTNQRTHDEDHLPESETPQSKRPKRFNVRQVQELEAMFHACTHPDAEQRQQLGTRIGLEERQVRFWFQNRRSLMKACGNEVKELQQENGKLQADNNELKQLMLDPTCFKCRDPAGATQTTLERQRLLRENARLKDELQRANAYLKRSIREAGRRPQPMSSENQASAYMNPVPFTCNRTNQATLRSHAERAFKELVMLATKGQPLWMPTIDGEVLNDQHYDLQTFPGLLGFCPWGFVAEATRETDMIKGEAMDLVSILTDVMDVEFWVQTPCLPTRNVKFLRFSKMMAERKWAVVDVSVDGNQHGYQQQSSGASCTGYRLLPSGCILEGMRSGFCKVTWVVHGEYLEAAVPTLFRKFLHSGQAFGACRWLRSFQRQCEYMAVLASSHVPSSSSSSSGAAISSLGKRGVLELAQRMTASFYAAISGPVTIASTNITNQWCVSSGTAAERVDAAVRMVIWNCAEIMPGEPAITVLSATTTVRLPGTPPVRVFEYLCNLQRRGEWDSFVNGGQVKELGYVSTSARLHGNAVSVLRPTIVAQGSRGMNNNMLILQQSSTDASGSLVVYSLIEENVMRGIMAGAENNIFLVPSGFAILPDGHGKARYNAASSSTSAPIDNNDDDGEGALLTVAFQAMLPSSPSGDHATTRAFDNAGQQLCDAIKKIKDAVGANNVIVN >KQK97080 pep chromosome:Setaria_italica_v2.0:VII:18837897:18843526:1 gene:SETIT_010273mg transcript:KQK97080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLGARWRQASATLASASATAHGAWRQDGWRRDVVKKQKKVGLPGACAPTRQAVKPVRPRRRRGPAKTNHETAARARAAAIKASRGALSSLPNNKREEENASSSRPRSARPPPHPAQEPSAPGGPSPRAFPPNLQQAAGAAAPPPLPSTAAELAGVTLACCSCRWWLEMTHMFPRGGASSSSTSMSSQRSETDDDRMIAMVLSEEYAKLDGAMAKRLTNLTSIPHVPRINTFFPTYSDATMDHYRLLDRLNAYGLFEVRVAGDGNCQFRALSDQLYRSPDYHKHVRKEIVKQLKECNSLYEGYVPMKYKQYCKKMKKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFVEIVPQYQTPQREIWLSFWSEVHYNSLYDARDIPSKYKPRKKHWLLF >KQK97990 pep chromosome:Setaria_italica_v2.0:VII:24315774:24318590:1 gene:SETIT_009443mg transcript:KQK97990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNETGDALRSCMEQLLLFRDEKERLVIEAANDLSSEQKKTQDLKQKFEDANKQFEKVIAENYNLRNTVDSKEKLIKELKESKAHSEQKLTDATAKLEFSQKQCASLKYEVRMLQEELEIRNKERGYDLKSIHAAQKQQEESIKKIAALEAECQRLRTMVQKRLPGPAALAKMKDEVKRQGTSSAENGTRRPRAVVQPQLGARHSVSEGYQVKLKEFGDENRHLRQLLAQKESDMQFVRWKYVDEACKLSMLQKQHEELSDSHGLTENNHPERMVIALAKLDHSRSGKQQVFQMRSRGRRITGSDIQLLVDPVEIEKLERASRPSSAPHECMDTPNADSKMVVSETVHRDIVPDDGFSDKYPELIQDVLKVIIHKHQVSKISVASIIDEVTHALRSEISAKGNDDASLSYDREELNKMVATLRERVSSMVERSTKSNVMRFQSFFHEKSELTLRLAHLVHVCSDVLDGKANLEKLADEVCLILEWIVSQCFWCLDGLDVTDYITNNSDGNESLWTLSIHEKDAMQSTNLEMDFGMQQDKQKELIETTEGQIPDVTLRNHSQIEFISKLDAELLAVNEGQGGRCQEQHLVYRETESAASDESKEKIAEEGKKLKTTSAISAAAKKLAECQETIANLSKQLHALENPANADASYKEKCGTLPPPVANLVAEADPKPEGLSPPTSEEATRIKEHSEPDATEKSHEHGESGTGAKARKNGSAPIVIRPMVPKSPRASVSADARKKKRRASLLSLLSSHGCKGS >KQK97522 pep chromosome:Setaria_italica_v2.0:VII:21660058:21661335:-1 gene:SETIT_012187mg transcript:KQK97522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGRSLSMQQVLCNMLVKQLIESSCQKIGIKTSQGFYGVSCGKPLADEDFLSHYPIQQIDKRAILNNQLLPVIKVLKLTKYTRFNIKEVLVFFTGKHRDNVCYNGAFSMDDILFENGIVRLCDGIVEVGFYDRGCSLDYLKLHGILSLLKTVDGYYAQYFQHLLNYLKCCPAGLKLRSELAIRFLINHPSLEFYQDRVKQAMLLDNLLFRIFNNPNTSYGAMNAITAAMGSFYNWWIFLEHCPEMNKILLFDAS >KQK97844 pep chromosome:Setaria_italica_v2.0:VII:23544373:23544853:1 gene:SETIT_011584mg transcript:KQK97844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNCRCDLQSRRREGVALADHAVFQNLESDCGEGELLIAVTPRMSYRWIAIALQNFDQIPP >KQK97511 pep chromosome:Setaria_italica_v2.0:VII:21590398:21591967:1 gene:SETIT_009951mg transcript:KQK97511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIAADADRPHVVCVPLPAQGHVTPMLKLAKVLHRRGFHVTFVNSEFNHRRFLRSRGAGALDGLPGFRFAAIPEGLPPSDADATQDVPSLCRATMENCLPHFRSLLAELNASPDVPPVTCIVGDDVMSFTLEAAREIGVPCALFWTASVCGYMGYRYYRDLMDKGIFPLKDAEQLTNGFLDTPVDWAPGMSKHTRLKDMPSFMRSTDPDEFMFHFALKVTEQIAGADAVILNTFDELEQEGLDAMRATMIPSSTSIHTIGPLAFLTEEIVPRGGPLDTLGSNLWKEDVSCFDWLDGREPSSVVYVNYGSITVMTNEELVEFAWGLANSGHDFLWIIRPDLVHGDAAVLPPEFLEAVEGRGHLATWCPQEAVLRHEAVGVFLTHSGWNSTMESLCGGVPMLCWPFFAEQQTNCRYKCMEWGVAMEIGHDVRREAVEEKIREVMGGEKGKEMRRRAVEWRETAVRATRPGGRSYANLDKLVSDVLLSGGKSS >KQK99942 pep chromosome:Setaria_italica_v2.0:VII:35484851:35486047:1 gene:SETIT_012337mg transcript:KQK99942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRLSKDGAFHFPVFHRKHPCLDDPSPVHAASVSDAGTVVGNDEIHKGKYFMAISLGTPSVFNLVTIDTGSTLSWVNCERCEIRCHGKADEAGPRFDPHSSATYRQIGCSDEDCVDIHQDNGIPYGCIDETDTCLYSVRYGSQYSAGKLGRDRLALGDNLTVVDDFVFGCSEDDRFYGKEAGVIGFGNKSYSFFNQMARQTSYVAFAYCFPSDHQAEGFLIVGPYPQRLELVTPLIRGYGRRWYVYSLLLLDMKVDGKRLEVDPTVDTRQIMVVDSGTDDTFVSSVVFYALAEAVTSAMGDKGYYREYGSEKVCFKPAGGEPVNWRGLPAVEMQFLRAALKLPPENVFHQQSADRICLAFQPDTSGVRDVRILGNRALRSFRVVYDLQKMTFGFQARAC >KQK98235 pep chromosome:Setaria_italica_v2.0:VII:25782433:25785409:1 gene:SETIT_012447mg transcript:KQK98235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPITDAPSIARLVDFLKTRFGKLDVVVNNAAIGAVEYVQDPFDSSLASQEKLSGMDRNQRLEWMFNAVRETHDAAREGHIRNEELKQEPNDINNLTEERLDKVLDTFLKDFKAGELDARVADGYLRVQDAQGGLERVLEDASEKAPRAARQLRVHPGYVNTDMTMHSGILTPEEGASNATKVALLPEGGPTGAYFALDKEAPFCDTRTTRAWAHGGSPLAPPFAIHQRLFVIDVQQNYCALVAKLPNTLAKTC >KQK99860 pep chromosome:Setaria_italica_v2.0:VII:35092364:35092794:-1 gene:SETIT_013049mg transcript:KQK99860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDMETRVKLNIKQLQNLLLNFIITGYLPSITKHLLLQVPSLKFRVANYSHVQMYSCA >KQK98022 pep chromosome:Setaria_italica_v2.0:VII:24558982:24563335:1 gene:SETIT_009481mg transcript:KQK98022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRAAALLFLLVVSVQFGTSSSTSIAAYLFGFLSRTHLQHFPALAPGPAPSPQPQGPIIAHPVHRHHRKRHHASPPPSSPSSERQDCSGTTCSAPLTSTPIGSPCGCVYPMQIQLDLGVAPYQLFPRIDELEIEVAAGTFLKQSQVRIMGAGSSLQDPEKTTVTIDLVPLGQKFDRTSASLISNRFLQKKVPINSSIFGDYVATYVHYPGLPSLVPIPGSLGPVSSNEDPFGANIHNRSHHKINSKMVAIIALSAVVFVLMCFAIGIIWRFKVLKNSHATGPISSSSITRKGGMRSSFSGTSSSTASFASTIGTCPSTVKTFTITELEKATENFSFSKIIGEGGYGRVYRGIIEDGVEVAVKLLTRKHQNRDREFIAEVEMLSRLHHRNLVKLIGICIERSTRCLVFELVPNGSVESHLHGSDKIYGPLDFDTRMKIALGAARGLAYLHEDANPHVIHRDFKASNVLLENDFTPKVADFGLAKEASDGMDHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMTQPPGSENLVTWARPLLTTREGLQRLVDPSLPAGYDFEKLAKAAAIASMCVHIEASQRPFMGEVVQALKLIHSCGGAAGDETCSGSFGGGATEESPWNDGSRSTWNDGPATPGPALALGYGSDPAGAADDERRPRSASSAVLDKIESLAMYDWSGPLRSKGRLSFYRLRGSMSEHGRPSDDGSVEGYLM >KQK97227 pep chromosome:Setaria_italica_v2.0:VII:19742260:19743177:1 gene:SETIT_010684mg transcript:KQK97227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPSSSGRGPTTPRRQLQGPRPPRLNVRMESHAIKKPSGSGAAAVPAAPPARRDQQLQQQQQPQRAPVIIYDASPKVIHVKPSEFMALVQSLTGPGSGAPQQQQQQHYHHHHQAGDDDDDVLLLGQTTFLPPELLLSPSAAMSPAARLATIERSVRPMAAPAPDYDMGVLPGGDGVSGRAGDDDGTLAAVLGPARHPSILSPLPSSLPPAAASGLFSPLPFDSSNISWLNELSPILRAASTSAAAVPGASASAFAAAATNGGSRPPPPAYYSDPFVPSPRNLLATPTVPSPATFAEFFGSLPDL >KQK99997 pep chromosome:Setaria_italica_v2.0:VII:35700104:35706421:-1 gene:SETIT_012238mg transcript:KQK99997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPRGGEVEGGGRRRIGGGDGDDDEAWRRWAVLVATVWIQALTGTNFDFSAYSSALKSSLGISQEALNYLATASDLGKALGWSSGLALLHMPLHGVLLVSAALGLAAYAAQYCCLVFLNPSSSLAIPYPLVFLVCLIAGCSICWFNTVCFVLCIRSFSASNRPLALSLSISFNGLSAAFYTLFANAFSPTSPSVYLLLNAILPLAASILALPAILLCHTHDSHLQSVPRYDRRVFLGLYILAFITGIYLVVFGSLNTTRAAAWVILTGAMVLLALPLIIPACSSCSYVDTHSIDSAHKPLLVGNHLQNESNAVMEKSMEQQLQGSNCGTILDKGRLVVLGEEHSAKRLIGCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSQLTMLLAVYSSFSFFGRLLSALPDFLHRKVTLARTGWLAAALVPMPMALFLMQKQQDGSTLAVGTALIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLYGQIAALVYDANGQRMRVTDNHTGMIDTMIVCMGVKCYSTTFFVWGCITLLGLASSIVLFIRTKPAYASTASRSTLNPRGKGWPERAEVTARRGGRGGGGGGERTGGSTQGRGGCRIVWFSPIPTKMGARPGPAHPA >KQK97019 pep chromosome:Setaria_italica_v2.0:VII:18304547:18305366:-1 gene:SETIT_012959mg transcript:KQK97019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKSLLFSGLNSLAVTALLSGNFQAVMFSPALVWRETASGAPMSCRADPSPRGKKASHMSCGSSADHHSSAARRRSGARRRPSCRLLPAWQQPVPTMG >KQK97275 pep chromosome:Setaria_italica_v2.0:VII:20192875:20195213:1 gene:SETIT_011146mg transcript:KQK97275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIPKKNRNEICKYLFKEGVLYAKKDYNLAKHPQIDVPNLQVIKLMQSFKSKEYVRETFSWQYYYWYLTNDGIEHLRNYLNLPSEIVPATLKKSARPPGRPFGSGPPGDRPRGPPRFEDRPRFGERDGYRGGPRGAPGDFGGEKGGAPAEFQPSFRGSGGRPGFGRGGGSGFGAGPTSSSME >KQK96145 pep chromosome:Setaria_italica_v2.0:VII:813692:814036:1 gene:SETIT_013023mg transcript:KQK96145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKFLIVCLVVSFTVQKKSSLCIPGGVASLSQNMCLIWFPLWSSLLGNNRSTLLIPSALLLVSFSYCRLLLSACKSDFVASP >KQK96144 pep chromosome:Setaria_italica_v2.0:VII:813660:814928:1 gene:SETIT_013023mg transcript:KQK96144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKFLIVCLVVSFTVQKKSSLCIPGGVASLSQNMCLIWFPLWSSLLGNNRSTLLIPSALLLVSFSYCRLLLSAFIWSISELG >KQK99453 pep chromosome:Setaria_italica_v2.0:VII:32948948:32951932:1 gene:SETIT_009425mg transcript:KQK99453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFLYEIKTQFVIREVAKKATQLSDDTYADKGDTIGHRVPSDFILVFRTICPHCQKQFLFYRRNFLVRCDGCDKTFFTFKLHEETVPLRFLPAAPYNAQVSSELFSCRPIQWLEYTKLRTTGRDMHSRPPMNATQSDELVKWNGGPCDDRQGNCLETKGEAVQFSAVNPINSPAPAVGKETTESLPQEPNFVATQNMREDAPAVSNATGSSNLQWFAKRKQDDGTNSSHNMDCCNNKRQRNFDSVSNAKLSDHKVYSENAAGVNNQSSAHHPSKVCNPEEGDTTHEENQQIYRKDTSDISTQRSAGNSMISFSCPDIFDFENFRDAKRFAVGQIWALYDKRDVMPRFYAQIKHFDASNFKIHLTWLEHVAMDEQEKKWTDKKLPVACGNFRLQETIDTSQDRFMFSHIVAWTKGKKGNLYSIYPNGGEVWALYKGWGMQWSSDAGNHGSFEYEVVEVLSTLSANDDATVIPLVRIKGFHSIPFYRTNGTEKVGVPEGFMELDTACLPADLDAAFSSVALVSYMFLGSMISDIDLTADTTDNDTNDISSEQNTLLQKNAHVANEFGESVQQNCLSSNHYPYPDSDFHDFEEGRSCKKFKHGQIWAIYSNVDKFPNFYAWIRKVDPEPFRVHLTWLEACPQSEQEKRWLEQDKPISCGTFEVRKWRTKYDTTGFFSHLVDARQTGIKWQFEVLPQVGQIWAIYINWAPDWVPSSNDTCEFAVGEIIECTEAGTKLTILTQVGGFRCVFKPNDRKEVLEIPARENLRFSHRIP >KQK98359 pep chromosome:Setaria_italica_v2.0:VII:26530366:26531534:1 gene:SETIT_010534mg transcript:KQK98359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLTKPHLSNPLAPSAPPPNPATSSSHARPLAAPTARRGRLRISATSAAAPAATAAAAALSRVDVLSEALPFIQRFKGKTVVVKYGGAAMKSPELQASVIRDLVLLSCVGLRPVLVHGGGPEINSWLLRVGVEPQFRDGLRVTDALTMEVVEMVLVGKVNKNLVSLINLEGGTAVGLCGKDARLITARPSPNAAALGFVGEVARVDATVLHPIIASGHIPVIATVAADETGQAYNINADTAAGEIAAAVGAEKLLLLTDVPGILADRNDPGSLVKEIDIAGVRQMVADGKVAGGMIPKVECCVRALAQGVHTASIIDGRVPHSLLLEILTDEGTGTMITG >KQK96533 pep chromosome:Setaria_italica_v2.0:VII:11122417:11129783:-1 gene:SETIT_010248mg transcript:KQK96533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLGYAKKLSYREELGTVGMPEIFDPPELVQNKIEELAVMVQKSKHLVVFTGAGISTSSGIPDFRGPKGVWTMQRAGKGVPDASLPFHRAVPSLTHMALVELERAGLLKFVISQNVDSLHLRSGFPREKLSELHGNSFKEICPCCKTEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMNSAKEHCRSADLVLCLGTSLQITPACNMPLMSIKNGGRVAIVNLQATPKDKKASLVIHGLVDKVIAGVMTKLNLRIPPYIRTDLVQLTLRHSLKSELILLFLTTLFLSNF >KQK96532 pep chromosome:Setaria_italica_v2.0:VII:11118990:11129783:-1 gene:SETIT_010248mg transcript:KQK96532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRAGKGVPDASLPFHRAVPSLTHMALVELERAGLLKFVISQNVDSLHLRSGFPREKLSELHGNSFKEICPCCKTEYLRDFEIETIGLKDTPRRCSDKNCGARLKDTVLDWEDALPPEEMNSAKEHCRSADLVLCLGTSLQITPACNMPLMSIKNGGRVAIVNLQATPKDKKASLVIHGLVDKVIAGVMTKLNLRIPPYIRTDLVQLTLRHSLKKKCVRWTLRVTSTHGLRAPLPFIQSIEVSFPERPDMKPVVLKEQPFSLQRETSMNRSFFMMLKLNFSDGCSCLSSSIGWPVDFQKQKDSFVRDRTLVMRELQCAAEHKSCAGQQEILERESLPRAETAIHAIVTDIVTYDMGDDKVLLPRDNGMNSGSSNTAKRNLEGTGCYPAAPKKLKYFLKDEKLNC >KQK97164 pep chromosome:Setaria_italica_v2.0:VII:19342788:19343938:1 gene:SETIT_010450mg transcript:KQK97164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVRALLACAAAATVFLVAAVGAQPMDPNNPIMSDPNVIPVYMSPGSPPTYVSCYNNTHGEQGSEPTCSILARQCPRGCRDTCYVHCPTCKLVCLCELTGTECYDPRFVGGDGNKFLFHGRRDADFCLVSDANLHINAHFIGKRGARGARDFTWVQALGIRFGGHRLYLGVRRTATWDAAVDRLAITFDGAPVPLDAAAGASWSPTAAPALSIFRTGAANGVVVRLDGRFRIVADAVPVTEEDSRVHGYGIRPEDGSLAHLNVAFKFYAISADVHGVLGQTYRPDYVSAGVDAGARVPVMGGAARYQVSDILATDCEVARFAGDDAGLAGPMDIIEEPTDALCGSGKGGAGLVCKK >KQK98040 pep chromosome:Setaria_italica_v2.0:VII:24668581:24672189:-1 gene:SETIT_012313mg transcript:KQK98040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLVLAPAVLHTAHRVATKGWGDLDPAYITMLPALLLRMIHNQIWISLSRYQTARRKNVIVDRSIEFEQVDRERSWDDQIIFNGLEFYLAYAMIPNVRLLPVWRTDGAIITVLLHMGPVEFLYYWFHRALHHHFLYSRYHSHHHASIVTEPITSVIHPFAEHVVYFMLFSIPTLTPIFMGCGSVLGVVLYIAYIDFMNNMGHCNFELVPKWIFKAFPPLKYLMYTPSFHSLHHTQFRTNYSLFMPFYDYMYNTMDKSSDELYEKSLKVTDETPDLVHLTHMTNLQSTYHLRIGIASIASKPSDNPVWYSWMIWPVAWLSMVLAWVYGSSAFVIERLQMKKFKMQTWAIPRYNFQFPFIQYGMSWERESINSLIEKAILDADERGVKVLSLGLLNQAKPLNRSGELFIQKYPKLRVRLVDGSGLATAVVLKSIPLGTKKVFLSGSTSKVAHATAMALCEKGFQVIMNQKKEYDMLKSRLPVGTTVYLKFSNKDIPQIWIGDYIDDKQQQRAPKGTTFVPTSQFPLKKIRKDCTYLSTPAMKIPATMENVHTCENWLPRRVMSAWRIAGILHAVEGWDMHECGDDMMDIEKTWSAAIKHGFVPLTKG >KQK97788 pep chromosome:Setaria_italica_v2.0:VII:23280811:23281225:-1 gene:SETIT_011609mg transcript:KQK97788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACASCFLGQSTSDYCIIAEGSQLIVLSKKHLNLFLMLPRSLKAPYLKVNIAVST >KQK97290 pep chromosome:Setaria_italica_v2.0:VII:20303532:20306298:-1 gene:SETIT_011243mg transcript:KQK97290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLVQFGRKAWFIVRVMSGYEERRIRSYRLQLQKRLEMAQARKEELQKQPEKVILSEVRQVVQQMQALNQHLEEAETAIDEYFKPIDKNAKIITDMQMEKEEKQMKEMAKVMQEQIKMQREIAMKRAEAANLESKDAQVSEKVAEIPPK >KQK99707 pep chromosome:Setaria_italica_v2.0:VII:34301248:34302381:-1 gene:SETIT_011025mg transcript:KQK99707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKVHPNAVAPEPATTTVRRSAEEEAPAVLTVWRKSLLFNCDGFTVFDAKGDLAFRVDCYGSSRRRAEVVLMDVAGKPLLTVRRKRLSLLGEHWVIYDGDAADAAAGKAKPLLSVRRHVSLKASSKTLAHVTPMASAATSAAFVVEGSYGRRACAVRDARGDAVAEVRRKESVGDDVFRLVADPRLGAPLAMGLVIALDEMFAGGRGSARSLLRRTWSA >KQK96887 pep chromosome:Setaria_italica_v2.0:VII:16977671:16978215:1 gene:SETIT_011274mg transcript:KQK96887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVTLSPLPQTLDQRISAALERIGSGRRHRIWKTTREAWRTGPDSTADAAGTSPIGRAAKATATPVRHAAAEPRAPPGELRIRPQAPSGSSFFFQLRTNGLFVLLRFFSRCSISLFIFVYFYIILFITKIAIYSLAS >KQK99157 pep chromosome:Setaria_italica_v2.0:VII:31200679:31207910:-1 gene:SETIT_009427mg transcript:KQK99157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPFSAPAAAAVAAALLLCFFSGCNAQTPVFACDASNATLAAYGFCNRSATPAARAADLVSRLKLAEKVGFLVDKQAALPRLGIPAYEWWSEALHGVSYVGPGTRFSPLVPGATSFPQPILTAASFNVTLFRAIGEVVSNEARAMHNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLTSKYAVGYVTGLQDAGSGAGGDSLKVAACCKHYTAYDVDNWKGVERYTFNAVVSQQDLDDTFQPPFKSCVIDGNVASVMCSYNQVNGIPTCADKDLLSGVIRGDWKLNGYISSDCDSVDVLYNNQHYTKTPEDAAAISIKAGLDLNCGNFLAQHTVAAVQAGKLSESDVDRAITNNFITLMRLGFFDGDPRKLPFGSLGPSDVCTSSNQELAREAARQGIVLLKNSGALPLSAKNIKSMAIIGPNANASFTMIGNYEGTPCKYTTPLQGLGANVATVYQPGCTNVACSGNSLQLDAATKAAASADVTVLVVGADQSIERESLDRTSLLLPGQQPQLVSAVANASSGPCILVIMSGGPFDISFAKSSDKIAAILWVGYPGEAGGAAIADVLFGYHNPSGRLPVTWYPESFTKIPMTDMRMRPDKSTGYPGRTYRFYTGDTVYAFGDGLSYTSFAHHLVSAPTHVAVVLAEGHACLTEHCLSVEAEGGHCDKLAFDVHLRVRNAGEVAGRHTVFLFSSPPAVHNAPAKHLLGFEKVSLEPGQAGVVAFKVDVCRDLSVVDELGNRKVALGSHTLHVGDLKHTINLRV >KQK98764 pep chromosome:Setaria_italica_v2.0:VII:29029289:29031583:1 gene:SETIT_011034mg transcript:KQK98764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAARAVVAARPARPLLPSRRLPSYSSARPPRQSGGGVGSVRCMARRPDSSYSPLRSGQGGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAQVVGSEEEAKKRIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDAENKDYGAELFVNGEIVQRSPERQRRVEPVPQRAQDRPRYSDRTRYVKRRENQSYQR >KQK98954 pep chromosome:Setaria_italica_v2.0:VII:30148559:30152407:-1 gene:SETIT_009261mg transcript:KQK98954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSCKDTCFTTLPLVLAGLLVVALFVAAPAAAAVPDASVSVHLEALLEFKKGVAADPLGTLSNWTVRAGGAGGAFPAHCNWTGVACDGAGHVTSIQLLETGLRGTLTPFLGNISTLQVLDLTSNRFSGAVPPQLGRLGELEQLVLYDNNFTGGIPPELGDLRSLQLLDLGNNTLHGGIPGRLCNCSAMWAFGAVNNNLTGALPDCIGDLSNLRFLVLFNNGLDGDLPPSFAKLTQMETLDLSGNQFSGSIGVLGNFTNLKTLQTFDNRFSGAIPPELGRCKNLTLLNMYSNRFIGAIPSELGELTNLEALRLYDNALSSEIPRSLGRCASLLSLQLSMNQLTGSIPTELGELRSLRDLRLHINRLTGRVPASLTNLANLTYLSFSYNSLSGPLPENIGLLRNLQNLIIQNNSLSGPVPASIANCTLLSNASMSSNEFSGPLPTGLGKLQSLMFLSLGDNKLSGDIPEDLFDCGNLRKLNLAGNSFTGGLSPRVGRLSELGLLQLQRNALSGAILEEIGNLTKLIGLELGENRFAGRVPASISNLSSLQQLDLQQNLLSGALPGGIFELRSLTILSAASNRFAGPIPDAVSNLRSLSYLDLSNNALNGTVPAALGRLDQLLTLDLSHNRLTGGIPGAVIGKMSSLQMYLDLSSNMFMGPIPPEIGGLTMVQAIDLSNNRLSGGVPATLAGCKNLYSLDLSANNLTGALPAGLFPQLDVLTSLNISGNDIDGEIPSNIGALKHIQTLDVSRNAFTGAIPAALANLTSLRSLNLSSNQLEGPVPDAGVFRNLSMSSLQGNPGLCGWKLLAPCHHAGKQGFSRTGLVILVVLLVLAVLLLSLIVVILLLGYRRYKKKKVASNGAASFSENFVVPELRKFTYGELEVATGSFDEGNVIGSSNLSTVYKGILAEPDGKVVAVKRLNLAQFPAKSDRSFLTELTTLSRLRHKNLARVVGYACEPGWIRALVLEYMDTATSTARSTARRAGRAAVDDP >KQK97184 pep chromosome:Setaria_italica_v2.0:VII:19486000:19488142:-1 gene:SETIT_012636mg transcript:KQK97184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFDKARFYGWIHKFGPTMVDEERDVDMEDMLRHIEPEVLLGSAKGVENLETLKNAAKKHIFNDLLTLLSKLLPKPNFMPKNTYEVKKIINPLKMRVQRIHACRNHCILYRGEYAVLEKCPNCDAIRYKSNADFCEDHAGSSIGNKRKKGAKKSVGAQVEDESYIGTDTMTQRRVPALVMWYLPWRTFDANHPEFSHEKKDCQVKGKTACVVCLNDTSYVYFKGSMKIVFMRHRCFLLKMHKYRRMKDFFDGTNENDFAPKLATGKIVFEMCEKVKFKLDKKSLGGADNLKRGRKQAKTTDIADMPFKMISIFFKYLPYCTIGFLGLSGKAKDGLKSRKDLVDLQIRPKLHPQELPNGKQYLPPVSYNLTLDERLAMCKCLRGLKVPTEFSSNIRSLVSLKDMTLAGYNSHGCHVMITVFLAIAIRAIKLVLVKMVITRICYFFNMISHKVIDRVELPKLQLFVLETQAQLFYVISHHG >KQK97028 pep chromosome:Setaria_italica_v2.0:VII:18353032:18360053:-1 gene:SETIT_009304mg transcript:KQK97028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGALVQSAIVPTIYRSNSGRFRVRARARTNATMVRNMPTRTLTLGGFQGLRQTNFLDTRSVVKRDFGSIVASQIARPRGSASRGVVRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGSSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQDQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRISNGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETIQILRGLRERYELHHKLRYTDEALIAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSSDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDTSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFNRLKAKDIDLQVTEKFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSCIVDVDSEGKVIVLNGSSGVAEPLEPALSA >KQK97417 pep chromosome:Setaria_italica_v2.0:VII:21127417:21131963:1 gene:SETIT_009459mg transcript:KQK97417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHEREPLLRQQSGGGGAAAPLPSLARTVLKFLMWAVFLTWAAGIFFLPTKPVQTVFRKWISLTRDSTFGLAGGVFLTFSAPILIIALLAYVYISFFPSDHMEKRKLRSLSFRLWTLPVLVDGPFGVVSAVEFIGIVLFIIYVVYSMTYYAVESVSLIQEFHIPSMTNSELMLHIIGLRLGSVGLFCMLFLFLPVARGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIEWSMQGRLLEEMAEWKEIGVANLPGVISLVAGLLMWVTSLHPVRKRFFELFFYTHQLYIIFIVFLALHVGDYIFSFSAGAVFLFMLDRFLRFWQSRAKVDIISAACRPCGTVELVFSKPASLRYNALSFIFIQVRELSFLQWHPFSVSSSPMDGRYHMSVLIKVLGTWTEKLRSTITGVQEQNRGDSELQCGRITACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGKQCMPKNVLVLWSVKKSKELSLLSAVDAQTITSFVSEKLHLDIQAFVTQESQAPLEDGIVGDEQKAPGMFVKNGTTMSGLVGTGDNFWAAMYFLASTLGFLLAYALVRVYYVKPHNVVAWWYLGLLLMLCMAAGVALPGGLVVLLWHFSEKRRLENDKWDAAASQSPRAAEQTPAGGGDDDAVPGVSLAAMRTTRYGCRPNFEAEFAAFAERAGDAADVGVLVCGPPGLQTSVARECRARNLRRGAAAEKGSSRAVFHFNSHSFDL >KQK97418 pep chromosome:Setaria_italica_v2.0:VII:21128320:21131963:1 gene:SETIT_009459mg transcript:KQK97418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYYAVESVSLIQEFHIPSMTNSELMLHIIGLRLGSVGLFCMLFLFLPVARGSVLLRLIDIPFEHATRYHVWLGHLTMALFTLHGLCYVIEWSMQGRLLEEMAEWKEIGVANLPGVISLVAGLLMWVTSLHPVRKRFFELFFYTHQLYIIFIVFLALHVGDYIFSFSAGAVFLFMLDRFLRFWQSRAKVDIISAACRPCGTVELVFSKPASLRYNALSFIFIQVRELSFLQWHPFSVSSSPMDGRYHMSVLIKVLGTWTEKLRSTITGVQEQNRGDSELQCGRITACVEGPYGHESPYHLMYENLILVAGGIGISPFLAILSDIIHRIEEGKQCMPKNVLVLWSVKKSKELSLLSAVDAQTITSFVSEKLHLDIQAFVTQESQAPLEDGIVGDEQKAPGMFVKNGTTMSGLVGTGDNFWAAMYFLASTLGFLLAYALVRVYYVKPHNVVAWWYLGLLLMLCMAAGVALPGGLVVLLWHFSEKRRLENDKWDAAASQSPRAAEQTPAGGGDDDAVPGVSLAAMRTTRYGCRPNFEAEFAAFAERAGDAADVGVLVCGPPGLQTSVARECRARNLRRGAAAEKGSSRAVFHFNSHSFDL >KQK97466 pep chromosome:Setaria_italica_v2.0:VII:21360933:21363280:1 gene:SETIT_009908mg transcript:KQK97466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLTHVQELDDAAAASAVVFASRYVQEPLPRFELGKKSISKDAAYQIIHDELLLDSSPRLNLASFVTTWMEPECDRLILEGINKNYADMDEYPVTTEIQNRCVNIIARLFNAPVGAGEKAVGVGTVGSSEAIMLAGLAFKRRWQNRRKAAGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGCYVMDPDEAVQMVDENTICVAAILGSTLTGEFEDVKRLNNLLLAKNKRTGWDTPIHVDAASGGFIAPFLYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRNKEDLPEDLIFHINYLGADQPTFTLNFSKGSSQIIAQYYQFLRLGFEGYRNVMENCMESARTLREGLERTGRFTIISKDQGVPLVAFTFKGKDTSLAFRLSSELRRFGWIVPAYTMPANLEHMAVLRVVVREDFGRPLAERFLSHVRMALEELDDEAKGGPVPRMRVTIELGPAAKGSGEEATARVVKRESVVAVQRSVSLAGGKTKGVC >KQK97581 pep chromosome:Setaria_italica_v2.0:VII:22021974:22023053:-1 gene:SETIT_012620mg transcript:KQK97581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEIAPWAIKAIDTLLHRHGLRDRDECAMCAQEVETMDHLLTSCVHIREAWFRILRFFGLQCLLPQVEAPFAEWWIQERKRVAKTQRKDFDSLVWLVAWSFWKEWDIQMHERTTLQPVALTSVVLWKRGLEVGLAS >KQK97247 pep chromosome:Setaria_italica_v2.0:VII:19957721:19960010:1 gene:SETIT_011694mg transcript:KQK97247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGGGGVVGGAKPAVAMVAVEFVFSALQIFIKLALDDGMDVRVLVAYRLMFATAFLCPLAFFIERITINQNLLVLAMKLTNSTTIVTALSNLTPQSTFIVAVLTRSHSHHDSILQYRQEIVKLGKASGRAKLAGTLVGLGGAMVVTFYRGPEMVFMHRLARVAGLQHGDRHSLSRGPTATPSAAARVVGSFLAIASCFSYAAWLSIQARVGEAFPCHYSIAALVCLAGAAQSALLALCFHRDAAHWRLGLDVRLYSSAYAGVVASGLAFPLMSWCLRERGPLYVAMFGPLIIVFVAVLSSIFLDETLHLGIALGAVLIVAGLYMVLWGKAREAQEKAAGVQPEDEELGKESTAAPADAANGETK >KQK96650 pep chromosome:Setaria_italica_v2.0:VII:13407336:13407918:1 gene:SETIT_013020mg transcript:KQK96650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTLVAVTVSLPMQGMREEDEFLPPSPVLKILGMEIFIYVE >KQK99541 pep chromosome:Setaria_italica_v2.0:VII:33416149:33421783:-1 gene:SETIT_009520mg transcript:KQK99541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYFVGFLVPVAVSLLLHKRRKAERKRGVQVEVGGEPGYAVRNYRFEQPVETHWEGVSTLAELFERSCKEYVYMPLLGTRKLISRETESAPGGRSFEKLHLGEYEWKCYAEAFKSVCNFSSGLIRLGHQKNERVAIFAETRAEWQVALQACFRQNITVVTIYASLGEEALCHSLNETEVTTVICGQKELKKLIDISGQLDTVTRVVYINEEGISDEVSLARNSTSWIIESFDEVGRLGTEAPVEANMPLPSDVAVIMYTSGSTGLPKGVMMTHCNVLATLSAVMTIVPVLGSKDIYLAYLPLAHILELAAEALMAAVGASIGYGSPLTLTDTSSKIKKGTLGDASALKPTLMTAVPAILDRVRDGVRKKVDGTGGAAKKLFDIGYNRRLAAINGSWLGAWGLEKLLWDTLVFGKVRAILGGKIRFVLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEYDDTSVGRVGAPLPCSYIKLIDWPEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDEKGMRWFYSGDIGRFHPDGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSPYVENIMVHADPFHNYCVALVVAAHNELENWASQQGIKYSDFSDLCQKQEAVKEVLGSIAKAGKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREVIRKTYENDLAQLYA >KQK97609 pep chromosome:Setaria_italica_v2.0:VII:22143324:22147750:1 gene:SETIT_010131mg transcript:KQK97609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQKGVTAAAEDGPEGRDCRRSRRRRRKRKGQPPSTLALCSYRAMALHRRFLVSRVTRRVAGGGIVTSAFSCRHLQRFAPEAKGLPERGGSCSTPRVHTRRRDAKREPNPTHSPRPRSPTSSEMSSASNGGKKPASGGGRGGPTIRTLADINRGPAGFPGAGGSGSDSDEPQEYYTGGEKSGMLVQDPTRRNDSDAIFEQARQTGAVQAPPPFLDSQSSSSRSFTGTGRLLSGETTPSAAPAPQEPVHILHVIHLWNNGFSVDDGPLRAYDDPENADFIESLKMSRCPQELEPADRTTPVHVNVMKRLEDYREPIRPRSAFQGVGRTLGGGPSTDETSAPAPAAPTSAPPAASRSTSFVVDDSQPFTSIQLRLADGTRMVARFNMHHTVGDIRSFIDASRPGAARTYQLQTGFPPKQLADPTQTVEQAGLANSVIMQKM >KQK98462 pep chromosome:Setaria_italica_v2.0:VII:27147974:27151877:-1 gene:SETIT_009696mg transcript:KQK98462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSRRRRQHHHHGRWVVPAVAPAVAAFAAAGLLLVVVAFHCFLSPPLGDGGKGSGARVVRRPNPPFLLNKPAEVARSVIGAVDFAVPSGGSKLGEELWESKAAGNFFGCSNATKKFADAKAVTKSDRYLMIATSGGLNQQRTGIIDAVVAARILNATLVIPKLDEESFWKDASDFAEIFDVDSFISSLSNDVKVIRQLPDRNGKTRSPYKMRIPRKCTPKCYENRVLPALLKKHVVQLTKFDYRVSNRLETDLQKLRCRVNYHALQFTDPILKMGELLVRRMRARSGRFIALHLRFEPDMLAFSGCYYGGGDIERRELGEIRKRWKTLHASNPDRERRHGKCPLTPEEVGLMLRALGFGKDVHVYVASGDVYGGEETLAPLKALFPNFHSKETLASKEELAPFLPYSSRMAALDFIVCDRSDVFVTNNNGNMARMLAGRRRYFGHRRTIRPNAKKLYSLFLNRTSMSWDRFASKVQTFQKGFMGEPNEIKPGRGEFHEHPMDCICAKSKGKTGQSRPHQSKRAGEAVENLSSDGDLDWRDLDYVEHTPLGKDTSNESESDDIRVGGSDIPELEDMMSD >KQK98769 pep chromosome:Setaria_italica_v2.0:VII:29082616:29085665:-1 gene:SETIT_010820mg transcript:KQK98769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAGGGGAGAGGRDERVPQWGAQETRELIAARGEVEREADAARRSAKTMWEAVAARLRERGYRRTADQCKCKWKNLVNRYKGKETSDPENGRQCPFFEELHAVFTERARNMQRQLLESESGASVKRKLKRPSGDRSSGESGDDDDGGEESEDEKPIHSRKRKADDKKQQSQRMLEKSRTGVSNIHELLQDFLAQQQRIDIQWQEMMEKRANERVVFEQEWRQSMQKLEHERLMLEHSWIQREEQRRMREEARADKRDALLTTLLNKLLQEDL >KQK99288 pep chromosome:Setaria_italica_v2.0:VII:32153359:32153676:1 gene:SETIT_012178mg transcript:KQK99288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKDGSFAWLEYIDYINFRRSNPEMTDPEVIDEMLRRRYAVADQEMKIVEHQEDVRVDEAAMKARFEDWMKEYDKTYKNEEEKARRYEIFKQNAINADKANASVPH >KQK96368 pep chromosome:Setaria_italica_v2.0:VII:4469100:4472890:-1 gene:SETIT_012263mg transcript:KQK96368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWRMIYGDWESGYEQLPVLFNAIKAVNPGMHYEYIPKPNAWKEDGRQIFGRAFWCFPQSVEAFRHCRPVFSIDGTFLIGKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHVVGPGREVGVISDRHQGILNAVQEQIEVAARQLEDYYFQRKLEQVRTATNAEGRHWLAGLMRDVDKWTRAHDAGGWRYEFQCSNMAESFNKLLVAWFNERHAKAEALQVAGERWAEKPKRHLIIANERASTHEVQCFDLGSGTYQVEHRGGTTSDGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAARHRNFDIESMIPHEFSVDTLVRTWSPRFVPFRDPREWPPYNGPKYVADPAYRWNKRGTRKRTRHNMTMDQVSGRTRRGRATPFLADPEQNECGKCGRLGHNSRTCRWQISEVLPLLRSRAHDGFLALQYDDRYTPLLQMAGLDVISYQVRRGMPRFNSAAITALVDRWRPETHSFHLPFGEMTVTLQDCQKMLGLSIRGQPVTGPCVSDGWRARLWMWSRLPVGRPEIMPRRPWFPGEPPRRQPTWAYIWDQVKVSHTRLDRAYLDYINEIDALTAHSVNWQPYDGDDPLPFPLSFVCVQDDDIYRMVCPLICFYAVEYHLPHRVARQFGMRQIWPPQATSTSIELHNVDRKKKRKVSDWPAFHHAYIVEWEQYEQNLDENNEPHTNAAYRHYQSWYQGATRHRLREAWTQDDYAEIQSSDDEDTVYDQSTRAGRQVEAGPILDRMRLSNRLRRAAARCGCRTATTRDVHVPSLREGGVGTSSQGPSGSKSIASDEDDDDDDDDDDGDDEQRAEEIGPSQLQEAPLTQPTQAVGGTRLRRPRSPYTPGTDALGHKGKGKTRRQ >KQK98969 pep chromosome:Setaria_italica_v2.0:VII:30265114:30268400:1 gene:SETIT_009808mg transcript:KQK98969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYERIHQKAQAGALSPTKLRMKIMGAHNRVRVITSNSSSRTSPAKNIEASQAQNRLLVCDVLEEVSDNSDGTKRASAINKAEAVEKDSALDVNKVQSTSKSSVPQPATSNASMIHPVRPVEEDSTECDSGLDNASTSSFEFHGGEKTSTQNPGVGYFSRQASSKWNDAEKWIVNRQNVNQNIPKGTSQNQTVHQMNSAAARGVIVPKISGRPVQKMKRVNPTLSAPRSILERLSFASYQPKLVRHADVCPVSSSSATPEYHKATDTGSSIEVKPCNDPKAIPTVQSVSLRDVGTEMTPIPSQEPSRTGTPLGSMTPTRSPNCSIPSTPVGGRSVASPGEENTDDGPYFNRKGVTHGNELSDTEMRLKTRQEIAALGIQLGKMNIATWASKEELELVSAAPSIADLERMKKEYAARAASYEEAENTKHTARFKKEEVKIEAWESRQRAKIESEMRKIEEHAERMRSEAMAKMAEKLEMTHRIAEVKRASANAKMNQQAAIAVQKAEKIRQTGRVPRSNILCCSGCFCEP >KQK96740 pep chromosome:Setaria_italica_v2.0:VII:14787043:14788966:1 gene:SETIT_010483mg transcript:KQK96740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPVAVPRMKLGSQGLEVSAQGLGCMGMTAYYGPPKPETDMIALIRHAVAAGVTLLDTADSYGPHSNEILLGKALQGGLREKVDLATKFGVSFADGDREPDFRGDPAYVRAACEGSLRRLGVSCIDLYYQHRIDTRVPIEVTIGELKKLVEEGKIKYIGLSEASAATIRRAHAVHPITAVQLEWSLWSRDVEEDIIPTCRELGIGIVAYSPLGRGFFSSGAKLIDSLSEQDIRKNMPRFQAENLDKNAQVFERVNEMARRKGCTPSQLALAWVHHQGSDVCPIPGTTKILNFNHNVRALSLKLTPDDMSELESYAAANNVQGDRHSHIAYTWKNSETPPLSSWKAE >KQK99960 pep chromosome:Setaria_italica_v2.0:VII:35569896:35571671:1 gene:SETIT_011197mg transcript:KQK99960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHMHARMQFDKFDHERGIAPATGGDWDGMDGAKPPAAAVGKQGLEEALLQIVQKQHHQSLRQRQQTERAKKDALRSAVHVADLLVDTVDGGVQELFVNEKRIELEARALLTTIARHRKQTDQWLAATNEINSVLKEIGDFENWMKVMDFDCKSINAAIRNIHQS >KQK97742 pep chromosome:Setaria_italica_v2.0:VII:23012879:23014818:-1 gene:SETIT_009845mg transcript:KQK97742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLQAHGDLFARIFLVLVTAVTILYFTRLLLAPLKRRAASSRSPSLPCPRGLPLIGNLHQLGAVPHDSLAALAARHAAPLMLLRLGSVPTLVVSTADALRAAFQPNDRAMSGRPALAAATRITYGLQDIVFSPPDGAFWRAARRASLSELLSAPRVRGFRGVREGEAAALVAAVADASGNGSPVNLSERLMATSNMILRRVAFGDEGTGEGSIEAGAVLDETQKLLGAFFVADYMPWLGWLDTLRGLRRRLERNFHELDAFYEKVIDDHLNKRAGSKGEDLVDVLLRLHGDPAYKSTFNSRNQIKGILTDMFIAGTDTTAATVEWTMTELVRHPDILAKAQKEVRGAVADGGGDIVRESDLPRLKYLKQVIRESMRVHPPVPLLVPRETIEPCTVYGCEIPAGTRVLVNARAIGQDPDAWGPDAARFAPERHEEVADLGDHKPWHDSFSLVPFGVGRRSCPGVHFATSAVELLLANLLFCFDWRAPDGQAVDLEQETGLTVHRKNPLVLLAERRRCVR >KQK98266 pep chromosome:Setaria_italica_v2.0:VII:25938342:25938813:1 gene:SETIT_011594mg transcript:KQK98266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILYKRQHTHMSTSPGVQVTEKLKKRNGSRTRRRRVRIDTDMRLLRDTFQILRRKH >KQK99799 pep chromosome:Setaria_italica_v2.0:VII:34804694:34807291:-1 gene:SETIT_010727mg transcript:KQK99799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLVRERLYFGDIKDAIAALTDSSSTPTFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVAGEEGAPPTAAVPPGTLMRVEENLLDHLEPCLDFIDDGRKVGNVLVHCFAGVSMRSEQKPLEEALESLKEISELACPNDGFLEQLKLFGEMGFKVDTSSPLYKRFRLKLLDPSKTEQHKTAYRCRKCRRIIAVEDNVISHVPGEGESCFDWNRRKSGHSYSNKEQDCSSLFIEPLKWMTPVKEGALEGKLSCIHCGARLGYYPNWSGIQCNCGSWVTPAFQIVKSKVDISTI >KQK98368 pep chromosome:Setaria_italica_v2.0:VII:26579331:26581932:1 gene:SETIT_010262mg transcript:KQK98368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGNGGGGGSGSGSAAPPWDLGVHWAPAASPYPPQPPFVPRPSGGATSHYHQQELTCLKLGKRPCCWAGAAGGSQVSLQGGAGLVHGNGSAAGAGGASGAAAAEGRRKEKQAAAGASGAAAVARCQVEGCHMELAGAKEYHRRHKVCEAHSKAPRVVVLGAEQRFCQQCSRFHAISEFDDAKRSCRRRLAGHNERRRKSNASEAMARSAAHPHGMAPFGHGFLPPPCGLPASPAGALSLLSSARGAPWLVPAAPDISARSSAALDELIAENRAALLAWQFFSSSDRPAPGRHLAPPSSSVGRALTPAEQAAGWHHPHDGAGAGGGGRYYHEAAASSGHTTLDLMQTAAAATTVASAGAPPFRPVPERAAAARPPRAKDGDAAGCSSDAWAPAGGGGGARAL >KQK97163 pep chromosome:Setaria_italica_v2.0:VII:19337502:19341389:1 gene:SETIT_011153mg transcript:KQK97163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKQETMEETILVGDDLMRGPPSPVIPKDIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERMQDSEYKLGFSMPLEGAKERATQLQSEVTQLERRMILASGLEGMEGFRQRWSLHGQLEDTRKRLEALNRGIGKRENQSSTGEGAKSSPAGKRWFFW >KQK97162 pep chromosome:Setaria_italica_v2.0:VII:19337502:19341709:1 gene:SETIT_011153mg transcript:KQK97162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVDKQETMEETILVGDDLMRGPPSPVIPKDIASHVLEGVELCDGILRNLFLCLQINDIEPFCQDEIVLYRQCAEKRDKEIRERMQDSEYKLGFSMPLEGAKERATQLQSEVTQLERRMILASGLEGMEGFRQRWSLHGQLEDTRKRLEALNRGIGKRENQSSTGEGAKSSPAGKRWFFW >KQK99613 pep chromosome:Setaria_italica_v2.0:VII:33804984:33806607:1 gene:SETIT_010942mg transcript:KQK99613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWPRPPEEQRAAHVARCGGEEADAAASQQAPPPPALSTMCCSGDNCCLLKCCGKLLLFLLSAGLFVLIYWAIFQPHQIRATVGSATLSNLTVSSAGEVSYKFAVSLSLYNPSVRVGIYYDTIDAELRFGDAVLGPAANGTSPPEFYQRRKTSDDVRLEFDYGRPGVTVGSDVAGELEKEMKSGGTVSLELDVDLRVRYKFRIFKLRQKPRIWCSLSIPVKAEGPGPGVGGAVAPGDRCRVKY >KQK99646 pep chromosome:Setaria_italica_v2.0:VII:34028369:34036572:-1 gene:SETIT_010876mg transcript:KQK99646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLHYNIAIVTIESPGSRINLPTVELSDLPECYSLQPRPVVALGRDMYSKGFQMRCGELVRRNSELDCTELLTCTCDVSGNFIGGPVMDSERRFLGITFRYEETTPFLPVEIAARCLKYYKKSKTLPRVRIRGQALHMLSMNSLEHLCCKYAKPPHGILVQEICEISAEKCGGVVVGDIISELDGITLYSAAQFTAMLLDKMEVASNPQNTLTLQAVVRRPTDQTIFVAKLNVQDIASDECEGSFQNKSYGFHEQL >KQK99645 pep chromosome:Setaria_italica_v2.0:VII:34030363:34036326:-1 gene:SETIT_010876mg transcript:KQK99645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLHYNIAIVTIESPGSRINLPTVELSDLPECYSLQPRPVVALGRDMYSKGFQMRCGELVRRNSELDCTELLTCTCDVSGNFIGGPVMDSERRFLGITFRYEETTPFLPVEIAARCLKYYKKSKTLPRVRIRGQALHMLSMNSLEHLCCKYAKPPHGILVQEICEISAEKCGGVVVGDIISELDGITLYSAAQFTAMLLDKMEVASNPQNTLTLQAVVRRPTDQTIFVAKLNVQDIASDECEGSFQNKSVFKKHL >KQK99647 pep chromosome:Setaria_italica_v2.0:VII:34030273:34036326:-1 gene:SETIT_010876mg transcript:KQK99647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNLHYNIAIVTIESPGSRINLPTVELSDLPECYSLQPRPVVALGRDMYSKGFQMRCGELVRRNSELDCTELLTCTCDVSGNFIGGPVMDSERRFLGITFRYEETTPFLPVEIAARCLKYYKKSKTLPRVRIRGQALHMLSMNSLEHLCCKYAKPPHGILVQEICEISAEKCGGVVVGDIISELDGITLYSAAQFTAMLLDKMEVASNPQNTLTLQAVVRRPTDQTIFVAKLNVQDIASDECEGSFQNKWIWWKELWFP >KQK99384 pep chromosome:Setaria_italica_v2.0:VII:32683068:32683304:1 gene:SETIT_011928mg transcript:KQK99384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSGPSAAGGAAAAKDSWPELVGLSSEEAKKKIKEDKPDADVQVVPADAFVTMDYNTGRVRVFVDSNDKVAKAPRIG >KQK97838 pep chromosome:Setaria_italica_v2.0:VII:23514854:23515339:-1 gene:SETIT_012443mg transcript:KQK97838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRILSAPAPGPTVGEVNAKSLVPRAAMWVVAAFLPCFSICGAAAICYCLSYDEYVFSESVRNSVRSDPWRLAAVMMWGIYMAVLSAVMMYMHLFLPSAPFAVRKALVDVGATWIGLPLSWVAPLVACFGYNWMAVALVCVFLALIAALLALGAWLSRTYNN >KQK96551 pep chromosome:Setaria_italica_v2.0:VII:11877469:11884537:-1 gene:SETIT_009764mg transcript:KQK96551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNSVSERSFLIESDDEDAAAAVEDGKRRGHGGEESGDDDVSGSDSSSPCDSPRVVAARCSQPSSYTQQWPQSYRQSIDMYSSVHSPNLSFLGTPSLSRLSNSFLTNSFRGKPPEIISSLIKPLLPTSTAPTSDEHQQQQQEDVRKSSHDLPPSRKASSLQRIPEDHRPIVGGHEVGPYRQCSYIQGVMNGVNVLCGVGILSTPYAVKQGGWLGLVILAVLGALAWYTGILLRRCLDSKEGLETYPDIGHAAFGTAGRIIISACCIEYLILESDNLSKLFPNAHLTIGSLTLDSHVLFAILTALIVMPTTWLRDLSCLSFVSAGGVIASIVIVSCLFWVGLVDHVGPVKSEGTALNLPGIPIAIGLYGYCYSGHGVFPNIYSSLKKRNQFPAVLFTCIALSTVLFAGAAIMGYIMFGESTESQFTLNLPPNLVASKIAVWTTELLPPNQQTYPNIVMLRSALVVSSLIVALSVPFFGLVMSLVGSFLTMFVAYILPCACFLAILRNKVSWYQVVLCVFIIAVGLCCAGVGTYSSLSKIIQQYH >KQK99914 pep chromosome:Setaria_italica_v2.0:VII:35351242:35352837:1 gene:SETIT_012831mg transcript:KQK99914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTEYRVFSQPLKFCSQKWPDRHSWTKYFRNMVQPSRHGKKVPCILADAPLRK >KQK96888 pep chromosome:Setaria_italica_v2.0:VII:16991120:16992183:-1 gene:SETIT_011775mg transcript:KQK96888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RFLAVGFLLSTLLVNSRNLFDHMKKVWKLCGEMDKSPVKAEVGKKFILEFLVEGDWKHIIMGGPWQYKGDAFLVEGITSGIDPSAALFTDVLMWKLAHDLGESLGTTMMIDSSARGPINNKFLRTRVQLPLFTALQKEIVLVDDITGEEFVVQVRYERLPNFCLFCGYIRHVEDICDLPAGERKINFSLDLHVHPVHFDDPRCWNLPDCMGQPHQSSSSMLWRAPKPTTLGLPDPLVKLK >KQK98277 pep chromosome:Setaria_italica_v2.0:VII:26010751:26011455:-1 gene:SETIT_0099461mg transcript:KQK98277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAWLCGLLSLLAVAAAASVDGAEEEWEPLIRMPTEKGGNAAAAAPAAEEDEVGTRWAVLVAGSSGYGNYRHQ >KQK96380 pep chromosome:Setaria_italica_v2.0:VII:4858754:4863226:-1 gene:SETIT_012351mg transcript:KQK96380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLPEDVLGEVLRRIPPHSLAVCRCVCSAWRAAVDIRRLLLPHVLPHSVLDHCNGLILFGYDHRRNLYVCNPATRRLPLLDNKYQVIKTPPTTNIEESKHINPYLGRSENGVHYATVKKRELQVWALDESGGHKEWELKYHVNLDVLTCQIQSLRCYLEQLNRPWTISDSDDEDEMGGLEADSDDEDVEEDQPVKNGSIRPNGYYGSTTSLYESFIYTPCLVDSLTENDH >KQK98606 pep chromosome:Setaria_italica_v2.0:VII:28219119:28223894:-1 gene:SETIT_012691mg transcript:KQK98606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDGERSGKKRRRDEEEGFEDAARYWARVKKNDATFALSYLERQVFSWSVKDIFNRDLLRHKIKRIPDTFTSFWSYLDSFTWPLIEEVHTYISSSLDGYAQANFVEVTHLLTVNSRKSILGFQVAEPVKDENSRETYVPAERDIILVSSQKPRHISDLTRNKASFVLGSVRKRGKGNGFRPDWCIVQLSSTIPIEADPYTDIPEGPLFLVFLINMKTYNRIWRCLLLGKNDANLDELQNKKSCGPVNKVAIQAKVVLGKTFKHHLKDDYIKLSGNLQSRIAVLYNDHPRNLETGRSFQCMLEVLELIQILHALLSAGDGGDIWSNELLESTIEENTDPVLWPSQLACIRINSCNKSKFVAARSLCVQELRYLCKNLDLPHCYSTQDVQLYLLSRTRCIICTVCSSFRLYDVPMRNSSTGVCGLLKKSENMIPLELLIIDEAAQLKECETLIPLQLPGIRHAVFIGDEYQLPALVKSKISDAANFGRSVFERLSSLGYSKHLLNIQYRMHSEISKFPVGTFYDGKISDGPNVSHKYYNKRFLAGKLFRPYSFINVDGHETCEKHGLSLKNSIEVAAIVLIVRRLFKETVSTGSKLSVGVVPPYNAQVRAIQEKVEKAYSRSDGFLVKVKSVDGFQGAEEDIIIISTVRSNGAGSVGFLSNLQRTNVALTRANNSVWQKIVKDSWDRGCFFNVSDDKELPNAIFEPTEVDDTDGTSNDHEYNLSHLV >KQK99130 pep chromosome:Setaria_italica_v2.0:VII:31101869:31105094:1 gene:SETIT_010177mg transcript:KQK99130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSASAVQFAAVPVRMGVYERPAPAPVMGMWNSDPFKVDSGQATSGSTVMEADKKFDNRLEDVPQVALEPARSTDQETSRPPERVLRRLAQNREAARKSRLRKKAYIQQLETSRMKLAQLEQELQRARQQGAYANGNMGDSTLGFTGPMDPGVAGFEIDYSNWVEEQNRHTAELRSALQGQPSELELRTLVETGLNNYEHLFRIKALAANADVFYVMSGMWKTPAERFFLWIGGFRPSEVLKILRPQLEPLTEPQLMAVGGLQHTSTQAEDALSQGMEKLQQNLAETLTAAADPFGLPDGYMLQMATAVEKLKELVGFVTQADHLRQTTMQQMHKILTTRQAARGLLALGDYFQRLRALSHLWATRREAAIS >KQK99129 pep chromosome:Setaria_italica_v2.0:VII:31096734:31105094:1 gene:SETIT_010177mg transcript:KQK99129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMELYHGYLEDHFNLHKLSIGSAASPPEFMTSASAVQFAAVPVRMGVYERPAPAPVMGMWNSDPFKVDSGQATSGSTVMEADKKFDNRLEDVPQVALEPARSTDQETSRPPERVLRRLAQNREAARKSRLRKKAYIQQLETSRMKLAQLEQELQRARQQGAYANGNMGDSTLGFTGPMDPGVAGFEIDYSNWVEEQNRHTAELRSALQGQPSELELRTLVETGLNNYEHLFRIKALAANADVFYVMSGMWKTPAERFFLWIGGFRPSEVLKILRPQLEPLTEPQLMAVGGLQHTSTQAEDALSQGMEKLQQNLAETLTAAADPFGLPDGYMLQMATAVEKLKELVGFVTQVTNRPGRQAPSASGRSPASHTLPILQADHLRQTTMQQMHKILTTRQAARGLLALGDYFQRLRALSHLWATRREAAIS >KQK98335 pep chromosome:Setaria_italica_v2.0:VII:26350832:26350968:1 gene:SETIT_011648mg transcript:KQK98335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AEWLPVTPSSGSWEQYWEALLGGLPPLSPLSPIPTLGFPQLPVN >KQK96762 pep chromosome:Setaria_italica_v2.0:VII:15281154:15282959:-1 gene:SETIT_012721mg transcript:KQK96762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLLHSQRIPDELAKGIGTGEARVVGPSGGKVKVWRVEAGRDGDGAFLGRGWPEIAAAFSVGDRWFLVLQHHGGGILTLKAFDASGCIRGLSTSTYCKDVSHRPQFVSVLPHDFMEKMLIPAKFVEHYIPEEHKNSRMAMVIGSLGKICRVELEMNESNLFLRANALLLKYEGNMVFTVRVFGPDGFQRDPSLLFSHQASTLPHTAKQQEAPSESIRNHKSKKDWPSREGQKKPKGSTVSMNEASKRIAVYEIGPPSWIKKEINTCTLRKKLSLSKSFCDAIGLLEPCTITLKTSMNSTES >KQK99837 pep chromosome:Setaria_italica_v2.0:VII:34991046:34992815:-1 gene:SETIT_009933mg transcript:KQK99837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIFPEDTVSSATSSPASSLYSPSPHGYGSWVQELSHDQQGVRLIGLLYQCAAEVAAGAFDRANHCLEQITQLASLDAPHTLQRLAAVFADALARKLLNLVPGLSRALLSTANSGEAHLIPGARRHLFDMLPFMKLAYLTTNHAILEAMEGEKYVHVVDLSGPAANPVQWIALFHAFRGRRGGPPHLRVTAVHDGKEFLANMAGVLAREAEAFDIPFQFSSVEARLDDLDPDALRQLLRVRSGEALAVSVVAQLHRLLAADDAASRRHVPGSSCLTPVQIMARSSPSSFGELLERELNTRLQLSPDASSVVSSLSPQSPVVQAAQQRPAKLGRFLQAVRALCPKIMVVAEPEANHNAASFLERFEEALNYYASLFDCLERASAAHHRQHASERAWVERLVLGEEVRGVVAREGAERKERHERLAQWGRRMEAAGMERVGMSYGGMMEARKLLQSLGWGGSYEVVHDARGDAFFFCWHRKPLYSVSAWRPAASRHHG >KQK99974 pep chromosome:Setaria_italica_v2.0:VII:35612718:35621608:1 gene:SETIT_009187mg transcript:KQK99974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVHSSSSPSPSPAAPPAPLPNHHHQHNNHVTDDLPVANGPEPRNGLEPAEVEKPEPVAYLPQVVVLCEQRHEALDEAAAAAAGPSTTGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRRGQEACINAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEARLLGLQSNAPEIVAYLLSETQPEVRASAVFALGNLLDMGSTSLNGVDDDSDDDEKLKAETIVVRSLLQVSSDCSPLVRSEVAIALTRFALGHNKYLKSVAAEYWKPQTNSLLKSLPSLANISSPNNAYSPNNIRQGSSGLGSHIGPVLRVGSDSSATGRDARISTSSPIATSSIMHGSPQSDDSSQHSDSGILLKENASNGGLSYNRSRPVDSGIYSQFISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGTHQGETSAPPSNFGMARSSSWFDMNSGNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKPHPMNSPEGLAGPLLSSVAAPSNAELSILPQSTIYNWSCGHFSRPLLTGSDDNEEANARREEREQIALGCIAKCQRSSCKMTSQIASWDTRFETGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSERGLSKLLLINELDESLLLAASSDGNVRIWKNFTQSGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGYLYASGDMSSILIWDLDKEQLLSTIQSSGDGAISALSASQVRSGHFAAGFADGSVRIFDVRSPDRLIYTARPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHPYKSLLAAGAGDNALVSIYAEENYK >KQK97819 pep chromosome:Setaria_italica_v2.0:VII:23425651:23428030:-1 gene:SETIT_009774mg transcript:KQK97819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEQRHKPRPYHPSPWGDFFLGHQPCTPAELLAMKEEARTKEEELRRAVLAAAASVDLAAKLELVDALQRIGVDYRFGEEINGLLRAVVHDADEDDDGGDLYLTSLRFYLLRKNGFNVTSDVFAKFRDEEGNFAAGDDVKCLLMLFDAAHLRVRREEVLDSAIAFARSRLESLMKSLEPEVAEEVRYTLETPSFRRVERVEARRFISVYEKKAARDETMLEFAKLDYNILQTIYCEELKALTMWWKNFQSVTDLGFARDRLAEVHFWMTGVFYEPYYSYSRIMLTKLVMLASLFDDFYDNYSTTEESNVFTAALERWDEQATDQLPEHLREFYLNTLSSTNGIEEDLKFQNNKHAELVKELVIDLAKNYRAEVKWRDEHYVPTKVEEHLQLSVPSSGCMQIASFALISMGDVATSEAIRWTRTYPKIVRGLCIIGRVMNDIVSHEREQASDHVASTVQTCMKEYGFTVSQANKKIREIVEEAWMDMVQECLEQKHPTAVLEKVVNIARTMDFVYKREDAYTLPHILKDVMTSLYVNFV >KQK97739 pep chromosome:Setaria_italica_v2.0:VII:22987365:22990718:1 gene:SETIT_010763mg transcript:KQK97739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSKAPAAVAAAEAAADEMGVSSPQGSAHGSEGSGEKEGAFLLGQPTWEDAGGGRWRCAETGHELPEREKEAYARSRACRLALIDHAVARKKPPLNAFKPHPEHKSKLVCNITGDTINKSEEHIWKHINGKRFLNKLEKLEEQMVSGEKANEETAKSNEVAKKGKSSKKDKKGKKKTNVASASLPREPKPEMDDSDDPEFWMPPVGSRWDDDDGKDRWESSPGKNEGGSDDGGGGDNDDDNSEDMADKDDAESRVLASRTKRMSLEAVGPSSFASRKKKPKKEQ >KQK97738 pep chromosome:Setaria_italica_v2.0:VII:22987365:22990718:1 gene:SETIT_010763mg transcript:KQK97738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKSKAPAAVAAAEAAADEMGVSSPQGSAHGSEGSGEKEGAFLLGQPTWEDAGGGRWRCAETGHELPEREKEAYARSRACRLALIDHAVARKKPPLNAFKPHPEHKSKLVCNITGDTINKSEEHIWKHINGKRFLNKLEKLEEQMVSGEKANEETAKSNEVAKKGKSSKKDKKGKKKTNVASASLPREPKPEMDDSDDPEFWMPPVGSRWDDDDGKDRWESSPGKNEGGSDDGGGGDNDDDNSEDMADKDDAESRVLASSRTKRMSLEAVGPSSFASRKKKPKKEQ >KQK97073 pep chromosome:Setaria_italica_v2.0:VII:18724032:18727458:-1 gene:SETIT_009270mg transcript:KQK97073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALVLGTRLSINDKLAIKVAEQLGLLNQEYDMLKAEGDELWYYTYGYQDATDSLQKNVKSTVYQIVKKLLEKKYLLVINNLNEPIKPINLSAFTEDLSCLPPPQWEGSFWIVSGTSKDVYDSSKPDYDCVVDSFSGDDILMLTIYSLHQTAKYIFGVTGHKDEQYWHHVAVRCFHYILMLLIPHCSYAHRDGDQQSCDALADITSDELIRQWAIQGLITGVLERTKEVTAADCQDKYNNIYQVGNVILEAFREYSLVQLPFSPATKVVEATKSVAHFLACYNLVAECHTTEEVFLCEGNHPGLERMRWISHLGDQGWHVSREWLRQGANGPTTLIIRHCPQQSRLFLKLQSNHFLAKLSCLHVLDLSYTPLESLPPSICCLQKLQLLSLRGCYNLRSPFSFPDTEITLRENNNNKKLSSLYYFDLSYSNISNFQGDFFHNMPNLKELLLVKCSNLEEMPPSIVALSSLTTLELTRSQIKSFPREMFEEMKLQSLKLTENKKLLFVPGLVSKLCGLINIHIEGCESMTEVEVTLERHPTLRSFTFIGAPHMRRLSLRGCKMLEHVDIKEVDALEELDLSSTAIRELPEDIPNLPQLRRLLLMGVPFLRRFPWHKLRRLPGVFCLDQCSDKTGNHSNPQDAQVCVSDSRFFYSLDFSTLGLVRGGSLLKSFYVRVTSCKATIREIHDAEDMVKTNRLQVALTAYADVNHHYVTDGVVFMVSMDDVPPFREAERHVEVSAVDRYPRGLYYLIQVTKSISMSDDTHISCLDDLGYLDNELEECKLQRCHQMQKVFSYSVRTLRHAFVSHLNSLTRFYSGSAGFGTLKHLHLEYCPRLEGVVSRPSVLPSLVTLDICFCYNLKAIFYDKNYDPLNYYELPRLRRIRLQELPLLEHLHVDNPILTAPAWEELHVRGCWSLRRLPRLDQQPDKAVKVSGERAWWTKLWWDDVPSHRGSYEARLPPASASIRERVVIRTYLR >KQK98609 pep chromosome:Setaria_italica_v2.0:VII:28230262:28233078:-1 gene:SETIT_009275mg transcript:KQK98609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRGGGRGMQRKDAGEEWPNLVDVVLSWSLKDVMNEGLFKDKVKKIPSTFSHLKSYLEWFTSPLLEELRAEMSSSLESLSTMPSVRISWIEEKKGKYEICVASDSQAAKSCNQPECYAPSVGDIMILSDVKPGHISDITRNGRPYRVAFVTEGGDEDDDSPTSKYVIISSGIIDAANEKCQDGKIKPLFAAYLLNIVTYIRIWRCLDYEVFRRNRGLIQEMVHYPPVPDIRQERTEDAASFDSMDIWTKLSTMDLNNSQNDAVLNCISKIHRNSSSFSLIWGPPGTGKTKTISVLLWLMREMKHGTLACAPTNLAIKQVASRFLRLIKERSFDTSCLGDVLLIGNKQRMCVDGDLKEIYLHDRVRKLLGCFAPMTGWRHLLSSLSDLFQNGYSQYLQYLQDQKEGDKPSFFSYIRKRFTIIYTYLRRCFKELLFHVPKSSILEVNYNNILSILEMLGDFNSMFQRRYIGDEVKEVFMYNNGEPDSRNSSVITLGKARLKCLEQLNTLLSCLKLPLTSSKRVIRDFCTENASIIFCTVSSSSKVITNKKLELLVIDEAAQLKECETLIPLRLRTLKHAVLIGDECQLPATVKSKVCTDALFGRSLFERLSSLGHRKHLLNVQYRMHPSISIFPNTSFYDGKISDAPIVMQNGHQKMYLPGSMFGPYSFVNIGDGTEEFDELGHSRRNLVEVVVIEEILCSLQRGMFSLFTNYLVMSITFQFF >KQK98608 pep chromosome:Setaria_italica_v2.0:VII:28228599:28233078:-1 gene:SETIT_009275mg transcript:KQK98608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGRGGGRGMQRKDAGEEWPNLVDVVLSWSLKDVMNEGLFKDKVKKIPSTFSHLKSYLEWFTSPLLEELRAEMSSSLESLSTMPSVRISWIEEKKGKYEICVASDSQAAKSCNQPECYAPSVGDIMILSDVKPGHISDITRNGRPYRVAFVTEGGDEDDDSPTSKYVIISSGIIDAANEKCQDGKIKPLFAAYLLNIVTYIRIWRCLDYEVFRRNRGLIQEMVHYPPVPDIRQERTEDAASFDSMDIWTKLSTMDLNNSQNDAVLNCISKIHRNSSSFSLIWGPPGTGKTKTISVLLWLMREMKHGTLACAPTNLAIKQVASRFLRLIKERSFDTSCLGDVLLIGNKQRMCVDGDLKEIYLHDRVRKLLGCFAPMTGWRHLLSSLSDLFQNGYSQYLQYLQDQKEGDKPSFFSYIRKRFTIIYTYLRRCFKELLFHVPKSSILEVNYNNILSILEMLGDFNSMFQRRYIGDEVKEVFMYNNGEPDSRNSSVITLGKARLKCLEQLNTLLSCLKLPLTSSKRVIRDFCTENASIIFCTVSSSSKVITNKKLELLVIDEAAQLKECETLIPLRLRTLKHAVLIGDECQLPATVKSKVCTDALFGRSLFERLSSLGHRKHLLNVQYRMHPSISIFPNTSFYDGKISDAPIVMQNGHQKMYLPGSMFGPYSFVNIGDGTEEFDELGHSRRNLVEVVVIEEILCSLQRACSKTKKKVTVGVICPYTAQVVAIQEKLGKMKFDPVQVKINSVDGFQGGEEDIIILSAVRSNSDGLVGFLSNRQRTNVSLTRARYCLWIIGNATTLSSSGSIWADLVRNAKDRRCFFDGSCNKAISRVIAKQKSDLTRVNVKKNRHLTSSRNCGVWVEVPSPSELNKQGSSSTSVSPYAASSSSDIVAVSEVQRPRDEPEDVDYIAALPVVPDKEEDAKDIITAIPVIPNKEDNVEDIAMPIIPAVLSRLANLCTRLLRS >KQK98072 pep chromosome:Setaria_italica_v2.0:VII:24806077:24810490:-1 gene:SETIT_009334mg transcript:KQK98072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDITQILLAAQSPDANLRTVAESNLKQFQEQNLPNFLLSLSVELSNDEKPPESRRLAGIILKNSLDAKDSAKKELLTQQWVSMDPSIKLKIKESLLVTLGSSVHDARHTTSQVIAKVASVEIPRREWQDLIAKLLGNMTSPSAYAPLKQATLEALGYVCEEISPQHLEQDQVNAVLTAVVQGMNQTELSFEVRHAAVKALYNALDFAESNFANEMERNYIMKVVCDTGVSKEVEIRQAAFECLVAIASIYYSHLDPYMQTIFNLTANAVKGDEESVALQAVEFWSAICDEEIALQDEYEGSDDGNSTVHFHFIEKALPSLVPMLLETLLKQEEDQDQDDNVWNISMSGGTCLGLIARTVGDAIVPLVMPFVEANITKPDWHCREAATFAFGSILEGPSVEKLVPLVQAGLDFLLNTMNDSNSQVKDTTAWTLGRVFELLHSPAGANPIINNSNLPRIMAVLLESSKDVPNVAEKVCGAIYFLAQGYEDVESMSSVLTPYLPNVIAALLSAADRADTTHFRLRASAYEALNEIVRVSNIPETSGIIGQLLQEIMRRLDLTFDLQILSSGDKEKQSDLQALLCGVLQVIIQKLSSTDSKSIITQTADQLMLLFLRVFACHSSTVHEEAMLAIGALAYATGSDFLKYMPQFFTYLEAGLHNYEEYQVCSISVGALGDICRALEDKILPFCDRIMTVLLKDLSNSMLNRSVKPLIFSCFGDIALAIGENFEKYLPYSMPMLQGAAELLGTLDQSDDDMVDYGNQLRRGIFEAYSGILQGIKGPKAQLMIPYAAHLLQFTEAVFKDRSRDESVTKAAVAVLGDLADTLGASSKDMFQTNLFHVEFLRECIDSDDEVRETASWAQGMINQVVVS >KQK98671 pep chromosome:Setaria_italica_v2.0:VII:28567408:28570637:-1 gene:SETIT_009271mg transcript:KQK98671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSKKRSKSASAACLPDEIVELILLRLPASATIRFHAVCRAWALLLSSPGFKDAYAAEASARRRRASEFVLLAPSPASPNGATAVYSCRPGGAGAEPLFTIDRLRPGFLIASSKPCNGLVLLTDTSCGFAYWVCNPSTGESRRLPQQRRHGQGLSSAGLAYDDQTKEHKVVHLFCHGDDLRPRCEVYTLCSPSRQWRPVSLPVPRSLADAMACALMFEAAVTKVPPVFANGFLHWQIYPNMDMDYRGLFPESIAYPYYTAAVLCFSVASETFDLVAGPAVDDMYRGLELDDSSPAVPLHLVELQGSLCMVRDLRHLPHGEESLMMDIWALRDYRTSTWSLVHRIAMTPHVASGVHSPRFVTVLGYLGGDGEMMSCEKKILIATSQHRVYAYDPATGDAETVLTNVLGLQEEAVAGLRLGLYEDSLARTGGESRRQMEVASALTEILVRLPVRSIAQCMLVCKQWHAVIESESFVMSHLLVSRQRRKVVMVTSGRARENFFGFMPMETWLGHPDPAARLLDSPLVVCSKPCHGLNLISTSSDDYLCNPCTGSIRCLGIRGKFRNNNNPQDNKVGPPDHQRRHAFTVGSGRNVGLGFDRLTREHVVVEISHLDGALVCMVKTDCAEYWTRVGDPPMPVTDMPPAHVDGTLYWMSEPELEAAAGDRFVVAFDISARVFTVFPCQPCNGRSISNPFVVELEGVLSVVVADAEENTLRIWMMREHGASWANSYNVCLDKHPDFSLGTDNVVVVPMEIAGKDDGKKILLNTGRALGYYETRTRAIDALYSLDQLLAFPMLYEESLAGIQDDEQPDHVAPPLWDDQGRPKEQPGYSIFRSCERSGCHGPAATYASCCRRALCRECLAQCRDHSDWTFVDFAPGTPRTVMGIQEDLQLPVEHPSVPGPEYCYCYSERDEDEDDVGRHVFVALKDHVRFRQPWRLIECGYRTDGEVVRETAQRLHGLRPRYVADQF >KQK96274 pep chromosome:Setaria_italica_v2.0:VII:2875833:2880553:1 gene:SETIT_011865mg transcript:KQK96274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKIQGRLKSFGFHTTQGKWTFDVSAITRAADAQPVAGADAQPQPSTPPQRLGGRDANLAKNNSKPPTRSGQQLHTESSCARDLPQSDDNDDDNAFMEPPPRQPVVKKQCINATSKVQYLPRIPSSMLMHILFDVRHQHLTQRLESKELLKFLFDRLDPKTMVLNVTKDKGIHVTPLVLKQVFDLLEGGEDIDLHTHIQASKALSTFRTLLGLEESHDLHASHLQKTLKDDLDLGSSTITDDMAIRFLFIIACNKLLFPSTDKNIRCKDVYLTRDLSCLPALNSCKAVVDDLREAALTWQADKAKKSFSLRNNIDTCYHTTQNLSSNTPPSIEPLATTSFPSMQAELRGLVDQISGEPRKTQAMLALASFDAKAKKASSYVTIGQQMLSDAHQAATRTLQAILNDEMDGNDSEDHDNQPHASQANDVDMYDGQNAQNVGPEYVLGAPPCTQDEIIHRLAIAPRPQRLTKRLARYVSSFKGDPQRAKAPQLTAHAVRKKFHIAMKCKSDTFIRIGLREFSGSDISESFLDGEMLSTQFMSYFIACMSYDGCHMADGGGYRVFLSQELGFLLPVMEEHYSIYYIYLILLATDFEMKQFTRFKRPIIDVCMHTHDNDC >KQK98004 pep chromosome:Setaria_italica_v2.0:VII:24459254:24459745:1 gene:SETIT_012451mg transcript:KQK98004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIIMHPFHMLGVAGVFGGSLFSAMHGSLVTYSLIRETTENESANEGYKFGQEEGIYNIVAAHGYFGRLIFQYASFNNSCSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADITNRANLGMEVMHERNAHNFPLDLAGLEVPSLNG >KQK99501 pep chromosome:Setaria_italica_v2.0:VII:33160609:33161414:-1 gene:SETIT_011165mg transcript:KQK99501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATALLLLPILAAAPWAASAASGEKSTHMKLYWHDVVSGPSPTAVPVARAAVTNNSKTAFGAVVVIDDPLTQGPDLKSSKPLGRAQGTYIAAGKDELSLMMNMNFVFQAGKYNGSTVAIMGKNAAFDAVREMAIVGGTGVFRMARGYAQARTHTLDLKTGDATVEYNLFIKH >KQK98519 pep chromosome:Setaria_italica_v2.0:VII:27680570:27683182:1 gene:SETIT_010678mg transcript:KQK98519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGASSMVGPEGYGRGWGQTSLGDMPESCVAAVLLYLDPLEICQVACLNRAFRGAASADCIWAAKLPANHRYLAALAAAADDDCGCDGAAEGNGRCCSSAAIKKEIYARLCRPTPFDGGTKEFWIEKDKGGFCMSISSKAMSITGRDDRRYWSHLSTEESRFRGVDYLQQIWWLEVCGEIDFCFPAGSYSLFFRLHLGRPHKWMSRRGHASESIHGWDIKPTRFQLSTSDDQYTESECYLTKPGRWILYHVGDFVVSSSDEVTELKFSMMQIDCTHTKGGLCVDSVFIYPKDHRYEEECILCQKIL >KQK96523 pep chromosome:Setaria_italica_v2.0:VII:10914674:10918119:-1 gene:SETIT_011699mg transcript:KQK96523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYNYLEILFTNLGFHFYQGSDAPPTRVTFRSRKRVYASVAPTTTKPKSNRRISQPDASLAPSDIHVPPPQASLAPSDNHVPPPSHPDVSQAVEPAAAILQVDAQDDGADVILPGDGHNNLPNEDGFDLYDDNNTMADTTDVIAQPIAGNPRTNEGVCLSTMERRKRGVNMGHGLHRMNRSHRGKLPIVIPEGNIRPLVPLIAAKFASECNIAVRNHVPVLTHWKEYKRRSAVIDTFLGILRAKFNIDTNDDVVKHGCLEMMKSAVRQQRHKLKQQYFDPFPLHLVPKTSPVKSTSNEEWIEACQKNKDNRGNVSLHQTTGSRSYPVFVENMTEMENQLAAQPKEGEEPKSAAQVVAGVLEQNNKKSVFLRNVGMQIKRPRLSAQLEMKKRENAELQSIVSNQHAQMEELSKQLHETEQTRIRDKEEMSKKQAELEAKLQLILGQNRPS >KQK96965 pep chromosome:Setaria_italica_v2.0:VII:17783485:17783941:1 gene:SETIT_012814mg transcript:KQK96965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLQMNKLAVQVPRLRPDEYTAVAIYAATNLQCKMKAFNLPGS >KQK97323 pep chromosome:Setaria_italica_v2.0:VII:20564220:20567083:-1 gene:SETIT_011233mg transcript:KQK97323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEAVRRSLEPTASAEEITGSTPARLHFYDPFVLQGVSIESSEHGRLLCSFVVAPRHASPAGYLRSGVTATLADQLGSAVFYCSGLPRSGVSVEISVSFVDVATVGEEIEVEGKLLRAGKSVGVVSVDFRKKKTGKLMAQARHTKYLAVSSRL >KQK97322 pep chromosome:Setaria_italica_v2.0:VII:20566390:20566973:-1 gene:SETIT_011233mg transcript:KQK97322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPEAVRRSLEPTASAEEITGSTPARLHFYDPFVLQGVSIESSEHGRLLCSFVVAPRHASPAGYLRSGVTATLADQLGSAVFYCSGLPRSGVSVEISVSFVDVATVGVRPALFFSRFTFQAVFYYCINKFGVQGLGIYDETGSFMHLCC >KQK98111 pep chromosome:Setaria_italica_v2.0:VII:25010680:25011222:1 gene:SETIT_011299mg transcript:KQK98111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAGSSSRRRFLNLRAFLHAWKKLHLVGAAQAAGAGEWAHLDGGVGGGEAIPRDVPRGHTVVYVGEELRRYVVRVSSLDHPLFRELLDRARDEYGFAAADTRLCIPCDEDAFLGVLCHVDAERESRLALCS >KQK98795 pep chromosome:Setaria_italica_v2.0:VII:29199934:29203748:-1 gene:SETIT_010446mg transcript:KQK98795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDMGEKRRHGGHHVHGVGFGVGHAEHDEKHREPKKLDMSGMSMDTIPHLSMPLGNITTLDLSNNNLQRIPESIIARLLNVVVLDVRSNQLKSLPNSIGCLSKLKVLNVSGNLLQDLPATIEECRALEELNANFNQLTRLPDTLGFELHSLRRLSVNSNKLAYLPSSTDHMTALRSLDARLNCLRSLPDGLENLGSLETLNVSQNFQYLRELPYGIGLLVSLRELDVSYNSISALPDSMGCLTKLARFSAVGNPLVCPPMDVVEQSLDAMRAYLSARMNGTDKDRRKKRSWVPKLVKYGTFTAGMMTPGRATKVHGNAEGLLMSDYRSLNGGGIASPGFLSMLSPRRIFSPRRNSTKH >KQK99272 pep chromosome:Setaria_italica_v2.0:VII:32045844:32048618:1 gene:SETIT_010746mg transcript:KQK99272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGSGEAAMSPPSSGGSGSGGGKRGRDPEEDVYVDNLHSHKRYLSEIMASSLNGLSVGDSLPDNIMESPVRSETASSFRDEILSQYSPMSEDSDDYRCYDTQLNPSANAMISPSTSPMSSPLRHQKPQSPLLPSNPYPLPSCSLSSVVCSHARRGSDNEGRFPSSPNDMCHGADLRRTALLRSVQMRVQGPPAYDLPFGIRQEQEHVHEHEDGHGHEHLEGLERVERSSSCSKSIDDEVGYQRPDHDFGRPEHDIVYIDSCASDDCPSDPKFKQEDKSHCKFDTSMDKNR >KQK98759 pep chromosome:Setaria_italica_v2.0:VII:29022394:29024601:1 gene:SETIT_012489mg transcript:KQK98759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVPWETEAAGDQVRRSSRLRRSKGRPSRSRRREEKLLLSRTDKEKPAEEKNNPPPPAREKEEKGEEEGRLLDEDEAAQDWMLSFRRGWEECFADLYGSFEDNTSVPPMRYTEGTIPRYASCEDVLQIFSVEVIETKDGLEWPLHVYGWVATRDSVDQNRNLLFNRTRDNCQVLTQEDSYLLLTGPSRAVVFIDPVAFEVQLKVKGETESEEKVLCLEVFEHSAVYSFAHGPFIIRKRYSSKRCTLEVRFAPLDNTVEATLEKLLPVLPAYTLGISWLLDSRDGRMPTSCEGEINLSRNVVSVELSGQLKVRVVASQVDNRSDDVVEGSVVFTPLKAGCSSATCGLGFCKVEITVAWSLLATLAKMRFLSVY >KQK96891 pep chromosome:Setaria_italica_v2.0:VII:17023984:17024911:-1 gene:SETIT_011539mg transcript:KQK96891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIITLDRVVRRVYPKYLSVDHESKQTKTISTPCSLRVYVKYLNTTYHIRIWKVTLPLELPVYYVQNADNEV >KQK98591 pep chromosome:Setaria_italica_v2.0:VII:28132728:28134845:1 gene:SETIT_012314mg transcript:KQK98591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRAHDRPQPPMATPPLPPPRLRLLLLFVLLAASAAHAADSSGCGGTDRCGDLVLPFPFHLNSSCGSAGANSSVFRLSCNANATLTLALGPATFRVLAFLPSGSLLLDYAPASPAPCDAAYAAFSRPTSPAAALDAAPFLAVTPANVLRLYACEDSSLCRAGCDDVATCGGRSGCCYPLSDGSVWKPGNGLGVFADYGCRGFSSWVKNRSAPAGGVVRGIEVEWAVPRGSAMAKCADGAALMNATALHDGVRCSCAAGLVGDGFAQGTGCSKGTSCSNGGQASDGRGCCQGRFCSKKAVVLAGFFVSLFFLAAAVSFWLFLRQPSKDSRWDLDPACIPKILRSVCDAKQFTYEQLEEATKRIDSEKAVDTVDGTVHAGVLDDGSLVAVQRIGYETQGKLRLVLDRIELLSEISHPNIARVVGFCLDFDSSHALLLVHEHFAGGTLEEHLRQMKGRVLSWYHRVNVAIELASALTYLQAHEAAPTFLHDLRSSEIFLDTDFTAKIAGYKLTRPATYYSASHDQDVVCNFGHLLIELLTGLRQQMPFDSVAPKVREGRLHEVIDPTLLSGKQLPASHDEVRKVFDLAVRCLSSAENGLCMLAVAKELMHILRDNNGSSSKIEISLEETFSSSSLLQMISMSPDTLHHQLP >KQK97340 pep chromosome:Setaria_italica_v2.0:VII:20660803:20661275:1 gene:SETIT_011560mg transcript:KQK97340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVTVSLFHAYIAPSKAPILYGTLATQQPAQQLFGSRSRMGGGGGGSSSSSTAVAAAQGWALRLRKQ >KQK99226 pep chromosome:Setaria_italica_v2.0:VII:31634620:31636216:1 gene:SETIT_010359mg transcript:KQK99226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDGKVPDDFPLPFSGSPSSLLDRFLPRQVQAPTASVPAGSIRRELEHASRDTAGMKSMGSRDKLSAVSAASGHRRALFAVFAFCFAFATFLTFLYTTSHFTSAAAAAGGSDASLALAAGSGSGSDNKLPLPVFDALVHYASISNATHRMPDTDIRAIAAVVRARAPCNLLVFGLGAESPLWLALNHGGRTVFLEENEFYVKYLEPKHPGLEAYDVSYTTKVRDFRDLLSAARASRAKECRPVQNLLFSECRLAINDLPNDLYDVAWDMVLIDGPSGWNPNSPGRMPSIFTTAVLARSGATAAKGPTDVLVHDFQFEVEQVLSKEFLCDENRVAGSGTPSLGHFVIPGGGGRSDAFCSESAQGESSSESGDNNRRK >KQK97627 pep chromosome:Setaria_italica_v2.0:VII:22251573:22252315:1 gene:SETIT_011515mg transcript:KQK97627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRSFFCIFSFSRKSRRYRGVDDDDEAASDWEGPPARLRKVRSSDDDDGWWVGERDVDQKASDFIATFHRRRLVV >KQK98193 pep chromosome:Setaria_italica_v2.0:VII:25569678:25573429:1 gene:SETIT_009486mg transcript:KQK98193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGGGDQQGGGDGTTPRSAAAGRAMVELQANASAAAAGGAMVVGLSPLSETLWRDSKALPPGAGPAALIGDVSARLTWKDLCVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSALLRLPDKMPREDKRALVEGTIIEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQASQACEFFASAGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDRMTTSEAIRKLIASYSRSQYYYAAREKVNDISRIKGTVLDSGGSQASFLMQACTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYLDVGTKYTSILARAACSAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVASFVISNTISATPFLILICFLSGTICYFMVRLHPGFEHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQVWHFHPLSHVIFHAPTIWHKLT >KQK98194 pep chromosome:Setaria_italica_v2.0:VII:25569678:25574501:1 gene:SETIT_009486mg transcript:KQK98194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGGGDQQGGGDGTTPRSAAAGRAMVELQANASAAAAGGAMVVGLSPLSETLWRDSKALPPGAGPAALIGDVSARLTWKDLCVTVALGPGKTQTVLDELTGYAEPGSLTALMGPSGSGKSTLLDALAGRLAANAFLSGNVLLNGRKAKLSFGAAAYVTQDDNLIGTLTVRETIGYSALLRLPDKMPREDKRALVEGTIIEMGLQDCADTVIGNWHLRGVSGGEKRRVSIALELLMRPRLLFLDEPTSGLDSSSAFFVTQTLRGLARDGRTVIASIHQPSSEVFELFDMLFLLSSGKTVYFGQASQACEFFASAGFPCPPLRNPSDHFLRCVNSDFDKVKATLKGSMKARIERSDDPLDRMTTSEAIRKLIASYSRSQYYYAAREKVNDISRIKGTVLDSGGSQASFLMQACTLTKRSFINMSRDFGYYWLRLLIYLLVTVCIGTIYLDVGTKYTSILARAACSAFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVASFVISNTISATPFLILICFLSGTICYFMVRLHPGFEHYIFFVLNLYASVTVVESLMMAIASVIPNFLMGIIIGAGIQGIFMLVSGYFRLPYDIPKPFWRYPMQYISFHYWALQGQCQNDMKGLVFDNQYPDQPKIPGDFILKYIFQINVDRSKWIDLSVIFSMIFIYRILFFLMIKINEDVLPWIRGHVARKRMQNKGPSATFGKTPSLRGYVVDPELGSNEG >KQK96546 pep chromosome:Setaria_italica_v2.0:VII:11756726:11760094:-1 gene:SETIT_012599mg transcript:KQK96546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFEGPPSFSDLVDRVMRKYGCTVDEISLRGRFDCGKARAHYHYKDVVHEANVACLEVIVEIVRMPSPNVVMREEVAVVNHNGTQESEMLHHVLGETEHDFDLAIANDDFPNNIFGRDEANIDVDNVSMGSEDCEFEEDGVVGVEDISMVHKAICESSMVNAEGTSVGESPVIKKGMKFNSLEELKFFLVDYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWCRLISSTGQWRISNVVQPHTSKYLGWRILGIIRKDSETSVSSLVESIFVFSGYRVKYSKAWQAKQHAVALLWSDWKESYGMVPRVLSAITYYNPGVKWCIDSCGLMHPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDDTFLTSKYKSTLMMAVGVDLEQQLVPLAFSLAESENNESWSWFMKLVRRHVLGPSRIVCMISDRYHGLLNCAKDHMDGFPPLVHRWCTRHFAANMSHRQKSNRVIGKLKILCKVHMDREFSDKLEDLDKDKWAQAFDEGGMCWGIMTTNYLESLNTVFKGIRSRPVSGIIEYSFEKCNAYFVDRWQKARAISVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVNLNKVLCTCNIPQLLHLPCSHFITAYKARGLNYESPLYMSPFFQPYLDPSQWPAYEGVGYVPNLNLMRNKVGRRQKKRFTGNMDVSEGRLSADYGTKNLKARNLGRTVLDRILRTIGMAAPPYPLLESAYDLQHHAHHLADLNEDLKPLRARVHSPLRWDDEVVQRYARVWLWHFVSTFLLPDAAVAEPPRINPTKAQLSRLRGDPHALIELTRGAVGFHPI >KQK96498 pep chromosome:Setaria_italica_v2.0:VII:9944479:9945915:1 gene:SETIT_012640mg transcript:KQK96498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AFLLGGGRGSIRRPHHHVPSSVANLVPVPFNCGGNETHPSSSPLSPGTCRRRHAAAASPSPSPSPSPSVEPRSSSSERGRPAPPRCPDYFRYIHSDLSPWREAGITREAVERGRGRAAFRLVVLGGRAYVEAYHRVFQTRDSFTLWGIAQLLARYPGRVPDLDLMFNCEDMPELQAADFPRPSEAPPLFRYCKDDATLDIVFPDWSFWGWPEVNVRPWAPLLQEMDRETRRLPWPEREPYAHWKGNPGVSTQRADLLRCNVSDKMDWNARLFRQDWNAAIRGGFKDSNLAKQCAYRYKIFVQGRSWSVSEKYILACDSPMLLVATPYKDFFSRGLVAGKHYWPIDPARKCPSVKFAVDWGNAHPAQAQRMAEEGSSFAREEMSMDYVYDYMLHLLTEYARLLRYKPTIPESAVELCPESLACAAQGRERQFMMESRERFAADYEPCTLPPPFTAGELRDMARREEEVLRKVKRMEKHS >KQK97529 pep chromosome:Setaria_italica_v2.0:VII:21713526:21713681:-1 gene:SETIT_012560mg transcript:KQK97529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ICPRTTCGGTEGTDSASVPHPLRKKLLNHHKIKKYDALCLTLLVIVPCYCG >KQK98213 pep chromosome:Setaria_italica_v2.0:VII:25694727:25697055:-1 gene:SETIT_010731mg transcript:KQK98213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGSESSGEDSGERRKRRRKEKERRRRRRSRSRSESSGSSSESESESSYSRSSAESESESDLDSEEERRRQRRKRRKEREEEERRRRRKEKERRKRKEKEKERERRRKEKKKRRKEEKKDLGKKGAVTNSWGKYGIIREVDMWSKRPEFTAWLSEVKQVNLEALSNWEEKQMFKAFMEDHNTATFPSKKYYNLDAYHRKAMEKETKKGLKTSVTERTIFNDEEQRRLELLKERERRKEEEVEALKRSMQAGLVRLILRFFCLSRFMNLSLKHQLNTTMLMKVLLLV >KQK98212 pep chromosome:Setaria_italica_v2.0:VII:25693746:25697055:-1 gene:SETIT_010731mg transcript:KQK98212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEGSESSGEDSGERRKRRRKEKERRRRRRSRSRSESSGSSSESESESSYSRSSAESESESDLDSEEERRRQRRKRRKEREEEERRRRRKEKERRKRKEKEKERERRRKEKKKRRKEEKKDLGKKGAVTNSWGKYGIIREVDMWSKRPEFTAWLSEVKQVNLEALSNWEEKQMFKAFMEDHNTATFPSKKYYNLDAYHRKAMEKETKKGLKTSVTERTIFNDEEQRRLELLKERERRKEEEVEALKRSMQAGLAQDMKEQARLREEMNYQYRLGNFQAAAAIQKRLDPDAPLQ >KQK97829 pep chromosome:Setaria_italica_v2.0:VII:23479195:23479605:-1 gene:SETIT_012910mg transcript:KQK97829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFARKYGPVDAARVAGKSIVVADWRGVRVL >KQK97107 pep chromosome:Setaria_italica_v2.0:VII:19101285:19107418:1 gene:SETIT_009434mg transcript:KQK97107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDGDRHRAPKRHKSSAPSKAALVDESAEFDYADDFDDDALDANTEVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSKLSKTKLPREIIDFIHGSTANYGKVKLVLKKNRYFVESPFPEVLSNLLNDDVISKARISPEDSLGASSFTISKTSGQASGHEELLNGMDLAAATEDKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDDHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIYGATSHAERTRILYQFKNSPEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEEGPNLSYYTLDEQLELLGKVGISVPHWL >KQK97106 pep chromosome:Setaria_italica_v2.0:VII:19101285:19105718:1 gene:SETIT_009434mg transcript:KQK97106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDGDRHRAPKRHKSSAPSKAALVDESAEFDYADDFDDDALDANTEVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSKLSKTKLPREIIDFIHGSTANYGKVKLVLKKNRYFVESPFPEVLSNLLNDDVISKARISPEDSLGASSFTISKTSGQASGHEELLNGMDLAAATEDKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDDHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVNPY >KQK97108 pep chromosome:Setaria_italica_v2.0:VII:19101285:19108280:1 gene:SETIT_009434mg transcript:KQK97108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGDGDRHRAPKRHKSSAPSKAALVDESAEFDYADDFDDDALDANTEVKKRDFTKLELKPDHANRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETSTIISVLSKLSKTKLPREIIDFIHGSTANYGKVKLVLKKNRYFVESPFPEVLSNLLNDDVISKARISPEDSLGASSFTISKTSGQASGHEELLNGMDLAAATEDKETHSFEIDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLDMELKPQARPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFKLWSTIKDDHISRFTSDNKEKFRGMAGVVVTTYNMVAFGGKRSEDSEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQVLYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTAYAMKLRKPMIYGATSHAERTRILYQFKNSPEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPPEEGPNLSYYTLDEQLELLGKVLNAGDDMIGVEHLEEDSDGKALLKARRSAGSMSAFSGAGGRVYLEYSTKGKGAPKKPKDPSKRHHLFKKRYQ >KQK99588 pep chromosome:Setaria_italica_v2.0:VII:33646173:33653823:-1 gene:SETIT_009795mg transcript:KQK99588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAAAAAEGGLGGGVEEGVGESSSPPRDAAPVPAGSGGSGGGGGARDICGQVLDRLVADGHAEASDPEFRDKLVAHFGRLPHSYQLDINVDKAADVLVHQNVLAEAKDPDRRPAFYVRFLRIEDADQAYDSDASEEGDDDGDDLSVRQDTEYTHIHEIVFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTHDGYSLDVFVVDGWPIEDTDGLHKALEASILRNEGSWSGGSSHSSAAERTLPFQVKGGEWEIDKRLLKMGGMIASGSCGDLYHGTYLGEDVAIKVLRAEHLNKNVWNEFTQEVYILREVQHTNVVRFIGACTKPPQFCIITEYMSGGSLYDFVHKHHNVLNLTTLLKFAVDVCRGMCYLHERGIIHRDLKTANLLMDKDHVVKVADFGVARFQDQGGIMTAETGTYRWMAPEVINHQPYDNKADVFSFAIVLWELITSKIPYDTMTPLQAAVGVRQGLRPGLPKKAHPKLLDLMKRCWEADPSNRPVFPDILAELEDLLAHVQGASGKTVQDPANNLSTKD >KQK99729 pep chromosome:Setaria_italica_v2.0:VII:34432292:34433993:1 gene:SETIT_010410mg transcript:KQK99729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIASSRLPNLPAGFRFHPTDEELIVHYLMNQASSLPCPVPIIAEVNIYQCNPWDLPAKALFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPTSENIGVKKALVFYGGKPPKGTKTDWIMHEYRLTGANKTTKRRGSSMRLDDWVLCRIYKKSNNFQFSDPDQEGSTVEEESLNNNMNSTSAASPKSDANDHNDDQFQFQPTTMSMSKSYSITDLLNTIDYSALSQLLDAPAAAEPPLIYPTTTQTHQSLNYNNNVMNNNSHFNLPQAADACPDYVAPNNCNGLKRKRVMTTDGAESSFDDGSRKLLKLLPSDSRSSGHSHFVGSTSSYCNQQLVDTSGFQCSSLLSYPFIEMQ >KQK98358 pep chromosome:Setaria_italica_v2.0:VII:26507383:26508138:1 gene:SETIT_012254mg transcript:KQK98358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRSPLPKLKCGVPNNSTAAASQAAAMDTDDTHEPASPTSASSSSSSSSASSSSQAQAPKKRARKDGSRHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTFATAEMAARAHDVAALAIKGRGAHLNFPEHAHLLPRPASASPKDVQAAATLAAAADFPPPPPPSSSSADAGANAKSPESSSSDDASAAASPPHEATPQDAEPDPDDALFDLPDLLLDLRCCGPSSWAVDDDMAVSAGGAFRLIEEPLLWEY >KQK98116 pep chromosome:Setaria_italica_v2.0:VII:25050638:25053780:-1 gene:SETIT_009345mg transcript:KQK98116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCPQNGKDARPDVTGTQDGDNDDSREPKQACPVRPPPACSTPAPLPAPTPVVSSPRAARTHQSHKPHLSMAPPGGCRKAATAQLYVAEEPEPPPPLVLASSSPLHVSSIHPGRPSQLPSFQTQSRAHKPLRRFEMECENGHVVAANGNGVCLPVPPRADPLNWGKAAEDLSGSHLEAVKRMVEEYRRPLVRIEGSSLTVAQVAAVANGAGEARVELDESARGRVKASSDWVMNSMMNGTDSYGVTTGFGATSHRRTKEGGALQRELIRFLNAGAFGTGDDGHVLPASATRAAMLVRINTLLQGYSGIRFEILETIASLLNANVTPCLPLRGTITASGDLVPLSYIAGLVTGRPNSVAVTADGKKVGAAEAFKAAGIQHGFFELQPKEGLAMVNGTAVGSGLASMVLFEANVLAVLAEVLSAVFCEVMNGKPEYTDHLTHKLKHHPGQIEAAAIMEHILEGSSYMKLAKKLGELDPLMKPKQDRYALRTSPQWLGPQIEVIRAATKSIEREINSVNDNPLIDVSRGKALHGGNFQGTPIGVSMDNTRLAIAAIGKLMFAQFSELVNDFYNNGLPSNLSGGRNPSLDYGFKGAEIAMASYCSELQFLGNPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSSTFLIALCQAIDLRHLEENLKGAVKSCVMTVAKKTLSTGATGALHNARFCEKDLLTAIDREAVFAYADDSCSANYPLMQKMRSVLVEHALANGEAERDPETSVFAKVATFEEEIRAALPREVEAARAAVENGTAAIPNRIAECRSYPLYRFVREELGTQYLTGEKTRSPGEEVDKVFVAMNLGKHIDAVLECLKEWNGEPLSIC >KQK99074 pep chromosome:Setaria_italica_v2.0:VII:30801522:30804346:1 gene:SETIT_010139mg transcript:KQK99074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHAEMLHAAPAVVYTGGGAGAAPHGGWWTAASVPTATCSTELAGFGTWSSALQAATSYDMAVEGAKAKSATTASSESPGNNSSVTFQEPTGVADPVGISAAVHQQPLAGYADWTHPYMSSGATLHGFLQDGHQDMSSRTEQSPMDASTLMNPSSNNLALQVQGHQQEHQLLSSFGSDLLLSPTTPYGLQSSLLRSLLEPAAKPALPGFQQYDQYGQQICQQASPAAARFAPGAIREPLQFTNDAPFWNSSAAGFGVPAAVPDQASVRSAVKPSPAPRAATLTLKTVLEGVGESSSIISKKKASGEPAFKKPRLETPSPLPTFKVRKEKLGDRITALQQLVAPFGKTDTASVLHETIEYIKFLHDQVGVLSAPYLKNGHHHQLPHLKSSSPEKSKDSHGEISLKGRGLCLVPISSTFAVASEVPVDFWTPFGANFR >KQK97427 pep chromosome:Setaria_italica_v2.0:VII:21172831:21174873:-1 gene:SETIT_009582mg transcript:KQK97427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERDRPSSSIFLDLPPTSSQVDGSEDDGDHHVLPYISRMLMEDSIVDKFLCQYPDHPALVQAQQLFSHILSDASSSVLAEQPCNFAELFSVQSSAPAPAAAAVLSVAQGSNIEDTAFFLNGMATDAVESINSSLPAESTGCCMDVVSMAFFKGMEEASKFLPSDAMTVGGGGRGQKKRLDGDDDEAELGSTMGRSSKQMATAADGEESEEAAAREMLDQLMLNGCVPSAADMQELRAATTEMEKAPRGRRGTGAAVDLHTMLMRCAEAVAAGDRNGAADLLERIRRHSSPAGDGAQRLAHYFAAGLEARLAGGTGSRLYRSLMVRRSSLSDYLRACQLYMAGCCFVPVIFLFSSETICRAVAGRKKLHIVSYGLGRGLQWPDVLRRLGNRDGGPPEVRLTGIDSPLPGFQPAELVEETGRRLSDCARRFGVPFRFRAIAARSEDVVADGLDIDPDEVLVVVESTFHFRSLMDEGGVVTVDGRNTNPMDTVLNTIREMRPSVFIHAVINASYSTAFFLTRFREVLYHSTALFDMMDTVLPRDDDRRLLLERDVLAQCAVNVIACEGEDRMHQPRSYKQWQARSRRAGLRQLPLDRGIVQMLKDKVKEEYHKCFEISEDQQWLLQGWKGRVLYALSTWTAGDDLA >KQK99526 pep chromosome:Setaria_italica_v2.0:VII:33313469:33314777:-1 gene:SETIT_010765mg transcript:KQK99526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAILAATGTGTIPANYSSLNISIRSGAAAAANPSRAAPFPALALHPHHHQAAAAPHDMSAMMGYHHHLLPPQQQDPSAGDAYMRKRYREDLFKEDDDRQDPSAPKAREQQAAATPPPPSAAMWAVGPNAAAPSGGFWMLPVSASSAAAARPTEQPMWSFSGGGGGTGTVQAPLQFMSRASYPSTAAAGGGGGGMADTNIGMLAALNAYNRGGSEEQQQHQQPEGEQQHGGDGGGNDEEDDDDSGEENHGNNSSQ >KQK96298 pep chromosome:Setaria_italica_v2.0:VII:3156826:3157299:-1 gene:SETIT_011394mg transcript:KQK96298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSINHSSRRTAIILPCSSESLFFSPYMRHAAIIVTDALAQNMPRYPCLQLTEIADQVKSGSEIALPLPVHKENQQYEALFGLMWIERDWQGLIPCHSMAILAQPNKAGV >KQK96428 pep chromosome:Setaria_italica_v2.0:VII:6905349:6906386:-1 gene:SETIT_010503mg transcript:KQK96428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADNRPTAAAPYLPAELIPDIARHLTTLQDFFALRSTCRSYRAVLPPSRAVLASQPPHLLVPHHASSRRSLALVHLPRRRLLRFRAPTPPLPSAVVASDGARVVTFDYFAHELSVTHLLSGERVFVPDTPFLFSRAVLAGDLVFLIAPGWVRYCRLGDGRWREACCRLRSGVRGLYMMVGMLAANGVLYALLNTCQLAIAELRDDKVELKLLGGEVSDHVRNAWMESTDFILGECAGEPLLIFKGLVKPQYKVFRWEPGEQRWVRAMSLGRRTLFVSGNGFDAWLGPDSPGIRGDCIYEALPQAAGWSEYLLVDNTCELVTIDYHGAPELDAVRKQVWVLPSLY >KQK96813 pep chromosome:Setaria_italica_v2.0:VII:15788194:15790130:1 gene:SETIT_012737mg transcript:KQK96813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTAVHASMPPSTDVETAAGNGNGKPATAADAGAAFVLESKGTWWHAGFHMTTATVGPALLTLPYALRGLGWWLGVAALTALAAVTFYCYLLVSRVLDHCEAGGRRHIRFRELAADVLGSEWASYLVLIVQTAINAGVTIGSILLAADCLQIIYSHVEPHGHLKLYHFVIVVAVVLAILSQMPSLHSLRHINFGSLIVSVGYTVLVSVACICAGLSSNAPPKDYSLSSSKSARTFNAFLSISILASVFGNSILPEIQATLAPPASGKMAKALVLCYSVLSLTFYFPAMTGYWAFGNQVRSNLLKSLMPDTGPWLAPAWLLILAVVLVLLQLIAIALVYSQVAYEIIEAKSADAARGRFSRRNLAPRVALRTAYVAGCALVAAMLPFFGEVIAVVGAVGYIPLDVVIPVVMYNIALAPRGGGRRRSPAYLANAAIMVVFVGLGVIGAVASVRKLAINADRFKLFSNGLS >KQL00014 pep chromosome:Setaria_italica_v2.0:VII:35775304:35775711:-1 gene:SETIT_012216mg transcript:KQL00014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHLAAVVLLALTLLEPAAAAGLKFTTHDLRSEKALRQLYERWCKHFKVARKPAEKVHRFANFKQTVHFVASRTVRVADEPLRLNGFADATRAEFEGCKCRMTPEPRVTAAPGTILRDLPLPVSVDWRGVIPGVN >KQK98854 pep chromosome:Setaria_italica_v2.0:VII:29606791:29609107:-1 gene:SETIT_012444mg transcript:KQK98854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPANGTDDQQAWEEEDAVATAATGPSTEQVFEGEPVPSPSEMITARSVAVSVVLGATLSTVVMKLSLTSGYLPHLTVPAGLLGFFLSRAWTRVLHGCGAAAAQLPFTRQENALILTFAVACSNTANTGGFGSYILAMSRSSAEDDGGAENGGRNVEEPQIGRLVAFLFLTSFAGMFAVMPFRNSLIIRHHLTFPTGTATAHLINSMHTPLGAKQASKQVSAMFKTFGGTLAWSLWQWFFAGGEDCGFQSFPIFGLTAARSGFFFDCSMTNIGVGLFSPYKITISMVAGSLLANGVLLPYVKTKEGIWYPRGNHGAYGMFIGISMVLADGLFHLLCILLRTLRAMRKRRHSQLAAQPFMCLGVDDRPPARNFDDRRRAQVFLRDRVFDPAAVAGYIALSAASILLIPHLYPQLRPNHVAFAYLIAPVFAFCNAYGTGMTDVSVAPTYGRIAVLAFGSWVGLGNGGLVAGLAAGVILVSAVATASDLMQVFRTGYLTLTSPHAVFIGQIAGTVVGCVINPLIFWMLYGVYNGGDGAPDASFAKMYRGMAMLALSQQGLPRHSLLLCKVFFAMTLAVNVLGEVSARRGWRGGRYLPCTIAVAVAFFLPPEIPIGMFIGSIVMYLWRRLDGDGARARSPAVAAGLICGDGVGTLLWSMLKLSNARPPMCIMFLTPSVNKRLDAFLATVPTSS >KQK99416 pep chromosome:Setaria_italica_v2.0:VII:32842472:32848190:1 gene:SETIT_010265mg transcript:KQK99416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGIAPRDVCVVGVARTPMGGFLGALSSLPATKLGSIAIEAALKRANVDPALVQEVYFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDCAMGMCAELCADNHALTREDQDAFAIQSNERGIAARDSGAFAWEIVPIEVPVGRGKPPVLIEKDESLAKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >KQK99415 pep chromosome:Setaria_italica_v2.0:VII:32842472:32848190:1 gene:SETIT_010265mg transcript:KQK99415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGIAPRDVCVVGVARTPMGGFLGALSSLPATKLGSIAIEAALKRANVDPALVQEVYFGNVLSANLGQAPARQAALGAGIPNTVVCTTVNKVCASGMKATMFAAQSIQLGINDIVVAGGMESMSNAPKYIAEARKGSRFGHDTLVDGMLKDGLWDVYGDCAMGMCAELCADNHALTREDQDAFAIQSNERGIAARDSGAFAWEIVPIEVPVGRGKPPVLIEKDESLAKFDPVKLKKLRPSFKENGGTVTAGNASSISDGAAALVLVSGQKAQELGLQVLARIKGYADAAQAPELFTTTPALAIPKAIANAGLESSHVDFYEINEAFSAVALANQKLLGIPSEKINVHGGAVSLGHPLGCSGARILVTLLGVLREKGGKIGVAGVCNGGGGASALVLELA >KQK99703 pep chromosome:Setaria_italica_v2.0:VII:34282428:34283738:-1 gene:SETIT_010999mg transcript:KQK99703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAAGNNGLPTHTAPSAPAWPSYKPPPPKHNPRRRRCLCACLLVTLAVLLALAITLLVLFLTVLKVRDPTTRLVSTRLAGVAPRLTFPAISLQLNVTLLLTVAVHNPNPASFAYDSGGHTDLTYRGAHVGDAEIDPGRIPSKGDGEVKLALTVQADRLAEDLAQLVADVESGSVAMEASTRIPGRVTILGLFKRHAVAYSDCSFVFGIAEMRVRSQQCHDRTKL >KQK99903 pep chromosome:Setaria_italica_v2.0:VII:35311873:35315347:-1 gene:SETIT_012290mg transcript:KQK99903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSPVKRLARRAAKGPLERAGLAGLAAAAVAAAALLVLLCAASLRCSSGALAAAPRRLWAGGVSIAAEAEAAEAQTRRKVAAAAAGNEEECDLFDGEWVRADGGYPLYDSRDCPFLDVGFRCSENGRPDASYTKWRWQPTRCDLPRFDAKSMLEKLRNRRVVFVGDSIGRNQWESLLCMLSTAVHNKSSIYEVNGSPITKHMGFLIFNFRDYSCTVEYYRSPFIVLQGRAPAGAPEIVKYTIRVDAMDWMSDRGKWKDADVLIFNTGHWWNIEKTIRGGAYFQEGDEVEMDMTVTDAYRRSIQTLSDWLHREVNTSKTHVIYRTYAPVHFRGGDWKTGGSCHLETLPDLTPPESLEEWDDLLKPVNDVLGNNLRPKLSGLDMLNVTQMTAQRKDGHLSVYLSPSGPVPRYKQDCSHWCLPGVPDTWNELLYALVMKRHTKTDQNLSLSGTITPNTG >KQK98047 pep chromosome:Setaria_italica_v2.0:VII:24704433:24708047:-1 gene:SETIT_012753mg transcript:KQK98047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVIGLALLLACSCASAIDRAQFPPKFLFGTSTSPYQIEGGYLEGTKGLSNWDIFTHKQGFVTKGFKWFWVQLRMGPMVMPLMITIIVTWKGADVRGYFMWSFLDNFEWNHGYTLRFGLYHVDLKTKKRTPKLSAKWYRDFLKGSPHLRTRLGDGHSELHQYTAAA >KQK96241 pep chromosome:Setaria_italica_v2.0:VII:2259043:2259191:-1 gene:SETIT_012862mg transcript:KQK96241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINQNKEKWDKSQNYKGSCCSKDIHYTLFSISSIP >KQK97685 pep chromosome:Setaria_italica_v2.0:VII:22649909:22651094:1 gene:SETIT_012166mg transcript:KQK97685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGPWATLPPDLLRFISSDGFGLPLESYSCVRGVCTAWRSALPPPTPLLLTVSGFDAPRHRQVQPGQLVSASFLTAGRSFHLSEVPTGGELVGSSNGWIAVDPRGPRFHLFPLRNGDDQPVLKVVLAPNPAPDDYTAVAICGPRRLAYAKARDMKWTVMDVSMAEQRDQLVDLAYDGAAAGGGGKVYSVTRYGDVHVFHVPGCRRRRPRVSPLHSEAQRAGLFAPPYDAACKLTGAKNIFLSGGTLYQVWRNTTVAVSSMTPEGGRFSMAKDEVFVLKYDPKRRPCWDAVSDLGGCSVFVGKNYPVVLRPEDASGVRPNCVYWIDEQSRFEPMVFDMATRTSTLHPLAAGAPCPARGPVCWFFLNDKIASADGDGRKTENDA >KQK99233 pep chromosome:Setaria_italica_v2.0:VII:31691964:31694596:1 gene:SETIT_010591mg transcript:KQK99233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELSRGIISAPLPQPTLRTAPAPRLGRRLPFGALSPPQPPSDVVRVSVPFARSSWPSYKYYGDGFSNSVLLRLLQREHGCCVSRALRPRHEWVEGWVRSNDTLVRSLPILVGGASLVAVLLNRAVSGIAAVADASSSQSRADILTLALSVTDILAGLVWLSIRPKSISPVVPRGVECKRVGPGVSSSALHELLWTWDSLTTATCCKSLVVVYGGNCILQIGVAAGSPEDGNALTVDTQKFIQGSLYKSAMESKKQSYLANLALYPGRSELPFLPANTQALILQPIGDKGIAVVGGDTIRGFTSIDQAWIAMIADKLDATLSKSYNP >KQK97181 pep chromosome:Setaria_italica_v2.0:VII:19458126:19462970:1 gene:SETIT_011963mg transcript:KQK97181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKSIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLDVDNVYMGSEDCELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIMPLAFVLVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIEKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADNYLSEAEVRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTIIMQDAAMILGLSLHGLPPWHRDDALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRHQLSQIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLKLSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWQNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADTYDTMTCHGTQPERAPLHDYMGQQLARLSNEAG >KQK99114 pep chromosome:Setaria_italica_v2.0:VII:31037581:31040025:-1 gene:SETIT_011439mg transcript:KQK99114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRKETALDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVESEREQDDPLKLSGKTRQLGLIVCRGTAVMLVSPTDGTDEIANPFLADGA >KQK97398 pep chromosome:Setaria_italica_v2.0:VII:21009620:21011627:1 gene:SETIT_011060mg transcript:KQK97398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEFDYLFKLLLIGDSSVGKSCFLLRFADDSYVDSYISTIGVDFKIRTIEMDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDVESFNNVKQWLSEIDRYANDSVCKLLVGNKCDLAESRAVETAVAQAFADEIGIPFLETSAKESINVEEAFLAMSAAIKKSKAGNQAALERKPSNLVQMKGQPIQQQQQQQKSRCCST >KQK99859 pep chromosome:Setaria_italica_v2.0:VII:35091612:35094604:1 gene:SETIT_011289mg transcript:KQK99859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGKSPVSLEKAEAAVGLRSSSSPVLPEARLPFQFAIEERVSRGFKELGFEDHRKRSGEEGKMIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >KQK98019 pep chromosome:Setaria_italica_v2.0:VII:24523430:24524868:1 gene:SETIT_011710mg transcript:KQK98019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDRSAMAASVALAVVAISLAATLPVARAEIKKTSIASDSRSVILFEEFGFRRGGRAAVTATGVSWKVPEGSQIKSADPTLMGFFLISNSLFYKINNESDYAEATGTAFCPLTSKYVQPLFRFKEIAPDGTGKGSLTIDADDQYTVLFSSCQEGVEVTMEVRTEMFNVRRSGAKEYLPVGLLPLPGIFAAASVVHFVFLGGWLFVCAKHCKTAERIHAVMGALLLFKALKLACAAEDQWYVERTGTPHGWDVAFYVFGFFKGILLFTVIVLIGTGWSFLKPYLQEREKNVLMIIIPLQVIENIASAVIGETGPAGRDWLAWNQIFLLVDVICCCAVFFPIIWSIRNLREASKTDGKAARNLQKLTLFKQFYLVVVCYLYFTRIAASAVAAVLSYKYQWVVNVSIETASLAFYVFVFYNFQPVEKNPYLYVGDDEEEAAGGQLEMESTFEI >KQL00024 pep chromosome:Setaria_italica_v2.0:VII:35819097:35823166:1 gene:SETIT_010019mg transcript:KQL00024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPSPPTATGAPTDPPLPTTPKLPPRPAGLLDSLPSTGRSLLAAARRSPVTTLVVAFFLLALFMYGEDVRTIAELSIDDYLYPDADLYNVSGLPPLVLPPPTCDLSAGRWLFDNVSTPAYREKDCTFLTKQVTCLANGRPDDTWQYWKWQPNDCSLPAFDARRFMEAMRGRRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSLTIFHAKEYRATLEFYWAPFLVESNSDNPKIHSIEHRIIRPDRIEGHAKYWKDVDYLIFNTYIWWMNTADMKVRRPNSRYWSQHDEVPRIEAYGRVFKTWSDWLNHNIDPARTSVFFMTISPLHISPQNWGNPEGIRCVKETLPFQNYSQPLDLYHDMRMFDMVVKVASSMDKVPVTVINITRMSDYRKDAHTSLYSIRQGKLLTPKQKADPEKFADCIHWCLPGVPDVWNQILYTRILSRSSWHSGSAAPPPLESLPLPRQ >KQK96387 pep chromosome:Setaria_italica_v2.0:VII:5081252:5084241:1 gene:SETIT_010452mg transcript:KQK96387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFVEIKTSQWNSHYEIQVPSSSRVPLLLHPALKSKPEQSSPVSWLGQLLHLRWFCFHRAISSAAMSEAAPMVDSEYMAEIEKARRDLRALIASKNCAPIMLRLAWHDAGTYDAKTKTGGPNGSIRFQQEYSHGANAGIKIAIDLLEPIKQKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSSVCPEEGRLPDAKQGASHIRDVFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGAWTKDPLKFDNSYFVELLKGDSEGLLKLPTDKALVEDPEFRCYVEKYAKDEEAFFRDYAESHKKLSEMCFTPPRSAFSCKSGNKQKSLLVQAAAGVAVAAAVVAWAYLCESNKKIG >KQL00040 pep chromosome:Setaria_italica_v2.0:VII:35892807:35893623:-1 gene:SETIT_011862mg transcript:KQL00040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPQHHWGLAGSHGHHEANCDSNAILSYRPDTLLANLAGGGASFLPSPPPPSGYMLPLPPLVPAGQLQVSSPASSGLAAATSPFRRAMSTGDLLIRDKDRDDREEEQRYACRKTLADSRPRVKGRFARNAGDGTEADLQPAAAAAAPPQSESESEWWPAAQHEAGMDLDEDMLAAYLGVSSISLYSPSASTTLPHHHYSYQP >KQK99644 pep chromosome:Setaria_italica_v2.0:VII:34011213:34014301:1 gene:SETIT_012501mg transcript:KQK99644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GQSSRTGALSTHVEQRAYNLRPRKDDSVTIERRSELARLRREQQNQRRNKRKEAETLRQQEIRDYEEFTRSKLWWFDEELPEKSDKDLEDRKWRPYFEASDPGLFNITLKGANWEVERLALQAGASVVGLQSSTGDTYLFSCSGTIVEFFEESTMIVTVANLVRCPDAVQVANNLKISVYLLQDHKTLEGHLAYHDFYHNICVIRVQSPFHLPGKRFSSNIEAINFDKICSRDVVALGRDKENHALLVTTGKIIPKSSKFDCDELLVSTCRISKVEVGGPLMDFDGNFIGMNYYDAKETPFVPSFIVLKCLKQFKLFRTVIRPWLGLRVRTLHAEGSIAHEKMQTNFHGATGVVIEKIEEDSPAKESGLNEGDIINQIDGVYISNAAE >KQK99611 pep chromosome:Setaria_italica_v2.0:VII:33796858:33797251:-1 gene:SETIT_011627mg transcript:KQK99611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHCLTKGAWFSEKLNASLQAAAMDGPRAHQTQGPLAACIPSIHHDSSFY >KQK97288 pep chromosome:Setaria_italica_v2.0:VII:20280651:20284095:1 gene:SETIT_009444mg transcript:KQK97288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLSPSPSPPLPSPCPSLRCGGRREQRHGEAWVHVAVGRSPEKTLGLLRWALRRFGNPRIVLLHVHQPSPLIPTLLGKIPAAQATEELVLSHRKSEKEEMDKVLLSYLAFCRRAQVQATLLRTENDQIHDGILNLVNHYRITKLIMGSTPDNCFKLKYGKESLMASNAPAFCQIWFVWRGRQIWTREASAAIDNNAPVHYQDDAMTTKRIRFSSYSNNTETRLDEGHVTGEALMTVDLNQGTVSDYDALGAREANHFYSMNMAKWQDAEPALNSTFFSDSSVHMDTLPFYSKEVLDRNLKHVMMEADESRKEAFVELLKRKEAESKVASAFARAKESDSAKKHEIKMRGELEALLVATRKQHEDLMKNKERAVAGLESSMRRLAILDDHAAKIKLQTDEFSAELELIQSSIENLKQNKLKVPKLEELPNCMSNAFGDDLYSFREFTLLDMQSATCEFSESFKIQSQGHGCVYKGGIMNRTVMIYKLHPHSIESVKQFQQEVCILGRVRHPHILTLVGACPEALCLVYEYLPNESLHDRLFSRCNSHWLTWKIRARVVAEISGALLFLHSCKPQMIIHGNLKLENILLDSEFHCKIADFGISRVFTDNTTDYPSFVEGSELKGSFPDTDPEYKRSKVMTQKSDIYNFGMVILQLLTGKQELEGLAGEVRRAISCGKLSSILDPTAGRWPTEEIMHDPQVCADGLTYEGRAIRKWMETGRGTSPVTGQKLEHRNLTPNHALRFAIQDWLRHSHSLMKL >KQK98249 pep chromosome:Setaria_italica_v2.0:VII:25877335:25879798:1 gene:SETIT_009843mg transcript:KQK98249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METAAAKAARLARSSLAAAAPCPAANGGVVVFFAALVAGALVTASWMYASARVTPISPVGTPTLAHDAATGPEPAPAPPRFTDAGTKSTNQTPANFAPPPRPPELPPAPSPAGAAAPAPRECPAYFRWIHEDLRPWRDTGVTLDAVEGARRFAPKFRVTVVAGRLYVARYGRCFQTRDVFTQWGILQLLRRYPGRVPNLDLMFDCDDLPVVGAADRHHPHLPPLFRYCGSEATLDIAFPDWSFWGWPELNIKPWEALRREIEEGNTMVKWTDRSPYAYWKGNPNVGAGRRFLLRCNASGKRDWNARIYAQDWGKELRQGFRESDLSKQCTHRYKIYIEGRGWSVSEKYILACDSVALMVRPRFHDFFSRGLAPLRHYWPVVRDRGIAMCRSIKFAVDWGNAHTDKAREIGGNASRFVREDLAMDRVYDYMFHLLSEYARLLRYRPAVPRGAAEVTVESMMRGRRGLVERQFMMDTVEVDGAGGEGGPCRLQPPFSAQELEALRKERADVVRQVEAWENH >KQK97450 pep chromosome:Setaria_italica_v2.0:VII:21274761:21275502:-1 gene:SETIT_0100221mg transcript:KQK97450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRNSGGGGKRSLLLSLWLLLAASLRLWPAGGSGHVVTHMRGFDGPLPFYLETGYVEVDERHGVQLFYYFVRSEKDSDEDPLVLWLSGGPGCSGISGLAYEIGPLKFDAKGYRGGFPTLLYRPETSTKTSNIIFVDSPVGTGFSYATTEEGLKASDTKAVEQLVIFLRK >KQK98244 pep chromosome:Setaria_italica_v2.0:VII:25845566:25845970:1 gene:SETIT_012880mg transcript:KQK98244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCCVRVRVRTYMCTHTRPLGDDGVCTCLYATSWCMQEFACDSTHSVM >KQK97269 pep chromosome:Setaria_italica_v2.0:VII:20128981:20133404:1 gene:SETIT_009913mg transcript:KQK97269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKFMHDSPLVSHSEVNRRHYNLAAVAVGLGLGVAGLCKALHSGLSIPWVSPRNLFLGSGRVYYVGGLRNLGNNCFLNVILQALASCDSFVSFLDNLLATDGLLPEEKVERMPLLLALSSLLEDLSTVRDERIVLNPQGVMHALSFYDASEAFLHLLISLRDEFSHCYVPHRSSLADITLSHSKVYRQREGNEPECKRWKQNLFGPFDGTIGSILSCRNCSSVLSLDFQNFQCLPLSPVLNTDGDVTNGCSLVDCLKYFTVVEHLDNYRCDRCWHITAAKYLSLKSEADEEKVTKLNTCVDSGTCSCRGMFPPEEIPCSSSSRATKQLIISQCPKILCIHLLRASVRFDGEPIKHKGHISFPLLLNLSPFAGGASSTGLGPGPLAVNMQRDGQQALHLYRQLNMQISLNVIPTGGNSIHQSPSSRSKLYGLSAVVEHYGKCGGGHYAVYRRVASNPDHDDPGQPLAGLAKRWFYISDGHVSEVSEDDVLAAEATLLFYERL >KQK99505 pep chromosome:Setaria_italica_v2.0:VII:33175260:33176818:-1 gene:SETIT_010829mg transcript:KQK99505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYTDVPVLPVTGGSGSGDTMEVFFVKVTQITSDLQWPLDVYGIVAVRDSLDRKRNYLFSRGRDNCQTLTSQDSLLQLTGPSRAIVLWDEPVFEIDLKVKDKGSSLSEDDKILCLDFFGYNNISYRGSLSYTRTKVLSSKHSTVEVRYAHVMRSVEATITARISKGSGNFSARLTACNTNIGEDVVLLDTRGKEVFVNEDGEVTLQRRVVVVEERAELILGIKAEQLGDAGESSTKLEKKFGFVAKSARRNEVYFHIGSSSLHMVVAWSVLI >KQK96659 pep chromosome:Setaria_italica_v2.0:VII:13549371:13552115:-1 gene:SETIT_010812mg transcript:KQK96659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAEMGDSYWEETQRYLEYEELSIYLEAQEDAMSCYDSSSPDGSNNSHSSSAPAGDKGASGGGRAAAGGNKNIIVERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIQQLQAEERQVLQEVRAFESAGGGAPPPEEGFEHDEGLLLLQAERTKKMKRARSVPSIVAAAPPPPPPVEVLELRVSEVGERLLVVSVTCAKRRDAMAQVCRAIEELRLRVITASITAVAGCLMHTVFVEVDQIDQVRMKEMIEVALTQLDATRSPPSSMSC >KQK97667 pep chromosome:Setaria_italica_v2.0:VII:22509506:22511755:-1 gene:SETIT_011960mg transcript:KQK97667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRHSLEVADDRCDDDGHRPRRAGTAWTCAAHIITAVIGSGVLSLAWSVAQLGWVVGPACMFCFALVTYVSAALLADCYRRGDPEKGPRNRSYVDAVRAYLGKKHTWACGSLQYVSLYGCGVAYTITTATSIRAILKANCYHDHGHDAPCDYGGSYYMLVFGAAQLFLSFIPDFHDMAWLSVVAAVMSFSYAFIGLGLGMATTISNGRIKGSVTGVPMRTPMQKIWRVSQAIGDIAFAYPYSLILLEIQDTLKSPPAENKTMKRASMISILVTTFFYLCCGCFGYAAFGSDAPGNLLTGFGFYEPYWLIDFANACIILHLLGGYQVYSQPIFQFADRFFAERFPDSGFVNDFHTMRVPCLPPWRVNLLRVCFRTVYVASTTAVAVAFPYFNEVLALLGALNFWPLAIYFPVEMYFIQRNVPRWSARWVVLQTFSVVCLLVSAFALVGSIEGLVTQKLG >KQK96756 pep chromosome:Setaria_italica_v2.0:VII:15071236:15073521:-1 gene:SETIT_011856mg transcript:KQK96756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLFSYTANLTASYTDKCNLLTTVPASLIVFILAGLFFNLNLFSGISDVSAILNPKVRLFLSSVLSLFLPVMSYLFSEAKNKGDLPSAGLSLRALLILAWMLLVELLRRKVDEIRMRGYTSTIQRAGRVVWLGSLVFFNINIVAQRALFSMLWILCVTRLLQRLAFTEVEKRSYAHGKNAGLINSYMAQQMLGAHDVDQVIQGEHHELLKRCKYIVMGEEKLVKKVTAYGYELNEVTPRDSIITVGKVWELAESDHLFTTFDQNQHLRRLCLSFALFKLLRRRFEQLPAVMRAEEARDSRNLLLKGLRSSGQSTAEALFQVMNDEVNFLCEYNHSVTPVVLASPFFLLVNYFLILKIVLGFCIMSSLLSGNGNLMFTLQFISHNYAITRLSKVGICLLLSATESPSAFFFILDLFITFILIIILCYEEIWEFFIFILSNWYMVSLLCNYMAKPKWRGSCIFSGSFRFLMLLRSKLRNTNLDFKQFSVLDLCWQPLLALPATLSLKVTTAPVPNKLKQSIMEYMVEHERGTSHNTPLTKGESALTRNNLFYQLSWACNSNSLAEVTLTWHIATSLLEVDCPPRSTQEAASCKVATRLSKYCAYLVLFHPEILPDNQENVELFFEDICEELKNMLGSWDYYLSSRRIRVKKIMESIKGEDTTETTGWREVDVETTGQSHQSKVVTSGAKLGKLLMDQANNSPQTVWKVLADVWTELIIYIAASSDKERVKDVLVLGGEFITLLWALIMHTGISPPANDDSRSTS >KQK99846 pep chromosome:Setaria_italica_v2.0:VII:35032018:35032424:1 gene:SETIT_012675mg transcript:KQK99846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLPETAVQSEGEIMGEKECAVCLGAYEASDTVRTLPCSHGFHERCIFQWLRVSRLCPLCRFALPAAAEETEPEGPMPKYA >KQK97218 pep chromosome:Setaria_italica_v2.0:VII:19660480:19661543:1 gene:SETIT_011096mg transcript:KQK97218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATALLLPSLAAPTGRQRRHHRLPPPQLQLQRGSLASRALRPPLPRRRLAVCAVQETKEGEAKTAEEITEKYGLEFGLWKVFSSKEEGEEGGEGKKSRTDQAKELLAKYGGAYLATSISLSLVSFTLCYLLISAGVDVQDLLAKVGIATGETGGKVGTFALAYAAHKAASPIRFPPTVALTPVVASWIGKIRKGGD >KQK96893 pep chromosome:Setaria_italica_v2.0:VII:17030099:17035346:1 gene:SETIT_012053mg transcript:KQK96893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLELDQQIGKSKMNLKMLQSLRSVDEMWQLKSMLLPFEAKVLDFKDNSLRMFLKAPTLMSGCVIYGQKLDCAIDSFVSDHELLIEVDEGNMEPKNVKIFPDDVCIDILIEKLESSREVISSPSLGWLIQQCQRCFIINALRRSLVNDANNSRHSFEYFDKEEAIVAHLDRGIDASIKISSDWPLCSYGLKLISLRNSGTHPTNMASSLLSKTKELANGLDLHIRQHLLRFVDAVEEILIRELRSG >KQK96574 pep chromosome:Setaria_italica_v2.0:VII:12104490:12104827:1 gene:SETIT_011413mg transcript:KQK96574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIHVAMIVLLLVVTAVVVSPAPAPAPASGAEVSGVRRPPSLVPFRSARSSQHGGRRRRQPFFHGRAAGGCMPRGFRVPPSAPSRYVNYHTLDAGVCGHGGGGRKP >KQK99965 pep chromosome:Setaria_italica_v2.0:VII:35583013:35584280:1 gene:SETIT_012271mg transcript:KQK99965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCICSPMATMYRLPRNAICAPCYEGAKAIIGFLLNKDEQEADGDDHGSVKSLGSSMKPNSSTMGMRHAWELVKKEMRSREETSQRAAFLQQGLALAWKEELHTDIVVKPGTGAPIPAHKAILPTQLVLAQAARSEVLRHVLSGDEHCKAAAGDSISLPELSHDELSLLLAFLYTGALEQEDLPERHLHALLVAADKYDVPFLRRACEARLMAAAVEPRNVLRTLEVADLSSSAALRERAMRTVMEHAAQVVFSAEYEEFAVRNAGLCVEITRAL >KQK97493 pep chromosome:Setaria_italica_v2.0:VII:21470061:21470585:1 gene:SETIT_011426mg transcript:KQK97493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKAEAANDEAAAVVDQLLEAAKLADAGDAFGAREILALRLALSPTGETPAPAVATPYDVVLKLGAYKAFSEVSPVLQFAHLTGASTCWTSTSAWASSGRR >KQK97616 pep chromosome:Setaria_italica_v2.0:VII:22197467:22198008:1 gene:SETIT_013062mg transcript:KQK97616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISHKIMITFSSASRSLSSSSLSISLFCHACNLHR >KQK98932 pep chromosome:Setaria_italica_v2.0:VII:30044358:30045059:1 gene:SETIT_011600mg transcript:KQK98932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGIVQKDGHLKVVRINVCCKTLCSALLRVKPSSLGRRTRCDEPVSARSQVALTVI >KQK96378 pep chromosome:Setaria_italica_v2.0:VII:4741767:4742490:-1 gene:SETIT_011311mg transcript:KQK96378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSMGYFRPPRRLYGRKLYSERELNGESLHAALLMDAAVGEVPKGYFAVYVGAEARRFVVPTSYLRRPAFRELMERAAEEFGFSQAGGIRIPCREEDFRATVAALEVRRRWRPPSTTSGGKMNAMVKAR >KQK99734 pep chromosome:Setaria_italica_v2.0:VII:34466546:34467046:-1 gene:SETIT_011704mg transcript:KQK99734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RQSPTAAAAIPAATTATMSTVLTRPTAGTVQCFGRKKTAVAVAYTKPGRGLIKVNGAPIELIRPEGLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVAYYQKYVDEAAKKEVKDIFGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KQK97134 pep chromosome:Setaria_italica_v2.0:VII:19225341:19225805:-1 gene:SETIT_012977mg transcript:KQK97134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFNPSLNITHRCRFLISKPTQVLINTWVYAT >KQK97165 pep chromosome:Setaria_italica_v2.0:VII:19349688:19351454:-1 gene:SETIT_010085mg transcript:KQK97165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARYVLLAARALVVTVLLAAAAAAEYVRPPPGRIILTEHTEPAAHPQQVHVSAVGATHMRVSWVTDDKRAPSVVEYGRASRNYTASAAGEHTSYRYFLYTSGKIHHVKIGPLEPGTVYYYRCGMAGKEFSLRTPPAALPIELALVGDLGQTEWTASTLAHVGKADYDMLLVPGDLAYADTQQPLWDTFGRFVQRHASRRPWMATQGNHEVEAAPLPPVPGSPPPFAAYGARWPAPHEESGSPSNLYYSFEAAGGAVHVAMLGSYAPFDAGSDQYRWLARDLAGVDRRATPWLVVLLHAPWYNTNAAHQGEGEAMREAMERLLFEARVDVVFAGHVHAYERFIRVYNNEANPCGPVYITIGDGGNREGLAFDFEKNHKLAPLSVTREASFGHGRLRVVNETTAHWAWHRNDDAESVVRDELWLESLAANGACRQQGDPAAADSWNDEL >KQK99320 pep chromosome:Setaria_italica_v2.0:VII:32334403:32338183:-1 gene:SETIT_010912mg transcript:KQK99320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASYRRAGAGGGSAPRTVEDIFKDYRARRSAILRALTHEVEDFYAQCDPEKENLCLYGYANEVWEVALPAEEVPTELPEPALGINFARDGMNRRDWLALVAVHSDSWLVSVAFYYAARLNRNERKRLFSMMNDLPTVFEVVSGGVKQSRERDRSASDNSGRNKLSVKQTSEPRIENNTREPDEGYDEDDGDHSETLCGTCGGIYSADEFWIGCDMCEKWYHGKCVKITPAKAESIKQYKCPSCSKRPRPM >KQK96834 pep chromosome:Setaria_italica_v2.0:VII:16163430:16164124:-1 gene:SETIT_011366mg transcript:KQK96834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQPRLYGCPGAGHDRMQAGRLGFSAPGLMTPARTRRCSSRSTVSSGATPSSSLVDISRRSAAASPTPTPAANVALIPPATTGLARASRTPTSTSKDAYALLLSMLARVANWGCVGLC >KQK97270 pep chromosome:Setaria_italica_v2.0:VII:20135793:20139954:1 gene:SETIT_009419mg transcript:KQK97270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLEYKSFLGVLTAKCLHSHVFFAAVAVHESSPSQFSPLTSSSPLPCNHCAMSEEGADLPHEVEHDHATAPDGSTPAVVGSAIVKLASSNSESVGEMASETSNTGSKPASPALLHAGEDSNTESKIASPVVFHAGEDNTGSKLSSPVVLHAGEENNAGSKLASPAVLHDGEDNNAGSKLASSAVLHAGEDNNTESKLASPVVLHPGENNRAEYKLAIQAVPHAGEDSTPMSKLACPVVLYAGEGTNADSKMDIPMPHVSVDSNTNARFKHDSPAVLYAELASQVVPHAGPTVLQAGEGNNIQAVPHAGEDNNAGSKLAMSAGLHVGESNNASKFIIQAVTHAGEDNNAESRLSRLGEFQAGEGNNTASKHAIQAVPIASDDNNSGSKIAIEAVPYAGEDNNAGGSKIAMLAALHDGEDNNATSELTIQMVSNAGEDNNAGSKLASPAAVNADDNNVEKGKNNIVGEGGDNIAAGGGGGGAPSNTSKKLTSDGGGAYDAVKDASTAVASRGRGRGRGRGNGKGKGVATEEEKGLHIWTERERRKKMKNMFSTLHALLPRLPEKVDKSTIVAEAVTYIRSLEGTIKSLEKLKQDRIRAQQVAAGASCSRAAPPPPLPPPAPAAPTREAILADMVQSWNAQEAIMAELRAAASAVVSGVPRAVGSSSAAAAAPALAPAPALQTWSGPNIVVCIAGSDAFINLCTPRRPGMLTRLLHVLERHRINVMAATISSDQTRSFFSIQARINEATPPPPMLPGNLRAEERYKLAVGEMLHAIAN >KQK97555 pep chromosome:Setaria_italica_v2.0:VII:21860255:21862216:1 gene:SETIT_012176mg transcript:KQK97555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGELFLAALCVVVASALAANADARKMVGVYELRKGDFSVKVTNWGATIMSVILPDSKGNLADVVLGRDTVAQYVNDTSFFGPVAGRVAQRIAQGRFVLDGKVYHLNINDGRNTLQGGGRGFHKVIWTVREYVCAGDSPYITLYYRSFDGEQGFPGNLDVHVTYRVSSPYTLGVHMNATALDKATPVNLLQHTYWNLGGHGSGDVLGHALRLAASRYTPLDGEMLPSSGRVAPVAGTPFDFRAPTPIGARIRQVMGGRVVGYDANYVVDGEPGRMRPVAAVRDGASGRALELWGNQPCVQLYTANWLNHTEGKGGEVYDRWAGFCLETQGYPDAVNHPEFPSQILRHGQVYRHDMVFKLSF >KQK97938 pep chromosome:Setaria_italica_v2.0:VII:24085492:24086410:1 gene:SETIT_011286mg transcript:KQK97938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVGFARRRWALSSRGSSRQKGMPSAPGAWRACVPRDGRRRLNWRRVAIRLDREGERDTGRGQLGIQVDSVHTRSSSLLSHLPNIAVATLHGLCLFCACKHIDPFWPNDPRAVLVRGTSNCPDEGTPEEELLTC >KQK97779 pep chromosome:Setaria_italica_v2.0:VII:23210620:23213088:-1 gene:SETIT_010614mg transcript:KQK97779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSAHAQPAYALVALAALGLIVSARAAARLALWLYAAFLRPARPLRRRYGAWAVVTGATDGIGRALASRLAAAGLGLVLVGRSPDKLATVAAEVKARHPGTQVRTFVLDFAADGLAAKVDALGELIRDLDVGVLVNNAGACYPYARYFHEVDEALVRNMVRVNVEATTRVTHAVLPGMVERGRGAIVNIGSGSASVLPSCPLHTVYAATKAFVDQFSRSLYVEYKSKGIDVQCQAPMYVATKMASIRNPSFLAPSPEAYARAAVRYIGYEPRCSPYWPHAVWKLVSILPGSVADRVILSMALDGRAKGRAKDARKKKQ >KQK99393 pep chromosome:Setaria_italica_v2.0:VII:32731652:32733273:1 gene:SETIT_010855mg transcript:KQK99393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTVLFSPSSSLFLTKPSPLTKSRAAAAVRCSNEPALSVSREEEGVEMMGRRRSLISAAAAACGASVLGFAGHGLAATQGLLAGRIPGLSEPDENGWRTYRRPDDKSGGHGVGWSPIIPYSFKVPEGWDEVPVSIADLGGTEIDLRFANPKEGRLFVVVAPVRRFADDLDDATIEKIGNPDKVISAFGPEVIGENVEGKVLSSATAEHSGRTYYQFELEPPHVFITATAAGNRLYLFSVTANGLQWKRHYKDLKQIAESFRVV >KQK97845 pep chromosome:Setaria_italica_v2.0:VII:23545782:23547221:1 gene:SETIT_0098422mg transcript:KQK97845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDLVRPRVAIHALVSAAARGFVDVVDTLIKCGADPNATARVLLRSLKPSLHANVDCTALFAAIVSRQVAVVRHLLQAGVKRDTKVRLGAWSWDTSTSEELRVGAGLAEPYDAVWCAVEYYESTGSILRMLLQNGYTSSATHLGRTLLHHAILCGSGGAAQTLLASGADSEAPVKTSRSSRSRPVHLATRLGQPEILQTFVDRAA >KQK96246 pep chromosome:Setaria_italica_v2.0:VII:2396239:2396748:-1 gene:SETIT_011320mg transcript:KQK96246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTKPVTYSRNPQANGDEASNYRNVLLAAGAGVAEWSPDVEATSFQGCVAEETGAAQLLPELLAARRLEKRHPYDGLLYLLDACVHLLRHRALLLIRRPRRHDKRQQVQHCQLELLVPRRRISHFYGF >KQK98191 pep chromosome:Setaria_italica_v2.0:VII:25564943:25565383:1 gene:SETIT_011792mg transcript:KQK98191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSGVVLVLLLAIAMASCRLSLAATAAATHESGASIPAILGRELREFIARAGNMFRSSGADGWRAAATNATADADADAEAKNLRAVAASRRRRPARKSAGCVSAAACRKRRVICAKRCYRALRAASLTHVPSRCVVKCRKCVPTC >KQK98537 pep chromosome:Setaria_italica_v2.0:VII:27795270:27795789:1 gene:SETIT_012963mg transcript:KQK98537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCMGYRGRGQNLSMKVKMVGSMIHPNACILIRHLINYSKGTTYQF >KQK99671 pep chromosome:Setaria_italica_v2.0:VII:34146728:34147942:-1 gene:SETIT_011039mg transcript:KQK99671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSETETVTVEGIPFPAEITVGNPLSLLANGITDIEIHFLQIKYNAIGIYLHNNHALLHHLQSWKGKTADELLGDDAFFQALVSAPVEKLFRVVVIKEIKGSQYGVQLESSVRDRLVAADKYDDEEEEVLEKVAEFFQSKYFKPSSVITFHFPATPGAAEISFATEGKDEAKMRVDNENVAAMIQKWYLGGESAASPTTVQSLADRFAALLSAAA >KQK96442 pep chromosome:Setaria_italica_v2.0:VII:7415462:7420183:-1 gene:SETIT_010242mg transcript:KQK96442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCRDDGGSIYRCYTSPVSGCTFTSKMETLDYLFSGMEERMLESQESAEDNELLGSHTWLPGGWLIEVRAGGKKMNKMYKFYFHPPTGMRFLSKAEVLHYVNEGKISASDMDVLCDTRTDDNILAHVEFNPDGLPDGWVKETIFRNCNDGIRKDPYYTDPISRRVFRTLKSVLSYLGTGEISKHAYLPRRNVIDMYSFDKCVDLPQSMLKRLRAEGQTKQKSRRALVLYKELPNNQTSNHSEGGTSAGLTPKSDLKRNKFGTEKATATNENGSETTKRRRGRPKKILKQTNESISDCDKRHKEAKHNEVKEEVDICDEEDMPNGKTKKHTEMQKRTPVIQEVGNNNNIAESNSLKRKENRSDLVASPGLQNQENGRSTEAGKKATCSSVHKFYKRRCSNQTVSSNKG >KQK96443 pep chromosome:Setaria_italica_v2.0:VII:7414928:7420354:-1 gene:SETIT_010242mg transcript:KQK96443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVCRDDGGSIYRCYTSPVSGCTFTSKMETLDYLFSGMEERMLESQESAEDNELLGSHTWLPGGWLIEVRAGGKKMNKMYKILAHVEFNPDGLPDGWVKETIFRNCNDGIRKDPYYTDPISRRVFRTLKSVLSYLGTGEISKHAYLPRRNVIDMYSFDKCVDLPQSMLKRLRAEGQTKQKSRRALVLYKELPNNQTSNHSEGGTSAGLTPKSDLKRNKFGTEKATATNENGSETTKRRRGRPKKILKQTNESISDCDKRHKEAKHNEVKEEVDICDEEDMPNGKTKKHTEMQKRTPVIQEVGNNNNIAESNSLKRKENRSDLVASPGLQNQENGRSTEAGKKATCSSVHKFYKRRCSNQTVSSNKG >KQK97660 pep chromosome:Setaria_italica_v2.0:VII:22470041:22472236:1 gene:SETIT_009995mg transcript:KQK97660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTAPNSSGGHHAAAADATGPTDTTVTLPPLTLRDVPRLPAALASPSPAVQNPISSHPYFHPPTTFYISPGDVSLRHAFFDLASAAPSPLVAYRRAGPRRDIAVDPARASAALVTCGGLCPGLNTVLRELVVGLQELYGVRDVFGVAAGYRGFYGGDEDHVRLDPAAVDDWHKKGGTVLKTTRGGFDLGKIVDGIVARGYTQVYAIGGDGTMRGAVAIFQEFKRRGLDISITGIPKTVDNDIGIIDRSFGFQTAVEIAQQAIDAAHVEAVSAVNGVGLVKLMGRSTGHIALHATLSSRDVDCCLIPEVDFYLEGKGGLFEFLYERIKKKGHAVIVVAEGAGQELIPRTDDQKREQDESGNIVFLDVGPWLKSELGRWWKKEHPDELFTVKYIDPTYMIRAVPANATDNLYCTLLAHSAIHGVMAGFTGFVPGPINGTYSYIPLEDVAVAKNPVDVNDHKWAWVRSVTNQPDFLISQA >KQK99072 pep chromosome:Setaria_italica_v2.0:VII:30784008:30786063:1 gene:SETIT_010315mg transcript:KQK99072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPTCCRTRAPYFATATLVASDVAFLVTGDSSTRQPPSLLQSRTHPGNRASAWEECAFVAMALRNGGRGGWGAAASLAVVVAAVAALLGAGAAVAAAAKFDDVVQPSWANDHMVYDGDLLKLRLDANSGGGFVSKNKFLYGKASADLKLVPGDSAGVVTAFYLSSGGDKHNEFDFEFLGNTSGEPYLVQTNLYIDGVGNREQRIDLWFDPTTDFHTYAVLWNPSQVVFMVDDTPIRVYENTSSRHVHGHHRHAANTSTTDSSPPPFPGPQPMAVYSSIWNADDWATQGGRVKTDWSHAPFEATFREVRVDGCVWAGNATDWDGGEVSRCTGSSWGKEGRYWWKEKEMSELSVHQSHQLVWARAHHLVYDYCVDTDRFPVQPPECAGR >KQK98882 pep chromosome:Setaria_italica_v2.0:VII:29750213:29753128:1 gene:SETIT_010907mg transcript:KQK98882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRTTASRRYVGDGREGNGGRRRAGRADLLWPLAAQRTAEIRRLVGSDSAASAQGLNRVSAAPPLPSESQLRTPLRAAAAASQLDAHRAAMAEQTEKAFLKQPKVFLSTKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCRPLSKTVRFNVVKVIPAGTTGGAGGKKAFTAA >KQK98881 pep chromosome:Setaria_italica_v2.0:VII:29750213:29752584:1 gene:SETIT_010907mg transcript:KQK98881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRTTASRRYVGDGREGNGGRRRAGRADLLWPLAAQRTAEIRRLVGSDSAASAQGLNRVSAAPPLPSESQLRTPLRAAAAASQLDAHRAAMAEQTEKAFLKQPKVFLSTKKSGKGKKPGKGGNRFWKSIGLGFKTPREAIEGTYIDKKCPFTGTVSIRGRIIAGTCHSAKMNRTIIVRRNYLHFVKKYQRYEKRHSNIPAHISPCFRVKEGDHVIIGQCR >KQK97828 pep chromosome:Setaria_italica_v2.0:VII:23466623:23471910:-1 gene:SETIT_009508mg transcript:KQK97828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYSKDLLSKKGPLGTVWVAAFCGEAALNRDQVARTDIVASVDKILSDVQGPHGISQRILAQLLFGIVRIYSKKVYYLYLDCEEIRSLQLRLCVEPSVLTGGSTRGPLKQANKAVRAGRSVAGHQNTSRVKKPVHAVRTEVSSPVSSEGLSLRVETEVIVRTSVVIREARLPDDLPTFTRPKRFELDSFDLGIAEDTDDEGEDHHQSASQDILLEDERHRVPYFYESYQRASCSYDVDSTCFMPEYIEANNILDLSTKGDKPERENQNADSAWFTPVKDVLPPDMMDMVSEATDPSDKSKTRDNSIRDVNMDETNGGSACSMAPIPPQESQEGQNSENIENMTCGSLSENNPSIEASGNNSLLEKSNTIPPPSAEFPEHDAGEHESPEAPVLSCETGAENELSPSTPEPLPEGVPGLVLIMRAAIEDASDLVQQRRKAPHTHLDTWKVAKVGSLPYTFMDPLIPYKTSTPLACVAAPEAPESLWEGSVKARRRLSYEHTESVHSCKDTGSTERESILDASRKRKLDEGTDFEASVGCHTENGPVQDGVCECNEDTAKEKGPRVEGDEPSSEILPKKGLHESENQISLHNEALNAALDNIDEDIPNEEPTRDEGLLNSTRTRKIANCLHKLFLDQKSKEGTNTLSLNQVLEGAKRRTAATLFYETLILKSRELVQVNQEQPYADIILSATPQLEAEVQRCGN >KQK98544 pep chromosome:Setaria_italica_v2.0:VII:27843452:27845296:-1 gene:SETIT_012021mg transcript:KQK98544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPESPASPAQPPSPPGSPLNAGTPSPVSALLRGSVLLLAFLVLQLVLFWCLLGYPASSRFLPAPGRRNTTWPNGAVDAGACEAGLVYVYDLPPEFNHDLVDDCESLWPWYSFCPYLTNGGFGVAAAKLPVFFNVTRNVSLHSWYNTDQFQLEVIVHRRLLSHRCRTTDPSLATAFYVPFYVGLDVGSHLWGENSTAADRDRAGSRLLRWLNNQTSFRRSGGWDHFITLGRITWDFRRYGDDGWGTNFVVLPGMANVTRLAIEADTLDAMDVGVPYPTGFHPRAAADVRAWQRHVLSRKRSKLFGFAGAPRSGFRDDFREVLLEECEDAGAERCRAVDCRGTRCTDNGAAVLELFLDSRFCLQPRGDSFTRRSLFDCMVAGAVPVLFWRRTAYDQYRWYLPPGPRGEKGEWSVFIDRQELRVGNVSVREVLDGFSERRVRRMQERVVEMIPRLVYASSPDGFGGGMEDAMDVALGGVLGRIRRRQGSITREEHPPGPFVARRIGVKSTAAPPPSEGQNGSAAAIGRAGAGKNGGPPASSYLKTVLSEASASISKTLQKS >KQK97180 pep chromosome:Setaria_italica_v2.0:VII:19452815:19457090:-1 gene:SETIT_011902mg transcript:KQK97180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRSRRCVAHARTLALTPAPAAASAFRLRATATTDSSPPPPPPYPLAEILPYVAAEWETIAKGWACAAAAVYCLSRAVPAAGRLPRALAAAGAGAGAAIDVAARGGLALAAFASARAAAAYAQQALLWEAALRAAGRLRERAFARLLERDLAFFEGRGGVAAGDLAHRIADEADDVADAVFSVLNVVPSMLTVKANNGELKEILRFQKLARDNLKNNLDKKKMKTLIPQAVRATYIGGLLVLCAGSMVVSGTSFDAEGFLSFLTALALVVEPIQDLGKAYNEYKQGEPALERIFDLMRFNPEVTDEPSATHLQHVNGDIKFHDVTFRYVDSMPPVVDGVNLHIRPGESIAFVGPSGGGKSTLAKLLLRLYHPQSGYMLLDNHDVQDIQLQCLRTHIAFVSQDAMLFSGTIAENIAYRDPLGDINMARVEYAAKIAHAEEFIKMLPEGYDSNVGQRGSSLSGGQKQRLAIARAVYQNSSILIMDEATSALDIRSELLLKEALRNLMTNHTLLIIAHQQEMVLMADRIVLLEGGKLQEITKLDFLSQNGHLQKITSPN >KQK98461 pep chromosome:Setaria_italica_v2.0:VII:27147274:27147907:-1 gene:SETIT_011434mg transcript:KQK98461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLLARQRRKASEGFLQKRQGKPSVEYEENNCTVFDWSSSNEVMLIGKLKDFFPSWFFFLIVCTVNRCYRSSLFKRLLCSVITSRICWVLVFILVLFL >KQK98355 pep chromosome:Setaria_italica_v2.0:VII:26478149:26478643:-1 gene:SETIT_013039mg transcript:KQK98355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCIFCQYHLSYYRNQARIIGRGSVQRLHKTTNIVYVSV >KQK98672 pep chromosome:Setaria_italica_v2.0:VII:28574067:28575770:1 gene:SETIT_011988mg transcript:KQK98672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRTPRLAWVLTAHRSPPYRLSFLPTQSLKAPAVSLQLRLRTRLMASPAAAPAAEFTQMEAARQSLIAISQSVPEIGAPVVRSPNGGMEHGHDDRAEQRYRAKLISISNQSPDARPAPCLPKNAAA >KQK96172 pep chromosome:Setaria_italica_v2.0:VII:1171959:1174051:1 gene:SETIT_012340mg transcript:KQK96172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRRRLGVLLLRSPPSSSSTAASSCQSRRHHLLPSEEPLALNRLARLFTSQAGSDGGHSRKPFVAFVLGGPGSGKGTQCSKIASDFGFAHLSAGDLLRHEIASGSEKGQLILDIIKEGRIVSSEITVELIRKAMETSNADKVLIDGFPRCEENRITFERIVGTEPDIVVFFDCPEDEMVKRLLGRNQGRVDDNIETIKKRLKVFESLNIPVVDYYSSRGKVHK >KQK98318 pep chromosome:Setaria_italica_v2.0:VII:26252406:26253388:1 gene:SETIT_012766mg transcript:KQK98318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRHSRYLSPPAQAIALWMALGTSPPSAGDGAYSSKLLDTLRLVVRSGGGASSSSSGGREVAARGQSRWSRAILARQRRRRRRSRPGTSLLVSKTRALGRLVLGCRRLSLLPALLAEVSDDYIAALQMQVRAMNRLTQGIEEVGGGVIIHIFKN >KQK97723 pep chromosome:Setaria_italica_v2.0:VII:22872658:22873125:1 gene:SETIT_012835mg transcript:KQK97723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFKLGAAPNCNLGQTNKNSQRHTRIQTPKHRSLALLLLHNSLDYTPASLHLRRASLQHNTAMKYS >KQK96652 pep chromosome:Setaria_italica_v2.0:VII:13425418:13425842:-1 gene:SETIT_011632mg transcript:KQK96652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHYTCNFKSIINVSSASMQGPEPMREPNQLACPGKFLAACASEARGARN >KQK98659 pep chromosome:Setaria_italica_v2.0:VII:28502226:28502705:-1 gene:SETIT_0124582mg transcript:KQK98659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGGAKERTHCRSSWLSGIVRRKKDPATASPPLSPPRRSWRGVSNRGLSPVRYADGDGDGEESTSPAAESPWLPSPSPMRKTPCRRRLGLGGAGVGAGVSGFAVCISPLVRPGLGRHPRGGHPPDAVSTELRPSPLHPLTSSASLHHCRSWKLADGGRFR >KQK96340 pep chromosome:Setaria_italica_v2.0:VII:3827584:3828047:1 gene:SETIT_012983mg transcript:KQK96340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPNCLDRIKQINHFPIGLNKLTFQLPNHSVTYP >KQK97443 pep chromosome:Setaria_italica_v2.0:VII:21223353:21226060:-1 gene:SETIT_011121mg transcript:KQK97443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGAAGTPAKVTPKKANLLDPHSIKHLLDETISDVVKSKGYTEDTRLGNLKLGIGAAVIAVALLAQFYPKKFPQNREFLLGCIALYVALNVVLLILSYTKEKDAILFTHPPAGSFNSTGLIISSKLPRFSDMYTLTIDSADPQSISANKPVRFSKSVTKWFTKEGVLVEGLFWKDVEKLIDDYNSERKSK >KQK96900 pep chromosome:Setaria_italica_v2.0:VII:17196376:17196821:-1 gene:SETIT_013028mg transcript:KQK96900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLNSCSSSSWSKISLIAGTDSDMSKSSSAESTMIVSIFRRAHGQQCTLESKCQD >KQK97713 pep chromosome:Setaria_italica_v2.0:VII:22827596:22830577:1 gene:SETIT_009382mg transcript:KQK97713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALHSDPYSRSSLQPYRDAPVSFENNNTVLDKHGIVSPRVGMTFETVDLAYQFYLEYGYRAGFGVSKRTSHSVDGVKYRATFVCYKGGIARIKPGLKARRRLVAKTGCKSMMVVKFNANENHWEVVFVELEHNHPCNPEMVRFMMCFKDLPDWQREHRPFNAKTRLNPKIHSGRGRPPNQNKDFMVRSFAQSNYSIEGAGKAGKLRFAEGDVEALLVFFDKMQAQNSNFFYNWDMDDEGRLKNVCWVDARSRVAYQHFCDVICFDTVYLTYQFVIPLVAFLGINHHGQFVLLGCGLLGDESPETFAWLFKKWLKCMNDKAPEAIITTHSRPVVKAVSEVFPNTRHRYNLWHIMKELPEMSGRVEDKEAISLRMKKVVYDTITSADFEREWVEMINQYNLHDNRWLTTLFEERAKWVPAYVKDTFWAGISTVRRSERLEAFFDGYITPETTIKTFIEQFDTAMKLRSDREAYDDFRSFQQRPQVLSGLLFEEQFANVYTINMFQKFQDQLKQLMNVNCTEVSRSGSVVTYTVTVIGKERKFDYRVMYNSAEKEVWCICRSFQFKGILCSHALAVLRQELVMLIPPKYILDRWRKDYKCPEEPKETPISPNAAKATGKGTKPDNVQEDKVDNLYKHGHQYFADIVEMGATDPDAMEYVLSVMKEAKEKMRKFEESRKEKRPGESPVSAGKKGAKSSKPSSTEDVGNGASMSTPTTAAVTTVTVVPSAPMAAAPTMMAMAPASAAMPQGMFLVPMHPHPMVFPPFAPAVQPAVAAPVAPPAAPATNVGDTATNTSKKRKKRKGKN >KQK98750 pep chromosome:Setaria_italica_v2.0:VII:28973065:28975814:-1 gene:SETIT_010656mg transcript:KQK98750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPAPSPRPPVVEGAQPEERSQRRPRSALRGALGVAFPIAASFLFSFLVGLAGLALGGLSSTASVSMPSTCRILSTGVDIRSSKVCELGLLNYRAKHVFYPSSKRRFRCHDDYYWASVFQVEYTEYFSGQLSYAVAETPKEALPHNCRPDFGAAWSTTSKFKVNESYSCRYTLGSNKADIHSDKLFNCSAEEPSTREILKRILTLFSKSYTSEDFSSERMLGYVAAGVVLGMLSSMIITVLFRGFFGLLLAAVRWAVRKHSIRVFASRLKRACLLVAYVSAVGWITLQYSKFIGLKELLSDSELMERFF >KQL00011 pep chromosome:Setaria_italica_v2.0:VII:35760535:35762390:1 gene:SETIT_010342mg transcript:KQL00011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGRLSYPSWTSAHPSASSSMMDHQLQSSSAGGDTEEEDEEEDAAAAADMPPGGGRDGSSASNSSTVDEAGGGDSSGRNNPSSSSVRPYVRSKNPRLRWTPELHLCFLRAVDRLGGQDRATPKLVLQLMNVKGLSIGHVKSHLQMYRSKKIDDSGQVIGGGGSWRDDDHELQEGGRQAYNLGHLSLHHAQTGAATTLLSARFGAWPHYWNWLHGHHHHLLGSKPYYSSAADQADVFLTTTRAHHQYVSRASILQAGRSSYHQNDQFIRPLLQQRDDEDNHSNHHDPLDLELALDIGPRRQDNKRIKRSSSGCSLGREEDDDKENASRDDDQQVLESATDDTAGLSLSLFSSYPPFARTSVSMDKGEEAHPTRTSTLDLTI >KQK97606 pep chromosome:Setaria_italica_v2.0:VII:22126303:22128249:-1 gene:SETIT_010457mg transcript:KQK97606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHSDAASPASAAAARDAKKKRGNRTAAKLKQSKLETRREQWLSGQGKDGKETKVAALPTGAGSNAGSPILASPHPPLPRRRADTRSRGSDPEDREEAAAATLEVGGSDLDSPMHSPVSDKSQGGGCAQRKGFSGNGGGPSLSSGSSVWSSSRSVSDAEEDHTGCPEDESEVLDDWEAAADALYDDDSHCHQSSVPTTPPPAPTNAAPANAARPEPIRSKARAWAPDDIFRPQSLPSISKQASFPASIGNCWAGMGMSAAQQGILSLPLSCPICYEDLDPTDSSFLPCPCGFHLCLFCHNRILEADGRCPGCRKQYNTVPAAEGGGGPRATMIGREMANIAPVRLSRSCSMGPRY >KQK98136 pep chromosome:Setaria_italica_v2.0:VII:25256660:25258924:-1 gene:SETIT_012266mg transcript:KQK98136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFTESRAAAEPPLAESYLDLLRRGGGGIAPPGEGRVAVQERELPLIDLGCLMTTSGQQGSRSAREARAACAYAMARAASEWGFFQVTGHGVGRALLERLRAEQARLFRLPFETKARAGLLNGSYRWGAPTATSLRHLSWSEAFHVPLASISGSGCDFGELGSLRGVMQEVADAMSRVAKTVAVALAGSLLQGHHAAAAAAFPAGCDETTCFLRLNRYPACPFAPDTFGLVPHTDSDFLTVLCQDQVGGLQLMKDARWVAVKPHPDALIVNIGDLFQAWSNNRYKSVEHKVVANAKAERFSAAYFLCPSYDSPVGTCGEPSPYRSFTFGEYRRMVQEDVKRTGRKIGLPSFLKQQQPQ >KQK99466 pep chromosome:Setaria_italica_v2.0:VII:33024751:33026073:-1 gene:SETIT_010439mg transcript:KQK99466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEKGSPNQAAAGLPDDLIVEILARLPAGPLCRCKCVSQSWRALISDPAHRARFAHTLSGFFVFSRPHSASAPSSWSFIAIQQSPPLVDTALSFLPPSHGEIDILDSRNGLLLLRCPGEGDRHPCYVVCNPATAEWVALPQPSQTPGQQCDRDGRGLKTTSAALGFNPAVSSCFYVFQLMEEKWVYNNVNVIRAVEIYSSETGAWISRLSGWKDRLVFFAGNKTYFKVQDRAYITCVFVGHSQGRLRYVHEDDEQPGDSLSICVLEGNVNEEFTVKHSVRKMDLFGPRKSRWPKEHQTVAFHPDGNLIFLYHRPSDRLMCYDMNRREMHVICDVGGVKPCQHLFLPYVPYYSRVLVSPN >KQK97448 pep chromosome:Setaria_italica_v2.0:VII:21266035:21268119:-1 gene:SETIT_011954mg transcript:KQK97448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSTAKTNAGESLHCSTFASRYVRTALPRFKIPEQSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIQASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPIGDDETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAAGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAAEMVDENTICVAAILGSTLNGEFEDVKMLNDLLAAKNAETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPDELIFHINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGFEGYKDVMQNCRDNATVLREGIEKMGYFDVVSKDSGVPLVAFSLKDTSKYTVFEVAESLRRFGWIVPAYTMPADAEHVAVMRVVIREDFSRSLAERLIADLGKTMADMDAHAGKKAGHDHPAKKSVHEIEKEVTTFWKRLVAKKRSSMVC >KQK97455 pep chromosome:Setaria_italica_v2.0:VII:21314395:21315005:-1 gene:SETIT_012768mg transcript:KQK97455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNGTAAAVPEVALRSGNARLMPVVGMGTAASPLVPEATKLERRAGCHRGGLPPLRHRLHVRHGEAARRRRGRGGAARARVASREEMFVTSKLWCTQYHPALALPDLRQTLQYESPKPAAADGLMEYLDLYLIHWPVCIKPVPPSFPAKKEDAMPFDFERACGSSGR >KQK96663 pep chromosome:Setaria_italica_v2.0:VII:13570190:13576159:-1 gene:SETIT_010215mg transcript:KQK96663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRRLPPCLAATALSAALLLLVLLRTSSSSSSHAPLLAWPSSRHPTPPLPPAAARAEWGPSRPPSLAYWISGTGGDARRVLRLLRAVYHPRNRYLLHLDAGAAAEERQALAEAVRTEEPAWREFRNVDVVGDAYAVDRTGSSALAAVLHGAAVLLRRGPHWDWLVTLSAEDYPLVTQDDLLYAFSSVPRDLNFIDHTSDLGWKEHERFEKIIVDPSLYMDRNTEPILSKETRRMPDAFQIFTGSPWLILSRSFTEHCVHGWDNLPRKLLMYFANTAYSMESYFQTLICNSSDFRNTTVNGDLRYFVWDDPPGLDPLVLNESNFQNMVNSSAAFARRFEEDAPVLKKMDDELLNRSRVQLVPGVWCPNLGKEQREVDMDSCLKWGDINAVRPGPAGERLRRFISEISKTRGCGLAAS >KQK97431 pep chromosome:Setaria_italica_v2.0:VII:21184153:21184952:1 gene:SETIT_010981mg transcript:KQK97431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRENPPRKIFASRHGYKSPSKRQSGNSTKPSHSPSQLPQAAMAASLVSIPVPVRFILLLLAMAGTRAAPASETVKQICAEATSGGAHADLEPFCVASLQAAPGSDGADARGLAAIATNLTLANYTAAVATIKELQRRGGWSAAQRGALATCRQRYIEALNVVHSAVHALAAGRFRDYAADMGVVGKAATDCEDAFGAANAGGGPSPLRKVDQDAVNLTTVAALIVRSLK >KQK96996 pep chromosome:Setaria_italica_v2.0:VII:18107487:18110078:-1 gene:SETIT_011189mg transcript:KQK96996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGAKVGAEEMEEREREGEAEEVEVKFRLFDGSDIGPVRCNAAATTVAALKERVVADWPKDKSVSPKTANDVKLISGGKILENDKSVAQCRAPFGDLPSSAITMHVVVQPSSAKSKPGSRFIMLPSFLLDLYFQKCVLLVSIRVLCFRNVEGVELRIIQICYS >KQK96995 pep chromosome:Setaria_italica_v2.0:VII:18105542:18110078:-1 gene:SETIT_011189mg transcript:KQK96995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKEGAKVGAEEMEEREREGEAEEVEVKFRLFDGSDIGPVRCNAAATTVAALKERVVADWPKDKSVSPKTANDVKLISGGKILENDKSVAQCRAPFGDLPSSAITMHVVVQPSSAKSKPDKKANKLPKTTRCSCTIL >KQK97592 pep chromosome:Setaria_italica_v2.0:VII:22066645:22070182:1 gene:SETIT_010865mg transcript:KQK97592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISEDPAEEIREAPKHDSSEGQ >KQK97591 pep chromosome:Setaria_italica_v2.0:VII:22067457:22069526:1 gene:SETIT_010865mg transcript:KQK97591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAELSREENVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEDRVTLIKDYRGKIETELTKICDGILKLLESHLVPSSTAPESKVFYLKMKGDYYRYLAEFKTGAERKDAAENTMVAYKAAQDIALAELPPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDISVRPSISQI >KQK97933 pep chromosome:Setaria_italica_v2.0:VII:24053083:24055188:1 gene:SETIT_009839mg transcript:KQK97933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANIAQQQFMRELTAPRAWMLLLLPVFLLLVRFSLSAKRSRKIRQQQNDHVPPSPPALPVLGHLHLVGSLPHVSLQNLARKHGMDLMLLRLAAMPVVVVSSPRAAEAVLRTHDHVFASRPLSLVADVVMYGTTDIGCAPYGDYWRKTRKLITTHLLTVKRVQLLRHAREEEVSTAMAQIGEAAAAGAAVDVGDLLGAFTNELACRAVMGKSSRNEDRSKLFRQLIVDTSPLLGGFHAEEFFPFLARFGVLSKLVRAKSERLRRRWDELLDRLIDDHESKRHESKNEDDDFIHVLLSVRQEYGLTRENMKAILLDVFFGGIDTAASVLEYTVIELIQRPQVMRRLQAEVRSIVPSGQDIVSEADLNSMAYLRAVIKESLRLRPVTPLLAPHFSMASCNIDGMVVPAGVRVLINVWAIGRDPRFWQDAEEFIPERFLDGGSAAGVSFKGNDFQFLPFSAGRRQCPGMNFGMAAVEVMLANLVHRFDWEMPPGKEARDIDMTEEFGLVVHRKEKLLLVPKLVRV >KQK96400 pep chromosome:Setaria_italica_v2.0:VII:5492580:5493439:-1 gene:SETIT_012665mg transcript:KQK96400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVAGEVTHTFPSNENLKEATSKIKTVNDATEEDAEKAEAPIQKCEGAGPADGDIDDGKWQHRRLQEVAARY >KQK97786 pep chromosome:Setaria_italica_v2.0:VII:23261341:23265499:-1 gene:SETIT_009867mg transcript:KQK97786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKNILGRLPRKPGKAGDSRDAAAATSAGNGMEPSNSYSVARSMDPANKRAGNGDYAVPPGVAPNPVMNGAVVYHSNEPLPAFKDVAASEKQNLFVKKVNLCCAVYDFTDPTKNLKEKEVKRQTLMELVDYVTSANGKFSEVVMQEITKMVSINLFRSSNPTPRENKVIEGVDLEEDEPLMDPAWSHLQIVYEVFLRFVASQETDAKLAKRYIDHSFILRLLDLFDSEDPRERDYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQLAEFQRCMVPLFCQIARSMNSSHFQVAERALFLWNNDHIENLIKQNYKVLLPIIYPALERNTRDHWNQAVRSLTLNVRKIFSDHDSAFFGECVQRFNDEELKQEESDLKREALWKRLEEMATSKPGENNPLGTPNGKSSQTAG >KQK96164 pep chromosome:Setaria_italica_v2.0:VII:1119544:1125866:1 gene:SETIT_009361mg transcript:KQK96164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDKTPPQPQPEVVGEKRKREEGSPDAATEDAPPASAAFGCSHGESCRYAHTEEELRPRPDGTWDPTSDRAKKLRKVAAEAQEETEEEVTVDEQSLDKCLVGLPRGWTADRLKGFLQDQGISYATAKKKKGMTVGFVTFESVEQLTNAVQVLKENPSGGKEIKIADANRRSHQKVHAEGPASDNGTATENGSNAAATAGEASAAEAVASNKKSARDAVTPLAHMSYNDQLEHKKHSMAQILKRLTRNARKACPPAIPLPNWIFKSKEIGGLPCKLEGILESPIVDGYRNKCEFSVGYSLEGKKTVGFMLGNFREGVTAVEEPVNCPNVSEISCKYAQIFQDFLQSSSLPLWSRVDNCGFWRQLTVREGRYPAEAVVLQNVESKISEVMLIVQVCSTDVDEALMKEEFDKLSAALIEGAAMCSPSLPLTTIVVQDHKGISNAAPADCPLIPLLVPKGDQLGGGAEDKTRIHDHISNLKFSISPTAFFQVNTLAAERLYSLAGDWANLNSDTLLFDVCCGTGTIGLTLAHRVGMVVGIEMNESAVSDAHRNALINDIKNCRFVCGKAEDVIGSLLTEYLGSPQQHIAASESNSIINDTRENEDTVDCLESNGENMDSSTETNNNGESEQPGDMSVDLPTCAGDEEIKEDSMDRVNKEVDSSHNEHNEAAGEQKCGEASLINDECTDTTLSDSLEPGSGKTCQDSSIPNKNVLDSSACKFKNVVAIVDPPRVGLHPTVIKALRTHPLIRRLVYISCNPDSLVANAIELCTPTSEKQEKNKGNRGWRSMSAAGLARQRTKSMPNSEPFIPKRAMAVDLFPHTSHCEMVMLFER >KQK97221 pep chromosome:Setaria_italica_v2.0:VII:19682036:19682944:1 gene:SETIT_012657mg transcript:KQK97221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPPAPTWSPPPPSGGVISSEPDSPSSDGSSSPSAPAAGSSSIISSSSTPTPPPPARGSGGASAPPPTPPGCAACKHKRQKCSAGCILAPYFPASDPDKFRSVLRVFGVKNLLRTLREVPPPRWDACVRSVVYESRMRLADPVRGCAGAIEDLEAQLMDTAVELEVLRRRLESYRQAKRGGLRLFRTPNPSQHGRAAASPRGVTDLDAARQQPGRARGDMMHPQGPHGAAWPPAMAWLAATPPQFHAMRPQFSPVPPQLSATQPQFSAMQSQSAMRRQAATRGAAVMIRDDFGNAWANDDER >KQK98786 pep chromosome:Setaria_italica_v2.0:VII:29148181:29155710:1 gene:SETIT_009298mg transcript:KQK98786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFSGLEVWRIQNFKPIPVPTSAHGKFYTGDSYIILKTTALKNGSFRHDIHYWLGKDTSQDEAGTAAILTVELDAALGGRAVQYRESQGNETEKFLSYFRPCIMPQQGGIASGFNHVEVNEQEHTRLYVCKGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSCIQERAKALEVVQYIKDTFHEGRCEVVAVEDGKLMADAEAGEFWALFGGFAPLPKKSPSEDNGEERENVVKLLCINQGKPEQINFDSLARELLESNKCYLLDCGAEMYVWMARSTSLQERKGASEAAEKLLMDDSQTTPHVIKVIEGFETVMFKSKFVEWPPTPDLKLSSEDGRGKVAALLKSQGLDVKGLMRAAPVKEELEPYIDCTGHLQVWRVNGNDKALLSTLDQSKFYTGDCYIFQYAYTEDDREQCLIGTWFGKKSVEVERAAAMLLASKMVQAAKFQAVQARLYEGKEPIQFFVIFQSFQVFKGGLSSGYKNFIAENNIADDTYSEGGIALFRIQGSGSENMQAIQVDAVASSLNSSYCYMLHNGNTVFTWTGNLTTSLDHDLVERKLDVIKVHWMFFCCVGLIEIGRQGNNVFVLICLLGHKRRGEKPTNSGNYWVVKEIHHFSQDDLMTEDVFVLDCHSDVFVWVGQEVDAKVKSQAMDIGEKFLVLDSLMEKLSPETPIFTVSEGSEPQFFTRFFNWDSAKSLMYGSSYQRKLALLKGRAPPSLDKPKRRTLAFTGRSSGQDKSQRSRSMSTSPDRPRVRGRSPAFNALTSAFENSSSTRNLSTPPPAVRKLFPKSGGPDQSKVSPKKSAIGAVTNSFDGPMRSIIPKSVKASPDPENVIQKECATGYCNVGENETEDDEGRTIYPYELLTTTAEDPVPNIDVTKRESYLSSAEFREKFRMTRAAFYNLPKWKQNKLKSGVQLF >KQK97472 pep chromosome:Setaria_italica_v2.0:VII:21387089:21388830:1 gene:SETIT_010103mg transcript:KQK97472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLARLLLLVAVVAAAARCALAGTPHPKGLRVRLTHVDAHGNYSRLQLLQRAARRSHHRMSRLVARTTGVPIPSSSKAVASGGDLQVPVHAGNGEFLMDLAIGTPALSYAAIVDTGSDLVWTQCKPCVECFKQSTPVFDPSSSSTYAPVPCSSALCGDLPSSSCTSASRCGYTYTYGDASSTQGVLATETFTLAKSKLPEVAFGCGDTNEGDGFSQGAGLVGLGRGPLSLVTQLGLDKFSYCLTSLDATSKSPLLLGSVAGISESAATAPVQSTPLVKNPSQPSFYYVTLTGLTVGSTHITLPTSAFAIQDDGTGGVIVDSGTSITYLELQGYRALKKAFVAQMSLPVVDGSEIGLDLCFRAPAKGVDGVQVPKLVFHFDGGADLDLPAENYMVLDSASGALCLTVAASRGLSIIGNFQQQNFQFVYDVAADTLSFAPVQCDKL >KQK96415 pep chromosome:Setaria_italica_v2.0:VII:6308963:6309395:1 gene:SETIT_012921mg transcript:KQK96415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKVPSYPGLSSRNRNPFLVIWLHLQAQILVI >KQK96461 pep chromosome:Setaria_italica_v2.0:VII:8100948:8107407:-1 gene:SETIT_009921mg transcript:KQK96461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISQLLNALFLFLLFAAAALGPGGAAQATPSLEFHHRFSAPVRRWVEARGRALPGGWPAPGGAAYVAALAGHDRHRAMSAAGGSGEAPPLTFAEGNATLKVSNLGFLHYALVTVGTPGQTFMVALDTGSDLFWLPCQCDGCTPPTTAASGSASFYIPGTSSTSKAVPCNSNFCDLQKECSTTSQCPYKMVYVSAGTSSSGFLVEDVLYLSTENAHPHILKAQIMLGCGQTQTGSFLDAAAPNGLFGLGIDEVSVPSILAQKGLIPNSFSMCFGRDGIGRISFGDQGSSDQEETPLDINQQHPTYAISITGIAIGNNPTDLEFSTIFDTGTSFTYLADPAYTYITQSFHAQVQANRHAADSRIPFEYCYDLSSGEARIPTPDIILRTASGSLFPVIDPGQVISIQEHEYIYCLAIVKSMKLNIIGQNFMTGLRVVFDRERKILGWKKFNCFSPSTPENYSPQETRNPAGVSQLRPLNNSSPLTLHDSLLLMLLLVHLFIML >KQK98271 pep chromosome:Setaria_italica_v2.0:VII:25974756:25975226:1 gene:SETIT_013057mg transcript:KQK98271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIIYLPVVHLSPISSVQRKQLLWWTGGCWKEQQHAARHLPV >KQK98146 pep chromosome:Setaria_italica_v2.0:VII:25338636:25340114:-1 gene:SETIT_011660mg transcript:KQK98146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEITQCPKPHFVVIPWPGTSHIIPIVDVACLLAAHGAPVTVITMPASAQLVQRRVERAREASSAVITVAAIPFPAAEAGLPDGCERLDHVPSVDLVPRFFDAAMLFGEAVARHCRLMAPRRPSAIVAGICNTWAHGVARELGAPCFVFHGFGAFGLLCSEYLHTHRPQEAVASLDERFDLPVLPPFECKFTRRQLPVQFQPSSNVKEDTFREFREFEMAVDGIVVNSFEELEHGSAARLAEATGKVVFAVGPVSLCGAPGLLDSRAGSDEARRCMAWLDAKKANSVLYVSFGSNGRMPPAQLMQLGLALVSCPWPVLWVIKGADTLPDDVNKWLQHNTDADGVSGSQCLAVAILEHQAVGGFLTHCGWGSTLESVAAGVPMATWPFYAEQFLNEKLIVDVLGTGVSVGATKPTKGLLNRVQGVEETKPEVGTEQVKRALNKLMDGGIDGEGRRSKAQELKAKAKAALENGGSSYMNMEKLIYFVA >KQK97245 pep chromosome:Setaria_italica_v2.0:VII:19943480:19953253:1 gene:SETIT_009228mg transcript:KQK97245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDSDVDEDELLQMALQEQAARDLSHQRPPAANKPVVNLVRPPAPNARGGGGGGNARGAPAKARQPSRGGDEDDDSEVELLSISSGDEDDNPRARGPPPPRGGAGGGRAGARRAASRDDGDFDDDEPRSWKRVDEAELARKVREMREAKVAPSIQALDQKAAAAAATRKALTTVQTLPKGVEVLDPLGLGVMDNKSLRLITDASVSSPISREKSQGLDPSMREKVIYSSPNFDPKVFLSWVHKDTSAADLESGALTLKTDLKGRTQQKKQLVKENFDCFVSCKTTIDDIESKLRQIEEDPEGAGTAHLYSVTQKISGVANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSAIRGNIRKGEYDLAVREYQKAKSIVLPSHVGILKRVLEEVEKVMQEFRGMLYKSMEDPHLDLAELENIVRLLLELEPETDPVWHYLNIQNSRIHGLFEKCTQDHEARMEILQNKILDSTIGDSPRADQLSTNFMAEEADGLRASYIRRLTSVLIQHVPAFWRLALSVFSGKFAKAATGTVVSDADMNAKPGANKTDEKGGEAKYTNHTLDEVASMVRATVSAFDTKVQNTFRDFEECNILRPYMSDTIKEIAKACQTLEGKDSSPTAVKMLRALHFEMTKLYILRLCSWMRATTKEISKDETWVTLSTLERNKSPYAISCMPLEFRDITISAMDRIDNMILNLMSETAKSYDISQPLQEINESVRLAFLNSFLDFAGYLERFGGELAQNRSNKENNYVQNGYINGTRETSTTIDGDLHKKLLVVLSNIGYCKAELSDELYTRYRHIWSPVRNNDERSSDMRDLMTSFSALEEKVLDQYTFAKSNLIRSAAQSYLLDSGIHWGAAPPVKGIRDATLELLHILVAVHAEVYSGARPLLEKTMKILVEGLVDIFLSVFHENKTKDIRLLDANGFCQLMLELEYFETVLQTYFSPEAQQALKSFQENLLEKACESVAEALENPGHHRRPTRGSEDTASDGQPSVSPDDLLALAQQYSSDLLQGELERTRLNIACFMESTLQSTSAPAASKPAAYSSYHAPAPQHAPVQTSSPSFRRQQTGSNSPVVSRRRR >KQK97209 pep chromosome:Setaria_italica_v2.0:VII:19594208:19596031:1 gene:SETIT_009635mg transcript:KQK97209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAAGRPFPTVAALLCRCRSFRCIPQVFQSRIFRLGLHNHQALLGRFAATYNALASPSPIAAAAASRPSPAVATLLGRCRTTRCLAQLHARIIRLGLHNHHALVARFAAACDALGCPTVAASFVAAIPDSPLRLRNAVLASLARHALLHAALAEFNLLQRGARPDSFSFPCLLRACARVSCLPAGRALHAAAIRLGVHADLFVCTALIQFYGRCGAAGPARALFDQIDIPSEVSWTSIIVAYVNNGDIVAARELFDRMPHRNVVHWNVMVDGYVKCEDLEGARRLFDEMPERTATACTSLIGGYAKAGNLKVARSLFDKLEDRDVFSWSAMISGYAQNGYPGEALRIFYKFQEQGIHPDELIVVGLMSACSQLGNIRLACWIEDYIAKYSIDISNVHVLAGLVDMNAKCGNLERATVLFESMPVRDVFSYCSLMQGHCLHGSANNAVELFSQMLLEGLSPDNAVFTVVLTACSHTGLVEEGKKFFDMMKNVYLIVPSGEHYACLVSLLGRCGRLKEAYELIMSMPGKPHPGAWGALLGGCKLHGNIELGKIAAKKLFEIEPYNAGNYVSLSNMYANIDRWGDVSVIRNEMTEKRITKIAGRTIVLQ >KQK99872 pep chromosome:Setaria_italica_v2.0:VII:35140925:35143889:1 gene:SETIT_009816mg transcript:KQK99872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDALARKRNRVRRKRLRSSENAVSARVAAIIASKRRRKSGKRRGCEGMCFSLPTPDDPFNERHGKKRKGEEPTDDTEDDATAATVAKDDKPKKKDANTKKQPPAKAGAKAKSKAVRERATETEEGRVDFDRPSKFLVVCLNAIRDAVAPEDGGGSSIHGAGDWGVELWRSCSSPAPSDVLDTSGACATMEQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSQEKAAQVRSICKPLKPLGIHSVSLHPGASVEHQISGLKTCEPEFLIATPERLLELVSLKAIDISNVSMLVIDGLKYFLDLNVSDKIFSIRDAISSSPPITIFTDSSDKNVATMAKTLLRERITKLSINDSVYSRSAFVTQHVHFCPSEKLKTSKVKEILEQILQSHAKKSSKVLLVAASDKKAQHLSSSLKLENCTVTDGSHGTSFTICSSVGLMNVHVKDRENLVMTDVEGFETVLVVDFPPSVDEYVDILTGVARHTIGGEVHSIFCNTDAPVAKPLAELLANCSQVVPEFLKKLESS >KQK96656 pep chromosome:Setaria_italica_v2.0:VII:13477723:13478658:1 gene:SETIT_012044mg transcript:KQK96656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSWLEISCDPIINTRQKKEGFWARITSQYNNKRGSFHERSFRSLQSRWETIKAETNNLSGMSDADKTSLALANFADIEEYPFIYMHCWDLLKDEPKWMELNIRGAQPGDDDAIADHIPTVDCDLETPSSQYSGSKRPIGRDAAKRQAKKSASSSSSDSSQYVSKLQDLSIQKISIWQEENTKKGSRYEKMAAIESQRYDEVRQHNQHMAAIEEEKLRIMHTKADILQTHEEERILGIDLDKCAPRLRMYYEKKQQEILKNIGADGDDSVDP >KQK99715 pep chromosome:Setaria_italica_v2.0:VII:34340479:34345351:-1 gene:SETIT_010184mg transcript:KQK99715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGASTGCSARTLAACVIRGIVLGASVIALHLVGPAAIPSLPPLDAVRRRLRRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVISDDEIKANKGPPVTPLHERMIMVRAVKWVDDIIPDAPYAITEEFMNKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSSSDAHNHSSLQRQFSSGHGQKVDDSGSGSGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISLVVHGTIAENMDFMEDDSNPYAVPIAMGIYRRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYESKSFVNGE >KQK96596 pep chromosome:Setaria_italica_v2.0:VII:12676024:12677143:-1 gene:SETIT_012411mg transcript:KQK96596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAKVDDLRGVEPGTPGAAVTACMGAHGYVLVAHDALGTEPRRRALFGRALPELFALPFEAKKRSGLFCNGPHRCYEGQVPAVALETLPIPDAAEPGRVRDLAGRLWPQGNPDFCDVIVSFAKDALELEETSHFVRVTLYGTGTHPDGEDTGGVSLALHAHRDEHMTTVIAQHEVGGLEVQAADGRWHAVPPEPGTFVLMAGDQFTVVTNGRVPACVHRVRTLPGGCRRRKGKGEAAVLNAMDELVDEDHPLMYRPCNHEEYRAFRYSEEGRRLCERDPLKAFCGVEKDESME >KQK97857 pep chromosome:Setaria_italica_v2.0:VII:23575404:23575792:-1 gene:SETIT_011570mg transcript:KQK97857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVAFDFIFLLLLVCQHLVIMLIHDQLFSRFYLLKAKRICSREPASNLFCYSYHINSYDVTFRL >KQK98562 pep chromosome:Setaria_italica_v2.0:VII:27936208:27939110:-1 gene:SETIT_010658mg transcript:KQK98562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAPARTASAVRLFDAHCHLQDPRVVAVAPALIRAAAASGVAHFAVNGTSEDWHLVKQMAEDHPAVVPCFGLHPWWVPERSPDWMDSLRRFFAETPEAAVGEIGLDKGSHGKTIDFGEQVEVFQRQLELAKELERPVSVHCVRAFGDLLEILKQTGPFPAGVLVHSYMGSAEMVPGLANLGCYFSLSGFLTGMKSTKAKQMLKSIPLDRILLETDAPDALPKLDDVSVSAVPVPSSDADTENHNKDSESQATTASNESLNHPENIHIVLKYVTSLLEMPEAELAELSYKNATKLFSYPGSKVHPEAEAI >KQK98561 pep chromosome:Setaria_italica_v2.0:VII:27936698:27939110:-1 gene:SETIT_010658mg transcript:KQK98561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQAPARTASAVRLFDAHCHLQDPRVVAVAPALIRAAAASGVAHFAVNGTSEDWHLVKQMAEDHPAVVPCFGLHPWWVPERSPDWMDSLRRFFAETPEAAVGEIGLDKGSHGKTIDFGEQVEVFQRQLELAKELERPVSVHCVRAFGDLLEILKQTGPFPAGVLVHSYMGSAEMVPGLANLGCYFSLSGFLTGMKSTKAKQMLKSIPLDRILLETDAPDALPKLDDVSVSAVPVPSSDADTENHNKDSESQATTASNESLNHPENIHIVLKYVTSLLEMPEAELAELSYKNATKLFSYPGSKVHPEAEAI >KQK99273 pep chromosome:Setaria_italica_v2.0:VII:32048776:32052629:-1 gene:SETIT_010416mg transcript:KQK99273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQISRKALGLLLLLAAAAAAVSPAAADDVVALTEADFEKEVGQDRAALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIAKVDCDEHKSVCSKYGVSGYPTIQWFPKGSLEPKKYEGQRSAEALAEFVNSEAGTNVKIAAVPSSVVVLTPETFDSVVLDETKDVLVEFYAPWCGHCKHLAPVYEKLASVFKQDEGVVIANLDADKHADLAEKYGVSGFPTLKFFPKGNKAGEDYDGGRDLDDFVKFINEKCGTSRDSKGQLTSESGLVASLNPLVKEFINAADDKRKEILSKIEEDVAKLSGSAAKHGKIYVTAAKKIMDKGSDYTKKETERLQRMLEKSISPSKADEFIIKKNILSTFSS >KQK97892 pep chromosome:Setaria_italica_v2.0:VII:23783760:23785594:1 gene:SETIT_012134mg transcript:KQK97892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGILPNGHFEQGPDKSQMNGTRVMDPDAIPYWKIYGFVEYIGSGQQQDDMILPVPEGEQAVRLGNDATIRQQLDVTRHTYYSITFGAARTCAQAEKLNVSVTPESGVLPIQTVYTSSGWDSYSWAFKAKHSTVWLSIHNPGHEDDPACGPLIDAIAIKAIRRPHHVKNNMLRNGDFEDGPYIFPNTPWGVLVPPIMEDDHSPLPGWMIMSDTKVVKYVDAAHHKVPHGSYAVELVAGRECALVQEVRTVPGRPYRLSFSVGDAANGCGGYLAVVAYASRATLNVPYESHGAGGSKRAELEFVADHNLTRVVFQSANHYMKPDATLCGPIVDDVSLVPVHAHAPTARRLRM >KQK97886 pep chromosome:Setaria_italica_v2.0:VII:23751885:23753139:-1 gene:SETIT_010838mg transcript:KQK97886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAPTILAAVALGGLALLLAAAGPGAAQNCGCQPNFCCSRFGFCGQTTEYCGDGCQSGPCIRGGGGANVANVVTDAFFNGIKNQAPSSCEGKNFYTRGAFLNAVNSYSGFARGGSEVEGKREIAAFFAHVTHETGYFCYISEINKNDPYCNPSYTQWPCAAGKKYYGRGPLQLSWNYNYGAAGRDIKFDGLGNPDVVAQDPVIAFKAALWYWMNNVHGVMPRGFGATTRAINGDLECDGKNTDKMNARVGYYRQYCQQLGVDPGGNLTC >KQK96771 pep chromosome:Setaria_italica_v2.0:VII:15343292:15346514:-1 gene:SETIT_012375mg transcript:KQK96771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGPGKQGTATAKKHLRVLLPFSREALRIPDELAGEIGAAEALVVGPAGGKVKFWSVEVGKDGDGAFLGRGWPEFAEACGVGTGWLLVLRHRGRGVLSAKAFDATCCFRELGAPAPPAKDPPISHCKGSTHKPQFIRVLPKDFMEKMLIPAKFVEQHIPMELLDNRTAIVFGPSGKVYSIKLKMGWAGVFFAGGWSQFLKFHDITEANALLLRYEGNMVFTLKVYGPNGYQREFNHKENRGSMASLKNASSRAQGVYVIGPPAWLKKEISTRMIKICISFPAAFCNAIGLREACTVTLKTSLSSTSSWQVRVLPYKDTSHQVGSGWKSFCEENMIKEGDLCTFNVIEMMLWHVIIDRC >KQK97018 pep chromosome:Setaria_italica_v2.0:VII:18252427:18259528:-1 gene:SETIT_009783mg transcript:KQK97018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRPPELRWLGSLTRPGRLTPSPLAALASPRRRRRAPSPSPSPSPTDSSTPSTAPASAGGPGAEGLEGPEWKKVSAKRFGIKESMIPAEAWNVLHRLRSRGYDVYLVGGCVRDLIMKKTPKDFDIITTADLRQVKDTFSGSAVIVGRRFPICHVHENNSIVEVSSFNTCARGSSGSQIYNSKSQNCSKNDFVRWKNCQGRDFTINGLMFNPYSEKIYDYLGGIEDIKKAKVRTVIPAGTSFQEDCARILRAIRIAARLGFSFPKETAYYVRTLACSVARLDKGRILMEMNYMLAYGSAEASLRLLWRFGLLEHLLPFQAAYFSSTRFKRKDKGTNMLLVLFSKLDNFLAPNRPCHNSLWISLLAFHEALARQPCDPLVVATFALAFYLGGDMSLAVDIGKSINRQHDTSYRELLEPKVWADKHLVDEVQSFAALMKRVLTEMTDEYFVANAMAKIPQAPSSDLVFIPLQAYLKVLKFIECVQYGKKERGYEPKRDGKINYHNLSYGTNAEIRNLFTLVVFDTLYPTNMEDQHDGSS >KQK99938 pep chromosome:Setaria_italica_v2.0:VII:35457146:35458017:1 gene:SETIT_012133mg transcript:KQK99938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISKVTGIAATAFLVTSVSLCKMGMRIIMLPFLFTGCVAFAVTIASHNAINLPWILGKNSVGRFPLWSIVLFGPFLMLARAYAMVKRYMRKESVYDKIVEGLYLGGWPFLLKHLPPGSPSVIDCTCELPRSSFVPADEYLCLATWDTRAPTPYQIEHAACWACQKRSEGKPVYVHCAFGHGRSACVVCAILVALGVAETSKDAENIIRERRKIKMNALHWKTLEEWSKHRVSQKKGN >KQK97422 pep chromosome:Setaria_italica_v2.0:VII:21158381:21160269:1 gene:SETIT_012997mg transcript:KQK97422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRCSQNSPRVCSSSSLSILYILNFLRNDCKSCSNIHGTGKKEFHGYCCRTRNHFLYSKY >KQK97424 pep chromosome:Setaria_italica_v2.0:VII:21158381:21160269:1 gene:SETIT_012997mg transcript:KQK97424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRCSQNSPRVCSSSSLSILYILNFLRNDCKSCSNIHGTGKKEFHGYCCRTRNHFLYSKY >KQK97423 pep chromosome:Setaria_italica_v2.0:VII:21158381:21160269:1 gene:SETIT_012997mg transcript:KQK97423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGRCSQNSPRVCSSSSLSILYILNFLRNDCKSCSNIHGTGKKEFHGYCCRTRNHFLYSKY >KQK97204 pep chromosome:Setaria_italica_v2.0:VII:19586265:19586675:-1 gene:SETIT_011702mg transcript:KQK97204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTTIEDLHADVLGCALRRLDGRSLAAASCATAGLRALAADTETWRALCLAEWPSLALPGSSHGRRLLLAALPPRRLFADAFPFPSPDAAPGVSDGLGLPGELVSAVDVYHRGAPLLSRVVETPASSPWFLGSPFR >KQK98181 pep chromosome:Setaria_italica_v2.0:VII:25516226:25517041:1 gene:SETIT_011341mg transcript:KQK98181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEDPKVGGLTFQGLHRIWAPSAWRRRPPQRAPHCSSSTHGEKSSMEAAGGRGDPGASSMDQVRLRRCRPMDPLEHCHDPPAPPPPASAPWPPRRIRDSVETEKGRDEERNGGENEEEREYRQ >KQK99315 pep chromosome:Setaria_italica_v2.0:VII:32306664:32309798:1 gene:SETIT_009354mg transcript:KQK99315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRTVNLKLVLAFTAAIWVLGTCSADFTPADNYLINCGSTIDANVDQRVFQADNSGPAILTSSQSTAATTSPNLVSGFDSAMLYQSARIFNEPSSYAFKLKSRGRHFVRLHLFPFKYQKYDLTTANFKVSTQDIVLLDNFTAPSSSAPVFKEYSLNITRDMLILTFVPLGNNTQAFINAIEVISVPDDLITNSAQTLNPGQYLGLSVQPLQTFYRINVGGPKVTPDNDTLWRTWVTDQSSFLNSTATKVVNFPGKLNYQDGLARQEDAPDSIYNTARQLLVQKNTSTMSNMTWQFDVDGRSSYLIRFHFCDIVSKAEYQLYFDVYVDSLPASKDLDLSAKSSGILAVPFYMDIVLPSSDPSGKLSISIGPSSLKNSAPDGILNGLEIMKMNISTGSVVVVAPPPGAKSHLAVILGSVLGGLAAVIIGTVLCICCRRKKKPRAPLTSRPSSSWTPLNGLSFLTTGSRTTSRTTLTSGTSGDTSYRIPFVVLQDATNHFDEQMVIGIGGFGKVYKAVMQDGSKLAVKRGNQKSHQGLREFRTEIELLSGLRHRHLVSLIGYCDEHNEMILVYEYMEKGTLKSHLYGGDMPPLSWKKRLEICIGAARGLHYLHTGFAKSIIHRDVKSANILLDENLLAKVSDFGLSKVGPEFDQTHVSTAVKGSFGYLDPEYFRRQKLTDKSDVYSFGVVLLEVICARPVIDPTLPRDMINLAEWAIKWQKRGELDQIVDQHIAETVRPEALRKFGETVEKCLAEYGVERPTMGDVLWNLEFVLQLQEAGPDMSNIDSMNQISELPSNTKRVVSSLELSTADESNTGIDYSDMSTSNAFSQLINAEGR >KQK99284 pep chromosome:Setaria_italica_v2.0:VII:32125986:32127236:-1 gene:SETIT_011198mg transcript:KQK99284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQIWPTPATSTSVELHSVDRKKKRKVSEWAAFHQAYIDDWEDFHDNVDDNNELHTSSEYRQYQTWYQGATRHRLRAAWTEDDYADIHSSDDEDTVYDQSTRAGRQVEAGPILDRMGRTLQSSVRDIEHFRPRVTDPEMRSFLDRLSNRFRRAAARCGCRTATM >KQK97189 pep chromosome:Setaria_italica_v2.0:VII:19523583:19528221:1 gene:SETIT_009702mg transcript:KQK97189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALGRVVWAWLLLLQLAGASHVVYENLLEVEAAAVPPSIVDPELRTGYHFQPPKNWINAPMYYKGWYHFFYQYNPKGAVWGNIVWAHSVSRDLINWVALETAIEPSIPSDQYGCWSGSATILPDGTPVIMYTGIDRPNINYQVQNIAYPRNKSDPLLREWVKPAHNPIIVPEGGINATQFRDPTTAWRDDDDGRWRLLIGSVAAGGARGVAYVYRSRDFRRWARVRRPLHSAATGMWECPDFYPVERDGRRVGLETSVSGRRVKHVLKNSLDLRRYDYYTVGAYDRRAERYVPDDPAGDERRLRYDYGNFYASKTFYDPAKRRRILWGWANESDTAAADVAKGWAGIQAIPRTVWLDPSGKQLLQWPIEEVEALRGKSVTVKDRVIKPGQRVEVIGLQTAQADVEVSFEVSSLAGAEPLDPALAGDAQRLCGARGAAVEGGVGPFGLWVLASADMQERTAVFFRVFKAAGKDKPVVLMCTDPTKSSLNPNLYKPTFAGFVDTDISNGKISLRSLIDRSVVESFGAGGKTCILSRVYPSLAIGNNARLYVFNNGKADVRVSRLTAWQMKKPLMNGA >KQK96453 pep chromosome:Setaria_italica_v2.0:VII:7761827:7762264:-1 gene:SETIT_011638mg transcript:KQK96453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSTRKSSNSTWKVLIMDKLTVKIMSFSCKMADITEERVSCK >KQK97866 pep chromosome:Setaria_italica_v2.0:VII:23625487:23638555:1 gene:SETIT_009186mg transcript:KQK97866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPEEKLRCTKEPFIEDVGARRIKSIRFSVLSGSEIRKSAEVQVWNSRIYEHDMKPVPNGLLDMRMVMTHTELKCSTCHGPFAECPGHFGYLKLALPVFNVGFFNCILDVLKCICKGCSRVLLAEKDRREFLKKMRNPRADALQKSAIMKKVRDKCKLTCCPRCEYKNGVVKKGRVGLIVIHDCSKILDGHTEELKNALQHKKEKVSTSSVRMLDPATALSLFRRMVDEDCELLNLGDRPEKLIVTEIAVPPVPIRPSVVVGNTRTSNEDSITAILKSIVNTNSILKETLQTGGLFSKCFDCWQQLQLQVVEFVNSDAPCLPESQHRGLVQRLKGKTGRFRGNLSGKRTEYTGRTVISPDPNLRITEVAIPVLMARVLTYPERVSNYNLEKLRQCIRNGPYKHPGANFIITPDGTKLSLKYGDRRIHARDLKCGYTVERHLEDGDVVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNLHVPQTEEARTEALMLMGVQNNLCTPKNGEILVASTQDFLTSSFLVTRKDAFYDRSSFALLCSYVGDAMENIDLPTPALIKPIELWTGKQLFSVLVRPNARTKVFLNLAVKEKIYSKKKEKKEGEEEEKETMCGRETMCPNDGYVYFRNSELLSGQVGKATLGNGNKDGIYSVLLRDYNSHAAASCMNRLAKFSARFIGNHGFSIGVDDVQPGEHLNRQKKKKIDEGYKQCHDLISLFAKGALALHPGCNAAQTLEHKITGVLNEIRTAAGNVCMDTLHWRNSPLIMSQCGSKGSPINISQMVACVGQQSVGGRRAPDGFIDRTLPHFPINSKTPAAKGFVANSFYTGLTATEFFFHTMGGREGLVDTAVKTAETGYMSRRLMKGLEDLSVFYDQTVRNASGGIVQFLYGDDGMDPAKMEGKDGTPLNLDQLFMKVTATCPHRGLDTLSPDDIKQMLEDKLTQHKTSSDGGCSEEFKECLKKFLEERIQLLKCTRKALHLDEKHVGKNDSCIEEIIAANISGISAKQLQVFLDTCFSRYNSKAIEAGASIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKISTPIITTELLSRKDVLSARIVKGAMEKAVLGEVASAIKIVLKSSQPNLVVKLDMQLIEALHMGISADSVQLSILNHPKIKLKSEHVRVIDRAKLRIYPAGTDKSKLQLELHNLKAMLPKVIVKGIPTVERVVIDERKKEGKLEKYNLLVEGTNLLAVMGTPGVDARNTKSNHIMEMNSTLGIEAARRSIIDEIQYTMKSHGMNIDVRHMMLLADLMTYKGEVLGITRYGIAKMKTSVLMLASFEKTSEHLFNASYSGREDEIEGVSECIIMGIPMQLGTGILKVRQRLDHLPELKYQPDPILS >KQK96766 pep chromosome:Setaria_italica_v2.0:VII:15295556:15296011:-1 gene:SETIT_0100261mg transcript:KQK96766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGDQWASKAKNPRVLLQFSCDTLVSATNNATAVAPPLTPAAEPTDWLVLAQSPFPSASPTSSRRRSVPGRPLSSARRGPAARSRSGPSRSAGTAAARSWAAGGRNSRRPPASRRGGYSSSATAAAACSPSRRSTPAAASGCSGLPLRLK >KQK96767 pep chromosome:Setaria_italica_v2.0:VII:15295556:15296011:-1 gene:SETIT_0100261mg transcript:KQK96767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGDQWASKAKNPRVLLQFSCDTLVSATNNATAVAPPLTPAAEPTDWLVLAQSPFPSASPTSSRRRSVPGRPLSSARRGPAARSRSGPSRSAGTAAARSWAAGGRNSRRPPASRRGGYSSSATAAAACSPSRRSTPAAASGCSGLPLRLK >KQK97732 pep chromosome:Setaria_italica_v2.0:VII:22931246:22932545:1 gene:SETIT_011839mg transcript:KQK97732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHAHEIQPQIRGSPGHEESGGGDDREWPEPATRGPNRRGIRWWVLVLVDMLMLLCGEAMAPLLTRLYFNSGGGSMWMATLAQSAGWPLLLVPLLLTPAAAAAVAAEEPQPAAAGKVAAVCVGLGLLIGFENLMYSYAMLYLPVSTFSLVAATQLAFSAVTSRLINARRLTALVLNSVVVLTFSAALLAMGSSDSDGGGAGASGSKKRALGFVMTLSAAAVHALILSLFEATFEKVIKASTLRWVLTVEISTNAVATAVAAAALLASGQWRAIPGEAAAFEHGAAAYVATLAGVAVAWEAASLGTVRLIARASSLFANVTGTLDVPLVPVLAVAMFGDRMTGIKVVAMLMAVWGFLSYVYQHYLDDRRAAKRKGRSQAQA >KQK99405 pep chromosome:Setaria_italica_v2.0:VII:32791431:32792332:-1 gene:SETIT_012543mg transcript:KQK99405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVQSGLRPELMPQHVAFVMDGNRRWALARGLTTLEGYEAGTDTLKKIVELSTAWGIRVITVFAFSQDNFRRPQILRLHGSCLQEDVNYTLESIERGIRDTMDVYARKGFRVHVAGDRSRMPTSLQDVAREAEEMTRNNSQYHCIIAVCYSGRWDIVQACRELATKVQDNMLRPEDIDEEMLAGHLSTNALGEFGCPDLVIRTSGELRLSNFLLWQSAYAELYFSNTLWPDFGEDDYLQALKDFQSRERRFGQRKSSQQE >KQK99085 pep chromosome:Setaria_italica_v2.0:VII:30873594:30876744:1 gene:SETIT_009347mg transcript:KQK99085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFLVQGQELSSVMAAAAIKLPYLLALIFSVLLLLPSVGSIALDTLNNGGNITDGETLVSAGGSFTLGFFSPTGVPTKRYLGIWFTASPADAVCWLANRDTPLNNTSGVLVVTTGGSLRLLDGSGHATWSSNTKDSSATAVAQLLESGNLVVREQSSGSILWQSFDHPSNTLLAGMRFGKKPQTGEEWSLTSWRALNDPATGDYRQVLDTKGLPESVLWQGNVKKYSTGPWNGLWFSGIPEIASYSGRFSVEVVVRPNEIAYVFNATAGAPFSCLVLNVDGVLERRAWDPDKRGWTVWMQSPRGFCDNYGKCGAFGLCNEDDAATQFCGCIDGFSPASPSQWSMRETSGGCRRNVPLECGNGTTTDGFRVLKGVKLPETDNATVDMSATLEQCSVWCLSNCSCVAYAPADIRGSGSGCVMWKDDIVDVRYLEDGQELYVRLAKSELVNEKRSDKAKIVLPVTVSLLALASAGMYLVWICILRGRATPLKFLQGRHPNNHKKMRGFLSASDELGDEDLDLPFVCFGDIVSATNNFSEDNMLGRGGFGKVYKGMMGDHKEVAIKRLGKGSRQGGEEFRNEVVLIAKLQHRNLVRLLGCCIHGDEKLLIYEFLPNKSLDSFIFDSANKKVLDWAARFKIIKGVSRGLLYLHQDSRLTIIHRDLKSSNILLDTDMSPKISDFGMARIFGRNQQEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLRITSARFTGFPNLLAYAWSLWQDGKTIDLLDSSLAETCSPTEILRCTHIGLLCVQDNPNSRPLMSSVVFMLENEFTPLSVPKQPVYFSQRYSEAQGTGENTSSSVNNISVTVLEGR >KQK98816 pep chromosome:Setaria_italica_v2.0:VII:29346607:29348228:-1 gene:SETIT_011998mg transcript:KQK98816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGAQHSLSKDRGAPAPSAPFGRRSKYSGQSVRAMPMRLLTVGKKRSRGTQLLVEEYTEKLGYYCDFEDTLIRSNPKLTSDVKVQVEAEDTAMMQQLKPDDFVVVLDENGKDVISEQIADLIGDAGNTGSSRLAFCIGGPYGLGLQVRKRADATIRLSSLVLNHQVALVVLMEQLYRAWTIIKGQKYHH >KQK98637 pep chromosome:Setaria_italica_v2.0:VII:28386332:28392344:-1 gene:SETIT_009665mg transcript:KQK98637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKSSSAAATASATKKRRSRGGALTLDEVKTLGRELLSSRAHLNHAPALLALLSPSAPLDLALEALISLQSFFVPLIPSIPSASAAVTAGHAGSDPELVFGAWLRQRFDELVAALVELSVSPHSDDAIRDVALDALMDFVKLGKDGKFQSAIYHKFLHAVVHAADSVDPLLELLGSKYFKYTDVCYFTYSSADKIANSLGSKTTDSGKDALQNGSDGSENKCAIFIHNIYNLLVRVPVMDYQKESTFEMWSTFGLSSKGEKDSSKDSSSSYIKKKLKLKFTKAWLSFLKLPLPLDVYKEVLASIHQNVIPSMSNPAILCDFLTRSYDIGGVISVMALSGLFILMTQHGLEYPKFYEKLYALLTPAVFMAKHRSVFLQLLDTCLKSSYLPAYLAAAFAKRLSRLALSVPPAGALIIIALIHNLLRRHPSINFLVHWEVDENDSNAREASQPKKIGADPFNNDEADPAKSGAMRSSLWEIDTLRHHYSPAVSRFVASLEEDLTVRAKTTEMKITDFSSGSYATVFRDEVRRRIKQVPLAFYRTTPTSLFQGSDFPGWTFGDPSNSTVATMVEGNETIKTVGASDSTPSKRLRVEA >KQK98550 pep chromosome:Setaria_italica_v2.0:VII:27886281:27888679:-1 gene:SETIT_012784mg transcript:KQK98550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSITSGARKRNSHSQEGEHSQCCKRPRYSGPNLPEDILCHIHSLMPLRDAARAACVSRIFLDSWRCYPKLTFSNKTLGLKRSNRRRADIARDFTSTVDHILKNHSGIGLKGLRLEAHDYCKVKSYINSWLQIAITRGIEEVSLLVPSHYNFPCSVLSDGRGNSIRDLYLTHCAFRPTVGIDCLRSLTKLHLYYVCITGDELGCLLSSSFALERLELRYCNELIILKIPFCLERLSFLMVYECEMLQMIESKAPNLSTFRFWVGPVQLSFGDSSQLKHLDVNFSSKNNSCSYVITKLPSIVPHLETLTISSSIEMVNTPVVANKFFHLKYLEINLCGAFKAFSPYDYFSLVSFLDVSPVLETFILSVQQFVMKHDSVFEYASYMRQMPAHKHHRLKNVQIIGFCSAKSMVELTCHILENAPSLECLTVDTVYDEEDDDNTGRCSVRKNGRCGPLTRDMILEADKALEAIRRNILGKVPSTVKLNVREPCSRCHYIEL >KQK98270 pep chromosome:Setaria_italica_v2.0:VII:25970728:25976952:1 gene:SETIT_010180mg transcript:KQK98270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTDIGCCSCFSFLRKPSVPARQPREADGILSEDLLKRQSAEDPDGSFYTGDDPDVSFYNGDDLDRSFYNGDDPDRSFYDRDDAEYLHGSDDGPPRKTSEDIIQSRAQNGFACREIPVKETKKVFRSEDENGYKMVNQYVHLGKIGSGSYGKVVLYRNIKDGKLYAVKVLNKPYMMKIRVVRSETAMTDVLREVSIMKMLNHPNIVNLVEVIDDPNIDKFYMVLEYVEGKMVCDNGLEEATARNYLRDIISGLMYLHSHNVIHGDIKPDNLLVTSTGNVKIGDFSVSQVFEDDDDMLWRSPGTPVFTAPECCQGSAYHGRASDTWAVGVTLYCMISGQYPFLGDTLQETYDKIANDPVQIPDDMNPQLADLLQRLLCKDPGDRITLQAAAEHPWVAGDKGPVPEFFCRCGFGRRKRNDFREEVQ >KQK96209 pep chromosome:Setaria_italica_v2.0:VII:1757157:1760229:1 gene:SETIT_012348mg transcript:KQK96209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQFGPTIERQKVDEERDVDMEDMLHHIGRKVLLGSDKGLENFEMLKKAAQDRMYVGCETEWTMLCFVLHLLKAKFGWSDNSFNDLITLLGNLLPKPNFVPKNTYEAKEIINPLKMRTRIYHKMESFFDGTNENDFAPKPGTGKIVFETCENVRFKLEVPNGKHYLPPASYNLTPDEKLAMFKCLLGLKVPTGFSSNIRSLVSLKDMMLAGYNSHDCHVMITVFLAIAIWTIKSVFVKMVITRICYFFNVISQKVIECVEVARLQLFIWTYEWFMSTLNGYMRNKAFPKGSMIESNHTEVSVDYCIDYKKDKRAIGLPESRHEGGLSGKGTIGMKRFIDKDNQQLEKVHSRNATEDVTLTRLASGPSSNVTSWEADEINGYTFYTTAKDIKSVAYQNSSVRIEAIDTSGKNITYYGSLELKVHWTLRITISMRNWTFSKTMNEESSVLKQTLINP >KQK97082 pep chromosome:Setaria_italica_v2.0:VII:18851455:18853918:-1 gene:SETIT_010581mg transcript:KQK97082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQARHLSHAFPPYLHSFRAMDDVGVVPGTAFFDEYGLSAPAPGLGGTPVLGDFPRSELTCNYGFEPRKRPRVTAEAAGSLEDRSVVLPPAVAQELVAAVPVGNGQRRAAGSGTASTSGRLANGAAVSQPQGLLSRLCYHQDAEIDALLTLESERMRAVLEAARRRHARALLAAVDRAASGRLRAAEAELERALRHNAELEEKARQMGAECQAWMDVAQSHEAVAAGLRATLDQLLQSPRAAAGAIGAAREGDAEDAQSCCFEAPAAGAGAASRTAASSSCRACGGGDACVLLLPCRHLCLCLSCEAAVDACPICAAAKNASLHVLLS >KQK98147 pep chromosome:Setaria_italica_v2.0:VII:25347950:25349786:-1 gene:SETIT_009942mg transcript:KQK98147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATLCPKPHFVVIPWPATSHMIPIVDIACLLAAHGAPVTVITTPASAQLVQGRVERAGQASSAGITVTAIPFPAVEAGLPDGCERLDHTPSFDLVPNFFDATMRYGDAVAQHCRVLTATRRPSCIIAGMCNTWAHGLARELGAPCFIFHGFCAFALLCCEYLNTHKPHEAVASLDELFDVPVLPPFEFKFARRQLPLQFLPSCSIPEGRLRELREFEMAVDGIVVNSFEELEHGSAARLAAVTGKAVFAVGPVSLCGAPGLVDSRACSDDARRCMAWLDAKKANSVLYVSFGSAGRMPPEQLMQLGLALVSCPWPVIWVIKGADSLPDDVHEWLQHNTNDDGLPETQCLAVRGWAPQVAILEHPGVGGFLTHCGWGSTLESVAAGVPMATWPFTAEQFLNEKLIVDVLRIGVSVGVTKPTEGVLTGDKNGAGRAKADVGMEQVKKALDILMDGGEDGEARRTKAEELKAKAKAALEHGGSSFMNLEKLIQFAG >KQK98700 pep chromosome:Setaria_italica_v2.0:VII:28697359:28702481:-1 gene:SETIT_010160mg transcript:KQK98700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFLRRAVRAAVSSPAASIRQFQCAYHRSNERLPPAREDQDVSYGLNWAIAGRGVIVKDKVFHNLETSELQKGDCLSGIPLHVRGDVIGGVPDVSKALFAKLLKLVTFHLSSISCLYVQDGAIGSSAECDAKVRIISDNPSAVMLLSNILWKIPDRAISHDTNPLTIYATSSISNNIKSLLGSGTQYANGFAAADIERSSLILCRKAFADSAIVKDALTAMSAPILSARGGLPVPGWLLSFGGRVVLLFAPVEIIRSCSEIQNVLLSIDCGAAISSKWSTVLFPTKSRREPKLFAKPSTVIIVSADSTGAVPSVSQLSPGQAAYHFLSGYHDGKFVPAYSRAPSPADPLALAGSLFSHLKEDDAPAYLINAKHSGKYIDGKGLMKLLELALSHNLPDIKTEDFRVGELKGKYRSFLSSKFGNRLPEDFSF >KQK97246 pep chromosome:Setaria_italica_v2.0:VII:19954852:19957138:1 gene:SETIT_010851mg transcript:KQK97246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATVLLPPAHCHGHRAAASAATTSGRGPGALSHCALPPPRRQRRGSRAVRAAAPPEQSRGVSLAGDSGQASAGDAKAALYRALDGVDRGIFGMTSAQRSEIHGLVELLEARNPTPEPTAALQDKVDGCWKLIYSTISILGKKRTKLGLRDFISLGDFLQIIDVKEEKAVNVIKFSARALKILSGKFTIEASYSVTSKTRVDIKLESSTITPEQLMNIFQKNYDMLLAIFNPEGWLEITYVDESLRIGRDDKENIFVLERADPSEV >KQK98552 pep chromosome:Setaria_italica_v2.0:VII:27900151:27901951:1 gene:SETIT_011328mg transcript:KQK98552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLVIVDPSHLVMPLLKLGWCQWIGAAIFIWGSLHQLRCHAILGSLREHKDSDEYVIPRGDWFSRVSCPHYLAEIVIYFGLLIASGGSDISIANLSFSAVQTHKWYLQKFEDYPRSRYAIIPNVC >KQK99280 pep chromosome:Setaria_italica_v2.0:VII:32086829:32089685:-1 gene:SETIT_009426mg transcript:KQK99280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLGTAAIEVFIPVAALIGIAFAVLQWYVVARVPVADHGSAARGKGRRGEGRSGALEEEGEEEEEDGVDRVAAEARCAEIQQAISIGATSFLLTEYKYLAVFMAAFAAVIFVFLGSARRFSSRPEPCAYDPSRECRPALANAAFSAVAFLLGALTSVLSGYLGMRVATFANARTTLEARRGIGRAFAVAFRSGAAMGFLLASSALLVLYAAINLFGLYYGDDWGGLYESITGYGLGGSSVALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGTEHNSTAMMYPLLVSAVGLLVCAVTTVVATDITKVRATDEVGPALKRQILISTVLMTGGIAAVTFLALPPSFTLFDFGNDKHVKNWHLFICVSAGLWAGLVIGYVTEYFTSNAYAPVQAVARSCRTGAATNVIFGLAVGYKSVIVPILAIAAAIYAGFRLAAMYGIALAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMPRRVRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGIDAVDVLSPRVFVGLLAGAMLPYWFSAMTMRSVGSAALRMVEEVRRQFDTIPGLAEGMASPDYATCVRISTDASLRKMMAPGALVMLSPLVVGTLFGVETLAGLLAGALVSGVQVAISASNSGGAWDNAKKYIEAGVSEEARSLGPKGSEAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGIVFDRL >KQK96857 pep chromosome:Setaria_italica_v2.0:VII:16621981:16625145:1 gene:SETIT_010544mg transcript:KQK96857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAATKVPATMRAVQYDACGGGAEGLKHVEVPVPSAKKNELLLKLEAASINPVDWKIQKGMLRPFLPRKLPFIPVTDVAGVVVDVGPGVNGFQAGDEVVAMLNSFYGGGLAEYAVASESLTVKRPPEVSAAEGAGLPIAAGTALQALRSIGAKFDGTGQPLNVLITAASGGVGFYAVQLAKLAGLHVTATCGARNVELVKSLGANEVLDYRTPEGASLQSPSGKKYDGVVHCTVGISWSTFEPVLAPTGRVIDITPNFAAILKSGLHKVTFAKKRLVPLLLSPNKADLEFLVGLLKDHKMKTLIDSRFPLSEASKAWQKSIEGHATGKIIVEMEG >KQK96556 pep chromosome:Setaria_italica_v2.0:VII:11913490:11914543:-1 gene:SETIT_012253mg transcript:KQK96556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLLLLFLLLLLSSTSLQAQQKTTLGSSRTPEGPRSFWLSPSGDFTFGFRFIEGNASSYLLAIWFRKTTLVQVSSGSQLLLNSNGALSLQDSTGTEVWNPQVVGELQKTLQIPSCLLSIGVFLNTVVVPSGNLYDYYRSMAGNTTKLVFNATGMVYITLDNGTQISVTSGVTGSMLDYYHRATLDPNGVFRQYRCLKKVSNLSSQAWSVVVDFKPPNISDAQLTNDGSGICGFKSYCTFNGTNNQSICLCPEQYSFIEEETKYKAGPSKAKSEVGNRARPQK >KQK99056 pep chromosome:Setaria_italica_v2.0:VII:30686256:30687936:1 gene:SETIT_011762mg transcript:KQK99056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGGTKKKACVTGGNGYIASVLIKMMLEKGYAVKTTVRNPDDMEKNSHLKDLQALGSLEVLRADLDDEGSFDEAVAGCDYAFLVAAPVNLHSENPEKELIEPAVRGTLNVMRSCAKAGTVKRVVLTSSAAAVSSRPLQGDGHVLDENSWSDVEFLTANKSGPWGYPVSKVLSEKEACRFAEEHGISLVTVCPVLTVGAAPAKKIHTSVPASLSLLSGDDAAFGVLKGVEMATGGVPMVHVADLCRAEVFVAEEDAASGRYICCGVNTTVAELARFLTEKYPQYTVKADLLSGELLEKPRVRLSSARLVKEGFEFKKKTLDEIYDDVVEHGKALGILPN >KQK96233 pep chromosome:Setaria_italica_v2.0:VII:2134658:2134988:-1 gene:SETIT_012116mg transcript:KQK96233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHAAASTMADVHDERRLPEARINHSIVLRWAFIDKAISGLGKLALAWATIVLLGGFSTLIKQKDFWFVTIITFLEATRKLRCLIFT >KQK99235 pep chromosome:Setaria_italica_v2.0:VII:31706129:31709474:1 gene:SETIT_010561mg transcript:KQK99235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWAIAIHGGAGVDPNLPEHRQEEAKRVLARCLQTGVDLLRAGATALDVVEAVVRELETDPFFNSGRGSALTRRGTVEMEASIMDGRGRRCGAVSGVSTVKNPVSLARRVMDKSPHSYLAFDGAEEFAREQGLETVDNSYFITEDNVGMLKLAKEAGTILFDYRIPLTGTDTCSLQAGADSNHNRNGMVMNGLPISIYAPETVGCAVVDSNGFCAAATSTGGLMNKMTGRIGDSPLIGSGTYACDACAVSCTGEGEAIIRSTLARDVAAVMEYKGLPLQEAVDFCVKERLDEGFAGLIAVSKTGEVAYGFNCTGMFRGCATEDGFMEVGIWE >KQK97308 pep chromosome:Setaria_italica_v2.0:VII:20423340:20429842:-1 gene:SETIT_009190mg transcript:KQK97308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKDLFVEIGMKEEDIATMLFGKKVAELAEDAFDGSKEERQIFEGVFCLTSTDGLTDHHHEGTGHTADASSSASNYKTAWCRIVESFTAGNLSSYRVFCLAADQQACQAVPSPHAGPSELVVQWTPPPDRVYTRRAVTRRSERARICSAMDLESIDIRNFGRQRDGRGYGELWNHLRLHAHLLMVDAGWKIEGKERGNKSKVDKMYVAPDKVTRLFSLPRAWKCFGQWLLMATPCIDGNGSNDYGKEWLNMHDFLYDLKNTLLCLQYEVQRPKQSLSFLHQWRLLDPFMAVVCIDKKVAALKNGAALKAMNSTVSFLSCSERKLLNAKNESRPLGSCKKSLLPLFLSETDGQPDKEGISFLNEQSSIFLSNNPSEHEADQQSLCISEINGRSIRSTAHRIVMGLHDATAFLGPRQNCLSKKKKFPCIKSRVEQQAEDKSDPLYFPPSYSSALDHLVENVQMEGLNSYGNETTEIPYVVNSAGTPDDMLLGENLLFSPEVDEMLLGITDDINTEQHDAAVVSEPQLADKDASNGPSGASSLPLEKDEYMRPKEDCIDNGCHDPAAVSQFQMADKEAGDKPSGALSLQSEKDTDLGANKLSLEDPTKNEQLSSEARGNASMISEPQVLFVSPQDGTLSFMNNSMNNQEMLSFLNASHDTMGTHSPVYEASLIQGFLYLDSQGSPICWTVTNTEPPRQLICAADVEPSSKLSKDYGETNLEKGASAYEDKEILEPGSSKKGKKRPDKLADIQDNVSRKKHRVNDAPLSNCASQYVDDVSDNPAGPVVLSEEEQIVTAIVKQVPSNTEPKNKDDKDQDKQSIEHSKQLMSEEPLKKDNKRQKKTRSRKCKFDDDDLLMTAVIHKLTARYRNCFHRRLTDKVGFRRLPRYRWEREEESSTKKFNGGARTVLNKLLEMGIVARVNILQYRGPGGKNVLKDGNITRNGIRCRCCGTTFTMSRFKCHAGLRQEISSLNLFLGTGKSYSLCQLQAWSIEQKVRKEHAKDTMSLQGDQNDDICGSCGDGGELICCDNCPASYHQACLPYQDIPDGNWYCSSCLCDICGEVINSKELRTSVPALECLQCERQCNVTCGPDSFLCGRRCQKIYTSFHCRVGVPDHMDDGFCCTILHNNGDQKVRTAAEIALMAECNMKLMIALSIMEECFLPILDPRTGIDIIPSILYNWRSDFVHLDHKGFYTVVLENDDSIISVASIRLHGAIVAEMPLVATCTENRQQGMCRRLMDYIEEMLKSLKVEMLLLSAIPHLVDTWTSAFGFSEIDDSDKKHLSKVRLASVPGTVLLKKKLCERAGTTDAGEPTNPKPFKVYSRVPRKTAPV >KQK99581 pep chromosome:Setaria_italica_v2.0:VII:33624934:33627280:1 gene:SETIT_012715mg transcript:KQK99581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCNSRIQFTYLKPTTPSSGILSNVRSLTVFGRDEEKHDPGNFRFLRVLDLEDGIHKLFQLKYLGLGGGVPALPKQIEELENLETLDIRPTRVRQLAVDDGDFQRLAHLLVTGVELPSGVGKMKNLQELSMVDVSKSNAAAVEELGELLKLRILGLKWSLKKGDKDCAACEQNLVDALNKLTLQHLCLDADKDCSLDFLVESWCPSSKLREFKLACSHYYFPHVPKTMARAELPRLTYLEIGMATVSNEDLDILGGLRALIILKLRSGDSDKQRVVIGGQAGFPLLELFWLERRDGAITVTGLSFKERAMPKLRKLRLRCKYNALGLGAALAGPELGLGNLGSLKQVHVKMDLEGVADPEVEAAVAAVTKAAN >KQK96175 pep chromosome:Setaria_italica_v2.0:VII:1202372:1202716:1 gene:SETIT_012189mg transcript:KQK96175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENLWAKKEKAEELKEVRKKERNDVRLPVETRRLELKHENRRLDLQQQELALKQRNDDEKIINMDLRGMSERQQKFYMDMQDEIITRRFGGGAS >KQK96705 pep chromosome:Setaria_italica_v2.0:VII:14266847:14268207:1 gene:SETIT_010370mg transcript:KQK96705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPAAASLTDDLIVEILSRLPVKSLCRCKCVSPHWRGLISHPDHRHRLPQTLAGFFLNASNRGRQARRFINLSEASRPPLIRYPFSFMPGYEDVTIVDSCNGLLLCRASRTESSSPDAVFCHVVCNPATRSWHVLPNSSSGCVDNNNELRPARLGFDPAVSPNFHVFEFVHSEQGYGCVAGVEIYSSETGAWSYSESEWEEETHLLEGSPSVFFDGLLHFITIDFTVVAVNVKGESWWEKAVPEDSYDLQNWDFCEAWEPCFIGRYKGNLCYINEFYSDTDVSIWVLEDYAADEWILKHRVSIQRLTKNIATPAESKCYNFITVHPHCNWFLYVTGSDKILMAYDMDRDEVHVIQNLGLDCILQCIPYVPFYGN >KQK97141 pep chromosome:Setaria_italica_v2.0:VII:19276403:19276858:-1 gene:SETIT_012905mg transcript:KQK97141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYWHGPDGSTMNGTLELGLDSSSCLLSSSSTRVVDDRLHLSL >KQK99207 pep chromosome:Setaria_italica_v2.0:VII:31506979:31511892:1 gene:SETIT_009675mg transcript:KQK99207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRIPIVDILDDDDDDLAAAAFASPPSSRKRSHGSAASTSSADFLDAFSPSPPLQKRLMLAAGDPIVLDDTPSPPKRRSSSSAPKLPVLVVDDDDDPSAPDDVVRERPYPVLDCAGFSETPETAALSSSSLGTVVAETPGFTSPRSVGPTAALGLSSATPAQKFSRVASLISLDSDDEDDDTIYKNSSKSSAGCGTTLTRMPEAKTRQKEDDAQQIEGKERKQPEKKRLTKEEKAKQMEERKQKQQEDKLRKQALKAQVAAKKKKDKEIQKWESGKCALECITAEIDSSIIKNGSIGGPLLSSLSENGLRYKPTDNKISGSILWKMDVPDDIAQELSSRDACDMNQASVSRVEYISIVLEAEEFCDLISSGSFFNHVQRVRNEYPSFTVCYITNKLMSYINKREQSQYKNNSNTWKRPPVEQVLCKLATHYTNVHSRQCIDEAEVAEHLVGLTSNLAKCKFRKPLTWLSVHANGAIISKGFVDKNLAKKDTWLKALIAIPDIQPRYAMAIRKKYPCMRSLLNEYMDPSKTVREKELLLSDLKWENILGEEAKRLGDKCSRRVYRMLTAQNGDFDTDDAEAGGRASR >KQK99525 pep chromosome:Setaria_italica_v2.0:VII:33305502:33307891:1 gene:SETIT_012504mg transcript:KQK99525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGGGGGGNSRELDQTPTWAVASVCGVIVLISILLEKGLHHVGEFFSHRKKKAMVEALEKVKAELMVLGFISLLLVFGQNYIIKICISNHAADTMLPCKLKSELAEAAGGHGAAKEPAEAAGGHGGQEPDEKKGGGAAEHLGDIIAWPPPPPHYFANPHHSRLLGEASMKTKCPDGKVSLISINALHQLHIFIFFLAVFHVSYSAITMALGRAKIRAWKEWEKEAAGQDYEFSNDPTRFRFTHETSFVRQHMNVLNKTPASFYISNFFRQFFRSVRKADYCALRHSFVNVHLAPGSKFDFQKYIKRSLEDDFKVIVGISPPLWASALIFLFLNVNGWHTMLWISIMPVVIILSVGTKLQGIICRMAIDITERHAVIQGIPLVQVSDSYFWFARPTFVLFLIHFTLFQNGFQIIYFLWILYEYGMDSCFNDSKRFVFARLCLGVVVQVLCSYVTLPLYALVSQMGSTMKQSIFDEQTSKALKNWRAGVKKKAPTSSKHGGQGSPTAGGSPRSGSPTKADADKKQDDAAGTGLQAGAAQTSAKKEGDYEFINIDE >KQK96673 pep chromosome:Setaria_italica_v2.0:VII:13737717:13752703:-1 gene:SETIT_009318mg transcript:KQK96673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSRQASEKVDKWMAFPSGSAADAGAGAATSSFTFPLPLSVSGGGLEIVEEASSSPVPGNSNRLPSFQRAGRDSGVGSTKSSLDGARASGDSSSVPRVSQDLKDALSSLQQTFVVSDATRPDIPIIYASAGFYTMTGYSAKEVIGRNCRFLQGPDTDMDEVAKIRDAVKAGRSFCGRLLNYRKDGTPFWNLLTVTPIRDNNGKVIKFIGMQVEVSKYTEGLSDKRMRPNELPVSLIHYDDRQKETAMSSITEVVQKVKDPRARSQEEEPMEPPPAPASPLVGPGASLKSPLWDLKKEDSKLSRKMSGRISLMGFKMGKRSSVGSKEALAAVEAPAPPPPPPPPEEEEKERKHSWEQEGRERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDMSTVDKIREAIREQKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSENTELQSTKLVKATAENVDEAVRELPDPNVRPEDLWATYSKPVSPKPHKRYNSSWIAIQKITKSGEKIGLKHFKPVKPLGCGDTGSVHLVELLGSGELFAMKAMDKSVMLNRNKVHRVCIEREIYSLLDHPFLPTLYTSFQTPTHVCLITDFCPGGELFALLDRQPMKLFREESARFYAAEVVIGLEYLHSLGIIYRDLKPENILLQEDGHIVLTDFDLSFLTSSKPHVIKHSTSRRRRSKEFLPPSFVSDPATPSNSFVGTEEYIAPEVITGAPHSSAIDWWALGILLYEMLYGRTPFRGKNRKKTFHNILHKDLTFPSSIPVSLAAKQLIHGLLQRDPSSRLGSSAGANDIKQHPFFEEIYWPLIRCMEPPALDVPLKLTRKEPELKVKPEGDSLTPSIETF >KQK99144 pep chromosome:Setaria_italica_v2.0:VII:31149122:31149840:1 gene:SETIT_011564mg transcript:KQK99144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMANFAAQLKDKLLGLLDRVVNCGGAGAGANKDVPEEPTKLPNVQPIAIKPRDPTVSGGSKAGVN >KQK99143 pep chromosome:Setaria_italica_v2.0:VII:31149119:31149840:1 gene:SETIT_011564mg transcript:KQK99143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSMANFAAQLKDKLLGLLDRVVNCGGAGAGANKDVPEEPTKLPNVQPIAIKPRDPTVSGGSKAGVN >KQK97586 pep chromosome:Setaria_italica_v2.0:VII:22032791:22033067:-1 gene:SETIT_012934mg transcript:KQK97586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNCPVVAGAAPLAAPTAHDMHVRSVASDRDYSRRAVASPFSFFPL >KQK96224 pep chromosome:Setaria_italica_v2.0:VII:1960032:1965797:-1 gene:SETIT_010499mg transcript:KQK96224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGGAGAGSSSGGSGSGGGGGGREGDWDCGGCGNRNYAFRSLCNRCKQPRLLVDPNTPRDSKWLPRAGDWICTGCSNNNYASRKNCKKCGLPKEEAAMPALQMAGMAMPAYATYIARLQSLAASAYKMNFGMAANSPLQQQLLANANWSYGLAGRYGMQSSGWPFGNNTTNQFPGVPKDWRNGDWLCSCGFHNYSSRTQCKECGAPVPSGIPSTTMKTTSDASSTLGTKRLASEELANDWDNKRLNPGNDNYPLSTAGTNNLFLGIEQGAGSSNGQAAFSKFDNGSSIALPSGQAMSGLMGKGAKWRDGDWMCSNCNNHNYASRAFCNRCKTQKESAVHPGVL >KQK97249 pep chromosome:Setaria_italica_v2.0:VII:19967541:19968756:-1 gene:SETIT_010628mg transcript:KQK97249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGDSLPPAPAPRKCRKVPALSQPFVRTARFAFHAEPAAALAHSPAGCSDRAGKALRRGRQRAPPRSRGQRWRSRASNGLEPTPGHHQIAPLCQRESSEHADAARPSCVVRCAAGIAPSEVWRRVARAGGAMGGGGSRPGEAQRALLLAVVALCSLLARPQPSCAFFFGGGRQEKVPMTVVVPDYSPRPAPLPLGPSPSAAPAPVPGSDGGGGDEDGTPRLPSERRSPGAPSSGDHHGAAAGAPAGTASADFISSSPAVPLPAGVTDSATVLPMPTPGQQQQLRDDVGMGALQLQVGAVQLATTLLMMLSFRALWCW >KQK96130 pep chromosome:Setaria_italica_v2.0:VII:767305:771626:1 gene:SETIT_010756mg transcript:KQK96130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPNAGTAVFPLHRCKTIHLLRHAQGVHNVEGDKDHSAYMKPEFFDARITPLGWNQVDRLREHVKKCGLPEKIELVICSPLLRTMQTAVGVFGGENHTNGISAPPLMVENAADSGRPAISSFNCPPFLAVEACRERLGVHPCDKRRSITEYRTLFPAIDFSLAKNDEDVLWVPDVRETFESLAERGMKFIDWLWTREEKEIAIVTHSGLLCHTLRMYSKECHPTVRHEVSKYFSNCELRSLVLVDRSMLGSDTPSYNYPGKIPAGLDLPSDVVDEKCLEEEAQERTEPA >KQK99835 pep chromosome:Setaria_italica_v2.0:VII:34982571:34984416:1 gene:SETIT_012661mg transcript:KQK99835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGKLSRQSLHLVLREGRDLYSLRHLDVPNLFYPSTAQALEAEAKSKMTEKLQQNGINKIGAIGRLAGPSIHYQPSTRTANPYKIGHHAPNLKESGISETTAGRLLHWAAIRCLGSVYLRPLASDSNSIFYAESRSLTAMPELNSAKGTAVWPSPYRPRVVQLRGARRAYDPVDHWCWGPLPKPPFFEDKRYEGPLQARFAVVGGTRICVSTTTATYAFDTATDKWNKVGDWVLPFTRAEYVPELGLWLGLSSDGGGGPYDLCTLENLSADAGSSPPTVRHIGREFELPEDWWEVTGDLVWLGERRFCIASSFMVENERDEYDSVPVTVFTGVEVLPGGERGLHQMVKHKAECFVAGFRFVL >KQK99778 pep chromosome:Setaria_italica_v2.0:VII:34720622:34724497:-1 gene:SETIT_009993mg transcript:KQK99778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTIKKAKKAKSKKTKKVEASSSSNPVVASGPAKVWQPGVDALEDGEELQFDPEAYNYLRGFSIGWSCLSFDVVRDQLGLVRSEFPHTFYGVAGTQAEKAPWNYIGIFKLSNISGKKREPIPPSAVDGDTDVDSDSSSDEEDEEINEDTKPILHLKKVAHAGCVNRIRSMTQKPHICATWGDTGHVQVWDLSSFLNSLAESGAPAPKEDDIIHKHLPVKVFSGHKDEGYAIDWSPLVAGRLVSGDCNKCIHLWEPTPTNWNVDPNPFVGHSASVEDLQWSPTEADIFASCSVDGTIAIWDIRTGKKPRMSVKAHKTDVNVISWNRLASCMIASGCDDGSFSVRDLRSIQEDSLVAHFEYHKKAITSIEWSPHEASSLAVTSEDHQLTIWDLSLERDAEEEAEFRAKMKEQANAPEDLPPQLLFVHQGQRDLKELHWHPQIPSMIVSTAIDGFNVLMPSNIDTTIPGNTDATMASAEP >KQK99000 pep chromosome:Setaria_italica_v2.0:VII:30408675:30409032:1 gene:SETIT_012061mg transcript:KQK99000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FFGSAATKNMCSSCYRDFLKNADAAPATAEKIEVAPEQPAPPEISAATSSAPAAKAAPSRCAGCKKKVGLLGFVCRCGGPRADRQEEPPRRGAQDQQDLMQTETKKNPLVVAPKINKI >KQK98160 pep chromosome:Setaria_italica_v2.0:VII:25411413:25416360:1 gene:SETIT_012709mg transcript:KQK98160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAYEPAHGDAAGTGGDVDRGEVRQRSSKPQKKPGTDMEADDGDEGRGAEAAGGGCEVTDSIEWVFESEPVPSWREQVTPRALAVSLLLAVVFSLIVMKLSLTTGIIPSLNVSAGLLGFFFLRVWTAPVKNPGRRPFTRQENTVVQTCVVAAYGIAFSGGFGSYLFGMSETIAAQAAEENNADNVKEPRLSWMIGFLFLVSFVGLFALVPLRKVMIVDYKLTYPSGTATAHLINGFHTPDGSERAESQVRTLIKCSVASFLWGFFQWFYTAGEGCGFGQFPALGLTAYQNRFYFDFSTTYIGAGMICPHIVNISVLLGGILSWGVMWPLIAEKRGSWFGAELSDSSLEGMQGYRVFIAIAIILGDGLYKFAMVLTCTVAAIAASTEKRKFFGALPVNSDDRTISGSGAAPETPPSFDDARRTEFFLKDQIPTPVAIGGYVAVAAVSIIAVPHLIFPQLKWHHVLAVYLMAPVLAFCNAYGMGLTDWSLASTYGKLAIFVFGAWAGKPHSGVLVGLAACGIMMSIVSTAADLMQDFKTGYMTLASPRSMFVSQVVGTAMGCVVGPSVFWLFYRAFDGVGTERSAYPAPYAIVYRNMAILGVDGFSKLPKHCLTLCCVFFVGAIALNMAKDLAPRKVARLIPLPMAMGIPFYIGSYFAIDMFLGSVILFAWEWVDKAQADAFGYAVASGLICGDGVWTLPQAVLSLFNVKPPICMKFLSRGVNYKVDDFIGTLS >KQK96282 pep chromosome:Setaria_italica_v2.0:VII:3035594:3036638:1 gene:SETIT_012771mg transcript:KQK96282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFWKGNMREYGGQEYLCMILVDEQGTKMEAVACGDHHMMFNNVLIEGETYDFWGVYFTPTYVDPIPNMYRLCEHYAIVLLPDTVIKTPQRPIWISECPRAFREFEDVYHQPVDTFADVIGVVVYASEIQDRGDFRRRPNRHMVIMNQRKNFIIMHVNDPHLQRHIWEWCRAAYQFKTLAALHVKISAMQGGVTTTDYSQIIFSPICSDAYDLK >KQK97642 pep chromosome:Setaria_italica_v2.0:VII:22357359:22358111:-1 gene:SETIT_011307mg transcript:KQK97642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKIVIKVCMPCERCRTKALKVVARADGLISVAITGDEKLEVVGDGVDPVCLVRCLRKKICYAEILQVEEVKDKKEEEKKPEKPKKAEQQAVVVHALPQSCPGYCSCHRCHPPSLMVCEDDRNSCAIM >KQK97812 pep chromosome:Setaria_italica_v2.0:VII:23399046:23403318:-1 gene:SETIT_012162mg transcript:KQK97812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHQERNKHDRKKSKPTFGFCCKQGKVKLPPLKKPPAYLEQLLRYGRGQSSNYRQNIRYYNSMFAFTSMGGHINPEINRQGGGPYVFRLNGQNYHQIGTLLPKEGTDPKFAQLYIYDTENEVKNRIRASTSKGKNQQLDENIVSELFEMLDENNSLVKIFRMARDRFRETDFQNVQIRLLGERTRDGRQYNMPTASEIAALILGEQSDEPSERDIIVQDKDKHLQRISELHPSFMSMQYPLLFPYGEDGKCVTMMEYYAYRIQQRLNQSTVLLMSGKLFLQFLVDAAASIEQWRLNWYRTHQGTLRTELYSGLQDAIDNGDTTTDQIGKRIILPSSYTGSPRNKQQYYQDAMAICRWAGYPDLFLTFTCNLKWPEIQYMLDHIPGQKPEDRPDICNRDIKKNMCFGRTVAEANHKSPCMIDGKCSKHFPKMFSQETTVDEEGYPIYRRRDDGRIIEKDRVQLDNRYVVPYNRDLIVKYQAHINIEWCNKSRSIKYLFKYIHKGNDMAITKVTATDKNDEIQMYLDARYLSAGEASWHIFGFELQHREPSVQRLQFHLENEQVVVFPDSTNIEEIVYRPGIEKTIFTEWMTANRLHEDARELTYAEFPTKWTWHSKEKEWKPRRGGKRSIGRIYYAHPASGDRYYLRILLNIVKGCKSFKDIRKVDGVIHKTYKSTCYALGLLDDDNEWDDCIKEASFWASATQMRQLFCTILLFCEVTDPLKLWESNWELLSEDIERHQRRFMNFERLHLQPEQKKKLTLIEIEQLLRKGGKSLKDFNGMPLPHNTVMQGLRNRLLNEELNYDRNSLQKECVELLQKLNLDQRKAFDAITQSVNSKLGKLIFVNGYGGTGKTFLWKAITKSIRSEGKIVLAVASSGIAALLLPGGRTAHSRFHIPLNINNESTCDIKQGSLLAELLNKTSLILWDEAPMTNKHCFEALDKSLRDILRFTDENSKDKPFGGMIIVMGGDFRQTLPVIPKGRRTHIIDASLKRSYLWKHFEEIKLTKNMRLTVVTNSTEEKKKIQEFADWILSIGDGLAGDKDDEAWITIPQDLILQKGEDELETIVNNTYPDLSRNYSNRTYLEDRAILCPRNEMVDNINSYIMSQIPDEETTYLSSDTVCKAISTKESEDQLYPTEFLNSLKFPGIPNHKLQLKVGLPIMLLRNINQSAGLCNGTRLTITQLGKWFIEAQIITGTNIGNKVYIPRIIMSPTESKWPFVLKRRQYPITVCFAMTINKSQGQSLKNVGLYLPKQVFTHGQLYVAVSRVTSREGLKILISDEESPEDNMAKNIVYKEIL >KQK96554 pep chromosome:Setaria_italica_v2.0:VII:11902499:11903045:1 gene:SETIT_012873mg transcript:KQK96554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLRAKGEEQEMLECLVLVLLLSLIHGGDACG >KQK98486 pep chromosome:Setaria_italica_v2.0:VII:27340132:27340649:1 gene:SETIT_012949mg transcript:KQK98486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRWGPALREPYRARGQPLPCWARGPMTGTGGVEPAVRVGGVEVRVGALSLSRASLSTRQRPVPSGLPRASQPE >KQK99894 pep chromosome:Setaria_italica_v2.0:VII:35274055:35277954:1 gene:SETIT_010510mg transcript:KQK99894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRHADEGGQLQLMEPDRVDEEEECFESIDKLISQGINAGDVKKLQDAGIYTCNGLMMHTKKSLTGIKGLSEAKVDKICEAAEKLLSQGFMTGSDLLLKRKSVVRITTGSQALDELLGGGIETLCITEAFGEFRSGKTQLAHTLCVSTQLPIHMHGGNGKVAYIDTEGTFRPERIVPIAERFGMDANAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFSGRGELAERQQKLAQMLSRLTKIAEEFNVAVYITNQVIADPGGGMFISDPKKPAGGHVLAHAATIRLMLRKGKGEQRVCKIFDAPNLPEGEAVFQVTSGGIMDAKD >KQK96790 pep chromosome:Setaria_italica_v2.0:VII:15576940:15578642:-1 gene:SETIT_012257mg transcript:KQK96790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCVAQIRWLQAFAVIRKRPYKVLHSYAECCYTVVCDKEQCPWRITKVVGPHNCADRELTVRHPQLTSTLIAKQLMGILKEQPNMKVRTIIRTVEEIYGGYVITYGKAWRAKQRAWKMIYGDWESGYEQLPVLFNAIKVVNPGMHYEYIPKPNAWKDGRQIFGRAFWCFPQGAEAFRHCRPVFSIDGTFFIGKYRGTLLIAISCDANNMLVPLAFALVKKENNDSWGWFLRLVRIHVVGPGREVGIISDRHQGILHAVQEQIEGYPPLHHRWCTRHLAENLLWKDGVKDNFDLFQVAARQLEDYYFQRKLEQVRTATNAEGRQWLAGLMRDLDKWTRSHDTGGIRGMPVNAIVKFTFYRLVAWFNERHAKAEALQSARERWAKKPKRHLSIANERASTHEVQCFDLGTGTYQVEHRGRTTTTTMSRGPRSLARLSSTRLP >KQK97804 pep chromosome:Setaria_italica_v2.0:VII:23336947:23341706:1 gene:SETIT_010598mg transcript:KQK97804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAPVARLDGAAIQIKNLLYVFAGYGTINHVHSHVDIYNFSDNTWGGRFDMPKDMAHSHLGMVTDGRFIYVVTGQYGPQCRGPTARNFVLDTETKEWHDLPPLPVPRYAPATQLWRGRLHVMGGSKEDRHEPGLEHWSLAVKDGKALEKEWRSEIPIPRGGPHRACVVANDKLLVIGGQEGDFMAKPGSPIFKCVRRSEVVYSNVYMLDDGMTWKELPHMPKPDSHIEFAWVNVNNSLIIAGGTTDKHPITKKMVLVGEVFRFNLDTLEWSVIGRLPFRIKTTLVGYWDGWLYFTSGQRDKGPKDPSPKKVVGCMFRTKLHL >KQK96964 pep chromosome:Setaria_italica_v2.0:VII:17780928:17787961:1 gene:SETIT_009199mg transcript:KQK96964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHFLLAPASCLLGCPVTALCYRCSGPCKEGQPCMCLTSDQALPLGQTIHRPWLPGSIVPSQFCVVGRGEEKNKIVASLLESIKSAKIVILPIYGIGGIGKTTFAKLIYNHTNFKYYSHVWVYVSQRFDLKEIGKSIDSQLSGEENQANEQLISKEILIVLDDFWEDNPIKIEELKDMLYRGDSIKTVIVLVTTRSADIAQKICRNIEPYQIESLTDEMCWDIIKEKSGLKGVHGKENENLVDIGKKIAQKCGGVALAAQTLGFMLRSIKHDEWITVKNSDIWNETISEDTSLPQHVLASLKLSYAFSMDDFLKKCFTYCAIFPKGHRIVKYDLIYQWISLGFIMPTKILSTLQLCEKYIVRLLGLTFLQHSTSAKTYGTYGEHDTFTMHDLVHDLAVSVLGDRILYQSKQGNDGGSICRYALLHDSSKPLESWTTFPDRLWALHFLNCQWIDVHGAAFELGESLRVLDLSECSIQKLPDSIGLLKRLRYLNAPRIREQMLPECITKLSKLMYLSLRGSCYILELPESIGEMEGLVHLDLSGCLGIKTLPASFGNLTSLEHVDFANCKNVTGVSKCLASFTKLQHLNLSNCESIGDLPRAFGSLTELQYLNLSDSSYLSGNKLTMPEYLGSLTKLKYLLGSLTKLKYLNLSSSNIYVNLSPGNQQHIISSPEAFGSLTELKYLNLSHHCFMGKLLPSFGNLCNLVHLDLSYCHPLRGLPGALNGLTKLQYLDLYNCFGFDAMEWLQDAFGNLSELRHLNLGCCIGNISYHPDKINALLGWICNLTNLEYLSLRENNTIYSIPETLANLRKLHTLDLSFCGRLQRLPASISEIESLKFLYTMECWKLDRSTLPSQWTSSSRIPPHFVAHTGDGESSSYVFQYEDGNPARIKISRLENVKSAGEARTIKPVGKTHITQLTLEWTRDAKRLVEDAEVLRELEPPHSISIFRLHGYNSVSFPSWVHFGAYLPHLTSFEMRDLPNCNSLPPLGQLPNLEDLDIGGMDSIMEIGADLYGGPRAFPRLENFRIHGMKWLAVWNTELTVFPCLSYVSISGCPRLRFEGRPPRSMEDNLELSIYRSDEVMLSSWENIGDATDSTVTTLEVISCLLPLYQWRLLRHLPCLQVLTIEDCSVISHDAHRIFFAIPPLSRLSALTVRPGPEGFELCGQSEDCRSIRLMSFVPEVPENLFDMAPWSCSTSKLQVKPALRERELDLCELDLRELEQTLRQLVRFETWQNSRGVGST >KQK97825 pep chromosome:Setaria_italica_v2.0:VII:23447262:23447779:1 gene:SETIT_011322mg transcript:KQK97825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPVRLLGFGLGRVPRLHLPQKSLPSVSGDGDPSGPRLLQVLIRQATNGMLPRERAWRLAHLFSGQDCHASDSSAPVDSLNLTIHFVHVTGLRAFPAPDLSRKPRAYQPVGEHALPPEKKSALDSG >KQK99548 pep chromosome:Setaria_italica_v2.0:VII:33462678:33466187:-1 gene:SETIT_010475mg transcript:KQK99548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLFPKAAPPLPLCLAARASRRARLAVASTAGGSSSSSSSASASFDAAAFEAERLRLDAAARDGMASAAAVAEADPRAWKWAIRKRVWDALEAEGIARDPRPVHHRIPNFDGAPAAADALGRLDVFQNSQWVKVNPDSPQKQVRFLTLSGDKKLLTPQPRLRTGFFSVLDSQMIPIGCVPEACTSVGAAKYGKPIGLDERIKVDLIVIGSVAVDPSSGARLGKGEGFAELEYGMLRYMGAIDDSTMIVTTVHDKQLVDDIPVEKLLIHDVPVDIICTPTQVIFTKTTIPKPQGIYWEKLSPEKLGQIRILRELKKRIEQETGTILPCGPSEKLPPTAQRRRRVRQQRRR >KQK99821 pep chromosome:Setaria_italica_v2.0:VII:34900813:34904022:-1 gene:SETIT_011100mg transcript:KQK99821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPELNETGKQQAIMLARRLSKEAKPAAVYSSDLKRAAETAQTIATACHVSNLVFDQSLRERHMGDLHGLKFDDAVSTKPEAYKAFSADDRNQEIPGGGESLDQLSERCVSYLNTIADKHKGERVVVVSHGAAIEEICRHADPTMTLVRRRIPNTSISVIHISGENRHWILEKLGDVGHLDEDGFLQSAFGGDGASV >KQK96433 pep chromosome:Setaria_italica_v2.0:VII:7094870:7105019:1 gene:SETIT_010435mg transcript:KQK96433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGQCLIRNILIQGEQQLVGTALHSLGMCYHLQHKFAQAQTCYERALKIEGRVMGVGHPEYASTMYLLAKVLSVQGKRRGAESLTEESIRILEEAGLGESPTCIQRMRYLSTELIKSKRFTEAEIWQRKILHTLELSKGWDSLHTAHAAEVLSLTLQALEKFKESEELLERSLATKKKVLPEGHFLLAVTLVHLARLSLHKIASDLKNANSNVATYYLSRAQQHSNDSIRITEAILNSSTDQNKLESTSTTDGEKIAATAILLQALDVVGLTDIMAKHVLAPGVQDYRSIEDALHKCISLYSEPDTRRFVTKAVRQDYLRCLRVLIETVDFTQQTTELQDLLGEARKIIEELGGESNRK >KQK97699 pep chromosome:Setaria_italica_v2.0:VII:22755053:22756569:1 gene:SETIT_010803mg transcript:KQK97699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKALSFIKVRAAGGGGGDQPRRRRPRHPHRSPKAEEPQDKDVAAAASASSSASSSAKIAPAQPHEADGDREDPLHPHEEQEQGGAKHEHCDKCCSPLDDGGGGAGDEEAAADSDREWAAEPEPGVLMTLVSRGDGTNHLRRIRFSEDYFGDAWAAQSWWADNCDRIVELYSVVVQPEHPSHGEDDDDDDPAAPVTPCQSEDDDHQPPDGIGELEYSASCSASASASGGSTSNFSGPSSGSGSANKVDSPILGLVTEADSSTRAAQTKDTHTTKRGQ >KQK97811 pep chromosome:Setaria_italica_v2.0:VII:23393226:23395059:1 gene:SETIT_010070mg transcript:KQK97811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVAAAAPPPRQLSLEDLKAVSVLGRGAKGVVFHVVPAPGEPEGEAAMALKAVSREAARHKKAASGDGDGHRRIWFERDVLLALRHPLLPSLRGVLATDAVVGFAIDRCGGGDLNSLRRRQTEKMFSDSVIRFYAAELVLALEYLHSLGIVYRDLKPENVLIQDSGHIMLVDFDLSTRLPAPPQEPDAPAASPKPAPPVAAPSPSRGKPKKPAGAALCFPFRTGGSSKHAVPAADSPSPPSTSRTASSSSSSSTATTASSAASAGARTPAKSNSFVGTEDYVAPEIIAGRGHDFVVDWWGLGVVLYEMLYGHTPFRGQNRKETFYRVLTKQPELVGEQTPLRDLIARLLEKDPEKRIGARGVKAHPFFRGVDWDRILHVARPPFIPTLPQDEDGDEALDVEKVVREVFASNDPEAAKAGEGEKASPEADGGSGGGDGVGRRDPSKDGDFSVFF >KQK97775 pep chromosome:Setaria_italica_v2.0:VII:23184124:23185967:1 gene:SETIT_011213mg transcript:KQK97775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLRYSKKLFRRSSSKSSTASSSCSSDGGDVGGGGRGEIEWEVRPGGMLVQKRDGRGDAEVITVRVATGFSWHDVSIGATCTFGELKVVLSMVTGLEPREQRLLFRGKEREDTDHLHMIGVRDKDKVLLLEDPAQKDMKLRAALAAQAVQSPYRAFIKV >KQK99025 pep chromosome:Setaria_italica_v2.0:VII:30538375:30545274:1 gene:SETIT_009178mg transcript:KQK99025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAFSAARWVVGKALAPVADGFLEAWAASKNLGSEVDGLMTVLQYAQAMLNNTRGREIDNPALNDLLLKLRLLAYDADDVLDELDYFRIQDELDGTYHAANEHAGGCARDLLLNARSPDATPGRRFLCGAWPSKVPQRRQTAQIPKLKFDRVDVSTRMTEIVKKLKPICAMVSTVLNLELIGSKGITTATMERPTTSEEITEPKLYGRETELQSVVGSITHGECFANELTVLPIVGPGGIGKTTFTQHVYQEVRSHFQVTIWICVSLDFNADRLAQEAVKKIPEVKDENKSGSDQELIEQRLKGKRFLLVLDDIWKCHEYEWEKLLAPFRKGGGTGNMVIVTTRMSDVAKMVKTGDSQIQLDHLGAEDFRAFFDACASTKHESWSDHPELIETGEEIMAKLKRNPLAAKTVGRLLRKQLTLEHWRRVLESKEWELQTSDNDIMPALKISYDYLPLNLKQCFSYCALFPEDYEFDSKELVHFWIGLDVLHLGDQSIRIEDVGKSHLIDLVNYGFFKRNKKDNGCHYYVIHDLLHELAVKVSRYDCLSIQISKVRSAHIPASVRHLSIIVDNKDVEDIITYKDCEKDLGGLDKRLQIESIRTLMLFGENVESFSKTFGNLFKKARALRAIFLPGASYIVEDMWQNFSKLVHLRYLRIKGRYHFLETDLPGTISRLYHLKILDIKDSWGCPMPSRYLSNLVSMQHFLAPGYMRLHSDILNVGNLKLLRELRFEAKKENKGFELEQLAQLLELEVLGIYNLEKVKVKEEAIAMKLIQKHRLQVLMLDWDINRSDKDPIGEENILESLMPHSNLYKLSISGHGGDTCPSWLGMNLSVKTLESLRLSSVSWKIFPPLGEMWFVGEHCKSCIPEQSFKKLKRLELEKVPKLIKWVGNGPSDLFSHLEVLVIKDCPELMELPFSHCAGYEQDVEDNMTWFPKLEKLEITDCPKLSSLPCVPWSSSTCRAKIVQAGSGIEELSFGGYSLEIKGKDTLDSAFWRVLAFHNLSKLEVLEVTRCPPLSLVHLEKLSSLRSLRMYDMGDAFFSAEGDGHAGYRFPVKDVTIGRYGGSGQRLTRLLSYFPNLLWFRMFHCEKLTGLGVVGLHKRTEALPRPPSISVNQVGQHEQRLTRLFSYFPNLLGFSIWLSEKLTGLGVVGLHKRTEALPRPPSISVNQVEEAQVGQHEQQGARAEEEITSEGVLLLPHQLQRLWIEDCPNLVLCPGLLDHDEDEGRTGGGGLQGEGLQSLLAQGRLTKLNVLKTPNFFTGSEPSLPREPELPSSSSKLQELDTEDVAGVLAAPICAFLSSSLTELNFSWVKEVERFTKEQEETLQLLTSLEGIRFWWCDKLQCLPAGLHKLPNLKRLNIDTCKAICSLPKECLPNSLQKLVIRGCPGIRSLPKVEYLPSSLRELDVGDSNSEELRRHCRKLIGTIPIVRA >KQK96750 pep chromosome:Setaria_italica_v2.0:VII:14949777:14949996:1 gene:SETIT_012970mg transcript:KQK96750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSLDPQTNVGSNIVIQRVHPRMVLVQIRQTEFSAN >KQK97913 pep chromosome:Setaria_italica_v2.0:VII:23925372:23927476:1 gene:SETIT_009626mg transcript:KQK97913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPGAAPTLFGLTPPHHLCSGLQFLPPTASAAASPFRCRSVSFAPPFTRPRPRAAAAAIHASAPASDSSFPSSPTPPARPPGPPEPPSTVAHAGRSKKKKNPQGGGGGGRIEGSGDMRREAKAKARVRSRRMGENAFYRRKRRAAAAAASGQADTFTDAELEMIGMGYDRAVRFMDGPDDPRLRHPHDWYKYGRYGPYHWRGIVVGPPIRGRFSDDRVSLMEEVHDHEEWDRIEQFDMCNQFSHRLNDLADGVGFRYYWVFVRHPRWRPNEKPWEQWTLSAEVAVQAGKEERLDKWSLMGRFGNPTRELITRCAAWTRPDIIYVKRPLYQSRFEPQEDFFKKLRPLVDPATEGNFLFEFELDGQVIRTTYFGGLCRIVKANPKAYVDDVVNAYSRLSEADQSRCLEFLLTNHPMELLHPYTKEWKVKLEEMELGCDAPDESDDDVGDDDEIDIVDWIKDDEADDVVDGGYSDYEDEDVVDTNEDLEADEVVENSGDSEKYWDEQWKNAMRSSDKMEKLVKTSIEASNERIEQQMEFEKEMEWKMDRANAMVMEQEQTEEDEEEQETTRSRSAEDGSQSDANTGLFLRAAVRPFTYRNLVKEIVLLRHHIIDGEIV >KQK96258 pep chromosome:Setaria_italica_v2.0:VII:2600054:2600557:1 gene:SETIT_012908mg transcript:KQK96258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRQYDQKMVSQIDSLYFLHDSLLIRHLCNAIATTQYN >KQK99190 pep chromosome:Setaria_italica_v2.0:VII:31410885:31413040:1 gene:SETIT_011804mg transcript:KQK99190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLEGNLSTHTGRDEECQKTQATLSGERGRALIGPRPNASRSPTAEDLIPTEAPYRRRHQARSAAAADDDDRDANRQDHPLARRRENCSTGGQIQASQDLAALVARASRAAVDVNTAARSLREVKKDERRKLEAAARAETAARDAAAALWDNPDAYVVTIGGRVLRAGDDAAAAAFTANARDVVVEDFDVSVPGAVTLFEGASLRVSHGRRYGLVGPNGKGKSTLLKLLNWRKLPEDPRPVIELEASGDAAGNGWLCEVYNELTLRGWASARARTSKILAGLGFDQAKQARPTSTFSGGWIKRIALAGALFVQPALLLLDEPTNHLDLQAVLWLEEYLSAHFLNAVCDELPQRRGNFDAFVRSYEQKKATAMKEHEKLAKAARKGGRKAPKNYEDFKLSGVDADIAMGQRVAVVGPNGSGKSTFLKLLAGELVPTEGEARRSHKLRIGLYSQHFCDSLPKDRSAVQYLLEKHPHLQSKPGEARAMLGKFGLPKENHLTLIDKLSGGQKARVVLASIALGEPHVLLLDEPTNNLDMQSIDALADALDEFAGGVVIISHDSRLITRLCADENRSEVWVVQDGMVRPYGGSFAEYRDDLLEDIRKEMAMD >KQK99180 pep chromosome:Setaria_italica_v2.0:VII:31348109:31349754:1 gene:SETIT_010112mg transcript:KQK99180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPFLVRRRRRLALPFSTLHPPAPAAASTPPSSLDATAVLETLSLYVNDWRRALDFFHWSASPAGANLPPTAATLARAVDILGKHFEFPLATSLLLSHHDPARGDPAFLRPALRALLNRLAAANLVDDAVSAFNSTAASIGLRDEASFHLLIDALCDNRRVDEADHLCFGKDPPPFPLRTKTHNLLLRGWAKTRAWARLRQLWFDMDRRGVAKDLHSYSIYMDALSKSGKPWKAFKIFKEMKQRGVPIDVVAYNTAIHAVGFAESVDSAVRLYRQMVDAGCKPNTATFNAIVKLFCKEGRFKEGYAFVQQMHKAGCEPNVLTYHCFFQYLSRPQEVLRLFEKMLERGCQPRMDTYVMLIKRFGRWGFLRPVFIVWKAMEEQGLSPDAFAYNALIDALLEKGMVDLARKYDEEMLAKGLSPKPRKELGTKLPEAESDSDNVLNGVL >KQK96426 pep chromosome:Setaria_italica_v2.0:VII:6892913:6898439:1 gene:SETIT_012524mg transcript:KQK96426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGGDRPIWRAPLANHPTSPPVSSLSHSPSVPNPPCRQPASPRALSSKPRSRREAGSLAASRAGAGDYRRMESEVVRTEMVLAPTLSFKKVQTADKYPKGQSRGRQWKHLRHLLQAADASSMPPDRPNYLNIQSPPSIYPPKRYCDITGFEAPYVDPRTKLRYADPEVFKQIRMLPNEYVQRYLALRNAAVILR >KQK96488 pep chromosome:Setaria_italica_v2.0:VII:9661325:9663572:-1 gene:SETIT_012786mg transcript:KQK96488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDESMHVILAPFPAQGHFAAFLTLAGRLHAARPSVVITLVSTPGKVAALRTSASAAAVPFLRFHALPFSPEEHGLPAGADSADAIHVRYFLKLFQSTGGRRRAPAACVVVADPFLAWTTAVARRLGARHAFFVSCGAVGSAVFHSLWKHLPHLRAPGADAFVLPDHPEVNVYGSQLPRHLLLGDGTDPWSVFYRQQILLGYGTDALLVNTTDTGRELGFSPGCLCNRDKRSRLWEEFEPAGLRMLRRTMGVPVLPIGPLVRVPIQHTSHREPDSDSIVRWLDARNKSSVLYISFGSQNSLRPEQMMELAAALELTGRPFVWTIRPPMVLDDTNGNTGTVTSDKWLPEGFEERMSANDTGLLVHGWAPQLSILAHASTSAFLSHCGWNSVLESVAHGMPVLGWPLQGDQFFNCKMLEQEWGACVEVARGHGDSSPAVERARLAQAVETVLGDTSKGAEMRRCAKEIQELIGRSRSKDGDSSAEVLQEFFTSMLHGSGTADKEC >KQK97781 pep chromosome:Setaria_italica_v2.0:VII:23229311:23231525:-1 gene:SETIT_012763mg transcript:KQK97781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PCAGSSAPPSKPRPARGAHRRPVSAMACGANAQPAWALAALAALGLLVTARAAARLALWLYAAFLRPARPLRRRYGEWAVVTGATDGIGRALAFRLAAAGLGVVLVGRNPDKLATVTADLKAKHPAAQVRIFVLDFTADDAAAKVGALGEFLRGLDVGVLVNNVGASYPYARYFHEVDEELTRKLIRLNVEAVTRVTHAVLPGMVERGRGAIMNMGSGASAIMPSDPLYTLYVATKAYVDQFSRCLYVEYKSKGIDVQCQVPIQVATKLASIRKPTFLAPSPEAYARAAVRRIGYEPRCTPYWTHALVGLLISLVPEPIADRMFLHRNLSIRTRGRAKDAKKKAL >KQK99276 pep chromosome:Setaria_italica_v2.0:VII:32059350:32064492:-1 gene:SETIT_010025mg transcript:KQK99276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREIITIQVGQCGNQIGMEFWKQLCLEHGIGKDGLLEDFATQGGDRKDVFFYQADDQHFIPRSLLIDLEPRVINGIQNSEYRNLYNHENIFVAEHGGGAGNNWASGYHQGEQVVDDIMDMVDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQVNMIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIDWAPASIQVALSRKSPYVQTTHRVSGLMLANHTSIRHLFSKCLGQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESREIIESLVDEYKACESPDYIKWGMEDPGEANVAAALDSKLVV >KQK99428 pep chromosome:Setaria_italica_v2.0:VII:32886453:32889251:1 gene:SETIT_010472mg transcript:KQK99428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQHPEAAANPYAAELAAAKKAVALASRLCRRVQQGILQSDIQSKADRTPVTVADYGSQVLVSLVLKKELPSHSFSMVAEEDSEDLRKDDAQEILEHITSLVNETIANDDSYNMSLSKEDVLAALDGGKSEGGPSGRHWILDPIDGTKGFIRGDQYAVALGLLDEGKVVLGVLGCPNLPLKSTNKHNGSSSGDQVGSLFFATIGCGAEVEALEGSEPQKITICSISNPVDASFFESLEHSKRDLTSTIAEKLGVQAPPVRMDSQAKYGALAQGDGAIYLRFSQKGYIETVWDHAAGSIIVTEAGGMVKDASGNDLDFSKGRYLDRDRGIIATNRYLMPMVLKAVQEAIKEEQ >KQL00009 pep chromosome:Setaria_italica_v2.0:VII:35749363:35752544:1 gene:SETIT_009828mg transcript:KQL00009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASDSTHPAPPSTPHDDDDDDEEFDGDDLDDEADEYDDDDDGESSASPSEEARLEAVLRRLTADEVRIRVHQVAIRGCARTRRAAVEAAVGTDLARAATVRDLVRAAAAAGDRLRLLGAFDTVSITLDAPPPGTPGSAVVVLVDVSEARGRAATEFGVFANTQTRSCSLEGSLKLKNLFGYCETWDAAGALELDQTAELSAGVEMPRIGAIPTPLVARISFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLTWRKLTDPARMSSNSVQEQLAHSLLSSVKYAYTVDQRDSSIRPTRGYAFLSSSQVGGLAPGSKYSQFLRQEFDLRVALPLGVLNGALNAGVAAGVIHPLERGSTGSVSPLSERFYLGGNRSLVCRLGGPSSLLGFKTRGLGATELRTCDPNNSENGTSTSPELNGLGGDIAVTAFADLSFDIPLKPLRDLGIHGHAFVCAGNLGKLTECDLRKFPLTNFLQTFRSSAGFGVVVPTRLFRIEVNYCHILRQFDHDKGKTGIQFSFASP >KQK98597 pep chromosome:Setaria_italica_v2.0:VII:28202831:28203995:1 gene:SETIT_011664mg transcript:KQK98597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVDYGIILAYPEHVSWMDACAHGPSHGVTTLAFEFPARPCARFSPMGDRSAREPVADGDRRVLRSDLQFYGGQDFQGETATFYTETGCAGTPYQVIGGFEGTQFCGDFGWRSINIDC >KQK98990 pep chromosome:Setaria_italica_v2.0:VII:30368665:30371637:1 gene:SETIT_011301mg transcript:KQK98990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLQPDRFLSELTSMYERSTEKGSVWVTMKRSSLKAKAQLQKMEKKGKEIEYRCLIRASDGKKSISTSVSLKEYAKFQASYATVLKAHMHALKKRERKDRKKAADAEKVPETAPKKQKKKSSKKSSGSKS >KQK98073 pep chromosome:Setaria_italica_v2.0:VII:24812655:24815891:1 gene:SETIT_011466mg transcript:KQK98073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSTSMASEDDYEFVMVCIGEEVRPTDLRLHLMKEVSGMPTSLKEPQQAAASPDSSGEPSSSGTMKTEIS >KQK98074 pep chromosome:Setaria_italica_v2.0:VII:24812655:24815891:1 gene:SETIT_011466mg transcript:KQK98074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSTSMASEDDYETEQKKQVAANVLFHYSQFVMVCIGEEVRPTDLRLHLMKEVSGMPTSLKEPQQAAASPDSSGEPSSSGTMKTEIS >KQK99583 pep chromosome:Setaria_italica_v2.0:VII:33633618:33635073:1 gene:SETIT_012589mg transcript:KQK99583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTERATWSYTYEKGLVDIVKELVNIPMFKGQNGWRNITNKFNDIFPMAYFTKQQVQEKEKELKGNYKIIKEVRKSGVGWNDTLGIIIAEPKGWEKLIKDNHKVVKFRKNIELRPYNSNVVVAKVKTVINNIESKLLILLFFNLSGSATTWDLNFASIEPTPQRTEPTPQRTELRAKPNSQRSELRAEPTPQRSISEQSNHSMASIDRNPLNVGLGGVESIEVQSAPASRNSDDQDVTGGKKRKQKDYSIEKCIDIVDAMERLSDEQKVDANEVF >KQK97843 pep chromosome:Setaria_italica_v2.0:VII:23526779:23532500:1 gene:SETIT_009767mg transcript:KQK97843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSSTTTASPASSHPYRPAYPRASLRPVLAMAGSDDPRAAPARSVAVIGAGVSGLAAAYRLRKSGVNVTVFEAADRAGGKIRTNSEAGFLWDEGANTMTEGELEVSRLIDDLGLQDRQQYPNSQHKRYIVKDGAPALIPADPISLMKSSVLSTKSKLALFLEPFLYKKSNTRNSGKVSDEHLSESVGSFFERHFGREVVDYLIDPFVAGTSAGDPESLSIRHAFPALWNLERKYHSIIVGAILSKLTAKGDPVKTGSDLSGKRRNRRASFSFHGGMQSLINALHNEVGDDNVKLGTEVLSLACTFDGLPSTGGWSISVDSKDAGSKDLAKNQTFDAVIMTAPLSNVQRMKFRKGGAPFVLDFLPKVNYLPLSLMVTAFKKEDVKKPLEGFGVLIPYKEQQKHGLKTLGTLFSSMMFPDRAPDDQYLYTTFVGGSHNRDLAGAPTSILKQLVTSDLKKLLGVEGQPTFVKHIYWRNAFPLYGRDYGSVLDAIEKMEKNLPGFFYAGNNKDGLAVGNVIASGSKAAELAISYLESQTKHNNSH >KQK98013 pep chromosome:Setaria_italica_v2.0:VII:24495324:24497918:-1 gene:SETIT_012477mg transcript:KQK98013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGWKKAWLSMLDRAGGGGSGSSGSLQVHVQGLHSPSSSSSSLASYKRGGKYGVGHVSSKAVVVGCFSAVLALALGFFYVSVTSGPAAGDSFPSPAAASSSSSSSSGILLSWLSSNTSTTSPRKSLLPHPPIIPPAVTAGGAADDDQSDARNATAASRRVQSSAVGHSSASASEVGSGQATSVSGQTANAQGPPLQGAGNATVGSDTEPAGNGTREEEPQVETATAMLRWRRTETDGASSSNNSVVGAPGQIARNTDVATGNSIDAGTSSREEVTENAAVDNVQSSARQGALPSWPEWKVDRHRPRRVVRRRHPRRRKEVVLPAQDLVAEQSDGETAGAKANMAVGPGNDMAGVNTSMVVGPGNGGVVAGANASMGVAGAGNTRIVWTSGVQDLVSFARCDVFNGRWVRDESYGFYPPKSCALIDDDFNCHKNGRPDSDYLKWRWQPQGCDIPRLNATGFLERLRGQRIIFVGDSLNRNMWESLVCILRHGVRDKRNVYEASGKNQFKTRGYYSFRFTEYNCSVDFIRSIFLVKEMIREGINGTEDAKLKLDELDATTPAYQTADIVVFNTGHWLNYYQEGNHVYRSLEVLDAYKRALTTWARWVDKNIDSRRTQVVFRGYSLSHFRGGQWNSGGRCHRETEPIFNQTYLTEYPEKMVIVEQVLRQMKTPVIYLNISTLTDYRKDGHPSVYRTWYETEEERMAAVKKQDCSHWCLPGVPDTWNELLYASLLQAGKGSWKL >KQK99651 pep chromosome:Setaria_italica_v2.0:VII:34069647:34070408:1 gene:SETIT_011889mg transcript:KQK99651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVARVHLAMAHAALPALLPTPPKSKMLPLLPTPPCILILPMSPPKPSRSDADEKWDARKNATKSPSNPCRADAVERWDARKNATKSPSNPCRADAVERWDARKAVKPASPASSSGSSSQRTPDGKSTDSPGRASSCERWDSNKKSATFSSSSSGGGISSASRASSGEKWNSNKRRPGTGSRASSAERWDAHKKPRPPQAGGIDDEDGQSSTGSNDMELDMPPQRAFYAGSGFLASPEPSMLPMPSSLLMLR >KQK99007 pep chromosome:Setaria_italica_v2.0:VII:30453076:30456939:1 gene:SETIT_011935mg transcript:KQK99007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFTAPSFSLGFDSDEDDPPTPVGSDRREQLRGYAAPDPPSFSLGFDDDDDVEEEPRPPAGGRREERARRSAVPDPPSFSLGFDDDDDVEEEPRPPAGGRREERARGSAAPDPPSFSLGFDDDDGEILVTGQRHQQARPQVAPRAPTSAGAVDEEDYFDLAGSKQPPPETNRFKRLRKGPAPAHPAPTPHVRRCEAPDAPSFSLGISDDDDDDFLADGQHQKQPRAPVAPRAPSSFIAGDRRPEPAQREMTPLKRLRKGPALPHLAPTPPPLKAPGPPAAEVSPLMSENAATDVPPSVGSCSTSSNSKFSLLNRGVLMTQSSTKANTSKFTQTPNFSASKSLEESCSKKLLPKITISPMRKILLLDSDTDADDEENQNKAKKPSPENRKHQGSTTVQKSEAVMNNNWATPAFDEFCNEYFNSTKDAGSSQQKEGNSFSCSKVSQPKYPDEMEGHFQQQSTSSGGVLDDNLDGRPPAMHYFFHQDPRVRDLVRDRLQHFLPTGAGSKRENEQSRGKSLSYRRQFHSSAATNDEWVTPNRGIPVPTDVGKRRVHASGTQSGSGHWLTNDSGRRVYVSKNGQELTGQNAYRQYQKESGRGFNRYKKKGSSGAKRGAAKVKIETAAKQSTSRAKRKR >KQK96854 pep chromosome:Setaria_italica_v2.0:VII:16562995:16564633:1 gene:SETIT_013074mg transcript:KQK96854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAQLLKILHIQSMRMKNLFPRREEKLLILASTRSRISLIRNRKRTVKCGCYPYRSIL >KQK96853 pep chromosome:Setaria_italica_v2.0:VII:16562533:16564483:1 gene:SETIT_013074mg transcript:KQK96853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQAQLLKILHIQSMRMKNLFPRREEKLLILASTRSRISLIRNRKRTVKCGCYPYRSIL >KQK99477 pep chromosome:Setaria_italica_v2.0:VII:33057275:33060251:-1 gene:SETIT_009416mg transcript:KQK99477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWMSDEPMSIQERRRRLHQGLGMASSRDLALRRHSMKKRPADMPRSMSRSVSRQLTPASAPIPPAASAANAPSTAAAQPVAAAPQPAKKAITRRRSDSDLVARDGASVSGKPPSPPLRRVRSLPARHDARDSAPLERPQAVASRELPVVPLPATSPADKGGKGDGGDGKKGDADGKKGDDGDKNQDSEKEAAAAVAATPKDASSNTQTGVLGLEEFEKFIGNTPIMKLMRRGTSQHQPAPPSAGVPPKAEKAASKKKGGWLKNIKSVAIGFMGDKDTNAKATASTAASTVPKSLSTNASAAGAAPPASSSERLKVHQYGKSSKELTGLYMCQEILAHEGSIWSIKFSADGRWLASAGEDSVVRVWQVVETNAPPSSLALDGKSGPLAPLPPVPGDGSSTTPALSKKSTKGKSGRDALPEHLVIPDKVFALAEQPACVLEGHKDDVLDLTWSKSDQVLLSSSMDKTVRLWDTESKACLKTFTHSDYVTCIQFNPVDDRYFISGSLDAKVRLWSIPDRQVVDWTDLNEMVTAASYTPDGQGVIIGSHKGSCRLYKTTGCKLSAEAQIDIQTKKRKAQAKKITGFQFAPGNPAEVLVTSADSQIRVFDGVTMVQKFRGFKNTSSQITAAYTSDGRYAVCASEDSHVYLWRTTRVPPAAAIGIGMKPKTWCTIRSYENFYCKDVSAAVPWTHSPSPPGSGDGSPSGGSPASRDKQQQQGASCNDESCSVAAKPEGGEPGGGKGDSGNAWGLVVVTASLGGEIRVYQNFGMPFRIKGQGNLFY >KQK99746 pep chromosome:Setaria_italica_v2.0:VII:34538426:34542149:-1 gene:SETIT_009884mg transcript:KQK99746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKQATDSSRGEEANKVPLLDHHHGGISKAREAEEEDDARLPLGRRAWVENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAKQYHMLGIYLQRSWIILFASAVALLPVYLFTEPLLVALGQDPKISAVAGVISLWYIPVMLSYVWSFTLQMYLQAQSKNMIITYLAMLNLGLHLALSWLLTVKFKLGLAGVMGSMVIAMWIPVFGQLAFVFCGGCPLTWTGFSSAALTDLGSIIKLSLSSGVMLCLELWYYTILVLLTGYMKNAEIALDALSICLNINGWEMMISLGFLAATGVRVANELGAGSATRAKFAIYNVVITSSLIGFVLFVLFLFFRGSLAYIFTESRAVADAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYLIGIPLGAVLGYVVGYHVKGIWIGMLLGTLVQTIVLLFITLKTDWEKQVALAQERLKRWYMDENKRLVGSRGNA >KQK96581 pep chromosome:Setaria_italica_v2.0:VII:12214552:12214890:-1 gene:SETIT_012183mg transcript:KQK96581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSKGEPIVLEGIAARFRNICGAIIRDKLQTWITTSNWKNVPTTTKNVLLATLKEKFTFLEGQEEFARKFAEGLFGRCFRNWRSILNIEYVKKGKNARDDFGRIPPEMWEQF >KQL00020 pep chromosome:Setaria_italica_v2.0:VII:35794369:35800497:-1 gene:SETIT_009415mg transcript:KQL00020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAMLNQQDQVSETNGLQKANMVGRETAVKIVDGIFRKMQDPASTVATSRSHIESGHSVKPKKKPDNSAELNVKVRCPCGNSKPNDPMIKCVDPQCNVRQHVGCVVIPENEKSAGSISPDLSSCFYCEMCRISRADPFWVTINSLLLPVLIGPSTIAADGSYTAQYTAKSFPLSRANREMLQKAEYDIQVWCILLNDQVPFRMHWPLHSEMQVNGIHVRVVNRQPSQKLGANGRDDGPLLTDYLWEGLNKISLLRNDSRTFCLGIRLAKRRSLEQVLNLVPMEQDGEKFDDALARVRRCVGGGTEANNADSDSDIEVVADSVSVNLRCPMTASRIQIAGRFKPCAHMGCFDLEAFIEINQRSRKWQCPICLKNYSLENIIIDPYFNRITSLIKSCGDDMSEIDVKPDGSWRVKGRAELKDLTQWHLPDGTLCVSADTAAKPKMCIVKHEVKEEPSSEEVGWRLKLGIRKNSNGQWEISKRGDSDSVLSSDNYQARHMENKNCINLTCSTDDMDNGDEVYNSEPARTDYPMTHVHDLDSSPSDKNAPPPSMEQDVIVLSDSDDDAVTVLSPSTVKCGSAHDTGNLFAPNPPETSGACGEQLGGCPNETSFLALKEGFDDLGLSFWERPLSPRDDPTYQMFDPGTRVTDNPVEVDEPVHGGDLGVTAVAANPLEDGRDGALQACTSNERDGVISLANLGDPTQTWGDGHRENRTAGTDDCVTNDRNAPQKRRNPGSGTAALDGAAVGSRNGGDGASGAASEERRSVRPRLILSIDSESDD >KQK99523 pep chromosome:Setaria_italica_v2.0:VII:33291890:33298130:1 gene:SETIT_009663mg transcript:KQK99523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRLLLLLLPRGGLLPPLRRRSPALSPLLRRIPLTKHPGAFFLSPVRAFSGHSGMAAGSPEQLQRSVVVRETVELTEKEEQIFRRLLEVVRHFGLGTQLRVAGGWVRDKLLGKDSADIDIALDNMTGQNFCEKVNEYLELIGEEQKGIGVIQCNPDQSKHLETARMLILDIWIDFVNLRSEKYAENSRIPTMEVGTAEEDAYRRDLTINSLFFNINNNSVEDLTGRGIEDLKEGLIVTPLPAKATFLDDPLRVLRAIRFAARFNFTLAEDLKEAASDEKVKSELGTKISRERIGHEIDLMMSDKHPVKAMCDIRDLGLFYVVFSFPVNSNPPVFDKCDGQCVSHIEAAWNLASSIGSSVFSNGSDTKLQDEQRRLCLYSALFIPLRNMFYLDKRSKKVPVCSYIIKESLKLKASDAEAVVNIHAASEKFAELILLLESNDDVGTLKEKLEDEYLEIPTDSLKRVFAGLILREIKDFWRVALLISILSNPEAGNAADNRNKQDELHLRKEKYIRVERAITDLDLDGVWKLKPVLDGKSIMGVLQVKSGGPLIGKWQQRALKWQLAHPNGTMDECIEWMKQSQQSKRQKVETDA >KQK97313 pep chromosome:Setaria_italica_v2.0:VII:20470445:20471660:1 gene:SETIT_010944mg transcript:KQK97313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHVCPRSGPPLALAGRHRRRPHGARAVAPKCEAHPSHPPLPTRRAVSAATLLLAALPFPASSAQLEAEATAEGQGESGVPDGLELERYTDQEQGFTLLKPASWPKVEKAGATALFQQEGKGSNNIGVVVNPVRLNSLTEFGTPQFVADRLLQAEKKKESTKSAEVISAGERSGHGGLTVYEIEYALDSTRGGMKRIFSAAFVASRKLYLLNIAYSDAQEKPLDSQTRIVLEQVVHSFDSV >KQK99912 pep chromosome:Setaria_italica_v2.0:VII:35340392:35344467:-1 gene:SETIT_010028mg transcript:KQK99912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAAAPTVAGMDFSASPRFDPDKLESPPPVEGSGGENGAATKLQKVYRSYRTRRKLADSAVVVEELWWQALDFARLSHSTVSFFDEPKPETAASRWNRVSLNASKVGQGLSRDGKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQSQAGQPFFYWLDVGEGKDLDLPECPRAKLKKQCIKYLGPQERENYEYIINEGKIIHKQSGQPLDTSQDPKGTKWIFVMSTAKRLYAGKKERGVFQHSSFLAGGTTIAAGRFTAENGIIKSIWAYSGHYKPSAENLSNFMNFLEENGVDLKEVEVRSSIREDYNEDPVRDGSQNLTAESMGSEVISIPSMTECDEGENATAEQAKPTYQRTLSGGLQSPRATGVPQKAILERMKSKGESKSYQLGHRLSLKWSTGAGPRIGCVKDYPMELRMQALEMVDLSPRASTPSALRRLPSCLSPTEATSPTSLLAPMQASLPQPS >KQK97763 pep chromosome:Setaria_italica_v2.0:VII:23126503:23127979:1 gene:SETIT_011847mg transcript:KQK97763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLSTVNRHGHFAKTPPQIFGNQPAILFPSAEMGGGRTDHGDGRGHPDGRVLLDSVDGGGEWAAEAAAWTFLDPASVRPAVEGTHGVFHLASPFILCRQRDRNELLEPAVKGTLNVLRAAAMVPNPNWPANKVVDEDCWADIKLLKNVQRIGLRWEGGIAMAVLNSGMVLGPMLAPSINTSLRLLLQLLAGEKRDQTTQAKKLDLDDIYIGCIDVRDIAHSLLVLYDNSSAQGRHLCLESIERFIDFTNSIADLYPEHPVHRIREDKQVWVVRAKDPSKKLIGLGVRFTPFDETIRDTVDCLRSKGLI >KQK97941 pep chromosome:Setaria_italica_v2.0:VII:24107816:24110933:1 gene:SETIT_012324mg transcript:KQK97941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSVPVTAKTTESGENGRLAYAVSAMQGFRENMEDSHTAVLQLDAATATSFFGVYDGHGGPAVSRYCGKHLHVELRKHPQFHRYPITALEETFLRMDEMMRRRKAGKELSGYGGNEYWDEYREALRGRRFCLPFCGQKLPYSGPLQDGCTACVVLIRGNEIIVGNAGDLSYKNDDSLPAIEQAITALPEVRTEHITHDAQFLILACDGIWDCMTSQQAVDYVRIYLAANAGLTFICESLLDHCVALPRGRDNMTVMLVRFKTPGAGQASSSNVLPPPPPPLPPAIVPPAGGHGDQVPPEAAGGHGDQMLPAAAAGHGDQVLPAAAAGHGDQVPPAAAAEGEASCLQSQMVPLSMRTQKEPTSTSSDPPTPNWGSAADGLPPPPVRIPGESRTKSSQNSAEGSKNSEL >KQK98323 pep chromosome:Setaria_italica_v2.0:VII:26297897:26298090:1 gene:SETIT_012871mg transcript:KQK98323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFFHQAVALASPKFKITEFAAKGHLKFEYSPVMLLTI >KQK96924 pep chromosome:Setaria_italica_v2.0:VII:17490187:17495126:1 gene:SETIT_009714mg transcript:KQK96924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRGSGEGAAGDEAAAAAAVRLAHKIRKRRAVSSSGASDPAAGRRLRSRRPAVLLPRRRAGAGDMSESSRSRHCRGGADGARPPPASTSARRLVDAFWQNMDRGMLLEADAAGARRSLVPWSGGSTEMSKRSRSRSKILEADGKGSRRSGYGRWFSADMMSNGSTMEVGTCSQDDVSRCPEEKTFNLQDLQNSLIASKELVKVLAHIWGPGELNPSTVSLISALRSELDVARAHVRRLMREQKSDAHEIKGLKKQLTEEMESWKVKQKEKAANALQFIVSELDSEKKSRKRAEKANKKLSVALANTETSLQAVTKELERERKSKERVEKICSELIRGIDEDKAEVEALKRETEKAQEELQKEREMLQLADEWREQRVQMKLLEARLQFEEKNAAVNQLRDELQAYLDTRQEQEPVTDPMQLSHASETSAAAADAVACRNGGNCSEGSTDDASEGSEMHSIELNVDGIGKTYTWSYTPSSKGRQRHESFSDRGMDGANSCRLERSFRDMDDELEGDWAEGCSNGMLNFEHDEERYQAIKNLREQMLAGSGFILSQGRENDEREYCGL >KQK97782 pep chromosome:Setaria_italica_v2.0:VII:23233238:23241244:-1 gene:SETIT_009570mg transcript:KQK97782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKQKKPGKGKEKTERKTVKGEEKRARREARKVGEEDDIDAILRSIQKEEAKKKEVHVEENVPAPSPRSNCSLTINPLKETELILYGGEFYNGSKTFVYGDLYRYDVDKNEWKLVSSPNSPPPRSAHQTVAWKNNIYMFGGEFTSPNQERFHHYKDFWTLDLKTNQWEQILAKGCPSARSGHRMVLYKHKIVLFGGFYDTLREVRYYNDLHVFDLDHFKWEEIKPRPGCLWPSPRSGFQLAVYQDQIYLYGGYFKEVSSDKEKGTVHADMWSLDPRTWEWNKVKKAGMPPGPRAGFSMCVHKKRAVLFGGVVDMEVEGDVIMSMFMNELYGFQLDNHRWYPLELRKDKPAKNKTKDIKRKESTNDVEANIDNEGDEVMEDLEEAIEGQPEVHGVSNQLIKSLSITKAGSSKSSDVLSDSTAQEAPPEAVKPNGRINACMAVGKDMLYLYGGMMEVKDREITLDDLYSLNLSKLDEWKCIIPASESEWLEISEDDDEEDDDEADDNENDSEGGDSQTDEDEESDEDAVKNVSSAVSLLKGESKTMRRKEKRARIEQIRVILGLSDSQRTPVPGESLRDFYKRTNMYWQMAAYEHTQHTGKELRKDGFDLAETRYKELKPILDELAVLEAEQKAEEEASGSTSSKRDTKKGKQKSAGR >KQK98694 pep chromosome:Setaria_italica_v2.0:VII:28665152:28667427:-1 gene:SETIT_010911mg transcript:KQK98694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIEDESPQLRVNTTRGGAMGGGECDGAENQRWPPWLKPLLGTSFFGQCKLHADAHKSECNMYCLDCMNGALCSQCLAYHRDHHAIQIRRSSYHDVIRVSEIQKVLDITGVQTYIINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDTFRFCSLGCKIVGTSGEFRIRKKHAAIKKKKKLPHKGGAAAAAASDSEDDSSTSTSGGSDKSSVVQSFTPSTPPATANSFRTGKRRKGVPHRSPFGSLMVEF >KQK97168 pep chromosome:Setaria_italica_v2.0:VII:19394314:19394754:1 gene:SETIT_012985mg transcript:KQK97168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALSSLNTRHASAIFSSQTAPGDTRSTYNRSFTSPPCVGAELNWSH >KQK97345 pep chromosome:Setaria_italica_v2.0:VII:20676062:20676838:-1 gene:SETIT_012204mg transcript:KQK97345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGRSTVVGCTGPFLGGNHKVGPAIPLEAAPANGIPPPPTTRIAKRAAPSSPSNTSNSRNHSRRPSLPKFLAIPPFLTLYSYKYPHLHPQNPPTGNSHSNFPSPQILQSPRAAARSHPPRPAPMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >KQK97482 pep chromosome:Setaria_italica_v2.0:VII:21410467:21412991:1 gene:SETIT_010699mg transcript:KQK97482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTNAEASGGRRGTLAKVSLSSVSAAMAEASTYPLDVVKTRLQLHRGHGGAGGGSGVIRVATELARDGGVYRGFSPAVLRHLMYTPLRIVGYEHLRSTLASGGREVGVVEKALAGGLSGIAAQVLSSPADLIKVRMQADGRLLSQGIQPRYTGVADAFTKIIQAEGFLGLWKGVGPNAQRAFLVNMGELTCYDQAKHLIISKKICDDNLYAHTLASVASGLSATTLSCPADVIKTRMMNQGKEGKALYRNSYDCLVKTVRHEGATALWKGFLPTWARLGPWQFVFWVSYEKLRCASGISSF >KQK96605 pep chromosome:Setaria_italica_v2.0:VII:12853517:12854114:1 gene:SETIT_011476mg transcript:KQK96605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGNHTPYMHKSCLDSDMIHAISIVHSCITSLPKNTPMLSKMSVPIPKQLIAANDQAADINVSSCVSSTVSGRTGQPPSVLLSALP >KQK96661 pep chromosome:Setaria_italica_v2.0:VII:13554706:13556429:-1 gene:SETIT_010413mg transcript:KQK96661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQIAHVELAAKDLSASGCQGGPTGRSRIPARFPPGRYAEETRDQGRVRVLDQEFIPNTEQSRAEQSSEAAMEMGDSFEYYWEMQRLIESEELSSMYLGAQDDALSCYDSSSPDGSMSNSSWAPAVTVTAADDDKAAGGAGAAGANKNIIMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIEQLQAEERRMLQEVRALEPAGGSDEERYEYDEGLLLQAERTKKMRRARSVPSIAADGAAPPPPAPVEVLELRVSEVGERVLVVSVTCGKGRDAMARVCRAVEELRLRVITASITSVAGCLMHTIFVEMRDQVGRRGRRRTEQRRQDATPPHRISPTHVKQRYQVPRNKFLSTCLIL >KQK96660 pep chromosome:Setaria_italica_v2.0:VII:13554110:13556429:-1 gene:SETIT_010413mg transcript:KQK96660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTQIAHVELAAKDLSASGCQGGPTGRSRIPARFPPGRYAEETRDQGRVRVLDQEFIPNTEQSRAEQSSEAAMEMGDSFEYYWEMQRLIESEELSSMYLGAQDDALSCYDSSSPDGSMSNSSWAPAVTVTAADDDKAAGGAGAAGANKNIIMERDRRRKLNEKLYALRSVVPNITKMDKASIIKDAIEYIEQLQAEERRMLQEVRALEPAGGSDEERYEYDEGLLLQAERTKKMRRARSVPSIAADGAAPPPPAPVEVLELRVSEVGERVLVVSVTCGKGRDAMARVCRAVEELRLRVITASITSVAGCLMHTIFVEVDQGDRIEMKHRIEAALTQLDTTTGSPPSVMSY >KQK98987 pep chromosome:Setaria_italica_v2.0:VII:30355097:30358013:1 gene:SETIT_010412mg transcript:KQK98987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELITNVTEYEKLAKEKLPKMVYDYYASGAEDQWTLKENREAFSRILFRPRILIDVSHIDMTTNVLGFNISMPIMIAPTAMQKMAHPEGELATARAAASAGTIMTLSSWSTSSVEEVNSVGPGIRFFQLYVYKDRNIVRQLVKRAEMAGFKAIALTVDTPRLGRREADIKNRFTLPPHLVLKNFEALDLGTMDKTNDSGLASYVAGQVDRTLSWKDVKWLQTITSLPILVKGVVTAEDTRLAIEHGAAGIIVSNHGARQLDYVSATISCLEEVVRVAKGRLPVFLDGGVRRGTDVFKALALGASGVFIGRPVLFSLAVDGEAGVRKVLQMLRDELELTMALSGCTSLREITRAHVITDGDRIGRSRL >KQK97836 pep chromosome:Setaria_italica_v2.0:VII:23499777:23500247:1 gene:SETIT_011825mg transcript:KQK97836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGLAVGISVPGVIAGSMCAYAYRSSLKRAWRWLRIRTLDGVTTLERKLSYNCTICMDSMDALEVVRTLSCNHVFHCGENDKCKDHIDKWLRDEPTMSCPVCRKTPRLVLPWKAPPPASPLPAPAPAPSDLEDTASPESSPVSEEPLLQPSQLDGT >KQK99234 pep chromosome:Setaria_italica_v2.0:VII:31695034:31698471:-1 gene:SETIT_010407mg transcript:KQK99234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDFPDQVSPEQKLVQLTAEHPEYRKNYCFPSYQEGWKVLRIGDVSSLVSSSAMLAIDCEMVLCHDGTEAVVRVCVVDNNLEVKLDTLVNPSKAITDYRTHITGVSKKDLEGVTSSLVDVQKSLKRILSKGKILIGHSLYRDLCALKIDCSQVIDTAYIFKYANLPTTASASLNSLCKSVLGYSVREEGEPHNCLKDAEAAMNLVLAKLKHGFNDPIEVAVSSVITESDSLKLLAHRIPVHLPCQELCKVFSGNPSIDDKIDSRIRGEFYSTCILFNEIDEVEKAFEALDGQMTKDSGGRLQKHVLMKRDNGDVVNFYVRKMVYSSQPNQFEVPKKRPQPTEDAEPKKEHADGDQQKKKRKSKKHVN >KQK97980 pep chromosome:Setaria_italica_v2.0:VII:24257184:24262162:1 gene:SETIT_011051mg transcript:KQK97980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQENNNAGGGNNAMAVVDEAPAAAKEKAGRAAGDPRLQGISDAIRVVPHFPKPGIMFNDITPLLLRPAVFKDAVDMFVERYRGMDIAAVAGIEARGFIFGPAIALAIGAKFIPLRKPKKLPGDAFSESYVLEYGTDCLEMHVGAIEPGERAVVVDDLVATGGTLSAAIRLLERAGADVVECACLIGLPKFKDFYKLNGKPVYILVESRK >KQK99121 pep chromosome:Setaria_italica_v2.0:VII:31063524:31066204:-1 gene:SETIT_012110mg transcript:KQK99121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATACFCSSPLAWARPRDAPAPAPRVGWCRAYAPTVVVAASDAAFHPDVSRAAESLQAEFRAVDRALALNSSRVAAAFRRARVAPHHFGGSTGYGHDDGGGREALDAVFAEIVGAEAAIVRPQFFSGTHAIACALFALLRPGHELLAVAGPPYDTLEEVIGIRGSANVGSLKDFGVAYREAPLAADGGLDWEALACAIRPETGCAFIQRSCGYSWRKSLSVADIQRAISLIKMQNPNCMVMVDNCYGEFVETSEPAMVGADLIAGSLIKNPGGTIAPCGGYVAGKKHLVEAAAARLSAPGLGVEFGSTPGHVMRSLFQGLFLAPQMVGEAIKGGLLIAEVMSAKGYRVQPLPRVPRHDIVQAVELGNRDRLIAFCEVVQQTCPVGSFVKPTAGETPGYASEVIFADGTFIDGSTSELSCDGPLRDPYAVFCQGGTHWTQWALVLTEVLKVI >KQK99773 pep chromosome:Setaria_italica_v2.0:VII:34687001:34691836:1 gene:SETIT_012486mg transcript:KQK99773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLSSDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMVVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNGVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSYTCNVPQLLHLPCSHFITACKARGLNFQSPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVMAAPGYPLLEAAYDLHHRAHHLADMNEHLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMAEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEPWHRHDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDHQQLSDIVFSPTCYRDRELWRCTTPMILYFVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKQYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTVTRHGTQPEHAPLHDYMGQQLARLSNEAG >KQK97835 pep chromosome:Setaria_italica_v2.0:VII:23497343:23497897:1 gene:SETIT_012163mg transcript:KQK97835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDGLSLGKAFAVLLGVSSPVIIFAGYQAYRTGRLARGWRRLRVWALGGATTLEEALGYTCALCGGSLDAREEVRTLSCDHVFHRCGSEKCKNAIDDWLRENRVACPACRKVALPVSPWKAPPTSAPSASDLEDPLVRQELPLPLSTMASGEDPPLSSPVSEESRPQSSSP >KQK96994 pep chromosome:Setaria_italica_v2.0:VII:18097154:18100196:1 gene:SETIT_012762mg transcript:KQK96994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAAAAPFRLLSPLATTASCTLLSNSSRTPTTRRRLLLSTTIAAAMATSSPSDGSASSSCKVVDSHLHVWASPQQANEGYSYFPGQEPTLRGDADFLLECMDGAGVDGALIVQPVNHMFDHSLVTSVLKKYPSKFIGCCLANPADDGSGIKQLEHLIVQEKYRAVRFNPNLWPSGQKMTNEVGRSLFAKAGELGAPVGILVMKGIGLYIQEIEELCRDYPETTVILDHMAFCKPPTNDEEEKAFSSFLNLSRFPQVYVKYSALFRISREAYPYEDTAQLLSHVISIYGANRIMWGSDFPYVVPECGYKGAKDAVSHVAGKVSVSPSDLEWILGKTVSQLFQDAWVAP >KQK96776 pep chromosome:Setaria_italica_v2.0:VII:15385828:15387035:-1 gene:SETIT_010671mg transcript:KQK96776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDGPAVSGLQVPVPAPQHDPSVVPRPPHDRQHLGHQRRRRHVPAPRGASRRRQPQVHRAPGEAPGPLLPRACERPHVSGPVAADGVVVELDVDAVEEPRLERLPQHPVRERALRRRRDPHLLPPLPVVTLEVPREVIVVLGSPVLDVEVDAIEHGVAERAWLGGGAAAEVDDPDVVGDGLGVGLGGEGVPAEAATDGEEDEDVLGLAVLDVGTHGAPGVAGEVELVAAAAEVGQEGEDDGGVEVALAGLVEAALAGRLAPVHRDPPGLARRRGRAEGREEHDGAVDGGQGQLRRHLTHHGNLSTVM >KQK98792 pep chromosome:Setaria_italica_v2.0:VII:29178261:29179700:1 gene:SETIT_012141mg transcript:KQK98792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSGDGERHLLQSLDYPCTERLRLRRLLAYHRRHMYEEVYNAPVAGGRSYVVGFVPSDPMSDEATLLLLFLQDLTALSDFADCVTIMACLLSDWFLSIYKESVLAEYPCFATLVADVLFLRSDHASILLLSSHSFFRDFLNWQLVRNKAAEMVEEMVYKTPELKDRLHFPRGPHNLHHVVPTSCDLILASFHRGRHVKIVCSKQSTDYAQFYLRMKKRSLVHAN >KQK99762 pep chromosome:Setaria_italica_v2.0:VII:34631624:34633573:-1 gene:SETIT_011948mg transcript:KQK99762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPLRSLAPMFPAPLGYDVATQSTDPLLVFVTPFRPHTSPEQSPASFGQPLPKSPIPLKATPISAAFPMPQREDESSDEDYKPFCDHKKPTSLRTAKAKRTHQAGYSNEANIKCKSTRRSLNTELASCPSLSSDPNKSVEEIMMMFDSLRRRILQLDEKEDARRRADLKAGTLMMRNGLRINNLKTIGPVPGVEIGDIFFFRIEMCIVGLHAPAMAGIDYISTKHVGKDDTLAVSIISSGGYENDDDDTNILVYTGQGGNSRHKEKHDQKLERGNLALMNSMKKKNLVRVVRSAQDPFCTSGKIYIYDGLYRVEDSWMDKAKNGFSVFKYKLRREPGQPDGISVWKMTEKWKANPGTRDKAILLDLSSKVENLPVCLVNDIDDEKGPSYFDYVTGVEYLRPLNKTKPLQSCKCLSVCLPGDPNCSCSQLNGGGLPYSASGLLVKHIPMLYECSSRCQCSQNCRNRVTQKGVNLKFEVFWTGDCGWGLRSWDPIRAGTFICEYAGKVIDETNMDIDGDEDEYTFRTSWPSAKVSRWNLGAELLEDASASVSTESLKKLPIVISAKSSGNVARFLNHSCSPNLLWQPVQYDHNDGSYPHIMFFAMKHIPPMTELTYDYGTRGAPPDIKGKFPNSSKLKPCLCGSTNCRGSF >KQK98176 pep chromosome:Setaria_italica_v2.0:VII:25487502:25488929:-1 gene:SETIT_010995mg transcript:KQK98176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSGSSGHVIGVPVTSKAYGIEEGTCRKSDGDHLAVSLTHPSPYASFDYKHSSKDHQVIRWVSKLSRRAQGFREHVTLGPKLSETVKGKLSLGARILQAGGVERVFRQAFSAEKGERLVKALQCYLYTTGGPIAGMLFVSTKKVAFRSDRPITVASPKGDTARVTYKVVIPLRRIGKVRPSENADRPEEKYIHVATVDGFEFWFMGFVSYQRSFKYMQQAVSELQ >KQK97976 pep chromosome:Setaria_italica_v2.0:VII:24238088:24238371:1 gene:SETIT_012856mg transcript:KQK97976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCKQDNRFKQLHLRVSLQCLKPHTGIKNC >KQK99971 pep chromosome:Setaria_italica_v2.0:VII:35599741:35603145:-1 gene:SETIT_009835mg transcript:KQK99971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLERQQDQEYRRRFMSMLRQQGQERRRRAQTQTRDGSIASRGKRKGSPCQRDDGSQVADLDEIPSLPEHIWQHIHSLMPMRDAARAACVSRSFLYSWRSHPNLNFSKDTFGLIENACQKDESGRFFYIKVDHILKKHSGIGVKKLKLQIDSDYSAKDSRYLNKWLQKAVTPGIEELTLIFAPFGANYNFPCSLLLNGSGDSIRCLHLGCCSFRPKVTLGFRSLIRLHLCFVRTTGDALGFVLSHSLALERLELRCCYGIVYLKVPRLLQHLNYLEVSGCIDLRVIDNEAPNISSFSYGGYSAVQLSLGKTLQMEGSVSYARTEPPSSMPNLEALALNSQTERAHAPMLQSKFLRLRHLSIALTAANYDYLSLVSFFDAAPSLETFDLNVLQLYMKNVSVFEDPADLRHMQGLQHHNLRSVKITGFSSAKSLIELTCHVLRSVMSLECLTLEAPQSGFRCSHPYNKSGKCSSLDRYLVMEGHRGVMAIRRYIEPMVPSTVKLHVLEPCSCHAVELQMSCLS >KQK96592 pep chromosome:Setaria_italica_v2.0:VII:12550798:12554757:-1 gene:SETIT_010501mg transcript:KQK96592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRPPPVAGKSGNLTVSVTPPSETPSSPQPESPGSEFSTPPTSPRFEDSPDSPPSTPSGPAHRAPSAPPVDWVPTPPLVRTVSPLLHAPSSPRAEDSPESPPSTPPAPSQRAPPPLPVDSVPTPPLVRTVSPLLPALTSRRAENSHESPPSTPPVPAERAPPPPPADSVPTLPPAPRTPRPEYSHESPPSTPLEPAQRAPALRPVDPVPTLLPVKTVPPLLPAEKLSRPPLVQVPTPLVKVVSTPLQAPELSSHPPPPVQVPPSQFEKASPASDGSVLALFWDAVARVQEAHASLDEHISRWFGLDQSKYQWALNEYYESTGQIPAKLAMGRSTVVKFRKYK >KQK96593 pep chromosome:Setaria_italica_v2.0:VII:12551244:12554707:-1 gene:SETIT_010501mg transcript:KQK96593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTRPPPVAGKSGNLTVSVTPPSETPSSPQPESPGSEFSTPPTSPRFEDSPDSPPSTPSGPAHRAPSAPPVDWVPTPPLVRTVSPLLHAPSSPRAEDSPESPPSTPPAPSQRAPPPLPVDSVPTPPLVRTVSPLLPALTSRRAENSHESPPSTPPVPAERAPPPPPADSVPTLPPAPRTPRPEYSHESPPSTPLEPAQRAPALRPVDPVPTLLPVKTVPPLLPAEKLSRPPLVQVPTPLVKVVSTPLQAPELSSHPPPPVQVPPSQFEKASPASDGSVLALFWDAVARVQEAHASLDEHISRWFGLDQSKYQWALNEYYESTGQEIDSGKAGNGKEHSCKVQKV >KQK96678 pep chromosome:Setaria_italica_v2.0:VII:13840550:13847079:-1 gene:SETIT_009575mg transcript:KQK96678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREATELDAEQQQQQQQLLLRRCRRLFTAEDRSFRMDRRSQAAAALRAAVADVLPRFLGSYTDDTLEEYIVILVCNGKNQYQARDDLEAFLGDDSAKFVAWLWSYLSKQALASADNCNFQHGMDNESENLNDKKKHLVAKAHHGDAHVVNSKVTVPETYHGLHKLDSTIGRNVPQRCINSTVIISPEKLGCNQFIQENQHHKNGQNVARSRSFSERTTEILTQDELHGEHLGRNASTRWLPESVGIDDGRVPVSLKRRRNVWDRLGKPVVEDRGLVGQTHDIPVQNGVHKVAKLMVAEHEPRYHVTSNAQHDAFDKAGSRKFTNCFTDVNTMQGHQHAGKANRSRLIGRLSFGEGNVFHGDVGHNNLQDRDVISQKSSLSLPIKNIQSQSLNEFTSDMKGSPLAVSEPICNTFRPSKGLAPASKKLPLLTVPRNSETEVSHGEQVSSPAYSKIPSSVHEDGNSCRNKLVKEEILDVKLKLKQMEQDVLKLRSKQAQINNGKQGALSLGPHANLEDDADSRTIFVTNVHFAATKEALSMHFRKCGTVLKVNILTDAITGHPKGAAYVTFADRECIEKAVSFSGTSFLARVLTV >KQK96679 pep chromosome:Setaria_italica_v2.0:VII:13839610:13847175:-1 gene:SETIT_009575mg transcript:KQK96679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREATELDAEQQQQQQQLLLRRCRRLFTAEDRSFRMDRRSQAAAALRAAVADVLPRFLGSYTDDTLEEYIVILVCNGKNQYQARDDLEAFLGDDSAKFVAWLWSYLSKQALASADNCNFQHGMDNESENLNDKKKHLVAKAHHGDAHVVNSKVTVPETYHGLHKLDSTIGRNVPQRCINSTVIISPEKLGCNQFIQENQHHKNGQNVARSRSFSERTTEILTQDELHGEHLGRNASTRWLPESVGIDDGRVPVSLKRRRNVWDRLGKPVVEDRGLVGQTHDIPVQNGVHKVAKLMVAEHEPRYHVTSNAQHDAFDKAGSRKFTNCFTDVNTMQGHQHAGKANRSRLIGRLSFGEGNVFHGDVGHNNLQDRDVISQKSSLSLPIKNIQSQSLNEFTSDMKGSPLAVSEPICNTFRPSKGLAPASKKLPLLTVPRNSETEVSHGEQVSSPAYSKIPSSVHEDGNSCRNKLVKEEILDVKLKLKQMEQDVLKLRSKQAQINNGKQGALSLGPHANLEDDADSRTIFVTNVHFAATKEALSMHFRKCGTVLKVNILTDAITGHPKGAAYVTFADRECIEKAVSFSGTSFLARVLTVMRKADAPPGFLASVQQTGRPLQPWKSPPFKTASTPKQTSGYHLQWKRDQSVLEKSPASCATN >KQK97027 pep chromosome:Setaria_italica_v2.0:VII:18349432:18352926:1 gene:SETIT_009869mg transcript:KQK97027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMHAILRASQLSTTYLPPHSLTHCSLPALLSPLLQCSCSASPATNNMQGRRGAVAAALVCAAALMLGGGRCAQAAAAEDKIGGLPGQPPVGFAQYAGYVPVDDAGKRSLFYYFAEAEADPAAKPLVLWLNGGPGCSSVGVGAFSENGPFRPSGNVLVRNEYSWNKEANMLYLESPAGVGFSYSTDPSFYQGVGDSMTARDNLKFLQGWFAKFPQYKGRDLYITGESYAGHYVPQLAQRMVEFNKKEKLFNLKGIALGNPVLEFSTDFNSRAEFFWSHGLISDSTYNIFTTVCNYSRYVSEYYHGSLSASCDRVMSQVTRETSRFVDKYDVTLDVCISSVLMQSQILSPQQGSRELDVCVEDETMSYLNRKDVQQAMHARLSGGVQRWTVCSSVLEYKQLDLQIPTINTVGALVKSGIPVLVYSGDQDSVIPLTGSRTLVSRLASRLRLNATAPYRAWFQGKQVGGWTRVFGGGALSFATVRGASHEAPFSQPERSLGLFRAFLAGRPLPESFE >KQK98760 pep chromosome:Setaria_italica_v2.0:VII:29024733:29025171:-1 gene:SETIT_013056mg transcript:KQK98760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGTVDSTDTAFLCPKRRRGLQPATSPAAPSPCRPARSRRLLLLACPAMEISPT >KQK98363 pep chromosome:Setaria_italica_v2.0:VII:26557643:26560137:-1 gene:SETIT_010594mg transcript:KQK98363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVYVVHTRRERRGGRAGEGSSAMSKNLVRLASRAVKPAIPSGAGGRSLSRAGGGRPLRATSPPPPSSISGCTASWESRSLRRDGEEDWEEVVAAGARPGIAGFRGEATEEQGVVFGAPPTDDEVCAAVASIKQVFEKPSDVDSDAPELTLALPMSGHPSCGLIVNHFALDSGASEVGSDEWTEPAMLVRNSSALLTKEHGSVLDALRLLHEDPSVQKMVMALSKDKAVWHAVMENEVVQEFKRSFQDAKETDLNGRSTAPPGFMMWVLENTQAKIKEFLEKILGLVNMLFQSGDKDYDMSDDIVRMSFMLSVFVFIVVTIARIH >KQK96573 pep chromosome:Setaria_italica_v2.0:VII:12086575:12088474:1 gene:SETIT_012677mg transcript:KQK96573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAAGTRTSRRSSAGRCPPSTAASSSTPTAAASTSSAADPHLDALISSALSTIHRALPSPSVSVGGRLCALPDAPDGGGPDRLSCLPDALLRDIISRLPVKDAVRTAALSHRWRPVWLSAPLVLYDAHLLPAPTDDIPSRVKRADSDAAAAAVSVILAAHGGPLRCAYLACGNMDGDRARVARWLQLLAVKGVEELLLINRPPLQIDKHLPATLFSMAALTRLYLCFLRFPATAGLPRGAAFPRLRELGLCSVAMEGHADMDFILARSPELENLCFEGHMFPPLGLRLAGRSLRCVQIHYSKVKSIAVVDAPRLARLIVMNSPLKAGGSCTIKIGNAPSLHLFGYFDPVSHVLQVGSTDIKAGTLVDARAMVPAVKILALKFHFRVRNDAKMLPSFLRCFPNVERLYIHSEKVNEPTGKLNFKFWQEAGGIQCVESRVKQLVFHDFRGENSELAFLKFLVESARVLEKLVIVCADGCFGSVDEANSKVKKNLFAGKKGAGGCALLVLEGAKEKEGAPWPYDRGFDFSRVDPFEFVVPT >KQK98109 pep chromosome:Setaria_italica_v2.0:VII:24995021:24997555:-1 gene:SETIT_012436mg transcript:KQK98109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVHFLHLGNVLLLLLVATQHAPTVALPSPQCQRKCGNVEIQYPFGIGLEYIQNKFIIIGCNTIGYIADSDNRSNSQSYLTGCISTCSKLSDLTNGSCSGIGCCQTAIPRRISTYQFGLQIGANSSRRWRCNYAVLMKAATFNFSTTYINTTKFNDTNVGQVPMVLEWAIRNENSCEVARRNKTGTYACLSTNSECADSPNGPGYVCSCSKGYQGNPYLPGGYQDYNECEDSSSCPSGGICHNTVGGYRCSCGSGRKFSKQNRTCDPDTGLIIVFKHLPFNVAMQELRSAFFMTFSFCGYMVLQRRKLNKVKQEHFRLHGGMLLFERMKSEKGLAFTVFTEAELIQATNNYDKNKIIGKGGHGTVYKGMVKDNMPIAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLVDCCLEVEVPMLAYEFIPNGTLFELIHGKNQALQISFSSLLRIAHEAAEGLNFLHSYASPPIIHGDVKSANILLDSNYMAKVSDFGASILAPSDKDQYVTMVQGTCGYLDPEYMQTCQLTEKSDVLTGQEPLKLDGPETQRSLSSNFLFAMKENNLNAILPNHIKGQESSELIRGLAELAKQCLDMCGTNRPTMKEIADELGRLRKLPLHPWVQLETEETRSLLSATSTSSFEIGTGTTRYPTQEGENVPMNPRSSYYAR >KQK96173 pep chromosome:Setaria_italica_v2.0:VII:1180528:1180810:-1 gene:SETIT_012878mg transcript:KQK96173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIMQDNLQAPCLMFHNSQVICVFVGIIR >KQK96518 pep chromosome:Setaria_italica_v2.0:VII:10759381:10759972:1 gene:SETIT_011503mg transcript:KQK96518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGIRVPVFLCILLMTTTSCAALTRKLSGNGGQQAGQVQDEPEIQVDGRPSSGYGDHVCPRSLFPNCSKRLADQSSSNNLG >KQK96452 pep chromosome:Setaria_italica_v2.0:VII:7755729:7758652:-1 gene:SETIT_013032mg transcript:KQK96452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLEYFAIDSQGFVTDHDKALAELFTEDAECSHKYNACLNTMGTRIATVFASMREFPRVHYRVARTIDASTLTTLRDLVPTKLAASVWNCLARYKSTIPEFPQTETCELLIVDRSIDQIAPIIHEWTYDAMCHDLLCMDGNKYVQEVPSKNDSANEQKEVLLEDHDPVWLELRHAHIADVNERLHEKMTKFISKNEAAQLQQTRSGVELSTKQLQKMVQALPQYSDEIDKLTLHVEVAGKLNNIIKEQHLKDVGQLEQDLVFGDAGTKELINFLRTRLVIVV >KQK99296 pep chromosome:Setaria_italica_v2.0:VII:32207631:32212334:-1 gene:SETIT_010162mg transcript:KQK99296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAMNGGGGGGGVLKRVGPLRLQYYIVMGAVAAAVVLATLRYMPGPAAAVSSSVARSGPAAAAPGVGAVAEEEVEVGEEEAEGKRKKKKKGDGVVLFNFGDSNSDTGGVAAVMGIRIAPPEGRAYFHYPTGRLSDGRVILDFICESLGTHHLSPFMKPLGSDFTNGVNFAIAGSTAMPGVTTFSLDVQVDQFIFFKERCLDLIERGESAPVDELGFQTALYTMDIGHNDINGILHMPYDEMLANLPPVIVEIKKAIERLHKNGARKFWIHGTGALGCMPQKLAMPRDDDSGLDEHGCIASINNVCKKFNSLLSEALDELRLTLKKSAIVFVDMFAIKYDLVVNHKKYGIEKPLMTCCGHGGPPYNYDPKKSCMTSDEDLCKLGEKFISWDGVHFTDAANGIVASKVLSGEYSIPRVKLASLVSTAKSDD >KQK96689 pep chromosome:Setaria_italica_v2.0:VII:14002663:14004978:-1 gene:SETIT_011436mg transcript:KQK96689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWTGTLSRSVKEIRFLFCQSSPASFAAREFVKKNYAEIKSRNPSVPFLVRECSGVQPQLWARYEMGVERCVNLDGLTEAQIDRKLQELAKIGESAKAK >KQK98967 pep chromosome:Setaria_italica_v2.0:VII:30231428:30235562:1 gene:SETIT_010857mg transcript:KQK98967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVMHRSSSDGGSSSGWSDAAAAVAAAAEERAGWEVRPSGMVVQAREDGPGGGAPPRPPPPEIRVRVKYGGARHEVSVSPIATFGQLKKLLAARTGLQPADQQLTYKGRARGNTEYLDACGVKNKSKMVLAEDPASLERRYIERQKNAKIESANRAIGAIALEVDKLADQVTSIEKSISRGNKVAEVQITTLIELLMRHAVKLESIPAVGDSSSQRNIQAKRVQKCVETLDVLKVSNARLQAVVVTTKWETFDAPATTQWELFD >KQK98482 pep chromosome:Setaria_italica_v2.0:VII:27307734:27308168:1 gene:SETIT_011654mg transcript:KQK98482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFLTFCKLCLVSGVSSLTASLSASQNTPGSEARQHGYSEQSDCLCTRRYHGRHPIRESCAEEAKLYGADETPVHAAHEITGQQGEVMDGTAASVNQETGGGTLRRARRSSSSSGMAVRARCVHGSCPVCMRVHHVQSAAPLDG >KQK96796 pep chromosome:Setaria_italica_v2.0:VII:15627141:15628207:-1 gene:SETIT_011472mg transcript:KQK96796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLYFLEDWRSMPAVYFYWMQSILMDLMLLCTLRLKFSRYFGTHDMDHRKLGSNQMVLDMLITDLVLGQALAPYLTYLIFFVVKVTKS >KQK97185 pep chromosome:Setaria_italica_v2.0:VII:19491005:19491123:1 gene:SETIT_012532mg transcript:KQK97185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSSVMWECIKPAAEPGDGPWAGCINRYPVQLPKILKRT >KQK98788 pep chromosome:Setaria_italica_v2.0:VII:29163730:29165053:-1 gene:SETIT_010719mg transcript:KQK98788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPARARLLACLPALTMMAAVSWVAAGGVRMTDQVDILWGPTQLLNDSDGGQTVGLSLDRVMGSGFRSKKSYLFARIDIDIKLVAGNSAGTVTTVYLISEGQWKIHDEIDLEFLGNVTGEPYTLHTNIFANGSGGREVQYRLWFDPTQDFHTYSIVWNSDEILILVDNMAIRRFRNHWDAGVPFPVYQPMRLNGVLWDADGWATQGGRVKTDWTQAPFTAYFRNYRASGCEPSGVAWVCGQDPSGGDWLGGGAAGGLDDVKQRQQLREAQERYTIYDYCTDSTRFPDGFRPKECALP >KQK97995 pep chromosome:Setaria_italica_v2.0:VII:24372481:24373905:-1 gene:SETIT_012787mg transcript:KQK97995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEEKVSPHVVLFPFLAHGHVPAFLRLAGLLRARRLGLDVTLVSTPRILGSLSIPPASSPLRLHALPFSPAEHGLPDAHSLADIQVGQFITFFQASESLRPAFEEFVSSIASRSPVCIVSDAFFGWTADVARARGASHAMFLPGGAFGNAVFFSVWEHLPHARTAADEFPLPDFPDVVLHRTQIPRYMLAATGADPWTAFFRRVIASCRKTDALLVNTVQELEPSGLDMLRRSFGAQPWPIGPVLAAPTPSGSRDGDASIIQWLDAHPPRSVLYISFGSQNSINADQMRELALGLEASGRPFLWALRPPLGFDAKGAFRPEWLPAGFEERAARANAGLLVRGWAPQVRVLSHPSTGAFLTHCGWNSILEGLSHGVPLVGWPLGAEQFFNAKLVVEWGVCVEVARGNMESSVVGEEEVAEAVRTVMGETATGEEMRRKAAAIAQAMAAAWEAPGGSAAESLEGFLRCVETSVR >KQK99245 pep chromosome:Setaria_italica_v2.0:VII:31778573:31781512:1 gene:SETIT_009609mg transcript:KQK99245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYTSDGDSEVEACGDGTFELLVSGNLKVMSDEGVYQCPFRSDEGKECSLDDLLQHALGVGAARDPQEKEKADYRALAKHLKSKAAESSVGSVLQPMLMDPQVPQHTRDEQFVWPWMGILVNMPNEFFGKSANRLKEHFSSFHPVKVHPVYNRGRPTRDAIVEFGKDWSGFRNARAFENHFTMKGYSKRCWKEMKCGGTEPIGWMARADDYNSLGAIGELLRKNGDLKTLNDIVKDGTNKTDKLMANLACQVKEKEMHLEKLESEYNKRSASLDILMQKREQLLQSYTQEIMKMRQHSQQNTRRVIDENRKLQSDLQGMMDELDTRNKQIEALSAQSECNSRELELEKQKNALKANHLRLAALEQQKADENVMKIMEKQKREKEAVIEKLTMLSIQSEKKLNLELNIKHLMGKLQVMELKPGDEDSESGKRIDELREELSEKITELNDVESFNQTLIAKESKNSDELREARDVLIDALQGLSGATSSQTQIGIKRIGELDSKVFLNMCKRKFSAEDAEVESAILCSKWQKEISNPEWNPFKAIMVDGNTLEAIREDDKKLLELKECSEEAYAAVTKALNELKDVNGRRRDPFPELWNYEEGLKAQTTEAVRYALKLWNASKVKGKRRR >KQK99395 pep chromosome:Setaria_italica_v2.0:VII:32746129:32746386:1 gene:SETIT_012206mg transcript:KQK99395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LQPPGAAPQLRRWSPRAPSPPPSPPAPTRTGAAGRYPRPEGARPTSSSSPAAVVPASETPLLPAPPSLSTPSSSSRKVATAAAVC >KQK97055 pep chromosome:Setaria_italica_v2.0:VII:18536218:18536934:-1 gene:SETIT_011136mg transcript:KQK97055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLIITVDPECCRCSSKIQKVLCYIQERCEFVIEKVVYEKDKVIVSGPFDANKLSCYLWCKAGRIIKNIEIPEPPPPPPPPPPPPPPPPPPEPKCKLICPYPYPYPCPKPGAWSPPCSCPPPHCGCQYKPPSPPPPPPPLPKQPPCKYPTWSSSCYCGGYPPCVPPTMPCPMVVCDDSPPYGTCTVM >KQK99096 pep chromosome:Setaria_italica_v2.0:VII:30990562:30991137:-1 gene:SETIT_013103mg transcript:KQK99096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARQPGDLELARLGAPAAGPLRRRCGNHAAVRPPRAATRPPVVPAIHRRRCAVRGGAVPLLLRLPSACATTSAPAAPRASCRAAPTLVLPEPGVVTSVAERR >KQK97334 pep chromosome:Setaria_italica_v2.0:VII:20639456:20639907:1 gene:SETIT_013107mg transcript:KQK97334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSAKRKAPAAPSPARGRGQAPPSPSRRQRPPPHLPSKLAFMAMAWGSDAASTPVWTGMACDP >KQK99378 pep chromosome:Setaria_italica_v2.0:VII:32654416:32661162:-1 gene:SETIT_010324mg transcript:KQK99378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASNPAASAKRPCDPSLADPTPPAKLQRSSDPPDPAPDGITGGGEAAGVESEAMAGVRNPRAQRYLVAVEYVGTRFSGSQQQPNQRTVVGVLEEAFHKFIGQPVSIFCSSRTDAGVHALSNICHVDVERISKRKPGEVLTPHEPGVVKRAVNHFLQRNEGDIMVTDVRCVAPDFHARYKALERTYHYRLLSGPESTSVFEKNSAWHISEDLDIQAMKKACSILVGHHDFSSFRAAGCQANSPMRTLDELNVTEVFPFMFFPSSIERSEMESSDGSLIYSRTPALEPSRKESDDSCTSSGKSESENGKEFGSRLRHRCFVVTARARSFLYHQVRLMVGLLKSVGTGDLTTADVERILNAKKVTAAPPMAPACGLYLANVKYDLNV >KQK99012 pep chromosome:Setaria_italica_v2.0:VII:30478655:30481423:1 gene:SETIT_012386mg transcript:KQK99012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANNSTSAPKHGQYLSKTTSRCVAGSVTAMHDFVVTSFSLIEGMGTGKFVSSATFTVGGRDWNIKLYPDGIYTEHKGYASVLLYFLKGAAGARVKFSLKLCLVDKSDQVSGTWTHTFDSIGGNGGCFTIKCVLTVVDDPHTQDVSASTVIVPQSKLHQDLSHMLKNGEGADVTFSVGAQLFPAHKCMLAARSTVFKAEFFGAMKERDDQCIKIDDMEPTIFEALLYFVYNILDKVADSRTKNGCSSDENTTMQHLLVAADRYRLERLRVMCEDKLRHGVDAQTVATTLALAKQHNCAQLKDACIRFIASRDILDAIMETDGFRHLEESCPLIREEISDKVATFRSREDESAATLVMQE >KQK97766 pep chromosome:Setaria_italica_v2.0:VII:23144252:23146474:1 gene:SETIT_010463mg transcript:KQK97766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALYADLDALRASAADVRIVTSDGQTIAAHSYVLASASPVLERMIDRAWRGGQCTIRVLGVPSDAVLAFLHLLYASRVDPGTGAEEEVVAAHGPQLLALAHAYRVGWLKRAAEAVVSARLTPDRAVDMLKLAGLCDAPRLRAACARVADKDLAAVEASDGWRFARRHDPALELELLQLLEDAYRRRERWARERASREACRQLAEAMDSLDRIFAAADDACSTTGGTGKPCAREDDDATCQGLRLLMRHFAACARKAAPGGCARCKRLLQLFRLHAAVCDRPAEQELDRPCRVPLCSHFAARMRTEKADKTWRLLVKKVARARAMAGLADRRVPEVVAMSWARYIGSSKWAKLR >KQK98730 pep chromosome:Setaria_italica_v2.0:VII:28870244:28872037:1 gene:SETIT_011191mg transcript:KQK98730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARTMRSRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSA >KQK96115 pep chromosome:Setaria_italica_v2.0:VII:596861:600474:-1 gene:SETIT_009442mg transcript:KQK96115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTGWRRALCTSVQRDDGDAKNKKRRPHDAAGGGGGGFFSAVKSAATGNSSSNPSTPTLRCRTKPLQQPAEAAPVTPPSAPAPARKHRMPLLQALSAPASPRSPSRFALLKASLLPSKARCGVCSRGVKSGGSSAVFTAECSHAFHFPCIAAHARSSSANGVLSCPVCAEPWRQAPFLASLRLHCSSFHDDNKRRASGGSDGRKTPPPPPSSKLYDDDEPLLAPKAAANGGGFNPIPEADEDDEEEEQGAAEFRGFFPRPRTTSGLAVTVAPEAALVSTGRRHGKYVVAVKVKAPGLRLSSASPRRAPIDLVTVLDVSQGMMGEKLQILKRGMRLVVASLGPADRLSIVAFSGAAKRLLPLRRMTRQGQRSARQILDRLVVCAAAATTQGQEQGPGQQQSACVGDALRKATKVLEDRRDRNPVATVMLLSDTQQQQQQRDQSTTRKQFGRPAMAAPATRFTHVEIPIGDAPPLVRPEEEKEEPPVEHAFARCLGGLVSVVMQDVQLELVFLAGEITAVYSCGPGQQAVALAGGGSGGAGVSVRLGEMYAEEERELLVELRAPLQQQSHHPHSLSVRCGYRDPASQEAVRGAEQPLLLPPLAQSERSSRRLHDLFVVTRAVAESRRLAELQDLATAIHLLSSARALVLQSPPTQQREELVGSLDTELSDMQWRRSQQQQHRHQQEDDQQPLTPTSRRGRRPDGEAAATTPVGTPRSGGPGGEPLTPTSAWRAAEQLAKVAIMRKSMNRVSDLHGFENARF >KQK99662 pep chromosome:Setaria_italica_v2.0:VII:34111512:34111672:1 gene:SETIT_012938mg transcript:KQK99662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCSPREDMMYTSTAYQILACSKQQRTRISTGKLRKIIVMV >KQK98043 pep chromosome:Setaria_italica_v2.0:VII:24687052:24687453:-1 gene:SETIT_012754mg transcript:KQK98043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLSEKLEAARVALGKRKERERELQAAQAQPPLPAVAAKPEPGKPAPSRAAGNKLLAGHLAHEFLAHGTLLGRRIEPSRAGPAQATAPAPAARAEPDPRRRYAEVSWLLMTSGAHVPGVVNPTQLGRWLQIKD >KQK99156 pep chromosome:Setaria_italica_v2.0:VII:31198862:31202001:1 gene:SETIT_010714mg transcript:KQK99156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRRFAKANRFLRRRRRPTSFDGDFGLDDLFEEHAESKEEKQHANHKIGSTMCRYEPLFSDEEVAEAEAAARLKRVAEKKAREAERLSGWRRRRHGRRSGSNGAGRSMTPSSNRSADTIQRPSPACEPAPVPPLQHTNETYQTECRMHFSANILSVKVVSSDIGFPIHVYGTVIARDSVDKKCVYLFRCHRRDSQLIKSEDESLILTGPARGLLLIDFIWLETDLKIKGERGQDKILSKGLPEIDGRLSSILENIKVRDITCDSRLSTVEVKYAVVKSAVEATVEIQVIHGTFYG >KQK99612 pep chromosome:Setaria_italica_v2.0:VII:33802701:33803336:1 gene:SETIT_012710mg transcript:KQK99612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGSRLGGGAAGGFCRGFCGLVFVVGFIVLLYWAIFQPHHIRATVETATLSNLTVSNASAAAAGVSYHLAVSLGLYNPSVRVNIYYDAISAELRFRSAVIGPAANDTSPSVFYQLARTSDDVQLEFDYGRPGGVGVGGDVAGELEKEVKSGGPVRLELHVDVRVRYKFRMFKLRQKPRIWCSLSIPVKAEGRRRGVGGSVASGDRCRVKY >KQK97045 pep chromosome:Setaria_italica_v2.0:VII:18479354:18480412:-1 gene:SETIT_012410mg transcript:KQK97045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASHAATRCTATALLASLLFLAAAVAAKGEGGAHGGPDAARAGSPMDCVVECAKRAVECATEVRAAEARCVVALERLLREAAGHGAHGEPQKWRSKPEDSTPPLPSTPRSSCWAPQMVVDRQKIVVSDPADGRKRSMWQAGGMAPALQPQAMASAARTTTRYAPAAALASLLLLLLVATAFFVTGTGADTAVTTTGGDPDPPDRRMLDCTMRCVTEAMGCATRCASARADEAPVCAAACVQADIGCLGGCGLQQPQPAP >KQK96580 pep chromosome:Setaria_italica_v2.0:VII:12199621:12207935:1 gene:SETIT_012554mg transcript:KQK96580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLMSIDMFENDEVDGDPEDQVVPKDHDDFGNRITISKKVADIVHPRMLGLDGKAYSHQVKACLLFAEHNILWEAFVIISRLRDTMYKGILPWLLNPLNVIWTQPDWESTFLSDGFGLSCLFSDGSFLKMVYNVVKFYEDELKRSIEEDFTEKQSYDTSSVSLHLFLPLLLQLLRCIHALWKAQIACNLSEELEKAKALNCEEDSQQNNARKLLDEIRECGYRIIGLCMSLNGAFDELLDCSYLTVTFIDFDSMDLLHEGRAKVSYYFGKLPGSAESIQELEHTILLQFTRDVSQIFGSSSSPELNSDLSFYCRYLLINGCFGRLRMSLFGYWVDDEAATKAIPFCRTLNLVAEYKDRENAADDFTCWLAKLKEDFYLKACCAAPEEFIGMEVEWNWEFEDEFRRYLPVYIDMLKEVDAMDNTLEKLRPEFKLKYAINDMEHPHLRTISDMRRGSNYPYSVIDHLEQNPEALPSTFDAYDVEESVHGHKDLLLWIIGQLTKAKEFEDFKPLAPEVEDFLPHLRPYAMFYILTKLKTSLYTTAEVQLHMHEEYDDYLASGKLDDYIYESMRLEGNFYEKDLDSWAVPHQFSDLDHGLIKLSLKRRAAIVQIDRQVRAYLNCLRCLMEDDLRKDRLTNLMSELEAAGFFDIDNCRINWEKKHFTELVDKVSIEVFAEHSLPRHYVIRGIIDYRTITLWRDRTQRSFEQVVGEACERLTAYLPQFWRDTRHYTHNFYEIVREPLEKVSILSFPPL >KQK98152 pep chromosome:Setaria_italica_v2.0:VII:25364527:25366820:-1 gene:SETIT_011983mg transcript:KQK98152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAECSPTFHFRCISTYAPLLRTPRVRRQPPGSDRVSSRLPLLRRRLPLPPPPGPSSSPTGKISPLKKIGAHLLPEEAVISDRESFCAMESASVAHGHFVCPLCNAPWRSCPSRSRARQGSRDDRRRSHERAGGDAPRAPLDLVTVLDHEGGKARAAEAGHVGFVIDNLGPHDCLCVVSFSDTARSTRLPRMSDTGKGPTTRAVASLAARGGTDIAEGIRKAAKVLDERRQRNAVSSVILLSDGQDTRRAAAGPNYGALVPPSFAPFARADTGDWSAPIHTFGFGNNHDAAAMHVVAEAREATGGTFSFMEDEAVIKDVFAQCIGGLLSVVVQEARVRIACLHAGVGISAVRSGRYESRIDDDGRAASVAAGELYADEELHRSTPWARARSGRWRWSGSASAWRPPPTSRGERGTHREAVEIRATRCSFRPGSLAGQRAGRRRKGV >KQK98757 pep chromosome:Setaria_italica_v2.0:VII:29017190:29018147:-1 gene:SETIT_012342mg transcript:KQK98757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSKPNFFGRAWNRARGKSDVERICKKVFDDLADEKTELLHIDSLHVATLMVYNSINKQLGSPHKDPPCLKIIGEKVEEYRAKEGIAFTEFQEMILKWVEKDLRLVLVNKAGFAIMAAPLFAVTAKSAGRQVPRVGDAVDKVPTPLIAAAFSIGLLFLQDVWLWRQRD >KQK98906 pep chromosome:Setaria_italica_v2.0:VII:29885011:29887210:1 gene:SETIT_010199mg transcript:KQK98906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILNSVSFGSIGFNRTRTNKLVKVGSQPQRIGWKLSRTCCEYSADTSRKRQAEYEQQPQNVDLPELQPKNKKKPFPVPIKKMLQDSRRDKRLAQMRIEKPLEAPKNGLLVPELVPVAYEVLDNWKVLIRGLSQLLNVVTVYGCRKCPQVHVGPVGHQIQDCYGSGSQRRNSHHSWASGSINDVLIPIESYHLFDPFGRRVKHETRFDYDRIPAIVELCIQAGVDLPQYPSRRRTSPVRMIGKKVISRGEFVDEPKPHRSEDCVSLLAELDTFNNQQGQSPSPSNVKELAERTLKAYLNVRQGVEQLMSKYTVKACGYCSEVHVGPWGHNVKLCGAFKHQWRDGKHGWQDAVVDEVIPPNYVWHVPDPSGPPLRSSLRSFYGKAPAVVELCVQAGAAIPDEYRPMMRTDIVIPDSEEARWAA >KQK98141 pep chromosome:Setaria_italica_v2.0:VII:25296057:25296757:1 gene:SETIT_011144mg transcript:KQK98141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRLAVRAPSATLSRAENTSPGPKPPSNSGQKHQRSATTSRRLATTAAALLASRLLAPAASIAAGAFDLRLTLPEQSSEEAEAVVRTHARNLLQVKQFVDAGAWRELQAALRASASNLKQDLYAIIQARPADQRPELRRLYSDLFNSVTSLDYAARDKDEVQVRQYYGNIVSALDEIFAKIM >KQK96190 pep chromosome:Setaria_italica_v2.0:VII:1406272:1407462:1 gene:SETIT_012562mg transcript:KQK96190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAATAAKWSGKPPALPSLLLIRRVDASFAAALRQRFRILDFFASGEPLPAFLAAAEAPRAAVVMGGGVVRVDAAFLDAAPSLRCVFSTAAGVDFIDLGECARRGVAVANSGRVYSTDVADHAVGMLIDVLRRVTAAERFVRRGLWPLQRDYPLGSKLGGKRIGIIGLGNIGSLIAKRLEAFGCVIYYNSRKPKDSVSYRYFPSVHDLAVESDVLVVACALNKDTRHIVNMDVLDALGKDGIIINIGRGANIDEAEMVRALKEGRIAGAGLDVFENEPEVPAELLSMDNVVLTHHVAVSTSESRGDLRDHTIANLEAFFSGKPLLTPVLP >KQK96197 pep chromosome:Setaria_italica_v2.0:VII:1518474:1523653:-1 gene:SETIT_011937mg transcript:KQK96197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRIHSKSATPTKLKLEAFTERKHRPELRKLHAVMGFPLRLQVVVWLSTLALLSIPYSHSKSCGSLDDEQHPSWELDYYTFSTRLDRLHNYKMVNESGFLGDFTRHDVVDMPKDALGLLHIPLMVQLCSFWTDLDYELQVRERSFAVSFTMSLYQQPSWKKHTTTAAEDQRQDLPQTLSFLILPVVFWELYDGKSLAEQLKLSAEGTLALSEVAPGGGSNVSVEIGKLAYSGDISLACPYYGSFTPLGVNTVWIEYLSSKHSLSVYVCAGKDSPRPKIPIAVKEDVRFADGAYSSASFALFSLVGQLLQVHAWNSTVVRHQLALTDGESVTVSIFIGMVIASMATAAGVFVVCTFRSIRRRWKEERDEFARTMQRLPGVPIQIEFADIRMATNNFHDTAKLGKGGFGSVYGCTLPATATRTEQAMEVAVKKFTGEVKERRYEDFLAEVSIINRLRHKNIVPLVGWSYNKGDPLLIYEYMTYGSLDQHLFRGGGNGDQRLQQEDASSIRQWHSRYSIARDIATGLHYVHHEHEPMVLHRDIKASNIMLDSNFHARLGDFGIACTVAADRSSVTGIAGTWGYISPEYAMSRRATRQTDIYAFGVLILEVVTGKKNRDVPPDDGHISDWVWRLHGEGRLLDAVDDHQQAVVVDEAERLLLLGLACTNPDPTNRPSMAVAVQVISKLAPPPDVPPERPAFVWPPKDHPDQLPPPPPPHPAAAQTSCSHLPAHPDAITPAVRLAPPPCHRRRPPLLGPTGLTAPAPATSAGHPSRVAG >KQK97925 pep chromosome:Setaria_italica_v2.0:VII:23989051:23989543:-1 gene:SETIT_013059mg transcript:KQK97925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRVRRSSWISYTAHQVEIIRASAHHSATSPRAA >KQK97021 pep chromosome:Setaria_italica_v2.0:VII:18309162:18309593:-1 gene:SETIT_012930mg transcript:KQK97021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQATKHSENDKPGLPNNKHDQVPANNLARTCTSDQYLTGYEG >KQK96264 pep chromosome:Setaria_italica_v2.0:VII:2679042:2679902:-1 gene:SETIT_010881mg transcript:KQK96264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPTPVFTDSFIVQTDNYGDFIRIVRQNVIKYCSDRRPKVVQPVLPPEQRVPRLWFHVVLRTRTSSLTLAVRVDNLYLVGFKTPGPAGLWWEFNNEHNTHLIPNSNWLGFGGRYQDLVGQKGLETVALGRAGMTAAVDVLAKHDTTTALEEHQQRLGAHQADPYALPKSMLVKLVIMVCEGVRFHTVYGTVDREFNTAVAKITEMDGKQVNKWDRISKAVLTWAVDPEAKFPELEKIGVKDKNDAARIVALVKDETS >KQK99489 pep chromosome:Setaria_italica_v2.0:VII:33110016:33110198:1 gene:SETIT_012006mg transcript:KQK99489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RAGVSKMEASKKLSAGSTGKRRHGSTALFVAVDYAFLLAFAGFLAYLVGSRILPSVGPSA >KQK97252 pep chromosome:Setaria_italica_v2.0:VII:19982452:19983456:1 gene:SETIT_012366mg transcript:KQK97252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPVRAQGPLNIEEEYNNTLRTQSNACFLSKKQQSEEEMEVLHDNHQGLISPMLQDMVIHRRTSSEIELAMAGYFDASAEASEMCRQLLRSIKSTQSNYQSMESFLASMSDGSARELVRSNPFCTMTRSNFRQIHERYSSILQSIRSSHRRVSRKLRMVKAIKKLSRTCVLMVCGAAAAGTIGAAAHLLFFGLLIVPAAAGLCPAALRRRRLSARTAARELRPGGMTSLVRLREQLDTAAKGTYVLGRDLDTVSHLVARLSDGIERENAMARRCAERAGDRCPVQEMVGELRRSCSSSRRLADELEEHVCLCLATIHRVRLLVIQEISKQPWI >KQK99139 pep chromosome:Setaria_italica_v2.0:VII:31133044:31133567:-1 gene:SETIT_012920mg transcript:KQK99139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQGRPNLCANNPACAACILHNHKYRCRELEVQSHAWAEPQKVQTQPDQEHHQ >KQK96910 pep chromosome:Setaria_italica_v2.0:VII:17387453:17387773:1 gene:SETIT_012316mg transcript:KQK96910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAVHFWFCGATGPCAVQLKLKCIGRGPDSRRVLLMLVMNMLVDFIAARQWEGMLRRLMVSCLQQQHRFISLSNCLRDLCLRQEHLLTGISRANMIASPEESHYFR >KQK99839 pep chromosome:Setaria_italica_v2.0:VII:34998140:34999764:-1 gene:SETIT_012188mg transcript:KQK99839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPTENGPDSRLAHLGRALCECAADVEAGSMEKAARCLLRATGLAASTGDGPLPRLVVPVADCLARRLIRPMVPGVADALIDPSDHLDRRCVRAAHRSFFELSPFPKAAVAVANRVILEAMENEKNVHVIDFAGPAAQPCQWIQLLRDFRSRPEGAPHLRLSIVHDDDEFLANVSESLTDEADELDVPLQVHCVAAQIETLDFNDLHGVLGLKSGEARAIICTLRLHRLLAAADDAASSFSAGHRFNQTASVARLQEMSSDSCPPSIGGGAACEEDDPYYRSPATPLGFVSPPLTTPPFQMPPALAGFLSAARATVSPKIVVLAEQEASHNGVSFRKRFAEALQHYAAAYDSLDAAAAAYRRPAAAERAEVERAVLGEEIRDVLLREGARRRERHDRLHQWALRMEVAGFRGVPLSYIALRQGDDVLRRCGVGGCESREHGGCLLLCWRSWPLYSVSAWRPDRDAAYGIGCDSVSTASTAGSCTWF >KQL00028 pep chromosome:Setaria_italica_v2.0:VII:35841878:35842219:-1 gene:SETIT_011842mg transcript:KQL00028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLPAGCHQGRARSSTTKPSYHMEKSHVRAAGRGRWVAGPGWGTGSDCCQRRLSRTPTAVRQIDSRQPDREGDGKWNRFLIFSPVNVSQASNSGAWKTAWPKKLLYTSSTGV >KQK96731 pep chromosome:Setaria_italica_v2.0:VII:14657703:14662716:-1 gene:SETIT_009847mg transcript:KQK96731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVTAGRRAGAAGGVGGGRRRAGCGEQSQAQQRLLAVAVAARFAEAGPTPSAEASGGGGGGGSCCVELLECLLAALGVSVTAVAPAPAQYKWAVRSIRRRRPRGAASASSAEGRRSGAAEPAPPGRIAGNGASASAAASLYTMQGKKGVNQDAMVVWENFGSKHDTVFCGVFDGHGPNGHLVAKRVRDLLPVKLSANLGRNGTTTGGTTPHRVEDTDASLENEENGEHPEFFPALRASFLRAFYVMDRDLKLHRNIDCAFSGTTAVTVFKQGQNLIICNLGDSRAVLGTRDEDNQLVAVQLTVDLKPSIPSEAERIKQRRGRVFSLPDEPNVARVWLPTFNSPGLAMARSFGDFCLKNYGIISMPDVSYHCITEKDEFVVLATDGVWDVLSNDEVVSIISNAPSRVSAARFLVESAQRAWRTRYPTSKTDDCAAVCLFLNTEAASASSSSGTKDSTNIEASSSMHSLTVKSSTAVPANLVTALVADEEWSVLDAVSGSVTVPILPKPTSVVNESTKD >KQK98863 pep chromosome:Setaria_italica_v2.0:VII:29654963:29658153:1 gene:SETIT_010175mg transcript:KQK98863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFEQEEEVAPGAVHGHRLSTVVPSSVTGEVDYALADADLAFKLHYLRGVYYYPAGDVARGITTKVLKDPMFPWLDDYFPVAGRVRRADDDAAGRRPYIKCNDCGVRIVEAKCDRDMADWLRDDAPDRLRQLCYDKVLGPELFFSPLLYVQITNFKCGGLALGFSWAHLIGDVQSAATCFNKWAQILSGKKPEATVLTPENKPLQGQSPAGAAAPRSVKQVGPIEDHWLVPAGRDMACYSFHVTEATLKKLEQQQGRHAAAAGTFELVSALLWQAVARIRGGTQTVTVVKTDAAARSGRALANEMKVGYVEASGSSPAKTDVAELAALLAKGVVDETAAVAAFPGDVLVYGGAHLTLVDMERVDVYGLEIKGQRPVHVEYGMDGVGEEGAVLVQPDADGRGRLVTAVLPKDEIESLRAAIGSALQVA >KQK99396 pep chromosome:Setaria_italica_v2.0:VII:32746439:32749719:1 gene:SETIT_012068mg transcript:KQK99396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKLTIRRVPTVVSNYQEDADKPRAGCGRNCLGDCCLPVSKLPLYAFKANPAKPSQEDASSTKSLVNILLTEWEDRMARGLFRYDVTACETKVIPGNLGFVAQLNEGRHLKKRPTEFRVDRVLQPFDPAKFNFTKVGQEEVLFQFENGAGDDSYFLNNAPITVADRAPNVVAINVSPIEYGHVLLIPRVLDGLPQRIDPESFLLALQMAAEAASPYFRLGYNSLGAFATINHLHFQAYYLSVPFPVEKAATHKIPLSEDTMKSGVTVSKLINYPVRGLVFEGGNTLNDLANVVSSACIWLQDNNVPYNVLISDCGKRIFLFPQCYAEKQAMGEVSQELLDTQVNPAVWEISGHIVLKRRNDYEEASETSAWKLLAEVSLSEERFEEVKAYIFTAAGLVQADEEKVSEGEEATYKPVPVAPLPIAEGCLVLQ >KQK96803 pep chromosome:Setaria_italica_v2.0:VII:15670375:15673866:-1 gene:SETIT_010751mg transcript:KQK96803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDGILSRRVENQESARTKTKEELLAEERDYKRRRASYRGKKVNRNPTEILRDIIDEHMEEIKQAGGIGCLVEGPADIAPNVLKSNSHGSTYQGSYDFSSSSSHDKAALGSRSSGCNKSPRSDSLGRFSSRSRDTRDSYKTSRYETHGKRYLSENENRWGVGSESEIDQSYPYQQENRRRQRSSNDNRNYGYKKGVSDHRPESSDCSTQSQRSSVTEYARMSGEGSSDRSRASQKRHRSLSVTQDQFSDRYDPQNTYSDGVPLTGKLCDATEEAHHRRHHERKHGHHH >KQK96802 pep chromosome:Setaria_italica_v2.0:VII:15669217:15673866:-1 gene:SETIT_010751mg transcript:KQK96802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYDGILSRRVENQESARTKTKEELLAEERDYKRRRASYRGKKVNRNPTEILRDIIDEHMEEIKQAGGIGCLVEGPADIAPNVLKSNSHGSTYQGSYDFSSSSSHDKAALGSRSSGCNKSPRSDSLGRFSSRSRDTRDSYKTSRYETHGKRYLSENENRWGVGSESEIDQSYPYQQENRRRQRSSNDNRNYGYKKGVSDHRPESSDCSTQSQRSSVTEYARMSGEGSSDRSRASQKRHRSLSVTQDQFSDRYDPQNTYSDGVPLTGKLCDATEEAHHRRHHERKHGHHH >KQK97666 pep chromosome:Setaria_italica_v2.0:VII:22506782:22507888:-1 gene:SETIT_012130mg transcript:KQK97666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVPCCEKDNVKRGQWTPEEDNKLLSYITQYGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGEFTDAEEQTIIKLHSVVGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKSFSHLMAEIATTLAPPQVAHLAEAALGCFKDEMLHLLTKKRPTDFPSPAVPPNISMDGAAAAGIGAPCGFPAAPPQQADDTIERIKLGLSRAIMSEPGAGACAPTDKQQQQPWAPADMPEGLAGMYAAFNPAAHGQDEFRYDTGAVPDYVLGGSGGEADQGTSMWSHQSLYSGSSGTDAAARPATALPEKGNDSVGSSGGGDEEADNVKDGGKGDGSDMSGLFGSDCVLWDLPDELTNHMV >KQK97684 pep chromosome:Setaria_italica_v2.0:VII:22639398:22641095:1 gene:SETIT_012689mg transcript:KQK97684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSLFIFLIFMPSSKVACICNQLLIIISDGFGLLESYSRARGVCTAWRAANPFPRHHFRPRVSALFLPAERSYPLTTLRWRGRCVVGSSNGWLAVDGCPYQGIYLIAGEDKKVPLLQLNNDGKLVPKIVFAPKPTPNDYVAVAICDLLRLAYTKTRDMKWMILDVAIGARDWFVDLAYDSDAGKVYCVNVLGDVHVLHIPRRQRRRPIFTAAKNVFFFGGNLYQVWRNTNSAVSWDIPGGGQFRMAKSEIFVLKYDPERRPCWDAVKDLGGYSVFVGKNQPVVLRPEDAPGVRANCVYWINEGSRNKPMVFDIATGISTLHPSADKALNPSCRPVCWYFLNDKIMSVENNGRKRSMGGEDCVQVSKSQEAH >KQK97894 pep chromosome:Setaria_italica_v2.0:VII:23799109:23799597:1 gene:SETIT_011800mg transcript:KQK97894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPSKRVDAALRRAPAFAAACDAAFDRCLADAQGAFGGVRRYQLADAAAHLHSSLRASVPLVRRWVPSPPPRARVDAALRASGLEGEGELSRPQFGGFAAELFREAVLAGAAEAALVRAPAAAAGIVGVGLVARAAPAVVGRVVAVYAAGVAAAVYLSLG >KQK97548 pep chromosome:Setaria_italica_v2.0:VII:21827488:21829450:1 gene:SETIT_010433mg transcript:KQK97548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAALLLPVALLLCLALAGSAGAARKTVGVYELKNKKGDFSIKVTNWGATLMSVIAPDSKGNLADVVLGYDTVAGFVNGSSYFGALVGRVANRVAKGRFVLDGKAYHLYINDGKNALHGGHRGFSKVIWTVKEYVPDCDSPYITFYYRSFDGEQGFPGDLDVYVTYQLSGPYDLSLHMNATALNKATPVNLVNHAYWNLAGHGSGDVLGHVIQVLASQYTPVDQSMIPTGEIAAVAGTPYDLRRPTPLGSRIGLVSGGGAVGYDVNYAVHGQGFTLVARVRDPASGRAFELWANQPGVQLYTSNWLKDEKGKAGKVYGKYGALCLETQAFPDAVNHPNFPSAILRPGGVYRHDMLFKFSS >KQK96558 pep chromosome:Setaria_italica_v2.0:VII:11952839:11953930:1 gene:SETIT_011882mg transcript:KQK96558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPKALLHAALCCTCFFVAVLAARELSDDSAMVARHEQWMLQYGHVYKDDAEKAQRFEVFKANVKFIETFNAAGNRKFWLGVNQFADLTNDEFRATKTNKGFNPNAVKVPTGFRYANVSTDALPATVDWRIKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKISTGKLISLSEQELVDCDVHGEDQGCNGGEMDDAFKFIIKNGGLTTESSYPYTAQDGQCKAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGKDNDGTKYWLLKNSWGTTWGENGFLRMEKDITDNRGMCGLAMQPSYPTE >KQK96589 pep chromosome:Setaria_italica_v2.0:VII:12413614:12414681:-1 gene:SETIT_012452mg transcript:KQK96589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVSTEEEEEPPEAKKVEELEEEEPAPWPAAVAARYERLDKLGDSMFGDVYKAWDRAGNRLVAVKRLSGRTDDRFVQTALHDLAREAMSLAACRGHPSVVKLVATHADSGRRDGDCFLVTRYAGPLNLHRYLALRFEQERPFTEAEVRDAMRQLLSGAKHVHKAGVLHRDMAPENVVVDRTKKGRMVYRICGFGMSASRVAGKDGVAALASASPYRAPELFLGSEDYNERVDTWGLGCIMAELVAGAGGPFFGKSYAEVFEKVQHVAGTRGMVKWAGLERVAGRDRAARLREKGLATYAGCLREVFPEGVISERGFEVLAGLLDTNPESRLTAEEALQKLWFRRYGFAGRCFAP >KQK96618 pep chromosome:Setaria_italica_v2.0:VII:13094382:13094918:-1 gene:SETIT_011711mg transcript:KQK96618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLGHMLALLDLTGLLASCGLRLTVVATPATAPQLAPLLAAHLASAVLALTLPFPAHHALTAGVESAKHLPRRSSPRSSSPSTASGGLSTRGS >KQK97368 pep chromosome:Setaria_italica_v2.0:VII:20818079:20820633:1 gene:SETIT_012078mg transcript:KQK97368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGFPWHPNRQLLKGFGGTAGRAEETRKRNAEHGRRVGSGKSATANTILGYNAFESEYSYISVTATCQMRSTTRSFGDAAAPRAVNVIDTPGLYDINVTTEDARKEIAKCLDMSRDGIHAMLMVFSAASRFTPEDADTIYLNLYAISPQDTVQACGERVLLFDNKSNDELQLQKQLAELFDAVDSVIARNRGKPFTNQMFTQIQVVEEKLNSTIESLQQQLREEQKARQKAEKKVAEAVLRSKEETKRLRKDLEKTQQDSDKA >KQK99150 pep chromosome:Setaria_italica_v2.0:VII:31175156:31176530:1 gene:SETIT_011102mg transcript:KQK99150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAARAIVCELAPQKVAAAAAVPAPPKKRDAGKVVLQPRLCTLRSYGAGSGVVTRRILAGEEDGSGAADSAGGSGAASPFFASLADYIESSRKSQDFETISGRLAMVAFAAAVAVELTTGSSLFKKLDAMEIEEAAGVCVAVVACAAAFAWASSARNRIGQMFTLGCNAFVDSLIDNIVEALFSEGEPQDWSDDI >KQK97837 pep chromosome:Setaria_italica_v2.0:VII:23511143:23512582:1 gene:SETIT_011828mg transcript:KQK97837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIEKGASLFGLLTPVVLSTFPFIYQVHTGSIHKTTELFGTPAMVSAFINSIIWLMYGIVISKSDMSPTLLLLHAFTCMSTFTYLMFVYADRKATVKGYMLASSFILSLSVIFTVLYWDLIPSWIMEELFGCLGLGSLVYCHCIQISNILDGITERSQKIATAINLLPSCLVNLETMMITAQRHPNHGFILMSSTLGFAANVLEIFLAIIVSILGNLFSSTGNRSVDLEAAVQNVGRANVSAGLPTNDLAVVFGSENSIAGSTQAQHQRYIVLSVWTLVSVDVVSGLVAYHSFLCTVVLVQAIPRVPLQIERDAYLPMLLQISGLA >KQK99582 pep chromosome:Setaria_italica_v2.0:VII:33627899:33629689:-1 gene:SETIT_012080mg transcript:KQK99582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSSSTATLTPVLIHLLRGASDLASVAATHAKLFKAGFSSTLASSNHLLAAYCRCGAMSRARDLFDGMRDRDVVSWTTLMSGYAASGRPREAISLLRDMQFSGVQPNVVTLSTATSVCARLADEGLGRQVHARAEVAGCARDAVVATALVDMYGKAGRVEDSRAVFDGMAAPARNAVSWGAMLAVYAQNALGNEAIQLFAELRINGSGLAPNHFMLSSVVSACASVVRLSIGKCVHGAVLRLGHGNNEVIAVALVDMYSKCGCYEYSRKVFDRIEQPSLIPYTSIIVAVAKYGLGRCALALLGEMVDRGVQPNDVTLLGVMHACSHSGLVDTGLQLLHSMQSKYGIAPCPSHYTCAVDMLGRAGRFEEAFELAKEAQVAGNEALLLWNSLLSACRTHKRLDLATLAGQRVSEFNQDVAGGLVVMSNAYASAGQTDNAAAVRSSMRRRGIRKDPGCSWIEVKDIPYVFYAGAISCAGARADEVLMLLDELECKMREKGYKGRLGSARVSDAHEDDGDEGKGVMVGVHSEILALGFGLLVVPKGMPIRVMKNLRMCCDCHEAFKLISGIVEREFVVRDLNRFHHFEMGSCSCNDYW >KQK96317 pep chromosome:Setaria_italica_v2.0:VII:3552679:3555653:1 gene:SETIT_011977mg transcript:KQK96317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILVLLSLKLQVVLLLLAGIRRRQASRVLTFILWVAYQLADSTAIYALGHLFLSNAARDHQLVAFWAPFLLLHLGGPDNITAYALQDNELWLRHLLTLIVQVLGAAYVLYKHIAGNGSMIVLAAILMFTVGVVKYGERTWALRCGNMDSIRRALKQQTPPRHNHIHRHDQWLDEEELQVRRAHSQFHICKRAIVDSSDDIDSHDTAGTTELLGTANQETWYQERESHMWTMMEMELSLMYDILYTKAAVIHTWFGYCVRLVSPIVAASSLLLFHLSGKEGHSRVDVAVTYVLLAGALLLESISLLSALGSTWTFAFLYATSWTWLRYTFLCSGKWDQLRHAVVYLRRLVKMITGGRSSRSARRWSGAMGQYNMLHFCTRNRPTRSLFGMLAVMLGSKELWDKKHYSGTDQISDMIKKVVNKYIHRLHEMGRFNALGVLRKKWSQEALERWKLYERVREYIGVELQEGVIIWHIATDIFLACSAAERGLEEVKAVRAMSNYMMFLLVDRPEMLPGLAQTRLYERTCMNLVEIWENAEHPTPRHGGNTFTTLFRLRDDPNSYSRMEEIEKLASILRGRDPNFSNKAPRLSYATIIANILLEKETSSSLQLLLEVWTDILVYAGNRCSRESHAKKLNDGGELITILWLMIEHLHQASLD >KQK97632 pep chromosome:Setaria_italica_v2.0:VII:22285764:22286450:1 gene:SETIT_012659mg transcript:KQK97632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRRTSAKSLLALVLLIIVSLLTLHAPIAFARHVVVLNPNDGVNNRGDSKNLSKVLASASTDDSAANKGVFSGRKLGAANKEEGITKATTGATATATASAGSRPRTVKMRAAWKHGDAAAEMYDMLRRDYAWKASRRRPINNGATRFQVKKP >KQK99215 pep chromosome:Setaria_italica_v2.0:VII:31592376:31597895:-1 gene:SETIT_009924mg transcript:KQK99215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKKKDLLCQEDDRSEGGKISRYSGSGLPEDIWCHIHSLMPLRDAAHSACVSRTFLRSWRCHPNLNFTKETLCVKRNACGKGGVARCFTMRVDQILKNHSGIGVKTLILDIPDSCKIDICRLNHWLQIAIAPGIEEVTIFLHSNYKTKYSFPCSLLFGGCGNSIRHLRLTNCAFRPPVGFDCLRSLTKVHLYEVCITGDELGNLFSNSFALEHLELISCSDLISLKIPFWLERLSFLRVFECNMLQVIESKAPNLHTFKFFGNPGHLTLGESSQVKSLDFRLSNNFNSITYAITKLPSTVPTLETLKLTSFSERVNTPMAADKFLNLKCLQIYLAGYEAFSPSYDYLSLVSFLDASPALETFILSVNQDVMKHDSVFGNASPMRQILGHKHDRLRKVQINGFCSAKSMVELTCHILENATSLESLTVDTIFAGFIGDDVRRCFLQKKSKCRSIPRDMILEAHNALRAVSSFIVGRVPPAIKLNVWEPCSRCHAIDVKLP >KQK98389 pep chromosome:Setaria_italica_v2.0:VII:26703932:26705937:1 gene:SETIT_010955mg transcript:KQK98389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKQRSCCMQLTNRTDQFVAFKVKTTNPRKYSVRHSCGIVLPRGSCNVTVIMQAPMEMLLDHQCKDKFLVQSVVVKDRATIKDFGPQLFTKAPGRVIEEFKLRVVYIAANPPSPVPEEEEEEDSSPRSEVVCGVKTSSTFDAEHRCIGASAAEPSCPEGTSVISELVQEREYVDKHQKLQQDMELLGETRSSQQGFSLMFVVFVFMSSMFIGHLMNEIKV >KQK98390 pep chromosome:Setaria_italica_v2.0:VII:26703932:26705937:1 gene:SETIT_010955mg transcript:KQK98390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKLLRVYPSELKIPYEMKKQRSCCMQLTNRTDQFVAFKVKTTNPRKYSVRHSCGIVLPRGSCNVTVIMQAPMEMLLDHQCKDKFLVQSVVVKDRATIKDFGPQLFTKAPGRVIEEFKLRVVYIAANPPSPVPEEEEEEDSSPRSEVVCGVKTSSTFDAEHRCIGASAAEPSCPEGTSVISELVQEREYVDKHQKLQQDMELLGETRSSQQGFSLMFVVFVFMSSMFIGHLMNEIKV >KQK99552 pep chromosome:Setaria_italica_v2.0:VII:33473090:33476455:1 gene:SETIT_012258mg transcript:KQK99552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAAMKRRELLELCRQHGLATRGSKADLAASLAGAISGAAAAENAVEVVVGKGCLKRLGGSASCGTSGAAKKVRFALDEESEERARRRRSLVVLQPVVTKTRGRRKAKKALAAAAVSGRGQQQKCNDVGCDSADKDVTGKVGTDTPVTRSTMKAMCLCAHSGAESWNNPAVAEKEGMVEAATDRKQRWKTRENAVVIAANSHEGISCRITLSSSSSSAAALVYPFVEKKRGRRKARDGKDELSAVEQAAEVQDLTTAAVPVIIKSRPTQVQVEEQPVEDCVPAVQKSGRTRRTDSVAAAAMLAIVTENKVRKAEDVHPDGELPADLEVPRIGAPITRSSRNRNVQVYNSVVEETHVGEKIEDKRKPDRPSTHSCRRQQLASSVKEEEQVAASCELPRLKQSMRNHPEADELISNANLETNKFCRLPVANDLKIVHPLTLKAANTSVEDVVTKAGKEMGFTKSSREDKIKNTGGVSVSVDD >KQK99460 pep chromosome:Setaria_italica_v2.0:VII:32986494:32988887:1 gene:SETIT_009986mg transcript:KQK99460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAHNGVNNMHEVAPMEVSVEAGNFQDAERLDDDGRPRRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVVFCGVIQYANLVGVAIGYTIASSISMRAIRRADCFHTNGHADPCKSSSTPYMILFGVVQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLSLGIAQTISNGGFKGSLTGISIGADVTSTQKIWHSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSEAKVMQKATRLSVATTTIFYMLCGCMGYAAFGDEAPDNLLTGFGFYEPFWLLDIANVAIVVHLVGAYQVFCQPIFAFVERRAVAAWPDSAFISRELRVGPFALSVFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRGSTKWICLQTLSITCLLVSIAAAAGSIADVIDALKVYRPFSG >KQK98097 pep chromosome:Setaria_italica_v2.0:VII:24919713:24922254:1 gene:SETIT_011149mg transcript:KQK98097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSPVPLRPLALACFIFLCSSFWAANGMYGRVGAAAAEVESRRRMRLHTDGSRGDAHAWPGYLYTRAVGRCTPQFWSSGAEPWPNIVPQEAAVSKVFGSRSVERYGPRLTLLEATMRTDDIGGSAFVKLVKQGSAALLNAYTRRGFPFDSWEVKALLLEALVSEEVAAVQAERFEQANESCV >KQK99159 pep chromosome:Setaria_italica_v2.0:VII:31220885:31225337:1 gene:SETIT_009550mg transcript:KQK99159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLNMTNLWFHGKESIAAASFSQAQPAAINCRNEEPPASAMNLGCIGSSEIAQNSGKSFHHGDQSLAVPDDSCRLVLGLGPTPNLYSADSHSFGGKRAYESGTLLTQHCATTNSGLMLDLSRCSSRNLQPAAVNGSRNFSHARKTGIAFPIIDEGSTSAKRKPGGYMLPLLFAPRSGDLCLNGTSPDIDIQQHNGIECDTDSDHDRSLNHHEVQPSPDLSITTDCSFAATSDMVVGTTSGEQRSHQRHPKKCRFNGCSKGARGASGLCISHGGGQRCQKPGCNKGAESRTAYCKAHGGGKRCQELGCTKSAEGKTEFCISHGGGRRCGSEGCSRAARGKSGFCIKHGGGKRCRIEGCTRSAEGYPGLCISHGGGRRCQYPNCSKGAQGSTIYCKSHGGGKRCMFEGCTRGAEGSTPFCKGHGGGKRCLFEGGGVCPKSVHGGTSFCVAHGGGKRCNVPGCTKSARGRSDCCVKHGGGKRCKSNGCNKSAQGSTDFCKAHGGGKRCTWNTGCDKFARGRSGLCAAHTTLMASKLEHDPGQGRSMAGPGLFSGIVSGSSAAGSSMDHAISSSGHAAWSDCVDSSGDMQGGGRLLIPHQVLVPGSLKASSSCGLAGNGPQEDGGSRSQNFGLVVPEGRVHGGGLMSMLGVGGNLRSNPDGSKANNNEHATQ >KQK98570 pep chromosome:Setaria_italica_v2.0:VII:27979374:27980264:1 gene:SETIT_011326mg transcript:KQK98570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGGRSSLGYLFQPEETSPYHTTAKSNQETEKTTPDTNSSSVKDDNKMIGAGADKEPPQLPPPNREVSNPILSSNRSPCNIYHTSQLSYNNSGFLITDRPSTRVRCAPGGPSSLGFLFGEEHEK >KQK97533 pep chromosome:Setaria_italica_v2.0:VII:21750340:21751143:-1 gene:SETIT_011028mg transcript:KQK97533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRGQPSLELGVKVVRVAGLDQADHQLDGGGRGALFVRYYVPAGDGRRRLRVDTREVPFPCGCGGGDPSWGDLVRFERWGAERGAAPGGAGIAFELRWRPRPSSPSGLAAALLGAAGTRRRRASRVLARAELAWPEDAAAERWLALSPAGRELGGGKAPRLLVEVSTVRAAAAGGGAKGMKRPRCRNECCGAGERCGQCGWVGNEEDMFLAATFSH >KQK99465 pep chromosome:Setaria_italica_v2.0:VII:33019948:33022643:1 gene:SETIT_012770mg transcript:KQK99465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRPLSFTRCPPLPPLGCVGLGGGKRRTVLVALRRDAAGRELLTWALVKAAAAGDRVVALHVTTTSTAAAAVAAGGMAAEEKVRTHDSLTSVLGAYRGFCDRNQIDLELKLCEGPSIKRALVAEAASSGAAHLIVGVTKTSRPSGSSATAVARYCARRVPPTCMVTAVSNGAVVYRRDAVQQQQLSPYTAMVETPRRLYRKILDARTTTGDKSQDDMLIGDGRSLRRNMSVAMSALVSPRVTFAPGLARCHGVESPKMAGGWPFLKKDSMPALPESSEISVVQWAMQLPTRCSDKVSDERGEEKQLPEELVSLREKYSSKSKYTMFSYRELAKITNGFSPGMSDVSISMLKKRLVGKGGAGRVYKGCTDDGKELAVKVLKPSDDVTKDFISEIDILSSFEHKNAMSLVGFCVDGGRLMLVYDYMPRGSLEEMLHGEKRGKGALGWPERFKVAVGAARALESLHSGGDHRPVIHRDIKSSNILVADDFEPKLCDFGLAMWADDATAQVTGDDVAGTFGYLAPEYFMHGKVSDKIDVYAFGVVLLELISGRKPVSAGGPKGQESLVMWANSVIQGGKLMDLVDPSLPLADGDGGEVERMALAAALCIRRAHQHRPSMSNVVKLLAGDGDAVMWAKSQVGVSGGSDHGGCGGVVTSPDKNDIQSYINLALRDIIDDDASSVGSADFIDANMSLEEYLKGRWSRSSSFDG >KQK96200 pep chromosome:Setaria_italica_v2.0:VII:1556544:1559316:1 gene:SETIT_009497mg transcript:KQK96200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADVHMAGGPETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKASKTLSIIDSGVGMTKSDLVNNLGTIARSGTKEFMEALAAGATDVSMIGQFGVGFYSAYLVADKVVVTTKHNDDEQYVWESQAGGSFTVTLDTAGERLGRGTKITLFLKDDQLEYLEERRLKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDEDDKKEGDVEELDDDGDKNKEKKKKKVKEVSHEWVQINKQKPIWLRKPEEITREEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPRRAPFDLFDTRKKLNNIKLYVRRVFIMDNCEELIPEWLSFVKGVVDSDDLPLNISRETLQQNKILKVIRKNLVKKCIEMFFEIAENKDDYAKFYDAFSKNIKLGIHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESRKAVENSPFLERLKRKGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDEDDEEAKKRREERKKQFEDLCKVIKEILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSAYMASKKTMEINPDNGIMEELRKRAEADRNDKSVKDLVMLLFETALLTSGFSLDDPNTFAARIHRMLKLGLNIDEEAAPEDDADMPALDEGAAEESKMEEVD >KQK99334 pep chromosome:Setaria_italica_v2.0:VII:32403651:32406234:-1 gene:SETIT_012610mg transcript:KQK99334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RTSSNDARAGLSLGGNSAAHHSEELTDDEKRHLTEEMMIKVQEADLLIQQLNELGVGEDIDEEELQRYYEQLPCEPPRVDTSLQLDDEQIKKLQVHHVLCRIKYYKVTQQGRKDDPHDAELEDDYHLCRLKEKHKCFVEDETKLDGDHILDYLDNEGLLVYIEKHYTFDWSFKYLTVAALDNYQRLVPQNCYVHWDDYCNYFHKYEIELEYLDFWEELSKQLKFFLLFWSNNPFTLCQWMEDYIHIGWPTLKWRRICSRGESQAINTATGFSNITIRLAHAAYYFVSNNCIDYLCFKIDSMNVEFCWYKELDGVYFEIWKRVTKLRFARSFREALDEVYKLDKFPLRHHLMKYALESNCFEMEMEFHNCTEGITEEVTEEKAQELSADAITKLRTRPKFYAQYIRKKMETARAIGIIPPVSH >KQK99716 pep chromosome:Setaria_italica_v2.0:VII:34351133:34353664:1 gene:SETIT_011824mg transcript:KQK99716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAVSDPLADLWKHIMSADRSDLLCFYPSKITMNGIWTGDSPMDFSLPLLLFQIILITSTTRAVALLLSPLRLPRYIAEILAGFLLGPSVLGRLPHFSDIAFPVRSLFILESMSLLGLIYYTFTIGVEIELHTVLRAGLRSFWFAAASALPPFLVGAAAGYVAVSTDDSRRTGAQFINSLSFPVFLGATFCSTAFSVLARNIAQLKLAGTDVGQLSISASLINDTFAWAGLTVATALAHVRYGMVPCLWTLVSGFLIVGTSYLVVRPMLLRLTRRVAEGEVVTELQECSVLVGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVAIVEKVEDFVVGTLLPLFFAMSGLRTDTAKITSTPAAVLLMAAALAAAILKVVSAVSVAGVFGMPLHDGISIGLLLNTKGVIELVILNIGKNKKIMSDQSFTVLVFMSALITALVTPLLAMVVKPARRLVFYKRRTIAWPQPDAEFHVLACVHMPRDVPALLTLLDVASPSERSPVAVQALHLIEFAGRSSALLLINASAPSSSFEHSAHGRSQVELQFKHISHAFMAYEENAAGVTARTVAAVSPYVSMHDDVTSAAEDRHAALIVLPFHKHRSVDGGLEVFNPAIQPLNQSIQRFSPCTVGVLVDRGLGGVAGAGCTTRVAALFFGGRDDREVVALATRMVHNPAIDLTVLRFVQKGGSFAGSEFDALKERKADDACLREFLDRANGMSAGGGGGAGVEYRERGVFNASEMVAQVREVEALGKDLFVVGKTPGLPGLTAGMAEWSECPELGPIGDLLASRDFQTMASVLVLQSYARPGAMISAELGLGADGLPAAGRPPRPDQVRRNSIGNRN >KQK99871 pep chromosome:Setaria_italica_v2.0:VII:35139744:35140539:1 gene:SETIT_011248mg transcript:KQK99871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMRVTHRDEEGKKVTEKMPIPETRRPDTAKHFERKLEEQGFHRLERHPANAPRGVGIGTPPPKSGRGGKYTWEGPGGLVEDELDPAPPAIDPNDPNYEEEEGGPAGDEDDAAKEVVVGEVEVAKVAEERDGVARVDVAPPLLQEQKQ >KQK97585 pep chromosome:Setaria_italica_v2.0:VII:22030961:22031931:1 gene:SETIT_012855mg transcript:KQK97585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTFWLFNNSLVKDISLSFSIKDYDVYLSTYVVVRYQALISGAQA >KQK96265 pep chromosome:Setaria_italica_v2.0:VII:2706069:2709124:1 gene:SETIT_009273mg transcript:KQK96265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYSVIGIYGIAGSGKTTLAQYVCNYERNGNYFYHIMWIHVSQSFSVDNIHQKMLEAASRETFQPFNNLDTLQNKLEDELRGKRFFLVLDDIWAVNDVCVQLKLDQLLSPLRVGKKGSMVLVTTRFKKAAVHIGAQSLIKIPDLNEMDFFKLFMHYALNGATLDAKELDTFQMIGKQIMKKLKGSPLAARVVGARLCQNLESTFWRRVGDQDVLPDTMGALWWSYQHLDEQVRRCFAYCSMFPQGYMFKRDELVDLWIAEGFIKNTNSVQQMEEVALKYFDELVSCSFLETRKYVYGSKDEWFNMHDLLHELVVMVAGNDCFRVEGGEMKEFHPDIRHLYVCSKDQVKVTEQICKLGKLRTLIFITNIGGQGITIEELEGMLKNLKKLRVVQVVVEGYMAAIPTCICELKHLRFLRIHNSLSTKVHLPKHLGSLYHLQILELRGSGVLEFSNVKNMSHLLSLRSIRYSGFSFDNSDVSGFSGLGELKSLRELSDFKVRKEKGYELQQLRGINHLSGRLRICGLDCVESKEEALEAKLTDKRYLTALSLEWSGSSLGQHSLSPDLQVEILEGLCPPSQLTELRIWGYSGLKCPSWLSENQNGLVSSLQYLELCRCDNLEALPEIGELFIHLGHLKLIGLPILKKLPKLPDSLKSLDIQRCKALVLTCLEDVDTIRSLFIQRASQIEPSLNIATEIDKFADEQPDRFATILSDIFGRCGTLLPRLLRGHITEEDYTRFMVPASVDRVVISYCGITDTVLQNSLRASTSLFSLNLRGLPFFTGIPSEVMESLAMLSDLSIDECLQFKHLQGLNRLSRLQHLGITKCPNLVTLEEADKVRILHGIATDSIPLVPQLLSGEGCSTLWILRIDESEELGEETILDQFHSLTSLEFSSCNWNRLPENLANLTSLEHLHLDNCRSIRSLPTLPTSLRSFEITDCDPSFMKSCQKPGDTNWNMIAHVPLKRFVDTQN >KQK97590 pep chromosome:Setaria_italica_v2.0:VII:22060906:22063144:-1 gene:SETIT_010148mg transcript:KQK97590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSPSSVLPKVVDDATDQATPRRAKWWYVTFHNVTAMVGAGVLSLPYAMAHLGWGPGVLALLASWGITLYTLRLLIELHECVPGVRFDRLRDLGAHALGPRLGPWLVVPQQLIVQLGCDMVYMVTGGKCLQKFAESVCPTCAPLHQSYWICIFGSFQFLLSQLPNLDAITAVSFLAAAMSLSYSTISWAACVARGPVTGVSYAYRDGPAADSAFRVFGALGQVAFAYAGHGVVLEIQATIPSTPTKPSGATMWKGTVAAYMVTAACYFPVAIAGYWAFGRDVGDNVLVALQRPPWLVAAANMMVVIHVVGSYQVYAMPIFESIETILITRFRVPQGLLLRLVARSAYVAFTLFIAVTFPFFGDLLGFLGGFGFTPTSYFLPCILWLKIKKPPRFSASWFANWGCIVVGVLLMLTSTIGGLRSIIQDASTFQFYS >KQK97542 pep chromosome:Setaria_italica_v2.0:VII:21781682:21784365:-1 gene:SETIT_010773mg transcript:KQK97542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGGEERDRSRPVVLVTGCSDGGIGHAMARAFAAAGCAVVATARSRGSMRDLQGDPRFLLLELDVRSDESARAAVADALREHGRIDVLVNNAGVHLVAPLAEVPMDSFHQVFDTNVYGAMRMIQAVIPHMMETRKGTIVNVGSIAAMAPGPWAGVYSASKAALHALSDSLRVELKSFGINVMIVAPGGTKSNLGDKSAAKYDQMHEWKYYKKYEESLRARTDVSQGPGSTPASELAKKVVALALKKNPPAFFAYGQFTAVLSTLYYAPLCLRDYFYRLVMKC >KQK96616 pep chromosome:Setaria_italica_v2.0:VII:13075589:13076087:-1 gene:SETIT_013029mg transcript:KQK96616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEWLSHVINIFNHPIIPVTKHSHPMITNILVPMLTKRMTSQVNRHF >KQK98566 pep chromosome:Setaria_italica_v2.0:VII:27960221:27960517:-1 gene:SETIT_012402mg transcript:KQK98566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AYYLMQTPETKAAGDAVGFGSAFLFACVFGIRLYNSRKLVPSGLLLALSLGALGVFYSAYLQDKV >KQK96411 pep chromosome:Setaria_italica_v2.0:VII:5895009:5897574:-1 gene:SETIT_012237mg transcript:KQK96411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVPKIRIPRLRDLLSRPLGCLTGHPLQAFNELFDQFDQTLSENTCAIQASLCNIARAPYRLAEKCGPVIEELIAAQRSASDPNNIGETSRRNNSGIEEDFVDPHNDQLFEHGNGGVFRTSSSCYRDDVLRDGNGQNLYSTDPATSKTGGTTPCTKPHQEACRDDHARTTTCSGLHYNMQLSGFLPDSNHDMNRINNLIDAIYCEEQSNHMHTLPSSRTTQFEDQAKTDQNNMVSGTLHVSEQRTGKRMTRKPAKYSSPFKYGIMSRPAPNVDAAMSLFGHMCADDSTLKSTPLTCDMIAQSFADGAIPESTFITGFVKCLSYDDYWIRPECHGYRIFFDADLSAILNVEWHKRDSSEPKYSQFAAVTAIQRCLPFTNLKKTKMILLPVLHQHHWSVYCVNFGQSRIDVLDSMLYTPESDNNWDNDALSIAAPLKFKSFKNWRHVPVKVPVQKAMSDNAFFAMKFLEFYDGDGHGSLHTSIAAERSKELRAETLYYLTFHKQNKVVALPDEILQYRRDDHHPFFY >KQK98600 pep chromosome:Setaria_italica_v2.0:VII:28213319:28214429:-1 gene:SETIT_012838mg transcript:KQK98600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVEERPGPERYCRLRDRRPRPYFFIPVLIPFCAYIDASSSRFACFCCAATATWRSRWLARWASCLTALLAGILNDAGNTDLATASA >KQK99303 pep chromosome:Setaria_italica_v2.0:VII:32238336:32238884:1 gene:SETIT_011782mg transcript:KQK99303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIPTPPRQTLPSRRRRRCAEATPTLAKMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKHKKVKLAVLQFYKVDDATGKVTRLRKECPNADCGAGTFMANHFDRHYCGKCGLTYVYNQKA >KQK97743 pep chromosome:Setaria_italica_v2.0:VII:23019723:23021206:-1 gene:SETIT_010038mg transcript:KQK97743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSIAVVAVPFPAQGHLNALLHLSLQLASRGLPVHYAAPAEHARQARARVHGWGADDALRAVRFYDLPIAAYASPPPADAAGFPSHLMPLWEAFTAGAPAPLEALLGALSASHRRVVVLYDIANAFAAEAAARLPNGEGFGLVCTSLSTLLGRTDAGSRLMRERGVDCVPICTYMTEEFIEYASKRARSGQTIPSSAGVLTNTCRALEGEFIDLFAEELAVSAAGKKLFNVGPLNPVLDAGSSDQRSNKRHDCLDWLDKQPPATVLYVSFGSTTSLRGEQVTELAAALLDSKQRFVWVLRDADRGNIFTDRGENRHAKLLSEFTEQTGGRGVVITGWAPQLEILAHGATAAFLSHCGWNSTIESMSHGKPILAWPMHSDQPLNAELVCKHLKAGILVRPMAKQREVVPRATIREVIEKIMVSDEGHQIQQRAMALGEAVRSSAAVGGASRRDLEDFIAHITR >KQK99928 pep chromosome:Setaria_italica_v2.0:VII:35430932:35431680:1 gene:SETIT_011318mg transcript:KQK99928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIRMASDDDDRKKVVPDIDDEDELFELDIDLLDGHRGHYYSAAVADDGQQQHALLANCLLPARSVSNAVPVDASSALSSYPYSGYYSSRRLVLGGGVGRRFLLGRPGNSARFCFSSRGFDAYFQRY >KQK97571 pep chromosome:Setaria_italica_v2.0:VII:21932164:21933682:1 gene:SETIT_012545mg transcript:KQK97571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVKSICPPECVVPVGYDEPLLGRYEAARPEKKAAADDDGDGNASFVQTCLNGLNVLSGVGLLSVPYALSEGGWLSLALLAAVAAICWHTGLLLQRCMAADPALRTYPDIGERAFGRGGRLLVSAFMYAELYLVAIGFLILDGDNLDKLFPGASVSLGPATLAGKQLFVVLVALVVAPTTWLRSLGVLSYFSATGVFASLLIVLSVLWAAAFDGVGFSAPGTVALRPTGLPTALGLYTFCYGGHAVFPTLYTSMKEKHRFPKMLAICFVLCTVNYGSMAVLGYLMYGDGVASQVTLNLPAARLSSKIAIFTTLINPLSKYALMVTPIATAVEGRIRGAAGCGGSGPAVSVAVRTLLVLSMVAVALAVPFFAYLMALVGSLLNVGACMLLPCVCYVRVFGPPRRAAEAAAIVAILALGSLVVITGTYSSVVQITRELF >KQK99077 pep chromosome:Setaria_italica_v2.0:VII:30815357:30826474:-1 gene:SETIT_012795mg transcript:KQK99077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEAGNTNGKGLMDMVHLPVLILLILSSPCQSNDQLTQAKPLSPGDLLISKDGVFALGFFSPTNSNKSSYIGIWYHNIPNHTVVWVANRDSPITTPSAKLAITDNQELALSDDEGRTLWTTARGSSTATATTGGAGAVAVLLGSGNFILRSANGTDMWQSFDHPTDTMLPTMKVLLSYKGHVATRFIAWKGPADPSTGAFSASIDPSSNLQFFVWYGTRPYLRINFFGDTSLFGGGGTTSSSIVYQRTATGDELSYMYTVPDGSSYTRFLLEYTGKVRVRAWNNSTSSWAAGFERPSSSCDLYASCGSFGYCDNTMAVPACQCLDGFEPIDGLDMSRGCRRNEALGCGTKDRFVPLPGMKVPGKFLHIENTSSDQCAAECRKNCSCTAYAYVSMSSAGTLASTSRCLVWTGDLIDTGKVGIFGSDLYLRLAGRSHVRNKSNIEKILPPVIACVLLLSCAALVWRCKYRGKQHKKEARKRIMLEYLRSTDEDGDNNVELPYISFKDLVVATDNFSDSNMLGKGGFGKVYKGFLDGTKEVAIKRLSKGSGQGTEEFRNEVVLIAKLQHKNLVKLLGCCIHEDEKLLVYEYLPNKSLDKYLFDSARKTKLQWQTRYKIIQGIARGILYLHQDSRLTIIHRDLKASNILLDKEMIPKISDFGMARIFGGDQHPANTNRVVGTYGYMSPEYAMEGAFSVKSDTYSFGVLLLEVVSGLKISSPHLIIDFPNLIVYAWNLWKDGKTENLVDSSVKENCPLDEVSRFIHIGLLCVQDSPDCRPLMSAVVSMLENKTIQLPIPMQPMYFARRDAEPGRNGDNMAFSSNDMGFTELEGR >KQK98322 pep chromosome:Setaria_italica_v2.0:VII:26293295:26297837:1 gene:SETIT_009469mg transcript:KQK98322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKSRRGGAAAARKAPATRGRVGRAQASAEEAPPAEEAPAEEVKIAEEAPRVVEEPKRQLSPPPSQQQAVEEKGSDATANGANHAEEEGGAKETYEEEDKGERLEFEDEPEYEEEAAVDYDEKDLEQYEEQYEDGDEEVEYTEDVVEVETDMVDEELDEGGDDGEGEGYENADEEHHVDVDDEEHNEMVKEHRKRKEFEVFVGGLDKDATENDLRKVFGEVGEITEVRLMMNPVTKKNKGFAFLRYATLKDKLKSYGVENFDDLLLVEDTNNPGMNRGYALLEFSTRPEAMDAFRILQKRDVVFGVDRSAKVSFADSYPQVDDEIMAQVRTVFIDGLPPSWDEDRVKKYLKRYGAIEKVELARNMPAAKRKDFGFVTFDTHDNAVACAEGISNSEIGEGDHKAKVRARLSRPLQRPPRMKHGLRGNFRVGHAASRGGRLPYARPPPPRRPPPRLVRPAVSRLPPIRSHPLKRPVDIRDRRPVMSMPDRARRLPPPERSYDRRPPAPVYPKKSPRREYGRRDELPPPRSRAALDYSPRVPVDRRPSFRDDYSPRGSGYSDLGPRSAPRLSERRAYPDDSYGGKFDRPLPAYRESRGRDYDTISGSKRPYADMDDAPRYQDISVRQSKARLDYDVGGSSARYGDTYSERPGRSHVGYSGSRSISGHDSAYGSSRHGMGYGGSASGGDAGGMYSSSYSGSYTSRGSDVGGSSYSSLYSGRNLGSSSGGYYGGSGSSSYY >KQK96898 pep chromosome:Setaria_italica_v2.0:VII:17086782:17087161:-1 gene:SETIT_011467mg transcript:KQK96898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIDIGAMYGGGTCCNISNKETKAAYSTRTNHSKAFHYRIAFDMAKITKSDKYKLKNLISVPFRPVSLTVPIGDGYHEFSVCNITTHSK >KQK98276 pep chromosome:Setaria_italica_v2.0:VII:26005803:26009991:-1 gene:SETIT_0099462mg transcript:KQK98276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADVCHAYQILLKGGVKEENIVVFMYDDIAHNILNPRPGVIINHPKGENVYPGVPKDYTGDQVTTENFFAVLLGNRSAITGGSKKVIDSKPNDHIFIYYSDHGGPGVLGMPNLPYLYAGDFIKVLKKKHASNSYSKMVIYVEACESGSIFEGLMPQDLNIYVTTASNPVENSWGTYCPGMDPSPPPEYITCLGDLYSVSWMEDSQTHNLMKETIKDQYEVVKTRTSNLKKYKEGSHVMEYGDKTFTNEKLFLYQGFDPANANAANTLLWPGPKGAVNQRDADLLFMWKRYEQLDGGSEEKLRALREIKETVQHRKHLDSSIDFIGRLVFGFENGPKMLEAVRASGQPLVDDWDCLKRMVRIFEAQCGSLTQYGMKYMRAFANICNSGISEAKMRESSISACGGYNSARWSPMAQGHSA >KQK98529 pep chromosome:Setaria_italica_v2.0:VII:27754159:27754519:1 gene:SETIT_012888mg transcript:KQK98529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGAPQRLVIIIQASLYIFFFSLCISYAISFDINISMQKMFSR >KQK99980 pep chromosome:Setaria_italica_v2.0:VII:35641765:35644209:-1 gene:SETIT_012106mg transcript:KQK99980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVANLSSGGLEPTVKPLAAACYDNNLVNSQGMFLGDQPLRFSLPLLLVQVSVILVLSAAAHVVLRRLGQSRFVTHMLVGVFLGPTVLGRSETFRGVLFSERGTYILESVSLVALILFLFSMGVKTDLSLLRRPSGRAVAVGITGALVPLAVTLPVFHALQPSLPEDLRGSSLITELAVRLSLSSFPVIADALSDLDLLNTDLGRIALTASLITDVTSWFLRACTAAVFLVSEAKSAAFTAQILASFVAFVLFVGFVARPAGRYIAYKRTPTGSLLSEGSFVVVVIAALLSALVTDAIGFKYMIGPMMLGLALPGGMPIGATMTERLDSFFIALFLPVYMALSGYRTDLAELTKPETSEKWCALELFVALCVSGKLVGCVAAGLFFAMPFRDAAVLALMLNIRGIVEVAAINNWGDTMKATAEHYSTLTLSMVLITAVSTPLIKLLYDPSGQFVRAKRRTLEDAPPSADLRVLTCLYSEDHAAPLIDLLEASGSSRDSPVSLIVLHLTELVGRAASVLKPHRKSSSTSNPTPSDRIVNAFRYFEQQQAAPGAVTVSPYVAQAPYSSMHHDVCSLAHSRKANLILLPFHKSSDGARATANNAIRSINRAVLHYAPCSVAILVDHGLAAGSACATAANSLLQRVALYFLGGPDDREALAYAARMPDQDSGSSVSLTVVRFKLRNWVGMGGRDEVRDEQVLQEFWTRHRDNERVVYVEKTVEDAEGTASVVRSMSEKFDLLIVGRRGGAGEGDDLEGSAGAALTSGLSDWSEFPELGVLGDMLASAEFASKVSILVIQQQPPKNNAAARGGSSIND >KQK96284 pep chromosome:Setaria_italica_v2.0:VII:3044470:3051652:1 gene:SETIT_009250mg transcript:KQK96284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPTGNERPPPPGRPVSAFVPGAAAPPPPFAAGGPFVPPPRQGVPPPQPGSAAPPFVAAPPAAMGGFRGPPPSQGPFAAAPPPQRPFTSAPPPQGSFTTAPPPQGPFTTAPPPQGPFASAPPSQGPFASAPPSQGPFAAGPPPQGPFAAAPAPFRPPPSSLGQPQSPTRGALPPPPNYARPPPLQSQGFYPGAPPANPQFPRPGFQQPVQTMPPPPMGPTATFGNQAAYPSAGPPVGGTLQSLVEDFQSLALSSAPGSLDPGVDVKGLPRPLHGDEEPVKLSEAYPLNCHPRYFRLTTHAIPASQSLVSRWHLPLGAVVHPLAESPDGEEVPVINFGSAGVIRCRRCRTYINPYATFADAGRKWRCNLCTLLNDVPGEYFCALDASGRRCDNDQRPELSKGTVEFVAPTEYMVRPPMPPSYFFLIDVSVSAVRSGLLEVVAKTIKSCLDELPGFPRTQIGFLTFDSTLHFHNFKSSLSQPQMMVVADLDDVFLPLPDDLLVNLVDSRHVVESFLDSLPNMFHDNLNVESALGPALKAAFMVMSQIGGKLLVFQSTLPSLGIGRLRLRGDDVRAYGTDKEHTLRVPEDPFYKQMAAEFTKNQIAVDIFSFSEKYSDIASLGSLAKYTGGQVYHYPSFQAATHGDKLKHELSRDLTRETAWESVMRIRCGKGVRFTTYHGHFMLRSTDLLALPAVDSDKAFAMQLSLEETLMTTQTVYFQVALLYTSSSGERRIRVHTAAAPVVTDLSEMYRQADTGAIVSLLGRIAVENSLSDKLDSVRQQLQLKLVRSLKEYRNLYVVQHRIGGRLIFPESLRFLPLYILAICKSLALRGGYADVSLDERCAAGFSMMILPVKKLLNFIYPSLYRVDEVLTMEPNKIDGSLQRLPLTMQCLDTGGLYLLDDGFTFLVWLGRMLPPELVNNILGVSLANFPDLSKIQLRECDNEFSRNFMKILRTLREKDPSYHQLSRVVRQGEQPREGFLLLSNLVEDQMAGTSSYVDWILQIHRQTQS >KQK98669 pep chromosome:Setaria_italica_v2.0:VII:28558513:28559261:-1 gene:SETIT_011257mg transcript:KQK98669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIGLGFDGGASSKQRRARRRRRRHGTSGASGEWGTLALLNVAVSRTNNGRSWRLVRRRTRAPRVTPAACHDMAVASHSAGGGRCAHSRRRAVCLFGWRPLSRSTSSRAAAAEIQTARGMGWIHQSGESHAPCVCSPQCARSELAL >KQK99629 pep chromosome:Setaria_italica_v2.0:VII:33890070:33894134:-1 gene:SETIT_011697mg transcript:KQK99629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALMMLPEKNLRWAPLRPPSSRPLTVTPHHHNHSQKPAPITAEQTSSLAVTNHFYQTFAIPTNQNSPASTHSVNEALELHYCSDSDEESPCPRHINELALKTAKAQEAVKRKEESRDKNGGQAADNKQRKIPSIVVKPTTQRVSYKDALLKPRIFKPRFPKDKSHRGEQAGRCCFNCLVPNHRTAECRDHPRCILCSRSVHKARHYRSTTPTAASRTKPPVAAASNNPPPSPVLSPQQREAPLEERSALKGVPMKYGFPELIPGEASNRLDHITVCAPRSSTVSNEECTQLLTSLISVLVDARARISTDIVPRDALQQLCIPEYEMGVKRLAPAMFMLCFSTPEQCNEVLQLGGLTIGSTALRLMPWTRQDSASASKILYRARVCIEGVPEHAQQIESVAQLFSVPTFIDEIDNDKQTKQEKDCLYLWVWTANPNGLAKMATMQIEEPFAAPEKFYTHLRRRDKPPLGGGGGAGGRGRFQAPPLNRFDLEREHRIEGSLLVVAAEVAGEITTADDVLLEKEGKLHWKESMPICTLPQLIRKDSVGDTSKGQLIPMMEMGIRSGCVGPTLDGLEITHVDVVAAGPAKHATEEVLVNGPVEDATEEYENNMNSVEFVGSATMQGVAVEMGFSMPITKRIPMVILSTPLTVNAVKIGKGKENAGCNTKGTIEAQRKSNRIASRPKIDLIMEEQDTLLLMKKCGTLEQGKKPDAADHNKFREIFVEPLHKKMVHGFRDAFGLTDNGCLPLQQLVYTKQCIMLNWNVRGLNAKIRRKVVKDLVTDMNNTVVCLQETKIEKFSYHLVAESVGAQFTQSYIYLPTQDTRGGVLLAVHWDFYRIKKSFCKTNSVTACLEATMTPVQWWITVVYGPQEDNAKLEFLNEIREIKLLTSDKWVMLGDFNMILNAQDKSNDNLNRRITGTFRSVVDDLELKEFNLRGRKYTWTNNVTHTHIDRVFCTTDWETMLPNWMLQVISALVSDHCPLLLTCDNTLTTYKDFRFEAFWTQIRGFKEEVSNTCNKQVTIHNTFLKLHTKM >KQK96407 pep chromosome:Setaria_italica_v2.0:VII:5857196:5858159:-1 gene:SETIT_012104mg transcript:KQK96407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRANWDDNTTKIFLDLCIDEKNKLNYNKRGLTKENEANKHFRGKAFPFYDELTTLFGTTDTEGGPMLCVGGIGDRTPSCGSEDTPDPMADENVDWLEDTVGRSSVGRVSQRSGKEHVVNSPPPKRTKSMEYYGERISESMIQRTMNERNLISREEEEVTEMLHLVEQDGVPNGSELYFIATELFRSPARRASYRSITASENRIAWLRWTWDNVKRK >KQK99540 pep chromosome:Setaria_italica_v2.0:VII:33411756:33413570:-1 gene:SETIT_010130mg transcript:KQK99540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAGEGEREGPLGYVLSLPAASLPLPVAVSCLDATVPRKARSRLRLRVQPCAWWAFKLPVPAPEEAKSPAPPASMATNPTEEARSPRPQRLRVRHGPPPSPDDPHTPSPAMERPAKRARRCLQCGAVETPQWRSGPMGSGTLCNACGVRLKAAGALREQVHRPPPASARTVAEPPPESPVSDSSPDGPIWEPGSVPDVYLLRKKPPKQGKPPPPRMEPASPPAPAPAVYLLKKKKKKPPKASKKKPWRPRKSSKRCLHCGSSSTPQWREGPMGRSTLCNACGVRYRQGRLLPEYRPLASPSFEPSEHANRHSQVLQLHRQRKGQKNQPPLPTEQPRLMDDLTGALACSGDGDDPMNVLLPRRWHDKDEYPRTPLHQPLPQPADSLPGDPRVGGIDATAQGRGGRGNDPNGAPSSLDSLLLEGPSAPLIDDGDESLIE >KQK99856 pep chromosome:Setaria_italica_v2.0:VII:35078733:35079225:1 gene:SETIT_011596mg transcript:KQK99856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMSKHQKQLEEGQGHRKSTELLVNKTRTMHKKNVQELLVRGTISEWLILDLEKNS >KQK98454 pep chromosome:Setaria_italica_v2.0:VII:27084419:27084860:-1 gene:SETIT_011548mg transcript:KQK98454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTLSTRSLLHLMDQVLTPSASYFRKKSKLIVSTDEDKPYITGNTWPITKSFLTFSIAQPHRSDEPNTSI >KQK99873 pep chromosome:Setaria_italica_v2.0:VII:35147547:35148559:1 gene:SETIT_011408mg transcript:KQK99873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRCSRIFSPLAALALLLLLVCFFHCAAAARLLPAVPPLDNQENNGVKAGAADGLVLHGNELSVSEMMGVEEEEPACDEGNDECMQRRLLRDAHLDYIYTQHKGKP >KQK97076 pep chromosome:Setaria_italica_v2.0:VII:18794256:18797493:-1 gene:SETIT_009794mg transcript:KQK97076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGRALLLLLVSALLVQIRASDPLLYESFDEDFEGRWVVSRKDEYQGVWKHAKSDGHEDYGLLVSEKARKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYIKYIRPQDAGWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPAIIPPKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEATKPEGWLDDEPEEIDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEVAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEAAAGADGLSEFQKKVFDILYKIADVPFLAPYKTKIIDVIEKGEKQPNITIGILVSVVVVFVTVLFRILFGGKKPVAPVKPAAEAKKPKVTETDGAGSSGDKEEKEDEKEETAAPRRRSRRET >KQK97077 pep chromosome:Setaria_italica_v2.0:VII:18795188:18797431:-1 gene:SETIT_009794mg transcript:KQK97077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGGRALLLLLVSALLVQIRASDPLLYESFDEDFEGRWVVSRKDEYQGVWKHAKSDGHEDYGLLVSEKARKYAIIKELDEPVTLKDGTVVLQFEVRLQNGLECGGAYIKYIRPQDAGWDAKEFDNETPYTIMFGPDKCGSTNKVHFILKHKNPKTGKYVEHHLKFPPSVPYDKLSHVYTAILKPDNEVRILIDGEEKKKANFLSADDFEPAIIPPKTIPDPDDKKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEEATKPEGWLDDEPEEIDDPEAAKPEDWDDEEDGEWEAPKIDNPKCEVAPGCGEWKRPMKQNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPEYFELDKPDFDPIAAIGIEIWTMQDGILFDNILIADDEKVATSILEKTWKPKYEVEKEKEKAEEAAAGADGLSEFQVIHFLIFLLQPLLLISLLDHTSIL >KQK97500 pep chromosome:Setaria_italica_v2.0:VII:21511316:21512491:1 gene:SETIT_012790mg transcript:KQK97500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRELLLVLCLLGAGMAVCDAQAPSPNSTSFSSPPPAPQPPPQQQTAFGRTMSTFITVAISVFFFLLFICAYVNQCRLADPGAHGEAAAAAAGTGGPSRRGKRGLDPAVVATFPIVSYREVVAHKIGKGVLECAVCLTAFEDDDDLRLLPHCSHAFHPECIDPWLQSRVTCPLCRANLEKPAPAVAVAMAVAVAPPSPQEQRQPSPPQEAVSIPVVDEDSEEEDSDEDDRKEEAIELEMLRSARRAVRMPRSHSTGHSLSAAAAAAAEEGDHERFTLRLPEHVLRSLRLRHATSLINLSDMSSEGSSRGGRRLGGGGGSFGNGGGGGGSSHGGRRWHSFLVRTVSWARGGGDGSVRKGWDGSTRGARDGGESSRKGSATPPPAGRP >KQK99167 pep chromosome:Setaria_italica_v2.0:VII:31257228:31258009:-1 gene:SETIT_011424mg transcript:KQK99167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSRRSRTRRAGSSLSSSSSSRSISEDQISELLSKLQTLLPESQTRNGAHRGSAARVLQDTCSYIRSLHQEVDNLSETLAELLSSADVTSDQAAIIRSLLM >KQK98485 pep chromosome:Setaria_italica_v2.0:VII:27330562:27339119:-1 gene:SETIT_009227mg transcript:KQK98485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKKRTGPGGSGETSGESSGASGQGSSQRPERTQQHGGGRGWPQQGGRGGGQYQGRGGHYQGRGGPGPHHPGGGPPEYHPRDYQGRGGEYQGRGGDYQGRGGEYQGRGGPRPRGGMPQPYYGGQRGGSVGRNVPPGPSRTVPELHQAPYVQYQAPMVSPSPSGPGSSSQPVTEVSSGHVQQQFQQLSIRGQTSTSQEIQVAPASSKSVRFPLRPGKGTYGDRCIVKANHFFAELPDKDLHQYDVSITPEVTSRGVNRAVMGELVTLYRQSQLGGRLPAYDGRKSLYTAGPLPFTSRTFEITLQDEEDSLSGGQGGQRRERVFRVVIKFAARADLHHLAMFLAGRQADAPQEALQVLDIVLRELPTARYSPVGRSFYSPDLGRRQQLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVAQLLNRDISVRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELSFPVDDRGTVKTVVQYFMETYGFSIQHTTLPCLQVGNQQRPNYLPMEVCKIVGGQRYSKRLNEKQITALLKVTCQRPQERELDILQTVHHNAYYEDPYAQEFGIRIDERLAAVEARVLPPPRLKYHDSGREKDVLPRVGQWNMMNKKMVNGGRVSNWACINFSRNVQDSAARGFCHELAIMCQISGMDFALEPVLPPLTARPEHVERALKARYQDAMNILRPQGRELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALTRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVSAQAHRQELIQDLFKVWQDPQRGTVTGGMIKELLISFKRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHNDQRTVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADELQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPDTSDSGSMASGARGPPPGGARSSRAAGSVAVRPLPALKENVKRVMFYC >KQK97608 pep chromosome:Setaria_italica_v2.0:VII:22133721:22138711:-1 gene:SETIT_009902mg transcript:KQK97608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPLVPRRGLFVGGGWREPSLGRRLPVVNPATEATIGDIPAATAEDVEIAVAAARDAFSRDGGRHWSRSPGAVRAKFLRAIAAKIKDRKSDLALLETLDSGKPLDETVADMDDVAACFEYYADLAEALDGKQHSPIPLPMENFKSYILKEPIGVVGLITPWNYPLLMATWKVAPSLAAGCTAVLKPSELASLTCLELGAICIEVGLPPGVLNIITGLGSEAGAPLSSHPHVDKVAFTGSTETGKKIMTAAAQMVKPVSLELGGKSPLIVFDDVDIDKAVEWAMFGIFANAGQVCSATSRLLLHEKIAKQFLDRLVAWAKNIKISDPLEVGCRLGSVVSEGQYEKIKKFISTARSEGATILYGGARPQHLRKGFFIEPTIITDVSTSMQIWREEVFGPVICIKEFRTESEAVELANDTHYGLAGAVISNDEERCERISKALHSGIVWINCSQPTLVQAPWGGNKRSGFGRELGEWGLENYLTVKQVTKYCSDEPWGWYQPPSKL >KQK96746 pep chromosome:Setaria_italica_v2.0:VII:14862410:14863962:-1 gene:SETIT_010498mg transcript:KQK96746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPVSVPRMKLGSQGLEVSALGLGCMGMSAVYGERKPEADMVSLLRHAVAAGVTFLDTSDVYGPHTNELLLGEALRGGAREEVQLSTKFGITPDLREVRGDPAYVRAACEGSLRRLSVECIDLYYQHRIDTTVPVEATIGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEQDIIPTCRELGIGIVAYSPLGRGFFSSGAKLVNELPDGDFRKNLPRFQPENLEKNALIFERVSAMAARKGCTTSQLALAWVHHQGSDVCPIPGTTKIANFNQNLGALSVKLTPEEMTELESYAATDDVQGDRYHRTFLNTWRDSETPPLSSWKGN >KQK97488 pep chromosome:Setaria_italica_v2.0:VII:21438736:21439306:1 gene:SETIT_011622mg transcript:KQK97488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRYSIKRWILPVKWNQANSRKHHTSLPTTSILATVVKECSLNDSQFINWNV >KQK99507 pep chromosome:Setaria_italica_v2.0:VII:33200248:33202916:-1 gene:SETIT_012647mg transcript:KQK99507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GQNRPAQKTPHQRRGPLVFFPRPPSHALLRSDRRGQIPSGGAKSRALVPATPTAARELPRVPFIFAASAAAAEGRKMVAFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KQK96268 pep chromosome:Setaria_italica_v2.0:VII:2751280:2751991:-1 gene:SETIT_011457mg transcript:KQK96268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWTKLINNRADLQQKTQELDQNFQRIDSSIHERGTRVSKQLSPSNSRANRGFSCWCLVAIFTAAPLVSSIFTCCLLLLLASAGTCTTATAE >KQK96311 pep chromosome:Setaria_italica_v2.0:VII:3367448:3368619:-1 gene:SETIT_012568mg transcript:KQK96311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDHRAWMYGIRRHSHTFILEVSKFVEAGKKHAYICKTNEVLLMDTQFGPTIVVEECDVDMEHMLRHNEPEVLLGSAKGLENFETLKKAAKDHMYVGCGKDWAVLHFLLHLLILKAKFGWSDNSFNDLLTLLDNLLPKPNFVPKNTYEAKKIINPFKMHVQRIHASWNHCILYHGEYATLEKCPNCDASCYKNNTDFCEDCAGSSIGNKRKKVAKKSVGAQVEIAKKSASAQVLKCRVSTNNMNPFGERSSMQVC >KQK99048 pep chromosome:Setaria_italica_v2.0:VII:30652171:30657463:-1 gene:SETIT_009343mg transcript:KQK99048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSTIPTDDVFVCGGSRSHRIFPPPMPPRTSVNDAKDDDDIEKRLFGLDERAVYEEALKHIIQEKREEDLPEGVMSVSLLKHQKIALAWMLSKENSSHCSGGILADDQGLGKTISTIALIQKEKVKQSRFMTAGSYCTNAVPNIDDDDDDVVIAMDKKELKVEPLKKLDDSARLNVSSSLKLCDDDVVIVMDKKELKVEPPLERLDDSARLNVSSSLKLCDSQSGAATDIVESRKKTRMRSSASTLRSKTRPTAGTLVVCPASVLRQWANELSVKVTEGAKLSVLVYHGGSRTRDPNELAKYDVVVTTYMTVANEVPKENSDDEKYDIEMSGICPEFCAGSKRKRLPKKQSKAKKKNKPSNSDGGPLARVKWFRVVLDEAQTIKNYRTQVSRACCGLMAERRWCLSGTPIQNKIDDLYSYFCFLKYEPYSKLSSFKDKIKYEITKDPVRGYKKLQAILRIILLRRTKETLIDGEPILKLPPKTIQLSKIDFTQEERAFYLTLEEGSRQKFKAYDAAGTINENYANILVLLLRLRQACDHPLLLKGQESDLVDTKSIEMAKQLPKETVTDLLEKLERGPAICSICSDPPEDAVVTTCGHVFCYQCVHESLTSNGHVCPSPLCGKKLSVRSVFTPAVLKLCTLPKLELDVISSCSTVDDKSYSICESSYISSKIRQAVDILKSIITVGDATEAIPSEMAPVKAIVFSQWTGMLDLMELSLNSSGIQFRRLDGAMSLNLREKGVNEFKNDPKVRVMLMSLKAGNLGLNMIAACHVIMLDPWWNPYAEDQAVDRAHRIGQTRPVTVSRFTVKDTVEDRILALQEKKRTMVQSAFGEDGSSGNATRLTDEDLRYLFMV >KQK97969 pep chromosome:Setaria_italica_v2.0:VII:24232480:24235735:-1 gene:SETIT_009897mg transcript:KQK97969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLVVAATVAGWAIPIAALVNSVVPEPYMDEIFHVPQAQQYCRGDFLTWDPMITTPPGLYYVSLAYVASFFPVAWMFRLAETFDVICSTAALRSTNVVMAMVCAVLFHDLLLCIRPGIGERKATIYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWISATFGAFSILFRQTNVIWIIFFAANGAISYIQDLYPKDNVSQENTEATHQSNKVVSGRGNKTAAQGLRRRRINSPISKKLVISESSHLYSSLAEELRDICLKLWNSKCEVLIAFAPFAVVMMAFVAFIIWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFSPRRVLDLFHLSGKNKTCSFLAVLMGLGLSFVAVHFFSIAHPYLLADNRHYTFYIWRKVIQVNWLMKYMLIPLYVYSWFSIINILGKSQTRVWVLSFVLSVMLVLVPAPLVEFRYYTIPFVIMVLHFPVIGNRKLLALGLLYAVADVFTLVMFLFRPFNWEHEPGTQRFMW >KQK97968 pep chromosome:Setaria_italica_v2.0:VII:24232480:24235969:-1 gene:SETIT_009897mg transcript:KQK97968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRLAETFDVICSTAALRSTNVVMAMVCAVLFHDLLLCIRPGIGERKATIYAILVALYPVHWFFTFLYYTDVASLAAVLAMYLFCLKKQFWISATFGAFSILFRQTNVIWIIFFAANGAISYIQDLYPKDNVSQENTEATHQSNKVVSGRGNKTAAQGLRRRRINSPISKKLVISESSHLYSSLAEELRDICLKLWNSKCEVLIAFAPFAVVMMAFVAFIIWNGGIVLGAKEAHVVSPHFAQFLYFGLVSAAALLPWHFSPRRVLDLFHLSGKNKTCSFLAVLMGLGLSFVAVHFFSIAHPYLLADNRHYTFYIWRKVIQVNWLMKYMLIPLYVYSWFSIINILGKSQTRVWVLSFVLSVMLVLVPAPLVEFRYYTIPFVIMVLHFPVIGNRKLLALGLLYAVADVFTLVMFLFRPFNWEHEPGTQRFMW >KQK96561 pep chromosome:Setaria_italica_v2.0:VII:11963550:11964659:-1 gene:SETIT_011693mg transcript:KQK96561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPKALLLAALCCACFFAAVLAARELSDDSAMVARHEQWMAQHGRLYKDDAEKARRFEVFKTNVKFIETFNAAGNRKFWLGVNQFADLTNDEFRATKTNKGFNPNAVKVPTGFRYANVSTDALPATVDWRTKGAVTPIKDQGQCGCCWAFSAVAAMEGIVKISTGKLISLSEQELVDCDVHGEDQGCNGGEMDDAFTFIIKNGGLTTESSYPYAAQDGQCKAGSNSAATIKGYEDVPANDEAALMKAVANQPVSVAVDGGDMTFQFYSGGVMTGSCGTDLDHGIAAIGYGTASDGTKYWLLKNSWGTTWGENGFLRMEKDISDKRGMCGLAMQPSYPTE >KQK96567 pep chromosome:Setaria_italica_v2.0:VII:12032864:12034693:-1 gene:SETIT_011716mg transcript:KQK96567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHDQAPPITLDRARSIARIHGQDPQELEPQFGFVLAHIHNALPAPPAAAAAAARLSAQLDPGDGIDRVSGLPDALLRDIVSRLPVKDAARTAALSRRWRPLWRAAPLVLLDNHLLPDGAAPDDEIPNIYLEHADSRAVATALSCVLDAHPGPFRWVRLASCYMDEERGQVARWLQHLAVKGVQELFLINRPWPLAVDLPVPTAFFSMAALTRLYLGFWKFPDTAGLPRGAAFPHLRELGLCDVVIDNHDMDFVLARSPVLEILCFESHMLPPLRLRLVSRSLRCVQMHASNVESIVVVDAPRLERLFVRFVPIEVPWCRIKIVCAPALRLLGRLDPAMHELQVGNTTIKILDVNVRFEVRSDVKMLPSFLRCFPNIEKLHIHSQKTRESTGRLSIKFWQESGPIECIHTHINMIVFHDFRGERSELAFLKFFIESAQMLNRLLIVFGKGCFSSMATSKLKALFAGKRANKHCSLLVCETAFSESSCLWDFQRGSDFSCTDPFAPVEHLSPE >KQK97910 pep chromosome:Setaria_italica_v2.0:VII:23912301:23912664:1 gene:SETIT_011416mg transcript:KQK97910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEWIANLRGEAVEFIGEWAANWGEIFESELGISAGLACEGRRRETRGRRRRGSAPCHGRGGLKISGGKFSATRRGGAAREGELERAGAGEKLEETRDGSWVVAA >KQK98745 pep chromosome:Setaria_italica_v2.0:VII:28951882:28953952:-1 gene:SETIT_010540mg transcript:KQK98745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRMAGSLLLRHAGARLFTAGAVSPAAAAARPALLAGCDGVPPAVMVRLMSTSSSSPAAAAAATQKAKEEAVKAAKDGGDKKAVVINSYWGIEQTNKLVREDGTEWKWTCFRPWETYTADTSIDLTRHHEPKTMLDKIAYWTVKSLRFPTDVFFQRRYGCRAMMLETVAAVPGMVGGMLLHLRSLRRFEQSGGWIRALLEEAENERMHLMTFMEVAKPRWYERALVITVQGVFFNAYFLGYLLSPKFAHRVVGYLEEEAIHSYTEYLKDLEAGKIDNVPAPAIAIDYWRLPANATLKDVVTVVRADEAHHRDVNHFASDIHCQGMQLKESPAPIGYH >KQK99282 pep chromosome:Setaria_italica_v2.0:VII:32119603:32119851:1 gene:SETIT_012497mg transcript:KQK99282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRPSPPTNGKARQAISRRRDTEVVSRKMEALRRLVPSGGGDEANELSSILLRAAGYIARLQAQVTVMQLMVDVLEHTED >KQK98564 pep chromosome:Setaria_italica_v2.0:VII:27953402:27954801:1 gene:SETIT_010423mg transcript:KQK98564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGAAGARKRLGLGVVGVGGGGFALGCGCRDAKAVAVAASARAAALHHHPSSASASTGTLTVPSASSSSFVWEDAEGDAGEEEVDCKQRESSAAAASFSGLLRQLNELEQSVVTWGRKSTGKGCSSPPAPPPPPPPLPARPVKQRVVHSGGGDSKEGHGSFSPPPPPPPPLPARPLETTQQHRKAKNMDKADRQAGEIASKQPTPPPPLPLPPEQLKAHSTDKGGKKEDASIFPTPQAAPPKHRRAKSCDGTGAGRLDGSVAVVKQSDDPLSDFRRSMVNMVVENRIATGDELRELLRHFLALNAPHHHDAILRAFTEIWDEAFSATASKTPHREPATRRPTPPRPRPTPPRRRHAPPPRMWR >KQK96324 pep chromosome:Setaria_italica_v2.0:VII:3658496:3659853:-1 gene:SETIT_012615mg transcript:KQK96324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSRRRRDQRQRQRHRAAEAARRNEIVKTVVQRLPAELHAEIHRRLDFLRRLAFASICGASGHMLRQEAPWVVFPGLTEEKAIVVSMAEGPTASMRTSDLALLHRVVIGSTDGWLVTADKRACLRMANPATGAQAALPAIDTIPFLHATGGGSWFTLDLEPFLQVRFGGPPPPEDKDWGPYTPRSSTLTAAQMRQSFYRKVVLSASPQPGSYAAMLITDRHVGAPAFATAEDKVWRMARSPAGVEDAIHHDGRFYSVTYAGDVEAWERDAGTGEFTSKVVAPRLAGADDKLLRRKYLAVSPEGKLMAVCKHVKQSSTSWGSTATRRPSCASSSRCMSWTSARAVGDGERRRRRFSSSAWTAREHPRVAAGCIHFTNDEVGDACLRHALGSNNYQRSHGEPDDAELRHTAVYILKTGRTKRLLQHIPGEGDNPRWPPPVWFTPSFL >KQK97945 pep chromosome:Setaria_italica_v2.0:VII:24116159:24119478:1 gene:SETIT_012382mg transcript:KQK97945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDHLKDRLLLPSSRAAAAASANGPHHRRAAPAPGAGGGAGGVSIDVNGLKRRGGGRRSWVRVDAATGAAEAVEVAKPALMRRLDLPARDLRLLDPLFVYPSAILGRERAVVCNLERVRCIITADEALVLREQDPAGGAAAEEAVRRYVDELQRRLVDRADDLPFEFIALEVALEAACSFLDSQAIELEAEAYPLLDELTAKISTLNLERVRRLKSKLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKMRMEASLLDDEDLQGIGNSHNGFSASLSAPVSPVSTPPASRRLEKEFSFARSRHSSFKSSDSSQYNIEELEMLLEAYFVVIDYTLSKLTSLKEYIDDTEDFISIQLDNVRNQLIQFELLLTTATFVVAIFGVVSGVFGMNFEVALFKVPHAFEWTLVITGVCGAVVFGSFLWYFRKRRILPL >KQK97140 pep chromosome:Setaria_italica_v2.0:VII:19267764:19269773:1 gene:SETIT_009790mg transcript:KQK97140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMQQPTMEEAAASSLSLLQLAFTAAVATAALAAAVAVARYNRRYRGLRLPPGPPGWPVVGNLFQVAFSGKLFIHYIRDLRREYGPILTLRMGQRTLVIISGAEVAHEALVEKGAEFASRPRENTTRNIFSSNKFTVNSAVYGPEWRSLRRNMVSGMLSASRLREFGRARRRAMDRFVARMRAEAAASPDGASVWVLRNARFAVFCILLDMTFGLLDLDEEHIVRIDAVMKRVLLAVGVRMDDYLPFLRPFFWRHQRRALAVRAEQVVTLLPLINRRRAILREMQGSSPPPDPSVAAPFSYLDSLLDLRVEGRDGAPSDDELVTLCAELINGGTDTTATAIEWGMARIVDNPSIQARLHEEIARQVGDARPVDEKDTDAMPYLQAFVKELLRKHPPTYFSLTHAAVRPGSKLAGYDVPADANLDIFLPTISEDPKLWERPAEFDPERFLSGGEAADMTGSAGIRMIPFGAGRRICPGLAMGTTHIALMVARMVQAFEWRAHPSQPPPDFKDKVEFTVVMDRPLLAAVKPRSLAF >KQK96377 pep chromosome:Setaria_italica_v2.0:VII:4738789:4739766:-1 gene:SETIT_011166mg transcript:KQK96377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADVQLTCTSLHLFLLRPAEPIPIKPSAAVTVCVWVRSHIPVSAPTEPVASCHPCLSRSRPTAPPAAFALSAVPPAMEHIARCRSGEDGGCRWSPLTGQQTVDAVGHRLPVSTIFCWGKGLLVEQTTEAKWQHCELERAEAKWRLHEAKPVGEKWQFPELERAGAKSRLHKATS >KQK98821 pep chromosome:Setaria_italica_v2.0:VII:29387566:29388679:-1 gene:SETIT_012047mg transcript:KQK98821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPSSSILHTLQRVKLYLATNLSSSNLEAARIIEDKFQKLLGFLSMKLATLSRCLTQFITHSFWRLVFQSNPFVVQLIYFMAISFAVIFDLMFTSVSTATVSSMSTIQMEDLSDQQLWVLILLMLLGGEVFTSMLGLHFNNAKANKEELSRRSLSSNSREIEVSIPANSIKQIDMESGQPEPVISHNQVQQTKSTRHSSRAILAHIVTGYFLASVMSSSVVIISYFWLNSDARQVLQSKEIKVCTFAIFIAVSSFANCGFTPLNSNMQAFTKNYILLLLVIPQILAGNTLFSPLLRLSVWALGKISGKQECAHILRYPEETGYKHLPTQRNSVYIFLTVIGLILLQVICVCSLE >KQK99867 pep chromosome:Setaria_italica_v2.0:VII:35116097:35116947:-1 gene:SETIT_012239mg transcript:KQK99867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSRPLHLLRPLLRGFHASAQALSRAELHEFSKPSGHLGSWEPAGEPRQAWAQLDRLRKGYARDVRQLRQQYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEEDFRQALMKERAEKLESWRKKEKLQEQKKAEQKELLRKKSSVWLAEDKLEDQILNAIKNTTPL >KQK97797 pep chromosome:Setaria_italica_v2.0:VII:23307688:23314009:1 gene:SETIT_009294mg transcript:KQK97797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPPHAGDSDSEGSLLSGVSASPPRGRSPPPPPPPKPRPSTKHTATVKSKPKPKAKPKPVASACAAAASPPPLPSAALSDPHGLASRIAAAPALVAATSTVSSSSFRRLVQSRNPSFDPVAAFSSPAPGSSPAPAPTPTEVPTAAVTQGAEPDAPPRARPKRVHPNSVSEVPPAAAEAEQPKRPRGGGEGNFVRLNINGYGRRRTFRNAQTRRPTKYRSWRRQRAGGVKPQGCGDEEGDFVAEALMEREKKGAVGDVGVLKAVEAAREDPSEQNLESLLRLVYGYDSFRKGQLEAIQKIVAGESTMLVLPTGAGKSLCYQIPAMLLSGLTLVVSPLLSLMVDQLRKLPAFLPGGLLASSQTSDEFHETLQRLRGGDIKVLFVSPERFLNEEFLLIFKDALPISLVAIDEAHCISEWSHNFRPSYLRLRASLLRRKLNVQCILAMTATATTQTLEEIVNALEIPSDNLIKTSQIRDNLQLSISTSDNRLKDLMLLLKSSPFVDMRSIIVYCKFQGETDYVSKYLCDNNISAKSYHSGLPMKNRSRVQELFCSNKIRVVVATVAFGMGLDKSDVEGVIHYSLPESLEEYVQETGRAGRDGRLSHCHLLLDSTTFYKIRSLSHSDGVDEYAISKFLYQVFSCDNSTGSICSLVKELTSRKFDIKEEVLLTVLTQLEIGGQQYLRLLPQFSVTCTLYFHKTSAQLLADKDVLVRSVLNRSDTKYGNFVFDMTKIANDLNITVNEVIDHLQQLKFSGEISFELKDPAYCYVILKKPEDLNALSANITKWLSEVESSKIMKLDAMFALANFAVKGCQRTDGCSGSQHTQCIQKKITEYFSKNEATSDNDYPAQPHKSSPFLQADIKVFLQSNSFAKFTPRAVARIMHGISSPGFPSATWSKNHFWGRYVEVDFPVVMEAAKAELVKLVGKGE >KQK97508 pep chromosome:Setaria_italica_v2.0:VII:21564802:21566735:-1 gene:SETIT_009958mg transcript:KQK97508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRVAQLEEELRREREEKARALTELEQLRSDGEGAAKGVAEKVQLLEREVDKSKESERKMLESLIYQTKQLEQTKISLEEAKLEIATLRQANKGLEASAAAAASRRCGVAEQRSVRDLMFGGADEEIRVLRGELRTAMQGEERSRKAADDLSVALSDVTMEAKQVKVWLSEAQAELEAANAEAGKLRAALDAAEARLRAVSNEHERCRLEAEECAASWSDKERVLLDCVRASEEEVNRARQENTKLVESQRVIRDENARLRDILKQAVAEASVVKDSLELARAENARLNDAVADKDTALQSLRQEYECVKVSEAAAQGSLKELNNLLAATTTTTTTTACSTPASAKTAPAPDYGFDHRLPNGGSKKGTPQSASQRWMADKPRTPSSRRYSIGEPGKLNGGFSQSARMGNLNPKERVFASLSNIADLKSAADAAMDDFDDEFDHIDESHYADMEDSMKHKKKRPIFRKFGDLFRRKSFYKPNLAPVHTL >KQK98369 pep chromosome:Setaria_italica_v2.0:VII:26588654:26589630:-1 gene:SETIT_011275mg transcript:KQK98369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSAATATVCSMCGDVGFPEKLFRCARCRHRFQHSYCTNYYGDGAPASAGSDTCDWCLSDVAGNKARWSSSTAGKQQQHGAGSQESSSTTSSGGGGSGRGGKQASGSDHQQAEASGRRATTTRAAGRRYKLLKDVLC >KQK98568 pep chromosome:Setaria_italica_v2.0:VII:27974970:27976371:1 gene:SETIT_010918mg transcript:KQK98568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHHSGPATSALTTGHRGSSAAATAVLLRPTTESRSRLRLHARKPAAESRAAGADTDTSSRSENAVLKAAWYGSELLGIAASLLRPAPSSTEGDAGGDAKGGAAVSLDHAGVVEAIKDDFERSYFVTGNLTLRAYEEDCEFADPAGSFRGLRRFKRNCTNFGSLLEKSNMELTKWEDLEDKSIGHWRFSCVMSFPWRPILSATGYTEYYFDAESGKVCRHVENWNVPKMALLRQIFRPSRWIWEKRAG >KQK97240 pep chromosome:Setaria_italica_v2.0:VII:19908913:19909533:-1 gene:SETIT_011401mg transcript:KQK97240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRNLHRVRKSGMLNYPGTKHTMRGVMDAKQLLEMRFTYRDHGEPKHSPGWFGNSINLSSGLISLPEPITSWKMSKWRSCSRSGDWIFEQQSSHRDHVETWRWYTGML >KQK97239 pep chromosome:Setaria_italica_v2.0:VII:19908749:19909533:-1 gene:SETIT_011401mg transcript:KQK97239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVRNLHRVRKSGMLNYPGTKHTMRGVMDAKQLLEMRFTYRDHGEPKHSPGWFGNSINLSSGLISLPEPITSWKMSKWRSCSRSGDWIFEQQSSHRDHVETWRWYTGML >KQK99684 pep chromosome:Setaria_italica_v2.0:VII:34208240:34211412:1 gene:SETIT_012040mg transcript:KQK99684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGGEVSKAKEHFVLVHGACHGAWCWFKLASLLQGSGHRVSCIDLAGAAGSLVNPNDVRSFDEYDAPLLQFMAALPDAHKVILVGHSAGGLSVTHAMHLFTDKIKQGIFIAADMLPFGFQTEQDTKDGVPDFSEFGDVYDLNFGLGEDHPPTSMALRKEYQRTILYHQSSREDSTLASILLRPFPAVLSTAKFGRCVDDGVESPVNAVHRVYIKTANDRTLKPEQQESMIRRWPPRKVMVMDTDHSPFFSAPERLLELILESV >KQK98241 pep chromosome:Setaria_italica_v2.0:VII:25826474:25829553:1 gene:SETIT_012304mg transcript:KQK98241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKACQKPKVQYRKGLWSPEEDEKLRDFILRYGHGCWSALPAKAGLQRNGKSCRLRWINYLRPGLKHGMFSREEEETVMSLHAKLGNKWSQIARHLPGRTDNEVKNYWNSYLKKRVEGGAQAKCAADPATPAGSDVRAGSPNPSDNGRERANHPASSDSSEPVESSSADDSSCLTVTEPARAGAVRPHAPVLPKVMFADWLDMDYGTSLAALGPDAGVFDVSGRSPGQGLSHQGSVQVDGPCGAVDSLHGLGDGGICGWGFDAAVDQMDVQGGGFCDLLSMTEFLGIN >KQK99473 pep chromosome:Setaria_italica_v2.0:VII:33037596:33044318:1 gene:SETIT_009435mg transcript:KQK99473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPLPPDSKAGAEEEEEEEARELLYEAYNELQALAAELGGAAGAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCDAPRCRLLAGPGDEVEDAGVAGRPMPLADIQAYIEAENMRLENDPCQFSEKEIIIKVEYKHCPNLTVIDTPGLILPAPGRKNRVLQSQASAVESLVRAKIQHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKIPQFARPSDVEVFLHPPTCVLDVSLLGDSPFFTSVPSGRVGSCHEAVFRSNEEFKKAISSRESEDIVSIEDKLGRSLTTEEKERIGVSNLRLFLEELLRKRYIESVPLIIPLLEKEHRNATRKLREISQEISDLDEAKLKEKAQLFHDSFLTKLSLLLKGMVVAPPDKFGETLINERINGGTFTGSENFQLPNKMMPNAGMRLYGGAQYHRAMAEFRLVVGSIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDAFEPFLHQLGFRLLYILKRLIPISVYLLEKDGERFSSHEVLVRRVQAEFKRFAESTEQSCRERCMEDLESTTRYVTWSLHNKNRAGLRHFLDSFAAPEQLSVNTLHEQLPGLNENKQDRPKGDPKSNHSSDTNSSGAVPETRLVDLLDSTLWNRRLVPSSERLVYALVHQIFHGIKEHFLVTTELKVSLIQKTLRTVSSDFLTMLLLFLCLWLNALAHFEIAVQLLSPHANC >KQK99472 pep chromosome:Setaria_italica_v2.0:VII:33037517:33044802:1 gene:SETIT_009435mg transcript:KQK99472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPPLPPDSKAGAEEEEEEEARELLYEAYNELQALAAELGGAAGAPAVVVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPVALHLRFNPRCDAPRCRLLAGPGDEVEDAGVAGRPMPLADIQAYIEAENMRLENDPCQFSEKEIIIKVEYKHCPNLTVIDTPGLILPAPGRKNRVLQSQASAVESLVRAKIQHKETIILCLEDCSDWSNATTRRVVMQVDPDLARTVLVSTKLDTKIPQFARPSDVEVFLHPPTCVLDVSLLGDSPFFTSVPSGRVGSCHEAVFRSNEEFKKAISSRESEDIVSIEDKLGRSLTTEEKERIGVSNLRLFLEELLRKRYIESVPLIIPLLEKEHRNATRKLREISQEISDLDEAKLKEKAQLFHDSFLTKLSLLLKGMVVAPPDKFGETLINERINGGTFTGSENFQLPNKMMPNAGMRLYGGAQYHRAMAEFRLVVGSIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDAFEPFLHQLGFRLLYILKRLIPISVYLLEKDGERFSSHEVLVRRVQAEFKRFAESTEQSCRERCMEDLESTTRYVTWSLHNKNRAGLRHFLDSFAAPEQLSVNTLHEQLPGLNENKQDRPKGDPKSNHSSDTNSSGAVPETRLVDLLDSTLWNRRLVPSSERLVYALVHQIFHGIKEHFLVTTELKFNCFLLMPIVDKLPALLRQDLELAFEDELDSIFDVTQMRQSLGQKKRELEIEVKRIKRLKEKFGEINKKLNSLQVRQ >KQK97254 pep chromosome:Setaria_italica_v2.0:VII:19988730:19989885:-1 gene:SETIT_011767mg transcript:KQK97254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WADEVRSIRSQCKQRSSSSEALQYSSTHQLRIPLLDIEQEYSSMLRTQSNARFLFKKYQSEEEMEALLETQKDLIPPVLHNIMVHRRTSSEIERAMADYFDASTEAIEICRQLLRNIKDTQSNYQSMDSFLASITDSTASTSASAPLALKSFPVTSNPFCTTTRSNFRQIHDKYSSILQSIRSSHRRLGRKLKIVKAFKKLSRAFLVMACGGASAAAIGAASHRLFFGVLIGAAAAGLLPIALKRRIAGKARKEKGSSKTCMSLLRLQEQLDTAAKGTYVLGQDLDTVSNLVVRLSDGIERENAMARCCEERSGERSSVLEMVNELRRSCSSSRRLTDELEEHVCLLLATIHKARILVIQEISKKA >KQK97063 pep chromosome:Setaria_italica_v2.0:VII:18598629:18599105:1 gene:SETIT_011585mg transcript:KQK97063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNKLTESSTQVSLKLVFLKIEDSFYFDLMNSILWKKLYKEPSKRNHVIYKYKGSILGDQ >KQK96542 pep chromosome:Setaria_italica_v2.0:VII:11497955:11498353:-1 gene:SETIT_012224mg transcript:KQK96542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENSSLIQINPRRRGGIGSCCHRGATPQMRFMSWRAGLEGGTGEERNWRLPQGTGRNLPPPSFNAANASQIQVSCFSRLCASGGGFGETCGTEKRDLAAGEEPALRTGVHAMGPSTAD >KQK96105 pep chromosome:Setaria_italica_v2.0:VII:384757:385154:1 gene:SETIT_011471mg transcript:KQK96105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAAGLRESGRLPLPFELLRTLEAKGVLNRRNVTREEISSKLHGLKTKFLSAINKGGPGHKSRDQKLYELSKEVWPELLLKAPELI >KQK96923 pep chromosome:Setaria_italica_v2.0:VII:17454494:17455948:1 gene:SETIT_012642mg transcript:KQK96923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTGTSNTDIDPPPSSSSSSAKTGFLKTCFNGINALSGIGLLSIPYALSQGGWSSLAVFLAIAAICCYTGILLQRCMDASPVVATYPDVGALAFGRRGRLAVAAFMYLELYLVAVDFLILEGDNLHKLFPAADFRLGSLRVSAKQGFVLAATLAVLPTTWFSNLSVLAYVAAGGALASVVLIAAVMWVAVFDGVGFHERGRLVHWAGMPSAVSLYSFCFSGHAVFPMIYNGMKDRKRFPMVLFICFAVSTLSYGFMGIIGYLMYGDKLMSQVTLNLPSGKVSSKVAIYTTLVNPLTKYALVMAPIAEAIEATLGVRKSRLLRALVRTALVVGTAVIALAVPFFADVVALTGALLSCTATMLLPSLCYLRVRAKVGYKKPWLETAACVIIAVVGTAIVVLGTYSSVKQIVQRLK >KQK98365 pep chromosome:Setaria_italica_v2.0:VII:26563124:26564282:-1 gene:SETIT_010925mg transcript:KQK98365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKLAFGSFGDSFSAASLKAYVAEFIATLLFVFAGVGSAIAYSQLTKGGALDPAGLVAIAIAHAFALFVGVSMAANISGGHLNPAVTFGLAVGGHITILTGIFYWVAQLLGASVACLLLKFVTHGQAIPTHGVSGISEVEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPMAIGFIVGANILAAGPFSGGSMNPARSFGPAVAAGNFAGNWVYWVGPLIGGGLAGLVYGDVFIASYQPVGQQEYP >KQK96930 pep chromosome:Setaria_italica_v2.0:VII:17520987:17521388:1 gene:SETIT_012825mg transcript:KQK96930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVGGTGVPLMRQWKGKARWGIRRGEAKLKRHAWL >KQK98100 pep chromosome:Setaria_italica_v2.0:VII:24947716:24951879:-1 gene:SETIT_010136mg transcript:KQK98100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILERMRGGGDGAAAPERPPRPEFWVEISESVSRLCSFDAAGSGGGGISVKVIQDSRPIHDKVVDSFLNKFFPSGYPYSVNEGYLTYTKFRALQHFSSAMLHVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSSMGARMDSEPKSWRILADVLYDLGTALEFVSPLCPQLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVMGIGAGIGLASTVCSTTQGKLIAGPLLSAVHIYGVVQEMRATPVNTLNPQRTAMIVADFVKSGKVSSPAELRYKEDLLFPNRLIEEAGSVKIGQPLRRVLSPQLVEQLRATFPNEKFLLNQKSNKTYMVLEQSASGEDALRGWLVAAFASEMERSGVGSRDAVLNEAYEKMERVFPTFVSEVRSRGWYTDQFLDGNGSRIAFAKFQ >KQK99464 pep chromosome:Setaria_italica_v2.0:VII:33014434:33016163:-1 gene:SETIT_009983mg transcript:KQK99464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHSGLNSNHAVVAPMEVSVEAGNAGAAEWLDDDGRPRRTGTFWTASSHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPETGKRNYTYMDAVRSNLGGAKVVFCGIIQYANLVGVAIGYTIASSISMKAIRRADCFHKNGHGDPCESSSTPYMILFGITEILFSQIPDFDQIWWLSIVAAVMSFTYSTIGLSLGIAQTISNGGFKGSLTGVSIGAGVTSTQKIWYSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTIFYMLCGCMGYAAFGDEAPDDLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRAAASWPDSAFISKELRVGPFALSLFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVFFPVEMYIKQRRVPRGSTKWICLQMLSVGCLIVSIAAAAGSIANVIDALKVYRPFSG >KQK98952 pep chromosome:Setaria_italica_v2.0:VII:30141065:30145130:-1 gene:SETIT_010092mg transcript:KQK98952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRQPAHGRGGAAGLDAEAELVRGAACSPQPVCGRGVGAWGGDARAWTDLAARERSASPCARANVRSLRRRARAAAAGRARALLWCRRARVAFGSSGQGPHARFSAFSPIPRPHPANGSCPTRAQLLEPATNKAWESGQRSGEEDEAAAVPTMASSSDPDRLMTKADKLTKLSFTRWNADWKSATSLYEQAAIAYRFRKDNEKAKDAFEKASKGQEMISSPWDAAKHMESAAALAKELGRWNEVSDFYRRASELYRECGRPQPASDALAKGASALEEKSPEEAIKMYDEACSVLEEDGKEQMAFDLYRAAAALYIKMEKYSDAAAFFLRLGSAADKCNAINSQCKAYLSAIIIYLYAHDFQQAQKCYNDCSEVQAFLNSDQNRCAMKLLSAYEEGDAEEIKRLAQSSAFNHLDHVVIRLARKLPTGDLQAIKKAADDGEDSLDEDDLT >KQK96934 pep chromosome:Setaria_italica_v2.0:VII:17578554:17579025:1 gene:SETIT_011575mg transcript:KQK96934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDFGVFYLALIYSSICASQDFRHDCHSAMLKDATLMWQMRCLMHVCLIWWHPCFSAYFFI >KQK98478 pep chromosome:Setaria_italica_v2.0:VII:27279886:27280392:1 gene:SETIT_011568mg transcript:KQK98478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLEAITTTNKALSMDGHRGPPTFRERQSVDNGYPALSMRTNKKYRLVTPSIFYTNCWRRRSHHQC >KQK99694 pep chromosome:Setaria_italica_v2.0:VII:34246469:34247414:-1 gene:SETIT_012345mg transcript:KQK99694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLICACLLQLLLLATSSGVAAQSQPLSPARILDATLQDYAYRAFVRPRTGIAVSADLLSIGSLRRKGFADYLQFGIPPAHVERVVLVYHNLGNSSDRYYPLPGYTYLAPVLGLLVYDAANLSAVGLQELDIIASGSPISVTFSDVRSVPAGSAAPRCVVFDLNGVPQFRDLEATNLCSTYHQGHISIVVNSSEIAPAPAPPGTISPPIPTEGGHKKGSSKAWKIAVSVVGAAVALGLLAALLLCLVRYKRDKKLEVMERNAEVGETLRMAQVGRTQAPVALGTRTQPVIENDYAA >KQK97391 pep chromosome:Setaria_italica_v2.0:VII:20981821:20982300:-1 gene:SETIT_011655mg transcript:KQK97391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAAASALLLLLGLAAPASAAAPYEPPTVPELMDRFGLPRALLPETARRYLLHNDGTFELFLDDGCVVEVGGYRVGYDIKLSGTVSPGAVTGLEGVRVRVLFAWVPITGVEVAGGEVTVHIGPVSKSFPVVGFKSSPLCIVGSAAAAAVDASLPLVE >KQK97467 pep chromosome:Setaria_italica_v2.0:VII:21365895:21366545:-1 gene:SETIT_012728mg transcript:KQK97467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLFSGRSKEHRSGGGDKCQAAAAAARHRSGKCRALCCGASRLSVSSSASCSSADAAPEPLPLPHPPQPRGLSKLAHGMVQARLQSMIDAAAETARAPPPSRRAPDKLAERHRCGAPRGMARHGGGCYEKSGGREKVVARRTCVVLLAEDRRTHDPREEFRRSIAEVIAAKRMAEPAELRALLNCYVSVNAREHRAAILQAFHEVCSALFSCKHLG >KQK97888 pep chromosome:Setaria_italica_v2.0:VII:23763381:23766015:1 gene:SETIT_010294mg transcript:KQK97888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIDKRSAALVLLVCAAALVASAVGDGPLLNGNFEYPPNQSQMSGSKVTGENAIPYWKTTGTVEYIGSGQQQGDMILTVPEGAHALRLGSDASIQQQISVTRGLYYSVTFRASRTCAQDEKLSLTIVPVTGYPVQSGEPLPIQTVYSSCGWDAYSWAFKAEAGIVSFTIRHPWQEEEDEGCGPIIDAFAIKTLNMPQPTQNNLLTNGDFEEGPYIPPDCKSGVLVPPMNEDDVSPLPGWMIMSYKKVVKYVDTAHFAVPRGGRAVELVCGVETALVQEVYGTVEGSWYRLEFSVGDAANGCGASADYSSSPGMKVKAIAGASETTVDVDFRGAGGSTRGKLEFQAPASPTRVVFVSLGYHTKSDNSGTLCGPVVDDVSLVAIAQPSARRLLL >KQK98965 pep chromosome:Setaria_italica_v2.0:VII:30213561:30216113:-1 gene:SETIT_011786mg transcript:KQK98965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVTDVQMSSVVLAVVSIAFFSLQFLRAHGSELLLSCGSNGTVDADGRRWIGDMAPGGNFTLSSPGLAAPLAGKRNSDEIFGPVYSSARFFSTTTWYTISLLPGSYCIRLHFFPTTYGNFSANNSEFDVTANYFKLVSKFNVSEEIVWRNSASNSVINAVVKEYFLVVDANGLKIEFDPSPGSFAFVNAIEVMLTPDNLFNDSVSKVGGAGVQLPLGLSDRGVETMYRLNIGGPALKSASDEYLHRPWYTDEAFMFSTNAAQTVSNASSIMYVSSNDSSIAPIDVYETARIMGNNMVVDKRFNVSWRFYVHPNFDYLVRLHFCELVYDKPSQRIFKIYINNKTAAENYDVYARAGGINKAYHEDFFDNLTQQADSLWLQLGADSMTSASGTDALLNGLEIFKLSRNGNLDYVLGHIDMGNQRDSSKGGKRKELWEEVGIGSASVVALTSVVLASWCYIRKKRKAIKKEAPPGWHPLVLHEAMKSTTDARAASKSSLTRNASSIGHRMGRRFSIAEIRAATKNFDESLVIGSGGFGKVYKGEIDEGTTVAIKRANTLCGQGLKEFETEIAMLSKLRHRHLVAMIGYCEEQKEMILVYEYMAKGTLRSHLYGSGLPPLTWKQRIDACIGAARGLHYLHTGADRGIIHRDVKTTNILLDDNFVAKIADFGLSKTGPTLDQTHVSTAVRGSFGYLDPEYFRRQQLTQKSDVYSFGVVLFEVACARPVIDPTLPKDQINLAEWAMRWQRQRSLEAIMDPRLDGDFSSESLKKFGEIAEKCLADDGRSRPSMGEVLWHLEYVLQLHEAYKRHVDSESFGSGELGFDDISFSLPHIREGEEEHHSKPSSIREEPDT >KQK99709 pep chromosome:Setaria_italica_v2.0:VII:34315373:34317038:-1 gene:SETIT_010930mg transcript:KQK99709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRVHPSDTSRGGRARRAAASDRRPAVYTVWKRSSIGFQGTDGFCVYDDTGRLAFRVDNYSRRRKLCAGELLLMDGQGTALLSLRPQLLSLHDRWNCYTAPEEEGVDKKPSATSQQQVFTMSRCSALKSSDEAEVHMSAAGPTASSSSSGLGCKHPQAAAPGYRIEGSFSRRSCKIRRGSDGKEAARITRKNAGVASRPVATLGDDVFSLVVRPGVDVATIMAIVVVMDRICHKPYTPMVCSSQ >KQK99553 pep chromosome:Setaria_italica_v2.0:VII:33479798:33481587:-1 gene:SETIT_009758mg transcript:KQK99553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRGDVSRKRPSTGDEEQIARPSSKRRGGIYVPPYRAIAEDDDAGEFGSAEYQRRSWNALRKSITGLVNKATAANVRHVAPELLAENLVRGRGLLCRALLRSQAACPDFTDVFAALAAVVNARLPCVGRLLLVRLVLRVRRAHASGNRHQLAAAAAFVAHLVNQGVAHDLLALELLALLLDRPTDGTVEVAVGFARECGAALGESCPRGLDAVFDNLRGILHDGDIDKRVQFMIEDLFAIRKARFRGHPPVRAELDLIEADDQVTHQVELSSSLERGDELDPEVHLDVLEPSPSFAQDEAAYEDLKRTILGSAGDENLDQDQDQCSDDDDEASGDESAETELTIRHDTDTDLINLRRTIYLTLMSSVGSEEAGHKLLSVVRPGQELELCTVLVECCKKEKSYTSYYGLAQRLCAIDRAYQAGFEACFAGQYSAAHRVATDELRASARLYAHLLAADALPWHAILGRVRVTEDDTTSSSRIFIRMLFQDLAEQLGIRALSNKMNGEDTAVRDALFPRDCAKNTRIAINFFTAIGLGGVTEAARKLIV >KQK98224 pep chromosome:Setaria_italica_v2.0:VII:25731052:25735017:1 gene:SETIT_010610mg transcript:KQK98224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRDKLVLAPMVRVGTLPFRLLAAEYGADITYGEEIIDHKFLKCERIINESLGTTDFVEKGTDNVVFRTCPQERGRVVFQMGTSHAVRALKAAEIVCHDVAAIDINMGCPKSFSLSGGMGAALLSKPELIHDILTTLRRNLDTTVTCKIRLLNTPKDTVELARRIEKAGVPALAVHGRKIKDRPRDPAKWDEIADVVSALSIPVIANGDVFEYEDFKRVKDATGAASVMVARGAMWNASIFCAKGKTPHEDVKREYVRKSILWDNDVKSTKHTLKEMIMHYSCLELPEGKGVIKCDTSADLARLYGEEDYYNFVVSNRK >KQK99753 pep chromosome:Setaria_italica_v2.0:VII:34578757:34579698:-1 gene:SETIT_012446mg transcript:KQK99753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPTTPARANVSLYYLRHRGSCRGSDGGGARNADLRCRRRLLTARGERPDEEEEEDDEDPSAGPGGGFDAAVALFNRGEFHACHDVVEELWYDAEDPARTLLHGILQCAVGFHHLFNQNHRGAMMELGEGLCKLRKLNLGGGDDDDPFSRFRDDVAAVLQFLYRTQKELAACTDDLCLTMDGSPSSYQLLGNFAAGQQLYRLEADDTHDDGASSIIFSVSDHPASQSAPSRVKLPTLDATEQNLTDLQRAYQYI >KQK96613 pep chromosome:Setaria_italica_v2.0:VII:13002483:13003005:1 gene:SETIT_011579mg transcript:KQK96613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDGLRVEAAASIATRNRLLPMRRLWGIAYDVQASVQARTSVCGEFSGSHGLRAQAPKTSLL >KQK96385 pep chromosome:Setaria_italica_v2.0:VII:4980367:4983069:1 gene:SETIT_012405mg transcript:KQK96385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAKNPHHLGAGGYTAKMDKWRREEEERRLAGLPDLLEGLDERSRNWMLSRVPSSPTCKKKGLLNPDRERDQLTTTSGTAEHTGRVRGMSSTLPWGKAFQNDQGSYRKWDRYKKDLEEKMRAIAKQELIEFFTTMQAQAMTNTMTNPIASNAQRQAEPPLQLANIEYVAPSSAGSIVNVRYHIDKIQVDTPCRLNLVEGPWELSKLHGWIMDTMKQGIRSITSLIPKKVFLGAEDYLLVIDFKDLWRLYRHQQLDASSLPMQWKEEELTNDKYLAAYLDPARISELEHKFELNEKYHKQPPVTALCGYYVCEFLKNNGRYRTNPIDVMPRINTRDATLEDRGIVNICRDMARFIQWEICHEDGEHTKHMADECRRLRSWTKALPM >KQK97746 pep chromosome:Setaria_italica_v2.0:VII:23041168:23045609:1 gene:SETIT_009742mg transcript:KQK97746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSPPTPLLLTLLLLPALAAAASLPALPLSTASRWLVGADGRRVKLACANWASHLEPAAAEGLSRRGVEGIAGRVAAMGFNCVRLTWPTYLATNATLASLPFRWSLERLGMRESVAGVRVNNPALLDLPLIDVFREVVSALGSKSIMVILDNQMTTPGWCCSRTDGNGFFGDKYFDPDEWLKGLNAMATIFNDTKNVVGMSLRNELRGPNQNISLWYRYMQLGAEAVHAANPNVLVILSGLDFDNTLSFLHSKKPELRFTGKLVFEQHWYGFSDGGHWEILNQNDACRMVVDSIWAKGLFLLQQGWPLFFSEFGFDMSGTHIGDNRYLTCFLSVAAEMDLDWAIWALQGSYYIREGILAYDESYGLLSWDWCTARNPSFIKRINSLQSPFQGPGLPNSREPYNLIFHPQTGLCVLATSSKSLELGPCDRSNAWNYTSAYELVVKSTGQCLQAKSVGENAKLGTDCSKPSSKWQLISNSRMHVSAELTKNGTRVCLDAGPDGAIITNQCKCLIIDPACNPESQWFKVILSSRDTPGGSSILQLPSVGPWPPTSLSY >KQK99752 pep chromosome:Setaria_italica_v2.0:VII:34576308:34576601:1 gene:SETIT_011887mg transcript:KQK99752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAMSAVILLHLLFLLISSATAAASPATTLRPDGQGWAAARRLLLRQPTAATATNSFHVKGGAHEPAATAAATKPNVEFNASTRSAPGSKFNPRQN >KQK99791 pep chromosome:Setaria_italica_v2.0:VII:34775231:34782570:-1 gene:SETIT_009955mg transcript:KQK99791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGEGDAALPLLEEKPQVYFDGCPGCAMDRRKAENPEIPYGLFFHTWIINLVTCLPVSSLFPFLYFMIRDLGIAKRVEDIGFYAGFVGASYMLGRALTSIIWGILADRIGRKPIIIITIFSILVFNTLFGLSVHYWMAIATRFLLGFLTGSVGTIRAYAVEVCRPEHHAIGLSLVNTSWAIALIIGPAIGGYLAQPTDKFPNLFSADSLFGRFPYFLPCLFISIFSFVVLISCIWLPETLHTHKLHKKEHPESLIAYLSDSEEFVKKYSTSNKNKGLLTNWPLMSSIFLFCITSFDDMAYSEIFSLWSESDKKYGGLSFSSEDVGNVLLVTGASILLYQTFIYPYIVKVLGLINSSRIAIILSMVLLFTYPPMANLSRPWISIVVNIASVLKSCSVATVVTCSFILQNNSVPQDQRATANGIATTLMSLFKAFAPAGAGVVFSWAQKHQHALIFPGDQMVFFLLDIVILFELIWTFKPFLDVPKQSSSS >KQK96897 pep chromosome:Setaria_italica_v2.0:VII:17085116:17086686:-1 gene:SETIT_010325mg transcript:KQK96897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALVSPSEPSVQSQAYLSARMQLKFSAEQKPSSRMIIKEQSDSYSVLIPGLPEDLAKICLALVPRSYFPVMGSVSKSWMTFIGSKEFIAVRKEVGKLEEWIYVLTAGAGGHGSRWEVLGSLDQKKRILPPMPGPNKAGFGVVVLDGNLFVMAGYAADHGKEFVSDEVYSYDACLNRWTALAKMNVARRDFACAEVNGVIYVAGGFGPDGDSLSSVEAYNPQKNKWILIQSLRRSRWGCFACGFNDKLYVMGGRSSFTIGNSRSVDVYDPDRRSWDEIKRGCVMVTSHAVLDKRLFCIEWKNQRSLATFNPADNSWQRIPVPLTGSSSTRFCLGVLGKKVLLFSLEEEPGYQTLMYDPAAPTECEWQTSKLKPSGSCICSVTIEV >KQK99743 pep chromosome:Setaria_italica_v2.0:VII:34520727:34521458:-1 gene:SETIT_011164mg transcript:KQK99743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRAAMSPPPGAGAPSSLAMRSPASVSAMPSTAGVLTTGAMAPQTAPHAASSRKQSANSSPDSGSAQDSVAPSSRSKASPGRIGYTNTMRCGWPSGPAAYRTRDCPCGRRNVTAAYAAPRLRHWPAPPPPPGRWRAHGVSAARHRRIGSICRGTVAHSMEGDHRSWGKGHLQQTT >KQK96505 pep chromosome:Setaria_italica_v2.0:VII:10171534:10173883:-1 gene:SETIT_012221mg transcript:KQK96505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDAATPSKKLKTSAAAGATPQKLGMAAPVADQILTPEKPTQRVTAAAAVEQIWTPERPRARVRSVAFSVKEVRRAALGLRRPEKGTPAADELEFVERELGVGAGASRSPVKQKAEVKLPESYEMLCEFFNCLESSTRLLRMKGSKATLPNICASIQHLSESLVVDAVESVKKQMGETAYSALRRIFRQRLVNFFRDHHEGDDIPEYELPHPFNRTRSSMPQAAPRIVPEPTSPIESSDLNRQQAAVMSHVSQSFRRWFSQRSPISSATASATNPLANVESTVLSPLSRDSLFSGNVSGCKEGWPEEDGKVVVSMPGVSEGTPTNYASTPVRLMASTPDLKTPKRPISAVGYSTPPLKMAKRSARAKFDDGLLSFLPPSLLQSVKQKEQRTMEKETGFADKVKRQKLIASLPSIFDVIFLIYPSRQRSLMTKQELIHKIIASSQKIVDRSEVEEQLTLLKEFVPEWISKKMARSGDVLCW >KQK96797 pep chromosome:Setaria_italica_v2.0:VII:15628768:15628818:-1 gene:SETIT_012516mg transcript:KQK96797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIQRKQQQMNYLQR >KQK98496 pep chromosome:Setaria_italica_v2.0:VII:27469561:27473548:1 gene:SETIT_012231mg transcript:KQK98496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGVESPAPPAARRLRPGRVSAKRSWPPGCGRPPPATPPAQPLAAAAGDGEKGADGGAGVLGGRAGDSIAPAAVSAPAQNGSLLQQQGSDKGDEAIAPAAVSPVAQNGALPRQQGADKVEEAAAPAAVSPVAQNGDLPQLQGLHKVEELVTPAAVSPAECNGSVSHALPQLVPERAREDGDKWEDGEAQLLSDAGVLLLDGREGSRVAEVAAASVKVLESCGIVGAASFVQNGCEVAGELAVKEQGGGGSGDVGREEVAAGGDAMETGNRTGGGGLERKENGVAGSRKKRWMMSALNPPPKKRAVSAVRKFPPGCGRTAVATEDGEVLDVSPVRAFPPGCGRAAVTTTDSGVLEGLSLQATPVKSGDAVVAIPVLGRPASSTEASNEKLEGKKIVDEENSWACNRVQILDDFVGTEQDGGLQQNVVAKPTLGKSSSEKVKRIHSPCEGKHVARAVVDAKMKNKLAGSIDSKTKGNRLESDEMNTALLYNAKASVAGKMQLQRKTLSTKKEVVCSNVNMKQKKSTRKLKGDGIGKDNLHGSARESKFGKQVVTNKIEQSDGMNLVPEQIIVQALMAPDKCPWSRGRKSIASASKSVPPKNKLKGKDATPIKLLTGKVASRESIHDETMEDNDNSNMEGDDSKALVVYEEKRETCVTVPPSVPSGSQHTQPGDHDVDARSKVRKLLQLFQAICRKLMQVEEQGIRNVGRVDFEASKVLKNDPIYKKLGSIVGNIPGVEIGDEFHFRVELSIVGLHRPNQAGIDTAKVNSVPVAITIKLDELIYTGFGGKAGGNKEADDQKLERGNLALKNCIEAKTPVRVIHGFKGQSKVGQSRGKQTSTFVYDGLYEVVECWKEGPKGEMVFKYKLRRIAGQAELALHAVKVTRKSKVLEGLYLSDISQGFERIPICFINTIDDMRPAPFRYITQVIYPPWYEEEPPAGCDCTNGCSDSIRCGCVVKNGGEIPFNFNGAIVEARPLIYECGPSCRCPPTFHNRVSQHGIKIPLEIFKTGQTGWGVRSLSSISSGNFICEYTGKLLEDEEAEKRQNDVYLFDIGDNYHDEELWKGLKSVVGVQSSTSSSKTMEGFTIDAAECGNVGRFINHSCSLNLYAQNVLWNHDDMRMPHVMFFALENIPPLQELTYHYNYKVGQVYDKNGEEKFKHCYCGASDCCGRLY >KQL00010 pep chromosome:Setaria_italica_v2.0:VII:35753943:35756594:1 gene:SETIT_010399mg transcript:KQL00010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLYVAATTSPFSLESSLKLKNLFEYWETWDASVALELDRTAELSAGVEIPRIGAIPTPLMARISFLSGDWWKSSLKEHLMGVSVGLLSTMNHNLAYNLTWRTLKDPARMSSNSIQEQLGHSLLPSIKYAYKVDKRDSTIRPTCGYAFLSSSQVGALAPGSKYPWYLRQEVDLQVALPLGVLNGALNAGVAAGIIHPFLRGSTGSVLPLSERFYLGGHRSFVCRLGGPSSLSGFKARDLEPRDFRTCDPNNSENGASTCTELDGGGDIAVTAFADLSFDLPLKSVLDQELGVHGHAFVSAANIAKLTEHGPRKFPLTDFLQTFRCSVGFGVLVPTELFRIEMNCCYILKQFGHDWGKTGIQFNCSPP >KQK96550 pep chromosome:Setaria_italica_v2.0:VII:11852404:11860155:-1 gene:SETIT_009440mg transcript:KQK96550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFGDGGGDGEAGRGWDPLRSGSAPPTMEGAAAAAAAAEGMFGGGGGGGAASFFSGMDGLGFGARLDDEHFGNSASLSVGPPGLLFNGTGDLDEWQFGPSRIYSGGAMANYSTFDIGSLWTDMDPENAEYRRNVQNCFMSNIEKMNANKDASYMSDSDLSDALSGLRLSNSRVMDERNRGEKLLDELLKCQRDFSKIGDDNRTPLVGNVFHAPRSDVRPPPMYEDGILRRQTSALDGSNVSRMSHHHFKDVDHLPLAEQLTMMGSGNLPGGINLYRNTAMSNMVNSMSNRYNSIGDLDLARSRRALLEDLLAQEYLQDDNLLHNDNRIYHDEPRFHYSRMQRTGSHFHPNPVNILSHSDRQSRIFSNRKAAGRNFGSQVYHDNTLANYMDNADRSGDDSVDLNDVVGRVKEVRQVFLSAVSIFPMDQFGSRFIQQKLENASPDEREKIFPEILSNAIALTTDVFGNYVIQKFFEFATESQLIQLADQLKGHILQLSLQMYGCRVVQKVLEVVDMDRKINIVHELKNSVLKCIGDQNGNHVIQKCIECVPEDRIPFVIEPILSQILVLCTHQYGCRVIQRVLEHCHDPATQSAIMNEIVQQTFHLTDDKFGNYVVQHVLEHGKPEERSSIIQKLSGQVVILSKQKFASNVIEKCLAHGTPEERDSLIGEIISSGQTFQELMKDQFGNYVVQRVLQTCDDKYLGMILSSIKLHLNELKNYTYGKHIVARVEKLIVTGEKRARMVSLSSQQQQSPICTAVDAR >KQK98831 pep chromosome:Setaria_italica_v2.0:VII:29482000:29486075:-1 gene:SETIT_010212mg transcript:KQK98831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGPNFAGMIGGIGGHDNGGNFCDMAYYRKLGEGSNMSIDSLNSMQTSTHGGSIAMSVDNSSVGSCDSHTRMLNHPGLKGPVVGNYSVGGHSIFRHGRVSHALSDDALAQALMDPRYPTETLKDYEEWTIDLAKLHMGMPFAQGAFGKLYRGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHQNIVKFVGACRKPVVWCIVTEYAKGGSVRQFLTKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAPEMIQHRPYDQKVDVYSFGIVLWELITGMLPFANMTAVQAAFAVVNKGVRPAIPQDCLPTLGEIMTRCWDPNPDVRPPFTEVVRMLEHAEMEILSTVRKARFRCCISQPMTTD >KQK98832 pep chromosome:Setaria_italica_v2.0:VII:29484835:29486821:-1 gene:SETIT_010212mg transcript:KQK98832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEGPNFAGMIGGIGGHDNGGNFCDMAYYRKLGEGSNMSIDSLNSMQTSTHGGSIAMSVDNSSVGSCDSHTRMLNHPGLKGPVVGNYSVGGHSIFRHGRVSHALSDDALAQALMDPRYPTETLKDYEEWTIDLAKLHMGMPFAQGAFGKLYRGTYNGEDVAIKLLERPEADPERAGLMEQQFVQEVMMLATLRHQNIVKFVGACRKPVVWCIVTEYAKGGSVRQFLTKRQNRSVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLIAGDKSIKIADFGVARIEVKTEGMTPETGTYRWMAP >KQK98681 pep chromosome:Setaria_italica_v2.0:VII:28602392:28606434:-1 gene:SETIT_010255mg transcript:KQK98681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRDQHQHQQQAQAAPRVGSPPQPGGGGGGGVMMQHAGAFGAAAPPGMPPGAANVMHGMPLAFNPMASPGASSPMKPADVSPGAMYRPDSAAPGMQPQPQQQQQHPGAGGGGAVAGGSSGELVKKKRGRPRKYGPDGTIGLGLKPAAATGAEAGGQSGGGGSNSNPDGKRRGRPPGSGKKKQLDALGSSGTSFTPHIITVKPNEDVASKIMAFSQQGPRTTCIISANGALCTATLRQPATSGGIVTYEGHFDILSLSGSFLLAEDGDTRSRTGGLSVALAGSDGRIVGGCVAGMLMAATPVQVVVGSFIAEGKKPKEEQQPKREPTSVPLHAPGFGATSTASPPSDGTSSEHSDDPGSPMGPNGSTFANTGHPLHSTFAPVGWSLSGNQGRYDPDLKMMTD >KQK98689 pep chromosome:Setaria_italica_v2.0:VII:28642595:28645378:1 gene:SETIT_010485mg transcript:KQK98689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEALCAPRSDVLVYDTFNAAAACAASAGSFLFGNAPAVEPSPAPAAQAPGAEGESRVQQQGRRKRRRRQRSVRNAEDAENQRMTHIAVERNRRRQMNEYLAVLRSLMPESYVHRSDQASVVSGAIDFVKELEQQLQSLEAQKLALQQQQRRDTAAAERDAAPTPARDPAPAAEDAAAREAAAERPPFARFFRYPQYSWRHAPPREDGAAAAAAAVGAEEDVSRASAVADVEVGMVVDAHASLRVMAPRRPGQLLKLVARMQALGLAVLHLNVTAALGGELALYTLSLKVEEGCGLTTAEDIAAAAHHVLCVIDAEAAAAAAAQRLLAPGAGQPEPLVGTNPPPLHR >KQK97264 pep chromosome:Setaria_italica_v2.0:VII:20096427:20098821:1 gene:SETIT_011263mg transcript:KQK97264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAAIYSLFIINKSGGLIYYKDYCSAGRMDTNDSLRLASLWHSMHAISQQLSPIPGCTGIDLLQAHNFNLHCFQSLTGTKIFVVCETGAQNMETLLKVIYELYTDFVLKNPFYEMEMPIRCELFDLNLAQVIQKDRVALLGR >KQK98119 pep chromosome:Setaria_italica_v2.0:VII:25083457:25086582:1 gene:SETIT_012782mg transcript:KQK98119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIIKEEEEAAVDEVIMDLSMATSRFRRICVFCGSSQGKKKSYQDAAVELGEELVSRNIDLVYGGGSVGLMGLVSRAVYNGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARQSDAFIALPGGYGTLEELLEVIAWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEEFISPTARHIIVLAPTPKELLDKLEEYSPRHEKVVPKMKWEVEQLSYCKSCEIPGLKEGTKPIIQAQRGSML >KQK99864 pep chromosome:Setaria_italica_v2.0:VII:35101419:35109289:-1 gene:SETIT_009556mg transcript:KQK99864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAVLPRRRRRRRASGTRRHPASLGGLPLLARLDLSFNNLFGSIPVRLARLPRLVALDVRNNSLTGSVPAAAEGDSALAIRIASHPPQLRSLAFLPWRRRRERGGQKGSRRPQACSALSASPPARSRSDPKREHQPGRMHSTNLLLEEPIRMASILEPSKTSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARVDFSWGDAAYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKRETTISLEENGTVVLTPHQGQEASSTLLPINFSGLAKAVKPGATIFVGQYLFTGSETTSVWLEVSEVKGDDVVCIIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKDVIRKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILAEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYYKRTVKYVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPSMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >KQL00017 pep chromosome:Setaria_italica_v2.0:VII:35782962:35786789:-1 gene:SETIT_009999mg transcript:KQL00017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPLPHYFIFTGHNSYLTGNQLNSDSSDIPIIKSLERGVRVIELDMWPNASKNNVDILHGGTLTAPVEMIRCLKSIKEYAFCASNYPLVITLEDHLTADLQAKVAEMLTETFGDLLFIPSSDPMKEFPSPEALMKRIIISTKPPQEYKEFLKVKDNQNGSGNIADLPDQGSLRRIDSNADESDGKDELDDQDEEDSDEDDPKFQQDTACEYRKLITIQAGKPKGHLRDALRVDPDKVRRLSLSETQLAKATTSHGAEVIRFTQKNILRVYPKGTRVNSSNYDPMNAWAHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLVTGPNGEVFDPKASLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYTRVGIAGVKADSVMKKTRVLEDQWVPVWDEEFAFPLTVPELALLRIEVQEYDMSEKHDFGGQAVLPVWELKQGIRAVPLHDRKGVRFKSVRLLMRFDFV >KQK99377 pep chromosome:Setaria_italica_v2.0:VII:32646320:32648957:-1 gene:SETIT_010023mg transcript:KQK99377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRTVVYDAESGDDHERQGTVWTATSHIVAAVVGSGVLALAWTVAQLGWVVGPLVLLGFSCVTYYTSALLADCYRYPDPVDGAVNREYIDAVRCYLGRKNVVLCGCAQYVNLWGTLVGYTITASTSMIAVKRVNCFHRDGFGAGDCNPSGSTYMVVFGLFQLLLSQLPSLHNIAWLSVVAVATSFGYSFISLGLCAAKWASHGDVRGTLAGAAVDAPREKAFNVLLALGNMAFSYTFADVLIEIQDTLRAPPAENKTMKRASFYGLGMTTVFYLLLGCTGYAAFGNDAPGNILTGYAFYEPFWLVDIANICVIVHLIGAYQVFAQPIFARLESCVACRWPDAKFINATYYVRVPCLRSSSSPPATVAVAPLKLVLRTILIMFTTLVAMLLPFFNAVLGLIGALGFWPLSVYFPVSMHVARLKIRRGEPRWWMLQAMSFVCLLISVAASIGSVQDIVHNLKAAAPFKTSD >KQK99546 pep chromosome:Setaria_italica_v2.0:VII:33442366:33444114:1 gene:SETIT_010211mg transcript:KQK99546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLLPTMASPFSTSAARALVPASTSRPLSLAAAASSGRIPASRKGLGFRRGRFTVCNVAAPTAAEQEAKASSAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPNIRVVVGDPFNSDPDDPEVMGPEVRERVLQGDTGLPVTTAKITMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDRDPKAFRDSYKEEQEKLQDQISSARSNLGAVQIDHDLRVKISKVCSELNVDGLRGDIVTNRAAKALASLKGRDKVTVEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >KQK99488 pep chromosome:Setaria_italica_v2.0:VII:33107223:33108017:1 gene:SETIT_013058mg transcript:KQK99488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQEHYYDEDLFVVAVEIYSSETGIWVFKESRWSEWDIRFTGQMTYFNGFLHFCIASNAVASVDTQGQAWRVSRVRHNAVYGYSSSISHSQGRLLYVDNNVWQNDTLSIYILEDHNSEEWTWAFKQSIYKPDLFGPRPAQGGWDYYIAAFHPNGDLVFFYDERQKRLMSYDMKHGHVHVICTLGEVLKLVHGEYYDVRRLFLPYVPLYSGALASPSIN >KQK99628 pep chromosome:Setaria_italica_v2.0:VII:33885760:33886416:1 gene:SETIT_011532mg transcript:KQK99628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGRLSGKAEGSTTCRIVYLKNLCLYFLISLCGRSLFAVVSRVELVCSLLLVICKLVSPVCRKVCNPNLIGFL >KQK96121 pep chromosome:Setaria_italica_v2.0:VII:675197:678432:1 gene:SETIT_011854mg transcript:KQK96121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSEVIEADTIDAAAEQILNELSKDTTRRSSRSRENVIYFDGWDGLGASAVLRTVAQRLAASASDEQPAPDGVQFHHIIHIDCSKWESRRAVQRAIAEQLKLPARVMEMLDRQDEEDDFHGLAEGSRAEIPQVVTEIYEFIQNHRFVAIFLNGSCEEVDLTEFGLPLYGYTSNKMLWTFRGRFRLNPRMEIDRAVKSTGVTDVFFTASRHEQDPQVHWSYLVHQEAADLIARKITDTAGGIMYSPAQVEDCFLYMLKLCVMTGGHHSIDYDLTTHGCNYWICDGIIQQGDDGDDGAWRAADDLQQEMRLDVECYHQYLSPHIMLRRAETMPYWTSPSYGFLLSPANGDIFQQLNKPIDVLKLSHCSFSFSSPPFLCCHNLRFLWLEHCQDQAIGTDGAADKEQVIQRCFQRLWVLDVRYTRCDWILSARTLDFMDQLKELNVMGAQGWDMGQLQGRLPNIRKLRVTKSTVGCSNCSENNLIFLGMNKMELLEFSGNRTTMPGVANIPEMSTSNNCLETVIIIDGCVGIQKFSFRGCAKLKHILLSGLFEDLRILDLSGTAIKTLDLNAMIVRNLDELLLDGCEKLCAILWPPEDRRKSHLQKLHIDTTQSAAASLLAPGRDEKSMEGRNTTTRVRRRSSASLSVAHGGQLPSGFYWCIWVRDARLLRSLVPLKSYFDTRDVHMEISSPAVALGSASKEAVDSRSSMKQGKDNSTYTDVVDSFKDRMLLQASQGDGDAPTLTGICPCPDMPSLAASNCYMHMQDRGQPRSTESLQEGEETSTITMPDLICRRALILHVHDSLSITSIPGHAPAMSSKWYRLDWCRVERCPKLGCVFTTPQLEGSGDEPIFYYLKTFWASQLPKARYIWNWSRTLAFDIGRRSFEDLKILHLDLCPRIIHVLPLAMPMVEHSLRNLVTLEIVWCGNLEVVFPLYTDAGGSHQHQEQSIITVELRNLERIHLHELPKLQGICGRWMISAPMLETVKIRGCWSLKRLPAVSSGGSKKVECDCEKEWWEKLEWDMGANHHPSLYKPIHSRYYKKTMLRGSVLT >KQK99557 pep chromosome:Setaria_italica_v2.0:VII:33498906:33500118:1 gene:SETIT_011081mg transcript:KQK99557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPFAKDRQVDHESSVHGFIMRYATKYVHVSATQVSQTHQLPSKHHHPIVTFALQQGRPVSSMAEEKHHHHHLFNHKKDDEAAEQPAGGYGEYTEATVTEVVSTGEDEYDTYKKEEKEHKHKQHLGEAGAVAAGAFALYEKHEAKKDPEHAHRHKIEEEVAAAAAVGSGGFAFHEHHEKKKDHKDAEEAGGEKKHHHLFG >KQK98324 pep chromosome:Setaria_italica_v2.0:VII:26298200:26298670:1 gene:SETIT_011481mg transcript:KQK98324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYFFTMVYGIAFSLSLSLGTLRACCFRPWKSCLVQSANPGTRFHEPSALCAAWRRLPGPGSEPNKLGFQPIIWGMGDIFDRLNF >KQK98636 pep chromosome:Setaria_italica_v2.0:VII:28379612:28384176:-1 gene:SETIT_009407mg transcript:KQK98636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSMLHILGIFSCLCYCTLAQNISVGPDVVNIGALFTFDSIIGRVAKVAIAAAVNDINNDSRVLSGTKLVVQMQDTNSSGFIGIVQALQFMEKDTVAIIGPQSSVVAHVISHVANELQVPLMSFAATDPTLTSLQYPFFVRTIHSDQFQMASVADLVYYYGWKMVTAVYIDDDYGRNGISTLGDELAKRRLKILHKAAIRPGAKKSEIAAVLVKAAMMESRVFVLHAYDHTGLDVFSLAYNLTMTSGGYVWIATDWLSAALDSAPRLDSGLLSTMQGVLTLRQHTGNTNRKKTLVSQWSTLVKEDSGGSRFLLNSYGLYAYDTVWMLAYALDAFFNGGGNISFSPDPRLHAVSGGALNLDALTVFDGGMLLLERIRKVNFMGATGTVKLDSDGNLINPAYDIINIIGSGLRTIGYWSNYSGLSIVSPETLYKKPPNDTIENQKLHTAIWPGETIKRPRGWVFANNGDELRIGVPNRVSYRQFVSDDNQTGMVGGLCIDVFAAAINLLQYPVAYRFIPFGNGLENPSYTQLINQIVTNEFDAVVGDVAIVTNRTRLVDFTQPYVGSGLVILTSVKPQGSNGWAFLQPFTIRMWLVTGVFFLIVGTVIWLLEHRINDDFRGPPVKQVITVFWFSFSTLFFAHREDTRSTLGRFVIIIWLFVVLIIQSSYTANLTSILTVQQLTSPIRGIDSLIASDEPIGFQVGSFAESYLVHELGVSPSRLRKLGSPDEYEAALELGPRKGGVVAIVDERPYVELFLTNHDKFAIVGAEFTKSGWGFVSRAIR >KQK96304 pep chromosome:Setaria_italica_v2.0:VII:3202877:3204071:-1 gene:SETIT_012058mg transcript:KQK96304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAADTPQPDDPAPTPSDDRLLSFLRLKLGGEALPAAAGAHFHDCDIYAADPATLTAGYLPALERKGEGYSWFFFTFVRPKSSTDSRKKRMVGGGAGTWHSERAPRAVLDGEGNCVGHTQYFSYKRKTGKSCSERTDWYMVEFTDGQEGDHDRIHGGEPVLVLCKIYKAHSDSGLNQRRRRV >KQK96529 pep chromosome:Setaria_italica_v2.0:VII:11050996:11051499:1 gene:SETIT_011971mg transcript:KQK96529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSHHAEAGGTSNNTNINTGCDEVGGDDANDNDHVMMDDDYDCGDQNGDQRDVHVEPQVDEERHVDMEDMLRHIEPEVLLGSAKVLENFETLKKVVKDRMYVGCGKEWTMLCFILHLVILKAKFSCTYETKKIINPLKMRV >KQK96097 pep chromosome:Setaria_italica_v2.0:VII:287891:291130:1 gene:SETIT_009711mg transcript:KQK96097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAPAAMVEGWEATRQKKRRKKHREEVEVAASSSESPRPPATPDSKTESPAPVTAETLTSTAVAAGKGRKRKKQEVAAASSLVQEAVRKEEKKKGRRSKHEAAAATPSPSIPAAAAAAATAQILEVAAASSLVEEAVRKELKKKGKRSKHEAAAAATPSQSIPAAAATVQILEVAAEGAAARKEQRRGKLEQGQSGQQPSPVDVHPHGGEAVVDGGVSGSKRVRRSSNGKPRVLTDQEILRMRIASLKEQPVPQGFVPAMANRNLIGQDPKYSSPFGAFFDQFCYRPVCRQGRNAPSLPKTPDPPSRPPPRDHPSFLSSQLTANQKAAKTTTLNTKRPPSASGSQVAVKAKEMERPDEKMGTTKKPRKKPPLLSAAEKRSDKYRRLPLNQLVPPPRSPYNLLQERYAHDPWKVIIICMLLNLSKGDQVRKKLEGFFERYPDAQTACTADPEKMAEYLAPLGLQRVKANRIHKLSKAYVEEEWTYITELCGVGKYAADAYAIFCAGRATEVVPEDHKLVDYWKYVCFKLPTQASQNVEEAAGVTGQGNLAPTVQQTALSC >KQK96098 pep chromosome:Setaria_italica_v2.0:VII:287891:291130:1 gene:SETIT_009711mg transcript:KQK96098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAPAAMVEGWEATRQKKRRKKHREEVEVAASSSESPRPPATPDSKTESPAPVTAETLTSTAVAAGKGRKRKKQEVAAASSLVQEAVRKEEKKKGRRSKHEAAAATPSPSIPAAAAAAATAQILEVAAASSLVEEAVRKELKKKGKRSKHEAAAAATPSQSIPAAAATVQILEVAAEGAAARKEQRRGKLEQGQSGQQPSPVDVHPHGGEAVVDGGVSGSKRVRRSSNGKPRVLTDQEILRMRIASLKEQPVPQGFVPAMANRNLIGQDPKYSSPFGAFFDQFCYRPVCRQGRNAPSLPKTPDPPSRPPPRDHPSFLSSQLTANQKAAKTTTLNTKRPPSASGSQVAVKAKEMERPDEKMGTTKKPRKKPPLLSAAEKRSDKYRRLPLNQLVPPPRSPYNLLQERYAHDPWKVIIICMLLNLSKGDQVRKKLEGFFERYPDAQTACTADPEKMAEYLAPLGLQRVKANRIHKLSKAYVEEEWTYITELCGVGKYAADAYAIFCAGRATEVVPEDHKLVDYWKYVCFKLPTQMFENVYMLQASQNVEEAAGVTGQGNLAPTVQQTALSC >KQK96096 pep chromosome:Setaria_italica_v2.0:VII:287891:290571:1 gene:SETIT_009711mg transcript:KQK96096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAPAAMVEGWEATRQKKRRKKHREEVEVAASSSESPRPPATPDSKTESPAPVTAETLTSTAVAAGKGRKRKKQEVAAASSLVQEAVRKEEKKKGRRSKHEAAAATPSPSIPAAAAAAATAQILEVAAASSLVEEAVRKELKKKGKRSKHEAAAAATPSQSIPAAAATVQILEVAAEGAAARKEQRRGKLEQGQSGQQPSPVDVHPHGGEAVVDGGVSGSKRVRRSSNGKPRVLTDQEILRMRIASLKEQPVPQGFVPAMANRNLIGQDPKYSSPFGAFFDQFCYRPVCRQGRNAPSLPKTPDPPSRPPPRDHPSFLSSQLTANQKAAKTTTLNTKRPPSASGSQVAVKAKEMERPDEKMGTTKKPRKKPPLLSAAEKRSDKYRRLPLNQLVPPPRSPYNLLQERYAHDPWKVIIICMLLNLSKGDQVRKKLEGFFERYPDAQTACTADPEKMAEYLAPLGLQRVKANRIHKLSKAYVEEEWTYITELCGVGKYAADAYAIFCAGRATEVVPEDHKLVDYWKYVCFKLPTQVGIWFKNA >KQK97038 pep chromosome:Setaria_italica_v2.0:VII:18428839:18430958:1 gene:SETIT_010289mg transcript:KQK97038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSIDPAPSADGRRRRRQIDRQLTKVDPRRHGKRPLPADKEEEDQPPPPPPAKHEQLEIEEHRYHVSQLQQGATFSAGGGGGGSSSSSAAGAAAGPSPEAYAQYYYSARADHDASAVASALAHVIRASPDQLPPHAFGGGGAPPGQGDYQQAAPPAAAAAAAEEEQAAGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDMGFLVTRGIPDRHHHQGGAAVTLAAMPPPHRQHHQTVVPYPDLMQYAQLLQGGGRGGGGAGDHHAEAAAQQAQARLMMMARGGVSLPFGAASFSSSSSSAPQILDFSTQQLIRPGPPSPAAAAPSTPSSTTTASSPGGSAWPYGGEHHRNKKDA >KQK97036 pep chromosome:Setaria_italica_v2.0:VII:18428839:18430958:1 gene:SETIT_010289mg transcript:KQK97036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSIDPAPSADGRRRRRQIDRQLTKVDPRRHGKRPLPADKEEEDQPPPPPPAKHEQLEIEEHRYHVSQLQQGATFSAGGGGGGSSSSSAAGAAAGPSPEAYAQYYYSARADHDASAVASALAHVIRASPDQLPPHAFGGGGAPPGQGDYQQAAPPAAAAAAAEEEQAGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDMGFLVTRGIPDRHHHQGGAAVTLAAMPPPHRQHHQTVVPYPDLMQYAQLLQGGGRGGGGAGDHHAEAAAQQAQARLMMMARGGVSLPFGAASFSSSSSSAPQILDFSTQQLIRPGPPSPAAAAPSTPSSTTTASSPGGSAWPYGGEHHRNKKDA >KQK97037 pep chromosome:Setaria_italica_v2.0:VII:18428839:18430958:1 gene:SETIT_010289mg transcript:KQK97037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGSIDPAPSADGRRRRRQIDRQLTKVDPRRHGKRPLPADKEEEDQPPPPPPAKHEQLEIEEHRYHVSQLQQGATFSAGGGGGGSSSSSAAGAAAGPSPEAYAQYYYSARADHDASAVASALAHVIRASPDQLPPHAFGGGGAPPGQGDYQQAAPPAAAAAAAEEEQGRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAIAYDEAALRFKGTKAKLNFPERVQGRTDMGFLVTRGIPDRHHHQGGAAVTLAAMPPPHRQHHQTVVPYPDLMQYAQLLQGGGRGGGGAGDHHAEAAAQQAQARLMMMARGGVSLPFGAASFSSSSSSAPQILDFSTQQLIRPGPPSPAAAAPSTPSSTTTASSPGGSAWPYGGEHHRNKKDA >KQK96552 pep chromosome:Setaria_italica_v2.0:VII:11893530:11893840:-1 gene:SETIT_012816mg transcript:KQK96552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEAAFVGLTVTARSMAETTRVFACAQSSIRLLMRRGSIKAANLTSSHKVVTWMKQLPVCSLS >KQK97234 pep chromosome:Setaria_italica_v2.0:VII:19786038:19788424:-1 gene:SETIT_010596mg transcript:KQK97234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTHKEEARAASNEVALSGPVMDEWDPWNPPYPPCRPAPDLDLKSHARLVREEVNESFYEELPSLLPILEKDSVRRFLRLFSQVGLSMGWGFIITPQTFTQMVKQNALKCAKVALEGKAPELTWFRANPNCMNRHGYFPLHQAAEMFSVDMVKLLFSYGASANVRTAGAHIVEDLLPLHVAVENTCLHKYLEDNAFPDQEDLEDSQANLNYICKLIHLLCLPEMKIFLDTTRLLAENTDSLVNELWNYIKDGKLVQTAVLLLAAQEQIRGGPSCKINGSSKPDGFSIKSSRKKNGNGKQDGFSIIINRIHTRTINLVVQTETVI >KQK99736 pep chromosome:Setaria_italica_v2.0:VII:34482091:34482949:1 gene:SETIT_012350mg transcript:KQK99736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKAPALLICFLFLLALASAAEIIGSNGVFSLGNNDGKGNLKPSRDAVGECRRRCSKTHHKKPCLFFCNKCCAKCLCVPPGTYGNKDTCPCYNNWKTKRGGPKCP >KQK99098 pep chromosome:Setaria_italica_v2.0:VII:30998644:31003426:1 gene:SETIT_009926mg transcript:KQK99098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHRNGTNGSDHSSCGPLTNYYIPDYILKPDSEQVIVDNAPSCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYSNFEKLKSNGDLLAIQIQKNLRLIGKKNPATDQAAVKSFLGQVKKAREMNIDSWHIIMRMRVPQEGPCDPIAPLDLPHSLHAFHRVSASDSLNVEGYHTFRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQGTYAKLGLKQGWFAASLTHPSSRNIAQLAKVKIMKRPGRQWEELIIPRSIRSIICLNLPSFSGGLNPWGTPGTRKVQDRDLTAPYVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKEDDTVVVEISHLRQVAMLASENCRSKSVNDPSSPSCHSHDDDDSNSLEDEDEWEDGRKKFGAAATFKIPDEVDIAHLS >KQK99099 pep chromosome:Setaria_italica_v2.0:VII:30998644:31003426:1 gene:SETIT_009926mg transcript:KQK99099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLHRNGTNGSDHSSCGPLTNYYIPDYILKPDSEQVIVDNAPSCPVVVFINSRSGGQLGSSLIKTYRELLNEAQVFDLSEEAPDKVLHRLYSNFEKLKSNGDLLAIQIQKNLRLIVAGGDGTASWLLGVVSDLKLSHPPPIATVPLGTGNNLPFSFGWGKKNPATDQAAVKSFLGQVKKAREMNIDSWHIIMRMRVPQEGPCDPIAPLDLPHSLHAFHRVSASDSLNVEGYHTFRGGFWNYFSMGMDAQVSYEFHSERKRNPEKFKNQLTNQGTYAKLGLKQGWFAASLTHPSSRNIAQLAKVKIMKRPGRQWEELIIPRSIRSIICLNLPSFSGGLNPWGTPGTRKVQDRDLTAPYVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGAAEHTFMRIDGEPWKQPLPKEDDTVVVEISHLRQVAMLASENCRSKSVNDPSSPSCHSHDDDDSNSLEDEDEWEDGRKKFGAAATFKIPDEVDIAHLS >KQK96868 pep chromosome:Setaria_italica_v2.0:VII:16716220:16716815:1 gene:SETIT_011553mg transcript:KQK96868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRSDHENTNSTIKRIGGSSVAKHGQQISRSKEYTFSSRSLYLDDNRCAIHQILVTSEQNLRVKHVHFH >KQK99813 pep chromosome:Setaria_italica_v2.0:VII:34864767:34868701:-1 gene:SETIT_009786mg transcript:KQK99813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDVGEPLDGGAALQLLRDADPAHFLSPSADLAAAARAASRHIYSSLAPLSPAQPPPLPGLLAGPAFDAEQIWSQIELLSRPLLPHLRRQLRRLEQQPRPQPPVETPADAEVEQSEEDGQGSELDEFKEELEETDEEEELSDDEEEEEEEELDGRGGKGLEDRFLKIGEMAEFLDKGDEEEYGGGANRGEKKKAAKNWMEDSDDEGEEDRDEDDDEGEDDDDEGQLDLEDFEDDDEEGEGDGGGGIMYKDFFEKKHNQPVKKRDGSTKKVQFKDDVHEMELDGSENDDGNEDQGLSTHEKERLKMRAKIEQMEKANLEPSTWTMQGEVTASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIAEGHFDDVEKPSLLPSKAPREHKELDESKSKKGLAELYEDDYAQKSGIAPAPLSISDELKKEANTLFKRVCLKLDALSHFHFAPKPVIEDMSVQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEEGELTQAERKRRRANKKRRYAGSHKERPVKLQKD >KQK97279 pep chromosome:Setaria_italica_v2.0:VII:20239749:20242067:1 gene:SETIT_011730mg transcript:KQK97279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRAVLSGAISLLLLAAAAVAGGERRSYIVQMDVEKMPAPFVEHEGWYLSVLSSLASATTTAAGESAPPPVHLYTYTHVMHGFSAALTTAQLEALKAVDGHVAAFPETYGRLHTTRTPEFLGLSAGAGLWPASKYGDDVIIGIVDTGVWPESESFSDAGIKKPVPARWKGACEAGQKFNASACNRKLIGARSFSKGLKQSGLGISPDDYDSPRDYYGHGSHTSSTAAGAAVGGASYFGYANGTATGVAPVARVAMYKAVFSADTLESASTDVLAAMDRAVADGVDVMSLSLGFPETSYDTNVIAIGAFAAMQKGVFVACSAGNDGSDGYTIMNGAPWIATVGASSIDRDFTATVTLGSGATIHGKSVYPQVSPAIAGGNLYYGHGNRSKQRCEYSSLSRKDVRGKYVLCTAAGDVSIGQQMDEVQSNGARGAIIAGDTKEFLQPSEYTMPVVLVTASDGAAIAKYMTAAYGGRRGARAPTASLRFGGTALGVKPAPAVSYFSARGPGQISPTILKPDVVGPGVDILAAWVPNKEIMEKVFTKYALVSGTSMSSPHVAGVAALLRAAHPDWSPAAIRSAMMTTAYVKDNAGNVIVSMPSGSPGTPLDFGGGHVSPNDAMDPGLVYDAAADDYVSFLCGLRYSSRQISTITGRRNPSCAGASLDLNYPSFMVILNRTNSATRTFKRVLTNVAASPAKYSVSMTAPAGMKVTVSPTALSFGGKGSKQTFTVTVQVSQVKRSSDEYNYIGNYGFLSWNEVGGKHVVRSPIVSAFAQ >KQK97815 pep chromosome:Setaria_italica_v2.0:VII:23418076:23420103:1 gene:SETIT_012523mg transcript:KQK97815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPDDALADALRRLPAESLAAARCVCKAWRGAVDDRGLLLPHLLPHSVRGIFINYIEHKRPHLFAHPSPTSPPARPKIDAMLSFLPNDTKDAWSVMDHCDGLLLCDINRGRQLCVCNPATQRWTLLPPRGAEGLLGCAGEHLVFDAAVSPHYEVVLIPALPQEPVRKKQRRELPWHQEVRVPASFEVEWTVPPLPPRPPSVRTPDEDKEADDDPYRLMEWPPTPWQVSVFSSRTGQWEDRSFVREGEPAGTALSLVMFQSPCTIHSSARVLVIVLCSSTTNIANVKPCLGRSGKDVCFGIVDGAQLRVWILRESCEKMVWNLRYQGNLSFYIHYLGSLYDNNNSPIRGPWIVEEDNGFEWDSDDDDVVSVDSIGEEEEVFWGDFSHILGFHPYKEVAFLAEPLGAVAYHMNTSKAQYLGNSRPDCYFHNSSNGIYESFVYTPCTIGELQEGNVVRSSPRRLLSGIYGEGS >KQK96299 pep chromosome:Setaria_italica_v2.0:VII:3160497:3160683:-1 gene:SETIT_013109mg transcript:KQK96299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLCFTKIVDLNLANIHDTSCKYCGYVFATCTHDSVIRSMLVCYSSKVFTLIEIRETF >KQK96814 pep chromosome:Setaria_italica_v2.0:VII:15794871:15796611:1 gene:SETIT_010076mg transcript:KQK96814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSDVEAAAADDGKQTVGAGAATAIEPKGTWWQAGFHMTTATLGPASLSLPYALRGLGWAMGLAALTAVAAVTFYAYFLVSRVLDRCEATGRRHARFRDLAADVLGSRWATCLVVTVQVAINVGISIGSILLAADCLELTYSRHAPNGSLKLYHFVIMVAVVLAVLSQLPSLHSLRHINVGSMVISIGYTMLVSAACICAGLSRDAPAKDYSLSTSRSEKTFTAFLSVSILTSVFGNSILPEIQATLAPPAGGKMTRALVLSYSVFVLAFYSPAVAGYWAFGNQVRSNVMQSLLPADTGSSLAPPWMLGLAVVLILLQLIAIALVYLQVTYEMMEKNLFSNKSKGRRLLLAPRVALRAAYVAALAFVAAMLPFFGEIQGVVGSVGYIPLDVVIPVVMYNMALAPRRRSPAYVANVAIMVAFVGLGVIGAVASVRKLVLNADKFKLFSNGRS >KQK98448 pep chromosome:Setaria_italica_v2.0:VII:27078734:27079202:-1 gene:SETIT_012982mg transcript:KQK98448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGSDRATATEGALHVYFLSFYRLCCRVLDASPIHRGA >KQK97363 pep chromosome:Setaria_italica_v2.0:VII:20792924:20794429:-1 gene:SETIT_012449mg transcript:KQK97363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAQRARRGGLSVPDRLSALPDELLRDVLSFLPAQQVVQTTVLSKRWTDLWRSVPGINLDLRHFQRDFFESCPAAWERMENFANNLLILHNAPCLDAFRFHASFVDCDPLRHLDRWVRRAIKDNPLVLELFVLCRSAPLSYQLPHLSSSPCRRLKRLQLTGISLDHSFAGQLRSWCPELEHLVLERCNIGFCCIESDKLKNLVVKYCKGQPADVFVVRTPALTSLCLHIPFATYKNGVSLDAGNLLMQASVHVLPTFSSPIKCEIILLGSLFNVTNLELKGFRARAVLNKEFNDVPVFDSLRTLSLVSCFSTIKECALWRFMQKSPYLEKLILKDFHVI >KQK97650 pep chromosome:Setaria_italica_v2.0:VII:22395549:22396191:-1 gene:SETIT_012205mg transcript:KQK97650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKVVIKVSMPCERSRSRAMALVARAHGVLSMEITGGDARDKLEVVGDGVDAARLVSCLRRKLGHAEILLVEEVKDKMAEEPEEPTVQHEAAVEPPPRCYHSCHDCHHHHHPPPMVVCEEPSNCPIM >KQK97133 pep chromosome:Setaria_italica_v2.0:VII:19224268:19227539:-1 gene:SETIT_010861mg transcript:KQK97133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIFSSAHLALRRSLAAAPRAASLGEGLRQASDGYVTRRLLHGQLLPRCFTSDAFGPNKNFPPSGRDFAAEWKPHQNLNEFNFVRQNLRSNTQVNFNNADNGGTMSKTAGGEKPSNLGGRFQFPASRMFSEREQYSQKKRDFIHVLLKKNKTFVTVTDASGNKKTGASAGCLEDRKGRSRLSRYAAEATAEHVGRSARKMGLRSVVMKVKGISFFKKKKKVILGFREGFRGERVRDQSPIMYIHDVTQLPHNGCRLPKQRRV >KQK96354 pep chromosome:Setaria_italica_v2.0:VII:4097274:4097879:-1 gene:SETIT_011797mg transcript:KQK96354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSHANYTGHHNTTPAGHPPTMEGRDRGRGLKQLPSWTLGQNFSLAGGCFPWRSLPSSSSSSYTCSYCRREFRSAQALGGHMNVHRRDRARLRQCCPAWTSSSLPSTTNMAAAAPQQHRDPLPNLNYSPPHCAGGPTAAAEAPVIYSFFSTATSTVVAAATKPTTTLEVSLELGIGVCGGHSGEGLAEEGLDLELRLGCAWE >KQK96778 pep chromosome:Setaria_italica_v2.0:VII:15452197:15458094:1 gene:SETIT_009384mg transcript:KQK96778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRGAATEPNTNPQHPARPHVDAGALPPPTPNSPHPRPHAGRRALVAARARPPPPSPGSTAAMSFAAASASSACADGLLALADEAERRRDFPAAASCLESALRPPHAAALLPLAEARARLRLASLLLAPRGSSRAPRAGGGPAAAKAHLERALLILSPLPSAPPRLKLLAHSHLAGAYAVLGAVPSQKHVLHRALGLLASVSASGLLQRGPALLWNCNFQAQLASALTVDGDPTSALSALSTGAAAAAELGNPQLELFFAASSIHVHLLCWEDSAAVENSVNRATQLWDALPAEQKEHWVGLFFYIELLRTFYLLRICDYKAASQRVELLDTAAKSEMQRGRRIKELANDLRAVERTLGQPGLKERERSALSHKQRQLKTQLRVLCGYDKLSDVLDYGDKLLLAPPPMHGEWLPRAAVFVLVDLMVVMVGRPKGIFKECGKRIDSGLRLIHDELGKLGIVDGVREVNLEHSTIWTAGLYLMLLLQFLENKVAVELTRSEFVEAQEALAQMKSWFSRFPTILQGCESTIEMLRGQYAHSVGCFNEAAFHFLEAMKLTESKSMQSMCQVYAAVSYICKGDAESSSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQHNPQEARNRLASGLRIAHQQLGNIQLVSQYLTMLGTLALQLHDAGQAREILKSSLTLAKTLFDIPTQIWILSVFTELYRELEERSNEMENSEYERKKEDDLERRLSEAYSHAFHQELVEQSRIQIQPLHDMSRMQSEMAGPTANDDLDIPESVGLSAPQPSVKRLVEQGSARRNTRRRQS >KQK96779 pep chromosome:Setaria_italica_v2.0:VII:15452197:15456461:1 gene:SETIT_009384mg transcript:KQK96779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASIRGAATEPNTNPQHPARPHVDAGALPPPTPNSPHPRPHAGRRALVAARARPPPPSPGSTAAMSFAAASASSACADGLLALADEAERRRDFPAAASCLESALRPPHAAALLPLAEARARLRLASLLLAPRGSSRAPRAGGGPAAAKAHLERALLILSPLPSAPPRLKLLAHSHLAGAYAVLGAVPSQKHVLHRALGLLASVSASGLLQRGPALLWNCNFQAQLASALTVDGDPTSALSALSTGAAAAAELGNPQLELFFAASSIHVHLLCWEDSAAVENSVNRATQLWDALPAEQKEHWVGLFFYIELLRTFYLLRICDYKAASQRVELLDTAAKSEMQRGRRIKELANDLRAVERTLGQPGLKERERSALSHKQRQLKTQLRVLCGYDKLSDVLDYGDKLLLAPPPMHGEWLPRAAVFVLVDLMVVMVGRPKGIFKECGKRIDSGLRLIHDELGKLGIVDGVREVNLEHSTIWTAGLYLMLLLQFLENKVAVELTRSEFVEAQEALAQMKSWFSRFPTILQGCESTIEMLRGQYAHSVGCFNEAAFHFLEAMKLTESKSMQSMCQVYAAVSYICKGDAESSSQALELVGPAYRTMDSFVGVREKTCIIFVYGLLLMRQHNPQEAR >KQK99038 pep chromosome:Setaria_italica_v2.0:VII:30606516:30609731:-1 gene:SETIT_009364mg transcript:KQK99038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPIIQQAVEHPEVREEEGEEEEEEEDASMRVEGAFGGVHSHSTFRFKHKKRSKVWEEYKPIFLNGKVQFAECLYCRSRMSCKDSNGTSHLWRHQKICPGKEDVVRRRLKDSYFPCVLVNENEPVTPGDPVNQIISETLDDINSVIPGRFKSKVWKEFSPIYVEGKLQAADCVHCHKRLSANKYGGRSHLSRHLQTCQARSQKGVFYPSSLPSLKSRGQDELSPSLTNGKIQTAEYNSKLLRSGSSGDNSPIVRPIQVVPAHQPLPTADFPSLKKQRTSFMTTTTDIGTRKVDQETAYQELARMIALHGYPLSIVEHEEMRRFVKNLNPMVNAVSHNDMEGHCCALFQKEKANLKNKLALSSRRVSLSASIWTPDGAEPAVNYLCLTAHFIDKDWKVNRMVIKFGMFQSSPANVERMIHCKEACVPESESGAYNVIWDAIRDWNLDQKLLSLTYVGEVRDDTSTSKLKETLVEKKCLPIRGKIYDIACVDDFLNNIVSEVQQNIIHLVSDMVTEFFGVHTSSSSKQHQLVEVISQMSLKCPQEDAKWWHKFYFRLEVLLHFKKSFPSEEVASPEDIGVAESICKILRTFYRVIEVISSPNCPTANMYFNEIWKVRTVLQEEASNEHGEIATMVTEMQEMFNRYWQNSYLWLCLPVILDPRFKISFIEFRLKRAFGLKSASYLSAIRQTLQELFHEYCNSVDQPNGGATKSEAFDADDNDSLDDWDQHLNEQASSQKSTELDNYLEDGLVPRKDDFDILNWWMCHTTKYPRLAAIAQDILAMPASAVQSEAAFTSSGPVIPKHHSTLSIKTIEALVCTRDWMR >KQK96538 pep chromosome:Setaria_italica_v2.0:VII:11253831:11254011:1 gene:SETIT_012876mg transcript:KQK96538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSSSVSMPIQYSSSVEIPGLGKDRPRIYARRWMCF >KQK98971 pep chromosome:Setaria_italica_v2.0:VII:30273910:30283628:1 gene:SETIT_009393mg transcript:KQK98971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFWHVPGLSAASPVDTILDKENFKLEDLLDEDEIIQECKALNTRLINFLRDKVQVEQLLRYIVEEAPEDAEKKRIFRFPFIACEIFTCEVDVIMKTLVEDEDLMNLLFSFLKSDHPHGTLSAGYFAKVVICLMMRKTLPLVSYVQGHPEIVSQLVDLIGITSIMEVLIRLIGADETMYSSYADSMQWLDDIKVLEMIVDKFSTSDSPEVHANAAEILCAVTRYAPPALAAKISSPSFVGRLFQHAFEDSRPKSVLVHSLSVCISLLDPKRLVSASYQAFRSQLSHGTLVTASPETVNGMLDSLGDLLKLLDVSSAENVLPTTYGSLQPPLGKHRLKIVEFISVLLSIGSEAAEARLIHLGAIKRAIDLFFEYPFNNFLHHHVENIIGSCLESKQDQLIGHVLDECKLVTRILEAEKNSALSTDLTKHTLSAEGKSPPRIGIVGHMTRIANKLLQLANTNTMVQSHLQQNSGWIEWHASILTKRNVLENVYQWACGRPTSLQDRGRDSDDEDFRDRDYDVAALASNLSQAFKYGIYSNEDIDEAQASLERDDEDVYFDDESAEVVISSLRLGDEQDSSSLFTNSNWFAFDEDKALNDGSVSSEASPSPNSEISAPKLDDETDEVILGEVIDDTKGSESSLPDTKGSEPPLAVSNKDINEEFGHTVLANGTIDKLEDDIRPPTPDVKESQPECVEWREEEAEPGGVVEKDTTAPDFEVENEKQLHSMDDVMPCEAKLGEVKESDNSSGSSAPETTAEAVLPVSSDSDSIKHPEPVGESTVSEYPLGGQNQEEDENKRE >KQK96460 pep chromosome:Setaria_italica_v2.0:VII:8014335:8015498:-1 gene:SETIT_010869mg transcript:KQK96460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATGSFTRVIALLAAASLLWKEAACFSASGLNKAFATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGASCGQCYRITCDYQADPRFCIIGTSVTITATNLCPPNYALPNDNGGWCNPPRQHFDMAEPAWLKIGIYRGGIVPVIYQRVPCVKQGGVRFTINGRDYFELVLISNVGGCGSIQSVSIKGSRTGWMAMSRNWGVNWQSNAYLNGQSLSFQLTSSDGQTKTFLNVAPANWGFGQTFATSQQFS >KQK97560 pep chromosome:Setaria_italica_v2.0:VII:21896939:21899536:-1 gene:SETIT_011071mg transcript:KQK97560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESCVPRPLFGGAISTAFPARFQDVSNIREVPDHQEVFVDPARDESLIFELLDLKGEVDDAGSALWFLRDIANEQDAADNLVVEHSGTLELAGLRLGEAPAVAGTSVGQLAVSKGRQGREAQNIVRLYLANIRIKNAATDVLITAYEPLLINPLSESATAVAAGPAIPAEQAGCLPMSEIFKLAVMNFNVHDWNLFNGGP >KQK99024 pep chromosome:Setaria_italica_v2.0:VII:30526166:30530978:1 gene:SETIT_011923mg transcript:KQK99024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVSRQFVDMSRIRIEGLLAAFPKLVGTGKQHTYVEIENVRYVYQPIEDLYLLLITNKAVYILTSCYYPVEGVCKTAFELIFAFDRGISLENKENVTVQQVKQYCEMESHEEKAHKLMMQAKINETKDVMKKKANELDKMRMERGKLDKGGYSSISGPRVIEKAFNDMSISGSRFGSGSGLGGLSTDMDSFASKPKGRPSTSATAPGKDLGMKLGKTQKTNQFLESLKAEGEVILEDVQPSAVPSRSSALPPSDPVTVTIEEKLDVVVKRDGCINNFDVQGSLALQVLNDADGFIQLQIESQDIPGLSFKTHPNINKELPFPSGQNETPLVKWRIQGMDESSLPLSVNCWPSVSGNETYVNIEYEASEMFDLHNVVMSIPLPALREAPNVNQIDGEWKFDSRNSVLEWSILLIDQFFGSMEFVVPPADPSSFFPISVGFSASGTFSDLKVTGIHPLKEGNAPKFSQRARLLTAKSNSKSM >KQK98883 pep chromosome:Setaria_italica_v2.0:VII:29755379:29757025:1 gene:SETIT_012252mg transcript:KQK98883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRFGSVGDLSASVPATAPRRNVQAVGKLLLCSSTCCSAPAPQARRRRAARDASQSDQEVHGCIPKLVSGASSTARHVGKRLPCSSLPPIRDDGDHSGMLSPGSAFFHEAPQGRHETETPKLGFSRVDVSNRMRRIVEQLRPMRKETSEILTTLGSTWKTAPDIGQSRPITTSESIEPRLYGRDGIMKNIIHDITQGKYYGENLTVLPIVGLGGIGKTTLAQHIYHNQEVQKHFEVMIWKCVSLNFNVNKLMEDVENHVPKVQDEKNGTAEELIGQRIKSKRFLLVLDDMWEFSDEDEWKRLLLPFKKSQVKGNIIIVTTRSPKLGEMVKTTHHPIELEGIDREEFKRLFLAFVFGDEQPTLDHTVLLETGYKIMDKLKGSPLAAKTVGRLLRNDLDLDHWTRVLESKEWESQRGSNDIMPALKLSYDYLPFDLQQCFSYCALFPQDYKFDSKELILFWIGQDVLHSGDQNNLTVEDIGLTNIRDLVSHGFFKKDETEGRAGYTIHDLLHDLASKVTSHECLNVHLSSKTLGNIRPSIRHLSITLDI >KQK98466 pep chromosome:Setaria_italica_v2.0:VII:27177378:27193954:-1 gene:SETIT_009194mg transcript:KQK98466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQISLLAFPISAQQSNGSRVVPAEGYCSMYGICAQRSDGKVLNCANATKAVKPDTLFSSRIQSLCPTITGNVCCTADQFDTLHQQVQQAVPFLVGCPACLRNFLNLFCEMSCSPNQSLFINVTSVKQINSTMTVDGIDYYVTTNYGEELYNSCKDVKFGTLNTRAMDFLGGGAKTYKEWLAFIGRQANPNEPGSPYLITFRSDFSDSSGVKPLNSTIYSCGDPSLGCSCGDCPSSSVCTGSLLPQLNTDTSCSVKMGSLKAKCLDFSLVVIYLALLCAFLLWGLLHRARGRTASSLQTKPLKNSDDKSDSNQNGKSPHNSVQVSEAASSTVKPSYPSIVQTYMSIFFRKHGIFVARHPLLVLCVSLLIPLLLCIGLLRFKVETQPEKLWVSPGSRAADEKNYFDSHLAPFYRIEQLVLATSASSGSAAPSIVNDNNMKLLFQIQKKVDDLRASYSGSTVALADICLKPLSTDCATQSVLQYFQLDPKKYDDSGIDHAKFCFQHYTSEETCLSTFQSPIDPSTILGGFSGNNFTEASAFVITYPVNNKVETTGQENGKAVAWERAFINLVKEEILPMVLAQNLTLSFSSESSIQDELNRESTADAITIVISYIVMFAYISFTLGDRPSRWLSLFVSSKVLLGLSGVVLVMLSVLGSMGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPDQSNLEERISEALVEVGPSITLASFAEVLAFAVSAINPMPATRVFSMFAALAVFMDFLLQVTAFVALIVFDFRRAQDGRIDCVPCARIASSPAAGDGGDGQRLHLLARYMRDIHGPILSYRAVKFVVITVFVGLAFSSIALSTRLQPGLEQQIVLPRNSYLQDYFDDLAKYMKVGPPLYFVVKDFNYSSASVHTNQICSINQCNSNSLLNEIARQSLSPETSYIAKPAASWLDDFLIWMSPEAFGCCRKFVNGSYCPPDDQPPCCQLDQDSGTCSASRTCSNCTTCFLHSDLDNGRPSTTQFKDKLPWFLDALPSSDCSKGGKGAYSTSLDLNGYESGIIQASAFRTYHTPLNKQTDYVNSMRAARDFSSKMSKDLQMEIFPYSVFYIFFEQYLGVWKTAIMNICVCLGTIFVVCFLVTSSLWASAIILIVLAMIVLDLMGVMAILGIQLNAISVVNLVMSIGIAVEFCVHITHAFMIGTGDRETRARQALSTMGASVFSGITLTKLVGVIVLRFAKSEVFVVYYFQMYLALVLIGFLHGLIFLPVVLSLCGPPPKSVKPVEQNQPPSASTERT >KQK99630 pep chromosome:Setaria_italica_v2.0:VII:33902155:33903195:1 gene:SETIT_010849mg transcript:KQK99630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATHHLAQGHPQAWPWGVAMYTNLHYHQQQYEREHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLGGAGGVASGDGSEKGLLLAFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKRLDAGDVVRFERVRGGLGAGDRLFIGCRRRGESAAPAPTPPPPVRAPAPALNPGEQQPWSPMCYSTSGSSYPTSPASPYAYHSDMAHAGEADAKSSGTPTAPSRKLRLFGVNLDCGPEPEPEAAMYGYMHQSPYAAVSTVPNYWGSS >KQK98522 pep chromosome:Setaria_italica_v2.0:VII:27724138:27724524:-1 gene:SETIT_012990mg transcript:KQK98522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVGGMAVVVNCTHTFYSVLVWICPGPSNVLCHAC >KQK97282 pep chromosome:Setaria_italica_v2.0:VII:20256768:20258616:1 gene:SETIT_010233mg transcript:KQK97282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSARRRHAGCAGEWAAVSGAGAWRVEAAGKHQLMRRTGLPARDLRALDPALSYPSSIMGRDRAVVVNLERVRAVITAAEVLVPAPRDPAVAPLVRELRARLAASPAPPQVSFTSEDGAAEDGGELPPRRGGGGGGDGNGKDGQALGSDKVLPFEFRALEVCLEFACKSLEQETCTLEKEAYPALDELSSNVSTLNLERVRQIKSRLVVISGRVQKVRDELEHLLDDDIDMAAMHLSEKLAYQAADSQSSRFAADNEPSEFDEERDGEVEEEGGSSEGGGYGNGTSAAAGFTPKIDELEILLESYFVQTDGTLNKLNTLREYVDDTEDYINIMLDEKQNQLLQMGIMLSTGTLVVSAAIAVTGVFGMNITIPLYDKGVGAFWQVTGGIVGATAAIYLVALLCYRRSGILQ >KQK96564 pep chromosome:Setaria_italica_v2.0:VII:11971718:11972839:-1 gene:SETIT_011790mg transcript:KQK96564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSSKAFLLAILGCACLCSSILAARELSDAAMVERHEKWMVEYGRVYKDTAEKAQRFEVFKDNVAFIESFNAGNTKFWLAVNQFADLTNDEFRATKANKGFKPISTSVPTGFKYENLSVNALPTTVDWRTKGAVTPIKNQGQCGCCWAFSAVAAMEGIVKLSTGSLISLSEQELVDCDTHSMDEGCEGGWMDSAFEFVIKNGGLTTESNYPYKAVDGKCKGGSKSAATIKGHEDVPVNNEAALMKAVANQPVSVAVDAGDRTFMFYSGGVMTGTCGTELDHGIAAIGYGVESDGTKYWLLKNSWGTTWGEKGFLRMEKDISDKRGMCGLAMKPSYPIE >KQK98840 pep chromosome:Setaria_italica_v2.0:VII:29540880:29541307:1 gene:SETIT_012948mg transcript:KQK98840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQSKRRPLLQKSDFAWKLRPQTLKSCDSDFQVATHPFLINY >KQK96608 pep chromosome:Setaria_italica_v2.0:VII:12976630:12977209:1 gene:SETIT_012678mg transcript:KQK96608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRLGCTARFSVCWKKTLCSVPKEIRKGLNSLIILISWEVWKHMNSCVFENARPSISLLLETLADEISF >KQK98053 pep chromosome:Setaria_italica_v2.0:VII:24719944:24720996:1 gene:SETIT_011475mg transcript:KQK98053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFVPGFCPYRQTRHVHHSGSSSPSKHNGFFFKRIKLPLILIKKKIQIRQNTTVFTQRRFACVFSNDKWTRHLPKPFYSTKHDLGM >KQK98513 pep chromosome:Setaria_italica_v2.0:VII:27614580:27617941:-1 gene:SETIT_012285mg transcript:KQK98513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYLQLASLRLATTIPLSRKLYTANLLATSEAMAAIAYIALCAAALAVLAALLRWAYRWNHPKSKGRLPPGSMGIPLIGETLQFFAPNPTCDVSPFVKERVRRYGSIFKTSIVGRQVVVSADPDMNYFVFQQEGKLFESWYPDTFTEIFGRDNVGSLHGFMYKYLKTLVLRLYGQENLKAALVAETDAACRGSLTAWAAQPSVELKEGLSTMIFDLTAKKLIGYEPSKSSECLRKNFVAFIRGLISFPLNIPGTAYHECMEGRKNAMKVLKSMMKERMADPERRCEDFFDHVIQELRREKPLLTETIALDLMFVLLFASFETTALALTLGVKLLTENPKVVDALREEHDAIARNRKDPDAPVTWAEYRSMTFTNQVIMEMVRLANIVPGIFRKALQDVEIKGYTIPAGWGIMVCPPAVHLNADIYEDPLAFNPWRWQDKPEITGGTKHFMAFGGGLRFCVGTDFSRVLMATFIHSLVTKYSWRTVKGGNIVRTPGLGFPDGFHIQLVPRN >KQK98279 pep chromosome:Setaria_italica_v2.0:VII:26013998:26017399:1 gene:SETIT_009698mg transcript:KQK98279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADEAKAKGNAAFSAGRFDEAARHFGDAIALAPDNHVLYSNRSAAYASLGRYSEALADAERTVALRPDWAKGYSRLGAARLGLGDAPGAVEAYEKGLALDPSNEALKSGLAQARQAASAARRPGGSGADALGKVFQGPELWSKIAADPTTRGYLDQPDFVQMLREVQRNPSSLNTYLSDQRMVQVLTLMLNINIQHKSNGASEPASAQSAPQTPKQQPEAKAREPEPEPEPEPMEVTEEEKERKERKAAAQKEKEAGNAAYKKKDFDTAIQHYTKAMELDDEDISYITNRAAVYLEMGKYDECIKDCDKAVERGRELHADFKMISRALTRKGTALAKLAKSSKDYDVAIETFQKALTEHRNPDTLKKLNEAERAKKELEQQEYYDPKIADEEREKGNEFFKQQKYPEAVKHYTEALRRNPKDPRVYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAIQFFMKEYDKALETYQAGLKHDPKNQELLDGVRRCVEQINKASRGELSEEELKERQNKAMQDPEIQNILTDPIMRQVLTDLQENPRAAQAHLKNPGVMQKIQKLVSAGIVQMK >KQK99818 pep chromosome:Setaria_italica_v2.0:VII:34892727:34894298:1 gene:SETIT_012343mg transcript:KQK99818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPEMQSSALSLLLLLLLPILYIFYHLTRTLTKKKPTTHGLKSHPLLGHLPAFLRNRHRFLDWSTELIVASPEQRMGFWIPGMRTGIVTGNPADVEHVLRANFANYPKGAHAISMLEDFLGNGLFNSDGDQWLWQRKNASLEFTKRSLRKFVVDVVQAEVADRLLPLLRRNASGDGGGAVLDLQDVLERFAFDTICMVAFGHDPCCLADGGVMAEARSDFMHTFGEAQDLIVRRFLEPIEVSWKIKKWLNIGTERRLKKAISDIHAFAMDIVRARRQSASLDDARDDVLSRFVASDDHSDEALRDIVLSFLIAGRETTSSALTWFFWLVSSRPDVVSRIADEVRAVRESTGTRAGEPFGFDALRGMHYLHAALTESMRLYPPVPIDSQSCAADDTLPDGTHVGAGWSVTYSAYAMGRLAAIWGEDCAEYRPERWLGEDGAFRPESPFRYTVFHAGPRMCLGKEMAYVQMKSIVASVLEEFVVDVKKDSAGGVPEHVLSVTLRMKGGLPVQVRRRVVPGGAE >KQK99342 pep chromosome:Setaria_italica_v2.0:VII:32463071:32464335:-1 gene:SETIT_012018mg transcript:KQK99342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EWPDHELGKKIKRESALAAADRMMTAARRSCSLPAGAGAVAGAGPGSALNTITPCAACKLLRRRCAQECPFSPYFSPLEPHKFAAVHKVFGASNVSKMLLEVHESQRADAANSLVYEANLRLRDPVYGCMGAILTLQQQVQALEAELATVRAEIVRHRYRPATASAAVATVLPSSHASQLLAASAASRGMHAGSRSVRTRTATLAAAASSSSSSAVYAAASSSTDYSSITNENVPYFG >KQK99767 pep chromosome:Setaria_italica_v2.0:VII:34650084:34657667:1 gene:SETIT_009562mg transcript:KQK99767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKHVYSCNIFFSFLLFSLCCKGVAAELEWTQTATLEVDASWRLARKIPETLFGLFFEEINHAGAGGIWEELVSNRGFEAGGPHTPSNIDPWSIIGDESSIYVTTDPVSCFTRNIVALRIEVLCDKCPTGGVGVYNPGFWGMNIEEGKTYNLVMYIRSPESVELTASLTCSRPSGALQNLASAYIQDIDVSNWTRVELKLLAQETCRTSRLDLTTSKRGVIWFDQVSLMPSDTYKGHGFRKELMYMLLDLKPRFLRFPGGCFVEGNLLRNAFRWKETIGPWEERPGHYGDVWNYWTDDGLGYFEFLQLAEDLGAAPIWVFNAGISHNEGVDTNSIAPFVKDVLDSLEFAKGSAESNWGSVRAAMGHRKRFPLKYVAIGNEDCQKEFYQGNYLKFYNAIREAYPDIQMISNCDGSSEALDHPADLYDFHIYNSSTDIFLMKSKFDRTSRTGPKVFVSEYAVTEQKDAGNGSLLASLAEAAFLTGVEKNSDIVQMASYAPLFVNDNDPGWKWNPDAIVFNSWQQYGTPSYWMQTFFRESSGAVIHPITIASSYSDSLAASAITWRDTENSFLRVKIVNFGPHAVSLTISAQELQVGVDTMRSRVTVLTSSNVMDENSFSNPNNVVPVSRELPNAGEEMQALLAPYSFTSFDLALDQHERVAEM >KQK97874 pep chromosome:Setaria_italica_v2.0:VII:23704027:23705050:1 gene:SETIT_010908mg transcript:KQK97874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCRAHTVSAMPPSSPLVKLPLLPRGLLSYLPASILPSSGRESTITPTTSSPSTPPQPAPPSPKKMSSSPGQQQQAGSGGGKADSAELARVFELFDKNGDGRITREELEESLGKLGMSVPGDELASMIARIDANGDGCVDVEEFGELYRAIMAGDGGRAGGEGAGAGEEGAGGEDADEDMREAFRVFDANGDGYITVDELGAVLSSLGLKQGRTAEECRRMIGRVDRDGDGRVDFHEFRQMMRAGGLATLG >KQK97798 pep chromosome:Setaria_italica_v2.0:VII:23315765:23319304:1 gene:SETIT_011226mg transcript:KQK97798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMAAAAARVVNYPLVAALLAFAIAQSSKVFTTWYKDNRWDARQFIASGGMPSSHSATVTALAVAVGIQEGFRSATFATALVFACVVMHDAFGVRLHAGKQAEVLNQIVYELPQEHPLSETKPLREILGHTVPQVVAGCILGILMAVVMHLAVGSS >KQK98245 pep chromosome:Setaria_italica_v2.0:VII:25859756:25862891:-1 gene:SETIT_011049mg transcript:KQK98245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEAAKKVEVEVAKEPEAAPAAAEAAKEDVAEEKAVIPASEPPAAEEKPVVEEKPADDSKALAIVEKVADEPAAEKPAAEKQGSSNDRDLALARVETEKRNSLIKAWEENEKTKAENKAAKKISAILSWENTKKANIEAQLKKIEEELEKKKAEYAEKMKNKVAIIHKEAEEKRAMVEAKRGEEVLKAEEMAAKYRATGHAPKKLIGCFGA >KQK97273 pep chromosome:Setaria_italica_v2.0:VII:20173694:20181644:1 gene:SETIT_009713mg transcript:KQK97273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATSAPPASAHANGNGTHAGMAAQVGAPTNPMATALLTDQYQFSMAYAYWKAGKHADRAVFDLYFRKNPFGGEFTVFAGLEECIKFIANFKFTEDDVSFLQSVMPMCEGAFFDYLREVDCSDVEVYSIPEGSVVFPKVPLMRVEGPVAVVQLLETPFINLINYASLVTTNAARHRHVAGKSKVLLEFGLRRAQGPDGAISASKYCFMGGFDATSNVLAGNLFGIPLRGTHSHAFVSSYMSLDEIPDKALRSKDGSRVCQDFVSLVQEWLQKIQVADSLGGVFGYTNPSELAAFASYALAFPSNFLALVDTYDVMRSGIPNFCAVALALHDLGYKASGIRLDSGDLAYLSIEARKVFRAVEKEFNVPGFGKMVITASNDLNEETIDALNKQGHEVDAFGIGTYLVTCYSQAALGCVFKLVEINSKPRIKLSEDVAKVSIPCKKRCFRLYGKEGYPLVDIMIRESEPSPKAGERILCRHPFIESKRAYVVPQHVEELLQCYWPGRSDKPRAELPSLDKIRSRCMQQLEKLRPDHIRRLNPTPYKVSVSAKLYDFIHCLWLNEAPVGELQ >KQK98399 pep chromosome:Setaria_italica_v2.0:VII:26745910:26750006:-1 gene:SETIT_009848mg transcript:KQK98399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSSHQKGKMCNKKPLGIQLFECARGSPISFRSCQALVLVLTFLSYASYHATRKTTSIVKSVLDPKTNLGILHWPSNLYLQNLKGAENNRTLSSGWAPFNAEDGTALLGEIDLAFLGVYAIGMFFAGHLGDRVDLRILLTIGMIGTGLFTAAFGAGYWFNIHNFYYFLGMQMMAGLFQSSGWPSVVAVVGNWFGKSKRGLILGIWNAHTSVGNISGSLIAAAMLKYGWCWSFAVPGIMIALVGLTVFLFLPVSPDVIGIQEDFHLKDSEKTGMDTPLLERRSQAKEKAVGFIEAWRIPGVAPFALCLFFCKLVAYTFLYWLPFYISHTAIGGQYLSNSSAGVLSTLFDVGGVVGGILAGHISDRLDARALTAASFTFSAIPALFFYRIYGSISLTWNIALMFITGMLVNGPYALITTAVSADLGTHSSLNGNSRALATVTAIIDGTGSIGAAVGPLLTGYISARSWSAVFTMLMASALVAGLLLTRLVVAEVVAKMEPRRTPDPAASDLPVSSMDEP >KQK97123 pep chromosome:Setaria_italica_v2.0:VII:19196699:19198309:1 gene:SETIT_010205mg transcript:KQK97123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPWPPRGAGAEGGGEEAGSLSTDGNTSSANASTSSSTAASSGARRSGDGGAAEGRGSTPRSAATINLSQEYRLAIHTESYQEIWSKIHVDGDGRREEGGGEEEEEEKEEEAEGEEVENRITLAGVLRPEEAEVERALGDAPDTELTRLAADYFRSTHHASLLCLSLRRALRRARALYGPITDLLALIPHSPQLAVAHCDCAFDAFLLFDQMPNPFPAPAAGFQGMHQSFAGLKEHLDLRLLSVRRRRRWLRCAKRGSGICLIACATGAAIAGLVLATHAITALLATAPACAASSSSCCPLAASMKRLQKHMDRLDATARGTYVLNNDVATIERLVGRLHATVESDKMLVRLGLERGRGQHHTIEEVVRQLRKNHPSLLRQLADLEEHICLYFAAVNRARLLLVRHLNAQSDPDAESPVS >KQK96192 pep chromosome:Setaria_italica_v2.0:VII:1430335:1432376:-1 gene:SETIT_009602mg transcript:KQK96192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTKQSSSFWSSRRRASSAPATPRPEPPVGCMSMVQYLIFAPGAGCVGRPPASSNAIVTPHGDFQSPDNCEGRCKSGFEAPRNSLDLDADNPNDIQIGVQIEPVFDALARTDMRRPKPTAPSSEAETPRTPSLVARLMGIDGLPDQPSPSPPGQHHKTKPATRPSSSSKENTHCSSAPGSGKGEKKKRVIPESMNRREPLRSLSCNVEARSLPDTPRGSTSARASWDGPRLSLQALKESVLDRAAQYMSMPSSPTSSAAAAAAGKKKKKERERAAKEHAREILRQAKENVATRKSKSSSPAAEKKRHSSFSNKENVAAPVVEDKLVVVVQAAAKPTTVAAKAHQGGTEHPPPSHNSPRVPLAPRQQSPPPQRAKPSRPPPPPPPLDPPARTRKPDGCERFATRIKKPAAAAGGQPPPPASPPVALPPAPATTSSVPSQRHAPSTVPVEENPEYRYLRTVLERGGFMRSPPRRPGRPYSSASPVDPIVFHLLELELPAEEARLGPLRHRWNRKLLFHLAQELLADLLLVPQQDASAAAASGTERLAHLALTGAPLLGKVWRRVRGFPAADCRVVGDIDALVAADLEESPARARRLAEHPAVAEEAGDVAEEVADRVLEALLGECVAESVSLS >KQK99733 pep chromosome:Setaria_italica_v2.0:VII:34458979:34463123:-1 gene:SETIT_009237mg transcript:KQK99733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGVEAAAISAVVSGILKIVGNKLAPPVIKKYSSIVGVEKDLQELQDRVVEINSWLERAECQAMETDASFNWLKQLKDFAYAVDDVVDEFQMRAEKHDASAAGDMCLQPKSFIFHCKAAKKIKAINRRFAAIVKQRTDSSVIANSLPPIGHPAYMNEIPANSPSLPVVDVASVLGRDQEKNQIISKLIKTNDQQRIRIFSIIGLGGSGKTTLAKLVFNDGNIIEKHYEVRLWVHVSQEFDVNNLIKKLFEAIANRDPGQHALPYMSNKILDKLTGKRFLVVLDDVWTESRIKWKELMVYLNNGASGSGILLTTRSRQVAVTVESTYQFDLPLLSPGDSWQLFQQCLVIPRKGWDFEFEQIGKEIVKKCAGVPLAIKVLAGALRGRERIEEWQAMRDNNLLDVKGEDHSVSVFACLRLSYFHLPSHLKQCFTICSMFPKGHKIDKEQLIDIWIAHDMIIPMDGVHCLEYIGHRYFGSLLQVFFLQDVNEYNGRVTCRMHDLVHDLAQSVTDIFVPKEETSSTKSYRYFSLTGHEIKFPSKNRFEKARAIYVDNGGDTTFGNTLKSARHLRSITMERLYAAIVPTVIFQVKNLKYLGISRLRCEVLPDAISNIWSLQALHVTFSDLLKLPKSIGKLQKLRTLNLSQCVKLMCLPDSIGDCQMISVINLCNCKEITVLPNSIIRNTNLRVLRLGYTKIERLPSSITTLRNLECLDLQECHGLVELPEGIGNLHKLQILDLDGCNGLGSMPVGIGKLRRLQKLGLFAVGDGETSAKISEIGNIIRISGKLSIRGVAHVMSPADAHSAWLKQKMNLQMLTLNWRCHDDSMNTDNELAILDGLEPPSGIRVLRIIGYAGCQYMRWMQKQVGIRSVQALSHFPRLTEVTLSDLPKLKQLEGLVELPCLEELELRRMPALESISGGPFPSLMELKMNDLPSLGELWMFVSGGEETECGSNYSCHHVGQVAIGNRLSYICIEKCPKLMVKPHFPSSLDYLELDWSNGQLLQLVGQDHGSIPPSFSLRTFTAPHTTRKRILVCIRTTR >KQK97997 pep chromosome:Setaria_italica_v2.0:VII:24413199:24416914:-1 gene:SETIT_010258mg transcript:KQK97997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVIKAGGAEPCGDGGGAYEAWRRQSLRARYAYGFVFFATNLLAWFVRDYGARALRGLHHVPVCGAGDSKCFQSGGVLRVSLGCFIFFWLMFASTFGTRKLHEARNSWHSGCWILKSLVYALSIGIPFIIPNIFIQLYGEIARLGAGIFLLLQLISMLHFISWCNKRWMPDPGSNQCGLFGLFLSTICYIASFAGIGVLYFLYVPNSSCEFNIFNITWTAILVKIIMAVSLHSKVNEGLLSSGIMSSYIVFLCWSALHSEPEAGKCHSHMKIAKDGDWATIVSFIIAICSIVMATFSTGIDTKSFQFRNDEVQLEEDTPYSYEIFHIVFAMGAMYFAMLFISWELNHPARKWSIDVGWASTWVKIINEWFAASIYIWRLISPVVLRNQFVNDEGFVPHRPTV >KQK97199 pep chromosome:Setaria_italica_v2.0:VII:19565860:19568703:1 gene:SETIT_012491mg transcript:KQK97199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLGTFAAACLVRLGKRKRVRFSCCSTSGPAQASFTLLQQSEPDRLSASGKNFGPRLDFQRLVQGRFSSSVSFSPGSSSSVFWLVVSFGRSAIRLSVELVGLILQSCLGGIVGDFDVQHLSGFIFSFSVASKDVGFLVYMLRSHVCKSFAIYFVLWGNGGPNWEKDYTLPGCNPPNSSSSSEPSLATVTPTENPTPSTPPPRPVATMVNFPVDLWPFAPRGFEVCLHDPNTLPLRLNAYIAGCIDKVNAGVTIVILSATWRSKHVRLEKLLSPSTAPSSERFLGKVWPFGDYQMRFIKHDEGFNARSHDLDRESWIMLLNYPLDLRQATHLAKAIAGFGLLMQWHQTNYKGRVIVKVYLNDDAKIPQAVTLMIGNPSKAKSISFQVYALCKKDVVPLQDENPLPEEGPIHPLPYEAPRWMGHVGHGGFSAESGQQDGMGSNHNDGHGGAMDEAEDRIMKEVNATGVHSASFAATDEADVADAVGDPAGLDAPRLKEQATATKEQSKSTFSGAREDLAASLNPSVFIEPSLLPQENTLLVLSKVINLPLLSVPPPLGSYSYKFLFQLDVDLNTQIPTYFNDPDVLMHLAKVLVDEEEGADKGVIEEGEKDCDLMIVDGLVPKTTSRKRRAKKLHEPPDVKFVRGSQRLNKEVDSLKDGASAAAAEREAASQALVLYGGHVVSSGVPTPHLSVENVQGIATRFMQIQPVEVLADVLAVSDDE >KQK98048 pep chromosome:Setaria_italica_v2.0:VII:24708907:24712298:-1 gene:SETIT_010127mg transcript:KQK98048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDINLMHSLGVNSYRFSIAWTRILPRGRFGKVNPDGVAFYNAIIDALLQKGMQPFVTIYHFDIPHELDKRYGGWLSSEIQKDFGYFADICFKMFGDRVKFWITINEPNLFTKLSYMYGRYAPGHCSKPFGNCAFGNSSVETYIVGHNIILSHANAVHIYRKKYQVKQGGHIGINVCSRWYEPFHNTTADILAVERVLSFNGPWFLDPLILGEYPLEMRKILGSNLPEFTSKQKKKLQATKLDFIGLNHYTTSYLKDCIFSPCELDPVEGEAQVLTSAERDGIFIGKRTGSPIFYSVPYGMEKLVMYYKQRYNNTPIYITENGYAQASNSSMITKDFTNDTGRVDYLQGYLTFLASAIRKGADVRGYFVWSLLDNFEWNSGYTQRFGLYHVDFKTQKRTPKLSAKWYREFLKGSPLRTSLRNGYSHQYTAQSMDHFSSED >KQK98647 pep chromosome:Setaria_italica_v2.0:VII:28443691:28444028:-1 gene:SETIT_011851mg transcript:KQK98647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAACACFFFDAEPLGEPSVPALDACALCAEPLGRDSDIFMYRGDTPFCSEECRDEQMQLDAVRARRAGRPPGADSPRGHHQESAKVSVASLPGTQAYV >KQK97932 pep chromosome:Setaria_italica_v2.0:VII:24041171:24043343:1 gene:SETIT_009815mg transcript:KQK97932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLAQQFMLELTAPRAWLLLLLPLFLLLARYSLSAKSARKMKQQQQDDHVPPSPPALPVLGHLLLVGPLPHVSLRSLARKHGHDLMLLRLGAMPVVVVSSPRAAEAVLRTHDHVFASRPLSLVAEVVMYGSSDIGFAPNGDYWRKVRKLVTTHLLTVKRVQSLRHAREEEVSTVMARIGEAVAAGAAVDVGDLLGSFTNDLACGAVMGKSSRSKDRNKLFRQLVVDTSPLLGGFNVEEFFPFLARFGVISKLVRAKSERLRIRWDELLDRLIDDHEKKHNKPMSAASDPKDEDDDFIHILLSVRQEYGLTRENMKAILLDVFFGGIDTAASVLEYTVIELIQRPQVMRRLQAEVRSIVPSGQDIVSEADLNSMAYLRAVIKESLRLRPVTPLLAPHFSMASCSIDGMVVPAGVRVLINIWAIGRDPRFWQDAEEFIPERFLDGGSAAGVSFKGNDFQFLPFSAGRRQCPGMNLGMAAVEVMLANLVHRFDWEMPPGKEARDIDMTEEFGLVVHRKEKLLLVPKLVRV >KQK96724 pep chromosome:Setaria_italica_v2.0:VII:14628940:14630364:1 gene:SETIT_011258mg transcript:KQK96724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSSVFRRVNVKELISNASVYASAAESSGAMSLVFRRWATKKTAGSTKNGRDSNPKYLGVKKFGGEKVEPGNIIVRQRGTRFHPGNYVGMGKDHTLFCLKEGHVRFERNKLTGRKWVHVEPVAGHVLHPVYVNGSTTAADLDAQL >KQK97679 pep chromosome:Setaria_italica_v2.0:VII:22614622:22615391:-1 gene:SETIT_012278mg transcript:KQK97679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPRRPGLRPPLPQVPRTTAHDRLHPRRRGRTPSGIWLRASSPPPPPPSARAYPRTASVSTSWTDATAANRRSSYGTPSPTFTRRCACRRRSGWTPSTSPPPSSATPRDATTSAATAARSAWCSSASTTRRVRGPRPPSLRLRVRTTGGFSRRHLPPWWGARSTSGAQGGLSCTSSATRWSSWPTSTSRRSSPSRPAERSSCRRRTGGSASRLCTAAPAPAT >KQK99802 pep chromosome:Setaria_italica_v2.0:VII:34815130:34820897:1 gene:SETIT_012193mg transcript:KQK99802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VELAKMLLNEGQMHLFEHWPEPGVDDDKKRGFFDQVRRLNSSYPGGLVSYIQNAKKLLAHSKAGKNPYDGFTPSVPSGEPLNFGDDNFVSLEAAGVKEVRNAAFVLVAGGLGERLGYKGIKVALPRETTTGKCFLQHYIESILSLQEASCKMFDGCHTKIPFVIMTSDDTNALTIKLLESNSYFGMEPSQVKILKQEKVACLADNDARLALDPNDKYKIQTKPHGHGDVHSLLYSSGLLEQWKSEGRKWVLFFQDTNGLLFNAIPSALGVSATKGYNVNSLAIPRKAKEAIGGITKLTHVDGRTMVINVEYNQLDPLLRATGHPDGDANCETGYSPYPGNINQLILELGPYIEELKKTHGAISEFVNPKYTDSTKMAFKSSTRLECMMQDYPKTLPPSAKVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKVGAQIADPVVYTFNGQEVEVWPRITWSPRWGLTFKDVEEKVRGNSSISQRSALVINGRNIFLEGLLLDGTLIVNAVDDAELLVMYIIWIIRHVDYKDTSEKEETRIRGFKFEKVEQLEVDYTKPGKHSLSA >KQK98860 pep chromosome:Setaria_italica_v2.0:VII:29640523:29645603:1 gene:SETIT_009672mg transcript:KQK98860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIDPHLWAMCNAAEEGRRLPSLAALRAVDATESSPEVVLVDKGADSVLLDLERRALDLVRALGVTLDLVRRLAVLVSDHMGGALRSEDGDLYMRWKAVSKQLRKRQKCIVVPIGGLSIGFCRHRAILFKELADFIGLPCRIAQGCKYCSAPHRSSCLVKIDSERRYVREYVVDLVVEPGSISCPDSSINGQLLSTVPSPFKTSCKVGSGNYTTPVAAWNQAIADDRRNMVLSNSQYSVARCCVVEENSVQVASKEGLLPKCGQITENGNCNGISVLDVSAQLKAMDISAENGNKENVPGATLLTRLTIEPSFAVDWLEISWEELELKERVGAGSFGTVYRADWHGSDVAVKVLMDQDVGEAQLKEFLREIAIMKRVRHPNVVLFMGAVTKCPHLSIVTEYLPRGSLFRLINKAANGEMLDLKRRLRMALDVAKGINYLHCLNPPIVHWDLKTPNMLVDKNWSVKVGDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKCDVYSFGVILWELLTMQQPWNGLGPAQVVGAVAFQNRRLPIPKHTSPELAALVESCWDDDPRQRPSFSSIVDTLKKLLKALLGGS >KQK96454 pep chromosome:Setaria_italica_v2.0:VII:7809193:7809650:1 gene:SETIT_012901mg transcript:KQK96454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTNYFIDLPGPLKSYTQIQPLRHTIYTNALILVI >KQK96832 pep chromosome:Setaria_italica_v2.0:VII:16146637:16147215:1 gene:SETIT_011435mg transcript:KQK96832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKVRVVFLLPLLGVALALAGGTVEAGLAGTGPYAAALQMMAPSTTTSTMGFEVRRRVLSNISPSSLNPNRAACLRSCPASGGAYTGRGCQKVYQCSG >KQK98732 pep chromosome:Setaria_italica_v2.0:VII:28883828:28886358:-1 gene:SETIT_009485mg transcript:KQK98732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVFLLQSLLLTCFFLSFAHPMEPSTSRCSNISIPYPFGIAGKSRFLSQGFQISCASGSSKSGPGGPVLSVGDSVFGILDISLLDGFMTILASINSHQCLGNSSISLEGTVFTFSDTRNKFTALGCNVVAMLLNSSSGYSGGCASFCSTKDNIVNGSCSGVACCQALVPKGLKKLELEFSIISNKDNSTLSCGEAFIVEQNSYRFLSTDLSNTNSTKPQYRPVVLEWSIDGGSCEEAKQTTSYACRENTYCYNSSNGIGYRCNCSQGFEGNPYLQGADGCQDIDECSTRNPCTHKCVNTIGSFQCRCPAGMSGDGLREGSGCNGVGTLVIAIVAGLALLVILFILGFWIHWLVKKRKLSKTRQRYFMQNGGLLLRQQMFSERASLHIFTSSELDKATNNFSNDNIVGRGGFGTVYKGILSNQVVVAIKKAQRVDQTQMEQFINELIILSQAKHKNVVQLLGCCLETEVPLLVYEFITNGALFHHLHNTSAPISWEIRLSIAVETASALAYLHLAAKIAIIHRDVKSSNILLDKNFTAKVSDFGASRPIPYNQTHVTTLVQGTLGYMDPEYFQTSQLTDKSDVYSFGVVLIELLTRKKPIMDDMMEDVRSLALQFSMLFHQNKLLDIVDPEVAEEAGMKHVETVAKLALRCLRLKGEERPRMIEVAIELEALRRLMRQHFILKSETLLEESWCHEEMSINTPPGLCLDSDGIAGDESVSLILTQ >KQK97778 pep chromosome:Setaria_italica_v2.0:VII:23202729:23208897:-1 gene:SETIT_009200mg transcript:KQK97778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVTSAFTKIKGGCFLHVKMTEAAAATRCCQDDGRRSSDGRLGIHVEKLVQELPAMTSEIARDVLPQMLEGGDAAAALNDVQARDALPSSSQLNTEIQKNEAVCLASDTEAECDPVIEKAKSYSSQIKHANQTEGAYISSTSMENVGKPSNQSNISHAVEEVHARKEDILIGDGVYDVGGRVSDNKEVRIQEQMLEEMHAMDNPSQEKEHKKSRTDSFDTSAPDPPRITNEPDVRDLTKSSRSPLEADTTSHREPLNTSFRQEVQDTLHEDSTENPSTVGKKKKRKRRELAPSKASAQETSEPSAGAKELSKSTGDAHKVELNGRDEITVKSSGLTLSSSGLNDENQGGKLVQFTSDALASTDLISEQGKIDHAIKGCRNPAIGDAIYSVGEVVASDGENPKGSSTPWNGGERHEQIKQHDQGSHDEVVAEISNMEKDGKGTDALEKRQTNDNTSQLKKRKKAKKAGSVDRASLDTIHEKEMHGYRENAVRLDAVSTERGIVHDPLPQQPNNVHQGESNIIENPNGDGKKKKRRRRHAESSKGVDPSQDLTKLSEFVTNESSMHFADVAPLDVKQTTPGGIEGATVVDHKELGENLVAKNVIDEVLADLRSKDSSSKDLDEDILAGQTHLGSNKNELDLPESTTDKVGVSALLPPKYPTDAPASSPRLKKSKGEKLEVLSTMIDSSHHSRSVPKEDANGELNGSDSLRFSDKTSDPKDVLNGDVVAQADDKPKATKRRRKKVSLKQVPADNVKTLDEQVSQVDTLDLKGVNATQANDVMAIESASPNAQKARKKPLNSELQSWDPAREHDSGADLGNLRPEKSLIRPKNFADAAEQNYDSAVHPATDAINFLDHFSSNKMNAPSVSAEHKQDNGDETLREVKNKKKSKRKQGTGSIESNDVLESLLPTGKTSLTGHLDTSKVIVPFVAAENMNNEDENVKNGKEKKRKRKVSTEMPVAEKENPNSDNQGIDIGTQETLSVVQKGSMGRDNGKDRGSRVTQNDSIVQHKPEDATLEKKLHQNGVDDQNTLLAEDHVHISKDVRKSTSKLKPHAKSKHDDSIKGRVAPNPKPARNLVKDFSTSPLVSSDSTEGTPQNANRYRVAVRKVSSKRYEQASEKSKKENRKVGIGAIFNDANSEGSDDELDTKNDKAFMEASADNSATSGDSGISSAAYDESDVPDDDGTMSLSQKSLRDGLHIGSILRGSSSYRKARKKQSELLDDDTIVPDSQPADGLWD >KQK98414 pep chromosome:Setaria_italica_v2.0:VII:26855508:26857065:-1 gene:SETIT_010045mg transcript:KQK98414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESVAVVAVPFPAQGHLNQLLHLSLRLAARGLPVHYAAPAAQARQARARVHGWDEAALGSVEFHELGIPEYVCSPPDPTAPSPFPTHLMPLFEAYTAGARAPLAALLARLSASHRRVVVVHDRINGYAAEEAARLPNGEAFGLHCLAASTLAGKMEAGLPVLRERGLVFLATDACASKEFVEYVVKRARPSKEISPGAGILMNTCRALEGEFIDVVADHLAADGKKCFAIGPLNPLLHTDAQEQSKPRHEWLDWLNKQPPASVLYVSFGTTSTLRTEQIAELAAALRDSNQRFIWVLRDADRGHEFTGHDESQSRHAELLPEFTKQTEGRGRVITGWAPQLEILAHCATAAFMSHCGWNSTVESLSHGKPILAWPMHGDQPWDAELVCKYLKAGILVRPWEKHGEVIPAEAIREVIVTAMVSEGGMAVQQRAKVLGEAVRASLADGGSSRKDLEDFIAHITE >KQK99182 pep chromosome:Setaria_italica_v2.0:VII:31360388:31363011:1 gene:SETIT_009801mg transcript:KQK99182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASSSPAGVACFVVLLVLAGASVSHGSFQGRSVLSDEQGRGILALWRRSLADAATPANNSLVLAAARTHRSDPFANLTAYTGGWNISDQHYWGSVAYTAVPLFVIAVLWFIGFGAVLLIISCCCCCFCRNKDNAYSPCCYFTSLALLIILTLATISGCVLLHCGSDLFHHSTIKTVDYVVGQGNLTANNLRNFAGSLAAAKSITIDQIFLPADVQQKIDVVEEKLNSSANEFSTRMLENSRKIKNVVNHMEHELMATAAVMGGLALLGFLFSILGLRFFVSIMVILAWFNLTVTLMSSGVFLLLHNVVGDTCVAMDEWVTHPQAHTALDDILPCVDVATANLSLYRSQEVTAQLVALVNNVVVNISNRDFPPGLKPLYFNQSGPLMPVLCNPFNPDMSPRACAPGEVDFESAALEWKRFECATAGPPGSEVCATPGRVTPAAYGQMTAAASVSRGLYEYGPFLVQLQDCSFVRETFTAISDNNCPGLERYSRHVYVGLIIISGAVMLSVVFWMVHTRQRRRRAMSKQL >KQK98419 pep chromosome:Setaria_italica_v2.0:VII:26886123:26888316:1 gene:SETIT_010512mg transcript:KQK98419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISSISLFGSSQQPALKFYGKCLPNNPHFAVTMHSVAKSMGKAMIMKRARLKLHATDINRKPQTHELYKLVCRLPENLSWLLEPPEIPKRTASKKKKQKDEMVTGQFGVILEWEGVVVEDDDPDLEPRVWYVLSLEEAKSFPPDALLKEIEGMRTEQAISEVLCWSEDPEEIKRLAARKEVIYQILRGGYYQLRPGVLDFLNTLVDFEIPIAIAAPRSRKSLEEGIKTVGLQGYFDAIIALEDFCLGKPDGEMFEVAAEQLGLEPDVCVVFGNSNLTTESAHTSGMWCVAVAGRHPAYELQAANHVVRWLDQLSVVDLKRLVNGEVIGRRGRESDMDMEIVIEE >KQK96916 pep chromosome:Setaria_italica_v2.0:VII:17410184:17415614:1 gene:SETIT_009587mg transcript:KQK96916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSSPPPPPPQGEQGVGDGGGLPVPVPAAVVGDDKVLAAAQHIPAEQLIHLWDTTLEALVFEAPEDDAAHYLAAVDVAVDHLHSPSSPAVSGRAGVAVQLAMARLEDELRHLMLRHAVPLDASGLFCSLRRLSLGSMDDLDTSSEFDPATPHSQDGGAGPDTARSAGIAGNNPFDDQVFDLVRPEAVDELRAIADRMVRAGYASELAQVYCAIRRDLLEECLNVLGVERLSIDEVQRIEWRHLNDKMKKWVHGVKTVVRSLLTGERRLCDQVLAASDDLRDECFVESTKGCIMQIRNFGDAVAVCTRSPEKLSRILDMYEALAEVIPELKELFFGSYGDDVIHDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDTLLDDTGAGDVDHNPLHNGTDEDEEYLKSLTPLGRRMVKLICYLEANLDEKSKLYEDPALQCIFSMNNILYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKSYLRVSWTRVLSYLRDDGHGSSGSGSFGSSGSSSSRIKEKIKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFTGRYGSLVDSGRNSGKYIKYTPEDLENHLSDLFEGSLGSANHSRRR >KQK96915 pep chromosome:Setaria_italica_v2.0:VII:17410184:17415614:1 gene:SETIT_009587mg transcript:KQK96915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPSSPPPPPPQGEQGVGDGGGLPVPVPAAVVGDDKVLAAAQHIPAEQLIHLWDTTLEALVFEAPEDDAAHYLAAVDVAVDHLHSPSSPAVSGRAGVAVQLAMARLEDELRHLMLRHAVPLDASGLFCSLRRLSLGSMDDLDTSSEFDPATPHSQDGGAGPDTARSAGIAGNNPFDDQVFDLVRPEAVDELRAIADRMVRAGYASELAQVYCAIRRDLLEECLNVLGVERLSIDEVQRIEWRHLNDKMKKWVHGVKTVVRSLLTGERRLCDQVLAASDDLRDECFVESTKGCIMQIRNFGDAVAVCTRSPEKLSRILDMYEALAEVIPELKELFFGSYGDDVIHDLEGVLERLGDAVKGTLLEFGKVLQQESSRRPMMAGEIHPMTRYVMNYLRLLVVYSDTLDTLLDDTGAGDVDHNPLHNGTDEDEEYLKSLTPLGRRMVKLICYLEANLDEKSKLYEDPALQCIFSMNNILYIVQKVKDSELGRILGDHWIRRRRGKIRQNSKSYLRVSWTRVLSYLRDDGHGSSGSGSFGSSGSSSSRIKEKIKNFNLAFDEIYRSQTLWKVPDPQLREELKISISENVIPAYRAFTGRYGSLVDSGRNSGKYIKYTPEDLENHLSDLFEGSLGSANHSRRR >KQK96189 pep chromosome:Setaria_italica_v2.0:VII:1401855:1402622:1 gene:SETIT_012600mg transcript:KQK96189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRPPHSSRTADLVSPAADAAVEEAPMAASKPAAGVRKKPSVAFVRVWSEADEVRILEGLAAYAADHGAPPARSQLHAALEGRSLDKAEFTVTEIYEKVRRLRTKYCNLRDAGGPPVPEGGEDGGDEVRKYELSKAIWGDQPANVAKKGGSTSAAAVAVLPKAGGAIPRVRRGLEELQGLFPCLAAEVEKVTNDEMLAPVLKRAFEFIDDQKAGELDDKVKKQMVKEAQVTMSGATLRDEVLKMLIRSMEIDMASV >KQK98458 pep chromosome:Setaria_italica_v2.0:VII:27111995:27114045:-1 gene:SETIT_009824mg transcript:KQK98458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGKEAISEVESAPPTPRPPPVSTPPSRMHSPSPSPAPGGRSPLRAMASPLRAMATPLASPVRKAVATVRGCLEEVGHITRLADPRDAWLPITESRSGNAYYAAFHNLSSGIGFQALVLPTAFASLGWTWAIICLTLAFAWQLYTLWLLVRLHEPVAGGVRYSRYMHLATTVFGERWAKILALLPVMYLSAGICTALIIVGGGSMKMLFGIACGEACLARPLTTVEWYLVFICAAVLLSQLPNLNSIAGVSLVGATAAVAYCTMIWVVSIAKGRVPGVSYDPVKAPNDVDAALGIVNGLGIIAFAFRGHNVVLEIQGTMPSTMKHPSHVPMWKGVKVAYAIVALCFYPLAIGGFWAYGNQIPPNGILSALYKFHSRDVSRLVLGITTLLVIINCLTTFQIYAMPVYDNMEAGYVHKKNRPCPWWLRSGFRAFFGAVNFLIAVALPFLSELAGLLGGISLPVTLAYPCFMWVAIKKPRKGTATWNVNWALGILGMSISFVLIVGNLWGLVEKGLRVKFFKPADFQ >KQK98211 pep chromosome:Setaria_italica_v2.0:VII:25692467:25692718:1 gene:SETIT_012696mg transcript:KQK98211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein THDKVGNRRRLTFFLPSSNHESTGGSGFLPTEKRKKKMGGFDLQVKERTKELKHLKAAAMRGIKAAGESCKKAWSKVRSSIRR >KQK97362 pep chromosome:Setaria_italica_v2.0:VII:20786445:20787944:-1 gene:SETIT_012103mg transcript:KQK97362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPGKRKRGNDAGDAAAGYSSGDDRDRLSALPDSLIHHIMSFMKARQVVQTCVLSTRWRHLWRSMTCLDVDKSEFETPGAKKYLDYEGWEKFEDFMDTLLSPGNVSIASLDTLRLQASYGIGEGRPASRWIRRGIKYPHGQLPAAGVHRGKVVSYNSWRLRRLHLSNIELCDLFAEHVRTSCQSLEDLELGSCSCKFHAIASGSLKNLALKYCTLYGLDEIATPTLKNLLIESGTGTNLKTMDRPLVITAPALASMFLGVTPHNFVGGLSLSEMTSLAKVSVHLSCKEIVDPFKILGSCVSSVITNLEVLGEGSTTFIQFNNLRTLVLSHCDLSDDFQILGHFLRNSPNLERLTLLYCKYSNDTKKKKGPSKSKNAEYTPCPNLVDVPCKNLKLTHIIYKEDDIRQLIELLLHISGNLPNNYIKLTKA >KQK97962 pep chromosome:Setaria_italica_v2.0:VII:24213424:24213686:1 gene:SETIT_0097792mg transcript:KQK97962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTLHTHWRTPWWCRCTRTIFAFGGRSKCTRENVQSPLRPIKGGPATAATRPPPAHHPPLPLPLAAAPPQSPLVFSPLPENYRVNV >KQK96957 pep chromosome:Setaria_italica_v2.0:VII:17738649:17739332:-1 gene:SETIT_012575mg transcript:KQK96957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IVPLLGKPYFTCIMCKSHVHPPFQVVVPRSLAPFLPDATVPATVTWRGRSWEMRFTGGRQIQRLEAGWRGFALDNGLRLGDGCVLELVDGNPEGVVFRAQVLRADIPAAIRERAGGYTSSAPILID >KQK98192 pep chromosome:Setaria_italica_v2.0:VII:25572804:25573429:-1 gene:SETIT_011611mg transcript:KQK98192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSWMPYKLTTLTGSAQGKVLRIVVPENLTKFRGSIIHDFILNVTWSFMSTCAIL >KQK99107 pep chromosome:Setaria_italica_v2.0:VII:31027078:31027638:-1 gene:SETIT_011470mg transcript:KQK99107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSIHRMAKANAPSFAQAKKLAPATAAAAAERRRADKAEEGMRTVMYLSCWGPN >KQK97169 pep chromosome:Setaria_italica_v2.0:VII:19394925:19395960:-1 gene:SETIT_011706mg transcript:KQK97169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVLEPLVMGKVIGEVIDNFNPTVKMTVTYSSNKQVFNGHEFFPSAIVSKPRVEVGGDDMRSFFTLVMTDPDVPGPSDSYLREHLHWIVTDIPGTTDASFGRELVMYESPKPYIGIHRFVFALFKQKCRQGVRAPSSRDYFSTRRFAADNDLGLPVAAVYFNAQRETAARRR >KQK96514 pep chromosome:Setaria_italica_v2.0:VII:10677396:10677933:1 gene:SETIT_011513mg transcript:KQK96514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLPLYLLAMLILNASTAAPHMAARDELVHGVKAGTEDGTSVDNHHAIPRPEYDSWSSPGNMPGSGHDIGGEAAKP >KQK96992 pep chromosome:Setaria_italica_v2.0:VII:18063259:18069203:1 gene:SETIT_009188mg transcript:KQK96992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKKWTNLFNLGVCTYLFVVSARGTTGIRITFTDSSITEPLLTPSVGQQMEAERTCLYGRAGILQFITFSWMNPIIAIGYRKTLDQNDVPDLDGKDSAEFLSDSFKKIINDVEHRHGITTSSIYTAMFLFVRRKAMINAALAVLNASASYVGPSLINDLVKFLAGDRQYGHKRGYLLALALLSAKVIQAIAESQWRFGAQQLGMRLRAALISHVYQKGLQLSFSSRQKHTSGEIINYMDADIQRISDFLWYTNYIWMLPIELFLAVCVLYQNLGAGAWAGLAATLAVMVCNIPLTSMQKKLQAKIMAAKDERMKATTEVLRSMKILKLQAWDMQYLQKIEASRSEEYKWLWRSQRLSALTTLVFWGAPAFISSVTFGSCILMGIPLTAGSVLSALATFRMLQNPIFRLPDLLSVFARGKVSADRVAKYLQEEELKCDAVTQVPRSDTCYAVEIYQGTFSWELETTSPTLPDVQLRVKRGMKVAICGMVGSGKSSLLSCILGEMPKRNGTVRVSGSKAYVPQTAWILSGNIRDNILFGNPYDKEKYERIVQACALTKDIEMFANGDLTEIGERGINMSGGQKQRIQIARSMYEDADIYLFDDPFSAVDAHTGSQIFKDCVMGIPKDKTVLYVTHQVEFLPAADLILVMQGGKIVQEGKFDELLQRNIGFEAIVGAHSQALESVMYAESSSRISSDNQKSADTEDDLDAENKTDDQLQGITKQESARDVSHDTNDKGRLTQDEEREKGGIGKKVYWVYLRTVHGGALVPIIIAAQLLFQIFQVASNYWMAWASPPSSATNPTIGLGLLFSVYITLSMGSALCIFARSMLTSLIGLLTSEKLFKNMIHCILRAPMSFFDSTPTGRILIRASSDQRALDMDIANKLSWSMLSVIQILGTIGVMSQVAWPVFAIFIPVMVVSVLYQRYQIPAARELARLNKIQRAPILHHFAESLSGASSIRAYGRKDRFIKANLGLFDSHFRPWFYNFASMEWLSLRLAMLSTLVFAVCLILLVSLPEGLLNPSIAGLAVTYALNLNYQLTSMIWNITSTENKMISVERILQYSRIPSEAPLLVDYCCPPTSWPQNGTISIRCLEVRYAEHLPSILRSISCTIPGGKKVGIVGRTGSGKSTFIQALFRIVEPREGTIKIDNVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDQRVWEVLDKCQLGDIVRQNPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNILVLDEATASVDSSTDAIIQETVRQEFRDCTVLTVAHRIHTVVDSDLILVFSEGRIVEYDTPSKLLKNENSEFSRLVKEYSRRSHCSSGRGNNCNRGN >KQK97294 pep chromosome:Setaria_italica_v2.0:VII:20342886:20343230:1 gene:SETIT_012527mg transcript:KQK97294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPFSTSSILVSSLGNSGFNYFAVLDSQILHLNHLPLGWWQQAGSTLDKGTKRGFNSLVMLGAWILWKERNDIVFNGASPRMERVLLLAQEEAVLWRLAAAKGISDLVTAQPGG >KQK97634 pep chromosome:Setaria_italica_v2.0:VII:22304162:22305708:-1 gene:SETIT_012004mg transcript:KQK97634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDDAGGHLAAASASPRAEETIAAVRGDAYAARLPTPSDDEEDCDDLYGDVNVGFLPLLPLSPSPAPTSPPKTPSPGCSIPFPSPSPPPRRAPSPEPEPQPEPATPRHQPPRPPPPPAPPRHHVPPHPQPQRAPPRGGGASSYSSPPRYTALYVSDLQWWTTDAEVEAALPHGAAAALCGLHFYSDNAAAAASAAAALHGRAFHGRHCAASLSRPPALHRLGDDSDSCGEVDRAPNPTRGPGTGGRGAGSATTVRGNVGPLLGDRPTLPPPPMSVIPRPSPGPPFGGIMGGVGGYGGFQSTGQYNAGMGTAMVPSPVAPYVNPSFLVAGGMAMRGPGMWHDQGMAGGLWGAQKGWNFRGCQMPWQQLAPPVQHHQGQAHQQYGNGNYRKGRGMKRERPSSRSEHRSIGNVSYPDRRQSDSDGGDLYKEQDREEKGRHRERVLEKEREQERHWNERDRHGGDKRRHQEYTDHADFDRRGRERSRSQSRDDGDDDRPRRRR >KQK99740 pep chromosome:Setaria_italica_v2.0:VII:34506848:34507315:-1 gene:SETIT_011550mg transcript:KQK99740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIIPIKFSYTTTILYGLVSRICEKTNLQQHIYYNIFAVIGSYGRYLFVNRDRKLLTTGLLNISIFNLQG >KQL00051 pep chromosome:Setaria_italica_v2.0:VII:35948371:35951694:1 gene:SETIT_010926mg transcript:KQL00051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEKGLCWQGDWKDSDMKVRSDGREFTITKVPEYNISKDGMKEDFKKFFEILFPYYMHESEETNSVSGKIEKKKVLPYYFLQFQQDCAEVPHPQRESVKFENFQKFLGSHPAFMSPLAMTTFIGDLFISCDNLRHHNAEFLPLQDKTAKMVDWIDHAKNLCKPFRDIYYLVTSAAYEPGYWYFLNFLRNFIQHMRMDKPDQDIAVSGIMIGYHLEIYVPPFILFVLNNCDMNSLFLSSSWNRFEESQ >KQK96671 pep chromosome:Setaria_italica_v2.0:VII:13717756:13720341:1 gene:SETIT_012357mg transcript:KQK96671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVLLLLLVTAVRLTAGQGVDRPTANLSSIWTNNASFFFDKVIYTAGTGPDFRPIVLRVRHIVLRSPETSKGPSLGAGFICRSPSSDEADDTACHFAVFMLINSSDIIWSSPQVVWSANPNHPIKENATLEFTSDGNLILHDADGSLVWSSGTEGRSVAGMELTENGNLVLFDRMKATVWQSFDHPTNVSVPGQSLLEGMRLTAHTSGTNWTTQYQMYMAVLSYGLYAYVESTPPQLYFSRWAAEKKVTFTNGSLGSITLPAANHTQFLRFESDGHLRLYEWSANVREEWVMVSDVMRMYLDDCSFPTVCGDYGVCTGRKCICPSPSDSGTRYFEPMDGKKANQNQGCLPNTPVSCQEMQHHQLLTLPDVSYFDTSNIIRDARSRDDCMQACLNNCSCKAVIFRYGPNDSYGKCSWLTDVFTLQQINPDTVQYNSSAYIKVQLSPSPTNKRMILGATFACIGIIIMATIAVTLYVQRRRKKLLAQKCATFECQWNQDIDGDFDFDQLPGMPIRFSYEKMRECTEDFSKKLGEGGFGSVFQGKLDGETVAVKRLESAMQEKSHRLLVYEYMPRGSLDRWIYYRHNNAPLDWGTRYRIILDIAKGLCYLHEECRRKIAHLDIKPQNILLDEKYNAKLADFGLSKLIDRDQSRVMTVMRGTPGYLAPEWLTSRITEKVDIYSFGVVIMEIVSGRTCIDHSEHAERIQLINLLREKAQNNNLQDMIDKRSPDMVSNLEEVIQMMKLAMWCLQSDSSRRPSMSTVVKVLEGAMTVETCAYYNFFNADSVIPVQDNASIHSATPGDYIFFNGHPVMPIEHNVSAYSAPPQASILSGPR >KQK96807 pep chromosome:Setaria_italica_v2.0:VII:15698080:15699384:-1 gene:SETIT_011934mg transcript:KQK96807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGVQGEEESLLNEPALSPEAATVIALVLGNDDLLREVLLHLSLPTSLVHAACVCKRWLRVASGHGFLRDFRSLHPPRLLGYFPNNTQPYPKLLPCNGLPTELEAASRHANTYLSRISKHPLFGNYVILDIRNGRMLVSMIDACDQTRLAIMVCTPLQSAPPVHLPFSQLLSTYRGINKGEFDMFEFLPEDGGDGLSYYEVRVMKRHQGNPRVILATVVACEAGVIGECRATEPMKLPKGLLCGTKFYLLSNDGYILGLDLVTMTLFYINLPEEVEMVKTEYDYYKNVDLSRGEGSNFYLIYLKGFHIRVWIHDAERGSETSNWVLVDTISLLKYLVLLRSCEGCRIHLLERSGDNAEFVYLRVSDTLDDYSDADYLLLLKSRAVEDVSENHWRSTSLLNPFMMVWPPTFPALNEEDDHGHVGL >KQK97177 pep chromosome:Setaria_italica_v2.0:VII:19439275:19440111:1 gene:SETIT_011701mg transcript:KQK97177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQLGLDHLVFSGASGHGESRRETATASDDAGAVERGGDVSASARANGGDAPAESVLLRVRDAVHLAELLGAALRRDRSTKGSSNPKAAAEAEAATRKQGAPRAADSTRRLAASKTAVVVIGVLPAAVKVVAKERPAPRRVVVAARAWRRPAAGARVFASEAVGPEPVSPKVSCFGAVLPETRAAAAPPGEQGEEEERGGCWASVAAALRGLCCNCNSDPREGESGASESDPKGTAPESQTAAVLLSPPPLVAGLGDVKRLASRRWQETMAAEGWGSV >KQK98488 pep chromosome:Setaria_italica_v2.0:VII:27365689:27367287:-1 gene:SETIT_012767mg transcript:KQK98488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNEVSTHPSLCPPLHGHPPNNVPWVLLDLHAYVADRENSTSAYSEMSNGKAIRVTFCTAPPPLVSYICVWCPNLPPTELIMEPTIEAAEADLVLFRLSLRDYPNQRDYFIYKAGGGKRGPWLWRVEEPDLYMPYRYSSALLPRRDLEEGGSTSPHADEHGHFYIAALNLTANPSASFEFCLYDSMDKKWTTSTISLLMPMTHITAKVIALGGGVVAFVDPWRGILVCDLLHRGSERYLPLPRDLIRFGFRRDEILLHRDFAFSKGRLTLVEMHRSSDCQGWDISTWSISSPWEEQDSWRKECTVNTHSIIIDDDTANVELLPKLQDNGSTLRPSLDSLLLAYPMLSLSDNRVVYLMGMVDRWDKKALVLSVGMMDARLQGVAIFDAERILGYTWIQSRISNFFSMTPGGKGKLKRPGKFQVCYPHKHHQTGFTMMHGVEFGPMQRHGGAKEQQDTGAEDGDNKMAVD >KQK98179 pep chromosome:Setaria_italica_v2.0:VII:25502524:25503684:1 gene:SETIT_012623mg transcript:KQK98179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGCEYKQRRWYVRYVGESNVASPVPPALQVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRRWVPPPPNPPRMTDEEKQEAACRRVRDPPMWDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAIWPTEEEVREFESENAPWPCLSSSSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKLGRSMMCLFLTTTCFGEKYIKIWCMRLE >KQK99146 pep chromosome:Setaria_italica_v2.0:VII:31159681:31159875:1 gene:SETIT_011995mg transcript:KQK99146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFATQLKDMLFVIVERVTGYGSRNDSEDQGSSSGTGDRLSVVQRNEIRPRSVDPIVSEGSKPQ >KQK98420 pep chromosome:Setaria_italica_v2.0:VII:26889610:26891966:-1 gene:SETIT_012401mg transcript:KQK98420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLTWTDGFYNGEVKTRKIANSAELTADQLVMQRSEQLRELYEALLSGECDRRTARPVASLSPEDLGDTEWYYVVCMTYAFRPGQGLPGRSFASNERVWMRNSHLADSKAFPRALLAKTIVCIPFMSGVLELGTTDAEPSSSPSANETGKPADIIVFEDLDHIAMEAMIAGGQELGEAESLSDGTLEQITKEIDEFYSLCEEMDVQPLEDTWIMDGSFEVPSSPQPAPGPATTNAAATSSALVDGSRATSFTAWARPESDSDEVAVPVVEEPQKLLKKAVAGGAWAANNGGGGTTRMAQESGVKNHVMSERKRREKLNEMFLVLKSLVPSIHKVDKASILAETIAYLKELQRRVQELESSREPIISRPSETTRATRRHDDEAVRKKVCAAGSKRKGSELGGDVEREHPRALSKDGTSNVTVTVSDKEVLVEVQCRWEELLMTRVFDAIKSLQLDVHSVQASAPDGFMGLKIRAQFAGSAAVVPWMISEALRNAIGKR >KQK98222 pep chromosome:Setaria_italica_v2.0:VII:25721760:25722845:1 gene:SETIT_012092mg transcript:KQK98222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RGSETEGRSGAAMRVLAAVLLAAACAAAAAAAAASGGGGGELPEFREAPAFRNGAACAGAPTIHIAMTLDATYLRGSLAGVLSVLRHAACPESIAFHFVASSASPARRLASLRRALAAAFPTLPATVHRFDARLVRGKISSSVRRALDQPLNYARIYLADLLPRSVSRVLYLDSDLLVVDDVARLWATDLGPDAALAAPEYCHANFTSYFTDAFWRHPEYAAVFANRTRVPCYFNTGVMVIDLDRWRAGGYTGKLEYWMEVQKQEARIYELGSLPPFLLVFAGEVKAVEHRWNQHGLGGDNVAGQCRELHPGPVSLLHWSGKGKPWLRLDAGRPCPLDALWAPYDLLRRRGARDDLLAAVA >KQK96848 pep chromosome:Setaria_italica_v2.0:VII:16475744:16482531:-1 gene:SETIT_009300mg transcript:KQK96848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPLLLLRSLLGIQLLMLVSVVLGSQAESNGTVSTIPTLSGCQTSCGDLTFSYPFGIGPRCSRGGDFELTCNESAQPPTLLLHDGITQVAHNIVTADTGYLYSSSRYIGINFPYTIPVSYGVHTYNMSWSSPGKSFTLSFFWMNITGCDFDIYFSDRDANITSLICTLKCPTSDITDMAARQNCNGTGCCPFFWERIRAFNLKFVRHRESSPEVNYNRSSLWDYINVTTFGAYLSWNIVDQPNCASAKENNTKFACVGNNSRCIDNDDSSLDFGYIGYSCKCNSGYVGNPYILSGCSRDRAQQKANCSRVCGHIKVLFPFGIEEGCFAREQFFLNCTNVTTSTLQLGYYYEYYLVTEINIDEGLIKYTIPDDDEGSVNHMSDDDPGIFVRSSESVSLKWVVANLTCQEAQANSSGYACVSANSNCIPVNSTSGYVGYRCNCSYGFHGNPYLYSGCEDVDECKQQDRCQGMCHNTMGSFYCTPCPEKTVYDPTKLQCSSMKQQNALLGVIIGLCSGFTVLLLSVGGIYLTRRWKRNIQKRLRRMYFRRNQGLLLEQLILSDENASEKTKIFSLEELEKATNNFDSTRILGRGGHGMVYKGILSDQRVVAIKRSKIIEEGEINQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFIPNGSLYDFLHGGSSNELVLSWDGSLRIAAEAAGALCYLHSAASVSVFHRDVKSSNILLDSNYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNEKSDVYSFGVVLLELLVRKEPILTSESGTKQNLSNYFLWEMKNRPITEIVAPQVLEEASEEEINCVASLVEMCVRLQSDQRPTMKQVEMALQFLRTKRAESNQPAAGKDEERQPLLMKGDINSYQLSGIDFGKKSELSSSQGSKKFYSLEQEFLSTAGLPR >KQK97084 pep chromosome:Setaria_italica_v2.0:VII:18941865:18943892:-1 gene:SETIT_010667mg transcript:KQK97084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQARHLPHDFPPVGGSLFFDEYAGCVPTAPAGMVTDTTLLSDLPGSELTGNYGFVPRKRARVGVADSRGSFSDLEDQRVALSPPAAMQGLLPLPVAVGDAQSRTMGSGAASTSGRAANGATLSFSHLGGEIDALIRHESERMRAGLEEARRRHARALLAAAARAATGWLRAAEAEVEHALRRNAELEEKARQMAAECQAWMGVARSHEAVGAGLRATLDQLLQSPSCAAALVGDVGEAEDARSCCFEAAPPPAAAARSCRSCGGGEACVLLLPCRHLCLCRACEAGVDACPVCAAAKNASLLVLVS >KQK99591 pep chromosome:Setaria_italica_v2.0:VII:33667783:33668213:1 gene:SETIT_012892mg transcript:KQK99591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHHRPILWWVVTYRAPNIRFTSKTTRHIQSYPTPFPMPVTTP >KQK97392 pep chromosome:Setaria_italica_v2.0:VII:20986759:20988665:-1 gene:SETIT_012639mg transcript:KQK97392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMSSASSSRAPLAARARKMPPPSSSRCLVLTVASVVVSLLSGSGHVAAGGHPDYADALGKAILFFQGQRSGQLPPDQAVTWRSNSGLSDGSAANVDLTGGYYDGGDNVKFGFPMAFTTTMLSWSVLEHGGKMKARVHDARAAVRWGADYLLKAATQTPGTLYVGVGDPDADHRCWERPEDMDTPRSVYAVSASAPGSDVAGETVAALAAASMVFRAADRAYSRRLLAAARGVMELAVRSQGKYSDSIGGDIGAYYQSYSGYKDELLWGSAWLLWATKNSSYLGYLYSLGDNDGVDMFSWDNKLAGARVLLSRRALVNGDKTLEPFRQQAEDFFCRILPGSPSSTTRYTAGGLMHKSGNANLQYVASASFLLATYAKYMAVSKHTFSCQSLPVTAKSLRALAKKQVDYILGANPQGMSYMVGFGARWPQRIHHRASSLPSVASHPAHIGCQEGYQSYFYSGAANPNVHTGAVVGGPDENDAFPDDRGDYARSEPTTYTNAPLVGCLAYLAGAYRAS >KQK97705 pep chromosome:Setaria_italica_v2.0:VII:22770265:22773800:1 gene:SETIT_009551mg transcript:KQK97705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMRKRSDSGSRGRRTATMPRVPNSARGKRTTRKKKDEMCAFDLLATVAGTLLADQDISNNVPNTSGAAKAKNKKAVKEEHRDEILPLNNMAVEKDCCNGCVVGSGGICAFPRQANNCSAENSSTINEAGSMLESLTVKSNMLVRDSLVSCTRPYETSCGLGIIPEFGARGTHHPGSSSSAEAEQMHQAEPKVVRRQADGHAAVLHSLFDSVDLDVRPPALVSSDSSSCVPLCNHDKDHQTASLCRDEVQYTADRDDDENSSGCTHPSTAGDKGSRPQYIGSHRIRKLLASKVRKAARNKICGGMPSKKVCGGMSNKGSKLNLCSKKIPARRQKVQRTIFKKKKPAHHATSFAKEMLTGAAGTSFSTEGRNKSSGSDNYHVKLRIKSFNIPEVFINVPENATIGSLKKTVMDVVNSIMQGGLRVGVLLQGKDIQDDNKTLRQAGICHDKKLNNIDFTLECEGGQDSPSGVVKPEQMDLLSADVVEPLARMKCEEHFPETVGDDNQQRTPPYRSRSLSDLYSVVHPVEMASQDTSTSSQAIVPVAPSDDGALAIVPLCSVRRSETGQRRTRMPFTVDEVAALVEAVELIGTGRWRAVKMHAFEHVEHRTYVDLKDKWKTLVHTASISPQQRRGEPVPQELLDRVLAAQAYWSEHPKIRGKAPLPAICSA >KQK97611 pep chromosome:Setaria_italica_v2.0:VII:22159921:22160662:-1 gene:SETIT_013054mg transcript:KQK97611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHHVMRGASAASPPPTTSKMQSCCPSKLLGPSAAMIATPHLKSRLHLPPRGRGRRSYSR >KQK99992 pep chromosome:Setaria_italica_v2.0:VII:35690265:35691534:-1 gene:SETIT_012783mg transcript:KQK99992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIPVRRWNRLAGAQIPFRRDSRRPCSIQSRGAKRKYSSWGAKRARSGGAPSGRSLPPPPPHTKNSAWIMLPRRWIMLDNAHAGSRCHRFLNTSTSECIRTDLPELAGHTLLALTPEGLLLLLHEPTLVIRLLNPLTCQLTDLPPVTGLLSPKDYRARHRGIELGKRLLLHVCMALASLLTGPKWQLASATPEFSPLLNLVMGAGLRSTTPTHSTPTHTCIQLCLSQAASTVSPPGISSNHPGCSWLLSGKSFYFNVMSDSLHLVDNGGELLLVHRTLQYYEYDQDHENDDDESYDGTYERNYEVYRVDLDAGILIPVKGLNRRAVFMGMSRAISVSAADAFPSATPNTIYPGVDCGMETWEYNVADGSKEPWHYCPLGPRTAVDCLRICIQGDGTDLLA >KQK97182 pep chromosome:Setaria_italica_v2.0:VII:19465855:19466330:-1 gene:SETIT_011831mg transcript:KQK97182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDY >KQK98657 pep chromosome:Setaria_italica_v2.0:VII:28492828:28493272:1 gene:SETIT_011640mg transcript:KQK98657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSPNHHSFCLSCLIDSAILSDRTAVSVGLRKSLP >KQK97662 pep chromosome:Setaria_italica_v2.0:VII:22495962:22498878:1 gene:SETIT_011055mg transcript:KQK97662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLISWLEDARQHANANMTIMLVGNKCDLSHRRAVSYEEGEQFAKEHGLIFMEASAKTAQNVEEAFVKTAGAIYKKIQDGVFDVSNESYGIKVGYAVPGQSGGAGSSSSQGGGCCS >KQK97978 pep chromosome:Setaria_italica_v2.0:VII:24241537:24242528:1 gene:SETIT_0112371mg transcript:KQK97978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEDLSYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYVTAGHTRFMLLHDSRSEDGIKSFFQEVHEFYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >KQK97979 pep chromosome:Setaria_italica_v2.0:VII:24241540:24242888:1 gene:SETIT_0112371mg transcript:KQK97979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDLSYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYVTAGHTRFMLLHDSRSEDGIKSFFQEVHEFYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >KQK97977 pep chromosome:Setaria_italica_v2.0:VII:24241537:24242888:1 gene:SETIT_0112371mg transcript:KQK97977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KEDLSYHHQFILHAALDVVQDLAWTTNAMFLKSVDRFNDLVVSVYVTAGHTRFMLLHDSRSEDGIKSFFQEVHEFYIKIFLNPLYLPGSRITSSHFDTKVRALARKYL >KQK96906 pep chromosome:Setaria_italica_v2.0:VII:17328675:17329645:1 gene:SETIT_012473mg transcript:KQK96906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGEPRAVERDRRRWQDGATECAETKLYKGPCLELICVAACLLEMHQGGHCKGSWFWGRCLCFTCS >KQK96962 pep chromosome:Setaria_italica_v2.0:VII:17777580:17778076:-1 gene:SETIT_013102mg transcript:KQK96962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLAGKISKGGVAYRCVLVVGAGRLLRATSPPARRASRCPSHAGRCRHHATLLKPSCAGCRTPCRP >KQK98756 pep chromosome:Setaria_italica_v2.0:VII:29014766:29016189:-1 gene:SETIT_011172mg transcript:KQK98756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAWGSLHDKLQGRRWKERQVRKITDKVFDRLTEDAQKREKEALTFEEVYIAVLCVYNDINKYLPGPHHDPPSKEKLKAMMDEYDVNLDGLLDREEFAEFIRKLTADSLCSISLKLAITLVAAPALAMATKRATEGVPGVGKVVRKVPNALYASAITLGVVLVQKSTEGVE >KQK99203 pep chromosome:Setaria_italica_v2.0:VII:31483224:31486041:1 gene:SETIT_012242mg transcript:KQK99203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITAMSSSLANSPVAGTVNSRLMRSHAATGGTFHLPRISRGIKLRSCCAPAPPSDPDPKWWEMPLSPDDLIEPTGQGLEELGAMWNALVQDPLRPILIALQEIKATKGDLFRCRCFHAGFVSGTSSVSYICIKMLLVAGFYQVYKTAPKLCVDIVLGYICYKLSVLAAELKRNGKANNLCARMQFVLLVVLLFKGDHSKDSYLYFTRIIWTLVLHVYACVVVYECLGVKHPKRYLEATFKTLLTIGGVVKVLKFMFLGIE >KQK97802 pep chromosome:Setaria_italica_v2.0:VII:23328773:23330373:-1 gene:SETIT_0097551mg transcript:KQK97802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASVTAAAAAPCYDAPEGVDVRGRYDPAFASVLTRDALAFVAALQREFRGAVRYAMEQRREAQRRYDAGELPRFDPATRSVREGGWKCAPVPPAVADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMHGQVNLRDAVAGTISFRDAARGREYKLNDRTAKLFVRPRGWHLPEAHVLIDGEPAIGCLVDFGLYFFHNHAAFRAGQGAGFGPFFYLPKMEHSREARIWNGVFERAEQAAGIERGSIRATVLVETLPAAFQMDEILYELRDHSAGLNCGRWDYIFSYVKTFRAHPDRLLPDRALVGMAQHFMRSYSHLLIRTCHRRGVHAMGGMAAQIPIKDDTAANERRWSWC >KQK97803 pep chromosome:Setaria_italica_v2.0:VII:23328773:23330159:-1 gene:SETIT_0097551mg transcript:KQK97803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCASVTAAAAAPCYDAPEGVDVRGRYDPAFASVLTRDALAFVAALQREFRGAVRYAMEQRREAQRRYDAGELPRFDPATRSVREGGWKCAPVPPAVADRTVEITGPAEPRKMVINALNSGAKVFMADFEDALSPTWENLMHGQVNLRDAVAGTISFRDAARGREYKLNDRTAKLFVRPRGWHLPEAHVLIDGEPAIGCLVDFGLYFFHNHAAFRAGQGAGFGPFFYLPKMEHSREARIWNGVFERAEQAAGIERGSIRATVLVETLPAAFQMDEILYELRDHSAGLNCGRWDYIFSYVKTFRAHPDRLLPDRALVGMAQHFMRSYSHLLIRTCHRRGVHAMGGMAAQIPIKDDTAANERRWSWC >KQK99263 pep chromosome:Setaria_italica_v2.0:VII:31958097:31961596:1 gene:SETIT_010823mg transcript:KQK99263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMARRRLLALAFQLQRRAPATQPWRRASPRFLSSAAYSSLERLRTPPFAGPAARNPAASPWDRFGGGQKRTMFIQTQSTPNPQSLMFYPGKPVMEVGSSDFPNARTAMTSPLAKALFAIDGVTRVFFGSDFVTVTKSEETSWDYLKPEVFAAIMDFYSSGQPIFLDSNVAASMDTAIHEDDSEIVAMIKELLETRIRPAVQDDGGDIEYRGFDPETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQEFDGDEEAELAGQLE >KQK99993 pep chromosome:Setaria_italica_v2.0:VII:35692575:35693258:-1 gene:SETIT_011026mg transcript:KQK99993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASPPLLPTSVVPAATPAPSPIPTAADANPAAARAFLSRLLDSARRALSGARPWSELADRSALSRPDTLSDATSRLRKNLAYFRVNYAAVVALSLAAALLAHPFSLAALLALLAAWCLLYVLRPADAPPLAAFGRTFSDKEVLGGLIACSAFVVFLTSVGSLIFSALALGAAVVCAHGAFRVPEDLFLDEPDQAAGSGNPLLSFIANATGGVGGRV >KQK97314 pep chromosome:Setaria_italica_v2.0:VII:20475329:20479227:1 gene:SETIT_009827mg transcript:KQK97314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGESGEGLVVDSGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLQYAGPASLVWGWVVVSFFTWFVGVAMAEICSSFPTTGSLYFWAAHLAGPVWGPLASWCCAWLEAIGLIAGIGTQAYAGSQVLQSIILLCTGTNTGGGYLTPRWLFLVMYIGLTLIWAVLNTFALEVIAVLDVISMWWQVIGGTVIVVMLPLVAKTTQPASYVFTHFQTTPEVTGISSGSYAVVLSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIISVFGWAYILALTFSIQDFSYLYDPNNETAGAFVPAQILYDAFHGRYHNSAGAIVLLFVIWGSFFFGGLSITTSAARVVYALSRDRGIPFSSVWRRIHPRRKVPANAVWLCAAVCALLGLPILRINVVFTAITSVATIGWVGGYAVPIFARMVMREEDFRPGPFYLGAASRPVCLVAFLWICYTCAVFLLPTSYPIRMDTFNYAPIALGVCLGLIMLWWALDARKWFKGPVRNIDEHNNGKV >KQK96998 pep chromosome:Setaria_italica_v2.0:VII:18119909:18120315:1 gene:SETIT_012479mg transcript:KQK96998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYGAAAAEQAWYMPVVAPAAAAETAAERVERLASESAVVVFSVSTCCMCHALDLDPRGRELERALACLLGAAAGPGAAPVVPVVFIGGRLVGAMDRVMAAHINGSLVPLLKEAGALWL >KQK97648 pep chromosome:Setaria_italica_v2.0:VII:22384448:22385361:-1 gene:SETIT_011404mg transcript:KQK97648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKQKIVIRVSQLASEKTRSKAMALVAKADGVSSMGVTGDGKDQLEVVGDGVDTVCLVQCLRRKIGPAEILKVEEVKPAEKKPEEKKPEPLPYWWYHNYYHYHPPPPCW >KQK98663 pep chromosome:Setaria_italica_v2.0:VII:28521755:28523496:-1 gene:SETIT_011912mg transcript:KQK98663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELEEEILIRFPPHEPALVCKRWRRLVSGPAFRRRFRELHRTPPMLGFLCNIVEDAGSYCFVPTAGAFRAPGADLCQCRALDARHGRVLLKCPGSSEGALVVWDPSTDEKLELSIAVLGRYAYNWTAAILCAACGTCNHLDCHHGPFLVVYVACGGSREAFICTYSSDAGTWSEPITTELQLPSDLVTLMPGGLALATVHEYKLCIWRKAGREDDAGWTQNMVIELESLLPRDAILASPNVVGFADGIGVIFLSACCALFTIDLNTYKAKKVFKGKSIYVAIVYINFYTP >KQK96697 pep chromosome:Setaria_italica_v2.0:VII:14142510:14145043:1 gene:SETIT_009424mg transcript:KQK96697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPGEGASAPPAVAPSSAGAMAAEEAAARKRYEALVQVRTKAVKGKGAWYWAHLEPVLVPPPTSGLPPKTARLRCALCAATFSASNPSRTASEHLKRGACPNFASPLAPVSTPPPPHHQQLAIASASSVVPIASFPPLSQRRHSTGGVSGGRKRHALAAAYAAVEAAAAASSQHVLAGEPAVYTSAPPTPPAPRQVLSGGRGDLGPLAQLEDSVRWLRSPGASPGPTLPHRQAEAALDLLSDWFLESAGGVSLAAVEHPKMKAFLRQVGLPELSGADLTRARLDARFAEASADAAARVRDARFFQLAADGWRDPVVTLAANLPNGTSVFHRAVPMPAPPSSDYAEEVLLDAVSSVAASADLRHCAGVVADRFGSKALRDLESKHPWMVNISCQVHCLTRLAKDMARELPLFHSAATNCAKMAAYFNTTATVRALLHRHQVQELGRAGLLRVAAPPSGDSEFSAALAMLDDVLTSARPLQFSVLEEPFKLLCIDDPAAREIVDMVHSAAFWAEVEAAHSLVKLITDMVKEMETERPLVGQCLPLWEDLRGKVRGWCRKFNVDEGIAMDVLERRFRKSYHPAWSAAFILDPLYLIKDASGRYLPPFKYLTPEQEKDMDRLITRLVSPEEAHLSMMELMKWRSEGLDPLYAQAVQVRQPDPATGKMKIANKQSSRLVWETCLSELKSLGKVAVRLIFLHATARVIRCPPRMARWLTASSGGIARAQRLVFVVANSKLERKDLTNDDDRDAEMLTDGDDDMMLADPTTTATVDPHR >KQK97496 pep chromosome:Setaria_italica_v2.0:VII:21484584:21485030:-1 gene:SETIT_013093mg transcript:KQK97496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITDGRMDGDSPSCSMAPPMPSSPPCLWDPQSGRAPCCSGIARL >KQK98675 pep chromosome:Setaria_italica_v2.0:VII:28583436:28585149:1 gene:SETIT_010331mg transcript:KQK98675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSAGEAGGQIQPPDIKNWFSSYEYESPEVPELVADPAVENGSETQDPFEHPLSKHSFRDGGIALRENCLGEQSLPEVFAGKYLVPVDKSATKPATKRKQSLRTLFGEGFLDKDEEAAETEGQRLLPVQRNALEPPSDCVASLPDTNQSQEWSAEHSNLLVDCDGISSVDTQESTPADQEVECSKQSVDYDDASLSNIDVGEGFAEDVIHQIEQPLNSNGANLVATEKNNQDGVEHTLRPASHNNFNLADTQENSPLEGTRHCKIALCSKRPQETVASDGFIAVKRKEKRPEECRVNEIPRHPMGREKENGKLQENNGISEQKVLDQEQTRHPLADRTNFLEVAAAAPAQEVSRKWKCPRKGKPFVGRPLKQLRLEQWVRQMN >KQK97484 pep chromosome:Setaria_italica_v2.0:VII:21419008:21420913:-1 gene:SETIT_012369mg transcript:KQK97484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSKVIKSHLSPCRGLEARRRWCRAAARPAVLVITVSVVAALLMAVVLFGVRLTPSGGNSSSWVSAGVRDVLKAVSNNQDTAGPLATVPDPRDRLLGGLLSPDFDETSCHSRYRALLYRRPSMHAISSYLVSALRRYESLHRRCGPGTPAYVRAVERLREPPNASATSSSSAECSYLVWNPIEGLGNRMLTITSGFLYALLTDRVLLLHSGGGDALDDLFCEPFPGSTWILPADEDFPIRDMGKLNGGHHESLRAVLRRGDDPGVAPWLYIHLRHDYSKVHQDQQFFCEDMQAQLQRVPWLVFQSDNYFVPGLFLNPGHERELARMFPRRDAVFHHLGRYLFHPSNTVWGMVTRYHDEYFAKADERVGIQVRKFGWAPISTDELYGQILNCSQREGILPVPNAATGGSEGQPAKQKAVLVVSLHGEYSEKLRDLYRKNGSTGRETVSVYQPTHLGAQRSGEQHHNQKALAEMVLLSFSDAVVTSAVSTFGYVGQGLAGLRPWVLMSPVDRKAPDAPCRRAATMEPCFHAPPRYDCRAKANGDAGRILRHVRHCEDFPQGVQLVE >KQK98627 pep chromosome:Setaria_italica_v2.0:VII:28347196:28350090:1 gene:SETIT_010222mg transcript:KQK98627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSLEARPGVLLVGAPGVGKRTILSRLLAAEIPDSHDLSSGVLCQGWTIDTKYYSADLSIWTANLGEEFSLGSLPHLDQLAALVMVFDMSDESSLLTLQSWAANVDMQRFEVLLCIGNKADLVPDHGAHVEYRRRMQRLGESSSDPHPEYLDFGINESEGCGLLSVEEPCIEIRNSTSQWCIDQNIEYIEACASNTDFDKCLSVDGDSQGLERLFGALSAHMWPGMILKSGNRITAPSLVEKEESSDDESNYDFEYEVLSHGSDDQWEFVGETSTSRSFERSNEANGTQEHTHQVVNASADSSKPNALPSHAPTETAEENTVTQSNKAGDSDHVDRTTADSADDHQGDAPEANNLFDDEHYGLDDLEKLMSEIGNMRSNLRLMPDFQRREMAAKLAMKMAAMFGDDDEEAFEDL >KQK96568 pep chromosome:Setaria_italica_v2.0:VII:12037896:12039626:-1 gene:SETIT_012583mg transcript:KQK96568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANQNQEFVHIDDRTAADMRRKGFDPHEMEQSTQMILYYLYTSLPAPPVSAASRLSALRAPSDVVDRISQLPFALLRDIVSRLPVKDAMRTAAISRRWRPVWRCSPLVFADAHLIPGAIEGRRQPVRADTPGLVPAVTRVLAAHPGPFRSVHLVCGYMDAHQRQLARWIQTLIDKGVSELVLVNRPWPLEVPLPAALFGISTLTRLYLGIWKFPDTSGLRRGAGAEATFPHLRDLVLSAVLIENRDLDFVLAGSPALETLGIQGNKNGVRLRLVGQHIRCVQICMCYVESIAVVDTPNLERLLVWGSMTRGGSCIRVKIGNAPKLSLLGYLEPGIHMLEIRNTVITAGVRASPSTMAPSVKILGLHVRFGVRNDVKMLPIFLKCFPNVETLHIMSAKTDEVTGKLNLKFWQEVGHIESIRSCIKAMTFREFQGVRSEVAFLKFVFQSAQALKKAVIVSAKGSFTSIGEAISKVRSLTPDNWASNCSVFVYEGSGPEGGGLWNFRKGCDFSVSDPFAYH >KQK97382 pep chromosome:Setaria_italica_v2.0:VII:20922003:20922505:-1 gene:SETIT_012502mg transcript:KQK97382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNILIQLLVFTLVFTVSTTQQAWGEQDCHLEKDMVQTECMISIIIIGDYVPPSNMCYPSDEISISAFKLVRVAEDCRKPLPAGTNCGSWTVLPPPSPQLPRAYP >KQK98386 pep chromosome:Setaria_italica_v2.0:VII:26690167:26691839:-1 gene:SETIT_012719mg transcript:KQK98386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVVLLLVLLATLAGILPPSACQAATKCEYPRPSGHGYKHPVGVRKVVVDAGGAGDFTSIQQAVDSVPVNNNVRVIMQINAGTYIEKVLVPASKPYITFHGAGRDVTVVQWHDRASDPGPDGQPLRTYNTASVTILSNYFTAKNISFKNTAPAPMPGTQGGQAVAFRISGDKAFFFGCGFYGAQDTLCDDAGRHYFRDCYIEGSIDFVFGNARSLYKDCELRSTAERYGSVAAHGRHDPCERTGFAFVNCRVTGTGRLYVGRAMGQYSRIVYAYTYFDSVIAPGGWDDWDHASNKSMTAFFGMYRNWGPGVDAVHGVPWARELDYFAARPFLGKSFVNGYHWLTPDV >KQK98926 pep chromosome:Setaria_italica_v2.0:VII:29993210:30000667:-1 gene:SETIT_012655mg transcript:KQK98926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAVNAVFAKLGQTAASAWNISGDPCTGAATDDTNIDTDPNFNPAIKCECSGQNNTVCHVTKLKIYALNAVGAIPAELQNLTRLTNLNLGQNYLTGPLPSFLGKLTAMQYMNLSINSLSGSVPKELGNLTNLVMLSFSSNNLNDSLPLELGNLVKLEQLWASDNDFTGQIPDYIGNWANLTELRFQGNSFQGPLPTTLSNLVELTSLRIGDIVNGSSSLAFISNMTSLNTLVLRNCKISDNLASVNFSQFATLTLLDLSFNNITGQVPQALLNLNSLSFLFLGNNSLSGSLPSSIGTSLKNLDFSYNQLSGNFPSWAVSSYFGFKLTMFDIFTSSFAVDCGSSRSISGSNYFIDNTDLGPASYYVRPASYYDTGALTWGVSNVGRFMDSQNGSYIIYSSRQFQKTLDSELFQTARMSPSSLRYYGIGLENGNYTVTLQFAEFEFEDMQTWKSLGKRVFDIYVQGERKEQNFDIRKAAGGKSYTAVKKQYTVPVTRNFLEIHLFWAGKGTCCIPSPGYYGPSISALSAIPILGLVVLSGLYVLKQKSRKLSLDKRELYSCVGRPNVFSYSYGELRTASENFNSTNLLGEGGYGAVYKGKLTDGSMVAVKQLSETSRQGKKQFIAEIETISQVQHRNLVKLYGCCLEGENPLLVYEYLENGSLDKALFGTGSGRKNLDWLTRFGICLGITRGLAYLHEESSIRVVHRDIKASNILLDTNFNPKISDFGLAKLYDDKKTHVSTKVAGTFGYLAPEYAMRGHVTEKVDVFAFGVVILETIAGRPNYDDRLDEDSAYLLEWVWQLYEEGRPLDVVDPSLMEFVSDEVLRAIRVGLLCIQSSPRQRPSMSKVVSMLTEDIEVPEAVTKPSYVTEWQASSSKREVVGMSASTSSYLSSLIEEGR >KQK97813 pep chromosome:Setaria_italica_v2.0:VII:23407304:23410085:-1 gene:SETIT_012202mg transcript:KQK97813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSKTLSEIRPGKELWNIKARVTRLWNATLLSSGEQLSLDMILIDQEGTMMHGVINKAYMDKFKPLIEEGNVYTIANVRITPAAQKYRPVVNDRIVNFLPTTTLKTVKDTEDIPKYSFNFMSTDMLSARINVDMYLSDVIGVAAHIGPIEETRTNFGFTKIRDIVLLMDDHEVKVRFWGDKVEEVDDETKSHVIAITSTTVRKFGRYSLSSTNATKVYVDLPIPETKDAHDSSIDNIVKEIHIEDHLKGTLQDQMQYNRRTLEELNLILFEASNHDKENNVCRFKIKLQISDPTTSASCVLFDKEAEMIINESADSMISSADHDSKEVPESIQKICGQTLIFQFRLTEYNLTSFRPDYTVSKIFFLKEKSSSRIGMESVKKEVEDNSDKCYTITTENEEVDTDDSFTKKDEYISNSDVIGDENLSSSKRSKKHLKKGVRLKRKSKKVVMQTNTSTTTVFQQTEMSFLYLGKYFLST >KQL00048 pep chromosome:Setaria_italica_v2.0:VII:35930120:35930512:-1 gene:SETIT_011524mg transcript:KQL00048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGVNECGIEEDVVAGMPSTKNMVRNYGGSSGTAVV >KQK97070 pep chromosome:Setaria_italica_v2.0:VII:18693900:18696925:1 gene:SETIT_009457mg transcript:KQK97070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVEDRPSVETTKQLKRQDSLYGDAEKVSSAKYHASEGSWSRLLQLAFQSVGIIYGDVGTSPLYTLSGTFPNGIKNHDDLLGVLSLILYTLILIPMVKYVFIVLYADDNGDGGTFALYSLISRHAKVRLIPNQQAEDAMVSNYGIEVPSSQLRRAQWLKKKLESSNAAKIGLFTITILGTSMVMGDGTLTPAISAQIVWISVPILFVLFSVQRYGTDKVGYSFAPIITVWFVLIAGIGMYNLVVHEIGVLRAFNPMHIVDYFRRNGKEGWISLGGVILCVTGTEGMFADLGHFNIKAIQISFNTVLFPSVALCYMGQVAYLRKFPEDVADPFFRSIPAPMFWPTFVIAILSAIIASQAMLSGAFAILSKALSLGCFPSVQVIHTSKSYEGQVYIPEVNFLMGLASIIVTITFRTTTEIGNAYGICVVTVFSITTHLTTIVMLLVWRKKFIFVFLFYMVFGSIELIYLSSILTKFVQGGYLPFCFSLVLMALMMTWHYVHVKKYWYELEHIVPADEVTALLKKHDVRRIPGVGLLYSDLVQGIPPVFPRLMEKIPSVHSVFLFMSIKHLPIPHVAPVERFLFRQVGPREHRMFRCVARYGYCNMLEESGLFKGFLMERLKMFIQEEAAFETNSSTGDTQSCSEESACPIVHSEEAIDPWVCGNAGNISPDLVEKEKQLIDTEMERGVVYLMGEANVIAAPKSSVVKKIVVDYVYTFLRKNLTEGEKALSIPKDQLLKVGITYEI >KQK98059 pep chromosome:Setaria_italica_v2.0:VII:24733207:24735838:-1 gene:SETIT_009882mg transcript:KQK98059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAWRQLVAVASLLSVLWLLPWASAIHRSDFPVSFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHAPGRIKDGSAGDIADDHYHRYDEDVELMNSLGVNAYRFSISWARVLPEGRFGRVNPLGIEFYNKLIDSLLLKGIEPFVTLNHYDIPQELEDRYGAWLSAEIQRDFGHFADVCFAAFGDRVKYWTTFNEPNVAVLTGYMLGTYPPARCSPPFGSCAGGDSDAEPYVTTHNVVLSHATAVEIYKRKYQSKQKGLIGIVMYTTWYEPLTDTPEDRLAAERALAFSVPWFVDPIVYGDYPPEMRQVLGSRLPTFSPEERRKLGYKLDFIGINHYTTLYARDCMFSSGCPLGQGTQHALAAVTGERNGVPIGPPTGRPMFYVVPDGIEKMVTYIMRRYNNLPMFVTENGYPDGGEAGHDHAKDLLHDQGRIQYLDGYLTKVAKAIRDGADVRGYFVWSLMDNFEWLYGYTLRYGLYYVDYQTLERKPKSSALWYKRFLQSFQHEAQ >KQK98060 pep chromosome:Setaria_italica_v2.0:VII:24733032:24736010:-1 gene:SETIT_009882mg transcript:KQK98060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAWRQLVAVASLLSVLWLLPWASAIHRSDFPVSFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHAPGRIKDGSAGDIADDHYHRYDEDVELMNSLGVNAYRFSISWARVLPEGRFGRVNPLGIEFYNKLIDSLLLKGIEPFVTLNHYDIPQELEDRYGAWLSAEIQRDFGHFADVCFAAFGDRVKYWTTFNEPNVAVLTGYMLGTYPPARCSPPFGSCAGGDSDAEPYVTTHNVVLSHATAVEIYKRKYQSKQKGLIGIVMYTTWYEPLTDTPEDRLAAERALAFSVPWFVDPIVYGDYPPEMRQVLGSRLPTFSPEERRKLGYKLDFIGINHYTTLYARDCMFSSGCPLGQGTQHALAAVTGERNGVPIGPPGGQCSTSCLTASRRWSPTS >KQK98058 pep chromosome:Setaria_italica_v2.0:VII:24733207:24735838:-1 gene:SETIT_009882mg transcript:KQK98058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKAWRQLVAVASLLSVLWLLPWASAIHRSDFPVSFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHAPVSGRIKDGSAGDIADDHYHRYDEDVELMNSLGVNAYRFSISWARVLPEGRFGRVNPLGIEFYNKLIDSLLLKGIEPFVTLNHYDIPQELEDRYGAWLSAEIQRDFGHFADVCFAAFGDRVKYWTTFNEPNVAVLTGYMLGTYPPARCSPPFGSCAGGDSDAEPYVTTHNVVLSHATAVEIYKRKYQSKQKGLIGIVMYTTWYEPLTDTPEDRLAAERALAFSVPWFVDPIVYGDYPPEMRQVLGSRLPTFSPEERRKLGYKLDFIGINHYTTLYARDCMFSSGCPLGQGTQHALAAVTGERNGVPIGPPTGRPMFYVVPDGIEKMVTYIMRRYNNLPMFVTENGYPDGGEAGHDHAKDLLHDQGRIQYLDGYLTKVAKAIRDGADVRGYFVWSLMDNFEWLYGYTLRYGLYYVDYQTLERKPKSSALWYKRFLQSFQHEAQ >KQK96129 pep chromosome:Setaria_italica_v2.0:VII:766277:766713:1 gene:SETIT_012937mg transcript:KQK96129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRAPPRWRRGSSGARRVSGSPSAASCRSSSIA >KQK99881 pep chromosome:Setaria_italica_v2.0:VII:35217555:35220447:1 gene:SETIT_009944mg transcript:KQK99881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFLTPAQPPSPAAAGRRTLRCCIRCSSVHELERSPAPRPGSSLPPLRAAKRVVLVRHGQSTWNAEGRIQGSSDISVLTPKGEYQAETSRQMLLSDSFDACFTSPLARSRRTAEIIWEGRDDDLIPDSDLREIDLYSFQGLLKNEGKERYGVLYKQWQKNAANFSIDGHYPVRELWDRARSCWERILAHEGKSVLVVAHNAVNQALVATSLGLGAEYFRILLQSNCGASVLDFTPQTGGGPPNVCLNRLNQTPNSPVATGSSGGRKTSKRIILACQGTTQSSSEISLGGTGYAPLNMLGTIQSQKTAELLLDLRVNSILCSPQVAAVDTATVICEVQEAADCLGADCVPRYVEMKNLLELEIDDAFQAKQKSFGEIIQSGWMGSMEYKTLERLWAQSKDSWQALLNELPDDSESDRVIVAVGHPAIHLALICRCLDLPMEYMPSFHLDDGSISVIDFPDGPKGRGIVRCTNYTAHLGRWSIPITRPTANDEEF >KQK99069 pep chromosome:Setaria_italica_v2.0:VII:30758041:30760379:1 gene:SETIT_012579mg transcript:KQK99069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATGDDRGGDWRRRAASTGGDCAAGGDDGLVHGSDSGFPKKQFLASPTKAKAANGKGGDGVAPLGDGFGDLKSKAEGKQQRAGSKDEVFFESRAWLDSDCEDDFYSVNGDFTPSRGSTPNYQPRMQTVMTNIFQLDNSDKSKSPEPSPTGRRKLAELLQEAMQNGPEERTDVSKNEKKQLQSVAADGKPVSESTSSSACSTELTPTVVAKSRKEKAWYSGRCCLPSFVHSLTLDESERGQKMSSGPCAV >KQK99991 pep chromosome:Setaria_italica_v2.0:VII:35685779:35689398:-1 gene:SETIT_009399mg transcript:KQK99991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPPRLLLLLRCCCAALLLLLAPPPAAARGHHPAGDGVVISQADYQGLQAIRHDLADPYGFLRSWNDSGLTACSGAWAGIKCVLGSVVAITLPWRGLGGTLSQRGLGQLTRLRRLSLHDNAIAGPIPASLGFLPDLRGVYLFNNRFSGAVPASIGGCVALQSFDASNNRLTGAVPPAIANSTKLIRLNLSRNALSADIPAEVVASASLLFLDLSYNNLSGPIPDAFAGSTKSPSKLLLNKDSITGSYQLVFLSLAQNSLDGPIPESLTKLAKLQHLDLAGNSKLNGTIPAELGSLADLKALDLSSNDLSGEIPPSLDNLTATLQSFNVSYNNLSGAVPASLANKFGEPAFTGNILLCGYSASTPCPASPSPAPSSPAEEARGRRKFSTKELILIIAGIVIGLLILLLLCCLLLCFLTRKRSSSSSTTAARSGKQAAKDAAGAAAAGRGEKPGSGAAEVESGGDVGGKLVHFDGPLAFTADDLLCATAEILGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAAVLGRIRHPNLLALMAYYLGPKGEKLLVFDYMPKGNLSSFLHARAPNTTVDWATRMTIAKGTARGLAYLHDDMSIVHGNLTASNVVLDEQCNPKISDFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKANAKTDVYSLGVIILELLTGKNPAESTNGMDLPQWVASIVKEEWTSEVFDLELMRDAAVGPVGDELMDTLKLALHCVDPAPSVRPEAREVLRQLEQIRPGSDGGAGPSEEGAGAHVPAASAAGEDE >KQK99503 pep chromosome:Setaria_italica_v2.0:VII:33169362:33169813:1 gene:SETIT_011602mg transcript:KQK99503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHPADDHGTAMYVYPGCIHLLASSGTVAMSRNYMYTTGESTFTTGTAILVIKGTL >KQK99522 pep chromosome:Setaria_italica_v2.0:VII:33289999:33290779:1 gene:SETIT_012330mg transcript:KQK99522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYTLPLPWLTCLCVVVVVLLLSPAALLAAAADDPTAGFKVVSLSESNFVLQQPYDVPRDARYRFVGGVRQLWVLSSDKPHTPQSNTKPRTEIRMKGYNYSSGVWQFEGYGYVPSGTTGVSIMQVFGGGESATTLMLHVYDGELRYYSQQVVENNIYNRWFRLNVVHDVDASSLSVFIDGVKKLQVPGRGGDSHYFKFGVYMQHDASSFMESRWKNIRILKK >KQK97934 pep chromosome:Setaria_italica_v2.0:VII:24058181:24059319:1 gene:SETIT_012431mg transcript:KQK97934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMICWLKAFEAFEDKTSAINPDTGVNEQLARMITDCIKPDQKLAVGSHEYKEIIEKTMKISCLHDSTVMEVMWGLKNCMHHYVPAELTKDDRPLMSEGMKRVLDKHRFDYKPEIINDRIIEAGKHLKNISGINSEGWDLLKLATALKMVCYPEDFFN >KQK98199 pep chromosome:Setaria_italica_v2.0:VII:25600996:25601782:-1 gene:SETIT_011169mg transcript:KQK98199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFPTRLPTSPSPMPTTAATASAVASPSTSTSSATLLPRRRFLPPASTPARCRLVPTPPRWRARLHIAPRVAVGSDVFSSPDVAAEQAAAAPKVGKRVRVTAPVRVHHVAKAPGLDLRGMEGVVKQYVGVWKGKRVTANLPFKVEFELNLDGQDKPVRFIAHLREREFEIVGDE >KQK96883 pep chromosome:Setaria_italica_v2.0:VII:16886940:16890301:-1 gene:SETIT_012295mg transcript:KQK96883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLVHDLAVSVLGDRILYQSKQGNDGGSICRYALLHDSSKPLESWTTFPDRLWALHFLNCQWIDVHGAAFELGESLRVLDLSECSIQKLPDSIGLLKRLRYLNAPRIRERMLPECITKLSKLMYLSLRGSCYILELPESIGEMEGLVHLDLSGCLGIKTLPASFGNLTSLEHVDFANCKNVTGVSKCLASFTKLQHLNLSNCESIGDLPRAFGSLTELQYLNLSDSSYLSGNKLTLPEYLGSLAKLKYLLGSLTKLKYLNLSSSNIYVNLSPGNQQRIISSPEAFGSLTELKYLNLSHHCFMGKLLPSFGNLCNLVHLDLSYCYPVRGLPGALNGLTKLQYLDLYKCSGFDAMEWLQDAFGNLSELQHLNLGCCIGKISYHPDKINALLGWICNLTNLEYLSLRENDTIYSIPETLANLRKLHTLDLSFCSSLQRLPASISEIESLKFLYTMECWKLDRSTLPSPHFVAHTGDGESSSYVFQYEDGNPARLKISRLENVKSAGEARTIKPVGKTHITQLALEWTRDAKRLVEDAEVLRELEPPHSISIFRLHGYNSVSFPSWVMHLGVYLPHLTFFEMRDLPNCNSLPPLGQLPNLKVLDIGGMDSIKEIGADLYGGPGAFPRLENFRIHGMKWLEVWNTVNSVFPCLSYVSISDCPRLRFKGRPPRSMEDKLQLSICRSDEVMLSSWVNIGDDTDSTVTTLEVISCLLPLYQWRLLRHLPCLKVLTIEDCTLTVRPGPEGVELCGQSEDCRSIRLMSFVPENDPENLIGMVSTLAEAAPLQSFMRSEFYVKLELSDGAMRHSGPASCVRRCMATVRDPGRSLGRNAMPPAAAWL >KQK97096 pep chromosome:Setaria_italica_v2.0:VII:19054728:19058233:-1 gene:SETIT_010676mg transcript:KQK97096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALRRPRIRTASRCTAETAHATHRFEVDGFSLHMGLGAGRFIRSAAFTVGGFDWCVRFYPDGLHRADTGDSFCVCLELLSEKAEVRVLYHLRLVHHNGAPWPAFWRNKPTLFTTMEASKNRGLDIFKFIGTSDREASGHIHNDRLVIECDVTVIKEPQVSEVAAISEDLVVPPSDLSVNFGKLLESEEGADVKFIVQGEIFPAHKVIVATRSPVFKAELYGPVGEGNRECITIEDMLAPVFKALLHFIYTDSLPAMEDLGSDDKEMMKHLLVAADRYAMERLKLICEGILCALDFNCRASRMSKQ >KQK97142 pep chromosome:Setaria_italica_v2.0:VII:19277241:19278686:-1 gene:SETIT_011184mg transcript:KQK97142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGGGVEQPMQMVLRMKHPSSLGSSVAGDEEEGEGSSRSALSVFKAKEEQIERRKMEVREKVFAHLGRVEEESKRLAFIRQELEGMADPTRKEVESIRKRIDTVNRQLKPLSKSCIKKEKEYKEVLEAYNEKSKEKALLVNRLIELVSESERMRMKKLEELNKTVDSLY >KQK98937 pep chromosome:Setaria_italica_v2.0:VII:30064669:30064971:1 gene:SETIT_012214mg transcript:KQK98937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWKRKSFGRGSEAMRERLIQQQEEGGCVPRGCVAVVVGGDEEPEERVVVDVRALAQPCVRALLEMAEREFGYAQKGVLRIPCAADEFRRAVAADSHRCTR >KQK99125 pep chromosome:Setaria_italica_v2.0:VII:31079861:31082642:-1 gene:SETIT_010024mg transcript:KQK99125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSAFSEEILADKLAKLNNTQQCIETLSHWCIYHRKNAEQIVQTWDKQFHSSSKEQKVPFLYLANDILQNSKRNGTEFVEEFWKVLPGALKDVTENGDDRGKKVASRLRLGVGGTAEKIVSALHTVHSEQADEDADLEKCKTSMRHVGKLEKDVDSACSKAEDPRREVLCAELKDEEANMKKCIEKLKVVEANRAAVVSELKEALQEQESELEKVRTQLQLAEAMVHEASNMQRRLKNEPTIPLPKSAEPGKALPNGQVKEQQKTAAAILADKIAASSNSQQILQSALSKFAAEEAKNSSETRSDKRQKTDQPLQVPSAANAAAFVPMPQVVATTAQQQPQAMLVQQAPMQSQAPAPQPQYNIYQAPPQQFVQQPGGVMMGMPYNMSTMTPPPPPPPPQMMNLGRPSPSAPQPQMAVMPQTQPQPMLQQQMPMNLAPQMQFALQQPGVPPFRPMQQPPPPGMQYFHPQSQ >KQK97328 pep chromosome:Setaria_italica_v2.0:VII:20597357:20598061:1 gene:SETIT_012293mg transcript:KQK97328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLPSPASRSAAASCCSSASSSGPFVVARRSCFLAGSGADGGRGGRFCYESSPSSLGCSSRAASPPEYTPSSPSGSPEYTPLTPSRRPASPDYTPGSPEYTPATPEYTPLSPSRRSASPDYTPESPPRCRRRAATPEYTPGTPEYTPLSPSPRPSSLDYTPATPDYTPSTPPPSPAVSDAEFRTSPARRRHHPYQRIQTSCGQRAATPEYTPSTPPPSPAVSDAESRAPPPPP >KQK98810 pep chromosome:Setaria_italica_v2.0:VII:29331000:29331681:1 gene:SETIT_012848mg transcript:KQK98810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVTHRGLSCATTVKAQSMFLFKRKNMVLGLLHQASQLLGILHYTPPMSRC >KQK99770 pep chromosome:Setaria_italica_v2.0:VII:34664631:34665860:1 gene:SETIT_012301mg transcript:KQK99770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASITFSPGNLHALSVRLGILGGTGAFQYGPFNCGVQRRWKKPVDSARTRLEGRTRDHRLDKLMVQLRNLRHALAVRELISQQRNGYASVQLLSKWRHEVGLNIEIGAFLKKYPHIFQIYMHPVKRNHCCKITQKMADLIAEEDAVIRENEADTVQRLKKLLMLSMNGTLNMHALWLVRRELGLPDDYRSSILPKHGHDLYLESPDTLSLVARDDELAVAKIEEWRKKEYTEKWLAESETKYAFPINFPTGYKIEKGFREKLKNWQRLPYTKPYEKNALHPIHNVERLEKRIVGILHELLSLTVEKMIPLERLSHFRRAFNMEVNLQELLLKYPGIFYISTKGSAQTVILRERYSKGCLIEPNPVYDVRRKMLDLILSGCRNVGEPESAAWLTEEYDEGSCHELQNNMCQ >KQK97951 pep chromosome:Setaria_italica_v2.0:VII:24148979:24155177:-1 gene:SETIT_009363mg transcript:KQK97951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDASPPAPQMTPEEVQAETELRLRDIGQRFGALPQEKEELLRLLSDAETWLSRVDQSPDEDMHNTLRPTMAILITNELLEHPDPNVKVAVTSCLTEVTRITAPEAPYDDDVMKDVFKRIVEAFAELDDMNSPSFQRRVSILDSVARVRCCVLMLDLDLDHLILDMFRHFFKTASMGHSEQVTNCMETIMVYVIQESDDVHAELASCLLQNLTKEAQETLPASFGIAERVLGLCKDKLKPVLLELLKGTPLDDYSNVVTLLFQDAGENNVDASGKDMAAEGKLSEKSVSDESPQETSKLEQDVNCVGQDGTSPISTPATTISNVGAPVDNVKSPGGPASSKQNQEVPSGDEQIKISDQLISGDKEVPEPVTAENEKLSDISSKKSHKLGSSTGPEMTEQSKVVKDNESLVASEELSPETNDGDKKQLTETSNRAAADSSKPVDTKPAVVKPKRGRPPAAKSQEKKSFGKKQGSNIESAKVDAVSDSGGRATRRLNKDDAKSLSTKAAEGESGKKQHKASMKLQKEDAASDKDTDEDISLKEMVSPTKMDKSKGQQEDSGGSKRKHLQEAEEATPSKKNKMLDENLVGSRIKVWWPDDKMFYEGVVESFDASSKKHKVAYDDGDVEVLMLRKEKWECIVEEQDDPDAASNMPRGRRAKGSSGQQMKEVKTGTPQSGSDSKNPPKKRGRPKGVRSSNNTPNSDSPVTPSKLKGQGAEKDNQEAPKTGSNSKKEGARPSRSTGKAKDDVVKASNKDETGSTDNSKDEAGSEDKDSKDEVKSSDVDGSKTNGLSTKRKPKEKEDESSEEEEKGSAKTFIRKKRRRKSRN >KQK98723 pep chromosome:Setaria_italica_v2.0:VII:28840991:28843551:1 gene:SETIT_012430mg transcript:KQK98723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGPFCHIRSVRPIRINHAPQFPREHAVFHLDRSRSLSQSPVLSAHPNLPCRDPQRRGQRKRTLPPAGMDAGLERRSPPPLPGEAQASSSGQSLVPLLGAQEQELGKDSSNLYSRASNIVLCLVFFEVVAFYGVYLNLVVYLQDVLHGDSASNAAAVSSWAGASFLMPVIGAAIADSYWGKYKTVLVGLSISLAGMAVITTSATLPSLRPPPCEHGGTYCAPATLSQRLVFFAGIYLCAIGTGGAKAAIVSFGADQFDDDNGKNAAEREMKASYFSWFYGVGNLAVLTSGTLLVWVEEKVSWGLGYGACAASMAVAVAGLAATAPVYRIVPPVGSPLKGACQVLVAVAHKAGVRVPDDAADLYEEVHDKTPLLDEPAREQLEHTDEFSAWTRPRSSRARTWKAPARGGCARIRIAGGAFSVPAASMTSVMTVSVVVYIALYNRAAAPVARRFLGRAEALTPLQLMGLGHGAVVAAVALAAFAEVRRLASARAGAPPMGIAWLLPQYVVMAVSDASLSVGQLEFFYDQAPETMRAASTAFYFLSLSVGSLLSSQVVTLVASVTSAGGRKGWFPADMDEGHLDYYFLLIVGIAALNFAVFVYLAKNYTPKTKRVR >KQK99305 pep chromosome:Setaria_italica_v2.0:VII:32252913:32254752:-1 gene:SETIT_010422mg transcript:KQK99305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRCCVRFTTLLSLLAALLSATACLDFGFYDTRCPAAETIVQQTVAAAFRNDSGVAPAIIRMHFHDCFVRGCDGSVLIDSTTNPNNTAEKDAIPNKPSLRFFEVVDRAKAALEAQCPGVVSCADILAFAARDSVVLSGGLGYQVPAGRRDGRTSNATEALNQLPPPFFNATQLVDNFASKNLTLEDMVVLSGAHTLGVSHCDSFAGIGNLGDRIHNFSSSADGVDPSLSKAYALLLRSVCPSNSSQFFPTTTPFMDLITPNKFDNKYYVGLQNNLGLFISDAALMTNATMRTLVNSFVRSESTWKTKFAKSMLKMGQIEVMTGSQGEIRRNCRVVNPARTAGAHDDAVAGSSDSSGFTGVAAS >KQK97342 pep chromosome:Setaria_italica_v2.0:VII:20662038:20665202:-1 gene:SETIT_010543mg transcript:KQK97342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAEIPNPSPEATNPAPAPAAAAAAAPDHSSSPPLPPRKRRLSPSPSPTRSGSPRSRSRSRSPRGRRSRSRSRSRSRSRSRSRSPQYPPDGKRRRHNDLTVEACRDFLRDRCTRSDLECRYAHPHPSVSVDRENKVTACADSLRNNCFRGRTCRYYHPPPHIQEQLLRSIGVEDPKVKTICRDFTRGKCSRSANECRFLHHSSVEELAIVCQDFLRGQCNRKSCRYSHAVAHPVPPMSHVPIPYPEMLYMPPPPPPPLGVPMMGPPPSPPRPYSDNKNRVEVCRDFLKNMCTRESCRFLHPETHTAATSDNVEVCRDFKRGECNRPACRFFHPYTS >KQK97341 pep chromosome:Setaria_italica_v2.0:VII:20660932:20665202:-1 gene:SETIT_010543mg transcript:KQK97341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAAEIPNPSPEATNPAPAPAAAAAAAPDHSSSPPLPPRKRRLSPSPSPTRSGSPRSRSRSRSPRGRRSRSRSRSRSRSRSRSRSPQYPPDGKRRRHNDLTVEACRDFLRDRCTRSDLECRYAHPHPSVSVDRENKVTACADSLRNNCFRGRTCRYYHPPPHIQEQLLRSIGVEDPKVKTICRDFTRGKCSRSANECRFLHHSSVEELAIVCQDFLRGQCNRKSCRYSHAVAHPVPPMSHVPIPYPEMLYMPPPPPPPLGVPMMGPPPSPPRPYSDNKNRVEVCRDFLKNMCTRESCRFLHPETHTAATSDNVEVCRDFKRGECNRPACRFFHPYTS >KQK97777 pep chromosome:Setaria_italica_v2.0:VII:23198820:23202618:1 gene:SETIT_009664mg transcript:KQK97777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPPSGFWASLLSFLKFLPYFCGLLILGFIKGVLLCPWACLIMAIGLSALILGLWPMHLIWTYYCIIRTKLVGPVVKFLLLIAATAILIIWLIIGIPGSVFAGLVYGFLAPIMATFGAVGEGKEKPFVHCFVDGTWSTITGSCTVVRDVKDMLFHSYFSIMDDLRLQKRPDGKPYEIRLLDIPGALIAAACGLLLDGIMFTLIAFYKCPVMLFKGWKRLIQDMIGREGPFLETACVPFAGLAILLWPFAVVGAVLASILSSIPLGAYGAVVAYQESSFIMGLAYVASSVSIFDEYTNDVLDMAPGSCFPRFKYRKSKDESSHGHSVPISRPSSFNKEKQEGKRPPSRVTSFKNSIDEFNPFKLLDHLFVECRNQGEELVNKGVITMKDIEETKSGKVGSGVLNVGLPAYVILNALLRSAKANSVGLVLSNGSEITSDNRPRHTLFDWFFEPLMVIKEQIKAENFTEEEEEYLKMRVLLAGDPSRLKGALPNMPSLNERKNAEIDAFARRLQGITKSISRYPTAKRRFDVLVKALLSELERTMGGSQSTNGSHSQAQRLRNSVARMLSQKSMGKTANIRDEDPEAQITTSSRTP >KQK99590 pep chromosome:Setaria_italica_v2.0:VII:33666377:33670328:-1 gene:SETIT_010549mg transcript:KQK99590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRFPLPAAPAPSSGGGGAAPRGHHRRAHSESFLRFSDADLLLDPDGDFSFSDLDFPSLSDDSPAASDPTPPPPPPQAAPAPAPRPPGGSHTRSLSLDAAFFEGLALQGAGSSGGGGAGHKRSGSMDGASSPFEGESALSTGLPDYAKKAMPAERIAELALIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTTGLTAENRELKLRLQSMEEQAKLRDALNEALREEVQRLKISAGQAVNMNGNIFNGGVQQQMPSYFMQQQQLQQQQQQQMSYFGGHQAQHHNQNHHHQSPSNGGQSLSGQSLNDSMDFI >KQK96479 pep chromosome:Setaria_italica_v2.0:VII:9223665:9224939:1 gene:SETIT_012611mg transcript:KQK96479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPMLVTRVSYRVSISLPVPHLSFPRASQMSLITRWITYISASLCWGLMLCSDPSQQNDCTEETTRPCDWSSPK >KQK98955 pep chromosome:Setaria_italica_v2.0:VII:30158966:30160309:-1 gene:SETIT_010481mg transcript:KQK98955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVTSPSPDPFTFHCPAAPSAAAETEDGDEFEFRVVPAAAAALSTADELFSGGKLVPLHRPAPASAPCSPPPCLEVEPASEPTSPRAPRCAGRRWRDLLHLVSSSRKAKDDKKCAADGCLQRREAHFRPLLSRDSSSSSSASSVDSGKHARRPPPPSCSPLRTRSAPVANLLHLMSRTRSAADKIGAAADASLHPWRPEPPCAAHPLLTRASSSSSASSSDSGRNPRAAPWRPRGPCRPVRPAVAAESPRVSASGRVVFRGLERCSSTPASAGIGPRRPRPRGMERSYSANVRVDPVINVFGFGLLFMPSSPAKERKSDKEREKDGGGRKNRAEKLAMVLRDPQD >KQK98695 pep chromosome:Setaria_italica_v2.0:VII:28679044:28679577:1 gene:SETIT_011163mg transcript:KQK98695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEWRCRRHPPMPGGGVCPHCLRDRLLRLCPDCACPLPCACSCASSPSSSSSGASAVGRVHSLIERERRVARSRSVAVHAPVGADRGRPKSGVWGWVSFRKPPPPNAAARCKDVEQEYDDAVALARSRSVSMAPAPAAAEVKGAQKAGGWGRLIPGKIKALRNRKSRAGGDWRDNVR >KQK98068 pep chromosome:Setaria_italica_v2.0:VII:24768081:24771786:-1 gene:SETIT_009282mg transcript:KQK98068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEPMICAALQPICGFINETGVPAATAKRFSSFACIKRNRKLLRKAREDLQAIRKVVQGQVDLETNNLDECDPRVNLWLTRVASVRVDSIDQEYDRLIQSSWLCRSVLGLGKRYHLGKRIVEMLEDIAGLIEEGNQFKTFSSKRLPDFVEERPRTQTFGIKQVLRDLWKSFESTDVSIIGVWGPGGVGKTTLLNNFNNELKACGRDYQVVIMIEVSNSGTLNKTAIQRTITDRLGLPWDDRETEETRARFLMKALSRKRFVILLDDVWNKFQLEDVGIPTPDSDSKSKLILTSRNVDVCYQMGAQQSLIKMEYLEKEAAWELFRSNLSTQAITAIDSSGPNNVVKEYADAIVQSCGGLPLALKVIGSAVAGLTAPKEWILAMQATKHDIKDLDGIPEMFHKLKYSYDKLTPKQQQCFLYCTLFPEYGSIRKDNLVEYWMAEELIPQDPNRGHRIISRLLSACLLESCGSDLEVKMHHIIRHLGLFLAVQQKIVVKAGMSLEKAPPHREWRTARRISLMYNDIRDLGISPECKDLVTLLVQNNPRLDRLSPTFFQSMYSLKVLDLSHTRITELPFCSTLAKLKYLNLSHTFIERLPEEFWMLKKLRHLDLSVTKALKETLDNCSKLYKLRVLNLFRSNYGIRDVNDLNIDSLRELEFLGITIYAEDVLKKLTNTHPLAKSTQRLSLRHCEQMQSIQISDFTHMVQLRELYVESCLDLIQLIADPDKGRASCLEVLTLAKLPALQTILIGSSPHHFRNLLEITVSHCQKLLDITWVLKLDALEKLSICHCHELEQVVQETVNEGNRRGGIEHSTILRSGRINGFSEEQEIHGMVEGANNQHVNGYQNKMENEWIKGMHHVDFPKLRTMVLTDLPKLTAICNPRDFPYLEIIRVEGCPRLTTLPLGQMYDCPKLKQICGSYDWWEKLEWNVKEIMENKYFTPIKDGD >KQK96160 pep chromosome:Setaria_italica_v2.0:VII:1111977:1112828:-1 gene:SETIT_013031mg transcript:KQK96160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNTKGFGTTSQHKAYCGKHSAQQKEEDAQRFGPEELRSMKRMREEEPARAACNPGATARQPCRAKQGGMASARLG >KQL00049 pep chromosome:Setaria_italica_v2.0:VII:35934441:35937471:-1 gene:SETIT_011844mg transcript:KQL00049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCVRVFYGGSVRKEDGTFQDMEEELEWFDEPPSFNDVCVRLNAKFGGDFTLKGRVLQGFNVPMPEVVVENGYRMHGCINNDFDVNKFEQEEEEQEEEDRIGDVVASDSEESDDDEGGTDGMPQPVHAMPVPVHAMALPLPAEVLHAMPAQGRLVTDLAEGSTPYDSWGRISQAQQYVPPPPYIETKLIQLREMNIPFSGVPNYRDASMKDMMCRKSLCGHENEILSKGMIFNTMSEMKLFLQDYVVYHHRLYTGKENHQQPTAHYLARRILGLVDENNDISVSSLQLSISGFVKYDVKYGKAWRAKQVALAIRWDSWEEAYNRVPRILCAMHYYDPGLKWFVDTRGMYFRDSLSHVLYHVFWSFAQTQHAFQFCQPIVLVDGTFLTGKYRGTLMMAAVVDPEDQIVPMAFALAEGENNESWSWFMRLLCVQVLGPSRTVCLISDHHPGILNAVDEHIDGFPPPIVHRWCMRHFAANFWQRQRKKEVCDKVKALCCVHIEHQFKEIKRELDKMVNEAGKAWFEAQMEHKAKWALAYDEGGFRYGIMTTNLSESLNRVFTGCNKYFVKRWKFAQRNLAEHGRFGKAETEHLKEVEELANVRGKGGTRLGGKSYGGRNYRVDLEKVECSCNVPQIIYACPLLSYDHRLIWEKRFEPYLDLTQWPSYHGYDYLPHPDQMKVVKGRRRKKRLKGDIDVMRGYGEDITSCILEVEYDDPHRAHLLTDTDAEVPLACHL >KQK98049 pep chromosome:Setaria_italica_v2.0:VII:24712390:24712441:1 gene:SETIT_0119172mg transcript:KQK98049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMFSTLHRDVGLNIAD >KQK97312 pep chromosome:Setaria_italica_v2.0:VII:20464190:20468704:-1 gene:SETIT_010520mg transcript:KQK97312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADRLAAAAATFRASAVAAPSARRGARASRAFLPNSSASPAGARVGLRAAPSRLPQKARAVRCAAVAAASDAAQLKAAREDIRELLRTTHCHPILVRLGWHDAGTYDKNIEDWPQRGGANGSLRFDVELKHGANAGLINALKLIQPIKDKYPGITYADLFQLASATAIEEAGGPKIPMTYGRVDVTGPEQCPPEGKLPDAGPTSPADHLREVFYRMGLDDKDIVVLSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTPEWLKFDNSYFKEIKEKRDQDLLVLPTDAALFEDPAFKVYAEKYAADQDAFFKDYTESHAKLSNLGAKFNPPQGFSLDD >KQK99697 pep chromosome:Setaria_italica_v2.0:VII:34260471:34262740:1 gene:SETIT_009875mg transcript:KQK99697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQQSHSSSYGLLNTQPDVQCLVCTRPFTLDTEIADSFEALAICRECKMTVLSDNNRDEPTRTNRQTRWRRQRSRVSRHEPIEDAFSQQFSQLINLARQGHEADVDSPTVARQHASYSSTPNRPQRWHGSDDESDGFSYADSVFGEIESNISFGDDGGESDASLEHQTTMGREIVIQLDSESYMNTDTDIDPMNAGLDQWDSDDPEDDEDEQSEESDLDEAGNAMQERWQPWHDIAPSELNEQESEDTVWTWRIAGNQGINGTNLNADTEGREIRRHFTGNPGDYVDARQFEMLLEQFAEDNNTTRGPPPAATSSVENLASVVISTSNEINGNLMCPVCKDEMPIKTVAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDMEYERSKRATANEGGVHGVEHNHLQETVEETSYELEIEGISNTAGDTIEETNAHEHAVYSAQEPNGANGRHRWLFIAAAPVSLVSCQL >KQK99696 pep chromosome:Setaria_italica_v2.0:VII:34260471:34262031:1 gene:SETIT_009875mg transcript:KQK99696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQQSHSSSYGLLNTQPDVQCLVCTRPFTLDTEIADSFEALAICRECKMTVLSDNNRDEPTRTNRQTRWRRQRSRVSRHEPIEDAFSQQFSQLINLARQGHEADVDSPTVARQHASYSSTPNRPQRWHGSDDESDGFSYADSVFGEIESNISFGDDGGESDASLEHQTTMGREIVIQLDSESYMNTDTDIDPMNAGLDQWDSDDPEDDEDEQSEESDLDEAGNAMQERWQPWHDIAPSELNEQESEDTVWTWRIAGNQGINGTNLNADTEGREIRRHFTGNPGDYVDARQFEMLLEQFAEDNNTTRGPPPAATSSVENLASVVISTSNEINGNLMCPVCKDEMPIKTVAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDMEYERSKRATANEGGVHGVEHNHLQETVEETSYELEIEGISNTAGDTIEETNAHEHAVYSAQEPNGANGRHRWLFIAAAPVVSLVSLALVLCFTNPAGNVRRQLYHRSQSTTTTRVDTRRSWWSMF >KQK97656 pep chromosome:Setaria_italica_v2.0:VII:22419463:22420081:-1 gene:SETIT_012363mg transcript:KQK97656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPQKIVIKLGMPSPKNRTKAMVLAAKVYGVSSVAITGDDKDQLEVVGVDVDTACLVSCLRKKVLRRADIMVVEEAKDKKKEEEKKKLEELLQRGWPGYYHPQHHYPPLMVACEEPVTGCSIM >KQK96914 pep chromosome:Setaria_italica_v2.0:VII:17414781:17415280:-1 gene:SETIT_012841mg transcript:KQK96914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHVHEKNAKKRASQLLSSGIKGWLPVCSKLMNLLISQKLKTSSSS >KQK96124 pep chromosome:Setaria_italica_v2.0:VII:709747:714250:-1 gene:SETIT_009966mg transcript:KQK96124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRTISAMHASAVVKVARDKLVTALGDAAVTMPEDLRRHLEELKGKMETMVQVLGDAERRSVEEEEEEVREWLMRLEDAAYGIWDMVDEIQANNKGAPVTAVRCPCLPTATARKGWTTASTMDKAKENIEELLEQHLQIFAFRRDEQSVDDAHTGTECFVDEEECIVGREGEKQEIMADILSAARDSSRGLFVLAICGVAGLGKTTIAKMVFSDTTIIRDYARAWVYVGQEFDLKKIGNCILSQLSNKGEHQDSSDVELIMKRLDVLLGGGKKVLIVLDDLWDKVCSALDGLKRMLGAGKNDSKVIVMVTTRTISYGWDYMYETSPLSVTESMELIYRKCGFASRTEEARRELQEILHKIAIKCMGLPSAIHVIGYTLRSKTREEIISVLNSNIWKDTYGICFVSLCLLSYQCMPPNLRLCFAYCAIFPRGHSILKDDLVHHWTALQLIEPSDRLSTRQVADKYIGMLLGMSFLQHSVLPPSMNW >KQK98078 pep chromosome:Setaria_italica_v2.0:VII:24830259:24830742:-1 gene:SETIT_011379mg transcript:KQK98078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFRPFQNRKEVISNRNENRKVKCESHSVQVQEIQSPQADSDGDRSFTSELQVRTKAISVVDPTLRRRRRRRASTGGRPAEPAPRGVRDGTHEICVPAGSGPRAVRKLVTCPISLP >KQK97847 pep chromosome:Setaria_italica_v2.0:VII:23548891:23552167:-1 gene:SETIT_010871mg transcript:KQK97847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLRRGAALAARSFRAAAASSASTTMHRLPAAGSLAGAGEFAPARLFLLDSRRGFAKGKKSKDDRGDTVQAAPDIGPTVKSAATSQMDAAVIALSRELSKLRTGRANPGMLDHIMVETADVKVALNHIAVVSVLDAHTLSVMPYDPSSMKSIENAIISSPLGINPTPDGNRIIANIPPLTKENIQALCKVVTKSAEDFKQSIRRARQKALDTIKKSASSMPKDDVKRLEKEIEELTKKFIKSADDMCKAKEKEISGS >KQK96409 pep chromosome:Setaria_italica_v2.0:VII:5879623:5880725:-1 gene:SETIT_010950mg transcript:KQK96409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNGREHRRGVPRPPPLSLYRDWEEEEVVKASRPTLSSPVQPTSTAAAAGNTNKKKLTKQLSMKETTREVKWEKRRRQIQRQRSSMGLHEADDNTAAGGGGACPGDGEASSSTERVAKRLTDEDLDELKGSMDLGFRFDEQKGGQDLCDTLPALDLYFAVNRQLSEPKMRWSTSSAPSLSATKSSPNLCGTPCPGSPCAHSNPMDSWKICSPGDNPQLVKTRLRHWAQVVACSVKHSS >KQK99866 pep chromosome:Setaria_italica_v2.0:VII:35114258:35114674:1 gene:SETIT_011569mg transcript:KQK99866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRERRPFLPDRAKSLYKREQIANHYIFNPQEEKEGNKLWTAAVLSAGNHFCSVKNRGKPPLQAA >KQK97348 pep chromosome:Setaria_italica_v2.0:VII:20695580:20696022:1 gene:SETIT_013087mg transcript:KQK97348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNGLEGSSDMKGIYVITSPVSKEVLCSYSWQ >KQK99293 pep chromosome:Setaria_italica_v2.0:VII:32183758:32196510:1 gene:SETIT_009242mg transcript:KQK99293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFSGDPSARRRVDLGGRSSKERDRKVLLEQTREERRRRQGLRLQNSSATKIQKFFRSKKALELARSEIRKNFCSTFGEHCERIDWKNFGTNSDFLRQLLFFFNANEDNDIAILCQVCNLLLQYVKRGGDTVTLFAGVNDSSLQPLVAHRVKKLALICVQAVYQKRHDWGSQLLTTPGSASVPSVSLLETVACLINPKLPWNCKVVGYLQRRKIYCLFRGIIISIPQKDRSFGHFDSASALEQVLMLVASHVGHHPCCCPVVDPRWSFSSQLLSIPFLRHRLPQLKKVFSVNGLSKYYIHQIASFLPSLRDVLPNDISANHPGYACVLANVLEAATWILSDAKFASDTAADIIAVSTSLLDTLPAVTSPTERADDDDEMPMDVDVKNGLDVDLERQITTAIDSKLLQHLVNALFRGTLSTYHSDLSGPSDAEVDAVGSICAFLHVTFNTFPLERIMTVLAYRTEIVPALWNFIKRCHENRTWPCFSKFASSLPADAPGWLLPMSVFCPIYKHMLKIIDNGEFYEQEKPLSLKDLKSLVLILKQALWQLLWVIPSSSTLKVSPNPSGLKKLSVENVKTRARVGLSELLTQLQDWNSRLPFTSASDFYSQEATSENFVSQAILGNTRASEIIKLAPFLAPFTSRVKIFTSQLTSSRQSASHSAFTRHRFKIRRNRLLEDAFDQLSLLSEEDLKGPIRVSFINEHGEEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPASGLVHELHLQYFHFLGSLLGKAMYEGILVDLPFATFFLSKLKQKYNFLNDLPSLDPELYRHLLFLKHYNGDISELELYFVIVNNEYGEQSEEELLPGGRDMRVTNDNVITFIHLVANHRLNYQIRAQSTHFLRGFQQLIPKDWIDMFNEHEIQVLISGSLESLDIDDLRSNTNYSAGYHPDHEVIEMFWEVMKSFSSDNQKKFLKFVTGCSRGPLLGFQYLEPKFCIHRAGVPGMEEHADRLPTSATCMNLLKLPPYKTKEQLQTKLLYAINSEAGFDLS >KQK99321 pep chromosome:Setaria_italica_v2.0:VII:32341909:32344948:-1 gene:SETIT_009777mg transcript:KQK99321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHHHNNTHMPRMDQVNRFQNEPPPFGPKLFMHPRSDAANASSYGGATIRSNELPSSSHIGQPHTQPIEAPGTMLASYAGYPHAGSSSSTYAPHNTHHPPALSYPHRSEDCFIPSSHMDDRRVAQKRRNPIIHPMDGASVGSCYAASSSNPQFPRYMPPNPIPVPEPCPPRIPPNMGSSYWSDHCFGNHGGSQRNVRGRHDHNSIHLGYSPAAACSSSSTHGPPRHANAIGPSLSTAAPHDRAPFSVPPRVVPPGTDGNSSMAFRERPYYPAPQRTNVNVPPVPTLPGSSDSIPFLHGGYAPRAVPRNTIRSYPAPAFGSSSNSAAVSHEPAIPSYPPAVTSYPPATSAATSSAPPFHAEVAASSRHLGHVALGPSGSARSRRLRDSYHAFHPLIIEENNLRGSAAERFMMLDQLVIHESREDSDPHWDMRLDIDDMSYEELLALEERIGNVNTGLADEKISSCVMELSCCSSALAQDAKENERCVICLEEYEFKDYMGKLKCGHDYHADCIKKWLQVKNICPICKASAADDSGGTE >KQK96156 pep chromosome:Setaria_italica_v2.0:VII:1024401:1027668:-1 gene:SETIT_010624mg transcript:KQK96156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESQPLQEPTATAPAAGEEQAGAPPAVVPGKEFTRTCKGLVVVLIGGYVLLQLLPSSLNYLAIIPSKTIPYVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGILAFCIAIGLYYVTGKESFLVTPLSGFHGCLAGFLVALKQLLPNLELPMCFFWKIKAKWMPFFVVCFSSIMAFIVPDSINFLPTLVSGMYVSWLYLRYFQRNPLTGLKGDPSDDFSFPSLFPAAMRPVTDPVANLFDRMLCARSRTSEVALPVSDPTKASRRRERGERVLEERLAADHAVDTEAPAHGHGTAED >KQK96155 pep chromosome:Setaria_italica_v2.0:VII:1025424:1027419:-1 gene:SETIT_010624mg transcript:KQK96155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMESQPLQEPTATAPAAGEEQAGAPPAVVPGKEFTRTCKGLVVVLIGGYVLLQLLPSSLNYLAIIPSKTIPYVWTVFTAGYIEQVLPGAIGSSLGLLFCGKDIEPVWGRKEFLKFIILVNSICGILAFCIAIGLYYVTGKESFLVTPLSGFHGCLAGFLVALKQLLPNLELPMCFFWKIKAKWMPFFVVCFSSIMAFIVPDSINFLPTLVSGMYVSWLYLRYFQRNPLTGLKGDPSDDFSFPSLFPAAMR >KQK96485 pep chromosome:Setaria_italica_v2.0:VII:9455728:9458709:-1 gene:SETIT_011223mg transcript:KQK96485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFISFVGRVLFASLFLLSAYQEFIEFGNDGGPAAKALKPKFNLFIKQVSKNTGLGVPHVDIKTVIAATMFLKGFGGLLFIFSSSFGAFLLFIYLAFITPIVYDFYNYEMESAQFVQLFFKFSQNLAFIGALLFFLGMKNSIPRRRSKGRTAKTKTN >KQK98297 pep chromosome:Setaria_italica_v2.0:VII:26117285:26121852:-1 gene:SETIT_010408mg transcript:KQK98297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALWKQASRLKDQVARQGVFKQFGYGNSDNAFTDESEVKLHQRLEKLYLSTRAAKHFQRDIVRGVEGYIVTGSKQVEIGNKLSDDSQKYGVENTCTSGDTLSKAATYFGKARSQMEKERCNMLKAFGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQVVEVSRRQNRVRESPGNGDMISKLEAAEYKLEELKSSMVGLGKEAIAAMSAVEAQQQRLTLQRLIALVEAERAYHQRVLEILDQLEEEMVSERQKIEAPPTPAAENYMPPPPPSYDEVNGVFASTSANESVQPVDFFLGEALDSFKAESEFELTLSAGDIVIVRKISSNGWAEGECKGKAGWFPHAYIERRERVLASKVPHIF >KQK96657 pep chromosome:Setaria_italica_v2.0:VII:13490963:13491658:-1 gene:SETIT_012799mg transcript:KQK96657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTLGRASAFLFLALLCSTATLPTPANARHFPNEDGISASAIYVSKFSLPSLPWKSGSSHGSGNGHGFGWTISHNKSDTNIGFGGGVGGGIGTTRAGGSSAGGGVGLGVDIDIGKDGVDVGVGVGGGGAISTHNGSASVGAGGGEGIGIHFGPDGLTVTHGGGANIGAGGDGASGNGSGVGHASHAVGSGQGSGNASGGTGSGGGSGSGAGQGGYAGGGGGGTGSGRHP >KQK97195 pep chromosome:Setaria_italica_v2.0:VII:19546019:19546473:-1 gene:SETIT_0120591mg transcript:KQK97195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GATAAVAAVSSSSLIFLGTGCSTALPDTRCLIRPSTPPCAVCSTVLSLPPDRNPNYRLNSSLLIDYCHDDGAHKYILIDIGKTFREQVLRWFVHHNVPSIDS >KQK99349 pep chromosome:Setaria_italica_v2.0:VII:32520769:32521275:1 gene:SETIT_011581mg transcript:KQK99349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVASPFMKVILCGTSVSGEENHVGPLQRLVQVGNALLPSLYYLWRREPCCELCWMGWYSC >KQL00002 pep chromosome:Setaria_italica_v2.0:VII:35713683:35715063:1 gene:SETIT_010618mg transcript:KQL00002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKDQKQQKLLPASYFIICTILFNATRLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKTRIFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQKDDMRMKVMYGSFEDGDARLDEMEMVDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDSNKRLNETEPIYKVGYKAATTDYSLMAKAKSYFRTLEPKGIHVQILNITELSDYRKDGHPTVFRRQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >KQK96974 pep chromosome:Setaria_italica_v2.0:VII:17844326:17846909:1 gene:SETIT_012247mg transcript:KQK96974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGYLFGTISPVVKGVLGYNQRQVAALGVAKNLGDCVGFLAGALSAAWGCCSSARPIASSATGGCGSSSVVTRQAPALPLWMMCVLLFVGTNYSTYFNTASLSRGPTVGILKAFSSLSSAILTQIYAVMHTPDHATLIFMVAVGPALVAIGLMFVIRPVGGHHQARPSDNNNFMFIYSICLLLASYLVGVMLLQDLVQLSDSVVVLLTMVLFILVLPIAIPVTLTLLPKTEYPIEEALLSETSIPEASTSQDKEDQLEAILSKGIDVLPPSQSRKRIVEMWIFLMIREHKYPRHIALSIAQTLMAAGLFLFAMAWPGTMYIGIWDLTVKHFGAMYNFLTIANPTGSLVFSGLIVSNLNDYEAEKQAHKHHMSAWLSPQLLHGMNLLADGPLKCEGPSCFFVSSLTMSAFCIVGAGLSLIVVHRTKRVYAQLYQSVHTYFFFFLPNSL >KQK96837 pep chromosome:Setaria_italica_v2.0:VII:16195625:16196168:1 gene:SETIT_011383mg transcript:KQK96837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKVCLFLLAFLSVVVALANPVAGGGIKATFAGSPESWSGEAAVLRQLLSTRLEDAVAPELTVDLHLHRRVLAGMVKGSALKPDSAACIGSCPARGDSYTGRSRGCLKKYQCNG >KQK99509 pep chromosome:Setaria_italica_v2.0:VII:33207962:33210459:-1 gene:SETIT_0096311mg transcript:KQK99509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFSSPAKVYKPAADVDLGPGSDEFYISPNVKAPRVAGLLVKIFVWILEMPIVGQIVLYILKKDNLINKLVSDADIPEPPLFTATHSWEDIPEQNVRLIKPDLSAAERVQEAAGCLPARLEATLAAGAASSGLKRWTIRDFADAYSSGETTPVQVATRFLAAVKESSGPDLNMAFFISCDPEDVMRQAEESTRRYQRGAALSALDGVLVAVKDEMDCVPYPTTGGTRWLAAARRCEADAACVAQLRACGAVLAGKANMHELGAGTSGINPQH >KQK97343 pep chromosome:Setaria_italica_v2.0:VII:20666636:20667805:-1 gene:SETIT_012028mg transcript:KQK97343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLQHRNSHWRLTGRPLPWSPVPATHPQPTYHVLQIDGGYTWFIRFFPKGDRPTNTDFMSSYLALGDTVDEAVTAEATFSLLDQDQKPVLPYRRTAGMVNFSDFGSLGVGCDDFIEREDLERSKFLNDDCFDLYQGNNIQRLKVICEDRLCDRIDTGSVATMLALAEKHRCAGLKDACFEFLGSSTTLFAVIETEEFKCLARSCPAITQELSFNVVARYREKGKIVGWNPESEDSVIKIPALFPLLKISSIAHP >KQK96412 pep chromosome:Setaria_italica_v2.0:VII:5910464:5912449:-1 gene:SETIT_011741mg transcript:KQK96412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWTTDLLVLQTYYSAFSLRCSPSKFAKLVAATDNEIKDRLRGMGFGGLLEFKPTILDRSLLTWLMDKFNPDTMKLELSSGKEFEINEHRIKTLPKLIQEKLKVRTLAADLAVRSFLRHAFCTLLFSNTDNYIRLDDVVWTKDLDRIGGINWCKELFPHMQLSHRNHCNWCRITVATGHMLYVDYLQHRLDIDQPRLPRCSVLDNRIIDRIAAMDHRGDVPYGAIEYGNLEESIKHLLCSPTCCRPHTRPCARTCLCPICCYSCCWTFCPF >KQK99075 pep chromosome:Setaria_italica_v2.0:VII:30806686:30810656:-1 gene:SETIT_012222mg transcript:KQK99075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGLMGMAYFPVFTFLFMVCLCQSDDRLTHAKPLLPGNVLISDSGVFAIGFFSLKNSSSSSYVGIWYNNIPERTYVWIANRDNPITTNVPGKLIFTNSSDLVLLDSTGRTIWTTTNNITAGGGETAAVLLDSGNLVVRSPNGTGIWESFYYPTDTMVPNGPNDPSSSIFSMGGDLISDLQIVIWNGIRPYWRRAAWVGEMVLGTFQGNSSFRMFQTIEYTGDGYYIKVTVSDGSPSIRITLDYTGMLTFRRWNSSMSSWTVFEKFPSSTCDQTEYVPTCKCLDGYEPNGLSFSQGCRRKEELKCGGGDSFLTLPTMKTPDKFLYIQNRSFDQCTAECSHNCSCSACAYASLKNVDATLDQTRCLVWMGELVDVQKFGSTFGENLYLRVPRSPVSKKEGTVLKIVVPVMATFLLLITCIWLVLKARVKHQSRKVQKNLLCLNPSNELGNENLEFPSVSFEDIITATNNFSDYKMLGKGGFGKVYKGMWEGGKEVAEFRNEVILIAKLQHRNLVRLLGFLVHEDEKLLIYEFLSNKSLDAFLLENFEDATRKSSFDWPTRFKIIKGVARGLLYLHQDSRLTIIHRDLKASNILLDTDMCSKISDFGMARIFYGSEQQANTTRVIGTYGYMSPESAIEGSFSIKSDIYNFSVLLEGSFSVKSDTYSFGVLLLEIVSGLKISSPHLIMNFPNLIAYAWSLWRDGNGREFVDSSISESCSRQEVIRCIHLGHLCVQDHPNTRPLMSSIVFMLENETTQLPAPKEPLYFTIRNGGTDRSNEYMERSLNNMSITTLVAR >KQK99313 pep chromosome:Setaria_italica_v2.0:VII:32295910:32298637:-1 gene:SETIT_011338mg transcript:KQK99313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKEKPQMTSSMPQRRPDCIKCFDALWFCYSPFHQMQNYYRYGEFDNCFGKWGDLVDCLALKTKRAAEAEEILIAREKAKPHIWTFRTVDEASENWWRMYKHLVLMSPPLPGAAQPPPKSDKS >KQK96375 pep chromosome:Setaria_italica_v2.0:VII:4721525:4721839:-1 gene:SETIT_011418mg transcript:KQK96375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLCSWALSFAKPITLNKTHPSLIKTVTSFLLLMPPKLPLMWLLQTSISNLNRISPLAVEYGETIERIAVVHAWWQEKRAMGSMNPSTPAGATCPATAAGTRGE >KQK96545 pep chromosome:Setaria_italica_v2.0:VII:11645721:11647833:1 gene:SETIT_009992mg transcript:KQK96545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISPELFRPDDGCFSSTGIRYDDDDDTSFLKFSACWQDTWVTSPLPAHRYRDVLQAGTLRLESYTLLLAAFCFSSRRNREMAAKVTVEEVRKAQRAEGPASVLAIGTVTPPNCVYQADYADYYFRVTKSEHMTELKEKFKRICRKSMIQKRYMHLTEDILLENPNMASYSAPSLNARQDILVEEVPKLGAAAAEKALKEWGQPRSQITHIIFCTTSGVDMPGADSRIIKLLGLDPSVKRVMLYHQGCFAGGMVLRIAKDLAENNRGARVLIVCSEITVVTFRGPSEAHLDSLVGQALFGDGAAAVIVGADPDEHVERPLFQMVSASETILPNSDGAIEGHLREVGLTFHLQERVPELISSNIERLLEESFKPLGISDWNSIFWVAHPGGPAILNAVESKAGIDKARLRATRQVLSEYGNMSSACVLFILDEMRKFSAEDGRATTGEGMDWGVLFGFGPGLTVETVVLHSVPITTGHAA >KQK98234 pep chromosome:Setaria_italica_v2.0:VII:25773836:25776330:1 gene:SETIT_010648mg transcript:KQK98234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAIPDPANARIAVVTGGNKGIGLEVCRQLAGAGITVVLTARDEKRGAAAVEKLREAGLSDVIFHQLEIADAPSVARLADFLKIRFGKLDILVNNAAVIGAVEHVQDPADNSLTSKEKISGMDKRRRLEWFANAVRETYDAAREAVQINYYGTKHVIEALLPLLQASSDGRIVNVSSEWGLLRLINNEELKQELNDDVEKLTEERLDEILGTFLNDFKAGELEAHGWPKHFSAYKVSKVTLNAYSRILARRHRELRVNCAHPGYVKTDMTIQSGLLTPEEGASNLVKVALLPEAGPTGVYFDLGQEAPFV >KQK97124 pep chromosome:Setaria_italica_v2.0:VII:19198801:19199932:1 gene:SETIT_012874mg transcript:KQK97124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFSCKVGRKLKHLIFWNKGVHLNALLIFVLPCTVIHRLEPAELHENCMKFMSLSEIL >KQK97293 pep chromosome:Setaria_italica_v2.0:VII:20324899:20326716:-1 gene:SETIT_009972mg transcript:KQK97293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTLSLAINGGTSTGTGKKRKVRDSVVIDDVGDGGDRSRVLRILQARERMMARLEVDDPRVAHDDGGGSGGLRLMHLLLTSVAAGEAGDVHAATAALHEVYRRASFGGGDPAPRVAAYFADALASRLLRSPVAASPPTRGEQFLAYTMFYQASPLYQFAHFTANQAIVEAFERGGRRRLHVVDFDVSYGFQWPSLIQSLSDAAVTSTSGGSHDGDGDGDSKEPVSLRITGLGTSADELRQTEARLTRFASGCPNVRFEFEGVVNGPSSGLHERIIKNDGDDATVVVNLAFPAARTSPTSTREACGDLARVRSLNPSLVFLVERGGSSSNGTPRGRSSLLPPFTASLRYFAAVFDSLHECLPADSAERLAIERNYLGVEISNAVSSLDRSHGGGGDHTAEPSSSASWKDMMESAGFQGVALSSRTVSQAKLLLKMKSGCAGSGGGFRVIEGDGGRAMSLGWRDSALITTTAWRRRRRSIDKTSS >KQK96666 pep chromosome:Setaria_italica_v2.0:VII:13694886:13696873:1 gene:SETIT_010847mg transcript:KQK96666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAARMAFASCARLLPSSPSTLTSVRARAGAVSFLVPPPAPSAAARSSRNLALCCSSSSPPPADAAVAPPPTQTAAEEAKPAAPGGDEKAEPTVEELAGLLDIRVGRVVKAWRHPEADTLYVEEVDVGEAEPRTICSGLVNFLPIEELQDSSVIVLANLKPRNMRGIKSNGMLMAASDASHENVELLTPPEGSVPGERVWFGSEEEKDRQSEPASPNQIQKKKIWESVQPHLKTTDNCVAVLGEHPMRTSAGSVFCKSLQGARVS >KQK98325 pep chromosome:Setaria_italica_v2.0:VII:26299802:26300140:-1 gene:SETIT_011591mg transcript:KQK98325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAIQQSYHIKRFNKPTWQHKTAIQHKNNITCSKLKCLSSGKNASGYNSQSAQRNRII >KQK99782 pep chromosome:Setaria_italica_v2.0:VII:34737621:34739380:1 gene:SETIT_011314mg transcript:KQK99782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSMSRWLPSFLAPRPTTPTARRATAVARLQQGGEQPDLRRSGSAPRAPPRLASSSELQIRVSRSIWLFFCWLLFRLSYNNFTTAGYMSLSEYVEQSAPIGLVHVGYWTKPCTESFADQ >KQK99781 pep chromosome:Setaria_italica_v2.0:VII:34737621:34739349:1 gene:SETIT_011314mg transcript:KQK99781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSMSRWLPSFLAPRPTTPTARRATAVARLQQGGEQPDLRRSGSAPRAPPRLASSSELQIRVSRSIWLFFCWLLFRLSYNNFTTAGYMSLSEYVEQSAPIGLVHGAKLVLALALSVWNVLALVLWIY >KQK99804 pep chromosome:Setaria_italica_v2.0:VII:34833194:34834717:-1 gene:SETIT_011838mg transcript:KQK99804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGPAIFARGFRFNPSPLEVATYYLPRLVAGAPLHEAVRAVVHHADVYGCEPGDLARQFFPLPRTGHRFFFTHCKLQQPHRAGKASRAAHAAGSGSWHSQSVKDVVDHAGVKVGEIRKLRYKKGGTYTDWLMDEYSCCLEDAVAGDRQFVLCNIYVSPRADQGSAARQESAAFFAPPAPAPAPVVIAQAAAPKRPAPQSAEPPCPKRMRGAVAPTPPVVQPAGYCTASFAPPLPYVPHIAASAQPPPPPVPTRLAVPPLSRSLEPAPSQPKQQMPPPPTLPVVRACHMPVEAPARHCQPPQPSVQRKQSTRDPFEAAELRDEAEEERVAAPDPKESPAALVDQDDDWAELEKSLEGNGDLVRLFEDEDNALTAEAEEEAAANSEGSTMAEDAPDPSSMEESPAAAQDFDINEFCKSVQDDIRACELDHFACSFENESFSWEYGMG >KQK97219 pep chromosome:Setaria_italica_v2.0:VII:19661617:19666526:-1 gene:SETIT_009650mg transcript:KQK97219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERNLLNLKRQAPLLRDFCTQPLRKINQSLTCPRAGFPPKEHTQTILLISLVFFSPRAPHPPHPNPNPLATPHRPLTAAALPGTTNAGGAIGTQGLSVAAIAGPSYRAAAPYTGEAEAMSGGARPAASQQIVQSLQRCALLPPVGPPFADALGDYHRFPRPSSSPAAAAAAAAPLAGGRGGIEEGIVLRAPVSRLSPVRPPFASAPGDYHRFPRPSSPAAAAAPLAGGRGGIEEGIVARTPLKRKAPYEGSGIAESLELVMTSHGFNGGVGTPLGAPVSGKSARTYKSKAKCCKAEPQTPISNAGNYLCDVCSALLTRKFVSLLKQVQDGILDLNSTAEKGLDESGTNLDNALSVLKNEVENLNLQEQALDEHISEMRKKLETLTEDENRQRWLFLTEDDIKGLPCFQNQTLIAIKAPHGTSVEVPNPDLMAVESFQRRYRIIIRSAMGPVDLYLVSNFEEKLEGKLDDIATLASHTYFAKCAASVKGPRTKRAQRNRKEAVLNAQQIHKTPDLNAPCPSEGVLRKILPKDVDSDADYWLLTDDDVSITDMWRTAPEMEWDQIDPNDFLAEEVSTPGPGTLNQQPDANGEPTADGPNHG >KQK97887 pep chromosome:Setaria_italica_v2.0:VII:23755712:23756991:-1 gene:SETIT_010816mg transcript:KQK97887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPATTLTVLALGLALLCAAGPAAAQSCGCQPNFCCSKFGYCGTTIDYCGDGCRSGPCIGSGTGTGSGSGVDVGSVVTDAFFNGIKSQAGGGCEGSNFYSRDAFLNAAGAYSGFAHGGSADDGKREIAAFFAHVTHETGHFCYISEINKDNSYCDSSKTQWPCAAGKKYYGRGPLQISWNYNYGPAGQSIGFDGLGNPDAVAQDPVIAFKTAFWFWMNNVHGVMPQGFGATIRAINGALECNGNNPAQMNARVGYYQQYCQQLGVDPGSNLTC >KQK98737 pep chromosome:Setaria_italica_v2.0:VII:28919402:28919806:1 gene:SETIT_012584mg transcript:KQK98737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRKELQARIERRGELRKRFDVVVFKRRCWAATLANHPFTLRADVAHARHQLSAGRKEGKALPEELDDARRRNHHCVFTMNEHIGKAMDMGLEAFTHDYCKFYENEKNMRCEVEAEIVRGDKSGAPQGHVASK >KQK98180 pep chromosome:Setaria_italica_v2.0:VII:25505119:25509325:-1 gene:SETIT_012055mg transcript:KQK98180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDKDKWAQAFDEGGMRMAAPRYPLLEAAYDLHHRTHHLADLNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIVVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMSITMQDAAMILGLPLHGQPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEVCPPGANDEVVQRFARVWLWHFVSTFLLPDAAGNTVSWMVLPILGQVWENIATYSWGSAALAWLYRQLCEACRRTARDSNVGGCTYMLQIWIWERMPVGRPSRLRVDPWHRDDALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQVELKPYDREQLSNIIFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRKFGRMEPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHLWQNKEGCDPEADAYDTMTRHGTQPERAPLHDYMGQQLARLSNEAGVIMEHAVGEGDSLLRAFAEVLAGDREVRNPHPLRKARTRKRTPAATTPPAKPTESGLQLARQLTPAAPGRLARHDRPPPPAKPTESGLHLARQLTPAAPGRLARQDTPPPGETY >KQK96194 pep chromosome:Setaria_italica_v2.0:VII:1484963:1488481:1 gene:SETIT_010132mg transcript:KQK96194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGQAVPSVMQLSTFTKVTSIPREKSYREFPVMVRVKAPEMTVHQHSPVDIVAVIDVSWSMGWDDKYGKEPNDRLILVKKAMAKVIKNLAGAQNRLAVVAFDHEIKKSTELLEMNDKGQQSALETVNGLTPFGRTTFSIGLKEAAKILDKRAAREKDRLAFIIFLSDGDDPEFTKEDIPPAYPIHAFGFSADHDPKALQDMANLTMGSYTPINKDLEKITEKLDQLSEKLVSIVAVNTVIHLKTMHSGVFLSKIESSSANDAVVSYKSRLADGKQSGEIIVGDVSSGKEMEFTVYLDVPECQGNCTDGAMELLTVGGVYKQSWDQKQVELSKSVLTVERPASCKELDWIEQRVEYWCKVKLDLSAMYDKVCGGNGDESNCQCQDLQALRETSLEAINQAMRNDIYTATLHAIKLRHCGGAVAAETEKSPLEPVQPAKAV >KQK99158 pep chromosome:Setaria_italica_v2.0:VII:31215533:31218412:1 gene:SETIT_010394mg transcript:KQK99158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEVHLGLPGPWAADYREKADHYTTKIGGVPDWPTGDTGIKPEMLQCSLCGAKLCLVAQVYAPVVKLNIEERTIYVLACPTPECGPKPQSWKVLRVQKCRSVEQTEGDGDELGQATGPSSTSIPEEQIDKNTSLDINDDDFDLDALAEALEQAAALASNSKKKNKPKRANAPIKRPVLKEKASDLSIPVLPCFYIYYDKEQYQGKGAVGSSSNELVLDKEIIDATNDEEEKWEGEKYEYDKAIGADRTFLKFKKRLDAYPEQCFRYSYGGKLLSAATKLQDAGTCRLCGSSRQYELQLMSPLSYFLHQAGDGSSNYAPSSWTWLTLIIYTCSKSCCPSSCGGKPCSCCWGVAEEDIVIQEDEAVKNLIC >KQK98699 pep chromosome:Setaria_italica_v2.0:VII:28693698:28696521:1 gene:SETIT_009428mg transcript:KQK98699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSKTKSGLAEAKSNGKPEKEKKGGAGTPPTPKDSKPRKPAVPKASAAHGTPRAADKSPGSADRKAPTPKAASKLATPPEKQGKATKPAQEQQAVKPPQELQAQLAAVQEELVKAKEQLVEKEKEKGKVLEELGIAKKVADEANTKLQEALDVQRRAAEASEAEKFPAGDSEQASIESVQRKLESMQSQQEVDAAVLRSTVEQLEKARYELADAIDAKNEALSQVDGAIRASEAKAEEVELLTAEVKRLKELVDSKVDGKARKTTERIQKLETENSALKLELEKAKAAEEKAVGLECMVQELKVDIADAKKVCSESGELADEWQKKAQLLEVRLEEADQSNILKGESLNSAMEELDATSSLLRDKESKVAALEDKVRFLEDEVARQKGDIDVSGERLAAAEKEATDLWAEVEGLRLKLRAAEEEKMDALNSDKNASSEIETLTEQNHQLAEELEASRDEVEKVKKAMEGLASALQEMSAESREAQEKYLLKQDEIEQAQAQVEELNMSLKNTKENYEVMLDEANYEKVCLTKTVERLEAEAKNAHEEWQSKELSFVNSIKNSEEEIVAIRVQMDRTLEMVKDKENENAELQEKMQHLEAQLMEANKIKEEAKAETIQWKEKLLDKENELQNIKQENDDLQAKESASSEKIKELSSQLANAKDGMINGSTKQEDNEKGGSEEDDEPVVVVAKMWENSKYTDYDSSKEKENDGDSQVDLESNKGDAALDSNGLHSTKENSGSTSPTKQQQQQKKKPLLKRFGGLLKKKSEN >KQK98554 pep chromosome:Setaria_italica_v2.0:VII:27912762:27916253:1 gene:SETIT_009212mg transcript:KQK98554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSRSLFLLLMLALAAARAQPPPAPPVARTAGVRAEIDALLAFRRGVRDPYGAMSGWDAASPSAPCSWRGVACAPGSGASAGRVVELQLPRLRLSGPISPALGSLPYLERLSLRSNDLSGAIPATLARVTSLRAVFLQSNSLSGPIPQSFIANLTNLDTFDVSGNLLSGPVPASFPPTLKYLDLSSNAFSGTIPASISASAPSLQFLNLSFNRLRGTVPASLGALQNLHYLWLDGNLLEGTIPAPLANCSALLHLSLQGNSLRGILPSAVAAIPTLQILSVSRNQLTGAIPAAAFGSQRNSSLRIVQLGGNQFSQVDVPGGLAADLQVVDLGGNKLAGAFPTWLTGAGGLTLLDLSGNAFIGELPPAVGQLTALLELRLGGNAFTGAVPAEIGRCGALQVLDLEDNHFSGVVPSALGGLPRLREVYLGGNSFSGQIPTSLGNLSWLEALSIPRNRLTGSLSGELFQLGNLTFLDLSENNLTGEIPPAIGNLSALQSLNLSRNAFSGRIPSTIGSLQNLRVLDLSGQKNLSGNVPAELFGLPQLQYVSFADNSFSGDVPEGFSSLWSLRHLNLSGNSFTGSIPATYGYLPSLQVLSASHNRISGELPAELANCSNLTVLEISGNQLTGSIPSDLSRLGELEELDLSYNQLSGKIPPEISNCSSLALLKLDDNRIGGDIPASLANLSKLQTLDLSSNNLTGTIPASLAQIPVLVSFNVSHNELTGEIPVMLGSRFGSPSAYASNSDLCGPPLESECGEYRRRRRRQKVQRLALLIGVVAAAVLLLALFCCCCVLSLLRWRRRFIESRDGVKKRRRSPGRGSGSSGTSTENGVSQPKLIMFNSRITYADTVEATRQFDEENVLSRGRHGLVFKACYSDGTVLAILRLPSTSADGAVIIEEGSFRKEAESLGKVKHRNLTVLRGYYAGPPPDVRLLVYDYMPNGNLATLLQEASHQDGHILNWPMRHLIALGVSRGLAFLHQSGVVHGDVKPQNILFDADFEPHLSDFGLEPMVVTAGAAAAAAAASTSAATPVGSLGYVAPDAAAAGQATREGDVYSFGIVLLELLTGRRPGMFAGEEEDIVKWVKRQLQRGAVAELLEPGLLELDPESSEWEEFLLGIKVGLLCTAQDPLDRPAMGDVVFMLEGCRVGPDIPSSADPTSQPSPA >KQK99137 pep chromosome:Setaria_italica_v2.0:VII:31127795:31128666:-1 gene:SETIT_011244mg transcript:KQK99137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQHKEKEAENKSQDSTAEQLKEAASEESMDQRAGYKAEEESAPAGLLIKEVASGEESWPALLAHPCSLLQLLLRACAGCLGLHGYCSSDDPKAAAAAAPDATAADSSQEGEGGDRANAEVLARVRAVRRPPPPGQRPREGSGGNGGAHH >KQK98512 pep chromosome:Setaria_italica_v2.0:VII:27610367:27611182:-1 gene:SETIT_012079mg transcript:KQK98512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTALTNESATSKSVYFAHCTSEMIFITHVLTEQPEKLAGPLLANTYVIFLKGHRVWYGQMLAKGELSPDMGDSLRGKGMIQGISAVGAFFELLSQPSLSVQHPEENKRVAPAELYPILKRLHNWRIDSVLEEELPARDILQALRDETMKDPRERIEMVQSHAFRPSLLGKP >KQK98370 pep chromosome:Setaria_italica_v2.0:VII:26594042:26594542:1 gene:SETIT_011428mg transcript:KQK98370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVSWAIAMQYQVQEVWWILLCHFIMHSKAFGACPTASSQVLPNILEQVGVLSHRKTYMDNINFLVSISLENILQRVQHESDLPHSIRTTKQCTGKEMAQ >KQK97605 pep chromosome:Setaria_italica_v2.0:VII:22121335:22124678:1 gene:SETIT_010568mg transcript:KQK97605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRARWMMKYEKGLVDILHENNTSLYRTPTGWKTEGWRKIVRDFNMRYPEAKFSKMQIQEHETQLKKDYKLIKLILQRDGVSWDQSASMIRATDEIWDEIVEDMPKARKYQNKSFQMHDSLGLLFDGPIPEGGQNSPQNIVGNVDEGGNNMSMTPDMSGRPSGTIIANIDETWKNVSLLQQTSLGPQGIDDLDVLHNHTEEVLGRQQHGADGRAQRADEQAHSSSCVEPHRDRRKKRKATDIQQIMEAYLNFRMKQARVKEQKANEADQFTISNCIKAMNTMSDVSDEIKILASDVFKDAENREIFLSYEPRLRTLWLKREVGKQLTSAY >KQK97604 pep chromosome:Setaria_italica_v2.0:VII:22121335:22124678:1 gene:SETIT_010568mg transcript:KQK97604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRARWMMKYEKGLVDILHENNTSLYRTPTGWKTEGWRKIVRDFNMRYPEAKFSKMQIQEHETQLKKDYKLIKLILQRDGVSWDQSASMIRATDEIWDEIVEDMPKARKYQNKSFQMHDSLGLLFDGPIPEGGQNSPQNIVGNVDEGGNNMSMTPDMSGRPSGTIIANIDETWKNVSLLQQTSLGPQGIDDLDVLHNHTEEVLGRQQHGADGRAQRADEQAHSSSCVEPHRDRRKKRKATDIQQIMEAYLNFRMKQARVKEQKANEADQFTISNCIKAMNTMSDVSDEIKILASDVFKDAENREIFLSYEPRLRTLWLKREVGKQLTSAY >KQK97726 pep chromosome:Setaria_italica_v2.0:VII:22900786:22903780:-1 gene:SETIT_010313mg transcript:KQK97726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAGHYGYKKTDGICDGVCGEPASKAALTMSRLKCALRGFDLRALMALLIGVPILILMIYAHGQKVTYFLRPIWESPPQPFKTIPHYYHENVTMENLCKLHGWKVRDTPRRVFDAVLFSNELDILEIRWNELSPYVSEFVLLESNSTFTGMKKPLHFKENRHRFGFAESRLTYGMVGGRFMKGENPFVEESYQRVALDQLIRIAKIEDDDLLIMSDVDEIPSGHTIDLLRWCDNIPDILHLQLRNYLYSFEFFLDDKSWRASIHRYRSGKTRYAHFRQTDELLADSGWHCSFCFRYISDFAFKMQAYSHVDRIRFKYFLNPERIQDVICRGADLFDMLPEEYTFQEIIAKLGPIPSTYSAVHLPSYLLKNVDRFKYLLPGNCRRESG >KQK99206 pep chromosome:Setaria_italica_v2.0:VII:31502887:31503633:1 gene:SETIT_012177mg transcript:KQK99206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFTDGMSRLAVAVSVTVALSLAIFLTILVLLLADLFCAHLRRRRLRAEASQSKLGPLSLSPARTEDGSVATTAAAREALSGTPPFYYAHGVLHAPNTKDLLLAIPKLESAVWRWSPARRSTPSRSGSSTGSSARGDGFMCISNPVYDRGGARGQAAPDGGDSPPFETPGASPSPFGITEEGDEEEGGFSPPLSAMRRLPPVGVVAYPPPALSFADARPALTVTDTNRASSSSSSNLTAAHFFSSWSSK >KQK96745 pep chromosome:Setaria_italica_v2.0:VII:14859345:14861738:1 gene:SETIT_010495mg transcript:KQK96745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPVTLPRMKLGSQGMEVSALGLGCMGMSAYYGPPKPEPDMIALIHHAVAAGVTLLDTSDVYGPHTNELLLGKALQGGVREKVQLATKFGIRLDADGSREIRGDPAYVRASCEGSLKRLGVDCIDLYYQHRIDTRVPIEITVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQIEWSLWSRDVEAEIIPTCRELGIGIVAYSPLGRGFLSSGPNLVSTLSDQDFRKDLPRFQPENLKKNALIFEKVNAMAVRKGCTPSQLALAWVHHQGTDVCPIPGTTKIENFNNNVAALSVKLMPEDMAELESYASEEVQGDRYHDFLNTWNDSETPPLSSWKAE >KQK97905 pep chromosome:Setaria_italica_v2.0:VII:23884004:23887831:-1 gene:SETIT_009616mg transcript:KQK97905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGNQWGGSFEIGDGAAEDDHSRNMDLDRGALSARQHHELDETQQSWLLGPPEAKKKDKYVDLGCVVVKRKVLWWALWCLVGAFVLIGLPIIIAKAIPHKKPRPPPDDQYTEVLHKALRFFNAQKSGRLPKNNGVPWRGNSGLSDGSDAKDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLLTFNSSASTIDKIYAQVGVAKINGSRPDDHYCWNRPEDMAYPRPTLAVSSAPDLGGEIAAALAAASIVFRDNAAYSKKLTQGAATVYKFARQMGHRTPYSLRQPDIEYYYNSTSYWDEFMWSAAWMYYATGNTSYITFATDPRLPKNAKAFYNILDFSVFSWDNKLPGAQLLLSRLRMFLNPGYPYEESLIGYHNATSLNMCMYFPKFGAFNFTKGGMALFNHGKGQPLQYVVANSFLASLYADYMEAANVPGWYCGPNFMSTNDLRAFAKSQLNYILGDNPRKMSYVVGFGKKYPRHLHHRGASTPKNGVKYSCTGGYKWRDSKKADPNLLTGAMVGGPDKNDGFKDSRNSYGQNEPTLVGNAGLVAALVAITNSGRGVGVTAVDKNTMFSAVPPMFPAAPPPPSSWKP >KQK98558 pep chromosome:Setaria_italica_v2.0:VII:27929096:27932571:1 gene:SETIT_009967mg transcript:KQK98558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPFQMRFGLQISPARSDYEEDDEEEEDEEEEYDEMESEGTASPPMTMLRAGRGGGGAGGGLVGAVVGALRRSLVMCSAGAVGDEDDDEEEDGSEGEGIEIGRPTDVRHVSHVTFDRFGGFLGLPADLEPEVPRRTPSASVSVFGVSPTSLQCSYDQRGNSVPTILLMMQRKLYVREGLKIEGIFRINAENSEEVNVRAQLNSGVVPDEVDLHCLAGLIKAWFRELPAGVLDALTPEQVMHCNTEKECALLASMLPPVEAALLDWAINLMADVVEHESHNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNFLKTLILKTLKERKEAAGAVWALQSCSGSPHDQDEPQMPEHLEKPSVLSSQKDFDFPMIDRATPVQVLGAEKAIHHDSQSRSDEPKKFGIDMDRKKSHSDVSSLGRDLRNRVSGSGREFGNRNTEGLFDRFSFRKGVERLCRHPVFQLSRSMKKSADVVVFDAPREARQAWV >KQK96873 pep chromosome:Setaria_italica_v2.0:VII:16775532:16777423:-1 gene:SETIT_011898mg transcript:KQK96873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LWDWQAGEHCEPDDASHADATKFVWDCLNQDDDELLGLLGNQTPLRDCRDFFADIPDISCKETLDPEESRESKRRRTLEYPSESSQSEVGTHETGSPFVASEATEVSLLCTDEPRSLNCDMQYSSNNLDTINSLSNGAPYWQEDNQLERCSYGTPPVYVEPDQMPCTQESVVYVDDQAGISGSSEIAPVTENLIMQETRKLSTLKVSKGGSSSLVKAKQNITTTVAYPFTLIKPSWEETDVTLQDINQRIRAPPKKPPEILGTSAFSGKPVIGKTRIRTDGGKGSITILRTKG >KQK99200 pep chromosome:Setaria_italica_v2.0:VII:31472338:31473863:-1 gene:SETIT_011680mg transcript:KQK99200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSMRKEIHSYWLHYDFACSAANPFSLHKVPQHILGVDRTAYEPIILSIGPYYHGKEPLQAMEKEKWNCSRNLQDYLKVIVRSERRARTCYSEDTKMEKKKNLQMLLLDGCFILVFLNGMGNEEGNRGTDEGCSTSQEIRSETINNTASLSLEKKEESNIERTTREDYQSNNNLELCEVRSSSDPKEKTMNQNSQELNDSAGMGGWYSCCLIHDLLLFENQIPFYIVEGIYEVFNRTETELNFLAERIAECMESILRHYPIAIQSSDRPKKFHHLLHLCHIYFRPSQKFEKKNQDQARLRSFCRILQFFEKNFPFHFGKKYASLGHKPDEIQQLSLQTHQLVCLESEHLPSRWRRAVQEHDQYNRHSLLDVKFSNGTIEIPCLPIDENTEALFKNLIALEQTNPKYGNGLTAYISFMSQLVTTPDDAALLVNKGIIVHMMDSDEELSSLFARLVKQVVINAETNYYLKSMCQTLESHYQSRLNRWTAWLRGLCLRS >KQK96684 pep chromosome:Setaria_italica_v2.0:VII:13934713:13935987:-1 gene:SETIT_011978mg transcript:KQK96684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVEVLTSELVAPADETPAGAVWLSNLDLAARRGYTPTVYFYRPDGEPGVFAVDIIKDSLAKALVPFYPLAGRLGLDATGRLQVDCTGEGVVFVTARSDYVLNDLINDFVPCTEMRDLFVPRELSPTPPCVLLLVQVTSLRCGGMVLGLAVHHSLVDARSAAHFVETWASIARGSTGDAPAPPCFDHKLLSARPVPTVLYDHPEYKPEPTPPPHAVTTASTYASTLITVTKAQVSALKARCEAASTFRAVVALVWQCACRARSLPPDAETRLYSMVDMRPRLSPPLPPGYFGNAVIRTSAAATVEEVVCNPVGHAARRLRAATSQGDEYARSLVDYLEGVDTMNLPRSGVSRAHLRAISWMGMSLHDADFGFGAPAFMGPALMYYSGFLHVLNAAGKDNAVTLALSLEPESMPEFRKVFAEELA >KQK97672 pep chromosome:Setaria_italica_v2.0:VII:22528351:22531233:-1 gene:SETIT_009938mg transcript:KQK97672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVLESVTGAQDFGQLPLEPPLASSLVEMNWGKVTQPGAASVQLAELRFMDIETASLGRGVGRENIILLLPELPFDGKAGLVPKLALPWLVSQDKCFVQTSPRKCSALRRWRRVRRQSRDNPLQLNIDFLVLATGYSLGIIGPKTIGDCNRERVRVKGFGVAGKGRKAHRRAFREKRHASFVESYLTASGAGDAFSSYRHRTMLLNYDGDRSEDRQSEEVQSAYRRDSDIGEEMVISEEQNDENEWSWVNQDKDGDPLAESVSSLHTTQQVLASEIQKLSELGKELEAEESTSGNKDQDVIVLPYAHVGMLELNEKMEHLEQKLKEASNTIREKDLRLSKLQVLISTADRPTLEEEEAASIDQLVTELEDHLQEKLEAEIQCLVMMEARQNWQVRTEDRAALEEHRASAGDNSSSSARMLRKLRETESKIVTLKEQVDRLEVHEKELYRATEALRMQSRTFKVSLFGLVQLIMLCLSLKVFFAWVPAPFDEVVPT >KQK99307 pep chromosome:Setaria_italica_v2.0:VII:32259292:32260946:-1 gene:SETIT_010431mg transcript:KQK99307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRKRCYLRFATLLAGLLSAAACLDFGFYDRMCPAAETIVQQTVADAFRNNSGVAPALIRLHFHDCFVRGCDGSVLIDSTTNPNNTAEKDAPPNNPSLRFFDVIDRAKAAVEAQCPGVVSCADILAFAARDSVVLSGGLGYQLPAGRRDGRISRDTDALNDLPPPFFNATQLADSFASKNLTVEDLVVLSGAHTIGVSHCSSFAGVPDNPADRLYNFSSPEKIDPALSKAYAFLLKSICPSNSSQFFPTTTTLMDLITPDKLDNKYYVGLTNNLGLFISDAALLTNATMKALVDSFVRSEATWKAKFARSMLKMGQIGVLTGTQGEIRRNCRVVNPAPRTAAGVHRVVAGSDSSGFTGVAAS >KQK97988 pep chromosome:Setaria_italica_v2.0:VII:24301236:24306921:-1 gene:SETIT_009571mg transcript:KQK97988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQVAAAVVANGSAGAVPAVVSPGAVGVGVGVAQPLPTTSLYVGDLEGSVTDSQLYELFSQAGQVVSVRVCRDVTSRRSLGYAYVNFSNPMDAARALEVLNFALLNSKPIRVMYSNRDPSSRRSGSANIFIKNLDKTIDNKTLHETFSTFGTILSCKVAMDEVGQSKGFGFVQYEKEEAAQAAIKSLNGMLINDKPVFVGPFLRKQERDHSFDKTKFNNVFVKNLSESTTREDLVKIFGEYGSITSAVVMIGMDGKSKCFGFINFENPDAAARAVQELNGKKINDKEWYVGRAQKKSEREMELKRRFEQSLKDAADKYQGLNLYLKNLDDSIGDDQLRELFSNFGKITSCKVMCDQNGLSKGSGFVAFSTREEASQALTEMNGKMISGKPLYVAFAQRKEDRKAMLQAQFSQMRPPVPMTPTLAPRLPMYPPMAPQQLFYGQAPPAMIPPQPGYGFQQQLVPGMRPGGPHMPNYFVPVVQQGQQGPRPGMRRGAGAQGQQPVPSFQQQMVPRARMYRYPTGRNMPEAPAMPGVAGGMIQPYDMGGFPVRDAALSPSAQIGTLTSALANATPEQQRTILGENLYPLVEQLEPNQAAKVTGMLLEMDQTEVLHLLESPEALKSKVAEAMDVLRNVAHQQNPNTPTSQLAALSLTEGII >KQK98779 pep chromosome:Setaria_italica_v2.0:VII:29117750:29121026:1 gene:SETIT_011796mg transcript:KQK98779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATSSSSPPTPQPSSSVERTKGPTGLEKIVLREARGWSAEVHLYGGQVTSWKNDHGDELLFVSSKAIFKPPKAIRGGIPICFPQFGTQGNLEKHGFARNRFWAIDDNPPPFPVNTAIKTFADLILKPSEEDLKVWPHSFEFRLRVALAPGGDLILTSRIRNTNIDGRTFSFTFAYHTYLSVSDISEIRVEGLETLDYFDNLNEKERFTEQGDAIVFEAEVDKIYLDAPSKIAIIDHEKKRTYVLRKDGLPDTVVWNPWDKKSKNMQDLGDEEYKHMLCVEPAAVEKLITLKPGEEWKGRMELSAVPSSYCSGQLDPEKVLQGLEF >KQK99897 pep chromosome:Setaria_italica_v2.0:VII:35286472:35287620:-1 gene:SETIT_012041mg transcript:KQK99897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIPTALGSRRSRIIVRISFEQCSLASKPSTLQIGRKWPELQGARDWEGLLSPLDGTLRGELLRYGEFVRAAYASFDFDGGAPSYGSCRFPSRSLLLRAGLPETGYQVTRLLHAASTPAPAWLSPCSSSYIGYIAVCDDEGEIERLGRRDVVIAYRGITTFSEWVDSFKSNLTRLPTAVARWSNAGEEEEEPMVERGFWSLFTAPGEAHSSLQQQVLDEVRRIIHEYGGESMPPRSITIAGHSLGAALAVLSVYEITCQQWSDDAPPMVTAVSFGGPRVGNAVFRRRLEESGGKVLRVVNSNDIVTKVPGFPVNDDDCCIPGGEPAKRNVARVPRWLVSKMGWGYSDVGRELRLSSQDSAPNVVAAHDLDCYLKLVAACTD >KQK99054 pep chromosome:Setaria_italica_v2.0:VII:30673323:30678532:1 gene:SETIT_009351mg transcript:KQK99054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKHAPAFTPEAASASASTGAGQPHNLPVLQAKMKRDPEGYEEELRQLYRHFESSVFLFQQQAALATTSSSGGGGEVAKELGDLALFLAHVAPFYPDDLADLPDQIGGLLDTNARGLPPGLRAHLVQALILLVNRKIVDLEDTVELFVELQVIGDRAVKKLAFSHIVHSIRRMNQKHKNDTRNRKLQNILFKFLQAEEESRAKRAFTILCDLHRRRVWFDERTTNAICDACFHPSSRIMIAAISFLLGYENAEQEDDSDASSSEDEADKNPQVLLSKQDVYKANHKGTAASKKKKKAKLQRVIRSMKRQQRKSVEDAGSSYYSPLTYLKDAQGFAEKLFSRLQKCNERFEVRMMMLKVIARTVGLHHLVLLNFYPYLQRYVQPHQRDVTTLLAAAVQACHDMVPPDAVEPLFKQIVNQFVHDRSRPEAIAVGLNVVREICMRMPLMMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREICPSLLVKKDRGRPVDPKARPKAFGEVTVASDVPGAELLDENISSEGEDSDDESDAFDSDDETVMPSAPPGTEENIGGSSDANKLDAVEDTKEDDEASDEDGTNEGQDNSDNDSDEIDEELDDDSDMDADTDMSDEDNDDDDELKESINGSEDEVSDQDEDSDEEDESNGSGSKVQKRKLSDYIGELNTADASLRALKKLAGAKNAQVSSDETGKILSDEDFKRIKELKAKKEAKLALAQHGLIKGVDTKSATFKMPSSDQLSRKRVDPLQLEAHVRRKMSKEERLAMVKAGREDRGQYVARAAVKQKKTGGLSNKQKQHKKRMPLAATRAKAARSRQEKKQQRKRSGNQFRGRKAWK >KQK99398 pep chromosome:Setaria_italica_v2.0:VII:32762190:32763911:1 gene:SETIT_009796mg transcript:KQK99398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFNDDETPASTTNIGRTKGLVTIKPVKYFKDDAALTADTVTAEVEINATSSTTVREGLDLVAVLDVSSSMGDSEYPEKAKIESLKKAMKFVIMKLTPVDRLSIVTFSSVGKRRSPLRSMTPTAQNELKALVDSLKATGSTNIQAGLETGLAVIAGRVNTNARTANIFLMSDGHQNKDTEARNVNPGQVAVYTFGFGSGTDHKLMSDIARNSPGGTFSNLPDGSKVSLPFSQLLAGLLTVVAQDVELTLTPKTEGGDVDTIEVAPGTDYTTIPKGPSSGVITIKFGTLFAGEGRRVVITLKLKDVSDTNNDDYDATLGEAQHSFTAQARPRDRQVPQDIQIRRTPTPSQAPGTSGKARQVQAEIARRRHADAIRQARKLADKGQLGEARGTLEGAQDALDDIVLDDGQKLVDALRAELLKLIGLMDDQEVYKSKGLPYALASETSHDRQRYAARDDDVDAVRLFATPRMDAYLEQAKKFEEDSTAPVPSAEEDVKQEVAANPLGAVATELALALRNAIEALQAIEKMVAPST >KQK99181 pep chromosome:Setaria_italica_v2.0:VII:31356575:31358115:1 gene:SETIT_011267mg transcript:KQK99181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTCAVAFAALLLLLLLATRAHGIRLDRQLHEAINSKEMADPKSGDGEASIADDSVKKHCTPDGRCSGAKVKKALAHAEATAEAKHQQVSSTGNGHTTTVDDAEAASQPRVARQRQQTYPDLMDIAGMDYSPATRKPPIHN >KQK96225 pep chromosome:Setaria_italica_v2.0:VII:1979863:1982766:-1 gene:SETIT_012608mg transcript:KQK96225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGTAQGAVDSLLGRLSSVLMEEAQLLRGVRGDVEFIKDEMESMNGFLLDVAAADRPNHQVRAWAKQVKELAFDSQNCIDRYVQCVSDVPGSGAGVLATLRRAPRLLSTMPARHRTAVRIRELKARARDLGERRRRYDVTVPHVAAPVATGGANTPEDEREDARRRALANATEFLDEDVREVISWLAVELPLGHPQRRLWAIAIVRRQYQEDEYPLTRKVYEHPSLSSCFHLKAWINGVDKYMKRKETLQCILDQLPAPDDNGIAADGDMNEEARLVKELKDRLKGKRFLIVAANDAYGKVRTEIESAVHDLSVGGGDGDSPASAGSAIIVTTWFPPREPSSDLYKIKNYLNIDALFHEKAVALVGDCCDSDLQEIIRKILTKRGGNFFSMEMFLRALYVNPKRPREQLQILLDSMTFGSIIATHMILFCYNDLPSHYKSCLLYLSILFERMYLPSSSPQDFRVKRTSIVRRWAAENLITRRDGLVATDEAERCFDELVAHGLVRPVDIGASGKVKTCTVHHRVLSFITKMARDEGLVDTDLPPDLACRLSIGNGIRLQQLQHHQMKHVKGAEQFTGCCWGSIHERPIPVEGSEDSRCSMDMDYTEAFLQSLQASPPLGLVEVLDLEGFKGLKKQHLKDICDKVYQLKYLSIRNTDITELPKDIEKLRYLETLDIRQTKIRTLTSKAVVLPKLMHLLAGNIEQQRDDSATRSGGRSFSTVHIPPGIGSMTDLQILCHVEVSNSADELMEVGRLQQLRKLGVVLRGKEARLGHFLRVIERLNECLCSLSLQIELTSSSDTPDLNMEKTAFSPPKFLESLTINGNITGLPRWIKELRQLSKITLCGTSLMDNAIRTLGELTALRCLRLWHKSYIEMRLTFKDDEFQNLKYFLVEGSDITAIHFENGAAPKLEKIVWAFTQLLSLSGVKNLPGLKEIELNGDCDPYLIIQEMAAHPNHPVVIQCQSVRF >KQK99127 pep chromosome:Setaria_italica_v2.0:VII:31087718:31088242:-1 gene:SETIT_013038mg transcript:KQK99127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPERVAFFFLNFDFEGVLPKISGLPEETGGRPPDVVLGSFSGFYLVN >KQK99022 pep chromosome:Setaria_italica_v2.0:VII:30514118:30516837:-1 gene:SETIT_010531mg transcript:KQK99022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLVVLGCSPASSLPACSPTSRRKCSTTRQKIVRCSLNEETPLNKYGVVSKQLISCLAASLVFISPPSQAIPAETFAQPGLCQIATLAAIDSASVPLKFDNPSDDGGAGMMMRGMTAKNFDPVRYSGRWFEVASLKRGFAGQGQEDCHCTQGVYSFDEKSRSIQVDTFCVHGGPDGYITGIRGRVQCLSEEDMASAETDLERQEMIRGKCFLRFPTLPFIPKEPYDVLATDYDNYAVVSGAKDTSFIQIYSRTPNPGPEFIEKYKSYASNFGYDPSKINDTPQDCEYMSSDQLALMMSMPGMNEALTNQFPDLKLKAPVALDPFTSVFDTLKKLLELYFK >KQL00001 pep chromosome:Setaria_italica_v2.0:VII:35710196:35712545:1 gene:SETIT_012322mg transcript:KQL00001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQPNGIEARRGPASLLAMGHGPSLSLSEGPFGSPIPRRVPGHLRALAGHAESSHGSVHRRLWKPARRGSPARLRPIFRAERLPASSHRAPVPVPWTPSPPRLLVSPESRRHPASPPPPPLPVSSSSAAPPSPPPLRRTPPPQSLAPPPSPSAIALPPAPLTALSGFLNVDNFDDSCVLDHNNRTGRWLSTFKAIWSWSDSNLFVGNMKRVIDVISVDRSEKSLSTSYTASLESEHMTAIPCRFLLHPYKVGHLAGASSSGKVFLWTRV >KQK98652 pep chromosome:Setaria_italica_v2.0:VII:28469004:28470680:1 gene:SETIT_009885mg transcript:KQK98652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFAALLPRLTLLTLAVSLAAAASGDNTTTVAGQIRVNCGASVSAADSDGRTWDRDAPSVGGGVAAGAPYEDPSLPSAVPYMTARVFGSAHTYSFPVRPGRVFLRLFFYPADYGGRGAGDALFGVAAGGVTLLRDFNASQTALALNAACLVREFSLNVSAGGLDVTFTPSSSAHYAFVNGIEIVPTPADVVAKPVPTFANGGRTAPMPIRADTAFQTMYRLNVGGTAVSPGNDSGLLYRSWDEDSAYIYGAAFGVSYPKDSNVTIQYPPSVPPYVAPKGMYASARSMGPSAQVNLNYNLTWILPVDAGFYYILRLHFCEIQYPITRVNQRVFYVYINNQTAQAGMDVIAWSGGIGRPAYVDYLVVTAPGAGQTDLWVALYPDVKTLPEYYDAILNGLEVFKLQTYDTDSLAGPNPPLPAENAAADDDGSGARPKKKKNGAFVAGWAAAACGLLAVLVGCLCAWALCRRKSKAATSAIVDVPEKPTVHETPASGLHGPTETCVFSVRAEK >KQK96528 pep chromosome:Setaria_italica_v2.0:VII:10956876:10957223:-1 gene:SETIT_012573mg transcript:KQK96528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAHDILIAVSMVALLSFNAVEGGAYMSHAALSRKGLKEERKLATIGASPLSSNVSGLASSNTNGANSNSESTNSDMSGADSHHDISADQYRRIIHNNQIKP >KQK97061 pep chromosome:Setaria_italica_v2.0:VII:18596500:18602612:-1 gene:SETIT_010379mg transcript:KQK97061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEGTIFTTMPPEPSSQNPGMQIAVFRHGISGILLHNTIYDVVPLSSKLAILDAQLPVKQAFKIMHDEGLALVPLWDDHQGTVTGMLTASDFVLMLRKLQRNIRALGNEELEMHPISAWKEAKLQFYGGPDGAAIQRRPLIHVKDSDNLVDVALTIIRNEISSVPIFKSLPDSSGMPLLNLATLQGILKFLCSKLQEQAEGYSLLHNQLVNIPIGTWSPHTGRTSNRQLRTLRLSSPLNSCLDLLLQDRVSSIPIVDDNGSLRDVYSLSDIMALAKNDVYARIELEQVTVQNALDVQYQVQGRRQCHTCLQTSTLLEVLEELSIPGVRRVVVIEQSTRFVEGIISLRDIFTFLLG >KQK97062 pep chromosome:Setaria_italica_v2.0:VII:18595848:18602762:-1 gene:SETIT_010379mg transcript:KQK97062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCLWKTMHNLLCSQSRLLPEELIWMRMPPEPSSQNPGMQIAVFRHGISGILLHNTIYDVVPLSSKLAILDAQLPVKQAFKIMHDEGLALVPLWDDHQGTVTGMLTASDFVLMLRKLQRNIRALGNEELEMHPISAWKEAKLQFYGGPDGAAIQRRPLIHVKDSDNLVDVALTIIRNEISSVPIFKSLPDSSGMPLLNLATLQGILKFLCSKLQEQAEGYSLLHNQLVNIPIGTWSPHTGRTSNRQLRTLRLSSPLNSCLDLLLQDRVSSIPIVDDNGSLRDVYSLSDIMALAKNDVYARIELEQVTVQNALDVQYQVQGRRQCHTCLQTSTLLEVLEELSIPGVRRVVVIEQSTRFVEGIISLRDIFTFLLG >KQK97636 pep chromosome:Setaria_italica_v2.0:VII:22321628:22325296:-1 gene:SETIT_010206mg transcript:KQK97636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALAIGSAPPRPGLPAPTCYSHLRRPRTFAASAAARRPLVAAHMDAAASGGRPSPAPPRCTRAETDSEVGEVATTTSSHAEAAVATEEGDSGSPVEDAAAAGASIEGVDGIRIRRRPVTGPAVHYVGPFQFRLENEGNTPRNILEKIVWDKDVEVSQMKERRPLYMLKGPLEAAPPARDFVGALKASYDRTGLPALIAEVKKASPSRGVLREDFDPVQVAQAYEKNGAACLSVLTDKKYFQGSFDNLAAIRNAGVQCPLLCKEFIVDAWQLYYARSKGADAVLLIAAVLPDLDIKYMLKICKILGMAALVEVHDEREMDRVLGIDGVQLIGINNRNLETFEVDISNTKKLLEGERGKMIAQKDIIVVGESGLFTPDHISFVQNAGGKAVLVGESLIKQEDPGKAIAGLFGKDISNAGAA >KQK96563 pep chromosome:Setaria_italica_v2.0:VII:11969454:11970622:1 gene:SETIT_010556mg transcript:KQK96563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAVTTVVVQGGAADGSGVHVLLLPFPGMQGHANPMLQLGHRLAYHGLRPTLVVSRHALSTATTTSAPCPFPVATISDGFDAGGIASCPDTAKYLRRMEAAGSETLAGLLDAEARAGRPVRVLVYDSHLPWARRVARGAGVAAAAFMTQMCAVDLVYAEVWAGRAALPLADGGALRGRLGVELGPDDVPPFLAAPQWYPAFTESALSQFDGLEYADDVLVNSLRGCFLTHCGWNSTIESIATGVPMVAMPQWADQPTTAKYVESAWGIGLRMRKGLVKREEVERCISEVMEGERKQEYRRNAAQLRHRAKEAMQEGGNSDKNITEFAAKYLSM >KQK96683 pep chromosome:Setaria_italica_v2.0:VII:13899064:13901710:-1 gene:SETIT_011689mg transcript:KQK96683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NGTRRSKKGMKLVFSAVVGVCSGYLFVIFFPAFNITKINLPSSVASYIEDQSAGITTTRTLLNHEWTSANSHKDNSDSKYDEIPKIYLSTNPKGTERLPPGIVVPETDLYPQRSWGEPSEDLTSQPRYLVTFTVGIAQKANVDAAVKKFSDNFTIMLFHYDGHTTEWDEFEWSKRAIHVSVRKQTKWWYAKRFLHPDVVSRYEYIFIWDEDLGVEHFNAEAYIEIVRKHGLEISQPGLESDKKIAWRMTKRHSDQEVHKETKERPGWCTDPHLPPCAAFVEIMATVFSRNAWRCVWYMVQNDLVHGWGLDLALRRCVEPAHEKIGVVDAQWIVHQAIPSLGNQGKAVKGRAPWKGVKARCNLEWGMFRSRLADAEKAYYLEKGITPPNSTR >KQK97915 pep chromosome:Setaria_italica_v2.0:VII:23940895:23942590:1 gene:SETIT_009806mg transcript:KQK97915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGFRYLVLALLLALGSNIAPASAAGGSWQLLQDSVGVSAMHMQLLHNDRVILFDRTNVGPSNLTFPAGHPCRSNPQDRWFHNSTDCTAHSVEYDVASNTFRALSIVTDTWCSSGYVAPDGTLVQTGGWEDGNRKVRLMPACTGPDTAGACDWSEKLADPDVLAGARWYATNQKLPDGGAIIVGGRDQPNYEFYPKAGPSATTLLPLPFLSETDEDSKYLYPFVHLNVDGNLFVFSNNRAILFDYKSGSVVRRYPTLGDGAPRNNPNAGSSVLLPLKPDATEAEVLICGGAPASSNDAVERGQFPPALRTCGRIKITDPDPAAAWVMEDMPSPRVMGDMILLPNGEVLIINGATDGIAGWGKANTFNPTPVIYRPDFPNPHEGYVFRNVKYPTELSLEAFSPDYLDASDDERRPNIVDPSLTGAPVNVNSRDQLMLPFRVPVLDPVVSVTMVAPSFTTHTYAQNQRLLFLQAQVNKAQLPGVGGAILPTDAYVATVTMPTNVLAPPGYYMLFVVNGRIPSQGIWVRIQ >KQK99968 pep chromosome:Setaria_italica_v2.0:VII:35585930:35586404:-1 gene:SETIT_011574mg transcript:KQK99968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSEFAGTITTSPDKPAAAAPNLQFLSSANRRPMNPEKPKRAQSSEQSSNIAESVETASAYW >KQK96489 pep chromosome:Setaria_italica_v2.0:VII:9737681:9738272:-1 gene:SETIT_012082mg transcript:KQK96489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein APKNVRKRTMGHGLEKMISRGKKLAIEVAAGKKRPEVPLQAAKLASECRVSLRDNLPIYTSWKEYDNERGQAEVSKVLRKVASRLDVDVRNEGPSKAACTDIIKRGVKQQRYNLKRKYFDESLTREQLLAKEPPPKMKKHEWILLVEYWCDPKNEVHACIIWFC >KQK96248 pep chromosome:Setaria_italica_v2.0:VII:2499862:2500155:1 gene:SETIT_012192mg transcript:KQK96248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCAANKKLQLVLCMALIVAAVAADVKPTCQVPNNANLFDIQKPFCVEGTACE >KQK98872 pep chromosome:Setaria_italica_v2.0:VII:29701011:29702052:1 gene:SETIT_011264mg transcript:KQK98872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNHRIVALLLVAATLVAQAAACPSCPTPKPPPPPPTPVPCPPPPSSTPPTPSTPTGKCPLNTLKLLACVDALNGLVHAVVGAKASEKCCPLLSGVADLDAALCLCTTIKAKVLNVNLVLPIAIEVLVNECHKNVPASFQCP >KQK98195 pep chromosome:Setaria_italica_v2.0:VII:25582113:25584150:-1 gene:SETIT_012429mg transcript:KQK98195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKAFFTDDGISLLDKRFLPAMDKVGRVCHVFLTPTHAMLLHNLLGATAAGPDGGGPQCVAQFAKDLLFREYNLSSRNGNQIAFSVEVALLHRALRSVLAVHAQPPAAGDAAGAAAIQVRLVNKLPAGSRSATPFLTFETKGSRAAVVQDVPISRPLSRSDVERLQAALDAAKDLPQTLVQVPDLPQLQSLVDRLKNVGDLLTVAVTQYGDLHLQVSTSLVTVGSEFRKLRVIGDRANAPVGDQNLTASTRMDMAVERGEALSVQVNMKHLVKSLHCHFAKPDYTFYGIAPGGACLTVVFQYFIPGTRLADKSISFYCRLPVLDP >KQK96804 pep chromosome:Setaria_italica_v2.0:VII:15677153:15679510:-1 gene:SETIT_011017mg transcript:KQK96804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISRDSMHKRRATGGKQKAWRKKRKYELGRQPANTKLSSNKTVRRVRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLTHYGVDIGRKKKAPAAKKDAAEGQEGEAVAEETKKSNHVTRKLEKRKVGRQLDPHIEEQFGSGRLLACIASRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAAA >KQK98424 pep chromosome:Setaria_italica_v2.0:VII:26920505:26921201:-1 gene:SETIT_011331mg transcript:KQK98424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAPGSPGRLPAMEECGEDGEEDADPYGHRARGAMASCWGRFGVAALWRRLRQHISVARRRRRLGRSILGAGGLNYDPLSYAQNFDDGCLEEREPDFSARFAPPRHAAAAGLPRPTVGAGRDVAAA >KQK96126 pep chromosome:Setaria_italica_v2.0:VII:711057:711359:1 gene:SETIT_012830mg transcript:KQK96126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAVLKAESSLIDQYALNLIDCQMNLVDLQAQRILTS >KQK98149 pep chromosome:Setaria_italica_v2.0:VII:25352772:25354942:-1 gene:SETIT_009923mg transcript:KQK98149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTTKPHLVLIPWQGSISHIIPMTDIGILLASHGAAVTIITTPANAPVVQSRVDDRATPPRGGVGITVTAIPFPGAEAGLPEGRERLDLLRSPADVPRFFAANKRFGEAVARHCLGGASMPPCRPTCIVAGMCHSWALGLARELGVPCYIFHGFGAFALLCIEHLFKHRPHEAVASPDEPFDVPVLPSFECRVSRRQLPPHFSPSTSMGGGPLQEIRDFDVAVDGVVVNTFEELEHGSAALLAAATGRKVLAVGPVSLSHSPSLDPETMSEDARRCMAWLDTKASRSVAYVSFGSAGCMPPAQVMQLGMALVSCPWPVLWVVKGADSLPDDVNKWLRENTDDADGVADTKCLVVRGWAPQVAILAHPAVGGFLTHCGWGSTLEAVAAGMPMATWPLFAEQFINERLIVDVLGVGVSVGVTKPTENILSASKTDGSKAEAEAEVGMEQVMKALERLMDQGAEAEERRRKAQELKAKAKGALEEGGSSYVNLENLIQSFV >KQK97068 pep chromosome:Setaria_italica_v2.0:VII:18675481:18680311:1 gene:SETIT_012684mg transcript:KQK97068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALETDQQDPARGTKRVVGILQRHDSLYGDAEKVSSAQHHGSQDNWIRTLRLAFQCIGVIYGDIGTSPLYVYASTFSSGISNIDDLYGVLSLILYSIILLTMIKYVFVVLYANDKGDGGTFALYSLISRYAKVSLIPNQQAEDAMVSSYGLDIVSAPMRRAQWMKKNLESSKVAKVAIFLLTILGTSMVISDGALTPAISVLSAVSGLQQKAPQLKQGQIVLISVVILVVLFSVQRFGTDKVGYSFAPIILLWFLCIGGIGFYNLIKYDVGVLRAFYPKYIVDYFKRNGKDAWISLGGILLCFTGTEAMFADLGHFNVRAVQISFSFALFPAVSLAYIGQAAFLRKHPEHVLDTFYRSIPGPLFWPTFVIAVAAAIIASQAMISGSFSIIQQSQTLGCFPRVKVLHTSKLYEGQVYIPEVNFALGLLCVIITLAFRTTTDIGHAYGICVTTVMIITTILLVIVMLLIWRVSIWLIIPFCLVYGFVEFVYLSAVMYKFTEGGYLPIVIATLLVVMMAVWHYVHVKKYWYELEHIVTNEAMRQLIQKHDVKRISGVGFLYTELVQGISPIFPHLIEKIPFVHSVLMFVSIKHLPIPHVEASERFLFRNVESKTSRMFRCVARYGYNDKLEDTKEFAASLIEGLQSYIEEGHLITDIQVQETETQTTSIADSNTRPHKAGSSTVYIEEALTANETTGLTQPRISSYSAHSSGRISEEQSRKIAEEKQFIQRELQKGVVYILGETEIKAGPNSSFVKKVVVNYMYSFLRKNFRQGEKAFAIPRQQILKVGMVYEI >KQK98062 pep chromosome:Setaria_italica_v2.0:VII:24738920:24742602:-1 gene:SETIT_009871mg transcript:KQK98062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAWRPVLAGAWLLLLLLLLPSASAVRRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHQPGRIKDRSTGDIADDHYHRFEDDVELMHSLGTNAYRFSISWARILPRGRFGRVNLAGIAFYDELIDSLLHKGIEPFVTLTHYDIPQELEDRYGSWLSDEIQRDFGYFADVCFAAFGDRVKYWATFNEPNVAVRKGYMLGTYPPARCSPPYGSCARGDSGAEPYLATHNVVLSHATAVEIYKRKYQSEQKGLIGIVMSTTWFKPMTDAPVDRLATERALAFDVPWFLDPIVYGDYPPEMRQILGSRLPAFSPDERRKLGYKLDFIGVNHYTTLYARDCMFSPGCPLGQETQHALAAVTGERNGLPVGPPTAMPTFYVVPEGIEKIVTYIMKRYNNLPMFITENGYAQGGDGYAHVEDWLDDQGRIEYLDGYLTKLAKVISQIVPRDGADVRGYFVWSLIDNFEWLYGYTLRFGLHYVDYQTQERKPKSSALWYQRFLQSLLEAQ >KQK98061 pep chromosome:Setaria_italica_v2.0:VII:24738726:24742766:-1 gene:SETIT_009871mg transcript:KQK98061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRAWRPVLAGAWLLLLLLLLPSASAVRRSDFPASFLFGTATSSYQIEGAYLEGNKSLSNWDVFTHQPGRIKDRSTGDIADDHYHRFEDDVELMHSLGTNAYRFSISWARILPRGRFGRVNLAGIAFYDELIDSLLHKGIEPFVTLTHYDIPQELEDRYGSWLSDEIQRDFGYFADVCFAAFGDRVKYWATFNEPNVAVRKGYMLGTYPPARCSPPYGSCARGDSGAEPYLATHNVVLSHATAVEIYKRKYQSEQKGLIGIVMSTTWFKPMTDAPVDRLATERALAFDVPWFLDPIVYGDYPPEMRQILGSRLPAFSPDERRKLGYKLDFIGVNHYTTLYARDCMFSPGCPLGQETQHALAAVTGERNGLPVGPPTAMPTFYVVPEGIEKIVTYIMKRYNNLPMFITENGYAQGGDGYAHVEDWLDDQGRIEYLDGYLTKLAKVIRDGADVRGYFVWSLIDNFEWLYGYTLRFGLHYVDYQTQERKPKSSALWYQRFLQSLLEAQ >KQK98204 pep chromosome:Setaria_italica_v2.0:VII:25659115:25660572:1 gene:SETIT_011915mg transcript:KQK98204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAAQVQQAKSSMATPPASPTTTMPAARKPLHLLLLSLSLPVLLLLLSLVFLLSHTTFSLLICPLLPQPPSRRNATSTSSSSSGDSLGVSMYKTLQAFHDSTPPSRSNVTTTSSSSASLGLSMDKTVQAFHHDLGAAPPPPPPLPAAPASAVKTTSSSKKASAKRNKSLLKLLLRSTPQTRRFAARAGELFASPCTDRFFMTWLSPLVQFGRRELLVLESLFRWHRGACLLVASDTMDSAGGRDRLRPFLERGLRVAAASPDFAYLLRGTPAEAWLAAVQRGGVSPGSVPLGQNLSNLLRLALLYRYGGTYLDADVVVLRHFSGLRNAIGAQAVDEATGGWRRLNNAVMVFDRAHPLLHEFIAEFAAAFDGSKWGHNGPYLVSRVAARLRHRSPGLNLTVLPPRAFYPVHWSKIGGLFVAPKDRRGERWVKAKVENIKGESFGIHLWNRESRGLEVEEGSVIGRLISDSCLFCNSSMLVKQEWQ >KQK99865 pep chromosome:Setaria_italica_v2.0:VII:35110585:35113077:-1 gene:SETIT_009439mg transcript:KQK99865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFLARSMGFLAIWACLSLAMPAAAAAAAATVPQPEPEVKPSDTDALTIFRNGADAHGILAANWSSGDACAGRWTGVGCSADGRRVTSLALPSLDLRGPLDPLSHLAELRALDLRGNRLNGTLDALLRGVPNLVLLSLSHNDISGAVPDSIARLPRLARVDLADNSLSGPIPAAALGKLTGLLTLKLQDNLLTGLLPDVTAALPRLAEFNASNNQFSGRVPDAMRARFGLASFAGNAGLCGPEPPLPPCSFLPREPAPTSPSSVPSSMVPSNPAASSSVASSSPALATPESLGGAGKGGLSPGAIAGIAVGNTLFFFALASLLVACCCCGQGGGGEPAAKKRKRRGRVGLEDGDGALFGHLKGEQPARPGSAGRCSDGGDSDGARSKLVFFGADGEGEEDDGGGNSDGSAGGRPRGGAPLTSHLQERRSRFQLEELLRASAEMVGRGSLGTVYRAVLGDGRMVAVKRLRDANPCAREEFHRYMDLIGRLRHPHLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHKMTGESPLDWTTRVRLLLGAARGLACIHREYRTSGVPHGNIKSTNVLLDKGGAARVADFGLALLLSPAHAIARLGGYMAPEQADNKRLSQEADVYSFGLLVLEALTGRSPAAQHPHPLPDADAQRREKKSNAAVAASLPEWVRSVVREEWTAEVFDVELLRYRDIEEEMVAMLHVALACVAPQPEQRPSMGDVVRMIESVPVDQSPMPEEDRDVSVTSPSIGVTTDDGGGRLSY >KQK98698 pep chromosome:Setaria_italica_v2.0:VII:28689181:28690683:-1 gene:SETIT_012362mg transcript:KQK98698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKAAVAAAGEDGIADERDKAAPSSSAVAPSPKKCKTAAVDAGASGAASSVPDDVVRNILARLPARTAVACTALSKHPGGLIRSPRPEQKNPASRFYGFHVAGAGRLSCDGPMRTVAGWKYLGTRYVNTCNGVVLLASNGFSDLCRCTLWNPAVADVAREVTVAQQSPESKCLVLGFGYGRRSKTYKMLLCRKDTHQINRIRITGGPTHRSKYSLVIQLLGDGAEKQIPLPIVSSVEVDEKMKQKSLYLDGTIYLHLEKSVILAFEVDDETVSKIDVPGERQNARLLHGMFELIEMSGRPCMVRIAGCCIALWLLTADHHREQMCVISDKDDIYCLSIVGVWHCGGMLVLHFECSIDDIWLYDVATKKIYKADMPGDLMVQRSDYELAWGYKPTLVSPGSIVGEISQDLERRRNRSAHIMEVTNPLSLQDMRKGQEATLNTVCLMEFLVRIVQNETT >KQK98767 pep chromosome:Setaria_italica_v2.0:VII:29044536:29045710:-1 gene:SETIT_012049mg transcript:KQK98767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRAVIDAQTSNGFKSGRSTGLVRDFFHFLLTAVQGEDPETSRVTNFVETASLGLNYRHESGLLVITPSIILIIDAIPMAKFLRKMTTGGAEVLRNLVKFIKSKGLVEGDELKPLCNKLIEVSTKIDEALPEATEAALLVSCSSCAGTRWPLPRSLQSRWLKSTRPRHLAPLEAKVLHRTMKNAYRDTQILLKVTPVDLPDLNPFDV >KQK96665 pep chromosome:Setaria_italica_v2.0:VII:13691175:13693694:-1 gene:SETIT_012043mg transcript:KQK96665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSNLLLFLLLATATPLITGQPFDYPTANLSTLWTNNNTSLENSVTYNDGSVVRAIVLRSPKTFYGPSFAAGFFCATAPCDSGTFLFAVFILYTNSGGKITMPTNGLPQVVWSANRLHPVKQNATVELSGDGNLILRDADGSLVWSSGTAGRSIAGMAITELGNLVLSDRKNATVWQSFDHPTDALVPGQSLREGMRLTANTSATNWTQNQLYMTVEPNGLYAYVESTPPQPYFSQLVNKNKTGNHPTQITFMNGSLSIFVESMQTNSDSGIRLPPAKSTQYMRFESDGHLRLYEWSESKWAVVDDVIKIFPYNYNCAFPTFCGEYGVCGGAQCSCPFQSNSTSSYFKLIDGWKPNVGCTPLTPISCQEMQHHELLTLTDVSYFDTSHTIVNAGNRDACKQACLKNCSCKAVMFRHYGDNESYGDCQWVTKVFSMQSIQPQIVHYNSSAYLKVQLSPSPPASAANAKKVIIGATIASIIAIVLIVIAVTLYVRRRAKYQEIEEEFDFDQLPGMPMRFSYEKLREYTEDFSKKLGEGGFGSVFEGKLDEERIAVKRLESARQGKKEFFAEVETIGSIEHINLVRLGLCYLHEECRRKIAHLDIKPQNILLDENFNAKLADFGLSKLIDRDQSKVMTVMRGTPGYLAPEWLTSQITEKVDIYSFGVVVMEVICGRKNIDNSQPEESIQLIKLLQEKAQNNQLIDMIDKQSDDMVVHQEEVIQMMKLAMWCLQNDSSRRPLMSTVVKVLEGTMTVEACIDYSFFSADPVLSIEGKNSIYSAPPPASVLSGPR >KQK97658 pep chromosome:Setaria_italica_v2.0:VII:22462397:22465258:-1 gene:SETIT_011688mg transcript:KQK97658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVRLPGASKPATAMAALSLPSLPNRTPPPAAPASSPPKSTSASLSLKRLCKEGDLRQALRLLTARAQPPREHYGWVLDLVAARRAAAEGRQVHAHALVTGSLDEDDDGFLATKLVFMYGRCGGVDDARRLFDGMPARTVFSWNALVGSYLSSGSAGEAVRVYRAMRASVAPGSAPDGCTLASVLKACGMEGDRRCGHEVHGLAVKSGLDKSTLVANALIGMYAKCGMLDSALQVYEWLQEGRDVASWNSVITGCVQNGRTLEALELFRGMQRSGFSMNSYTAVGVLQVCAELALLNLGRELHAALLKCDSEFNIQLNALLVMYAKCSRVDSALRVFHQIDEKDYISWNSMLSCYIQNGLYAEAIDFFHEMLQHGFQPDQACVVSLTSALGHLRWLNNGREVHAYAIKHSLHTDLQVGNTLMDMYIKCDSIECSAKVFEIMSIRDHISWTTILACFAQSSRHFEALGMFREVQKQGIKVDSMMIGSILETCSGLKSLSLLKQVHSYAIRNGLLDLILKNRLIDIYGDCREVHHSLNIFQTVEKKDIVTWTSMINCCANNGLLNEAVSLFTEMQKANIEPDSVALVSILVAVAGLSSLTKGKQVHGFLIRRNFPIEGPVVSSLVDMYSGCGSMNYATKVFYGAKYKDLVLWTAMINATGMHGHGKQAIDIFERMLQTGLTPDHVCFLALLHACSHSKLVDEGKYYLDMMMSKYRLKLWQEHYACVVDILGRSGRTEEAFMFIESMPMKPTSVVWCALLGACRVHKNHDLAVVAANKLLELEPDNPGNYILVSNVFAELGKWNDVKEVRARMEELGLRKDPACSWIEIGNNVRTFTARDHSHRDSEAIHLKLAEITEKLRKEGYTEDTSFVLHDVSEEEKIGMLHKHSERLAIAFGLISTHSGTPLRIAKNLRVCGDCHEFTKLVSKLFERDIVVRDANRFHHFSGGACSCGDFW >KQK98509 pep chromosome:Setaria_italica_v2.0:VII:27581526:27585805:-1 gene:SETIT_009700mg transcript:KQK98509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLSSLPPHRRGGGWQWSFLDVVWAVFLLAVVVFLALVFTPRRGDPLSVARPGGAAAVPPCAAAEVDLLPCEDPRRSSRLSREMNYYRERHCPARGEAPACLVPPPSGYRVPVPWPESLHKIWHDNMPYGKIAERKGHQGWMKHEGSHFIFPGGGTMFPDGAEQYIEKLSQYVPLKTGVLRTGLDMGCGVASFGGFLLKENIMTLSFAPRDSHKSQIQFALERGIPAFLLMLGTRRLPFPAQSFDFVHCSRCLIPFTAYNGSYLIEVDRLLRPGGYLIISGPPVKWKKQEKEWDELQVMAGALCYKLITVDGNTAIWKKPAEASCLPNQNEFGLDLCSTDDDPDEAWYFKLKKCVSKVSMVEEIAIGSIQRWPDRLSKPSARASLINNGANLFGVDSQKWVRRVSYYKKSLGVKLGTTHIRNVMDMNAFLGGFAAAIVSDPVWVMNVVPARKPLTLGVIYDRGLIGVYHDWCEPFSTYPRTYDLIHADAIDSLISDPISGTSRCDLFDVMLEMDRILRPEGIAVIRGSPDLIAKAAQVAQSIRWNAQVRDSEPESGNTEKILVATKTFWKLPLTSQ >KQK96979 pep chromosome:Setaria_italica_v2.0:VII:17895265:17897899:1 gene:SETIT_010573mg transcript:KQK96979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVYMHCKGCALKVKKILKRFNGVEGVIADSKTHKVVVKGKKVAADPTKVIEHVQKKTGHKVELLSPIPPPVEEKKEEERKEELEPPKPEEKEEPPVIQVVLKVHIHCEVCAQWIRKRILKMKGVQSAELDLKASEVTVKGVLEEAKLAKYMYKRIGKHVSIIKSEPVAPLESPGGDDMVKEEKKVEGVEEKEKEGDGNTGGEEDKMDKEMDAAAIATANLYMYYPQFAFPGGYYSPPTLLPPGYIYQAAYPPPSYTAYAPHHQMMAPQTFSDENPNACSII >KQK96300 pep chromosome:Setaria_italica_v2.0:VII:3162643:3163821:-1 gene:SETIT_010293mg transcript:KQK96300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEGLMRRRRRLSSPAPLPDDDDLLREIFLRLPPRPSSLPRASLVCKRWGRLVSDPQFLRRFRAFHGLRPHPPLLGFFSGGLEGVADFTPTLDPPDRVDPSRLSLQAPRRGELYNFLGCRHGLALILNLTRLEIILWDPVARDHRRVAVPPSWFNNEDPRSTIRNAALVCDGHHTGRLPLEAFKVILLRSDDVPRDADPKVFGSLYESSTGVWNDLISTSISAPLSMLSPSVLVGNSLCWFLNGCGKRGILVFDLAKRNLAQIDTPVDAHIATDSRFQILRMESGELGFAILSGASMQLWERNASSNGGVRWMLQKTIELDKLLSLRSPIHGPWTVIHGYDEDSHVIFVSVDLEVFMIPLKSLQFKHLFRTDFMTTYHPYTGFYTTGNNSIV >KQK97315 pep chromosome:Setaria_italica_v2.0:VII:20507878:20508794:-1 gene:SETIT_012140mg transcript:KQK97315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNHANWDEATTKTFLDLCIAKKNQLNWSNKCLTKLGWQHVYRNFKQQTGLSYDSKHMQNKLNTMRRSYMHWRDLQVHTGIGRDKNTGGVAADDTFWATNEEETSASADQASNAKPPPFVEEIHMLFGRTTQDRGTLLSAGGVCEPTLATGSEDTQADMSQDPIGSSSVRNMSKRLTREEVVDSPPKKNSGSLEDYKQLSREEEELDLAMRILEEDDIEEGSDLYCMAIFLCKNAMNRRAFTTMKTKEGRLHWIQFN >KQK96138 pep chromosome:Setaria_italica_v2.0:VII:798399:798771:-1 gene:SETIT_0096271mg transcript:KQK96138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSNSTGRPRAMPPVEEVDIAAVRYKSPALQAPHLTGFSLRAFLWLMESPLLGPLITSVLKSQNNMPQMLQQTVIPERPMYFPEYPPQ >KQK96139 pep chromosome:Setaria_italica_v2.0:VII:798399:798805:-1 gene:SETIT_0096271mg transcript:KQK96139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSNSTGRPRAMPPVEEVDIAAVRYKSPALQAPHLTGFSLRAFLWLMESPLLGPLITSVLKSQNNMPQMLQQTVIPERPMYFPEYPPQ >KQK96872 pep chromosome:Setaria_italica_v2.0:VII:16748929:16754829:-1 gene:SETIT_010514mg transcript:KQK96872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANPAAPGGMKSFLQAVSKVTEEAPTPLRVVQMEGLAVLKIIKHCEEFAPALVTGQLLGLDVGSVLEVTNCFPFPIREDDDEADADGANYQLEMMRCLREVNVDNNTIGWYQSCLLGSFQTVELIETFMNYQESIRRCVCIVYDPSRSSQGVLALKALKLTDSFMDLYRNNGLTGEKLREKKLSWVDIFEEIPIKVSNSALVSAFMKELEPESPVTQCDFDRLKLSTAPFMERNLEFLIGCMDDLSSEQNKFQYYYRNVSRQQSQQAAWLQKRRQENMARKAAGEEPLPEEDPSNPIFKPVPEPSRLEGYLVTNQISSYCNHINGVAGQNFNRLYLMKALHED >KQL00033 pep chromosome:Setaria_italica_v2.0:VII:35867859:35871525:-1 gene:SETIT_010894mg transcript:KQL00033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIENEDGGNSALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESELSLNAEHRNLRRPPSSSVGSLPPMGRPVSSSQTSDRRGGSSASNTRKDEYNWRYDPEDISEEVLRTSTALENIQLDRKSRNLPTSWRHSGDAAE >KQL00032 pep chromosome:Setaria_italica_v2.0:VII:35868730:35871427:-1 gene:SETIT_010894mg transcript:KQL00032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIENEDGGNSALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESELSLNAEHRNLRRPPSSSVGSLPPMGR >KQK96747 pep chromosome:Setaria_italica_v2.0:VII:14909558:14911867:1 gene:SETIT_011114mg transcript:KQK96747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPPVILLLLRSFSIREIPPLLRSSLLPKAELPPLFLHRASQSLDPLAVACRTPSGLSWNSPRRGRSLSSPQAWRLLDFTAAGCLQGKSMEYTASELMYPNINSKVHTETLMAMIDDNVPKLKRLPLYVQVQNWQSYWYYVSTIIGILVSHLDTRRLRIIDMKKENKVAVMTTTKMGVKQDFCFVTSFPWVLVS >KQK97286 pep chromosome:Setaria_italica_v2.0:VII:20268037:20274282:1 gene:SETIT_010593mg transcript:KQK97286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDISVDIRPDFNSFDHFLSMRYVATDRPWMKLYGIRVQPVLPFRSLSCKPDPALIHQCLPDELLLEIFTRMNPYTLGRAACVCRKWKYTARNPTLWRAACLKTWQRSGMEANYMMVRSLYDSSWRRMWLQRPRIRIDGLYVSRNTYIHTGVTEWQFKKTVNVVCYYRYLRFFPSGKFLYKISPDKVKDAVKCMHFRASKADCVFKGDYVLSEDGQIEMALLYPGHRYTLVRMCLRLRGTKIGANNRLDVLKILTTGVNATELKNWTGSILELVEGWEEDETHDPDVPAVSHSRGLSPFVFVPFEEADTSVLNLPVEKMDYYVPG >KQK97776 pep chromosome:Setaria_italica_v2.0:VII:23189645:23192844:1 gene:SETIT_012547mg transcript:KQK97776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPLVPYWQDELKILRGDDNHGPYEKHDRVYRYDYYNDLGEPDKGEHYARAILGGRQYRPYPRRCRTGRSQQKLQLLNIYVPCDERFGHLKVTGFVWKQEEFDSFEDILELYGHGPEAPNNPLIADIRKKVPSEFLRNMLKRAPEFKFAWRTDVEFARETLASVNPVIIKRLTKFPAKSTLDPTQYGDHTSRITEDHIQHNLDGLTVQNALSSNRLFILDHHDNFMPYLDRINKLEGNYIYASRTLLFLMDDGTLKPLAIELSQPHDNGEQHGADSKVYITAHTGVEGHIWQLAKAYACVNDSAWHQLISHWLNTHAVIEPFAIATNRQLSVVHPVHKLLKPHYRNTMNNNALARQGFINAGGIFELTLFPGKYSLDFSSSVYKNWNFTKQALPAGRSCVAVPDESSAYGVRLLTEDYPYAVDELAIWCTIEQWVKEYLDIYYPNDGELQHDEELRKWWKEVREEAHGDLKERDWWPKMDTVQELARTCTTIIWVASALHAAVNFGQYSRRPMPEPGSREYAMLEDGQEEADKVFLRTFTREFQIVINISFIEILSKHAPDELYLGQRDKPERWTSDARALEAFKRFGSELEKIEGRIMEMNRNPALKNRTGPVEMPYMLMYPNTSDVGGNRGRGLRAMGIPNSISI >KQK97774 pep chromosome:Setaria_italica_v2.0:VII:23179025:23180770:1 gene:SETIT_011247mg transcript:KQK97774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKLRCSKKLFRRSSSKGSSSGSDGGDAGGGRGEIEWEVRPGGMLVQKRDGRGDVEIITVRIATGFSWHDVSIGATCTFGELKVVLSMVTGLEPREQRLLFRGKEREDSDHLHMVGVRDKDKVLLLEDPALKDMKAQAVQSPYQPFIRV >KQK99147 pep chromosome:Setaria_italica_v2.0:VII:31164968:31165153:1 gene:SETIT_012462mg transcript:KQK99147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFASQLKDMFFGLVERVTGYGASRAEADRVSRTEERVPVVQPHEIRTRSSVDPPVSGGSQ >KQK99324 pep chromosome:Setaria_italica_v2.0:VII:32352082:32352782:1 gene:SETIT_012597mg transcript:KQK99324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFFMKPPVELSDACPVASRGDGHLPCSEVEPTAVAPFSLIPPPSPPPNSMAAAARKKKGTTPLALDAAAAAPARTLGRGGFSASTSLSLGRGGGSVVTARSLGRGGGSAAAGTRPTTGGVDKGAATAPSSINGSNVGGFPSIDGFPFPHSPSQAWFDAAGNDPSSPGSW >KQK99774 pep chromosome:Setaria_italica_v2.0:VII:34694011:34695549:-1 gene:SETIT_012208mg transcript:KQK99774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGSEYKRRRWYVRYVGESNVAGPVPPALPVPLCRCGAQAEVKQSKHPKTAGRAFYVCKWIFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYYSTELKPYHKFRRWVPPPPNPPRMTDEEKQEAACRRVRDPPICKCGVPAKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKLRKEQEIKEKIRKKYDEERDLEKQRLMEERDRLGYVVDPNVKYPEGSWEQYLQQKAARKRRLEMEELQQQAEEAQMETMKALVADLPVGKVKVDKKGKGVVVAGDVDDDDDDDELLYEGDSD >KQK97426 pep chromosome:Setaria_italica_v2.0:VII:21161295:21162303:1 gene:SETIT_011036mg transcript:KQK97426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSKGTVAFALACLAVMAAAVADGALLPWFGDGRRGRDEAAAAAVSPLSDVGLLADPFRILEHVPFGFDRDDVAMVSMARVDWRETPEAHEIVVDVPGMRREDLKIEVEDNRVLRVSGERRRAEEQKGDHWHREERSYGRFWRQFRLPENADLDSVAASLDNGVLTVRFRKLAPEQIKGPRVVGIAGGDGDGDAKKSIGAGGAGTGEERQAKKVEL >KQK97696 pep chromosome:Setaria_italica_v2.0:VII:22730292:22731293:-1 gene:SETIT_011645mg transcript:KQK97696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHAVLLLLSFQLMITRSLSQPQPQPNRDLPALIKIREQFGNPAVLSGWRPGGTACAWPVSCNEQGRVTKIFLTNYNITSTLPPAFGELDQLETLSIINMPGLHGPIPDTFGNLAHLSIFNLMVTSVSGPIPSSLSRTNLTSVSFLRSKLNGTIPRSLRRLPYLTFFDAAHNDLEGPIPPLLVRDSTPDRPLGLMLDGNRLSGTIPWTYALERNWMQFRVANNKLTGDASFLFGRRKTMAGTMDLSGNRFRFNLSGVELPQHLMFLNLSHNRIYGGVPASLRESKVAVLDLSYNQLCGEIPTGGHMVQFKAAAYEHNKCLCGTPLPPCANGS >KQK98418 pep chromosome:Setaria_italica_v2.0:VII:26880195:26882481:-1 gene:SETIT_011771mg transcript:KQK98418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RDGPPATIPAHPPPPSESTTTTTSLEQQQPPPPAPPSGVESEAPPKRRKVEEVGFQRSPVCQGTDSEKKDAAVEILKEMKDVMELSKKMRLDLSSAAEPVKPLDKPADRAPKDKHAGKVPSSEKSSSSDKPGWKFRADNSNTAAHRLLVETKKGAGPSKTTDHTKQQGRLPQGSYVIGGSPIGWNFLLWPGRKAVYYGLTKEEWLARRSAK >KQK97764 pep chromosome:Setaria_italica_v2.0:VII:23133600:23134404:-1 gene:SETIT_011125mg transcript:KQK97764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAATTTDGAANPALEPDTDAPAGEGLEVAQFAAGCFWSVELTYQRLPGVVRTEVGYSQGHHTEPTYELVCAHGTGHAEVVRLHYDPKACPYTALLDVFWAKINPTTLNRQGEGVGTQYRTGIYYYTAEQEKLARESLPEQQKKWEDEIVTEILPAKRFYPAEEYHQRHLEKGGQSAKKGCSDPMRLYG >KQK99455 pep chromosome:Setaria_italica_v2.0:VII:32959741:32964642:1 gene:SETIT_009763mg transcript:KQK99455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRLQSVPRMAISMAIESEWLQYPDRGFVQAAGTHRLIGLRRGAVLIRRRRTRSGQRAGAAGGRRRSFAGSLVLVTLRRGRRGKDGCWEQEGKRKRDWWIEARPTRRRVPWTGRHGRFGCPYQVEPKSDPAPSPRRAAPRPFIIFSGVGDRGRRSRAFLFFSDASERRRRGSEMTLTGLSGLERSSARGSTSVEDEDDEVREVVPPDQNESHEMKKALDKLRSLCGVLGISYSAKISEFGTVVERSRLNDAMDFLITTRDHNFDELRKISKEMIAEWRLSRPPFKRMSQFSWILYIRDADSADELSGKDLLSTDSVHKARKEVIALKKERDEMVERRYTAKRQKLRNLLKYSHLVNNDVHFSPREPVEKMQLKSEIQNIKQAINKAKSLASERTHLVLRVELVRKAKSLEDLEVLISNAKSAARVLQMGDEAVPYDGKCVDSVLSTYRERFISAAIKKDTKKARSKVVPTNKAKNLPHGRTRKGTLYLREEATDEETSEESREEAADEETAEESREEAAAEETREEPADESARAEPAGENARD >KQK98422 pep chromosome:Setaria_italica_v2.0:VII:26909890:26915961:1 gene:SETIT_010192mg transcript:KQK98422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDREEVTEFLGQVPLLQCLPGSSIRRIADAVQVKRYEPGDYVAREGEPVDGLYIILDGQAEVSAPANAEEANRPDYVLNKYDYFGYGTNSSDHQVNVVALSKLTCFILPNQYGHLLQPKTIWNAEDTPEHSLLEQILHLEPLEVDIFRGFTLPEAPTFRQVFGGQLIGQALAAASKTVDCLKMVHSLHAIFLIAGDNNMPIIYQVHRARDGSSFATRKVEAKQKGLVIFTLIASFQKEEVGFQHQAATMPNVPPPEQLLNLEEIRERRLTDPRFPSQYRNLAAKKKFTPWPIEMRFCEDSASQYKPSLNYWFRARGKLSDDQALHRCVVAYASDLLYSGVSLNPHREKGLKTYSLSLDHSIWFHKPVKADDWLLYVIESPSAHGGRGFVTGRMFNRQGELIMSLTQEALIRREKTRGPNPRPKL >KQK98459 pep chromosome:Setaria_italica_v2.0:VII:27136870:27141085:1 gene:SETIT_009403mg transcript:KQK98459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCKGSKLDDQEAVALCRGRAVLLAAAVRHRYALAEAHAALADSVESVAAPLHRLLRLQAEPPGLALPSERKGGGAARLPRPFDPPAQAPRGQPSHLQFGAPSGSEPASPADSPPRGVPEQLPQPQYPSYGYGYAPQPAYAFPAPPGSLQFYYARSRPPPASIAVTQRAPLPPERVRFGSFDAAGGYQQHYAYGAQNPLPVATPPQRPPPATAPPSPPKASSWDFLNVFENYDSYGYDSYYDYDSAAPATAAAAPYTPSRSSREVREEEGIPDLEDEEEEDSVVVKEVAGEYPGPGCGGARSRRSSLGGASSIAELDEPGNVMAHNDVIGEVRRRPLAHGNVFVHAPAPPARRVAENGNVAGEIKAQLVRTAEAARQLAPLLEVGRPSYQGRSSVYHSSSKMISAISVSRLGCKDMDLLDVGVVGKVVDSRSLSSALEKLYFWETKLYGEVKAEEKMRLLIAKNSKRLKLLDQKGAEPQKIDATRNLLRKLSTRIRIAVRVIAKISRKINKLRDEELWPQVNALIQGFVLMWQDKLDSYHSQCQVISEAKNLTSIVSGANGQDLAIELEVELIKWIISFSSWVNAQRNFVKALNGWLALCLNYEPEDNDTGVPSYSPGSIGAPLVFVICNKWSQAMDRISEKDVVNAMQALVSSVRHLWEQQHLEQSEQTIATREREKWLKILERKTQEINKEAEELNKKLALVPSRQRLHVPRTVQLYEAHCVEASNLHVNLRLVLEALENFAANSLQALQEVSKCAEGARLPRENVRREQRSSNRSSNYKSSS >KQK99031 pep chromosome:Setaria_italica_v2.0:VII:30583668:30583901:-1 gene:SETIT_012567mg transcript:KQK99031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLRPPPPRLLLNNVSCMRNAQTVLRDINLSVHDGTALVLTGANGSGKTTLLRMLAGFSRPSAGEILWNGHDVTSSG >KQK97682 pep chromosome:Setaria_italica_v2.0:VII:22631738:22634486:1 gene:SETIT_009337mg transcript:KQK97682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAAATSFPLFLLVSTLIAASTPPSSAAAAQTPQDLLLDFKASLQDPSGALSSWSRSAPYCNWSHVSCTSATAAANATVSVSLALQGLGLSGELSAASLCRVPGLAALSLASNAFNQTVPLDLSRCASLASLNLSSAGFWGPLPEQLAALPALVSLDLSGNSIEGQVPTGLAALGSRLEVLNLGGNRLSGVLHPALLRNLTGLHLLDLSGNQFTESELPPEIGEMSSLRWLFLQGSGFAGAIPESFLGLEQLQVLDLSMNSLTGAVPPRFSVRFQKLMTLDLSQNGLSGPFPEEIGKCLMLQRFEVHDNAFTGELPAGLWSLPDLRVIRAQNNRFTGRLPEFSGGQSRLEQVQLDNNSFSGVIPGSIGLVRTLYRFSASLNGLNGSLPDNVCDSPAMSIVNISRNALSGTIPELRNCKRLVSLCLAGNGLTGPIPASLGDLPVLTYIDLSSNGLTGGVPAELQNLKLALLNVSYNRLSGRVPPSLISELPAVFLQGNPGLCGPGLPNDCDDAPSTKHRGLALAATVASFLTGVALLAVGALAVCRRLHGGEPSPWKLVLFHPVKITGEELLAGFHDRSIIGRGAFGKVYLIELQDGQNIAVKRLVNSGKLKFRAVKNEMKALAKVRHKNIAKMLGFFYSEGEVSIIYDYLQTGSLQDLICGPKFTVGWKDRVRIAMGVAQGLAHLHHDHTPQVLHRDLKASNVLLGDEFEPRIAGFGIDRVVGEMAYQTSMASDLNYKCYMAPEQSCAKNPTHLMDVYSFGVILLELVTGKPAEQPASHDDSVDIVRWVRRRINVADSEILDPSISRAARQGMQAALELALRCTSVMPDQRPAMDEVVRSLQPLCFSVHPQTPLPTEIALEP >KQK96878 pep chromosome:Setaria_italica_v2.0:VII:16802147:16807651:-1 gene:SETIT_009255mg transcript:KQK96878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRAVKLREAHKSGSPALCSAVWGPGGQHVVTASAADTAVLIHDAAALLAGGRSSGSAPLSTIRLHKDGVTALAVAPGPGGSLASGSIDHSVKFYTFPEGAFQSNVARFTLPIRSLSFNKKGTLLAAAGDDDGIKLIATIDNTISKVLKGHKGSITGLAFDPRNDYLASVDSFGTVIYWDLCIGGEARTLTRVAPTFRSDNSVRNVLCWSPDGQTLAVPGLRNNVVLYDRDTGEEVSTLKGDHEQPVCSLCWSPNGRYLASAGLDRQVLIWDVKSRQDIERQKFDERICSLAWKPDGNSLLLIDVMGRVGIWESVIPSTMKSPTEGIPDLNSTKVPLFDDDDNEDDDEKPCTSGGLEDDIDESLCDSTPFSHKRLKRKSTFDGDSEDEDLIHQLESSKRLKDKHKDKKEDAGKARGDSATSGRLVTARMQAAFQPGSTPPQPGMRNFLAYNMLGSITTIENEGHSHVEVDFHDTGRGPRVPSMTDYFGFTMAAMNESGSVFANPCKGDKNMSTLMYRPFGSWAGNSEWSMRFEGEEVKAVALGAGWVAAVTSLNFLRIFTEGGLQMHILSVSGPVVTAAGHGDQLAIVSHASDCLPSGDQVLDVRVFNISERAQSLSGRLLLTPSSQLSWFGFSDNGQLSSYDSKGILRVFSSQFGGSWLPVFSSVKARKSEDESHWVVGLDANNIFCILCKYPQSYPQVMPKPVLTILELSFPIASSDLGANSLENEFMMRKLYLSQIQNKMDEMAALGLDAAAYDDEAFNMEAALDRCILRLISSCCSGDKLVRATELAKLLILEKSMKGALTLVTRLKLPMLQEKFSSILEERMLNGKKIAGAVGFSSNATITRNAPVLTSHAALPSKFVQNGKKLMESSLPIPNPSNQESSLIEPKKPKGEQVRGITGSALKVSPPFTPLTKVPKNSETKRDSNGASVDRNKKGGMDQTGSKKMSTEDCNRTEPQRPVNPFAKSSSSKEQSPSLLDSIKKMNVETEKVEKPNSKKVKV >KQK97318 pep chromosome:Setaria_italica_v2.0:VII:20530431:20530819:1 gene:SETIT_011612mg transcript:KQK97318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSQKGKMGSNRSLQVCIYVDSLIQRARRFYKKITSGFYFHVFQRASCLFCKGK >KQK99861 pep chromosome:Setaria_italica_v2.0:VII:35092901:35093232:1 gene:SETIT_012943mg transcript:KQK99861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKTVNQHEILIGPLMSATFLNHETMRLLIYGDTMLL >KQK99050 pep chromosome:Setaria_italica_v2.0:VII:30660202:30660513:-1 gene:SETIT_011549mg transcript:KQK99050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVCFCHLIISACFLQFCWYQLALDHLNLSVSNIPLQKMILCCSNLTFLFCYTDQIARIRIQADDHTDC >KQK96755 pep chromosome:Setaria_italica_v2.0:VII:15048192:15048907:1 gene:SETIT_011031mg transcript:KQK96755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRPVRNSRCYTLSALAAILAVSAAVSVILVEMSPAPILLSITNTTQKSHSTFAELTFTLAAINSSPRARVLYRSIYVVVCSNNNTTNTSSSGAPDAGRPCIGIDMAPVPLHQNPSSTERIHAAAKLEGADSPWVSLGIMPSLNDFGGNFNDVSVNVSALARFKRPGIPWTSLYNINASCNHIRFISQANHSSAVVADELSDSDAHYCEHYYRRLG >KQK98933 pep chromosome:Setaria_italica_v2.0:VII:30046378:30046983:-1 gene:SETIT_011506mg transcript:KQK98933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNNLSLTLVVALFSGLLILGAVVGEDECGFLCEDGTYVTCANYPGQQFPGCSCECGPSDGHGCVVYGSDGSVATVCPR >KQK99658 pep chromosome:Setaria_italica_v2.0:VII:34115000:34115587:1 gene:SETIT_011411mg transcript:KQK99658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKRRVLEAGLGEVVSVVGEEEEPAEVGAVDDDPRRHGRPAGPSRRGGGRRRGPPRVCVRVVRGAEAAGPCTHLTLTRRERILCGSDTHSTRSWRTITDGERSIE >KQK97229 pep chromosome:Setaria_italica_v2.0:VII:19754354:19754845:-1 gene:SETIT_011629mg transcript:KQK97229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQGGGRRSAAAAAAWGGQAVEGSRSRLVMCLEFSRRGFCSIHAVDLARA >KQK98439 pep chromosome:Setaria_italica_v2.0:VII:27024799:27025308:1 gene:SETIT_012984mg transcript:KQK98439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLLPARVRCALVWSCNSDRLTLFNVKEMMV >KQK97395 pep chromosome:Setaria_italica_v2.0:VII:21000101:21000470:1 gene:SETIT_011593mg transcript:KQK97395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKIISICLIQADNTNQNGKPSSSRKRRHTGGLSNQDALTVAHTKSTVTLVRLTASV >KQK99160 pep chromosome:Setaria_italica_v2.0:VII:31227103:31234232:1 gene:SETIT_009201mg transcript:KQK99160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELQKDSGQSPNRSDSEPELMVLDDNRAEISHNMDEGNNYSPIDVDEGQSSMDVDVKGKSSLDDESLDGDANGKSSSEPYSNVPIDMSVESLEKFCKEASRSFFDEIGLISHQINSYNEFVSHGLQKLFDSLGDVIVEPGYDPSKKGSGGWKHAIIKFGRVKLEKPVFWSGKDEVDIDFKPRHARLQNMTYASRIKVEVTIKVYSLEKSDKSKTGNDDFVQKRDFMNETHWIYIGRLPVMVKSNLCLLHNLKESDCLFDAGGYFLVKGMEKVFIAQEQRCLSRLWISDRPCWTVSFMSEIKRRRIYIKLVESTKSDDFSGSKIISISFLYATMPIWLMFFALGISSDKEVFDVIDMQDGDASVINTISATIKESDELCEGFRKSDKARQYVDELVKNSRFPPAESFDDYVDRFLFPDISGHRNKALFLGYMVKCLLMAFTGKRKCDNKDDFRNKRLDLAGELLARELRAHIRLAERRMVKAIQRDLNSDRELQDLERYLDASIVTNGLNRAFSTGSWCHPYKKTERCSGIVATLRRTNPLQMISDLRKTRQRVAYAGKAGDARYPNPSYWGKLCFMSTPDGENCGLVKNLAVTAIVSSRVEQPLIESFISCGMSKLNEIPTENIQRMDKIFLNGNWVGCCTDSASFVLRLRCMRRSSLIHPQVEIKRDKHQKEVRVFSEAGRILRPLLVVENLNKIRKPKGRSYSFQELIQQEIIEFIGVEEEEDIQCAWGIRHLFGSEGEISSYTHCELDPSFLLGLSCGIIPFANHNFARRVLYQSEKHSQQAIGYSTTNPHIRVDTLSHQLYYPQRPLFKTVIADCLGRSDYTIGRRDDFARPEYFNGQNAIVAVNVHQGFNQEDSLVMNRASLERGMFRTEHLRSYKADVENKDVTKRLKMKEKIDFGKMESKRGRVDNLDDDGLPYIGASLQTNDIVIGKVSESGEDHSIKLKHTEKGMVQKVLLSANDEGKNFAVVTLRQVRTPCLGDKFSSMHGQKGVVGFLESQENFPFTRDGIVPDIVINPHAFPTRQTPGQLLEAALGKGIALGGTMRYATPFTTASVDVIAEQLHKAGFSKWGAESVINGRTGERMQSMVFMGPTFYQRLIHMSEDKVKFRNTGPVHPLTRQPVADRKRFGGVKFGEMERDCLLAHGAAANLHERLFMLSDFSQMHICQKCERVANVIMRCVPGGKKIRGPYCGFCKSSENIVRINVPYGAKLLYQELFSMGICLKFETEVC >KQK96169 pep chromosome:Setaria_italica_v2.0:VII:1153879:1156911:-1 gene:SETIT_009252mg transcript:KQK96169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLGVYRVEDAVERIIPLLEDTGTTIYFDGWDGLAASAVLRAIAEDPPPSLKKKFDKILHIDCSMWKSRRALQRAIADELNLTQRVMAAFNRQDEEDDFSGVDEGSRAEIGEVWWLITESLLRCRYLVVFHNGSNDTVDLASCGIPRPEFLGSKILWTFRGRLRLNPQVKDKVDSSHLIIYQTLYWSFNDDTAKLILDEATEIVKSIQHKQSITPTIAAKCISYILWLINQKGWNNCTMDYNWTTHASNYWVCDGIIEEGQSDDSWELSTALHQQIRLEDCSYQTVKFRGHEYREHWKSVIEDPSNIEEGTSSYFLSAKNGLSLPRKMFQQSYRLRVLKLSGCNFSFYSPPFCCCHSLRFLGLDKCKDQPQEEEDKQRRPTMKYLHSLWVLDVRNMDWELDLLQDSIEQMAVNIREIHVKNGRIWRSNLAWGQLQNLRKLRVIKPTSSWETGLENEFMDMLKLEILDLSGNNAIQVLPSLCGATGLKTLILDGCAELDHVGPGLPPSLESFSFDAGAGDDGRNTAKISRITLAGCPKLVEFRLLGSLSKLEELDLSGTPVKILDLKKEIQVQNLQRIFLIGCKQLRSIIWPEKRMEQLRLVCIDTRQELVLTETSRDSLVCPEQEKYCHARVSVTDMRFFQSLVATDGEVFRWITTPFKLNLNLSCTSNDDGIFISRTKKHVRSSNLHKPLISMACLIYNDISIEEIATNKADGSSALQFEPQDLHVEIGQGAINTEVLNPQSTSAKAIRYMMDRVMSLHVHDSCSITTIIPKHIASATGQEIHYPALKWCRVEKCPKLEVVFHTNYDGHDCWFEQLETFWAADLLMARSIWSRGRPYGEADSVSFARLRAIHLHMCPRLQFVLPLSWGYTLSSLETIHIVCCRDLKQVFPVESGFLKRVATRHQNGMLEFPKLKHLYLHDLSCLQQICEAKIFAPELETVRIRGCWGLRRLPAIDRHRRDGRLVAVDCEKDWWDNLEWDGLDVGHQPSLFAPCHPAYYKKRLLRTTVLR >KQK97190 pep chromosome:Setaria_italica_v2.0:VII:19530617:19532854:1 gene:SETIT_012687mg transcript:KQK97190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPAAVTALLLLALLTIVRASHVVYPELQSLEATQIDETSRTGYHFQPPKHWINGPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSTDLIDWTALDPGIYPSKPFDINGCWSGSATLLPSGVPVMMYTGIDPDEHQVQNVAYPKNLSDPFLREWVKPDYNPIISPDGGINASAFRDPTTAWYGPDRHWRLLVGSKVGDKGLAVLYRSRDFKRWVKAHHPLHSGLTGMWECPDFFPVAVHGGSRHHRRGVDTAELRDAAVAEEVKYVLKVSLDLTRYEYYTVGSYDHATDRYTPDAGFPDNDYGLRYDYGDFYASKSFYDPAKRRRILWGWANESDTVPDDRKKGWAGIQAIPRKLWLSPRGKQLIQWPVEEIKALRAKHVNVSDKVVKSDQYFEVTGFKSVQSDVEVAFAIKDLSKAEQFNPAWLRDPQALCKKRGARVTGEVGPFGLWVLAAGDLTERTAVFFRVFKTTGSKHVVLMCNDPTNSTFESQVYRPTFAGFVDVDIAQTKTIALRTLIDHSVVESFGAGGKTCILTRVYPKKAVGDDAHLFVFNHGEVDIKVVKLDAWEMKTPKMNAPAQ >KQK98972 pep chromosome:Setaria_italica_v2.0:VII:30284167:30284765:-1 gene:SETIT_012389mg transcript:KQK98972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDRAGRPLPKFGEWDVKNPATADGFTVIFQKARDGKKTTAGPGHAQAGIPPAFRDQYGSAGDGGYRSGDSHQYDTPPKRAKSKWAFCTCG >KQK96525 pep chromosome:Setaria_italica_v2.0:VII:10941091:10941441:-1 gene:SETIT_012196mg transcript:KQK96525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKGHDILIAALGMVVLLSFNAVEGAAYMSHAALSGKGLKEERKLATSGVSPTASSLSGLASGNTNGVYSNTESTNTDMAGTDSHHDLSVDQYRRIIHNNQIKP >KQK99199 pep chromosome:Setaria_italica_v2.0:VII:31459090:31461955:-1 gene:SETIT_009757mg transcript:KQK99199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRLSSRPLRFLRRCLRVRPLGRPEIRRDPRELPRCSPCAAATPSPSPRLYACLSCAAVFCPSHAASHASASAGPGHQIAVDVDRAELFCAACGDQVYDPDFDHAVFLAQSSSLLPSTSSTSAAPAPRKRRRVDYRAWAPDPAEFALMSSADPTSSASAAAPAGLRGLNNLGNTCFMNSVLQALLHAPPLRNYFLGDRHNRFLCPRRTPVRHRAAEADAAKVACLACDLDEIYSAAFSGERMPYSPAKFLYSWWQHASNLASYEQQDAHEFFISILDHIHENIKDDQHKSHAQGHGDCCIAHRVFSGILRSDVTCTSCGFTSTTFEPCMDISLDLDVGDNSSFGVANTKPHARNGERSSAGVNSKVSTLMRCLERFTRAERLDAEQKFFCERCNERQESLKQMSIRRLPLVSCFHIKRFEHSSVKKVSRKVDHCLQFPFSLDMAPYLSSSILRSRYGNRIFPAEASDADAVSELSSEFEIFAVITHSGKLEAGHYVTYLRLNNHWYKCDDAWVTRVEEHTVRTSQAYMLFYVQKTLYYKACERAAAV >KQK96441 pep chromosome:Setaria_italica_v2.0:VII:7290054:7290818:1 gene:SETIT_012613mg transcript:KQK96441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRQCLLLTNLPMEVLIIIVGDVAVTSFKPMEDLGNLRVVCRVMERACSDPFIGQRMAMYYNLLALLVGVANPQAYTLKGIADFFAGTHPSLNELSHAAAGGHNVGAYLYTLMLYRNNIGAADDDIAKMYICDLECKDDSVAIGSAGPKKLRNDGCRVCREEAAYLVNSVTWRMHGEPLPPAPIHGDFLCTRGNCGKDKGCEQAALFCNKDCRIRHEIVEFEKRMGIDQYFSLCMQL >KQK96384 pep chromosome:Setaria_italica_v2.0:VII:4938530:4941680:1 gene:SETIT_011342mg transcript:KQK96384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCERYFGPSYELLSHDKYAEVWAVDEAHPYMAPEGGESVADVANRLSAVLSSTESEFHSSAILIVSHGDPLQIFQAVLSAAKENSSFLDVSDLKVKGTTLASVLSQHRKFALATGELRRVV >KQK96383 pep chromosome:Setaria_italica_v2.0:VII:4938530:4941680:1 gene:SETIT_011342mg transcript:KQK96383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLCERYFGPSYELLSHDKYAEVWAVDEAHPYMAPEGGESVADVANRLSAVLSSTESEFHSSAILIVSHGDPLQIFQAVLSAAKENSSFLDVSDLKVKGTTLASVLSQHRKFALATGELRRVV >KQK96431 pep chromosome:Setaria_italica_v2.0:VII:7079610:7082990:1 gene:SETIT_011859mg transcript:KQK96431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGIFNPILYDKRLFQQFAVDTYVKIESSRLDYIRNNQDILRADLYQGLVDSWRTGVEDADEVGKRTVLSPTFIGGPRNMRRRYMDAMALVRKFGKPDIFLTMTCNPNWDEIKNELYPGQSPQDRPDLVSRVFRAKLEELKKMLMEKDILGKVRAFVYVVEFQKRGLPHAHFLLIMQRKYKITCPEQYDLLISAELPNKKKYPDLYRMVMKHMMHGPCGTLNPLCPCTRGRTSCKNRYPRPFCDSTSQGKDSYPIYRRRDDGRKEIIRGHILDNQWVVPYNPCLLRTFNCHINVEACSSIKSVKYLFKYIYKGHDRASVAVREAGKKDDKGNVDEITQYRKARWVTPPEAMWRIYGFDLSKNHPPVQQLQLHLPDMHMVTYHKRDKIERVVKRPGILYRDFPEHYTWESNGKFWKPRKNAVYQVGRLVSAHPAEGERYFLRVLLNHVAGATSYRDLRTVDGVLLPSFREAAERRGLIKEHNTLDEYLIENSLFHMPSSLRRLFATILVFCEPNDVFGLWTKHLDAMSEDYRRNNPNPSLVEQMVLIDIRNMLQSMGKDIRSFPLPGIDDAYDDASGIPREIFEEASIDQDLEDVGLSDSLNKEQRAAYEEIMSKVDTEQGDLFFVGGPGGTRKTFLYRALLGTLRNQNKLAIATATSGVAASIMPGGRTAHSRFKIPLTLEDGGCCSFTKQSGTAKLLQQASLIIWDEASMAKRQAMEALDNSLRGIMGRQDLPFGGKIVVFGGDFRQVLLVVRKGSRAQIVDASLRRSYLWESMHHLKLVRNMRAQSDLWFAEYLLRIGGGTEEVNGDGDVCLPDDICVPYSGDSEKDLDMLIECIFPNLNANMTNKDYITSRAILSTRNDWVDNINIKMIGMFQGGEMVYHSFDSAIDDPHNYYPSEFLNTLTPNRLPPHLLKLKIGCPVILLRNINPANGLCNGTRLVVRGFQKNSIDAEIVLGQHAGKRVFLPRIPLCPSDDEIFPFQFKRKQFPIRLSFAMTVNKSQGQTIPNVGLYLPAPVFSHGQLYVAMSRATARTNIKILALPPNAEADEEHTKRKEKKKASKKVNGQGNQNNNEQKGTSVKKKRVPTEDGTYTKNIVYKEVLTP >KQK98817 pep chromosome:Setaria_italica_v2.0:VII:29348685:29350265:-1 gene:SETIT_011032mg transcript:KQK98817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAPAAAVSPALRFSSAIPLHRPLRRRLPSVRCSLAAAPGVRAPPELVDSILSKVNGTDRGALLPKDGHQEVADVALQLGKYCIDEPVKSPLIFGEWEVVYCSVATSPGGLYRTPLGRLIFKTDEMIQVVEAPDVIRNKVSFSVFGLEGAVSLKGKLNVLDSKWIQVIFEAPELKVGSLGFQYGGESEVKLEITYVDEKIRLGKGSRGSLFVFLRRG >KQK96371 pep chromosome:Setaria_italica_v2.0:VII:4577417:4577906:-1 gene:SETIT_011991mg transcript:KQK96371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKITVNYQFNICPTDADVRLTRRIRHDTIEKGRDIKTVLDQYSKFVKPTFEDFILPTKKYANINNE >KQK99376 pep chromosome:Setaria_italica_v2.0:VII:32638982:32639449:1 gene:SETIT_012633mg transcript:KQK99376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIAKDESEYTRCKNGGANIEEAINLDSDEDEDLHIVEHRTEGNKSHALRAMNGGHLYMQQPKSGSLIALHAQGAMNGDLHLEQHGAAVHAAMNAVSPLTPLWNYVDPQGHTRGPFPLSCLFRWSGFFAKDFKVWRTGETAEQAILLTDAFLMYL >KQK96882 pep chromosome:Setaria_italica_v2.0:VII:16847175:16849138:-1 gene:SETIT_012773mg transcript:KQK96882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAADAEPSHGRRRYVKLGKEEKDGDAPVAGAEDIRPGELNQAVQVWSLFPAGHRPIGVPAPRSLRPSLLSPLISADLCGYVVRVFAIPMQFKVRKCDVCWQELPPGYQLPADEPWATGIFGCAEDPQSCWTGLLCPCVLFGRNAEALDGIPWTRRCACHAVCGGGGTALAILTLTGEHRERKGRLDAQSVAPATIMNPPPVQEMSMVEIGPSASAPESEAPETAHGNAEVEVIPL >KQK99362 pep chromosome:Setaria_italica_v2.0:VII:32576035:32579606:1 gene:SETIT_010194mg transcript:KQK99362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHGVRFLLSAAAAFARLAHAIVSTGWALFFMPLCSPTSTTTAVPAPWQHLQPARSKVHPAALAVDDGKDQLALQAVSSPPPAAVVVVPVLKQHGDRAAEKTAKAARGKPPRLSIPPPVACAPGVDPFGAAADRETDVATEVEVQGEGFCLASRRGVRHAMEDGYGVITDHTIEGGSQLAFYGVYDGHGGRAAVDFVADKLGKNVVAALAAATTSGLSSSSPPPPPTSDEDEVVAAIRAAYLTTDSEFLSQGVRGGACAATALVKDGDLYVANVGDCRAVLGSRGGAATALTSDHTAGREDERRRIESSGGYVSCGSSGVWRVQDCLAVSRAFGDASMKPWVTSEPEVSRRRLTPDCRFLVVASDGLWNKVSCQEAVDAVSAAAAAAAPSSSVGSCKELVALARSRGSRDDITVMVVDLQRFLQ >KQK98731 pep chromosome:Setaria_italica_v2.0:VII:28877760:28880738:1 gene:SETIT_011756mg transcript:KQK98731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNDAFSAAHPFRWDLGPPAHAAPAPPPPPPPSLPLAPPVGAPRELEDLVAGYGVRPSTVARISELGFTASTLLGMTERELDDMMAALAGLFRWDVLLGERFGLRAALRAERGRVMSLGGRFHAGSTLDAASQEVLSDERDAAASGGVADDEAGRRMVTGKKQAKKGGAARKGKKARRKRELRPLDVLEDEGDEDGGCASESTESSAGGGGGGGGGERQREHPFVVTEPGEVARAKKNGLDYLFHLYEQCRVFLLQVQSIAKLGGHKAPTKVTNQVFRYAKKCGASYINKPKMRHYVHCYALHCLDEEASNALRRAYKARGENVGAWRQACYAPLVEIAARHGFDVDAVFAAHPRLAIWYVPTRLRQLCHQARGCGSHAAALPPPPMF >KQK96476 pep chromosome:Setaria_italica_v2.0:VII:8950560:8950944:-1 gene:SETIT_0109891mg transcript:KQK96476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASLLFPACALLRRLPAAPHLSRSARIKGFDRVRRFSPAAMSTSSGPKEAPGNNPGLHTEIDPATKGYFLQQT >KQK99937 pep chromosome:Setaria_italica_v2.0:VII:35448878:35449394:-1 gene:SETIT_012967mg transcript:KQK99937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSEIAQIFVVRDALAVGVWKGISNRFLGLSHADSWKELCITSHTSQEMSKLDRVREHQYDGQATNVIGIDNATLG >KQK97393 pep chromosome:Setaria_italica_v2.0:VII:20990659:20995283:1 gene:SETIT_010494mg transcript:KQK97393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAPLSSLQEEGEGEPAGEESSAFSPAAVPPRPATHSHSLHKYAPLDWSAYFDEERSVAIPGTDDVFNVYTAGSDGPVVFCLHGGGYSGLSFALAARQMKDKARVVAMDLRGHGKSTTSNDLDLSIETLTNDVIAVIRTMYGDSSPAIILVGHSMGGSVAVHVAARKEIRNLHGLVVVDVVEGTAMASLVHMQKILSNRAQHFPSIEKAIEWSVKGGSLRNVESARVSIPSTLKYDESRECYTYRTPLEQTEMYWKGWYEGLSDKFLSCPVQKILLLAGTDRLDRALTIGQMQGKFQMVVVRHTGHAIQEDVPEEFASHILNFISRNKIGPNGVEIPGLIKKWGR >KQK99868 pep chromosome:Setaria_italica_v2.0:VII:35117471:35125023:-1 gene:SETIT_009161mg transcript:KQK99868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVEPREGSDRVLDASSPAAALAPAADEVKMEAADGGAAVGEPAAARAASEAEAGEGVTAEHGCDVGASVSEPRMEVNEGGAAGGEHSAAPEAIEVDEGGTAGQGHSAVPATKEVDLGCIQGEVQDVAPVVSEAKMDVDEGGAASKENSGSSTLSEVNVGSIPEAVQDLAPVASEMKMEVDEGCVQEQECTAAAAEGEVKMEEGDGRVVNQGPATPADGLQVKEEVGECLVGRYIGRSAPGHARILIGKVASYDSTTGAYSVVFEDGHGEDLGLPQLLEFLMSYENGALGMKVSCRKRKLDLLVSSGSASEMKEPASTRQRVGGCKSSARPDALQHSGSGSDMSEDVESSSNSSDFTKEGPSEPCPPVQAVELPPSSGDIPVPEESISYLFSVYNFLRSFSVQLFLSPFGLDDFVAAINCSAQNNLLDAVHVSGLDIIRCIDLANFLTRVPLRVLQILCDHVIESDELKTELEDREGYNEEMEYEVDSSAFLEAGSRAVSTRASKASAYKNMNDLQNVEITPNVTNSEGTVADTSQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGEWFCPECVINKLGPTSSRIERGARGAQTFGIDMCGRLFLGTCDYLLVIGTSSAAESYSRYYNRYDVVKVLQRLALSDAYVDICSQIEEYWKHLLGIAQSERSKIGKEVGVSHTPQSGMLSFTPIKAGDGSVWTTLKDGGDSKTVALPQTYMQQKFVSNEEQKCMPSLVAAAEKNAEVCNQTLSAQYNIHDAPRNGAFGPSVVSSISHQNGSAVKGAYNIAHVQPAQSISRPDLPTNVGSNGMPRQGTVSTISAKAESFCPSYQGKQHLQLFAERSGNMSGGKAAKLSYFKPQAYMNLYNHGNIAASAAANLAVITSDEGKVSASKQTANPRKRMAADNSLQLKAFSSAAAQFVWPSTEKKLMEVPRDRCGWCLACRSSAIGNKKACFLNMATANAAKGSARILSVMHVIKNSDSHFPSIVAYLANMEESLRGLLVGSLQDAQQKERWHQQLREASDCRTVIPLLLELESNIRGVAFSASWLKPIDDWPVESPGLSAGASRPAQYQKRGAGGRRGRRRSLASESGTTTATATDDDNSWTWWTGGNISKRTLQRGAVLCSTIRKAARQGGKKRIAGLPYHEASNFPRRSRQFAWRACVGLSQTSSQLALQVRYLDAHIRWKEFIPPDQIPSDGKSSDADFSALRNAVICDKKIIDNKIRYALKFPNQKHLPVRVTKNILEAEGDQDENSKLWFSENHVPLYMLREYEQNSGSSSLPSPGISNSICFTNLYPRQVKAYTGDVFSYLFHKGDVYPCTSCKKDVMYRDVVKCSSCQGNCHKECTSRSIVSKGVSATSNLTCKLCLQKRNLMLTSYNTNASYIRPQQKSTGQQQVTAPKIIFKVSSSHSAEPTLKVEAQTVPKVKAQPLANVEAQPIMNVKAQPIAKVESQTLAKVEALPITNVATPNITSVQAEPKTKAKKSKSEKSKKPKKVQAITYFGLVWKKNKNDKDDGSDFRANDVILKSKDGIGSSIKPTCCLCNKTYSPEFLYVRCERCRNWFHGDALQLEDERIDELVAYRCCRCRRRAIPQCPHSDDYIKPEPECSEQTVATSSQSTMLSSEGTFALVDQDPLLASYGIVEPTGEETVDADLSTNMVSFAPGSNKKLSIRRAQTKNCEYLDQARSANEYYIQNQSLGNGNINFSHMNEYSFSEADSVHASELLGWDFSQGTAYAAPPESTATHQANDTSGGNFAIDQYEPQTYFSFTELLEADDTQLDNAFGMSTSLQDDGNCTGNFDQQGAGFDEMYFMIEDGASNMNFPTDDPSPDVVACYKCQNTEPPPDLKCAVCGLHIHRQCSPWDENVLPAESGDWSCGGCREWR >KQK98673 pep chromosome:Setaria_italica_v2.0:VII:28576513:28579134:1 gene:SETIT_010219mg transcript:KQK98673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMAVAGGGVGGSSPSGTKTKKLKVAVIHPDLGIGGAERLIVDAACELASHGHDVHVFTSHHDKNRCFEETASGPFPVTVYGDFLPRHVFYHFHAVCAYLRCIFVALCVLLWWHSFDVILVDQVSVVIPLLKLKASSKIVFYCHFPDLLLAQHTTMLRRLYRKPIDMIEEYTTGMADLILVNSKFTAATFARTFRAVHARGIEPGVLYPAVSVEQFHEPHAYKLNFLSINRFERKKNLDLAISAFALLRSGGALQDATLTVAGGYDKRLKENVDYLEELKRLAVTEGVSGQVKFVTSCSTSERNELLSNCLCVLYTPKDEHFGIVPLEAMAARKPVIACNSGGPVETVVNEVTGFLCDPSPTEFSKAMLKLVNDPDLVVRMGKQARDHVVQKFSTKTFGDLLNSYVLNVYHERME >KQK98709 pep chromosome:Setaria_italica_v2.0:VII:28756043:28759027:-1 gene:SETIT_009645mg transcript:KQK98709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAFPGTASLRTPEPHRSGRFSDEASSSSCSTLGGAESSAVACEGGGEAEEGLLDLDSPWVAAAEAESRLEAAATAVAAGPGYRAEDGNDEEEDEIRDNQQRQEDELMALEAIYGDDLAVFENKGGLRYFQIYIRYDVADGVGVCAKLSAPNATTSDVGCFDGSEHDYGSDDYSYTCNFDYLPPLILTCLLPQSYPSKDPPSFAVTAKWMDGSYVSQLCQMLDTIWVELPGQEVVYQWVEWLRNSSRSYLWTDGNMTLGPDIAAHNTDSRAIPRTKSLESVIPLMLSYCSKKHYRAFLEDLQMCMICLNQTKGSNFIRLPCQHLFCMKCMETLCRMHVKEGSVFQLVCPGTKCKASIPPYVLKRLLTEEEFERWDRLLLQKTLDSMSDVVYCPRCVIGCVEDEDNNAQCPECSFIFCSFCKGPWHPGKQCLTPEQKIQLRTASGRMTEKEVAQELLNIRELYKDVRICPKCRMAIAKTEGCNKMTCGNCGQYFCFTCGKAINGYEHFRGDCKLFAARDIAEWERQLAAMQPERQMRIASRPIGGTVRCPKCRARNFKEDERYIFCWACRASYCTLCRRKVENMKSGHYGSPECMGLDNF >KQK98942 pep chromosome:Setaria_italica_v2.0:VII:30086288:30087293:-1 gene:SETIT_012576mg transcript:KQK98942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADVGSVARLLRGEAGKKAGPPEIVTMDLLGGCGGGGGAVEDEVVDLELSVPTGFERRLDLLSGKTFLTPRHPSVQDGRHHHDLNLPPPAVAAAAPAASTAVCTLDMVRSALERAAAGRAAASPATSSTSSASTSSSSSSAGKRNRSPPTTATPAMRAAACPSCLTYVLIAEADPRCPRCAARVPPLAGKSVSTGAAPGDGSGKKPRIDLNAAADEAE >KQK99348 pep chromosome:Setaria_italica_v2.0:VII:32517666:32520705:1 gene:SETIT_010707mg transcript:KQK99348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPMHVRKAIHFASLRARFAQGKGGLALRLLLAAALAGFLLVFAARSLSSPSPSTSRRQEAAECGGEGKGLPLPVAEALVHYTTSNVTPQQTADEIGVSLRVLQRRAPCNFLVFGLGFDSPMWAALNHGGRTVFLEEDASWIANVRSKHPALESYHVTYDTVLTESDALLELRDHPACVAQPDLASAAEASCRLALKGLPPVFHDLQWDLIMVDAPTGWTPEAPGRMGAIYTAGMAARARRPGEGATDVFVHDVDRPVEDAFSKAFLCEGYLAEQVGRIRHFVIPSHREKEGTPFCP >KQK99347 pep chromosome:Setaria_italica_v2.0:VII:32517666:32519333:1 gene:SETIT_010707mg transcript:KQK99347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPMHVRKAIHFASLRARFAQGKGGLALRLLLAAALAGFLLVFAARSLSSPSPSTSRRQEAAECGGEGKGLPLPVAEALVHYTTSNVTPQQTADEIGVSLRVLQRRAPCNFLVFGLGFDSPMWAALNHGGRTVFLEEDASWIANVRSKHPALESYHVTYDTVLTESDALLELRDHPACVAQPDLASAAEASCRLALKGLPPVFHDLQWDLIMVDAPTGWTPEAPGRMGAIYTAGMAARARRPGEGATDVFVHDVDRPVEDAFSKAFLCEGYLAEQVGRIRHFVIPSHREKEGTPFCP >KQK99641 pep chromosome:Setaria_italica_v2.0:VII:33982652:33985176:-1 gene:SETIT_012939mg transcript:KQK99641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAIVPERISVSSLLEVVLGDLLNLNNNLKSLFGAENPVLVSAAEQIFGAGGKRLRPALVFLVSRATAEIAALSELTTEHQHLAEIIEMIHTASLIHDDVIDDSGMRGGKETIHQLYGTWVAVLAGDFMFAVLLVSCKPRKH >KQK98098 pep chromosome:Setaria_italica_v2.0:VII:24927078:24928350:-1 gene:SETIT_012031mg transcript:KQK98098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNMNYLPVRFQLGGEFVHHGETIDFMGGDEAWSYIERKKVSVCEIVANLKRHMIITDKDLAFLHWLFPGKELVNSLRPLYDDKECSYMLECTTGGAIANVYAEVVHENSEEEDDSDLENQVEEKEESGSEGDDHVISIPIAISSPSKDLERYISFKDWEGDDLENLEEDEEAKQYRRHVKMVKKGIKGREDIYEALVQARHQVPQLYVDEDLDVGNDTPYFDSSEEASYDDDEGPEISGGREEFKQGMVNYGLTMKRHITFPKDEKRRIRAKCSWYGCPWMIYGSYSSKCDWFQVQTYTPHHKCPQKRDNKLVTARRIADKYESLIKANPSWKLQDLKEKRIYDAAKEEYPKLFDYQLEILRSNPGSTVAISLDPKIVIKHVF >KQK96818 pep chromosome:Setaria_italica_v2.0:VII:15889646:15892021:1 gene:SETIT_012513mg transcript:KQK96818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITTSDKLVGEEEDVLREVFIITSVHYHSLMCNSSATVSGANESLDRWLFFGGEERRRLLSCLARCRADVARVLAYLHHECREQILDLDVKPTNILLDGGLRSHMSVFGTSMAIAKEQNSVITRGRGTRGYMAPELWVGSMSTKSDVYSYSVTLLELIAERRSFEPTGTNGTLSSSSKTPHSFRRFMLKKVTQGELMEVVVDAATPDLGAVVKVGLCCVRHMRDERPSMLTVVEMLEG >KQK98391 pep chromosome:Setaria_italica_v2.0:VII:26706832:26706926:-1 gene:SETIT_012528mg transcript:KQK98391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMPPAAQGRRASTVVERKLDELCACLDDAL >KQK96560 pep chromosome:Setaria_italica_v2.0:VII:11961201:11963006:1 gene:SETIT_010049mg transcript:KQK96560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESTSASAGAHVLLMPYPGAQGHTNPLLEFGRRLAYHGLRPTLVSTRYLLSTIPPPGEPISVAAISDGFDDGGAALCPDLNDYLRQLEAVGSRTLAELLRSEAAEGRPVRVLVYDPHLPWARRVAKAAGVATAAFLSQPCSVDVVYGEVWAGRLPLPVTDGRELFTRGLLGVELGPDDVPPFAARPDWCPAFLKVSVRQFEGLEDADDVLVNSFHDMEPKEADYMALKWRAKTIGPTLPSFYLDDDRLPFNKTYGFNLFSSSVSCLAWLDKQLPCSVVLVSYGTVSDYDETQLEELGNGLCNSGKPFLWVVRSNEEHKLSCELRDKCKQHGLIVSWCPQLEVLEHKATGCFFTHCGWNSTLEAIVNGVPMVAIPHWADQPTISKYMESAWGLGVRVRKDEKGLLRRDEVERCIKEVMDGDRKDKYKANATMWKQKAKKAMQKGGSSDKNIAEFAAKYSSY >KQK99857 pep chromosome:Setaria_italica_v2.0:VII:35081539:35083141:1 gene:SETIT_012087mg transcript:KQK99857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVCVHSYILTKCTIGSVMALAKDEDPRNCREGRCSHFLVVAYGIQGHINPARTLAQRLAQIDGCTATLSVPISGHRRMFPSHENSCDDEVRDGSISCIPFSDGKDDGSWPIESEDRVQRREANFRSLSAIVSNLATSGRPVTCMVCTLSLPVVGEVAREHRLPLAIYWIQPATVLAAYYHYFHGHDKLIVQHGTNPGNEVNLPGLHPLRIRDMPTFFTEKTLDDLSKMVLQALRELFEQMDQEKPITVLVNTFDALEDVALKAIQPYMDNVFAVGPAVPPVGAPKHKNASEAQIHLFKHDEKNCMEWLDAQLEKSVVYLSFGSLLSYTKRQAEEILHGLQDHGQPYLWVVRVEGRAEEVDFCLREVKAGKGMVVEWCDQQKVLSHPSIGCFVTHCGWNSTLEAIVSGVPMLAVPSWSDQPMNAYLVDKEWRVGIRAERDETGVLTREELTSCLDLLMGGSDKAMQIRANAKNLKERAHEAVATDGPLETSLRNFIKSIRNLNQWRK >KQK99016 pep chromosome:Setaria_italica_v2.0:VII:30494866:30495991:1 gene:SETIT_012725mg transcript:KQK99016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNNSASGVKPSLQATTTSSGCLTQGIPATHDFEVTNYSLLEGMGIGECVKSTTFSAGGCDWYIVFYPDGDNNTEHEGAFTSVYLCFVGGPVGARVKFKFSLFNKGYRVSTTTGKRKKAKEANLLKTQATTYARVGESWGTDKELLQASNGCFTIRCDMSIIRSHTEDNSVIQIPESVLHQDLARMLKDREGTDVTFSVGDRFFHAHRYVLAARSKVFKAQLFGTMKEEDARCIKVDNMEPAAFEGLLHYIYTDSLSDDCTVDRIMAPQHLLVAADRYGLDRLRMMCDARLSGWIDVQSVATTLALAEQHQCARLKHDCLMFLRWPDVLRAAMKTEGFNHLIASYPSVASDVLEMAISARIDQ >KQK99163 pep chromosome:Setaria_italica_v2.0:VII:31244522:31245796:1 gene:SETIT_012742mg transcript:KQK99163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCATSTEARRDMVWVGADPRARRSFSLPSVDRQRLRSKAVSMLGTLGLAGSARFSGSYKYATLSVEEMMKGDNDDRAKDEALPGEGAAAKRAVKPRTPTLTPPNEPEVINAWELMAGLEDDDAPTPRAVHQSLSFDESLHGCVVEVPTTQPQWMQADMDMPPVALEFDPEILSGFREALEDTPPSQPTVTSSSEEGTETPRQKERKSRKDPDASCDTPMSPATGDMPELSGIVRARINAFQERIERRRSKGRDAKVSPLWPPGGERKAVVYFTSLRGVRKTFVDCCSVRSILRSYGVRVDERDVSMHAVFKAELAELLGAGFTGGAALPRVFVDGQYIGGAEDVHYLHEAGELGRALDGCDAAPTRKLGYMEACAACGDVRFVPCKIFVEDDDAGEFRRCPDCNENGLVRCPVCCC >KQK96675 pep chromosome:Setaria_italica_v2.0:VII:13804190:13807606:1 gene:SETIT_010562mg transcript:KQK96675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAEWEAAERKVLVARKPCFGLPTACPTSLPVLLYLRMAQVPFDIHVDTSFPDADHIPYVEFSDCVAFNNEKGGVIEYLKEEKILDLNSKHPSISPADVLSTKAMVSTWLVDALQYELWVVSDGSIAHDIYFSDLPWPIGKILHWKKARDVKQLLDITKLNAAEKEEEIYQKASAAYDTLSLRLGDQVFLFDNSPTDVDALFLGHALFVLNALPDTSVLRGTLQKHENLVNFVEHHKIQLLEDSSSSGLGSSPSPSSSSPPRKRASAGQSYKPKPRAKKERTEEEKKFRQRSKYFLAAQLVGVLVFLSLMGGADSSELDDDDGLDYED >KQK97513 pep chromosome:Setaria_italica_v2.0:VII:21603072:21603684:1 gene:SETIT_011505mg transcript:KQK97513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDARSLPAVSVPALDDVGDASPWSGNRRGGGGAPSSETTTAVDVDVPALWSDEGRMKRELVAWAKAVASMAIRESMHC >KQK99758 pep chromosome:Setaria_italica_v2.0:VII:34606788:34607282:1 gene:SETIT_012398mg transcript:KQK99758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAARGLGPSPSPSASAPPPWLPVLADDNNRHRQLLRDFAPTPTPATAAPPNHHQNLRPAGTRRVAKRRPRPSRKLPTTYIAANPASFRRMVHQVTGADDLPMPPPPAPPETLCRPAPYRSGTASGAMMLPTLDTSAFLLAAPGAAARTDAPCAGPAAPAPAPA >KQK99285 pep chromosome:Setaria_italica_v2.0:VII:32135144:32135502:1 gene:SETIT_011883mg transcript:KQK99285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYSSCLNQSLKPNKLLLGRINGVIQVTSHVDLTFYSLVGSGRSRGAISRAQVEVRPQWENGAPNNASSQTKNYEITLSFWGDRGIVPFEPFFSMLFPT >KQK96401 pep chromosome:Setaria_italica_v2.0:VII:5535463:5536553:1 gene:SETIT_010528mg transcript:KQK96401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLRDSIAMVSLAVLVAAVCARAASSWLRPGFPRLAALLPVVAFLAATPLAFTSAIVRGLAAFFLTWLGVFKVILLAAGSGPLDPTLPVLVFVFTAALPVKLRCGGPGAAGVAASKVKPVSPVSCAVKVAVIAAILHVYQYTDQLHLYMRLALYGVHMYCFLDLLLPCIAAAGGALGMELEPQFDRPYLASSLRDFWGRRWNLMVSAILRPSVYDPVRARAGKAAATMATFLVSGLMHEALIYYLTLRPPTGEMTAFFLLHGACCVAEELCARRWAVKGWRPPPRPVSTLLVVVFVTGTAFWLFFPPICRDGGEEALLEEWAAVASFFEDAGRELIRYV >KQK96644 pep chromosome:Setaria_italica_v2.0:VII:13370192:13370914:1 gene:SETIT_011101mg transcript:KQK96644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPTIENEFGIGTQLNYIRSEIHHTRLKISIDSRPIPKEIKKPQSARRSVVVMAVVVGGGRASAATAGALASISLRAAVPAAAAGGLVVAAVLVEGDLLVEDAALLGALAAEALVVHRPLLPRHLLLGPPLQPQHHRLRRRRRRRGRRRGRGRGGRRGGGIDRHGHGSGRRRLRRRRAGCHGDWGFPPLLRVGVGS >KQK97369 pep chromosome:Setaria_italica_v2.0:VII:20821819:20824168:1 gene:SETIT_012160mg transcript:KQK97369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRTTFRDGSSCDLWPFTERLFDMNASTTEDARKEIAKCMDLSKDGIHAMLLVFSAATRFLDEDVNTVESIKIFFGDSISNHMILVFTNGDQVGESTFKKMLSNKRARYLQELVRLCAHRVVLFDNRTSDAACQQQQLKKLLEAVDSVISDTDEVHGRQKEIDVEGYTAEEMSQSKKDMYDQYLMLITKMVEEKLNSSIGKLQNQLMEEQKARQKVESEVAEAKLRSEEEIRKLRESLEKAHQENDKARRFYEKFRWVDEKCSIM >KQK98707 pep chromosome:Setaria_italica_v2.0:VII:28743197:28746479:1 gene:SETIT_011970mg transcript:KQK98707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESPRAEREPSPEEAAAAAESRELAMLREMTLHARREGKEPRVPDEQLRSNDQLQHDEIHVHCEIPDGVSVSAELFQGDDDNDLKSQFFDTLPPMSLTCLMPLSYPSHHPPYFTLSVQWLDSVKNSSLCQMLDSIWAQQPGQEIVYECVQWLQSYALSHLSDMMMGPVDVRALGKITSVESVVQCLISYNEEQCHESFLNGLHDCMICFSGHPGIDFIKLPSLHYYCRRCMETYSRMHVKEGTVMKLLCPDDKCHGVVPCNLLKRLMVDVTYSPRCETACLEDEENNAQCSKCFFSFCALCRERRHIGDRCLTPEEKHLSLQVRASNSSNGNVDKSNDLVSEFVNELVSIREALRDAVPCPHCGVAISRVSGCNHMLCGNCGLEFCYGCGKPMLITKCTADVVKQTQKEVITVQPFRNYPCPNCHQQSPKVGNNNHMFCWACQVHYCPLCRKVVRKSSEHYGPRGCKQHTVDPETEIPQQKDNETNS >KQK98683 pep chromosome:Setaria_italica_v2.0:VII:28608906:28610358:1 gene:SETIT_010717mg transcript:KQK98683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYKVYVHCGQCARDIQTQFTEFQGVEEVKLDAKAGKVTVKGVGFDVEKLRKKVEKGCRKKVELIVPPKKDDVVIEVKKKEEELKIITVKVPLHCPDCAVRVKEILLEHKSIYAAKTDHTKNTCTVEGVIDEKKLVEYIYQRTRKGAVVDKIEKKVIIKEEKVEVKKEVKKDEKKEEKKEVKKEEKKVTEVVAPYFIPCTHPRFVDFSHPFHRGGGGGYGSPCGDGGYGYGGCGGYPYGVSYTHSELTGYRDTAFLHCTHPNEFISEENPYACSVM >KQK96327 pep chromosome:Setaria_italica_v2.0:VII:3705149:3710509:1 gene:SETIT_013108mg transcript:KQK96327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLNMSGTGIGSFNDRIRDAINGGSPFGNPLQQGFSTGLFLEPNGFYQGNETETRLTLATYTDHIQIGLAGNLKNYVLKSHTGEAKKGSEVCTFDGSPVGYTSSPIEIINYASAHDNETLFDIISLKTPMSLSIDERCRINHLSSSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPREKNEGSWPLMKPRLENPSFKPTKDQILAALNNFVDILKIRYSSPLFRLTTASDVEQRVHFHNTGPSLVPGVIVMSIQDARNDAHEMSQLDENFSCVVTVFNACPHEVSIEIPDLATNKLQLHPVQVTNCCQLFLLCQFVLSSAKCICHNHCSVNVVLSVQSSNLVTRLGSDFF >KQK96328 pep chromosome:Setaria_italica_v2.0:VII:3705149:3711050:1 gene:SETIT_013108mg transcript:KQK96328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQLNMSGTGIGSFNDRIRDAINGGSPFGNPLQQGFSTGLFLEPNGFYQGNETETRLTLATYTDHIQIGLAGNLKNYVLKSHTGEAKKGSEVCTFDGSPVGYTSSPIEIINYASAHDNETLFDIISLKTPMSLSIDERCRINHLSSSMIALSQGIPFFHAGDEILRSKSLDRDSYNSGDWFNKLDFTYETNNWGVGLPPREKNEGSWPLMKPRLENPSFKPTKDQILAALNNFVDILKIRYSSPLFRLTTASDVEQRVHFHNTGPSLVPGVIVMSIQDARNDAHEMSQLDENFSCVVTVFNACPHEVSIEIPDLATNKLQLHPVQVNSSDALVRQSAYEATTGQFTVPRRTTAVFVEPRC >KQK98468 pep chromosome:Setaria_italica_v2.0:VII:27214803:27215665:1 gene:SETIT_013099mg transcript:KQK98468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQAEPLRTSKPQNCCCKIRPCVPAVCTQKIRILVYFKKFLNQIGSLISLVQIIEILAISMFIVLLG >KQK99338 pep chromosome:Setaria_italica_v2.0:VII:32435945:32437868:-1 gene:SETIT_010755mg transcript:KQK99338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCNTLAKPSIFLIGGYNGVTWLSSLDSFSPEKDMLVGLTPMSSPRSYASAAALDGHIFAFGGGDGMSWYNTVECYSSRNNEWTECPSLNRKKGSLAGICLNEKIYAIGGGDGNETYSEVEMFDPYLGKWICSPSMLLSRFALAATELNGVIYTAGGYDGSMYLESAERYDPREGFWVRLPSMSTRRGCHALTVLGDVLYAMGGYDGDKMVSSIEIYDPRLNAWRMGDPMNTPRGYAAAVNLNDSLFLIGGMQSNVQILDTVEVYNASSGWSVLGFGSIGKRSFASAVVI >KQK99999 pep chromosome:Setaria_italica_v2.0:VII:35704710:35705057:1 gene:SETIT_012745mg transcript:KQK99999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQGSPQLGEHSMQPAGVSKGVASFWGANYDMILFSFAIILWTLWNRRNKLRIERKFPNSPCDVIFAISSYLQRWKILLQESDRDCLERLNNQVATWVKGFLVEERNQDLMEDFI >KQK98924 pep chromosome:Setaria_italica_v2.0:VII:29981279:29981635:1 gene:SETIT_012571mg transcript:KQK98924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISRKQHKQSSVSCGKQPRSLHAATVRAIEIKINSFAAAIRSINLERAAKRRCRPKCRSEMSAGSISAWTKCQSGARSNGRRTSAWRKQQQQHQQLVWNLKRASSISFDVRLSSPIDP >KQK98784 pep chromosome:Setaria_italica_v2.0:VII:29137666:29141695:-1 gene:SETIT_012627mg transcript:KQK98784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGTRGGSWVRDTSMRPKVRSRSPADSTHACTGTEGTGRGGAGRARVKFPVVSPSPRGIHTHARPRPQVFHSRAATRRGGLAQQRRTVADAPGHHQRQPRGAGEEERSARGHRRQRPERARWAQEENGAGERRRRASRLAAARIPAPVRAVMAWWRKKVVTPARRAWAAVSTRVRARNTGSGGSILKLHEDVQTCGYRDVQVMFEILTSELEVASHGPKHHHQRKRPAWTPPPPWPSHRSSSMIAAAQ >KQK97469 pep chromosome:Setaria_italica_v2.0:VII:21374427:21375683:-1 gene:SETIT_010677mg transcript:KQK97469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSPRNRKVTAQHLSSASHAVPYDYKKMKESDKKNELQSTSEEKDWKNATCSICLERPHDAVLLLCSSHSKGCRPYMCGTNYKHSNSCDVLTAVAPTNQKPKAMLLACPICRGEVKGWTVVKPARRFLNRKRRTCMHEDCSFVGTYKRLKKHVKSRHRSSKPREVDPVRLAEWEEFENEKERQDAISIVSALNPGSIIMGDYIIDPNSDSDNPYSNDTFDSDMSLDGDPYSDDTFDSDMSLDGDEGSYHRDFVHRERAHRRNRERASQSLRRVGVSGIRCSVPRRPRMPFRVGFVRRSVSQPSELF >KQK98215 pep chromosome:Setaria_italica_v2.0:VII:25703005:25703416:1 gene:SETIT_012847mg transcript:KQK98215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFPTASWKFLNHSGPICTTNIIFVLVKLLQVFSKLKHALITLSTYR >KQK98505 pep chromosome:Setaria_italica_v2.0:VII:27545625:27549008:1 gene:SETIT_010548mg transcript:KQK98505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSAAAPAPADTYDIPWVEKYRPTRVADVVGNSDAVARLEVIARDGNMPNLILSGPPGTGKTTSILALAHELLGPSYREAVLELNASDDRGLDVVRNKIKMFAQKKVTLQPGRHKIVILDEADSMTSGAQQALRRTMEIYSNTTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMIVVAAEKVPYVPDGLEAIIFTADGDMRQALNNLQATFSGFRFVNQENVFKVCDQPHPLHVKNMVKNVLDGKFDEACSALKQLYDLGYSPTDIITTLFRVVKNYDMAEYLKLEMLKETGFAHMRICDGVGSFLQLSGLLAKFALVRETAKAP >KQK97266 pep chromosome:Setaria_italica_v2.0:VII:20109730:20110269:1 gene:SETIT_013016mg transcript:KQK97266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYIMCYVYWWRVGALRAHHRCVQPLQRAGQVQGVWFGSLR >KQK97707 pep chromosome:Setaria_italica_v2.0:VII:22781622:22783352:1 gene:SETIT_009770mg transcript:KQK97707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLHAPAAAATSAATVLSILRGADPDRLLPAAGIAATPTILQHLRPALPTLPDPAIPALARWAGAATAVSLLASRGLFAAAWRLLLVKPPSSPPPPLAAFASLLRRYARLGRTAAAVRAFRFLHGHPDRYTVAIDGNGDDGSSSAAEVSPLILAVDALCKEGHPRAAAQLVEQLRREDPAWAPDVRTYNVLLNGWSRARRLDKVEKLWAAMRHAGVRPTVVTYGTLIDAHCVMRQPDQAMALLDQMREEGIEADLLTCNPIVCALAQAGRFGDAHKVLEKFPLYGVAPNISTFNSLVLGYCKHGDLAGASRVLKAMLGRGISPTARTYNYFFMVFARNHSVELGMNLYSKMVSNDYAPDRLTYHLLVKMFCEANRLELTLQMLQEMRNSGFEPDLATSTMLIHLLCRRHQFEEAFAEFEHMFERGIVPQYITYRMLKKELKRLGLVKLVQKLTDLMRSVPHSTKLPGSYRDKEGDDAIEKKKSILQKAQAVSDVLKDRKDLKKAHKIQNPEETDVQVADRIVANIRRRVYGDVSRIGASLS >KQK99087 pep chromosome:Setaria_italica_v2.0:VII:30887954:30891021:-1 gene:SETIT_009373mg transcript:KQK99087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQTTTRFPNHPAMIFPVLLLFLRASAAAIASDTLSNGGNITDGETLVSASGSFTLGFFSPTGVPAKRYLGIWFTASPDAVCWVANRDTPLSNTTSGVLVLGSTGILRLLDGSGQTAWSSNTTSPAPAVAQAQLLDSGNLVVRGQSIGGGGVLWQSFDHPSNTLLAGMRLGKNPQTGAEWSLTSWRAPNDPTPGECRRVMDTKGLPDCVSWQGNVKKYRTGPWNGLWFSGVPEMASYSELFSNQVIVRPDEIAYIFNATADAPFSRLVLSEVGVLQRLAWDPASRVWNTFAQAPRDVCDDYAMCGAFGMCNVNTASTLFCSCIVGFSPVNPTQWSMRESGGGCRRNVPLECGNGTTTDGFMAVRGVKLPDTDNTTVDMSSTLDECRARCFANCSCVAYAAADIQGGGAGSGCVMWMNYIVDVRYVDKGQDLYVRLAKSEFAKEKRMDVARIVLPVLASALALTSAGLYLVWICRLRGQRRNKNIQKKEILGYLNASNELGDENLELPFVSFGDIITATNNFSEANMLGQGGFGKVYKGMLDENKEVAIKRLGQGSGQGVEEFRNEVVLIAKLQHRNLVRLLDAASKKVLDWPTRFKIIKGISRGLIYLHQDSRLTIIHRDLKPSNILLDADMSPKISDFGMARIFGGNQHEANTNRVVGTYGYMSPEYAMDGAFSVKSDTYSFGVILLEIISGLKISLTHITDFPNLLAYAWSLWKEGKAMNLVDSSLVGSCSPNEALRCIHIGLLCVQDNPNSRPLMSSVVFMLENETTALSAPKQPVYFSQRYSEAQETGENTSSSMNNMSVTVLEGR >KQK98976 pep chromosome:Setaria_italica_v2.0:VII:30302532:30302876:-1 gene:SETIT_011604mg transcript:KQK98976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHKSCKSCLLNSSLPTIGVGGTCRSLDFPLINSSTISSVYFPPIHLMFNWNRSSS >KQK96497 pep chromosome:Setaria_italica_v2.0:VII:9936696:9938963:-1 gene:SETIT_012469mg transcript:KQK96497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIRSTPPLLVVLTALAIFLAPADAVGGDTVATGGTGDATGTFLVVVCRANGPKENGEKLREWHASLLASLLNTSAGAILEEARSPEGGQLVYSYQHVVSGFAARLTTQQLDELRRLNWCVDAIPDVNYRLRTTYTPALLGVSTPQTGMWAVARSMGEGVIVGVIDNGIDPRHVSYSDEGMPPPPAKWRGRCEFGGAPCNKKLIGGRSQTPGLHGTHTSSTAVGAFVRDVQVFRKSIGAASGMAPRAHLASYEVCFEDTCPSTKQLIAIEQGAFIDGVDVVSISAGDDTQQPFYKDLTAVGSFSAVMSGVFVSTSAGNSGPDYGTVTNCAPWVLTVAASTMTRRAVSRVRLGNGLVFKGEAKQRYKPVKIAPLVYVSGVFEDGSALKAVDVRGKIVFCDRSEGATIRGEMVRAAGGVGIIMFNDESEGGETEPAGNITIAAARVSQADGVRIMAYINSTSNPTANLHFTGVELDPSYRPAVAGYSSRGPCNMSSLGVLKPDITGPGTNIVAAVPGGNASAPSRTFGMISGTSMSAPHLSGIAAVLKRARPGWSPTAIKSAMMTTADVTHPDGMPITDEITGEPATHLLMGSGIVNPTKAVDPGLIYDLSTHEYLTYVCGLGYNDSFVNDIIAQPLQNVSCASSGKIGGKDLNYPSFLVTLTAAAPVVEVKRTVTNVGEAVSVYTAEVVAPKTVAVEVVPPRLEFSTVNQKMDFTVMFRRVANPVNGTVEGSLRWVSGKYSVRSPIVVLDGTLNLV >KQK99254 pep chromosome:Setaria_italica_v2.0:VII:31917879:31927146:1 gene:SETIT_009390mg transcript:KQK99254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSDAVMARWLQSAGLQHLATSSAGGGDYRGGMAGLGGAGAGSMLPNLLMQGYGPQSVEEKQRLYTLLRSLNFNGESAAVSMSEPYTPTAQSFGGGNPVEGFYSPELRGDLGAGLLDLHAMDDTELLSEDVVSEPLEASPFMPKEIDDDDDDVISGSQQVPVNNYDVVTSEKESTARENNVAKIKVVVRKRPLNRKELSRKEEDIISVHDSSFLTVYEPKLKVDLTAYVEKHEFCFDAVLDEHVSNDEVYRETVEPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAQDMVRLLRQPVYRNQNFKLWLSYFEIYGGKLFDLLSDRRQLLMREDGKKQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHIVVKDTRRQRDRDANEAKSTKAVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTRKEQSTGPTTASSRDSSSAPSYPLPAEPEEIPNQIQEKRPVDTNRKGTENFISNPSGEPDRNSFSMIPSYSNRGREENGAASSFNDRERYDLKSGQTAYTGKAQLVQNSANTQEEEKATKVSPPRRKAYREDKSDRQSNYAKKDNGPETGRAGYKKQPQQQQRPPSASASSRQSEKESSCDDVEIDAILEEEEALIAAHRKEIENTMEIVREEMNLLAEVDQPGSLIDNYVTQLSFLLSRKAAGLVSLQARLARFQHRLKEQEILSRKKPSR >KQL00029 pep chromosome:Setaria_italica_v2.0:VII:35845054:35848284:-1 gene:SETIT_009838mg transcript:KQL00029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIHASRAALADFEKKLCDIEEQRFGTLPDREISSIPFEEKPFGDKIARDHEICRFSIVSIALFDGDCFGMLTMFSNLYLGILLSPINDLNHDLVQVKTCCFVCSGIALPRGTARLDLTRFVTSAYLVRVFNERRNRDDKLRVQVRLPDGTTTDGLLGLYDHDIAIVTSISHLDVCPVDLNIDDCPDGLHHARAVGRAFESGRLMAMPVSLLDRIVVSDRLFVSDKFFISDSQGQGYTEAALGGTLVGHDWIFDGMVIDLVEYERFGELRAWKWYPFGAPHQILERVWDQLEGGVVANISRRVVALASFNGYVRSFACTGLLIKWCRSRATHTVVLTSASLVRSHLNEDDIDENLRRCDGTLELYNLHCNIAIVSIKKGFNSIHPEDIFNKGKQKLSIKVVAVGRDTIHELLMGTIREVKFSNKDCKLDCKDLHWSTCKIKKVGIGGPLIDFDGSFVGMNFYDESSATPFLPRSKVVHALRSAYNSILPSERGCNPRAINVVSRGRKTQVSKKRGRKTNE >KQL00030 pep chromosome:Setaria_italica_v2.0:VII:35844729:35848729:-1 gene:SETIT_009838mg transcript:KQL00030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKIHASRAALADFEKKLCDIEEQRFGTLPDREISSIPFEEKPFGDKIARDHEICRFSIVSIALFDGDCFGMLTMFSNLYLGILLSPINDLNHDLVQVKTCCFVCSGIALPRGTARLDLTRFVTSAYLVRVFNERRNRDDKLRVQVRLPDGTTTDGLLGLYDHDIAIVTSISHLDVCPVDLNIDDCPDGLHHARAVGRAFESGRLMAMPVSLLDRIVVSDRLFVSDKFFISDSQGQGYTEAALGGTLVGHDWIFDGMVIDLVEYERFGELRAWKWYPFGAPHQILERVWDQLEGGVVANISRRVVALASFNGYVRSFACTGLLIKWCRSRATHTVVLTSASLVRSHLNEDDIDENLRRCDGTLELYNLHCNIAIVSIKKGFNSIHPEDIFNKGKQKLSIKVVAVGRDTIHELLMGTIREVKFSNKDCKLDCKDLHWSTCKIKKVGIGGPLIDFDGSFVGMNFYDESSATPFLPRSKVVHALRSAYNSILPSERGCNPRAINVVSRGRKTQVSKKRGKYFG >KQK97580 pep chromosome:Setaria_italica_v2.0:VII:22015237:22015416:1 gene:SETIT_012517mg transcript:KQK97580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKIVIRRIDNSTSRQVTFSKRRNGIFKKARELAILCDAEVGLVIFSSTGRLYEYAST >KQK98711 pep chromosome:Setaria_italica_v2.0:VII:28765122:28766441:-1 gene:SETIT_010384mg transcript:KQK98711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGRAPCCAKVGLNKGSWTPEEDMRLIAYIQKYGHANWRALPKQAGLLRCGKSCRLRWINYLRPDLKRGNFTAEEEETIIKLHGLLGNKWSKIAACLPGRTDNEIKNVWNTHLKKRVSPGAEERGGAAGSKKKKKAAGAGVPAAAAPSPSPSSSTTTATTNCSSGDSGEQQSKASKDEPGDELGLEKLEIIPMLDDPACFGFDMLVDQIPDPYCPDVSVPTSPCASSTSPPAPARPSVDELLDLPEIDIDHELWSIIDGVGGDGAGAGAVAAGTAPAPCQSNATEPNAAASTTSHGTEWWLEDLEKELGLWGPMEDYQYPMGPQCPVAHPDPLPAMVDDPVSCYFQAGPAPAMLHEPGYSSVVTSSNQMGY >KQK97101 pep chromosome:Setaria_italica_v2.0:VII:19081702:19082195:1 gene:SETIT_012933mg transcript:KQK97101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGNLGVTTRRPSSPDVFQDHVLEKFFSLDPISRVDATSKGCPADRLDL >KQK98565 pep chromosome:Setaria_italica_v2.0:VII:27954992:27955451:1 gene:SETIT_011554mg transcript:KQK98565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGWQWRARALSYGGGDGAVVGLWIEVTHGSGGGSRGAGRGGRACQCQIRGGMRHQCATSLASYSASSL >KQK97638 pep chromosome:Setaria_italica_v2.0:VII:22330301:22336966:-1 gene:SETIT_009277mg transcript:KQK97638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAGAHDVGMALRKAEEAASRRCEAARWLRQMEPAAVETLPERPSEEEFCVALRNGLVLCKVLNRVNPGAVPKVVENPVVTVQTFDGPAQSAIQYFENMRNFLVAVSAMNLLTFETSDIEKGGSSMKVVDCILCLKGYHEWKLSGGIGIWQYGGIVKIASSCKRHASHLTRGGGSDQQMLEFVHLLSEVSLEESRVEEAQHSLFQHFVLRVVRAFLLEWGEAEDLPLDDMVIETVLEQACKEFTILLASHRNQVRSLLRKMMKDDNGTLSKSDLIEAISKCLKENNQCLFSSTRNPRGSREHLNDGGVLESQQEELEMLKTSFNEMKLQVESTRTDWEKDLRRLESYFEAQNHNAYHKLLEENRKLYNQVQDLKAEVFADTQPLIRSIMDGFNVCIFAYGQTGSGKTYTMSGPDVTTEETWGVNYRSLNDLFEISQTRADSITYDVKVQMIEIYNEQVRDLLMTDEIRNNSHVNGLNIPDANIVPVKCARDVLDLMKVGQRNRAVGSTALNERSSRSHSVLTVHVQGKEVISGSTLRGCLHLVDLAGSERVDKSEATGERLTEAKHINKSLSALGDVIAALAQKSSHVPYRNSKLTQVLQDALGGQAKTLMFVHVNPETDSFIETMSTLKFAERVATIELGAARANKEVGQVKDLKEEIAKLKLALDEKEREAAQFKDLANRVTSEVRNARTRSPLTTSMSLKPEAGRESSVDTCTSEIRSSSSGKQRRFRSPLSAREVDDKSPVISRELYLSARKYKTPSPPVRSSLSAERGSFAKTMENTGSIDCTPISKVEVPPKVLSSSSRNTPSSVLTAQSLRKFRDSEENRCKIPSVRQSMTKNRSDSTAKAHKEELSANRHSGTKVRSEAKNRDSSEIENEFAGDEPTFHFNRKAKKLPTQATRQSQNIDLRVSVREMEPLTEGRQRRNWSKPPYAERTNIPMPDIRRSVSLPRGKNPLV >KQK99828 pep chromosome:Setaria_italica_v2.0:VII:34949752:34951247:-1 gene:SETIT_012013mg transcript:KQK99828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGLFEQELMEQAPCPGAPPGYRFAPTPRELILYYLNPWVASPAGQTPFGQTEGIVCAADVYSADPGTLTSGLRHFGHDDGNWYFLCVARWKDGNAGTRMSRAVQGGGTWHGSGKRIPVGRHGYRQTFEYRVPGGGKSAWLMEEIGSSLPEATGGEGVKVLCRVHRTPRAAADDDANEERQETDEVVQFRSSKKPRCELRQEHDFAAANYWAAAAPTDVVCSYASTSQTALVNAAAPTTWQQQPMMEQGVASYHCTGVNGGVYVKDEQQPLEVLLPDEGWQQCLEIDYGFDYSTEDGLFKKNSTGDAQLNDELMHNTFSCPKPSDGAVRENGDPRGERRAS >KQK99780 pep chromosome:Setaria_italica_v2.0:VII:34728431:34730485:1 gene:SETIT_012712mg transcript:KQK99780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNHPTSQQTTADHHHRANPTSINTRPSTRFCHLPPDILYRIASKLPPKEFARTSVLSTEWRGCTSSACPRLTFDVVAMCKCKREDLTYKHVWQFFLDVHNILWKHIGKVVETLHVKINFEDSIFMAHPIDTWVDFAASTSRTKNLILDLKPKRFLEYMDTELYVFPFQLLDRESISRLQHMQLSFVSLDPPSHFKGFPNLRKLHLQMVHVSRKDLENMLSHCYTLEWLHIDRCRLDDELIVDSPLPRLTYLRVEFCLTKIRFNAVNLATFEYVGCLIPIDLVRSFKLQSANITFLNAIFQHVLISLLNGLPSVQSLTLDIRFQRIEKQWLWDNPLKFTNLKHLQLLLYTLRRDLDRVLYSLAFLRATPFIEKLEVHFNGCDLWLSEAGPCRKDLGQCRYVHLKHIWITGFKAARGQLEFLLHVIENAPTLEVLLLQIGQYPPFPLGVDGPRIEKVKEIARTCVHPILPQNVTFDIK >KQK97399 pep chromosome:Setaria_italica_v2.0:VII:21011845:21013775:-1 gene:SETIT_012632mg transcript:KQK97399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGKVQMRRIENPVHRQVTFCKRRMGLLKKAKELSVLCDADIGVIVVSPHGKIYDLATNGNMQGLIQRYRGTYSEMHGESSEQNKTQAIQQEVLALTHEIDLFQKGLRYMHGENDTNHMNLGELQALENNLEMWVHNIRSQKMQIMSREIEMLRNKEGILQAANDILQERINEQNGILNFSGTAMIPQAPFQLTMESNYYF >KQK98917 pep chromosome:Setaria_italica_v2.0:VII:29925043:29931005:-1 gene:SETIT_009259mg transcript:KQK98917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRLSGGGFLLVLLLLNSWRAVAQAPPPPQTDPVEAAAVNAILSKLGLSAPASWNISGNPCSGAATDDTQLDDNPAFNPAIKCDCSDRNNTLCHVTRFKINMLDAVGPIPEELRNLTHLRKLDLRRNYLTGPLPAFIGELTALESMTVGINALSGPIPKELGNLVNLVSLALGSNNFNGTLPDELGKLTKLRQIYIDSNDFSGPLPSTLSQLKNLSILWASDNNFTGQIPDYLGSLTNLTDLKLQGNSFQGPIPKSLSNLVKLSKLVLRNSKISDTLASVDFSKFGNLSLLDLSFNNITGPIPQSILNLPSLSYLDFSYNYLSGNFPSWATKKNLQLNLVANDFVIDSSNNSVLPWGLDCLQHNIPCFLGSPQSASFAVDCGGSTTISGSDNSMYQADNATLGAASYYVAGAPTWGVSSVGLFTNAPNGTYIIYSSRQFDNTLDSSLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDIESWRSRGRRVFDIYIQGDRKEQNFDIRMAAGGKSFTVVKKKYVVPVTKNFLEIHLFWAGKGTCCIPYKGYYGPAISALSATPNFVPTVRSSADRKSSSKTGVIVGVVVSVSVFALITLAGIFLWCQKRRKLLLELEELYTIVGRPNVFSYSELRSATENFCSSNLLGKGGYGSVYKGKLSDGRVVAVKQLSQSSNQGKKQFAAEIDTISRVQHRNLVSLYGCCLESNTPLLVYEYLENGSLDHALFGMNFPDLT >KQK98916 pep chromosome:Setaria_italica_v2.0:VII:29923831:29931005:-1 gene:SETIT_009259mg transcript:KQK98916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPRLSGGGFLLVLLLLNSWRAVAQAPPPPQTDPVEAAAVNAILSKLGLSAPASWNISGNPCSGAATDDTQLDDNPAFNPAIKCDCSDRNNTLCHVTRFKINMLDAVGPIPEELRNLTHLRKLDLRRNYLTGPLPAFIGELTALESMTVGINALSGPIPKELGNLVNLVSLALGSNNFNGTLPDELGKLTKLRQIYIDSNDFSGPLPSTLSQLKNLSILWASDNNFTGQIPDYLGSLTNLTDLKLQGNSFQGPIPKSLSNLVKLSKLVLRNSKISDTLASVDFSKFGNLSLLDLSFNNITGPIPQSILNLPSLSYLDFSYNYLSGNFPSWATKKNLQLNLVANDFVIDSSNNSVLPWGLDCLQHNIPCFLGSPQSASFAVDCGGSTTISGSDNSMYQADNATLGAASYYVAGAPTWGVSSVGLFTNAPNGTYIIYSSRQFDNTLDSSLFQTARMSPSSLRYYGIGLENGNYTVTLQFAEVDFPDIESWRSRGRRVFDIYIQGDRKEQNFDIRMAAGGKSFTVVKKKYVVPVTKNFLEIHLFWAGKGTCCIPYKGYYGPAISALSATPNFVPTVRSSADRKSSSKTGVIVGVVVSVSVFALITLAGIFLWCQKRRKLLLELEELYTIVGRPNVFSYSELRSATENFCSSNLLGKGGYGSVYKGKLSDGRVVAVKQLSQSSNQGKKQFAAEIDTISRVQHRNLVSLYGCCLESNTPLLVYEYLENGSLDHALFEKGGLNLDWPARFEICLGIARGIAYLHEESTIRIVHRDIKASNVLLDSDLNPKISDFGLAKLYDDKKTHVSTRVAGTFGYLAPEYAMRGHMTEKVDVFAFGVVALEIVAGESNYQNTLDEDTTYIFERVWELYENGRPLDFVDPKLTECNGDEVLRVIRAALHCTQGAPHRRPPMSKVVSMLTGDADMTEEVAKPSYITEWQVKAVGSGSFTSSQVGSSSTTTQPSSGVHGGGGVQASPEPGDVTPVLPSPLFTSIIDEGR >KQK99299 pep chromosome:Setaria_italica_v2.0:VII:32229078:32232766:1 gene:SETIT_009915mg transcript:KQK99299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASLARRRAADALLRRPQAAAWASALRAYAASGEESDVVVIGGGPGGYVAAIKAAQMGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAKSSFAHHGVKFSNLEIDLPAMMSQKDKAVAGLTKGIEGLFKKNKVDYVKGFGKFVSPSEVSVDLIDGGSTTVKGKNIIIATGSDVKSLPGVTIDEKKIVSSTGALALQEIPKKLVVIGAGYIGLEMGSVWNRLGSEVTVVEFAPEIVPSMDGEIRKQFQRMLEKQKMKFMLKTKVVGVDTSGSGVKLTVEPAAGGEQSVLDADIVLVSAGRTPYTAGLGLDTIGVEMDKGGRILVDKRFMTNVNGVYAIGDAIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDTVPGVVYTHPEVASVGKTEEQVKASGIAYQVGKFPLMANSRAKAIDDAEGLVKVVAEKETDRVLGVHIMAPNAGEIIHEAVLALQYGASSEDIARTCHAHPTVSEALKEACLQTYTKAIHI >KQK97320 pep chromosome:Setaria_italica_v2.0:VII:20539395:20541387:-1 gene:SETIT_010858mg transcript:KQK97320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLYHHPYSLDSQKVRMALEEKGIDYTSYHINPLTGKNMNVDFFRMNPSAKLPVFQNGAHVIYRAIDIIQYLDRLAVHLSGEIPPVNTEVHQWMQKVDAWNPKMFTLTHTPVKYRAFVSKFIRRVLIARMAEAPDLASMYHVKLREAYETEDKVKDPDIMKQSEEELSKLLDDVEAQLSKTKYLAGDEFSPADSMFVPILARITLLDLDEEYISCRPKILDYYNLVKHRSSYKIAIGKYFNGWKKYRTLFKTSFFLCVRTLFRRY >KQK96721 pep chromosome:Setaria_italica_v2.0:VII:14587695:14592040:-1 gene:SETIT_012225mg transcript:KQK96721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGVLLQKFQVTLESEARGDLLSKICRNSSIKVIYFHGWDGFGTAPVLRSVAQKVLDDLKAPPKETWFDRIVYLDCSAWNGRRAMQRVIAEEIKLGNETMALLDKQDEEDDFDGVDQRSRDVVRGVATMIYETLKDSKFLILFLNGSDEEIDVATMGVPPFSEFRNHAMIWAFRRRSLTINFRSRMSMRADKCRYTQDFYGCRDELRIRRIFNSVLDGEVAAIVARYPFLRSIHAANTMVKDCCLYELCLHYNFHRITKYDWVAQASNYWICDGIIKEDTAKEISDSLHREITWECDSVYLLDEVLTNVMLGTKPPFLWAVKEGGLGYEEGPYRWISVTSRNLEAHGVREIPAVTSSFFLAFETPNSPTNLPASLFTNARYLGLLVLCHCAFSFASPPFFVCQALRFLGLDHCTDDTTSHSSGQWATLCGLYVLDLRYTVWNEIFSQEKLELMINLRELHVEGSRCWPDNTHLQGRLLNLEKLRIIKSQGETLTDISTSFMDKTKLEILDLSGSLWVTVLPASLSKACSLKVLVLDGCEELETVVIPDALPSSLISFSFDSYGPASRKWIPCVELPPSNLRPSSADEKQAKASKISLEGCTLLENLFLRGLPNLVELDLSGTAIKMLNFETMVVQVPGLKRLFLIGCENLRAIIWNKRCFNLELLCIDTRAGIRHPWPSLGLDQKKSFRLHAVLVDARLVRSLYFPIENRMTARSENFQFSIHFTSSSINSGGALQFEGPCKEKIKPSHHLRPQVVPTIPYVDVPDVGGDVPMQDFPQLLPPASAVNRYIEVAQGSHSLESELAVAHKELHDIMASHAEFLHVHDVSMSASLHFVYYPGVRWCRVERCSNMETIFSAASTSFGQMETFWASDLPKARYIWCKSSKPDWMDRGDYRSFVNLRHLHLRSCPRLEFVLHADGTYSFPSLETLNIIHCSELRHVFVLKMTYSRRRRDIATYGATFPKLTTIRLQDLPLLQQICVVKMVTPMLHTVKVSGCWAMHRLSTVIATGGQEKKPTVEMEKEVWDALEWDGPEAGHHHSLFEVRHSHYYKKKLVRGALLSLLSLPLLPCS >KQK97712 pep chromosome:Setaria_italica_v2.0:VII:22813530:22817232:1 gene:SETIT_012060mg transcript:KQK97712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWHDGLAAPPRVLISPRPSDASAQGNVLSLRHPRSGDETGYLFIDGQLQEINWFKERYGAWFLGDYVCEDGGLYYCTPVDPIFIFLPTFEAARMSNGKDPGKFRQLDEILYVEGYPGYQQLMSVVGHHMELVCEVKEVANMKFFRLDDSKVLSWLCCKVYNLKEVFPKLGKNYAAQEEKEQLKEAVQMIREYLKDEPWLTLLCKKLQLDMNEIIKDTTTKTSEASFYADSSPAPACPSESKVANGSAKSSKGRAAKKPKTEVGSKNIKDMFRRVTRSGSGS >KQK97253 pep chromosome:Setaria_italica_v2.0:VII:19986891:19987256:1 gene:SETIT_011673mg transcript:KQK97253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TQLRKARKEKRSSKTLSSLLRLQEQLDTVAKGTYVLGQDLDTVSNLVARLSDGIERENAMARCCEERSGERSSVLEMVNELRSSCSSSSRLSDELEEHVCLFLASIHRARILVTQENSKQE >KQK96287 pep chromosome:Setaria_italica_v2.0:VII:3086179:3095598:-1 gene:SETIT_009639mg transcript:KQK96287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEESGSGVAGAGGGEAPAPSAPNGDRSGNSPPAAESSSADDRGLHRASTMPGVIKNDEITNETVGPSNLERSRTERRRQNNPADDPAKQLFDERIPIKKKLKMLNRIATVKDDGTVVVDVPSGLEPATAGGTEDAYTEVPVDESLDGADIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIAIQRKQIKEIIFSLLPACKDADPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPESLVKWLEAGDKPIYIGFGSLPVQEPQKMTEIIVKALEMTGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGLGPPPIPVDQFGLQKLVDAINFMMEPTVKEKAVELAKAMESEDGVTGAVRAFLRHLPSKTEEQSPPQSSSFLEFLGPVSRCMGCS >KQK96288 pep chromosome:Setaria_italica_v2.0:VII:3086179:3095397:-1 gene:SETIT_009639mg transcript:KQK96288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEESGSGVAGAGGGEAPAPSAPNGDRSGNSPPAAESSSAVDDRGLHRASTMPGVIKNDEITNETVGPSNLERSRTERRRQNNPADDPAKQLFDERIPIKKKLKMLNRIATVKDDGTVVVDVPSGLEPATAGGTEDAYTEVPVDESLDGADIPYRPPMQIVILIVGTRGDVQPFVAIGKRLQDYGHRVRLATHANFKEFVLTAGLEFYPLGGDPKILAEYMVKNKGFLPSGPSEIAIQRKQIKEIIFSLLPACKDADPDTGIPFKVDAIIANPPAYGHTHVAEALKVPIHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINEFRKKKLKLRPVTYLSGAQGSGNDIPHGYIWSPHLVPKPKDWGPKIDVVGFCFLDLASNYVPPESLVKWLEAGDKPIYIGFGSLPVQEPQKMTEIIVKALEMTGQRGIINKGWGGLGTLAEPKDFVYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGDRVHARGLGPPPIPVDQFGLQKLVDAINFMMEPTVKEKAVELAKAMESEDGVTGAVRAFLRHLPSKTEEQSPPQSSSFLEFLGPVSRCMGCS >KQK96449 pep chromosome:Setaria_italica_v2.0:VII:7659497:7673047:-1 gene:SETIT_009164mg transcript:KQK96449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSSGDNNPNPNLLPRRRGRSSNADKGKEQQQPEPSESSRVREAERLLGLGFKGTEDDDDAGFGAGAIPHSLTSASTALQGLLRKLGAGLDDILPSSALSAAAASSSSASGQLGGRLKKVLVGLRADGEDGRQVEALTQLCEMLSIGTEESLRAFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCSAVVHYGAVPCFCARLLTIEYMDLAEQSLQALKKISLEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCRKLPSDASDFVMEAVPLLTNLLNYHDSKVLEHASVCLTHIVEAFSSSPEKLDELCNHGLVAQAASLISVSNSAGQASLSTSTYTGVIRLLSICASGSPLASKTLLLLGISGILKDVLSGSGLVAGTTVTPTLTRPADQIIEIVKLADELLPPLPVGTISLPMYSDVHMKGSSVKKSTCSKQGEPGSIENELSGREKLLRDQPELLHQFGMDLLPIMTQVYGSSVSGSVRHKCLSVIGKLMYYSSAEMIQSLLSTTNISSFLAGILGWKDPQVLIPALQIAEVLMEKLPEIFLKMFVREGVVHAVELLICPEFSGQVTPQMSQLDNHVDSITSSCSRRNRCRNNAVNTENNLPDEPKGSHSVIANALLSTTEVQNNDLCALVSNLAKSFKDKYFPSEPGSTDISVTDDLLKLRVLCAKLNTTADTIKTKAKGKSKATMGNSFDVICNVEDQLDSIIAEMLSELSKGDGVSTFEFIGSGVVTALLNYLSCGSFGREKVPEANLPNLRHQAVRRYKAFISVALPNYEDGNRTPMALLVQKLQGALSSLEHFPVVLSHSGRVQTLGGSRLVTGLGTLSQPFKLRLCRAPGEKSLKDYSSNIVLIDPLASLAAVEEFLWPRVQRTESVSKPVVSSANNSESGAAISTAGALSIPSATQSARRASLRSKSSAATSGAINKDHQEGSINALKGKGKAVLKSSLDEPKGPHTRNAARRKAASENDVELKPSNGHSTLEDEDLDASPVEIDDALMIDDDDEDVSQDEDADHEVLQGSRPACVPERVHDVRLGDADDSSVVSLANSNQAQPSSGSSTKNTSSTGLDAAEFRSPSTFGSRGAMSFAAAAMAGLGSRGIRGSRDRSRATEHYNKLIFTAGGKQLNKHLTVYQAVQRQVVHDDEDVDQLGGSDLPDDGNHFWGDVFTVTYQKADYAVEKGPVGGSASAPKFSQSDSCKPLSRKQCTSLLDSILQGELPCDLEKSNQTYNILSLLRVLEGLNQLSPRLRLQATSDNFVEGKVATLDGLYGVGAKVPLEEFVNTKMTPKLARQIQDVLALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALHRLQQHPGDNNNTAIEREIRVGRLQRQKVRVSRNRILDSAAKVMEMFSNQKAVLEVEYFGEVGTGLGPTSEFYTLLSHDLQRVDLGLWRSHSTGNSGTQIDGNGYHLIGIKHESESLFESRNVVQAHLGLFPQPWPPSAAALEGSKFFKVVEYFRLVGRVMAKALQDGRLLDLPLSTAFYKLLLGQELELYDVLSFDTEFGKILQELQILVARKRFLESCCSDSRKIEELCFRGAPVEDLCLDFTLPGYPDYVLKEGGENVVVDIYNLEEYISLVVDATVKTGIMRQVEAMKAGFNQVFDISSLQIFSPQELDYLICGRRELWEPEILLEHIKFDHGYTSKSPAIVNFLEIMAEFTPEQQHSFCQFVTGAPRLPPGGLAALNPKLTIVRKHSSSAANTTNATGAIESADDDLPSVMTCANYLKLPPYSTKAIMLKKLLYAINEGQGSFDLS >KQK96207 pep chromosome:Setaria_italica_v2.0:VII:1748595:1749063:1 gene:SETIT_011649mg transcript:KQK96207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQHLILLLAVLMVSILHAVSSQNNLPRGLLPQGVRSYVLHPGSALELNLPGECSFFVSVAGKQFQFCYASRAGFAWLGFNQVSRAGNLLNIQLEKSTQSFPVSAFAQSPRCN >KQK97954 pep chromosome:Setaria_italica_v2.0:VII:24166756:24169349:1 gene:SETIT_011116mg transcript:KQK97954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGGGRRAATAAALGRWCLVILAVASALGVSGPAFYWRYKKGFSASLSSPAAVSSSSSPACPPCSCDCPPPLSLKSIAPGLANFSTTDCGKNDPELAKEMEKQFVDLLNEELKLQQVVAEEHSHHMNATLVEAKRQATQYQREAEKCNAATETCEEAREQSEAAISKEKKLTALWEQRARQLGWQESRATSM >KQK98440 pep chromosome:Setaria_italica_v2.0:VII:27025632:27026747:1 gene:SETIT_012417mg transcript:KQK98440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKANGKEDTKRTGLEGTGLPLQGSSHGNLRSAGSDQQLRQLLDSLKSSKSPAVVNYGASWCRVCSQILPSFCKLSNEFENLTFIYADIDECPETTQSIRYTPTFHFYRDGERVDEMLGTGEERLHDRLWLHS >KQK98001 pep chromosome:Setaria_italica_v2.0:VII:24431413:24437080:-1 gene:SETIT_009280mg transcript:KQK98001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGNVYDQKKAMINLFDRGLGMSNSNMLTDRPRRDGSPACRSRQDVKRTIDPAKVYAEDKLGASNWSSTSNKSNASPLNVVLAKEMSKELESKKKPPSVVARLMGLEDDLPGQGATLQSAKRNLKKSHLNSNSAERNSLHQHQEQYSSTMTTRDIHIGHKETVQFKDVYEVSEEPIRTYHLQDQTFPSGASSRSKRDIRMEIVRQKFMEAKRLATNEKLLHSKEFQDALEVLSSNRDLFLKFLEEPNSTFSKQLAGLHKSPSPPHTKRITVLKPNKSVDNEGRREIRTHRINEEHEHVMPRTHRRSHSAEVTFSQPTRIVVLKPSPGKPSRTMARLTPRAAPGQLTEQIDFYGGLEDDNYLPDGLHRRDESLLSSVYSNGYGGDESSFSRSEVDYIDEDGNLSDSEIVSPVSRSRHSWDHIKRYNSPYSGSSFSRASRSPESSVIREAKKRLSERWASVAYNEINQEQMRLPRSSTTLGEMLSLRGAKKEVGGVGSVSSSQPCDAENELTLQATCISTFIENEGDGQSSPKNLARSKSVPVSSSKFDNIAPNAPSSNSEGCKTLNVVTRSDKVKSSFKGRVSSFFFPKSKRQLKEKITLSASSDEKVEVTCFGSMKPEAAQNIGADENMSFREDKDDSSTTQTICSSKDIVSIEAPICSVCPSGHFDGLRSGGGLNGTRDEPSPTSVLDASFEDSNINESESLRSITCGNERIGLRSDAIESVTRSLSWEDMSSPSPLLGMTKLTHLSSGDNDELECVAFVQRIVSSSGLGDLQLGTVFTGWHLPDCPLDPALCDKLLDRKEEAAKSRERRSNQKLLFDYVNMTLVEIGQDTLLRAYPWSQARSMAWKESLSLDLVEEIPHLMTDWLYGSGKFAVNENDDAGTILERIMQQEVEGRGWVKSMRWELDGITELIAGEVLEELVEETVDDLAICSPHQEMPMATLQL >KQK96699 pep chromosome:Setaria_italica_v2.0:VII:14199531:14199692:-1 gene:SETIT_012520mg transcript:KQK96699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLGCVVVAHDALGGELRRDLFGVAMPELFSLPLAAKQGSLSAHISGYIGAAP >KQK97237 pep chromosome:Setaria_italica_v2.0:VII:19872998:19873850:-1 gene:SETIT_011231mg transcript:KQK97237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRASWMSLGMMVTRLAWIAQRLVSSNSPTRYASAASCSAATAEDWNRRSVLKSCAISRTRRWKGSLRISSSVLFWYLRISRSATVPGRKRWGFLTPPVAGADLRAALVASCFLGALPPVDLRAVCLVRAIGAERRIGGASRLRWGGFGPCLVTS >KQK97281 pep chromosome:Setaria_italica_v2.0:VII:20245638:20247153:-1 gene:SETIT_011131mg transcript:KQK97281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASCPAPPRQLCSAVALPALSPSASRHWRLVGSGSSSARRWPCRRWAHRPESAASRIRRPPPSRRAAAVRVICAYPPGAERITACSWNEYVICSDVPVLIEFWASWCGPCRMVTRTVDEIAQEYDGRIKCYRLDADDYPQIATAYNIDRIPTVLLFKDGEKIHSITGTLPKAVYVKAIEKSISEQ >KQK96393 pep chromosome:Setaria_italica_v2.0:VII:5109074:5111722:1 gene:SETIT_011860mg transcript:KQK96393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVILFAMLLGEIETLDDDVVPYEDDTVDAKDIVTRENLEITTRVDASDAIATNQEIKAEDDVLESEDGNNLLDLINRVDALENAVDLELEDLKVSRHNKPKKVHFKEVQPQDYTCDEEDESIIKSIGGCREEHVVVRVDDIFINFETFKCLLRRNAYVNGYVINAYINLIRAEKHLLCMAKSTIYLGNTLIVGLLQRDGKNKEKIKPNIKEDSIVERVMKYVAHDLVFLPINIEEMHWYLAVVNPKRCEIHVLGSLGPMSRDDLGHVLDGLKLQIDAALGVRDITDHKWPDLQVSLWPVVEQFYHRMQTDGVSCGKYYEKFAVYILAIIYTTVKSDMRNFRLKLAATLCDSTLNTTKELPDDGITDDYTFDTIEFVIENKTQLSQLMCIRKEWVPSSDPYPISLSLKNLQDILDVSRSMDVDVFNLAVRMLACDMAMVLREPKSHFMELTFSIIHHNPAYNHPSYYYLPRIQKIAKTYDRAMDEIDPSWNDDIYDWNHIYLCLVPKTFDR >KQK97722 pep chromosome:Setaria_italica_v2.0:VII:22867569:22872145:1 gene:SETIT_012139mg transcript:KQK97722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHTNYLQDSRRAWGSSDDGEYTVDILPESKHRDGSIYRCMDTLWKEEYRIVDRNETRLEAMTLSDSADCIIHNGTCMQHYLGCMLQIFSLELAKIPMDGGSVELYGYIAMRDELDPLLNYVINFSRDEPIVGSLINMAGPKRGIDMMDYALIVYDMRIKSGEQEKDDLQLIDGASIIGPAGLWCSSRVRITGGCGAIDLILSRLENAAEATVEVLISEVQSSFSLSLGCLTSGLNNEIRLFDGAIAESCCLKRSVVAVVLDSLIDLKFKLGSLLSSSDLHCCYFKSKTHGHDTQEIKTDFALISVKVTWSTLPGA >KQK97983 pep chromosome:Setaria_italica_v2.0:VII:24266726:24267406:-1 gene:SETIT_012016mg transcript:KQK97983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METDAATRRYRLWLRGLRSLRGELRSARWSNYPAQLAALVGCFVAHLESYHAARAELDPVVTLCAPWATAAERSAAYWLAGWRSTTLVHLLYTESGRRLQAQLPDLLLGVRWPGNLGDLGPAQLARVDALQRRAVAEEDALSREMARVQEGRGGVVTAGPEVALDMGVLVARLGAVLRGADALRLRTMRQAVEILQPAQAAELLIAAADLEIGLHEFGLKYGGRDE >KQK99446 pep chromosome:Setaria_italica_v2.0:VII:32921406:32921930:-1 gene:SETIT_012577mg transcript:KQK99446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEFTFPSVPFEHCNAAKKVSFPHFASPSPWLVVPGGVVDTAAHESFSAVEQQTAAGSDHHHHLGGYDGHHHGSARFAVEDKMDMLWEDFNEELARAAQPCPLTKGTPSWAATKESWFAGDGYEGAAETRKHAVVRRRRMGLLMMLRLLKKLFLAHKSGAAPSRKTPPI >KQK98343 pep chromosome:Setaria_italica_v2.0:VII:26389842:26394423:1 gene:SETIT_012539mg transcript:KQK98343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDYGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEILHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVVGEEDISKVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHLQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNGVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFQSPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRQKKRFTGEMDVMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEPWHRHDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSEIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPESGPYWRPNNEYIRWYCTSTRR >KQK99829 pep chromosome:Setaria_italica_v2.0:VII:34952172:34953278:1 gene:SETIT_012353mg transcript:KQK99829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLAMQNLREPRFTRSRVTNHQLSGSSNSFPICCTVGAEEPTAVERLSNVQIPFPCLDLQAKEVWITTIEDELPEVEPHTDVSKLFYPSTAEALEAEAKAKKKDGIFKLGSIGCLPRPSIHYQPFSSAVSNPNSLVNVFALFGENKNKNKILYSNVEGHTNIYNTEFHSFMTMPALNSPKGPNCMVAHITRTAAHVSLYMMDMGLDKPGCFEVLAYYPVGEWQWRLLPLPPFFDDLEYKACNNIPYAVVDGTRICVSSATATYSFDTVALKWSKTGDWVLPFHTKADFVPELNLWLGLPASSPSDLCAVDLSTGTIDSCDVPPVVQHVGLGFDLPKD >KQK96649 pep chromosome:Setaria_italica_v2.0:VII:13406456:13406920:1 gene:SETIT_012175mg transcript:KQK96649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQVIAIQLRRLTDMLEKRAMEAQMVDEYVDIGDEMPTMNYHWQ >KQK97109 pep chromosome:Setaria_italica_v2.0:VII:19114310:19114909:1 gene:SETIT_011316mg transcript:KQK97109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGLGLLNSEPKAQRVLAAHPRVPSPPKRREDASANSPSPRRSVQAPPSISLPNRRRCPYAFPSGTAAPTPLHLLGPATAPPASASAATSASTTATAPRLPRLAYIVLSSRLSAPQLQDPLLVHLFTG >KQK99755 pep chromosome:Setaria_italica_v2.0:VII:34593752:34597420:-1 gene:SETIT_009289mg transcript:KQK99755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAFPWRPKLSCRCLRWRPVLLRSPGQGIPEQKGLQLRAFPPSACFIPPSLSPSPRLPAPFPVTQSLPCLAAFPIHQPRPSPVTALVRGNSVRDSWPSPPPVVSSRKVSLYLYLRAEFMETKMPFDSNNLIFHIKRIVYPSIRLGYQSACDYPVVLGIGVLLLFLHKLCPSLFTFLLSSSPVFLLTALLLGALLSYGEPSAPVIEEETLENQKKSSPESKVSVTERSAEEVQNVAVTRAAKIFETPVFCIEERTSDILVHDSHRDEENVIYMSADTVLSAETSVLSKNEVIVEREEYVKEFCEEVELKQFESTTTERCHYEVNNQYQFGELMSACWEPVMRQEPCSDSESDLSDSSSDASITDIIPMLDELNLPVNLGTDHPSSTFRDNLNSSSDDDEDDSKEDGDLSSDEDRTEEEKADGNFWKDFMDPSSSDTEKNGNLESLMDRRKAKNILKFELDRRLMDMQAADAIQKMEEASRFRVQVPSISTPRRNPFDPSSGSEEIAELPKIPDSAPSVLLPWRKPFDISFDQIVDHGNRLQETWTPRSRFPSTQRRKHENLYLKQSTYLRHHNGTKPEKPEVSEKDASDNHSYNNSEQAWNNGKLFGSLEPHVGDEIKILSAAISDVCVLEVNEGTKSTDPVIGTDSFYIQKSISSTSKANDLVSAGCEQLLLCSLSEEYNTEKHIVEADSISEVNSLFKCRMEEVLVQSISESGIDQPLTGKLEHELNGTLCTESAMPAIEARSVEELNSQFVQLSGEALECATSDSSCDDEHIQDRSSEALPVENGHTSELPKKDCHSYPTPDNPVAVNVKCKSKELLTEDTELPVLEACSVEEMNSLFRQLEDEAPAQMPHSSDLMVGEHNGDIDSGVLVPDANSSEGIGSAFVHLSNDDEKIKIPGDGEVILGSVELNSGLHVMETNALNGDDTSGFDST >KQK99927 pep chromosome:Setaria_italica_v2.0:VII:35429855:35430085:1 gene:SETIT_012374mg transcript:KQK99927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVNSSLCLENLRMMHENERLRRKAQQLDQENKALLAQLKRKQQQQQHNSSASSSASQQQQGAPSAATAANNFKAA >KQK98877 pep chromosome:Setaria_italica_v2.0:VII:29716378:29718561:-1 gene:SETIT_010411mg transcript:KQK98877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVKHTFKVLSWLLLFAQLAFASTSNCTNATDGTETDKLGAMKLKLIAIASILTAGAAGVLVPVLGRSMAALNPDGDIFFAVKAFAAGVILATGMVHILPAAFDGLTSPCLYKVGRDRNVFPFAGLIAMSAAMATMVIDSLAAGYYRRSHFKKARPIDNLEIHEQPGDEERTGHAQHVHVHTHQTQGHSHGEVDIIGSPEEAAIADTIRHRVVSQVLELGILVHSVIIGVSLGTSVRSSTIRPLVGALSFHQLFEGIGLGGCIVQANFKLRATVMMAIFFSLTAPIGIALGIGISSSYNGHSATAFVVEGVFNSASAGILIYMSLVDLLATDFNNPKLQTNTKLQLMTYLALFLGAGMMSMLAIWA >KQK99316 pep chromosome:Setaria_italica_v2.0:VII:32310834:32313405:-1 gene:SETIT_012538mg transcript:KQK99316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LYVGQISPHTRIHDLEDLFSKYGRLRKVDLKRDFGFVEFSDPRDADDARYDLDGRKFDGSRIIVEFARGVPRGPGGVRQYDKGPPPGRCYNCGMDGHWVRDCKAGDWRDRCYRCGEMGHTERNCQNSPKDLKRGRGNSRSPSPHPGKGRGRSYSRSPSARHGRDQNWSYSRPPSPRRDYYHAGGEELPPRSPHHSPIPRRNLPPREQAERNGSYHGGSPRKGEARGKRQ >KQK99970 pep chromosome:Setaria_italica_v2.0:VII:35598126:35599514:-1 gene:SETIT_010710mg transcript:KQK99970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWDWACLGRGWERENCREGMATTTPLVVSSSCPYPHHRRRFPGKPPKPNALPPLPSLSLRAIPAAAPLPRRRRNVTAAYGEDDMDDDFGDFDADDADGVGEDDDIDNEQDYDVAYDRFLAPVKPPLPSSSLHGEEGDIAMVAAESFVSTQDSASDTVVDYTVDEDEFHKIRLLHCDFLIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPVPQKYVRCTKKDFSRYNVTEPPVEHLRDPLYKTEGNHEGILSLVFFPLPPLLCFVLSKGKVDLVNVLCKLYYPYLALMCKLLFL >KQK96330 pep chromosome:Setaria_italica_v2.0:VII:3753110:3754070:1 gene:SETIT_011552mg transcript:KQK96330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSTLGGISQHPCNGCAHAGATGQLSKDVAQALAAPSNHAPLHLRAPQAELRKTILEVAVPNSGPHDL >KQK99249 pep chromosome:Setaria_italica_v2.0:VII:31821262:31821854:1 gene:SETIT_012969mg transcript:KQK99249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILTTLKESFFIYVQQLPVTCSVVPRKKKLLVLLSKQIKVRSEPEASR >KQK96376 pep chromosome:Setaria_italica_v2.0:VII:4726755:4727156:-1 gene:SETIT_012732mg transcript:KQK96376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGYFRAPRRLYGRKPEREQHRESLSAALLVDEGEAAAAAGAVPKGYFAVYVGAEARRFVVPTSYLRQPAFRELMERAAEEFGFDQAGGLRIPCREDDFEATVAALEKSRRGGGGGRARGAPAGPTRWARCS >KQK96642 pep chromosome:Setaria_italica_v2.0:VII:13273525:13274430:-1 gene:SETIT_012376mg transcript:KQK96642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLALWMRWLWLSRMDGDKTWSSFTFKEKTTAKVFFEASVMVQVGDGATTLFWMDRWINGCFIKMLAPHLWMAVPTRVRNIRTVRDAFQASKKVYKTQWKGFDSLVRLVAWSLSKEWNRRVHERTALQPVALAPVILEEART >KQK98507 pep chromosome:Setaria_italica_v2.0:VII:27571420:27574961:1 gene:SETIT_011510mg transcript:KQK98507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVFASSKMGPYMSKLLRNMLAARLIRKQIFNSIWEVYESSSVLTTDGSKSPCNQLPMSIGIKGLCKNRTKWKKAKAK >KQK97079 pep chromosome:Setaria_italica_v2.0:VII:18813357:18813783:1 gene:SETIT_013070mg transcript:KQK97079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSFRGIKLEKTLLYSLHVYFHKRKVLMRRF >KQK97598 pep chromosome:Setaria_italica_v2.0:VII:22101580:22102931:1 gene:SETIT_010728mg transcript:KQK97598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKKNGGSGAGFLKPLGGLLSASMPGAGAVFFLVGSALGFVAVLHASESEGAGGEWASAARGAARRAAELADSVGSHHLLIAISLLFLAASVWRLGKRCAAVEGLAGSADSAVRALLVGGVVCAVCGSKIQALKRGRRVAERTHSDSSNGYPDKPISRSLAAEFEQEADKDEEDNAGESSDSDEGNVQYLRRRLKEERLLKEVALEELEKERLAAASAADEAMAKIACLRSEKALVEREARQLQEMAKQKQLYDRQVIESLQWVIMKSGMQGWEPEAASDPAASETSEDDRDRK >KQK97649 pep chromosome:Setaria_italica_v2.0:VII:22393044:22393526:-1 gene:SETIT_012050mg transcript:KQK97649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDARSRSRAMEVAAKANGVSTIEITGDLKDRLEVVGEGIDIICLVMCLRKKLCHAEILQVAEVKPEEKKKPEEKKPDEPKPCACPGPCRCAGGYCYAPLPMVLCEEPPAGACRIM >KQK99575 pep chromosome:Setaria_italica_v2.0:VII:33597861:33599338:-1 gene:SETIT_010231mg transcript:KQK99575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVTDYQGSSSSPFSFGRSLLSLRRDTTAMPSGEEADLEAFQRHVAVTLAELVPGGEAGGDAAAATAGGEEFLSVAWIRRLLEGFVICQEEFRVVVAQARRRGALPAAAEKMVAEFHERAVKALDVCNAARDGVDQVRRWERLADIAASVLRAPGEIHEGQLRRARKALSDLSVLLVDDTAASGSGGVASFLASHRNRSFGRARASPSRSAVSSATASASSSHFRSLSWSVSRTWSAARQLQAIGAGLAAPRAHEAGLAAPVYSMGCVLHLAAWALVAAVPCPDRATALQAHHLPAAPPRAAFPWAPPLLTLQERLAEEGKRKDRRHSCGLLKEIHSLEKSTQKLAEAIDAAPIPLFGDRETDVREAAAELAAVCEAMRDGLEPLERQVREVFHRIVRSRVDGLDSSMHNAD >KQK97223 pep chromosome:Setaria_italica_v2.0:VII:19693444:19697357:1 gene:SETIT_009418mg transcript:KQK97223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKEETPPIGGGGGAGGDRGAFAFISKGWREVRDSATADLRLMRARADRELEHLLASASALAGPAPPLPPVAAGAPIAEVEFVRKRIQPKIQELRRQYSSRAPDGGGWPPGASSLRVDLSAITAIRNAIVAEGDGAEGWTLARRKGERSEEGRKEWEVVRMIRSGLKEFERRSLSSDMFAGLHGRGEFVEKFKLRLKSLNKDYQESKVVPPLDLSEILAYLVRQSGPFLDQLGIRRDLCDKLVEMLYSKRNGRLMYHSLSEDRTLAENINEDLDLRIARVLESTGYHADEGFWNDPAKYKISDNRRHVAIVTTASLPWMTGTAINPLFRAAYLARSAKQKVTLVVPWLCKSDQELVYPNNITFSSPEEQEIYIRNWLQERVGFEADFKISFYPSKFSKERRSIIPAGDTSQFISSKEADIAILEEPEHLNWYHHGKRWTDKFNHVIGVVHTNYLEYIKREKNGAIQSFLVKHINNWVTRAYCHKVLRLSAATQDLPRSVVCNVHGVNPKFLNIGEKIAADRERGQKVFFKGAYFLGKMVWAKGYRELIDLLSKHKKDLEGFKIDVYGNGEDSEAVQTAARKFDLSINFFKGKDHADNSLHGYKVFINPSVSDVLCTATAEALAMGKFVVCADHPSNDFFKSFPNCLTYKTSEEFVARVKEAMVSEPQPLTPEQRYSLSWEAATERFMEYSELDKVLNNTNGHPGRGGKINKLRKIPLLPKLSDVVDGGLAFAHHCLTGNEILRLATGAIPGTRDYDKQQCMDLNLLPPQVQHPVYGW >KQK98124 pep chromosome:Setaria_italica_v2.0:VII:25139020:25146741:-1 gene:SETIT_009315mg transcript:KQK98124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRENPKVDQAVDSNEESRKHRRGAYLLLGLLIVFLHGSWSVYQIQFGNLPLPLDAKQAGKRGFSEASALEHVKYLTSLGPHPVGSDSLDLAVQYVYAVAEKIKKTSHWEVDVQLELFHTDIGANRLSKGLFKGKTLLYSDLKHVLLRVVPKYMPEAEENLILVSSHIDTVSTTEGAGDCSSCVGVMLELARGVSQWAHGFKSGVLFLFNTGEEEGLDGAHSFITQHHWRNSVRFAIDLEAMGISGKSTLFQATDHWALESFAAVAKYPSAQIASQDVFRSGAIKSATDFQIYQEVAGLPGLDFAYTDTTSVYHTKNDKMKLLKPGSLQHIGDNMLAFLLHSAASPNFLKNAQEQKKENTEQNKVVFFDILGKYMVVYPQRLATMFHNSIILQSLLIWGTSLLMGGRPGLVSFGISCLSIILMLIFSICLPIVVAFILPHICPFPVPYVANPWLIIGLFGSPALLGAFIGQHVGFILLKRHLRHVYSRTKPSLTHNTREYVIDLEAERWIFKSGFVQWLIVLTLGTYFKVGSSYIALIWLVSPAFAYGFLEATLSPVRLPKQLKVVTLVLGLVAPVVSSAGLAVRMADVIVGSVVRIDRNPGGLPYWLGNVIVAVAIAVVVCFMFVYLLSYVHISGDKRTLGLLLCLFFGLSLALVSGGIVPAFTEDVARSVNVVHVVDTTGIDGGNREPLSYISLFSNTPGKLTKELVDLGDEEFFCGRNMTVDFVTFTMKYGCWSYKESSTGWSKSEVPVLHVESDSVTDGARQTVISVDTKSSTRWSLGINKQQIDDFTVQVDSEKLVLLGGKSEVDGWHTIQFAGGKKSPTKFQLTLYWSNSAAQTSGREANKEAADVPFLVKLRTDVNRVTPQVAKVLEKLPRWCTPFGKSTSPYTLAFLTGLRVDI >KQK96993 pep chromosome:Setaria_italica_v2.0:VII:18090075:18096201:1 gene:SETIT_009189mg transcript:KQK96993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYKKWTNLFNLGVCTYLFAVSARGTTGIRITFTDSSITEPLLTPSVGQQMEAERTCLYGRAGILQLITFSWMNPIIATGYRKTLDQNDVPDLDGKDSTEFLSDSFKKIINDVEHRHGIGTSSIYTAMFLFVRRKAMINAALAVLSASASYVGPSLINDLVKFLAGDRQYGHKRGYLLALALLSAKVVEAIAESQWWFGAQHLGMRLRAALISQVYQKGLQLSFSSRQKHNSGEIINYMDVDIQRISDFLWYTNYIWMLPIELFLAVYVLYQNLGAGAWAGLAATLAVMACNIPLTSMQKKLQAKIMAAKDERMKATTEVLRSMKILKLQAWDMQYLQKIEALRSEEYKWLWRSQRLSALTTLVFWGAPAFISSVTFGSCILMGIPLTAGSVLSALATFRMLQDPIFTLPDLLSAFAQGKVSADRVAKYLQEEELKCDTVTQVPRSDTCYAVEIYQGTFSWELETTSPTLTDVELRVKRGMKVAICGMVGSGKSSLLSCILGEMPKRNGTVRVSGSKAYVPQTAWILSGNIRDNILFGNPYDKEKYERIVQACALTKDIEMFANGDLTEIGERGINMSGGQKQRIQIARSMYEDADIYLFDDPFSAVDAHTGSQIFKDCVMGILKDKTVLYVTHQVEFLPAADLILVMQGGKIVQEGKFDELLQRNIGFEAIVGAHSQALESVMNAESSSRISSDNQKSADTEDDLDAENKTDDQLQGITKQESAHDVSHNTNDKGRLTQDEEREKGGIGKKVYWVYLRTVHGGALVPIIIAAQLLFQIFQVASNYWMAWASPPSSATNPTIGLGLLFSVYITLSMGSALCIFARSMLTSLIGLLTSEKLFKNMIHCILRAPMSFFDSTPTGRILNRASNDQSALDMDIANKLSRSMLSVIQILGTIGVMSQVAWPVFAIFIPVIVVSVLYQRYQIPAARELARLYKIQRAPILHHFAESLSGASSIRAYGQKDRFIKANLGLFDNHSRPWFHNFASMEWLSLRLAMLSTLVFAVCLILLVSLPEGLLNPSIAGLAVTYALNLNYQLTSMIWNITRIENKMISVERILQYSRIPSEAPLLVDYCRPPSSWPQNGTISIRCLEVRYAEHLPSILRSISCTIPGGKKVGIVGRTGSGKSTFIQALFRIVEPREGTIKIDNVDICKIGLHDLRGRLSIIPQDPTMFEGTVRGNLDPLNEYSDQRVWEVLDKCQLGNIVRQTPKKLDSTVVENGENWSVGQRQLFCLGRVLLKRSNILVLDEATASVDSSTDAVIQETIRQEFWDCTVLTVAHRIHTVVDSDLILVFSEGRIVEYDTPSKLLKNENSEFSRLVKEYSRRSHCSGGRGNN >KQK97906 pep chromosome:Setaria_italica_v2.0:VII:23898726:23901246:1 gene:SETIT_012680mg transcript:KQK97906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSANHWGGSFEIATDGASEDDDSRNMDLDPGALQSARQLRHELDETQQGWLLGPPAKKKNRHVDLGCVVVKRKVLWWAFWGLVAGFVLVGLPVIISKSIPRKTPRPPPPDQYAEALHKALLFFNAQKSGRLPRSNGIPWRGNSGLKDGSDAKDVKGGLVGGYYDAGDNIKFHFPMAFSMTLLSWSVIEYSAKYKAVGEYDHVRELIKWGTDYLLRTFNSSASSIGHVYAQVGAAKVKGRTPDDHYCWNRPEDMAYPRPSLSVSSAPDLGGEIAAALAAASIAFRDDDAYSKKLAHGAATMYEFATRDSSNNQQQATYSSHRPEIEPFYNSTSYRDEHVWGAAWMYYATGNASYVAAATRPGLAEDASAFDDVPDLSVFSWDNKLPGAGMLLSRLLMFLNPGYPYEPSLAGYKRATDLDMCRCLRRFAAFNFTRGGLALFNHGGGQPLQYAVANSFLAALYADYLEAVNVPGWYCGPNFVPADDLRAFARSQLNYILGDNPRKMSYVVGYGERYPRHVHHRGASTPRNGVKYSCTGGYRWRDTKKGDPNVLTGAMVGGPDRRDRFNDSRMAFGQTEPTLAGNAGLVAALLAVTSSGRGVGVGAVDKNSMFSAVPPLFPATPPPPPVWKP >KQK98783 pep chromosome:Setaria_italica_v2.0:VII:29139669:29140033:-1 gene:SETIT_012903mg transcript:KQK98783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESALPSVTRPMGAVTTGRLPNQPVQYCPGTTTDYSG >KQK98845 pep chromosome:Setaria_italica_v2.0:VII:29572678:29577186:-1 gene:SETIT_009313mg transcript:KQK98845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAAPPEFVEVRCAGCGETLEVEPALTEFACPDCGTQQALPPELMPPPPPRPRRALPIPGRRPAAAAPVPVPVPAPAPARMPCGACAALLSVPAGLAGFACPLCGVELTVDGGRLRVYYASPPRVSVSVLAPPPAGITLRPSPHRRPEQGQVEKFNHLTCSIHREETFSYSKTGAICTMLAQKEPSVHSAHREESRIEPINNTIAKSSARKTKLPTCPESTGVQKVRQEPPIHVSSASRAQVCPSSYSVHSQGQQPVEDIVSHGQQINGYRAVSSTIQHETIEAPNQVNCVEQAQGEYHSKATGWNLKRKRSGKSASVQKGKKKGLTSYPNGGPENPINDEPVQQPATSNQCNSDPLNIDKIISNLCPSPLPQHQMPQASSSQWGQADAATGPSPSNHDAPQDGQFPLYYSQPYPPEVPDEHSLDRIGDEQPHSPEAQFHVMCAQQQDAQRAHSLLESVVKSSGKRRGRGHQPTRLVPPRREVDRPVLTPNIIDKWDVNPPCPKVASTITILLKQKYPGSTYLPAGQRREVPPDGDVVLHWQQYPPETRDAILNEFLQRYKWAPGREAECLKLFERRASRQFAGILCEEKRKVRLKFTAVDKSNEASGAHRSNRHAESEDENKEEPEDQQALERSEDDDPLLWKPFPPAWIYPSWWERLCEHWAKEEVLKMSSQNRKNRYTGGRAHHTSGSRSIAMHRQIMVIENGGKPVSELEVFNKTHRRNGGTGEFVSERAKRTVAGFKKRMEEAGDKVHPHLAWVQEVGGRNRGRYYGLNGIIDKDEVDELAKSTPNCFGIKGHRQKFTQEQVQQMINQALQGLNETWEKKFKSLEQSLRGAPPLGTGPEHAPGSSAAREGGQEDQSRHHQVSDQVMKVRRSISEQGIHKESFWYQDFCKFLVPRFLQC >KQK96694 pep chromosome:Setaria_italica_v2.0:VII:14039876:14046392:-1 gene:SETIT_010349mg transcript:KQK96694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYGEISLTGNEFLTPRWEKIMVSGVTLGRSILMDRGLDFSSIFYWISVGALIVFILLLNIGFAIGLTTVRRTSQALVSRDKLTILQGKERANFEDIVNKSPKLPKAALDTPNFIGKVIPFKPLGITFQDVNYYVDTPMAMREKGFSDSKLQLLHNITGAFQPGVLSVLMGVTGAGKTTLLDVLAGRKTGGVIEGDIRIGGYPKVQETFARISGYCEQTDVHSPQITVWESVVYSAWLRLPTEIDPEIRYDFVKEVLETIELDEVRDSLVGLPGANGLSTEQRKRLTIAVELVSNPSIIFLDEPTSGLDARAAAIVMRAVKNVADTGRTVACTVHQPSIEIFEAFDQTFWEQFKACLWKQCLSHWRSPSYNLVSHHNTVE >KQK96651 pep chromosome:Setaria_italica_v2.0:VII:13414326:13423039:1 gene:SETIT_009517mg transcript:KQK96651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVVKDFVIEALRSIAELMIYGDQHDPSFFEYFMEKQIMGEFARILRISKLSRVSLQLLQTMGIMIQNLRNEHSIYYIFSNEHINFLITYSFDFRIDEMLSYYISFLRAISGKLNKNTISLLVKTKNDEVTSFPLYVEALKFAFHEDSMIRVAIRTLTLNVYHVGDESVNRFVSRVPLSDYFSDIVQHFQKQCIDLDKLVTRSSRNANCSLPSSSVEDAIVQIEDTLYYFSDVMSSGIPDLERFITENILQVLVFRLLLPSLQRQSTDLDISVTTSMYLLCCILHIFKNKDMASTVAAALFHQPDCPDRKQVTPNGYTSEHDASENQCSSDSTCEQANQDQPTSLSAVSSPPSDCCQGNTPREHLLSYITGGDDSEALGSLCLFATLLQTKELDESMLDALGILPQRKQHKKLLLQALVGEDLAERRLFSSSSGLTDDSICSDFDVYVRKLQDKYGLQCHHPRQMTSKVHRYQVLDALVDLFCRSKVSADVRLVGGWLFRQLLPHGEEEFTAFHLRRLKDSHKDCSAKLSEESGGCWCDMLLPVIKEAWKNCKKAIEASSPPKGSKSIIVPMDLYSFGGDSSVAVAERVHEMVKHCILASCRTMKEDEGCQAENSPKYLGYPCISEDVETSNWSKQPVGEEKINASRMEDNTQSHAESQMLTHEAKRDCRRQAFCSYKLQLLTALNNCYKLQQLTLNS >KQK96911 pep chromosome:Setaria_italica_v2.0:VII:17394801:17396348:1 gene:SETIT_010533mg transcript:KQK96911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHELLQMLHLPPHELGQDHLTVSAAFFDVHGDAHFPGSGGGFAGAVADDDCSWVEDLMQLGDELFGGAGAGCDDNNAAGMDEGNRHPWQWNGGDGSPPSVEQGAGELASEPHRDDDGGDGDGASPVTRKRRDRSKTIVSERKRRVRMKEKLYELRSLVPNITKMDKASIIADAVVYVKNLQAHARKLKEEVSALEARPRSPRQGQQQQRNRRAAAAAAAAGRRRQERDEDNGTAASGARVTHVGAAQVGEGRFFVTVECERRDGVAAPLCAAVESLACFRVETSSLVRSGTDRVVSTLTLKVNEQVGDAAVCEGSVVKLLVMAALLKEGFRPEAAVEIS >KQK96348 pep chromosome:Setaria_italica_v2.0:VII:3931539:3932488:-1 gene:SETIT_013079mg transcript:KQK96348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHGGQGKGAAAPSSTALALPGAEQTAESSFEFAGLTFLAKRLT >KQK98477 pep chromosome:Setaria_italica_v2.0:VII:27279120:27279519:1 gene:SETIT_011618mg transcript:KQK98477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLQLENFVTWSSAAKIRTHIDEEKRINNKETNVSSRSAAEGQQNAVICVTL >KQK98616 pep chromosome:Setaria_italica_v2.0:VII:28284890:28288924:1 gene:SETIT_010632mg transcript:KQK98616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRVPWRPDGGGRIPRSNLKDGSSSTVYYYSTPPSSLKAPKPPASPRRTGTGSEQLGAMAPPLLLVFLLLPALAAGHQHPSSFGSSALSEWRPAKASYYAADPEDAIGGACGFGDLGKHGYGMATVGLSTALFDRGAACGGCYEVRCVENLKYCLPGTSIVVTATNFCAPNYGLPADAGGHCNPPNHHFLLPIQAFEKIALWKAGVMPIQYRRVKCLREGGVRFSVNGRHFFFTVLISNVGGAGDVRSVKIKGTESGWLSMGRNWGQVWHINCDMRGQPLSFELSSSDGKTLTSFNVVPKDWEYGKTYTGKQFLL >KQK96464 pep chromosome:Setaria_italica_v2.0:VII:8202049:8203158:-1 gene:SETIT_011147mg transcript:KQK96464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTERNDQGDVLGLGGYMEKKRLLSKTLFADVEGEVKVTTKKTRLGWGQGLAKYEKQLKQQNGQKLVADGDNGETGNGAVVYTATAPASSDRQTPTPDVGGSSNPCNNSLSMTETVTCTATVPISSHGSSPPPANIDGHGNISMSLAETVICPEVPAFSRSPLPGDHICTLVSNSYDQHLDQI >KQK96594 pep chromosome:Setaria_italica_v2.0:VII:12649582:12649860:1 gene:SETIT_012358mg transcript:KQK96594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSILVGIFSTKHKNQTRGNPFYYITCTRDYSCLPKYFGAFECETASSRLFVILFYHKYIFSWHINQGTINERKSNECFNNLLPLLPFISITL >KQK98843 pep chromosome:Setaria_italica_v2.0:VII:29565494:29566441:-1 gene:SETIT_012409mg transcript:KQK98843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TSERALLRSLDYPCTARLRLRRLLAYHRRYSDDEIYEVDKTRVIFYVRNLVKRVKFGMWREASIYVLGFLRPDSMSYEGRLLLGFLHIYRNPAHAAYPCFATLVPDVLFLRSDHARAFLKWQLVKNKAAEMVEEMANNIPELKDRLHFPRGPNNLHHVAPIGSSFHRRRIAKNL >KQK97547 pep chromosome:Setaria_italica_v2.0:VII:21822496:21826535:1 gene:SETIT_009618mg transcript:KQK97547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNSFMNIPHLPPMNDPFVLGCSTPSSTMENMDQSTFCMDGLSPAMANCSHFNGNMQIMNDITARDDGSRLVLGLGPTPNFYSADCQSTGSKQAERLSGQSSTFTDPGTLRLGLQMDGGEPIQYLQTPNGTVHSFGVVDEASTSATVRNMGGYMPSLLFAPRSNCTVNEAQVETPDSLDLTHNTNNSQHHRQLSPEPSAMTDSSFGVSSDVVTATTTSEQRSHPRHPKKCRFKGCSKGARGASGLCIAHGGGQRCHKPGCHKGAESSSAYCKAHGGGRRCEELGCTKSAEGKTDYCIAHGGGHRCEHPGCPKAARGKSGRCIKHGGGKRCSVKGCIRSAEGKAGLCISHGGGRRCQYPDCGKGAQGSTLYCKGHGGGKRCIFDGCSKGAEGSTPLCKAHGGGKRCMFEGGGVCAKSVHGATEYCVAHGGGKRCSVPGCTKSARGRTDCCVKHGGGKRCKVDNCGKSAQGSTDFCKAHGGGKRCTWSTGCEKFSRGKSGFCAAHGTMMARQREQDAVKNVGSMIGPGLFSGIVMSSATVGSSMTNEHSSSGISTASDCDGTVRSQSMIPPQVLVPRSMMPPWSSEPVDGGREGGHVVPEGRVHGGGLLSLLGGSFRNADVEKL >KQK97655 pep chromosome:Setaria_italica_v2.0:VII:22409276:22409928:-1 gene:SETIT_011958mg transcript:KQK97655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQRVVIQVQMSGDKSRSKALGLVASTHGVQSVAIEGRERNHLVVVGDGLDAVSLTSYLRKKVGSAQIVQVEVLGAGAAAEKTKPPATTTTSVLAAGSQQWQPRYYSGYYSRPAAVHPYAGQYGYGYDDPRPDADSSCAIM >KQK97610 pep chromosome:Setaria_italica_v2.0:VII:22148072:22149263:1 gene:SETIT_010574mg transcript:KQK97610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPLSFFRRRVLTAALKLLAPPISRSLSTAAAPAARKPSTAVAVLWDLAASRPPTTLPLYDAAVRLHLAATSFGRLRLSAAFVHPCHRLPAPAAPAATTHLCRVCGRRFRARDALLRHFDAIHAREHAKRLARIDSSRGDRRVRLAAALSLKLSKYEKAARELTAGADAAAATPADEIGRAGVRVALTRTPAASLRERAQQVLDEGSVGCLMLVSGKDELASLLRVARERGVRSVVVGGESGLARWADVGFSWAEVITGKARTAAPSVSGKWRDRDVLKGLEWRYEEDDEEEVVFEDSDGDGAEELARKSKGKPWWKLDSDGEDSSNGS >KQK97727 pep chromosome:Setaria_italica_v2.0:VII:22912701:22917024:1 gene:SETIT_010001mg transcript:KQK97727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEISFSAPPPPPPPPPDAACTVVTAPSLVPAAAVSSSPPLPPQQQAEAAVVAPSPADEKVLVSVEVLLHATSAARHEDVQVAVERMLEARSLSYVDGPVPIPADNSYLLENVKRIQICDTDEWVENHKVLLFWQVKPVVHVFQLSEDGPGEEPGEDDTLSSFNEWALPAKEFDGLWESLLYEVGLKQRLLRYAASALLFTERCVDPYLVSWNRIVLLHGPPGTGKTSLCKALAQKLSIRFKSRYSMCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMVEEESNLVFVLIDEVESLAAARQAAISGSEPSDSIRVVNALLTQMDKLKSWPNVIILTTSNITTAIDIAFVDRADIKAYVGPPTLQARYEILRSCIQELLRVGILTYPQGGSVPCILNYSTLKEKKHCPEAVEPHGALHLSSLLYEAAELCEGLSGRSLRKLPFLAHASVANPSCCDASTFMHTLIQTAKREILESRG >KQL00044 pep chromosome:Setaria_italica_v2.0:VII:35910715:35912182:1 gene:SETIT_010515mg transcript:KQL00044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSNGGGDDGGEDDVLDHEYQEEYVRNSRGMSLFACRWLPGKKRNKDARPPKALVFLCHGYAVECGVTMRGTGERLARAGYAVYGLDYEGHGRSDGLQGYVPDFEALVQDCDDHFASVVRSHGATVRHRFLLGESMGGAVALLLHRARPDFWTGAVLVAPMCKIADDMRPHPVVVSILRAMTSIIPTWKVVPTNDVIDAAYRTQEKRDEIRGNPYCYKDKPRLKTASELLKVSLDVEANILHQVSLPFLIVHGGADKVTDPSVSELLYRSAASQDKTLKLYPGMWHALTSGESPNNISTVFQDIIAWLDHRSSHTTTSMEELPEVEQKARHDDQHHQQHGNK >KQK96419 pep chromosome:Setaria_italica_v2.0:VII:6450863:6451018:-1 gene:SETIT_012572mg transcript:KQK96419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIKRMARITYLSLATFTGKQALLLLPHTVVMYFILASICQHMLMKYINEY >KQK96466 pep chromosome:Setaria_italica_v2.0:VII:8314259:8320466:-1 gene:SETIT_009666mg transcript:KQK96466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCMRCPAGAAAVSTRRAAAPPQAPAAAVSFARCGFGKSAAVECWRIQAVAPQGVKAPIDADVKNAVTLTTPPKVENGSPSEITPDEFEDLSLLSKNDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDSELRNMVSKTLTCRIDKRENCSKKMEEFLKRCFYHSGQYDSEEHFIDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSLNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDGYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTDDNTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPLLKELEEKRIAPELYPYGSRGPVGAHYLAAKYNVRWGDLSAEHYKA >KQK96467 pep chromosome:Setaria_italica_v2.0:VII:8315662:8320466:-1 gene:SETIT_009666mg transcript:KQK96467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSCMRCPAGAAAVSTRRAAAPPQAPAAAVSFARCGFGKSAAVECWRIQAVAPQGVKAPIDADVKNAVTLTTPPKVENGSPSEITPDEFEDLSLLSKNDDSTVSITVVGASGDLAKKKIFPALFALYYEDCLPKHFTIFGYARSKMTDSELRNMVSKTLTCRIDKRENCSKKMEEFLKRCFYHSGQYDSEEHFIDLDKKLKQHEGSRVSNRLFYLSIPPNIFLDVVKCASKSASSLNGWTRVIVEKPFGRDSESSAALTRGLKQYLVEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDGYGIIRDIMQNHLLQILALFAMETPISLEAEDIRNEKVKVLRSMKPLQLEDVVIGQYKSHTKGGTTYPGYTDDNTVPKDSVTPTFAAAALFINNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKGSFGTDLDRATNELVIRVQPDEAIYLKINNKIPGLGMRLDRSNLNLHYAAR >KQK96576 pep chromosome:Setaria_italica_v2.0:VII:12119173:12120860:1 gene:SETIT_012693mg transcript:KQK96576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQSMFSTVKVSNVSLKAVQRDIMEFFSFSGDIVHVEMQSGDELSQVAYITFKDNQGAETAILVTGATIVDMAVIVTPATDYELAAYVLADLEPKDTKPSALQKAEDIAGTMLAKGFILGRDALDKAKALDEKHQLTSTATARASSFDKRIGLSEKINVGTSAVNDKVKEMDQKYQVSEKTKSALAAAEQSVSTVGSAIMKNRYVLTGAPWVTGAFSKVTSAANDVGAKAKEKIAAEQEHKNVEGGSAAQPDIPEGPTTHREVDGEFAKIH >KQK98395 pep chromosome:Setaria_italica_v2.0:VII:26717556:26717927:1 gene:SETIT_012294mg transcript:KQK98395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKASIALFLAVNMVVFAMASACGGHCPSSTPASFGKCPRDALKLGVCANVLGLIKAKVGVPPTEPCCPLLEGLVDLEAAVCLCTAIKGNILGINLNLPIDVSLSLILNHCGKTVPTGFKCL >KQK99952 pep chromosome:Setaria_italica_v2.0:VII:35524165:35524941:-1 gene:SETIT_012609mg transcript:KQK99952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVARVHLAMAHAALPGLLPTPPKCMMSPLLPTPPSVVVILPTRSPPPKPSRADAAERWDAHKTEPAAGSPTSSSSSAVGQRSLYGESSSPGRESSCERWDRNKKKIAAAAAAAAASSSASRTSSPGRSSSSRADSEEKWDARKKPVSLSSSSSSARSNNKGSDGSSRRRPNSRATTTAAGRWDAHKKPTAALRTNEIDDDDGESSTGSNDMGYLDMPLPRPLPHRELYYAGPGFIAAAPDPSMLPMPSSLMIRVR >KQK99131 pep chromosome:Setaria_italica_v2.0:VII:31106641:31107326:1 gene:SETIT_011325mg transcript:KQK99131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERHAAAARVHRVLLALAVVFLLAAVSDGIRPAPAGDTKLEHGATTAEMTIPAMQGGGGGDSKDLLLREEVRATGSSLPDCSHACGACSPCSRVMVSFKCSVAEPLPCPMVYRCMCRGKCYPVPSS >KQK98000 pep chromosome:Setaria_italica_v2.0:VII:24427984:24429097:-1 gene:SETIT_011760mg transcript:KQK98000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRRWGNNGCTEDKATHPSLHPPPHGYPPNEASPTSWILLDLRAYIADRENATSAWSKTSDGKAIRVTSCTAPPPLVSYICVRCPDDEIFAEPTVEASGGDLVLLCVSLRGSPHHGVDYFVYKATGGKGPSLRLLQDPNPCLSDRYNIALLTHRDVGKRGNLGSHAEGDDHYYIAALNRDKGSRLQDFRLWIFKSQDEMWTSRPFSLESIYCHITCKVIALGKGGLLGFVDAWRGIVVCDVLGRRPARFLPMPLELIRLDNVVELRGIIIQGIDSNYWSWKVSPWSMKVTNHWEEDWETNYMIQRHEILVDNNTVNAHENEGTHQQTLRKLYMAHPILSLSDNHVVYKEI >KQK96791 pep chromosome:Setaria_italica_v2.0:VII:15586049:15587925:1 gene:SETIT_012628mg transcript:KQK96791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFIFAVAGWPGSAHDTRILNHALANFPSFPVPPKGMHLTAHSSFFTAHSPTKQTLRIIRHCNILKSVTLCSTLDTENNEFKALIFLFMANGSLERWLHPNRLTDRPMRTLSLGQRICIVTDVASVLDYLHNQITPPLVHCDLKPSNVLLDYDMTARVGDFGSAKFLSQDPSSLKHSVSIQGTIGYLAPDYGMGCGISTRGDVYSFGVLLLEMITGKRPTDEMFVDGLSLHNFADSMFPDRVSEIVDPHMAHEGHQLFTELWMQSYIIPLVALGLSCSMGSPKGRPGMQDVCAKLCAIKEAFPESHG >KQK98819 pep chromosome:Setaria_italica_v2.0:VII:29360931:29361152:-1 gene:SETIT_012279mg transcript:KQK98819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRLSPAASSPPAVLRPFLDVVRSEDASATVTSASLAALHEVMSLKAGAKPAAEEAVLMRMLQALLACIMHINRA >KQK98285 pep chromosome:Setaria_italica_v2.0:VII:26042644:26047391:-1 gene:SETIT_009281mg transcript:KQK98285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGDAPVQWDKVDGAEVANGGGGGGAGRLEKILVSVRLRPLSDKEIARGDPAEWECINDTTIISRSTFPDRPTAPTAYSFDRVFRTDCNTKEVYNEGAKAVALSVVSGINSSVFAYGQTSSGKTYTMNGITEYTAADIYDYIAKHEERAFVLKFSAIEIYNEVVRDLLSAESTSLRLWDDAEKGTYVENLTEVVLRDSDHLKELISVCEAQRRTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPASYSGLEALVKEKDSQIRKMEKEIKELKSQRDLAQSRLQDLLQASGRNFTFDVPQPCEDEQSTTSEVVSSGQNFRFQGRHIAQRDYRPQQSENNAQFATSLSYSVCSPPFSGMPPTNGRDDNSQISNEDSEDLCKEVRCIETNETEENECLESLAVGSNSLQDSNVGSSMHGNNDPNPSVYSRQNDVSPITLEQHLENVKKPFANLVMDLGSSTRNSSSSRVIGRSRSCRSLMGSTLFEDLEKEDCTPPSRSFMDHPGRPEGCQRRVSALNYDAESETLSRAGSMLSEITTARDGLKPNGSVAGDTEFAGIGEFVAELKEMAQVQYQKQRGDQAENGELAEGTIRSVGLDPIMDALQSPSRWPLEFEKKQQEIIDLWHGCNVSLVHRTYFFLLFKGDPADAIYMEVELRRLSFLKDTYSNGSMGRNVVAGSLNTSLVSSAKKLQREREMLCRQMQKRLTIQERESMYTKWGISLSSKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSHNSWRYGRSLD >KQK98286 pep chromosome:Setaria_italica_v2.0:VII:26042644:26048198:-1 gene:SETIT_009281mg transcript:KQK98286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAIGGDAPVQWDKVDGAEVANGGGGGGAGRLEKILVSVRLRPLSDKEIARGDPAEWECINDTTIISRSTFPDRPTAPTAYSFDRVFRTDCNTKEVYNEGAKAVALSVVSGINSSVFAYGQTSSGKTYTMNGITEYTAADIYDYIAKHEERAFVLKFSAIEIYNEVVRDLLSAESTSLRLWDDAEKGTYVENLTEVVLRDSDHLKELISVCEAQRRTGETYLNENSSRSHQILKLTIESSAREFLGKDKSTTLVASVNFVDLAGSERASQALSAGTRLKEGCHINRSLLTLGTVIRKLSKVRNGHIPYRDSKLTRILQPSLGGNARTAIICTMSPARSHMEQSRNTLLFASCAKEVVTNAQVNVVMSDKALVKQLQKELARLESELRCPASYSGLEALVKEKDSQIRKMEKEIKELKSQRDLAQSRLQDLLQVVGDNHGSKHPVASGRNFTFDVPQPCEDEQSTTSEVVSSGQNFRFQGRHIAQRDYRPQQSENNAQFATSLSYSVCSPPFSGMPPTNGRDDNSQISNEDSEDLCKEVRCIETNETEENECLESLAVGSNSLQDSNVGSSMHGNNDPNPSVYSRQNDVSPITLEQHLENVKKPFANLVMDLGSSTRNSSSSRVIGRSRSCRSLMGSTLFEDLEKEDCTPPSRSFMDHPGRPEGCQRRVSALNYDAESETLSRAGSMLSEITTARDGLKPNGSVAGDTEFAGIGEFVAELKEMAQVQYQKQRGDQAENGELAEGTIRSVGLDPIMDALQSPSRWPLEFEKKQQEIIDLWHGCNVSLVHRTYFFLLFKGDPADAIYMEVELRRLSFLKDTYSNGSMGRNVVAGSLNTSLVSSAKKLQREREMLCRQMQKRLTIQERESMYTKWGISLSSKRRRLQVARRLWTETKDLEHVRESASLVARLIGLLEPGKALREMFGLSFAPQQFTRRSHNSWRYGRSLD >KQK99514 pep chromosome:Setaria_italica_v2.0:VII:33245389:33247125:-1 gene:SETIT_010312mg transcript:KQK99514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATLLKSSFLPKKSEWGAARQLTAPRPVTVSVVVRASAYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTAPGLGNYISGAILFEETLYQSAVDGRRIVDILTEQGIVPGIKVDKGLVPLAGSNDESWCQGLDGLAAREAAYYQQGARFAKWRTVVSIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYAMAENNVMFEGILLKPSMVTPGAEAKDRATPEQVAEYTLKLLHRRIPPSVPGIMFLSGGQSEVEATQNLNAMNQGPNPWHVSFSYARALQNTCLKTWGGVPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYSY >KQK99246 pep chromosome:Setaria_italica_v2.0:VII:31782022:31782885:1 gene:SETIT_012228mg transcript:KQK99246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGDDDAAAASPRAQSSSRYKGVVPQPNARWGAQIYERHARVWLGTVADEAAAARAYDAAALRFRGRGGAMAFLAARPKAEVVDMLRKHTYDDELRQALRSGGGGDHALALVPRVALFEKAVTPSDLHAEKHFPPLDEAAPPVLLAFEDVAGGKVWRFRYSYWSSSQSYVLTRGWSCFVREKGLVAGDTVAFSQAQAAVSSSTDDGEVDVKRRMFIECRKRKRNDGGAGHGDDCCADGGARVVRLFGANIAAAAIGASCMC >KQK97007 pep chromosome:Setaria_italica_v2.0:VII:18156573:18163039:-1 gene:SETIT_009316mg transcript:KQK97007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEESSSPALDADRIEVPSPKEENNSTNSEAATDTEDFEISDDDDDDRNHKHRRREARPQSDDNTEEQHPGISIKKRSRVSGNGQPFGGAGSQGESQKDFMPKFKRRPGAGAHSRAPRVNQSFRADSSASVAARPPRGRGRNGAPWTQHDPRFNTLDMMDFASQMAPQGPPAHPSLFMGAALPSGPYGFMPGMPHGILDPIHPLGMQGPIQPAVSPLIDLGLPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVDDMQSLSQFNLPVSVPNTQGLGIQNEGGTGSVSKSVPAKEVKSGVASDAVKLNGSTTSAVADADVYDPDQPLWNNEHPEASCAGFVHTDAGMWNPESSGYEMGQEHSNQVFATDGSQGLKSSVWGRIASKRKSGTSNTAKITSTSTTGNQKSDYDEMAPSTAQSKSAAAKDTNGQSNSRIYGDVGRQSNRAAHKASRTLYVHGIPQENNRWEALLSHFQKFGQVIDIYIPSNSEKAFVQFSKREEAEAALKAPDAVMGNRFIRLWWANRDRIPDEGEGRIPAKSSQSSTMLANSAPQPSYPNRVKENVQLAPRPSSGSSAEPLSSGTGSKTLSTSSIKPIPNAPKRHESLELLEELRKKQEILAQKRDEFRRQLEKLAKQKGSANSVKHAEASGKEVASNDASKVKDARSMSARAEGSQEVAGSLEKQSSGELASCSQKSAEISTQKSAVVTKQTTLLVPPQNRFKLDNRTTSFRILPPLPSEIANESILADHFSSFGELSSVVLEHTEAHNHDATLKPSLSCSACVTYTTRQSAEKAFIGGKSCKGHTLRFMWLTASPGSNNHSRPQNTSIPIRASSPVGKISSTATSCTAAIPHNKSISTAESAKTSPVGISKASGSSSSLSSNDECPPEHGSTRDVISDSALPQ >KQK97499 pep chromosome:Setaria_italica_v2.0:VII:21506266:21508419:1 gene:SETIT_010241mg transcript:KQK97499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLPRAAARAKRSGGSGMPLRSVLVGRGPFSSEAATTPPPAAAAATGEEDGDDLRSRIFRLGLAKRSATAALERWSGEGRAAPAEELRRIARDLSRVRRYKHALEVADWMKTHHESDLSESDYGMRIDLITRVFGANAAEDFFEKLPPEAKSLQAYTALLHSYARSKMTDKAERLFGRMKDANLSMDVLVYNEMMTLYISVGELDKVQVIAEELKRQNVSPDLFTYNLRVSAAAASMDLECFKGILDEMSKDPKSKEGWTLYRKLASIYVDASQLVGSGNSLVEAEAKISQREWITYDFLVLLHAGLGNLERIKDIWKSMLMTSQRMTSRNYICVISSYLMCERLKDAGEIVDQWQRSKAPEFDISACNRLFDALLNAGLTDTAESFRELMLQKSCILTSRATVAA >KQK98791 pep chromosome:Setaria_italica_v2.0:VII:29175301:29177190:-1 gene:SETIT_012626mg transcript:KQK98791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVTLTLDNSSGSGASGFQSKDQFLFGEFSMEMKLVPGESAGTVATFYLTSEGDAHDEIDFEFLGNVSGEPYVMHTNVFAQGRGNREQEFYLWFDPTADFHNYTLLWNPHNIIWSVDGVPVRVFRNHEPAGVPYLSGQAMRVHGSLWNGESWATEGGRVKTNWSAAPFVTSYGGYASSACVVPASGGGGCPPNASSSPGDAGAWMGRQLGPEGVAWAREKYMIYDYCDDRWRFRQGPPAECNLDRLG >KQK97001 pep chromosome:Setaria_italica_v2.0:VII:18133110:18134504:1 gene:SETIT_012588mg transcript:KQK97001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEGLTQFTHHDQLHSNAGVQTCTPSTHVPCLGGIADDYETRCSVKSVHEVISQFDSAKRELVKSIGFGGLLQFPALTEINRNLAVWLLRKADVNSQSIVIDHSRIFTFSKEDVKLAFGIPAAGKRVMQIESGCPGSQVAYVRSCLNLTGKDSRCEKAAQEVLQRQYYTAMHAEEKASFKVAFVVFFFVMATLFAPPSKHDHSRTDFWAALGRPNEIGSYDWSSYVIGHLLFAAAKLQSDLTKNIHSPLITGCILFLQVLYLGSIDLGVLNMPHNSFPRSQWFTPERIRAMLAADTVHNLRGMPRPSAEVCYTWAKMSGRAQQHSTTTRLFDAAMHWVSVLNISEE >KQK97425 pep chromosome:Setaria_italica_v2.0:VII:21159439:21160916:-1 gene:SETIT_011556mg transcript:KQK97425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNREQHFHAGITDTSANPDYSQGVSTENYTGNCFRVSVKLVYSRAQQTSPLGSLLGWALVHLMKSCKQS >KQK96701 pep chromosome:Setaria_italica_v2.0:VII:14215014:14219077:-1 gene:SETIT_011892mg transcript:KQK96701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVASSKAVWTQQAASSATAATGHHGAAGGGASETRRCRKGRRGASTAIGEEGAGIHLVAAGDGAKRRGVGGVEPRGGEALKRACYSSASAPGDRGKDFRSTGQPRFILCSRLKGSLPWGTQKGLTGRGRVLRGRDLGTEAMKAAQNPHHLGAGGYTAKIAKWRREEEERRRAGLSNLFEGLDERSRNWVLAQILKVTPNGKVKFKHPTTEEIYARLEQLAKAQKKGLFKPDREKDQLTDAIGTMEHSRRVRGMSSTLPWGKAFPNDQARYRKRDCYKKNLEEKMREINKQELIEFFTSQQLATRADLTISDGQRQAEPTMQLAHTRFVAPSSASLIANVRYPVDDIQVDTPCRLVDIPTDERIEVFGDAMNQYILWHRRDIVLNNVSSKTSQPSQDGATNEGEQPMLSPILEGINEDDWTSLLQGDERVDDLHVIEPTTPSSASPPPQRPAVPLMISTYDQKAPSTKVNKFLNVLKKEASSSGEKSITCGVSRQKEKDENLNVFASDEVLENYEHGKSILYQWDLLEGPWELNKLHGWIMNAMKQGIRVITARVPTKVFLGVLDYQIVIDFEDLHRLYYRQNHDMNLITVWCFDLFCTMQWREEELTNDKFKAAYRDPARISKSKHKFQMTETIKAQMEAARTQVEKNAIKSKAHRDKMHKVFVYIARCHKQPPGNALCGYYVCEFLKNNGRYRTNPEDVSLLYTAMDMSRFIQREICHEDGAFFDKDGVLMAHECKDLRR >KQK97191 pep chromosome:Setaria_italica_v2.0:VII:19535392:19536731:1 gene:SETIT_011899mg transcript:KQK97191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRLSFWWDVRSGQHLYEDARLVGMGGLQRVEEQALSEAHARCPWPVAAYRPESLLLAVAQGHRKVILEVDLTTLAKDLRSATEDRSPISGLQHETLELIRSFCGFSISFVQREANSVAHSCARMDSSNKQMGKFKAL >KQK99059 pep chromosome:Setaria_italica_v2.0:VII:30700290:30701815:1 gene:SETIT_012700mg transcript:KQK99059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGALGRRTRGLIEKGYAVKTTVRNPEDMAKNSNFTALQAIGTLEILRTDLDEEGSFDDAVAGCDYAFLVAASVNLTSENPEKDQIEPSVRGTLNVMRSCVKAGTVRRVVLTSSASSVYVRPELQGDGHVLDEDSWSGVEPLTADKPGFWAYPVSKVLLEKEACRFAEEHGISLVTVCPVLTVGAAPATRIQSQTNVSVPASLSLLSVFGVLRSIEMETGCVPLVHVDDLCRAEVFVAEAAAAAGRYICCSLNSTIVEIAGFLAAKYPQYDVNTNISGELLEKPRVCLSSAKLVGEGFEFKYKTLDEIYHDNVEYGKALGLVPN >KQK97985 pep chromosome:Setaria_italica_v2.0:VII:24295896:24296589:-1 gene:SETIT_011871mg transcript:KQK97985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAALFQRAERVLGRVDGFVLLVESLPARFDGAADGLEALVSGGVAAGSLRRRGRAVRQQREAGGAAHRDVEKVGTRGRVFGNGKHGRCHGGGGRGHAGSDRFRPSIDETGHDDGAAQARAWKRRVPRQRSLALTRATEKGDQMNFYAPGAGQRDDGVRVEQDGRSMEAFEAADAAETMEAVQVQSTGLVKVESQQVASSDAGSANQRQGEQQRRRPVGSV >KQK99534 pep chromosome:Setaria_italica_v2.0:VII:33379283:33379654:1 gene:SETIT_011499mg transcript:KQK99534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPFPPHPHPQTLGSTGASRRQIPESRRTRADLSSLARFPLRKGRVFSAVSGVMEPRVGNMFRLGRKIGSGSFGEIYLGA >KQK96447 pep chromosome:Setaria_italica_v2.0:VII:7535661:7558654:-1 gene:SETIT_009198mg transcript:KQK96447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYAAYKMMHWPTGIDHCAAGFITYSPAEAVTFSSPAPATSRPDGDVDSAAARAPRRVGPTPNLVVAAANVLEVYAVRTDAATGAEDGGSSSSTGAVLDGISGARLELVCHYRLHGNIESMAVLSDGTENTRDSITLAFKDAKIACLEFDDSISGLRTSSMHCFEGPDWLHLKRGRESFAWGPVIKADPQGRCGAALVYGLQMVILQAAQVGQSLVGEDEPTRVLSSAAVRIDSSYVINLRLLEINHIKDFTFVHGYIEPVLVILHEQEPTCAGRISSKNQTCMISAFSISMSLKQHPMIWSAAKLPHDAYQLLAVPPPISGILVICANSIHYHSQSTSCSLALNSFSSQPDGSPETPKTSFCVELDAAKATWLSHDIVMFSSKNGEILLLTVVYDGRAVQRLDLMKSKASILSSGATTLGSSFFFLGSRLADSLLVQFSSRPRLHLPPAPLSTCANGPAAPSPAVPSYLLVQQSADIEGDLPFAKRLKRIPSDVLQDVNSVEELSFHNNTVPDSLDSAQISFVVRDALINVGPLKDFAYSLRTNSDPDAAGIAKQSNYELVCCSGHGKNGTLSALQQSIRPDLITEVELPSCTGIWTVYYKSSRGITTEDNEYHAYLIISLENRTMVLQTGDDLGEVTETVDYNVQTNTITAGNLFGRRRVIQVYAKGARVLDGSFMTQELTFTMQTSESSLSSEPLAAASASIADPYVLLKMVDGTIRLLIGDHSTCTISFNAPATFASPSERISSCTLYHDRGPEPWLRKARTDAWLSAGIGEATDGNDSSSHDQSDIYCIICYESGKLEIFEVPSFKCVFSVENFVSGPAILFDDVSHTSTKDAVIVVPDATKVSVKKEESNSIKIVELAMHRWSGLFSHPFLFGLLNDGTFLCYHAYCYEGSESNAQCAPLSPHGSSDLDNASDSRLKNLRFRRVSIDVSSRDDISSFARPRITIFNNVGGYEGLFLSGPRPTWVFVCRQRFRVHPQLCDGPIVAFTVLHNVNCCHGLIYVTSQGFLKICQLPSAYNYDNYWPVQKVPLHATPHQVTYYAEQSLYPLIVSVPVVRPLNQVLSSMADQELGLHTENDVTVGDDLQKVYTVDEFEVRIMELEKASGHWETRVTIPMQPFENALTVRIVTLQNTTTKENETLMAIGTAYVQGEDVAAKGRVLLFSFSKSENSQNLVREVYSKESKGAVSAVASLQGHLLIASGPKITLNKWTGSELTAVAFYDAPLHVVSLNIVSSVTNFISIYMF >KQK96398 pep chromosome:Setaria_italica_v2.0:VII:5444808:5445736:-1 gene:SETIT_011297mg transcript:KQK96398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKNQGSAPRALVVEDIKVDCVILLRMLHKLNCEATVAHNGKEAIDLFLEGKTFDIVFFDKDMPVMTGSEAVTKIRAMGGTEVKIVGVSADFGGMEAFIGAGANVFVPKPMKLETLDSMLQEVLGKKNISG >KQK97112 pep chromosome:Setaria_italica_v2.0:VII:19133453:19138842:1 gene:SETIT_009283mg transcript:KQK97112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEACCCSSSSAPSASILAAGPDPVLRRRFFAPASAPGGRAPSRPLRAFAVALAASLVPRRGQQQRRRGAGVVRAVFERFTERAVKAVVFSQREARGMGDEAVAPHHLLLGLVAEDRSPAGFLGSGIRVERAREACRDALGKPGPAQAATGLATDVPFSAASKRVFEAAVEFSRNMACNFISPEHIALGLFNLGDPTTNSVLKSLGADPSQLTKQAFGRVQGELAKDGREPVGLSSFKLREKSAAGAGKTAIVKYSNKKKEKSALAQFCVDLTMRASGGLIDPVIGRKEEIERVVQIICRRTKNNPILLGEAGVGKTAIAEGLALKIANGDVPIFLVGKRILSLDVALLMAGAKERGELEARITSLLREVRKAGDVMLFIDEVHTLIGSGIAGRGSKGAGLDIANLLKPALARGELQCIASTTLDEHRLHFEKDKALARRFQPVFVNEPSQEDAVKILLGLRDKYETYHKCKYTLEGINAAVYLSARYIPDRHLPDKAIDLIDEAGSRARMESFKKKKEEQCSILSKSPDEYWQEIRAVQSMHEVALTNRLKYSLDENDTDDNVNTEVIDEDKIASPLTPPTSVDEPILVDSEEIARVTSLWSGIPVQKLTADETKLLVGLDDELRKRVIGQDDAVVAISKAVKRSRVGLNDPDRPIATLLFCGPTGVGKTELTKALAASYFGSESAMVRLDMSEYMERHTVSKLIGSPPGYMGFGEGGTLTEAVRRKPFTVVLLDEIEKAHPDIFNILLQVFEDGHLTDSQGRRVSFKNTLIVMTSNVGSASISSGRRSIGFSTQKDTEDTTYASMKSLVMEELKAFFRPELLNRMDEVVVFRPLEKTQMMAILNIILQEVKSRLLALGIGLEISDSMKNLISQQGYDRSYGARPLRRAVTQLVEDAISEAILFGQFKPGDTILMDTDATGKPCLSRLNDQTVQLSDPATTL >KQK97242 pep chromosome:Setaria_italica_v2.0:VII:19917831:19919481:-1 gene:SETIT_013043mg transcript:KQK97242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIKYLPDRYILKRWTKYARCLTSLEAPGQTFQTDKSLEFSSRYQCMCQKYVRLVTRASGCEESYRILDKFWLELGKKVDDILLKQTSISTSMIQPDVENPMISLSSITDGTESENVLEKSSKARAKESKKGQKNKMQPRNCIEKGLRKKQKVLSEQPSVMYSLADASARSGNATFQGLEAHPNMVPVGSQVPACKSCRGVDLSNPMEPINYEGMHPGLSPAFTQELDFVTYHTSLASSNSPQDQGL >KQK96184 pep chromosome:Setaria_italica_v2.0:VII:1304776:1304988:-1 gene:SETIT_011957mg transcript:KQK96184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INLDAAFRLSVQIYQFLAKMDDCSSLNVPRKCCDWIVDYRCYTMDTLEKDLVARVNWGNCQHPVISEQQE >KQK97647 pep chromosome:Setaria_italica_v2.0:VII:22383645:22384039:1 gene:SETIT_011551mg transcript:KQK97647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLASMGMSPTTKRIALVKSCNKSRNMRALVLSRVLDPRQVGYTTRNPYQLSYAQFTTRSFSYQHMRVI >KQK97855 pep chromosome:Setaria_italica_v2.0:VII:23566617:23569007:-1 gene:SETIT_010403mg transcript:KQK97855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQVRASPTFLPSTSSSTSSSAQAPAPSPFLGKSGQRRGGPVSLAAAASPAPHRGVARRSVMAAAGAAPAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLSVDEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEEGILKELELAGFQHLGGPTDGDKKIELKPGFYMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAVLGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLQTLQSPDNSIQPDFYTNQISDFLTLKAATV >KQK97854 pep chromosome:Setaria_italica_v2.0:VII:23566936:23568956:-1 gene:SETIT_010403mg transcript:KQK97854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQVRASPTFLPSTSSSTSSSAQAPAPSPFLGKSGQRRGGPVSLAAAASPAPHRGVARRSVMAAAGAAPAAKLEDADALIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLRSKGKRLVFVTNNSTKSRKQYGKKFETLGLSVDEEEIFASSFAAAAYLQSIDFPKDKKVYVIGEEGILKELELAGFQHLGGPTDGDKKIELKPGFYMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAVLGSTKQEPLVVGKPSTFMMDYLAKKFGITTSQICMVGDRLDTDILFGQNGGCKTLLVLSGFINSSVLTLIYALLI >KQK97661 pep chromosome:Setaria_italica_v2.0:VII:22473530:22476995:-1 gene:SETIT_010072mg transcript:KQK97661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAGELALAALAILLSSLLALVLSHFLPLLLNPKAPRGSFGWPLIGETLRFLTPHASNTLGGFLEDHCARYGRVFKSHLFCTPTVVSCDQDLNHFILQNEERLFQCSYPRPIHGILGKSSMLVVLGEDHKRLRNLALALVTSTKLKPSYLGDIEKIALHVVGSWRQAAGGKECGGGGCVKVITFCEEARKFAFSVIVKQVLGLSPEEPVTARILEDFLAFMKGLISFPLYIPGTPYAKAVQARERISSTVKGIIEERRSAGSCKKGDFLDVLLSSNELSDEEKVSFVLDSLLGGYETTSLLISMVVYFLGQSAEDLDLVKREHDSIRSNKGKEECLTSEDYKKMEYTQHVINEALRCGNIVKFVHRKALKDVRYKEYLIPSGWKVLPVFSAVHLNPSLHGNAQHFQPCRWEGSSQGASKRFTPFGGGPRLCPGSELAKVEAAFFLHHLVLNYR >KQK97502 pep chromosome:Setaria_italica_v2.0:VII:21528026:21530469:1 gene:SETIT_010300mg transcript:KQK97502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVVSWYGPLIDLSAAASHVGGFVQLLAAVRRVLPHQEQNAATGRTYQKTIVEVGDDSRSSFCVSMWSSKQSSDIIAGDVLLMQNIKIVEFRNGLEGRASQISAVQVLLNSKDLMNPEVGDVPKAKLRRVTEWTLRTKCALGESNQQLQVTSKNWKEAKEKESTDFLCISELFSQRKLRNVNVYACIAKMVLLSSPASHSKGHLSVIDIHSLKEHNDIVRDFITAGCKLCGSPLYHKNLQGENTFAIDCPNNPKYLHVPGQIYKPFMIYVYDQSGQVPLLVRNKAAEILFANIIADDVSECYKSQMLLETYVNSGSMSASCTIDDAGNKEIAKRRKTEQKPNFHQIWLIMIKCLLNQGSNSPFCFQILINPEKNVEDGRFELLSLTMPIP >KQK99270 pep chromosome:Setaria_italica_v2.0:VII:32029396:32031270:1 gene:SETIT_012046mg transcript:KQK99270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPDELFVEGLTEKSPQSPSVFLDVFSPKPDDRSEGCHHVPSDMMLPYISRMLMEDDIDDKPSDHPALLQVQQPFAQILSSPSFGSNHGDTEGANDLLQDSSGDERTLHLALSKGTYAVGAFLKGMKEANMLLPIANNGFRRDKLVNQMVSESSNHSGAKKRYARDDHIEEGEARRTSKSLMRVTEPKDTCAHEMLDDMMLRGTETSIIRCMEKLRIAMANGAEKTTRKGSRNAVAKNIVDIRTTLILCAQAVAANDDMTASELLKQIKQHASETGDVTQRLAQCFAKGLEARLVGMGSQVWQLWQLRMADRLSIVELLEARNLYAAACSFNKVVLLFSTMTILQAMVGKGRLHIVDYDLLRLLASREGGPPKVKITAIGPPNLRPCPTEQIEEIGYRLSKCAHEFGVPFNFYAIRKKWEEVSIEDLNTDAGEVLIVNDRLNFNSLMDESIFFDDPSPKDIVLHNIRKMRPAIFIQSIVNSSYGSSYLSRFREVVFYFSAIFDLFDATIPRDSKLRVVLEQDLFGGLALNAIACEGTDLMNRPEKYKQWHARNQRAGLRQLPLKPSIVNALKDEVMRCYHRDFLICEDGQWLLQGWMGRILFAQSTWVADDTS >KQK96177 pep chromosome:Setaria_italica_v2.0:VII:1238223:1239952:1 gene:SETIT_012333mg transcript:KQK96177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTESSSNNTNGGAGGEGNGGSWVASCWRRPRSAPECLLLSAVRFAEKVGQTARDDPRRVAHSLKVGLALTLVSVVYYVRPLFNGFGGSAMWAVLTVVIVMEFTVGATLSKGLNRALATLVASSLAIGAHEGASLVVPRSEMAESILLVVFVFFVASAATFSRFIPEIKARFDYGVSIFILTFSLVAVSSYRIEELMPLALQRTSTIFVGVAICLCTTILVFPVWAGEDLHKLAAGNLDKLADFLEGMETECFGENARSGDLEGKDFLHAYKSVLSSKDKEDSLCTLAKWEPIHGKFRFRHPWSEYQNLGTLCRQCAATMEALASYVVILTKYQYPEANPELCLKVQTTCSQISLHSARSLRELSSAVRSMTTPAPTNNDLSAAMKAANGCRNELLEDAALLQVVHIAVIASHISDLVIQINKITESVDNLARLARFRNPKITQNDVVIN >KQK99252 pep chromosome:Setaria_italica_v2.0:VII:31898724:31904568:-1 gene:SETIT_009445mg transcript:KQK99252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHELLLALLGFTGDFVIDASPARRRAAPQDAAAGSGGGGDGDGEVGPAFRLAPDLTFLQPSERSAIERLISLGFYYRELNRFATESRDLSWIQSSVGVSSPHADKTQKGKVRKGSVYRRAIANGITEILSVYRSAVLQVEQNLLSDPLPILATVTHGLNKFEVLLPPLYELVMEIEQKDIRGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMFNQLTSWMVYGILQDQYSEFFIRRQDDRDGENDSSQRDVSDKFMQKLAKDTSLASWHTGFHVSLDMLPEYIHMRVAESILFAGKAIRVLRNPSPGATLQEPVSQSQNSKGSHRMQRFIGGSGAPKDLPNFSSISAEELLPQAEADKIDAMLKELKHSSEFHKRLFESAVGSIRTIAANHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQLMRLPPRQSTAEADLMIPFQLAALKTIGEEDKYFTRVSLRMLSYGMKSSTSQKDLQKPNASELPTQGKAASELALDGWDSIALEYSVDWPLQLFFTPDVLSKYRKVFQYLIRLKRTQMELEKSWAAVMHKDHADFSDYCKDRKNGSATQLRRQRSKPLWRVREHMAFLIRNLQFYIQVDVIESQWNVLQSHVQDSHDFTELVSFHQEYLSALVSQSFLDIGSVSRILDSIMKLCLQFCWSIEQYETRPNISEIDHITEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLMRLNFNSFFETTARGVMNSGRLRPSTAGAQL >KQK96334 pep chromosome:Setaria_italica_v2.0:VII:3803874:3804575:1 gene:SETIT_011171mg transcript:KQK96334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLGRRAIFPLVRTISTTSAAPPPPAVLSVGHALRERRRFTEADVAAYAAVSGDRNPVHLDDAVARELCGFQRGRVVHGMLVASLFPSIIAASFPGAVYASQTLKFAAPVYVGDEVVARVQALHIKAMTTANSSTANRYVVKFATKCFTDEEGGSLAIEGEAMAVVPTLELSS >KQK97709 pep chromosome:Setaria_italica_v2.0:VII:22787122:22787909:1 gene:SETIT_011656mg transcript:KQK97709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRPFSRHDGFFASLQRVEDRLAAEQHQEQRQDPPLPPAAAPATARQPDASPFSGTMTTASPLLLLDPAPPSAPASRDSSGPALDFLTPHTEQDQRIQQDDGGGGGLVEEDIAWLMALLGLSPPPPPDGDGDDGTGGCDCSGADGFLARVVGVAGPKCDGEKRRLDAWIRHYHRGGGGGCSREPARLAHLLLARASSDAAAVASPATVKEFLDRDPPRQTTD >KQK96486 pep chromosome:Setaria_italica_v2.0:VII:9527864:9531307:1 gene:SETIT_010041mg transcript:KQK96486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSGSCCLMRIPRCTGKHSQNITSGQPDAHTSLVAAEQIRRAGGYTPSRPTNLFRATSIKQEQEPKCRTHSLSPVPALPPAATNAATRVTMSSAPAPSPATSAAVPYDRLAELRALDASFAGVRGLVASGATSVPRIFRVPNPEQPPPQQPGAPHHHQPTCIPTIDLSAADHDALVAAVRRAAAEWGLFLVTGHGVPAEVAAAALGAARAFHDADGGEGSEKARLYTRDPAKAVKYNCNFDLYESPVANWRDTLYLRLAPDPPADGEMPENCRDAFFDYARHTKRLLGTLYRLLSEALGLGPTYLTDIDCNKGQMILFHYYPPCPEPDLAIGTTRHSDTGFLTVLLQDDIGGLQVLHDDQWIDVPPTPGAFIVNVGDLMQMMSNDKFKSAEHRVVAKKAGPRVSIACFTSHSDSTRMYGPIKELLSDENPPLYRETLAKDYIAHYYTVGLGRKAAIYDFRL >KQK99698 pep chromosome:Setaria_italica_v2.0:VII:34263675:34266738:1 gene:SETIT_012437mg transcript:KQK99698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVELKTGSYIFVRGNMEGIFRVDWQKPMVCLRSENIRKKLKRQGIKGPEPTVLYGNTREMKRIKEGLKIVQTQDANNYLSSVFPYLLLWRETYGALEILHVSDPEMVKDIGHRTPSELGKPNYLKRSRKALFGGGLFTLNGDDWAYQRKLIAPEFFMDKIKVLQKYLPTSANREIRKLEEEVRLLILDVIKEHNNSVDNDLLRVIIDGAEGRQLQGHDAEDFIIGNCKGMYFAGHGTTAVTLIWCLMLLSTHPEWQEHARAEAVEVCQGGATLDVDALRRLKIITMVIQETLRLYPPASLMMREALTDVKIGGLDVPGGTIIQVARSMLHQDKDAWGPDAAEFHPDRFANGVAAACRPAHMYMPFGHGPRTCIGQNLAMVELKVVLARLLSRFAFVPSPRYRHAPVFRLTIEPGFGMPLVVTRL >KQK98258 pep chromosome:Setaria_italica_v2.0:VII:25920806:25921147:1 gene:SETIT_012941mg transcript:KQK98258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGGKQQLVLPKYCCRRAGLRAHQHYHKVSMLWYFQ >KQK99454 pep chromosome:Setaria_italica_v2.0:VII:32964499:32964683:-1 gene:SETIT_012877mg transcript:KQK99454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLQNRSVNRAFRLDLEKNKWGCGTFIASLNIQFNMVKI >KQK96805 pep chromosome:Setaria_italica_v2.0:VII:15683154:15685289:-1 gene:SETIT_012630mg transcript:KQK96805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARLSSKFTTTASSTASSPSRRSAPIDALAATTERARAGTLCPADAHHLFDELLRQPTRVPERSLNGFLAALAKAPPSSACSDSPALAVALFNRISRDSAGPGVMPTTVHTYTILMDCCCRARRPDLAFAFFGRLLQTGLGIQLITFTSLLKGLCDAKRTDEALDEGEVAKACDLFHEMVQQGISPDVMTYSSIIHALGKARAMDKAEMFFRQMVDKGVQPNSVLYNNIIHGYSTLGQWKEAVRVFKEMKQQGVKPDVVSYSTVIAAFCRMGRLEDAMSIFSHMIDQGVPPNEAVYHCLIKGFCTHGSLVKVKELLSEMISKGMHLDVVFFSSVINDLCKEGKVMKAQQVFDFIRHIGLCPIIAMFCSLMDGYCLIGKMKEASRIFDSMVSAGIEPDVVAYGTLINGYCKLGQIDTGLNIFREMLLKGVKPSTIAYSIVIDGLFHAGRTFAAKEKFQEMIESGIPVDIGIYNTVLNGLLRNNCFDEADTLFKKLCSTNVKIDIVIVTTMISGMFKARRIEVAKYLFDSISANKLVPSIVTYSLMITNFINEGLLEEADDIFSAMEKAGCAPDSILLNHATRLLLQKGEIIRAANYLAKIDEKNFSLEASTTEMLISLFSREGTWLEHIKLLPAKYQFTVGASHS >KQK98282 pep chromosome:Setaria_italica_v2.0:VII:26024803:26025156:-1 gene:SETIT_011989mg transcript:KQK98282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRWTRNGMLNFSQPSASSPFGFYDTGQGASSSPGRSHGCRGQDKEQRNLEKNLSKVRKEWMKVKEEMGYARLLSEHLSETVTEVDRKVAAMLEELDRTDKYMHDVLSSSSSSSQHK >KQK98919 pep chromosome:Setaria_italica_v2.0:VII:29945460:29952205:-1 gene:SETIT_009823mg transcript:KQK98919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLTRSSSLRGYVLSALLLLAASAKAQQAPPTRTDPTEAAAVNAVFDKLGQTASSAWNISGDPCTGAATDDTNIDSDMNFNPAIQCKCSGQNNTVCHITKLKMYALNLVGPIPEELRNLTHLTNLNLMQNYLTGPVPSFLGELTALQYMGISMNNLNGSLPPELGNMVKLEQLYIDSSGLSGPLPPSFSKLTSMKILWASDNDFTGQIPDYIGSFSNLLELRFQGNSFQGPLPTTLSNLVQLTSLRIGDIVNGSSSLAFISNMTSLNTLVLRNCRISDNLASVNFSQFATLTLLDLSFNNITGQVPQALLNLNSLSFLFLGNNSLSGSLPSSIGTSLKNLDFSYNQLSGNFPSWVSPNLTLNLVANNFVVSNSNNSVLLPSGLECLQRDTPCFLGSPQTTSFAVDCGSSSSISGSDGSVYLPDDANLGPASYYVTGAPTWGVSNVGTFMEASKRDYIIQSLNASYTVYSPTRQFRNTPDSELFQKARMSPSSLRYFGVGLENGNYVVTLQFAEIVFEDSQTWNSLG >KQK99851 pep chromosome:Setaria_italica_v2.0:VII:35053842:35057979:-1 gene:SETIT_009692mg transcript:KQK99851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLLSRLLLRRGSSPSSHHHLPLLRALSSAPSPVSSDADLRKYAGYALLLLGCGAATYYSFPFPADALHKKAVPFKYAPLPEDLHAVSNWSATHEVHTRVLLQPDSLPALEDALATAHKERRKLRPLGSGLSPNGIGLSRAGMVSLGLMDKVLDVDVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFIQVGAHGTGARLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVRCNPPSKWKTPKLTSKYGKDEALQHVRNLYRESLKKYRTETESNDPEIDTLSFTELRDKLLALDPLDKDHVVKVNKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTLAKPSTKDLDYIEKLLQLIEKEDIPAPAPIEQRWTAHSKSPMSPASSSEEDDVFSWVGIIMYLPTSDARQRKEITEEFFNYRSLAQTLWDDYSAYEHWAKIEVPKDKDELAELQARLRKRFPVDAYNKARMELDPNKVLSNAKIEKLFPALEPVK >KQK99714 pep chromosome:Setaria_italica_v2.0:VII:34340226:34340473:-1 gene:SETIT_011583mg transcript:KQK99714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLLSAIATVTDGGTPGWIAGCYGRHADSPTTLFLISSLLAMLHVVADGKGSTCTVTVIS >KQK97668 pep chromosome:Setaria_italica_v2.0:VII:22514661:22515677:1 gene:SETIT_012035mg transcript:KQK97668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPARAFARSIPTPAHSRLGTPGHSQGSPSEAALPPHLLASRRCTSTTTLSSAAILLRAGTISAPPSSVGRHQPPHLLRVSSSNASSASPPLGSPSTSPSLLGCCCCAGSLSPALGPPPRPPSHPDRHRRLPGWVRASSAHARPPPGRISHGRFLAPPHISSAPVTSRHSVQSGCRQLHVAAFRHYRIAPFADASDAAVPRQLADARPRQEEQPRVGMQGARHRQTQQSTDSASKHTVRPSLRRIWPSDMALPPEQKLEGEEEGAWVRGRSKVALPPPSSLLARLRTGQLWRRRRQGGRGMRAGGGGWGGCPSHPERTMRGRDLDA >KQK96817 pep chromosome:Setaria_italica_v2.0:VII:15815236:15815811:1 gene:SETIT_011826mg transcript:KQK96817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERGLAMAAFGAAMGRESSPSSRSSSSGCQSGWTLYLDHSNNNGARYGPCDPAAQRWMVLQQAAEHADGDDEEEEDSMASDASSGPRPRPCDEDDDEARRGFGLHRHGFVVVDQGGGSYSGTSGQRSSGSVSGCFGGGSSTWSRSSQGGVARKAPVVTATSQYREIIDDDDEDDELDDTASSSAVFSCPMPM >KQK98269 pep chromosome:Setaria_italica_v2.0:VII:25969081:25969905:-1 gene:SETIT_011698mg transcript:KQK98269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKEEVSRSDLWPICFSNGGCNSGACNLFDEMSASDWRSPSRVLQVTIHQAYYPITEEVLHLVFDPFGEVQHVQVLGGSDHVLAQVVFETKYGAAEAFGELHGRCVYTGCCQLDIKWGSSQDLSCCDTMASSSSTMLGASTTSTIIEGHDPDSSLAAVAATTINFVPNITSIEAPTTGSTRGLDVDASANHKITAFPLVEAVSEDHNSEKLFVEADAAGGCAEVLAIAGARGSIDVVVQSMVVLDVMDHAAVMSSRCLLFHWSPRMFQHRIVV >KQK98208 pep chromosome:Setaria_italica_v2.0:VII:25669134:25671677:-1 gene:SETIT_012277mg transcript:KQK98208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGPLQPTPPHLASLPIQTPPWPAEGRALHEAAAEMFKNPAFGIYLIGEAAYNRVHPTTTTRRPVGIRGSKRLRLSSAAVRWPPSVRPPPASLRPPPAGRRLPPVGLRPPVITPVGLRQSGYEFILIDCSPRLPSSPKLEPAIMLFSVQ >KQK96423 pep chromosome:Setaria_italica_v2.0:VII:6737863:6743033:1 gene:SETIT_011644mg transcript:KQK96423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRLGDEILEYDEARVFYERDIHYKYYRDLEMASNLADPFDVVYKELPKKHHALRNVKNCKFCNAKKFPGEGPSFCCRQGKVHIYIPKEYTNELNTSIGVDQRRYNAPAMDQVAAICIVIHGKRNDAHYIRAYHGCYDPLAYPLFFLGGETGPRQWVSAREYKCYKLQIREGQFNVFFHAEHLFQQLLVDWYVKVESMHLDWYLKPVHQVLIRADFYQGLLDTLATGDANASKVGLRIVLRGYGKLDYFVTMTCNPYWDEIVAELLPGQMPQDRPDVVARVYHAKLLDLHDFLMKKGHLGTVAAWAHSGSKLKSPDDYDKYISAEIPNPNKYPWLHELVVKHMMHGPCGTLNKNCLCMVDGQCHFWYPRQFSETTEQGKDTYPIYRRREDGMITAIEAVYRLFGFKLYLMWPPVLQMQVHVPGFHMVAYKATDNLQDVVDLAKSQRSMLIEYFKMNEQSAKTRKCLYKEFPEYFTWNKGATSFDSLKIWRGITYDTFRAVLEAMGFVDTDKSLDDYLTECAMCANIRHLWDKHYESLAEDFRRTNDNNTIVEQLVLRDISFHLKFMGKDIRHYGLPEPHDSDELRTRDHYRELTEEQNLNCEEEHLVIIDTLNAEQRAGFAEICDHVMKGKGQTYLYKVLLAKVRSLDLIAIATATSSIAASIMPGGRTTHSRFKIPIKLDDSTMCSFTKQSGTAELLRRASLIIWDEVAMTKRQCVKVLDKSLHDIMDCTQPFGGKVLPIVAHGTRAQITDATLLGSYIWESVRRIQLTQNMRAQFDTCFADYLLRIGNGTEETFGDEYVLLPDNIYIDSPLEDICIDTLIDRVFPDLADNCRSTSYMRKHAILSTRNEHVAAVNALMIDRFLGTKLLCNGTRLIVREFQKNSIDAEIVNGQHAGKRVFITRIPMSPSEDLSLPFKFKHKQFPVRLSFAMTINKAQGHIILNPVFAHGQLYVALSRGVSRETIWVLARKNKDMDATGRGTKNIVYSDVLEI >KQK98749 pep chromosome:Setaria_italica_v2.0:VII:28965895:28966236:1 gene:SETIT_012906mg transcript:KQK98749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIIVRMLANKHRKLFHFLLSENHVDYNDHLSRTGTTTTLQIIHAEGLDKQSRWLGGYGHR >KQK98317 pep chromosome:Setaria_italica_v2.0:VII:26249156:26251208:-1 gene:SETIT_009887mg transcript:KQK98317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCLHLFHGRSSSKKRRPQVAAPAPAAAFPSTVSTEARSVASVPAASASFASSASVVSEASGARPAASGSSGSGSGSASSARSIPELYEARGALLREFGLRELRAATRDFNPLLMIGEGGFGCVYRGVLRLPGGGPDGTPVAVKRLNPNGRQVCLIHRPAHEPLARTLATWQRTCAHPAPFLMPFQGHKEWLAEVHFLGVVEHRNLVKLIGFCASETDRGPQRLLVYEFMPNKTLDDHLFNRAYPALPWEVRLQIALGAAEGLLYLHEGLELQIIYRDFKASNVLLDEEFRPKLSDFGLAREGPSEGQTHVSTAVMGTFGYAAPDYVQTGHLTTKSDVWSFGVVLYEILTARRAIERNRPRNEQKLLDWVRRHPAGSGRFGEIMDARFEGRYPARGARKVAALADGCLAKHARDRPTMREVVERLRQAMRHTEMDGAVECQGSSPPHQEEAPGTPGAEDAHARAVAAAAEARATRRMLHLAALGGTADAHARRRLMLMRTAAAAAAPT >KQK97903 pep chromosome:Setaria_italica_v2.0:VII:23864383:23865910:-1 gene:SETIT_010965mg transcript:KQK97903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNQAYKAMQRSRLGSSSGAPGAADAPEDGMGPSQTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGELEADKDKMMREYRAQLDAERAQKLAHGRNHSKSDSKSFSSKKGKWATCQMMFPAVLCTRL >KQK97902 pep chromosome:Setaria_italica_v2.0:VII:23863630:23865910:-1 gene:SETIT_010965mg transcript:KQK97902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKNQAYKAMQRSRLGSSSGAPGAADAPEDGMGPSQTDGSFHSPEWHAARLASLNKTHTVTWEEFKKKQKEDELKRGELEADKDKMMREYRAQLDAERAQKLAHGRNHSKSDSKSFSSKKERKDKDAKKRSKKRRKHRSSSEFSSSSSSESSSSDDEDRGSRKSRSRSRSKRTKKDSSSEPSSSDNEDRGSRKSRSRSRSKRTKKEKKQRSRSKHTGGDSEAEGPVRLSKFFGK >KQK99989 pep chromosome:Setaria_italica_v2.0:VII:35669522:35671768:-1 gene:SETIT_009533mg transcript:KQK99989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHPCFLHLQYPILVLLLLSVRPDAAVADGGEFIFDGFSGADLNLTMDGDASVADGLLRLTSGRLSFLKGHAFYPYPLDFTEVSNGSSLASFSTTFLFSIMGPYIDLSSHGLAFVLCSNKDFSSALPGQFLGLLNQWNNGNATNHIVAIELDTIMNSEFQDIDSNHIGVDINGLISVSSATAGYYTPTHAFRKLSLTGGQPMQLWVDYDSNQAMMNVTVAPCCLSSKPSWPLLSVACNLSTVLPGTAVYAGFSSANGVLGSRHYILGWSFKLNGEPAALNYSALSIKTIQELAAQLQYQPHTNKIKTIILCAALLPTVGIAIAVSATLFKLHMKRRLDARRNQLEWQREYGLPSFTYKDLLIATSGFKDKMLLGKGGFGSVFKGLLPHSNRTVAIKRVSPESKQGMKEFMAEIVILGHLRHRNLVQLLGYCRHKQQLLLVYDYMPNGSLDCHLHTQDRNLCWAQRFRIIKGIASGLFYLHEDWEQVVIHRDIKTSNVLLDGEMNARLGDFGLARSHAHGADAHTTRVAGTWGYIAPELARLGKATKATDIFALGVLMMEVACARRPIWVNSADGEPLALADWVLAAWRRGSITDAIDPRLDDYVEEEIEVVLKLGLLCCHPSPNARPRMRLVMQYLDRDAALPTDLQPDTLLMSNFDVSQDDNEMHEQHAMSCPTTAITDLSRGR >KQK98281 pep chromosome:Setaria_italica_v2.0:VII:26024576:26024753:1 gene:SETIT_013089mg transcript:KQK98281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYTYAGRTSMDIYKNTKWQNPPTKRNSS >KQK96753 pep chromosome:Setaria_italica_v2.0:VII:15008759:15009576:-1 gene:SETIT_011003mg transcript:KQK96753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAADGDDEKPSFRCGCRCLDVARYALAAVMTLLIVSITFNTVKLVLYEQSHDPVRLSVARGLVVCAAATIGGDDSPALMLYHGLRVSSDHGFSYYAANVTACLFAFNVTKGLDDEPFFCFRPNGTVRAVQQREQSYLLMEAAATRRTVMPEPYFVRLHNISSGSLGVRGTFIAERRSGRLNTTSWNVYDCGFVNVAGLERSNSKNDDDLLLDLRYDDRTCVPVA >KQK99817 pep chromosome:Setaria_italica_v2.0:VII:34889838:34891181:-1 gene:SETIT_012326mg transcript:KQK99817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQVQRTFTIPAPPSEPSAEVPPTVFDLVAPAYHVTVLFAYAPPNPTNAALLAALAATLPRFPLLTARRLLDQSRRPSADRPLFVTGRGGAGALVVEAAVPSSPLADHLPLVPSPDLERLHPTVDKDTPHVLLLQINRFACGGLVIASSSHHQAADGYSMSTFFHAWADAVRASGATGAPPRTTIDRPPVQPYGPGAVVPRRPPRCEFEHRGAEFLPSDAAPRQPPASVHPSEIANLLLHYTDEHVADLKARASNRYTTFETLSAHLWRKITAARGRAEDPARTALNVTVNGRARLGADALPRGFFGNAVLTASSGTSARDLARGTLADAAAMVRAGVRARDGRYFQSFIDFRALHGGEEELEPTVGDEDNVLLPDVASDSWLHLELHRLDFGCGGPLVGILPAHSPLDGVVVLIPSLRKGGGVDAFVALFDKHAEVLRDIAYTMD >KQK96844 pep chromosome:Setaria_italica_v2.0:VII:16424195:16424583:1 gene:SETIT_011633mg transcript:KQK96844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRATAEMKETTVASSTRDPTGILRGSEVVCGSTHCLRPSASGPRSPSPS >KQK97741 pep chromosome:Setaria_italica_v2.0:VII:23009751:23011969:-1 gene:SETIT_012749mg transcript:KQK97741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDRIKNLVVCLDHDDTIISGPWDDVTVNLIAELPKVLSPVKVQHIEKNDREKLPEFYKKSNEDSDFFDSDNEVDGDDDLFVDHVDVMDEGIFRSKNTTRAKKAKGSRMKGEEHSLTAELSSNDYYEELLLPSDDIDAQVNIKSSTFRPKDLSSPVFKVGMVFESLVKLRTAITEYSLKKRVEIKLPRNENKRIRAHCAEGCPWNLYTSYDSRMKAITVKTYAGRHNADKYLESFRANYNMSISNFAKTVQKDWNLTPSKTKLAKVRRLAMRKIYGDEKDFCSGYRPLICLDGCHIKTKFGGQILTIVCIDPNDCIYPIALAVDAGFKGEILKNQLWTCARASTVSKFEHHMGKMKELNEDAYNWLQRMPTNTWVRAYFAEFPKSDILLNNNCEVFNKYILEAREMSILSIWKRGVWFQVLDRDFQYIVNIINKECECRKCNLTGIPCQHAISCLRYERIPPESMLHDCYTLKAINKTYETNIMPCRDKSTCDHVDGPEVRAPIYEKKVGRPRRCRRKEPSKI >KQK97621 pep chromosome:Setaria_italica_v2.0:VII:22221673:22223185:-1 gene:SETIT_010679mg transcript:KQK97621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALPALVSLTPAVSRHSYLLFSRCPSPRPRHLRLILPAAAAPSPGGSPGPGVFLSPRALSQLDELAAFRYEHAFPHGLLTVRALTRGPEDDAVAEALVRLLASSFSETVRWAPAQRYAQLLTFVIRRYLHERRGLAPHAAVLVGFYRPADAAADSTGDDGDEGGEDGEGKDEGEMACTAEVSFNAVGAPGAPPTPTPPLDFPYICNMTVKTALRRRGLGKQLLKACEDLVIKMDARRCVYLHCRVIDQVPFNIYRKAGYNIVQTDSILVWLSLQKRKYLMSKELPQASAVSESTTKDFDDNILTC >KQK99196 pep chromosome:Setaria_italica_v2.0:VII:31449998:31450700:1 gene:SETIT_012514mg transcript:KQK99196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDALHLHVAVAGRAVAVVERDGTHDPTTGRVLTGSWLWDSSLVLASHLAADASARLHLRSATVLELGARGTGLPGTRPSPASAPHAACSRTCGRCCRAEPQSQRRGQRARRRAGRQDQLRVDVVLMSDVFYDPDMPAMAATLRGLWRDGAGGGGTVGWAASEVRDSMMDCMDVLREQGFEVDEVDRVTRPLLHDPDQTAAFAVYRVSLRQQEEGVDFDYSPSC >KQK96113 pep chromosome:Setaria_italica_v2.0:VII:531794:533963:-1 gene:SETIT_009775mg transcript:KQK96113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVVSMEEHPMGAVVLALIIAAVAAVSLQHLISRRASRRLPPGPTSLPVIGHLHLLRPPVHRTFQELAARVGPLMHIRLGSTHCVVASTAEVASELIRSHEGSISERPLTAVARQFAYGSAGFAFAPYNTHWRFMKRLCMSELLGPRTVEHLRPVRRAGTVSLLRAALAAASSPAAEPFDLTRELIRLSNTSIIRMVASTVPGSVADEAQELVKDVAELVGAFNADDYIALCRGWDLQGLRRRAAAVHRRFDALLEEILRHKEDAREARKLLMLDDDGDDGRKKEAATATMHKDLLDILMDKAEDKTAEVKLTRDNIKAFIIDVVTAGSDTSAAMVEWMLAELMNHPEALRNVVAEIDAVVGGDRIAGEADLPRLPYLIAAYKETLRLHPAAPIAHRQSSEEMQLRGFTVPPQTAVFINIWAIGRDPAFWEEPLAFRPERFMPGGAAESLEPRGQHFHFMPFGSGRRGCPGMGLALQSVPAVLAALVQCFDWATAGGGDDDGVKGMPIDMDESDGLVCARKHPLLLRPTPRLNPFPAVV >KQK96100 pep chromosome:Setaria_italica_v2.0:VII:293089:296462:-1 gene:SETIT_009593mg transcript:KQK96100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYNPYGGASPDPYGGGGTIRLVCDNCSIADDYSADDAEDGQYTCRTCSAVHATQATAADPHDFPVTGSISVRRVATQPTIPNLATRTPAPYPRTPHAKPAPAAFDDFVEPSEPRDFAPGAGAWGQPEDLAARVRLRYVRGLQVILQRQLQVLVEQHRVDALVCGVAGTIWLRWVAASRVFDDMWARQVLADHDQRSGSGDNNKPDRRKVEFAFLRSLRTLLPIYSTLAVCFLACHIARQAILPSDIYRWAVESKLPYLAVFTEVDKLLGSSLHLQRCPLDARQLFRPVQVIGAWQLEAAAGSIAQRICLRLPSVNFYAIAERCLKDLSLPVDKILPHACRIYEWAMPAELWLSSNPARVPTRVCVMAILLVTLRVLYNINGQGIWEKICEERRNAGGSDPDANSPTFRMLDDSNSEEFGMRELLCAIAAAYDKINVVHDYSSDLCSYLKYCNDVIFTGITCSTEEEHLVEIFWDMYKAGEDDNPKEHVKSQSQGIEERAFTNGVNKRSRDGTFIEASCISLSSGHDAVQMLKSEMQDHGFHYMPPRKPRKSDGYLRYRRRRLSGGFVYVAHADYYMLLRAFSKLAEVNVRIMHISVLKLESRLACIEDRIEKSLNTLQNLSVRAKDELRPVSD >KQK98039 pep chromosome:Setaria_italica_v2.0:VII:24664622:24667327:-1 gene:SETIT_009741mg transcript:KQK98039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCFLACFGGDGDRRRRRRKSRRQSPARSPPRSIHVRALTDLGRPVLRRGCVAVVREASAAVAADVVVKEASPPLRGAKPSTLAAAVGVPDEAAAVAADVVVKEVSPPLRGAKRSTLAEVVEVPDEVAEEASLPASSPLSAVAVADETVTDASSGKELRELIEQKASPVRSLLMEKQALSPVKCSPVVAAIVSPQDSVECSPIVAAVVSTSDSELREVSEHGSRSSGKKKVTFDMNVTTYENAVLPHQEEEQPEEDEKHVQKTVVLPENHRYRNCSDSDDDVEDEYAEDDVYSDDGDEEEEDFMDCKIDLVDEEELITEENKQESLSNDQQNDQEVSSPAPKSNGTSVDAESPLIMRNNLHDRSQYVHPVLNPVQNLSQWKEVKSQKTQAVPGKKLDKENVNLVPNVGVSQTRMSPSISGKKEVSVDASLSTWLNSSENSTAGKGQSSKSPCSISSVSRAERPVLGALTVDDLKQPSSASSPRRSPRNDSEGAPILGTIGSYWQCTDQDNGYCSSRSDSGTNGIPNTTSKYREDKRVKWHSTPFNVRLDRALKKTSA >KQK99923 pep chromosome:Setaria_italica_v2.0:VII:35413244:35413486:1 gene:SETIT_011992mg transcript:KQK99923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLGTSLAHLAIAAGSYLNSAVLGAIAWATARGGAAGWIPDDLNEGHLDYFWLMAALGVVNLLHFVYCSRRYRGNKTTY >KQK98471 pep chromosome:Setaria_italica_v2.0:VII:27239863:27242715:1 gene:SETIT_010797mg transcript:KQK98471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIAAWAWECHPAHRLLLLFNRDEYHSRPTQPAQWWAPGEDGKEILGGRDELGGGTWMGCTRDGKLAFLTNVREPGSLIGAKTRGQLPVRFLQGSQGPLEYAAEIAKEADQYNGFNLILADVNSGTMVYISNRPGDDPVIHTVAPGIHVLSNASINSPWPKALRLGQSFKKYLETHDDAEASVKQMVEELMMDTIKADTSMVPDTGVDPEWEYNLSSIFIDTAKGQARYGTRSMVALAAKLDGEATFYERYLENSLWKENIIQFQMEKAQQVLRGTTE >KQK96162 pep chromosome:Setaria_italica_v2.0:VII:1117202:1117771:1 gene:SETIT_011663mg transcript:KQK96162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGCPVILLRNIDPANGLCNGTRLVHAGKRVFLPRIPLCPSDDEMFPFQFKRKQFPIRLSFAMTLYVAMSRATARTNIKILALPPNAEADEEQTKKKKKKTTNKKVNGQGNQNNNEQKGSSEKKKRVPTVDGTYTKNIVYKEVLTP >KQK98547 pep chromosome:Setaria_italica_v2.0:VII:27866104:27868253:1 gene:SETIT_009704mg transcript:KQK98547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADPSSSSTGQHAADIRAVPPEDARQKAMSGPLRSERRPPPMQRAFSRQVSLGSGVTVLGMDRGGRNGGGRGQRALPRSGRSLGVLNHSGALGGSGGDGAARRGDFSMFRTKSTLSKQNSLLPTRIRESDLDLPTHVEDQPSAGRPAVAEDPLNKSVPAGRYFAALRGPELDEVRDYEDILLPKDEVWPFLLRFPIGCFGVCLGLGSQTILWGALAASPAMRFLHVSPMINVALWLLAVAVLVATSVTYALKCIFYFEAIRREYFHPVRVNFFFAPWIAAMFVTLGLPRAYAPERPHPGVWCAFVLPLFALELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILAARVGWTEAGKFLWAIGVAHYIVVFVTLYQRLPTNEALPKELHPVYSMFIATPSAASLAWAAIYGSFDAVARTFFFMAIFLYISLVVRINFFRGFRFSLAWWSYTFPMTTASLATVKYAEAVPCFASRALALSLSLMSTTMVSLLLVSTLLHAFIWRSLFPNDLAIAITKDRQNGAVVKPNSKGKRASKRVHDIKRWAKQAPLSLVSSITKSNSADKEEEEKTE >KQK98353 pep chromosome:Setaria_italica_v2.0:VII:26471534:26473019:1 gene:SETIT_012032mg transcript:KQK98353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAPGGARRRGGRRDAAGGGAAVRKGPWMAEEDAVLLEHVRAHGPRDWSSIRSKGLLPRTGKSCRLRWVNKLRPNLKTGCKFSADEERVVLELQAQFGNKWARIATYLPGRTDNDVKNFWSTRQKRLARLLRAPLPAPSSRSTRAKAPAAASSLQSRPAAVGPCLDRVPFGSSSSGVHPCSAATPFMDTQNAALIQYDQAAGSGLLGFNGALPPFAPVADSHACSSSSNAGPLLLPRLPFDEPPYPLLDYPGMPEGWNMAPGGFVNAGAMDDLAYQELLPMMTQPAPMIFPFFGTGCAQGGVKAEPPDAPDFFDDLPPDMFDSLDQVPPPLSPPATSSGF >KQK99178 pep chromosome:Setaria_italica_v2.0:VII:31342435:31347413:1 gene:SETIT_011861mg transcript:KQK99178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VNATAYSVVLRTLVRMFDGAQKAQYMDGHRERFIRLEESSPRSSVPSEVGGGSALRFSMPGFGYGSFNALRSFLSGVRKGSGRLKSLRQSLTSGAPKTAFAEDLKSFKKTIFDPQDKLLFRMNWVFFSSCIIAVAVDPLFFFLPIINITKDGKPTCIGIDKTLAVASTIIRTVIDSIYFIRIILQFRTAYVAPSSRVFGTGELVIDPVPIAMRYIKSYFIMDLFALLPLPQIVVWRYLHISDGPDVLTTKNALVWVVLVQYIPRLLRIFPVTTDLKRTAGVFIETAWAGAAYYLLWFMLAGHNVGTLWYFLTIEREDDCWHSYCHLKDGCDSNYLYCSANHNGNYGSWFSSTQVFNQCNGTVNNPFNFGIYQQALVSGILGPGNFISKLCYCFWWGLQNLSTLGQGLVTSTYPGEVLFSIAICVLGLILFALLIGNMQSYLQSVAIRLEEMRVKKRDAEQWMHHRSLPPEIRHRVRRYERYRWLETRGVDEESLVQTLPKDLRRDIKRHLCLGLVKRVHLFENLDERLLDAICERLRPALYTENEYILREGDPVDEMHFILHGCLESVTTDGGRSGFFNKVQLKEGSFCGDELLTWALDPKSGANFPASSRTVKALTEVEAFALCAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWGACFIQAAWRRYYKRKMAEQRRKEEEAASRPSSSHPSLGATIYASRFAANAMRGVHRLRSKAVPAIVRLPKPPEPDFGVDDAD >KQK97896 pep chromosome:Setaria_italica_v2.0:VII:23820112:23823593:-1 gene:SETIT_010917mg transcript:KQK97896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGANGHPPPASAAAQNGSHSAGGGGGGGGGGGGGGGANPSNGGTAAALRHDPGLAREWSPEEQATLDELLAKYASDAPVIRYAKIAMKLPEKTVRDVALRCRWMNKKESGKRKKEDHNSSKKSKDKKEKVSDSSSKPPVHMAGRPNVPPYPLPVLPMDDDEISSKAIGGPTGEILETNAQVLGQISINLSNMQIQDNISLLCQTRDNILRVLKEINDAPEIMKQMPPLPVKINEELVNSLLPRPTVPMQ >KQK98644 pep chromosome:Setaria_italica_v2.0:VII:28425504:28426308:-1 gene:SETIT_011312mg transcript:KQK98644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYNPVSVDAHGDLEAGFSGHPGTPLKPAASPRRPGRMFCDPCDDADELHGHHHYLDICFRCRKLLSGNRDIFMYRGDMPFCSEECRQEQIDIDEAREQRSKQTGRAEQQLQRQQQKQSPQRIPIWAW >KQK98273 pep chromosome:Setaria_italica_v2.0:VII:25977625:25980015:1 gene:SETIT_011422mg transcript:KQK98273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYRHSEGKLVLKVTDDRECLKFKTDQAQDAKKMEKLNNIFFALMTRGPDADISEVSGKEQAEQQQSKKGRGRRQ >KQK98272 pep chromosome:Setaria_italica_v2.0:VII:25977625:25980015:1 gene:SETIT_011422mg transcript:KQK98272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLDSWDDFVERSVQLFRADPSATRYVMKYRHSEGKLVLKVTDDRECLKFKTDQAQDAKKMEKLNNIFFALMTRGPDADISEVSGKEQAEQQQSKKGRGRRQ >KQK97930 pep chromosome:Setaria_italica_v2.0:VII:24014020:24016019:1 gene:SETIT_009798mg transcript:KQK97930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREMVAAPQAWLLLLFPLVLLLLARSFSLARWFRANGARDRRQQRKELDDDHRLPPSPPALPVLGHLHLVGSLPHVSLRNLARKHGMDLMFLRLGAMPVLVASSPRAAEAVLRTHDHVFASRPQSLVAEVIMYGPSDVGFAPYGDYWRRVRKLVTTQLLSVKKVQSFRHAREEEVGRVMAKIGEAAAAGVAVDVGDLLSSYTNDLACRAVMGNCSSFHTEGRNKLFRELVSDTSPLLGGFNIEEFFPFLARFGVLSKVVRAKSERLRRRWDELLEPLIDDHERQYDAPAAAASDAKGYDFIHVLLSVREEYGLTREQMKALLLDVFFAGIETSAAVLEFTIAELMRRPHLMKKLQAEVRSSVPKEADAVTEPDLAGMTYLRAVIKESHRLHNVTPLLAPRVSMASCNIDGYTIPAGVQVLINTWAIGRDARFWGDDAEEFIPERFIGDASAAHVSFKGNDFQFLPFGSGRRMCAGMNFGMAAVELMLANFVYCFDWELPPGKQGHDIDMAEVFGLVVKRKEKLLLVPKFRVY >KQK99091 pep chromosome:Setaria_italica_v2.0:VII:30943815:30944207:1 gene:SETIT_012810mg transcript:KQK99091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPEVTAAQLGAIACQRKKNFLTVGPTGQQSKERGREGGPMEDKMGRASGTG >KQK96140 pep chromosome:Setaria_italica_v2.0:VII:805788:805999:1 gene:SETIT_011625mg transcript:KQK96140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQIIWSTNTTLFRNLSGHPSPVPKIPQKFSKILNNILSLSTPFFSIFHLI >KQK98668 pep chromosome:Setaria_italica_v2.0:VII:28552863:28553883:1 gene:SETIT_011113mg transcript:KQK98668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAPSPPRRTARSPPAASPRPARAPTRTAAAAGDGRGADWCALPSTARAYRSFQRNTWDDAGAESGDERTSPTTSELPAERRSGRRGRAYVSHHLKLPAERRGRRGRSRRRQPACRRFLVARVTGACLNRRSSLVGARAGGRVARRTLTPQVRRWELAQRHHHGRECGRRDPARRARRLSNVPACTAAVSFEDK >KQK99677 pep chromosome:Setaria_italica_v2.0:VII:34175316:34177874:-1 gene:SETIT_012220mg transcript:KQK99677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLAAAARQPKTPHPLLVALHRLLSTATTTSSYDPTAQFLHPDHRRVLSLPASWRSLPASLRHDALLALARLLKTVPQCHLALHAVSPPRSSGTPSSTPLAARFAAASRLAVSASALRPFAAILLAALLPAVSPDLLSWSSSYGSTGGSARVRYAALRLALHAFLAAGMAAEALHVLARVRRSGNTPSLSALAALLRLLFRSGEVRAAWNVFEEMATRGPRPSLAIFNAMVLGFCHRGMLRVASGLLGVLEKKFSIVPDVCSYNILIKGHCLFGWSGDAFKLFEEMRRAGCEPTVVTYNILVDVLCHEGRMVEARSLFDEMAQVGIKANTITFNVLIDGYAKTGLMDEASAAYKEMKVRGLVPDSCTFNILAAGSYKFGHAAQLCWDGRLDGAWELLRGAIEQDKGSDPAGTNMIEILDAG >KQK96336 pep chromosome:Setaria_italica_v2.0:VII:3816778:3820076:1 gene:SETIT_012475mg transcript:KQK96336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIEEGRVFKELPALKRWLQAFAVIRKRPYKVLHSYAKHRYTVVCDKERCPWRVCARKQHITGKWKITKVVGPHNCADHELTVRHPQLTSTLIAKRLMGILKEQPNMKVRTIIRTIEEIYGGYVITYGKAWRAKQRAWKMIYGDWESGYEQLPVLFNVIKAVNLGMHYEYIPKPNAWKDGRQIFGRAFWCFPQSVEAFRHCHPVFSIDGTFFIGKYRGTLLIAISCDANNMLVPLAFALIERENNDSWGWFLRLVRKHVVGPGREVGVISDRHQGILYAVQEQIEGYAPLHHRWCTRHLAENLLRKDGVKDNFDLFQVAARQLEDYYFQRKLEQVRTATNAEGRQWLAGSMRDLDKWTRSHDTGGWRYEFQCSNMAESFNKLLLGIRAMPVNAIVEFTFYRLVAWFNERHAKAEALQIAGERWAEKPKRYLIIANERASTHEVQCFDLGSGTYQVEHRGGTTSDGEIRESRIHVVVLRDFKCTCGRPRQYHFVCSHLVAAAKHRNFDIESMIRHEFSVDTLVRTWSPRFVPFRDPREWPPYDGPKYVADPAYHWNKRGTRKRTRHNMTMDQKMLGLSIRGHAVTGPCVSEGWRARVVAFLGRELREHFGQCPQDADAEIVGHYCRAWILHLFACVLFPDATGDTASWMWIHYLTDWHQAHLYSWGSAVLCFLYWQLCEACRRTSGSASVGGCVYLLQLWMWARLPIGRPEILPRRPWFPGEMPRRQPTWAYIWDQVKVSHTRLDRAYLNYINEIDALTAHSPYEGEDALPFTLSFTCGLDDDLYRMKCPLICFYAVEYHLPDRVARQFGMRQI >KQK99319 pep chromosome:Setaria_italica_v2.0:VII:32336335:32336829:-1 gene:SETIT_013012mg transcript:KQK99319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRNYGSLQSVQMLKACFLVLSWFCFGNEFHCSVKIRSHLHVRNFQFYDS >KQK97594 pep chromosome:Setaria_italica_v2.0:VII:22075360:22075759:-1 gene:SETIT_012550mg transcript:KQK97594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDEHRMLSSSSSNSSSSTADAAPTEEAPVAAGPAAPTARVKVLFFARARDRTGVS >KQK97538 pep chromosome:Setaria_italica_v2.0:VII:21765866:21767337:1 gene:SETIT_011135mg transcript:KQK97538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLSPCLLLVVFGAVACASAAAAVVTEVVARDPEDKCVYTVYVRTGSIWKGGTDSKIGVTLVGADGSGIRIPDLEGWGGLMGAGHDYYERGNLDIFSGRGPCMRAAPCAMNLTSDGTGPHHGWYCNYVEVTSTGPHLGCAQQLFTVEQWLATDAPPYRLYKTVDKCHEKRSAAATAGDDVEAAATAL >KQK97396 pep chromosome:Setaria_italica_v2.0:VII:21000569:21001219:1 gene:SETIT_011160mg transcript:KQK97396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAISAHSLGESRSSSSSWWHRAAAAWCSTSSAGSQRTRSAASAAAARTSPGCVLAGRGSQPTHPGKLSCASRPRRRSAATSCATAAISADSKLPSQMRVLVPGCRISDTHLPHFRFLTPRYCCCCCCDDDDELLLLVIGEGTCRCLPIWSIAIYIYTNQFSQIISEECMCACDRRS >KQK97539 pep chromosome:Setaria_italica_v2.0:VII:21771880:21773443:1 gene:SETIT_009909mg transcript:KQK97539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAFTEDKGKVDDHAAGQEPESQDEFFSDSESGSESIEIANLKKRMWKDQLLLMKLEGRSGRDRPHPDAGQGQQQQQPGADHWDQALTKEKEETPQARYRRKAMLRAQDGVIRHMLKMMEACNARGFVYGVVDETGVPVSGSSDSLRGWWKDDVGFDKAGPLALLSGVHQAAGDPGSPMAASFLHGLHDIQDSTLGSLLSALIQHCEPPQRSFPLDRGLAPPWWPTGQETWWGSQGEAQAHQGPPPYRKPHDLKKAWKISLLSAVIKHLSPRFDQMRKLVWQSKRLQHKMSARDAETWSKVITQEEALDRHAQRALQITPLEEDEDDDGGPDAGDVDSPLAAAHHVDKRKRKVGREGDSNAGGGGGGVDVGKALLALPDIDCVPDADRSSIDELMRLYYRCLQGTEDDGEHDVKGAVAVVRDGGQHSGGGAVDEAAPVAHRDMFLHGSQQHGGGAVVPHQAAANDASSVHEHDMLRSMLGVADVVDMSDFLNSPIWQWGVYD >KQK97651 pep chromosome:Setaria_italica_v2.0:VII:22398411:22399115:-1 gene:SETIT_011398mg transcript:KQK97651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQKIVIKLSVASDKSRSKAMVLAAKADGVSKMGITGDGKDRLEVEGDGVDAVCLVECLRRKVGHAEILQVEEVKPAEKKPEEKKPDEPKVVPLPYWWYPYHYHHPQPWC >KQK98648 pep chromosome:Setaria_italica_v2.0:VII:28446136:28446957:-1 gene:SETIT_011425mg transcript:KQK98648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASVPCAGFFFDAEPAGEPSAPALDACALCAQPLGRDSDIFMYRGDTPFCSEDCRHEQMQLDAVSARRAARSAGRRHQQYSSSGTDSPRRGQESRKVSVAS >KQK96829 pep chromosome:Setaria_italica_v2.0:VII:16118945:16121626:1 gene:SETIT_012435mg transcript:KQK96829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVIGGFVSAVVEGAVAKAVAYLERNHDMPERTKELLRELEVRLTVVKAISEAADNRLITNANLVQWLRRLHAATQEAEDALDEFEVDEASITGKRKVSELIVSSLRSLKSLVVPDNSMERLEHVVKTLTHLCASSATFVELLKMDDSKANRQKEGITGEASSQLPIDIHVFGREEVTEFILKMIAGTASSDPAGSSSGTGKIRATMHNILVLPIVGMSGVGKTTLAQVIYNHPKVKEQFQRRAWVYVSEHFSIKRSLQEILSSFNKYKDMCLDSSDSMEATITKLRSKIRAGNRFFLVLDNVWTEMCQEWNTLLTVLSDDARQCGSVILVTTQSQRISRIVATVCPINLKALPWESFWPLFQYHAFWGVEASQQVNHNMLLMGEEIAKKLGGLPLAARIIGNLLRSTFSWDKWRRVAESDWWNFGDGLQGILPYLGDRVVQMWIAHDFIKSNGFRDGTRLEEVGGQCFDELVDRSLFQPTFVSNKYVMHDLVRCLAIAVSLHQCFLHGESSGGASSPAPQNIRHLALQTGSLEQCQELHKYKNLRTLLLFGRFEGDAFYSFLDSVLGNSPCLRVLDMSYVEAPVMGWPNDARGQRKLRFLDLSFTRIARLKDLPRNLQVLHLRGYDADHLPQGITKLNNLRHLYVDDSALSKIQGIGQLTELQELDSFIARKGLGFTIRELKNMRELTGRLCIRGIENVRSKEEAMEARLMDKKHLGALVIEGRKVPKFALEGLQPHPDIQELTIKFYQDQVFPQWVLQPGNLANLLHASLENCRFLSSLPPLGHLPLLKFLSLRKLPSIKHVDGVSFGGFPSLEQLEFQWVEKWEEWTEPKAAAEAHAHGSSLFLGRLKKLNLESCPLLRQFP >KQK97078 pep chromosome:Setaria_italica_v2.0:VII:18810126:18815807:-1 gene:SETIT_011666mg transcript:KQK97078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGWLRGKVKAVPSGDTLVIISIAKADTIPLEKSITLSCIIAPRLARRHGTDEPFAWESREFLRKLCIGQEVKFKVDYVLPGSSREFGTVYLGGDTNIAGLVVAQGFAKVKEQGHKGYVNLYVTDLLRLEEIAKDQGLGRWSKEHGAAEASVRILPTSTMGEAAPSDVKGFISEMKGKALEAIVEQVRDGSTIRVCLIPSFHFFSDRFGKEAKHFTETKVLSREVRVILEGTDSFDNMFASVYYSDGNTAKDLALELVENLRIWTGFQPPVTNTAPIHNQKFTGKVIEVVNGYCIVIADDMVPVGSPLAERRVNISSIRPPKLVDSSGESKTIEHFARAAKEFLRTRLIGKQVHVSMEYSRRINISNGHAADKTNIVDTRVLNYGSVFLPSQSGSSGNLFGANVAELLLSRGFADITRHRDYEERSQHYDALLAAYSRAEKAKKGYHAKKYYPATHMNDLTTVRIYFHSRKIFFHLLQRNKRHSAVVEYIFSGHRFKLTIPNETSTITFSFSCVRCPGKNEPYSDGAIALMRRTILQHDVEIEIEAVDRTGTFLGSLWESKNNMAYVLLEAGLAKLSSFGLDRISDAQTLIRAEKSAQQKKLKVWENYNEAKVIPHGSLMGQNGKESFKLASMKFNDIPETLMVTKDFPDTLSNTLEVQDQPRHCPSNTSEVQAEPKNHVVPLPSRWSSLFKDKVDILKDEVPLDTSKAEDLSSNGPSDAVPFNPTKGDVVLAQFTLDNSWNRAMIVSEHQGPMEREFLVFYIDYGNQEIVTYSRLRPAHANQSTSLIPPLAKLFLLAFIAVPNLKDNLGEQAARYLSMVLLDNEKEFKATIEERATVESKQEGQGTGEVLVVTLFDEDAESSINAAMLENGLAQIETHRLISRERRAAVKNLEEFQEHAKKERCGIWHLEGVGVPDKSAKDGNACDINDDEDLLAPARAQPPSRGFDLIDLIASKSTGTPKPSREHVMGDSLP >KQK97994 pep chromosome:Setaria_italica_v2.0:VII:24365552:24368030:-1 gene:SETIT_009762mg transcript:KQK97994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSPSSLSKSSPSSPPRRSRSLSPMAPPLLRTTAPAQVAAGGSGSSSSSFPPPARDYTQDLPDEILALVFASLLPAERSACSLACARWKEVDAATRHRLSLDARAGLGHAAPALFGRFTAVTKLALRSARGSGADSLADEGAAAVADALPTDRLARLKLRGLRQLSDTGLASLAGAAPALRKLSVASCAFGPKAFVAVLQACPLLEDLSVKRLRGLPDTAGAATAITEEIKFPQALSLRSVCLKDLYSAPSFVPLVASSPNLRSLKILRCTGAWDLPLEVITARAPGLVELHLEKLQVGDRGLAALSACRNLEVLFLVKTPECTDLGIISVAERCHKLRKLHIDGWRTNRIGDFGLMAVARGCPDLQELVLIGVNPTVLSLRMLGEHCRALERLALCGCETVGDAEIICLAERCAALKKLCIKGCPVSDRGMEALNGGCPSLVKVKLKRCRGVSYECIENLKVTRGESFSISLDIVLDHDAGSASENGVQETGQAQITELADQMAGMDLPTNSVGAQSSTHTINRVSRVMSAIRRRFGNPPPQ >KQK97356 pep chromosome:Setaria_italica_v2.0:VII:20753854:20761283:-1 gene:SETIT_009903mg transcript:KQK97356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPAHRTKVVLRRLPPAIAQQAVVDQVDARFAGRYDWACFRPGNASQKNHRYSRLYLNFKRPEDVVEFAEVFNGHVFVNEKGVQFKALVEYAPSQQVPRSNIKKDGREGTIMKDPEYLEFLELISKPTEHLPSAEIQLERKEAERAAAGKEAPVVTALMMFVRQQRAAKSMAQRPGSRVSRKVAGVVTSSSSPSKRSSEKRRSSAYVVRDSAKEKPTYIMVPKREEHTQREKAVAGSSDATSGGTSGSGQAVEAKRDKIVILKGRGRVDSNIPDGTTQQSSTPVKNVPPSSSRQDQRLEGSGRIIKTILSNKEARSSNPSQHEQEGHMLNTERDKRPPRVLNPRTIVKDQIAENAERSHFDEKPNHLHGSAPVGEKIERHARNRDRPDRGVWAPRRYDKSTSGGGSNALSSEFPQMPSNSGDNFSQIADGHGDRKTDTRSHGGSRGGPVENGHRHANRRGPPRGPKETETSASTPDGKNSKRGSASYGAHERQVWVQKSSSGS >KQK99222 pep chromosome:Setaria_italica_v2.0:VII:31617762:31618098:1 gene:SETIT_013061mg transcript:KQK99222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIGWKASRQQRQVQAQQETRIKKDILRDLSASAPPQTSWWRRHQPRQDSMSIVLAIP >KQK96682 pep chromosome:Setaria_italica_v2.0:VII:13877290:13877956:-1 gene:SETIT_013052mg transcript:KQK96682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHRCAASFCLWLGYRYGPFRLFASKQWVTNCGLHICIFGGNELVT >KQK96544 pep chromosome:Setaria_italica_v2.0:VII:11581079:11583191:1 gene:SETIT_009991mg transcript:KQK96544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLISPELFRPDDGCFSSTGIRYDDDDDTSFLKFSACWQDTWVTSPLPAHRYRDVLQAGTLRLESYTLLLAAFCFSSRRNREMAAKVTVEEVRKAQRAEGPASVLAIGTVTPPNCVYQADYADYYFRVTKSEHMTELKEKFKRICRKSMIQKRYMHLTEDILLENPNMASYSAPSLNARQDILVEEVPKLGAAAVEKALKEWGQPRSQITHIIFCTTSGVDMPGADSRIIKLLGLDPSVKRVMLYHQGCFAGGMVLRIAKDLAENNRGARVLIVCSEITVVTFRGPSEAHLDSLVGQALFGDGAAAVIVGADPDEHVERPLFQMVSASETILPNSDGAIEGHLREVGLTFHLQERVPELISSNIERLLEESFKPLGISDWNSIFWVAHPGGPAILNAVESKAGIDKARLRATRQVLSEYGNMSSACVLFILDEMRKFSAEDGRATTGEGMDWGVLFGFGPGLTVETVVLHSVPITTGHAA >KQK97024 pep chromosome:Setaria_italica_v2.0:VII:18328320:18329827:-1 gene:SETIT_010039mg transcript:KQK97024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPHVAVVAFPFSSHAPKLLAVARALATAAPSATFSFLSTAGSLERLRGAGAAVPGNLRLVEVPTGGGDDDEEDTPAWRRMELFVEAAEAGGLKQSLEAAARAAAPAGAAATVTCVVGDAFMSMAAEAGVPWVAVWTGGPCALLAHLLGDALREDIGDHAASRGDELLTSHAGLGSFRVRDLPFGGANPSGEMHRVMSLLLHRVARRLPRAASAVALNAFPGLFPPDVSAALDGALPNSLALGPYHLLPGAAAPAASGDPHGCLAWLDRRPERAVVYVSFGTVAALPPDELRQLASGLEAAGAPFLWSLREESWPLLPPGFLDRAGASGSGTGLVVPWAPQGAVLRHPAVGAFVAHSGWGAVVEGMSGGVPMACRPFFGDQQMNARAVAELWGFGTSFEDSGPMTRGGVAAAVTSLLAGEEGAPMRARARELQAKVDEAFQPDGGSMNNFRKFVEIVCARA >KQK96686 pep chromosome:Setaria_italica_v2.0:VII:13950153:13951022:-1 gene:SETIT_011641mg transcript:KQK96686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSFELQFVRYDRGKSKTKGEMQHTNQSSLWDTIRVKTYSMSLSWGIVPDRRYNPVQWKANCGRSCGNISIPFPFGLEEGCYARKQFQLHCIDMRSSRLELVSMYTEIRQININEGTIETINRDEFSYGGTQSIYAGSISTQELQWVVANLSCQEAQEDSTTYACVSAESTCLGVRLRNDA >KQK97981 pep chromosome:Setaria_italica_v2.0:VII:24263143:24263499:-1 gene:SETIT_012734mg transcript:KQK97981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNGGDAPADTPADARQCICSPTTHPGSFRCRLHRGAGMPRSASCQQFGAAAAAPGSRSLCSSSHMRRAVFQQQFAVRSTTVNGGMSRSSSEQLLCPSGVSRSASWQDFFPKERPEL >KQK97022 pep chromosome:Setaria_italica_v2.0:VII:18322766:18323083:1 gene:SETIT_013030mg transcript:KQK97022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSSYLREYLLTIVCYSAFMAQQEMHLINRYT >KQK97823 pep chromosome:Setaria_italica_v2.0:VII:23444483:23444923:1 gene:SETIT_013069mg transcript:KQK97823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCILWPALWAFLVVRLPLQGHIDGWFRLSKDPL >KQL00004 pep chromosome:Setaria_italica_v2.0:VII:35722465:35724808:-1 gene:SETIT_010145mg transcript:KQL00004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEATPKMTVLHAPVGVRSIVSSLVAFFIVASSVTFLLDRGQEAQVQMAVEHGRQEMQVKVEAGLQEPAMRGTTESGDAGEECNWSRGRWVYDNVSRPLYSGLKCAFIFPEVACDKYGRKDVMYQHWRWQPQGCDLPRFNATRLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKTRIFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQKDDMRMKVMYGSFEDGDARLDEMEMVDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASNWGGEDSNKCLNETEPIYKVGYKAATTDYSLMAKAKSYFRTLEPKGIHVQILNITELSDYRKDGHPTVFRRQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >KQK99816 pep chromosome:Setaria_italica_v2.0:VII:34873692:34874050:-1 gene:SETIT_012960mg transcript:KQK99816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRRTVVSLSLSETDLASCSSESPKFCKIYLSWIVWSWPHGSNKHVET >KQK97635 pep chromosome:Setaria_italica_v2.0:VII:22316836:22321265:-1 gene:SETIT_009527mg transcript:KQK97635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGCLRRLLRAPPPRALLSRALSSSPPPGGGSGVAVKQVTRGNLAEALEELRGRVREATFVGLDLEMSGVTSAPWRDTFELDRDDVRYLKLRDSAERFAALQLGVCPFRWDPAKSAFVAYPHNFFIFPRKELSDCSSHEFLCQTTSIDFLAKYQFDFNTCFREGISYLSRAQEEEALQKLNFFHQDRPFSSSATSEGDGDMPLKRTADILFTERMKMNFKVWRDVIISQPDSHLSGNIKCTAGQFQTAFFKMRPAVILNGFSSHQLQLIQQVLRKNFRDLVYVCTFGEDDTSEKRVVYSDTDEDKILLMKDVHEDLLKSREARVKSAIGIRHVIDLLSSERKLIVGHSCFLDIAQVYSKFVGPLPSSIKEFALSIHKIFPHIADTRHLMNVNQAVQKLMKHKSKSLSSAFSLLCPSSHSYAEKPSSLSPVRIEVGGDETTSSCFVSGAKHEAGYDAYMTGCVFAQLCAYLDVKFEQLSPQDNLATNNKLQKHINLLSPSWNSGTVLDLSSGQERPDPGYMRRYPAAVYDNIVLIWGFMSKVRPKEIKDCICKVFGSGSIKTVFSIDSTAALVQFKKQKTVNEFLDLKALLEKTDSAISILHPLSTILEGGQTRAVKYDTYRDICSSSELKYLFADQAEAFCATSKNQLQENVDHSLISGVHQTVLTSVNKGDGTKSGSMNEDDAAILASSIS >KQK98257 pep chromosome:Setaria_italica_v2.0:VII:25914702:25916595:-1 gene:SETIT_009925mg transcript:KQK98257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLCLAALLALNLLLQQQCHALLVPLTNTLCSLRGANGTTPLPVPVHHLIRSSSLRSAARHRRHRARGGTQTPSPGHHRQLSLPLAPGSDYTLSLSVGPASAAAPVSLFLDTGSDLVWFPCAPFTCMLCEGKPTPPGGHSAPLPPPPDSRRVPCASPLCSAAHSSAPPSDLCAAAGCPLEDIETASCGPSAHPCPPLYYAYGDGSLVAHLRRGRVGMAASVAVENFTFACAHTALAEPVGVAGFGRGPLSLPAQLSPSLSGRFSYCLVSHSFRADRLVRPSPLILGRSPDDAAGLGAAEAGGGGGFVYTPLLHNPRHPYFYSVALEAVSVGATRIPARPELGRVDRAGNGGMVVDSGTTFTMLPGETHARVVEAFARAMDAAGFARSERAEAQTGLAPCYRYAATDRGVPPLALHFRGNATVALPRRNYFMGFESEGGGGMGCLMLMNGGDGDDGPAGTLGNFQQQGFEVMYDVDAGRVGFARRRCTDLWDSLSRRGR >KQK96262 pep chromosome:Setaria_italica_v2.0:VII:2645973:2647948:1 gene:SETIT_012727mg transcript:KQK96262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAVLPDDALADVLRRLPPRSLAHGPVRPQGVARRHRRPRAAALPSPPALRPRYLHQLHRPQAPALLRRRSASPAAAFPKIDGTLGFMPNDGRNFGSVLHQCNGLLLCEHEWESGLCVCNSATWRWQVLPRPEEASVYSSLAYLAFDPAASPHYEVFLIPEEPKIPAPPNHWMLEIKQPAEPPYHLMEWPPSPFRLEVFSSRTGGWEIRIDFVREGKAAGTVQDVYLGKSMHGVCFGVIDEFQLQVWILIESCGQMEWVSKYQDNLRRLASLSNVNGKLSAGTWVIQEDNIDEPDIFDNIITSRKESCEWDSDNDDLFTVEVYPNTPYDNFYILGFHPYKEVVFVVKQFEVLAYRLDSSKIHYVGNCRPKCYRGIYESFLYTPCMIGELLHGDNTDQNSSEG >KQK97132 pep chromosome:Setaria_italica_v2.0:VII:19219089:19219462:1 gene:SETIT_013006mg transcript:KQK97132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEEDFDCSRGIAMSNLMPLWPSMSSMRQLSMQSCGHSYALNSKAACCPSLSATCRRHRQSNPSTGVGPPAVERGERWTRP >KQK97434 pep chromosome:Setaria_italica_v2.0:VII:21189712:21191308:-1 gene:SETIT_010246mg transcript:KQK97434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDDDDVSPDASPSPASSPGGPPSASLPVADPVTVASAPPGGGYAVALPIHRTAASLYATAGGGGGGGGGGGREDAWSEGATSALIDAWGERFVALGRGSLRHPQWQEVADAVSSRDGYSKAPKSDVQCKNRIDTLKKKYKVERAKPVSSWQFFDRLDFLLAPTYGNKPGSGGGGGGGHNSNSRSPVPAALRVSFPQRSPSAAKRRPPSPEPSVSSESSDGFPPLPALPAANGKRRRTDEGRADGGSSGDRTQGLRDLAQAIRRFGEAYERVEAAKLDQAAEMERRRIDFARELESQRAQFFLNAQMELTQAKNHASSGVATIPAGATTVGGSSRRMSMATDAGGSSNHHHHSRYRISHGDRHRNAPRSHYQQYHDNNHAAAAAAASEGEQSDEEEEDDEEEESQ >KQK97834 pep chromosome:Setaria_italica_v2.0:VII:23490229:23496478:1 gene:SETIT_010535mg transcript:KQK97834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPRGKKRTAAEAAATDSSKPEAAAKEPAKRGRAKRVKAPAEPTEYFPEKRNLEDLWLSAFPVGTEWENIDKIKEFNWNFENLEKTLEEGGELYGKTVYLFGSTEPQLLYVNGESKIVLIPVIIAVDCPFPPSDKIGINSVQRENEEIVPMKAMKMAWVPYVPLEDRLSRIDSLKTKIFTLGCTQRRSALKHLKTERVKKFDYCMPYYMPLQPVEDEDDTVINFLYPQEPPIVDDFDWEMDEYEDFADQKVKDEVLPEDEKEKFKEFLKEKVRERKRELKQAKEARKKAIDDMDPKEKEAFENIKFYKFYPVKNPDTPDVDNVKSKYINRYYRHTHYLM >KQK99058 pep chromosome:Setaria_italica_v2.0:VII:30694741:30696148:-1 gene:SETIT_011922mg transcript:KQK99058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIDGPRVYEHKRAMGTASSLAIGTANPSNVMLQSDFPDFPDHIKEELKDRFRRICQKSAIRKRHLYIDEALLGANPEMTTHGGPSLDKRKDIISAKIPELGAAAAAAALKEWGRTVVDITHLIVGCNSGGSDQPGADYHVARLLGLSPSASRLGVYHQGCVVGASTLCLAKDLAENNAGARVLAVLVEVSIIAIRGADSAAAAGDGSERPLFEIVRSRQLTVPGTGDAMRGLIREAGLTRGGSKMELHPGLNPPLGLTISLVREGPSMFASNLEAALRGFLGGSVTDGDWNALFWALHYPGGRLILDKVETALGLEPAKMRASREVLAEYGNMGSASVWFILDGMRRWSAANGCGTAGEGCHWGALCGFGPGLTLDLVLLRAARV >KQK96886 pep chromosome:Setaria_italica_v2.0:VII:16972348:16976835:1 gene:SETIT_009195mg transcript:KQK96886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAFAGKAVAASAISTIVRKSFNYLEKYRKAEGMKSVKERLERTLPQVQVVFDAVDTERIRDQSEALDAWLWQLRDAVEEAEDVLDEVEYYKLEKKVKSGGNMVTSSLYKCKRLFIQHFNTTFKVGTFKRMADAMKKLDEVSVGVERFILLVDHLDSSSFKHICHQDVVNPRETSSFLIEETIIGRDTERDQIVEWLIEQRGDNQNPEVCNVILFGLVGIGGMGKTTLAQAVYNDQKVKQFFDHTMWVCVSNDFDVPALTRKIIQEITGRGTDVTCLNTLQKILSENLSSKKFLLVFDDVWNDERRTDWEKLVAPLKLGQKGSKILLTTRMQSVVDIAERVLGGIAKCMRLEGLQENDLLELFNKHAYFGVDPNNYLNLQEIGNHIIKKLSGSPLAAKVMGGLLNNSLDTLYWSRMLREKISNIEHGNEGVMKVLRLSYHHLSPQLKACFRYCSMFREDYEFRKEELVDLWMASGLIQPDVDENHRPEDVGEYYLGILTKKSFFELRSNMSIHRYDGTTTIGEFSDEYYVLHDLLHELARTVSIKECVRISSDVCGTIPEAVRHATIIIRNCTVISDFSTLKKLRTLRIYFDGTINQRDQWTVLKNVLNATTKLRVFHVKNCSLRKLPDAFGSLLHLRYLCHSSVNAWMKSKKWPIWFPSSIYKLHHLQMLELTRCLLVSWRLGNFVCLRHLYYSGFVIGLPPYVGRLTSLQDVHHICVPHRHGLFPSEIQNLKDLRHLEISGLQNVNAEEAVLTKLSEKKNLNFLSLSWQSDQSEADIDERVLNDLQPHANLAKLKITGYNGSRSPFWMENPILTNVTYISLSSCNQWQHLPPLGRLPSLKYLYLRDMGSVKKINNSFYGCYNHFGFQSLKLLHIEDLPALDEWVEIEDNLFPQLEVLVIRYCRALRNAPTLPSTLAYFELDDVGLTTLPATHWTSETTLLPKSSLVKLKISHCPNLATLELKYCFEDLEELRIRGCKNMLHLRMDQLHRLPFLKTFSVKDCPNLIAIQEEMSSPSSIKNLYIDSCAAYGTSLTNSLCSFTSLTTLSLRDCAMSALPSAEVFRNLTTVQHLEIVNCTELIVLDGIEELRHLRELNINGCHKLDKHLGSLSQETFQASDLNQKLRISSPFLLQWEPLGRVNSITYLTIDKSHRCLPEEWLMQNRNHLKHLGVLNATHLEFLPSTMASFTSLETLSIHRAVLLQSLPELPACLKVLQIFECHPVLERRCRKRRGCDWQKIARIPNLKIVQDIPSQYTLHYYRSSYRFF >KQK99248 pep chromosome:Setaria_italica_v2.0:VII:31818973:31820475:1 gene:SETIT_012084mg transcript:KQK99248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLSAPLPSPSPAWDATSLAGALKAVAARRSAPHVGPLHTVLVKLGLSASAILATSLAHLALRCGLPRYARDLFDEMPHPDVVSWTSLITGHTHQGLHRESLALLRRMVGSGVEPNGYSLSGGLLASASVGQDALALGKEIHARVIKMSLHGPVDPVVENGVLDMYSRCGSIEYACRVFRVMQVRNIVAWNSMMAAFLGSGQAEEALRLFFSMVSSSVGVDGFSFSIVVDACGELALLKQGMQVHARVVGGGFEADVVVRNSLLDMYAKCGCVDSAELVFKVASSRDAVLWTTMISAYGKFGRVQDAVSLFDRMAQLGIKQDGIAYLAVLSACSHGGLVREGCHYFNLMSDGQSSVKMHPEHYGCMADLLCRRGYLEEALEFIENMPFESSIAAWSALLNSSRIHGNAKLSQLAASRLIKLDPENHSNWVALSSVHASENDWHETWTIRESMSRECVKKEPGCSWVELYNGVHVFLMTDQSHPELFEILRSLDSLKEDI >KQK99958 pep chromosome:Setaria_italica_v2.0:VII:35556555:35557707:1 gene:SETIT_011925mg transcript:KQK99958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASILRLFFHDFFVQGCDASLLLDDTASFQGEKMATPNNGSVRGFEVIDAIKSAVEKVCPGVVSCADILAIAARDSVVILQRRWVHLGGPNWDVKVGRRDSTTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGAHTIGQARCTSFRAHVYNDTNIDGGFARTKQSACPRTSGSGDNNLAPLDLQTPTVFENNYYKNLVCKKGLLHSDQELSNGGSTDAQVRSYVSSQSAFFADFVTGMIKMGDITPLTGSNGEIRKNCRRIN >KQK98571 pep chromosome:Setaria_italica_v2.0:VII:27983871:27985949:1 gene:SETIT_010649mg transcript:KQK98571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGLSGAAMTSSFAAKNPALLAAARRRAPPLAGRALPFSPLTTARAPRRRGLGTVTCFVPQATEGKTAPAAPVPVPVPVPDTALEEEARAAAARRIEERKARKQSERRTYLVAAVMSSLGVTSMAVAAVYYRFSWQMEGGEVPVTEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPREGPFELNDVFAIINAVPAISLLSYGFFHRGFVPGLCFGAGLGITLFGMAYMFVHDGLVHRRFPVGPIANVPYFRRVAAAHKIHHMDKFEGVPYGLFLGPKELEEVGGLDELEKELARISRAM >KQK97179 pep chromosome:Setaria_italica_v2.0:VII:19445251:19451932:1 gene:SETIT_009465mg transcript:KQK97179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRASLGCFPSDPALHASCAIPWGVAVTPFSAADERGSPPATGDEGHLLPRCQSCFAYFSLLCPLDRWSWTCTVCGGENDMPADAAARYARDGAHDPPEMRSAFVDLLLQGEEGEAAVAAPTPVYVAAIDLSSSEEFLELVKSALQAALEALSPGSLFGLLTFSSKIGLYDVQGPIPIVKNVFIPPDSDGALPIDLEDVMPLCSFLAPIDSCKDRITEALETIKPMSSWDVAANIAEGQDHVLHHTRGFGVALDVLVNYLGSEYGNSFELARIFVFLSGPPNYGAGQLDTSEEQNAGKAGDADHILLQEQTSFYKNLATSAVQAGVCVDLFAITNEYTDLTSLKVLSVESGGSLFLYSSTDESTLPQDIYKMLSNPYAFGCVMRLRTSSQFKIADSYGHFFPDPQYMHVQHINCCDASATYSYDFEFEKDSQFSRKSSPPIIQIAFKYTVLVHNGDTSDAPNSGSRSKYSLERRLRVRTIQYNTTANIWDLYDFVDPDVVLTILVHQVILSSLSDVLETRLWLQDWFLAVIAQYNKAYKNVTSGGGTGMYDIDVNFSHCSQLQPLSRFVFAVLLSPLLQVNSELIHPDYVTFLQCLFSALEPASLRQAIWPSLISYFSPDVEAEVHQSLSRTVFTSESPIFLLDAYKDLLVYYSPTASSEIPFPPPRDCLLRSTIDRLKQERNITPRLVLIHGARDDTTEFEKYLVEDQSLDGSWLSSSTGFSSFLDEVRSKVAEHGI >KQK99344 pep chromosome:Setaria_italica_v2.0:VII:32479363:32481022:1 gene:SETIT_009821mg transcript:KQK99344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEAVVEQLPSCIALRSKSNGKFLRYVHEHGEEKYRQLELSGEDALNLFTRFDVEPSRLHDGLVHIRCRYNRKYWVARQHGDDDGWIVVAGADEPEEDLSKPSCTLIKAVPVSSDDDSRAADAGDQPHESVMTFRFVLAGRLQAAGKDDDGSGRMSLSGTGTVGSCLCVGRQGERQQVDDGGFVIFNLSNSKRLLPRIVAFKGSNGKYLAARTIQGRNHLVFASDDVGDAAVAHEVVYVANNMHGRFRVRNRNLSRLWMRPLNMNWIILADSGSSNDDLFEVLQVGDLFALRSTRTVFTPGGGPMAFSDNFCINQTAPADRNLVNGLDAASSTLTREALVQVEAAVLHREISDIVYFLDETRVYDRMPVTMAMTDAVNDTSTQITKRLTISFEETETAQWDATLELTLGYTATMKVGFPKLGLGARAQLSAEFFGSYNWGETVVTTVKKVVEYEVTVPPRTKVSVQVMATKASCDVPFGYIQKDTFTDGRVETRRKQDGIFTGVNSYNFHFHTTEMPLEQRLIMQAS >KQK98495 pep chromosome:Setaria_italica_v2.0:VII:27452517:27454489:-1 gene:SETIT_010443mg transcript:KQK98495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMLMAANAGAAAANTGQGSNPNPPAPAPSSVPGGGALRAGAPPAVAGAGSTERRARPQKEKALNCPRCNSTNTKFCYYNNYSLQQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSSSASASTSASVTSSSMASTAGAASKNPKLAHEGAQDLNLAFPHHSGLHAPEFGAFPSLESSSVCNSGGAMASNGRGGGGGGAGPAVGALSAMELLRSSGCYMPLQMPMHMPGDYAAGGFALGEFRAPPPSQSVLGFSLDAHGPGPGAAAAGYGSSAGLQGVPENAGRLLFPFEDLKPPVSSGGGGVATGASGGDGDGNSGHPQFDHNKDQGGGAPSAGHDPPGFWNGMIGGSGASW >KQK98158 pep chromosome:Setaria_italica_v2.0:VII:25399536:25402518:1 gene:SETIT_012367mg transcript:KQK98158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPASAPGGSAANDAADSMELGSNLLRRRHGGGGGGGGAGNAEEETVSVEQAFAGKPVPSWREQLTVRAFVVGALLSVLFSVIVMKLNLTTGIIPSLNVSASLLSYFLVSLWTKAIESMGLLKQPFTRQENTVIQTCVVSAYGIAFSGGFGSYLFGMSSTIAKQATEANDALNIKDPHLGWMIGFMFLVSFVGLFALVPLRKIMIVDYKLTYPSGTATAYLINGFHTPEGAELAKKQVRTLGKYFSLSFLWAFFQWFYTAGDDCGFSSFPTLGLEAYKNKFYFDFSATYVGVGMICPYIVNVSLLLGGIISWGVMWPLISTKKGSWYSESLPDSSLHGLNGYKVFITIAVILGDGLYNFLKVFIRSVCAIISVYKNKNANTLPVSDDGTPIPTIETESFDDKRRVELFLKDQIPKVVALGGYVVLAAITIGCLPLIIPQLKWYHILAAYILAPILAFCNAYGCGLTDWSLASTYGKLAIFIIGAWAGASHGGVLVGLAACGVMMSIVGTASDLMQDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKSFDIGASDGAYPAPYTIMYRNMAILGVDGLSLLPKHCLTLCYIFFAISFAINLIKDQVPEKVAKFIPIPMAAAIPFYLGPYFAIDMFLGSVILFFWEWKNKAEATSFGPAVASGLMCGDGLWALPQAVLSLANVNPPICMKFLSRSVNAKVDSFLGN >KQK96843 pep chromosome:Setaria_italica_v2.0:VII:16415291:16417187:-1 gene:SETIT_011776mg transcript:KQK96843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRRMHWRGGPCHAGAASRGARWALGWRGRASTRSTRRLPSSSLPPFFLLQTHHTAAPCSAGPHRPTPKSTQNPTIWVGKSSENRSLLRVEGEMASFGCEYKRRRWYVRYVGESNGAGPVPPALPVPLCRCGAQAEVKQSRHPKTAGRAFYVCKWTFDPLPAAPCDFFQWIDGPDKYDPRIRLFPYHSTELKPYHQFRRWVPPPPNPPRMTEEQKQEAACRRVRDPPMCKCGVPTKLMRPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMWPTEEEVREFESEKAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLRNTSEPWKSRKQQEIKEKIRKKYDVPIPDDDLLWGKIYQDMVHETGVEPKGLYARETIIKY >KQK98275 pep chromosome:Setaria_italica_v2.0:VII:26003311:26006656:1 gene:SETIT_010232mg transcript:KQK98275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRACAYQQPGIECRLAAETSEVIAPSTLLSHGTCYCYQSWKRLTPGQVINDGPTQTPRRRPRTGRQVRGHKSPNHAKKGCRDRREPMSKRSAIPPLQDHPAIEATPRPSHEPATHGNNARSSVSSVMHRPCNNQPCRGSRVAAAAVLAALMCAAGVADAGTGTFIYAGCSPSKYQPGTPFKANLESLLTSISSAALNGGYNTFTAGANGTGGAPAAYGLYQCRGDLDGGDCAACVRDAVGQLGQVCPAAYAASLQLEGCYVRYDSSNFVGAPDTAMVYRKCSTSSSSDGDFLRSRDAVLGDLQAVGAGGYKVASSGSVRGLAQCLGDLAAADCTACLAQAAGQLKGTCGNALAADVYLAQCYVRYWADGYYFRPTQDYSQDDIGRTLAIVVGIMAGLALFVVFISFLRKTCN >KQK98207 pep chromosome:Setaria_italica_v2.0:VII:25665932:25667413:-1 gene:SETIT_012287mg transcript:KQK98207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEELDKDKKIGEEEEEEEVEYVLLELGDCLYSDISPDAPFVLSGLDTMTPTLVVGDDLKMIGEYEETVGTCYLFSESEAEPKPPSDETTPSEENMDKPASSSKEGSLKEVNHLASTQKILKFRPINAEHPQHRA >KQK98978 pep chromosome:Setaria_italica_v2.0:VII:30305785:30306102:1 gene:SETIT_012652mg transcript:KQK98978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVLGKIARAISDALQDPGKLPGALILCGVLEAAAALSLIFFRVPGGVFLHHGESYYGVLIAVVVFGLAEAAVGFWVSADPSGRRAIGKIIMGLSIPPLIVVASLG >KQL00039 pep chromosome:Setaria_italica_v2.0:VII:35884564:35885311:-1 gene:SETIT_012474mg transcript:KQL00039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQTAAVAPPPGPGPAGGSSAAGVHPAVMQLHHHHHPYATCSFMPHHLGGYLGQQHQQQAATLPAVPAAAGAGGKAMLSNHQQPEEWETMEHCNAATASGGSSDELPPCCRRPLKTLDLFPTKSTGLKDECSSSKSSSCSTSTN >KQK97532 pep chromosome:Setaria_italica_v2.0:VII:21739485:21741091:-1 gene:SETIT_012735mg transcript:KQK97532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPPRLKRKQQPSQPYGQGGVVFGLSTPEPAPRDGRKRRKDKHTWIKHTLTPYFDGHLWRKYGQKVIKDAPYPRLYFRCSYREDRHCQASKLVQQVTHDDPPVFEVTYMYEHTCNAAPVPTPGVESEDEPPACGGGLVLSFGASSRSAGGHHHRDTRIQLQEERQQYHQSPSPFLTMNLHFSNSSQQHAFPSSVLRPPTTSWSSSSFPIIESSSSPTPPWVDDDDDILTWDWDTSTYDLDDHLQLGDNVQFSGNI >KQK97883 pep chromosome:Setaria_italica_v2.0:VII:23734860:23735915:-1 gene:SETIT_010980mg transcript:KQK97883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSATSRILALGLALLCAAGLAAAQNVASVVTDSFFNGIKNQAPSSCEGKNFYTRSAFLNAVKSHPGFAHGGSQTQGKREIAAFFAHVTHETSNLCYISEINKSNIYCDRDPKYKQWPCVSGKKYYGRGPLQLSWNYNYGPAGKSIGFDGLRNPDKVAQDPVVAFKAALWYWMNNVHRVMPQGFGATTRAINGDLECNGKNTAQMNARVRYYKQYCKQFAVDPGNNLTC >KQK99126 pep chromosome:Setaria_italica_v2.0:VII:31086662:31087012:1 gene:SETIT_013025mg transcript:KQK99126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQYTVGGEQGKLKNWQRFTFPRPSKRPSALRFCAP >KQK98166 pep chromosome:Setaria_italica_v2.0:VII:25433026:25435674:1 gene:SETIT_010708mg transcript:KQK98166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPGPTRLTLPPTFPSRPRLVTAAPKPNPLNPSAAAAMLRRLAAVAPRAFSSSSSPHAPPAAASGYTPRREYGLVPMVIEHTSRGERAYDIFSRLLKERIVCIHGPISDDTASLVVAQLLFLESENPAKPVHLYINSPGGVVTAGLAIYDTMQYIRSPVTTLCIGQAASMASLLLAAGARGERRALPNARVMIHQPSGGASGQASDIAIHAKEILKVRDRLNKIYAKHTGQAIDRIEQCMERDMFMDPEEAHDWGLIDEVIEHRPVSLVSDAVGGDPPNLGGGGNGENKGAEEPSSA >KQK98379 pep chromosome:Setaria_italica_v2.0:VII:26654338:26656023:1 gene:SETIT_012008mg transcript:KQK98379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPSPPRPPGQPPEYKHFCRVCNKGFTCGSALGGHMRAHGASDADGFGADDDSLGDEASARCRASEDRWDAVGTSATHAYALRANPNRLIRSCQVCKNCGKEFTSWELFLQHGKCNSEDEGEELADGWGSMRSSSPPSDADGEEDPAVATAWSKGKRSRRVKLMIGGEDHSSVVPSRRDTSREEEDLANCLVMLSSSNVKQPTVVVETGQEEPCASAAKEGDGGPPLQLQPISFFVPASEPVVALPSAVAVTPQYISPASRNVFECKACKKVFTSHQALGGHRASHKKVKGCFAAKFESKANEPTRLLVTGDPNNVTGKRATVDETNAGVSTDAKASVYTATTNADTNAGTSEAAASLSMAIGHNPPVTMLTTASSCKKNTKMHECSVCHRLFTSGQALGGHKRCHWLTSSTADPCNTVANMIPPLTEDLVGVVRHQLSLRPMVDAPEPVLDLTMAAIPSGLAAATARPEAGGNSFRLDALAPVHLQPLAVAVPSTASHRKKTTATSSHHGIDTVAEEDEADSTTAKRAKLSDLKDVVSMDGEPTGPWLQVGIGGSSSAG >KQK96828 pep chromosome:Setaria_italica_v2.0:VII:16113416:16116596:-1 gene:SETIT_011033mg transcript:KQK96828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELFCSLAQDHVLLDQGTKSFWLEQTSGAKCYMLSSSSLGIAWRENPLYWRRTYLPDSRFEEVTELLAVCWLEVSGKIDSGELSPDKKYSAYLVFRLLNESCGLDCPTQQGFITRDGEIVSAKRIISLHPQTTAQEAAAESGDEQGRGRADEEVSITTVSVSYPRERDDGWMEVELGEFYNRQEDTGILAISLIEHVQLHWKKGLICEGIEIRPKK >KQK98829 pep chromosome:Setaria_italica_v2.0:VII:29473305:29475856:-1 gene:SETIT_010309mg transcript:KQK98829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREEVKKHVEMLQLAGVQSLLSNGGKEVPLSSIEGKVTCLFFSAHWCRPCRNFTPKLVQIYTMLRNTGKNIEIIFISLDHDETSFLDHFKSMPWLALPFNSSLRRKLCSHFGIEHIPALIPLSVTPSGELGFEEDAVKLVEEYGAEAYPFSVKRRGELEAIDDARRQGGKLQELLGCKDRDYVVSAYGTKVSIADLTGKTVGLYFGAHWCPPCRAFTKQLMEVYNELKILRPRSFEVIFISIDRSKEEFEESLSATPWLAIPYSDTARQELTRIFAIKGIPALLILGLDGKVLKTDGRTAISTYGATAFPFTESRVSEVNEALRKEGDKLPRRVNNPRHRHELELDMAKAYVCDECQQKGRYWVFSCKPCNFDLHPSCVGASNAESI >KQK97984 pep chromosome:Setaria_italica_v2.0:VII:24291491:24295249:1 gene:SETIT_009968mg transcript:KQK97984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACYAALLHQPLASSLSPCSSSRRAGATRAPPRPQRVAAPSLASARALARVRISPRCAASAGAGAHGESPAAALRRVLETPGAHQAPACYDALSARLVERAGFSACFTSGFSISAARLGLPDVGLISYGEMIDQGRLITEAVSIPVIGDADNGYGNSMNVKRTVKGFINAGLAGMILEDQVSPKACGHTQGRKVVSREEAIMRIKAAVDARNESGSDIVIVARTDSRQALSLDEALWRVRAFADAGADVLFIDALASREEMKAFCAIAPGVPKMANMLEGGGKTPILSPVELEEIGYKIIAYPLSLIGVSMRAMEDALIAIKGGRVPPPSSLPSFEEIKDTLGFNHYYEEEKRYVVTPAQSSYRTGEPTSPGDARSTTEKPQEPVIDILPQLYDIGSSGRRGPSTGIWSRTLRLKITGRDGVQKIDTRIPAGFLEGMTRIIPGLAGANIMERLRNAPIDADNPQNGQILLDFEDAMGDRIQVFIA >KQK96851 pep chromosome:Setaria_italica_v2.0:VII:16539126:16539656:-1 gene:SETIT_011888mg transcript:KQK96851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WELAAVLICGGRFWWLDLRCGLLSCSCDSLLVEDEDDSTQQPLDLEFTICYRTSQWSRRRRPVHPSILPSETVALVSISGGRLRYVEVRAHRHSRSRSPVAPPPICDDCRGGDVTSWVLDEDRGGWAEEHTLIFANVWRDESYRSSGLSKEAPEFRRSPANERSCRTAPDERRVGD >KQK99693 pep chromosome:Setaria_italica_v2.0:VII:34237524:34239688:-1 gene:SETIT_011572mg transcript:KQK99693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLKSRSRWSVTVGWERYSLPSPGDLLKAIRLLQGCIITNENDGSYKVQELHLPGVVSKHKSVA >KQK99508 pep chromosome:Setaria_italica_v2.0:VII:33203922:33207020:-1 gene:SETIT_0096312mg transcript:KQK99508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SARNPYNSNKIAGGSSSGSAAVVSAGLCPVALGVDGGGSVRMPAALCGIVGFKPTAGRLSNAGVLPLNWTVGMPGILAGTVEDALIAYSAIVDQSQPSYLRPELNLPQLKSTLSMSNIKLAKYAKWFNDSAEDIRSCCDKALKTLQAHYGWQTVDVTIPEIEEMRLAHYVTIGSECTTSLAKYLDKLKRSEIGWDARVALSVYGSFSSRAYLNSQRLRNRQMYFHKEIFKTADVIVSPMTGVTAYTLQDDAFNTGELDYINGAALVRYSIAGNFLGLPAITVMVGHDKGGLPIGLQFIGRPWSEATLLHIAFAMQEACAKGHKKPAVFYDLLKKE >KQK99838 pep chromosome:Setaria_italica_v2.0:VII:34993585:34995802:-1 gene:SETIT_012421mg transcript:KQK99838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGPVLYACATHVIEGSFEKTDIDLSQIKRLTSIADGPLQRLSLIIADSLARRLLCPIQGFAGALIHPSDYFEQSILQTARFNFTNLSPYISTGFATINRAILEAMEDEQVVRIIDLSCSTSHPRQWLKLLRDFHGRQGGPPEVRLTVVHDDNDFLANMRALLSKEAEMLKIPFQFNSVIGRLETLDFSNLRNTLDIKYGEAIAISCSLQMHRLLVVDDVSCSGIGQLQKMANIAQLKQMSSSVYSPASTLNYPQTPSPQCQTPKLLVNFLNAVCVLKPNIMLMMEQDANHNALLFCDRFVEALNYYAALFDSFHAVIAANPRRSDERARVERMILGEEIKNILVCEGVHRYERHERLSQWAMHMNRSGFDHVPLSFHAIWEGNQKLMSFGLNGCQSKLNIKQRAISVLKVAKVYAIDIAYLC >KQK99449 pep chromosome:Setaria_italica_v2.0:VII:32937323:32940989:1 gene:SETIT_010046mg transcript:KQK99449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLLQLHSTLLPSASALRRRAGAPGPSVSRRRCRVEAKIREIFMPALSSTMTEGKIVSWTAAEGDRLAKGDPVVVVESDKADMDVETFHDGFLAAVLVPAGESAPVGSAIALLAESEEEIPAAQSQAASFSSSAPAASPPAPQETAAQEASPPPPPPPPPAPVAVSAPAPPSPAAQDGARVVASPYAKKLAKDLGVDLFSVTGSGPGGRIVAKDVEVALAAPKKAAAPVAAARPDVPLGSTVPFTTMQGAVSKNMVESLAVPTFRVGYTITTDALDQLYKKIKSKGVTMTALLAKATAMALAQHPVVNSSCRDGKSFTYNSSINIAVAVAIDGGLITPVLQDADKVDIYSLSRKWKELVDKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASEPTVVGTKDGRIGIKSQMQVNVTADHRVIYGADLAAFLQTLSKIIEDPKDLTF >KQK97000 pep chromosome:Setaria_italica_v2.0:VII:18127307:18127751:-1 gene:SETIT_011582mg transcript:KQK97000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHFFVCLFHIIHLVKLKGDLQNSAHCPQLSTKYKLDQLQVNMDFSVPVGIHHSCANTAFS >KQK98582 pep chromosome:Setaria_italica_v2.0:VII:28061018:28064624:-1 gene:SETIT_011718mg transcript:KQK98582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GFVAKPETLPDGTVNLMVWKCVIPGKEGTDWEGGYFPLTVHFSENYPDHPPICKFPAGFFHVNVYDPGAVCLSILGDAWNPSITVPQILVCIQDLLDNPNPISRAQRFIYHLYAKNMPEYKKRVRQQAKRYPSLV >KQK96801 pep chromosome:Setaria_italica_v2.0:VII:15667797:15668386:1 gene:SETIT_011494mg transcript:KQK96801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTADEDMPHAQMGSFSDNNAHAAMRRSIQFSTIALVSAAETFSADGTKEMLNNNSSVEQDCQGDKVGKAVKLVEYSDSEADEI >KQK96978 pep chromosome:Setaria_italica_v2.0:VII:17884166:17891619:1 gene:SETIT_009245mg transcript:KQK96978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFRAASGLARVALRRNLARAAGNPFAGGAVPGAAPARYFHSTRPRRFAAPAPRAVPLSRLTDSFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAAATSPGLSGQTIQESMRLLLLVRAYQVSGHLKAKLDPLGLEERPVPDVLDPAFYGFSEADLDREFFLGVWMMAGFLSENRPVQTLRSVLKRLEQAYCGTIGYEYMHIPDHDKCNWLRDKIETVNPREYTYDRRQVMLDRLIWSTQFENFLATKWTTAKRFGLEGAETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVNEGEGLYTGTGDVKYHLGTSYDRPTRGGKHIHLSLVANPSHLEAVDPVVAGKTRAKQYYSNDRDRTKNLGVLLHGDGSFSGQGVVYETLHLSALENYTTGGTIHIVVNNQVAFTTDPRSGRSSQYCTDVAKALDAPIFHVNGDDLEAVVHVCELAAEWRQKFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYKVIRSHPSALEIYQNKLLESGKISKEDIDRLNKKVSTILNEEFKNSKDYVPNKRDWLSAYWTGFKSPEQISRIRNTGVKPEILKRVGEAMTTLPENFKPHRAVKKIFDQRRKMIETGEGIDWAVGEALAFATLIVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEQYCPLDNLVMNQDEELFTVSNSSLSEFAVLGFELGYSMENPNSLVLWEAQFGDFSNGAQVIFDQFLSSGESKWLRQTGLVVCLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMDPTLRKQIQQCNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDLAGHPGFDKQGTRFKRLIKDQNNHKDLEEGINRLVLCSGKVYYELDEERRKTERTDVAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYSYINPRLLTAMKALGRGGIEDIKYVGRAPSAATATGFYSVHVQEQTELVQKALQRDPISYPF >KQK97626 pep chromosome:Setaria_italica_v2.0:VII:22242500:22244089:-1 gene:SETIT_012450mg transcript:KQK97626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YEALLGCLSAGVIVGLIAVFCHIRRRASKFKPSKKDIEVTLVSLEYEETTCKPMSIKDIYTATENLSPSNVIGQGIAGKVYRGVLANGWPVAVKHIVKNEHAETFLREVTSLSHVRHPNLVSLRGYCDGREECFLVYELCVNGNLSEWLFGKDKNLSWIQRLQIALGSACGLWFLHIYPEGCIVHRDIKPTNILLGVDMEPKLSDFGLSKVIDLGVSHVSSEVRGTFGYVDPEYRHNHKVNAAGDVYSFGMVLLQLLSGKRAINIMNTAMPMSLDKMASTLIQDGNVLEFADPRLNGEYSTDAFDLSLKLALSCTGHKKQRPSMEQVVSRLEKALEISMRDGAKHNSISIIESIA >KQK98132 pep chromosome:Setaria_italica_v2.0:VII:25218112:25222129:1 gene:SETIT_010186mg transcript:KQK98132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANALLLLLFLFLSRASAAEYGEELLRRAGEEREWMVGVRRRIHAHPELAFREHRTSALVREELERLGISSRAVAGTGVVADVGSGALPIVALRADMDALPLQELVEWEHKSKVDGVMHACGHDVHTAMLLGAAKLLSQRKDQLKGTVRLLFQPAEEGGAGASHMIKEGALDGVEAIFAMHVDYRIPTGVIAAHPGPTQAAVCFYEAKIEGKTGMAETPHLNVDPIVAASFAILSLQQLISREDDPLHSQVVSVTYVKAGKALDATPAIVEFGGTLRSITTEGLYRLQKRVKEVVEGQAVVHRCKGTVDMKGEEYPMYPAVVNDWKLHHHVEDVGRRLLGPDKVNPGEKIMAGEDFAFYQQLAPGVMFGIGIRNEKVGSVHSAHNPHFFVDEDVIPIGAALHTAIAELYLSEAYALNGGGDLRSH >KQK99756 pep chromosome:Setaria_italica_v2.0:VII:34600034:34600733:1 gene:SETIT_012057mg transcript:KQK99756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQIFSLKLAHPSAAIDDPIQLYGFLAVRDSLNPLRNYIFNRTRGDPFIVGQQGGDSGSFIQMTGPKRGIEMSTLAHRRGCVLQRIDLTRPSPLYTTDWEATIQVGVSQMHHGSGLDLSLSCSVSRIPPKIELFQGVIAEPCELNRFVVAVVRGSALIAYFTADQRGGSDHAHQCYAFRAKAHGYDVQEFKLDFETILVKVSWSTLVPFRVAHGLL >KQK96865 pep chromosome:Setaria_italica_v2.0:VII:16707085:16712638:-1 gene:SETIT_009279mg transcript:KQK96865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGGRLRRPEPPQAHLATAAAAATPAPAPNPADDWVDGSWTVDCSCGVTFDDGEEMVSCDECSVWVHTRCARYVRGVHTSFSCHRCRRSKQAPSSADEAEVAELLAELPTHRPPPLYRRWAEVPLPARVHVHGLPGGGDAALFRGAPAFSAAMWRCTGYVPKRFGFRYCEFPSWADENGGADALFAMAREKPREMADSVPIGIEPKKEKHYVRSLSCRGKKIDGDQQSVPPLTEAKKREPASWKDGCQQSEACAIRDATREDRYAEINMASSDLQTIKTKKKMEKALELSGEKKSSEQVPGILSKDDKKVPLKLELLSGVRATSSVVEQEVHSGFVGVEVTVHKQQSEGDHNAGLRSGITSSGAIKMQDMQDLQKQSNQTSNMQDVAGAPDLQIGQSKSQIMKAEPSSLENETAKCIQLVSDDHESNKQGLGDTAGFPIVQRDSSKLKYDSVCREHPKSETQHTVVEHPSSTLGSAKVCASKEPSSAGNSDHSKKEEFVAPTDSKHDSAKFSEDSSQEVGRCSEKVQLKGSLPSAPKSSQASRIHVSTVKPRLPVSKEQSQKIAITEGTSARSFHGEVPPLQSRNKPVASSSSQKKDKIHHRIINVTQETSNNSASTELRPSDLTAPLSDEQLALLLHQQLNSSPRVPRVPRCHQAAGTQMLHPTGATVFSKRSSAHGGRDHAAVLKKRNREDSVKDSEDTKRIEKRHRDASSKHASSAKDSCRSAENVASEQKIRGICSTGTDSGLAKDDLIDSSVSHNLPGLIDDIISKDKNISYRELCDAIHQHLRDSRKPSGGDCAYPSYLHAINDCLRKRREWAYLVDQASKMNSNKRRKGENNSLLADVLEVENARNGPERDSEGSADLHQEDLPRGKRKSRKRRRLELKGRRVRDTRKRSSIGSSSEDAAATLSDSSNDKNDTPMADVNREDNSVAQETGGNIEAKSADSSS >KQK96352 pep chromosome:Setaria_italica_v2.0:VII:3983911:3988251:-1 gene:SETIT_012339mg transcript:KQK96352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVAAVVAILTKLKSLGFCADLRIPDAAAASDPSEAFDAVLAAFLREAYLGGREARPIPAALGDGRRVDLLRLFLAVRAAGGYARVASSTGGWAAAAESAGVDADLAAAVKILYAKYLGALDRWIQRLEEAHGPFLGSDRKKRQNLFNGSNGVEEEEEALLDCDGREQRHVMLKRKRGDMVGMLGWVREIAENAGDDGTVVAGSVDEYLSVALAVRKVVTRKRVRRASMLNGSHCQEVFPMACDCCTSLTNVGVCAKAKLLNGSLMLIEGENNLAGQGKCETIMQHDSSDGCRFTSQQKNEIPIGPGYQAQVPQWTGEVPVNYDDPETLKWLGTKVWPPINGNCKALFCGDPIGKGREVVCGCNLPGSVECVRFHVAERRFKLKRELGAAFYAWGFDRMGEEIALSWTDEEEASFKAVAQRSAPSLGRDFWNRLHLFFQFKGRKELVSYYFNCFLLRRRCYQNRITPKNIDSDDEEETEFRFLGNRLGHSAAKYHNTKHTICIENTHSMDLDE >KQK98209 pep chromosome:Setaria_italica_v2.0:VII:25676933:25681719:1 gene:SETIT_010808mg transcript:KQK98209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRSLALFLLCILAPAPPVSAALLFGGGKSSAAAGKAADLDMEWRPATATWYGDAEGDGSDGGACGYGTLVDVVPMKARVGSVSPVLFKDGEGCGACYKVKCLDRGICSRRAVTVIVTDECPGGLCAFGHTHFDLSGAAFSRMAVAGAGGRLRDRGQLNVVYRRTACKYGGKNIAFRVNEGSTNFWLSLLVEFEDGEGDIGSMQIKQANSVEWLDMKHVWGATWCLVRGPLVGPFSVRLATLSAKKTLTARDVIPRNWTPKATYTSRLNFEPSL >KQK98848 pep chromosome:Setaria_italica_v2.0:VII:29590349:29590567:1 gene:SETIT_012396mg transcript:KQK98848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRGGLQSRSTQAAVESAALAVVVGGAPDAAAVATGGTPVAGGAPGAGGLLGPRAPATSSFPALSAYPACAW >KQK99854 pep chromosome:Setaria_italica_v2.0:VII:35077642:35078128:-1 gene:SETIT_011626mg transcript:KQK99854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYQMVVGDGNASCGPINISASDPDRRCHSPRGHLRASSESEVEDKNNAC >KQK98691 pep chromosome:Setaria_italica_v2.0:VII:28646527:28646966:-1 gene:SETIT_011714mg transcript:KQK98691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WGEEDCYEEKRSIIKYCIKSIRIRGKYVPPSRTCRVYVELCDMACVCHVLTPQDELRISAFKLVRLARDYGVPVPAGSKCGSWTVPPSLPLPRTYS >KQK98963 pep chromosome:Setaria_italica_v2.0:VII:30205338:30207458:-1 gene:SETIT_009728mg transcript:KQK98963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYPSQSRAMESATMPPRGVAAPRTPSSLQTLRCSHVLLATNDASKLQRLSCKATNGGGRVDRRDVLLGLGGAVAGGLATSHGGGALAAPIQAPDLQDCHPPNIPDSAPDLSCCLTSRTGTEIVDFKPPPASAPLRVRPAAHLVDQEHLAKYERAVSLMKQLPDDDPRSFGQQWRVHCAYCDAAYDQVGFYLYFHERILGKLINDDTFALPFWNWDAPDGMALPAIYANMSSPLYDERRNPAHQPPFTLDLDYSGTDSTIPREQQIDQNLRIMYRQMISSAKKTELFFGQPYRQGDQPDPGAGSVENVPHGPVHVWTGNPSLPNGEDMGNFYSAARDPAFFAHHGNVDRMWYIWNGLRPGNTGFTDADWLDAAFLFYDEDARLVRVRVRDCLDPSALRFTYQDVGLPWLNAKPPSETGSPAPATGALPATLSQTVRVAVTRPRTSRSRREKEEEEEVLCVEGIEVADHLKFVKFDVFVNVPSQSGAATPAAAECAGSVALTPHAVRPGEGGRAVRTAARFGICDLLDDIGADGEKTIVVSLVPRCAGDTVTVGGVRIQYVK >KQK97248 pep chromosome:Setaria_italica_v2.0:VII:19963796:19965438:-1 gene:SETIT_012255mg transcript:KQK97248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWSPSSETVEDQGSKTTKDQSLMIAKDVTELIGKTPLVYLNKVVSGCEARLAAKLEIMAPCSSIKDRIGYSMIADAEEKGLITPGKSVLIEPTGGNTGIGLAFMAAAKGYRLIVAMPSSVSTERRAVLRAFGAEVVLTDPMLAMDGVVRKAEEIAARTPGSHVLQQFANPANPRVHYETTGPEIWSATAGKVDILVAGIGTGGTITGAGRYLKEKNPALKIYGVEPSESAVLSGGKPGPHKIQGLGAGFVPGVLDVSLLDEVFQVSNEEAAGMARQIALNEGLLVGISSGAAAVAAVRVARRAENRGKLIVVIFASFGERYLSSFMYESLKNEAESMAFEPESDGS >KQK99427 pep chromosome:Setaria_italica_v2.0:VII:32881820:32885807:1 gene:SETIT_010195mg transcript:KQK99427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVGLVLPHALLASSRALTSCRARARLPNPPPTFPTTYHRSASSSAASPPRLRSRSHRASPRVLPVRSMASGNPYAAELAAAKKAVTLAARLCKTVQQDIVHSGIQSKADKSPVTVADYGSQILVSLALTMEVSGPFSLVAEEDSEELRKDGAEEILEEITDLVNETIVDDGSYNISFSKEGILSAIDDGKSEGGSSGRHWVLDPIDGTKGFLRGDQYAIALALLDEGKIVLGVLACPNLPLSSISNPNGSSSGNQVGALFSATIGCGAEVESLDGSPPQKIGVCSIDNPVNASFFESYESAHSMHDLTSSIAEKLGVLAPPVRIDSQAKYGALARGDGAIYLRFPHKGYREKIWDHAAGSIVVTEAGGIVTDAAGNDLDFSQGRFLDLDTGIIATNKQLMPSLLKAVQDAIKEKNQAASLL >KQK99403 pep chromosome:Setaria_italica_v2.0:VII:32784543:32786272:1 gene:SETIT_012794mg transcript:KQK99403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKVLVLIVGAGPSGLAVAACLSQLSIPYCIVEREDCSASLWRKRTYDRLKLHLAKEFCELPHMSYPSDTPTYINKEQFVRYVDAYVDHFNIFPKYSTSVESCKYDEVSNCWDVMAHDKVTGLVIEYTARFLVVATGENSEGIIPEIPGLHDFPGEVIHSSGYKSWNNYAGKEVLVIGCGNSGMEIAYDLASHGVETSVVIRSPVHVMTKGLINLGMKLVNWRLPVKFVDFIIVTLANIRYGDLSKYGIIRPNMGPFLLKAKTGRSAVIDVGTVDLIKKGVIKVLSSVSCIREDSIEFEDGKNCCFDSIVFATGYKSTANRWLKNGEGLLNESGMPKREFPNHWKGENGLYCVGLAMKGLSGISCDAKTVAADIKSIVDSMGSFY >KQK96782 pep chromosome:Setaria_italica_v2.0:VII:15512439:15512942:1 gene:SETIT_012981mg transcript:KQK96782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIISPKPITLAAITTPATGRLLVPLGKSPAARRMYRVS >KQK96667 pep chromosome:Setaria_italica_v2.0:VII:13697142:13703657:-1 gene:SETIT_010931mg transcript:KQK96667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNLANMFAVLNLDAEDDREEAEKPTSSKPEADAAPRKPEKSSQNKEMIVNYDGENLAPSSSDYRMPLVWIDLEMTGLDITKDRILEIACIITDGKLTKRIEGPDLVIRQSKECLDGMNEWCQVHHVASGLAEQVLKSEISEHDAEKQVLEFIRKYIGSAAPLIAGNSVYMDLLFLKKYMPQLAGIFSHVIVDVSSITALCSRWFPKERKAAPRKEKNHRALDDIRESIKELQYYKENIFKSRRS >KQK98320 pep chromosome:Setaria_italica_v2.0:VII:26280007:26280521:1 gene:SETIT_013013mg transcript:KQK98320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPITNQGSRLQVRSQALASGPVAAHHMWTLGLTKG >KQK98017 pep chromosome:Setaria_italica_v2.0:VII:24513420:24514426:-1 gene:SETIT_011665mg transcript:KQK98017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKAASCPAPSAAGLREEETDDGWQRHGGRAGAGGRPPRPPRRGGNRPPGGQPPPFDPAPDDLVGRYLPARRALRCGDLPPQIHDADVYGAHHPALLAKVHPPANDRPEWLFFVCRGRGLGGNRRAWPGAYRLASEAKPRGAWYCHSFRYHEDSVEASAARETEWRMDEYGDRGAGGAFDVVVCKLYPARGGALHRRLLLRLDGAGRREGADDVSPQVLVQLYLASLGVGDPLRCRMHHAADVCAAHPAVLTSVLPAANDRFEWFFVARRPRAEHGDDGNGIAPPRRAVPGQYVLAARYWSVKDGEGRELGYRRLFRYREDEEEVVR >KQK97895 pep chromosome:Setaria_italica_v2.0:VII:23812185:23814908:1 gene:SETIT_009956mg transcript:KQK97895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMVILIYTRARAGASGPGRQYSTYARRAGEGKSSNGRRAAPSDLPNCPTPRAPGLSPFFPFPLRSLGHPIPSLPRTNLVPAPAKSRNRLQVWGEPGRAAEALAGSSACRLTPMAAPSARLLLLSLLGFCVALSHQESSSSSSTDSCGAAKLAVASLVPFDSTAFRCVAAWKQQDFVLRYKNTAPSEWSFVLSAPDKGSYVAVGFSGKGAMVGSSAVVGWASNGKGTVKQYYLDGKSPDDVAPNRGLLKLVKNKSVVVSHSGRLYVAFQLSTDYPQPYLIFAVGPDGNLPPSSTLRLPMHQNMASRAFNYTSGISSNAGGSGDNAAFPPEGKHGLLAMMGWGVLMPIGMITARYFRQLDPCWFYTHMAIQVSGFAIGIAAVVLGFRLNEDGLKNVDVHKALGIAILAMASLQVMAILARPDKTSKVRRFWNWYHHNIGRAAILLAIGNIFLGLSIAQETNAYIVSYGVFVAVWVVAVAAFEMKRCYADDD >KQK96839 pep chromosome:Setaria_italica_v2.0:VII:16342547:16345593:-1 gene:SETIT_009474mg transcript:KQK96839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPHRLDALLCLGVVLLLAVILSSVEALPPPSNNCQRKCGEVDIPYPFGIGPYDSPDHCSLPGFNLSCTEVGHGSFRPFHKDVEVLNISLQQGLARIRMDMSTYCYNTSTKEMDYLNWRLNLTDENNIGRYMSGCVSMCRRGDVRTLTDGSCSGIGCCQTAIPKGLQYYQVWFDEGFNTSEIYNTSRCSYAALVEASKFTFSKSYATSSEFYDTYSGQPPLIVDWAIGNGTCDEARNKPESYACVSSSSECFNSDNGQGYICNCTKGFQGNPYLVDGCKDVDECNNNLEKYPCSVKGTCKNTQGGFQCICPPHYQGNAYTGTCEKDQSIPLKVTIPIGIFACVLLGLLLYLGKEWIQHKRQIIRQEYIRKTDECFQQNGGQLLMDMMKVESNKTFKLYNREEIELATNNFDKSSIIGEGGQGTVYIGQNLDLENNPVAIKICKGFDENRRMEFGKELLILSRVKHENIVQLLGCSLQFEAPVLVYEYVPNQTLQHLIHTQVDPSKRTLEVRLKIASEIAAALAYLHSLSHPVFHGDVKSVNILLGHDLSAKVSDFGCSMIRSADENVQVVKGTMGYLDPEYLLNFELTDKSDVYSFGVVLLELLTRRRALSKTKVSLVSVFMEGVKEGKLTELIDREIDNQENMELILQVAAVASRCLAMTGQRRPMMREVAEELQRLARPVPPRTQGFHGVSALMMQGRSSDNSSGDYTSEESTDYYILQKKASMSIEFAR >KQK98676 pep chromosome:Setaria_italica_v2.0:VII:28590979:28591329:1 gene:SETIT_011721mg transcript:KQK98676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPAKVKAKDMVSSAKEKVKEGSAKMQGKTGEATAATHGEKEMAKEAARAKKDQATADMHQEKAEHRADATTGRHGTTGVPLTGPHGHHGATTGAAVDPAYPSAGTTYPASGNKYL >KQK97761 pep chromosome:Setaria_italica_v2.0:VII:23117458:23117870:1 gene:SETIT_011445mg transcript:KQK97761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFASAIDQKGQFCNVKNTSLFPTNCNTGKRFGICHIQFYLLHFLDLQNSYERTQVTAYLQHICRLREELWPLLPPRELELELPEKICRLWGTPRRI >KQK97216 pep chromosome:Setaria_italica_v2.0:VII:19637931:19638756:1 gene:SETIT_011384mg transcript:KQK97216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKGGLLVLAVLLVAAACVAEGAGECGATPPDRMALRLAPCASAAQNPSSAPSAGCCSAVRTIGKQSRECLCAVMLSSTARSAGIKPEVAITIPKRCNIADRPVGYKCGAYTLP >KQK97277 pep chromosome:Setaria_italica_v2.0:VII:20216922:20222054:1 gene:SETIT_009515mg transcript:KQK97277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLLRSRSWSPRAIQFAYLMGLLYCAGVHTLCAFRSRSDCPLDLSWPNYGLIASVCSDQNGHSKCCRYINAVLAVSSAMYANTTGTLGVPDEFSDACIANISDTLVSKGILPTAASFCGLGIKIQVSYQCVGMTTIVQMLQSPNFSDVTRSCATTLSDDITCKRCLNSGLSYLRHLVGEQDNVTLNTCRDAAFVAFVSQGNISTVDTAGCFFSVQGLSALQVNISGSAPAGLLAPDISPSPLTVQVPAVPPKHHRSYKLVLFPAIGALIIGLAVLLVTVLILLICRKNKELKKIEGNNPIDAWSFSCVKKGQEGNSTIFGRFSYKEMKKATRNFSTVLGRGENGTVFRGQLSDGSVVAVRRVESSPKQSQQEFCKEMEFLGRLHHRHLVGLRGFCLTRFERFQVHEYMENGSLQDHLHSPNKHLLPWKNRIQIAIDVANALEYLHIYCDPPLYHGDVKPSNVFLDKNYLAKLAGCVLVHRPSSGNTTPSSTPVNVKIQATPGYMDPEYAVTQEVTPKSDVYSYGVLLLELVTGKPVVQEESNRSLVEWSRELIGTDCRLHELVDPAVAGAFDLDELQVVADVIHWCTHRDGAARPSMKQVLRILHERLDPLSGRFARAVEGEEGYYYCQAAGGGWRAKGKQAGGGEVVQFSGEVARSWLPSSSSTSRSHCSRSVLLECSSPDEQRLSPPTHGNGAFLA >KQK99104 pep chromosome:Setaria_italica_v2.0:VII:31014590:31015240:-1 gene:SETIT_011480mg transcript:KQK99104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAAKASWMVAMSVGAVEALKDQAGLCRWNYALRSVHRNAKSNVRSLAQAKKMAPAATAAERRRADKAEEGMRTVMYLSCWGPN >KQK99161 pep chromosome:Setaria_italica_v2.0:VII:31235228:31235749:-1 gene:SETIT_011302mg transcript:KQK99161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRIPKSQKARNHVPQTQKLKEGRPGSMYAIPRSPCLTCHCKRHASFKPLTTCFKARSKLFHQLSERLWGDGERDICSISTFGSRGINRKAIGKDASSTLLLPQAVACRRRGLAWSSPIHFLVPAVCSLR >KQK97324 pep chromosome:Setaria_italica_v2.0:VII:20568080:20570924:-1 gene:SETIT_009975mg transcript:KQK97324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSLVLPFTLLLFGNFLLSGLSGPSLAEAEAAVELREAVLTLDAGNFSDVVAKHPFIVVEFYAPWCGHCKQLAPEYEKAAAVLRKHDPPVVLAKVDAYEERNKEVKDKYQVHAYPTIKIIENGGNNVRGYSGPRDADGIVEYLKKQVGPASIELRSAEEAVHAIGDKGVVLVGIFPKFAGVDYENFMAMAEKKRSDYDFFHTSDASILPRGDQAVKGPVVRLFKPFDELFVDSQDFDKDALDKFIEVSGFPTVVTFDDDPTNHKFLERYYSTPSAKAMLFLNFSDDRIEAFKSQIQEAAKLFSANNISFLIGDVETAERAFQYGNLTPYVKSEPIPKENDQPVKVVVADSIDDIVFNSGKNVLIEFYAPWCGHCRKLAPILEEVAVSLQDDEDVVIAKMDGTANDIPTDFAVEGYPTMYFYSTTGDLYSYNGGRTAEDIISFIKKHKGPKAGAADEVAQTGAGGVEEGITSSSPSEILKDEL >KQK98337 pep chromosome:Setaria_italica_v2.0:VII:26368251:26368943:-1 gene:SETIT_011913mg transcript:KQK98337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTPTIGCESAYAQHPTRASRHGRRLQRTNARCLLSRSTDTAARSLTTHRDPSGRSGWARPPITTTALAGSPVWDLPDGIYRRPQCSGTRRFDAWSNSYLCNSQAAITSGRDMVEPPVPSSLDAEVEATRTRVERPAPAGLA >KQK99951 pep chromosome:Setaria_italica_v2.0:VII:35512457:35516184:-1 gene:SETIT_009927mg transcript:KQK99951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVALLRGPTNLASPASRASASSSLRYLANADSDVLPGSGSPEHPAGSTGSRRLQERRGQEAAGGSEEEEEEERWSFLALLFELLRKSLLGCRTVGGGGEGERGGCGMEIGLPTDVQHVAHVTFDRFHGFLGLPVEFEPEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLRAEGIFRINAENSQEEFVRDQLNSGIVPDGIDVHCLAGLIKAWFREMPSGVLDSIPPEQVMQCQSEEDCARVAKCLPPAEAALLDWAVNLMADVVQEEQINKMNDRNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLVQKTLKDRGESNPEDVLLSQKDPSDENGHQKPSVTLDSLLEEGSRRPSFANEEPLLNSPAHSTGDKPNETNTTEGVTAAFSAQASEVIRSMEDSTSCSQPAIACPAADASSATATNSLQGKASRSPNRRRARKGKGQSGTRTTAAAEKSKGVSIVSRINSKVERIEAWR >KQK97263 pep chromosome:Setaria_italica_v2.0:VII:20086850:20090089:-1 gene:SETIT_011103mg transcript:KQK97263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLSAVQTALADKSYSALAPLCDDILLQAASRGATTDEWPYAVHLLAHLYLNDLNSARFFWKSLPQEVKDARPELAAVWRIGQCLWNRDYAGVYTAAQGFEWGTDLADFITSFLESYRKRIFQLLTSAYSTIIVADVAHFMGMSEEDATNYAVQNGWSLDAATNMLTVKKPKAQTNQKLDASKLQRLTECVFHLEH >KQK99631 pep chromosome:Setaria_italica_v2.0:VII:33910241:33910401:-1 gene:SETIT_0115732mg transcript:KQK99631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLAACAVFTLMCSHTLLGDLR >KQK97261 pep chromosome:Setaria_italica_v2.0:VII:20016218:20022467:1 gene:SETIT_009365mg transcript:KQK97261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKSGGAAASSSSSTAAMDRFQKIVLSWDYLRLVAESKGGKQAKGLQRVKDTYKSVADYLGVFEPLLFEEVKAQIVRGRSDEEEEDAGLDWQRVAVGLCAESEGFHKFSMAVENDFRENVGENDLVLLSKEKFEEGVTPTAYAFALVEQRGGSATISLRAFLAGEIQNLNVSKPVNAPRLQRFASILAAESSTLWILKVCSLSTIMREFTAMHSVASLPFKDSILSAAEKHKDGDDQSRAWNVPQPLMDHLKANLNDSQLEAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMKTKGGFDVQRHGPELDIDGKYAHWVKASPWLLGANPRDLIMPVDGDDGFYPTGNELKPEVVSSSRKYRAHVLVCAPSNSALDEIVLRVLNTGIRDENNNTYNPKIVRIGVKAHHSVKAVSMDYLIQQKLSGVDRTLDGGRRGAGEYDRIRASILDEAAIVFSTLSFSGSSIFSRMSRAFDVVIIDEAAQAVEPATLIPLVHGCRQIFLVGDPVQLPATVISSTAQKLGYGTSLFKRFQGAGFPVQMLKIQYRMHPEISIFPSKEFYEGVLQDGEGLSKKRPWHSYTCFGPFCFFDVDGVESQPPGSGSWVNQDEVEFITLLYHQLAMRYPELKSSSEVAVISPYRQQMKLLRDNFRLTFGDQSKEVIDVNTVDGFQGREREVVIFTCVRCNKEQKIGFVSDFRRMNVAITRARSAVLVVGSASTLQKDEHWNNLVESAKERNRYFKVPKPFGAFFAEDNLETMAVKPQKDPRPPEQNTEVMEGMNEAAMGREFDAADQADAGDDDDADMDADDGGGDD >KQK97260 pep chromosome:Setaria_italica_v2.0:VII:20016218:20021529:1 gene:SETIT_009365mg transcript:KQK97260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEKSGGAAASSSSSTAAMDRFQKIVLSWDYLRLVAESKGGKQAKGLQRVKDTYKSVADYLGVFEPLLFEEVKAQIVRGRSDEEEEDAGLDWQRVAVGLCAESEGFHKFSMAVENDFRENVGENDLVLLSKEKFEEGVTPTAYAFALVEQRGGSATISLRAFLAGEIQNLNVSKPVNAPRLQRFASILAAESSTLWILKVCSLSTIMREFTAMHSVASLPFKDSILSAAEKHKDGDDQSRAWNVPQPLMDHLKANLNDSQLEAVNAGLSRRSFVLIQGPPGTGKTQTILGLLSAVLHSAPARMKTKGGFDVQRHGPELDIDGKYAHWVKASPWLLGANPRDLIMPVDGDDGFYPTGNELKPEVVSSSRKYRAHVLVCAPSNSALDEIVLRVLNTGIRDENNNTYNPKIVRIGVKAHHSVKAVSMDYLIQQKLSGVDRTLDGGRRGAGEYDRIRASILDEAAIVFSTLSFSGSSIFSRMSRAFDVVIIDEAAQAVEPATLIPLVHGCRQIFLVGDPVQLPATVISSTAQKLGYGTSLFKRFQGAGFPVQMLKIQYRMHPEISIFPSKEFYEGVLQDGEGLSKKRPWHSYTCFGPFCFFDVDGVESQPPGSGSWVNQDEVEFITLLYHQLAMRYPELKSSSEVAVISPYRQQMKLLRDNFRLTFGDQSKEVIDVNTVDGFQGREREVVIFTCVRCNKEQKIGFVSDFRRMNVAITRARSAVLVTASSPSFKLFFFNILFCTICRLQ >KQK98622 pep chromosome:Setaria_italica_v2.0:VII:28327697:28332240:1 gene:SETIT_011652mg transcript:KQK98622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPSSSLLRDLLVADGFKNRRRSSDGSAPASSRAASMPLNNRRPGKPARSQSDVIARSRLREMNGGDRDAAGDERRAATATRRLSASQTSARSYSNKGDSDSGGAGGRRGTAASASAAAVPALDESALTALISLAAGPMKRFAKDEAFRATLRAGCASCLGESNHRAVLDLRVHAQTVERAAREGGRDPRDLKRASLKLHEAASLESGDADAVAAAAGVPYPRLAACAHLYMSAVSTLQRRDHSAAVHSLEAFCLAPREARTLLLPALWDRLFRPGLSHLRAWRDRESAAASSDERAKEVEKTFADAVDEGTRALACYYRDWLLGRTDAMALPGVPAPPSTVHAGAPRYSASTSYDISSDVVFSSGGSSPAKFAYDGTMQRSEEIEEEDEVHAIAADAESVFYECEAVEARSHTPALQFLSEGPLQSDADGNHFSIFATVPSDFLCPLTRQIFNNPVTIETGQTFERHAIVQWLDRGFRTCPFTGQELLSLSIPDTNRVLKRLIDGWKSERCKNLVSGSTGLEEKLSVTVIDKVFSSAGDMSEKLDKARHLMAIGGIDFLLHEFQEGGGDEQQRVAEHLLFCIRAEGSCRNYVAIKIDGSSVLRLLHSEVRSARRIAAEPNEDSIYREEAAKIITHSLRRCMSEDNVVPGTRKALLLLAGYFSFSGDLLVEDWMLKQAGFVDGSRASPTSSDVVAQDKEAAGNEAWLRHAAAALLGRGGVRRPFLEALSRCLGSPDADLVAACLATAAWLSRSLAASLDDDATNTGTDTDASLAAFSALVPRLKQCLAPSRPARHRVLAAVSLHNFSMIPDCRELLVLLADGLRDHLAELAGLTSTAGQLSAELHEGL >KQK98685 pep chromosome:Setaria_italica_v2.0:VII:28625049:28625545:1 gene:SETIT_011327mg transcript:KQK98685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEREEEPGAGRRTCAVQPGAAAEAGAPCASMRPPRSRSRPRHVPRTPLAAAAPAVRRHGAMAAQRWTDHGRTGTARRRRPTAVARARQPAGKNINGAVVICEESGPVALDNGPSWAGFNSRPVR >KQK99988 pep chromosome:Setaria_italica_v2.0:VII:35664425:35666491:-1 gene:SETIT_009531mg transcript:KQK99988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHHPCFLHLQYLILVLLLLSVCWATDAAVADGGEFIVDGFSSYDYLTMDGDASVADGLLMLTSGRLAHSKGHAFYPYPLHFTDVSNGSSLASFSTTFLFSIMGPYIDISSDGLAFVLCSNKDFFSASPAQYLGLLNPLNNGNATNHIVAIEIDTIQNDELQDIDSNHIGVDINGLISVGSATAGYYTPTHAFHKLSLISGQPMQLWVDYDSNQAMINVTMAPCCLSKPSRPLLSVACNLSTVLPGTEVYAGFSSATGPIPSRHYILGWSFKLNGEPAALNYPALSIKTIQELAAQLQYQPHTHTNKIKTIILCAALLPTVGIAIAVSATLFKLYMKRRLDARRNELEWQREYGLPSFTYKDLLIATSGFKDKMLLGKGGFGSVFKGLLPHSNRTVAIKRVSPESKQGMKEFMAEIVILGHLRHRNLVQLLGYCRHKQQLLLVYDYMPNGSLDCHLHTQDRNLCWAQRFRIIKGIASGLFYLHEDWEQVVIHRDIKTSNVLLDGEMNARLGDFGLARFHAHGADAHTTRVAGTWGYIAPELARLGKATKATDIFALGVLMMEVACARRPIWVNSADGEPLALADWVLAAWRRGSITDAIDPRLDDYVEEEIEVVLKLGLLCCHPSPNARPRMRLVMQYLDRDAALPTDLQPDTLLMSNFDVSQDDNEMHEQHAMSCPTTAITDLSRGR >KQK99308 pep chromosome:Setaria_italica_v2.0:VII:32269971:32274323:1 gene:SETIT_012804mg transcript:KQK99308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELASGAVSSLLVVIRNEALLLRGVQDDVQFIKEEMESMKSFLAHLARSAPPGGEHDEQVRTWMTQVRLLAQDCNNCIDLYLYRGNPDIHRARGGIRRYVWWVSWFLHKMAAQHRAAIQLRQLKDRARDVGERRLRYGVEVPGKSTTLGQSPHVAAGGYATADDEEDRDEEDQTAKIQLVTPTTHHYGPRAFFEPRSRDDYVKAKLLEWVSALTAGAGETLSIAIVAPDTDRAVLDLAQKTLVVPLFPPAYTNPGYHRGILVNIPAVHLNTFFFPVPLRPKEVLYYILRELKHAKSRSHKQDIDQSKGEDEEKEPDFWQAFNKKRDIYLGKKRVIHKIKEKIKNMKVYEKLDRIEREIRAQQQKGNQQQLFQQDLGQKKGLDKLDLVVLLQMLLHPQQDQAKNRDMQTMPVWDDNTIVEIAKKFKEYMEADEKANELKEETGAEEKEDTAKQEKEEDDGGVERKEEKGDKMEEEKRGGERNKEEKKEGGRQYEEEQLGGGREEQENEEGDKREEEKGEEGVEMKEEKGGRDEEEKGEDGVEMEEDKGGDKEEKGDKIEETEEEEQAEEENEDNNDNVEDNKKEEDQEDQEEEGPIYLHQLQYEQILREVFPMQAQPAKQASKITTTTLDEEQIKKMINEAKQEVLRELQEGKPDKNQATGEPCQNQNVGFEEIAQKIKKIKWELKEQLKIKGIVDKIKKLLGDECPLIILKVDEMMDGSRWEDVRKALSLLDCSADALIFTTSESIQEAKGYCYPPREPIDYSLVGLYHDTVLELTSKQKNEDNFDPQVFRDILECCFFSKDNLEYEPHEFCMKIFTHALYANSNRSSEELIKLRSTLQALPKSFDIRAKKMFMYSYNDLPKEYKSCLLYLAIFPKGQKIRRSDLIGRWVAEGLIVKEDWPSSVKQARRCFDALIRRWLVYPVDIGATGKVKSCVVGDLVHGFITTIARKQHFVETRLSHHLARHFSVFNDLQLRSSDRIDKFFQDLSKSYRVSLLKVLDLEGCKCFGGKNQRYLKDICTKMLLLKYLSLRGTDITQLPSEINYLRELEVLDIRQTKVPAQATANILLLKLKRLLAGDIDPSSSNFGSVQIPHRIGKMVKVEVLSNVKALNNDDLKDIGKLWQLRKLEVVISDKDSQLENLLQTISDLHECLRSLSITLPTAASCKDTPSSVKLPNNIGSCLKQNPKILESLSIRGTTLKGHLLPVITNGGNNKLAKVTLSRTLLNQDDLDVLAKLPKLQCVRLHHIDCTEGKLTFKKDRFISLKCLFVEGSDLTNITFEDEAACELEKMVLSFTSTESISGVDRLPKLEELELNNSSGRLLSSFENTKQIAKLTLRGTLLDQDALKILANKPNIRCLVLLDKSFLGSQNQITFNKDEFIWLNLLDVHCSSITKIVFTSGSAPRLEKIVWSSFASLSGIENLPRLKELEFNGKDVPNEVTEAIKKHKNKP >KQK96722 pep chromosome:Setaria_italica_v2.0:VII:14601433:14603226:-1 gene:SETIT_009917mg transcript:KQK96722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPPADGEGRQLQPPHAVMIPYPAQGHVTPMLQLAKLLHARGFHVTFVNNEFNHRRHLRARGPGALDGAPGFRFAAIDDGLPPCDADATQDVPALCHSTMTTCFPRFKDLVARLNDEAERDGRPAVTCVVADSTMTFALRAARELGLRCATLWTASACGFITYYHYRHLVDRGLVPLRDEAQLTDGYLDTVIDWVPAAPADLRLRDFPSFVRTTDPDDVMLNFFIHETAGMSQASAVVINTFDELDAPLLDAMSKLLPPVYTVGPLPLAARNTVPRDSPVAAMGSNLWKEQDAPLRWLDGRPPRSVVYVNFGSITVMSAEQLAEFAWGLANTGYAFLWNVRPDLVKGGGDSGGAGLPAGFAAATEGRSMLSTWCPQAAVLEHEAVGVFLTHSGWNSTLESICGGVPMVCWPFFAEQQTNCRFKRTEWGIGMEIGDDVRRGEVEALIREAMEGEKGKEMHRRVTELRESAVAAARPGGRSIRNLDRLIDEVLAPGLNTQH >KQK97304 pep chromosome:Setaria_italica_v2.0:VII:20395517:20396006:-1 gene:SETIT_011980mg transcript:KQK97304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRCSQEKGTAEARSGERKRWWNGEGAGEKDGKEKERPEEAEDDEDEEVEDAEVPEVDVGTVELEEDVDDGRSCLRRRRRRVAARQARVVVVWWSGGAGAERRWAFMVGPWRGDVIRMRRSGLILVIFCIRDIGSRR >KQK96620 pep chromosome:Setaria_italica_v2.0:VII:13115168:13118801:1 gene:SETIT_009489mg transcript:KQK96620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKTLCSSCSSSRPHHQLLVLFFCLAVSLVHVPRARSLSFSYKAFNPDDFHPEADARIKDGRMELLGDEYTDRARGRAYHKHPAQLWDGATGKNASFAANFTFIIESVPAGMGAAGVGHGMAFFLAPYTTDLPQESYDGCLGLFDENKVQNYATVKASGDERFVAVEFDTYQDIWDPSSRHIGIDVNSMDSGGDYTVLPNGSLVDAGVMSATVTYDNGTRRLDVALIVGSDTYTAAATVDLPSSLPEQVVVGFSAATGNAFASNHTVLSFSFLSTLPTKNGTSLSASSNKTTMELGAGVAAAAVLVLLLAAAVAVLLVRRRGKRPYDDEEKLTTDGDDSLDGVDDGDFESSTGPRPIPYAQLAAATKDFAAEGKLGQGGSGSVYRGHLKEPGRDVAIKVFSRGASMEGRKEYRSEVTVISRLRHRNLVQLIGWCHGRRRLLLVYELVSNGSLDGHLYSTEATLTWPTRYQIILGLSSAVLYLHQEWDQCVVHGDIKPSNIMLDEAFNAKLGDFGLARLIDHGMSLQTMTAVAGTPGYLDPECVITGKASAESDMYSFGIVLLEVACGRRPMAVPTGAGEGKDGQAVFRLVEWAWGMHGRGAALEAADERLGGAFDQWEVERVIAVGLWCAHPDPKMRPGIRQAAEALRSRKFRMPLLPPKMPVAVYLQPFGASTMQFSDTTASVGSSVTMPYTSTTSHATATSNSSSAAAVTEHLSPRA >KQK97003 pep chromosome:Setaria_italica_v2.0:VII:18138918:18141808:1 gene:SETIT_010283mg transcript:KQK97003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRKAARAAADAAVPPAMPEPDIAAELHALVASSTFPAPCIGGAAAARDDAGRRRPPLVVIGHRGKGMNALASPDPRVRGDVRENTLRSLNAAAASHPTVAYVEFDVQVTKDGCPVIFHDNFIYTQENGEISGRRVTELHLDEFLSYGPQRNQEKAGKPLLRKLKDGRILKWDVQKEDALCTLREAFEGVDRRVGFNVELKFDDDLAYTEEALTGVLQAVVFEHADGRPVIFSSFKPDAALLIRKLQDKYPVYFLTNGGTQIYADPRRNSLEEAVRLCVAGSLQGIVSEVRAILRQPAAAAKIKEAGLSLMTYGQLNNVPEVVFVQHLLGVDGVIVDLVREIAEAVSAFSAAAREPSPESCGEVERLETAAAGTPSFSPREMSFLLRLIPELVQ >KQK97460 pep chromosome:Setaria_italica_v2.0:VII:21343633:21344469:1 gene:SETIT_012645mg transcript:KQK97460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPIWQQKKLIEFCKDKGIHVSAYSPLGGQSMSNAVLHSDVLEEISKARGKSVAQVSLRWIYEQDASMVVKSLKRERLKENMEIFDWELSDEDRFKINQISQHKRNRWGSRLQRGPCRD >KQK98086 pep chromosome:Setaria_italica_v2.0:VII:24874279:24876932:1 gene:SETIT_010381mg transcript:KQK98086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNVVVDLRSDTITKPTEAMRAAMAAADVDDDVRDGDPTARRFQAEMAALMGKEAALFVPSGTMANLVSVLVHCDVRGSEVILGDDSHIHLYENGGISTIGGVHPTTVRSNPDGTMDIDKIVAAIRRPDVIYYPTTRLICLENTHVNSGGKCLSVEYTDKVGEIAKSHGLKLHIDGARIFNASVALGVPVGRLVKAADSVSVCLSKGLGAPVGSVIVGSKAFIDKAKILRKTLGGGMRQVGVLCAAAHVAVRDSVEKLADDHRRAKALAEGLNKMEQFTVDSTSVETNMVFFDIVDSRISSYKLCQILEKHNVHVNPRSPKSVRLVLHYHISDSDVQYALTCFEKVVEELLTGGAKIEHLADGTSKNSYGY >KQK99586 pep chromosome:Setaria_italica_v2.0:VII:33642900:33645404:1 gene:SETIT_012593mg transcript:KQK99586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SSSSASPPPSRNPRQSTPTHPPDRVSASLGARDQGFPRDPTSAMADAEPVDQKNKLEERCKPLCVKSLYEYERCVKRVENDGSGQKHCTGQYFDYWSCVDKCVAPKLLDKLK >KQK97644 pep chromosome:Setaria_italica_v2.0:VII:22363189:22363717:-1 gene:SETIT_012440mg transcript:KQK97644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QKIVIKVQMTCDKCRRSALTLAGSTYGVQSVAIEGEERDQLVVVGDGVDATSLASCLRKAVKVGRADIIKVEAVVDEKKAAATTTATGSSGPVVEWPPQGYPYYHPGHGYYWPRTGAVYPYAAGHCYVEDSDEGSWCAIM >KQK98131 pep chromosome:Setaria_italica_v2.0:VII:25212520:25214905:1 gene:SETIT_012674mg transcript:KQK98131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAFFSGVDSRLRVPVKAADSIMVGLVNAAMEDAYRKSLWKDGDLDRLFHKLRFAELAVMQLEWCLRFVRGEMSADDGGDDGHEELLDDLLETRDRIQARLDEAELAVAEADRDYMRRRREELGGPSRGSGAPPAAARRGGAEDEEDGGRAFGNLRGSVSRMMSRMRARLEDASSTLAALMERMSGEASPMARLQEAGHEGAGVKGLSGFYSVAQLLMEFQEMVMDAGAVRDIVASSFDAMEGSVSALRAAMDEQQWLVDAEREMYGAVVEGFLREINVGSNRTSSPGEGARTPTLQHDSDATENCLEELRSPKDETMRLQSERRITAEESDSRQCYHSNEQCIYREEAERLAEERIDSDVRSEPQCVLYTAASRDLVKKRAVLADVQRVTEERDEVDIRREVQIEIYSTIVKNLLKGMAADSVDHLINTFIKDEVHAVFLAKTLNSWKSTTEMPHSEGLIKEEIDRIVFGGLAVDLISVHNFRAIKSYGENSPRNNLGRFSMIDNIEQLKKVKMEINVTTEDEDADSDQHGVPVKQEVQSLGANCDRRNCKGSDQQPEMSTDKGDVPDSVKNDVEEQTEMSTDKGDVPDSVKNDVEEGLEDQRKEKTGEIGVGFSVPPENGNKEMFIPSTKFQAMFMDFEAVTCGKLGTTVLRLRGLDKQLANLAEQVSSLKKSELIYRTAFTGRCCDLQTAEAEVDLLGDEVELLLGLVSKTYKALNHYSPVLQHYLW >KQK96181 pep chromosome:Setaria_italica_v2.0:VII:1260161:1260781:-1 gene:SETIT_012418mg transcript:KQK96181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRHHHHVLVLLACLSVLAAAAAASPAKPRPSSPGSVPPAVAVDYVRRSCRWTRYPLVCESTLVPCAPAVGRSPRRLARAALVVGADRARNCSAYIKAGGGGKGPAAKDCAELARDAEELLRQSAAEMERMGRAGTPRFEWSLSNVQTWASAALTDASTCLDSLAQAASAKEDRDAVKRRVVAVAEATSNALALVNRLDPAPHRRL >KQK99585 pep chromosome:Setaria_italica_v2.0:VII:33637148:33639536:-1 gene:SETIT_010361mg transcript:KQK99585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMESPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPAIVHRKCF >KQK98129 pep chromosome:Setaria_italica_v2.0:VII:25196338:25198953:1 gene:SETIT_010750mg transcript:KQK98129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDIEAAAAPEGGEYTAKDYSDPPPAPLIDAEELTKWSLYRAVIAEFVATLLFLYITVATVIGYKHQTDAAASGPDAACGGVGILGIAWAFGGMIFILVYCTAGVSGGHINPAVTLGLFLARKVSLVRALLYIVAQCLGAICGVGLVKGFQSAYYVRYGGGANELSDGYSKGTGLAAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNNDKAWDDHWIFWVGPFIGAAIAAAYHQYVLRASASKLGSSASFSR >KQK98348 pep chromosome:Setaria_italica_v2.0:VII:26435401:26436804:-1 gene:SETIT_010958mg transcript:KQK98348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMERAEDLGLSLSLSSSLAAPRTHHVSAMLLRSPEKRFLEMPLLPAKRSEVTAEEGLLRGGSDEEDGSCGIDGSRKKLRLSKDQSAVLEDSFREHPTLNPRQKAALAQQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCETLTEENRRLQKEVQELRALKLVSPHLYMHMSPPTTLTMCPSCERVSSSGANSTGAAASSDRRAGGAIISTAAAAAEGAAICHRPIAVRPQQS >KQL00045 pep chromosome:Setaria_italica_v2.0:VII:35919706:35920126:1 gene:SETIT_012598mg transcript:KQL00045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SIVSTSLRHCLRMLSSSPPPADHPHHHQDVIIKDDDLEAGDDSSSSSCCCCCNKEEEDDQQEATTPGEVVGAFLAHAAEAAAALLDLDDDDAGEAEAEGDDRGLLVCCLGSMGLCMGEIIREAQRLEAHIIDLNNLQPH >KQK96604 pep chromosome:Setaria_italica_v2.0:VII:12823541:12825922:-1 gene:SETIT_010365mg transcript:KQK96604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSKKDPPNLGRGRMSPNLRPSSSESSGYGYGARRARSVPSSPDRKFGSSASSVAASGSPDVQRPSLSSAGRSRTMGSSIHGSRAQPFPGAASKPTLSRAKSDNVSTSSQRPPALAVPPSNSFKDMAKTAPKASPSNLLRSKASPRPTADSCKAVASPKPSSQRVASPSTARGDRVQPVSTARSPGAAAKKRLDAVNGATASSKAKSVSQKAMGPSASRKEKDKDPSMQCKETEASSIEEHLHEEHPDPVDPKSMDVTVPDQHEPSSNQPEQVKNAEDSKGHLSVEKAGANELHNGGQDANGSIKTIYECGLVEKVADQRADRAVPRTEVAQAWRKHDPRSNEVIEETKSKLLEERKSRVKALVGAFETVLSFKE >KQK98156 pep chromosome:Setaria_italica_v2.0:VII:25382269:25386882:-1 gene:SETIT_009472mg transcript:KQK98156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATATHGEAPGSNGAEGVEMVEANELRRRGKPGDGRAASAASPARDGAGAGAGAGAEEDEAAAGAPSVERAFAGQPVPSWREQLTVRAFVVSFFLAVLFSIIVMKLNLTTGIIPSLNISAGLLGFFFVRLWTKAIESVGLLKQPFTRQENTVIQTCVVASYGLAFSGGFGSYLLAMSDKIAAMSTEANDAQNIKNPQLGWIIGFLFLVSFIGLFGLVPLRKVMIVDYKLTYPSGTATAYLINGFHTPQGAKLARKQVKKLGTFFVLSFVWGFFQWFYTANIEECGFQKFPSLGMQAFDNRFYFDFSPTYVGVGMICSHIVNVSILLGAILSWGIMWPLIAKKKGIWFSADLADTNLHGMQGYRVFMAIALILGDGIYNVLKISILTAVSLRSQLKKSNASTLPISDDAIVTDTAPVSYDEERRNELFTKDQIPWYVALGGYVVIAAISIGTVPQIFPQLKWYHILVAYIFAPLLAFCNAYGSGLTDWSLVTTYGKLAIFAFGAWVGASHGGVLAGLAACGVMMNIVGTAADLMGDFKTGYLTLASPRSMFISQVIGTAMGCVIAPCVFWLFYKAFGNIGVDRSEYPAPNAAVFRSMAILGVDGFSSLPKNCLTLCYVFFAAAIVINLIRDLVPKKVSRFIPIPMAMAIPFYIGAYFAVDMFVGTVILFVWQRLDRAKADAFAPAVASGMICGDGIWVLPQSLLALAKVKPPICMKFLSRTMNDKVDAFLTTLS >KQK98929 pep chromosome:Setaria_italica_v2.0:VII:30021243:30022555:1 gene:SETIT_012170mg transcript:KQK98929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRRRQRLHALGPIKRLPGENAAWICSSLTTRARTKVRVVFSLDRRAAPRPHRKCRAPDLALTPASPLPSTHGMCYERLGTDAEGTAYSLAGRRCTALANGTIDGHRRSLTFPTRRRRSSHAMGVRSRSKRRRRAAAASGGGADLISGLGDDVLVRVLELLPDTRHAVRTAALSRRWRGLWTRVPALRFNFAPATRRKLSGAERFPSFVNNGTGRRCKGAAGAAEARRFLSFVDNALARRAHTDAAAALEHLAISFPMDPSVAGQQAKQLAAQCIKASQEWIWYAMRSGVKSFVLELSVPLRDYRRQISREGDKHQEEGEAATYDGSQRAS >KQK96178 pep chromosome:Setaria_italica_v2.0:VII:1255866:1257257:1 gene:SETIT_011729mg transcript:KQK96178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFLKKFFPEVLRGMKSAKRDAYCKYDNHLLTAFTSSLYIAATLASLVASRVTRRVGRQAIMLIGGALFLAGSIINAAAVNVAMLIIGRILLGFGVGFTAQAAPLYLAETSPARWRGAFTMAYHSFLCAGTVIANVVNYLTNPIPDWGWRVSLGAAAVPAIVIVAGALLVPDTPSSLVLRGQQDRARASLQRIRGADADVEAEFKDIVCAVEEARRHDEGALKRLRSKGYRPYAVMMVAIPVFFEFTGFIVIFIFAPVLFRTVGFSSQKAILGSVIINLVGLCAVVMSSLVVDRFGRRFLFLAGGVSMLLCQVAVSWILAAHLGKHDAAAGVTMARSYAEAVLVLMCLYTFSMGFSWGPVKWVIWSEIHPVDVRSVGQAISLSIAFVISFVETQVFMSLLCSLKFAIFLFFAGWVLAMTAFIAAFLPETKGVPLEAMRAVWARHWYWRRFVVVQDANKIPVE >KQK99640 pep chromosome:Setaria_italica_v2.0:VII:33980834:33981938:-1 gene:SETIT_012836mg transcript:KQK99640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAMMRNCCAQKRKMYPRKLKPLSGLWMFKMARLTHKLEVQLKPQVQREQWMNLAALLSLAMPCLEPCLNLLILIISLLTHLGVMRLPMNQAHPQRLMMEKRLRLPQQMNEEYGLESYHKPGQYCQPDSFAGEQCHLVLHHMLRNHGGAFRMQGCCTIKGAATPCAVG >KQK97509 pep chromosome:Setaria_italica_v2.0:VII:21570979:21575854:1 gene:SETIT_010406mg transcript:KQK97509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALRELERVQRVLSLMSSRGLCDTGSSGGGAAADRFLAQFLLFMVQPSESLTMENKFLLVSELLGKATPDTMEEVHHLTHLEADQNISSGALLQPNKKFKMHAEKSTIQAVPMVGFDAMTRAKSTLEDFLDASNEDSLNLIPDNRSSSKELERKKEAFDETSLSQMIEPLDSFLQNQGLMTDRLQTELKSGIQYWSLERKLCQALTRNEKISIDDVMKAIHLKSFDYRVLNLLMYQLTGQQVNELHMDFLSISEFLVEISDDLMDDVINNTFNILRMFAAIYGPSEAPNMLAKCIGEAEEKYESFSKKLDPSLSGSCWRRCEEATKEGGKISGHAYGTWNIPSVISDEESFRRERTSKHDASTVII >KQK97419 pep chromosome:Setaria_italica_v2.0:VII:21132652:21135253:1 gene:SETIT_010902mg transcript:KQK97419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSGSAPIFPNVRTPEDVFRDFRGRRAGILKALTTDVEKFYKMCDPEKENLCLYGLSNETWEVTLPAEEVPPELPEPALGINFARDGMAEKDWLMLVAVHSDAWLIAVAFYFGARFGFDKDARRRLFTMISNLPTIYEVVTGSGKKPSKTPNSNGKSKSGSKPSKKPNSNSKPAKQPVPKQEEQTLKEEGGDKDQAYLCGTCGGSYSNNGDFWIGCDICENWYHGDCVRITPAKAEHIKQYKCPACSNKRSRE >KQK98626 pep chromosome:Setaria_italica_v2.0:VII:28344339:28346936:-1 gene:SETIT_009336mg transcript:KQK98626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAITQAFADALRSCGARGALSGARALHGRLVAVGLASAVFLQNTLLHSYLSCGALTDARRLLQADIAHPNVITHNVMLNGYAKLGRLSDAVELFDRMPARDVASWNTLMYGYFQSRQHLAALETFVSMHQSGGTSPNAFTFSCAMKSCGALGWHGLALQLLGMVQKFDSQDDTEVAASLVDMFVRCGDVDIASRLFVRVENPTIFCRNSMLVGYAKTYGVDCALELFDSMPERDVVSWNMMVSALSQSGRVREALDMVVEMYSKGVRLDSTTYTSSLTACARLSSLGWGKQLHAQVIRNLPRIDPYVASALVELYAKSGCFKEAKGVFNSLRDRNNVAWTVLISGFLQYGCFTESVELFNQMRAELMTLDQFALATLISGCCSRMDLCLGRQLHSLCLRSGQIQAVVVSNSLISMYAKCGNLQSAECIFRFMNERDIVSWTSMITAYAQVGNITKAREFFDGMSTKNVITWNAMLGAYIQHGAEEDGLKMYSAMLCEKDVRPDWVTYVTLFKGCADLGANKLGDQIIGGTVKVGLILDTSVANAVITMYSKCGRILEARKVFDFLNVKDIVSWNAMVTGYSQHGMGKQAIEIFDDLLKSGAKPDYISYVAVLSGCSHSGLVQEGKSYFDMMKRVHNISPGLEHFSCMVDLLGRAGHLTEAKDLIDKMPMKPTAEVWGALLSACKIHGNNELAELAAKHLFELDSPDSGSYMLMAKIYADAGKSDDSAQVRKLMRDKGIKKNPGYSWMEVGNKVHTFKADDVSHPQVIAIRNKLDELMGKIASLGYVRTESPRSEIHHSEKLCVAFGLMTLPDWMPIHIMKNLRICSDCHTVIKLISSVTDREFVIRDAVRFHHFKGGSCSCGDYW >KQK96099 pep chromosome:Setaria_italica_v2.0:VII:291742:292097:1 gene:SETIT_013075mg transcript:KQK96099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFPNKLKLKLRTCMDNAEGFVQRQAQKSCR >KQK97492 pep chromosome:Setaria_italica_v2.0:VII:21467351:21468499:1 gene:SETIT_010617mg transcript:KQK97492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATGAAGDDDEVIHDFAPLLLVYRSGRLERPIAMPHVPPGRDAATGVVSRDVTLSPHSFARLYLPPAAASGSGKRLPVVVYFHGGGFVIGSAGSAAYHRCLNDLAAACPAVAVSVDYRLAPEHPVPAAYEDSLAALRWALAAGDPWLAAHGDPARVFLAGDSAGGNICHHLAMHPDIRRGAGGLRGVVLIHPWFWGKEPIGGEPRSATKMQKGLWEFVCPGAVGGEDDPRMNPTAPGAPGLENLACGKVLVCVAEGDVLRWRGKLYAEAVARARGCSELGVELFESKGVGHVFYLLEPAAEKAKELLDRIAAFVRAE >KQK97410 pep chromosome:Setaria_italica_v2.0:VII:21087108:21087455:1 gene:SETIT_012000mg transcript:KQK97410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVAPADREEEGLELSLSLHPSPSSPPRFQAVFACCYCPRKFRSSQALGGHQNAHKLQRNLARRGREAASAPPAPVPPPAAAADDQGNHRAASGSESAPAPRTRAEPGADAWGEG >KQK98981 pep chromosome:Setaria_italica_v2.0:VII:30314720:30315487:-1 gene:SETIT_012891mg transcript:KQK98981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAEGRGDSMQAFDWGTIDLTCKYTNADIVPMVVTT >KQK96301 pep chromosome:Setaria_italica_v2.0:VII:3195094:3197047:1 gene:SETIT_011097mg transcript:KQK96301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLMFTKLFSRLFAKKEMRILMVGLDAARKTTILYKLKLGEIVTTIPTIDLLLYNKVKSNSYRQFNTCFDMAYAFKVVYLEQNCFCGAVALHNYVCLSHLSSMASSLLYPIRLLWRHYFQNTQGLIFVVDSNDRGCVVEARDELHRMLNEDELRDAVVLVFANKQDLLNAMNAAEITDKLGLHSLRQPRIIITYSSP >KQK99379 pep chromosome:Setaria_italica_v2.0:VII:32663233:32665601:1 gene:SETIT_011406mg transcript:KQK99379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGSLQDSSASSFSIMEEDHTLANSVRFVLNQDPRVAFCGYSIPHPAENKVNIRLQTTGDPANDVLKDALQDLMVMCQYIRGTFDTSVADFRGNKPEVMDIDLNKK >KQK99862 pep chromosome:Setaria_italica_v2.0:VII:35095011:35097767:1 gene:SETIT_010236mg transcript:KQK99862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPPSDLSPPPPSSPPADTPASLDPDTPFSDAAPVTPFSDAAPVDASDADTPALAPTPDCALASASDAPVDGEDDGINNPSGGSRKHMTLVPPAPPSKKSKKKGGNSVWTRPASRKGKKKARQPGGPGPGGSTTGAHPGPNAGGDELCQLVPATRLAAERNDDAATQPVLLSRFFKSERIEVSDDRLTAGSTKGYRMVRATRGVAAGAWYFEIKVVHLGATGHTRLGWVTNKADLQTPVGYDAYGFGYRDIDGAKVHKAWRDKYADEGYGEGDVLGFYISLPDGERYEPKQRDLVEYKGMPFHVHVPKEEQKIPDPVPGSEICYFKNGVCQGSAFKDIPGGRYYPAASMYTLPNEQNCVVKFNFGPDFEFYPQDFGDLPIPQPMSEVPYQAVEVKKEGPVENGIAEKTS >KQK96706 pep chromosome:Setaria_italica_v2.0:VII:14269284:14270029:1 gene:SETIT_011518mg transcript:KQK96706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTCNFASHTPPRQPIQLCSWNYTCFPCLYPSLCGGTSVPISETCMGCRPQSSTVSLYRQLFIARFEGFFYQMQDF >KQK97040 pep chromosome:Setaria_italica_v2.0:VII:18447424:18451106:1 gene:SETIT_010825mg transcript:KQK97040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRVAGRRLSSSLSWRPAAAAARGPLAGAGAPGGDDDSARARSQPRFSVESPFFAAARGFSTETLVPRNQDAGLADLPATVAAVKNPNPKVVYDEYNHERYPPGDPSKRAFAYFVLSGGRFIYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGTTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRDPQQDAERVKNPEWLVVIGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLIG >KQK98777 pep chromosome:Setaria_italica_v2.0:VII:29112786:29117066:1 gene:SETIT_010027mg transcript:KQK98777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVFFSGRKFYLILSVSPFPGPVHLYPPPRNPWLCSSLPLRPRPRRSSLSLPAAQLFCDPALVAPCCAPTVLLRRHAASLPACISAARSAGPRRRAARGSGAPPTPAAGALNPLRRLCFKKGEAFSPLTPSLPSFFHPRPLSLQNWTAGPQWRVRSSQCLGTSPHPDCNSRVREGAPGTSRLRWRRRLSAPAAPERGGGHPRGTPPLPRYEEPKRRHQLRFSRTGALRKAPPHAFGLKSLRMRHPLLGTSLGEASPPGAREPQSPSASLGLQIPALAMSAWRQAWQFAAALVFFHGSEYVLAAAFHGRRNVTATSLLISKQYVLAMSFAMLEHLTEILIFPEIKEYWFISNIGLLMVLIGEIVRKVAVVTAGRAFTHVIRIYHEDQHQLVTHGVYRFMRHPGYSGFLIWAVGTQVMLCNPVSTVAFILVLWRFFSKRIPYEEFFLRQFFGSEYEEYARRVHSGLPFIK >KQK96582 pep chromosome:Setaria_italica_v2.0:VII:12258654:12259019:-1 gene:SETIT_011783mg transcript:KQK96582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAKVDDLRGVEPGTPGWVRARAAVTASMAAHSCVLVAHDTLGMEPRRCALFGRALPDLFALLFEGKKCSGLFCNGSHRGYEVQVPAVALETLPIPDATEPSRVRDLAGCLWPQGNPYFW >KQK99172 pep chromosome:Setaria_italica_v2.0:VII:31311086:31314743:1 gene:SETIT_010839mg transcript:KQK99172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQNQRLNVVPTVTMLGVMKARLVGATRGHALLKKKSDALTVQFRAILKKIVAAKESMGETMRASSFSLAEAKYVAGDGVRHVVLQSVRAASVRVRSHQENVAGVKLPKFTHFVDPAAASGGPSNASPSLTGLARGGQQVAACRAAHVKAIEVLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRIENTISYIKGELDELEREDFFRLKKIQGYKKREIERQRANAKLFAEEQLAEDLALKRGISVGAAANLLVAGGEKDDDIIF >KQK99924 pep chromosome:Setaria_italica_v2.0:VII:35414831:35416755:1 gene:SETIT_009676mg transcript:KQK99924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAVPTLLSRCRSLAAVKQLHAHFLSHSNCPFPYNHFLSKLLSLCSSSATAASDYALLLLSSHPAPTAFSYNVALRFFAFSRPGTSLRLFLRMLRAELRPDAYTLPFLLHAAARSPAPALARSAHALLEKLGLRDHDHTVHSLIIMYSYLGDPLAARRVFDGIPRRDVVSWNSMLKAYERARMAAEVEAMFQWMVAEGAVAPNGVTVAVVLTACRNAGNLVLGRWVEEWVRSAGMEVDSLIGSALVGMYEKCGELVEARRVFDGISDKDIVAWNAMITGQPAIALFHSMREVGVHPDKITLVGVLSSCAAVGALELGAELDRYASNSGLYSNVFVGTALVDMYAKCGDLDKAMQVFGNMPCKNEASWNALICGLAFNGRGHDAIQQFELMRNEIGVKPDDITFIGVLSACVHAGLLKYGRSLFNSLTPVFKIIPKIEHYSCMVDLLARAGHLEEAWDFAEKIPGKADAVMLGALLAACRKCKNVEVGERVINRIMELEPSNSWNYVVSSKIYATSDRMDDSARMIGLMRERGVSKTPGCSWVEVKGKVLEFYASAEPQHGAEDMYQLMDILVDEMRLEGYVPNLDLV >KQK96286 pep chromosome:Setaria_italica_v2.0:VII:3081515:3081838:1 gene:SETIT_012180mg transcript:KQK96286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLDTGELAMHEFGAGMFGGEPTFVPAVGGASSDQEEDEGHVVVMVQDEAAGASELVVMDACSMEVAATQLVAQRAACREVDRKHHTS >KQK98742 pep chromosome:Setaria_italica_v2.0:VII:28948229:28948688:1 gene:SETIT_012899mg transcript:KQK98742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTISTLYLQTSKFVCQFPCTKRPRNVNTTDCFSLCD >KQK98243 pep chromosome:Setaria_italica_v2.0:VII:25838105:25842573:1 gene:SETIT_0099141mg transcript:KQK98243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDKNAIQVVILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIIRLYQPVHLLVGTPGRILDLTKKGICVLKDCSMLIMDEADKLLSPEFQPSIEQLIRYLPSNRQILMFSATFPVTVKEFKDKYLPKPYVINLMDELTLKGITQFYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKPIPPQIDRAIYCQ >KQK97044 pep chromosome:Setaria_italica_v2.0:VII:18468135:18473472:-1 gene:SETIT_011943mg transcript:KQK97044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPGPSRPAASTAVGGDFPELLGFCARAEALIAELLLLADRAPPQFADRRFDSVLFDFRYLDSPGDFESRVEGNIELEALEDQLRESCAPYMQRFFSLLDGAVTYHEELCNYLNDLQEGLYVHCTLDHVLENNCACQLLVESMALFGCMILLMEHKIGGLLREKLLVAHLRYDRCFNYPNLERICELCRRHVPTPATSATFGSSPFSSDIISIQKPEDLLRRFPFPEPVVDAVITCLRNGDVYNNIRFYPDPQHRTAALSLQGGHLYVMLFYSDDLLHRGLVMREIVDRFFKDNWVVPIFLHFSVDLLVSWDAYKEAKLSLVSCLSPTSIRDISLHHYTKVTHFLADLDIHIHAINKEYVLDNSLSLLSVIRECNFALRWLLLHRMTSDKKARDLVISVGSSQQVDEGNLLQLLLKTARLEYEVKQLNVELLKTRESMWYAKRHDALECIKDLSQNYLGTWAASCKFKNKTLKDWLEHLSSELISLNYTSIGSCGRTIHRVLSTLKDIEMLHQIKESVQIKQGFSKIQKNLHDMIKILNLNQEAINILSVITDAKYAWVYLTLFETLLKKNISQDPSETIFLHTVFLKFQSWLSAPLQRTKQCESPDLQCISTYYSSIYAAKIFAVLDIVPEILLKISTAVDYVNAEQPTHPVNRINQEALQELMQMDQQLCQARQAAKLCIISE >KQK99576 pep chromosome:Setaria_italica_v2.0:VII:33602763:33606049:-1 gene:SETIT_011890mg transcript:KQK99576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVYWIAQNPPPVHFFLISGDRDFANLLHRLRMSNYNVLLACPSCATSSVLCSAATVMWPWDALVKGEDFLPKRFNHPPDGLHGSWYGHYKGALDDPFLEKESKEPIKVPSDSEHCSVRSDTKHGSIPKYVTNAILEALHPYPQGTSLSHLRDLLLRNSILTGTDFFGHKKFSYLLQSMPDIVELVDPPPGENQPRVILVNKRVLKPGDGSCKTLSSAQCNVRDNNLTRTPQNDKKHPSLMSTPEVNSKPLSSSQSIDRTRSFTENVNENPPTFSVSSSPLVVLSEDKKKYQTADASAQTESPTKHTEVDERVTPGTPSSSEAANAVNKDGLLKRIWILWNGPENAKSEVSQNCESASAEVVDDLRMPLQEHNADNCIETSRRIHKTSSKDDLSNGTNSTAAVSANLSISSGDDNSEKIKRDPSILENPEPCSRPASVSTGKAGEKDSSEKNKGIFSWASRWWTFGKSGADNSRTDRNVADEPTDSIEEFESSNASTCGREQQVVNEVFAKAHLWDVLEQQLSKPLGSEVVLKAKTREELAQGLQKLGCWPLKGLFEKDLHHLVHLLISEKKWIEETTSRIFPFRLTLPHRRTCVPSNSSKSAALSSIFIKGRPQKGKYVDDNSKKSKPLTREEILSDCHKVLKELVSQHKYGFNISIFKLRFAQKHGYELDHHKLGYPDLASLLQIMPGARIKFPRVLPAENGNGLPGSKGSGNKNNGDDLTWEELGPVSATTETAAAEVDKEMCYRPPTPSDDEFSDSDNQTDQHPRTNAEQSSLLQIIDSWNSSKDDVCCKRPQDIDGLVDCSKSNPDHLDNLAAGNEQRSTRLSRKQYSFVSDSEEDKEKDKLVESVLGSLQKAQGSKLRN >KQK99520 pep chromosome:Setaria_italica_v2.0:VII:33280039:33283641:1 gene:SETIT_010181mg transcript:KQK99520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFRKRINYVAPMLASAAILLLLLSGYFELPSISSLSTPAPVLPGAGATRFPTALDSVGSRDRNPFTSLLEAFNAWDAAVGCPRIRAKLAAGELPGANATAEASASAAITGGAAWGGAKCEEMATRHVGVLVKGWTWIPDALDGVYTCRCGVSCVWSKSAAAVDRPDALLFEGATPPPQRMKGLPLRVYLDLEASRKPTGFEDIFIGYHANDDVQITYAGKSFHTSRSYHISTEKKNDALIYWSSSRCLPHRDKLAKDFLTLVPHHSFGRCLNNVGGPDMALSMYPVCSTNDNGSPHWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALEAGSVPIYFGAANVWDFVPPNSIIDASKFSSLKELASYVKALANDPVAYAEYHAWRRCGVLGNFGRAREMSLDTLPCRLCELVSKRGGKSADAL >KQK98799 pep chromosome:Setaria_italica_v2.0:VII:29237647:29241023:1 gene:SETIT_011167mg transcript:KQK98799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFVRRLGAIPWRNIAGEAFSRALLVAQAFCAVHVVDHHLCSLAIVRGPSMLPAMNLAGDVVAVDKVSVRRGRVGPGDVVLMISPEDPRKAVAKRVVGMGGDSVTYLVDPGNSDASKTVVVPQGHVWVQGDNVYASRDSRHFGAVPYGLITGKIFCRVWPLEGFGSIDSNQSP >KQK97404 pep chromosome:Setaria_italica_v2.0:VII:21055250:21058361:-1 gene:SETIT_010393mg transcript:KQK97404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIPLLSPCETGRFRFSHRIVLAPLTRNRSYGNLPQSHAMLYYSQRATEGGLLIAEATGVSSDAQGMSLILHTPGIWTKEQVEAWKPVVDAVHAKGGIFFCQIWHVGRASDMEKEPISSTGKPVEMNEDDHMDFPIPRCLAVEEIPNVVNRFRVAARNAMDAGFDGVEIHGSHGFLLEQFMKDSVNDRTDKYGGSLQNRCRFALEVVEAVVAEVGSDRVGIRLSPYANYLGCHDSDPDALGVYMAQELNKRSILYCSAVEPEMVTVDGKMQIPHRLHEMRKAFNGMFMVGGGYDREEGNRVVADGYADMVVYGRLFLANPDLPRRFHLNAPLNRYDRSTFYTNDPVVGYTDYPFLEDCELMINEEKLQV >KQK97512 pep chromosome:Setaria_italica_v2.0:VII:21600626:21600865:1 gene:SETIT_012761mg transcript:KQK97512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDAEALRAAEAAVPGLDGAGTAVQWSSGEGGGASAAMMVPAGVPVVLWSDDDRKMKRELVAWAKAVASMVVRDSMHC >KQK97546 pep chromosome:Setaria_italica_v2.0:VII:21807429:21810930:-1 gene:SETIT_009804mg transcript:KQK97546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYLELYSNNISGPIPLELGNLTNLVSLDLYLNNFTGDIPDTLGQLLKLRFLRLNNNSLTGQIPKTLTSITTLQVLDLSNNNLSGEVPSTGSFSLFTPISFANNPNLCGPGTTKPCPGAPPFSPPPPFNPPTPTSPKGASSTGAIAGGAAAGAALLFAIPAIAFALWRRRKPEEHFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNVLGRGGFGKVYKGRLTDGSLVAVKRLKEERTPGGELQFQTEVELISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASRLRERGPNDPPLEWETRARIALGSARGLSYLHDHCDPKIIHRDVKAANILLDESFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKERKLEQLVDPDLQGGYEEHEVEALIQVALLCTQGSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVIRQEAERAPRQHNDWIVDSTYNLNAVELSGPR >KQK98696 pep chromosome:Setaria_italica_v2.0:VII:28683273:28683635:-1 gene:SETIT_012364mg transcript:KQK98696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSFCIQMPTAAPEEMADAAHDGKKLVRILVGGGAGKAAAALVLALHRSPGGVFLRGRALVYAYYGVLVAVALLGAVEVAVGFWVAGDPDRRRRWGRLAVWVSVIPLVVVAGLGGFAVLK >KQK97563 pep chromosome:Setaria_italica_v2.0:VII:21906672:21910737:-1 gene:SETIT_009479mg transcript:KQK97563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAIAAALLRRSTSSPNHRLILPLLSHLHRPSPQPLSPWLPPQHRFFSSADTAGDPNPKPPPLDPKQLWSELSAWDPAAGSTHLPKATWDAVVALVRGFAKSPAMCDQALALYVPSSAFPTYVRRFRTFLLPRLSRESAAHLLSLPAEEAHALLLPAFAEFCIANFADELKQHRSVMTAADLTAPDTWYPFARAMRRKIVYHCGPTNSGKTHNALTRFAAAKSGVYCSPLRLLAMEVFDKVNALGVYCTLRTGQEVKEVPFANHVACTIEMVSTEELYEVAVVDEIQMMADPVRGFAWTRAVLGLKADEIHLCGDPSVLKIVRKICADTGDDLEVHQYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVRLAIEKFTKHKCCVIYGALPPETRRQQAKLFNEQDNEYDVLVASDAVGMGLNLNIRRVVFYNLAKYNGERMVPVPASQVKQIAGRAGRRGSVYPDGLTTTFLKDDLDYLIQCLQQPFEEAQKVGLFPCFEQVEMFASQFPDLSFNDLLDKFRDNCRIDKTYFMCQQDSIKKVANMLERVQGLSLKDRYNFIFAPVNIRDPKAMYHLLRFATHYSQSRRVSIAMGVPRASAKNDTELLDLETKHQVLSMYLWLSHHFEEDNFPQVQQAEEMAISIADLLGKSLAKACWKPESRKQPRQQREDNNECNEEQASNDSAKDVSKDGYERPRALAKTIVRKWQDKVNQNSPPPLNFAA >KQK99475 pep chromosome:Setaria_italica_v2.0:VII:33050526:33053901:1 gene:SETIT_012100mg transcript:KQK99475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLFERDRYQRLDGGGAGPGRRPPSSFCSSATIVVFVALCLVAAWMMASSNNIAVTVSPENKSEAKESVDFAQSDRGADADAVSDTPQTRDEAGDAGKKDDVAGGEGGGTTQTRDEAGSGDTSSKDDAGDTGKKDDGAGGAAETTDPGSNDVSRSDVAGTTDATGNSTAGSTDMEESAKQPAGGTVAEGETQSKNQTFSDENGKTEGGEVAKPEDPDKKVEQSAEQATIDAKNTTSSQAEKNTDQNTEETGGQADKNNAEDASTDSKDTAGQADKNAEEASTDDKNTGGQADKNAEEAATTDADNTGGQSRNSTKETPTESEETGGGDGGTAKNQTTFDDVNGKMDGVQPVKEDGKVVEKNPDEAASSDKVESTDDDTSTDAASKNATSGEGLNVAAETMAVTATDGTNGTITPDTQNFAMNSSATTGDIDAAEKAELLPSGQADLLNETASAVVENGAFPTQAAESSEEKKARSGEDKKKKKKKGKDKGASGETTAAAEASYTWKLCNATTGADYIPCLDNEAAIRKLKTNKHYEHRERHCPADAPACLVPLPEGYRQPIPWPYSRDKIWYHNVPHTGLASYKGHQNWVKVSGEHLTFPGGGTQFKHGALHYIELIEEALPEVAWGRRSRVVLDVGCGVASFGGFLFDKDALTMSFAPKDEHEAQVQFALERGIPAVSAVMGTKRLPFPGNAFDLIHCARCRVPWHIDGGTLLLEVNRLLRPGGLFVWSATPVYRKVPEDVEIWHAMAALTKSMCWEMIKRTSDTVDQTAMVVFRKPESNECYDARARAEPPLCEASDDQDAAWNITLQPCMHRVPTDPSARGARWPAQWPDRLAAAPYWLGADQVGVYGKPAPADFSADQEHWRKVVQGSYLDGMGIDWKNVRNVMDMRAVYGGFAAALRDMKVWVMNVVTIDSPDTLPIIYERGLFGMYHDWCESFSTYPRSYDLVHADHLFSKLKSRCELLPVIVEVDRILRPEGKLIVRDDRATVEEVESIARSLHWEVRMTVSEQGEGLLCVGKTMWRPTEVEALS >KQK98614 pep chromosome:Setaria_italica_v2.0:VII:28262843:28264119:-1 gene:SETIT_011201mg transcript:KQK98614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAYVLFARGKQAIRFEEQEEDIGCDSSAAGSSTSSSDEVELADDASSSGSTGDHFEMASLMTQLPIKRGLSKFFDGKSQSFASLAAVAGLEDLPKPPAKRLKASRSCGVGLKDAHRGSLAAPAAGKKQAPRARLSAPRRLMRMRPLVTARPAAAAGQPLLFA >KQK98949 pep chromosome:Setaria_italica_v2.0:VII:30116109:30116519:-1 gene:SETIT_012664mg transcript:KQK98949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPGRNGHGRRRGRGAADAAGFIVTGFAAVFTAVSYLLTVIVGTGMGDNDAGGSGAVVVFTPGTQCQLILAFAVMSAGLLLIMNGMRFRDARPPIFVRRVVDAAAAVLWNGGGPERLLPVLIILVCSALEAWFDFF >KQK99189 pep chromosome:Setaria_italica_v2.0:VII:31404419:31409811:1 gene:SETIT_009366mg transcript:KQK99189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRDPKDGGAGDGGGAGAGAADPEADIETPLLASSSSSFFLDPAGEDGDDGADERRRRRRRLLLGSHTQSNTTSQVALVGADVCPIQSLDYELIENDVFKQDWRARGRGHILRYVALKWALCFLVGALAAAAGFVANIGVENVAGAKFVVTSNLMLEGEHGSAFAVFLASNFALTMLAAVLTVYVAPAAAGSGIPEVKAYLNGVDAPNIFSLKTLIVKVVGCIAAVSSSLNVGKAGPMVHTGACIASILGQGGSQKYHMTCKWLKYFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALETVSSWWRSALLWRAFFTTAMVAVVLRALIDFCKSGRCGLFGKGGLIMFDVAADYVTYQLVDLPPVITLGVFGGILGSLYNFFLDKVLRLYNLINEKGKTYKLLLAATVTVCTSCCLFGLPWVASCKPCPTNTEEACPSIGRSGNFKKFQCGMNEYNDLASLFFNTNDDTIRNLYSAGTDDEFHISSILVFFAASYFLGIFSYGLALPSGLFVPVILTGAAYGRLVGMLIGSQSTLDHGLFAVLGSAALLGGSMRMTVSVCVIILELTNNLRMLPLVMLVLLISKVVADAFNENVYDLLVRLKGFPHLEGYAEPYMRQLSVSDVVTGPLQTFSGIEKVGHIVHVLKTTGHNGFPVVDEPPFSDSPTLYGLILRDHLLVLLRRKDFVSSCTASALNASKHFAHDEFAKRGSGKQDRIEDIELSAEELEMFVDLHPFTNTSPYTVLETMSLAKALILFREVGLRHLLVLPKSSTRAPVVGILTRHDFMPEHVLGLHPFLFKSRWKKVRLGGSHVGAGNDML >KQK99216 pep chromosome:Setaria_italica_v2.0:VII:31598383:31598556:-1 gene:SETIT_011686mg transcript:KQK99216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDSGESSPKPSPPADQDSPGAASRHRSRLRRCRASASKGSINSRARAAPRAEQRQP >KQK97131 pep chromosome:Setaria_italica_v2.0:VII:19213546:19214010:1 gene:SETIT_013005mg transcript:KQK97131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQSVSLGCILTGQYVLAYVSIIHLFILKSDTWWIRICHISIYDMYLILDTLPDPLIRAT >KQK98878 pep chromosome:Setaria_italica_v2.0:VII:29729540:29732495:1 gene:SETIT_009969mg transcript:KQK98878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPARRGGRGAMLPLLGALLLALAAGVSAWPHDGAVGHGAVGFASGAGGERRYRDLAQRRMESVRSSFGATRRDLATASAGARVYHVTDYGADPTGAADATDAINKAIADAFRAPSNATMTGGIPDLGGAEVHLDGGTYLVKAPLTLPASGGGNFRIHGGSLRASDDFPTDRYLIELSAKGSSRSYDYEYATLRDLMLDCNYRGGGVSLVNSLRVGIDNLYVVHFNSDGVAVSGGHETMIRNSFLGQHMTAGSDPGERRFTGTGIRLDGNDNSVTDVVIFSAATGIMVTRPANSISGVHCYNKATGFGGMGIYFKSPGLTQAWISNCYMDYTSIVAEDPVLLHVSGSFFLGDANVVLKAVNGVARGVQVVGNIFSGRDKGVDIVQLDGKFATVDQVYVQQNSATGMAVKSTAARGSAEGNGSSWTVDFADVLLFPDRIGHVQYSLVAGNEFPGHTLRNVSGNQVVVATDKAVSATVHVLVDQNTN >KQK99554 pep chromosome:Setaria_italica_v2.0:VII:33484583:33491600:1 gene:SETIT_012760mg transcript:KQK99554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAGMKRRALQALCKRHGLPAGGTNAALVARLDAALSGAAGAEEEEDVVGVAAKKGCLKRSVGDAGEAKKVTFAVEESRGRGKCHDAGSTDSAADDGFSAEAGANVTVRRSRRNSLTAAEAEEVEAAVTVGRKRKLRSQEIAEDVAVCAQVVVSSRVTRRSSLSGTTVLLPPAVEKKRGRGKAADTMLDNEKDLFEEKNPEAHVRRSMRKSIVPVKDIKGAGEESQNAKGEDAAKQPAVKEPVRRSTRKSVVSVMHEKEEKDLIAEKNPEAHVRRSKRKSVVPAKDIEGVGEDIQNSKGADVQKQLVVKQPVRRSSRKSALPDMLENESGFLVAETNAEAHVRGSTRKSVLPNMLNKENPDHSKMARNENFEIGKCEDEKQQKVKEPVRRSRRSVATVMLEEQNKGLHEGKMTTIPMRRSTRKSVALNVVEKERTDHTEEVGSEQLGVGATKLKVTDQLTDGAVAVVASGNELQDKPLMGEEVCKESCSRNVENTAVEVDGGNKSSTSVSPGFCVGSDCGLEDEDVQPTGFDADKKLDVDQDVAEEEVVAEEKSYDEHVASKTDLNTKLNGELTGLDMESDCGIAEKNVRLVADNPDEEEATIQVLQGYVQEATPECKHECGLPEETVLHSKENKGRVTNSEEAACKGEGSKKPAHSDDLKASSGKTDVKGPATPGYKHEGALSEEAVRTMKKYAGTCSSNPRELLMDLQALFSKENIEESDSQDGLAFAESPGDESIDVKQQVEVHLGSNLSQFESTHLLDGLIGCSKTEVLHQGHKDGCSEDREEQVASGPCANDIVEAAAARYIESGVVLLPSEETSNLKDGQLNPKKESAIVMESGLNCSKDVTNTSDNGSVVDIVGQRTPSGSGLPEDYRTDHNLQQEFLDGCSVESSLQGSTISSKKIVSGVAGNLV >KQK96821 pep chromosome:Setaria_italica_v2.0:VII:15979894:15981189:-1 gene:SETIT_011715mg transcript:KQK96821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPAEILCLILPLLLGVAFLVLAERKVMAFVQRPKGPDVVGSFGLLQPLAYGFKLILKEPISPSSANFSLFRMAPVATFMLSLVAWAVVPFDYGMVLSDPNIGLLYLFAISSLGVYEIIIAGWSSRCLPAGGRIESEFP >KQK97517 pep chromosome:Setaria_italica_v2.0:VII:21616739:21620109:1 gene:SETIT_012154mg transcript:KQK97517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVSSSPMCGGGDTGMRIVVWFRRDLRVEDNPALAAAARAGGEVVPAYVWSPEEDGPYYPGRVSRWWISQSLQHLDASLRRLGAGKLVTRRSDDAVVALLQLVRDTGATHVYFNHLYDPISLVRDHRLKEMLTAEGIVVQSFNADLLYEPWEVVDDEGQPFTMFAPFWNRCLSMPYDPPAPLLPPKKINSGDLTMCPSDDLIFEDESERGSNALLARAWTPGWQNADKALTAFLNGPLADYSVNRKKADSASTSLLSPHLHFGELSVRKVFHLVRMKQLVWSNDGNHAAEESCTLFLGSIGLREYSRYLSFNHPSSHERPLLAHLRFFPWVVNECYFKIWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGSLPDGRELDRIDNPQFEGYKFDPHGEYVRRWIPELARLPTEWIHHPWDAPVSVLQAAGIELGSNYPLPIIELDAAKARLQEALSEMWQLEAASRATMNNGMEEGLGDSSEVPPIEFPRELHMEIDRQPAQATANVPTTARRRQDQMVPTMTSSLNRAETAVSADLGNSEDTRAQVPFHAHIEPRVQREDANAIQNAEGPALRIHGVHQPNFFQQPQHRRREAVAPSVSEASSSWTGREGAVVPVWSPPAASGHSETFAADEADVS >KQK98443 pep chromosome:Setaria_italica_v2.0:VII:27052478:27058419:1 gene:SETIT_009820mg transcript:KQK98443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGCSALVAGGRLPLLAIPRRLRRRRGSSVRAEASPGGESQRKKVAVAGAGWAGLAAAHHLVKQGYDVTLLAAESGPTEEVGLRGFWYPYRNIFALVDELGISPFTGWNRAAYYSPEGLSVEFPIFHNQPRLPAPFGVFAYPEFPNLPLIDRLTSIPVIAAVIDFDNTDTAWRKYDAMTARELFKMYGCSQRLYKEVFEPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEEKILSPWLQLLEMEGLKFVANKVPSSMTIDTDSGCISAIVCGDDVYKADAFVSATGLSSLQSIVKNSPSLWSHREFANLLHLSAVDVISVKLWFDKKITIPKVANVCSGFDDSSGWTFFDLTSIYDDYYEESITVVEAEFYNASQLIPLDDDDIVSEASLHLIKCIQDFEGASVIQKSVRRSPKSVINFLPGSYKYTPRGSTSFPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGTGDFAKIIAVEGDEPYIETLRNLSRRANELKSQIPFSEFFLQ >KQK98444 pep chromosome:Setaria_italica_v2.0:VII:27052478:27058014:1 gene:SETIT_009820mg transcript:KQK98444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGCSALVAGGRLPLLAIPRRLRRRRGSSVRAEASPGGESQRKKVAVAGAGWAGLAAAHHLVKQGYDVTLLAAESGPTEEVGLRGFWYPYRNIFALVDELGISPFTGWNRAAYYSPEGLSVEFPIFHNQPRLPAPFGVFAYPEFPNLPLIDRLTSIPVIAAVIDFDNTDTAWRKYDAMTARELFKMYGCSQRLYKEVFEPAIQAALFAPGEQCSAAATLGMLYYYMLSHQENSDFLLCRGEVEEKILSPWLQLLEMEGLKFVANKVPSSMTIDTDSGCISAIVCGDDVYKADAFVSATGLSSLQSIVKNSPSLWSHREFANLLHLSAVDVISVKLWFDKKITIPKVANVCSGFDDSSGWTFFDLTSIYDDYYEESITVVEAEFYNASQLIPLDDDDIVSEASLHLIKCIQDFEGASVIQKSVRRSPKSVINFLPGSYKYTPRGSTSFPNLFIAGDWIVNRHGSFSKEKAYVTGLEAANRVVDYFGTGDFAKIIAVEGDEPYIETLRNLSRRANELKSQIPFSEFFLQ >KQK99002 pep chromosome:Setaria_italica_v2.0:VII:30423416:30430872:-1 gene:SETIT_009214mg transcript:KQK99002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMALRAQSPLCSRGRPLLVVRPAAVLAQSTVGRSRFTGGRLVRCMVSSSDYSKRNPRRTSTPKPKGTASRGGYASRPTAESSTKKIEESSTDEGDLGKSNGTLHIEATEQAGTAAKDEVQATEEADQNQSSASSSTTLDDESIDRKLDEYRGKISALVSSKSEPSSLASVRGQEKPVVGVHEQEGSTTEEHDSSIVDEPPKGRPFAEAVVGYPVKDAEEDQEQYEPEMQAPVEDDVDPEVLKRRLQELADENYSVGNKCFVFPEVVKADSMIYLYLNRSMSTLASEPDVLIKGAFNGWRWNSFTEKLHKSELRGDWWCCELYIPKQAYRLDFVFFNGDTVYENNNHNDFFLEIESDIDEHSFEDFLVEEKRKELERLAAEEAERKRQAEEERRREEERAAMEADRAQAKSEVEMKKEKLHQMLSLASRYSDNLWYIEPNTYRGGDRVRLYYNRSSRPLMHNNEIWMHGGYNNWIDGLSIAERLVKSHEKDGDWWYAEVTLPEKALVLDWVFADGPPGNARNYDNNERQDFHAIVPNNIPEDMFWVEEEHRIFRRLQQERREKEDADRLKAEISAKMKAEMKEKTMRAFLLSQKHIVYTEPLEVRAGTTVDVLYNPSNTVLNGKPEVWFRGSFNRWTHPSGPLPPQKMVKAENGSHLQATVRVPLDAYMLDFVFSESEDGGIYDNRNGMDYHIPVLDSVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLGHKVEVILPKYDCLNLSNVKDLHYQQSFAWGGTEIKVWFGKVEDLPVYFLEPQNGMFWVGCVYGKNDESRFGFFCHSALEFLLERGSSPDIIHCHDWSSAPVAWLYKEQYALNGLGNGRVVFTIHNLEFGAHHIGKAMAHCDKATTVSDTYSKEVAGHGAIAPHYFKFHGIRNGIDPDIWDPYTDSFIPVHYTSENVAEGKSAAKKALQQKLGLQQTDTPIVGIISRLTVQKGIHLIKHAIYRTLERNGQVVLLGSAPDPRIQGDFTNLASQLHGEYHGRVKLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLIAMRYGSIPIVRKTGGLYDTVFDVDNDKDRAQAQGLEPNGFSFEGADSSGVDYALDRAITTFYDARDWFNSLCKRVMEQDWSWNRPALDYMELYHSARKN >KQK99179 pep chromosome:Setaria_italica_v2.0:VII:31345407:31345915:-1 gene:SETIT_011634mg transcript:KQK99179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSITYTSHIGQILVRKPNYTNSSIQMFKRISTDVVSNLAPTHAVPNIF >KQK96547 pep chromosome:Setaria_italica_v2.0:VII:11809700:11809972:-1 gene:SETIT_011683mg transcript:KQK96547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNKKNMKTTIIVLAVIGLALFHSCPCIPNKVHGDEMYKKIHGQEMRKLTNIDGRAAQAGEDIHHVCPLGSYPCRAMIQSSQGSTQGFGGH >KQK98612 pep chromosome:Setaria_italica_v2.0:VII:28250514:28253115:1 gene:SETIT_009504mg transcript:KQK98612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFSPISPANVSDLFAQPCFVGVTSLLVALLRARATWTRRLQNANEAGDFSPHFAQKPVLLFTCRVATPRNNTLWIRCLRRKQSGFFHSQPQVLPSTVMAKCYSDWPPIPPLHPSRRTPPQSHASPCAIRRQVASFVLHCSRSCASPLLEPTKNLPDEFPAVPAAAPVSPPLPDAALKLGISNKFIRGFCSDPQTEQLAFECYRRALLQPGFLPEKKTANALTVQLLRAKQWGSLELLVEDFRAYGVLPEKRTCARLVACCIRARQFGLADAVLGVLKAKKGAPAVMAFAAAMQAYNKLHMYRSTVLLYGQARVARLPLSTDGYRAAMAACAALGEPDMVASLFKLYRSQKWHPSEDSGEAYAIVCDAMGKAGRALDALRCLREMEADGLSPDAAIYSSVIGALADAREKAAAEDLYHEAWDSKMLGDPDMFLKLTVMHVEAGVVEETIEVAKDMRQIGLRVTDCILSTIINGFVKRRGLKPAIRAYDKLVFIGCEPGQVTYASVINVYCRLGRSDRAEAVFSEMIGRGFEKCVVAYGNMISMYGKIRRASEAMKLLAMMKQKGCEPNVLVYNSLLDMHGRLGNAKQAEKIWKEMARRKVRPDQISYTGIILANNRAGELHRCVELYQEFREAGGKVDKSMAGLMAGVFSKCSRFNELIELLKDMNATKLDRRLYMSVLRSLRDAGLEVHVKWLKSNFTFVEEKT >KQK97446 pep chromosome:Setaria_italica_v2.0:VII:21237261:21238406:1 gene:SETIT_012001mg transcript:KQK97446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELVDLMRAEGCEPNNVTYNVIIDAMCRERDVDQAREFLDSLPSRGCKPNTVNYNTVLKGFCSAERWEDADELLDEMVRENCPPSEATLNVIVNALCRKGLLQKVTRYLEKMSKHGCAANVVTYNAVINGICEQGHVDSALELLNNMQSYGCKPDIVTYNTLLKGLCSAERWEDAEELMAKMTQNDCIPDNGTFNTVINFLCQKGLIVQAFEVFKQMPEKGCNPNSITYSTMVGGLAKAGKLEQALELLNEMASKGFNSDKMYQLLTEYLNKEDKIEEVVQAVHKLQGAGAPPHAALYNTVLLGLCRNGKTDYAIDVFADMVSCGCMPDELSYIILIEGLAYEGYLKEARELLSKLCSRNVLSNSLIKNEALLLDQNIHSS >KQK98135 pep chromosome:Setaria_italica_v2.0:VII:25230773:25231281:1 gene:SETIT_011490mg transcript:KQK98135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTSSRRMVASALFVVLLLLVASEIGPTRVAEARHCVSQSHKFEGTCTRKSNCENVCKTEGFPWGECKWHGIVRKCYCKRLC >KQK98503 pep chromosome:Setaria_italica_v2.0:VII:27527659:27528006:1 gene:SETIT_012851mg transcript:KQK98503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSVALLESWVQLPVGANFRLGLKNQVKA >KQK99656 pep chromosome:Setaria_italica_v2.0:VII:34092268:34095122:-1 gene:SETIT_010116mg transcript:KQK99656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGRGKILMERYELGRMLGKGTFGKVHYAKNLESNQSVAIKMMDKDKVLKVGLSEQIRREITTMRLVAHKNIVELHEVMATRNKIYFVMEYVKGGELFEKIEKSGKLTEPAAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDEDENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVVLFVLVAGYLPFQGPNLMEMYRKVQHGDFRCPSWFSHKLKKLLYKILDPNPATRISIQKIKESTWFRKGPEGTRTVKEKIPCENATTNAAPTLSVRRKKNPYEDAKPLPVTNLNAFEIISFSSGFDLSGLFLEKECRKEARFTSDKSASAIILKIEDVAKMLNLRVRKKDNGVVKIQGRKEGRNGVLQFDTEIFEITPFHHLVEMKQTSGDFLEYQKLFEEDIRPALKDIVWAWHGDDQQQKQ >KQK99450 pep chromosome:Setaria_italica_v2.0:VII:32941458:32942485:1 gene:SETIT_010631mg transcript:KQK99450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDRGEVDTSRAFRSVKEAVAVFGERILVREAQLRPNGITHGNHRAGREVNLKANVVAVAASDAKLERTDGVRDSPRESYSKPSVSFNAKQEGSSSNTKTASNELPVPVPRPVSEDVPMYLVPSSPPFFASSPSLANDDDDEQAERKETDLMVMSTIKKLEEEAARTRQEVSQLKRRLAEMELSMATLNAKLHRALSKVAHMEADNAAAARASIERGRSGDVALAVWAERRAPSRPQLGHLLRLGGADREAAVMSGGGGGGRTVAVPSRRKVQKQKPIVPLVVPLINGVLFSKKRRMKDKESVYMKELYSLLRLS >KQK97262 pep chromosome:Setaria_italica_v2.0:VII:20049360:20049685:1 gene:SETIT_011631mg transcript:KQK97262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHVLVSFSCCCSLLLVPSAWRVRKKMVFLLSLFGLEFYMSSNPHVTPKP >KQK96607 pep chromosome:Setaria_italica_v2.0:VII:12871661:12876697:1 gene:SETIT_012722mg transcript:KQK96607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein INWEDHVRKNSVDWDWQVAVCKLFDERPVWPRQSLYERLQDDGVHVSQNQFKRLLFRAGYYFSTGPFGKFWIRRGYDPRKDPDSRIYQRIDFRVPPELRNLLRTKDSGSQKWAEMCKLEVMPSQSFIFLQLFELKDDFIQEEIRKPSYQSICSRFTGWFSKPMMKTLRLQVSIRFLSLLPSEEAKSLLRNAHELIERSKKQEALWRSERSKEEHVDEEAPATHTGTEDQVGLNNSDSEDMDDEEEEEESDGYDSPPMAEGFRDFTLDDSYALGEGFSNGYLEEMLRSFPLQEDGQNKSGDAPNGADGSDGEFEIFEQPSDNDESSDG >KQK98741 pep chromosome:Setaria_italica_v2.0:VII:28940809:28945827:1 gene:SETIT_009668mg transcript:KQK98741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFMKVFDQTVREIKREVNLKVLKVPEIEQKALDATSDEPWGPHGSALSELAQATKKFAECQMVMNVLWSRLAERGANWRHVYKALTIIEYLIANGSERAVDDILDHYSKISVLSSFEYVEPNGKDAGINVRKKVETIVGILNDKERIKAVRDKAASNRDKYVGLSSTGITYKSSSTAFGSNYSSGERYGSFSGTREGDSFGDSYRDKEPVKTSTSNTGSQKSGSKLRKDTKPDRRNEDYSSPSSLKPPSNTNNSEDDFDDFDPRGSNGKATAKSSEVDLFGPNLMDDFIDASPATDSAVEPQADLFADADFQTATASAETAANTDVQDNVDLFAEKTSFAAAFLPQTGFIPPPSSGISSEVNTSVSKKAAPEPFDPFGAIPLNSFDGSDPFGGFSSNAGSSTVPPPTHSSTGNVSTSSQNLQAASDFGTFVSNNEEAAKDPFDLSSTVNVGKTPLAAPKTDASDFGAFVSSTEESAKDPFDLSSSSNIGKTDQTPLAAPKPSAKKENFQVKSGIWADSLSRGLIDLNISAPKKVNLADIGIVGGLGDGSDEKAMPSWTMGTASGLGMSGIPSTQGGGIESLANYNKHQFGFK >KQK96819 pep chromosome:Setaria_italica_v2.0:VII:15932484:15933952:1 gene:SETIT_010940mg transcript:KQK96819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASASLAIALLFASLLAGTVFGDDAIPLPISLLERFHAWQAEYNRTYATPEEFQHRFKCFIKKYGSCWTFAAVASIEGVHKIKTGRLVLLSEQEIVDCDRGGNDHGCHGGYPSSAMAWITRNGGLTTESDYPYVGRQGQCRRDKLGHHAATIRGVWAVQRNNEAMLERAVAGQPVAVSINAIRAFQFYKRGVFSGPCNTSLNHAVTVVGYGADTRGRKYWIVKNSEGMCGIAMEPYYPVM >KQK98858 pep chromosome:Setaria_italica_v2.0:VII:29619716:29620276:1 gene:SETIT_013017mg transcript:KQK98858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLQGFMVVFLTRKVLVLIFKGNRTKTRVKKLVNSYC >KQK96519 pep chromosome:Setaria_italica_v2.0:VII:10804275:10808772:1 gene:SETIT_009501mg transcript:KQK96519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESYMAVIAEKQVPPFLLNGAGPGMLSEIQTADADEAFIQELEQENGNPILNDEPDDDLNECQEDTAEVEVDCDEDYFFPSPDEVEQARPPEVGMVFATLDDAQRFVNVYGQVTGFVVYKGRNYKHRKITLQCNKSKKAKENEMRQRKRKRNTIERTGCPMRVTVKLVEGRWEIMAVQNEHNHPLSSSPSLTRFFLSHKYMSEEEKNFSRILQESKIKPAKIMEVFWRLRRKFKNIPVQKMAVNNLEQSDRLMKIENTDIESTLEHVRRLQKEQPGFYYAIKTDGDNTVRSIFWTDAQARLDYALYGDFISFDTSYSTIEYNMLFALLIGMNGHGKATVFGWALLEDERAETFSWLFRTFLDVMDGKKPSTILTHQDSAITKSIAEVFHTAFHRFDMWHVLRKARDELGSFMAHRGGMETELTHLVSNSVATEEFENGWQAMLEKYDAASNAHLDLMYQTRLMWVPVYFKHVFSPFTQSTGCSKSKHSIFKDYVQQNDTIETFISQYDIFQEEAVSIEDGDRSQSTLKKPVYSTRHPIERHAAEIYTTGMFLRFQKELLDASAFNAFEKERDVIYTVKKALDYEDAEFLRDSFSVDVDLKTNTFNCICSKFERDGIVCCHVLRLFTQFSINMIPEHYIKPRWTKKFREQELQKYCSEKIGSDISQSSLRYAMIMNRMAESCAAVSKDPNQSKIFLEEHERILWKLTDRE >KQK97463 pep chromosome:Setaria_italica_v2.0:VII:21351638:21352074:-1 gene:SETIT_012909mg transcript:KQK97463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLCDIWFARPQGHLRHRRRRHRRGCHASRCA >KQK99833 pep chromosome:Setaria_italica_v2.0:VII:34974543:34977130:1 gene:SETIT_010738mg transcript:KQK99833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWNRSRYVRRQPDRSRRPPPLPPPDYGHEHCPVPLWEREFCSYVGNISWQRFCENKQYVSVYNNLEQWDDSGAFENFQNAKARFWSNYHGQPSDIPLPGPDMYIDKVDHRCKVDPELVADLDKVRLPFDSDYSAPATGSGNAGAVNKCTQNESGNWDIYIEKPAEVNKWDWEASLGSKAIWGGNNESSSKWGNGNSGWGAALEKPSWRGWSNEQHASNNRNDSFYGGSNNNRHWNEDPSHTSGRKRNSSGYFQQRNNKQRNQDDGYHQRSGWQDHRGRNKDWRPLHNRAWE >KQK96314 pep chromosome:Setaria_italica_v2.0:VII:3452510:3454519:-1 gene:SETIT_009594mg transcript:KQK96314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERENHVAERGLVPIVNGVDVQVQHEHGGAKMDMEGFVRRGQSCRYASSDIPSDLLVKVGGVNFHLHKHPMISRSARLARLVDEASALHGPIAATVVELPDLPGGHGAFELAAKFCYGVVVDITAANVAVLRCAAEYLEMTEELEEGNLAFRAEAFLSYVVASSWQDSVAVLRSCEGLSPWAEDLQLVRRCSESVAAKACTNPRAMRWAYAAGRMSPAKTTARAGASSGDTGQQPAPPPDWWVDDVCVLRIDHFVRVITAVQAKGMRGDLVGAAITRYSFKWLNIKESPASHGHGHCALEIVIAGEGDTQTTPETDSEQRMVVESLISIIPAQKDSVSCSFLLRLLRLAVTLKAAPALVTEVEKRVGMQLDQAALSDILIPSSSYPYGRLRSDAAYDVDLVQRLVEQFVVQEQSSSSTPSRGKEENTRSQRVASLIDSYLSEVSRDRHLPLGKFQALAESVPEPARGCHDGLYRAVDSYLKAHPAATEHERKRLCRAVDCGKLSREVRAHAAENERLPLRVAVRVLLAEQAKMAASIGRAGRKEDDVAALRMEVEIVNARYMELQREVELLQRQVERMLPPPSAAGKQQSVSGWASGWKKLGRLGRIQVEQPVVTVAPGETGSREPRRRRNSAS >KQK97316 pep chromosome:Setaria_italica_v2.0:VII:20528475:20528964:-1 gene:SETIT_013007mg transcript:KQK97316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTINYKQSEDQTNICKSIYNTNTNFDLPKWFETNELFLPSSCMLSPDEMTVDDFNSIDAST >KQK99141 pep chromosome:Setaria_italica_v2.0:VII:31137749:31139103:1 gene:SETIT_012416mg transcript:KQK99141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVRIVDLSYVVVPAEAELPPEAIKLNAMEAQWVVLPLLQYLMLFEGSQLPPFDMVLRSLRSSLAATLATYAPLAGKLVHLAGTGDVAIRCSASDGVKFVVAESSADVRRLAGDDEHDLHAFERLVPEVEMSELPASLLAVQATRLEGGGFALGVTAHHGVADGRSVMRFVEAWAAARCAPNLPVVALLLQDGRRLGFCRRTFTLDVHQIERLKQHIVALAKPRGHGGAPLCCPPSSFAAVPALAWTCFVRCKLLAGEEDVFLLFAADVRDRLDPPAGSEYFGACLSACVARVPARELLAERALAAAAAAVQGAVPEMAEDPAAGWDFINLHRVVSRDRLMNVSWSSRFRAYEVADFGWGRPRRAEPVTRNRDGQMALLGARDGNGVQVSLSLLQKAHMDAFMSEFFKLLAVHYHC >KQK98065 pep chromosome:Setaria_italica_v2.0:VII:24753416:24757364:-1 gene:SETIT_009303mg transcript:KQK98065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEATSCLEPLYKCLESTGMLEAATREVAAFLRVKANWSDLEKARESLRAVRATVRAGVTVEEDKLNVCDPQVQLWLKRVEELQLDLDTLGEDYGNLMKFSCLGQCTQHASRRASIGKHVVEVLDEVNKLKEEGKGFKKFGFKPPPEVVDLLPQIETFGLESMQKQLHDLLEKGESNIIGVWGQGGIGKTTLLRVFNNDLEKKARNYQVVIFIEVSNSETLNTVEIQQTISERLNLPWNESETVEKRAKFLIKALARKRFVVLLDDVRKKFRLEDVGIPNPDTNRQSKLILTSRYQDVCFQMGAQRSLIKMQVLDGDSAWKLFLSKLSTEACEAVSSNSVVREHAEAIFQSCGGLPLALNIIGTAMAGLEGPKDWISVADAIKANMDNFPVVDDMFSQLKYSYDSLTPTQQQCFLYSTLFPEYGSISKEQLVDYWMAEGLLLNDSEKGYQIIRSLISACLLQTSSSTSSKVKMHHVIRHLGLWLASKTDQKFIVQAGMALDSAPSAEKWKEATRISIMSNDIKELSFSPKCRSLTTLLIQNNPNLNKLSWGFFKFMPSLKVLDLSHTAITSLPECETLVALEHLNLSHTLITRLPERLWLLKELRHLDLSVTVALEDTLNNCSKLLKLRVLNLFRSHYGIHDVDDLNLDSLKALMFLGITIYAEDVLKKLNKTSPLAKSTYRLNLKYCREMQSIKISDLNHLVHLEELYVESCYDLNTLVADAELTSSGLEHLTLSVLPSLENVIVAPMPHHFQHIRKLVLSMCHKLKDITWVQKLEMLERLVITHCDGMLKIVEDDNSEEAEALPDHPSGEQEDGTNEWNSDGQSVCKSDSTDGKTRNGFPKLRLIVLTDVKKLRSICKPRDFPSLETIRVEDCPNLRSIPLSSRYNCGKLKQVCGSVEWWEKLEWEDEEGMESKLFIPI >KQK99462 pep chromosome:Setaria_italica_v2.0:VII:32999813:33002060:1 gene:SETIT_009998mg transcript:KQK99462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHHGPKHVAPMEVSVEAGNGGAAEWLDDDGRPRRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAAMLLFAFVTYYTATLLAECYRTGDPDTGKRNYTYMDAVRSNLGGAKVAFCGIIQYANLVGVAIGYTIASSISMQAIRRAGCFHKNGHGVPCKSSSNPYMILFGITQILFSQIPDFDQIWWLSIVAAVMSFTYSSIGLALGIAQTVSNGGFKGSLTGISIGADVTSTQKIWHSLQAFGDIAFAYSFSNILIEIQDTIKAPPPSESKVMQKATRLSVATTTIFYMLCGCMGYAAFGDNAPDNLLTGFGFYEPFWLLDVANVAIVVHLVGAYQVFCQPIFAFVERRAAAAWPDSAFISKELRVGPFALSLFRLTWRSAFVCVTTVVAMLLPFFGNVVGFLGAVSFWPLTVYFPVEMYIKQRRVPRMSTKWICLQTLSITCLLVSIAAAAGSIADVIAALKVYRPFSS >KQK99109 pep chromosome:Setaria_italica_v2.0:VII:31029906:31030592:1 gene:SETIT_012697mg transcript:KQK99109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHMISACKAHHTQSPAALLPPRPLPRRAAAFPRLPVPGYASSPRLVVRRRCQEEDKQQQQEQEVAEGSDADEQQKRTFLSLEEAGLVEMSGLSTHERFLCRLTISSLNLLRVISEQEGVPIEELNAGRVCDWFIKDKLKREQGLGTAVLQWDDPGL >KQK97344 pep chromosome:Setaria_italica_v2.0:VII:20669507:20670510:-1 gene:SETIT_012102mg transcript:KQK97344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTGDPTRSGTTTIVAARSYHVTTIDGYSSSLNTHGYRPSYNSDPFRAGGRTWHVIYKPMGSPCRPDNTEYISVSLALDDVVAVDEAVMAMGTEWFIKRKDLERSECLKDYCFAIRVHVHLIKEAPPMAVVPPPDIHRRLGDLLSSKEGTVVEFRVRGGKTFAADRLVLGARWPVFRAELFGPMKEGTTTDVIQIGDMEGQVFGGLPTFVYTDAWPEIKQEEGDECAMAQHLLAAADRYALQRLKVMCEEKLCEHIDASSVASILALAEQHQCPRLKKACFKFLGFSSVAFLEAIESEEF >KQK98847 pep chromosome:Setaria_italica_v2.0:VII:29586732:29587313:1 gene:SETIT_012866mg transcript:KQK98847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLQETMTIATRSRTRRSIRAEPDVSSSVARGRSRVFLESLQNGALAQEQSSSPEGCLHTV >KQK98233 pep chromosome:Setaria_italica_v2.0:VII:25770756:25772610:1 gene:SETIT_010661mg transcript:KQK98233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTIPNPPNTRIAVVTGGNKGIGLEVCRQLAAAGITVVLTARDEKRGVAAVEKLREAGLSDVIFHQLEITDAPSISRLTDFLKTRFGKLDILVNNAAIGGVEYVQDPVDSSLTSNEKFGGMDQLQRLEWMASAVRETYAAAREGLQTNYYGTKHVIEALLPLLQASSDGRIVNVSSEWGLLRLINNEELKQELNDNVEKLTEERLDEILDTFLNDFKAGEVEAHGWPKHFSAYKVSKVTLNAYSRILARRHPELRINCAHPGYVKTDMTLQSGLLTPEEGASNLVNVVLLPEGGPTGTFFALGQEAPFV >KQK98128 pep chromosome:Setaria_italica_v2.0:VII:25185717:25190652:1 gene:SETIT_010036mg transcript:KQK98128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTAAAKSPGGEEEANPRAEAFLEIIGRVPTGEVEAALSACGIGPTAEVAELVLKSRVCYSRPKSAVRFFRWAAQSVAHTAYAWNLLVDILGRAAMFEPMWDAIRSMNQEGGGGLVSVATFASVFTSYCARGNFKDAATAFEVMGRYGLKPDAVALNSLLSAICRVEGGAQAAQDVFERTKATVPPDADTFAILLEAWEKEGNADRARSAFTEMVVRVGWDAANVPAYDSLLSTLVRAGQLDDALKFLQVMRSKRCFPGIKFFANTMDILFRKGDYANAIAIWNMMVSEAGLVPNFSMYNAMIVLCCNVGSLDYALGMLDGMPLNGVFANAVTYNAILEGFIKHRKAREAESFLKEMSKNEQLPTACNCAAAISLFFKEFNPSAAINVWRCVVEHNITPAEDSARELIAGLLDFGRLTEVKKRAEEMIDMRVELSQSTMENMKRAFAKADRHQSFDHIARRLKRR >KQK96231 pep chromosome:Setaria_italica_v2.0:VII:2096398:2098362:-1 gene:SETIT_011196mg transcript:KQK96231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYTISPVQSELKMTLYNKEVYAGAGINGVTVINKEPMGTTWVFSWPVTDGPGTNANIVGHLQGTGVQVANTPNYVWHYSLGLVFGDKRFNGSTLQISGTSQINGEWSIVGGTGELSMAKGTVTRTEITNTGNTRISELKIHAFYTPMNRTNVSGTTGCKFEKA >KQK96104 pep chromosome:Setaria_italica_v2.0:VII:381349:383661:-1 gene:SETIT_012590mg transcript:KQK96104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPASTGHRLHDPDPPDYLPLPLAPRTPTPPPPPPSSPPHSVPTLSFRYLTACPRWSSWVAAALRDPVFAPTLASSAISDAVAATTAAVAPDRAALSALLSFWDPGTHTFRLPAGPATFTLEDALVLAGLTPAGAPLDRALTPEEDALRARLVVEREKIRVLHPCASAARRVSLEVWLEWFNGGGIRPGEDDDLRRLGFLAYWLAFFVTPRMRPRGAELPEVALALAARLSLGERISLGPAMVANLYADMDKVVVSAATNGVSGRVDVWAPLWLLQVWLWERYVRLRPPQLKAPQFPVSNVRVLYWTRRKRTTIPEEALQILQEEGCFDWRPYRHNSLNWMRPKWFEVDTVLVSCRGKAKPEWLLDYIAVISQTVLTGFHGDDMENSVLYNPHLVARQFGYDQAAPVSIVGEFDFEGIEMWIPGVGRYGMPSEDYVAWCSSGQFYRHQVDDRYGCLVVRGHENGSSSLQLNVNKKRALVPALDQFTEATWTEHNNCIREEQLGQIDQGSHDNETKVIVLGLRACDKNSRTTSAKRKKEMKQRGGKFAEDGGNNKKKSKVRSNTERNPLQLEGQKYTVLEEDPNSNSKKFDELALLDSDDECIVLEQHENKCEVINLDDDDEQSDLDPKDQDMQLALELEEFVCSGLLSQWEESADEDDLSGRKRENLKKSFNDPYAEAAMREYPVFFQLIPQKPHYRGFVNKEALGDLAYIGLWFLLVDLAKEVLKTSCDTHASEIARLMKKAKHLQQLGFNVKHLIARLKEPHNRMKWLQ >KQK96937 pep chromosome:Setaria_italica_v2.0:VII:17626172:17629163:1 gene:SETIT_009437mg transcript:KQK96937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKLSLLRILLIPFLLAPIVAEDLTTAGDGVLPTLIELPTGDDELRTFIVHVQPAENRVFGTADDRTAWYQSFLPEDGRLLHAYHHVASGFAARLTRRELDAMSAIPGFLWAHPSEVYELLTTYTPRFLGLDTPQGDGGGNHSALGFGDGVIIGVPDSGVSPDHPSYSGDGMPPPPARWKGRCDFDGAACNNKLIGARSFAFGTSPLDEAGHGTHTSSTAAGALVPDANVLGQGRGTAAGIAPRAHVAIYKVCGRSCSGDDILAGIDAAVGDGVDVLSISIAGGGPEVPYYENPIDIGTFGAVEKGILVSIAAGNHGPGASTLYNDAPWMLSVAASTVDRLIGAQVRLGNGLSFDGESLYQPDISPDVFYPLVNAGASWKYNAQYCGAGSLDGLDVEGKIVLCYRGGGTGRVAKGEVVKRAGGAGMILANGASDGYSTFADAHALPASHVSYAAGEAIKEYISTTAKPVAKIVFRGTVLGAKPAPAMASFSSRGPSLRVPGILKPDVTGPGVNILAAWPVQVGPSSAAGSGPAFNFQSGTSMATPHLSGVAALVKSKHPDWSPAAIRSAIMTTADPNDLSGNPIVDEHHQPASFFLTGAGHVDPDKAVDPGLVYDIATADYVAHLCSVYASRFVSVIARRNVDCSAVTVIPDNALNYPSISVSFPPAWKSTAAVVEVRRTARNVGKAPAVYYPYVDLPSGAAVSVTVTPSSLQFTKVNQEKSFTVSVSRGKSGKGDVVQGALRWVSDDHTVRSPISITFE >KQK97683 pep chromosome:Setaria_italica_v2.0:VII:22634166:22636681:-1 gene:SETIT_009803mg transcript:KQK97683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHKIPHHPHRGDRPHPPPPPPPPNPSGPNPAAPMAPFRRWADLPPDLLCRIGDRLDLKWYASARGACTAWRCALAPPSPALLVVADDARWCPHAASLPTRRSFELTAIVSGSRCVGSSNGWLALSVALFTGQTAFVLLNPIAAVEILLPPLIYESRWVSKVVFTPSPAKDDFAAAAICDIDRIAYVTAGARRWAVLEPVRLTSGDQLTDVVYTDKGKVYCLTKCGDVHVLRLPERRRRKPANADEAGPSEPEFSVLQPPAERSINFRPMRWQQQRNFRLVRYEQARTGNLEPIPLRLTLCAESFSYKRVPPESQGPDLNAPATVEPLLSEANLPFNPATVFAPPYDTVSAFTSAKNLVFCEGNLYQVWRNASCTVTLQLPAGGQRRVAENEILVLRYYPRRQPCWDVVKDLGGYSLFVGRNNAVSMYAEGVPGLKGNCVYWIGGRGRDQGMVFDMESGRSTPCRAPQVGILPGHPHSTICWYFLSDLVSNNSNCITTTTTVASSSSNGGRKVYQTRARARADLAQDVEE >KQK96951 pep chromosome:Setaria_italica_v2.0:VII:17696520:17697656:1 gene:SETIT_010791mg transcript:KQK96951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGISLAVAPRSDPEHGSERQPTTMMGGVMGSLRVIELQLVAFIMVFSASGLVPLIDLAFPVATTLYLLAISRLAFPPLHSKLDAAGGSPAASQEIFRGSNLFQVYVVVGTTVGLFLPLAHVLGGFARGDDGAVRTATPHLFLLSCQILTENVVGSLGAFSPPVRALVPLLYTVRRVFVILDWAYDVWANRALTRASTAQEAAWLWFGRYLAAANLLYFSANLFVFLIPKFLPRAFEKYFRMRDEVCAKTAEDKRARGHMEAPEQRGDVAKPVESKKAD >KQK96112 pep chromosome:Setaria_italica_v2.0:VII:505999:508094:1 gene:SETIT_011195mg transcript:KQK96112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEEVMLMEAIWLSIQDQEALGNPGCVATTPPSIQRSYDGSMTTTAEAASSGGFACAVAALAEQQHIHGESSSTPTCQTTRFDTLSRSDRSYTEDPSIVGSSSSDSRVEEPSSSRTHQIVEGAESSNDQWSEIAEDGTSHAGSDVTAEAIAANSAASVRTMNST >KQK96326 pep chromosome:Setaria_italica_v2.0:VII:3696072:3701399:1 gene:SETIT_011852mg transcript:KQK96326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHASSAFALAPPPLVAAAPSSASPRRSGAAQASPLPAHSARAGARGTLRVLPAAPRPPMATGQESAGVAEATQEFVSDARAYWVTSSLIAWDVSNQETSLYLYASRSATMHMSNGVIEGYDSKVQLQPEHGGLPASVTQKFPFISSYRAFRIPSSVDVASLVKCQLAVASFDAHGKSQDVTGLQLPGVLDDMFAYTGPLGPVFSEEAVNLYLWAPTAQDVSVSFYDGPVGPLLETVQLNESNGVWSVTGPRNWENLYYLFEVTVYHPATSKIEKCLADDPYARGLSANGTRTLLVDINSEALKPPSWDELVAEKPKLDSFSDISIYELHIRDFSAHDSTVDTHSRGGFGAFTCQDTAGIRHLRKLSDAGLTHVHLLPSFQFGGVDDIKNNWKCVDEAELSKLPPGSDLQQDAVVAIQEEDPYNWGYNPVLWGVPKGSYASNPDGPNRIIEYRQMVQALNRLGLRVVMDVVYNHLYSSGPSAITSVLDKIVPGYYLRRDTNGQIENSAAVNNTASEHFMVDRLIVDDLLNWAVNYKVDGFRFDLMGHIMKKTM >KQK99840 pep chromosome:Setaria_italica_v2.0:VII:35003055:35005348:1 gene:SETIT_010004mg transcript:KQK99840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPPALGSPGARAAGAAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSFGVEQLALLVQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPVAAANAPRSPFLTHHYLPINAPSSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDEGGAPGAGGEAGGSDGGNFPRSDADDSLVSLTMNSTLMYQGQEMEAAVAGEARKGNSGGRGSPSNLYFPNGFP >KQK96777 pep chromosome:Setaria_italica_v2.0:VII:15432718:15433608:1 gene:SETIT_012317mg transcript:KQK96777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPHTPPAKCRRLTASCPSIPEDILVTEVLARLPVRSLFRFRSVCQSWRAAIDGDPRFVCRHLELSRGRSPPSVLDAPCEPRLEDYLGVARSKEMEFRRIRHGGVRHGGETAAAVDAELMHAVVWPTNRFTAMTHPVHCDGVVLVPTASGELFVCNPATRVLVQLPPGSPSVMADAVAFGFDPSSNTYKVARTFHRRFEVIEYDSESDDDGEGYPRAEFDIGHEVFTLGAGSSDWEPTEDPPHFIIPTARPICTRGAFYWTAVVGCPDDPRPSELLRFCLRDETFTVVSPTLRASR >KQK96548 pep chromosome:Setaria_italica_v2.0:VII:11831411:11832153:1 gene:SETIT_011571mg transcript:KQK96548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVMCAVLMLVIISNCTAEMPTMMAAEKEHAELAEETKVSAKHVNKKLYHRTNGERNCTYPSCN >KQK98150 pep chromosome:Setaria_italica_v2.0:VII:25356908:25358656:-1 gene:SETIT_009948mg transcript:KQK98150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESATKAHFVLFPWTGTISHVIPMTDLGCLLASHGAEVTIVTTPVNAAIARSRVDRARAAITVTSIPFPAADAGLPEGCERLDLLRSPADVPRFFVANKGHGEAVARHCLHEAPRRQPSCVISGMCQTWALGLARELGVPCYVFHGFGAFALLCIEYLYEHRPHEAAASDDEPFDVPALPQFRCRLSTRQLPPHFLPPASVGGKALQGMREFDVAADGVVVNTFEELERGSAALLAEATGNGKKVFAVGPVSLCRSRGLDLQSTSGDARRCMEWLDAKEPRSVVYVSFGSGGRMAPAQLMQLGMALVSCPSPVLWLIKGADSLPGDVKEWLCENTDADGVASSKCLVVRGWAPQVAILAHPAVGGFMTHCGWGSTLEAVAAGVPMATWPFFAEQFINERLIVDVLGIGVSIGVTKPTENIFTAFSAGGSEAEAEAEMGMEQVKKALEMLMDQGPEGEERRRKAHELKLKAKGALEEGGSSYNNLEILIQSFV >KQK96953 pep chromosome:Setaria_italica_v2.0:VII:17717986:17718732:1 gene:SETIT_012424mg transcript:KQK96953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTCSTATSALRGHESRRRRRRAPPATCDAADVEAVVHGLLERRLVDLERDWDAYKTGQSGAALRRHHRRSRSATATPSSAVTTVAAPDALLLPLYRCSSPRTLVSSLQQAGSANSGRAAKIVLGADSPAGSYEGGISSVCSVEAGYSAAAPSSSCSCPCRCRYSTTTSSSCVGASAPPFSSAAGGAAGERSRKSDEGRTVSGTGRAGWIAAVALVVMGFVAMVMLELRMDEGCAEYLVPT >KQK98724 pep chromosome:Setaria_italica_v2.0:VII:28853028:28855734:1 gene:SETIT_009682mg transcript:KQK98724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITYHATANINPELLLCPCVFRDWFTRFTGDGFGDNNLADHVSTTSRSVTIQAADLSPKSRSPKIQDESLTVPLIQDKKTGSKAPAVVLGFECLESTAFNGIGTNLVVYLESVLHGSNLASASNVTSWIGTSYLTPVFGAIVADTFWGNYNTILVSLVIYLLGMMLVTFSAFLPITELCGVGSSCHPVLGARNMAFLGLYLVAFGSGGVRAALLPFGAEQFDDDNAVDRERKISFFSWFYICVDFGMIVSGVFIVWVQQNVSWGLGFGIATACIALAFGGFVLATPMYKRTTPTGSPLKSLGQVVVAAFRKACLRVPSDAGLLYEVHDKIDQPKIAHTDEFAFLDKAAVVADSDLEEVTDADAAGSSSWRLCTVTQVEELKILLRLMPIWATSIVLSAAYAQLNTTFVQQGGAMDMRVASFTIPAASMVSFEPSQLQRMGAGRLLMACAMAVAALVETKRLAAAGRGEAVSILWQMPQYFVLAGAEVFCYIAQLEFFYTEAPDTMKSMCTSLALLTVALGSYMSSLIYAVVDALTATGGRPGWIANNLNEGHLDYFFWTMAALCTLNFVVYSAFARNYKVKTVVS >KQK99623 pep chromosome:Setaria_italica_v2.0:VII:33858468:33859408:1 gene:SETIT_010842mg transcript:KQK99623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWWCCLPLIRRYQARSSSSAAQSRSPCADTISSMDARKSPYGSPESTATATLPSASDFDDGAHGFASSTSSSVSGGVVTVFVFVSVFASAGDGAAVARMELDAGNGPGSKKKRSVDVRPRLRITASDHSSDAVVVEKLSTSSSSSSPSSTSSPAREKKSTSAAGSSNLLFPAVAGAPPRLAPAAADVVQQGSSPPAPARKEPVCGLVPQGGHGHGGVAAPAAGDEEGVESTMSLEKMEARPFLPISWRSMDGWIEGMQRGDDGWNGEN >KQK96736 pep chromosome:Setaria_italica_v2.0:VII:14727561:14739799:1 gene:SETIT_009241mg transcript:KQK96736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPSLVVVLRAALSHAPEERKAAEASLEQLQYTPQHLVRLLQIIVDGNCDMAVRQVASIHFKNFVVKNWSPVDPEEKHKIPETDKSMVRENILGFVTQLPPLLRAQLGESIKTLIVVDYPEQWPNLLHWVTHNLESQDQIFGALYVLRILARKYEFKSEEERIPLYQVVEESFPRLLNIFSKLVQIPNPPIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFLNLLERPVPVEGQPSDPDARKAWGWWKVKKWIAHILNRLYTRFADLKIQKPESKSFAQMFQKNYAGKILGCHLQLLNAIRTGGYLPDRVINLILQYLTNSVTKNSMYQLMQPQMDIIMFEIIFPLLCFNDNDQMLWDEDPHEYVRKGYDIIEDLYSPRTAAMDFVNELVRKRGKGNLQKFIHFIVGIFMRYDEASIELKPYRQKDGALLAIGTLCDRLKQTDPYKGELERMLVQHVFPEFSSHVGHLRAKAAWVAGQYAHIKFSDQNNFRKAMHCVIAGMRDAELPVRVDSVFALRSFVEACTDLDEIRPILPQLLDEFFKLMSEVENEDLVFTLETIVDRFGEEMAPYALGLCQSLAAAFWRCMASSEADEEAEDTGALAAVGCLRAISTILESISSLPHLYMQIEPTLLPILRKMLTSDGQDVYEEVLEIVSYMTFYSPSISLDMWSLWPLIMEALNDWAIDFFENILVPLDNYVSRGTEHFLTCKDPNYQHSLWKALSSIMTDQNMEDSDIVPAPKLIEVFFQNCKGQVDHWVEPYLRLTIDRLRRTEKPYLKSLLLQVIANTLYYNPSLALQKLHTLGVATEIFNLWFVMLQQVKRSGQRANFKREYAKKVCCLGLTSLIGLPASHIPGEALERIFKSTLELLVAYKDQVAESKRQNEAAADDLDGFDADEEEDEEVESDKEMGLDDEDGDGVNNFNPQSFAEVRGFHREDSEDDSDDDFSDDEELQTPIDEVDPFIFFVETIQALQASDPGRFQSLMQTMDFHYQALANGVAQHAEERKTEIAKEKLEKANAQ >KQK96852 pep chromosome:Setaria_italica_v2.0:VII:16553654:16554099:1 gene:SETIT_011514mg transcript:KQK96852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCTWVQQPEAEAHSSSIECKRRIAKHNNANLIMVAREPKTRARDCWNGMLRKLELHAQCRSIHETKILCVANNQNM >KQK99710 pep chromosome:Setaria_italica_v2.0:VII:34323400:34324612:-1 gene:SETIT_011723mg transcript:KQK99710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PALYTVWKRSSMGFHGTDGFSVYDADGALAFRVDNYSRRRKLFAGELLLMDGQGAPLLALRPQILSMRDQWNCYRASEEAGDKSSSRRQHLFSMRKCSLVQSNDEAEVHVSGCTTSSDHDSQAPSFRVHGSFWRRSCKIRKGNGEEVARITRKKAGALSETVTLSEDVFSLTIMPNVDRTMIMAFVVILDRICQRPYKPLMCST >KQK99634 pep chromosome:Setaria_italica_v2.0:VII:33922908:33927734:-1 gene:SETIT_009648mg transcript:KQK99634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKGALASSASDQGALTAAAGGGSFASLRAYGRALAQTPRRLARRACAATAPGEEMSRVRARSGPRMARALRWWDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMEYVFSNAAVARSFTAYLGTAVGVDAPSKWRIPVPGLPEGFNQVDLVAVGVILLITVCICYSTKESSVVNMVLTAVHVAFILFIIVIGFVHGDARNLTRPADPSRNPGGFFPHGAMGVFNGAAMVYLSYIGYDAVSTMAEEVERPARDIPIGVSGSVVVVTVLYCLMAASMSMLLPYDAIDPEAPFSGPFRGRKGMAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVNPRTATPVNASAFLGLFTAALALFTELDILLNLVCIGTLFVFYMVANAVVYRRYVGDAELGRTRRAWPTLAFLAAFSLLALAFALVWKLAPPERGVRTGLLAACAALAVATVAAFQALVPQAHAPELWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFGFFSVAALLVYVLYSVHASYDAEESGLDGGGAKVQDEACTV >KQK96571 pep chromosome:Setaria_italica_v2.0:VII:12056254:12057755:1 gene:SETIT_012030mg transcript:KQK96571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLFSTSFSPRFLTLSSPKPAVTVASAFLPFRLPLRVVSVPGRRVFEPVAVTVSSESFSEDMKLFVGNLPFSVDSAQLAGLFEQAGSVEMVEVVYDRMTGRSRGFGLVTMSSAEEAGAAVEQFNGRPLRVNSGPPSPRDDSAPRAPRGGGGGGNFVDSGNKIYVGNLAWGVDNSTLENLFSEKGGGRSGGFGFVTYGSAEEVNNAISNLDGINLDGRQIRVTVAESKPRREF >KQK97880 pep chromosome:Setaria_italica_v2.0:VII:23722944:23726335:-1 gene:SETIT_010904mg transcript:KQK97880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYYQATMNKPHSTLTAYRPVAGLGRTHELLGRHSMCLHSTRSCKLQQKLYPRLVLVSACHKRLGPVYASSGKENSQLVNDPFSMESLNKAMAQAKRPRSLQDLLREQMAKLRGQGSGGNGGNKNRYGGSGGGSDGPEDESFKDSLYEMIQILIATIAFIIVYIHIIRGEELYRLARDYTRYLVTGKRTARLKRAMLNWREFSESITKKNGAQEDYYGRSDSSESTWWQQPQQLARRLEELFRGYLRPHAQES >KQK96149 pep chromosome:Setaria_italica_v2.0:VII:1004230:1007471:1 gene:SETIT_010643mg transcript:KQK96149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGEHEPEESSSQRRERLLALRSAASASPAGAPPPAPAGSLLPDPDLAGDEATCQRPRPPQRFDYYTNPAAAFSSSYSGGATNPTWSHKRKSPPACYYPRPAPPPPAYGNYGDNNPPHQHHLAPSPIHSPPLIPRGVPGSCPWRSPMQFQDPMSGYQGAPPGAPPPWGPHSGPPARGSYPNSPRFGFRHPNPGRGGSPMNYGPRGSLNSSYGRGRGPNNYGSSGSRGRGGRCGFGWQDRSYFIKSMVDDPWLGLQPIVGNILIPKGDSESWLPTSLREKKETPAQGQIKSTSGLSLAEYLDLSFNEVSNKET >KQK98856 pep chromosome:Setaria_italica_v2.0:VII:29611598:29616267:-1 gene:SETIT_009581mg transcript:KQK98856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSFRLKLPTAELERSIKVKEKLESSLLLKKLLLGLVLFGTSMFISNGVITPAMSVLSAVSGLKVGIRNASQDVVVMISVALLVILFSLQRYATSKVGFALGPSLLVWFCCLGGIGIYNLSLYGPTAFKAFNPLYIIYYFGRNPFQAWLSLGGCLLCATGSEAIFSNLCYFPVRYVQYMFVLLVLPCLVLAYLGQAAFLIANPKSSEQVFFSSIPSGVFWPVFLLANLAALIASRTMTVAIFQCLKQSIALGCFPRLKIVHTSRKFMAKIYIPVVNWFLLVSCLGFIVLFRSVYDVGNAYAIAELGVMIMATVYVTIIMLLIWESNITKVLLFFITFLFLELIFFSSALSSVGDGGWAFLIFASVLLLIMFIWNYGSKLKYDSEVKQKLSKDLMRKLGPNLGTIRAPGLGLVCSDIVKGVPAIFGHFLTSLPAIHSIIVFVCIRNVPVPAVPQSERFLFQRVCSRSYHMFRCIARYGYKDKKQEHHSVFERLLIEGLEKFIQREAVELSLQSEDDVDSDEEPPTPMKIITAPNGSLYSLDVPLMADYVPSTQVIPETSCSTPHVDPVLDYAQNLELELAFIKQSKQSGAVYLIDNPIIKARKDSWFFKKLMINYFFAFLRNNCRRAIMLMSIPHSNMMQVRMTSYV >KQK98372 pep chromosome:Setaria_italica_v2.0:VII:26596337:26601494:1 gene:SETIT_009761mg transcript:KQK98372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAINALTPDILGERQSGQDVRTQNVMACGAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKRGNDLVKNKIHPTSIISGYRLAMREACKYVEEKLAVKVDRLGKDSLINCAKTSMSSKLINSDSDFFATLVVEAVQAVRTTNAKGEVKYPIKSINILKAHGKSAKDSYLLNGYALNTGRAAQGMPTRVTPARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQRESDITKERIEKILKAGANVVLTTKGIDDMSLKYFVEAGAIAVRRVRKEDLRHVAKSTGATMVTTFADMEGEETFDPSFLGQADEVVEERIADDDVILVKGTKNTSAVSIILRGANDFMLDEIDRSLHDALCIVKRTLESNMVVAGGGAVEAALSVYLENLATTLGSREQLAIAEFAESLLIIPKVLSVNAAKDATELVAKLRAYHHTAQTKADKQHFSSMGLDLSKGIIRNNLEYGVIEPAMSKVKIIQFATEAAITILRIDDMIKLTKEEGNEEE >KQK99046 pep chromosome:Setaria_italica_v2.0:VII:30644618:30647772:-1 gene:SETIT_010770mg transcript:KQK99046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLCCFGASCSEFTGHGSTASGKGKGCQGQVKVCYGYNLVRGMTNHPMEDYHVADLVDVKGNELGLFAIFDGHLGDTVPAYLQKNLFPNILKEEEFWTHTDRAITKAYEKTDQAILSHTPNLGQGGSTAVTAILVNGRKLWVANVGDSRAVLLKGGEPIQMSIDHDPNVERSVIENRGGFVSNMPGDVPRVCGQLAVSRAFGDRNLKSLLRSEPDIKVEDIDHTAELLVLASDGLWKVMNNQEVVDLAKRYKDPYAAARQLTAAALKRESKDDISCIVVRFKA >KQK97607 pep chromosome:Setaria_italica_v2.0:VII:22131234:22133552:-1 gene:SETIT_009423mg transcript:KQK97607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPQTATPLAILSRFLSSPSPPPLPELLRVHALAVTSGLSPRPDVAAKLVSAYSSAGRPGLAALAFSATLRPDAFLWNSLIRAHHCASDFAAALAAHRRMLASGARPSRFTTPLAASAAAELGALGVGASVHAYCVRCGLLVGDGGSVAVASSLVYVYARCGVVGDAVKVFEEMPERDVVAWTAVVSGCVRNGECAEGLRYLVEMIRLAGDGGARPNSRTMESGLEACGVLGELNSGRCLHGYVVKIGVGDSPLVASALFSMYSKCNSTEDAYILFSELPEKDVVSWTSLIGAYCRRGLITEAIELFQEMEESGVQPDEVLVSCLLAGLGNIGNVRGGKAFHAVITKRNFEDSVLTGNALISMYGKFELVDVAGRVFRSLHQQDVESWNLMIVGYCKAGWDVQCLELYRELQFRDKDEFLCDANSLVSAISSCSRLAELRLGRSAHCYSIKHLLDDNLSVANVLIGMYGRCGKFNNARKIFDLAKLKGDVVTWNALISSYAHLGHSNAAVSLYDQMLTEGLKPNSATLITVISACANLVALERGEQVHSYVKEMGWESDVSISTALVDMYAKCGQLGIARRIFDSMLQRDVVAWNVMISGYGMHGEAKQALELFGEMERGSVKPNGVTFLAILSACCHSGFVEEGRKLFTRMGKYSLEPNLKHYACMVDLLGKSGHLQEAEDMVLAMPVEPDGGVWGTLLSACKVHDNFEMGLRIAQKAFASDPENDGYYILMSNSYGSAKKWDEIEKLREMMKNHGVEKGVGWSAVDNCG >KQK97917 pep chromosome:Setaria_italica_v2.0:VII:23948332:23949281:-1 gene:SETIT_011907mg transcript:KQK97917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IELKTAPTDFRFPTTNQTRHCFTRYIEYHRCVNAKGDGTAECEKFAKYYRSLCPGEWVEKWNEQRESGTFAGPL >KQK97618 pep chromosome:Setaria_italica_v2.0:VII:22204314:22205671:-1 gene:SETIT_010547mg transcript:KQK97618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPLRRRLLLPLALLAAAAAASCAAAAKAPRLSPNYYRRSCPRVERIVSDVIAAKQRANPSTAAGTLRLFFHDCFVNGCDASVLVSPLSSDASPERAAEINLSLPGDAFDAVDRAKAALEAACPGVVSCADILALAARDLVGILGGPRFPVALGRRDARRSDARDVEGNLPRTNMSARAMARLFASKGITPREMVALAGAHTVGFSHCGEFAHRIYGYRGAGGHDPRLNPEFALALQRSCAGYRSDPTVSIFNDIVTPRDFDEAYYKNLPRGLGLLASDAALWEYPPTRVFAERYAGNRTAFFEDFAAAMQRLGAVGVKTGRQGVVRRRCDALD >KQK97421 pep chromosome:Setaria_italica_v2.0:VII:21145403:21148934:-1 gene:SETIT_012441mg transcript:KQK97421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEREMSEEYELNEIDAGTLHGSVGSRLSLFARELKSRRSSRHSGSALRLPQSCCYGSFVIHPNGRWYRIWSSAMFVWSIYSTFFTPFEFGFFRGLPEHLLDLECVQLVFLADVAVHFFLAYRDAHTYRMVYDRRKIALRYIKGSFALDILGCLPWDFIYKATGRTETVRCLLWLRLYRARKITAFFKKMEKDIRISYLFTRIVKLITVELYFTHTAACVFYYLATTLPPAREGGTWIGSLTLGDTKYINFREIDLLTRYVTSLYFAIVTMATVGYGDIHAVNPREMAFTVMYISFSILLSAYLIGNMTALIVKGSKTERFRDKMADLIRYMNRNKLGADIRSQVKDHLLLQYESSYTKDRVVDDIPVAVRSKMSQALYLDMVSKVHLFKGCSEDFLSQIVVKLHEEFFLPGEEEVATGEGGSEEIISELLPYDIVGDVAVVCNVPQPYSVRVCELCSVLRIDRQSLTSILQVYSKDNRQILSNLLKGRKSESKGNQLESDMAYLISRQEADLVIGVNNAAYHGDLLRLKGLISAGADPSKPDYDGRTALHVAALRGYEDIVRFLIQRGANVNSIDKFGNSPMLLALKSGHDRITSLLAKHGAALNLEDAGGYLCRVLTDGRIDLLKRLLSFGVDPNCKNYDQRTPLHIAAGEGLHLVAGMLIDFGADVQAKDRWGNTPLDEGRRCSSKPLIRILEQARTAAVAQ >KQK96815 pep chromosome:Setaria_italica_v2.0:VII:15804989:15805186:-1 gene:SETIT_012422mg transcript:KQK96815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMTARTVLLLVLLAQVLCVLAAAARPLEGSAGTTGSGWLGSGIGMVTQLLRGAKSGRNPRTHCC >KQK98427 pep chromosome:Setaria_italica_v2.0:VII:26943807:26946077:-1 gene:SETIT_012496mg transcript:KQK98427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLKLSVLCLVPSLLLAAVAVVTGDELSTFIVHVHPPENLALATADDRNAWYRSFLPEDGRLVHTYHHVASGFAARLTRRELDALSAMPGFVAAVPDQTYELHTTHTPQFLGLDAREAKRSYPDTERGAGVIIGVLDTGIFPSHPSFSGDGMPPPPARWKGRCDFNARRVCNNKLIGARSFISSSNATSNSLSNDWRAPPVDDAGHGTHTASTAAGAVVPGAEVLGQGRGVATGIAPRAHVAMYKVCTELGCATSDVLAGVDAAVADGCDIISMSLGGISTPFYQNTIAIGTFGAIEKGVFVTVSAGNSGPVASSVSNEAPWMLTVAASTMDRSIRSTVRLGNGLFFHGESLYQPDVSAPTVYPMVYAGASGKPYAEFCGNGSLDGLDVRGKIVLCEIGSEPGRLISRIMKGAVVRSAGGAGMILLNKFPQGYITLAEAHVLPASHVDYAAASAIMSYLNSTANPTAQILFQGTILGTSPAPSIVSFSSRGPSLQNPGILKPDITGPGVNVLAAWPFQVGPPTAFPLPGPTFNIISGTSMSVPHLSGVAALIKSKHPHWSPAAIKSAIMTTADVTDRAGNPNLNEQRLPADLFATGAGHVNPEKAADPGLVYDIAARDYIGYLCGLYNSQNVSVIARRPVDCSAETVIPESMLNYPSISVAFQQMWNWSTPVVVERTVKNVGKVPSVYYAAVDVFDDDVTVGVYPRELVFKQVGQEHSFEVIVWPKQNGASLVQGALRWVSDTHTVRSPISISFA >KQK97433 pep chromosome:Setaria_italica_v2.0:VII:21187257:21188097:1 gene:SETIT_012194mg transcript:KQK97433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDNLDRQRASIEERKDAVKKKKKEMQKAERMLSMCVSVTNIMPNFEDQDKISGYIVDRSGKKLEKFEFEKTTPPVEICDKLWKKI >KQK97056 pep chromosome:Setaria_italica_v2.0:VII:18549576:18551115:-1 gene:SETIT_010956mg transcript:KQK97056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTLIITVDLDCCRCSSKIQKVLCCIQERGEFVIEKIVYEKDKVLVSGPFDADKLSCKLCCKAGKIIKNIEVAKPPPPPPPKTEPPKPKPPPCKVICPYPCPYPCPQPGWPCSCPTPHCGCQPKPPPPEEKPKTPKPKPEPEPAPCKVIYPYPYPYPYPWPCNCPTPHCECQSKPPPTTPAPAPPPPEPPQPPACRCPTWPSCYCSGYPPYMPPPMPYPYPMVVCDDSPPYGACSIM >KQK99986 pep chromosome:Setaria_italica_v2.0:VII:35655221:35656153:1 gene:SETIT_011592mg transcript:KQK99986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKKSYKPETSQELFYHNLTKHFYHCGPVYAIFLFSNIGSPPRMVQTYLMTSMPQLD >KQK98196 pep chromosome:Setaria_italica_v2.0:VII:25587121:25587619:1 gene:SETIT_013067mg transcript:KQK98196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQTSKESKTLEDYSETATYLARTSNQKLPLTPRALLLNCPVASLNNRRARRNTATIGPATDGTATHALNVNIAS >KQK98975 pep chromosome:Setaria_italica_v2.0:VII:30295446:30300748:1 gene:SETIT_012741mg transcript:KQK98975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAVGAVVDAAIGWLVQSILGNLFTEKLEAWTRRVGLANDVEKLKSAVRYVQMVVAAAKGRKIENEPLARSLSDLRELLYDAEDVMDDLDYYRLKEQVESGTFSGILGKRKREDDTSEISVMIKHIADKLRDCGRDVSDILKIDGFNSAGTSNQSQITAQDTRLTSSYLVERKVYGRDVEKESILKLMASNRSNGITVVPIVGIGGVGKTTLAQLVYNSLEVGNHFEVKIWVCVSDNFDVFRLTREMLDCVSKQTKVQTNNLNRLQEDLAKHMVSRRFLIVLDDVWDDMKEHSWNKLLAPLKHNQAMGNMILVTTRKLSVAEMTRTVQPVKLGALKDDDFWLLFKTCTFGDEKYEYHPSLFTIGKQIARELKGNPLAAKTVGALLKRNISIDNWTHILNNEEWKSLQDSGSIMPALKLSYDYLSSHLQQCFRYCSELVRMWISQGFVHGNHTGKKLEDIGKAYLADLVNSGFFQHVGRHRLYSNSFVMHDLMHDLAREVSRADFATIDGTECKEILPTTRHLSVVTHFAYSIDQHGNAISCENFKRKLLLVTSLKKLRSLVLIGNSGPTFFKCFQNMFKEAASLRLLQISATTYADIGCFISYLVSCTHIRYIKLNSYGMRCELPQALSNFFHLQVLDVNTYADPTLPTGMNNLVHLQHLVAKEDVHSTIDRIGKMTSLQELPIFRVQNACGFDIKQLKFMNQLVKLGIYQLENVKSKRDASEARLIDKGHLEALCFLWDSDSTSLGISAETATELLHLENCKEWRVLPSLEKLPFLKKLKLINICHVKELGIPCLEELVLTDLPSLEKCVATFKRELDFHLRVLIIENCYELKVFTPFELQNLCSSEVEQRPWRLSLEDTSAEFSSAEAAQKKWLSGLRVLKIHGCPRLMLLHPLPPAENTQVSVQPLLTYPALEKNSNDLSVMSSKELRVLDAKILAFQNLTDVTSLHIGHCPNLVFLSFEGFRQLLNLRKMVIVSCGNLVSSCIVPGVSETWNATNYPAFPRLGHLKIESCGGIAGKWLTEMLPHMQSLEELDIEDCPQMKSISIHRPRQEVETGRLASQAVLPRLAQDEFGLYIPLNILSTLEKLHIRRCPGMQLYGSKEGYGGFTSLTELVITGCPMLLSSADERFSLPPSVPDLHIEFLPRRLQPYFPENTSIRFLLVHESPDLQYLNLHFCTALQELQIHNCRQLAVLEDMQYLSSLRILSIEMNPELSASWLGCLATLKNLWIEDCRSLTSLQGSLSYLEVCFCDSQNLTSLQLGSLAALKDLTVYSCDWLTPLETLPSLGNLTDLAIYDCRSIAPLLELLSRQPEGFSIFPQLERLYVDDSSALATSFCKHLTSLRYLRITDSDVICFTDEQEKALQLLTSLQDLSFLGFLNLIDLPAVLHSLHSLKKLDVKGCPRISRLPEKGLPPSLELVAIERCSEELQEQCRMLTTGKLK >KQK97540 pep chromosome:Setaria_italica_v2.0:VII:21776112:21777515:-1 gene:SETIT_012144mg transcript:KQK97540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKEPPDELPIPIHEALPDLNEGHRRRNNPSKSIKHRKHNLLEVRLLEGKMKQSVQCTLMMNNINLIWMEVSYMTSMYILLMMSTKQLESDAYFEAMEEDMHEYGVHTEEMDIVFNQDELHDSSNEHGHGNANVRTRSKDLTPTQIVFNQDELHDSSNERGHGNANVRTRKPKNYG >KQK99742 pep chromosome:Setaria_italica_v2.0:VII:34520034:34520512:-1 gene:SETIT_012973mg transcript:KQK99742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLLAARSLILHSSDKYERMILHCPHMLQLICKRFKL >KQK96811 pep chromosome:Setaria_italica_v2.0:VII:15751815:15752710:-1 gene:SETIT_011841mg transcript:KQK96811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGFGLAWADLQLPDSSGAAAHFDSALSSLVSSPSHNAGGCYGAGGDDVAIIGDLIGRLGGICGAAAAASASNSCYSTPLSSPPRGGAASPAAAAAASLAFRGGCCGYPGGAAALETAGTGRLSRVASSKSFGAPALGSPDAAPPAKKRKASGKGKATSSAMTPAAAANASSKRSKVAAGGGAEGKDDDGGDNAAAAPEPEPAKGYIHVRARRGQATDSHSLAERVRRERISERMKMLQSLVPGCNK >KQK98805 pep chromosome:Setaria_italica_v2.0:VII:29280672:29286146:-1 gene:SETIT_009718mg transcript:KQK98805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSSSSSSGAWRYLNPAYYLKRPKRLALLFFVFVAATFAFWDRQSLVSEYEAEISRLEDDINRLHDQLRKAGVHLDENPISNKNSRKDLVEIDPVNNERREKVKEAMLHAWNSYVKYAWGMDELQPQSKNGINSFGGLGATLVDSLDTLYIMGLKDEFQKARDWVAESLDFDKDYDASVFETTIRVVGGLLSAYDLSGDKVFLDKAKDITDRLLPAWDTTSGIPYNRINLAHGRAHNPGWTNGDSILADSGTEQLEFIALSQRTGDPKYQQKAENVVRQLQKIYPSDGLLPIYINPHSGTASYSTITFGAMGDSFYEYLLKVWIQGNKTEHVKHYRQMWETSMEGLVSLTKKTTPSNYYYICEKNGGSLSDKMDELACFAPGMLALGASGYESPEKSEEIMNLAKELARTCYNFYQTTPTKLAGENYFFHSGQDMSVGTSWNILRPETVESLMYLWRLTGNKTYQDWGWNIFQAFEKNSRIESGYVGLRDVNTGEKDNMMQSFFLAETLKYLYLLFSPPSVISFDEWVFNTEAHPLRIVPIHDNKGIGTPVRPFGRKQGKPE >KQK98977 pep chromosome:Setaria_italica_v2.0:VII:30303879:30304333:-1 gene:SETIT_012953mg transcript:KQK98977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAGSSSNLEVLGNVQSPNFLREALQTCCK >KQK99138 pep chromosome:Setaria_italica_v2.0:VII:31130577:31131515:1 gene:SETIT_012682mg transcript:KQK99138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVDAGAADEPDRRTRPASMSSEGIDHDYDHDDKPIECQALAPSPARSAGHALEEPPRRRAPSRRSRPMRMFQSMCRSLPLLNPRCGRQLQPGACRIATPARLTPSDSLLSQLMGSSSSAAASRHRLTGTLFGYRDGRVSLSLQDNARCRPTLVVELALPTHALLRELGAHAGARIVLESEKHADSGDTTDAAAGAAGVGGASFKRHDDDDGWVLEEPMWTMFCNGKRVGYAVRREPTDEDIAVLETLWAVTMGGGVLPGRSDVDGPDGEMAYMRGSFEHTVGSRDSESLYMVGPPGGDGPELAIFFVRL >KQK99092 pep chromosome:Setaria_italica_v2.0:VII:30965391:30969408:-1 gene:SETIT_011794mg transcript:KQK99092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEANWSHLLNLLLVLLSPIAFAAGFADTLGKAGNITGDETLVSANGTFTMGFFFLGPSTNKKYYLGIWFSVSSSDAICWVANSERPLSDKTGALVLSDTGSLLLRDGSGQVAWSSNSTIASSSSVEAQLLETGNLVVRDQGSGNVLLWQSFDHPSNTMLSGMKVGKNLWSGAEWHLTSWRSADDPSPGPYRQVLDTSGLPDFVLLDGNATIYRCGPWNGRSFSGVTEALGNTYTDLLTLGVTISPGEISYSYTSKPGAALTRLVVTDDGKVNRVVWDKSSRGWTHQPIFQGPRDPCDDYGKCGSFGLCDVSSVLSFCDCLRGFSPASPSAWSRDTSGCRRNVKLQCSSNGVTTDGFVLVKGVKLPDTHNASVDMGMSMKECRSRCRANCSCLAYAAADIRGGGVPSGCVMWTDNIVDLRYVNHGQDLYLRLAKSELDKDFPLAAVVAPVAFVGAALALFLLIWWRNKTKRNASDGPWSPTMGDLSFDLPTVKMATGDFCQSHVIGEGGFAVVYKGELPDGRTVAVKRLKQSALTDKGRSDFAREVDVMANVRHGNLLRLLAYCNEGGERILIYAFMPNKSLDLYIFGEPSDRARLNWRQRLDIIHGVAHGVAYLHQGSEESVVHRDLKPSNILLDDNWKPKIADFNTAKLFIKDQPDQSDPTIVVSPGYASPEYLQGEMTLKCDVYSFGVILLETLSGQRNSPTKGAISNAREFWQQDRAMALLDPTVPLPLSTPDSEMRSELERYVKIGLLCVQEAPENRPDMSAVVAMLTTRNSDINLLPRAALQNVAAATCT >KQK98465 pep chromosome:Setaria_italica_v2.0:VII:27167031:27170287:-1 gene:SETIT_010190mg transcript:KQK98465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGRMADENRRPAAGKPVPGVAREMGNRRALTDIKNLVGAAPYPCAVAKKPMLQKNGRDQKKPALATSRPMTRKFAASLASKGQPERQATTIDPGLGADRNKEPVSNGTIDIDLEQYEEVPDVDIDMDETDHKESVNEDESIMDIDSADSGNPLAATEYVEELYKFYRETEAKSCVKPDYMSSQQDINAKMRAILIDWLIEVHYKFELMDETLFLTVNIIDRFLEKQVVPRKKLQLVGVTAMLLACKYEEVSVPVVEDLVLISDRAYTKGQILEMEKLILNTLQFNMSVPTPYVFMRRFLKAADSDKQLELVSFFMLELCLVEYQMLKYRPSLLAAAAVYTAQCAINRCQHWRKVCESHSRYTGDQLLECSRMMVDFHQKAGAGKLTGVHRKYSTFKFGCAAKVEPALFLLESGDAPSPPPSGTI >KQK96889 pep chromosome:Setaria_italica_v2.0:VII:17002506:17011509:1 gene:SETIT_009467mg transcript:KQK96889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTPPPPAASILGTLGDFTSRENWDKFFALRGTGDSFEWYAEWPNLQTPLLALLGDRGAAAEADAGAGAPAPEILVPACGSSALSERLYDAGFRRITNVDFSRVVVADMLRRHARARPEMRWRVMDITSMQFADGSFDVILDKGGLDALMEPGAGTKLGTKYLNEAKRVLKSGGKFVCLTLAESHVLALLLSEFRFGWDMNIQAIASESSKKSAFQTFMVVMLKGKMGAVQTIKSSLDQSAEYCNMKQATAVIRALGNENIIRESYSSGVDVLLSLRDLQLGAIGDLKVIVPGRRRQFILGEQETSLYCYKAVLLDAKKQTETFVYHCGVFIVPKARAQEWLFASAEGQWHVVESAKAARLIMVFLDSRHANIDMDIIKKDLSPLVKDLEPGNPEEEAPIPFMMAGDGVKQRDILQEATSELTGPMVVEDVVYENADGDQGSMPEKMFRRLIFGRSSGLVQSEALLIRDPHSDETDKKNKNASATSKKRRSKKGSKNSLRVDHSFLGSSYHSGIISGLSLVASALGAASTSGEKVSTTVIGLGAGCFPMFLRGCLPFVDIEVVELDPLVAELAKKYFGFSVDEQLKVHLGDGIKFVEDSVAANHSVSNGRGSNAIKILIIDVDSSDLSSGLSCPPENFVEDPFLQKAKEFLSDGGLFIINLVSRSSSVREMVVSRLRAVFEHLYSLQLEEDINEVLFASPSERYLDVNNLDAAVTKLKDLLKFPVDVESDIKKLQRLQ >KQK97060 pep chromosome:Setaria_italica_v2.0:VII:18593895:18594628:1 gene:SETIT_012988mg transcript:KQK97060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQGAGVTTVFTSHFICAAKWESNDGVILQFHTEHVVAFVHEIEDT >KQK97927 pep chromosome:Setaria_italica_v2.0:VII:23996373:23996539:-1 gene:SETIT_012956mg transcript:KQK97927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDCDEKNFGGSYSVENGRRCALQSVKSEKNCRLLFSAYSL >KQK98308 pep chromosome:Setaria_italica_v2.0:VII:26206208:26207831:1 gene:SETIT_010550mg transcript:KQK98308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRAGFRTLGGERKRLCSPWCHIMRGAPAGFLYPQSQRPHVRIPGRGHERASPGWDHRRSNSLLGSIDRSVARSVDMDRPDHHQHQFYMPLPVQQQQQQLCAPMMDEQASFLAARGGGGRGGSGGTVAGKGERKRRFTEEQIRSLESMFHAHQAKLEPREKVELARELGLQPRQVAIWFQNKRARWRSKQLEHDYAALRAKFDALHARVEFLKQEKLALTTQLHELSERLREREDRAGSGVAATASSSSCNGGGVVGEEAEDDKRNVVLGCVDMEPPESCVLGGACATPADVSVESECDDHHHHLDYDDGFPESFCATPELWEPWPLVEWNAVA >KQK98326 pep chromosome:Setaria_italica_v2.0:VII:26305287:26308449:-1 gene:SETIT_009732mg transcript:KQK98326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRDVLLSIAQTPHRLRRRALVTWTPAQEMNEVRDRSGARMKRRLEWYDLVALGVGGMLGAGVFVTTGRVARDTAGAAVFASYVIAGVSALLSSFCYAEFSVRFPVAGGAFSYLRVTFGEFVGFFGGANILMEYVLSNAAVARSFTDYLASTFGVTEPNAWRIVVDGLAEGYNALDVPAVALILIITICLCYSTKESSMLNMVLTVFHLLFFAFIIVAGLWNGSAGNLVRPHGLAPYGVRGVLDGAAIVYFSYIGYDSASTMAEEIRDPARALPVGIAGSVLVVSALYCLMSLTLCAMLPYNEISETAPFSSAFREKVGWRWASSVVGAGASLGIVASLLVAMLGQARYLCVIARARLVPSWLAKVHPSTGTPMNATIFLGFCTASLALFTELQTVFEMISIGTLLVFYLVANALIYHRYVKVGVNRPLHVLLFMLLLTLSSLGFSISRKIDGWCRWGMTLFGAISIAITTIFHCTARQDVAGPPSEWSVPLMPWPAAASVFLNVFLITTLKMRSYQRFGIWSLVIIVFYVCYGVHSTYSAEENEVVNAMIHHSNLDIS >KQK97736 pep chromosome:Setaria_italica_v2.0:VII:22974340:22975330:1 gene:SETIT_012470mg transcript:KQK97736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVAWNVGARCRTEVRPVREASPSPWLLHHTLLVHHSRFLAAVQSHSSGHPGPAAAAAARSRRGGRETSLVVVNLRGEDDRDRVALALHAHDLCLAGFRNRSHHWHAFPGHEHALPGSTPLPFGSTYRDLIGGLANLPSLPLGRGPALQAARALAAYDPASDDADAGAVGPAKRGLAALAVATSESPRLRPVREAVSEGTSYIEHWDTICYEVTRARRTGVWGGPFAELLRERAGIRGEEDALAVVDVLVNRTLGDVLTAHALRP >KQK99090 pep chromosome:Setaria_italica_v2.0:VII:30934912:30937889:1 gene:SETIT_009284mg transcript:KQK99090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSSLQAKRSNGRCITSERDALLSLKAGLSDPGGQLSSWQGEDCCQWKGVHCSNRTSHVVKLDLHGDFAHSENELGGEMSSTLVELQHLKYLDLSCNNFNGSSIPKFIGSLKSLEYLNLSWALFGGRIPPQLGNLSKLVYLDLNNGGLYSDSLTWVSHLSLLKYLDMSSANLNAAVDWIHGISSLPSLEVLHLSDSRLRNTITIPSHSNLTALKVLDISQNYFHTALSPSWFWHIRTLTYLDISLNGFHDPIPYLGSSLNGYQGPIPYEMGNMTSLEQVYTSGNNIGSMIPPNLENLCNLKIMDLSLSNITGDIGDLMNRLPKCSWNKLHVLDFSYNKLGGNLPNWLQPLKNLSNLNLYGNNITGPLPSWIGGLNNLTILNLGSNRLVGEINEEHLEALTNLQVLQMSDNSLSMRVHSNWIPSFKLQVASFRSCQLGPAFPSWIRWQRNIHVLDISNATIYDNVPDWLWVVVSTASILDMSKNLLSGTLPASLEMLAAQMIDLSSNRFAGPVPRFPRNILYLDLSRNNLSGTLPDFGAMMKLQTFALYNNSISGSIPFSLCLVQGLDILDLSGNMLSGELPNCKGDSGLYKYMEALNLNSNNLSGVLPSAMQMSQYLFVLDLAYNQFSGNLPAWLGDKWQNLALLRLRSNNFSGNIPIQLAMRQGLQYIDLACNRISGQIPESIVNLSAMARSDGFSSLDEVEGYGMGRDFVATGYMVGSIEIPLDINRSFTKTMSVLTKGQQLELSKRMIEYMVIIDLSCNNLTGQIPQGISALVALKSLNVSWNHLSGRIPNNIGDLKALESLDLSHNELSGEIPSSISALTSLASFNLSYNNLSGTIPTGNQLQTLATDDPASMYVGNIGLCGPPLPKGCPGNGTRNSPDDEPEQQDNRMVNSIYLSMIIGFIFGFWVVFCIMLLHKELRYSYFASIDYLYHFLMRR >KQK96432 pep chromosome:Setaria_italica_v2.0:VII:7084648:7089982:1 gene:SETIT_012241mg transcript:KQK96432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STHSPAVLLPASVELLPNGGACLTGPADRPDSGIRSTPFSSPAQAMLLPVAALARCFGGGSDGEASREDAGEERRVRRRGVARGAVAPVRVAVGSLGGGGGRREGRRRWAEWRRGAGQEGGWEREGAINEGAAAAAAAARRARRWFPSRAAVSAPHPVRRWPHPTCRCRPSRAGRTPSLRPASVPPPLGLARIAAAQRRARPAPPSPARDRDPIGRTMAAAYRRLLLLRRIPHPQFQPAAATYCLSPTAAAAAALVKPSSPSAAERIETPLSTLRPGFTSDSNFKYGWHCKLGSSVGAVLIGQAAFFLGLSNGYAFAQEDSVSPAATSEQAEVNATGLQRIEDGSVVSNEHTVKWRIFTDNGRDFFQKANLAGGDLSPPVPRTDPAADRGGGRCGSSSERRESSRAAVARSSRRARTVAREAWRLERQQARAAAARARAAARRGLRLGSASAGGQRPAAGGMWAARSRGLTGSHGRLQWLQETTGHRRHRRAGRGPRGRRGSGGRRGAGTPKQHQGLLSYLLPPLGHEQCAVPQGGDRGAVG >KQK97549 pep chromosome:Setaria_italica_v2.0:VII:21833853:21836472:1 gene:SETIT_009475mg transcript:KQK97549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNRDEAKRAKDIAKKKFEAKDLQGARKFALKAQALFPDLEGIAQMIATFEIYLASEVKVAGEKDWYSILSVTTAADDETIKKQYRKLVLLLHPDKNKQVGAEGAFQMVKEAYTVLSDKTKRAVYDQKRNVRAFQQRTTQSTNAAAASKPTVNKKTAGPATPAVRRRPPQPPPPSAPSPAPSSSTPAPGAKPPTFWTSCNKCKMNYEYLRVYLNHHLRCPSCREPFLAKEVPIPPTETLVQDSNSSGANKNARTNRNMQWGPFSRAAGAASATASSAAAAQAANVVHQTYEKVKREREEAQAAARREEALRRKYNPLKRHGSMSENINHGTGDVASGKKMRTKDSGVGSSSVVPGPGANCFRVPGVNISFSTNIGVYEFQGVNGGLNWKTRPPIHISLAKTFSQLDVRGLLLEKAKNDLRNKLAEIKSKTSKVAASEKASKKHVVKENGGDNEALASDDPTTSKDVHADPKEICSNMSSDAENEDDDPLSYNVPDPDFHDFDKDRTEESFQSDQIWATYDDEDGMPRYYAFIQKVLSLKPFKLRMCYLESKTNSEFGPLNWVSSGFTKTCGDFRTGKSETCDIVNMFSHQMKWEKGLRGVIKIYPQKGDIWAIYQNWSPDWDEETPDSVLHAYDVVEVLDGYDEDHGINVIPLVKVAGFRTVFERQQDLNATMKIPKEEMFRFSHQVPFYRMSGEESPNVPKDSYELDPAAISKELLQGNTETVEANGTS >KQK99811 pep chromosome:Setaria_italica_v2.0:VII:34862208:34863937:-1 gene:SETIT_011178mg transcript:KQK99811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRDLRVHFKNTRETAFALRKLSLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAESQIATRKA >KQK99812 pep chromosome:Setaria_italica_v2.0:VII:34861944:34864280:-1 gene:SETIT_011178mg transcript:KQK99812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPTNPTKSTKAMGRDLRVHFKNTRETAFALRKLSLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQAKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAESQIATRKA >KQK97873 pep chromosome:Setaria_italica_v2.0:VII:23690759:23692758:1 gene:SETIT_011929mg transcript:KQK97873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAGTGTPLWRNGPPDKPVLCNACGSRWRTKGSLANYTPMHRKDDIDDDEPRVSKLKPPTSKMKSQKKKANLIITENGPFSSQSFRKMGDADPSNRSSDGSAISYSESCAPYGAVDASEISGSAQSHAWESLVPSRKRSCVTRLKPSPVEKLAKDLNSIMHEEQLSYLSGSSEEDLLYHSEAPVGSFETGSGSVLLRHPNSKSPDEESEASSIPADDKSHITSESYSGSASVVVHSGNKATVNLKA >KQK97244 pep chromosome:Setaria_italica_v2.0:VII:19934070:19934411:1 gene:SETIT_011387mg transcript:KQK97244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVGSGSAFSSSAASGEGAGAGSTTACCGGGEERATETAAARRRFSAAAAMSSRCFRRASASGDNSAAGGGGGGLLRLGSGCAGGEPWRLMRSAIWSSSSSSRSAIGAAPAEP >KQK98085 pep chromosome:Setaria_italica_v2.0:VII:24854907:24857163:1 gene:SETIT_010799mg transcript:KQK98085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLREVESTLPPGFRFYPSDEELVCHYLYKKVANERAAQGTLVEVDLHAREPWELPDAAKLTASEWYFFSFRDRKYATGSRTNRATKTGYWKATGKDREVRSPAPSGSVVGMRKTLVFYQGRAPNGVKSCWVMHEFRLDSPHTPPKEDWVLCRVFQKRKDSEQDNAAGSSSPTFAGSSSQAAALPDDPPMMDAYVVDQTGSSAGFAPPQENVVGGFDPLMWQYNSVLGHFPQEVTSSPMMGMGLGSGGVGDGCGLFYDTGFDDTANIGGMGFPQGWMG >KQK97365 pep chromosome:Setaria_italica_v2.0:VII:20800364:20802133:1 gene:SETIT_012048mg transcript:KQK97365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIHAMLMVFSATSRFSHEDEKTIEAIKMFFGGTIIDHLILVFTNGDRIGENNWKKMLSDDNFPKYLQDVLKQCKNRAVLFGNETNDKKKCDAQLKELLDLVDSVVSIKCGKPFSNQMFARINIAHEQKELHAKGSSTEQLSELKKERSYDEYFAQVTRMVEEKLNKTIEMMGKQLREEKTARQKAEEKVTEAVSKSQDQMRRLRLSLAKAQEESDKVREENKKYRESEKVRREKEEKTKEEIEDLKDKLNNMEREQQNMKNSNSCNIL >KQK99479 pep chromosome:Setaria_italica_v2.0:VII:33068674:33070512:-1 gene:SETIT_012552mg transcript:KQK99479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSLYKAATRGDVATLKRLLEVDPTILDSKTPQLNTALHLAALHGHTDFAGEVLNVKEELLVAKNNDGDSPLHLAARYGEKGVVELFVRRARSWPPEDPTTAEGPLRNPLMMANKAGDTPLHDAVRNRRSAVALLLLDMNPDRGHDLNGLGESPLEIAAREGLVLVVERIVKHPWAQQVSVNHHVRGTALHQAVLGRHIRILEILLEKRPELIDLTDSNGNNALHYAAKKNHARAVEILLNKRMNLAYKSNHEQQSPLHVAAHYGSTDAITALLRCCPDVAEMEDNNGHTAFHASVVSGKANAIRCLLRRVVRAKEVLNHVDKNGNTPLHLAASMSHINSALVLLNDGRVDPCVLNRDGQTARSLLEIRGEMDAYELHLWTQLMRHEPIWCRNQPVPPLRRHKGSASAPGDFRERLGIQVILASLVATVSFAATVTMPGGYSQTEGTAIHSHRAAFKIFLVSNTIAMSSSSVVLLCFLYPVKYNSYKVNQVLWGQRLTCLACLAMIVSFMTAVYVTVAPTVRWPVDMAIAIGASTPVLVILILGREVIFVPLQFILSIFNRFVSQINLLISI >KQK98035 pep chromosome:Setaria_italica_v2.0:VII:24639125:24640854:-1 gene:SETIT_010688mg transcript:KQK98035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGATTKQSRKADRAAARAAEGSKDDGDGAKAVDVVRSDDAGDNKTAGDVKGELGDAKGGAVYHGMSATEGKDSQTIVALQSPVTVMRPVRGDLEEHVPKPYLARALAVPDIYHPDGSTDGHRHHHMSVMQQHVAFFDRDDNGIIYPWETYQGCRALGFNMIMSFLIALIVNGTMSYATLPGWLPSPLFPIYVHNIHKSKHGSDSGTYDNEGRFMPVNFENMFSKYARTSPDRLTYRELWSMTEGFREVFDFYGWFAAKLEWTILYVLARDEEGYLSREAMRRVYDGSLFEYVERQRAQHAKMS >KQK98291 pep chromosome:Setaria_italica_v2.0:VII:26083910:26088824:-1 gene:SETIT_010382mg transcript:KQK98291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALRSPAPLARVDLPAGDVDPDYLYFLDHIRVDGDSYVLELPPNGASQPSVLKYEAPLGSSSDGECVSDPSPGRLSTNRGAEERDSSASLEGRPAWYDSLHDVDEDYHLFLQHTRLVDGQLVLEIGGVVVNYDQPIAAGPQGEKDKQRGIETAVPSPGKGVGIGAGRDEDEVVSSAPATAVPEQYACDWRADPSPGREVKEKDAGDEGLLDAADAGTMKGVYWEASSSDGRRARRRTNSGEKVEQELGIIWPTHITRRPDSDFKRRLIEALTKPVARKEYYRLFDTVTIRTPLMKLRQIRNETKFYPTEAMGSSYLDHYPDLAEQIMNSGRCNGLALMRGFLFWLQNNAHDDQFKPWVDDSKAREVIPVVD >KQK99452 pep chromosome:Setaria_italica_v2.0:VII:32946197:32948404:1 gene:SETIT_009708mg transcript:KQK99452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIPTALFKRRCGRGREPGASARYAVRRAGGGTSLMVRRGRPGRFLPQRRPLAACSSLMARRCGRSGAGQILLRRRREDRISALPDDLLLLILRRLDTRSALGAGSLSKRWAHLPRELDALDLRASDMLPPRYHRWVDLYKDNRAFLHCFRAMNLELLANIRRYERRAMRAFISSTERFLEGPRRRVKTLSLEFFITGNAGRMDRLVAEAIDAWGVEDLEAVAKRIFGSRGPGVDDFPIHTFPSHGLCKEPRASRLRSLKLSGCVPPPLHEYNALNRLVLQGLPAPTPVAAYEDIFTLCPQLQTLHLISCGCSTRKGISLIVTVDAPRSQIRELVVENCTFRKLSLRALPCLESLVSLQSWVIFESSSFPCLSQRNLAVCLGLEEIDWRLDLELDMFLDCTPGIRNLIIRFTGPYRWIVPSSPPSEFLPNLRRLLVADVPSSWDVTWPRLLLEMAPSLEILHIHIVHAVSKKPGEEIPWTPTELRQHHLKEFVVVGFKGTARQICLVKFVVGVCTALCHLAMFKNGHVRYRGHWDWEMVTQEQSWSDEEKGTTLKQIMDMVSSTSPVQLVLG >KQK99073 pep chromosome:Setaria_italica_v2.0:VII:30788969:30792030:1 gene:SETIT_012325mg transcript:KQK99073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSYSDKFAFQVTVSPSEVSYSYSIKAGGPLTRLVLMDTPLIQRFIWDPRRHWWTPRFSAAVSPPDWNMDDTSRGCRRNVPLDCGGSRSSSTDWFAALPGVKLPDTLNSSLDMGITLDQCRARCLANCSCVAYAAADIQGGGDGSGCLMWPENLIDLQYLEKRTQQDPYSGPHCRRFKPLLLRVSREAPARRFVHWTVRASPFSSSYTGPSPAPTCRLRRTTPGHQQIEQHAAQIEQNVGKLPRGHPLLQGIAGRTIAVKRLRRNSDIPEIIVSYFTREMQLISGLKQHQNVVRLLAYCNEDNEQILAYEYMHRRSLDAYIFGKRKERSLLEWRKRLQIILGIAEGVKHLHEGEGSAGNVIHRDLKPANVADFGTAKLLLAGATGTRARIGTPNQHPHVQSDGGETTLKCDVYSFGVTLTETLSGRRNSDRPTRLVSEAWRLWADRSVTALLDPAVGLICVQQQPDDRPAMSSAVEMLSSSSSELVEPTAPVVSYRTLATLLEEADICRSTMFETINFT >KQK98457 pep chromosome:Setaria_italica_v2.0:VII:27102966:27104852:-1 gene:SETIT_011880mg transcript:KQK98457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWGGALVFVVLCFTVELATQGTAEPLLPAVFVFGDSMVDVGNNNFIEKCNISCKANYPHFGVDYPGHAPTGRFSNGYNMADRLAQLLQFDESPPPFLSLSNASLATRMSTGINFASGGSGLLDATGNGRVCTQVISLTEQVGSFKKLARMWGHADLISRSLILINTGNNDLFECTDFPDHNCHRNDTEFLQGLVASYTRFLKDLYGAGAKKFSVVSPSLLGCCPSQRLIAHDPKNPRDVDEHGCFAAANDLSRRLYPMIASMLQDLSLELPGMNYSLVDSIKMVEWLFNNTATPSYNFTVLDSACCGGGPFGAYYGCDSSAPLCRDRSNHLFWDDYHPTDAATGVAAKLMFGDTTGLFVHPINVKQLVQL >KQK99100 pep chromosome:Setaria_italica_v2.0:VII:31005716:31006144:1 gene:SETIT_012757mg transcript:KQK99100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TEETSSPTAAPSSPLLPSTHQYKRTRASSHSTSIHKAKITEFGDFVWEEEKSEAMSLRYMSRVGARAAQAVRESTGRSVKDKAQSASSSASMARSGRAVGWVDSGRISAAAAARRKAEEEKRRRAEQALRTVMFLSVWGPNT >KQK97151 pep chromosome:Setaria_italica_v2.0:VII:19293252:19295142:1 gene:SETIT_012499mg transcript:KQK97151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTVTGNYELGWHSHLGSQFTEKGHLAPRSPPWRAHAAWHGLRGGRDLDAKIGGAKAWRQDRWRQGLERAYLDYINEIDALTAHSPYEGEDALPFAVSAMCAADDDLYRMKCPLICFYAVEYHLPDRVARQFGIRQIWPTPATSTSVELHNVDRKKKRKISEWHTFHQAYIEEWEQVEDNMDENDEPHTNSEYRQYQSWYQGATRHRLMVQWTQDDYTDIQSSDDEDTVYDQSTRAGRQVETGPILDRMQLSSRLRRVAARCGCRTATTRDVHIPSPREGDVGTSRQGTSASEAIASEDEDDDDDDDDQRPDELGPSQLHEAPLTQPTQVVGTRLRRPRSPYTPGTDALGHKGKGKTRRQ >KQK99481 pep chromosome:Setaria_italica_v2.0:VII:33081273:33082694:1 gene:SETIT_012272mg transcript:KQK99481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSITEVSEVSLEAGNLAEFSATLDDDGHPRRTGTVLRASAHIVTAVIGSGVLSLPWAVAQLGWAAGPPVMLVFGGVMYYSYMEAVRAILGGAKVTFCGVVQYVNLASIAVGYTIAASISMQAVWRANCFHARGHAAACKSSSVPYMIAFGAVQIVFSQIPNFDQIKWLSIVASVMSFTYSGIGLGLAVAQAVANGAFRGTLTGVAVGAGLTVAQKVWRTLQALGNIAFAYSFSNVLIEIQDTIKAPPPSEAAVMKKATAVSIATTTAFYTLCGCMGYAAFGNAAPDNLLTGFGFYEPFWLVDAANAAIVVHLVGAYQVFCQPIFAFVESRAAAAWPDSALVTKELRLGPFAPSALRLAWRSAFVCLATVVAMALPFFGSIVGLIGAFSFWPLTVYFPVEMYIKQRAVKRGSTKWICLKALAAVCLVLSAAAVAGSIAGFVSAFKVFRPFSG >KQK97794 pep chromosome:Setaria_italica_v2.0:VII:23301472:23305113:1 gene:SETIT_010147mg transcript:KQK97794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSTAPRDVTLLTTGQNWCRILDQLAIQKPGRVNFLRGSATGFPLPPPRAAAAMLLSGPPAAPTPPLLLPESSGEDGGHDSSSRAAAAAGSVPKRRAETWVREETLCLIALRREMDAHFNTSKSNKHLWEAISSRMRDQGFDRSPTMCTDKWRNLLKEFKKARSHARSSGGGGSGAGGNGNAKMAYYKEIDDLLKRRGKASGSGGGVGSGSGSGAGKSPTSNSKIESYLQFATDNGFEDASIPFGPVEANGRSLLSIDDRLEDDRHPLPLTAADAVATNGVNQWNWRDTSTNGGDNQGTFGGRVILVKWGDYTKRIGIDGTAEAIKEAIKSAFGLRTRRAFWLEDEDEVVRTLDRDMPIRTYTLHLDDGVTIKLCDASRMQTPEDKTFYTEDDLRDFLARRGWTLLREYGGYRNVDSLDDLRPGVIYQGLRSLGD >KQK98855 pep chromosome:Setaria_italica_v2.0:VII:29610029:29611262:1 gene:SETIT_010682mg transcript:KQK98855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLMTAMLLGGARVAGAAKLAGSAAVKQAAVPTPACFLPPRPPQPASWSRLCLQTAPRSSQAYNNAPDDRRDIKDKYKDAAAEAKDATGDAKEHVKGMAGEAKDRAQDKAGRVADQASDMAGRAKDQTKGMAEDAADGASRVANRTKHETKDAAREAAGTAAHVKDRAKEMGHEAADRAQEAARAAKDRTGDAAERAMDRAGEAKDRAVEGTKSAGEKVVEMTKDGASKVAETAQAISEKAKQAARGTWDAAKETAQSVKDSVVPDAADVDAAVKERDRIAREMDRVEQERNKREAREKGSGLP >KQK96205 pep chromosome:Setaria_italica_v2.0:VII:1711652:1712122:-1 gene:SETIT_011749mg transcript:KQK96205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQHLLLVVLVASILHATASSLETTSSASNSTVAAAASTVYDVLEQNNLPRGLLPQGVQSYVLHDGGALEVTLPGECNFFVSVAGKRFHFRYGSSVAGVIQSGSISRVSGVRVQAGFAWLGFNQVQRAGDQLNIQLEKSTQSFPVSAFAQSPRCS >KQK97137 pep chromosome:Setaria_italica_v2.0:VII:19245006:19246616:1 gene:SETIT_010188mg transcript:KQK97137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASMIRAPVGQNPRLACHAQGRGGGVVRCSLQGAVVGGRTEWQSSCAVLSSKVAALGAHSINVHAAPAAAPAPTQNGAAVLDLVPVSSINGGAASKNLPQPLRISDLSPAPMHGSQLRVAYQGVPGAYSEKAAGKAYPGSDAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKERLTRVISHPQALAQCEHTLTAMGLNVVREAFDDTAGAAEYVAANGLRDTAAIASSRAAELYGMEVLADGIQDDSGNVTRFVMLAREPIVPRTDRPFKTSIVFAHDKEGTSVLFKVLSAFAFRDISLTKIESRPHRHRPIRLVDDANVGTAKHFEYMFYVDFQASLAEPRAQNALAEVQEYTSFLRVLGSYPMDMTPMTAGSSTISSSDSSPSS >KQK98336 pep chromosome:Setaria_italica_v2.0:VII:26361878:26364317:1 gene:SETIT_010402mg transcript:KQK98336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSLLDTAVAAASLYPAAARRRRAGSTASFLSCSSCSSRDCRVSASYSHSISRMLSGVRSAARKKLFRADPADLLGVANWPETGGGHQQHWWTALEHNFVLEATDDEYGGVVVDADRLPADKAAFARSLAASLSYWKSVGKKGVWLKLPVDLAEFVPLAVKEGFKYHHAEESYLMMTYWIPDEPNMLPANASHQVGVGGFVINDQMEVLVVQEKYHGSSLDGVWKLPTGFILASEEIYTGASREVKEETGVDTEFVDVVAFRHAHNVAFQKSDLFFICMLRPVSSEIKIDETEIQAAKWMPLEEFVKQPFIQEDHMFQKIMDICIQRLRKCYCGLTPHHVVSKFDDRTSTLYYNVAEPEDVNCSAA >KQL00021 pep chromosome:Setaria_italica_v2.0:VII:35806699:35806938:1 gene:SETIT_0092262mg transcript:KQL00021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVPAARQCLSPAAVAALDAAVASARRRAHAQTTSLHLISSLLAPTAAAPLLRDALARARSAAYSPRLQLKALELCFA >KQK99976 pep chromosome:Setaria_italica_v2.0:VII:35625953:35627641:1 gene:SETIT_011979mg transcript:KQK99976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATSNANAPTTGNRAAAAEHLLMPQQRAHCCPRELIPIPTFCRRKGHPDGASTATVDWASAVCRGCKEWLKNPMNIALLLWLLCVGVSGGMFVLLLLGLLDGAFPAAAERNRWIEINVQVLNALFTLMSLYQHPALCHHLFLLCRWRPRDAADLRAAYCKGTGAAPRPRDRVHMAVVVALLHLTVACQYVQCGLYWGYSKAIRPELAEAGFFVLGVVAPVAAAVYTVCSPLGKDGQCHELSFYDAAVGSDTKQRIPPAGEHVVVEPEWAGGMFDCGGDAPSTWCLSLSCTFCVFGWNMERLGFGNAYVHAVTFALLCFAPLWVLGVSALHIHDYVIGDVVGGAGALLCACGLLYGGYWRIQMRRRFGLPGSRACCGSKSLTDYARWLLCWPWALAQEVRTANLYHVDGEVLYSKVADNDHADSRKPLLVVSNDHDVFRATETVAVVSQASPANGHLVVVDDETTMAPPVQVVVVQQLEGDKSEESSVSLQGEMSNSSIPTSVPTTVREEDAALLESNRAVTEEDGHGSMPSDGSWRVEKVKKLINMVTLVSLLILLYTRGIIL >KQK99982 pep chromosome:Setaria_italica_v2.0:VII:35648503:35651171:-1 gene:SETIT_0095782mg transcript:KQK99982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ITKQSFLAIMKLPNLQVLTLVGCIGIDDDALASLEKECSKSLQVLDMSHCQNITDVGVSSIVKSIPNLLELDLSYCCPQVTPSMVRSLQKISKLRSLKLEGCKFMADGLKAIGSSCVSIRELSLSKCSGVTDMELSFAVSKLKNLQKLDITCCRNITDVSVAAITGSCTSLISLRMESCSHVSSGALQLIGKHCSHLEELDLTDSDLDDEGLKALAGCSNLSSLKIGICLRISDEGLAHIGKSCPKLQDIDLYRCGGIGDEGVIQIAQGCPMLESINLSYCTEITDRSLMSLSKCTKLNTLEIRGCSRVSSSGLSEIAMGCRLLSKLDIKKCFEINDVGMLHLSQFSHSLRQINLSYCSITDIGLLSLSSICGLQNMTIVHLAGITPNGLIAALMVCGGLTKVKLHKAFKSMMPPHMLKNVEARGCIFQWINKPFKVEVEPCDVWKQQSQDVLVR >KQK97676 pep chromosome:Setaria_italica_v2.0:VII:22577350:22578121:-1 gene:SETIT_012578mg transcript:KQK97676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRPAVLAIAMLCLALPRAALSQSQNAPPVADTPAPAPAPHHVNLTDLLSLAGPYGTFLGYLVRTDAIRTLQSQANATEGEGVTVFAPEDSAFAAVDGAALSNLTTDQLRALVLCHAAPRYLPLSSFAALAASGPVATFGGARCAVNVTYAAGRIRLAAGWTRAARLVSSVYSTPPVAVYALDRVLLPEQVFPTEPAVAPVPAPAPGPAAVAFLLATWPSLPSGF >KQK98888 pep chromosome:Setaria_italica_v2.0:VII:29776312:29776804:-1 gene:SETIT_012955mg transcript:KQK98888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQGRAPCSARSAVTKGCSLALQVLAPSESSVQGCREAIEMRLTLTSRPRLVS >KQK96835 pep chromosome:Setaria_italica_v2.0:VII:16176368:16179641:-1 gene:SETIT_012707mg transcript:KQK96835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLNPGNETAYPNWINHMEEYDIDALLGAEDHVNTDQDEDDEEHHHDGSKSSKRGKNRFTAKQIEQLESLFQLSAHPDDPTRQELADKIGLEARQVKFWFQNRRTKTKAKAVGDQNKDIKQENAQLHAENMKLQQTLACGRCRDPTEHKWHLLNENARLKDTKRRAQEYLIKLIHDSNLPHSETLEHLESASLNLVPFDDNGSTYQATLLSYAERALNEFMMLAVKDEPMWLPTINGKMLHNQEYNCRTCPGLLGPCPQGFVMEATKQTTTVRGTASDLVAMLTDVSCWSKMFPGIIESVRASKVVSSGISTSRDGLIQETSVSMMRLIGLTNFIELLQMNVDLWVQSPRAPNRSVKFLRYSKEIENNQWAVVDVSIDGIRGIEPNNGSQIGYMSCRLLPSGCLLQDMSNGLCKVTWIVHAEYDETTVSPLFRGLFQSGQALGASRWLASLQRQCEYMATLHCTHSSGRRGVLDLAQRMMVSFYTAVSKPVTPGPSNIVNEWHGSCDIGAKTFLATVRMVIWNISTMGQPPALVLCATTTVWLPGVPPHRVHEYLCNGQRRGEWDKFGYGGAVQELSSIVTCRQLRGNVVSVLQPSDVTVRANSNMLILQEATSDLSCSLVVYSFVEKNMMCAVMDGADNSAVFLLPSGFAILPDGHAKAHRAAAASSSYSTPTGQNSTAGSLLTAAYQQILPNSISTHALETLDDAGSRVCQAISQILAAVGADIAIPA >KQL00046 pep chromosome:Setaria_italica_v2.0:VII:35920686:35927562:1 gene:SETIT_009305mg transcript:KQL00046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTNPFGQSSTSPFGQNSFGTQQGFGQATPAANNPFAPKPFGSPTTAFGAQTGGSPFGTASTGAFGQQQSTPTFGTTSTGAFGQQQSTPTFGTPSSSPFGSTPAFGASPAPAFGATSSTFGSGSLFGQKQSFGGFGSSPSQSSPFGSTFQQTQPTFGSSTFGASTTPAFGTTTTPAFGATAPAFGTSTPAFGTATTPAFGSTSTSTSLFGASSTPAFGSSTPGFGTSGSTAFGVGGTAPGFGSSSTPSFGTSTNAFSFGSSPSFAQTAVSSGSSPFGTTSPFGAQTPAFGSQTAAAAFGQAQFGNQAGGTRIKPYAQTPDVDGATSATQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNPSGTPAAAPIFPSLSTQQNAFAPTSNVFNQSTPSAIPTNNPFAPKPVSTSASPFNSFNSTVASSSPFASSTSTTMFGQTGVSPFQASSSPSLFANTTPFASSSLFGTSTTNNPNPFGTVSSLANTQSAPLFQSAPTFAQPSSTPAFSSGNLFSTPPGSLFGGGPSLFSTPTFQTSAPVQTPNTFSFQPPTQPASTGGFPGFSNTANQALIGQQSPSQSNMVMQPAPISNPFGTLPAMPQMSIGNGGSSPSVQYGISSLPVAEKPLPTRTLSMAVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIEQWPSRSAINRQSVPKDSTDLDKYEDTSTESGRDKTVKSPRSSPLVENGQQHEPSHHGNGKGTSVERLLPKLPQEDYFTEPSLEELAAKERGEPGYCSRVKDFVVGRHGYGSIKFLGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAEVTLLNIKCMNKKTGDQYREGPRVERYRDMLMMKAEEQGAEFVSFDAAKGEWKFRVKHFSTYGLW >KQK98617 pep chromosome:Setaria_italica_v2.0:VII:28289748:28290349:-1 gene:SETIT_011423mg transcript:KQK98617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >KQK99105 pep chromosome:Setaria_italica_v2.0:VII:31018161:31018653:-1 gene:SETIT_011489mg transcript:KQK99105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVKASWMVAMSVGAMEALKDQAGLCRWNYALRSIHRAAKANAPSFAQANKKLAAASERRRADKAEEGMRTVMYLSCWGPN >KQK97908 pep chromosome:Setaria_italica_v2.0:VII:23906725:23910421:-1 gene:SETIT_011041mg transcript:KQK97908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPATSSAAANSSCARLPGAPLRRAPAAVSFPSRPRPAALAAHAGPSQRLDVAAAAGHQKLMGSLTSNEGLRFGVVVARFNEVVTNLLLQGALETFERYSVKAENITVVSVPGSFEVPITAQKLGKSGKFDAILCIGAVIRGDTTHYDAVANSAASGVLNAGLSAGVPCVFGVLTCEDMDQALNRAGGKAGNKGAEAALTAIEMASLFRHHLG >KQK98639 pep chromosome:Setaria_italica_v2.0:VII:28404989:28405933:1 gene:SETIT_012549mg transcript:KQK98639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDLMTKSFMNYVDLKKAAMKDVEAGGDGIELPESGAGGVTDERLRGFFQEAEAVKAEMAAIRDALDRLHAANEEGKSLHQADALRAHRGRVNADIVAVLRRARDIRARLESLDRANAAQRRLSAGSREGTPLDRTRTAVTAGLRKKLKDLMLDFQALRQRMMSEYKETVERRYYTLTGEVPEEEVIERIISDGRGEELLGAAVAEQGKGAVLAAVHEIQDRHDAAREVERSLLELHQVFLDMAVMVETQGEKLDDIESHVANASHYVQGGNKELGKAREYQRGSRKWLCIGIIILLILVLLVIVPIATSFRKS >KQK96619 pep chromosome:Setaria_italica_v2.0:VII:13105151:13110280:1 gene:SETIT_009630mg transcript:KQK96619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPGGVARLACVLLLAAAAAGHAGVQPLSRIAIHRARVALDASAAVRASPALLGTQGEDTAWVTVDFVAPHPSGDDWIGIFSPSNFNASTCPGSHGSGPGPVICSAPIKYQFANYSSDYGTSGKGTLKFQLINQRQDFSFALFTGGLSNPKLIAVSNAIAFANPKAPVYPRLAQGKSWNEMTVTWTSGYDISEAYPFVEWGMKGRPAVRTAAGTITFDRESICGEPARSVGWRDPGFIHTAFLTDLWPNKEYYCKIGHMLPDGNVTWGKFSSFRAPPYPGQKSLQRVVIFGDMGKAERDGSNEYSNYQPGSLNTTDTLIKDLDNIDIMFHIGDISYANGYISQWDQFTQQVEAITSRVPYMIASGNHERDWPNSGSFFNGTDSGGECGVLAETMYYTPTENRANYWYSTDYGMFRFCIADSEHDWREGTEQYKFIENCFATVDRKNQPWLIFIAHRVLGYSSGYFYGIDGAFAEPTSRQSLQKLWQKYRVDMAFYGHVHNYERTCPVYEEQCMSSEKSHYSGTMNGTIHVVVGGGGSHLSNFTTEVPAWSIYREMDYGFVKLTAFNYTSLLYEYKRSSDGKVYDSFTVHREYKDVLACVKDSCPPTIPAT >KQK99292 pep chromosome:Setaria_italica_v2.0:VII:32177136:32177639:-1 gene:SETIT_013083mg transcript:KQK99292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRISQNKKKREKKRRRKRPERRSGCCFDWRHGFLRRRRSW >KQK98761 pep chromosome:Setaria_italica_v2.0:VII:29025626:29026666:1 gene:SETIT_012670mg transcript:KQK98761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRAKLPLALAISCLAVLQLLLPCAARPVRETGTIDGSRSQHLKLRGSFLRGPESVAFDGAGAGPYSGVSDGRVLKWNGHARGWSTYAYSPGYNAKACTASRVRPAEVTESMCGRPLGLRFHYGSGDLYIADAYKGLMRVGPGGGEATVVATMVDGKPLRFTNGVDVDQVTGEVYFTDSSMKYSRSQHERVTATGDSTGRLMKYDPKTDHVTVLQSGITYPNGLAISADRTHLVVALTGPCKLMRYWITGPKVGTSETLADLPGYPDNVRPDGRGGFWVALHREKMELPFGPDRHLLAVRIDADGKVLQVMRGPKNVRPTEVVERKGGKLYMGSVELPYVAVVSA >KQK97029 pep chromosome:Setaria_italica_v2.0:VII:18363047:18368674:-1 gene:SETIT_009306mg transcript:KQK97029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTLVQSAIVPTVLHRSSSGRSRVRARATMLRSTPTRTLTLGGFQGLRQTNFLDTRSVVKRDFGYIVASQISRPRGSASRGVVRAMFERFTEKAIKVVMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLESLGADPNNIRTQVIRMVGESTEAVGAGVGGGTSGQKMPTLEEYGTNLTKLAEEGKLDPVVGRQNQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQNEDIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPTVDETTQILRGLRERYELHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPDEAKELDKELRQITKQKNEAVRGQDFEKAGELRDREMELKAQITAIIDKSKEMIKAETESGEVGPLVTEADIQHIVSSWTGIPVEKVSADESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLAAYYFGSEEAMIRLDMSEFMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYTRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFNRLKAKDIDLQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSLAEKMLAGEVKEGDSAIVDVDSEGKVIVLNGGSGVPEPLEPALSV >KQK96456 pep chromosome:Setaria_italica_v2.0:VII:7809709:7810375:1 gene:SETIT_011502mg transcript:KQK96456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCIFEYDGSFFFDCADVLLWAGWFVKISESCLRKKAEALPLYGCVFLNLAVLFWYAYWDGDIIQPMHLFQSTCSIWTYELL >KQK96455 pep chromosome:Setaria_italica_v2.0:VII:7809696:7810375:1 gene:SETIT_011502mg transcript:KQK96455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWQDVLLWAGWFVKISESCLRKKAEALPLYGCVFLNLAVLFWYAYWDGDIIQPMHLFQSTCSIWTYELL >KQK98428 pep chromosome:Setaria_italica_v2.0:VII:26959231:26961508:1 gene:SETIT_010033mg transcript:KQK98428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METPSSTCDEGSELDARSQSDYADFDDLDRPPRRGGHHREPSSDASSECSGEPGSPYGSSPYPRWPVCALPARATQPAPPLLKRLSTTRRAGAGGGREGKAGDGELQLIKERFSKLLLGEDMSGSGKGVSTSVAISNAITNLYATVFGSCHRLEPLPAEKKSMWRREMDCLLSVCDYIVEFFPSKEMLPDGTTREVMATRPRPDIYVNLPALKKLDDMLLEILDSFQKTEFWYVNDKGQKDDSVATPCRPASQRGDGKWWLPVPCVTKPGLTETARRDLQQKRDCASQIHKAAMAINNGVLAEIRIPDLYKQALPKCGRASVGDLIYRHMSFPGKFSPEYLLDCLEISSEHEALEAADRVEAAIHVWRRKASQSHSRSPWSAVKDLMESDKNVMLASRAEDVLLCLKQRFPGLSQTTLDASKIQYNKDVGQAILESYSRVLESLAYNIVTCIDDVLFADEAARKIA >KQK96238 pep chromosome:Setaria_italica_v2.0:VII:2228143:2228723:1 gene:SETIT_011371mg transcript:KQK96238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRLQAKSFRRKASHTHNKAPRRWPSRLVDGFRRMLVGLFSFPPRPPKVTFSVDEHRAAGTGGGGAAGGGGSGDASKRSSWSSSNLHPLNAHYDEAIADCVEFFNKSARVDLRSRPHF >KQK96884 pep chromosome:Setaria_italica_v2.0:VII:16891800:16893199:1 gene:SETIT_011283mg transcript:KQK96884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRNHFEPFITSSVCIAQVMGNYNCKIVPDDDHGHVFYVKLPLPEDAARFHGHSWVWWNESLTSKSRKWLKDAYVKASLWFKRTVIRGSIYRKQMLFTFCFANLNYCYVVSSCWPTPLLLKCVLHKLHINCYKEIT >KQK96896 pep chromosome:Setaria_italica_v2.0:VII:17074411:17075953:1 gene:SETIT_011207mg transcript:KQK96896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQRTSRCHHWPGSNCRQGSKDESFFEARPWLDSDSEDDFYSVRGDFTPSRSSTPDHPRLATSFGTRMPVDRSKPSLVPKKQRLLELLQEKQHYDDEDDDSVTDGSSDLENGAVHDEEHMKTSRKGEKSKKSSRSGCFPSLIWKHSFTSSRKKRKEHKDKVN >KQK97338 pep chromosome:Setaria_italica_v2.0:VII:20656661:20659837:-1 gene:SETIT_010778mg transcript:KQK97338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERERDEREPIALTGGGGQLQQGGASGPGTEEDDDRDGEERDRDAGDEVEEEKDRGGVEEWSEIRLAIAELSPAQLTKHRGCGCSCGRVGGGDGKPVASSPPTLPFLALSHLLLRVLDKIGPTMAVLRLDVQRNIERLQELYLLDPAKYSTLTEIVEKEVTEGTARKVDSCARAVLWLARSMDFAIALLQRLEEDSDLAQLVEAAYEVSLKPWHGWISSAACKIALKLIPEKKIFTSMFLGMGQDCSTLKDEIEKLASLLGPLLDDIHSMMAKFRLDRLKST >KQK97339 pep chromosome:Setaria_italica_v2.0:VII:20657532:20659837:-1 gene:SETIT_010778mg transcript:KQK97339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVERERDEREPIALTGGGGQLQQGGASGPGTEEDDDRDGEERDRDAGDEVEEEKDRGGVEEWSEIRLAIAELSPAQLTKHRGCGCSCGRVGGGDGKPVASSPPTLPFLALSHLLLRVLDKIGPTMAVLRLDVQRNIERLQELYLLDPAKYSTLTEIVEKEVTEGTARKVDSCARAVLWLARQTPKQPTVCSLISLCCQPT >KQK98342 pep chromosome:Setaria_italica_v2.0:VII:26380448:26383332:-1 gene:SETIT_009314mg transcript:KQK98342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAVSVSAKVAASLSSPAALELSSLFAIRSGVDVAARELELLRAFLRFADSRRGTDALAAAWINQVRDAAFELEDVADEYSYLSGLGFVRGCANLGAWFALSRRLRRARERLRKLSVAKEEYGILPAVSSTARSSAVGGSATLLTRKVADTAHFLGEEEIVGFAAHRSLLMEWLTEDLEPRPTLVAVWGMGGVGKTTLVTNVYKEVAASFFDCAAWVSVSKNFTTEDLLRRVLKEIQRDVRIGTPKDVEEMNYRSLVEALQGILSKKRYLVLLDDVWDAEAWFDIRVAFIDNGTRSRIIITTRSQDVANLAKSRIILLKPLPEKEAWCLFCNTTFREDADGECPRHLEHWALKILYKCGGLPLAIVSVGNLLALKEKSEFAWKNVHDSLVWVESTDHGMGQVSSILNLSIDDLPYHLKRCFLYCSIYPEDFFVKRKILIRMWIAEGFVEEKNHATMEDVADDYLNELVQRSLLQVVMKNEFGRAKRFQIHDLIRELILSRSAKEGHFVFSKCTPTFESNSNFRHLIIDRCARSDLPAPKMLSLRSLHGFKTDLDASLLSRFRLLTVLSLWYIPITKLPSSVTNLLNLRYLGIRSTLIKELPHELGRLHKLQTLDAKWSMVQRLPGSITKLKGLRHLILFRRVAADFRFLYPGKAVVLPIGMENLTCLQTLKYIEADEKTVESLRSLKQMRSLELFGVHEGNIIHLPSSISKMSHLLCLGIVSRDADVQLDLEPFSQPPLNLQKFTLTGRLMGGKLPSWFGHLSSLMQLQLHSSELKGDSIGLLSSLPRLIDLSLVDAYEEKSLTFAAGDFPVLRKLRLEDLAYLAHLEFQKGSLLDLEELMLCRCFELIKIPQGIENLMHLKNLELSDMPIELTEKVQERQESSEGKHQDARHATIVKVIRNG >KQK98177 pep chromosome:Setaria_italica_v2.0:VII:25493201:25494418:-1 gene:SETIT_011043mg transcript:KQK98177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKARREHVIGIPVSNRAFGIEEPADFPSKGGAYHGDAKNPTNFGRAGKFGRTGDRVAQGLKEHVTLGPKLYEIVKGKLSLGARILQAGGVEKVFRRWFSVEKGEKLLKASQCYLSTTAGPIAGMLFISTEKIAFRSDRSLALTSARGDTVRVPYKVAIPLRRVKTAKPSENKHRPEQKYVQVVTDDGFEFWFMGFVRYQVSLQELEKAIAQSQ >KQK96148 pep chromosome:Setaria_italica_v2.0:VII:998926:1000194:-1 gene:SETIT_011951mg transcript:KQK96148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPAALEPATTDDEPHSASAIVGGWVTGRHVLHIESYSRTKDELPTGKFIESRPFRIGGRSWSMKYYPNGLKSEYSDFIFIFLQLVDARASSSDPPVVKAQAIFSLLDQASKPVPSHTQTTGLLKFSVPGNNGIWGFPLIRRDFLEKSEHLRDDCFRISCDVMIPRELRTEDRMVRPSRTPTLVAVPPPDLSRHLGDLLAAGHGADVTFQVAGETFRAHRYILAARSSVFKAQFLGAMRESNVSSQAYPIRVADMEPQVFRALLAFLYTDALPDYCSSGQEDEAAAMAQHLLVAADRYGMERLKLVCEDSLCKHIDTGSVAIILALAEQHNYQGLKKACFRFMIRSSSALNDVLATDGFQHLPRSCPSVLKELLSNSSTPDPTIFIVNHFSPVKLVLTS >KQK99875 pep chromosome:Setaria_italica_v2.0:VII:35167415:35167639:1 gene:SETIT_011877mg transcript:KQK99875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KSAATHTAADAASPDHQTCCSICLEDFEDAEDISVMPCSGGHEFHTNCVAKWLGQYSNMCPLCRHALPTAVDDR >KQK98030 pep chromosome:Setaria_italica_v2.0:VII:24592965:24593854:1 gene:SETIT_011393mg transcript:KQK98030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTASTSPDAMEATSRPPGLSITVEKNPPEARLLQLGVKSWPKWGCPPGRFPLKFDAALTCYLVKGRVRAAVKGSRERVEFGAGDLVVFPKGLSCTWDVVVGVDKHYNFDPS >KQK98076 pep chromosome:Setaria_italica_v2.0:VII:24824375:24824907:-1 gene:SETIT_012818mg transcript:KQK98076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFEGFVGAFIFFISLIQVLGPIKGSSWSYSKTRTLY >KQK97931 pep chromosome:Setaria_italica_v2.0:VII:24029818:24031525:1 gene:SETIT_011745mg transcript:KQK97931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLAEQFVHEMWTAPRAWLLLLLPLFLLLLRSWWATLFGLGANRARDHHQQQQQQQQRDGHHRLPPSPPALPVLGHLHLVGSLPHVSLRDLAGRHGPDLMLLRLGSLPVLVVSSPRAAEAVLRTHDHVFASRPHSLVGEVVLYGPSDVGFAPHGDYWRRARKLITAHLLSARRVQSFRHAREGEVGAVVGRIAEAAAAGAAVDAGELLSSFANDLVCRAVMGASFRSEGRNRLFRQLVCDTTPLLAGFNVEELFPFLARFGVLKKVVRARSERLRRRWDELLDRLIQDHESKYEPMAASDPKDDDDFIHVLLSVRQDYGLTRDQMKGLLLDVFVGGIDSVSSTLEFTIAELMRKPRVMEKLQAEVRSSTPTGQEIVSEDDLKSMPYLRAVTKESLRVHNVTPLLAPHLSMASCSVDGYAIPAGVQVLINAWAIARDARYWGEDAEEFVPERFIDGGSAVDVSFKGSDFQFLTFGSGRRMCAGMNFAVATVEVMLANLVHRFDWELPPGKEGRDIDMSQVFGLVVRRKEKLLLVPKLRA >KQK99973 pep chromosome:Setaria_italica_v2.0:VII:35608154:35610071:1 gene:SETIT_011739mg transcript:KQK99973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLMDDLAGCSFRPTAEFSRLRSLNKLYLHAVDITGDELGCLLCNSFALKRLEIRYCDGIICLKVPFMLQRLRYLEVFGCGQLKMIDSKAPNISSFSYEGDHIQLSLGETLQMKKLDIFFHGAVHYARMINTPMLNSKYLHLKKLNIVLSATTFPPTYDYFSLVSFFDACPSLETLVLNVSQRKMEHISIFTDPLDLRKMPGQQHHKMKRVKILEFTSAKSLVELTCHIVESITSLERLTLEAHQSSFKCYVPTHNCSKCSPLPIDVLMEAQRAVLAIRTYVKPKVPSMVKPKVPSMVKLRVVQRAVLAIRTYVEPKVPSMVKLRVVEPCRRCHAVEL >KQK96702 pep chromosome:Setaria_italica_v2.0:VII:14257230:14260680:1 gene:SETIT_009947mg transcript:KQK96702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPCPGAAALRARWTARSLAGALLDLALAWACLCLAALAAAFTLLRLPLPCTCARPHLPCLLAFLARYPPRALASIHAALRARFPFAGPTTADHPEEEAAEEEKERAAAGGAEEEAEACAELQRELEKERSAAASAAEEAMAMILRLQKDKSALEIEARQQRRTADERCAFYEDEVEELRDIVLLREREARALRKEVDAYRRLLGLGPAQDAGCDDEEEDDDDQEMVTPHSMLMSEGEPSSSRSVDASLIMQQRLGNDSGFSFKTPFFREQSVVPPVIGDRGNGGSEDGIAVQTPAKATAVQSRLELSSTEDEDGAETEDDGAETVEILPLSARSQDLDQGCDLHVDAAPGMESTKEQTPHGFQEVGCGGIDKIGCDHTGSENDANVYDVHVVDDICFSTEAKGLIGRSFSDATMQAEKLQTRAAADDLLGKSLNAIKGAQDKIRHAASERRQSLQLQLLEDIANQLQGIKDAAEAGRHVYCAPPKSSKKS >KQK97922 pep chromosome:Setaria_italica_v2.0:VII:23980516:23981080:-1 gene:SETIT_011981mg transcript:KQK97922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPPPCVLLNRKVIFVSGGTPVGSSEDVERSTGGPSKAAAAIGSPAASMEAILQAMKPDPAVDCMHGGIISSTDKALVVLYAGHYRPGCSHSPSSGCYLVYDASSNSLSAVPQLPDSYSFRALGRGAAILSRGKGEYLLAELVATTSGFRDGALYLWQCPSPSQGQWMPR >KQK99318 pep chromosome:Setaria_italica_v2.0:VII:32327839:32334158:1 gene:SETIT_009573mg transcript:KQK99318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALAAAATAVAAPTPARLRLRLPLAPRPPRSGHCRAASSSRLLRLPCSATTMGDETSTSVPAQGQEPAVGAGSVKQQLSRLVISSLRATVPEVEVEPMVEVSAKFADYQCNNAMGLWSKIKGSGTSFKNPNAIGQAIAKNLPSSDIIESTSVAGPGFVNITLSNSWVAKRIQDMLVNGINTWAPILPVKRAVIDFSSPNIAKEMHVGHLRSTIIGDTLARMFEFSNVDVLRRNHVGDWGTQFGMLIEYLFEKFPNWQEIGSQAIGDLQIFYKASKNRFDNDPEFKERAQQGVVRLQGGEEKYREAWKKICDISRSEFDLVYKRLNVELEEKGESFYNPYIPKVLAELSSKGLIQESEGAQVIFIEGYQIPLIVVKRDGGFNYASTDLSALWYRLNVEKAEWIIYVTDVGQQQHFEMFFKAARMAGWLPDPNEKKFPKTSHVGFGLVLGSDGKRFRTRSTEVVRLVELLDEAKSRSKSELLQRLTENGKIVDWTDEELEQTSEAVGYGAVKYADLKNNRLTNYTFSFEQMLSDKGNTAVYLQYAHARICSIIRKSNKDVEELKMSGAICLDHPDERVLGLYLIRFAEVVEEACTNLLPNVVCEYLYNLSEMFTKFYTNCQVVGSPEETSRLLLCQATAVVMRQCFQLLGITPVYKL >KQK96847 pep chromosome:Setaria_italica_v2.0:VII:16469842:16470424:-1 gene:SETIT_011754mg transcript:KQK96847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPGIAPTENAAPTSREPGGVVPGQPKELLPRLFDESFRSTATSFSKYSIADSSMDLSSCRYSEFGGGNQRGGGDDDDADKERPLVLCNKASRWHEQLQCCCLNFRGRVTVASVKNFQLIASAAQAAAAGASAPPARQTPQPQASSSHDTLQRIRSITMDYRYPLSAFQAFAICLTSFDTKLACE >KQK99192 pep chromosome:Setaria_italica_v2.0:VII:31426905:31439343:1 gene:SETIT_009165mg transcript:KQK99192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKAGRGKGRGGGGGKGDRRKKEEKVVPSVIDVTVVTPYESQVTLKGISTDRVLDVRKLLGSNVETCHLTNYSLSHVARGQRLEDVVEIVALKPCTLRIVEEEYATEEQAVAHVRRLLDIVACTTAFAKPRDGAAKHKSSKHGRPATPPSPPTPASTGAHGGGSGSGEGAPPISEAHDMAAIRPPPKLGEFYDFFSFAHLTPPVHFIRRKEANGASQEGDYFEIEVKVCNGKLLHVVASVKGFYLAGKPHNVSRSLVDLLQQLSNAFANAYETLMKAFVDHNKFGNLPYGFRANTWLVPPIYVDPATKCPALPVEDENWGGDGGGSGRDGKYDRRRWSKDFSVLARMPCKTEEERVIRDRKAFLLHNLFVDTAIFRAASTIRRLINQSMNSTGPHGGNHGSNIFDERIGDMHITVKKDEADASLKLEDKVDGVAFCPTGAMDITQRNLLKGLTSDESVVVKDSSTLGVVIIKHCGYTATVKVSGRANDSNDVKQTYDISDNFDGVLNIDVHDHPDGGSNALNVNSLRIPLPRIINPETVGNLYLSPKSHASNPARKLARTVLEDSLRKLDSMPIKKTRIIRWELGSSWLQHLQKKDSPTSENGKGNATKANKEPAVKGLGKHFEQLRKIKKKECNVEGSSSDKEESNSNCSPMNGLQESDKIAVDETNKGADISKLMSEDAFSRLKSLGAGLHDKSLEELTNMAHNFYDDTALPKLVADFASLELSPVDGRTMTDFMHTRGLNMSSLGRVVELAEKLPHIQSICIHEMVIRSFKHIIRAVIAAVNDMQNMSAAIAEILNILLGSPRLENGADTDAHIEHNLRLKWVECFLSKRFCWKMKDEFAHLRKFIILRGLCSKVGLELVARDYDMNSPNPFDKSDIVHIVPVCKHVVYSSIDGRNLLESSKMALDKGKLDDAVSYGTKALSKIIAVCGPYHRLTANAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPETMKSYGDLSVFYYRLQHIEMALKYVNRALYLLQFSCGLSHPNSAATYINVAMMEEGMGNVHVALRYLHEALKCNKRLLGADHIQTAASYHAIAIALSMMDAYSLSVQHEQTTLQILQEKLGQDDLRTQDAAAWLEYFESKALEQQEAARRGMPKPDSSIASKGHLSVSDLLDFISPDQERKERDMQRKCRRAKNNVRAHHGESHEEKENFQHDSGSPLLASKDGFGEEKLEVNPPVVLEENYAAHDEQKQSDVLSPEEYSDEGWQAASLRGRSANVRKKSSRRKPALTKLMVDRFENGHTGSVYRTGLQPQTKGDKEDAATARSQISFGSFLKTDKLNGDPSIVEDKSCNTTAKPERHTKPTGINRPTSIASKFVSYKDVAVSPPGTVLKPILEKKEAKEKENRHDTDLTLSSEEEDRKFTEKEKEKPSDDSSKDVLSSQPDGVGQQETPPDSNSDDSPSASKKAPGSKLSASAPPFNPGSLLSMSHPYSTVAIYDASAVLQAMPSQAMEILPHAIDTRVPRGPRSTLYYRTGHSFQRKQGYTHSQSTVVRGSYSPTTMNPHAAEFVPGKTVQHSDVADREPSTANPVTDSDQDVVSQSTDEVKAETLAAEKAGQVEKVVSGKGKENRGKDVMRNSYKTELARQILLSFIVKSVHDSLGSTRAEPDRKPSGSDEASNEQSSNLSKNASGRQDSDKQQKAMEVPKGLKDTEGFTVVSKRRRRPQPFMRPINGLYSQQSICTSVS >KQK97497 pep chromosome:Setaria_italica_v2.0:VII:21486951:21488142:1 gene:SETIT_011141mg transcript:KQK97497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPSPPHAEGGASAGAVCCMCGDQGLPDELVRCRRCRVRLQHRYCSDLYPRAAAYRRCNWCLREPASDQGRRAGHAAAAVANKAEERRKAASGSSSSSDEERRQRQRHEGFSSRRPPAEPGHPVKKKHKADQEKMPPPPPPPPAEGKEIVADASGRKEVMRAGKTRASRVKVRRYKLLAEVISC >KQK98151 pep chromosome:Setaria_italica_v2.0:VII:25360601:25363950:1 gene:SETIT_010484mg transcript:KQK98151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQPRSGPGKRRGRGPRIPATTLRKQQAALANVDQITGAKIPKSFVFSRGKLPSTLRHLQQDLRKVMLPYTALNLKEKKRNNLKDFVNVAGPLGVTHFLILSNPKSLPHLRFSNSPQGPTFTFQIEEYALAADIANSQKRPRCPPGIFKNSPLVVLSGFSGLGNPFESLVEYFQHMVPAVDPSTVKLAECQRILLLKYDKEKEVVDFRHYSIKLQPVGVSRRIRKLMQNNQVPDLRDLKDVSDYVTKAGYGSESEVDDEAATVSLPSDVDKLNRASRKSAVRLQEIGPRMTMRLVKVEAGLCSGDVLYPWPVAKESVGEKGKVTEEEIEGQEETEDELEDGSEDEMEE >KQK99004 pep chromosome:Setaria_italica_v2.0:VII:30442778:30443194:-1 gene:SETIT_011374mg transcript:KQK99004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSGAADELAACGGARKTAIAVAQGAEQRRVDGDVPVQAAEEVREADDAGTSRRCSEQELRSEAMEPGERRQRAPATALSGRKRRRDEEPEAEGTQQAAEHRQSTVLAPTTRQESS >KQK97174 pep chromosome:Setaria_italica_v2.0:VII:19431647:19432654:1 gene:SETIT_012113mg transcript:KQK97174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVGINYGQIADNLPSPARVSWMLRSMQVSKVKLYDADPYVLSAFLDTGVEFVVGIGNENVSAMMDPAAAQAWIQRHVQPYLPGTRITCITVGNEVFKGNDTELKANLLPAMQSVYQAVTALGLQGRVNVTTAHSLDIMGSSFPPSAGAFRPDVVPYMQPILSFLSMARSPFLINCYPYFAYKADPNNVPLEYVLFQPNAGVTDTNTKLNYDNMLYAQVDSVYAAIQALGHTDIDVKISETGWPSRGDPDEAGATPEYAGTYIGNLLQRIEMKQGTPLRPSVPIDVYVFALFNENLKPGPASERNYGLFYPDGTPVYNVGLRGYLPPMEYSEGTRK >KQK96353 pep chromosome:Setaria_italica_v2.0:VII:4005317:4010019:-1 gene:SETIT_010073mg transcript:KQK96353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVMASAGDGSQASASFSSTPECRIRRRRRLAPLPVSTAAGSSESAGASAAGPSREKRIRPASPTSSSSATSDVDSDVEQGEEVDEAVEEEELRPLPPAGPLHQVLAAAAAQAAWPVAFGSLSVAGRSRDMEDTVSLRPGFHTWVDGSPMHFFGVFDGHGGSHVSELCRDRMHEFLAEELAAEAASFAQRLSRRQQKAAAGEGTSAAGATTSGGGVQDEEQQQEERAWRAALSRTFRRVDALASLACACGRIASPPCRCPLSGNSGIVGSTAVVAVLVRGRLVVANCGDSRAVLCRGGGPGAATPVPLSDDHKPNRPDELARIQAAGGRVVFNNGHRVRGILAMSRALGDRMLRPEVIAEPEITVTDRTPEDECLILASDGMWDAVPNEIACSVARQCLQDGNPADAAAAGGTGPEPEPEPRCSNAASLLVRLAFGRDSWDNISVVVIDLQQRE >KQK98491 pep chromosome:Setaria_italica_v2.0:VII:27393702:27401469:-1 gene:SETIT_009215mg transcript:KQK98491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAHVVQDDALVRLALHALHGVKSSLDEIDELFMVFSSNPADRTSSRVANVWSHSSSTTSVGHILKSIRSTGLAVFFLCKFVHFYLIQSREKNCASGGRHECEGSDDKDTEQHQPFSLVNQAFAAAVEKALEGYLCSLNTLPASIKLRRSFAQCMPSKISDGVSCNSTSEVTLLEVYLHTEELRRHVKSLGNICFPKFAGLTLCQEGLNTDANVEFENFPRGTDLLSYLYVHLRDADPVHYGFLKYLFIRSCEPYFNFIKSWIYRASVDDPYEEFLITQTENKDARGDSSDILDEFTLFPLKGRNHVSAPCFLKEICHPLLRTGQQLQVLLKLLKSCNLSATGRDAYPSHNTIRMEGVLPWFDTPIESSMNSFTFSKSSVEAVTCKRDAMYKLMMEKLQHFFSNVEVIPFDTASNFLHKGTDHLATSVSDAELYYGDSDAALACKMAADEKDNDASSTSQESSDKEDPLESSECSSYTSMDDVEVESARACDDLSSSMSSPYCTSTGEAKCSLVTRKLLSSQASSVHHGINHASPIDESEKDDNLSYRHVPMHSQNIKHNAALDALELDYQYSQFSPFDRFMKRTCCSSEKMNSVEEFLYTDHKSSVQKVSHGNVVYPLHSESGSPRLLNSKHYEKSGKINQAWNTSIPYNLSLNPILRNAACCHTESDLQHKSKNRALASFDFESVTDPCEVYCERSPSCLVESVNGAATVVQPRTQPSGQPDCSSKLLQAEARSQAYLTSSGEVAEVNLQESASGGAFWEKSLQYNDKSKEKTAGDFSSEFDMPLDIVIEKCIMQEVLLQYKYVSSFTMKLLEEGFDLHAHLLALRRYHFMELADWTDSFIFSIYHKKWSFVKPEQKRAEIQGLMELALQRSSCDYDPYKERLFIYMREQPIFSFDASACGLDLLDDILLGYKAEWPVNIVIREDTLKIYAEIFCYLLQVRFAVFSLTEVWRFLKELTQLISRSSHSRPDVMKKLNFVMRVRHKVYHFLSTLQQYLHCHLSDISWRRFQHSLKNQVRDILDLEYVHMCYITDALDICFLSDESKQVATIVKSMLRLALELRSCFQILGNTCDLPENQLSNLHSLINFSQVDAIRTRFEGNIKDMYILHSKSSKYGELGLSRFWGYLNYNEYHSTKISRDMGSFCF >KQK98050 pep chromosome:Setaria_italica_v2.0:VII:24716777:24716826:1 gene:SETIT_0119171mg transcript:KQK98050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EIQLRQKACGTPSVD >KQK97783 pep chromosome:Setaria_italica_v2.0:VII:23241815:23244007:-1 gene:SETIT_012676mg transcript:KQK97783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPNDILLSILERLQLREAVRTSILSTRWMHLFSIRSRIVVDVAAFHCKDDKGSMFTPATKSMSARSSQYPISLLRIRFHLVEESIDIIHCVDGTLANRKISAVHFLILPEQRDRRCTEDELATNGRHFMRFVYAGSHASASLSYLHIRCFLMLIICYIHARSWSTSSFRVANVGLNLYVLRIEHPHLTTLALDGCVFGKIELSWLPRLKCFSYRTWYLSQDQYPLSLGYVPQLSTLKLRNEASIMHKTIRLSELLGNATISSLDLNFDSERIWIESEAPKVLGPLFQNLQILSLQCIHEECDLDWTMFFLEAAPLLNQIFIKVWDHTPSVPNCRPFQLLGDTCNIREEEYGEWQDVYQKGYNLPMWEAPADLKHCNLKELIIKGYQVEEKFRYIRRLVKAAVNLEVIVLIHSGSCEYCKFSPSTRYPWTQEERLQIKEQILEWRSSPIKLLGFESLECRPSHVK >KQK96390 pep chromosome:Setaria_italica_v2.0:VII:5100292:5103511:-1 gene:SETIT_012387mg transcript:KQK96390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTDQTNHIRKRREEEDDDMMMYLFPALYLMGSAREGGEVKVCRLLEGHIKNCQVTFRMEPHIFKELATYLRRKRLVVDTRITKEEKLGFFLYMLSRKASYEDLVVTFGHSNDTFHRHINHFFKKVIPTLSRRFLQSDNGWNTEGWNKMVKKFHLRNKSVSYTKAQIQDKECQLKTDYKMLKAARMQSGSKWNEQRNMVEGSAAMWENLMVASFPLFDALGELYDGHLAEGTYNFTSIESQRVEEPLHQIDDVEEEAEEEALQEIEIRDEEDEEKDVRDEEEARSGERRMAASRKKPEEEGQRSRKSAKIEAMMERFLEMRTKQVEDEAKQLARENEARDEEAAKGDEYSIKRCISIINTMEVTKQEKAKAYAIFTKSKENRETFICASEEDEESTLIWLRNEMA >KQL00007 pep chromosome:Setaria_italica_v2.0:VII:35736097:35738600:1 gene:SETIT_010922mg transcript:KQL00007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRVSPSPAAAAHPSAPAAAPASVRVAAPRVSPPFGTACRAAGKGKEVLSGVVFQPFEEIKGELSLVPQTPDKSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNTRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHAVATRCNDPQLTDFVESEFLADQVKDIKKISEYVAQLRRVGKGHGVWHFDQKLLEEEA >KQK99775 pep chromosome:Setaria_italica_v2.0:VII:34703898:34709198:1 gene:SETIT_010128mg transcript:KQK99775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKVKRCVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRMYEVMGSKTKIYIVLEYATGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSHGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAKPLGFNVQKKNYKLRLEKLKAGRKGNLNVATEILQVAPSFHMVDFRKAKGDTLEFHKFFKNLSKTLKDVVWKSEDLQMQPAA >KQK99175 pep chromosome:Setaria_italica_v2.0:VII:31332606:31335218:-1 gene:SETIT_010639mg transcript:KQK99175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGALPVVDLTPFLTWDEGGIARGTDTVREACRTHGFFRVVNHGVPAELLARALEQSAAFFALPDEEKARVRPAAASKAPLPAGYGRQPAHSTDKNEYLVVFDPKLGFNVYPTEPAGFRETLDECHGKLTELGLLIQEILNECMGLPPGFLSNYNNNRGFDHMTSKRYFPATEEENIGFSEHEDGNCITFIFQDGVGGLEVLKDGHWVPAEPVDGSIIVNIGDVIQVLSNGKLKSATHRVVRKSVHRHSFAFFFNLHGDKLVEPLPEFTAKIGEAPRYRRFQYREYQQLRVRNKTHPPARPEDIIRITHYAI >KQK99461 pep chromosome:Setaria_italica_v2.0:VII:32989731:32990215:-1 gene:SETIT_011616mg transcript:KQK99461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYNIRTKKPRANGIRTIYKRNNMCGSITSTQTFLMDRYFLTDHQGPRKLPRI >KQK96312 pep chromosome:Setaria_italica_v2.0:VII:3376266:3380271:-1 gene:SETIT_012127mg transcript:KQK96312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGSSSSSSGRIDGKFKTVEAKAYAKVDVIHSGGIPVMVSITALSGTTEQRAPVDLVVVLHVREGCNVPKNWKDLLFEAMYTIVAKLDDRDRLAVIPSNRLTTWMSARRFVYPQLVTSKTSLTTALESAESILYGRKDEDKKIRTGCIIVISNSNDNIDSLVSWRLRSVHAFGFRDAQNARTMHKISSSRNCSYAILDDEHGQITQSFFATISRIITSAVAAMPVEIKLMCEQTVVLHSIGTPGVSYYISYDAKSAIMWPNAHLAGMTTNIIVYLGGVIHPRDLPQLFTVHVKYGNVLDKVHVQLVKEGSDESREVAAEIVRIEALEIIAGITTEDKHDWEQLPVAAHYLRERWTRLEDFKCSREARAAGLISRLADEMREMELRLYNNYYWLEYMLSWQSHQLCQLPLPQPFMHNQSNNDPLLQLRILAKAEGKITEHQEGIPVMVRVMASDAGLAMVERASVDLILVIDASYGVKEKEEKTRERVHLLIKAEEMEEEHNKAKNMAIKLVTDKLSVMDRLAIVPVQSYVTEPATGLFEMSKQGLIETSNKLRKLSMALTDNKAAQWREQKEKAMRIIRNCLHSAPSSSSSTRSPRSAKSESTASMPAHSTDVGGSTKMLHKALMYAMKVCWMRTTYPYCIDNKSIKVLDDRRGRKEDRLGSIIVISDNDDDSICMETLSPSYMVHAFGFYGTHSTRALYHIASSSNGIYNIINDDSNQITEAFRSCVNKMTSTISVDTKVEIMCNPSCNVALSTIESAQFRYSIGNDRKSCSVLVGALSAGTVKNFIIYVDNVREDDYDNLSKYITVRVKWMHAMNRMKELEGQVVVVRDGIDGYEEVVETITHLEAVKIASDITDPNHSKMAMADMLQQMCIKCPDFERSAGDERLRWLKSQMQKMEADQHMEITDPNDYINMVAEKLSYILSWLSYQRSYCWIKII >KQK97846 pep chromosome:Setaria_italica_v2.0:VII:23547382:23548639:1 gene:SETIT_0098421mg transcript:KQK97846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DRNVFSPLMFAARCGDAAAMEVLLAQPDVDVDEQDLDGCSPILTAAKVGNVEAFRALVFAGANVKLSNKRGETAIGLAQQSKRDLFEQVMLEFALEKGMPGGFYALHCASRRGDAAAVRHLVASTGCDVNVPDGDGYTPLMLAAREGHAGVCELLISYGARCDLETPRGETALSLARATAAAFNKAEDVIMDELGRQAVLQGARVRKHTKGGRGRPHGKPLRMVAAAGVLRWGGSSRRNVICREAEVGGSSAFQRHRQRKGDAYEPGLFRVVTATGREVHFVCQGGEEAAELWVRGIRAVTRAAFGKRSSKE >KQK98411 pep chromosome:Setaria_italica_v2.0:VII:26841835:26842318:-1 gene:SETIT_012438mg transcript:KQK98411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EELLLMVLVLYALFYVLLVRFIFFDSFKQEFNKLELSTKEERKLVNGEDPLTRDFPDPLSEEFEESSSESSDE >KQK98912 pep chromosome:Setaria_italica_v2.0:VII:29912112:29915261:-1 gene:SETIT_010559mg transcript:KQK98912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHEASASAAGVDFHLPDEILAVIPTDPYEQLDVARKITSMAIASRVSRLEADAGRLRRDLADRDRTEAELRARLADSDARLAAALEENAKLAKERDSLAVTTKKLARNLAKLEAFKKQLMKSLSEDNLLQLSETGQDHDAEDNLTARVPSWKDEVSSSHSSSDTSSRSTKTESTHGGGYQFSITPHIPQKLAPGSAPMISSSAGSPRAYSTGPSSPKFLSGPTSPTRSRSESQSTFSSWQGSSSHQYSAPTSPPQRRSLTGRPRIDGKEFFRQARTRLSYEQFGAFLANIKEFNAQKQSREDTLSKAEEIFGAEHKDLYISFQNMLNRNQS >KQK98442 pep chromosome:Setaria_italica_v2.0:VII:27030570:27034193:-1 gene:SETIT_009874mg transcript:KQK98442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLPVLLAHLLLCAHGATASAAATPPPLPILPVPSYAQLRWQLSEMALFLHFGPNTFTDSEWGTGRADPSVFAPSALDAGQWARVAARAGFRRVVLTAKHHDGFCLWPSALTNYSVAASPWRGGAGDVVAELAAAARAEGVGLGLYLSPWDRHEPVYGDTVPYNEHYMGQMTELLTRYGEVEEVWLDGAKGDAKKMDYMFDAWFSLIHQLQQRVVIFSDAGPDTRWVGDEVGVAGYTCWSTFNKSSVTIGHTIAEYSRCGDPYGQDWVPAECDVSIRPGWFWHASEKPKNATTLLDIYYKSVGRNCLLILNVPPNSSGLISDEDMQVLQEFTEIRHTIFSHNFAANATVTANSVRGGQDNLQFAPSNVLEDSIYSYWAPQEGQTCWEMLFDLGQSASFNVLQLQEPIQLGQRVIEFHVDILIDELWQTIVEATTIGYKRLLKFPVTESRYLKLSINSARADPLISFFGVFMDSFSGKYNLENHNKRTNSSEVIMLRTGSAAGNRSTAAM >KQK99034 pep chromosome:Setaria_italica_v2.0:VII:30595221:30596604:-1 gene:SETIT_012776mg transcript:KQK99034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLGRRARSYICLELCGSVLNQRRHSSSRVDWKQLRPMILKRIKSRSKEYPIKRMIPVAEEVMRAREIVTEGVSTLLKAVPVHSCKFCPEVHIGATGHEMKTCHGFKRMIKDRPHKWGPGNLNDILIPVQAFHLREMFQDEIKHDQRFDYARVPAVLELCHQAGADIPDEVLYRSEQLSTTVRGHSQQPAPILPDQLRYIGQTTLDAWESLRLGVTKLLLVYPSKVCEHCSEVHVGPSGHKARMCGVFKFEGWKGMHKWKKAGVDDLVPQKIVWHRRPHDPPVLVDGGRDYYGHAPAVIELCMQVGARVPPKYHCMMKTHGLAPPVR >KQK99055 pep chromosome:Setaria_italica_v2.0:VII:30683051:30684567:1 gene:SETIT_010500mg transcript:KQK99055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGEKKKTACVTGGNGYIASALIKMLLEKGYAVKTTVRNPDDMAKNSHLKNLQALGPLTVLRADLDEEGSFDEAVAGCDYAFLVAAPVNLSSGEEDPEKELIEPAVRGTLNVMRSCVKAGTVRRVILTSSVASVYIRPELQGNGHVLDEDSWSDVEYLRAEKPPLWWAYCVSKVLLEKAACRFAEEHGISFVTVCPVSTVGAAPAPIVNTSVPCCLSFLSGDEAALGTLKGIERTSGALQLVHVDDLCRAEVFVAEEAAAAGRYVCCALNTTVVELARFLARKYPQYGVKTDFTDDDQLLEKPRVSVSSAKLVREGFEFKYRTLDEIYDDVVEYGRALGILPY >KQK99311 pep chromosome:Setaria_italica_v2.0:VII:32285512:32293499:-1 gene:SETIT_009561mg transcript:KQK99311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSWLRSAVSRAVEVGGRSGVARAVKGYADAVAHHAGQAVADILHDRMGAQNYKSFKKTVARLEEAAVSCRGGERVELLKRWLGALLDVDAELGGSDLKASEDHDPSGETDTSKAPMVLFYDADIDGAPMNFRDVFLYSQALEGITLSMILEAPSEEEVSLLLEIFGICLTGGKEVNKEIMSNVQDLAKAFSEYKDEVLVKREELLEYAQSIISGLKRNADIVRIDAETLELQRKLDEKQKSRAQSPEDQVKTSEKIAVANLEAFKEALSEVRLCSRVEELLLKKKSITPGDSLEIHSQKVDKLKVLADSLASSSSKAEQRILEHRRQKEDALNFRVKKENEVSAAEKELLDEITELEKQRDELEAQLKKVNISLNAATGRLKQTREERDQFDEANNQIIFSLKKKEDDLSKSIALCNVESNIVKIWISFLEDSWKLQSSYNEQKEKKTCDELEKCVSDFLKLTKHHLSAFKEVLNPLIESIKTYVDNLAVLNSREEAKEHEDDETSEKTNPRKSLEEEYLETEKKIIIAFSIVDHIKRLFYSEQGANSRRDDPEVKNLVDEIEKLRESFESIERPTLSIESEKAKPLPVEGSKLSPSPLQAPATPKAAHVDSPKSPMKPEQHFDSDAELATLGSELGKEDKEYSGEEISGWEFDELEEDLKS >KQK99876 pep chromosome:Setaria_italica_v2.0:VII:35168800:35171682:-1 gene:SETIT_011846mg transcript:KQK99876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPAPHHRPPVAAMASASASDTPNPIVLGCGAASVDYLATVASFPNPDDKIRSLSLKVQGGGNTGNALTAAARLGLRPRIISKVANDAQGRNVLKELQDDGIDTSFMLVAEDGNTPFTYIIVDNQTKTRTCIHTPGYPPMSPEELTKENLSAALDGADIVYFDVRLHDTALVVAQEASQRKIPILIDAEKKREGLDELLNFASYVVCSAKFPQAWTGASSIPVALVSMLSRLPLIKFVIVTLGEKGCLMLERSMIDAFEAEEIDVEALLDSLEKKVEQSSHTPNCITSKANLRISADGVGSISGRLLLGTAEVIPPDELIDTTGAGDAFIGAVLYGLCTSMPPERMLPFAAQVAGCGCRGLGARSSLPCRTDPRLADY >KQK96850 pep chromosome:Setaria_italica_v2.0:VII:16533456:16535580:1 gene:SETIT_012286mg transcript:KQK96850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLANKFLLKLSAYLKDSGYRSDNGWNIGGWNEMVKEFHLRNKFVSYTKAQIQDKESQLKRDYKMLKAARMQSGSKWKEQRNIVEGSVAMREKLMVKFQNNKASFLLFDALGELYDGHLTEGTYNFTSIESQREEETLHQIDDVEDGAQAEEESALNWLRNEMDHQNNEYTELVHWMSE >KQK99981 pep chromosome:Setaria_italica_v2.0:VII:35645129:35647748:-1 gene:SETIT_010143mg transcript:KQK99981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICCSKAKADLDDDVFHHHLWTAAAASMHTKQGWKGANQDAMAVCQDFAGHKGHIFCGVFDGHGPLGREVARHVRDTLPMKLSSALKPKTEEQEDPSTDTLKLATEQDPSSNTDLDSSDKSDSTTSSDDTSDEKHLLSSWKSIFVKTFEQVDEELKQHSGIDCICSGTTAVTVVRQGDHLFIANLGDSRAVLCTRDSKDRLIPVQLTTDLKPDLPSELARILNCKGRVFAMDDEPDVPRMWLPDQDAPGLAMARAFGDFCLKSHGLICTPEVYYRKLSEKDEFLVLATDGIWDVLSNKEVVKIVSSVTDPSKAARQLIDRAVRAWRCKYPTSMVDDCAVVCLFLNRPASPDEESFTGSGDVKPPREQAGVSFTGSFRRVVSSRSGGEASEEGTTVWRALEGVARANSVMRLPRIGRVLSWRRRSNSLDEDEDDRD >KQK98304 pep chromosome:Setaria_italica_v2.0:VII:26168663:26170598:-1 gene:SETIT_0121212mg transcript:KQK98304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLADLGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFDELEALHAAAPQPQPQQQLPPASSAQPLHAFAVPVSAPPPMSSMPPPPPGPMQPAPISSAGPAPVPAAAPMELPPAQQPLNLQGLSFSSMSDSESDDESEDDDMTAETGGSQDHLGKRKRGGGAGGSKKMMSFFEGLMQQQEVARLNREQEQLAQERAAAASRDAAIINFLQRIGGQSVQPPAAVAIPMPVQTPPPPKQPPRQPQHPPPPSPQATTPQSKPISAAPLQQQPQETAAHRDAGTPRSAPPTSGASLELAPPVTTEQHVDSGLGGGDGGAASSSRWPKTEVHALIQLRMDLDMRYQETGPKGPLWEEISSGMRRLGYNRSSKRCKEKWENINKYYKKVKESNKKRPEDSKTCPYFHQLEAIYNRKHLRSIGAASASSRNNGGSGAGAQEPNSNGEKAPAPPAAFDADSGMKKPEDIVRELNEQPPREFTTDETDSDEMGDEYTDGEEGEDDGKMQYRIQFQRPNSGGTNSAPPAPAPTTAAAPATSAPTSTFLAVVR >KQK97064 pep chromosome:Setaria_italica_v2.0:VII:18650939:18651296:-1 gene:SETIT_012419mg transcript:KQK97064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ADGLPLIMCTDCGLRRVVRCKSQHKWSLCQIFYCCPLHKRGGSSCPFWYWKEEYVDFLASRGLLPASANTYTRAGSMMVAESKYGVG >KQK98526 pep chromosome:Setaria_italica_v2.0:VII:27729372:27730630:1 gene:SETIT_011005mg transcript:KQK98526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAYSGSGYGYYSSSGTPSPPAGGDGGENAYMTVSSAPPKRRAGRTKFKETRHPVYKGVRSRNPGRWVCEVREPHGRQRIWLGTFETAEMAARAHDVAALALRGRAACLNFADSPRRLRVPPQGAGHEEIRRAAVEAAELFRPQPGQHNAGGSEAVAIAAPDAQGSGGLCGDFAYYPVDDGLEFEMQGYLDMTQGMLIDPPAANAGQSAWIEDDYDCEVSLWSY >KQK99676 pep chromosome:Setaria_italica_v2.0:VII:34171283:34172298:-1 gene:SETIT_010706mg transcript:KQK99676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGAVREVAQVYERIKIQHPLLLHYYSSHHHQPTTQLAHNLLSDALRALNLALSVMKQHPAAAGSVTPRIVKAAEPQISPPSAASADSQAIVTSTARSGKRRRSSVMMEGKKSSWVNFTTVPYEDGYGWRKYGEKKINGTSYTRSYFRCTYKDDTGCLATKHVQQKDCNSDPPMFQVTYNNGHTCKNFTTTTAANNSGSSNDLALIGCCNSSEGVTIISSRNNGHAGAAMNNIKQEQPPVLLPPPLEISALPFDASRSGESWDQYSAGDVAQIEEASAGDGLLDDPELFVLCTSFKVY >KQK98862 pep chromosome:Setaria_italica_v2.0:VII:29647495:29648502:-1 gene:SETIT_012806mg transcript:KQK98862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAYNRFFNARQAVPHETYVYLMDLLAETVRDEVAECSVDAYDYLPISVAKKMLMFRSDQELLEYISEEQPEWEIKDCSVHFDMAKPKSHMNLPSFELFKQALCYAREVEHIV >KQK97069 pep chromosome:Setaria_italica_v2.0:VII:18683501:18686584:1 gene:SETIT_012185mg transcript:KQK97069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLEVENPASTETTNRLSLKRHDSLFGDAEKVSGTTYHGSAGSWARTLHLAFQSIGIIYGDIGTSPLYVYSSTFPDGIRNNDDLLGVLSLIIYSLILLPMLKYVFIVLYADDNGDGGTFALYSLISRYAKVRMTPDQQAEDTIVSNYSIEAPSSQLKRAQWLKQMLESSKAAKIALFTLTILGTSMVMGDGTLTPAISVLSAVSGIKQKAPSLTQTQVVWISVAILFMLFSVQRFGTDKVGYCFAPIISIWFLLIAGIGMYNLIVNDIGVLRAFNPMYIVHYFKRNGKDGWISLGGVVLCVTGTEGMYADLGHFNIRAVQISFNCVLFPSVALCYIGQAAYLRKFPENVADTFYRSIPGSLFWPTFVVAILAAIIASQAMLSGAFSILSKAISLGCFPRVRVIHTSKKYEGQVYIPEVNFMMGLASIIVTIAFRTTTSIGHAYGICVVTTFLITTHLTTIVMLLVWKKHVIFILLFYVVFSLTEVVYLSSILSKFIDGGYLPFCFSLVLMSLMATWHYVHVKRYWHELEHIVPTNEMKALLEKNDVRRIPGVGLLYTELIQGIPPVFPRLIKKIPSVHSIFVFMSIKHLPIPHVVPPERFLFRQVGPREQRMFRCVARYGYSDRLEEPKEFVGFLVDRLKMFIQEESALTQHEGENDETSSNTAVSEVQTRPRRSTHSVVHSEEVVESRVSSHSRRITFHVDQTVEEEKQLIDREVERGVVYLMGEANVSAQPKSSIMKKIVVNYVYSFLRKNLPAGHKALSIPKDQLLKVGITYEI >KQK97826 pep chromosome:Setaria_italica_v2.0:VII:23449707:23451548:1 gene:SETIT_012372mg transcript:KQK97826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDPLVVGHVVGDILDPFIKSASLKVLYNNKELTNGSELKPSQVANEPRVEIAGRDMRNLYTLVMVDPDSPSPSNPTKREYLHWLVTDIPESTNASYGNEIVSYENPKPTAGIHRFVFVLFRQSVQQTVYAPGWRPNFNTRDFSALYNLGPPVAAVFFNCQRENGCGGRRYIR >KQK99725 pep chromosome:Setaria_italica_v2.0:VII:34407941:34408486:1 gene:SETIT_012896mg transcript:KQK99725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPARRRGRTGRRTRWTCSGRWPSCAACWPAAVHSRGRGGARQIWWRWLQPPSEEDWGLGKKGKRRGRGRRQGQFGPNVLRNGGGK >KQK99990 pep chromosome:Setaria_italica_v2.0:VII:35678919:35679426:1 gene:SETIT_012854mg transcript:KQK99990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVDGSTKETLFMLAANRVLLGPPHFWPLEQLNSPTPTVKKRFSSMRDGSSALRRPRRLVVNVVAVAVSLYAGSVGMAGLLLLLLPLEEE >KQK99173 pep chromosome:Setaria_italica_v2.0:VII:31315002:31319152:-1 gene:SETIT_009772mg transcript:KQK99173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPLQAHRLLISHRRLPTPARRRFTAVSSVQSAPATTLAPGPATSSILSIRESLLSGERTAVDITSEYLSRLRRTEPSVRSFIHVADAAAEREAEELDRRIASGEKDAVGPLAGVLVGVKDNLCTANMPSTGGSRILDGYRPAYDATAVRRLREAGAIVVGKTNLDEFGMGSTTEGSAFQVTTNPWDDSRVPGGSSGGSASAVSARQCVVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVVGCFGSSVFDTATILSVVAGHDKMDSTSSSQDVPDYASELVSLDSLESKPLNGVRIGIIQETLGEGVDTGVVSSIKGAASHLERLGSVVEEVSLPSFSLGLPAYYILASSEASSNLSRYDGIRYGRQVSADDLNEVYGESRANGLGHEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVKESFKDALERYDILVSPAAPSAAYKIGEKINDPLAMYAGDIMTVNVNLAGLPALVVPCGFVEGGAAGLPVGLQMIGSPFSEGNLLRVGHIFEQTLQNFSFVPPLLAEH >KQK96977 pep chromosome:Setaria_italica_v2.0:VII:17876509:17880330:-1 gene:SETIT_011444mg transcript:KQK96977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTGGADKPGSGGAGGAVKTPSDFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTTKRTLSDGA >KQK99458 pep chromosome:Setaria_italica_v2.0:VII:32970358:32971902:1 gene:SETIT_012780mg transcript:KQK99458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCGRSGAGQILLRRRREDRISALPDDLLLLILRRLDTRSALGAGSLSKRWAHLPRELDALDLRASDMLPPRYHRWVDLYKDNRAFLHCFRAMNLELLANIRRYERRAMRAFISSTERFLEGPRRRVKTLSLEFFITGNAGRMDRLVAEAIDAWGVEDLEAVAKRIFGSRGPGVDDFPIHTFPSHGLCKEPRASRLRSLKLSGCVPPPLHEYNALNRLVLQGLPAPTPVAAYEDIFTLCPQLQTLHLISCGCSTRKGISLIVTVDAPRSQIRELVVENCTFRKLSLRALPCLESLVSLQSWVIFESSSFPCLSQRNLAVCLGLEEIDWRVDLELDMFLDCTPGIRNLIIRFTGSYRWIVPSSPPSEFLPNLRRLLVADVPSSWDVTWPRLLLEMAPSLEILHIHIVHAVSKKPGEEIPWTPTELRQHHLKEFVVVGFKGTARQICLVKFVVGVCTALCHLAMFKNGHVRYRGRWDWEMVTQEQSWSDEEKGTTLKQIMDMVSSTSPVQLVLG >KQK99643 pep chromosome:Setaria_italica_v2.0:VII:34001230:34002076:-1 gene:SETIT_012481mg transcript:KQK99643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDQQQHSGGQRQCRRGITPDVELGAALALADMAGSSVAQAKAARPAVQQLRTAAAEEAMTDDEEMASTRLSLQLGMVGIQSSSCSSGSSAGRPSRQLAPAPAAATGTHGPRPRHTLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVADLSSENETIKKEKDLVMEEYLSLKETNH >KQK99599 pep chromosome:Setaria_italica_v2.0:VII:33692048:33693934:1 gene:SETIT_011766mg transcript:KQK99599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YRAFDKLEGVEVAWSQSRINDSVMGCSQKMEQLNTEIQLLRTLRHKNIVKLFASWIDEEKGIVNIITEYFTSGSLRQYRTKHKKLDMKAMRRWAIQILTALEYLHSQNPAIIHRDLKCDNIFINGNYGKVKIGDFGLATFMQQQKTRSVKGTLEFMAPELYTGNYNELVDVYAFGMCMLELVTCEYPYSECQGMGHIFKNVSQGKKPAALYKVKDSEVRSFIENCLAPAAERLSASELLRSSFLQNDSSLSSPPFSVSMVEIENVTRDGDQFDSFVFRKGEFLLNGNMEVTNPVHLWLRFPDPCGGSKAVQFPLDVAKDTGLSIAMEMAEQVELPQGGIEIITELIGAFMLILIRHWRSRVRTP >KQK98748 pep chromosome:Setaria_italica_v2.0:VII:28964549:28965355:1 gene:SETIT_012916mg transcript:KQK98748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLMPLLLMICSWGLRVMYSGSTPSLNLLLCPLLALPSLSL >KQK96331 pep chromosome:Setaria_italica_v2.0:VII:3760026:3760599:1 gene:SETIT_012648mg transcript:KQK96331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYAELAPPVQKQPRANRKRVDSITLVNIAQYFHLPIKEASKALKIGVSALKTKCRQYGIPRWPHRKIKSLDSLIHDLEYVLTTEDGHQDEWLQNKNAAAIKALKEKKKLLESEKEAIRQKPALDLRTETKLFRQLVFKRKNNARLKVKD >KQK97104 pep chromosome:Setaria_italica_v2.0:VII:19093031:19094387:1 gene:SETIT_011063mg transcript:KQK97104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPHFLNDHAMDEWVVHSIGTTVADILLWKDRNLSAGVLLGATLIWYLLEVVEYNVIPLLSQIVILAMLVVFIWSNATPLLNIPPPRIPEVIVSEHAFRHIAQTIHYKLAYTAAALYDIACGKDLKKFLLVIFSLLILSEIGSSYSFTSLLYLGFLCAHTLPALYQRYETEVDHLAARGSEDIKRFYKRIDSNLLNKIARGPVKSKPI >KQK96125 pep chromosome:Setaria_italica_v2.0:VII:709739:710681:1 gene:SETIT_011529mg transcript:KQK96125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACTPNKCQLLELFFLRQSYVLCFLCRYYLFKAAYLHLFATHILELSKVASICSFFSVNNKLISFQTQAVTHN >KQK96159 pep chromosome:Setaria_italica_v2.0:VII:1099506:1101308:-1 gene:SETIT_009753mg transcript:KQK96159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMLKLEILDLSGNNAIQVLPSLSGATGLKTLILDGCAELDHVGPGLPPSLESFSFDAGAGDDGRSTAKISRITLAGCANLVDITLLGSLSKLEELDLSGTPVKILDLKKEIQVQNLQRIFLIGCKQLRSIIWPEKRMEQLRLVCIDTRQELVLTETSRDSLVCPEQEKYCHARVSVTDMRFFQSLVATDGEVFRWITTPFKLNLNLSCTSNDDGIFISRTKKHVRSSNLHKPLISMACLIYNDISIEEIATNKADGSSALQFEPQDLHVEIGQGAINTEVLNPQSTSARAIRFMMDRVMSLHVHDSCSITTIIPKHIASTGQEIHYPALKWCRVEKCPKLEVVFHTNYDGQEIYFKQLETFWAADLLMARSIWSRGRPFDGDDIKSFAKLQAIHLHMCPRLQFVLPLSWGDTLSSLETIHIVCCRDLKQVFPVESGFLKRVATGHPNGMLEFPKLKHLYLHDLSCLQQICEAKIFAPELETVRIRGCWGLRRLPATDRHRRHGRLVAVDCEKDWWDNLEWDGLDVGHQPSLFAPRHPTYYKKRLLRTTVLR >KQK96202 pep chromosome:Setaria_italica_v2.0:VII:1660539:1660987:1 gene:SETIT_013110mg transcript:KQK96202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRTRTSSSTGFLKLATWCRLTNLILRSE >KQK98173 pep chromosome:Setaria_italica_v2.0:VII:25481852:25482828:1 gene:SETIT_012159mg transcript:KQK98173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTSPLQTETETDAEAQNNPELYQHFANLVSSLPTSEGLSSNQFHRHDQRWYCSLVPIVGAMVADACFTARPSGIIIATLPKEHPVDAADHPLISFGPHGCIKFFEYQLYTRNKIPDLDKLPDPRLFATHLPIVSLPRAIATSGCKIVYVCRDPKDHLISQWDFANKFRAMNQLEPLSVETAADLFCSGLSPFGPYWDHVLGYWHEHLAGPEQVLFLRYEEMQRDPAAHVRRLAEFVGHPFSAGEEEAGVVDAIVRLCSFEHMSTMEVTKSGKTDLVIGTVENSSFFRRGVVGDWANHLSPEIDNTKKK >KQK99142 pep chromosome:Setaria_italica_v2.0:VII:31140719:31142061:1 gene:SETIT_012161mg transcript:KQK99142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAQWLVLPQLQYILFFEGDHQQPPFDTVVQSLRSSLAATLATFAPLAGKLVHLAETGDVAIRCSASDEGVRFGVAESDADVRRLADEEEHDVQTFERLVPELDMTLLPAPVLAVQATRLEGGGVALGVTVHHAVADGQSLRRWRFVEAWAAACCGDTPPEPPPCFDRSRVRLPGGEELARSVLRKYTPDLPVATTSPVLQEDRLRFTRWTYTLDAQHIERLKQRIVRLGEAHGAPLASFVAVVALAWTCFVRCWSIPADEDAFLFFFADVRDRLDPPAGAGYFGACLSGCLVAALPARDLHGERALAAAASAAQGTIGEMVADPAGGWEFLRIPDAVPMERLMNVPGSSGFRAYEAGDFGWGMPRRVALVRMNQDGQVELVRARDGAGVQVSVSMLQREHVDAFKSLFLDLLE >KQK96761 pep chromosome:Setaria_italica_v2.0:VII:15239173:15240141:-1 gene:SETIT_012142mg transcript:KQK96761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGSHAAAAARKHLRVLLPFSDDSLRIPDELAGEIGAGEAHGKVRRVEVGRDGGGAFLGRGWPEFAAACGVGAGWIVVLRHHGGGVLTVKAFDASCCLRELGTPPADSMEKMVRGLFTVVA >KQK97753 pep chromosome:Setaria_italica_v2.0:VII:23047335:23050440:1 gene:SETIT_009751mg transcript:KQK97753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPCCPAAAWLVCVLALLACRRHERAAAAVPTLSTASRWVVDEGGSRVKLACVNWPSHLEPMLAEGLSKRPVGAIAAAVAAMGFNCVRLTWPTFLATDASYGELTVAQSFQRLNLTESLAGIRANNPDFAVVSSLGKHDVMVILDNHLSKPGWCCSNTDGNGFFGDTYFDPDVWVAGLKKMAAMFAGVDNVVGMSLRNELRGPRQNANDWYMQLGAEAVHGANPRVLVILSGLSFDNDLAFINSRPVNLSFSGKAAFEVHWYSFSNSQEWGSGNANQACARITAGVARRALYLLDKGWPVILSEFGVDNRGGNTNDNRYYGCAAAAAADLDMDWALWALQGSYYLREGVRDLDEVYGVLDRAWSGPRNASALSRVQALQRPLRGPGLDQVPPYTVLFHPVTGTCVLRRRSPPLELGPCSETEAWAYSAQEQRLAVAPRDGSSLCLRAEGAGKPASLGASCGDAMARWRLVSDSKLHVATSSSAAVDGDGALCLDVGADGRSVVTNPCRCLSADNSCDPQSQWFKLVTSTRSVAAEQTMLAQLPLKLKNWKIRSF >KQK96405 pep chromosome:Setaria_italica_v2.0:VII:5784747:5785835:1 gene:SETIT_012840mg transcript:KQK96405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRMLVSETGVRPLTNLVMRSNIEFEWSTQMMDGQCQANLCYSARHSLFHFHVFKLASVIRIPYVFQ >KQK99195 pep chromosome:Setaria_italica_v2.0:VII:31445224:31449280:1 gene:SETIT_009949mg transcript:KQK99195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVSCSHSCSALHQTPRRAWRLRGSGFGLGHAKPRIRRSVILCVGTTSGTSNPADSGKVHATQGFSVSDMDVNLQGIPSRKAEEIEKVMIQGLPEGPDSSPISTGFWEWKPKLTVYYERSGTENSKAPAVLFLPGFGVGTFHFEKQLKDLGRDHKVWTMDFLGQGMSLPCEDPAPSTIAGEQSTEAFWGFGQDSQPWAEELVYSVDLWQNQVQHFIEEVIREPVYIVGNSLGGFVAMYLAASSPHLVKGVTLLNATPFWGFFPNPATSPRLSKIFPWVGTFPLPSFVRKLTEAVWQKISDPRSIHDILKQVYADHSTNVDNVFSRIVEITQHPAAAASFASIMFAPRGQISFQEAVSRCQSQGVPISLMYGREDPWVRPIWGIKVKQQVPEAPYYEISPAGHCPHDEVPEVINYLLRGWLKNLESEGSIDLPFLEEPSYAEHGVSRELEFVREGSRKSVSVRLYGSKISLWSQLSSFLNTRASNSRVVSR >KQK99194 pep chromosome:Setaria_italica_v2.0:VII:31445224:31448588:1 gene:SETIT_009949mg transcript:KQK99194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVSCSHSCSALHQTPRRAWRLRGSGFGLGHAKPRIRRSVILCVGTTSGTSNPADSGKVHATQGFSVSDMDVNLQGIPSRKAEEIEKVMIQGLPEGPDSSPISTGFWEWKPKLTVYYERSGTENSKAPAVLFLPGFGVGTFHFEKQLKDLGRDHKVWTMDFLGQGMSLPCEDPAPSTIAGEQSTEAFWGFGQDSQPWAEELVYSVDLWQNQVQHFIEEVIREPVYIVGNSLGGFVAMYLAASSPHLVKGVTLLNATPFWGFFPNPATSPRLSKIFPWVGTFPLPSFVRKLTEAVWQKISDPRSIHDILKQVYADHSTNVDNVFSRIVEITQHPAAAASFASIMFAPRGQISFQEAVSRCQSQGVPISLMYGREDPWVRPIWGIKVKQQVPEAPYYEISPAGHCPHDEVPEVRIALLYKFRLSFWF >KQK99256 pep chromosome:Setaria_italica_v2.0:VII:31932413:31936198:1 gene:SETIT_010889mg transcript:KQK99256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGEAPAGGGGGGGGTGGEANGAPPNVTIYINNLNEKIKLEELKKSLNAVFSQFGKILDVLAFKTLKHKGQAWVVFEDVASATEAIKRMQDFPFYGKPMRIQYAKTKSDIVAKADGTFVPRERRKRIDEKPEKKQKREQHHEATQIGIGVNAYPGVYGAPQLTQLPIAGGQRVMMPEIIVPNNILFVQNLPHETTPMMLQMLFCQYPGFKEVRMVEAKPGIAFVEYGDEQQATAAMTPLQGFKITKENQMVITYAKK >KQK97283 pep chromosome:Setaria_italica_v2.0:VII:20260734:20265149:1 gene:SETIT_009491mg transcript:KQK97283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYQHGGSRSRMPPFARGGGAYSRGPKQFYPPPPPPPPPPLPAAALPPPPPALNKYEVLMEAGRLAAEYLVAKGVLPPGSVQQRGGAVGAVGWGQLPPPPPLPAGQEAPEYYNARSGRRQVDDECGIRNARSRRNRGGDYGSSNSSNYNGRGKRKFGADNRYSDSGRDRGRNRGYLDTRSYDEDDEDGAPGFKRERRSSGGIDEVGSSVSGVAGEGPSSKVEAMGESELEDTGSKASSNSNLRQKADALQEVEDENEANKMQEDSVVSNSEVVEQVLNLEGNINNDSSGAVQEAETKHLPVFSGEKVSDGRPEDSGILSEKVEDDKTLHEKAEDDTTSDEVSIMENNLPNDSINLLGYCSFARVPKRPRSVLANRNAAPARREFAVSGQINLVTAEEISQTTMDGEANTNLITNIQEDSKDEVVRQEHAEQSTTCNHVSESMTFQEKGTQDETEEMEEQKNIPQHYGVEDKEPNEPSPSFASHQNSFSLQVEKGIEIYNLDTPPQDEVLIDPPDKGKTVDSELLPNMKAEAGVTMEEEKLGQSSSFKIRDLNLVGSPEVADMRADPRLGQSSTAGCPVELQDNQQVEFGTTLGNNSSNADTFLLGNKAVQVIDIEDDPPIEAGACDTSKAKGEMVYSSMENLTNPPTNTDALHGIQDGYSLAISDYLGYQSIQTDLQAGMDLNGSEGITVMDDPIYSSLGDIGFMEVWDQQPQDYEKFF >KQK97996 pep chromosome:Setaria_italica_v2.0:VII:24375362:24380489:-1 gene:SETIT_009221mg transcript:KQK97996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRALLLGVALAFLLASGSQGLNHEGWLLLALKSQMVDTLHHLDSWDARHPTPCAWRGVNCSSAPVPAVVSLDLNNMNLSGTIAPSIGGLAELTHLDLSFNGFGGPIPAQIGNLSKLEVLNLFNNNFVGIIPPEVGKLAKLVTLNLCNNKLYGPIPDEIGNMASLEELVGYSNNLTGSLPHSLGKLKNLKNIRLGQNLISGNIPVEIGECLNITVFGLAQNKLEGPLPKEIGRLSLMTDLILWGNQLSGVIPPEIGNCTSLGTVALYDNNLFGPIPATIGNITNLQKLYLYRNSLNGTIPSEIGNLSLAREIDFSENFLTGGIPKELGNIPELNLLYLFQNQLTGSIPTELCGLRNLSKLDLSINSLTGTIPSGFQYMRTLIQLQLFNNKLSGNIPPRFGIYSRLWVVDFSNNSITGQIPKDLCRQSNLILLNLGSNKLTGNIPRGITNCRPLVQLRLGDNSLTGSFPTDLCNLVNLTTVELGRNKFSGPIPPQIGDCKSLQRLDLTNNYFTSELPREIGNLSKLVVFNISSNRLGGNIPLEIFNCTVLQRLDLSQNNFEGSLPNEVGRLPQLELLSFSDNRLAGQIPPILGKLSHLTALQIGGNLLSGEIPKELGLLSSLQIAMNLSYNNLSGNIPSELGNLALLESLFLNNNKLTGEIPTTFANLSSLLELNVSYNYLSGALPSIPLFDNMAATCFIGNKGLCGGQLGRCGSQSSSSSQSSNSVGPPLGKIIAIVAAVIGGISLILIAIIVYHMRKPMETVAPLQDKQLFSGGSNMHVSVKEAYTFQELVAATNNFDESCVIGRGACGTVYRAILKTGQTIAVKKLASNREGSNTDNSFRAEILTLGKIRHRNIVKLYGFIYHQGSNLLLYEYMSRGSLGELLHGQSSSSLDWETRFMIALGAAEGLTYLHHDCKPRIIHRDIKSNNILLDENFEAHVGDFGLAKVIDMPYSKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRAPVQPLEQGGDLVTWVKNYIRDNSLGPGVLDKNLDLEDQSVVDHMIEVLKIALVCTSLSPYERPPMRHVVVMLSESKDRTRVSSASSPASDDSSKKGSS >KQK99310 pep chromosome:Setaria_italica_v2.0:VII:32281650:32281961:-1 gene:SETIT_011617mg transcript:KQK99310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQVDRAQADQEAGWRRMGLDAVSPGWSLQAVCETGCRQRDTGANGAPVVFLL >KQK96339 pep chromosome:Setaria_italica_v2.0:VII:3825943:3830068:-1 gene:SETIT_010987mg transcript:KQK96339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNEKEVGEGTTPRGADWEVVTLTASAYEAAPGPAGTEAKPVNEEHSSSNELLMSGHFVFPPSEHENLPIQTSFEEIQPEKDVQEVSTGVEDYSIKNDAGSERVQFYDEGRNLSADDVEMRETSHDEDDGHGFVAQDDDNEAGDGSDEQSGQPFKPADSKSHDAGASCKCWLKKHMTCLYHQAKETNAIWSVVVAAALVGIVILGRWHKDKLHLGHLKWRSGSAVRG >KQK97358 pep chromosome:Setaria_italica_v2.0:VII:20769124:20770173:-1 gene:SETIT_012261mg transcript:KQK97358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CVEEWEAFEDLADRLLPPGDAAPLDALRLRGVSCVDFRAARRWIRRGLRRRPVAFHLTCDNDAPHALSEHGWPCFPGITLRSHAGAFACRLRTMRLSGVSLSDDFTDCLAADFVVLEHLHLEGCTYNFGRLASHSLRELFIDRCRREYHQVNDVLAIAAPRIAYHSINGHPPPVTAEGEMPALIAASLADPAGKLGLLRSLRHARSLDLSGFSATALLGGEDAGDFPVFHNLGTLLLDGCDVGVECQVLRRFLRNAPSLETLTLRDCAFTGDSRSKKRKARSRDKTPSSDCCSPTAYECKNLKSIELEFREGHAVDELAQALVGILKEEARPMESSVQDGKRRVKISYT >KQK98014 pep chromosome:Setaria_italica_v2.0:VII:24498750:24502641:1 gene:SETIT_011238mg transcript:KQK98014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGSGAGREAAAAGAAVARGGGGGGKGARRRKRCGLFLLLLEKGGPAAPIRHAPSPTFPSDPAAFALSVSGSFLLPCAMAGGNLFGRALSYVVNEFLVEGLANNRAFQRFAVKTNRTLESLSSKAKEVREELSEQLKEARGQDDHFRR >KQK98925 pep chromosome:Setaria_italica_v2.0:VII:29984828:29985664:-1 gene:SETIT_011088mg transcript:KQK98925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLNGGVGMEHQRRPSATSPSCKSPRTEQHGQPSSSIAFGSASMWEPGGSCWPVAFDIAVAPLDHRKFPNCAVLAWGSQPRPRGQREGKEMRRPGPSSSCRHGSAALPTLLLLLLLLAASAQAQQARTRTDPVEDVQTSGPVGSGTSLARHGNFLPRIICPARQGKSSLICSRESSARFVQETISFQMFGVEGQQGCV >KQK98692 pep chromosome:Setaria_italica_v2.0:VII:28652004:28653419:-1 gene:SETIT_010297mg transcript:KQK98692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPLAISGAQPTWVPYEATKDCSQGLCSMYCPQWCYFIFPPPPPFDVGGPSPDDSSGPVFSPLVIAIIGVLAIAFLLVSYYTFISRYCSTFGSFRGRVLGSSSGGAARGSGGGGGGGSSHGQYRSQESWNVSPSTGLDEALISKITLCKYKRGDASVHTTDCSVCLGEFRDGESLRLLPKCSHAFHQQCIDKWLKSHSNCPLCRSNITFIAVGMGMATPEPEGRGPGESAGRDTQAAEVVVVMDDLEIMCDEQQSVAGSSDGDDQEANGGTAEGMDEGDSKAEIREECPSPPKRGPSSSDPNPDSRMSIADVLQASMEDELMAARESGLLAGGAGTSRRCHGENSKEWGRSSWRALPDAMDSVPTKRLPSAGRSCFSSKSGRGRDSDLPM >KQK97554 pep chromosome:Setaria_italica_v2.0:VII:21851639:21856753:1 gene:SETIT_010396mg transcript:KQK97554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWPSRQLLLAMLCVVAASVLAAQAAGADDARTKKVGVYELRMGDFCVKVTNWGARLMSVVVPDSKGNLADVVLGKDTIAEYFNDTSYFGPITGRVGQRISRGRFVLDGKVYHLERNDGRNTLHGGGTAFSKSAWTVKEHVAGGESPYITFYYHSVDGEQGFPGSLDAYVTYRLSGPYTLGVHMSATAPDRATPVNLLLHAYWNLGGHGSGDVLGHTLRLHASRHAVLDGELLPSSGRVAPVAGTPFDFREPTAIGARIRQVMGGKVVGYDANYVIDGEPDGMRPVAEVRDVASGRAVELWANQATMQLYTGNWLNHTKGKDGEVYDQYAGFTLETMGYVDAVNHPEFPSQTLLPGQEYKHDMVFKFSF >KQK97081 pep chromosome:Setaria_italica_v2.0:VII:18845865:18847279:-1 gene:SETIT_012701mg transcript:KQK97081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVTVFKCITIGDAGVGKLCLLLQFTDQRFRPELDPTLGKPTKLQIWDTAGQELYRYINKSYYRRAAAAILVYDITRRETFDHVARWLKDAMEVAPANLTAILIGNKCGLSDRRTVSYEEDESFAKTHGLFFMESSAKTAHNVEEAFTMAARTVCKKIKDGVLDIEYIPGSVLCAYGATRLMLCF >KQK98438 pep chromosome:Setaria_italica_v2.0:VII:27021098:27022762:1 gene:SETIT_012118mg transcript:KQK98438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINNKILLESYEEEDEDIIEIHSSKSESHILSLRNEELYNKDTAIEAMDIDPSPSKRRREQERDIKIEGETDRPSRKSGNWPPEKEEPIYTYIPGQYKHMGSKRREFERTVQFQNYRSDGAILNLAAHDPIDWPNIISIWKSLIVQKYIQNQHNIGSRVEDMITYLETFLGESVKVLWEQWVETYPHYYEELKRAGSNPYNFANIISSIVIDKDPELGYTALQNERLKEIEKLTLTNWKGIKEFSQHYLYNATTAKQGYNKSIVERYFNKLPDPLGSMIFEEYKKESNGREYNISQAITFVFKQLRKICTSIQAQRSMKQSDYNFCNKIVQIPLTYGEEKYRNKKYPKNYKKGNVKTKKRYFLRRSDNRAPFLHKRNVRRYNPRKNYDSTCRCFICNSPDHLSKTCPNKDKKRYSNKQEEQEKVLIIDSVNENILVCDDDIMDDESIYSIIETDEIEYNEEDESSDEEMNLIEELAGLKIEMMDQVIRNHKRDDVNINCVFCIYYQDPGKRATCSLCIKQACRSCLEQPSDKKVVNTKCEENKISPKEESRPSV >KQK96223 pep chromosome:Setaria_italica_v2.0:VII:1940328:1940775:1 gene:SETIT_012529mg transcript:KQK96223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPADGEEYSFCALCGTCVVQILLLSMMILLLAYALLYPVKVTVSDASLSRFALNGTALSYDLSLAVSLLFAGRRFYGARLVGTGHSVKLEKSGELRLRAVGQAENAAEVLGSDGVAELVKETVAGEIQSLELKLS >KQK98392 pep chromosome:Setaria_italica_v2.0:VII:26708786:26709181:1 gene:SETIT_012312mg transcript:KQK98392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKASVALFLAVNMVVFAMASACGGHCPPSTPSTPSTPTPTPASFGKCPRDALKLGVCTNVLGLIKAKVGVPPTEPCCPLLEGLVDLQAAVCLCTAIKGNILGINLNLPIDVSLILNHCGKTVPTGFKCL >KQK99720 pep chromosome:Setaria_italica_v2.0:VII:34399459:34400035:1 gene:SETIT_011588mg transcript:KQK99720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASKFPSYVSVISDGLLCHTVGKRVPGESGSRTKKGDIMSKKHFYKHNCILKLMDCSL >KQK98966 pep chromosome:Setaria_italica_v2.0:VII:30224021:30225552:1 gene:SETIT_011259mg transcript:KQK98966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKRLLVALCVVALLAVRSESHGLEDFNGGSSTATPAMQTFFKPEAAALPEALDASMPATMAAKPEASAIPTTTTTTTTATATATPTSTASASPRRSVSVAAGVACGIAAVAVVGIAAAVAYVVRGRRGARRGTEVQLGSSAL >KQK99478 pep chromosome:Setaria_italica_v2.0:VII:33062018:33066090:-1 gene:SETIT_009981mg transcript:KQK99478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAVTVAAQARERLRASFASGRTRPAAWRAAQLRGLLRMAVEMEAEICAALRADLAKPRTESYVHEISLVTSSCKFALKNLQKWMKPKKVPAGLLTFPSTARVTAEPLGVVLVISAWNYPFLLAIDPVIGAIAAGNAVVLKPSEIAPATSSLLADLLPQYVDSSCIKVVEGGVAETTALLEQKWDKIFYTGNSKVGLIVMSFAAKHLTPVVLELGGKCPVVVDSNVDLHVTAKRIAAGKWGCNNGQACISPDYIITTKSFAPKLLDSLSKVLEKFYGKDPLRSADLSRIVNSNHFNRLKALMDEEMVYDKIVFGGQSDEQQLKIAPTLLLDPPLDSAIMKEEVFGPLLPIITVDKIHESFALINSMSKPLAAYLFSKDSKLKHQFERNVSAGGMVFNDTSIHLTNQHLPFGGVGESGVGSYHGTFSFDAFAHKKAVLDRSFLGEAKARYPPYTPGKLKILKGVLKGNPLAMVQAAVGCTGGA >KQK98221 pep chromosome:Setaria_italica_v2.0:VII:25720532:25720964:1 gene:SETIT_011599mg transcript:KQK98221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNKMLYCFNHKLYEPEDKMISMSCTSLGWLSCTTMAIVACEELCDIYVGSGVHP >KQK97389 pep chromosome:Setaria_italica_v2.0:VII:20967536:20967953:1 gene:SETIT_013047mg transcript:KQK97389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQPDLKAYISSILIHTGYHKIETHCSCLISYIC >KQK97211 pep chromosome:Setaria_italica_v2.0:VII:19621994:19623882:1 gene:SETIT_011128mg transcript:KQK97211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTELLTKFLTLLFGYAMPALECFKAIEQRPGRADQLRFWCEYWIILVLLVMFDEIAGVLISKIPMYYELKLAFLVYLWYPKTRGTDIVYETFLQPLVMQYQPNIEARLQYLRANAGDILVFYLKNFTERGYDLFLRALDYVRSQASRGSRTRSFFSFRGDRAERPSFTDDYAIGGERRDGGRHRRPRSGY >KQK99279 pep chromosome:Setaria_italica_v2.0:VII:32076031:32085527:1 gene:SETIT_009464mg transcript:KQK99279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIQKKLQSSVVGSITLPADDSLVSVNDELPDLPPLELSSLPLILKIVLEWGMKNQTLAAELISQDDDFFPKLVDLFRMCEGSRNMDGLHMIFRLVKGIILLNNFEMFNNIFSDDFILDMIGALEYDPEVCHVHNHRASVQKQVVFKEAIPIKNAYVASKIHQTYRIRYIKDVILPKVLDEATAESIDSIIRGNNVLVVCVLRDDASFIQDLFAKMKSSNISAESKSKLVLFLHEFCTLSRSLHPDQQWHLSMDLVRKGVFDIIYDVLRSQNKVLVSAGMNVLKHFLDQDPNFLRTFIAHHEENYQEGDSLLGVLVQGMVIGSGEELRCQSCLMTLLDPSAPKTARNCEVVIQAFFEKHLHILIDVIASSCPPKGIAGSASGSVGVDTMVEQHSAKPEILLNICELLCFCARHHYHRMKVNIFASNAMEKILTLTCQREVALVVAAVRFMRTVIGRKDEFLNSRIIKLNLLKPIIEAFVENGDRYNMLHSTFLDLLDFIRKENLEFLIEYVAESFWDQLVKFERLESIQAFKLKYQQIMESAKTKQSASVVDMRKKADERGADKEEENYFKDSDGEDSATAATGAQKQSMPARPKSGGHADCDDDNDDKNYDPPPRKPIKADEDDEALVKPVVRSSPDDGSHTSVKAHKKPKLRVRISFAKSVASASVTARHSGLEYEEEVARSPSTSTESSEDSDGLGGGSPGSQHQLQKGEDGNDTAEDSSPKMVLDTAKSTDSEPK >KQK99529 pep chromosome:Setaria_italica_v2.0:VII:33331915:33338089:1 gene:SETIT_009723mg transcript:KQK99529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAPGVGPGAGAGGGGGGGGAAAGLKTYFKTPEGRYKLQYEKTHSAVLHYSHGGKTVSQLTVAYLKEKPSSQGSQPSTPSSSSGMRSAAARLLGTGNGSKALNFGGGNGASRAVAGSSRIGGGLGTSTSLGGSQGVANYDGKGTYIVFNAADTLFISDLNSQEKDPIKSIHFSNSNPLCHAFDPEAKEGHDLIIGMGSGDVYSMSLRQQLQDPGRKHVAAQHYNKGDKDGSSNGSRCTSVAWVPEREGIFVVSHTDGNLYVYDKNKDGNTDCTFPAVKDQSQFMVAHAKSSKSNPVARWHICQGSINAISFSPDGAYLATVGRDGYLRVFDFSKEQLIFGGRSYYGALLCCTWSSDGKYLLTGGEDDLVQVWSMDDRKIVAWGEGHNSWVSGVAFDSYWSPPSSDGNGENVYRFGSVGQDTQLLLWDLALDEIVVPLRHPSCASPTFSVGSPSAHWDNACPPTGVLQPSPRMRDVPKLSPLVAHRVHADPLSGLVFTNESILTICREGLIKIWARPDQSENNQQSNSSEFVLGSPVPKDRAITSSNKASGSSFKKPSSVLVT >KQK98229 pep chromosome:Setaria_italica_v2.0:VII:25751403:25753128:1 gene:SETIT_010660mg transcript:KQK98229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGAIPHPCNTRVAVVTGGNRGIGLEVCRQLASNGVAVVLTALDEKMGAEAVEELEELALSDVVSHQLDVTDASSIARLADFLKTRFGKLDILVNNAAVSGVMCSQDPVDGPVASGEKFKGMDRGERLEWLWGNCWETYDAAKEGLQTNYYGTKHVIEAFLPLLQASSDGRIVNVSSDFGLLRHFRNEELKQEMNDIDNLTEERLDELLDMFLKDFEAGVAEARGWPAEFAAYKVAKAAVNAYSRILARRHPELRVNCAHPGYVKTDITLHSGLLTPEEGASNVLKVAMLPEGRVTGAFFEEGKELLSFM >KQK96824 pep chromosome:Setaria_italica_v2.0:VII:16077710:16079504:1 gene:SETIT_012789mg transcript:KQK96824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASASLVVALLFASLLAGTVFGDDIIPIIRIPLLERFHTWQAEYNRTYATPEEFQYRFKVYCENVKFIETMNRPGSSYELGENQFTDLTQEEFEDMYLMKLDEVASAPEVMGQLGTMNAGGMSGGGNTDEAPNYVDWRTKGAVTPKIKTDTCSCWAFAAVASIEGVHKIKTGRLVSLSEQEIVDCDRGGNDHGCHGGYPSSAMAWVARNGGLTTESNYPYVGRQGQCRRDKLGHHAATIRGVWAVQRNNEAMLERAVAGQPVAVSINASRAFQFYKRGVFSGPCNTSLNHAVTVVGYGADPDGRKYWIVKNSWGQTWGENGYVRLERRVSSREGMCGIAMEPYYPVM >KQK96418 pep chromosome:Setaria_italica_v2.0:VII:6442618:6446010:-1 gene:SETIT_012230mg transcript:KQK96418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIAIFNPILYDKRLFQQFTVDTYVKIESSHLDYIRNNQGILRADLYQGLVDSWHTGVEDADEVGKCTVLSPTFIRGPRNMRRRYMDAMALRKYKITCPEQYDLLISAELPNKKKYLDLYRMVTKHMMHGPCGMLNPLCPCTRGRTSCKNHYPWPFCDSTLQGKDLYPIYRRRDDGHKEIIRGHILDNQWVVPYNPYLLRTFNCHINVEACSSIKSVKYLFKYIYKGHDRASMAVREAGKKDDKGNVDEITQYREARWVTPPEMMWRIYGFDLSKNHPPVQQLQLHLPDMHMVTYHKRDKIEWVVKRPSADESMLTALHEEARGILYRNFPEHYTWESNGKFWKPRKNAVYQVGRLVSAHPAEGERYFLRVLLNHVAGATSYRGLRTVDDVLLPSFREAAKRRGLIEEDNTLDECLTKNNLFHMPSSLRRLFTTILVFCEPNDVFGLWTKHLDAMSEDYRRNNPNPSLVEQMVLIDIRNMLQSMGKDIRSFPLPGIDDAYDDASGIPPEIFEEASIDKNPEDVGLSDSLNEEQRAAYEEIMSKVDTEQGGLFFVDGPSGTGKTFLYRALLGTLRNQNKLAITTATSGVASSIMLGGRTAHSRFKIPLTLEDGGCCSFTKQSERFYPPNDWVDNINIKMISMFQGGEMVYHSFNSAIDDPHNYYPSEFLNTLTPNGLPPHLLKLKIGCPVILLRNIDPANGLLSKNSIHAEIVLGQHAGKRVFLPRIPLCPSDDEMFPFQFKRKQFPIRLSFTMTVNKSQGQTIPNVGLYVAMSRATARTNIKILALPPNAEADEEQTKKKEKKTANKKVNGQGNQNNNEQKGSSEKNERVPTVDGTYTKNIVYKEVLTR >KQK99795 pep chromosome:Setaria_italica_v2.0:VII:34790118:34791606:-1 gene:SETIT_011057mg transcript:KQK99795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPSTGPTGPAGLLLLVLTLPAAAVGEPGFADDDGRPWPPWFADAPRLGASAAFGGASASASASAFPAPLEQHWPMAAGDACCLLRRRAGSHRHERAWTTRDGDRGRERKLFLLSLGNFAVRNKKLFWSLEGREGMPGRKRATQLRVFASIRARSQIDVSAATKRSVPAILHVPLIASHTPALHWQIDFILKPPLSLIFISFKSQLQE >KQK99057 pep chromosome:Setaria_italica_v2.0:VII:30690771:30692586:1 gene:SETIT_010526mg transcript:KQK99057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGSEKKRTACVTGGSGYIASALVKMLLEKGYAVKTTVRNPDDMEKNSHLKDLQALGPLEVFRADLDEEGSFDEAVAGCDYAFLVAAPVNIHTKNPEKEMIEPAVRGTLNVLRSCVKARTVKRVVLTSSAAAVSSRPELQGDGHVLDEDSWSDMEYLTANKSSYYGYPVSKVLLEKAACRFAEEHGISLATVCPVVTVGEAPAPNARTSVPNCLSLLSGDEAAFAVLNAIESATGCIPMVHVDDLCRAEVFVVEEDAAAGRYICCSLNTTIAELGRFLAQKYPPYGVKTHLLSGDRLEKPRVCLSSAKLLREGFEFKYKTLEHIYDDMVEYGKAVGILPN >KQK99174 pep chromosome:Setaria_italica_v2.0:VII:31328071:31332225:1 gene:SETIT_010263mg transcript:KQK99174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAASGLALPRAAAPCPARTRAVPRAGFLRFAPPVALPPQQLRCCASTVDDGVVSAEASKPRLPRVVGMGSKLVGCGSAIPTLSISNDNLSKIVETSDEWIAARTGIRNRRVLSGDETLRGLSIQAAQRALEMAQVKAEDVDLVLLCTSTPDDLFGGAAQVLTEVGCTNAFGFDITAACSGFIVGLITATRFIKGGGIRNVLVVGADALSKFVDWTDRGTCILFGDAAGAVLVQACSADEDGLLGFCVQSDGNGQKHLNAATSNDESILSNTNGVPGFPPKKATYSCIQMNGKEVFRFAVRCVPQSIEKALEEAGLPASSIDWLLLHQANQRIIDAAASRLDIPSDKIISNLANYGNTSAASIPLALDEAVRSGKVKTGDIIAASGFGAGLTWGSAIVKWG >KQK98826 pep chromosome:Setaria_italica_v2.0:VII:29453142:29453898:1 gene:SETIT_011170mg transcript:KQK98826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQSGAKKSNKITEIVRMQQMLKKWRKLSVAPKHPGSPTAGSGADANGGGNAGESKAKKFLKRTLSFTDSPPSGSPPPPPKGHLAVCVGPAMQRFVIPMEYLKHRAFAALLREAEEEFGFQQEGVLRIPCEVPVFETILKAVEKNKKDAAFCYCSVEYAADEVGRGTPNNPLCR >KQK99562 pep chromosome:Setaria_italica_v2.0:VII:33534538:33535357:-1 gene:SETIT_011816mg transcript:KQK99562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTLRSLAAAGGAVPTAALGLLILCVALPAPAVVVAVDGSCNLTAGFVQVELPEGNFLVQSPYDVAENQRYSYDLATGVRTFWVYADDEPFNTVTATNPRTEVRLAGHDYSSGVWQFEGYGYVPSGTSGASVMQIHNEDGAAHATTLMLHVYNGTLRYYSGEAVEDCIYDRWFRLNVVHDVGASTVAVYVDGAPRLAVDVTPSALHYFKFGVYVQHHDVSPRVESRWRNVTVYTKPY >KQK98706 pep chromosome:Setaria_italica_v2.0:VII:28737230:28742406:1 gene:SETIT_012365mg transcript:KQK98706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEQHSMNCFNDDELQSFPADILVHCEIADGISVSAELFQGVDDDRDSRLFHSFRIQHLAPISLTCLMPLSYPSRHPPYFTLGVQWLDNAKVSSLCHMLDLIWEQQPGQEVVYEWVQWLQSSVLSHLGFDDGLAIHHSVSMTGPIDVRAVGEIVPVESVLQRLISYNEEQRHESFVSGLHNCTICLSEYAGVDFIKLPCQHYFCQRCMETYSRMHVKEGTVLKLLCPDDKCRGDVPPHLLKRLLGDADFERWERLILQKTLDSMADAAYCPRCETICLEDEENNAQCSKCFFSFCTHCRLRCHIGEGCVSITPEEKLLSLREREKVRRLSKGDIEKTISLAKEILSIKEVLCLCVQCPYCGTGISRVSGCNHMVCGNCGQPFCYGCGGESGAAGRCRVGGCVAPSRSLRQDKVGNKNHIFCWACQVHYCALCRKVVRKYAEHYGPRGCKQHSVNP >KQK99653 pep chromosome:Setaria_italica_v2.0:VII:34075844:34076608:1 gene:SETIT_012466mg transcript:KQK99653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVARVHLAMAHAALPGLLPTPPKFMMSPLLPTPPCVVILPTSSPPKPSRADAAERWDAHKTEPAAGSPTSSSSAAAAGGQRSLYGESSSPGRASSCERWDSNKKKIAAAAAAAAASSSASRTSSPGRSSSSSSRADSEERWDARKKPVSLSSSSSSARSNNKGCDGSRRRPNSRATSTAGLWDAHKKPTAALRTDEIDDGESSTGSNDMGYLDMPPPRPLPHREFYAGPGFIASPDPSMLPMPSSSMIRVR >KQK99535 pep chromosome:Setaria_italica_v2.0:VII:33380021:33381787:1 gene:SETIT_012296mg transcript:KQK99535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHWLVQQDLDRAGSGQDNLFHDVSLTFATEGWRRVNFMFPDRPTVSILIDEKDFYLLRIILLLNTHYWKTESAFPIMLINFYHVYFHFNPKLGLMNSEMTRQRKMLVQLIVLFCEAVRFKRLRAKLLEIMEDGRSFTLPQKMWSWLQDWSTTSMFALSCKQREGGGNMQDDPRVLRSVEGLEINSRED >KQK97664 pep chromosome:Setaria_italica_v2.0:VII:22501395:22503453:-1 gene:SETIT_011381mg transcript:KQK97664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVRFLMKLNNETVTIELKNGTVVHGTITGVDISMNTHLKTVKLTLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTTGKPLGRGRGRGRGRGRGRGPR >KQK97094 pep chromosome:Setaria_italica_v2.0:VII:19046913:19047411:1 gene:SETIT_012911mg transcript:KQK97094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFAYYNFIKCRCFYANLISKTIPCYLTKCILLESRVSIYP >KQK97486 pep chromosome:Setaria_italica_v2.0:VII:21432064:21434146:-1 gene:SETIT_009710mg transcript:KQK97486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLSLCRGLAARRRWFRTAACRGLAARRRWCRTAARPAALAITVSVVTAAVLLAAALFGVRLTPSGSGGNNTWVLDGGRAVLKAGTAPFATVPDPRDPTLGGLLSPDFNDSSCLSRYRVSLYRRPSLHAISSYLVSALRRYESLHRQCGPGTPAYARAVERLRAAAASNASAATSSSPGCSYLVWNPVEGLGNRILSLTSGFLYALLTDRVLLVHSGGDALDDLFCEPFPGSTWILPADKDFPIRGMEQLNLGHHESLGSVLRRGEDPGVAPWLYAHLVNNYDAQEKQFFCDDVQAQLRRVPWLVFHSDNYFLPALFLIPRYERELARMFPRRDSVFHHLGRYLFHPSNTVWSMVMRDHDEYFARADERVGIQVRTFSWAPISTDELYGQILNCSQREDILPAVPSVGELAANADGQPAKQKAVLVVSLHGEYSEKLRDLYQKNGSTGREAVSVYQPTHLGAQRSGEKEHNQQAFAEMVLLGFSDAVVTSAVSTFGYVGHGLAGLRPWVLGSPVDGKAPNTPCRRATSIEPCFHSPPNYECRAKGDGDTGRMVRHVRHCGDFPQGVQLVE >KQK96424 pep chromosome:Setaria_italica_v2.0:VII:6744268:6744759:-1 gene:SETIT_012882mg transcript:KQK96424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHNTCFFFSFSYMTGLVLLQFLVNACANAPS >KQK98357 pep chromosome:Setaria_italica_v2.0:VII:26499813:26500550:1 gene:SETIT_012688mg transcript:KQK98357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHEQVLPQVSVGGCTCSDNSSSITSLNASSPSSSDDSGSKGTKRPRRDLKHPTYRGVRMRTWGKWVSEIREPRKKSRIWLGTFDNPEMAARAHDAAAVAIKGRAAHLNFPELAHELPRAASAAPKDVQAAAALAAATLLPASSSSPVVVPSYEDDDAGDAGTVPDQVVEQHDDQAAAPCFGIENAAPLCGGTGLDLAFLDVPDAPLDFGYMLSPLPLPPSYWGSQWDEIADELCFEEPLLLWEH >KQK96711 pep chromosome:Setaria_italica_v2.0:VII:14360573:14361265:-1 gene:SETIT_012968mg transcript:KQK96711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEQWVAASTHLQGVSWDLESVWGASEVLWAHLFMVVVPEGPLAE >KQK97072 pep chromosome:Setaria_italica_v2.0:VII:18707018:18709697:1 gene:SETIT_012542mg transcript:KQK97072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVARPGARRRAWKAPLLRELAVRHAIDGMHLQKNVFDSTIRFLSLLGKAKDELKSCKDLVDFQIRLKLQPQEFLNGKQYLPPTSYNLTPDERLAMCKCLRGLKVLTGFSSNNWSLVSLKDMMLASYNSHDCHVMIIIFLAITIRAIKPVFVRMVITRMCYFFNVISQKVIDCVKLVRLQLLVLET >KQK97117 pep chromosome:Setaria_italica_v2.0:VII:19181438:19181833:1 gene:SETIT_011495mg transcript:KQK97117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRDREWTCRNTRFEPGLNGTTEDAENNEAGMPLSFFKKKKRLICNLRTRAATQGLPMKKSVSSMFARSQTATNTPINKTGT >KQK97272 pep chromosome:Setaria_italica_v2.0:VII:20178610:20179049:1 gene:SETIT_012929mg transcript:KQK97272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLFLPKIIVIGDCTIPEQMCFYYYCYLGIYSEGIINC >KQK97953 pep chromosome:Setaria_italica_v2.0:VII:24160149:24166128:1 gene:SETIT_009498mg transcript:KQK97953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPATPRWNLERPYLTGRFHQEAKAAAAAQAPGSKPFSLDSFSRGSGASPGSVIGSYAVSVQELLVIDDLLSALVGIEGRYISIKRVRGKEGYVVFQIDSSMDLALQELTRRIFPLCEDYVLVSQFVESRSHFKNGLVNHALAAALRAFLLDYQAMVAQLEHQFRIGRLSVQGLWFFCQRMMSSLNALAVLVEKATSNNTSGSATLNLLQSQAKAMGGDSAVRSLLEKMTEYTSAAYLRMLERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKEGIPSFLTNVAAMILTTGKYLNVMRECGHNVQVSFSENSKLMSFGSNHQYLECIKSAYDFASGELLTLMKDKYDLIGKLRSLKRYLLLDQGDFLVHFMDIAREELTKKPEEISAEKLQSLLDIALRSTAAASDPTHEELICCVERSSLLKKLATLKDLDCDCPADKLAAADIDQSMQLSITGLETFCLSNKVQWPLSLVISRKALTKYQLIFRLLFHCKHVSRQLCAAWQIQQVFRSVKILGTPILRSSILCRSMLKFVNSLLHYLTFEVLEPNWHLMHDRLQTARSIDEVIQIHDFFLQKCLKECLLLSPELLVKVEKLKALCLQYATSIQLLMPSIEVANSENTSKSGKSRSRTNKSQDRDQQLKLASENVVMSESILKFQAAFNSELQSLAPTLSNSSHAEPYLTHLAQCILGVRIDQ >KQK98447 pep chromosome:Setaria_italica_v2.0:VII:27069296:27072515:-1 gene:SETIT_011949mg transcript:KQK98447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQQRRSSLRSYGSNASSQSGSFDFDHDQDKERAGSQHGDGDRREVVVKIDAEPHSPVSLSAAAGVSRNNSAVSTPRAGGAVSMLAASASGSSASTSPSVGGDASRSGDSFSFKNRPPQSPSSPGESSEDPPSRLIGSFLRKQAAAGGELSIDPDFEVDDMRRPPRAPTSVSASRELRVSFQNPHKRFSPSTSSASSSSYDGGDNRNQSGIDGDTAEVLRCTSTSTGSSLLARSKTRSRLMDPPPPSSAPANEPDPRKSFVSKGLPPKSGQLRSGLIGKSGLIGKSGGFDDEDDDPFVDEGMTSDFKRDTMDCLLIMEWVSLVVIVGALICSVTIPSLSRKKVSGLHLWKWELLVFVLICGRLVSGWVIRIAVFFVERNFLLRKKVLYFVYGVRGAVRNVLWLGIALVSWHLLFDKDAKRETHTLVLPYVTKVLCCLLVATVIRLVKTLLLKVLASSFHVSTYFDRIQEALFNQYVIETLSGPPLVDESRMMAEVQRLQSAGAAIPSELEATAMPSKSGPVPKSGRLTTAPSRRGGGVSKQLQRQKTERHLDDGISIDQLHRLSQKNISAWSMKRLMKIVRYGALTTMDEQLKHATGEDELATEIHSEYEAKVAAKRIFQNVAKPGSKHIYLSDLMRFMRQEEALKAMDLFEGAQENNRVSKRSLKNWVVNAFRERKALALTLNDTKTAVNKLHQMANVVVALIVLALWLLILGIATSKFFVLLSSQLLVAVFMFGNTLRTIFEAIVFLFVMHPFDVGDRCEVDGMQVVVEEMNIMTTIFLRYDNLKVYYPNSQLAQLPIMNYYRSPDMGDAVDFTVHVGTPVEKLSLMKERLLHYLDNKKEHWYPGSMVVLRDVDDTNKLKVSIWCRHTINFHDMGMRFERRELLLQEMIKILRDLEIEYRMLPLDINVRNAPTIQSARMPSTWTFNY >KQK99518 pep chromosome:Setaria_italica_v2.0:VII:33271929:33274355:1 gene:SETIT_012556mg transcript:KQK99518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAWRPPWLALSSVLLLSPAASQQPPGCRHQCGNITVPNPFGIGAGCHRAAAAGSGGRGFELDVAGYGHEVSAISMPSAEATVLLNASRACYDRPGDADGRAAASVLEHPMALNGSAFLFSSMKRKFVSIGCPELTYFVDGGGDYVAGCMSVCRPSGSTPLPGSCRGHDGCCQNNIPFRPRHLPPLPRQLHPPRRPAGQVVNLGMTTTLLANSTGCSYAFMVDAMWFWFWLAGTNFNRTGDFAVPVVLDWAISDAPSCAAARRDPTAYACRSAQSVCLESSNGPGYTCNCTGGYEGNPYVTNGCTASPARPKGSSGNATIPDGCRPDDKFTLALKAVTGVSIGVFLPLVSCFSAHLWLQKKRLLRAKRRFFEQHGGLLLQQQLGSLASSGVTFKIFSEEEVRKATDGFAEARVLGRGGQGVVYKGVLADGSAVAVKRSRVMEEKQVSGFAREMLILSQINHRNVVKLLGCCLEVEAPILVYEYVPNGSLHGHIHTGDGGKKLVLPADARLRIAAEAADAVAYIQSSASPPILHRDVKTANILLDGEVNAKVSDFGASRVAPADGAAVAALVQGTLGYLLTCQLTGKSDVYSFAVVVLELLTGRKAFCAEDDREDGCLAFSFLAAAQAGQHREVMDGRVMAVVGLEVVDEAAELVAQCLSLSSEERPTMKEVANKLQTLTTRACSGGKNAGTKTNGVAHY >KQK96193 pep chromosome:Setaria_italica_v2.0:VII:1466248:1470296:1 gene:SETIT_009857mg transcript:KQK96193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLGCEWVVPLRQPEPGADVAVGGRDTAEHVDEEPDGVVGDVDGEDLARAGHGDAAARAAGEVDVVHPRARGDDAAEGRHGVQERRVNEDGAAGDDERGPRRVRLRSGGGEEGAEGRARGVEVEDAEARAQRGREGGVRAPQEEEPRLGGPRSHRMVCFSPKDRIGLPGRLEVVASSPSPPTDSVLSAIQGEPPRMPPPATNAADSVADDKPLVLLAQPLFPHFAAALEDRYRFVLAADADAAAAAEARVLLVPGLKVVTAELIDRLPALELVVATSVGVDHVDLDACRRRGLAVTNAGGAFSVDSADYAVGLVVAVLRRVAAAEAYLRRGRWATDGKYPLATKVSGKRVGIVGLGRIGSLVARRLAAFGCPVAYHSRLPRPSSPYAFFPTVVALAAESDVLVLSCALTEETRHMVNREVMEALGGGGVLVNVGRGGLVDEPELVRCLREGIIAGAGLDVYDKEPDVPPELFAMENVVLSDHRAVLTPESMAAALDIVSGNLEAFFAGRPLLSPVTL >KQL00003 pep chromosome:Setaria_italica_v2.0:VII:35717466:35718907:-1 gene:SETIT_010947mg transcript:KQL00003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRNSSNSCPDSLLGDKIERLDFVYPSGEDVVVDGEAVAGGGGRGELGPHLQLLVGGGLEEQRCWGVAGAVERDVDPGDELLAAGLVAHLPDALQPRGPLVVVLGEEQPPADDLEEEPARVVEGEGLRAGLQRQAGQRQRRQRRDEDLVEGVHVPQHLLPELALDLLREGVARPEVAQYVSHRHHPAVPTTTPQRRPTTPRAEGAAGGCSYYRRRHEGQRERHGFSFSFGMGTERNGMDG >KQK99827 pep chromosome:Setaria_italica_v2.0:VII:34944273:34949488:1 gene:SETIT_009236mg transcript:KQK99827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLEKSLQEDFDLPPKHPSEEALRRWRSAVSFVKNRRRRFRMVADLDTRSQNEARRRSVQENFRNFRVALYVHKAAFNFIDGAKNKEYRITEDIINAGFSINPDELASITSKHDVKALKMHGGVDGISKKIRSTFERGISASDLDTRQNIYGVNRYAEKPSRSFWMFVWDALQDMTLIILMVCALLSAVVGLASEGFPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLVVGDIVHLSIGDQVPADGLYIHGYSLLIDESSLSGESDPVYISQEKPFLLAGTKVQDGSAKMMITAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFATLTFVVLMVRFLIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDRIWISEVSKSVTSDNSLEDLNSVISPTTLGLLLQGIFENTSAEVVKEKDGTQTVLGTPTERAILEFGLKLEGHNAEDRTCTKVKVEPFNSVKKKMAVLVSLPNGTYRWFSKGASEIIVQMCDMMVDADGNSVPLSEAQRKDILDTINSFASDALRTLCLAYKEVDDFDDDSDSPTGGFTLISIFGIKDPVRPGVKDAVKACMSAGIIVRMVTGDNINTAKAIAKECGILTDDGVAIEGPDFRSKSPEEMRDLIPKIRVMARSLPLDKHTLVTNLRGMFHEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFTTIISVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVRRGESFITKVMWRNIIGQSLYQLVVLGALMFGGEQLLNIKGSDSKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGMISNWIFIGIIAVTVAFQVVIIEFLGTFASTVPLSWQLWLVSVGLGSISLIVGAILKCIAVNSDASPSSPNGYVPLPSGPDNI >KQK99776 pep chromosome:Setaria_italica_v2.0:VII:34709710:34712907:-1 gene:SETIT_009317mg transcript:KQK99776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMNSLCDETEALMDEEYVKFSNMSKELSFIRDELSSMNAFLEILADKEELDPLTKNWKNQVREMAYDIEDWIDEVMRHASQDGTAAGLIWKIIQRLSMLRTKNRISNEIRQIKARVMEVSHRHKRYKIDVSTSASKYVSVDPRLHALYADEDGLEGMDGPRNELVELLLDEGQRLRVVSVVGIGGLGKTTLANEVYKRIGDKFDCQAFVSVSQRPDMTRILANMFSQLGQEPPSQPSEVQNLINDLRKHLQDKRYFVIFDDIWEESAWDILRCALPKNEQASRVITTTRIETVAIACCSYLHEYVYKMEPLDDQQSKRLFLNRIFGSEDACPEQLRDISTEILDKCNGLPLAIVSISGLLANQATTRVEQWEHVRNSLGNKFGKCSALDSTRRILQLSYKNLPYYLKACFLYLGIYPEDYTIWKNDVVKQWIAEGFVSKVQGLDAEDVASNYFNELVNRSMISPNDVNYQNEVLSCKVHDMMLDFILSECAEENFLRINDKPNASLGLHNNVRRLSIQYDNGNHSVMDPAKNLSHVRSLAAFGGSNFLYLNPLSEFSFLRVLIVGFSDVSYKMELDFLGVCKLFQLRYLKIETNIHVQLQLPEKIGELQQLETLDIEWGSVVIPSDIICLPCLTHLIIPESTRLPDGIGNMKSLVTLQSFDLGENSIDSIRGLGQLTNLRDLKLCYSRTTISNTALCMDVLQSSLEMLCNLKYLYMYWPGICGSGLSLLNPSPRHLQTLELTYWCFPKVPEWIAELHELQVLKIAIRELSMDGFLVLARLPALTNLGLRTQVSPREGITIYSMAFPALKYFKYWCRIPRLTFEAGAMPKLERLKLRFKEIFESPSGIEHLFALKEVFLEIGGLRGKVPKRGCALSVLIMAIDMHPGCPRLKIVRCPHL >KQK97814 pep chromosome:Setaria_italica_v2.0:VII:23415707:23417398:1 gene:SETIT_012793mg transcript:KQK97814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METEAVALPEDALAGVFRRLPPLLPHQLPRSVRGIFINYIDHGRPHLFARPSSSTASPPATSPEIDGLLSFMPNDPGTDWWSVMDHCDGLLLCSVERERRLCVCNPATQRWALLPPPRAEAITISRYAGACLAFDPAVSPHHEVVLLPDVPRKPMRPDSWKVQKKRPRRRQQEIHEPFCLDSLFASLDGTTLVAEDTTHGEEFQRGSPPPQVSPDLSMDEEDREPDDPCRLMEWPPSPFRLDVFSSRTGQWEDRSFVREGESVGTVEDMRLDVSKRMGSTTRRRCAVFRQRVLYVHYHRAFIMRLALSDGKYRLIKTPTDADNVKPYLGRLAKEVCFGIVREGHLRVWVLIESGEKAEWVLRYQDDLRHCAHHSRSLYRSGTLMAGPWTVIEENNVNVRGSDDKAETLTKENLEWDSDNDDILSVDVRSEESYWGISFDILGFHPYKKVVYLTEPFGVLAYHLNTSNVQYLGNSRPKCYYRNYSNGIYESFVYTPCVIRELQEDNISW >KQK99385 pep chromosome:Setaria_italica_v2.0:VII:32684914:32689063:1 gene:SETIT_009759mg transcript:KQK99385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASVQPPAAAVAPHHPSTAAALRFPSPTSSSRVSPSPRIRPRRLAVAARAMPWPHVLTVAGSDSGAGAGIQADIKACAALGAYCSSVITAVTAQNTVGVQGVHAVPEEFVGEQLRSVLSDMSVDVVKTGMLPSAGIVKVLCENLRKFPVKALVVDPVMVSTSGDTLSGPSTLATYMDELFPIADIVTPNVKEASKLLGNVSLQTISDMRSAAESIYKLGPKHVLVKGGDMPDSTDAIDVFFDGKEFIEFRGLRIKTRNTHGTGCTLASCIAAELAKGATMLHAVEVAKKFVESALYHSKDLVIGNGPQGPFDHLFSLKSPLYKMGSLHKFNPDDLFLYAVTDSGMNKKWGRSIKDAVKAAIEGGATIVQLREKDADTREFLEAAKACVEICRSSGVPILINDRIDVALACNADGVHVGQSDMPAWEVRELLGPGKIIGVSCKTPAQAKQAWKDGADYIGSGGVFPTTTKANNPTLGFEGLRSVCSASKLPVVAIGGINAGNAGSVMELGLPNLKGVAVVSALFDRECVATETRSLRSILMNACSRS >KQK98560 pep chromosome:Setaria_italica_v2.0:VII:27934968:27935529:1 gene:SETIT_011377mg transcript:KQK98560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAAAAGWPEKLPGHDPEAAARAVEAAAEAALRRAAPWPRVSATAAGLVAVGLGGAALLVWWALAFHPAHARLWMVPAGLVLLGTPVMAWLSLLASGPCGRQPSPPPGAGAYASV >KQK98674 pep chromosome:Setaria_italica_v2.0:VII:28581661:28583076:1 gene:SETIT_010229mg transcript:KQK98674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPPQLFLCPISIELMEDPVTVSTGVTYDRRSIERWFFKYGKTTCPATMQRLSSFDLTPNHTLKSVISSWLDRASSSSSSPSNASPCKKLGRERLPSVLAGIEATPFKVTALKNLKSCMEGDVAAQEDFVACGGIEVLGRVMTQALAESSAGGDFSAFRTCEEAGSVLAALPLSDDASVELVLRPECMRPVVALVQRGSAEARLHAMAIVAKVSRASGAGRDWTTGVDVDDLVRSLLELLSDGASPKLSSRALEALLDVTALSRGARRAKAVEVGAVRVLVELLPDADRRAAERALLLLKRLCKCPEGRLAFAEHAAAVPAVSRTVMRVSGLASRLAVSVLWLVACAVTPAERVLDDMLMSGGVAKLLALVQVESSASTKEKAARLLRVHGAYWRQYPCFPTDLRDYLKFLN >KQK98210 pep chromosome:Setaria_italica_v2.0:VII:25687975:25691485:1 gene:SETIT_010250mg transcript:KQK98210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPRSMPFGAAPAPPYFDGTGDTSISKDSTQDSRRPWEKEATNPIHPPAFGRHPVPLPLLRPRTAAIRGARGREGGEGAMGAGMEADEGVMATDFFWSYTDEPHASRRREILAKYPQIKELFGPDPWAFLKIAVVVSLQLWTATFLRDASWLKLLTVAYFFGSFLNHNLFLAIHELSHNLAFTTPSLNRWLGIFANLPIGVPMSITFQKYHLEHHRFQGVDGIDMDVPSQAEAHAVRNAVSKSVWVVLQLFFYALRPLFLKPKPPGLWEFTNLAIQVALDAGLVYLYGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFSPDQETYSYYGPLNLMTWHVGYHNEHHDFPRIPGTRLHKVKEIAPEYYDSLRSYRSWSQVIYMYIMDQTVGPFSRMKRKAPKKDS >KQK97020 pep chromosome:Setaria_italica_v2.0:VII:18307668:18310128:1 gene:SETIT_011190mg transcript:KQK97020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPKLDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKVKNIKHSGNISLDDVIEIARTMRSRSMAKELAGTVKEILGTCVSVGCTVDGKDPKDLQQEIDDGEVEIPSA >KQK98944 pep chromosome:Setaria_italica_v2.0:VII:30097296:30098540:-1 gene:SETIT_010878mg transcript:KQK98944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRAFAALLTVVAFVGFASVPRALATDPTQLQDFCVADNNNQVLVNGVVCKNAKVVTANDFFFHIMPQTPNAQGSGVAAVAVDKLPGLNTLGISLARIDFVPGGQNPPHTHPRGSEILTVIQGTLLVGFVTSNQLLNNTLFTKQLVEGDVFVFPQGLIHFQLNNGKSPAVAIAALSSQNPGTITIANAVFGSKPPISDLILAKAFMLEKDTVDWVQQAFGAAAVGGGGGMPGGGGYPGGGYPGGNGTGGGYPGGPGYP >KQK99543 pep chromosome:Setaria_italica_v2.0:VII:33433666:33436724:1 gene:SETIT_011090mg transcript:KQK99543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEGKRWRFAMVCSSNMNRSMEAHSQLGRAGLDVESYGTGTHVKLPGPSLHEPNVYDFGTPYGAIYDDLRRKDPDLYKRNGLLPMLKRNTSVKLAPQRWQDNAGDGLFDMIMTFEERVFDLVVEDMNNREPKLMKSVPIINMDVKDNHEEAGVGAKLAVELCQKLEAIDGDWEEIIDDLITGFEKQHKRRLAYSIAFY >KQK96374 pep chromosome:Setaria_italica_v2.0:VII:4716391:4725946:1 gene:SETIT_009740mg transcript:KQK96374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLTAAELNYLVFRYLQESGFIHAAFTLGYEAGIHKGGIDGNAVPPGALITVVQKGLHYIELESNTEENDEEIEKDFALLEPLEIITKDVEELQQLVKKRKRERSQTDREKDKGKEKERNEEHERRPGGERERDRHDKEKEQVREKDKSEKDREHEKEKEKEKQHTERIDKVKHEEDSLAGGGPTPMDVSVTAQEICSTDVTVLEGHSSEVFACAWSPTGSLLASGSGDSTARIWTIPDGPCGSSMQSSLPGVHVLKHFKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSRDGELKQTLFKHKGPIFSLKWNKKGDFLLSGSVDKTAIVWDTKTWECKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKIGEQRPVKAFSGHQSEVNAIKWDPTGSFLASCSDDWTAKIWSMKQDKCVFDFKEHTKEIYTIRWSPTGPGTNNPNQQLLLASASFDSTIKLWEVEQGRLLYSLAGHRQPVYSVAFSPDGEYLASGSLDQCLHIWSVKEGRILKTYRGTGGIFEVCWNKEGSKIAACFSNNTVCVMDFRM >KQK97376 pep chromosome:Setaria_italica_v2.0:VII:20904322:20905911:1 gene:SETIT_012415mg transcript:KQK97376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTADGGGGAGTGIDALPGDIYVVVLRRLSAHSLARCRCVRALWRALVDGSGLLLPHALPPRAFPGFFANARAKPWRRPHPGFLPPPASRAPARDRLAFLRPHLPCAGAAAAVQHQCNGLVLCFVQDYLAGVGFVCNPVTERWARLPPPPTWWPRRYEGLFLAFDPAVSLDYEVLFLPVPPPRQSNGDAGLRQGHVTLGMFMPESFGKPQEPDDEKLLPLLAFSSATGRWTNRLLTPGRCAPARLYDRVMRRRRRSAEGGDPWARTWRSSALYRRGSLYAHCEKGILVVLHCSEGTYDMVKLPAVADAGAGAGQGERYAAGHVLSSLPVDSIFPGTEDGVLVRYASADAFRVRVWALHESAGDGGGRLLEWTLTHDTDLAAHARMLDLLHHAPSNCVPLAPEESTGRGSGKCVWFSDEDGEEAAGNGGDVGHGCTGFWNWDDASLLDMDIGADELIDVGAGAPSPFSILGCHPDKEVVYLAAGAFHVVAYHLGSAKVQYLGRVMSLGDGDRLDGVFPYRPCIVDALPHDS >KQK97861 pep chromosome:Setaria_italica_v2.0:VII:23595818:23597105:-1 gene:SETIT_011733mg transcript:KQK97861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQIVCSVTSAVTGELARILFSGIVGRLDRRAAAADKKLRRLELLLIKIHSAVEASEKHAIENASLLQWRDKLKEAAAEGDEVLAGFRKRQRASTDAARGPGDDNARHQQEQERPSSSSTTTASAAAARNNAPSGSARSTHGATETRLFSTDEDMDRLNSVVERLEELSPEIGMFVKLLKLEILKPEQTTAENRGRKTKRARPDGSSMRHSSRAAHDLEISTPEQRSKENNESMWFAKSRRPSASSGNGPACKLFGCSLNAGEPGIVPLVPAPGTNKEEGSEESCRKTPMGETVDATTQEEQERSTLVDRLEEAFAAICRSVELADGRDLRDREWLARWASILREAKGQGRAVLGAISVRRGATVAVDAGACEEAAECDDDREESELGRAWLGKWITSPAWHACARRTNCLLLRLGMRLAR >KQK96971 pep chromosome:Setaria_italica_v2.0:VII:17837060:17837573:1 gene:SETIT_011343mg transcript:KQK96971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPATADVLGQILLACQSLLRSRRSTPRRDLGIGMSGRWLLRGCRPGPAAVAGRPPAAVPEFLLFGIDDGAFQFALLNFDIIFPVFAPICEALMNQSFDKFPSSKMRFAEFDPTLSFLPCVL >KQK98373 pep chromosome:Setaria_italica_v2.0:VII:26611136:26612288:1 gene:SETIT_012468mg transcript:KQK98373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVAFRIAAFAVLPVLSLLLVSPISCYGNPRSSMSLRNYTTTSRYATSVPAKAAGGWSSGGATWYGSPYGAGSDGGACGYQGSVSQRPFSSLIAAGGPSLFKNGKGCGGCYQIKCTGNRACSGRPVTVTITDSCPGGLCLAGPAHFDMSGTAFGAMASRGMGDRLRAAGILKIQYKRVPCNYNGMGISFKVDAGSNPFYLAVLIQYENGDGDLAAVHIMQQGGGAWTPMQHSWGAMWRANSITGKPLRAPFSVRLTSGAGKVLVVRNAIPAGWRAGRTYRSKVNYGT >KQK99605 pep chromosome:Setaria_italica_v2.0:VII:33754264:33758062:-1 gene:SETIT_009370mg transcript:KQK99605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGTSIAIEIDGEAICLDSVGDDEQEAQENGEMQQIIYGAENGEQVTFDNQEQGREEDPAGNEEDREHTSIIPSCEELTEELRNKVAYSEEEAYRLYCDYGHRMGFSVRKGKQYYFTGTKTIRTKDYYCSKEGLKDDEQLTEANFNKPETRTNCKAMVRFRVDSEGQWRVIQIIPEHNHELVRPEEIHLLRSVRTLSVPKPGVLNAMVNAEIQAMHDSLHINEDGTECHSQLSIRSYTLLEPEDCEALVGYFKRRTNGQGMFYWDVEVDQEGRMTNFFWRDGRSRVDYDSFGDVVMFDASHRTNKYNMICATFIGVNHHRQNVMFGCAFLSDESPTSYEWLFKSFLLSMGGRPPKTIFTGQNESISKAIEDVLPGTRHCLCQRFIEKNLQSHLGTINDSGTFHSMLSKCMRECESEAEFDEAWAMMHHEYNMQEHQWLSDLYQQRHKWCTALHKDAFDGGIESLDRSEGSNDVLSSIDDESTSLATFVHELDKIVGSWRENESLEDIQCNQAALECTVEHSSVLQHAAEFYTHKIYKSLETDFLDGCGATSYQEVQCDETLYRFEFILQRSGPKVWVVFLNTSTMDLSCSCKKFETMGVLCSHALNALGLKNVDRIPERYILKRWTKYARKGTYPFPVDGFAEQDRSHALVYRNRAMRFVYDLLMKSKSHQNTRKLILDVLESGEKSLESVCELKRLHMHPLGKEKDGSRVEKRKKKSTKQEKHPRNVKQAVLPQPAGSVFVDPPNQDQYYAAEDIASNSSIGRPIFYQGYPATGVSTSQIQGHTNMQTVPQCASQEYSEYAAVQPPSQFGGERNF >KQK99744 pep chromosome:Setaria_italica_v2.0:VII:34522718:34526225:-1 gene:SETIT_009563mg transcript:KQK99744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAADAEQEKELLSSVVGDIRSYSGSDPLRPWLRGMRKMERALPPATLREKLPRFLQKCAQEFQDDLRYRDDPRYLRVWIQLMDYVADAKPLLKKMERNGIGLKRASFYMAYALYYEKHKRFNDAEKMYRLGIQNLAEPIGELHKAHEQFILRMESYKRRKDKLQERMARKAGPSATMPTKAECSATSMNQVEGESRNSKELKSNTIQKSGSSSNTSLGRHPPLGPAKVGMLSRGNSGANKNLSRCNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAINSMFLEPVEPETMLKRQSKREKSNFNQQPSAFDIFVDEDEPNCNGSKMLHRNSMKQEHPKFSQQTRGFEIFVDEDGPNGNDQNAEQNRNSRKANMKLNQETSGFEIFVDEDGPNGSDQNAGQNRNTRKENMKLDQETCGFQIFEDENEANGSVQNAMYHKNNGLPPRPLCDSSRHQGESDFQKPFVGGFAILPDDEEEQCEKTAGVTINSRSVQPTRDNNTLLCLVQADSGTRYHEGPRPASSGLKEDTVIHRFVGSTIDDESKVENACHHGLVDPTVNLKEAMDDINNMFGRPLNFKGERTKRKTNAVSDRKAAPVSGFSILADDDLKENTHKASQSNSCKFGDENGLFEPTITTRDVMVEINNMFGMPLDF >KQK98453 pep chromosome:Setaria_italica_v2.0:VII:27083604:27085703:1 gene:SETIT_012714mg transcript:KQK98453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSSFRQSRRRPATRRAAPPAAARGWRDAEEDGRELQGGGGPRAAIRHGGRPPRPPGARQGGAVLGRGRGPQVPRRAPPGGGRREARRGRGAQGREPPPRRGRGRGRGGVASAPSKAAARKASRVAAPPPKVTEAELTRRREEERLRLEREAEAAKKRAARMAEEEEYERVVLVANTNRDDSLIEARSVEEAIARMSVVDPQAALPADKHPERRLKSSFKAFEEAELPKLKEEKPGLTLNQYKDMIWKLWKKSPDNPLNQAAE >KQK98463 pep chromosome:Setaria_italica_v2.0:VII:27159398:27163398:-1 gene:SETIT_010013mg transcript:KQK98463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVNIGLIVPVMAQYSAPTWATIVAGLFTLVALSLSMYLIFEHLSAYNNPEEQKFVLGVILMVPCYAIESYISLINPNTSVYCGILRDGYEAFAMYCFGRYITACLGGEDKTIAFLKREGGSGSGQPLLHHASEKGIIHHHFPVNFILKPWRLGTRFYLIIKFGIFQYMIIKTLTASLSLLLEPFGVYCEGEFNLRCGYPYFAAVLNFSQYWALYCLVAWYTATKDELAPIKPLAKFLSFKSIVFLTWWQGVVIAILYALGLLRSPLAQSLELKSSIQNFIICIEMGIASAVHLYVFPAKPYALLANQSPGNISVLGDYVSSDPVDPFEIKESNRPTKMKLPQLEPDERSVTNIKESVRDFVVGSGEYVIKDFKFTVNQAVRPVEKRFDKLMKKKDKHKKTQDDNWVSAATPERPIRGIDDPLLSGSASDSGVTKGKKHHRVVSSNAAMDSWGGGDQASDGYEIRGRRWAVKN >KQL00043 pep chromosome:Setaria_italica_v2.0:VII:35907938:35910351:1 gene:SETIT_010720mg transcript:KQL00043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIGIKYEEEYILNSRGMNLFTCQWRPSNYEPKALIFLCHGYAMECSISMRGTGARLAHAGFAVHGMDYEGHGKSSGLQGYITSFNDIVVDCSKYFASVCEKVEYKNQKRFLLGESMGGAVVLMLHRKEPTYWDGAILVAPMCKIVEDMKPHPIVISILSKLSNVIPTWRIIPNEDIIDRAIKSEEWREEVRNNHYCYKGKPRLKTGYELFMASLDIENNLDKVTLPFIIVHGGGDAVTDPSVSEALYTLAESKDKTLKLYPGMCHALTSGEPKENIDIVFSDIIKWLNERVSTS >KQK96111 pep chromosome:Setaria_italica_v2.0:VII:457751:461797:1 gene:SETIT_011897mg transcript:KQK96111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPRSKFRWLLSACLISLLLQLVGAIRTSLFWPPASLPSLAIPRQDELVEQLWLSCSLDLRIPQDVRNKSHYSLLFNTISNSYRKNNKKSSPQKISIEEAIAATLSPAEVANTFLDCLNKRNSSFSEHGQQKQLQETRSLLPNRNSNNPPFGIKRRLLLEGASAIAPPPPSGKQAIMRSLFSFEAEARPLVTSMKKASKPEPKSKSKDNSGTVIAGLSVACIALVALICSSRKSCSTPIDVNRLGALSINSSDSQHKEFSLPSKAAGREMSMKSEFERRSNAQAMKLSSHEITTIAGRPLASTNSQDVKGAVAIPSSNASESACETAAGPGPLPPPPPPLPKVPPPPPAPSAPPAPPAPAPPPPKVPAPPGSSKPPPPGPPPPPAPRPAAGPGPPPPPTRAGAGPPPPAMPGPPKPRGPPPLKKAGNVAGPSAADSNKTKLKPFFWDKVTANPDQAMVWDQIKAGSFQFNEEMIESLFGCHSTDKKTGDGKKDLAAKDVPQFVRILDAKKAQNLAISLKALSVSAEDVRSAVMEGHELPTDLIQTLIRWTPTSDEELRLRLYTGELTQLGPAEQFLRTIIDIPYLYQRLDVLLFMSSLPEEAANAETSFKTLEVACHELRNSRLFKKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLADVKGVDGKTTLLHFVVQEIIRSEGVRAVRAAKEQSNSSMSSVISDDLTEDVSDDTEHYKQLGLGVVSSLGDDLQNVRKAACLDSDALTISVASLGHKLVKANEFLNTGMKSLDEDSGFQRKLVQFIEQSQVRVTHLLEEEKKLRALVRTTVDYFHGSTGKDEGLRLFVIVRDFLAILDKVCREVKEAAAKAASNKKPSAAGPGSRGRQTSQSSTSFRDPRQQLVPAIQDRRSAAARSSSSSSDSDG >KQK97086 pep chromosome:Setaria_italica_v2.0:VII:19003127:19004724:1 gene:SETIT_010523mg transcript:KQK97086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQAQQLLSHASFLPHHDLGQYAFRALEGAAVGAGAGGVFLDELGIAGCAPAAAAGIGDAVFGGAARSELTCNGGGGGEYDALQPRKRARVATGLLECGGQQGSLVLPLAAAPQSLTFAGDVQSRAVGCGAASTSGRAAANGVLSQLYHHGAEIDALVRLETERMRVGLHDARRRHARAVVAAVERAAAGRLRAAEAELEHARCRNAELEERLRQLSAEGQAWLGVARSHEAVAAGLRATLDQLLQQPACGALAGPGVDDGAEAEDAQSCCFETSPSVLVADDAVSRAASPSCKSCGGGDACVLLLPCRHLCLCRACEAAADVCPVCAATKNASLHVLLS >KQK96273 pep chromosome:Setaria_italica_v2.0:VII:2871113:2872562:1 gene:SETIT_012085mg transcript:KQK96273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAQLFTLGLAIILFLAVFRRRIMHGRAMAKPALIEISDSAAARTAMIDHADAFSNRPLTLFPVALVTGQRRRRGDSISSAQYGPLWRALRCNLTSEALHPSRFDQLAPLQRESIAALVASLSATVAGSNGDVVVRDSVHAAVFGLVARLCFGDLVGDARQLLAMRRVMQEFVRAIGEANVFARSWLTKLVYWRRWRRFLGYRGQQAAFFLPLVSERERRRRSGCCNDGGIRPYVDTLIDLRVPDDDDNDKDDMVSLLSEFLGASTESAVSCIEWALAHLVTQQEIQKKLRREITGAHGEGVVSEERLRSLPYLHAVVLESLRLHPPVPFLMRDVHTEGVAVGMDTVPVGGTRVHFLIRDMARDRKDWTDPDEFQPERFLAGGEAEGVGAVPGPKEIRMMPFGAGRRYCPGAGMGMMHVKCFLAALVREFEWADGGGDVDFTELDGFFKVMKTPLRAHVKRST >KQK99325 pep chromosome:Setaria_italica_v2.0:VII:32355471:32355992:-1 gene:SETIT_012448mg transcript:KQK99325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSSSLARYDANVLLAAVAALSGAVAFVAALHLYARCLLQRRVALAEGNPRVLVLRRPPPDDYELEVVVRAGGHGACGQGAAGLDAKTLRALPVFMWESSNVTAAEHDGQCAVCLGEMEDGELGRLLPACSHMFHVECIDAWLGVSSTCPVCRTVAAAAALAATVPVAPDD >KQK98684 pep chromosome:Setaria_italica_v2.0:VII:28612549:28614135:1 gene:SETIT_010445mg transcript:KQK98684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALTFCKLPSTLVPFNAFFLPSPPVLTHLNYTPPWILSERWPRSGPPMAVGTRRELRSATGHVVERQVEEGQTGSRRVGRERATAAHATCAIGTAGRLHLEAGWRCLGLLLLQRKALVGDVGEGRGDDDDGAGSVERADDVPADHLPLAPREEDGEAGGAGGSRRREEGARERQDLEPSVQRHDGARGRRRLPQSHVGDHAAAAQNADAALPPARVRGDGLEHVAAAPDLQDVGAQRVGALPRDDHRGLGLVLRARRAPAGPAGDHLPVPATAAARAISSWAGRGRPAVVLAERPAVVAVAAAAAIGVVVGGLRLLAGVVVLQLEVGVEVVELVDVARRGGAEVEACHASLLAHVLM >KQK97935 pep chromosome:Setaria_italica_v2.0:VII:24059703:24059909:1 gene:SETIT_011662mg transcript:KQK97935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KRQQRGVGFRIPKKSFRLCVREEYGTRALDEPAVVLRPTALQREIELRTTTRQIAGFLRSYAGRTMWS >KQK97599 pep chromosome:Setaria_italica_v2.0:VII:22103593:22105413:1 gene:SETIT_009638mg transcript:KQK97599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRALRPRPIQRRPPAAEPPPPVSPPWYAAPRSTLPPPGEADPLLVAASEVAFALPVHPAPLPAAAPAPLLRLLPAFTSAHFLSLLRCNPLSVPPLPLLSLFRILLASPPGLFRHTPASFLSVSHHLHGHRLPHLALPLLRLLVSRLGRDSPQRLLTQLLSTVSPDDPAPLVWELANAYADEGLLPDACSLVLLALRSGVRVPVTAWSGIMSRLPTAPEAYAFYLQLLDAGVPPEAKLFNVLMRDMIRSGELASARDVFDEMLRRGVRPTVVSFNTLISGLCKAANLDSANALRGLMAKVGVAPDVYTYGALMQGLCMAGRIQDALEMFEEMCERGVNPNTVVFTTLIDANCKEGNVAAGLELYREMAARGVQTDLVAYNALVNGLCRARDLKAANDIVEEMRNTGLKPDKVTYTTLIDGCCKEGELDMAMEMKREMSDEGVALDEVTYTALISGLSKAGRAVEAERVLCEMMEVGLEPDNTTYTMVIDAFCKNSDVKTGFKLLKEMQNKGRKLGVVTYNVVMNGLCRLGQMKNADMLLNAMLNIGVAPDDITYNILLDGHCKYGKVRDAEELKNAKGMVSDFGAYTSLINEVVKKKPTKSYHDNR >KQK99151 pep chromosome:Setaria_italica_v2.0:VII:31183113:31185604:-1 gene:SETIT_010646mg transcript:KQK99151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVAVLVAPTTAPRRCWRAAAASSSAASGVDLKALQAAIDKKSSDDVKQALDQLRELGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLAVLAGQLPGDWGFFVPYLIGSISLIVLAVGSVAPGLLQAAIGAFSTVFPDYQERIARHEAAHFLVAYLIGLPILGYSLDIGKEHVNLIDEQLQKLIYSGQLDGKELDRLAVVSMAGLAAEGLEYDKVVGQSADLFTLQRFINRTKPQLSKDQQQNLTRWAVLFAASLLKNNKAAHEALMSAMSQNASVLGCIEAIENAS >KQK99870 pep chromosome:Setaria_italica_v2.0:VII:35135950:35138659:1 gene:SETIT_011788mg transcript:KQK99870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREGVAVAGGHESGHGLFRADITMTEAQEAAKGYRSSPSPSTSPTPSPPPAEAGHGGDATATPLAWSLGGDKPSEAAGDNGMQTAGQSEQSNLSSGRRRGRPRGSGRRQILATLGEWYALSAGGSFTPHVIIVGTGEDVAARIMSFSQKGPRSICILSANGTISNVTLRQPDSSGSTFTYEGRFEILQLMGSFTMAEEGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMNLQQQAPPAPALPAPVAPPPLLTAAMPISQAAPGNGYHGPPASAASPQPHATVEHGAMNLNTTGFTMVGWPASSPPMAHRASPDINISLTPQE >KQK96637 pep chromosome:Setaria_italica_v2.0:VII:13225553:13226159:1 gene:SETIT_012265mg transcript:KQK96637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSPLKRRRSPSGFSSSSSAEEVTSGIDGSGLVAIVTGGSHGIGAETCRVLALRGVHVVMAVRNPSSGARVKEEIERQAPTAKIDIMELDLSSMSSVRRFANNFKALNLPLNILV >KQK97553 pep chromosome:Setaria_italica_v2.0:VII:21845019:21847725:1 gene:SETIT_010427mg transcript:KQK97553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRELLLALLCGLIAASAQLATAGAGARKMVGVYELRKGDFSVKVTNFGARVMSIVFPDSKGNLADVVLGMDTIAEYVNDASYFGPITGRIAQRVARGRFVLDGKVYHMYKNDGNNTIHGGGRGFSKSIWTVKEYVGGGDSPYITFYYRSFDGEEGLPGNVDAYVTYRMSGPYTLGVHMNGTALDKATPVNFLLHAYWNLGGHGSGDVLGHTLRLHASRHAVLDEELLPSSGRIEPVAGTPLDFRTPVAIGARIRRVTSGKVIGYDTNYIIDGEGMRPVAHARDGASGRALELWANQPTMQLYTGNYLNHIKGKDGKVYEKHGGFCLETMGYVDAVNHPEFPSQTLRPGQVYKHDMVFKFSF >KQK98682 pep chromosome:Setaria_italica_v2.0:VII:28606801:28607187:-1 gene:SETIT_012944mg transcript:KQK98682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPRLSICCVVSRTHAVTGPRHERNQGMGTPHSQLVASDLAHNFVRDLSHLASLKRHVTFNL >KQK99929 pep chromosome:Setaria_italica_v2.0:VII:35432232:35432726:-1 gene:SETIT_013097mg transcript:KQK99929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVQLQQIKKQYNETFRKKNGDRKRIGICTHIEPRA >KQK99888 pep chromosome:Setaria_italica_v2.0:VII:35244093:35245319:1 gene:SETIT_010766mg transcript:KQK99888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPLSLAGRSTAASTSCTISSCIVPTVSCFQLFPGRGRSRRRRRWRTKKPSSSNCGGNSSFPSGGSSGLDLEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFIFELLYGVTPFKGYDNEMTLANIVARALEFPKEPSVSSAAKDLVTALLAKDPARRLGATVGAAAIKRHPFFNGVNWALLRCATPPYVPPPFSLGNIKAAAGGGGGGNNANDDDMSDDDSCPGTPVEYY >KQK96856 pep chromosome:Setaria_italica_v2.0:VII:16611563:16612912:1 gene:SETIT_011999mg transcript:KQK96856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGALSDWRNKRIAVVTGGNKGIGLEVCRQLAGNGVAVVLTARDETRGAAAVEKLREAGHSDVIFHQLEVTDALSIARLADFLKASFGKLDILVNNAAVGGAEYVQDLVYPSTGEDPFAGMDEGQMSEWMRRNTRETHNSAKETLQTNYYGTKQGTEALLPLLQASADGRIVNVSSVIGQLRYFVSEELKHELNDVDTLTEERLDEVLDAFMKDFTAGAAEANGWPVAFSAYKVAKAAVNAYTRILARRHLDLRVNCAHPGFVKTDMNKLAGLLTPEEGARNVVKVALLPAGGPTGKYFAVGHEAPFV >KQK96785 pep chromosome:Setaria_italica_v2.0:VII:15542584:15549355:1 gene:SETIT_009193mg transcript:KQK96785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDGDTSPPPPPAPAPPRACGAGHRASHSLPTSAGGRVCLSCAAALLSSAGAASTPSHHVAHALASLSLALADPAFLVPLRAAHPRLLAAPLAEALAGAAARRDAALAAQASDLAADLAAAVGAPAASELVARLARVLSSGSLVKHLHTLHCLGVLLNTTKDGAAYIGDKLSLFLNLVNDLRLPSDEIRGEILFVLYKLSILNATPWDNMCDNGDVDLSAIGRNLLQLSLEVLLKTQNDAVRLNCVALLLTLAKRGPFDIVLLGNPSSINCLEAEHMQTDYMSLNASLVLFAEAVKGSLLSTNLEVQTGTLNLIFHFLSSDANICALLQTLINENVADYIFEVLRLSGKNDVLVISSIQVLLLLARSEEKFKEKLAIGFSTLLPVLHYVAEIPFHPVQSHVLELVWICMVNCSGILSLPQEEQIVCTLTAILRRNGNGELGMSSETFILVCSILIEILKSPHAHDIEKLPPFIEEASKYAISSTLSHEYDSMILIPHSLLLLKEALLFCLEGSKYNISSKKDLEDSIIETCGTILLHWLENAVVDGNDDESLAGILQIFQIILSRATDKKPLKFAELLASSSWFGLSFGFMGLFPTDHVKSVVYLVTSSIVDRVLGCNYGEAIRDAHIYLPSDPTELMYLLGQCSTEDFNLASCQCAILSILYACSFYNERLAADNQILASVEQYILLNGGNFPQEINCSVFLTLLVHLYAFVRGICCSCSIPHSPEAENTLFHVMRHKDWDLLAIRVHPVAIKWLFQKQELMEPLTFQMLNFCKTFCEDETVMLSNSSQLVDIQMVAELVLSGETIVSFLLVSLLNQIVKEGTEDEVFSVIRVIAEILMISPCSSDQFISCGIVDSFRCIYCLPYSSRIKTVCSYLIFNTLCSASASTFSEEDEWLPLTVKLLEFINSGIDYTSSNQERKILIGILCFVLHHSANKVLVEPAKAIILNSSLVSLTDVIVHTACAKGPSLFQHNQETDFGEFMILVLLMVFFSLRSLHTILEASIDWQDFLQHPDDAQSFSVLGIPCHDLCRLMHFGPPSVKLIASQCLLELLTRISDQRTCTQAELRCSVKYLKSIIAVTEGLVFSEDCKVAGNCGACLSVILGWEKFGSQEKVATRESKWFRLIMEEFAVALTAPGLTSKSFTNQQKFAANLAVSLLRLSQVPDWLTSLFDSHLISGIVTNLSARNVTAEIVNLFTELMARKYLSQEHIVALHNLFQVCRSHVYEGSSKAQMLEQNVKKVARSTDDVLALLFGLMLNQCTESGVVQPEQQRLLRAIDLFVQESSGREQR >KQK98520 pep chromosome:Setaria_italica_v2.0:VII:27698509:27700600:1 gene:SETIT_009730mg transcript:KQK98520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSCAGGATVACRDEARRHLHGDAACVMVSPLLAKPKAGEVYIAVPVDDEVPVLTSKLDGRFTAAVKEAVSLFPGFEFPTDARGEARSILALALPMILTGLLLYLRSMISMLFLGRLGGLALAGGSLAIGFANITGYSVLSGLAMGMEPICGQAFGAGHYSLLGITMQRTVLLLIAAAVPIGGLWMHMRPLLLLCGQEASIAAAAETYILASLPDLVLQAFIHPVRIYLRTQSINLPLTVCAALAIAIHLPINYVLVTVLGLGIKGVAFASVLANLNLLLFLLAYIFFKGVHKRTGGFAFSRENFRGWGELVSLALPSCVSVCLEWWWYEIMILLCGLLLNPQATVASMGILIQTTSLIYIFPSSLSFGVSTRVSNELGAGQPEEASRAATVGLMLGFAFGGFATAFAFLVRNVWASMFTADPAIIALTASVLPILGLCELGNCPQTTGCGVLRGSARPKDAASINLRSFYLVGTPVALGLAFWFHYDFKGLWLGLLAAQATCMVRMMLVIGRTDWASEAKRSRQLTGAGDGKDAAAGGDEKSRSLLATDVEQANDHSDRC >KQK99668 pep chromosome:Setaria_italica_v2.0:VII:34138807:34139049:1 gene:SETIT_012558mg transcript:KQK99668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMLGDSPAAAVDASSAPLLVTPAMVGGSLQPPAGGGSGASFDADMVVILGAMQCVLVCGIGLNSLIPCLRLHYCGRRTLT >KQK98188 pep chromosome:Setaria_italica_v2.0:VII:25550166:25551608:1 gene:SETIT_012227mg transcript:KQK98188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YRADAAGTGPLLPAATRAAVAEFVATGIFVFAAEGSVYGLSVQGHGDAGRPLVAVAIAHALALAAAVAVASNASDGHVNPAVTFGVLVGRRISFGRAVVYYWAAKLLGAVAAAFLLMLVSGGTRPMGFGLGQGVHERHALLLEAVMTFGLVYAVYATAVDHRSRVGFVLGANILAGGPFDGAAMNPALVGWSWRHHWVYWVGPLIGAGLAGALYEFVMVEQPPSEAPAAAAGPRMLVAAAED >KQK98397 pep chromosome:Setaria_italica_v2.0:VII:26731301:26732230:-1 gene:SETIT_011344mg transcript:KQK98397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSLIGMSMTAPSTLALMAVQRQTAASKSARPPMRLQHGSAGTLPTSPLTRPSTLAHTPNLSAFTGHLPLDGGGEEGGGGGGTTGGVGVGQLPQALATVNRRRLRAKKRTALDAMAYARLN >KQK96585 pep chromosome:Setaria_italica_v2.0:VII:12322962:12326848:1 gene:SETIT_010519mg transcript:KQK96585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVAATAARWPGGGTAARALPPAAKGPCRVAAEPEQKKAATARFVKYRLLSWMKPMRHDVRVQMSNVNVGAGAYEGDGATNHGEHMDNSATKDSNKPTKPLSGSSYLQSIGAVLLLCALAAGFFVLFKGQPSAVVSMLARSGFTAAFALIFVSEIGDKTFFIAALLAMQYQKALVLLGSMAALSLMTIVSVIIGRVFQSVPAQFQTTLPIGEYAAVALLAFFGFKSIKDALALPDSSSGNLEGNSESGELAEAEELVKEKVSKKLISPLEVVWKSFSLVFFAEWGDRSMLATIALGAAQSPLGVASGAIAGHLIATVFAILGGAFLAKYLSEKLVRQLKVWS >KQK98598 pep chromosome:Setaria_italica_v2.0:VII:28205913:28206227:1 gene:SETIT_012033mg transcript:KQK98598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKCSVTLAAMVVAILAVAMAIGSTEATSHLTSWAGPGCSGQTAIAGSCGCSNLQFYDGQEKSYQGQTARLYTETGCAGTSYLVFEDTQACGDFGWRSISIDC >KQK97089 pep chromosome:Setaria_italica_v2.0:VII:19017698:19023348:1 gene:SETIT_009263mg transcript:KQK97089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAPPQPDHPYTSGGLGYFHLRLVGPAPALLLLRSDRLYSLSPSHRRGYRLRLLLARPHRRRARDLLLSTSGCALRLTHRFSGSGAPSVNGRPLRAGTPAELAVGDDVSVLWRGARYGLVVESFVSCRGGGGSASAGARSCEAGLAFRAESLRKRLRAISESEDPLSILRDSSCSGNGGADAGVKELRQDGAGELCSDNPTNPVPGENLLQDDCKLDQDKLEHHPDVAKGCDVEAELCQGSKGCGNDNAEKTGWSSGNGEQHHNEGCYSDGSTFFLNRLVGHEPHSGVTLPQLLHPVENLVRVFIATFTSDISWFLDYCKIPQHLPVTVACHNKERCWSASSENRMAAPFESHPNLLLVYPQFPEEIAFGMDRKKQGVACHHPKLIVLQREDSMRVVVTSANLVPRQWHLITNTVWWQDFPRRTSLDYTALFSATEEQSSDFAAQLVSFIASMVNEVPSQAYWINEIAKYDFEGAGGYLIASVPGIHAWSPPYLESNYFLSAKHILHTKSANRTFVGSVQTSVVGLSHRFHMPSNAGSQLRALSAFLGKCRENMHGTTEVILKRNTNIPADANAVSVLVADLHNFSEEGSVQLGFLPREVAKWVAPLSDSGFFNFSGFIYPREALEAAFGVTNTKVQLLLYVSKGPEFSQISELICDEHFPPLCSLIASLKRCLGLWRLEEVLSNIKWPETLETDFIYSASSIGTSINPQFIASFASAAGKRPHQDFDSQESDPEWGCWTAKHELKKPSISLLFPTIDRVKKGACGIQLCRNLLSLPEKTWQRLRSTGIFHDAIPQPYARIGHPMHVKVAQRRFEARPGGHSFGWTYCGSHNFSPAAWGQVLSPPSRANPNEVRAAPPGPRLHVCNYELGIILISPPPGKSKQASESKHRIEGITLPFVVPPPRYKGSDRPATRLAMREAAAEACVLQSNDVVDLSDDTDEDVPDEDDEQEVELSDCSQQEKEEEKIYAETLWGQVDSSQSQEKD >KQK96434 pep chromosome:Setaria_italica_v2.0:VII:7199244:7203942:1 gene:SETIT_010164mg transcript:KQK96434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRSAAKHALLTQPLAPGSPGAFLRGRFSPLSPGARPCRAASSASVPAAGKHLPPLFSVAPMMDWTDNHYRTLARLISRHAWLYTEMVVAETIVHQKDNLDRFLAFPEEQHPIVLQIGGSNLKNLAKATELANAYSYDEINLNCGCPSGKVAGHGCFGARLMFDPEFVGDAMSAIAANCDVPVSVKCRIGVDDCDSYEELCDFVDKVVSNSPTRHFIIHARKALLSGISPAENRKVPPLKYEYYFALLRDFPEVKFTLNGGITTIDQVSASIRQGAQGVMVGRSAYNNPWNMLGHVDSEIYGKPTRCISRRQILESYQFYGDSIIGRYGPSRPNVRQLVKPLLHLFHSEPGNNLWKRKSDSALRYCKTVKSFLEETLDAIPDTVLDKPVTREQSVEKKYFADVDSLLPPRYTTLTNCTYGSPELATASA >KQK97710 pep chromosome:Setaria_italica_v2.0:VII:22789944:22790467:-1 gene:SETIT_012644mg transcript:KQK97710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKILRVLVLALLFTLFAAHHAWGEDDCHIEKTKVLETCKKTIRIGIDYEPPSLECCLVVQTSYMVCICHILSIPDQDHVSVFKLVKLAAAYGKTVPPRSKCGTWTVPQPRSPLPLRAFS >KQK99678 pep chromosome:Setaria_italica_v2.0:VII:34175726:34176145:1 gene:SETIT_012478mg transcript:KQK99678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYYHYCTCPINGCPPSSSFLLCTSRRLECCSCKHQEQGHPASSSAVTSKLRKYCLPDKMQI >KQK97193 pep chromosome:Setaria_italica_v2.0:VII:19539829:19540661:1 gene:SETIT_012268mg transcript:KQK97193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQNKNIHFAGLSAICWAIWKTRNAVCFEKKQIKPPTEVVCLASFFISYWAGLQKQDDKQDMEAGAEALKEAALHFHPREGTKPKLQRCRSNQIAELRNKIG >KQK98374 pep chromosome:Setaria_italica_v2.0:VII:26614935:26616043:1 gene:SETIT_011875mg transcript:KQK98374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKSQLLLFIAVAAIASLLHPCESIEFHRKLSSWSSDGGATWYGAATGAGSDGGACGYQGAVDQAPFSSMIAAGNPSIYKSGKGCGSCYQVKCTGNDACSGNPVTVVITDECPGGGACSNEPVHFDMSGTAFGAMAKPGQAEKLRAAGVLQIQYSRVQCSWPGVQLTFVVDAGSNPNYFAVLIKYLNGDGDLSAVDLMQTGAGAAWTPMQQSWGAVWKYNAGSALQAPLSIRLTSSSGKQLVASNVIPVGWKPGAAYQSAVNY >KQK99877 pep chromosome:Setaria_italica_v2.0:VII:35182964:35189422:-1 gene:SETIT_009752mg transcript:KQK99877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVSAAEKVVIGSKGEKKGHRSKKDKGKRKGKKDKAQGHGGDGDGSIQSDDMNHSVEIEQAEVLAEMAEKPCLEHAEDIMSKSDVKEDRKKKKKDKEADTISQKQILDASDVSVGSGYVERNMGKGEHDSMSKKGKRKHRDGETSLNGSCDDQIVSRGDKKKKRNERSITLEEGNEADMSMMGQKTKGKKKRGNERDNVGVDLSQNIPAGNGNSCNKEKKVNVAHGKDKGKRVSFTDDVEVFKIDGGGGDEEGDGSGDSELVHGQRFTHEEDVKLMEAIQKYSEINQLGDKGLEMIRECKKYPETRGCWAEIAKSLPHRPVMAVYKRARILLYRSDERKWTPEEYEIIRRFVEKNGTGWKELATELGKSEIHVKDTWRRMKPKNLKKGTWTQDEYQNLFDLVNLDLRVKAHQKIAPTHRQLRDNISWEAISEKLTTRNNKDCCLKWYQQLASPLVKEGIWADIDDYLLMEALQKGDAVCFEDVDWERLLDHRSGELCRQRWNQMVRMIGGHREKPFIEQVEVLARRYCPEMLDYRKPEASDLSPDELAGESDS >KQK97360 pep chromosome:Setaria_italica_v2.0:VII:20779830:20780413:-1 gene:SETIT_012074mg transcript:KQK97360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGASRSSILAGGDRLSSLPDCLLHHIMSFMKARQVVQTCVLSTRWEHLRTLPQHRPGGFQDFTDHLIIPNNISLPLLDTFQLHISHRNCYQGKAAARWIHHGIKYNAQEPSIQRQGLSSSSWRLERLSFPPCECTFHEITSHSLKNLILKDY >KQK99959 pep chromosome:Setaria_italica_v2.0:VII:35562377:35564580:-1 gene:SETIT_010606mg transcript:KQK99959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCSGGGIAPVLLLLALAGTSSAQLSTGFYSSSCPGVSSAVKSVVQSAIDKEKRMGASIVRLFFHDCFVQGCDASLLLDDTPSFQGEKMATPNNGSVRGFEVIDAIKSAVEKVCPGVVSCADILAIAARDSVVILGGPNWNVKVGRRDSTTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGAHTIGLARCTNFRAHVYNETNIDGAFARTRQSGCPSTSGTGDNNLAPLDLQTPTVFENNYYRNLVSKKGLLHSDQELFNGGATDAQVQSYVSSQSAFFADFVTGMIKMGDITPLTGSNGEIRKNCRRMN >KQK99592 pep chromosome:Setaria_italica_v2.0:VII:33668359:33668871:-1 gene:SETIT_013019mg transcript:KQK99592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAQANKDAYSHTLEKVLPLYGERLMFMYIHVHLAFECTVL >KQK97956 pep chromosome:Setaria_italica_v2.0:VII:24174150:24180636:1 gene:SETIT_009207mg transcript:KQK97956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGLSIESGSAIRVAVLPVGGTIPPPRLREYMALVSRHARVDLASLRTYYAEHQKSPFAHQPWETGCLRLKFVLGGCVPSPWEDFQSSRKVLAVIGICHLPSSPDLDRVAADFVDTARSYSSALANRCFAFCPTDEQMAAKKRDDIIMFPPSDQQSLELHMVTMIQDLAASLLMEFEKWVLRAESTGTILKTPLDSQSSLGSEEVIKAKKRRLGRAQKIIGDYCLLAGSPVDANAHYTTAIELARLTGDVFWHAGALEGSVCALVVDRMGQSDPVLEDEVKYRYYTIIQLYRRATLQDNAQRVSPVSFELEAALKLARYLCRRELAKEVSDLLMGAADGAKALIDASDRLILYIEIARLFGTLGYKRKAAFFSRQVAQLYLQQDNAYAAMSAMQVLTMTTNAYHVQSRKASKINHDSSKEPHASSTDSGKVHPQSIVSLFESQWSTIQMVVLREILMSSIRAADPLSSWSAAARLLRSFYPLITPAGQSGLASSLANSADKLPTGTRCADPCLPFIRLHSFPLHPSQRDIVKRNPHKKEWWTGAGPSGPFIYTPFSKAGASSGTSKQEVSWIVGEPVQVMVELANPCSFDLVVESIYLSVHSGNFDAFPVSVSLPPNTSKLVLLSGIPTQVGQISIPGCIVHCFGVITEHLFKEVDCLLLGAAQGLVLSDPFRCCGSSKVKSVNFPSISVVPPLPLLVANVVGGDGSILLYEGEIRDVLITLTNAGTVPVEEANIALSGKNQDSVISIAHSTWKSALPIKPGGEVTFAVTLRAWHLSSADLEADGSRSPASSRRIAREGINPFLNIHYAGPAANPENGDISLPPGRRLVVPLNICVVQGMRLVRARLLSMEIPARFTEAHLRPVSGKHDISTGNDTEHTNVNLLKIDPYNGSWGLRLLELELFNPTDVVFDVDVAVHSDDTNLDQRLISEGNAADAACHKTRIDRDYSARVLIPLENFKLPVLDASFFVKESGSDEPLGSRAAAIAERNAKAELNASINNLISKIKVKWHSGRNSSGELNIKDAIQAALQASIMDILLPDPLTFSFKLAKNGAVTNVDSSKDPISAHEMTHMEVQIRNNTKEIIRMNLSISCKDVAGENCFDENSATVLWAGVLSDIHLEVLPLQEVVHPFSVYFLVPGDYSLQASSVIIDATDVLRARAKAESPDEPILCRGSPFHIRVVGTA >KQK99005 pep chromosome:Setaria_italica_v2.0:VII:30443899:30444219:1 gene:SETIT_011614mg transcript:KQK99005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAYCCIATQQEGCAGPVGLGCTATDLLFLRAASAILVRLVAVMWLWIACNW >KQK99145 pep chromosome:Setaria_italica_v2.0:VII:31157162:31157568:1 gene:SETIT_013036mg transcript:KQK99145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFASQLKDMLVALVEHVIMGYGGGARGEDLQVFRRILLVDPPVSEGSVPQVH >KQK96170 pep chromosome:Setaria_italica_v2.0:VII:1162127:1162982:-1 gene:SETIT_012335mg transcript:KQK96170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSQLYIPERTDNHGLLTCVADEIEPNIASPEVPPCQCWQSQPRAWIYLSSEASTTRK >KQK97787 pep chromosome:Setaria_italica_v2.0:VII:23273033:23276848:1 gene:SETIT_010962mg transcript:KQK97787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGCLLQDKLKAVSEELGHQIRVFSREKFAQQPSKLPSADYEEDDEFYELQPADYHNLVSIRKAEKSKMLKTRKMREAELAAQRAKIAKAVMRVRFPDGYILEADFLPSDRIHSLVDLLMKVLARPDLPFYLYTVPPKKRILDTSQDFYTAGFVPGANVHFSYDLPEGSLADDLKSGPFLREEIQSLDGLSLLLKPASQPDDSRMNSPAVQSGVSQSNPAPTANEKPGKPKWLKR >KQK98825 pep chromosome:Setaria_italica_v2.0:VII:29440021:29445627:-1 gene:SETIT_010125mg transcript:KQK98825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAPEGVGVGGGPSRWPAAEELDIVRKKVAEISGRDEKEVRVVACPYRICPLGAHIDHQGGIVTAMTINYGVLLGFVPSNDSEVLLQSGQFKGVIQFRVDDLQKPIDNPENINWESYARGAVYALQNSGYDLRKGIIGYISGVKGLDSSGLSSSAAVGIAYLLALENVNDLVISPVDNIQLDKSIENKYLGLENGILDPSAILLSRYGYLTFMDCKTASPSHVYFSELSKSQQPQGELPFKILLAFSGLQHNLPKKRGYNTRVFECKEAARALLHTAGCEDTPNILRNVDPVVYETKKGVLEENLSRRAEHYFSEMKRVAKGRDAWARGNLQELGQLISASGRSSILNYECGSKEMIQLYEILLKAPGVLGARFSGAGFRGCCLAIVESDRAEAAAAYVAAEYEKAQPELVSRIPADRRVLVCEPGDSARVVLPDDDRLRS >KQK99584 pep chromosome:Setaria_italica_v2.0:VII:33636644:33637072:-1 gene:SETIT_012946mg transcript:KQK99584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVVTFVQICSSVAFDLLICRSCPLFSSSCEL >KQK96899 pep chromosome:Setaria_italica_v2.0:VII:17156397:17156981:-1 gene:SETIT_012168mg transcript:KQK96899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRAYMVLLSAAIYGSDIATGEDAKGLSEAVGNIEKELAVEGGNHQEEEEDRMSIEIPRRSTEIPRRSTDSASSRLKRRKTYKKGKDHVSATSSDLVLDMLHEVQGDLEGVATNMGKMAAVMEREVAVQEKNSKEDHEQKLREKATAELRKLGFTGSEQVKAANVFVRILDQMSMLLTLDETLRREFILNMLSGM >KQK97092 pep chromosome:Setaria_italica_v2.0:VII:19033202:19033990:1 gene:SETIT_011193mg transcript:KQK97092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRFMNHFNISVQPGAHTRPITADMNTKNTFHHHELHAYSVGPDDKPASLATLRLVRLEAVEEATMLRRPARNRLSRRRGSRRQRARGRGSDAGRRRGGRRQALSRRPRALPASQRRLPGRVGVALHLQHLQLPPPLLPALVHIVLVDEHLHLLPDLTTSTTNSYYY >KQK98171 pep chromosome:Setaria_italica_v2.0:VII:25468151:25469229:1 gene:SETIT_011076mg transcript:KQK98171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRDAATFQVYRPMPAPSPAPAPMSLAAAAPASDVAAPAPKKASPGAGKDRHSKVNGRGRRVRMPIVCAARVFQLTRELGLKSDGQTIEWLLRQAEPSILAATGSGTTPAVFSCSSAPSTSPAAAHPLLGKRPREDHEPAPAPAPFWATLQARPVAWGLSPAQEAAAQAYASVAAAQQGHHLNLLSVLSGATRPSEEESR >KQK98717 pep chromosome:Setaria_italica_v2.0:VII:28804744:28807722:-1 gene:SETIT_010260mg transcript:KQK98717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWAEAALVLASPSPATASSSSSSCGVIRPRAAAVEAGRLFCKGFPCSMRSKAGFQINGYRTRTFKIKAKAESGDGYTRLAPLRFESPSGQLLVQILQSHPHLLPATVDQQLENLQSEKDAQKEEASKVPQDLLYKRIAEVKEKERQNTLEEIIYCWIIYKFMDNDISMTPALAPGGGPVRDISSLPNQEDKLQSIHSPDAFEMIQNHLNLIMGEKIAAPLDTVVEISNLNLGKLYAASIMYGYFLKRVDERFQLEKTMKTLPPNPKQQIVFENLKPNPFWDMESLVQITPDGEEISLDDEESNPNKLRSYVSQLDADTLQRYATIRSKEAVSLIEKQTQSLFGRPDIKVLDDGSVNARDGQMITITFIELNHLVLEAVAFGSFLWEAESYVESKYHFVNS >KQK96921 pep chromosome:Setaria_italica_v2.0:VII:17433086:17437114:-1 gene:SETIT_011904mg transcript:KQK96921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TTLPVMGCRRILFEEAGPSGPSRDSADSPSPKRLEINDMRVAVDVRSTEVPERSPRRSEPPQPGERDTIDSCIKVPEMMESSSTRFRTPPTQIGCQQGSNEDETNTTGRQGVVFLPPDTLDANQMIASEEEIPPQTHEAGVSTQSIKNVLQNMHGGAELVPITSRDIENRKATNVREEHADDINKLIEFFKDYQDQAMGVAIAKEFPGVVHKICRWHVVNKHMPHLTNLFGMYAKKNFKDKFYSVLNHPLTPVEFEAAWQELLDEFDLQKDGTLDSLYCQRQLYVPAYFKDQYCGRMASTQRSESSNFVMKKCFVNKHTALHRFAKKMLDFMHSRKMKESEESYHGTSKRLTRSKWPFEIQVSRIYTRNVFKDFEKKMIDCTAYDIEDNPIEGETCYLVTHTNRSSKLSWGQHQFKVRANKENGEFHCECKEWQHTGLFCVHLLRAFMRIQLNSIPQHYILRRYTKYAQQELGFDRNDKLLVGADGVTQLYRIKDLTSLAMAAVRSGSMSRAAHIRTREVLAKLDKDNKEIPPDIGPSTTNMHQESPGEYHANDDRLISRVPPTRSKTKGRSIPPSEKKEITLGAKGVKKGTRKCSICGYYATHNARTCPKLQHNKERLEVLKNRMRGRPRGAQHKRSTSQHDSGGEEHNIGRQQDTKKCKENKYIDYESNDEQFRDTDMEG >KQK98900 pep chromosome:Setaria_italica_v2.0:VII:29839338:29848383:1 gene:SETIT_009162mg transcript:KQK98900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEQGGNVSAPETTDPKVPDKTPAQGGEKENLLNGNSNLQVKEAHNDEDDGTGSDGFELIDVKENFGSAKMEEETAAPSTRVDTPVTKESDTQEEKTAAHEEQSVAANTRHLDSSMLNQQTEQLGELTRRIEELESEKDKLVKDLTEAENKQSQHYSSLQEAQSSLAVKDKDLAEATESLKELASELESSKRRIQEIEAELDSSADKLRKLEELKDERSLHAAQEAKRASELDKMLELAQTNMKEMEKHISSLQEEIKEHQDKATDHQQIEESLRSTISELKVVQEALELSKSQVADLEDKLASQDADISKLTEELNHHCSSEESLKEKTLKLENELTTTHEELQAKLLSLQELEAKLDEQAKDRQTSEAALEKQNEQIVILQAGLENLKNENETLQGSLVDLNSKLSEKDSMLDQADDELSRAQLALSEALSQKQELELNLNSLSEQHGESKAFGENANQKILELEAQIQAMHAAEEALKSQLKEADASVQAAEKKGSDLEQQLNEIENKLVASSEEIELLKERIQQEAAVSAEKGMQLEEAAASVEGFKEKINELQLSLDSSVSKNQLLEQEVKELTDKCSEHQEQAHSVRQRSLELEDLLHTSKTDAEGAYSRTQELEQELNSTHEKLKGVEEELEQYRSKASQLSDDLEAYQTKAASLEAVVEAASEKEKELMESLTQITEEKKKIEELMAEYEAKLQENLKEKQSFEESLQSQESKVLDLQQELVKLREEKEHHDNTIADLSLQLSTKNDMYSQLESQLNEASDDHSKTRSLLSEAQSHKEELELNLRSLNDLHTASKTAAETTMQKVSELEAQIQELTASEQSLKLQLSELESKLASSEKTGIDLDEELKAATTECSNYRVKIDELFGELEVYKEKSTKLETSLAEAKQTEAELSEKLAQVNEEKETFEELSKKTTIKHLEAEKQVQTLQDELESARGKMEEVENELQSLGFRESSVLEKLKSAEEQLEHKGRALEHATSKKIDLEALYQSLLEDTEMKLQQSADNLAQKDIECQQLSEKLKLAEEQATSYQSRATAATEEVESMKVELEAFESEISTHDATIEELKTKVSDAESKAEQALAELAMLSGTNQALKEELDAKLALLHEVQEQLNSTQAEKEEVAAKLAEHERTVEHLTEVHSRGIELHSAAESRNAEIEAQLRDALGAAEQKEAEAKNLNEKLVALESEIESLTHVNEALKQEINAKLVMVDELQEKCSSINSEKEEVAEKLASHERKLEHLIEEHSRGLELQSVAESRNAEIESQLREVLEKVAQKEDEVTELTEKLALLEAENEKLAVANKALKEEVDTKLAMFDELQERFSSTHAEKEEAAEKLAVHERTISHLTEVHSRSLELHSAAESKNEEIEARLHEALETIAQKEGEIKELSKKLDALEIELGYYEEQATVAVAAEENHKVQFDGASQKIKILEEQLEETQSKVEHFLVEKESLAQANSSLNGELEVHQNKLNELQLALAAAVAEKEGASEEIHSLRKTLDGMIERKAELEIQVSSTMEELEELKSKYQNTLEEKQTLNDKYETTKKDLEEAIAKLEEKMNVDKSEKESHISKLERQITLSETKYMEEIETMQVETTEKDEALTAKMQEHANLQHEKDELEQQLLEIRKELDGAYHTIANQEEQASVREIKWDAYRKYSEDQLEAEQQRASELELQVSALKQQLQEAEIHYKQKEEQVSLRAVQWEADKNHSLDELEAQRQYANDLEKQIEALAQKLQSADAHYKQKVTEERDKLAEITTEFNKLTQKVSKSVELEKKVQDLEQKLQVAYSKSEEQAKGAVQLRSREFTLDSSTISSKQNDKSQGADAASPNPIQQEVQEPSGIMAFKFILGVALLSILIGVFLGKRY >KQK96963 pep chromosome:Setaria_italica_v2.0:VII:17780107:17780574:-1 gene:SETIT_011606mg transcript:KQK96963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKQIVVIKIIYRYNLSVFTYKLVYIFHYMPLQQNSHVKESYGIDYLIIISFCLI >KQK97769 pep chromosome:Setaria_italica_v2.0:VII:23159733:23163885:1 gene:SETIT_009269mg transcript:KQK97769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDMRRHSVDVPLSRTLVQLKRVRSLRDPATNSLSKYASPSDHMIWETASSNGVTLELGRSVHHQLVEEDEDLGAEATMGSERSFRAPNARTASYRKTSAVKIRGLNPPRNKQVHRVRQDGHRKSLDSNHSNHSSIRQLANNIVNNVDAEKEEEEVNSYERPNFALPDKDDEEVKMPGYPNFRTKSSAAMSRVGSPCMSASEARSVASRRSTLGHGTEDTRLRSNDVVGSNFSGCGISYCWSGASKYRELYSDSDGPEQPLLSTDGTEAAFQSNVPYTETPRCLSQKFRPRSFSELIGLNVVAQSLLYSSCKGKVAPMYLFHGPRGTGKTSTARIFAAALNCVSLEEQRPCGFCKECVILFSGKSRDVKELDAAKMDRLGRVKALLKSASLVPYSSRFKVFIIDECHLLQEDAWSAILKSLDEPYRHTVYIMITSDIESLPRTSITHCQKFHFPKIKVADIVYRLERICIEEGLEFDHDGLYFIAAKSNGSLRDSEIMLDQLSLLGKKITISLVHELVGSVSDDELIELLDLALSSDTTNTVRRARELMGSAIDPLQLVSQLANLIMDILSGRCQSAVTEVSKSFLGRYALSEVGIKKLRHALKILSETEKQLRTSRNQATWVTVALLQFGTTESNLVAEPNDMHAHSVTGYTDDWVSKVHSSSNFCQACNSNKSNCSERHCRRLKLENIWRRAIGKCRSRSAKSFLRKEGFLSSVHVTEELAIAEVGFGHPDHLSRAEKMQSLIECALQHVLGCNVEIRFKLVSCPVRKDARLKRQSFSFLNCSGRKQELSDSVVTDEDEAVRPGARETPLKGYTSSQKESPYVMQRVDSKPTVHGCEDDARSTLTSNRSVTDDLTRTCRSETNYSKGVSEQGRFDSIQEPDLQPNCFSRTLKLQKKLLSSGAAHTICLRIQPHNKMDFLPKKEFDTYFCAYEPYEQCSRSTSRATYSSRDDDLWSKNSRFGSNLLCWRAPKQSM >KQK97568 pep chromosome:Setaria_italica_v2.0:VII:21919093:21919434:1 gene:SETIT_011956mg transcript:KQK97568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVLRLAVLAVLVLAALLSSGPVEVRGVRVPAAVLATGQTGTRSASMPPEQRTTRTPAAGGSRAAAASGASASLDASKEGAAGGGSPPSTVFDPDRMSKRRVRRGSDPIHNKC >KQK96704 pep chromosome:Setaria_italica_v2.0:VII:14261700:14264284:1 gene:SETIT_009695mg transcript:KQK96704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLLVAAVCLAAALALACQCHEAAGGAAALPKSLSTSSRWVVDERGRRVKLACVNWASHLEPMLAEGLGHLPLGAIAAGVAAMGFNCVRLTWPTFLVTDAASSSLTVADSLRRLNLTAALAGVGANNPGFLGLSLADAFGAVVRGLGDSGVMVILDNHVSRPGWCCRAGDGNGFFGDADFDPDVWVEGLRRMAAMFAGEENVVGMSLRNELRGPRQNTADWYRYMQRGAEAVHAANPRVLVILSGLNNDNDLAFLNRRPVHLTFSNKVAFEVHWYSFSDPHQWLAGNANQVCARVAASVARRTLYLLGRGWPVILSEFGVDNRGGNAADNRYWGCVAAAAAGLDLDWALWALQGSYYLREGVAGHDEAYGVLGHDWLRPRNGTALRRVRALQRPFRGPGLDEAAPYDALFHPATGLCVVRRRRSSLTLELGPCNETEAWEYNPEHRLVLRGSWLRPCLRAEGAGRPVRLGVGRAGCAGAQARWLLESKSKLHVAVHAPPSSSSSRSEILCLDVSADGRSIVTNRCRCLSGGSSRCDPESQWFKLVSSTRKVASGSSVLAQLLLHVLKTFKIWLFNLF >KQK97757 pep chromosome:Setaria_italica_v2.0:VII:23094710:23099114:1 gene:SETIT_012120mg transcript:KQK97757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGGSREKASFLELVRYADARDRCLMALGALGSFGDGMMQPLSMLVLGDIVNSYGGVGTAGSAFSSSAVDKGLCWTQTAERQASRMRRLYLEAVLRQPVEFFDASAPSSHATTFRVISTISDDADTIQDFLAEKLPNVLANMTLFFGALAVAFVFAWRLALAGLPFTLLFIVPSVVLGKRLAAAAGEARAAYEEAGGVAEQAVSSIRTVASYRGERRTLERFRSALARSTALGVRQGLIKGAVIGSMGVIYAVWSFMSWIGSVLVIRFHAQGGHVFVASICIVLAGMSIMMALPNLRYFVDAATAAARMREMIDKFEPLGEEGKKGATREDIRGRIVFRDVRFSYPSRPDTRVLDGVNLTISEGATVGLVGGSGSGKSTVISLLQRFYSPDSGEILLDGHDIGALNAEWLRSQIGLVSQEPVLFATSIRENILFGNEAASLKQVVVAAKMANAHDFITKLPHGYETNVGQFGTQLSGGQKQRIAIARALIRDPRILLLDEATSALDSESERAVQDALDRASVGRTTVVVAHRLSTIRKADMIAVLDAGRVVECGTHDELVGADAGEGGGVYARMARLQKASVAREERQRVVEVELESSRVSFRSVEIMSVPSDFHPSPVPSFRSVERSVDMEDDDLVVHDTVARGHKPSQLRLLKMNQPEWKQALLGCAGAIVFGAVLPLYSYSLGSLPEVYFLGDNDLIRSKTRYITMCSAVLVLYSLVFFGIAIVCITANIVQHYNFAVMGERLTERVRGQMLAKILSFEVGWFDEDENSSAAVSARLATQATKVRSLVGDRMCLLVQAAANATLGFSLALAVSWRLALVMMAMQPLVIASFYFKKVLMTTMSKKAKKAQVQGSQLAGEAVVNHRTITAFSSQRRMLRLYETSQERPRKDNRVQSWISGFCLSLCQFSNTGSMALALWYGGRLMARGLITPTHLFQVFFMLMTMGRVIADAGSLTSDLAKGGDAVRSVLDTLDREPMIKDDDDGDEVKEPKKNTKHEQEIKGAIEFRNVQFSYPTRPEVTVLDGFSLEIGAGKTVALVGPSGSGKSTVISLIERFYDVEKGSVLIDGRDIRSYSLARLRSHIALVSQEPTLFSGTIRDNIMYGEEHATEDEVTNAAMLANAHEFISGMEGGYDARVGERGAQLSGGQRQRLALARAILKNARILLLDEATSALDTVSERLVQDAIDRMLQGRRTCVVVAHRLSTVQKSDVIAVVRNGKVVERGRHGELVAAGRGGLYYHLIKLQHGTSPGLSPT >KQK98242 pep chromosome:Setaria_italica_v2.0:VII:25835646:25837346:1 gene:SETIT_0099142mg transcript:KQK98242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPRARYPPGIGNGRGGNPNYYGRGPPPQQQQHHHQQPPPPSQAYHQQYVQRQPQPQPSQHLSQQQQQQQWLRRRQIAGEAAGAGAQKATPAVDGIDSSSQDWKAQLKLPPQDTRYRTE >KQK96948 pep chromosome:Setaria_italica_v2.0:VII:17687045:17687780:1 gene:SETIT_012980mg transcript:KQK96948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASKGLVVEEEDTVRLFVGLPADVVVSDSRGVCRPRAVSAALRALKLLGVDGVELPVSWAAVQPGPGGWFEWAGYRAVAAMVCDAGLGPASRSPPTATRSLSGWPTPRPPTPTSSSPTGPGTAARCASPSPSTSSRCSSASRRSRPTRPSSAALTTSSTTSWSQPLRM >KQK99375 pep chromosome:Setaria_italica_v2.0:VII:32631187:32635408:1 gene:SETIT_012344mg transcript:KQK99375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSRNCPKAYHLRCLDKKHGFLTTPDDQFVCDWHICFNCRQSSHIRCLCCPISVCHDCLGKVGFLDLRMQQKGFCTSCLNKAIFIEKNADPDSRWVKIYDILFKDYWEDVKDREHLKLVYLEEAHVILIRKLDCNRANSEKFPNEGHKSDANIFAENAPIEKTIPFDSNRKQNKVNRSLKKKNKSNKKTYIGWGSEELIDFLSGFGKDTSKSLYEAEIVGIIMGHVKKEKLFNDNKKNSFSCDSKLYPLFRRKKVRCKRIRRFLANHLAANVISEDENSDGSEDDDVPITKKKPRFDGSEDDDVPIMKKKPRNAVELKISKRVSEKNNRCFASLNENNIKLIYLRRSLVINLLNHLDTFDQKVVGCFVRVKNAPRVHMYEKPKMPYQLGLVTGIKKSSEEYRINDTCTNILLCVTGLWDDVRISMLSDEDFLEEECNDLVSLVKKGLLERHTIAALEEKVATVHKDIVNHWIDRELDLMQQQKLLSTEAERMRRLEEIPEIIADTEQEGNETELEVAASNSSQENR >KQK98733 pep chromosome:Setaria_italica_v2.0:VII:28890426:28892821:-1 gene:SETIT_012378mg transcript:KQK98733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIWSEDSRHCCAKMIPGGGYFEGSHDQCLMAGPFIHDSSQIPKGNGDTSIELQKFKVPSFSTEALSSPTIFSSEDVGGTNLLQHQLGIDLEQEAPPGETASWDPSVCTIQDQSINHQFGGYSENMLVEPEIQQYDAALYPNGAYTPAPDLLNLLRINAASAFPAATSVFGDAALNGSNYLDLNGELAGVAAIPDNGLMFTGDSSVHLGYHATQPHLANDICHSLPQNYGLFPGEDEREVMIGAGSLGDLFQEVDDRQFDSVLECRRGKGEFSKGKGKANFATERERREQLNVKYRTLRMLFPNPTKNDRASIVGDAIEYIDELNRTVKELKILVEQKRHGNNKEKRIKLDHQAAADGESSSVKPIRDDQDNQLIGAIRSSWVQRRSKECHVDVRIVDDEVNIKLTEKKKDNSLFHAAKVLDEFQLDLIHAVGGVIGDHHIFMFNTKVPEGSSVYACAVAKRLLEAVDAQRQTFNIFN >KQK98936 pep chromosome:Setaria_italica_v2.0:VII:30058055:30059298:-1 gene:SETIT_012071mg transcript:KQK98936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVGNAFHAPMSVLAASSTFTSRTPLPLPPFPHWHCRLTRGRRQKGRKATGNRRARSGAGLTCRRTRGTATATRTPPFRRRQRPNRLAVSLLCGAWLDRECPCETRCTTRVLARGGVWADGHTATRRRRAQPGTPDPTRSLNSEHPHSGTLSPLTPRVLAVVLLFVHSPLPSHLHVGPRARLLHLPAPPPRRPRAAPGYIATPAQAHAHPPSFPAPASCSGTAASPSPSPPASISTITAHPSDRRAAAWPMTMKGLLRCVSTGACRVAPGAVAEPSPSWHGAGGGKVPAGHVPVEVGAEGEETERFVVPAELLCRPPIAELLRRAAQEYGYARRGPLRIPCPAAAFRRLLGALAGAGAADAGLALAYFTVVV >KQK99977 pep chromosome:Setaria_italica_v2.0:VII:35633231:35635186:1 gene:SETIT_009592mg transcript:KQK99977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAVDASAAAVRRLLLTGVSPSNQLPPLTVKLLHGRLLRLDLLADLTPLLLRALSSSGLHLHALRVHSLLPNPSHLTFPFALKAASRLPDPHSAGVQLHGRSLKLPYHSTPHVLTSLLNLYAKCGLLHDAQKAFDEMPHPGTVSWTALITAYMDAGRVHEAVGVARKAFASGMRPDSFTAVRVLTACARVTDLVTGEAMWRAAEQEGIAGSMFVATAALDMYVKCGEMEKARAVFDRMQNKDAVAWGAMVGGYASNGHPREALELFFAMQAERMTPECYTVVGALSACTRLGALDLGRRAVAMLHWDEVLDNPVLGTALIDMFAKCGSTGEAWTVFQQMRKRDVIVWNAMILGLGMTGHEKIAFALVGQMENCTHTGLVKDGRRYFRNMTQLYRISPRIEHYGCMVDLLSRAGLLEEAHQLIEDMPMQANAVVWGALLGGCKIHRNADLAEHVLKQLIQLEPWNSGNYVMLSNIYSNTGRWEDAAKLRMEMKASGVEKVPASSWVELAGKVHEFRVGDKSHPLSDKIYEKLDELGMEMKIMGYKPTTEVVMFDIEDEEKEHTLVHHSEKIAIAFSLLTTEPDETIRVTKNLRVCSDCHTAIKLISRITNREIIVRDNNRFHCFRDGHCSCNDYW >KQK98104 pep chromosome:Setaria_italica_v2.0:VII:24972375:24974643:-1 gene:SETIT_010008mg transcript:KQK98104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEEAAEGSRSRRRMDLNLYLGLPPLPRPPGRLDATLDCPLLIPNSTVPTPDAPRTGEPEESLARAAAYSPSNALSTPEEQPMLDPIVYAWLDGHSTDGEEDTDAPELAPVDDANVSQPLVAASGLEGDGFTPWVERFVQPGSRMEMVNTSTLRQSLRGASAIEEGTPELRFQRVIQISQQHSIVRPGSVNRSQRAASPDADRLVWAIQRTHNSLEAARRQKMDSDKKVGGKGAAKKDGCCECSSSFECNICLDPAKQPVVTPCGHLFCWPCLYQWLHAHSANSECPVCKGEVLEVNVTPIYGRGGEEGDSANLDMPPRPRANRRESLRQQLQMTDTRGIATVVRQLIENQGIVRGLPSPTGIEMTVVPAGRQRARARRQQRQDNNASSSVPATAIMLHMGNVASESSNQIPLPPSNANNTAPVAPAAPQQSSSVEQLSNSSTTAVIVGEPGSSRRARPSESTVTRRTRRRPQ >KQK97175 pep chromosome:Setaria_italica_v2.0:VII:19433067:19433697:1 gene:SETIT_011587mg transcript:KQK97175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRQGNSTSRTSSAMLFDRCPAKTVGVILIASRRLNWLHTDSEVELTEDWGRYAAIARIV >KQK96693 pep chromosome:Setaria_italica_v2.0:VII:14030607:14033014:1 gene:SETIT_010311mg transcript:KQK96693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRRLRLLASAALLLVAVFSAAALCSAEPDDASAAAEDPLIEQVVGDGAADDELEMELNAEAHFASFVRRFGKSYRDDGERAHRMSVFKANLRRARRHQRLDPTAVHGVTQFSDLTPDEFRRQFLGLRRSSARDLLKGSSAHQAPILPTDGLPTDFDWREHGAVGPVKNQGSCGSCWSFSASGALEGAHYLATGKLEVLSEQQMVDCDHECDPSEPRSCDAGCNGGLMTTAFSYLQKSGGLESEKDYPYAGTGGTCKFDKSKIVAQVKNFSVVSVDEDQIAANLVKHGPLAIGINAIFMQTYIGGVSCPYICGRHLDHGVLLVGYGSAGYAPIRFKEKPYWIIKNSWGENWGENGYYKLCRGPFVKNKCGVDSMVSTVTAIHPSKKE >KQK96972 pep chromosome:Setaria_italica_v2.0:VII:17837727:17839483:1 gene:SETIT_010134mg transcript:KQK96972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLIFVGNNSATYFNTHSLVTCILNFPTSRGPMVGILKGFLGLTSAILTQIYAVMHTTDQTKLVLTVAVGPALVAIAMMFVIRPVGGHKQARPSDKKSFMFVYTICLLLAFYLAVVKLAQDFMKLSDNVVNILTVILFVLLISPIAIPLALTIMSKAENPIEEALLSEPLTQEASTSQEEEDQPHAILSEVEEKSKDIDSLPPFERRNSGPHLGDNFTMMQALVKADFWLIWISFLLGSGSGLTVMDNLGQMSQAVGFKDAHIFVSLVSIWNFLGRVGGGYFSEIIVRERGYPRHTALAIAQILIAAAHFLFAMAWPGTMYIGTFLVGLGYGAHWAIVPAAVSELFGVKHFGAMYNFLAMGNPTGSFIFSGLITSTLYDYEAEKQAHQHQIKCEGPVCFFVSSLIMSVLCIVGAGLSLIVVHRTRRVYADLYRSVHT >KQK96269 pep chromosome:Setaria_italica_v2.0:VII:2764181:2766719:-1 gene:SETIT_0107052mg transcript:KQK96269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLWRLVEEKDGGPSWIHMMDHTLPTMRYQAWRRDPPNGPPQYRSSTIFEDASPEVVRDFFWDDEFRIKNSWDDMLLQHETLEECKKTGTMVVRWVRKFPFFCSDREYIIGRRIWASGKTFYCVTKGVPRPSVPRQNKPRRVDLYYSSWCIRPVESRNGDGAMTACEVLLFHHEDMGIPWEIAKLGVRQGMWGCVKRIEPGLRAYQIARTAGEPISKCAAMAHVTTKFNADQLDTEDNTMASSSNNNTEVEKPKHWANNIPKALMVGGAVALACTFDRGLLTKAVIFGTARRFAGPGRR >KQK96687 pep chromosome:Setaria_italica_v2.0:VII:13968184:13968644:-1 gene:SETIT_011700mg transcript:KQK96687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGASPTSLRATFMIPMLANCPKKCGDLTIEYPFGIGAGCFRGGDFELICNNSTKPPRLFLNDGETEITLDIDASDGQSVPGMRLNK >KQK96280 pep chromosome:Setaria_italica_v2.0:VII:2970240:2973078:-1 gene:SETIT_010356mg transcript:KQK96280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAENLFKIVNADTDTDTAALHKEWDDALCPICMDHPHNAVLLLCSSHDKGCRPYICDTSYRHSNCLDRFKKMKVNDGDSPSQPSSSVPRGTRNQNVVRRSRFGVTRESPRLLIDISEPAEASDHQDASHRPAAIAGRQEENNYNEGPDLTLESQEVEISGPLVSSDVSSSNQLLCPLCRGTVSGWKIIKEARQYLDEKSRACSREACTFSGNYREIRRHARSVHPTTRPADEDPSRRCAWHRLEHQREYGDIVSAIRSAMPGAVVLGDYAIEGGEMFSHDRETSGPSEPSGSLLTTFFLFHMLSSSPIRSSDDPRGASRGLRRRRRRYLWGENLLGLQYDDDDDEEEDEEEDDLDEEVQRPRSRRRFIRSRSEERA >KQK98071 pep chromosome:Setaria_italica_v2.0:VII:24805159:24805569:1 gene:SETIT_012548mg transcript:KQK98071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQMNHNRIQNSDANTKFPPAGSWVTEGEHISRSNNLKLSWKLKTPDQASFRKYNIHAANEVTDLKFIIQARAHDGGSRQELDKSQSPF >KQK96681 pep chromosome:Setaria_italica_v2.0:VII:13871163:13876857:1 gene:SETIT_012618mg transcript:KQK96681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSPGCPKSCGNLTFDYPFGIGSNCFRNPDFNLTCNNTTQHPKLYLQDLTTEVIDDIDVSDYGSINGFIDTEFSVRFSTAISMRQGVDVYDMSWKAPGRSFTLDYVELNITGCNFDAYWLDQDINADVRLCRVTCPDDAEITDKDARQNCNGTGCCSIEFETYLRAFQLKFVLHNRGELVPNTNRSSLWDSINVTSAYAYIAWSIVDQPTCASTLDNRTNYACVSNNSTCYDSLETSDLGYLCSCDGGYGGNPYIPNGCSRDKGYNPFEQKVNCQRSCGNISVPFPFGLEEGCFARKLFHLNCTNATSSSLQFDDEHQVAYININEGLVGIKYRSIYEQELFRVYIPKQPGLYIGSGESSSVQWAVANLTCKEAKQNYSGYACVSIHSICLGVNSTEGYIGYRCKCMSGFQGNPYVQNGCQDIDECLTPGICRGVCQNTVGGYYCTSCPDKTQYDTTIMHCTPIKRQNLLLGIVIGLSGGFSILLLSLSASVLVRRWKRDIQKQLRRKYFEKNQGLLLEQLILSDENATDKTKIFTLEELEKATNNFDPTRILGRGGHGMVYKGILLDQRVVAIKRSKVIEDNEISQFINEVAILSQINHRNIVKLFGCCLETEVPLLVYDFVPNGSLFGILHSGSSSSFSLSWDDCLRIAAEAAGALYYLHSAASVSVFHRDVKSSNILLDSNYTAKVSDFGASRLVPIDQTHVVTNVQGTFGYLDPEYYHTGQLNDKSDVYSFGVVLVELLLRREPIFTNETGSKQNLSNYFLWELKSRPIKEIVATQVCEEATEEEINSVASLAEMCLRLNSGERPTMKQVEMNLQFLRTKRSNSCHVVQDNSESEEMQPLLCTRAESRYETFDISLGESSSSKSRYSQRLGESSCSLEHDFGSFFGVSR >KQK98697 pep chromosome:Setaria_italica_v2.0:VII:28685870:28686265:-1 gene:SETIT_012062mg transcript:KQK98697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLDVEAGGNTISADAATAADMAEAILDVAKLRRVLVGGGVGQAAAALYMMLFRPPAGLFLLSGSLLRAYYGVLAATVLFGVAEAWTGLWLSHDDPAAPPRRRAVGVAVLWASVLPLLLLAGVGGFAVLK >KQK99353 pep chromosome:Setaria_italica_v2.0:VII:32525801:32528533:-1 gene:SETIT_011822mg transcript:KQK99353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVISYQVQRLARKTAKIGFSAINSFKKKALHLMGCLCSKGAKDDNAASGHRTPSRRDESTVATSAKTSAVLNAKFKGNTLNSSTLDSYGGGKVVALDARISSGNNTDLKGLSGEHIVAGWPSWLVNVAPKAVEGWLPRRADSFEKLAKIGQGTYSIVYKARDLESGKFVALKKVRFVNMDPESVRFMAREIHILRRLDHPNVIKLEGIVTSRVSQSLYLVFEYMEHDLAGLVATPGLKLTEPQIKCFVQQLLLGLDHCHKNGVLHRDIKGSNLLIDGNGTLKIGDFGLAISYDPNNPQPLTSRVVTLWYRPPELLLGATEYGVAVDMWSTGCIVAELFAGKPIMPGRTEVEQIHKIFKLCGSPLDCYCKKSKVPETAMFKPHQQYRRCVAETFKDFPPSAVVLIDSLLSLEPEVRGTAASALQSDFFRTKPLACDRSSLPKLPPSKEYDVRLRQEEARRQRNAGPGGRGAESVRPGNENHVTSRAIDIAAQVKQPTHTTSKSTCEKFNTEDSVPGFRVEPRALPTSVQVPEYGSTWNNMGGYTDHHAVPGRVCSSVRVARKKGSTHSNIPQYDTTDLRNGIEVADHNQPAARPACSQNKDLQENQGRKYKRIHYSGPLMPPGGNIEDMLKEHERHIQEAVRKARLGKGNR >KQK97689 pep chromosome:Setaria_italica_v2.0:VII:22670638:22672913:-1 gene:SETIT_011023mg transcript:KQK97689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSSKLSMGIKKASRSHAYHRRGLWAIKAKHGGAFPKAEKPAAVAEPKFYPADDVKPRKPSTRKPKPTKLRSSITPGTVLILLAGRFMGKRVVFLKQLKSGMLLVTGPFKINGVPIRRVNQTYVIATSTKVDISGVNVEKFDDAYFARDKKQKAKKTEGELFETEKEATKDLPQFKKDDQKTVDAELIKAIEAVPDLKTYLGARFSLRDGDKPHEMVF >KQK97989 pep chromosome:Setaria_italica_v2.0:VII:24309001:24311971:-1 gene:SETIT_012484mg transcript:KQK97989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAAPGGRPVNKAAGDAAAWVFNIVTSVGIIMVNKALMATHGFGFVTILPGIHFATTALATLILKSLGYLEPSYLPLPELIKYVAFANISVVGMNASLMWNSVGFYQISKLSMVPVLCMLEVLFDSVRYSRGTKLSIMVVLGGVAICTVTDVTVNTKGLIAAAVAVCSTAFQQHYIHHLQKKYSLSAFDLLGHTAPAQAASLLLLGPFVDLWLTNNRVDTYHYNNVVTFFIALSSVIAIGTNLSQFICIGRFTAVSFQVLGHMKTILVLTLGFILFGREGLNVHVAFGMILAIVGMIWYNHASSRPGGKECRDYPEPVEEDMELGVQPSQLEPDEKN >KQK98835 pep chromosome:Setaria_italica_v2.0:VII:29513976:29517171:1 gene:SETIT_010061mg transcript:KQK98835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKEGTTDGSGARRGHGGEVTITAVTTVAPALPVQEHRLALSNLDLILPPIDVGVFFCYADPGGSSGAATVLKAALAKTLVAYYPLAGEVVANAAGEPELLCSGRGVDVAEASAGDGTDMRDLRLGLPDESVEQLVPKKKAGVMAVQVTKFGCGGIVVGCTFDHRVCDAYSFNMFLVAWAAAARGGPAPPAPSFRRSFLAPRQPAPPCTGTLADRLFVPVSLAPALPDTAAANRIYRVSAADVAALQAAAGPGRTKLEAFTAHLWGLHARAASRRRIGSCCMGVVVDGRTRLRGGDGAMGTYFGNVLSIPYGVMGAGALRGAALADVAGDVHRWVAEAATGEHFRELVDWVEARRPEPTVARAYLGRGEGGEGATACVVSSGMRLPIGEVDFGWGRPAFASYHFPWPGGAGYVMPMPSARGDGDWVVYVHVAPEVVEAMEEEPTVFRALDSGYMFG >KQK99737 pep chromosome:Setaria_italica_v2.0:VII:34489602:34490290:-1 gene:SETIT_011293mg transcript:KQK99737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHICYKHHIPTINTYISFTENPRPKGTEKKEINIGPAHTAEYNAPTNHFNAFDTHDRSISHAHQLMIEFSFQPEVVEEQSQSASTSRLWKLRWHPQAAHRSAAAVPSAPDAMNSRHPSTAYPPMLAAWFRWHSR >KQK96956 pep chromosome:Setaria_italica_v2.0:VII:17725150:17726838:1 gene:SETIT_011770mg transcript:KQK96956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQVASYLCLILAALLLPLLFLKLSRRGGNGGGQRLPPGPSRLPLIGSLHHFLLSRSPLAHRTMADLARRHGAPLMYLRLGEVGLVVASSPAAAREVMRAHDTAFASRPWIPSMRPAMERGAVGLVFARHGPLWRQLRSVSVLELLSARRVRSFRRVREDEARRLVAAVAAAPAPGPGGEAVVNVGELLAALTTDVTVRAVIGDRFDRREEFARAVEEGGRLITRFSLGDLFPSSRLLRFLSRKAGQAMDLHRKMFELMDCAIRQHEERRAAVASAPDGTVKEEDEGILGVLLRIHKEGGLEVPLTMDIVKSLILDLFSGGSDTSGSTLEWAMSELMRNPRAMEKAQAEVRSKLHGKPSVIEDDLRDLNYLKLVIKETLRLHPVLPLLLPRECAEDREVMGYDVPKGATVLVNAWAIGRDPEYWDDADAFKPERFEDGKIDYKGTDFEFIPFGAGRRMCPGTLFAQAVMELALASLLYHFDWKLPGGMKPSELDMTEKMGMAVKRKDDLYLRPVVRVPVPPQSTDST >KQK98803 pep chromosome:Setaria_italica_v2.0:VII:29258249:29258467:-1 gene:SETIT_012212mg transcript:KQK98803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMNAVRMLCGAEKERVVGTHKASGACPRCGGAVVATDVESERRILGLPLCLKNKRKYSCTRCFRRLVTLYS >KQK98820 pep chromosome:Setaria_italica_v2.0:VII:29366253:29368662:-1 gene:SETIT_012457mg transcript:KQK98820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISVNFASNTSKNFPWKTETGATKCSPAPLEAVVAFASRTSRHDRRPVSVRPCPSVHDVSWRSTPREATCAAYLCHVYGKGPGSCGPHCDASPEQEQEIQMLKSKVASLEDEIRRRKEETSQLESIVRERTAQMAALVGELELLQKLNVADDESVMKANTNVDMLEKQIERLGSDLEDQVRKGESLEARATEAEKNWHEFSRKLEHAENINVEQRKKIKDLDDRLQNAQDKLSELEKEAKLKAEGSMCCFQVHAMWLPHWLAVRVVRCQEVASAKWQVHGKPVLGPLMQKVAEKSTYAQQLVEPHLQKTQN >KQK99573 pep chromosome:Setaria_italica_v2.0:VII:33576193:33584729:1 gene:SETIT_009446mg transcript:KQK99573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVGSAGNTAGSTRPRKEKRFTYVLNDADAKKHCAGINCLAYLNGSANSTSDYLFSGSRDGTLKRWELNNGDASFSATFESHVDWVNDAIIVGEKLVSCSSDTTLKVWNCFSDGACTRTLRQHSDYVICLAAAEKNSNIVASGGLGGEVFIWDLDAALAPVAKSADAKEDEVPNGNSGPALTTLCNVNSSSNIASSNGQSHGYSPIAAKGHKDSVYALAMNDTGTLLVSGGTEKVVRVWDPRTGSKKMKLRGHTDNIRTLLLDSTGRYCLSGSSDSMIRLWDLGQQRCVHSYAVHTDSVWALASTPSFGHVYSGGRDQSVYLTDLSTRESVLLCTNEYPILQLSLQDDTIWVATTDSSVYGWPAEGHTPQKVFEKGGSFLAGNLSFSRARASLEGSAPVPVYKEPSLVIPGVPAIIQHEIMNNRRHVLTKDTAGSVKLWEITRGAVIEDFGKVSFEDKKKELFEMVSIPAWFTMDARLGCLSVHLDTPQCFSAEIYAVDLNVSGAQEDLKINLAQETLRGLLVHWSKRKQKSGSHSLSNGDSSSGKDLPSKDSPRSRSEVDDGTENHATHVLPSFEFSTVSPPSIITEGSSGGPWRKRITDLDGTEGDLPWWCVDCVTHNRYPKENTKCGFYLHPAEGSPAPNITQGKLSAPRILRIHKVANYVVEKLVLEKPLDGGSDSTFAMGLSSGQSQLSALDSSSRIGLKAWQKLKPCVEILCNNQVLSPEMSLATVRTYIWKKPEDLILHYRVVQSR >KQK96643 pep chromosome:Setaria_italica_v2.0:VII:13360931:13365478:1 gene:SETIT_012152mg transcript:KQK96643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVSAARWVLGKALAPVTDGLLEAWAASAGLGDNIDALKMELLFAQAMLDNARGRELHSPALAQMLHKLRQLAHDADDVLDELDYFRIQDALDGTYHAADTPADGCAKSLALNTLYTARALAGKLKLTSGSRDAANRGDPDDQEDDDAKRGRLSGVPCSCGGREVTSCPPSPTNNGDQKASGKCLPKFAYGARNAACAVGKHLPCYSSPCAHDDAHSDEPGNGWRSIFSACPSRPQKINDAAKLPKLKFDRVEISIKMRTIIEQLKPICAKVSTILNLEPLGSSCTTAKDIAMNRPITSSEIIEPKLYGRDSQKKRIVDAIAYGEYAANKFTVLPIVGPGGIGKTTFTQHVYQEVNNHFQVSVWTCVSLNFNANKLLQDIANKIPEVNGESTTASVEEQIGQRIKSKRFLLVLDDMWTYHEDEWKKLLAPFRKGEEKGNMVMVTTRIPEVAKMVKTVDSWLELERLEAEDFLRFFEACVFGEEQSFKDHDALLGVGEQILDKLKGFPLAAKTVGRLLRNRLNLDHWRRVLDSKEWELQTSDNDIMPALKLSYDYLPFHLQQCFSYCGLFPEDYEFDSKELIHLWIGLDILHSLEKNKKVGEVGESYLHELVSYGFFKESETEYGSPCYVIHDLLHDLAVKVSSDECLSIYSSNMGSIQIPPSVRHISIVIEDTILEDRIRFEECKTGLSALDKRLNAENLHTLMLFGDYHGSFVKEFRDLFREARGLRVVCLSEASYDVDNLLCNFSKLVHLRYIRIEENVCFEVRLPSSTARLYHLRILDVPGCNIDSRFLREMRNLTKLQHFLTLDDSLLSGIFEVGKLKLLQELTRFEVKENSGFELKELGQLQELHGSLSIYNLEKVQAKEEAEQAKLIQKFHLKQLTLSWDSERSDDSKNPKREELVLESLKPHSNLKELCIRGHGGAKCPTWLGTNLDVQNLESLCLYDIGWKNLPPIGGLWMVSGAENCHGQSFKYLKRLELVKIPTLEKWVRNDPCKVFSHLEELVIRDCSVLMELPFSRSTCEHRDKEVNLNWFPMLRKLEIFDCPNLLSLPPLPWTRAPCSLKIGGLGLWFESLFYTKDESECSLEVVGLDQLDGAWLKVLDFDNLTELEYLEAERCPPLPPDHLQMLSSLETLEIRHPKSGLWPVEGESHAGHQFPVENLEITYCGASGKEFTQLLSHFPKLSNLDISGCRKITRAGVMGKQATIKSGPSPSASAKMEGVHIGRNQLIHARGDREIAVETEDEEVLLLPPNLQSLRMDECPNLILINLPSDLHSLQSLDIQVCPKFLSSYSSSSTSCFPFPSSLQFLELDGLEGMEAAAVPLSNLVSLTRLRIRNCGDLRSEGLGTLLTQGQLTELEVYSSPRFLVDCSKPSRTREEEPLPCSSKLQKLTSDDIAGVLTDSFCSLVSSSLATLRLEYNQDVERFTMEQVEALLLLTSLHDLEFRRCGKMQYLPAGLHKLDKLKALSIVSCKALRSLSKDGLPSSLQHLRISGCPAIRSLPKVDTLASSLLSLR >KQK96095 pep chromosome:Setaria_italica_v2.0:VII:246992:248515:1 gene:SETIT_012012mg transcript:KQK96095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATKRHARGASSDDSEDLGHEGAKKPAKPAPPSPEDEGSEEERDTEAMEEESDKSGDARPVRHALAAAAPKPKETLNRAKKPSPAAAAEDVGAQASGEAPLVRDARPDELAAAAPPLRKKSKTKKTTKPVKRPPPPSEEESGDPSLVSNETAAVSLRREIVASLDHNKRGAMSPPLKRAKRDATPPQEDEEQVGKRAAGADNDGTSEPQAGTGVLVEKTFAALSPQHNKRSKTSAPKYKHKNKKKKQPGKGLAKLPQPKSAGFELTSDRDEALGAGNTLPPQEKDGAQEDKQHGEGKEDEGLEVSSEALSERDASSPKPCSSEEEKKPAVERSWSKDDELKILHALVEHAQSHHGAQPDSSHLLANLTFDKIDANADKLNDKIRKLRTRYRRWCLQGCPTDNLGGRLFELSAILWGQGDDDVQVEATSTKEFSQRSSLYPYLAEEVKAYAETHTSGDLVMAAFATIGHDKARHLDAMCKQQRVDSFKLERSQANLTKAMLSAFSSYI >KQK99033 pep chromosome:Setaria_italica_v2.0:VII:30591420:30593005:-1 gene:SETIT_011217mg transcript:KQK99033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFKAKGFFKGIKVISRMFAAKEHEMEIGYPTDVKHVAHIGWDSAAGNASPSWMNNIMAAPDISSLGNFAASTGTSWASQDFDPQPLGTPAFGAVAESTGRQDTATCPDVPKPPRKARGKKPEDGSPAAPPTSTDELAPPPPAAAEAEAAVGVAADGTQ >KQK97437 pep chromosome:Setaria_italica_v2.0:VII:21204868:21205257:-1 gene:SETIT_012442mg transcript:KQK97437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPVIRRGASACGDRPPVIASRAWCARPTTLIAAGGSRRGAHCAQSTFLLLLLLFFFFFFFFFLLLLLQATRKHAQYCRQTVCLLQLQSWALLILFNSTDHSSFRENVAPLRHIVFCTLYCYLSMLMKV >KQK99223 pep chromosome:Setaria_italica_v2.0:VII:31620889:31621335:-1 gene:SETIT_012036mg transcript:KQK99223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PNNWPSTLATGEGRTRLPLPLPSLPASDTDTTEHSEVAILPFHPLAEIDEQGSGRSFRVAACAMGIHLLGVLAARGFLQVFQVSAPLLWPLNLWMPLARHLSEACAAFYGALFSHAARLRATVRRRRRGGESLLDEYLRNALLTTPSD >KQK99188 pep chromosome:Setaria_italica_v2.0:VII:31401555:31403612:1 gene:SETIT_010732mg transcript:KQK99188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDHLFGLRNSFYIGAYHAAITSSHSVPAHALSPDDLVERDALLYRSYIAIGSHQLVIGEIGPSAATPLQAVKLLAVYLSGNDTGNRESVISRLRELLSDAAIGSNPILRLMAGTIFMHERDYAEALKHTHSGGNMELLALNVQIYLQMHRPDHAEKQLRAMQQLDEDHTLTQLANAWVDLVMGGSKIQEAHLIFQDLSEKYPTTCTILNGKALCSMHMGHFEDAEGLLLESLNKDAKDAETLANLTVCSLNLGKPATRYLNQLKVAHPEHTLVKRMSSAEDSFDRACQAMA >KQK97735 pep chromosome:Setaria_italica_v2.0:VII:22964994:22971997:1 gene:SETIT_009169mg transcript:KQK97735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDPGAAVAAGLTIPEGCIRRIKLSVVSNEEIAQPVDAQGKPFPITHGSQLQDNPSLGLPLQVGSCESCGATQLDRCEGHFGFIELPAPIYHPSHVAELGKILNIICLCCLRLKKPNEKGTGKERKFSSCSYCQDIPPLCVTQVKKSNGARSLELKAPLKEEVGDGFWSFLDQFGFHTILTSHRRPLHPKEVQNIMEKISKQTKIRLAARGYNLQNGFVMSYMCVPPNCLHISNLLDDNTDMYPPDTSKGLLQKVLRIIEQIKSSSISHPNFEACEVGEDDLQVAVADYINMGGTAKGTQSVTFSRQPAPKQWQQRMKALFISKSSSFTCRAVITGDPYIGLDVVGVPNEVASRMSVEEHVTDYNIARLQYMMDKGLCLTYTDVNSNTYDLSGEKGRKKHTMLRVGETVDRRVLDGDLVFLNRSPSTDMHSVQALYVLLHDDHTIKINPLICGPLGADFDGDCVHIFFPRSVSARVEATELFTVENQLVNSHNAKLNFQIKEDYLLALKIMCDRRYSREEANQIAMFSSGMIPPGDPYWTISQILQTTGSLITLPSHPNKESVGALVTAIISSTLKGKGPREAIKLLNLLQPLLMESLLMDGFSMSLRDFNGPSAMQKVIQSTSLELDELRESTVDFIAHYSALGLLVDPKSDSALRKLVEQLGFLGRQLQNNGRLYSSNLVEDCYKFMNKCSGSTKCYDPLKAHDVVKSSFYNGLNPYEELLHSISVREKIERSSSKGLAEPGNLFKNMMAILRDVIVCYDGTIRTSCSNSIVQFDSTDVSSSVTPGPGDPVGILAATAVANAAYKAVLDPNQNNMTSWDLMKEVLLTKASSISDTIDQKEILYLDKCFCGFEFCMERAALRVQSCLKRIKIEDCATEVSIKYHPEATQAAHCLVGHIHLDKEQLNRMEITTEHILQKCQEVISKHEKKSRQMRQIWKTTEMISSEYCLYDQDIGDEEALQVSCLEVFLDTSTTTGLSESNVAHLMTNTIFPILLDTIIKGDPRVQDAKVIWIEPESTCWVQNSSAEQKGELALEITVEKVAVAESGGTWGVAMDACVPVMDLIDTKRSMPYNIQEVHKVFGISCVFDRVTQHLSKAVGMVTKSVITDHLTTVASSMTCTGSLHGFNRSGYKATFQSLKIQAPFTEATLSRPMQCFRKSAEKVDSDQLASVVSTCSWGNHAAIGTGSAFKIHWKDEIQSSSDEILGGYGLYDFLALVGTIGAAELKTVTPHSSCLYDVDKIPEDEVQEDEVVCLGGNLPISWTDKTKADSLLHDFKGRSTGIHRTRQESQGTQNKSDWNSVANWKNDRPRGPLHSAFAGSTSTNEWNKRRFTDHVFERKQPKHSWSSAVTHQDDKPSWSSKNVAGAQKHGITLSSSSGGWNKKNSGFGRGGSRGMRKSEGSHSGGSNSRNWRAQNNSSARQGGISYSFTPVEQQIYAQVEPIMKNVKRIIRESSRDGVKLSQDAEMFIVNKVLMYHPEKEKKMAGQGNYIMVAKHQTFHSSRCLYVASSDGSSSDFSYKKCLENFIRIHYPDSADSFCRKYFK >KQK99457 pep chromosome:Setaria_italica_v2.0:VII:32966858:32967415:1 gene:SETIT_012651mg transcript:KQK99457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPQRRQPDQARPAGSACVWVVAVVLLLAVLAGGGCLVLYVTLPPAEVPHWLPAAGLALVAFPWAFWIATCAYRCCCSGSDAAAPPVASNVERPASSRKAVAPMPSSKSLKGTRSARHAGDGATPASGSPTASSAARRVRFGDTTVLGEEHAAAAAAAGDKDDGSSVHSNESEAPLAYNMQPSS >KQK96925 pep chromosome:Setaria_italica_v2.0:VII:17499688:17501440:1 gene:SETIT_009953mg transcript:KQK96925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGRERRFEGLAWSLVGEIIRGGRGGSRSGGGGGLWVAKPGVDGTGGGGRVTAVVREGRGTATGGDGHFPHTYGKTSFQHDDDPRRPRKRHRVTPTPPATAATIPEDLLVSEILALLPAESLLRSGSVVCRSWRAAVRDPAFIRRHLELSRARPPTMLAISWPMDEKEEDDDEEEATTVTPPRVRALQRPGRRHRVDGPYGAHHPTMESVAVPTESPNVGPFTMITSAVAAIGFDPRTNGYVLSRFFYRVNRIFTDGRSGSVVVEYDDVGHEVLRLGAGAGAGWRFTENAPFPIDDSVPPVCVRGAIYWAAMDPSASGEDDVDDDGIANILLRFSLRDETFAVFPLPPRVRSVGRRNRVAELAGELCHAHATAPTAFDVWVATGDDEPGRPAWWLRWHVDFYRPVDFVAPLAVDAGGVLLMSVDEEDMYRYDKRNRVLEKVADVQQVSRPVRWNGSGGRGEDDVIPSCAAAELLVPCVENLVSITSCNN >KQK96961 pep chromosome:Setaria_italica_v2.0:VII:17759153:17759659:-1 gene:SETIT_012883mg transcript:KQK96961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFGFYKRARGIKHKVAMPFYPRKPAQVAVAPATAPAQQPAGSKAKQPAAATTDVVDAGTAAVNGVAPGGGSDADVDRLATLYISRVQERLRRERMADDWRKYY >KQK99081 pep chromosome:Setaria_italica_v2.0:VII:30855295:30855775:1 gene:SETIT_012859mg transcript:KQK99081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDSHFYIKGYIVTQAVSSIRLIDYVSTCIEFIIAIFV >KQK99626 pep chromosome:Setaria_italica_v2.0:VII:33880450:33880935:1 gene:SETIT_011496mg transcript:KQK99626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFGYPWIIVFNTVQSRAHSHHIAANTVSLCPLTIHIAAVLLKFFSLRLLHQCAGFGERVVVGLWQVFDFSKVNGSQLGMHQ >KQK99655 pep chromosome:Setaria_italica_v2.0:VII:34082504:34085979:1 gene:SETIT_011461mg transcript:KQK99655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRVILIFLSATIAGFFLIRGLNAEPDQFDADDDKASDSGSPRAPLPLHSKVGSAVKTGFWTMVDMASGRYLWRTLVSQPEKSESEKAR >KQK97023 pep chromosome:Setaria_italica_v2.0:VII:18324139:18325420:-1 gene:SETIT_010473mg transcript:KQK97023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAASNKIVHRDEITDDAFTDSAHIPEKYIRTDEVSAGAVVGEDEAYELPVIDMAKLLDPELSASETAKLGSACRDWGFFQLTNHGVDEAVIQRMKESTVQFFGLPLDSKNDVAVRGDGFEGYGHHYSRLSKLDWAESVILITQPVQDRNMELWPTNPPMFRDALEEYSAAVTKLMRQLLGSMATDLGVGEAALLGAFSGKRQSMAIHHYPPCRHPEKVIGNTAHTDGLALTALLHVDDTPGLQMLQGGRWFPVRPRPGAMVVNVGDILHILTNGAYRSAEHRVVVNAERGRTTAVIFQDASVGGMVAPLPELLVDGGEARYRSIPRFEYLKVRFSALAQRKGFLESLKL >KQK96142 pep chromosome:Setaria_italica_v2.0:VII:810172:813582:1 gene:SETIT_012819mg transcript:KQK96142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWLLLFAYFFAVNSRVNITLGPNEDREFTTGLHIVNDIYCICCQENIGWRYWQRRRRRPQTRSLPHR >KQK97505 pep chromosome:Setaria_italica_v2.0:VII:21535259:21537602:1 gene:SETIT_011082mg transcript:KQK97505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALSSCVISGGLAPHTSKVSPRRHGNPVISASCHRRRSLISLMMNSSGMNNAFPMKGTTTGIPAVGPGPANPSGGNLPIPNMPHWAKWLVGAVIVAIPIYRRFRTLEDKIEKTAEVAIEVIDTVAEATEKVAGEVADAFPGNENLKEAASKIKTVTDAIEEDAEKAEALIQKVDEIKKEVDSIVDPIIDKVVKEEERNK >KQK98045 pep chromosome:Setaria_italica_v2.0:VII:24693155:24698127:-1 gene:SETIT_009357mg transcript:KQK98045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGEARLVEDLRDFLDDFAFRAKRLAAPLLRPFRPSAESVAVDDAELDMLKAKLRRIRATLRAAEDRVVADDFVALWLRELGTWRTRRRTAGGGGKRKREISLMYSSSPDRLCRKIAKIMERYKEIARDRDALRLRSGDGERRREVSPMTPTSCFTKCRLHGREREMRRVTELLLSDEASCCDVYSVVPIVGPAGAISSKFDIKMWVWVCQEFDALKLTRKLSEETTESPCDFADMNQMHRVITDRLKGKRFLLVLDDVWDESRDRWASLQVPLQCAARGSRIVVTTRNAALRGRDPSIINDSLISIGKSVAARCKGSPLAANAVGHVLSSAVDRNHWDAVEQSDLWNSEVVEQTLLALLVSYNSLQEHLKHGFSYCSLFPKEYLFRKDKLVHLWYVMHDLYHELAEYVSAKEYFRIETSTFSNVKENARHLSLAPSEDHCNEIVQLHALQNEDIKEYHIPGLRTLLVVQKDELEDKGNTLYINFPRGMFKVLGSLRALDLSNTNMENQPHSVGELIHLRYLSLENTKIKCLPESISALFKLHSMNLKSCNSLSELPKGIKFLTNLRHLELPSMDDWNMYMPCGIGELINLQTMHVIKVGSDSGSCGIADLVNLNKLRGELSISGIENVTSAQITPEASIKNKGELCKLIFRWSCVDSMFSDEASSVLDSLQPHPDLEELTIRGFSGVRFPLWLGNHMFCISILELKDCQNSKELPSVGRLPCLKHLSINSLTSIMHVRRMLSVHDETTCGDYGSSTSRAFPVLETLKFMNMDSWELWDEIEATDFPHLQHLTIMRCSKLSGLPSLQALQNLRIKNCESTRVAKFPFPPMY >KQK99015 pep chromosome:Setaria_italica_v2.0:VII:30490435:30491565:1 gene:SETIT_012199mg transcript:KQK99015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADNSPVKQILPETSSSRFLMESITATHDFDVTNFSLLDGVGIGKYISSRTFSSGSGDWNMRLYPDGDKPMGWVRWNRAAYVSIYLCFLGGESAAKAKRTKFSLSLLDKDHRVFRVKGKKKGEKRRKVWRTPKHSTVKPTSFHNKWGSLFIKKSHLKELLLMSNDCFTIRCVLTMIKRQAKTAIEVPRSNLHQDLANMLKDGEGADVTFSVGDQLFHAHRYVLAARSLVFKAELFGEMKEKAAPTQHIKIHDMEPTVFGWLLHFVYTDSLPDDCNDNADRIVAMQHLLVAADRYGLERLRLMCEEKLCSWLDVQSVATTLALAEQHQCVQLRYVCLRFIDCPDVLGAFMETEGFKHLSASCPLVTKEILDDHLGQE >KQK97907 pep chromosome:Setaria_italica_v2.0:VII:23902319:23904314:-1 gene:SETIT_011037mg transcript:KQK97907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSPVGDGTLSLDGFNASATALVKRWKEIEVDDSLPDWTWKPCSNLGVPSQVEGYLALEGVYRDCGGSQIEEEDSSDGADVGYDTWVQSSSDNIHVYDFHVVYSHSYKVPVLYFQGHRSDGQLLTTDEIKQDLPSNSLKVLSESKWTFITREEHPHLSRPWFTLHPCATGDWMKLLLEESKVAYEEQSPRYLPAWLSVVGQAVGLKIPLELHCKS >KQK98701 pep chromosome:Setaria_italica_v2.0:VII:28704618:28707225:-1 gene:SETIT_010901mg transcript:KQK98701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREERFPVWEAALGAGVAAAFAAGLVGVYLSMPDSDYSFLKLPRNLEELQILTGHLENYTSDYTLQVLVGYCAVYIFMQTFMIPGTIFMSLLAGALFGQLRGVALVVFAATAGASSCYFLSKMIGKPLVFSLWPDKLSFFQKQVAKRREKLLNYMLFLRVTPTLPNTFINLASPIVDVPYHTFLLATLIGLIPAAYVTVRAGIALGELTSLSDLYDTQSIALLFLIGVVSVTPALLGKDEAQEKPSEMVVGAS >KQK99759 pep chromosome:Setaria_italica_v2.0:VII:34611410:34615337:-1 gene:SETIT_010868mg transcript:KQK99759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVASALALLLAPRPVPARPTSPHLQSRRLALPPPRPATLSAPAATYPRKAGRLQQLNAASCCSNSAPAAGTAGGSATGVKDWRFFLAWYLMSLDKNPIATKAVTSAVLTLAGDLICQLVIDRVPALDLRRTFVFTFLGLALVGPTLHVWYLYLSKLVTISGASGAIARLLLDQFIFSPIFIGIFMSLLVTLEGKPSLVVPQLKQEWLSSVLANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVIVK >KQK99391 pep chromosome:Setaria_italica_v2.0:VII:32709993:32712421:1 gene:SETIT_010977mg transcript:KQK99391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRVTRCLRGRRPRGGSSRHSRWWHPTTRRPQQQGARHASSMVAQKVWETMRVRSSQHVFFDGSPGDEESHLNYITMYAGKSAMVQHLRGYSGFKSQDGNSHSWAHGSWWLEHAPPPLATAVLAAYSPVSHSVSPPSPSTARPGGGHIPAACSNKLLRGSTNEHGCDVDSAAMTACYTTTSSSLTRQEESIKGNGLPARKENDSSQLGKQQGSTGWLALTGARQSRACVSS >KQK98909 pep chromosome:Setaria_italica_v2.0:VII:29895939:29901989:1 gene:SETIT_009244mg transcript:KQK98909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYERGGGGGRGRGRGRGGGGSAGGGGRGGGYGGRGGGGYGGRGGGGYDGGGRGGGGYDGGGGGGGGGYGRYEGGGYGGGRGGGGYHGPPRGGGYGAGGRGPGGGGRQAYGPGGGRGGSAWAPPPGSGRGRGGGGNGAEYVPVTRAPAPAPTSMGIAPKDKEALSASGSVERIDSSELARGKPSSSLVATPYAGARVPMQRPDRGGSSSQANVKLLVNHFIVKYRKATTIFHYDIDIKLDQASPKASGKELSKAEFLSVKDELFKDTSFRRLSSCVAYDGGRNLFTSAELPEGLFRVRVRSKTYIVSVDLKKQLPLSQLSELPVPREVLQGLDVIVREASRWRKIMVGKGFYSPNSSLDIGQGAVALKGALQTLKHTQQGLILCVDYSVMPFYKAGPVMDLVEKIVGRLDYRTTLNKWQLENLEYELKGRRVTVIHRRTNQKYIVQGLTPLPAGQLTFVDAETGQTNRLVDYYAQKHGKVIEYQMLPCLDLSKSKDKANHVPIELCTLLEGQRYPKANLDRNSDRTLKSEALIPAFKRRKEILDLVNATDGPCSGEIAPQFGISLDVQMTEVMGRILPPPNLKLGAPNGQTSKFSINHESCQWNLMNKKLVEGWDLQCWGIVDFSARTSHPREESLNGWMFVEKIVRKCCELGIRMNTDPCFVHKSEMAVLSDPHRLHEELNKAKQAAVSKEQRLQLLFCPMSEQHPGYKTLKLICDTQLGILTQCFLSKIANKQQGQDQYMTNLALKINSKLGGSNVQLYDSLPRVSGAPFMFIGADVNHPSPGNVESPSIAAVVASINSGVNKYVSRIRAQPHRCEVIQQLGEICLELIGVFEKQNSVKPKRIIYFRDGVSDGQFDMVLNEELADMEKAIKVNGYSPTITVIVAKKRHHTRLFPKDQGQPQTKNGNVPPGTVVDTGVVDPSAYDFYLCSHNGLLGTSRPTHYYSLVDEHGFGSDDLQKLIYNLCFVFARCTKPVSLATPVYYADLAAYRGRLYYEAGMRSGTFEAGSFPRLHKDLEDNMFFI >KQK99086 pep chromosome:Setaria_italica_v2.0:VII:30881710:30883472:-1 gene:SETIT_012331mg transcript:KQK99086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAIYSHLLLFSLLLLSTKKLAFAADILGKGSNITDGETLVSSDGTFMMGFFSPGASTKRYLGIWFSVSTDAVVWVANRERPVNDKAGALVVSDTGSLLLLDGSRQIAWSSNSTSTSPVEAQLLNSGNLVVRNRGSATILWQSFDYPSNAMLSGMKVGKDFWDGVEWFLTSWHSPDDPSPGAFRRLLDTSGLPDNVVWKSNAKTFRTGPWNGLWFSGIPEVLTYTNLIEYQMEITSRQVTYGYIVKPGAPLTYVVLTETGDVNRLVWDSSTRSWQTQYHGPRDICDNYGKCGEFGVCNASAASTSFCSCLKGFSPVSPSEWKVREASGGCQRNVRLDCGGKQPTTDGFELVHGVKLPDTHNASVHMGIAVEECRARCLSNCSCVAYAAADLRGGGSGSGCVIWADSLMDLRYVDGGQDLYLRLPRSELAACTTPGTIRRATRNSPTNNRIGEGAFGIVL >KQK96469 pep chromosome:Setaria_italica_v2.0:VII:8509159:8511614:-1 gene:SETIT_010627mg transcript:KQK96469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRAPCCDKNNVKKGPWSPEEDAKLKEFIEKHGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFTEHEDRVICSMYASIGSRWSIIASQLPGRTDNDIKNYWNTKLKKKLLGSTATPPPHRAPRHHHRPLNLMLQHTSPSLPQPTYNSFFSGAGALHNPISIPALNLPPPQDYMLNSSVVMPNAPSLLQGHGATQQQFHQHVVKEESGSMIVFGSDQQSCSSSDGAHSQPQFGHGKELSFDGYFGYNNGSIEHDHRLLQLQDHHQAQAPVEYNYEEIKQLLMSSTTGNLHGHGQDGGMEGFGSQGKVTMM >KQK97949 pep chromosome:Setaria_italica_v2.0:VII:24142737:24144327:1 gene:SETIT_011945mg transcript:KQK97949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRWARAPTGLLRAHLRRRPLLPARARRPLVPFLAPALCGSSRGSSPRGAPVSRSRSSSSGASTSGCESRTGTARAWGRWNRAWGFLNRARGGFPWRQWWPEARRTPATQGRRGQKRHGKLRPGAVGLPAREERI >KQK97577 pep chromosome:Setaria_italica_v2.0:VII:21982704:21983402:1 gene:SETIT_012385mg transcript:KQK97577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YQQYPLFLNLIMYIIVAAIAGWAINYSIDESMNSLQGVSPPVRLFPIYFPIGNLATGFFVIFALITGVVGISTSLTGLHDVGQGFPANMMSAAASALITWTLTLLAMGLACKEISRTLEAFTIILSRTQLLCVGSLHAGAHEAIIATPISGRVW >KQK96507 pep chromosome:Setaria_italica_v2.0:VII:10368602:10369328:-1 gene:SETIT_011334mg transcript:KQK96507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMRGGKSMALETGSGPPHAGSTVYGGAGDGDRARRQRCSSARRCLEEEAAAEVVKAHEEVAVPEGGNATVAAHQVVDGVCMARPSRTGRPTEEEENGGGTGPAAHQQAARLCSSFHASCLGRCS >KQK97521 pep chromosome:Setaria_italica_v2.0:VII:21654764:21658228:1 gene:SETIT_012137mg transcript:KQK97521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAIVPTDGPATDYGGSLTLSVFMTCLVAASGGLIFGYDIGISGGVSEMEPFLRRFFPHVLRRMAEAKGNEYCIYDSQTLTAFTSSLYVAGLFASLVASRVTKAMGRQAVMLMGGALFFAGGAMTGAAVNIAMLIVGRMLLGFGVGFTNQAAPLFLAEMAPSKWRGALTAGYQFFLALGVLIANLVNYATARASWGWRVSLGLAGAPAIVIFVGALFLTDTPSSLVMRGRPDRARAALLRVRGPDADVDAELRDIAKAVEAARQSEDGAFRRMATRREYRPHLVLAVAVPMFFQLTGVIVLAFFAPLVFRTVGFGSNAALMGAVINGAVNLVSLLLSTLVIDRYGRKVLFMVGGIQMVIAQVGMAWIMGAKIGRSGEAAMPHPYAVAVLVFTCLHTAGFGWSWGPLGWVIPSEIFPVDIRSAGQAMNVSIGLCLTFVQTQSFLAMLCRFKYATFAYYAAWVAVMTVFIALFLPETMGIPLESMGTIWVKHWYWKRFVHDGKERRGTNIRQ >KQK97528 pep chromosome:Setaria_italica_v2.0:VII:21709839:21712001:-1 gene:SETIT_012105mg transcript:KQK97528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TLQIFSVKVTGLSGGLKFPLDVYGMVAIRDNLDHNRNIIFHRKRDNCQTLTQEDLSLVLTGPVRAVDLLDPVIFEVELKVKSNIESQDRVLSLLAPPLDSPALIPDSCMFKKCYTSKLSTMELTVGHIFYSLEATISVKVIEGSWLEDSHGQFTASTDSIEDEKVVLLGFGDGKVPLDGDNILLSRSVVSAEYEEHLIVSVNTRQSKKAEDEAVEEHAVFTPLNMGRSYGELNVGFCKMQVTVAWSEALLLRSGMTMEVSL >KQK97569 pep chromosome:Setaria_italica_v2.0:VII:21920368:21922313:1 gene:SETIT_011205mg transcript:KQK97569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASAADALTCAEFGVVFYSPRVLAAAAAASRAEREREREVDAMVQRLTYRKRHSYATKSNQTRVVKTPGGRLVYQYTKKRASGPKCPVTGKKIQGIPHLRPAEYKRSRLSRNRRTVNRPYGGVLSGQAVRERIIRAFLVEEQKIVKKVLKIQKTKEKTTSK >KQK99082 pep chromosome:Setaria_italica_v2.0:VII:30856590:30857536:-1 gene:SETIT_010897mg transcript:KQK99082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDPHLMSTFLLSVSPSLSAVALAPTSRCQSSPHPPRHPTSPPPPRRRARPASAPPDQSSPSCHQTPELVPTHSHPLPYGRRQAPPLIDGLTCAPVLLLLRLYPLLLARLLPVCCHSRAAAAAAPTSGAAEVSPKRRISADSGRDRRDRGLARATNGPQADGAGAAGRWRTGVCGHPASGAAGRQRLQLAGWSGWWQPCRSDAARPGRSGRRPGAVAQRARTVAAGGWWPGLCSAGVRWPRAAGDQDGSGGWQV >KQK98753 pep chromosome:Setaria_italica_v2.0:VII:28990691:28995344:-1 gene:SETIT_010029mg transcript:KQK98753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWGTVVYEGAVVGSSLVGLGWAGLWFLNRRLYKEYEERRVLVQILFGLVFAFSCNLFQLVLFEILPVLSKHARFLNWHLDLFCLILLLVFVLPYYHCYLLLRNSGVRRERAWLVAALFLLVFLYGFWRMGIHFPMPSPEKGFFTMPQLVSRIGVIGVSVMAVLSGFGAVNLPYSYLSLFIREIDETDIKTLERQLMQSIESCTAKKKKIILSQMEMERIQGSEEKLKARSFLKRIVGTVVRSVQEDQTEQDIKNLEAEVQALEELSKQLFLEIYELRQAKIAAAYSRTWRGHLQNLLGYALSVYCVYKMLKSLQSVVFKESGSVDPVTMTITIFLRHFDIGIDVALLSQYISLMFIGMLVVISVRGFLANVMKFFFAVSRVGSGSTTNVVLFLSEIMGMYFISSILLIRKSLANEYRVIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLISAQYTTRQTDKHPID >KQK96260 pep chromosome:Setaria_italica_v2.0:VII:2621144:2621277:-1 gene:SETIT_0121471mg transcript:KQK96260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQSTHEDEKAAAALHEDALTDVLRRLPPRTLGGAKDLCQAGA >KQK96543 pep chromosome:Setaria_italica_v2.0:VII:11514229:11516338:-1 gene:SETIT_010275mg transcript:KQK96543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVTVEEVRKAQRAEGPASVLAIGTVTPPNCVYQADYADYYFRVTKSEHMTELKEKFKRICRKSMIQKRYMHLTEDILLENPNMASYSAPSLNARQAILVEEVPKLGAAAAEKALKEWGQPRSQITHIIFCTTSGVDMPGADSRIIKLLGLDPSVKRVMLYHQGCFAGGMVLRIAKDLAENNRGARVLIVCSEITVVTFRGPSEAHLDSLVGQALFGDGAAAVIVGADPDEHVERPLFQMVSASETILPNSDGAIEGHLREVGLTFHLQERVPELISSNIERLLEESFKPLGISDWNSIFWVAHPGGPAILNAVESKAGIDKARLRATRQVLSEYGNMSSACVLFILDEMRKFSAEDGRATTGEGMDWGVLFGFGPGLTVETVVLHSVPITTGHAA >KQK98296 pep chromosome:Setaria_italica_v2.0:VII:26117706:26118048:1 gene:SETIT_012846mg transcript:KQK98296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITAAAEKTSILQKPEEAKEKKRPLVQTKLKTDTYDHLFR >KQK99036 pep chromosome:Setaria_italica_v2.0:VII:30602863:30604861:1 gene:SETIT_010487mg transcript:KQK99036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFRLLFGLVLALFLQSLSVLANPLELGLQSSSSGLPNPSGLRVGFYQYTCPNAEAIVRDEMTKIISQVPSLAGPLLRMHFHDCFVNGCDGSILLNSVWGLPSEKEAIPNLSLRGFGTIDRVKAKLEKACPGVVSCADILALVARDVVVLTKGPHWDVPTGRRDGRRSVKQDALDNLPPPFFNAGQNLYQFFIPKGLDAKDQVVLLGGHTLGTSHCSQFADRLYNFSGTTMPDPSLDKRYIPRLKSKCASPGDTTTLVEMDPGSFRTFDASYYRHVARGRSLFTSDQTLMNDPAAGAYVRRQAAVADAGAYPAEFFADFAASMVKMGGVGVLTGAQGEVRRHCAFVN >KQK96355 pep chromosome:Setaria_italica_v2.0:VII:4120518:4121146:1 gene:SETIT_011509mg transcript:KQK96355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNSSVTLVPTVTTSTLRSGRSALIFSLASLIFRPTSSGYSVLGASDTLPSAAGELILATTSNTKNMVFVKHNYITYSS >KQK98871 pep chromosome:Setaria_italica_v2.0:VII:29687958:29688979:-1 gene:SETIT_012153mg transcript:KQK98871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RLQSRSSNPLLNALYKLNFIRTVEPARPLLPPPAAGADAESLAAGDTNAPFCVNPPDAPPASTMAPPFTSTPFTPSVPDQAPPLPPITPVPPSFEPSPPDSGAPGGGGQGGQGQGGGQGQGGQGGGQGQGGGQGQGGQGGGQGQEGPPASTTPSTPPQTGPGAPFGSAPPSPIVVVPSPPEFGPGGGGVPGSGGGGGGGGGPFQPPIIYPPPLAPPLPPGAGQTLWCVAKPTVPDPIIQEAMDYACGSGAECDSIQPTGACYHPNTVLAHASFAFNSYWQQSKAAGGTCDFGGTATIVTRDPTEHLKKAPTTHLAPMCACHGNFAQF >KQK98902 pep chromosome:Setaria_italica_v2.0:VII:29870823:29875675:1 gene:SETIT_009677mg transcript:KQK98902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSDDRAQLSGFAQSEESTLDVEGHCYHQQSFPCSPSMQPIASGCTHTENSAAYFLWPTSNLQHCAAEGRANYFGNLSKGLLPKSGKLPKGQQANSLLDLMTIRAFHSKILRCFSLGTAVGFRIRKGVLTDIPAILVFVARKVHKKWLNPTQCLPAIVEGPGGVWCDVDVVEFSYYGAPAQTPKEQMFTELVDRLCGSDECIGSGSQVASQDTFGTLGAIVKRRTGNKQIGFLTNRHVAVDLDYPNQKMYHPLPPNLGPGVYLGAVERATSFITDDVWYGIYAGTNPETFVRADGAFIPFAHDFDIKTVTTTVRGVGDIGDVKVIDLQCPLNSLIGRQVCKIGRSSGHTTGTVMAYALEYNDEKGISFFTDLLVVGENRQTFDLEGDSGSLIILTGQDGEKPRPIGIIWGGTANRGRLKLTCDHGPENWTSGVDLGRLLDRLELDLIITNESLQDAVQQQRLALVAAANSAVGESSTVVPPVPEEKVEEMFEPLGIKIEQLPRNDVPASGTEGEDAGVTNVEVEERQFISNFVGMSPVRQDQDAPRQIANLNNPSEEELAMSLHLGEREPKRLRTDTEADLDLEK >KQK99097 pep chromosome:Setaria_italica_v2.0:VII:30991981:30994397:-1 gene:SETIT_010341mg transcript:KQK99097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHFCVFGFLKRHIIKQIGVRRIQKPFQTAGKAKSTSAADDNIYEDDSVKGSILSSPLVEFSTVYSATNNFSDKLGEGGFGSVYKGILPDGQEIAIKRLSKSSGQGLEEFKNEVTVLSKLQHRNLVRLFGCCIHVEEKMMLYEYMPNKSLDSFIFNESKRPLLGWKLRYNIIQGIGRGLLYLHQDSRLKIIHRDLKASNILLDDNFNPKISDFGMARIFGEHQLQDLTRRIVGTYGYISPEYAMEGKYSEKSDVFSFGVLILEIVSGRRNSSFVDEEWSMNLLGYAWTLWKEGSVSELIDPLMGTTYTYDEVCRCIQVGLLCVQELPGERPTMSIVLRMLSGDVTIPSPKQAAFFVGRVPRLAADDNYTGSDNQLTYTDLQGR >KQK99801 pep chromosome:Setaria_italica_v2.0:VII:34809148:34811275:1 gene:SETIT_012400mg transcript:KQK99801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLKYKETVANIRPNFGTNSKELIKVHHLLNHTSGLHNALGDVVKSDPMLVCDWEETLNQVAKCTPETEPGSAQIYHYLSFGWLWGGVIEHASGKKFQEVLEEAIVRPLHIEGELYIGIPPGVESRLATLTVDTEELQKLSGIKAGPGVPPELLSNIAQMASGVPVLFNTLNVRRAIIPAANGPCSARALARYYAALATGGSIPPPHSADSKPPFGSHVHTPKFRTAPLKKKKGAGKKKGVGSTGNLQDVSNTDKNGYSQLRTSDANDEAAAGSSAVTRSWTRSWVSVNTRAGKFGLGFRRYNNRSGTLRCFGHSGRGESTGFCDVENNFAMAVMVNKMSLGSVTRGIVRFILEELGLPVPDEFSTSGEKGPDMVLNLAPPQQQR >KQL00053 pep chromosome:Setaria_italica_v2.0:VII:35961574:35962310:1 gene:SETIT_012283mg transcript:KQL00053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSYKCVVIALLVVFSNLRPSAAIRSADPEAATSTPSSRRHLRRGELILLDICHALFPPPTPPSPPSPEERVTCRAPLAKRFVPVCGSFLTNSSVSAPSSECCYRVSSFIATPTQFCLCHIANGDETNLYLLNKLRSMMDPPSPPPAPGKKA >KQK96521 pep chromosome:Setaria_italica_v2.0:VII:10852287:10855468:1 gene:SETIT_009624mg transcript:KQK96521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPEHEAGEHVNLTTEDSLQSPIFLWNDTETNRRSEIQALETGDTFVQELDQENGNAILNGDEDLNECQKDQAEAEADGDEDYMFPSPEEMEKARPPEVGMVFPTLQDAHRFISVYGQVTGFAVIKGTNYKHKKITFVCNKSRKAKETDTRQRKRRRDAVEHTQCRMKMTVKLVADRWEVTAAMNEHNHPLWCSPLLTRFFMSHKDMSEEERHFSRILQESRIKPTKIMEIFRKLQGRLKNIPARKVDSNNLKQSDRLMKTRNTDIGSTLEHVRRLQKEQPGFYYAMKTDEDSTIRSIFWTDARARLDYALYGDFIHFNTTCRTNAYHMPFASLIGINGHGKPTVFGWALLENDEAETFSWLFRTFLDVMDGKKPSIIMTHQDSAIQKSIAEVFPTVFHRFSMWHVMREAAAEFGGFMVNRPGMEADLTRLVTNSLTTEEFENDWKTMLEKYAAELNAHLKHMYWTRSMWVPVYFKHVFCPFIRSSGSCENTNSIFKDYVLQEDTIETFIRQYNIFQESVSTDRFESTRQKPKYCTRQPIERHAAEIYTMGLLILPVEMAVWSLPSVDGGKDLTPPMLVPSAVSAGGRALPLLQPAVRPSPPYCCRRSSARADRGTPAD >KQK96690 pep chromosome:Setaria_italica_v2.0:VII:14006404:14008409:-1 gene:SETIT_009584mg transcript:KQK96690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQPNLTCTPLVLTPHKNCVTAAASRMPSPSSRHHPSPRPPLVSTNSKAAAPFLLPTTAALASTHTQEEPTSNNSQQQLRMGDAAIAVVREDEEEHIFRSRFPPVSVPDDVTVPEFVLEGAEAYADKVALVEAAPGGRSYTYGEVARDVARFARALRSVGVRKGHVVVVALPNLAVYPVVSLGIMSAGAVFSGVNPRAIAAEIRKQVEDSEAKLVVANEVAFDKVKDAGVPVIGIGDAERMPGAIGWDELLVAADRTGAPVVALEPVQQSDLCALPYSSGTTGVSKGVMLSHRNLVSNLCSSMFAVGEELAGQVVTLGLMPFFHIYGITGICCATLRHKGTVVVMDRFDLRTFLSALVAHRVMFAPLVPPVMLAMVKSPVAAEFDLSGLALRSVMTAAAPLAPDLLAAFQEKFPGVQVEEAYGLTEHSCITLTHAGGDPQRGPVQIAKKNSVGFILPNLEVKFVDPDTGRSLPKNTPGEVCVRSQAVMQGYYKKKEETERTIDAKGWLHTGDVGYIDDDGDVFIVDRIKELIKYKGFQVAPAELEAILLSHPSVEDAAVFGLPDEEAGEVPASCVVRRRGAAESEADVMAYVAARVASYKKLRLLRFVDAIPKSVSGKILRRQLRDEFLERAKAAAAAEGK >KQK99815 pep chromosome:Setaria_italica_v2.0:VII:34872778:34888680:-1 gene:SETIT_009163mg transcript:KQK99815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPAPSTAAAPAAAEQTEAERLDALDRMLTRLALADDARLAPVLARVLPYAITSLASPAPAVRKLVMEILSHINKRVKHRPEIPLPMLDLWNIYTQSTSSTIVRNFCIVYIEMAFERLPTEEKGNIAPDFLTNISNVPAQHQGIILRLVTKAIGECNIHKVDDTTASKYRAITESNDGLVFVDFCFHTLLYQTPPQGIGCPAGLSGSQSDRVTGKIPLKGDILVSRKLGILNIIEAMNFAPEIVYSLYLSAASDSQESVSKKGEELLKRKASAVNLEDPNLVKKLFTLFNGTVGAENIAAELKVSPAHASLRMRLMSVFCRSIAAANAFPHTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTTETDSTGRDTKIFAYQAIGLLASRMPNLFSDKTDMAIRLFTALRLEDQSLRLTIQEAATSLATAYKGASVRVLKDLEVLLLENCEAEQSEVRFSAIRWATALYDTQHCPSRYICMTGASDVKLDIREMALAGLNLLNDGRQPSAGSVHFNYPDVTEMINYICHQRPQLLDSDEQRNGKMLFPTKTFLSMIKFLMKCFEASDSPDLVQEDSSHSPVANMCVILEHAMSYEGSSELHALALKSLVDLSTREPKLVSSRYADRIRWLRALLGHVDSDAREAASRLLGIASSALESSAALTLLSEFTSTLDQNRPSRFENYHGVLCAIGYLTAGCLKQSYIPEGIVNNSVDILVKVVESEGSTLASVAMESLGHIGLHCALPSINQNSSTGGLLTILHEKLSKLLSENDTKAIQKILVSLGHISWNEMSFPHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGGVPVTADEILETNFVSLSQATNYLTSDAPLVSSNVHERSGCEEAHAMAREEIIKKLFETLIYSSRKEERCAGTVWLVSLTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSIVYELGDASMKEQLVHALVNTLTGTARKKKAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNSLIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSKVSKHLRKIWTTAFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMNIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALRPHLPELVSCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDKNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMIDIKPFTALLLKFMYSAVLEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADIIAGYNAVVIPVIFVSRFDDDKDTSALYEEIWEDIPISERVTLTLYLPETVSLLCDCMSSSSWAGKKKSAKATKKLCDVIGESLSPHHHNILESLLKELPGRFWEGKDAILDALASLCSCCHAAITAQDSSLPSVILNAVCAACNKKSKVYREASFLCLQKVITAFRDPGFFNSVFPMLYKVSNQSAISKTKGSSLTTSSAVAEQDESEGASVPLDKVLNCATSCISVAFPQDIMSQKKNVLEVILNSLSPEESWQVKLSSFSCVKELCHKFQNSDDSDTWPQATASLVQELFHLVSAKVVDTIRLVKIAQVHTAASECLLELIKLYRDFPLTDRTEAKFEVELAELCESEKSEQAKALLKECLAILTTLPGVTMTTD >KQK97017 pep chromosome:Setaria_italica_v2.0:VII:18248746:18249351:1 gene:SETIT_011355mg transcript:KQK97017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFVKMKLGKHNKFRDVFEMAFNVSKDQDKFCKTQPVSQKFPDRWIEERGSSYAVRYLREILTRRGQKMYHVPSTGNVAPYHEPGDKGCNTSISVTRVSTRGYAGSRWHIGLGSQHSDIAK >KQK99632 pep chromosome:Setaria_italica_v2.0:VII:33912628:33913067:-1 gene:SETIT_0115731mg transcript:KQK99632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLLSLEKGLFHKNVHGRRISSIPEYSCLPHYLTVHSELSK >KQK98690 pep chromosome:Setaria_italica_v2.0:VII:28644179:28644403:1 gene:SETIT_013092mg transcript:KQK98690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIGPYTRLGSKQIQFLISSYLREKTRGCQKSRENHCICITQCRGLAFCRGRKRIQMQ >KQK99442 pep chromosome:Setaria_italica_v2.0:VII:32904461:32905885:-1 gene:SETIT_010159mg transcript:KQK99442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVMRSDVQVVESSLVTPSEATPRQQLWLSPLDVLLVNRGHTPAVYFYHPAADAAAAKDFFDVARLKESLARALVDFYPLAGRLTVDAGGRFVIDCNAEGALLAVARSDLTVADFADVVPSPELKDLFVPRVDPPSSVMLAVQVTFLGCGGVAFGTALHHAVADATSAFRFFRAWAALCRGGGAMVEMEMEPPCHDRTLLRARSPPFVNPDAFAVFCPKLTLTQRSGPVASKVFDISKDHVAALKQACGGVSTFCALSAHVWRCVCVARGLPADATTRLTLPANVRRRIKPPVPDSYFGNALIWVGTSGVVRDVTSEALADTAGRIRGSVRRMDDDVVRSAIDYFELAENNGKPIPGDMPETELRVISWLGMPVYDADYGWGKPQMMVRAESERSGFVYLINDGAGVQVVVCAEAARLKEFEKLLYANMRP >KQK97842 pep chromosome:Setaria_italica_v2.0:VII:23524593:23525054:1 gene:SETIT_012686mg transcript:KQK97842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDAASLGQAVAVVAVGSFSMLLVVGAGFWAMEAYGTGRLARCWRWLRVMALGGVTTLERKLSYNCAMCQYSLDAREVVRTLSCNHVFHCRETDKCRNVIDRWLVQESMICPICRNSPLPVLPWKARPPLSPAPAPSGSAEQPMPVSEEPSR >KQK96672 pep chromosome:Setaria_italica_v2.0:VII:13748401:13749106:1 gene:SETIT_011396mg transcript:KQK96672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFHPIEYPLIHISAPVKPISINHLFPDALSFFFFNSSYAYASGMTKIFLFEFFFIYEAKRKKRWIRIVFINYFSIFYYLIYEFQNFCIILDSLENSKNYNKIFRNRIFS >KQK97257 pep chromosome:Setaria_italica_v2.0:VII:20002888:20010656:-1 gene:SETIT_009324mg transcript:KQK97257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMEMRARESVNMSEDLTGAIAPYAIALHDVFLHSHCSSCFSELPPQSPCVMSCTTCCSVRYCCSECLSSDSLVHYSSGECCFFVEHLKRASPNYVTEGTSDLRAALRLLYALEMHGLVSSDQINRFSRIGGLSASGIEEALEEGEQIAERILEGSLLMSSARKSRAETYVSFSDGLKVERMALWAVITNSVEVQLSEGMAMGISVYGPSFSWFNHSCFPNASYRFVLAPKNEDCISHKSKSWAVPASKGVAADVWHTWQYEEANSTKALCKYGPRVVVRCTKPIIKGDEVCVTYIDLLQTKCDARDLNKPEDAVAAPTVEDLDDDLQQAISEYSLGDDAKACCDMIESMLSENLMRDLQKGELRGRKHILHPLHHLCFTAYMTLASAYRFRALSLKTGSLHGEKSDDLFRMAKAAAAYSLVLAGATNHLFLSECSFMMPLSHFLIGAGQSLFFLVQSMKGEMRQYLSAAKFTFPSCPESSAKHDLVKYNEFRSTCEGFGKQMLSLSFHCWSFLVQGLPCLEKIKNPIDFSWLGTSIHQPLLPEENYANLSAHDPTSFTKEQKECIFSLAICCVTYCKYLTSGYSKSSTNDYGNAGGYNKSSTDDYGSGGGGYKSSTDDYSSEGGYNKSSTGNYGNNGSYKSNDLSSDYNKSGTDDYSGSGGYTKSTTSDEYKKPSSDDYDGSYKNSSTDGYSGSGYNKSRTDDYGSGKNTSNTDDYGSGGYNKSSTDDYSSGGGGYNKSSTDDYSSGGGYNKSSTDDYRNDGGYKSNDLSSDYNKSGTDDYSGSGGYSKSTTSDEYKKPSSDDYDGGYKNSSTDGYGGSGYNKSSTDDYGSGKNTSNTDNYGSGGYNKSSTDNYDGGYNKSSGDDYGRSSKSGTDDYSGGYNKSGSDE >KQK98670 pep chromosome:Setaria_italica_v2.0:VII:28561891:28562321:1 gene:SETIT_013021mg transcript:KQK98670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFTSFNHYVWCICKSSSRSYGFIMSIWLQFCFGN >KQK98187 pep chromosome:Setaria_italica_v2.0:VII:25545258:25549475:1 gene:SETIT_010560mg transcript:KQK98187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTSFHPLATPIAGGGARIRRCPLSLPVPARTAPRRPAPLLVVRAKRAGSRPPAAASRQPVNPSAVPKRDAEEEVEEVEEEMPWIQDKALDLVEFTGTVTQAIPGPRVGSSPVPWLLAVPLAYVGVSFVLAVVRTVRRFTSPRSKKKRRVGKNIFLLKSLDELFQKGREAVDYPSLQDLMQKTGFDMDDVVRKYIRYTLNEKPFNPDVVVDLIHLRKASMLEDAEVAEILNEISRRIVREKGPVVMDLSGFTEQGFKRKLAVQALFGKILYLSELPEFCSRDSSLVVKEIFGVTDEDAESIRIHTLSATGDIESIQKMVDDLDIGQGPSSSA >KQK96895 pep chromosome:Setaria_italica_v2.0:VII:17063888:17065338:1 gene:SETIT_011993mg transcript:KQK96895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQPMAGLVRQVTGLSHRPRPADVSSGIHGQHRVVVRTGRAPVRITVSGRDVMLEQLGISVDYWTSTGNAMALSELNLKYFAPLRSGDKFAVKVKPIQIKGVRIIVEHMIETLPHRKLVLEGRATAVCLNKDFRPTRVFPELSASFIEVFSCKVA >KQK97992 pep chromosome:Setaria_italica_v2.0:VII:24359066:24360953:-1 gene:SETIT_009756mg transcript:KQK97992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAVFNKDVLSWYLITIKLREAVDANLQKSPPPPQDLPLPLTNGAASPPPPTPLQRRQPEQDRPPRSRAQSPAHSPKPQDSEWVIAIRGKLAQARAEEAACPWARLSVYRVPKCLRDGEERAYTPQAVSIGPLHHGRRRLREMDRHKWRALHHVLKRTGHDVTAYLGAVRALEDRVRACYEGRAAGMGSNELAECLVLDGTFVLELFRGALDGGKGFVDDLGYSRHDPIFAMRGAMHAVRNDMILLENQIPLFVLDLLLGIQLGNPEQNGAVASLAVRFFDPLMPTDAPLLRKDRSKLESSVGAAAAAAAFDPLSDPMLHCLDVFRRSLLSAGLQPMPPPPARLWLKKWSGLRRVADKRRQQFVHCVSELREAGIRCRRRNTDRFWDIKFENGELQIPRILIHDGTKSLFLNLIAFEQCHITDIDAPGGNNITSYAIFMDNLINSAEDVKYLHDRGIIEHWLGSDAEVADLFNRLCDEVVFDINDSYLSGLSDQVNRYYDYKWSTWVASLQHNYFSNPWAIVSVVAAVFLLLLTTMQTFYSAYSYYRPPH >KQK99183 pep chromosome:Setaria_italica_v2.0:VII:31364482:31365286:1 gene:SETIT_011140mg transcript:KQK99183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWHAWLSGARLEPALVYEYALVLARNELEADDVAFFDHELLHSMGISVAKHRLEILKLAWRERRARARARTRPAALARRILGRVARCVRGLVRRDEGYSTALVLVPSQQPPDAGRSPAPAGVPQRQQRRGKALRRATSEPNKGSTPRSAIGGRAAAAVHAVGDVENGDGDEMVRWDRLFQDLKPN >KQK97622 pep chromosome:Setaria_italica_v2.0:VII:22226011:22227235:1 gene:SETIT_011186mg transcript:KQK97622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAELVVEVKSPAEKLWAALRDSTELFPKIFPQQYKSIETVEGDGKSAGTVRLLKYAEGVPMLTFAKEKVELVDDENRVVSYSVVDGELVNFYKNFKITLKVSPAKEGEAGAVVNWSMEFDKASEQVPDPDVIKETATKTFHDLDDYLLKNQAEIVPSAEAEIAVV >KQK98613 pep chromosome:Setaria_italica_v2.0:VII:28253504:28253817:-1 gene:SETIT_012612mg transcript:KQK98613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITASRTPGTKDYVRLRAVYKSWRSFLRPKSTPPQAVLLLVSRVARPGAVARRVAAQPGHAGACPAPGP >KQK97630 pep chromosome:Setaria_italica_v2.0:VII:22263852:22267682:1 gene:SETIT_010376mg transcript:KQK97630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMAKVMEGRPAKMGFRMPAEWEPHEQCWMGWPERPDNWRDNAGPAQQTFARAAIAISKFEPVTICASTKQYPYVHKLMEHHTNIRVIEINMNDSWFRDMGPTFITHKVESGITKQTIAGIDWQFNAWGGIYDDWSLDNDIAKKIVEIERIPRFSHKMVLEGGSIHVDGEGTCITTEECLLDPNRNPNMTKLEIENELKDFLGVTKVIWIPRGLYGDEDTNGHVDNLCCFIKPGVILLSWTDDEKDPQYERSAEALSVLTQSVDAKGRQIEVVKIHVPGPLYMTKEEADGVLSTGHAVAREPGTRLAASYVNFYIANGGIVAPSFGDKWDEEAYKVLQKAFPDREVVMVEGGREIALGGGNVHCITQQQPVRPS >KQK99030 pep chromosome:Setaria_italica_v2.0:VII:30566185:30571691:-1 gene:SETIT_009409mg transcript:KQK99030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIPARHMPSMMIGRNSAAAAYGSSSALSLGQPNLLDNPQLQQALQQQHLLDQIPATTAESGDDMMRGGRGSDPLGDEFESKSGSENVDGVSVDDQDPNQRPSKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQHERQENSQLRADNEKLRAENMRYKEALSSASCPNCGGPAALGEMSFDEHHLRIENARLREEIDRISAIAAKYVGKPMVSFPVLSSPLAAARASTLDIGVGAGAYGATDIFGGVTAGAGELLRGAVQSDADKPMIVELAVTAMEELVRMAQLDEPLWNAPGLDGSSETLNEEEYSRMFPRGLGPKQYGLKSEASRDSSVVIMTHANLVEILMDVNQYATVFSSMVSRAATLEVLSTGVAGNYNGALQVMSVEFQVPSPLVPTRESYFVRYCKQNADGTWAVVDVSLDSLRPGSVLKCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRSVHNIYKLLVNSGLAFGARRWVGTLDRQCERLASVMASNIPTSDIGVITSTEGRKSMLKLAERMVMSFCGGVTASAAHQWTTLSGSGAEDVRVMTRKSVDDPGRPPGIVLNAATSFWLPVPPKRVFDFLRDESSRSEWDILSNGGVVQEMAHIANGRDHGNCVSLLRVNSTNSNQSNMLILQESCTDASGSYVIYAPVDVVAMNVVLNGGDPDYVALLPSGFAILPDGPAGGNMQGDGGVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIACTVERIKAAVSGESNPQ >KQK99065 pep chromosome:Setaria_italica_v2.0:VII:30727717:30730975:1 gene:SETIT_009853mg transcript:KQK99065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTEPLLPAGDAAAGGKKKEGNRRVCIDDALTMHAGEFGRWQLRHFVLVSAAWALEALHTMVIIFADREPAMVCVAAGGCGDRCADAAAGWEWADGAASSTVAEWGLVCGERYKVGLAQAIFFAGCMIGAGVFGHLSDSFLGRKGSLQVVCVLNATFGLLTALAPNYWAYAALRLLTGFSTGSVGVLAFVLATEPIGPSRRGAAGMSTFYFFSGGIAALAGVAALFPRSWRALYVVTSLPSLAFVAAVVPFVSESPRWYLVRRRADDAMRVLRAIAVANGRTVPDDVTLKLDDEDEEGTKGVDDESANSSSSSGSIVDVFRSRTTRARLVLSVLINLLASVVYYGLSLNVVNLKTNLYVSVVVNSLAEMPAYLLTALLLDRFGRKPLAIGTMLLSGIFCTAGSLIAGAGILRVVRMACGVVGIFGMAATYNLLFIYTAELFPTVVRNAALGCTAQAAQMGAIVAPLVVVLGERVPFAVFGASGIVGGLLVFYLPETMNKPLYDTMAGLEEGEKSLLG >KQK97041 pep chromosome:Setaria_italica_v2.0:VII:18452767:18456176:1 gene:SETIT_009832mg transcript:KQK97041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTGVAKRVASAEGWDEVNSNLMNQHANREFHRILWHESLRMMGGGQREGENMWLARSPISLLPLYTEFPNPIPSSSSPLLPREVETGAGVPSGMGSRTGWLPVALLLLAASALAPRVAAAAGGGGGEAEHAVQQHSERISGSAGDVLEDNPVGRLKVFIYDLPRKYNKKMVTKDPRCLNHMFAAEIFMHRFLLSSAVRTLNPKEADWFYTPVYTTCDLTNAGLPLPFKSPRVMRSAIQYISNKWPFWNRTDGADHFFVVPHDFAACFHYQEEKAIERGILPLLRRATLVQTFGQENHVCLKEGSIIIPPYAPPQKMQAHLISPDTPRSIFVYFRGLFYDTGNDPEGGYYARGARASLWENFKSNPLFDISTDHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVEEKDVPKLDTILTSMPIDDILRKQRLLANPSMKQAMLFPQPAQPRDAFHQILNGLARKLPHPEGTYLQPGDQRLNWTAGPVGDLKPW >KQK97160 pep chromosome:Setaria_italica_v2.0:VII:19324891:19328717:-1 gene:SETIT_009389mg transcript:KQK97160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVQLPEQQCTKGMGSSGCSEIVELVDEPKDARPGGVTHLRVRVKPVGQEHGARSCSVEDDLDRLIRSINVRTSARASGQTSTDRRLIALGKSPVSSSEIVESVSLKQALRKMCISQASEMAAMKRLSKPSGVSTPPDSGAIRKLYGSVAVQTNEEKDDKSKVEKVSVLPEKAAGSLLGKPVETSKGQSKSSTKKNSRSASPTAAKIHKTRIQDVISNKSSEAVDDPPAGTTLAKQRKGKPAKASSPRAVPVGGSRLVKPMFRNKTSTKKKVKPEPASVAASQKHCEAKGSNSHTGNQEALQEEPRTPAPTNKKATISSTCVEGADFGTKGCGVGAIHGSKVGELSRSKEKGECSQSSKSSIGDYSTSTSISEDSYGSFSANGTRPHMSKDVRWGAIRRLAIQQGCLGLKNFKLLKQLGCGDIGTVYLAELVGSDCLFALKVMDIEYLISRKKMLRAQTEREILQMLDHPFLPTLYSHFTTDNLSCLVMEFCPGGDLHVLRQKQPTRTFSEAAARFYVAEVLLALEYLHMLGVIYRDLKPENILVREDGHIMLSDFDLSLRCSVSPMLVRTSSVGRDEPSRPSGPCAQSCIDPLCIQPSWSNSSCFTPRLVSSTPSRTRRPRAEPLKKPSLPQLVVEPTDARSNSFVGTHEYLAPEIIRGDGHGSSVDWWTLGIFLYELLYGKTPFRGPGNEETLSNVISQGLKFPDNPAVSFHARDLIRGLLVKEPEYRLGSSRGAAEIKRHPFFEGLNWALIRWTAPPETPKSFDAAAATLATTRKKKEGKCLEFRLNGDDIEFELF >KQK99785 pep chromosome:Setaria_italica_v2.0:VII:34761075:34762105:-1 gene:SETIT_0099962mg transcript:KQK99785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FQEQRGTANGISTTVMSFFKSVALVGAGALFSWAQKRQDATFLPGDQVVFVVLNLVQLLGLISTFEPFLVLPALPE >KQK96606 pep chromosome:Setaria_italica_v2.0:VII:12865260:12870875:1 gene:SETIT_010701mg transcript:KQK96606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPARSLSHALIPVENNQNGFPIISSLSQCFPRPNWWPELSRWFACSVRAVSAVCFRPLPNPMPTPPSSPTPAPPEPATEPQPSPSTITDGAVSGTLPAAEAFAVHYPGYPSSPARAARTLGGLPAIVKVRSSDPGARLELRFRSEDPYCHPAFGESRASTGLVLRLSRRKGAAAPRAEVVARVRTAYHFEGMADFQHVVPVHAAQVRKRKRSDSQNDCEYLDKTRHLETDDGDVMMLVPPLFSVKDRPTKIALLPSSNAVSKTMHRGVVQERWEMKVGPTLALPFNIQDILWVHMCMIL >KQK97309 pep chromosome:Setaria_italica_v2.0:VII:20434701:20438309:-1 gene:SETIT_009629mg transcript:KQK97309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIEDVLGPAGLSGGGAPPGLRLPLSTVAVKPKRRSSRVAQTPPQPEARIPGTQSDSEYMSGQLSAFGYAITEEPEGADLWLINTCTVKNPSQSAMTTLISKCKNAKKPLVVAGCVPQGSQGLKELEGISIIGVQQIDRVVEVVEETLKGHEVRLLSRKTLPSLDLPKVRKNKFIEILPINVGCLGACTYCKTKHARGHLGSYTIDSLVDRVKIVVSEGVREIWLSSEDTGAYGRDIGTNLPNLLNAIVAELPADRSTMLRIGMTNPPFILEHLKEIAAILCHPCVYSFLHVPVQSGSDAVLTGMNREYTVGEFRKVVDTLCELVPGMQIATDIICGFPGETDEDFAETVNLVKDYQFPQVHISQFYPRPGTPAARMKKVPSNDVKKRSRELTSVFESFSPYQGMEGKVERIWVTMIATDGVHLVGHTKGYIQVLVIAPDSMLGTSAVVRITSVGRWSVFGEVIEGSVVVGEAPKQISIVPQKEHRQNQVEEADCCGADSCGTCACSDVVQNCVPEQCKNTPDAHQTIDDVNRQEAIQSTLVRRNVEGSTKASESSAVTRSVGQEQQVNFVTRRGLNVDTILWCGLALSFAATVALLVLLTSKISSTSSN >KQK96743 pep chromosome:Setaria_italica_v2.0:VII:14833953:14837348:1 gene:SETIT_010364mg transcript:KQK96743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHHQDKTLPSGRTNHQPPERSGEHLAVAAAMAVAPVSVPRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPEPDMIKLIHHAVTAGVTFLDTSDIYGPHTNEILLGKALQGGVREKVELATKFGISFADGKREIRGDPAYVRAACEGSLKRLGVDCVDLYYQHRIDNRVPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDAEEDIIPTCRELGIGIVAYSPLGRGFLSSGTKLVDSLTEQDFRKHMPRFQPENLDKNAQIFERVNAMAARKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNVGALSVKLSPDEMLELESYAAAGEVAGDRYPQMASTWKDSETPPLSSWKSE >KQK96770 pep chromosome:Setaria_italica_v2.0:VII:15325857:15328727:-1 gene:SETIT_010155mg transcript:KQK96770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSRSNAGARAVAKQLKVLVPPSFHKMRISDELAGCFDAGGGVGEGAPGGTALVVSPFGKVWRVEVGRDGDGAFLGRGWAGFLAAHGVGVGWFVVLRHEGGGALTFKAFDTSFCIKEFAAPAAVMASRSRKGVSCKPQFIRIIYPNFTEKMIIPARFVKRYVTEEYLNSRTAVIFSPLGKFWQIELENDQSGMFFAGGWSQFLEFHGISKGDVLLLRYEGNMVFKFKAFGLSGCQKDFKTKDAGINQNIEMQQESPSPIRKRKDNDEKSSSEKNKRPKSSVTSLNAKPSLKKPDYQIEPSSWIRKEINNTYMLERFLPLSIEFCRRIGFQNTCTITLKTEMDCTRSWKVRGVAYKSYCCIRGDVWKSFCQENRLKTGDLCTFNIIETTLWHVTIKRYTKKQKESPCSSRKEDKTKKGGSGSEGRTRAKGLH >KQK98302 pep chromosome:Setaria_italica_v2.0:VII:26137311:26141315:-1 gene:SETIT_009984mg transcript:KQK98302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTEAGARLGETVRELREAYEGGRTRSLAWRQSQLRGLLRLLKEKEVEAFQALHKDLGKHHAEAYRDEVGLLNKSANGALQQLGKWTAPERVWVPLVAWPATAQLVPEPLGVVLIFSCWNVPLGLSLEPLIGAIAAGNAVALKPSELSPCTAKFLADNIGRYVDPSAVKVVQGGPEVGEQLMEHRWDKVLFTGSPRIARSVMAAASRHLTPVALELGGKCPCIFDAMPGGRDLQVSVNRIIGGKWSSCAGQACIAIDYVLVEERFAPVLIKMLKSTLKRFFQEADHMARIVNERNFERLSSLLKDRAVAPSILHGGSMDAKNLYIEPTILLNPPLDSAIMTEEIFGPLLPIITVKKIEDSIAFVKARPKPLAIYAFTRDAALRRRIVDETSSGTVTFNDAVVQYAIDGLPFGGVGQSGFGQYHGKYSFEMFSHKKAIMKRGYLIELALRYPPWDERKVTLMRHLYRFNYFAFVLSFLGLRR >KQK97458 pep chromosome:Setaria_italica_v2.0:VII:21328016:21330363:-1 gene:SETIT_012010mg transcript:KQK97458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVGTGCPKIPEFLAGAAGRPVPAVGLGTASFPFVEEDVRAAVLAALELGYRRLDTAVLYRSERAVGEAVAEAARRGIVASREDVFVTTKMWCTQCHPDLVLPSLRESLQNLQMEYVDLYLVHWPMAAKPGEPQFPIKREDIMPMDLSGVMIGVSNFTTTKLQELLAIAEIPPAVNQVEMNPIWQQKKLIEFCKDKGIHVTAYSPLGGQSMSNAVLQSEVLEEISKARGKSVAQISLRWIYEQGASMVVKSLKLRLKENMEIFDWELSDEDRFKISQIPQLKRVRVMGSLAPKAPPVWILPSLIFLKCS >KQK98167 pep chromosome:Setaria_italica_v2.0:VII:25438421:25442951:-1 gene:SETIT_009918mg transcript:KQK98167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATAMAVLMLALATAPDSATGVSPRSPRPEADLVTGLPGQPAVGFRHYAGYVDVGSGGGGSGKALFYWFFEAEREPEKKPLLLWLNGGPGCSSVAYGAAQELGPFLVRSYGANLTRNAYAWNKAVNLLFLEAPVGVGFSYTNRTADLRRLGDRVTAQDSYSFLLNWLDKFPEFKTRDFYIAGESYAGHYVPQLAELIYDGRKGASRERAINIKGFMIGNAVLNDATDQLGMVEYAWSHAIISDELYSAVRRECDSFKEEADGGRPGKGCSPALRAFLGAYDDIDIYSIYTPTCLLPAAAAKPRRPASLVAAPRLLSKHDAWHRLKRVPAGYDPCTEAYVTKYFNRGDVQRALHANRTGLPYPYSPCSEVIRKWNDSPATILPILKKLMAAGLRVWVYSGDTDGRVPVTSTRYSINAMGLRPRERLRANASADAVAQWGGWRAWYYRQQVAGWAVEYEEGLTLVTVRGAGHQVPLFAPARSLAMLYHFLRGQDLPAARTR >KQK99607 pep chromosome:Setaria_italica_v2.0:VII:33777575:33779584:1 gene:SETIT_012625mg transcript:KQK99607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADCFPPEADDLHRRWLPREIFADIGIVDTEAASDAPTEADAVGVEELAAQLAGILGGGSKVCPLAPPPPPPTPAPSVAAPRHGAQVSVRGASCSGARGLRPVPGVSLVCGLEGSVAVTCGGTNAAGGGAAVSWPFVPYPSAHWQGASNLMNLGGVLDYYYSAFPPAPPCPVPPPANLRGGTGVFIPRTARDSDKGVAWDWQAGNGVEAGMAAERDDSPEAAAAAEDTTGGEGDWRGAAGLPTAPRAGAAPGLELPLMEARAVAAWM >KQK97050 pep chromosome:Setaria_italica_v2.0:VII:18497753:18498141:1 gene:SETIT_012808mg transcript:KQK97050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKVMLLPFDLRKHPRSHKDTLREVATRG >KQK96855 pep chromosome:Setaria_italica_v2.0:VII:16574140:16575494:1 gene:SETIT_012245mg transcript:KQK96855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLLRRHQISHALFQVLWIVHAEYDDTAVPPLFRQLFQSGQALGAIRWLTSLQRQCEYMAILRSSQVPSSVVSGVAMSMLGRRSILALAQRMMASFYTAVSEPITLKPGNIVKEWRGSCGTATELSEATVRMVLSATMTVWLPGTPPQRVHEYLCNEQRRGEWDTFANTGAVQELTSVITHPYLRGNNVVSVLEARDVPDQTNSNMLIMQEATSDVSCSLLVYSSIERNLIHAVMNGGDNTSIYLLPSGFAILPDGHGKTHRAAAASSSSAPTGHNGTAGSLLTAAYQALLPNNPSNHEAGTFNNAGNRVCNAINQILAAIGADIAVPS >KQK98734 pep chromosome:Setaria_italica_v2.0:VII:28895612:28896154:1 gene:SETIT_013106mg transcript:KQK98734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVLAFCCLHVQCVFAQSVFVVCDFWRHELRCCLREFVSSESLMNLHDHI >KQK98120 pep chromosome:Setaria_italica_v2.0:VII:25097478:25102729:1 gene:SETIT_010529mg transcript:KQK98120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGLQLGVIGSLALSVASSVAIVICNKALISTLGFPFATTLTSWHLMVTFCTLHVAQRLHFFEPKAIDGQTVILFGLLNGTSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETIFLKKRFSESIKLSLLVLLLGVGIASVTDLKLNLLGSILSGLAIATTCVGQILTNTIQKRLKVSSTQLLYQSAPYQAAILFATGPFVDQLLTNRSVFAHKYSAPVLAFIVLSCLIAVSVNFSTFLVIGTTSPVTYQVLGHLKTCLVLSFGYTLLHDPFTLRNILGILVAIFGMALYSYFSVRESKKKSTNDALPVSQMPDKEAEPLLATKDSNDTKKANGLSHDC >KQK96676 pep chromosome:Setaria_italica_v2.0:VII:13824550:13826426:1 gene:SETIT_010888mg transcript:KQK96676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSAAASPPPSGELLSQDDLKRVAAHRAVEFVEPGMTLGLGTGSTAAHALDRLGDLLRAGALPGVAGVPTSLKTELHAARAGIPLLPLDAASGARIRLSIDGADEVDPDLNLVKGRGGSLLREKMIEGAGERFVVIVDESKLVPRLGCTGAIPVEVVPFGAPHTLGLIRKVFDGLPGFNARLRKVKKDAGEVPFVTDNGNYIVEMFFEDGIRGDLRDISDSLLRITGVVEHGMFLGMATTVVVANRDGTVTVLHKKK >KQK99117 pep chromosome:Setaria_italica_v2.0:VII:31047222:31050423:-1 gene:SETIT_009514mg transcript:KQK99117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPDDEAAQPPPKRPRRDPEAEEDAAQPPRVALNPADCDLDFDVGGGGLQGSALHEGGFAYCWSGARATVGTRGGGRYCFGCRIVAEQPVEMDLTAPEERHLCRIGVSRGDDPIGALGESDHSFGFGGTGKLSHQRRFSNYGVRFGVGDTIVCAVDLDSKPMASIGFARNGEWLGIAKHFDAGEKGLGLVDAAVRPMQWESALFPHVLLKNVVVEMQFSREDGLEPVDGYEPWASAFADGNAVFGPLFEQSECEVMMMVGLPASGKSTWAEKWVKEHPEKRFILLGTNLAMEQMKVPGLLRKNNYGERFDRLMECATWIFNKLLTRAANTPRNFILDQTNVYKNARIRKLRPFANYRKTAVVVFPSPSELKSRAAKRFNEMGKEVPAEAVNEMTANFVLPLSKDMPDSKEPFDEVIFTELSRDEAQRNLDEMQRVLPRTGTPSYGNSSNKNASSTYVVTAAPVDPKARPSMASFHPPIGNSYGSYSGTVPGSAATFSTGLHTAGNTMQQQAPSSVQRFQSPTGNQHETHSGYPSALNQYQMPSSYPSNPNQLHGSYQSTPFPGYGQSTYGSHGNPSPYNPIPYNPEMYQCVQAPMTSRNLYQAGSAEAYGAPGYAAANLIGRPHQVPPPTLPAYSSQPVAQWVPNQGSSSSWSSDSYRPYGQQTDAHYPPYAAPATPPTPWLPHSSAPNHMNQWPSRN >KQK97531 pep chromosome:Setaria_italica_v2.0:VII:21730231:21733838:-1 gene:SETIT_010696mg transcript:KQK97531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERAVPVRKPHTSTADLLTWSATGPDAPAGASPAASSRPSLKPAAGITPAMFGAPVSEQEAEDLSKSERKFCSGSKLKEMSGSGIFAEKGENGDSEDSKSANKTSLRMYQQTVTGISQISFSADGSLSPKKPSSIPEVAKQRELSGTLEDADSKTNKQLSEAKTKELSGSDIFGPPPEIPARPLAARNMELQGNVDFSLPQRSVHTSVKVSNPAGGPSNISFSEEPVVKTAKKIHNQKFQELTGNNIFKEEAPASAEKSLSSAKLKEMSGSDIFADGTPAPREYLGGVRKPPGGESSIALI >KQK98504 pep chromosome:Setaria_italica_v2.0:VII:27540624:27544440:-1 gene:SETIT_010353mg transcript:KQK98504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPRSPSPRADAPLDSAPLLGGATGGGSRRRGGALRRPSLRGAARLLRRGGRRAMREPSVLVREAAAEHLEERQADWAYSRPVVALDLLWNLAFITVAAVVLVLSRDEDSPMPLRTWVAGYALQCVVHMVCVAIEYRMRHGQRGGGPAPADEERGSDGSSSSSDEDDRELDHRGRRTDYASIAKHLESANTMFSFIWWIIGFYWISAGGEEVIRDAPQLYWLCIVFLAFDVFFVVFCVALACIIGIAVCCCLPCIIAILYAVSDQEGASEDDIRQIPRYKFRRTDEPEKQDVDPMGPFGGIMTECGTNQPIEKVLAAEDAECCICLSAYDDGAELRELPCGHHFHCTCIDKWLHINATCPLCKYNIRKSSSSSGSEEV >KQK96654 pep chromosome:Setaria_italica_v2.0:VII:13430728:13435018:-1 gene:SETIT_012582mg transcript:KQK96654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGAYTIHQSLTAEAAAVLKLALALARRRGHAQVTPLHVAFALLTGPAAAASCAQPLAAAFSSASSSPAAPYGLLKRACLRSHPSPGAAASSPAQQHHHPLQCRALELCFNVALNRLPTSGPHSPPAAHFASPLIQPSPTLSNALVAALKRAQANQRRGCVELQQPPPPPPPVTAQQQQPQPLLAIKVELDQLVISILDDPSVSRVMREAGFSSATVKSNLEEESALMPPPSSSSSSPPPPPPPIPPHFFLDPSIAAGGGGRGNGGRFALWPSQFLAAAPGPDACSDDVRAVLEVMVRRQGRRGGGNPVVVGDSVSMAEAVAGELLRRLERGDVPAELAGAHLLKLQLSYVHVRLMSRADVDARAAELRRSVDAVQPQRGGGLVVYVGDLRWALDEEPNPSEHATASSYSPVEHMVAELGRLLDDLRASRGRSWLVATASYQTYMRWQQRRRRPPTESAAWALQAVVVPTGSGTGLALNSLHPSTPSSSSSLPSASAAPVPPAMATAHQLGQSPFVMAAGETTGFAAAGDDQDETHLLLCTECSKNYEREASLVKAEAGAEGPRGSLPAWLVPDRPPVDQTPHHKEKYLMELKRKWSRLCRKLHLCAVDPCSAPCPWWSGSCLLPGSQSKPTVAGFLGLDGLMELGKSRTSQWPPSPLPRWGLTPVMAPGCQGAGTALALGSHPLSDSATSGGRAPGSGDGSAAVRELERRLRRNIPWQPVSVVAEIVETALAGRGPVWLYVKGSDHAAARRAAAVIAEARCGSSDRVVLADPSRFSCAEELCSDVVSRASEIGGQAFVVVVDDVENAPCDVVDCLVAASERGRLKDHQSGRELDLSSSVVILTTSKFTGAAGSVIGLRLWSEDEAPPGGALKRKTVSSPQGECKRARHDALDLNLNLCAEEDTDEEDDDGSDDDEEAVPSDITHEGDSGDSSEHGHPHGLLESIAARVVTLDEEGGHDALAAIRARLAGAITGQGRSRVDEAAVQALAAASGEFLEEVLERWTAEVLGPAAATVRNGGKGKEVVVLGLGPVGGARETEGFMGSVLPSRVHVD >KQK99265 pep chromosome:Setaria_italica_v2.0:VII:31965705:31970350:-1 gene:SETIT_009802mg transcript:KQK99265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRPSEREQMRKGNYKQSVDAEESRRRREGQMVDIRKAKREESLQKRRHVGFAASATGAVPPMGHSSALQQKLDGLPALVQAVLSNEPNVQLEATTQFRKLLSIERSPPIEEVISTGVVPRFIEFLTREDHPQLQFEAAWALTNIASGTSENTKVVVESGAVPIFVKLLNSHSEDVREQAVWALGNVAGDSPKCRDLVLGHGGLFPLLQQLNEHAKLSMLRNATWTLSNFCRGKPQPNFDQVKPALSALQRLIHSQDEEVLTDACWALSYLSDGTNDKIQAVIESGVFPRLVELLMHPSASVLIPALRTVGNIVTGDDLQTQCVIDHQALPCLLNLLTTNHKKSIKKEACWTISNITAGNREQIQAVINANIIAPLVHLLQTAEFDIKKEAAWAISNATSGGTNDQIKYLVGQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGAGDVNVYAQLIDDAEGLDKIENLQSHDNTEIYEKAVKMLESYWLEEEDDAMPSGDNPQNGFNFGNQPSVPSGGFNFG >KQK96199 pep chromosome:Setaria_italica_v2.0:VII:1531766:1533370:-1 gene:SETIT_011987mg transcript:KQK96199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGFKFKRKGVVACFCILFILIFISVLLYILQQLGPDVCSKLLKYSKLRVKWIHDTFRLELDTLQTYDIVMESGLSSEGSGVDRRKLAGMPNSRGLLRIPHKVYLSGYWPVGKEVLVSESSFAISFTMSLYKPSWKGTIKLQNGTGLAFLVLPPYQRAHGNLTKQLGLGADGSLKLTNSTARTTNFLGGGHVSVEIGRLPDGSSISPYDPSDRLLVVHVSNIEPAPAVARYTLWIEYTRLGQCLTVYVAPGEGKERPDHAVAIKKNISYSGGMYWDASFGLYSSAGQLMQVHTWSTEVEGAPYLMKYIMDNYSKLAAIFAALLLALAATVAAAAISGVAWYFRTKRRRWKKEQDKLAKIMQSLPGVPTQVDFADIRKATGNFDETMKLGKGGFSSVYRCRLPPDARGRSSSTEVAVKKFTRGVEEQRYEDFLAEVSIINRLRHKNVVPLVAIYNMSMQCHIFSYMDMSGCGEG >KQK97947 pep chromosome:Setaria_italica_v2.0:VII:24132650:24133127:-1 gene:SETIT_011691mg transcript:KQK97947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPAGNKRGRPLAVANDDDGVLPTDLLCEVLLRVPADALCRLRLVCRSWRSLTSEPHFAAAHASRRPLVAGLHNRSGEIRIMDLSGGVVKRIRVREDGAPAPELAARHGLRLIIIQKARVRVLNTATGASAVLPSDVPVGYRFPNGPPGPGTK >KQK98042 pep chromosome:Setaria_italica_v2.0:VII:24678909:24682661:-1 gene:SETIT_009617mg transcript:KQK98042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESLRRFLNPLVLNLQKMELELTCPVCLKLLCAPTTLPCHHTSCSKCATTPTSNGYSCAICKSAYHSQDLRPASHLEAIVSIHRSLSSTVSTMVQEQDAQVHIPVAKTASHGTPESGNRSGVEKSDMKTFSPVASKLVYNQSTGPEFGNMDGIQTRDPAFENKAADAAVATTVLVQKGHSGSQSSDGPGDLDCDSYDLEGELITSRSPPQTALKREANVMDDHTRELKKQKPNDQVQRQTTGDWKCEFCHSSQVTECTGPLSHYLHGEPLEADQAWKSNVQHVHEKCIEWAPQAFFTGEIANNLEPELARASKIKCSVCGLKGAALGCLVKSCRKSFHVPCAHKIKGCRWDEENFVMLCPTHSSKKLPCERSKSKKKAKLLQPSSDIDDLNSPSPMQMDEHWITSPVLTSERVICGSALNGRERELLDQFEHQTGITVTNSWSSNVTHVIANTDERGACARTLKVLMAILAGKWVLNVNWLRACIEARELIPEEPYEVRCDVHGSFDGPRTGRLRAMQQAPSLFAGLTFYFSGHFMPAYKVSLEDLITAAGGSILEKTELSSTSLILYSVEPPQGSNLDSLNEIIKKREAEAQESAAAVGCRAIPHTWLLDSIASCTLQLTV >KQK96913 pep chromosome:Setaria_italica_v2.0:VII:17403591:17405581:1 gene:SETIT_009789mg transcript:KQK96913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGGNPNPTGAPPQPRPPHPQQQQPPGGSPATPMTHLRPPSLAGSPFQGLFRTPPSHNPAFQIHMGASSSPQTPLMAAAAAAVAAQSAKRPPQKPPVRPPAPGSAAAASAAAAYKAAAAAAAVANSGGVDLTPAARRNKKRKLPEKQLPDRVAALLPESALYTQLLEFEARVDAALARKKVDIQEALKTPPSLQRTLRIYVFNTFANQRPLSNPLPQNADPPTWSLKIIGRVLEDGAELDPASVVPKHNPVYPKFSQFFKRVTIALDRSLYPENPVIVWENARSAAQQEGFEVKRKGDKEFVANIRLEMNYNPEKFKLSQPLMEVLGVEVDTRARVIAALWQYIKAKKLQNPNDPSFFMCDPQLKKVFGEDKLKFAMLSQKISQHLSAPPPINLEHKIKLSGNEASGSACYDVLVDIPFPLQKEMMAFLANTEKHKDIEACDEVISTSIKKIHEHLRRRAFFLGFSQSPVEFINALIASQSKDLKLVAGEANRNVERERRADFYNQPWVEDAVIRYLNRKPASEGPGGGAGGS >KQK96191 pep chromosome:Setaria_italica_v2.0:VII:1429841:1430317:1 gene:SETIT_013068mg transcript:KQK96191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGNATFPSAPVSRSCVASAAANSVGASAEQQSMVVSAPPLFPSRSAAPADVTPRHPQRLPRLRT >KQK99878 pep chromosome:Setaria_italica_v2.0:VII:35212563:35213009:1 gene:SETIT_011737mg transcript:KQK99878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLWEHHQYNHAAAYDDTFGQELITTPDGTAETGEAAGSGGDNGPALYDDDHDMTAGEATPARFTDGGFGGVPASTAAIAGLKKQRHDGSSGADNMCVICMRHYKKGKRLYVMPCAFKHRFHRKCLKKWLSRSHLCPLCRHALPTEDRG >KQK99945 pep chromosome:Setaria_italica_v2.0:VII:35498108:35498256:-1 gene:SETIT_012656mg transcript:KQK99945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTTSTRCASQPGRPAGSPLRGAQNLSRPELSALEHLLLLLDGEPLGL >KQK98016 pep chromosome:Setaria_italica_v2.0:VII:24510799:24513131:1 gene:SETIT_011306mg transcript:KQK98016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIASSSSSSPESRAMALTKAKEIVASAPVVVFSKSYCPFCVRVKQLFEKLGATFKAIELDVESDGSELQDALKEWTGQRTVPNVFISGKHIGGCDDTMALNNDGKLVPLLTEAGAIAGSTSKKTTTTTA >KQK98884 pep chromosome:Setaria_italica_v2.0:VII:29757105:29758433:1 gene:SETIT_011773mg transcript:KQK98884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFGFIGESFASTFADLFGEAKALRLLCLTEMISPLESVLHSFLIPVHLRYLRLGAFGLGEHSVGNLSSIICRCYHLKILDLEQWYDRDDLPGVMSNLLNFCHVLYHTRQNELSSNISNVGKLQFLQELKTSVVKKERNGFELKQLGNLNELRVLGICNLEKIHTKEEAIEAKLIDKKYLRKLTLVWDKQRPNIEPVEEALVLEGLQSHRKLHELCISGHGGPSCPTWLGGHISAALQSLHLDGIVWEVFPPLERMRLLQELTSKNVPTIDEYNLSQVQWFPNLKVLKIDNCPKVMWLPPIPWAQTLCSVEIRDVGSMLPYNLEYSKSCSDVHLRITGKNDLYSLDEQILDFNNLMDLKQLSMIKCPPLESKHFQMLTSLVSLWLSYGSCSIVPSGGEGEAKWQLPVEYLCIESCDANGKELSELISHFPKLSPFTYLIVRR >KQK97545 pep chromosome:Setaria_italica_v2.0:VII:21805741:21806067:1 gene:SETIT_012146mg transcript:KQK97545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASVPAEAGVLGEAAPAAASSGRRADEPRRPEALPEEEEGRPVAEREEELWAKERGATGSRLPDCAHACGPCSPCRRVMVSFMCAQASESCPVAYRCMCRGRFFRVPSI >KQK98228 pep chromosome:Setaria_italica_v2.0:VII:25748052:25749697:1 gene:SETIT_010655mg transcript:KQK98228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGGISSFPVPSTRIAVVTGGNKGIGLEVCRQLAVNGVTVVLTARDETRGGAAVEKLGELGLSSVIFHQLDITDASSIARLADFLKIRFGRLDILINNAAFGGVEYNRDPAYASVTSEEELCGMDRDQRLEWLWRNSRETYDAAKKGLQTNYYGTKHVIEALLPLLQASSNGRIVNVSSDFGLLRFFRNEELKQELNNVGNLTEERLDELLDTFLKDFEAGKADARGWPVAFAAYKVAKAAMNAYSRILAAKEPGLRVNCVHPGYIKTDITMHSGLLTPEEGGSRVAKVALLPEGGVTGAFFEDGEEASFV >KQK98950 pep chromosome:Setaria_italica_v2.0:VII:30120307:30121001:-1 gene:SETIT_012171mg transcript:KQK98950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNPITAVEVVVLWPFTEDPAEAFLAIMTASLADRRASRVVKYCRTTVGRDRAMLRAPRFRFDMACSNLLSVGATVYLMDDRRKLWRAELTAVEPKVEGRDMAFALPQGEIRWRHYFVESLGHALLMVSDDHHKRLQLYRLNWDARWWMRMPTSGLSDNVLLLGRVGSAVVPASAAAGHLPGMVLVVCQPWRSTILHMVLNFCGGGGEQPWFWTESRLEAGLDDD >KQK97138 pep chromosome:Setaria_italica_v2.0:VII:19247370:19248038:-1 gene:SETIT_011477mg transcript:KQK97138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTYPTTRALEGLATFELHDTQTQTRRHAELAEQTSSRAPGACPARPCPRLWPYQSLLYSLPPLNLLHRVERRKWRLIQQEGNSIQ >KQK97544 pep chromosome:Setaria_italica_v2.0:VII:21791277:21795520:-1 gene:SETIT_009697mg transcript:KQK97544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARRLQWAPVTTALLLFLLFLAAVGAAPASAAPGGRREVVTSPHGAVAADDGRCSRIGRDALREGGSAVDAAVATALCLGVVSAASSGVGGGAFMLVRLADGTAVVYDSRETAPLAASKDMYGGNATLKARGALSIAVPGEIAGLYEAWRRHGKLPWKRLVLPAAQLARAFRISPYLQMQMEATRDGILANKGIRAVYAPGGDLLKAGEVCRNVRLARTLRAVAEQGPGVFYDGKVGKRLVKDVREVGGILTAEDLKRYQVKVRRPLTENVMGLQVVTMPPPSAGGAGMLLILNILAQYGIPSGFAGSLGIHRLIESLKHYMAVKMNLGDPDFVNDNGVVSDMMSQTFAAELKKTIYDNMTFDPKHYGGRWDILQDHGTSHLSIIDSERNAVSMTSTVNSYFGSLILSPSTGILLNNEMDDFSMPANTTANSPPPAPANFVSPLKRPLSSMTPTIVLKDGKLKAAVGASGGAMIPAGTIEVFLNHFVRNMDPLASVMAPRVYHQLIPNVVQYENWTTVTGDHFELDAATRADLQKIGHVLKPLAGGTIGQLVVHNVERHGDLTAVSDPRKGGVPAGY >KQK99140 pep chromosome:Setaria_italica_v2.0:VII:31134486:31135323:1 gene:SETIT_011064mg transcript:KQK99140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDLGGTAAAAPQSNLLPSQPRAVAGELRRKPARARGRRRPGDFCRFLPSPSFSDPPYPAHEPCSLVPGVGPSILRADGRRLPDGWWRHADLLVLVGSARLATGCPDPCADMVDSPGSGVEAARAASGQAMRGVFPSWLLLLPAVGLWRQQTIGLGARLPCPGAASRPSYAMRCAGGSRRRAGRVGATPQASPVLSGVAQDFRMEGAT >KQK98588 pep chromosome:Setaria_italica_v2.0:VII:28118845:28120074:-1 gene:SETIT_012733mg transcript:KQK98588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPIIKPFSKRILAPPVIPPIPHKTPRQYKGKEKAEEINNKAVESATKQYRNGGSLSTPQQKQTGAIESKRKSKRIANKPKTDLSMQEQATQLLMKKGLNGRARRKVVKDLVEEHSCTIVCLQETKVEIIDEFVVRETLGSQFARSYTYLLAQPTRGGILLAVHEDYYYLSHSKPKNNTLTAKLEATTTPISWWITGVYCP >KQK98346 pep chromosome:Setaria_italica_v2.0:VII:26420341:26421866:-1 gene:SETIT_010166mg transcript:KQK98346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAAGTALAQAQQQPARVGTTTTTTGSDVSARLQQVLALLFPSNLAAKAALFALVVALVPLLPTSQASRIWELPHLLLLGIIISYGVFGQKNADAEVAAAAADDSRPVVDDEASVEAYVSQMMQGSPVVFDDNDPDDGSGASKVGVQAWSSRYLPDDPLVVVADASNGDGGAGEKPLLLPVRKLKPAVEDSATLPEDVSDGIEEPEEEDEDFLAPKAGYDGFRERAIPSPSSVLDADLTLSPSSPSLLPPPPPPPPPPFLGRGSRSLRKAKARSFNGAIGDRSTNGRLGLHGVDGKQFRSKSAIQATRSAFAGYDPVVPLDDDDDDDDAVDAELDEAVAASESSFGSDMVTDGDDGTEENVFEEDEEAVGEEEDGSSCDEELFELATKPGPEVDDEEEVEDEVDRKADEFIAKFREQIRKQRVEPATRR >KQK96220 pep chromosome:Setaria_italica_v2.0:VII:1901918:1902512:-1 gene:SETIT_012619mg transcript:KQK96220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACHFLLIVLVAAILHVAYATAATTSVNLTADAAAMAYDILEKNNLPRGLLPKGVQSYNLNLDGKIEFRFASTVGGVIQAGSIHEVYGVRVQIKFGWLGIRQVDRAGDQLTLQVQQFTQKFPTSAFAVSPSCS >KQK98410 pep chromosome:Setaria_italica_v2.0:VII:26837503:26839460:-1 gene:SETIT_010040mg transcript:KQK98410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMESVAVVAVPFPAQGHLNQLLHLSLQLAALGLPVHYAAPAEHVRQARARVHGWGDDALRRVRFHDLAISGYVSPRPDPAAVSPFPSHLMPLWEAFTASAAAPVAALLREASASHRRVVVLYDPLNGFVAEEAARLPNGEAYALHCTAVSSIVGRMEDGNALVRERGLGFLPLDEYVTEEFLEYAIKRARAEEGIASPAGLLANSCRALEGEFIDFLAEQMATGGKKLFAIGPLNPLLGASAPEHSSKRHHECLDWLDEQPPASVLYVSFGSMSSLPREQIVELAAALRGSHQRFIWVLRDADRGNIFADNGETQHARLLAEFTKQTDGRGLVVTGWAPQLEILAHPATAAFMSHCGWNSTMESMSYGKPILAWPMHSDQPWDAELVCEYLGAGFLVRPCEKQTKVTPAATIQPVIERMMVSDEGRAARQRAAEVGEAVRASAAAGGSSRKDFEDFIAHITR >KQK99692 pep chromosome:Setaria_italica_v2.0:VII:34236798:34237286:-1 gene:SETIT_013100mg transcript:KQK99692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPRHLIFVFLVLLCPGVHELLIDHALRICISSVA >KQK99045 pep chromosome:Setaria_italica_v2.0:VII:30637263:30637824:1 gene:SETIT_012738mg transcript:KQK99045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALAAAFDYTIQWSVGGNYSDWSATHPVSVGDTVVFKYAPPHTVAQLSSEAAYKACNLGSKVFLDSSGGTGFTFDEPGTWYFACVTGSHSARGQKVAITVTGSSVRSPAKPEGNSAAAPVAGAAGVAVKLALGLLGVGGALLAAF >KQK98003 pep chromosome:Setaria_italica_v2.0:VII:24441715:24445722:-1 gene:SETIT_009744mg transcript:KQK98003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIISRVKSVMSTNGGPKGEICYAKDHEAVWFKGKRFTPNVWANTPGEQQIKQLKPAIDSKGRKVGEEWFTTAKVENALNRYHEACDNAKNKVLELLRGLSSELQDKINILVFCSTVLIIAKALFGHVSEARRRGWMLPTISHLSKDCVMEESSSKMELAGLFPYWLDVNQGNAILNDVHMRSLFVLTGPNGGGKSSILRSVCAAALLGICGLMVPSASAVIPHFDSIMLHMKAYDSPADGKSSFQIEMSEIRALVSRATDRSLILIDEICRGTETAKGTCIAGSIIERLDTVNCLGIISTHLHGIFDLPLSLSNTEFKAMGTEVIDGCINPTWRLMDGICRESLAFQTARGEGMPDLIIKRAEELYLTMSANNRQTSMASNEPSNVRTGVNGLIEKPDSLRNRLETLPGTFEPLRREVESTVTVICKKKLLDLYNKSSIPELVDVFCVAVGAREQPPPSTVGRSCIYVIIRSDNKLYVGQTDDLVGRLRAHRSKEGMQDATILYIVVPGKSVACQLETLLINQLPLKGFKLINKADGKHRNFGISRISGEAIATH >KQK98993 pep chromosome:Setaria_italica_v2.0:VII:30384455:30387706:1 gene:SETIT_010230mg transcript:KQK98993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLLACATLARRAAAGSYGAAPARVRHLAGAAEAAEAELKKTALYDFHVANGGKMVPFAGWSMPIQYKDSIMDSTVNCRTNGSLFDVAHMCGLSLKGRGAIPFLESLVIADVAGLRDGTGTLTVFTNEKGGAIDDSVVTKVTDQHIYLVVNAGCRDKDLAHIGAHMEAFNKKGGDVKWHIHDERSLLALQGPLAAPTLQLLTKEDLSKMYFSDFKMIDINGYECFLTRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRRAEGGFLGADVILKQLQEGPKIRRVGMFTQGPPARSHSELVSNSGENIGEVTSGGFSPCLKKNIAMGYVKSGLHKAGTEFKVVVRGKSYDAIVTKMPFVPTKYYKPS >KQK97110 pep chromosome:Setaria_italica_v2.0:VII:19118936:19121834:1 gene:SETIT_009326mg transcript:KQK97110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNLPEAAKRIGVKFLSVASAECFGRDISPMHFASLLKECRSVNIVRQIHQKIIALDLLSCPASLLSVSLPPLPSHSYILPKSLGTGVVASYLAFGATSDALSVLERVTPSPAVWWNLLIREHIKEGRLDRAIGVSCRMLHAGTRPDHFTLPYTLKACGELPSYRCGSTFHGLICCNGFESNVFVCNALVAMYARCGSLDDASLVFDEMTWRGIDDVISWNSIVAAHVKSNHPWTALDLFSKMALIVHEKATNERSDIISIVNVLPACASLKALPQTKEIHGYAIRNGTFPDAFVCNALIDTYAKCGSLEDAVKVFNATELKDVVSWNAMVTGYCQSGDFEAAFELFKNMRKENIPLDVITWSAVISGYAQRGCGQEALDALRQMFLYGSEPNSVTIISVLSACASLGALSQGMETHAYSLKKCLLLLDNHFGGDGDGEDLMVHNALIDMYSKCRCLKAARSIFDCIPRNERNVVTWTVMIGGYAQYGDSNDALKLFSEMISKPYAVSPNAYTISCILMACAHLSALRVGKQIHAYVTRHHHYEASVYFVANCLIDMYSKCGDVNTARNVFDSMPKRNEVSWTSMMSGYGMHGRGNEVLDIFDKMQKAGFAPDDISFLVLLYACSHSGMVDKGLDYFDSMRRDYGVVASAEHYACVIDLLARSGRLDKAWKIVQEMPMEPTAVIWVALLSACRVHSNVELAEYALNKLVDMKAENDGSYTLISNIYATARRWKDVARIRLLMKKSGIKKRPGCSWVQGKKGTASFFVGDRSHPLSPEIYALLERLINRIKAMGYVPETNFALHDVDDEEKNNLLTEHSEKLALAYGLLTTSPGCPIRITKNLRVCGDCHIAFTYISKIVDHEIIVRDSSRFHHFKKGSCSCGGYW >KQK98020 pep chromosome:Setaria_italica_v2.0:VII:24530155:24530793:1 gene:SETIT_011908mg transcript:KQK98020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKPHRDFGVVVHCPPTTPYGSHGEASSMAQAEGSGRRIFLRAVCCILIAGIAAVIITGVVVLGVEIPDEAPKYSVAVTAVAGLDPARELTARSRPTLSPVLNVTVRIDNTRNAFQEACISDLGKEAAEVSYGDAFLGRGTVPQFCARRRRGGERVARAWGEDVVVPWFLRDELAAELAVGEATVDVQLMPSGINCRAKIGKGLSRCGVPE >KQK96751 pep chromosome:Setaria_italica_v2.0:VII:14955719:14958827:1 gene:SETIT_010824mg transcript:KQK96751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMIKRALLTKFLLLALLVLQTREVRADSRLESFVHDELQFSQGLGFPSIASFRGDFAEDMLNGVDDVVQAWYMDDATEEDQRLPHHRQPDDPVPLAKLLDLGIVAMRLDADNHDNDENLTMIRDQRGYLHMDIVTLTPEKMANYEAMIKRFFEEHLHADEEVRYCLEGSGYFDVRDEEDRWIRVSVRKGGLIVVPAGIYHRFTLDTNNYIKFLGTFFVGILQAMRLFSGGPDWTAYNRPHDHLPARKKYLAASHKRREEHRSEDQAQCDQKS >KQK98220 pep chromosome:Setaria_italica_v2.0:VII:25714232:25718022:-1 gene:SETIT_009432mg transcript:KQK98220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFALLCLLVLAGAAPATAAAAPNARPCASPYSASAYPFCDASLSIPARARALVSLLTLDEKIAQLSNTAAGVPRLGVPPYQWWSESLHGLADNGPGVNFSSGPVRAATAFPQVILTTAAFNRSLWRAVAGAVATEALGMHGAGQAGLTYWAPNINIFRDPRWGRGQETSGEDPAVAAAYSLEYVKGFQGEYGDEGRIRLSACCKHYTAYDMEKWEGFSRYTFNAKVNAQDLEDTYQPPFKTCIQEARASCLMCSYNQVNGVPICARKDLLQKTRDEWGFQGYITSDCDAVAIIHENQTYTNSDEDSVAIVLKAGMDLNCGSFLVRHTKSAIEKGKIQEQDINRALFNLFSVQLRLGIFDKSSGNQLGPNNVCTKEHRELAAEAVRQGAVLLKNYNSFLPLKRSEVRHVAIIGPSVNDVYAMGGDYTGVPCNPTTFLKGIQAYVTQTTFAAGCKDVSCNSTDLFGEAIEAAKRADIVVVVAGLNLTEEREDFDRVSLLLPGKQMDLIHAVASVTKKPLVLVLLGGGPVDVSFAKHDPRIASILWLGYPGEVGGQVLPEILFGEYNPGGKLAMTWYPESFTAIPMTDMNMRADPSRGYPGRTYRFYTGDVVYGFGYGLSYSKYSYSILSAPKKISVSYSLVPDIISRKPAYTRRDGLDFVKAEDIASCEALTFSVRVAVSNHGDMDGSHAVLLFSRSKSSVPGFPIKQLVGFERVHTASGSATNVEITVDPCKHMSAANPEGKRVLLLGAHVLMVGDEEFELLIET >KQK99975 pep chromosome:Setaria_italica_v2.0:VII:35622651:35625518:1 gene:SETIT_010100mg transcript:KQK99975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGAAPHDAATNISPAPNVAAAPTGIRVENCYVFKSRLQEYAQKAGLPTPEYHTLKEGPSHEPVFKSTVVVNNTKYDSLPGFFSRKAAEQSAAEVALMEIVKSVPPTETKSIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKQASGVAPFVCTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSEGCGNGATKYIVVPGQREVKETDKKPTETPKSLKVKKSGGRKKWNKRKFMRKTDQIVDAEKDGAREAGDVHDSDVPMQTTITEEPSRDSIILHLDDEARRVEMEHLRDVATLQPDKEARSVNQGLAMLLHSEEAIRVEHDLPRDTAMVQSNREVVMLQSDEEARKPPRDPVTVQPNEEARSVKQEPLSSAEAAKPNMEGRTVEEESARAYVALQFNRDAKDVKEELPSNTVMMQCEETETIKQEAPQSGELEEPPN >KQK98645 pep chromosome:Setaria_italica_v2.0:VII:28435237:28436213:-1 gene:SETIT_011464mg transcript:KQK98645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFFFDAEPLCEPTAPALDACALCAKPLGRDSDIFMYRGDTPFCSEDCRHEQMQVDAIRARRAARRHQQYSSGTESRHQESRKVSVAS >KQK97235 pep chromosome:Setaria_italica_v2.0:VII:19843872:19845644:1 gene:SETIT_010124mg transcript:KQK97235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRKETAMRLPPQHQGLEVKIPSFFRCPISLDVMRSPVSLCTGVTYDRASIQRWLDSGNTTCPATMLPLPSTDLVPNLTLRSLIAHWAASAATCSPTAAASSARTSSPASLVRQVAASDAGADPSPALRELAAYLSDDDVDDFEKNALVGAGRAAETVASVLRRRGDEEVGVEGVEAATRVLAAIVASDGIDDANKKRVAAGLAADAAASAASLARVMRGGSGLEARVDAARLAEFLLANAADEAKAAAAAAESSELVAELVRLIGPVDEKGTLDGKAVDAGLSCLAAICGTRRSARAEMVRLGAVPAAVRALVATAEPGAAAKALRVLECAVGCAEGRAALCEGAEEAVPAVVGKMMKAGRDGAEAAVGVLWAVCHRYRDRRAADAAAAAEGGLTRLLLLVQSGCSPAARQMALELLKIYRVNAKSCLAGYDSKTTHIMPF >KQK96812 pep chromosome:Setaria_italica_v2.0:VII:15763106:15765434:1 gene:SETIT_012507mg transcript:KQK96812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAHLSTVIAIPTSSSTPLQRAPQVALAAATERVRDGTLSPDDAHHLFDELLRQATPVPGRALNNLLAALARAAPSAACSDGPSLAITLFNRMSQGAGPRVVPTTVCTYSILMDCCCRAARPDLVLAFFGRLLRLGLRLNAFALGNLLKGFCQAKRTDEALDMLHHLHWMHGLDCEPDVVLFNIVINGFFKECKVDKACNLFHEMIQLGVRPDVVTYSTVIDALCKARAMDKAEVVLRQMLDEGVQPNYKTYSSLIHGYSTLGEWNEAVKVFKGMTSQGVLPDVVTWSSFMSSLCKHGRIKEARNIFDSMVMKGQKPNAVSYSIMIHGYATKGCFDEMADLFNSMVRNGIVPDRKVFNILIKAYAARGMMDDAMLMFEEMRQQGVNPNVVNYATVIDALCKMGRLDDALEKFGEMVDQGVSPNIGVYQCLVLGSCSLGDFGKAKELISEAINKEGRVTEAQDMFDFIISIGQRPDVVMYSSLMDGYCLVGQMEEALRVLDAMESAGLRPDAVAYGTLLNGYCKTGRIDDALSLFREMSLNGVKPTTCIYNIILDGLFRAGRTVPAKEKFHTMIESGIPVGIDTYNTVLRGLCQNNSVNEAMELFEKLRAMSVKIDIITLNIMICAMFKTRRIEEAKEMFATIPNNGLVPSVKTYRIMMTNFIKEGLLAEAHDIFSAMEKTSCAPDSKMLNLVVRLLLKEGDILRAGSYLFKIEEKNFALEASTIGSLISVFSSKKTCQKYIKLLPEKFNFLVEASHS >KQK96621 pep chromosome:Setaria_italica_v2.0:VII:13130951:13132232:-1 gene:SETIT_012025mg transcript:KQK96621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LPLRLWPPSHFSSSFPVLRSGAAASTPASAMMTLDLMGGYGRVDEQVAIQEAAAAGLRGMEHLISQLSRAGTGERPSSPPPGTAPAQQHQQQLPEQSHPQQQVDCREITDMTVSKFKKVISILNRTGHARFRRGPMVAQSPGPAAACSEPAALPARSAPAARPVTLDFTKSVSGYSRDSGFSVSGASSSFLSSVTTGDGSVSNGRGGGGSSSLMLPPAAGAASCGKPPLSSSGAGQKRRCHEHAHSENVAGGKYGANGGRCHCSKRRKHRVKRTIRVPAISPKVADIPADEYSWRKYGQKPIKGSPYPRGYYKCSTVRGCPARKHVERDPGEPSMLIVTYEGEHRHSPAGQDPPPLAPLPELPNH >KQK97026 pep chromosome:Setaria_italica_v2.0:VII:18341788:18343091:-1 gene:SETIT_011813mg transcript:KQK97026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANVTTGTSPATVLSGLSAPVPFKDVVVIIGDRDAGQQDADASAPEEYASAAVSALPSNPKLFLRRYQGTWVLEPWVPGIVSIQRGAFAPRRGDVVIASPPMCGAPWLKALAFAAMARGVHPPAADPAGHPLLRVHPDDCVPSMEKQFGTALGRKAMDALPSPRLMATHMHRSILPASIAENPDCKIVYICRDPKDMLVSMWQFSRKIRPDLEFSDLLEAACEGSCLSGPIWDHVLGYWNASKASPERVLFMRYEEMLQDPVGNVVKLSRFLGRPFSPDEEEAGVAMDVVRMCSKLKDLEVNRVGSGSSPLPGIREGGLMNKNNSYFSRGEVGDWANHMTPEMARRLDVVMEERLLGSGFSFC >KQK97327 pep chromosome:Setaria_italica_v2.0:VII:20586619:20587852:1 gene:SETIT_011079mg transcript:KQK97327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSDLPPGFHFFPSDEELIVHFLRRKASMLPCQPDIVPTVLLNHYNPWELNDKALQAGNRWYFFSHATQSRVTPNGYWSSICADEIVESGGCNVGLKKTLIFSIGEPSEGIETNWIMHEYHLLDGRKGSGSSTSTSSSRKLHRNKSHSNTESNWVICRVFDSTCGSQVNYHEEGMELSCLDEVFLSLDDYDEVSLSNN >KQK96446 pep chromosome:Setaria_italica_v2.0:VII:7530363:7532071:-1 gene:SETIT_011202mg transcript:KQK96446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFYYAPKMVESWKGQKLLSRAEFHVGANVAKFLRLQMLPTQGLVSEKTNRFALVFGTLDGGIGCIAPVDELTFRRLQSLQRKLVDAVPHVCGLNPRSFRHFKSNGKAHRPGPDNIIDFELLSHYEMMSLEEQLDIAQQIGTTRSQILSNFSDFSLGTSFL >KQK96987 pep chromosome:Setaria_italica_v2.0:VII:17993040:17994713:1 gene:SETIT_012361mg transcript:KQK96987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVMSKLAGAALQASLMALLAVLLPPYYVWKLTTYLLGAVFPEDVAGKVVLITGASSGIGEHLAYEYAKRRAYLALVARREMSLREVGDRALGLGSPGVLVLPADVSKPEDCEKFIDDTIRYFGRLDHLVNNASIWQVCMFEEVEDVNHFRTLMDINFWGHVYPTRLAIPHLKKTHGRIVGVTSNSSYIFIGRNTFYNASKAAALNFYDTLRMELGGDIRITEVVPGVVESEITKGKILTKEGEMKVDQDERDAILGPTPAEPVGDFARAVVRDVCRGARYVFEPRWYMGVYLLRVCLPEVLAWNSRLLTVGRAGATSTTDTLGKWLVELPGVRRAVQPPSLRSPEIKEQ >KQK97881 pep chromosome:Setaria_italica_v2.0:VII:23726898:23727832:-1 gene:SETIT_011679mg transcript:KQK97881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNSPPPAAILTVLAIWLALHCAAIPAAAQSCNCQPGLCCSKYGYCGTTSTYCGEGCQSGPCSGSGGGGGSGTAGASVASVVTASFFNGIKNQAGAGCKGTGFYTRSAFLNAVSSYPNFAHGGSEAEGKREIAAFFAHVTHETGHFCLISEVDGASMDYCDASEGAWPCVPGQGYYGRGPLQLSWNYNYGPAGKNIGFDGLGNPDAVAQDPVVSFKSALWFWMDNVHRVMPQGFGATIRAINGALECNGRNAAEMEARVRFYLRYCGQLGVEPGSNLTC >KQK96462 pep chromosome:Setaria_italica_v2.0:VII:8150467:8151425:-1 gene:SETIT_012045mg transcript:KQK96462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YHSYAFIACRSIHTVNKLNPSFVYPLLEKFFKYQEGYYNQPTYTKSRATVVDEITKNLVVSIIGETNLAAYKAGFNDSQSDQAARISFKNGCARGVTGTPYFFVNGIPINDSGSPLDYKYWISILDALVGKM >KQK98007 pep chromosome:Setaria_italica_v2.0:VII:24465893:24476955:-1 gene:SETIT_009177mg transcript:KQK98007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALEAARAKDTKERLAGVERLHEALDAAARRGLTAAEVTALVDTCMDLTRDANFRIAQGGLQALSAAAVVAGEHFKIHLNALVPAAVERLGDGKQPVRDAARQLLITLMEVSSPTIIVERAGNYAWTHKSWRVREEFVRTVATAVGLFASTEISLQRVLLSPVLQLMNDSNQSVRDAAISCIEEMYKHMGSQFHEELQRHNLPSYMVKEINSRLERIEPKVRSSDTAMQYKAAESRSVSANPKRGSPRTKSLPRESTLFGGDTDITEKAVEPVKVHSEKELLREFEKIAATLVPEKDWSLRIAAMQRIEALVYGGAIDYPSFLMLLKQLVPPLSTQLSDRRSSIVKQACHLLNILSKELLGDFEPCAEIFIPMLFKLVVITVLVIAESADTCIKTILRNCKVARILPRIVDTAKNDRSAILRARCCEYALLVLEYWADAPEIQRSADLYEDMIKCCVADAMSEVRATARTCYRMFAKTWPERSRRLFMSFDPAIQRVINDEDGGVHKRYASPSLRDRVVQPSRAPSHASGTHVPGYGTSAIVAMDKSAAISSDSSFSSNSLRLSQSKTIGRSSERSLESVLNSSKEKVSAIESLLKGANISGQNFSAARSTSLDLGVDPPSSRDPPVPLAAPASNVLSLQNSALLDSSLPSITAASSRNGGSRLLDTMTTQFAPKERSRSPYLSNMSSESMSGLSMPYSRRSTERLQEGARMDESYDIRSTRRIPQMHMEKNYVDMPYRDAVHRDSHNNHVPNFQRPLLRKQVMSRASASGRHSFDDSHVTSGDVSGYTDSLASLNDALSEGLSPSSDWVARVSAFDFIRNLLKQGQKGIQEITQNFEKVMKLFFRHLDDPHHKVAQAAFTTLAELIPACKKPFESYVERILPYVFSRLIDPKELVKKPCSSTLDIVGRTYAIDMLLPALVRSLDEQRSPKAKLAVLEFANKSFSKYTVDSDGYSNSGFLKLWLSKLAPLVNEKNAKLKEASISGIIAVYSHFDSTAVLNFILSLSVEDQNLLRRALKIKTPRIEVDLVNYLQSKKERPRPKSYDQADFGTSSEDGYALSSKKGYLFGRYSSSSLDAEGGKKISTMQESTPHNVSIGRTTSDMSMDHAIQSLEPSTGTEVHLTRSAERKNNSSSVVEAARSWTNYPEKTDASLDGEAVSSTPRLDFSRFLTSDSHNAVVSTTEESVQEGDMIVSLSSIKTSLHTDNGLSIPQVLHQISNDTEVSSSEKREALQQLVDASLDNNSSIWAKYFNQILTTVLEVLDDSDSSTRELALSLIAEMLNNQKDAIEDSVEIVLEKLLHVTKDAMAKISNEANQCLNVLLAKYDPFRCLTVIVPLLVSDDEKILVVCINCLTKLVGRLSQEELIDQLPTFLPALFDAFNNQSPDVRKTVVFCLVDIYIMLGKAFAPYLEGLSSTQLRLVTIYANRISQARSGKPIDSNQ >KQK96306 pep chromosome:Setaria_italica_v2.0:VII:3247462:3249111:-1 gene:SETIT_010997mg transcript:KQK96306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPFALASLVKAAVLPVPPPPSAAAVAVARRAPTVPTVLRAAGGKARRGAALVAAAAMDDLRPAIVSGEWPENFSHLSYADLRAYLESQITTAGEMSPEARLVDVMSRPVQVATPGQRLAEVDAFFATQQFSGLPVVDDEEGRCVGVVSKKDKAKAPNGMESTVGEVMSSPAITLTLERTVLEAAALMLKEKVHRIPVVNEQQHVIGIVTRTDVFQALEANNGA >KQK96840 pep chromosome:Setaria_italica_v2.0:VII:16377123:16377731:-1 gene:SETIT_011498mg transcript:KQK96840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPQLSIKEGQHRPMMREVAEELQRLARPVPPRTQGFHGVSALMMQGRSSDNSSGDYTSEESTDYYILQKKASMSIEFAR >KQK96748 pep chromosome:Setaria_italica_v2.0:VII:14912535:14913078:-1 gene:SETIT_013009mg transcript:KQK96748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVAVTWYASRFVLASSWRSSAVQFARLAFPLLPPSSSSDHLSFRLFGSCPRTNEPRAPLS >KQK99624 pep chromosome:Setaria_italica_v2.0:VII:33865501:33866283:-1 gene:SETIT_011405mg transcript:KQK99624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRGSGSGVRSARLELQLNLSPPAAVGMEVGQDDSDSSSPSSCVSSDGRSSSGGSPGSKSPMVIGACTRCLMYCMVAKKDYPTCINCKQPCLVDLLHGEAGGGGGKRK >KQK96931 pep chromosome:Setaria_italica_v2.0:VII:17571290:17572132:1 gene:SETIT_011621mg transcript:KQK96931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYASGSIFLHRISKSLTTRVELKGSSVAAKVVIRKKRRRIGLSEVVIKGAIY >KQK98319 pep chromosome:Setaria_italica_v2.0:VII:26272043:26277409:1 gene:SETIT_012624mg transcript:KQK98319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPQFMLPVPGRSMPVAFQQKQPQVPVEFRGPGFQMQSIGSVSSSLPVKMAVPVGNASHIQPIFVHGAQPRALHQQTFIHQGQGFGCAPPANCHLPQFSNMRFAQELSQQHPRSSDEQKRTVKITHPETHEELMLDRRGHSFMGVPVSGQMPLHNINQLSQPVQTFSPLQKVYYPRAGTYNSAPIYLPNTTTVPLASRQISSKMQAPMHSFDSTNSNQPITSIRPPMPISWLDASSRALTNLHTASEVSSFKGLRHVELKQPIPFPAEKNEVPLKCSGEPAMLNRQSDHKIGLEISPEPANLVSDEGNLKTPAATSGISCNSISQAAPTQQTQTQQASVNHVTPIVGPQTTSTSNLPLASTASCTSSVIAKPSDMEESSVIPTTSSSHAKLELSHTEASGRTDSVIWSAASLISSTDGASPTNRNSKYDGNSILGKPPLIYTQEIPKFVGSNTFTEGFRKAKVNPAPFLEKNSELNGSVTLQNQDFVIKEHVVNEKGMCSKSKTEQVDTTTQGTALGSEDSTGVTKSERFHGCHESVDLHPSIAIDMQTSKDNQQPSPDAQIVTSVPENKQNDSRIDSARDVKSEAPASNSTSTQRKIGPERIDSEISNYCSMAAASVDSSAGGNDSQNRADLLGWEDTTENSIENSKAFGCIRAEVNKDKCEFDHKRYSRDFLLTFAQSCIELPASFKIRFDISGAVMSVHIGAPFIDNNDLNSNHARIKDRGSATSRANRHMAGKFDDDKWRKQFSSPVPGHSSLSDNVHQPVFSRWDAVQRDGHGSTRSLSQSQPISQYSGEMLSRAMKEVVSQRSRGYVDERWQHRTNAQGISSASQVPMPVMHKAEKKYEIGKVSDEEEAKQRQLKAILNKLTPQNFEKLFSQVKELNIDNVVTLTGVISQIFDKALMEPTFCEMYASFCFRLAGDLPNFVKDDEKITFKRLLLNKCQEEFERGEREQAEADKAEEEGGTKQSEGEREEKRIRARRCMLGNIRLIGELYKKKMLTERIMHECINKLLGEYQNPDEEDLEALCKLMSTIGEMIDHLRAKVHMDFYFDLIQKLSENSKLSSRIRFMLEDVIDLRKNKWRQRRKVEGPKKIDEVRRDAVKQKLGQSSRFGSSPNYNSSVTCISSGLRSGPPALNSRGSSALNSRGSSQVRTSGSQNVNLDARYQPSNRALPVSLHQRHSDKSIRLGPQGDLGRGMSVCGKPPVSNDILPEVPLNSHHGQTSENSKEGSVTGAASKRTNFRASNTSWGTADHASPLLSNVGQTHISSTVKKDICAEAQTFPEEVLQEKSILTIKEFYRQAPISWRANTYNLPFSLSAKDEEEVALCIKELNAPSFYPSLVSLWINDSFERKDLDRDLLAKLLVFLCKSQENLLSQRQLLQGFQHVLSTLEDAVTDAPKATKFLGQIFAKVIMEDVISLTEIGGLLQERDGREEPAGRHALDNSLASEVLGSMLESIRVERGDSAVDEIRAKSNLQCARRSGVCV >KQK98596 pep chromosome:Setaria_italica_v2.0:VII:28202038:28202727:-1 gene:SETIT_011361mg transcript:KQK98596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMQIVLAGRKIEAQYVEMKVPLYSYGCEKKIKKSLSHLKGIHSVQVDYHQQKVTVWGICNRGDVLAAVRKKRRAARFWDGDELGPGEQPGDAPKQYLAAFTAYRLRKSWKKLFPLIRL >KQK97367 pep chromosome:Setaria_italica_v2.0:VII:20811452:20812967:-1 gene:SETIT_012129mg transcript:KQK97367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RCRTDLLHAIMSFLPVRQAVQTCVLSRRWEHLWCSMPCLNIDQPEFKARGGSQFEEFVNNLLMFHSATSLDMFRFRFACGYQFKVVERWIRRAMKCCPAVMEIYSSSGLFHRLPHLGSSACRLKKLHLVAISLDESFTQQLPSGCPVLEDLELNKCHLHSPEIKSYTLKNLIITGCTTYSGRVLTITAPALVSFYLVITVVEWNSDYGVLVNEMPALVKAKVCLESSSPEGPCKLLCSLTNVRNLELSGLETLSILRGTSDTFPTFSNLRTLLFNGCDLSDDFGMLGCFLNNSYSLEKLTLEHCKCPNLKLTEIKYKEDDVHQLFGLLSGIWRNLQKTTIMLTKA >KQK94310 pep chromosome:Setaria_italica_v2.0:VIII:12965707:12966982:-1 gene:SETIT_027769mg transcript:KQK94310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVPDRDSAMREVAQAYELIKSHQPRLQFDDVQQLSATTNLAQSLLNNAMRALHLALCVMNPQTSAHCGAESSSRSNRPHLFSPSAAAGDVGGITRQQKKGKRRRANEETSWVILTEAPHTDGYVWRKYGEKKINGTHFKRHYFRCSYKYDRGCQATKQIQQQSSNDLPMFQVTYNSEHTCNCTTAANTYIKSDLPQRSYRDNNGTISQMGDAMIRQEQGLLPPRAEVSTVFMDTMSCEEPFVLSNPYSLSPNHAGYHMTSTDDGASDFHYESTDGYIDLEHIWQLDLP >KQK93837 pep chromosome:Setaria_italica_v2.0:VIII:4921459:4925991:-1 gene:SETIT_025980mg transcript:KQK93837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSLVGLQHLKYLDLSCNNFNGSSIPKFIGSLKSLEYLNLSKAGFGGTIPPQLGNLSKLVYLDLNLNYGRLGFLYSDSLTWVSHLSLLKYLDMSGLHLSAAVDWIHGISSLPSLEVLHLSDSGLRNTNTILSHSNLTALKVLDIRSNYFHTAISPNWFWNIRTLTYLDLSSSGFQGPIPYEMGNMTSLEQVYIGDNNIMSMIPPNLENLCNLKIMDFSWSNITGDIGDLMNRLPKCSWNKLYVLDFSYNNLDGNLPNWLQPLKNLSYLNLHGNNIMGPLPLWIGGLHNLTILNLGSNRLVGEINEEHLEALTNLQVLDMSDNSLSMRVHSNWIPSFKLKMAGFRSWQLGPAFPSWIRWQRSMNVLDISNATIHDNVPDWFWVVVSTASYLNMSNNLLSGTLPASLEMLAAGMIDLSSNRFAGPVPRFPRNVQYLDLSRNNLSGTLPDFGAMNSFYALALYNNSISGSIPFSLCLVQCLNILDLSGNMLSGELPTCKGDSGPYKQMLALNLNSNNLSGVFPSALQMSQDLVFLDLAYNQFSGNLPAWLGDKLPSLALLRLRSNNFSGNIPIQLATIQGLQYIDLACNHISGQIPESIVNLKTTSVLTKGQQLEFTKGIQYMVNIDLSCNNLTGQIPQGISALVALKSLNVSWNHLSGRIPNNIGDLKALESLDLSHNELSGEIPSSISALTSLASFNLSYNNLSGRIPTGNQLQTLATDDPESMYVGNIGLCGPPLPKGCPGNGTSNSPVDEPEQKDNGMVNSIYLSMIIGFIFGLWVVFCIMLLHKGLRYSYFASIDYLYHTMCVHVVVTWNFLMRRGNRAGLAA >KQK94735 pep chromosome:Setaria_italica_v2.0:VIII:25857129:25858622:1 gene:SETIT_027662mg transcript:KQK94735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIGKVDLRGLEPGTPGWARARASVTASMAAHGCVVVAHDALGPELRHALFGRALPELFGLPFDAKKRSGAFSNGPHRGYEGQVPAVALETVPVPGAADEPGRVRALAGRLWPDGNPDFCDTIVSFAKNVLDLEQTVERMVLEGLGARGESIASQLGSQSHAVRATLYGAPPPPGEEAAAGGVSLSLHAHRDEHMTTVIAQHEVGGLEVQDAGDDGRWLAVPPEPGTLVFMAGDQFTVVTNGRVPACVHRVRAPAGGGRGRFSVLLARRRKDDGDPVLRAMDELVDEDHPLMYKPCNHEEYRAFRYSDEGRRLRESHPLKAFCGVEKLGVGI >KQK94189 pep chromosome:Setaria_italica_v2.0:VIII:10662382:10667544:1 gene:SETIT_027357mg transcript:KQK94189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDQWFQHSQLVPDKLAMIERQQSQDGFPDFVKDEDLVGIEKNRKLLSGWLYSDELDSTVITVSGMDGLGKSTLVTNLHEREKVNFPVHAWIVLLWKIGNMVPPVPSEIDKMDVHDLKAEIKKKLQNRKCLIVLDDVWEQEVYFKIHDAFQNHQASRIIITTRKDHVGAIALLDHHLQLEPLDGPDAFDLFCRRAFHNKEDHKCPKEFEEIATSIVDRCHGLPLAIVTIGRWQLSELSTNDHVRAILNLSYHDLSLGLRNCFLYCSLLPEDYPMSRESLVRLWVAEGFVLSREKNTPEEVAEGNLMELIHRNMLEVVDYDELGRVSTCKMHGIMRDLALSVAKEEKFGSANDYEAIILSPVKFPRLRSLVAHGMISSTPDLLSSILSESKNLTVLELQDSNITEVPTFIGNLFNLRYIGLRRTNVKSLPESIEKLFNLHTLDIKQTQIEKLPLGIVKVKKLRHLLADRFADEKQSDFRYFIGVESPKGLSNLEELQTLETVQVSKDLAEQLKKLMQLRSIWIDNVSASDCENLFATLSTMPLLSSLLISARDVNETLCLQALDPISTKLHRLIVRGQWASGTLKYPIFRNHGEHLKYLALSWCQLGEDPLSVLAPHVPNLTYLSLNRVNSVTNPTVLSAGCFPHLKTLVLKRMPDVKQMEIGDGALPRIEGLYIVSLAQLDKVPQGIELLLSLKRLWLLYLHDEFKTLWQTSGMHQKMQHVPEIRI >KQK95329 pep chromosome:Setaria_italica_v2.0:VIII:33385206:33386393:-1 gene:SETIT_027135mg transcript:KQK95329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAGWSRPSIPISHMFSAEKFRDLISIRILLLVYCCSSRVPQDPKGERAVGSSPPPWPIQSVPVCTHALVVEGGNSGLAFSV >KQK94721 pep chromosome:Setaria_italica_v2.0:VIII:25708702:25711180:-1 gene:SETIT_026491mg transcript:KQK94721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVANVAACHGKLSCPALLSLLLLLLRALVEPAAAACSVDAIYSFGDSIADTGNLLREGPVGFFASIGSYPYGQTLRKPTGRCSDGLLIIDYFAMALNLSLVSPYMDKGADFASGVNFAVAGATALDRAVLLQGGVMMPPASVPISTQLDWFRSHLNATCGSQEDCAKKLARALFLVGEIGGNDYNYAFFQGIRSIEATKAYVPQVIKTIMNVAKEVIELGATQIIIPGNFPIGCSPSYLSLFSVSGTGDLDDRGCLKSYNAFAQHHNEQLQAAIDDLRKANTDVTIIYADYYGAFMHLLDHASILGFDQGSLLQACCGAGGAYNFNMNLMCGTPGTSTCANPAHRVSWDGIHLTQQAYRAIALSLLMEGFAQPADAVQEIWSC >KQK94102 pep chromosome:Setaria_italica_v2.0:VIII:8838413:8842549:-1 gene:SETIT_026981mg transcript:KQK94102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKQGGGGGGDEKALLWRLPEITSNELGKIGPAFGLGIGCGAGAGVGFFGGAGLGFGFPGLTLGFGVGAGCGVGIGFGYGMGKGIAYDENKRYSNVGKMFQEAPHLPTDTVVALFDELVINTKKLVTATSKGIEKWR >KQK95201 pep chromosome:Setaria_italica_v2.0:VIII:31873791:31875085:1 gene:SETIT_027090mg transcript:KQK95201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMRLAVVALALCCCLIHASSAAADTSFSPGLKVKQDTRAAPPSCGADDQAVLAVEASAAGDGGAGGRMDLELEDYPGSGANARHSPWGQERRN >KQK95498 pep chromosome:Setaria_italica_v2.0:VIII:35072383:35077060:1 gene:SETIT_026015mg transcript:KQK95498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRLASVAVQVLWFLLTPSVAFSYLIPVPPLVAAASGSNIAPPPPEVAAPRPGCPSMCGNVSIPYPFGIGDECAWGSDFAITCNHSFNPPRLYTSNYTDDLLPFEVISISLEAGEMRVFSPVSYICYNSSSTIASNGIISWELSFATFLISPTRNVFTGIGCDTWAYLNGREDFSFFTGCITTCKSLHAAAQDDEECTGLGCCQSFIPTNLSRIDIVWGNQESYRNIAWEYSPCNYAFIGEKGWYHFSRSDLIRDANKTFAERVGNRTIPLVLDWSIRDGVSCQAPPKDAGASATSTAPACISRNSFCVNATQGPGYLCNCSKGYMGNPYVTDGCININECSSTTNGPCGMYSTCEDTDGDYNCKCNFNRKGDGKSENGCYQYVFPPYAIAAAAITLVVIIACLSIILLMRRKQKKLFNKNGGDILKDVGIKIFTKGEVKTITKSYRNRIGGGYFGDVYEGTIIDDPGQALQVAVKCTVAKKVARLRQKSLRREAPQHEQEKLWKEGFVREISFQFKVEHPNMVRLIGCCLETDVPILVFEFVGKGSLHEVLHNGANKLTLSLPKRLDIAIGSAKALSHMHSHKHVHGDVKSANILLDDDLNPKVSDFGSSKLLSVKNYAMDVAADGNYVDPVYHTTGHFTVKSDVYSFGVVLLELITRKKPRYGSGDANILTIDFKKSIKNHSNAREMYDAEVLSDDNAQSHRYMECLDMVGALAVRCLISEDADERPTMAEVVDELNQVKSIACGIGAHALT >KQK94270 pep chromosome:Setaria_italica_v2.0:VIII:12139513:12140004:1 gene:SETIT_027011mg transcript:KQK94270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAASPAMEAVPRNEASSAPVLVTAHRTGDRKAAVTVSNSSVTVAPRAAAGAGADHHRRARGGRVPALTSPLPRGSCSCKVEASAHGPGEGGDDSGGGAAVLMLARRNGAASRRLMPCLVSCVV >KQK95899 pep chromosome:Setaria_italica_v2.0:VIII:39359957:39366028:1 gene:SETIT_026016mg transcript:KQK95899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRLATMAAQVLWFLLTPTVAFSYLIPLPPLVAAASGSDIAPLPPVVAAPRPGCPSMCGNVNIPYPFGIGDECALGSDFAITCNHSFNPPRPYTGDFEVISISLEAGEMRVFSDVSYICYNSSKTTDSTGVITWTLSFNPAFLISPTRNVFTGIGCDTWAYLNGREDFSFFSGCITTCNSLDEAAEDNEVCTGLGCCQSSIPTKLTTIKIGWGNQENYRNLAWEYSPCNYAFIGEKGWYHFNRTDLTRDANNKTFTDRVGSRTIPMVLDWAIRDGGSCKAPPKDAGASAKPTAPACISRNSFCVSATQGPGYLCNCSKGYTGNPYDADVTRGCANINECSSTTNGPCGMYSTCEDTDGDYNCKCNFNRKGDGKSENGCYEYVFPPYAIAAAAITLVVILACLSIILLMRREQKKLFNKNGGDILKEVGIKIFTKGEVKTITKSYRNRIGGGYFGDVYEGTIIDDTYTPQALQVAVKCTVAKKVARLRQKSLRREAPQHEQEKLWKEGFVREICFQFKVKHPNMVRLIGCCLETDVPILVFEFVGKGSLHEVLHGANKLTLTLPKRLDIAIGSAEALSHMHSHGDYKHVHGDVKSANILLDDNLNPKVSDFGSSKLLSVKNYAMDVAADGNYTDPVYHNTGHFTVKSDVYSFGVVLLELITRKKPRYGSGDANILTIDYKKSSKNHCTARMYDAEILCDGNAQSHQYMECLDMVGALAIRCLSEDADERPTMAEVVDELNQVKSIACRSSCYHLS >KQK94865 pep chromosome:Setaria_italica_v2.0:VIII:28117895:28124172:1 gene:SETIT_026052mg transcript:KQK94865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMLEKGFQSQLTFLRSQILKYPIWYCLILPLFQIGLVCPSAARTCPPHSQRDTPSLSPTAAARFRLHHHPSSSSEPSSRRRRKKKEMAERLTRIAIVSEDKCKPKKCRQECKKSCPVVKTGKLCIEVTSASKLAFISEELCIGCGICVKKCPFDAIEIINLPKDLEKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFKNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLEQKDERDMKAELCVDLELNQVIDRNVGDLSGGELQRFAIAVVAVQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKIAETQESAEEIETYQRYKYPTMSKTQGNFKLTVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGTDIEIPEFNVSYKPQKISPKFQNTVRHLLHQKIRDSYMHPQFVSDVMKPLQIEQLMDQEVINLSGGELQRVAICLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFIVEHDFIMATYLADKVIVYEGRPSIDCIANAPQSLVSGMNKFLSHLDITFRRDPTNYRPRINKLDSTKDREQKSAGSYYYLDD >KQK95419 pep chromosome:Setaria_italica_v2.0:VIII:34314902:34316016:-1 gene:SETIT_027710mg transcript:KQK95419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WTALLCICKYVNGSKFLCPWGNRICCRRHPNPCLVATGQCIFVQSFNYSPWKWKLMHKLTLGVVASKGDDTKLSDAIRIPKMVKAKVFEALCLCGLRSKNFEGHYLPKDFTSLCASGQQENKDWSECLQLPTCSQVILAWHIATSLCEMKLAQDCGMDLSKQEFLVDEKSLDGDLTTNYKIANSFSRPDLLPDTILVPKVILQNTVSHAREMLKDCDSLQSIYKKLIEVARGAILGKKLMEDEYHWELLAKVWVDLLVHIAPSSNAEAHAKHLESGGEFVTLIWALFCHCGIEKSELWQENATSRNSSPGSSQQNNGVAPTTQVQQQTAPPAAQPDGSNIAK >KQK93473 pep chromosome:Setaria_italica_v2.0:VIII:1353622:1355766:-1 gene:SETIT_027572mg transcript:KQK93473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CLKDHTDTIKDLVVLAVLAMFLLHVLGSVRRRSSNTVLHSIVTGAYTLSYPLVSYTIGLMYNSDWYYKDFAVWAVLLLLLLGSTEILTVCCLRDLNNWRLSIQVKSIFKGFLLVFIILMICQNQVVPYRYPFYGIVLVVILKGYVRIASMRMVSKSYLGMEVKVIAEYMQYVDNKVVAFDPVTMEGYRYIVAGEKHSNTTWYKKPDDSKVTTVEQIWQCEGNLLVIGDRGKVLKDSCLSMALSKMLNRRFAGFKLSEAELKKTHDFVFKGLLAGDKPHQRAFRVIEEELAFVHDYLYYTRYSYFYQKGRYIALCLPITMLALCSWLACVLVVHYQRWFKLALMRSYVTTPLLRRSRTLEMIIGLLLRFKAFQPWKCRLGQYCLLQELGRKSRARNCLHYATLCLVDKASKERKKSEKLSEDVKKAIVDSLLASNGHLTNGVTSLRRNGVHDDLKWVCDATATYGAVARTIVVWHIATTLCEKKLDKQAKEEYAVKRASTLSKYCMHLLAFAPNLLPDQSSISESILDHSIDEVRKLLKEAMLVVQGVHLARQLIDNIQDFTTRWKVLSDFWAEMMLYVSPSDDAREHLEVLAKGGEFITHLWALLTHAGVLKREPKDVV >KQK94162 pep chromosome:Setaria_italica_v2.0:VIII:10255514:10255973:-1 gene:SETIT_028579mg transcript:KQK94162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPLLPCGLLPTLPACCRQTAAPSLPHTLPHGLHTYFRIGRGDLGGCGCQAASGCSTSDLRARRHLACLHDHQPQA >KQK95709 pep chromosome:Setaria_italica_v2.0:VIII:37422842:37426863:-1 gene:SETIT_026354mg transcript:KQK95709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGLVVVLALVLAAALGSVRGELRRLGDQAPPGSRPHSVTITEFGAVGDGKTLNTVAFQNAVFYVRSFADKGGAQLYVPKGRWLTGSFNLTSHLTLYLEKGAVIIGSKDSSQWPIVEPLPSYGQGLDLPGPRHRSLINGYNLTDVVITGNNGVIDGQGSVWWQWLRSHELNHSRPHLLEFLHSEKIVISNLTFLNSPAWSIHPVYCSNVKVHNVTIKTALDAPLTDGIVPDSCSNVCIEDSTVSVSHEAISLKSGWDKYGISFGRPTSNIHINRVDLLSSSGAALAFGSEMSGGISDIHVNHLRIHDSYKGISFKTSPGRGGYIKEVVVSDVKMEDVHIGIEFTGNCSSHPDDSFDPSQLPVINQITMKNLVGTNISTVGVLSGIDGAPFTAICLSNLNFSMVADSTSGSWSCSNVSGYSQSVFPQPCRELSDPSSSSSICFSLSRYSAIAIA >KQK94182 pep chromosome:Setaria_italica_v2.0:VIII:10570484:10571491:1 gene:SETIT_027148mg transcript:KQK94182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADADSNVPGGYFMGRPSNAPEQQPAESKPANTQTPGDYFIGTPEHGRTAAGSGKPTGDQKKSKSFLECLPCLGGGQVAN >KQK94183 pep chromosome:Setaria_italica_v2.0:VIII:10570660:10571491:1 gene:SETIT_027148mg transcript:KQK94183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPSNAPEQQPAESKPANTQTPGDYFIGTPEHGRTAAGSGKPTGDQKKSKSFLECLPCLGGGQVAN >KQK94466 pep chromosome:Setaria_italica_v2.0:VIII:18093358:18096610:1 gene:SETIT_026683mg transcript:KQK94466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLATAGGGGDIGKKIGHGGGDGGDDDGDDDDYFDDFEDGDEEGGFFKRRIVIQELFNREFIDAVLQEWYKSMSNLPAGLRQAYEMGLVSSAQMVQYLSIFGRPTKARYFSRAFPSFFSRGLVGRMLADPSFLHKMTLEFLATITSSVWWEMENRKERFQQELDLVFVNVFTATICNLAVFCCLAPCRSYTIQRVPNNIFEKSYPMRQFDLLRRTQSFFSKAAQLCLGGLVVGSIQVVYLKSFLLEKKGCRYQFLLSALMLLVMGLSMGCTRICGIRCYVALIDLCYTILMFLVLQSSSAQR >KQK94467 pep chromosome:Setaria_italica_v2.0:VIII:18093358:18097220:1 gene:SETIT_026683mg transcript:KQK94467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERLATAGGGGDIGKKIGHGGGDGGDDDGDDDDYFDDFEDGDEEGGFFKRRIVIQELFNREFIDAVLQEWYKSMSNLPAGLRQAYEMGLVSSAQMVQYLSIFGRPTKARYFSRAFPSFFSRGLVGRMLADPSFLHKMTLEFLATITSSVWWEMENRKERFQQELDLVFVNVFTATICNLAVFCCLAPCRSYTIQRVPNNIFEKSYPMRQFDLLRRTQSFFSKAAQLCLGGLVVGSIQVVYLKSFLLEKKGCRYQFLLSALMLLVMGLSMGCTRICGIRCYVALIDLCYTILMFLVLQSSSAQR >KQK96008 pep chromosome:Setaria_italica_v2.0:VIII:40416516:40417865:1 gene:SETIT_027435mg transcript:KQK96008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLEKLLEYGHMLVAEQENVKRVQLADKYLSKQPGSSGPAAVGDDDPVAAPAGLRRRTRSAEAAATTEGSKRRSSEGSGGARQLRGWPAADVPAAQDA >KQK93279 pep chromosome:Setaria_italica_v2.0:VIII:330126:334877:1 gene:SETIT_026033mg transcript:KQK93279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVATSLIGATAVTTIAGAVADSIGRRPVLIASAVLYFVSGLVMLWAPSVYVLLLARLIDGLGIGLALTIVPLYISETAPTEIRGSLNTLPQFNATGGMFLSYCMVFGMSLFPKPNWRIMLGVLSIPSLIYFALAVFYLPESPRWLVSKGRMVEAKRVLQRLRGREDVSGEMAILVEGLGVGKDTRVEEYIIGPDEGLAPHPEKIKLYGPEEGQTWVARPVSGQSALGSAYGLLSQHGSMASQGKPFVDNVVTLFDSVHEKMPDIISSMRSTLIPNFGSMFSVMEQQQRAKADSDAESQMEGNEYASNHGGDDNEESLQSPLISRQSTSVEGIPHGSIIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVQGSKGSILSLLGGDVPPGSEFVQAAALVSQPALYSKKLLEQRAAGPTMVHPLEAVAKGPKWADLFEPGVKHALFVGIGIQILQQFAGISGVLYYTPQILEQAGVGVLLSNIGLSSSLASILISALTTLLMLPSIGIAMRFMDMSGRRFLLLATIPILIVALVVLVMVNIVDVGTTVHAALSTISLILYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICAFTSWIGDIIVTYTLPVMLNSIGLAGVFGIYAVFCIIALVFVYMKVPETKGMPLEVIIEFFSVGAKQAKED >KQK93278 pep chromosome:Setaria_italica_v2.0:VIII:330126:334877:1 gene:SETIT_026033mg transcript:KQK93278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKKEFNLQSEPLIEGLIVATSLIGATAVTTIAGAVADSIGRRPVLIASAVLYFVSGLVMLWAPSVYVLLLARLIDGLGIGLALTIVPLYISETAPTEIRGSLNTLPQFNATGGMFLSYCMVFGMSLFPKPNWRIMLGVLSIPSLIYFALAVFYLPESPRWLVSKGRMVEAKRVLQRLRGREDVSGEMAILVEGLGVGKDTRVEEYIIGPDEGLAPHPEKIKLYGPEEGQTWVARPVSGQSALGSAYGLLSQHGSMASQGKPFVDNVVTLFDSVHEKMPDIISSMRSTLIPNFGSMFSVMEQQQRAKADSDAESQMEGNEYASNHGGDDNEESLQSPLISRQSTSVEGIPHGSIIGGGWQLAWKWTEREGADGQKEGGFQRIYLHEEGVQGSKGSILSLLGGDVPPGSEFVQAAALVSQPALYSKKLLEQRAAGPTMVHPLEAVAKGPKWADLFEPGVKHALFVGIGIQILQQFAGISGVLYYTPQILEQAGVGVLLSNIGLSSSLASILISALTTLLMLPSIGIAMRFMDMSGRRFLLLATIPILIVALVVLVMVNIVDVGTTVHAALSTISLILYFCFFVMGFGPIPNILCAEIFPTTVRGICIAICAFTSWIGDIIVTYTLPVMLNSIGLAGVFGIYAVFCIIALVFVYMKVPETKGMPLEVIIEFFSVGAKQAKED >KQK93713 pep chromosome:Setaria_italica_v2.0:VIII:3480202:3483620:-1 gene:SETIT_026020mg transcript:KQK93713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLRSAACSSLLLVLVLVLPLSANASSKLYIVYMGEKKHDDPSVVTASHHDVLASVLGSKDEAMKSIVYSYKHGFSGFAAMLTKSQAETIKNLPGVVSVKPNTRYQTATTRSWDFLGLDYYQSSAPDLLRKAKYGEDIIVGVVDTGIWPESRSFDDSGYGPVPARWRGVCQKGAEFNATSCNRKIIGARWYTGGLDAEKLKGEYLSARDMNGHGTHVASTIAGSLVRNVSHGGLAAGVARGGAPRTRLAVYKACWLTGGCDGVALLAAIDDAINDGVDVLSLSVAGPDDIPGTLHAVARGIPVVFAAGNKGPAAQTMQNAVPWVLTVAATTIDRSFPTVVSLGNNEKLVGQSLNYNASVNSDDFHEIISMGSCDERELSSTNVTGVVVLCSAPLEASSKPPPQGFVSAVTRVGKAGAKGLIFAQHNSNLLEYTDIACRQYSMPCVLVDFEIAHRIESYYANSVEIPVVKISRTFSVVGNGVLSPRVAAFSARGPSAEFPAIIKPDIAAPGVGILAAAVGGSYKLLSGTSMACPHVAAVVALLKSIHPHWSPAMIKSAIITTASVADRFGMPIQAEGVPRKLADPFDFGGGHIDPDRAMDPGLVYDIDAGEYTKFFNCTLGPKDDCVTYMGQLYQLNLPSIAVPDLKETVTVRRTVTNVGPAEATYQAVVEAPPGVDVSVEPSVIKFSEGGSKEATFRVTFTARQRVQGGYTFGSLTWLDGNTHSVRIPIAVRTVIQDFIADTS >KQK94372 pep chromosome:Setaria_italica_v2.0:VIII:13900362:13903747:-1 gene:SETIT_025953mg transcript:KQK94372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMEGALVSASTGVMESVLCKLSFMLGNQYSRNKRVENDVLFLRNELSSMNAVMQKHAMSKERDLQVKAWMKEVRELAYDIEDAIDAFMAQVEEKLDQPTGIKGFVINSIRKLRELVSSSAIAEEIEELKNQVREISDRRKRYKLDESTSKDTKAEIDPRLPALYTDVSTLVGVDGPRNKIIKLLIEDDVDGRFGPPLTLVSIVGFGGLGKTTLANQVYQKIKGQFDCSCFVFVSQRPHIKKVLVDLLSGLGGAGNMWDNKKKLINQIREFLHDKRYLIVIDDIWSISEWEILKCILPENSIGSRIITTTRILDIAKSCCSSFNGHIYPIEPLSDDNSRMLFFRRIFHTPDSCPSHLEELSVAILRKCGGLPLAILHIASLLATKSDTKDEWELVLNSIGSALENNHTLQGMKQILLLSFYDLPPHLKTCLLYLSIYPEDYKITPRSLIRRWIAEGFIAEERGKRLEQIAQSYINDLTNRSMITPMDIGYDGHVYQVHDMVLSIIKSLSAEENFVTIIDGQQSSPLPTKIRRLSLHFNDSQDEVMKTITTNQHCIRSVIVIGFTKQVPNFSNSRTLRLLDLGCCEWLQDHHIECIGSMLQLRYLVLHSNFITVLPDSIGNLKHLQMLNVKFCSIEILPETVIQLRKLICLYVSSVKLPDRIGNMQLLEELSHILLSSSSIRLAEELGSLRNLRHLTITVEDPSEMEDRGTRYKEVLLSSIYQLGRDNLESLSFDYRGQEDFILDSSMGLALQRLRNSKWMSTTVNLTRLELDISRMEEGDIEILKCISNLLFLRLVFTGHAPSGRIVIDDQGFQCLNEFYLICFIPGMWLVFAPGAMPKLQRYHLTFKPQSNSDDFRFGLEHLASLQNVTVVIVPICARNVDISVAETTIRNATNIHPNQPIVEIHTWQ >KQK95338 pep chromosome:Setaria_italica_v2.0:VIII:33535210:33538545:-1 gene:SETIT_025912mg transcript:KQK95338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLTRIGVAGHIPAELGKLRRLRFLDLYGNGLSGTIPSTIENLTRLEFLRLRDNTLSGQIPPRLLQSMRNLQSFSLEWNELSGNIPLYLFNNTPCLEYINLENNSLSAQYPQSIYNMSRLQIIALDSNNLTGPIPNNNRSFSLPMLQSIRLSGNKFVGRIPSGLASCQQLQIIYLSNNFFVDVVPTWLAQFQQLTYLSLAANSLHGSVPAVLSNLTRLNILALALNNLTGEIQEELGLMQELSYLHLGQNLLTGPIPPSLGNLSKLSHLVLSDNSLSGSVPAVFGSIQNLNCIDLEYNNLSGNLNFLSSLSNSMRLQRLHLHYNSFTGRIPNTVGNLSAELLEFSLSYNKLTGGLPSSLSNLSSLESLYLANNQLIGEIPESITRVQNLVSLDVSNNGMSGTIPAQIGMLSNLQRLYIHGNRFHGCIPDSIGNLTMLEHVWLSENQFNSTVPTSLFHLDKIIFLDLSINSFSGPLPSDVGGLKQVDTIDLSFNFLLGSIPKSFGKLSMLTNLNLSHNSFEDCIPVSFKVLSSLELLDLSSNNLSGTIPMFLANLTFLKTLNLSFNNLQGKVPEGGVFSNISLNSLIGNIGLCGTPHLGLSACVEKPHSNTTHFLRFLLPSVTIALVSTVIFIYQTIRKKLKNKGEVEDSGIDPSNVMYLGHLSYHDLILATSNFSDDNLLGTGSFGKVYKGQLSTGFTVAVKVLDMQQEQAIRSFDAECSILRMARHRNLIKILNVCSNVEFRALVLQYMPSGSLEMLLHSESIEHLGFLKRLDIMLDVSMAMEYLHHERHEVVLHCDLKPSNVLFDDDMTAHVADFGIAKMLLGDDNFMITTSMLGTLGYMAPEYGSYGKASRKSDVFSYGIMLLEVFSGKRPTDPMFVADLSIRQWVRQAFPIQLASVLDDRLLQDVSSSASNLNDFLTATFELGLICSSDSPDQRMSMRDVTVALKKIKKHYTESIISATTTSATM >KQK93343 pep chromosome:Setaria_italica_v2.0:VIII:654385:660900:-1 gene:SETIT_025971mg transcript:KQK93343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDIDECSPVPEPAPANPDPSSISPDAWRRFESATLSVVHKIQPTVSSENLRAAIIDYVQRLFRFHAGYQVFPFGSVPLKTYLPDGDIDLTAFGPAISDENLANEVCAILKSEGRRKDSEFEVKDVQYIHATEVKLVKCLVQNIVVDISVNQIGGLCTLCFLEKVDQNFGKKHLFKRSIMLIKDWCYYESRILGAHHGLISTYALETLVLYIFHIFHNSMDGPLAVLYRFLDYYSKFDWDNKGISLFGPVSLSSLPDLVTDPPDTHDDGFLPREEFLKECAEAFSVPPKNSEKDAQVFSRKFFNIVDPLKQSNNLGRSVSKGNFYRIRSAFDFGARKLGKVLQVPACSTVNEVNQFFRNTLKRNRTGLRLDVLVSSSDDGLLSDHATNDSLSLGLNVESIKGSSPLYSNSCGDLSSQFSHIDTSDSNNHGSIKQKQCNSVAGHKEVVSVSGGLIGTNATDYATTDSGTERNGGDFYEASQITSETCTLPSGRRCAPHQFYQSENGKDVDVRDGTDLPHHGMPANQFTDKSYHSFEGAKYHNEFSRSFPAPLEHNAYSPAGLVNGLATSNSMFTPENSQPGGTINDIVPDLTGDLFTNFNNLLFAQGCQQGNPVHHYYYPMPPLPPPQYQNMRPSNGLGRKKSYGYAGMNGAIPSPPYQPGYVVWRPVYQTDDHIPMRGHGTGTYFPDPNLRKDRPPVGRGERGRNHFSSNNYQKFHHHVRTDMPIDMVPLQESRHDVPLQIYVPGANDHAIPSPMNMPMPSPSSQSPRDPLKVPAHSPSSQVRRDNFHGNGFMVPQDSKLEFGTLGTLPLEVTSKDHANRSDSASSNQVSESVSRMPATKNTVTGLNGMRNAQPYSLKDSGDFPPLP >KQK94415 pep chromosome:Setaria_italica_v2.0:VIII:15292929:15296159:1 gene:SETIT_028170mg transcript:KQK94415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTNNWLGFASFSGAADDAAILPPLPPSPRGDGAGAEPKLEDFLGLQEPAATVAAGRPFVGTGGASSIGLSMIKNWLRSQPAPEPAVAADSMALAAVAVVSPEGSGKVTDDGAESGGGAVVVAAQQRKAAAVDTFGQRTSIYRGVTKHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFQISNYEKELEEMKHMSRQEYVASLRRHVKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIIESSSLPVGGTTKRLKDVPDQSDMGRNGHSADSVGHMTATNLLTDGIGSYGPENYGYSGWSPAAMTSIPLQFSNGHDQSRLWCKPEQDSAVVAAAHNLHHLQHFPAPGGTHNFFQPSPIQDMTGVADVSSPSVDSNSFSYNGSVGYHGAMGGGYAMPVTTLVEGNPAASGYGVEEGTTDVYDCRNIYYLSQGSPGANTGKPEAYDQQGAGYESW >KQK94989 pep chromosome:Setaria_italica_v2.0:VIII:29589355:29589659:1 gene:SETIT_027410mg transcript:KQK94989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMYKVSATEHMCMYNFQHYYGGMYKGRCFWNVVYIGATVGYGTEPGGTKYRIGKNSWSGSWGDKGFVYLLRDSARVGVRGVAQQACYPTI >KQK93355 pep chromosome:Setaria_italica_v2.0:VIII:725949:727164:1 gene:SETIT_027876mg transcript:KQK93355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRQQELVMQLRALVLPLLHGVDYTSADIAVQLFDDVIGCNISVASKLEGCLMSTGAGGGTMVELVDDKSLVRKSMTSSTTGDRTEGQVKPNSVGQKRRRNDKRSRSLVTHVPHYDGHQWRKYGQKNINGRQHPRNYYRCAYRERNCLATKTVEQQEQNAGTSSAMAVEENAKYTVVYYGDHTCKNHSTSMVQAPQLVNMDLHNGEMDQTTTNAQEPEADLDLPALLEVFDSSLINWQDWNEDVIYNLSP >KQK94320 pep chromosome:Setaria_italica_v2.0:VIII:13059246:13059887:1 gene:SETIT_027121mg transcript:KQK94320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLCRCFGAAPAATEGKKLAPARQLVAGGEEQKQQQPAGDQVAAGAEAGEPKMAVDRSMVVKEKRTPAPPLVMHQFPFQSRPGLL >KQK94513 pep chromosome:Setaria_italica_v2.0:VIII:20237432:20243229:1 gene:SETIT_026000mg transcript:KQK94513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAADPSAAPPAAAADPDGPDAVRLTWNAWPRSKVEASRCVVPLAATVSPARVPDPSAAAPPPLPYPPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYIVGGAPGAPGAAGAPPPPVFLFVIDTCVIEEELDYVKMAMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQVNGMHPATTAGVNRFLLPVSECECTLSTLLDELQPDQWPVEAGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDVLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLGLSFNGTIEINCSKDIKVQGIIGPCTSLEKKGALCADTIVGQGNTTAWKMCGLDRSTSLTVFFDVSPSERSSQPGHQNPHLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVMCYTPIFPSPLIHVLPC >KQK94514 pep chromosome:Setaria_italica_v2.0:VIII:20237432:20244787:1 gene:SETIT_026000mg transcript:KQK94514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAADPSAAPPAAAADPDGPDAVRLTWNAWPRSKVEASRCVVPLAATVSPARVPDPSAAAPPPLPYPPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYIVGGAPGAPGAAGAPPPPVFLFVIDTCVIEEELDYVKMAMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQVNGMHPATTAGVNRFLLPVSECECTLSTLLDELQPDQWPVEAGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDVLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLGLSFNGTIEINCSKDIKVQGIIGPCTSLEKKGALCADTIVGQGNTTAWKMCGLDRSTSLTVFFDVSPSERSSQPGHQNPHLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNCETVTNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHEQFAQLLQAPHEEAQMIIKGRFPVPRLVVCDQHGSQARFLLAKLNPSSTYNSAHDVAPGSDIIFTDDVSFQVFCEHLQRLAVQS >KQK94515 pep chromosome:Setaria_italica_v2.0:VIII:20237432:20245307:1 gene:SETIT_026000mg transcript:KQK94515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAADPSAAPPAAAADPDGPDAVRLTWNAWPRSKVEASRCVVPLAATVSPARVPDPSAAAPPPLPYPPLRCKPPCSALLNPFARVDFAAKIWICPLCFSRNHFPPHYAAISESNVPAELFPQCSTVEYIVGGAPGAPGAAGAPPPPVFLFVIDTCVIEEELDYVKMAMRKAVALLPEHALVGLVTFGTQVHLHELGFSDLSKIYVFRGTKEISKEQILDQLGLAGAGRPGFPKMPQQPGGPQVNGMHPATTAGVNRFLLPVSECECTLSTLLDELQPDQWPVEAGNRAIRCTGVALSVAAGLLGACMPGTGARIIALLGGPCTEGPGMIVSKDLSEPVRSHKDLDKDAAPHFQKAVKFYDVLAKQLVSQGHVLDVFASALDQVGLAEMKVAIERTGGLVVLSESFGHSVFKDSFKRIFEGGEQSLGLSFNGTIEINCSKDIKVQGIIGPCTSLEKKGALCADTIVGQGNTTAWKMCGLDRSTSLTVFFDVSPSERSSQPGHQNPHLYIQFVTSYQHPEGQMRIRVTTICRKWVDGSTNTEELVEGFDQETAAVVLARYISLKMEMEEEFDATRWLDRSLIRLCSRFGDYRKDDPSSFSLHSNFSLFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNCETVTNSVAMIQPSLISFSFDSPPSPVFLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQNQPEHEQFAQLLQAPHEEAQMIIKGRFPVPRLVVCDQHGSQARFLLAKLNPSSTYNSAHDVAPGSDIIFTDDVSFQVFCEHLQRLAVQS >KQK93922 pep chromosome:Setaria_italica_v2.0:VIII:6088046:6092562:-1 gene:SETIT_028137mg transcript:KQK93922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQLSAAAAAAATAPPRPPVIGQPGCDTLCGNVSVPYPFGFGPSSRCYWPGLNLTCDRRHNPPRLLLGDSALRVTDISLRNATVRVMRAGSIVNATGDSSGSWNAPFGPGFTENGYQLSYSNEIVVSGCNVVARILADIREKTPRIIGGCASFCTIIDREDGPLTLDTTRETKNKYCTGTGGCCQASVSASGTPNAVEAKWLYSGNHTAEQHLQPAIVFIAEEGWVDKNGLLDDELEEVPIVLEWSVTQGLSHWNNCHDDMRQRVLCKSEQSRCSSDSPGRFTCQCEDGYDGNPYLLGGCQDIDECELPSEENGCFGDCINTIGSMECRCPHGTFGNPGIRGGCVKINSTTADPQLPTVAPVPLGLPHCNTTCGDVSVPYPFGISRGCYRPGFNLTCSTSYDPPRLLLDSNGTLEVINISLHDSTVRVIHHARTYNNATSLSEYGTIVYWYIPDVGEPYMLSTKNEFIFSGCNVEATLYGEYRNDSSNSTDRIISRCNSTCSSGSKYILFEDHSARPLAPTHTNGGYCSGRGDGCCHAPIAADSTPKRMEFKGLNLHTKQEYNFFLRAGAFISEDRLTDQWYKTLNRTDFSMSFYMSSPHVLRWAVKQGFPAPAGNLGQCPKDVANRLCESKDSSCRQEYGGFTCHCNTGYQGNPYVPGGCQVVDECRIMALSKNLCFGKCINFPGGHECRCPRGSYGNPYKPSGCYPAVASGAALLPMVLGIIFVRRKIKQRRVKALKQKYFKQNRGQLLQQLLSQKADIAERMIIPVDELAKATNNFDKAREIGGGGHGTVYKGILSDLHVVAIKKSKITVQKEIDEFINEVAILSQINHKNVVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPRSLSWRHRLRIATEIASSLAYLHSTVLNPIIHRDIKSSNILLDDKLMAKVSDFGTSRYIPMDNEGLTTRIQGTRGYMDPMCFHTGRLTEKSDVYSFGVLLVELLTRKKPFSYLSTEGDRLAAHFVNLLAKGNVIEIIDPQVIEEGGEEIQEVAALASSCVNVRSEERPTMRQVEHILEGLWGSKKYKKDDTNLEKFQKQAEGQRNEESSRRYSLEQEMMMSSTFPR >KQK93923 pep chromosome:Setaria_italica_v2.0:VIII:6097342:6099481:1 gene:SETIT_027273mg transcript:KQK93923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQAETGSEDRISALRNEILQYVISFLPAQEAVQTCVLAKGWCHLWKSMPVLRIIADEWQDEQGVKKMNMFMKNLLLKRNGSAPVDVREVRIGEYVDAEGDPQVDLLIRNALLRQARIIRVTLTSEYNWAELGGLPFISQHLTWLELTHVELRGDVLDYSSCPALKNLFMRGCYIFHSRKISSQSLEELTIIDCTFHPYHRTRISAPSLVRLELADFDACIKATIRLYGSKDVCGKEFGGTCSTVGCHNCGTLSNEDFNRHSILIKGLSKAESLELEAECTAVFYFQRDLLWCPTFSKLKTLVLNEWCVAINSRPLTCVLQHTPVPDNWDEMEGSYDPLAQPIASKKLKVVKVHYDELDGRVHNIVRIMKSHLGIEEVNGEESFQIFYANWELMHSTGLPFFGQGHKKVKLNYDLHR >KQK95083 pep chromosome:Setaria_italica_v2.0:VIII:30617059:30618795:1 gene:SETIT_026833mg transcript:KQK95083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRAEFVLLASLWVAAGAALVLLNGVAAEGECGKVRCGMGACAESGDYAFGFACQCKPGWSRYHLGSVQFPFLPCVIPNCTINYKCQDDGPSPPPAPSPPAGLPPLTNFSIFDPCLMQYCGDGGACEKASDFAHRCRCRDGYTNLLNDTSYPCYRQCSLGSDCKGLGIDVINGSTPSMSPPAPFSFTVKRSGAGAAVVPAGGLLELLLLISFLWLQAI >KQK95686 pep chromosome:Setaria_italica_v2.0:VIII:37189239:37189838:1 gene:SETIT_027058mg transcript:KQK95686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSASGALLRKVIHVAACTVLVLLSMGPPAMADMNDDCAAICRPACDGFTSEVCGSLNGTLPVLGNIGFFYTTCKVRVSSACRTLCFNVCTLNTLTPAPGSTAAPPP >KQK94658 pep chromosome:Setaria_italica_v2.0:VIII:24248727:24249110:-1 gene:SETIT_027668mg transcript:KQK94658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACATKATIILFLAFVICCQADTDRPGACKLSDLHISVVKTGKEVQGQPEYQVTIDNQCSCAQAIVTVHCDGLPSVEPVDEGKIRTEDGGVCLVNDGLPIAKGSPVVFTFAGKTAPDFAPTMAVPHC >KQK93904 pep chromosome:Setaria_italica_v2.0:VIII:5851684:5852730:-1 gene:SETIT_027258mg transcript:KQK93904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVQVEEDAAQRAREDVGAQQAAVVDDAAPCPADAAGRREVPRSEATEDARDHVVGKRRWVHGLRSPGNRRRCNRRSARPSCYCCSVGLGTEGFHPSDPLLRACAGQAACPGRPCSPSDVATTRSRRQMWMAGRRMLEGREAVAGGRGGGECCRPRRRRARRAAGVGSGGLEGYRGRIDSLNG >KQK95528 pep chromosome:Setaria_italica_v2.0:VIII:35340180:35341973:-1 gene:SETIT_026293mg transcript:KQK95528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQAADGTEVPLLPSSAAGRRDRFAFVCATLASMTTMIHSYNLTLMSGAQLFIREDVGLTDAQIEVLAGSMNVFMLVSILGAGWVADRLGRRCVLVLANVFLMAGALAMSMGGSYAALMAARFVTGIGAGFGRVVAPVYNTEISPASTRGVLSSLQNIFINVGTLLSYVSNYAFSGLPVHIGWRLMYGIGVVPPVFVAAAVFFMPESPRWLAMRGRHADARAVLLRTSDTPADADLRLSEIKQDVAHQPEQQGGSHGGGGGGGVWKELVFRPSASVRRVLVCVIGLEFFVPASGVEAILLYSPLVFKAAGMASKGAALGATVAIGVVKMCFILVGVLLTDRVGRRPLLLVSTAGVAVTTASLALMLSVGTRAAPAAAPAMCLASVLAVVATFSVGYALVVNTYSAEILPTRLRAQGLSMGVAVNRLASGLVTMTFISLADAITMPGCFFLFAGLTVAAFVFVYTRLPETKGRSLEDMDELFDK >KQK95526 pep chromosome:Setaria_italica_v2.0:VIII:35340180:35341770:-1 gene:SETIT_026293mg transcript:KQK95526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMDMMLSVNVLVDLTLMSGAQLFIREDVGLTDAQIEVLAGSMNVFMLVSILGAGWVADRLGRRCVLVLANVFLMAGALAMSMGGSYAALMAARFVTGIGAGFGRVVAPVYNTEISPASTRGVLSSLQNIFINVGTLLSYVSNYAFSGLPVHIGWRLMYGIGVVPPVFVAAAVFFMPESPRWLAMRGRHADARAVLLRTSDTPADADLRLSEIKQDVAHQPEQQGGSHGGGGGGGVWKELVFRPSASVRRVLVCVIGLEFFVPASGVEAILLYSPLVFKAAGMASKGAALGATVAIGVVKMCFILVGVLLTDRVGRRPLLLVSTAGVAVTTASLALMLSVGTRAAPAAAPAMCLASVLAVVATFSVGYALVVNTYSAEILPTRLRAQGLSMGVAVNRLASGLVTMTFISLADAITMPGCFFLFAGLTVAAFVFVYTRLPETKGRSLEDMDELFDK >KQK95527 pep chromosome:Setaria_italica_v2.0:VIII:35339966:35342041:-1 gene:SETIT_026293mg transcript:KQK95527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCRSSPRRRRVAGTDLTLMSGAQLFIREDVGLTDAQIEVLAGSMNVFMLVSILGAGWVADRLGRRCVLVLANVFLMAGALAMSMGGSYAALMAARFVTGIGAGFGRVVAPVYNTEISPASTRGVLSSLQNIFINVGTLLSYVSNYAFSGLPVHIGWRLMYGIGVVPPVFVAAAVFFMPESPRWLAMRGRHADARAVLLRTSDTPADADLRLSEIKQDVAHQPEQQGGSHGGGGGGGVWKELVFRPSASVRRVLVCVIGLEFFVPASGVEAILLYSPLVFKAAGMASKGAALGATVAIGVVKMCFILVGVLLTDRVGRRPLLLVSTAGVAVTTASLALMLSVGTRAAPAAAPAMCLASVLAVVATFSVGYALVVNTYSAEILPTRLRAQGLSMGVAVNRLASGLVTMTFISLADAITMPGCFFLFAGLTVAAFVFVYTRLPETKGRSLEDMDELFDK >KQK94945 pep chromosome:Setaria_italica_v2.0:VIII:29049508:29050398:1 gene:SETIT_027061mg transcript:KQK94945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSPPRRTAALLLSLLLLFSVAAHAAREAAASSRGHAELQEGQDSEVVDSKGEAMTGFTAAAEDDPCGGAATVEGGEAEQEEECLMRRTLVAHTDYIYTQGGGHN >KQK95058 pep chromosome:Setaria_italica_v2.0:VIII:30348986:30350978:1 gene:SETIT_026888mg transcript:KQK95058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGRGGGGRFGGGGGRGGGGGRFGGGGRGGGRFGGGGFRDEGPPAEVVEVSTFLHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESYFSVKMMEGIIATSYNEGDKFYIDPMKLLPLSRFLPQPKGQSQGAPRGGGRGGRGGGRGRGGSFRGGRGPPRGRGGPPRGGGRGGFRGRGRF >KQK95059 pep chromosome:Setaria_italica_v2.0:VIII:30348986:30350978:1 gene:SETIT_026888mg transcript:KQK95059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPRGRGGGGRFGGGGGRGGGGGRFGGGGRGGGRFGGGGFRDEGPPAEVVEVSTFLHACEGDAVTKLTNEKVPYFNAPIYLQNKTQIGKVDEIFGPINESYFSVKMMEGIIATSYNEGDKFYIDPMKLLPLSRFLPQPNRGQSQGAPRGGGRGGRGGGRGRGGSFRGGRGPPRGRGGPPRGGGRGGFRGRGRF >KQK95444 pep chromosome:Setaria_italica_v2.0:VIII:34493897:34495768:1 gene:SETIT_027673mg transcript:KQK95444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTRVLMTVDGYSKILEALNAGGGSIKSERFRVGGHSWYIEYRPFDFDEDEEEDGDWVGAYLCLDRPGANDEVKVRHELALLDRASLPSQLLIPSPLRTPLTVMPVRSGGGARCCAAGSLVLRRMAVSAAGAPTLGRTAAGPVDSQQCLARQVGLDVTFHVGGEMFTAHRCVLADDMEPRVFRALLHFIYTDTLPEVKEGDDDKVAVAQGLLVAADRYGVERLKSTCSEMLCTCIDARTAMTLLQLADSHCCRRLKQACIRVIKDMLAKVATP >KQK94596 pep chromosome:Setaria_italica_v2.0:VIII:23095383:23097234:1 gene:SETIT_026449mg transcript:KQK94596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAPAPPAAAATHSPPPRIGLAGLATMGQNLALNIAEKGFPISVYNRTAAKVDSTLVRARDEGALPVLGHRDPRGFVLSLARPRTVVLLVQAGPAVDATIDALTPYLEPGDAIVDGGNEWYQNTERRIEEAAARGILYLGMGVSGGEEGARNGPSLMPGGHVDAYNNIRDILEKAAAQTEDGACVTFVGPGGAGNFVKMVHNGIEYGDMQLIAEAYDVLRRVGGLSNSEIADVFDEWNKGELESFLVEITADIFTVADPLDGSGGALVDKILDKTGMKGTGKWTVQQAAELAVAAPTIAASLDGRYLSGLKDERVAAAGVLEEEGMPAGLLEKINVDKKVLVDRVRQALYASKICSYAQGMNLIRAKSVEKGWNLNLAELARIWKESLQGRWCSDRMRGDGL >KQK94909 pep chromosome:Setaria_italica_v2.0:VIII:28620204:28621029:1 gene:SETIT_028545mg transcript:KQK94909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHLLAAAHRYELDRLKLICKRKLQSGATYVDMAARTLALAEQHNYRRLKAKCIDFIVGSPETLHAVLATEGYKHLEASCPAVLTELLKSVHGRKNLISK >KQK94266 pep chromosome:Setaria_italica_v2.0:VIII:12106852:12110889:-1 gene:SETIT_026527mg transcript:KQK94266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSDALQSIVYARGSLRLLDQRKLPLEVDYIDVKDSADGWNAIRDMVVRGAPAIAIAAALALAVEVSGLDFTGTPAGAASFVSKKLEYLVSSRPTAVNLSDAATKLQTLVSKTAETAKDAKAIFQVYIEAAETMLIDDVADNKAIGSHGAEFLQKQLGNSKNISVLTHCNTGSLATAGYGTALGVIRALHSGGVLEKAFCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKQGRVQAVIVGADRIAANGDTANKIGTYNLAISAKHHGVQFYVAAPVTSIDLTLPSGEEIVIEERSPKELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKTDGDGAFDIKGFIQSAK >KQK94267 pep chromosome:Setaria_italica_v2.0:VIII:12106584:12111059:-1 gene:SETIT_026527mg transcript:KQK94267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSDALQSIVYARGSLRLLDQRKLPLEVDYIDVKDSADGWNAIRDMVVRGAPAIAIAAALALAVEVSGLDFTGTPAGAASFVSKKLEYLVSSRPTAVNLSDAATKLQTLVSKTAETAKDAKAIFQVYIEAAETMLIDDVADNKAIGSHGAEFLQKQLGNSKNISVLTHCNTGSLATAGYGTALGVIRALHSGGVLEKAFCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKQGRVQAVIVGADRIAANAPVTSIDLTLPSGEEIVIEERSPKELLNSEGGLGKQVAASGISVWNPAFDVTPANLITAIITEKGVITKTDGDGAFDIKGFIQSAK >KQK93441 pep chromosome:Setaria_italica_v2.0:VIII:1105324:1108676:1 gene:SETIT_026340mg transcript:KQK93441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSTRAAAYLLFFFLLVPQRLGSCAFINRQGRPLLRFGESTEDDPTGSLSNRGVGCSDDGKVVALTPGCSIQRRLLRPQDRQGPESSIHTSGETLLKSTDPEPERKKLPHWAIYTIAISGGALVFVAAAAATTYLLFYRRKKDTTVMPWSTGLSGPLRKAFVAGVPSLGRAELQTACEDFINVIGSSSECTLYKGTLSSGVEIAVVSTSADSAKDWSDRSEEKFKNKISVLSRVNHKNLMNLLGYCTSEEPFTRMMVFEYAPCGSLFEHLHIREAEDLDWPTRLRIMMGVAYCLEHMSQLEPPVMPATLSSSSIYLTEDYAAKIADIDFCTDHDKDAELRDEQSVVYRFGILLLELISGRLPFSEDHGLLVLWASSYLDGKRPLNGMADPMLRSSVPGEDLAALCDVVRVCINPEREKRAAMGEVARLMRGVTALSPEQATPRDNPLWWAELQIASAA >KQK93440 pep chromosome:Setaria_italica_v2.0:VIII:1105472:1108676:1 gene:SETIT_026340mg transcript:KQK93440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRSTRAAAYLLFFFLLVPQRLGSCAFINRQGRPLLRFGESTEDDPTGSLSNRGVGCSDDGKVVALTPGCSIQRRLLRPQDRQGPESSIHTSGETLLKSTDPEPERKKLPHWAIYTIAISGGALVFVAAAAATTYLLFYRRKKDTTVMPWSTGLSGPLRKAFVAGVPSLGRAELQTACEDFINVIGSSSECTLYKGTLSSGVEIAVVSTSADSAKDWSDRSEEKFKNKISVLSRVNHKNLMNLLGYCTSEEPFTRMMVFEYAPCGSLFEHLHIREAEDLDWPTRLRIMMGVAYCLEHMSQLEPPVMPATLSSSSIYLTEDYAAKIADIDFCTDHDKDAELRDEQSVVYRFGILLLELISGRLPFSEDHGLLVLWASSYLDGKRPLNGMADPMLRSSVPGEDLAALCDVVRVCINPEREKRAAMGEVARLMRGVTALSPEQATPRDNPLWWAELQIASAA >KQK95273 pep chromosome:Setaria_italica_v2.0:VIII:32856687:32857749:-1 gene:SETIT_027102mg transcript:KQK95273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWFIHYACSHVLYHTCQRCLATLCATLVGTLKHRVVLVIPLCRLLLQVSHLMFETLNNGSWPAKCIRTCPDCRFAVWCGYWCISVTTLL >KQK94358 pep chromosome:Setaria_italica_v2.0:VIII:13644195:13644394:-1 gene:SETIT_028590mg transcript:KQK94358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIFCNIITLLTQDDRTGGIGYLQTSLMNN >KQK93719 pep chromosome:Setaria_italica_v2.0:VIII:3563727:3567847:-1 gene:SETIT_027287mg transcript:KQK93719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDCAASGVRRVVRPRCRDMPYMEEQNDELARLLSKIDSIYAEACDRLAVRARPVTLARFLDAGFCIGLLDSVSNIMANTACTSDLRPDLREKVLVGAAVEQNLDDMCRRSLEGLVAFLVYFFPYLAEWEAVRYLLLADADLLAAAHLIVADRGMTVRFSLTSPASAPAFEAALTLAAQIAKHPQPERLVHAWMSLSSRLHQVITLLREVQHHSPRENIELLRTLLDDPVVPYLAIPWDLAASRPLSIRSIANIPYQHTRSLRMVLLDTIHGFYLKALAKLPSGELQSRLHRSLLRAGYCYGPMDPVSNIILNTIWYEANFPAEITPVLDVIGPESLTRLVSRSFYGIVSFLQTRYHNLSEHQAVRCLVSTCGQLSAADPNYSPGDVSPDIRSILHCPFTKVEQQIPSAGIQEAYEAAATAAWHPNPEQQAMFLTSWGGGGPAEGKISLEEVQYLYSLLSPKQPPIPERICSSSYHVRAGKMRSQAQQRRISRKEPVFDLHIICCVNEDVCGPEYCEGIEDSLSFAPYKYKYSHVNFLATRRFGDFRPILFFAEFDNEDDDGAPVLCCLVDEPTPFTEHVRCLYCEARGARVVHPTSDKFHGGGSEFEKMIRGEHSLDNDFLICKNEYAVQHMCSVEEDFMYVVDVN >KQK95901 pep chromosome:Setaria_italica_v2.0:VIII:39410149:39412317:1 gene:SETIT_028205mg transcript:KQK95901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPSPPPSPTNIMSSHEALEALLVVALVLLAVVAIFLLHILSPLRRWLSHGLPHHIVMGIYTLSYPLVGYTIGRMQSSIWYPYDYTVWAVFLLLLLSSTDSLTACRLEDIDSWKSIYVKQLFKGFLLVFIILKIVLDIHGKQMNADKLLYPLSAILFVIVIKSYLMIASMRMVSKSYLGKNVKVIAEYMHYIDNKLVAFDPVTMESYRYMVAGDKHCVNRPGQTPWYKKPDDLKVTTVEQIWQCKGNLLIGDQGKVLKDLCLSMALSKMLNRRFAGFKLSEAELEKTHDFVFKGLLAGDELQAFRVIEEELVFVHDMYFTRYSYLYQKGRYRALCLPVIMIALCSWLTVTSLHERRKNRFQKSSVFVLGYPTIVTTVVLALLEAYQLYLYMASGWFKVALIRSYVTTPFLQTSCCSEMIIRLLLMLKPFRPWKGRLGQYCFLEHLGSKSKVMNCLHYGTLCFVDKAMKGSKNSVKLSEDVKKAIIDSLLASNGHLTNGVTSLQRNSVHDDLKWPCDATATDGAVAHTIVVWHIATTLCEQKLDKQTKEEDAVKTSSTLSKYCMHLLAFAPNLLPDHSSISESILDQSINEASKLLKEGKNKKIKGKDKKIKGKNKKIEGRCEILMETTNTDGCVDDETRLVAQGVHLARQLIDNIQDSTTRWKVLSDFWAEMMLYVSPSDDAREHLEVLAKGGEFITHLWALLTHAGVLKRGPTEPMDAV >KQK93386 pep chromosome:Setaria_italica_v2.0:VIII:877057:880371:-1 gene:SETIT_026824mg transcript:KQK93386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAPFFLLVLLLLVAWAPSAQARDADHSPIYKEHIPVHLRSSSPLCSGCENFASEAISYLSEKQTQDKIMEFLHDACSQSFSLEQKCLELMDSYATLLFAKITEIKPEEFCKQYGLCRDTALFSGVRSDSTCVFCHHLLDEIMSKLKDPDAEFEIIQILIKECNKIEGHVQQCKRLVLQYVPLILINGEKFLEKNDVCALVQACPASQKTSASSFLDEGLVSDA >KQK93384 pep chromosome:Setaria_italica_v2.0:VIII:877353:880044:-1 gene:SETIT_026824mg transcript:KQK93384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAPFFLLVLLLLVAWAPSAQARDADHSPIYKEHIPVHLRSSSPLCSGCENFASEAISYLSEKQTQDKIMEFLHDACSQSFSLEQKCLELMDSYATLLFAKITEIKPEEFCKQYGLCRDTALFSGVRSDSTCVFCHHLLDEIMSKLKDPDAEFEIIQILIKECNKIEGHVQQCKRLVLQYVPLILINGEKFLEKNDVCALVQACPASQKTSASSFLDEGLVSDA >KQK93385 pep chromosome:Setaria_italica_v2.0:VIII:877353:880044:-1 gene:SETIT_026824mg transcript:KQK93385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKAPFFLLVLLLLVAWAPSAQARDADHSPIYKEHIPVHLRSSSPLCSGCENFASEAISYLSEKQTQDKIMEFLHDACSQSFSLEQKCLELMDSYATLLFAKITEIKPEEFCKQYGLCRDTALFSGVRSDSTCVFCHHLLDEIMSKLKDPDAEFEIIQILIKECNKIEGHVQQCKRLVLQYVPLILINGEKFLEKNDVCALVQACPASQKTSASSFLDEGLVSDA >KQK94272 pep chromosome:Setaria_italica_v2.0:VIII:12176254:12180138:1 gene:SETIT_027711mg transcript:KQK94272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTACIWTSAQWSHTLTPNRRASYHGFARSISLVPQRRRRSTLYVTNAASTSAPVSSQNITQLPRTKSISSDKPSSALEQLDIERGVCIPFRKYTPEMVRKKVMDSRGSILSLASRGVEIIWKLGFYWSSLVYDFLVGRDEEIVPRQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDAPPVPNQVAFAIIEEELGQPLERLFRDYRDLFLFRTLASFLNGISLQKLGCNAEFIVDEFGEKLLQELDYTLEATNIEDFLENLKDDPTVKIPRVYKQPSGSRVPVMEWIDGIRCTDPQAIKEARIDVEGFLTVGVSAALRQLLEFDLFHGDPHPGNIFAMRDGHISYVDFGNVAVLSQPTLYLIMLRQNIFLLKGFLLCCELMIALSCICTLSKETKSDVVVHAVNEGYAEMANDFTRLGFLASGTDFNQLVYNYPIRIPERFSLVAYLYVAKRLLTDPNPAMRERLIQVLFKDGAFQWKRLENLIVLAKENVSKMSSNPALKKNSSQAMRSRQLESKLDLSETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEELVDVNIMYYLHFCVNLPSVARDFMLSWSDSILSGRQY >KQK95671 pep chromosome:Setaria_italica_v2.0:VIII:37011915:37013170:-1 gene:SETIT_026761mg transcript:KQK95671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGGRSMGERWSLAGATALVTGGSKGIGHAIVEELAAFGARVLTCSRSAADLEDCRRRWAEKGLAVTVSVCDVAVRADREKLMDTVKQTFDGKLDILVNNAGMLLFKPAAEYTAEEYSRLMATNLESCFHLSQLAHPLLRNAAVAGCGSIVHISSIASVIGYPWEALYSTAKGGLNQLTRSLAAEWACDKIRVNCIAPGTIMTDMVKDAPAGALEQEVSRDPDAADRRAGGGGVRRVVPLHAGRRPTSPGKSSASTAAEP >KQK95996 pep chromosome:Setaria_italica_v2.0:VIII:40371324:40371758:1 gene:SETIT_028563mg transcript:KQK95996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEAFNVFDAQVAQQRLQAQGSLHHAGIFQRGNSCYDLVTKVGREHFAEVMSWFFFRA >KQK94359 pep chromosome:Setaria_italica_v2.0:VIII:13645272:13649033:-1 gene:SETIT_026356mg transcript:KQK94359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPPEPTPAAAAGLESMEGLALDTVISKAGPRPAAALACASKRLRAAVADDSLWRRFCADDLGLDAPVDPEGRPLPSFQVAYKVWLESFGMYPLSLVKRVKEFWGSMKKWLSENFPEAAKTLCKGVSEAQLKSAEDDLGFKLPMPTKLLYRFCNAQLPFSDNDEANVRSSTHGIIGGYAFYDYWVNVHLSPLEQIVEETKQFYREFPDVFNGRKFIIVATSWFHPKTFILNCSNGELYVGTNNLPVGEMLPCVPKALVKPTDTDLPQDGLLLWLEEHLRRLQNGMIKTRMLLKSRYISLYPEAPPLCTSAMTNGVKVRASAVFVPEHPQTQGHERSLLYTYSIRMSVPEACMLGGVYYSSCQLHSRHWTIRSRDRVVSVVSGEGVIGQYPVLSPGRDEFVYESCTPLPKGPGSVEGSFSFVPGKYVLILQ >KQK94360 pep chromosome:Setaria_italica_v2.0:VIII:13644563:13649087:-1 gene:SETIT_026356mg transcript:KQK94360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPPPEPTPAAAAGLESMEGLALDTVISKAGPRPAAALACASKRLRAAVADDSLWRRFCADDLGLDAPVDPEGRPLPSFQVAYKVWLESFGMYPLSLVKRVKEFWGSMKKWLSENFPEAAKTLCKGVSEAQLKSAEDDLGFKLPMPTKLLYRFCNAQLPFSDNDEANVRSSTHGIIGGYAFYDYWVNVHLSPLEQIVEETKQFYREFPDVFNGRKFIIVATSWFHPKTFILNCSNGELYVGTNNLPVGEMLPCVPKALVKPTDTDLPQDGLLLWLEEHLRRLQNGMIKTRMLLKSRYISLYPEAPPLCTSAMTNGVKVRASAVFVPEHPQTQGHERSLLYTYSIRMSVPEACMLGGVYYSSCQLHSRHWTIRSRDRVVSVVSGEGVIGQYPVLSPGRDEFVYESCTPLPKGPGSVEGSFSFVPGKLSRPEGKPFEVTVAPFPLEVPEYIF >KQK94036 pep chromosome:Setaria_italica_v2.0:VIII:8056416:8059069:-1 gene:SETIT_026800mg transcript:KQK94036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGICKAGSRDRMAAVAEEPQLLGSAPGVEDAGEIDGVVGEQGRPQGDGDDEILRFMDSVDGYLFLMDSLYFALRQGWLDLASARHSMGPSRVSSTLFDHKEQSAATKLQVVDHAGLKPSESKPHFALSKWCLKEECHSTYDVGEQASTEPKLRHRGSATTPDGNPESDATTADSATDAGTSNHVQRARSKALSVFGALVSPKLRTAQISFETALELIVELANSRSNMLASFSQLKE >KQK94037 pep chromosome:Setaria_italica_v2.0:VIII:8056649:8059069:-1 gene:SETIT_026800mg transcript:KQK94037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGICKAGSRDRMAAVAEEPQLLGSAPGVEDAGEIDGVVGEQGRPQGDGDDEILRFMDSVDGYLFLMDSLYFALRQGWLDLASARHSMGPSRVSSTLFDHKEQSAATKLQVVDHAGLKPSESKPHFALSKWCLKEECHSTYDVGEQASTEPKLRHRGSATTPEDGNPESDATTADSATDAGTSNHVQRARSKALSVFGALVSPKLRTAQISFETALELIVELANSRSNMLASFSQLKE >KQK94554 pep chromosome:Setaria_italica_v2.0:VIII:21659904:21660821:1 gene:SETIT_028312mg transcript:KQK94554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIRGKSSLCLAALLSVSIILACPAMAELGNQTGQVTVFWGRHKDEGSLREACDSGMYTGVIMSFLNVHGHGRYHLDLSGHELAGIGDDVKHCQSVGVPVSLSIRGNYSLPSNQSGESALDLSDHIWNAYLAGFRKGMRRPFGDAKLDGVDLFLERGTPAKNYGVLARELAKRNMGGGNRKPIQLTATLPCSFTNNPIDGDVPITRGIYERIHVRFFDNDGHADCNAYSEEVWDSWTAAYPSSRIFLGLPASPEAAKEGYLYPKSLYYGVLPAVQKAANYGGVMLWDRYYDERSNYSSYVKRWA >KQK95633 pep chromosome:Setaria_italica_v2.0:VIII:36673104:36673479:-1 gene:SETIT_028521mg transcript:KQK95633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQYQNFSFSAYLYVLHLEYVEIIITNFGLWYSWFLMSKNLPFK >KQK94956 pep chromosome:Setaria_italica_v2.0:VIII:29091981:29093693:-1 gene:SETIT_026733mg transcript:KQK94956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDNGVGVKKGPWTPEEDIVLVSYIQQHGPGNWRSVPENTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGIIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKVKRLQQPAADSFQTAPSNAVTSPNYYSPTSSSHHSLQGMQPMNSYPNTTCTSAPSNNEAAAVSNLFQTWMKPSPAATSNCKITMQEFQEEQDAAASMLCKDQVVMTTGDVSKSSALEMVVAPVMGASTATFSLLEDWLLEDMPGQAMDGLMGISAGCCADPIMF >KQK95426 pep chromosome:Setaria_italica_v2.0:VIII:34382186:34383513:1 gene:SETIT_027261mg transcript:KQK95426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QRDIKEFFSFSGDIVHVEMQSGDELSQVAYITFKDNQGAETAILVTGATIVDMAVIVTPATDYELAAYVLADLEPKDTKPSALQKAEDIVGTMLAKGFILGRDALDKAKALDEKHQLTSTATARASSFDKRIGLSEKISVGTSAVNDKVKEMDQKYQVSEKTKSALAAAEQSVSTVGSAIMKNRYVLTGAAWVTGAFSKVTSAANDVGAKAKEKIAAEQEHKNVEGGSAAQPDIPEGPTTHREVDGEFAKIH >KQK93314 pep chromosome:Setaria_italica_v2.0:VIII:492714:494746:1 gene:SETIT_027975mg transcript:KQK93314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLSSQIEPISGWFKHATRDFSTSKNVAGGRAYQQKELEPTTPAKDSDIIIKRVQKSTRELEQGPVGKKLASAEKRKFLVNTLLGLKDSREVVYGTLDAWVAFEQDFPLASLKQALSALEKEQQWHRIVQVIKWMLSKGQGNTMRTYEQLVCALEKDNRAEEAHKIWQKKIAHDLHSVPWRFCHLMLAIYYRNNRLERLVKLFKELEACGRKPPSKDIIRKVEDAYEMLGLLEEKEMLLQKYKDLYNRPSRDDRRRGSKSKKTELDKTDG >KQK93458 pep chromosome:Setaria_italica_v2.0:VIII:1249085:1251175:-1 gene:SETIT_027214mg transcript:KQK93458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRARPMTGLLVFMGVNLVLVNTISPVYDFVCFHPYWERRRERRQREREALQVKGSLETTN >KQK94134 pep chromosome:Setaria_italica_v2.0:VIII:9356663:9357907:1 gene:SETIT_028136mg transcript:KQK94134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHYKFPILYVYYIYEPMIDILDPSPRTEHERKEKHEHITQRIQKRLNDIFPSFTNGRFTDFNQWGLPFVPVPKEDALANDCGYLVMMFLEHYDGEKRKLNIDIDTVDLLGSQYVSQLLYYIMFCKRNGKRPFPVDI >KQK93352 pep chromosome:Setaria_italica_v2.0:VIII:705407:706270:1 gene:SETIT_027133mg transcript:KQK93352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLPSIVLDLLLPLSPPPSPRRFHVEELVLLVLLLFCGSARKGKRNLHAVMCSYRKKSYDGSQMCLDISSSELHENNAWPVGT >KQK93776 pep chromosome:Setaria_italica_v2.0:VIII:4283141:4286130:-1 gene:SETIT_025984mg transcript:KQK93776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVGSVIASFFIMLKQDLYVLIRIELLVALVTLLFLVMFVMDFYRCRSRSSIVTTILKTLDGLSDHIVVYLLGAMRSADFHKQLFPVWAIILVSLRASLGYLSGYNIADRERRVTEVSNVIQFIGTGVLISTPALKFTKPLWSLWAILTLRSVYRFWAHGGAVKSLWHGRSSEFLPEYLRVGKDDQHDWRNNLDNGENYLVYGETDQKIKIKKPEYVLQLNVTNPNSLITLDKVRDCSKPLLSSSISSRYYDMTLAFSLSRLLRCRIEDVPLHPDSTIRTRNLITSHIIGDQDQHAESDMDTAKTATRTFKILELELAFIRDYFCTLYPVVFWRGLLSLFLSLLQSIATFAVALWLAVDIRSLLKEKDDVEIRVGGFNVDLIITWVFLFFMMFKEVWEMVTYLLSNWTRLLLVCKYVQNQCWHIMDTGPTECLISSFFSSKLADPWHGRIDQYEFLQSCTYKPTFWKIAHAITLGKTPQKLDGRRSGNTIKIPECVKVAVLQELRRLDLNGQQLQRDVRSLRFGRYEWALFRLTKCSQSILVWHIATSLCEIKLAQGKNIDLSKPSFLRSMWSWLKEQVCCSSHPFLVDENISLKGQLLINYRIANSLSRYCAYLLVYQSELLPDSFITPEWIFETTLEYACNNLKDCESTKCRYDTLMAIAQEAVQDSELGKLAMNIVQQGAMLAKDLIDNEDEENRWDILAGVWTKLLVHIAPSWNAEAHKNGLESGGELITLIWALLWHCGVAKSSMWDDDRAPESTAQAPQENTTETRNTQFADEQEPIRASSLDLDSDITANGTYGSLKQGNSLGQGGLHQR >KQK94521 pep chromosome:Setaria_italica_v2.0:VIII:20483050:20483667:-1 gene:SETIT_027266mg transcript:KQK94521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ASPTSPTSTPTMPSTTKPAQVFKGPITRSRANELQQEVNALLCEVHLNINENYILPKSSTLLLLSFTKEDDKNTQGNEYKEEPRVMTKTLKTA >KQK95877 pep chromosome:Setaria_italica_v2.0:VIII:39131548:39134015:1 gene:SETIT_027297mg transcript:KQK95877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKGLHGLAPGCSPGSPGPRSAPAGNVSNFCLISYFRYLIVIDDVDDIEEEQWDTITSAFEGNGEGSRIVVTTTFRPTANRRSDPNGCVYKMRTLGTKDSMTVALRGRYAAELVQGSETLLRKCDGLPLALVSVARQLSSEDEPTGKFCSELSSKLGSYLEREDGEPNFARLRDVLMDNYTNLSDLTVRTCLLYLGMFPNDRPLRKNVIIRRWLAEGYARSEDITLSEHSVANGNFKTFIDRNIIQPVKISKSAEVKSCKTHGIMHEFLLHRSMCEKFIMCSHAPRDKIVRHLFVHGDVCNNKSSTMTTNVDLSRVRSLTVCGSAGGAISDFGKYKLMRVLDLEECTDLKDNHLKKVCKLWNLRYLSFGHSITRLPKEIAKLKLLETLALSKAAVTVLPVEVIGLPCLTVLIGKFKLSDQDCTSSSELEQLSRSKELEELCRTCKLETLAGFVADGRQKQGFLQLMIHMKNLRKVKIWCEPTGDIRNFDDLNNDLVKAIQQYTRAPLGAGDIRSLSIDFQGLPRGSLHALQDLYNHSISSHETYYLSSLKLHGDLSTSHEFVATISSLTDLRLSSATMSQDLLLALSGMPFLLYLTLITDEIESFVIKVGTFQCLRRLHLVVQHENPALPEIEEGALPELVSFQVPCKHLAGPSGIEIIHLQKLQEIELHPNVSQSARLQWEAAACNHPNRPNVLPFKSIDYLPKGQTRLCIQSFRTWASKR >KQK94899 pep chromosome:Setaria_italica_v2.0:VIII:28519011:28519611:1 gene:SETIT_028622mg transcript:KQK94899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHVIVQISRGSSDPLLLRPLTLRSASHYIDLARISVDDVEAAWTNLQETASGWPKRKSS >KQK95116 pep chromosome:Setaria_italica_v2.0:VIII:31078852:31080969:-1 gene:SETIT_027756mg transcript:KQK95116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAAGPKVLSLSFHTYSILMGCCTRACHPGLALAFFGRLLRTGLGVSVTTFNNLLKSLCLAKRTDDALDVLLHRMPEFGCVPDVVSYSILMKSFCDSRESRRALELLQRMAEKGSGCSPDVEGEVDKGCDLINEMMQQGISPNLVTYNSSIDALCKARAMDKAEVVLRQMVHKGVQPNNRTYNCLIYGYSSRGEWNEAVKIFKEMTRWGLLPDIATWNSLMASLCKHGKIKEARDVFDSIATKGQEPDTVSYLILLDGYATEGCFVDMTDLFNLMLGDGVAPDVRIFNVLIKGYAKCGMLDRAMIIFSEMRQQGAKPDVVTYSTVIAAHCRMAKMDEAMEIFNEMIDQGVAPSIATYQCLIQGFCTHSGLLKAKELVFEMMSKGMRPDIVHLTSIINSLCKEGRVVDAQDIFDLLVSIGLHPDVFVYGSLVDGYCLVGKMEKALRVFDAMVSAGIEADVVVYGALVNGYCKLGRIDDGLTVFREMVHKGIKPSTFMYNIVLDGLFRAGRTVSAKEGFHEMIESGISVGTDTYNTVLSGLCKNNCPDEAIALFKKLQAMNVKINIVTINIMITVMFKTRRTEGAKYLFASIPASGLVPSVETYDLMMTNLIKEGLPEEADDVFSSMENAGFDPNSRLLNHVVRALLEKHEIVRAGTYLSKIDERNFVLEVSTATLLINLFSSKGTCGTDKISP >KQK95959 pep chromosome:Setaria_italica_v2.0:VIII:40150965:40151818:1 gene:SETIT_026871mg transcript:KQK95959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNLSRLLIFASDMDEILNLKMLRPLPDLKLLWLAGKLDGGTVPSLFSKFEKLTLLKMDWTGPKKDPIRSFSHLSTLVDLGLRGAYGGEHLSFCAGWFPKLKNLQLADMEHLSCILMEDGTVIGLHHLELIGLRNTRAVPKGIKYIRTLHQMFLTDIPMEFVESLQGSASHIVQHVTNVHIFYSSDSEAAGNIHILTMPIT >KQK95868 pep chromosome:Setaria_italica_v2.0:VIII:39053162:39054616:-1 gene:SETIT_027980mg transcript:KQK95868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSSSAAVVPMIVLAAALASSLLPAAAAGGGAGASFGNWIALNQQSYAVNAALYAQKSAGEGGKTLDTNLSAAEEKKVVYVVDPSGGGDYTNITAALADIPDGNTKRVILDLKPGVVFREKLFLNISKPFITFKSDPMKPATISWNDTAATLGKDGKPVGTVGSTTVAVESDYFMAYGVVFRNDAPLAKPGAKGGQAVALRLFGTKAALYNCTIDGGQDTLYDHKGLHYFKDCLIRGSVDFIFGFGRSFYEDCRIESVVKEVAVLTAQQRTKSIEGAIDSGFSFKNCSIGGVKGGQIYLGRAWGDSSRVVYAYTEMGEEVVPIGWDGWNVAKPESSGIYYGEFKCFGAGADAKKKKRVGWALDLTEEQAKPFVGAHYIFGDSWIQPPSTEAAAAPQAAAKAPTTAEAPAAAEATTNVTAAKAPAAEAKNATAAKTTPAAEAKNASATESAKASPAAEATKSSSSAATPK >KQK96020 pep chromosome:Setaria_italica_v2.0:VIII:40546883:40547349:-1 gene:SETIT_027222mg transcript:KQK96020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALKDSLGLPSLEWPSILALVPSDKKSRLGYILPSLMLQSVMLLSMEVQFDLELLCMHIV >KQK94635 pep chromosome:Setaria_italica_v2.0:VIII:23801764:23807666:-1 gene:SETIT_026618mg transcript:KQK94635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGGGAEGELTAQETALYDRQIRVWGVDAQKRLSKAHVLVCGMNGTTTEFCKNIVLAGVGSLSLMDDHMVTEDDLNANFLIPPDESIYGGRSLAEVCCESLKDFNPMVRVSVEKGDPSLIDGEFLDKFDIIVVSGASLKTKLFINENCRKRSKRIAFYAIDCKDSCGEIFVDLQKHSYVQKKPGGESEPQELTYPSLQEAISVSWNNLPRRTAKLYFAMRVLENYELSEGRSPGETTLSDIPAVLARRKDMCDNMSLSESQIPTALIERLVAAGKKEHPPVCAILGGILGQEVIKSISCKGDPIKNFFYFDAADGKGVIEDIPPPPPSVN >KQK95281 pep chromosome:Setaria_italica_v2.0:VIII:32903853:32907632:-1 gene:SETIT_025855mg transcript:KQK95281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPAEEQGKEVNEIAPAASEQQQETQERHQMPPAASENKEKEEVAKIDEVLSWLKPLPAKITELLESAGPRRVRDHPERRALEFMKMELTDLVDSLKSMLPPLQDGRVGAELKMDWLNRLILFARDAHSLVKQVSDSRLHTLLRRATQFFRRSNKVLMYMAEESFRAAEYASKYRCLLLASSSSVASGSSDHALPPCSDHLLFGIDRPIKKLLGWLTSQEETEERGLKFIAIVGAAGMGKTTLAMELHRRLQCQASGGYNSFQCNAVAQVSNSTRRMELLLRDILSRISYGTSPLLPSDQSPSKTTELLVYRVREYLQDKRYFILIDDLWHREDWEEIKGAFPNNNLDSRLLITTRVESIAWSCCSDSKGLLVHEMKPLNQMDSERLLLVKAFGSVDGCPSDSMKLFCDKILMTCQGIPLFVTGTADWLKEQLQLQQKQQRYAICSEEQVPQLPELFEQELSSAFDDLPSELPELFGQELSSAFGDLPSELPELFEQELMFPYCYSFEKDHLILKWISECHTSWPEYYVIRKRKEEGNEYFSQLVDRNVISSAAANCKPGLSEDEACQWHINQFMQQFLASKSAKIGFAFTSTTLTGNVTRMPRRLALHHPDPLLPSEIQTKDLSQTRWLTVSGSVSAIPVNMFVNLVVLDLEGWDNFNNQDLEEICRGKMFVLEYLSIRKTRVSKLPHEIKDLQNLMILDVSCTTISVLPSGVFKLKRLYHLDLRGTAIRKLTNEIVGLQSTLHALLVGDEGMINSVEAATWVPHDIQRFHALHTLATIDLTGQAESFIQALGDLHTLRVLAVTWSFHQSTDRACRQALESSIQKLHRLESLTIHCGLGCSMEFLGVSDNCRPWTLEKFKVTAGRFARVPRWIDNYQKLLRFVQITVCRLETDDLKRLGELLQLQFLTLGLDFVPEEAIVIDKAGFSELQRFSVDCQMPWLTFKTGAMRKLRYLQLTFNTCPASSQTQTNVPSGIGSLQSLSEVALCYNARYSTRPSVKATVEAARKQVAENPNQIDLFINGHQDYDVQEADEETENANGTIRRVDAETKCDARAVLEEASRRTTEEYQSEIEAEADARSDS >KQK94966 pep chromosome:Setaria_italica_v2.0:VIII:29318975:29319234:-1 gene:SETIT_027256mg transcript:KQK94966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFIFGFNKSRVKGRSQPDGLEKCDACRSALLMSVEVQKQF >KQK93286 pep chromosome:Setaria_italica_v2.0:VIII:354363:358441:1 gene:SETIT_026490mg transcript:KQK93286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPVKSVLPVVLLGCGGVGRYLLRHIVSCRPLHANQGVAIRVVGVADSSSLLVADDVHSSGLDDALLTQLCAAKAAGSPLSALLGQGHCQLFQNPEARSKVIDAATMLGRTTGLVLVDCSATYDTVSLLKDAVDHGCCIVLANKKPLTGAYEDFQKLVSNFRRIRFESTVGAGLPVIASATRIIASGDPVSCIVGSLSGTLGYVMSELEDGKKFSEVVKKAKSLGYTEPDPRDDLGGMDVARKALILARLLGQQISMDNINVESLYPSELGPDAVSTEDFLESGLVQLDKSMEERVKAASSRGNVLRYVCEIGSTGCQVGLKEVPKDSALGRLRGSDNVVEIYSRCYESSPLVIQGAGAGNDTTAAGVLADIIDLQDLFRKTA >KQK93768 pep chromosome:Setaria_italica_v2.0:VIII:4180236:4185964:-1 gene:SETIT_026119mg transcript:KQK93768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLFSSPAKVYKPAADVDLGPDSDEHYISPNVKAPRVAGLLVKLLAWVLEMPVVGWIVLSVLKRDNLVYKLVSDAEIPEPPLFTATHTWQDIPEQNVRLTKPGLPPPERVQEAVVCLTAPLPEPAAALADPSPGFRRWTVRDFHEAYSSGQTTPVMVARRFLAAVKECSGPEVNMALFISCDAADVMRQAEDSTRRYQQGAPLSAMDGVLVAVKDELDCAPYPTTGGTRWLAAARRPCGAGDAACVAQLRACGAVMAGKANMHELGAGTSGINPHHGATRNPYCLARVSGGSSGGSAAAVCAGLCPVALGADGGGSVRMPAALCGVVGFKPTAGRLSNSGLLPLNWTVGMPGILAATVEDALIAYAAIVDQSKPSHLQPELNLPMLTCTRSMPNIRLAKYAKWFDDCSEDIRSLCGKALQMLRTQYGWECVDVTVPEIEEMRLAHYVTMGSECTASLAKYLDNMSKSEIGWDVRIGLSAYRSFSSRDYLNAQRLRNRQMFFHNKIFETADAIVTPMTGVTAYPLQEDALGTGELDYINGAALVRYSIAGNFLGLPAITVPVGYDTGGLPVGLQFIGRPWSEATLLHLAYATQEACAREHRKPKVHYDLLLSKEY >KQK93733 pep chromosome:Setaria_italica_v2.0:VIII:3663624:3666925:1 gene:SETIT_026662mg transcript:KQK93733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSTQPMEDSLLTSPAQRQPTPKPTSSLVGLLTQAEEVGDHHQCCRDLLSTQLLPIESAGATFDRGLLEAAALRHCHERMTPAQDPFYIVKDEIQDSIDKVQDTFNQWKQTPENTGEYVHLTKELLTSCESIQWQVDELEKAISVAERDPAYYGLNDAEIRRRRSWTSTARNQVLSLRRNVEAGRQKTLFGHSTNASESIRSKKHISQDNDEFIASESDQQMLLIKRQDEELDALSASVQRIGGVGLTIHDELVGQEKLLGELSLDMETTSNRLDFVQKRVAMVMKKASLKGQIMMIAFLVILFIILFVLVFLT >KQK93903 pep chromosome:Setaria_italica_v2.0:VIII:5833555:5834684:-1 gene:SETIT_027514mg transcript:KQK93903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYIANKKPLVTMALILLAVLTIANCICCTVAARDLPGSGSVAEAAMMVRFERWVTEHGRTYKDAAEKARRFQVFMANAIFVDSSNAAGGKKYHLAINGFADMTHDEFMARYTGYKATPATGMKMPGFQYGNVTQSEPQQAEVDWRQKGAVTGVKNQEDCGCCWAFSAVAAIEGIHHIKTGELVSLSEQQLLDCSTNGNYGCDGGNMDNAFEYIISNGGITTEDAYQYTAIQDMCQSVQPAVTIRSYQDVPRYDEDALAAAVANQPVSVGVDANNFQFYDGGVMTTDSCGTDLNHAVTIVGYGTAEDGSQYWLIKNSWGETWGEGGYLRLERGVNACGVAIQASYPVA >KQK94109 pep chromosome:Setaria_italica_v2.0:VIII:8899389:8901900:1 gene:SETIT_026739mg transcript:KQK94109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITTTATTAAASSSFLRRRPAGHPLVSLRAWHGHCHHRHSCRLACRAAEVSGAEPSAAPATAEAGGGAVWVPVVPLAALPRGERRVIVQDGEEILLLWYKDQVFAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTESTFDLRTGEIKEWYPKNPVLRALTPALRKLFTYRVKTDNENIYISISGADSAASAEIIFSGKAQPGVTASDVNVEEVRMIVDEDVGGFGFTSDNELINGKAAIIGFLLLIDFELLTGKGLLKGTGFLDFIYAVSGAFN >KQK94475 pep chromosome:Setaria_italica_v2.0:VIII:18879980:18880287:-1 gene:SETIT_027221mg transcript:KQK94475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQNSVEISHNAHRPHFLLLSHKMKRSSSSSVDHSPSCFGVSCNLQPKYLAVSPLFLLR >KQK93669 pep chromosome:Setaria_italica_v2.0:VIII:2918093:2919320:-1 gene:SETIT_028007mg transcript:KQK93669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRRRVLNLVLKNKFTGVHSLHRLDLLSRQNSLFYPTAKAAEDAAAAKDSEILFAAEKDDPAVLDGRTTGQESLEKLMEMLVPSPRLSFEPTPHPEYGSLWSRLDCAALSEGRTVFVDCNSRGAFLYDADKRRVVTMAGFPERKRWPWRRAYFPVAAGAGGDNGEPDEGVYVIDRDPEGDDATNWLKFQAMVHRTPRGRGSDYDKRWHLDELPRPPFAEDAGYKGTMEIVSYAQAGDASDVICVSTEGRGTHCFDTASRAWSKAGGWALPFAGKIEHDRELGLWLGFVKQCREEDNNSLYATGDLFADVDRRSLLRYGRDDCRNLEPPWGWHKVVVPEPRIVSLGSGKFCVTQFFETRRSACSKCLHEETDKRFALFTGVEVIHRGSDGSDEKAGNGSDEQPTWQCRC >KQK94651 pep chromosome:Setaria_italica_v2.0:VIII:24046529:24046744:-1 gene:SETIT_027696mg transcript:KQK94651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRPQKEHSQYVFRPGKQIQLSAKVHRQEHAYHHLFICKSKCSLVHKSIRGLQEQAHMQLYCSESEQVLE >KQK94999 pep chromosome:Setaria_italica_v2.0:VIII:29716783:29723250:1 gene:SETIT_025838mg transcript:KQK94999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILAGLLTSAIVAIAKDKLTATIAEQANLLWNFGDDLEDMNLVLEAISAALQDAERRSAKEKLVQLWLKRLKHAALDIADMLEDYQDTSDRLTAKKPGVLSCLPVAHKKIVVANRMKSMREELSKINKDFRDFKFSEGGTCTSLEQHDDDRETSSRLPEKPIIGRNREKKEVINLLSTGTNNDETVIVSIHGLGGIGKSTLAQLIYNDAQFKKYDHRIWVYVSRDFSLKKIGSSIISLIQIEGGQQNRDTLEAINQCLDNLLRGKKVLIVLDDLWEEKDTELGKLRSMLQVGKKSTTIDVIVTTRNEDIARKVSTCTPYKLQPLDDYTCWEIIKRYSRFEDQHYQERLEKIGLDIAKKCGDNGGVLPSLKLSYERMQPQLRICFSYCAIFPKGHNIAEDDLIHQWIALGFIKPSKGKEYTRQLLGMSFLQVSKLPKVMLNGCSSILLPASIGQLKQLKYLTAPRMQNEVLPEFMTELSKLQYLNLDGSAHISGLSESMGKLSCLRYLGLSGCSGISKLPGSFGDLKCIMHLDMSGCSGIRELPNSLANLTNLHHLDLSECSGVKEIPESLCGLTHLQYLNLSSCRYITRLPEAIGSLVNLQHLNMSWCGVMEFPESLKRLRNLLHLDLRSCYIEKGLAAALHGLTALQYLDMSNMRCLLNFEMEDLLVTMRNLTNLKVLKLKCFPFGLFVNLNFISTLTNLEHLDLSSIRFMYLPKSIGNLKRLHTLNLENCRLLKYLPESIGGATGLKSVLLDGCSHKLIDQASSLLHYSLTLPLFKVRADDISAHSNLHVLEGENVGELHIVSLENVRVLEEAQRLKLLTKHNLLTLKLAWTRRADRDLEDVDLLGQLVPPMSLKDLSLEGYSSSSFPGWLMAISRHLPNLTSIALKDVPKCFNLPPLGQLPYLESLRLSNLPKVTKIDGGICGGKGAFPRLAKFTVAEMDGLEEWNTTSLGEDGVEEFMFPMLDVLKVSKCPKLRLKPCPPKCHEFIILESDQVISSLEEIQTSSHCCNSNPSTTSLAISMTSQHGSFRLFHHFPALQELEFSWCSHLTSLPEGIQKLSSLQLLDLNCCDSISALPEWLSDISSLKTLVIKGCDSIKSLPARIQLLNNLEQLVIVGNKELQQWCESEENKDKLAHININIINL >KQK95121 pep chromosome:Setaria_italica_v2.0:VIII:31134472:31136909:-1 gene:SETIT_026061mg transcript:KQK95121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLDRATPAPSSPDMTPPAAPRPSLQLPPLDLKDGGGGAGGLGGIGAAPSWRLPRLSLDSRAVVDARGKLRPREIRTSPAPGGAPPSPSAGDERRSPSVVARLMGLDALPHGQTAAGDGAHSGAASPAALRRSASERVPRDPSHFRFVDPAFFERPASPLPPLMQRPSPSAAAAAAEAAMMRRAPPDPACPRAFQRRSRFDAHEVFPDPARRADPTAAGAHGEIALYGEIERRLRKRGIAEPARDLETLKQILEALQLKGLLHHAPPQPVRTAPPPPIVVMRPSSRALPQVQPAARLTPARRLRVDVDRARRPRSPDPSASPARSPASPARRGPHSPQRRVSPAQSPKQQPPPQPFRRPSGFDSAGARARIARRAAQNAAALSPDDEASTTFSDGGSSSSFSASSRWDLEPQPDTRTDRVLERCGKLLSSIQAFTGGDAAGSDQQPSPVSVLDAAAFLADEESPSSSSGSKRAIDFSSSSVGRPKPSAATAASDPEDDEWALAPWPAVGPDAGGDPDYAYVAELVRLFGGARRLRDPADVYKAAEQGRPVDTWHHRMLLCGAVGEALERQRAACPWEPAAWLRGAELVDHVWAEVRRAGEPVSLAAGEEDMNDVTCNAIRRDLTVDGGRWAPTQQGRRVVSGAEAAEAVLQIERLVFKDLVADTIRELADADRPLPRRKLVF >KQK93399 pep chromosome:Setaria_italica_v2.0:VIII:946005:949606:1 gene:SETIT_026306mg transcript:KQK93399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRESPSTQGEPSTSAMVDHSCSADRVEDSQLFLSVPSLNQAASYLAQTASFLTQCLPVPGYVGLPEEGQELVALPPASVVDRLSAQTSSAESAGTNSSLGQTGCSGSPSPESTGQMVPSHVFQNGASLFQGLVERARKTVRGSADDIGWLQRDQSLPTTEDGTARFLEILDSVRKNEHKLPDSVVYLLVPGLFSNHGPLYFVKTKAYFSKMGLACHIAKIHSESSVSKNAREIKEYIEEIYWGSRKRVLLLGHSKGGVDSAAALSLYWPQLKDKVAGLVLAQSPYGGSPVASDILREGQLGDYVRLRKIMEVLVSKVLKGDVQALEDLTYERRKEFLRQHPLPQEVPIVSFHTAASITPSVLTALSHVAHLELPIAADGNSTRIPVVMPLSAAMAACSQLLVARYGEKSDGLVTRKDAEVPGSVVVRPERKLDHAWMVYSSLKEEPGDQADTSQVCEALLTLLVEVAQKRRHEVAMKDE >KQK93964 pep chromosome:Setaria_italica_v2.0:VIII:6749956:6753714:-1 gene:SETIT_026242mg transcript:KQK93964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAWISLLLALAVALSAPAAARAEEAAAEGEAVLTLDVDSFDEAVAKHPFMVIEFYAPWCGHCKALAPEYERAAQALSKHDPPIVLAKVDANEDKNRPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDAASLIDDKKIYIVGVFTEFSGTEFTNFMEVAEKLRADYDFGHTLHANHLPRGDAAVERPLVRLLKPFDELVVDSKDFDVAALEKFIDASSTPRVVTFDKNPDNHPYLLKFFQSSATKAMLFLNFSTGPFDSFKNVYSAAAEEFKDKEIKFLIGDIDASQGAFQYFGLKAEQAPLILIQDSESKKFLKDNIEADQIVSWLKEYFSEPIPEVNNEPVKVVVADSIHDVVFKSGKNVLIEFYAPWCGHCKKLAPILEEAATTLQSDEEVVIAKMDATENDVPSEFEVQGYPTMYFVTPSGKVTSYDAGRTADEIVDFIKKNKETSGTGQATTTEKAADPATTAESVKDEL >KQK93963 pep chromosome:Setaria_italica_v2.0:VIII:6750297:6753655:-1 gene:SETIT_026242mg transcript:KQK93963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRAWISLLLALAVALSAPAAARAEEAAAEGEAVLTLDVDSFDEAVAKHPFMVIEFYAPWCGHCKALAPEYERAAQALSKHDPPIVLAKVDANEDKNRPLATKYEIQGFPTLKIFRNQGKNIQEYKGPREAEGIVEYLKKQVGPASKEIKSPEDAASLIDDKKIYIVGVFTEFSGTEFTNFMEVAEKLRADYDFGHTLHANHLPRGDAAVERPLVRLLKPFDELVVDSKDFDVAALEKFIDASSTPRVVTFDKNPDNHPYLLKFFQSSATKAMLFLNFSTGPFDSFKNVYSAAAEEFKDKEIKFLIGDIDASQGAFQYFGLKAEQAPLILIQDSESKKFLKDNIEADQIVSWLKEYFDGKLSPFKKSEPIPEVNNEPVKVVVADSIHDVVFKSGKNVLIEFYAPWCGHCKKLAPILEEAATTLQSDEEVVIAKMDATENDVPSEFEVQGYPTMYFVTPSGKVTSYDAGRTADEIVDFIKKNKETSGTGQATTTEKAADPATTAESVKDEL >KQK93693 pep chromosome:Setaria_italica_v2.0:VIII:3302206:3303275:1 gene:SETIT_026717mg transcript:KQK93693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISVREPDLGYTIGDAVSFTRFITHLRHFVAEHPDKEDILYHQVLAKQRAEQPARWLHIKLEVVEDKKTLSTTLLIRDDDLYVHGFMNQEGVCYELLETKDNGSEATAKLVTEGLGMSFAIEAVRRLSSSTHPDVEVDGEKSARVALAGLIVMVCESARMNPLRDAIAGGWSNGTGFTEQLMDRYVRKYGEMSRNLQKWKSSNSDNWPHPISELQATCLVLNTQLLPDETVDRPVGRPRVELLSIHADLGGRRYLINQYMNAGNNGEFGSDWTLHRNLGVLVLRHQN >KQK95268 pep chromosome:Setaria_italica_v2.0:VIII:32820237:32820997:1 gene:SETIT_028349mg transcript:KQK95268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQQHHEITEHSPRDVVVLGASNGANSGAHLADEKDFKNMRLAIGTLEKTIFQISLSDDLTNTRHIDIRLKRNNIRGQGQAKETAKGDDDRAMAMAGRDEEQPPELRHAAPPPQARLKITVAAEEEDAATANNDQNQEYLNDMRGWFLTVATLFVNMAFDSMLHPPDWMKTE >KQK94005 pep chromosome:Setaria_italica_v2.0:VIII:7405372:7406659:1 gene:SETIT_027512mg transcript:KQK94005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFDGMPIRIQEEETASMEAGCEDRISALPDEIIHHLLGFLPAPEAVRTSLLARGWRHHWKSMRSLRFTVFDDGPAVSAEWMNRFMGHLFHDLRGALDVCDIYVYVGRCDDVTALESYRWVRQAVSKLRVRVLMVDIEFLAEQPSFELAGKPLVSAHLARLELCYLTLNGRILDFSRCPALEDLVMFTCDIGAKRISSQSLKRLRIRYCNFCKSSSSRTRISAPNLIWLKLEHLVGASPVLESMPLLEKAIVRLDCNHYYNDDYPCEEGDDSGECCGLCEGCVGNDDHSGGCMLLQGLSSAAHLELKVPFAKFTDTACYPAFTKLKTLSLDE >KQK94103 pep chromosome:Setaria_italica_v2.0:VIII:8875358:8878089:-1 gene:SETIT_027480mg transcript:KQK94103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIFTRNPLQSLIQIRCIQSSGKALNVLLGHLCPKCSRRHEGTTSGSQTTGCTCFRWFFTPSSNDPATVPSANGGNTEVLTSQPRTNGFDPSYQLDDADKPVSAVGHRRKSSMHHRLKIWISSGHNGIMGRYGNKLELGVPNVAKPLSDESADPGWPEWLTNVAPEVVQGWFPRRLDSFEKLGKVGQGTYSSVYKARDLKTGKIVALKKVRFVNVDPESVRFMAREIFVLRKLNHPNIIKLEGVITSSFSRSLFLVFDYMEHDLAGLVATPGLKFSEPQVKCLLQQLLSGLDHCHSNGVLHRDMKCSNLLIDRNGVLKIADFGLATSFDPDNQQPLTSRVATLWYRPPELLLGATKYGPSVDMWSTGCILAELFAGKPILPGRTEVEQLHKIFKLCGSPSDEYWDKLEVPQTGMFKPGSQYKGCIAETFKDFPHSALTLLDILLAFEPEARGTAATTLQSDLFRTSPLACSPSSLPKCPPSKEYDARLRREEYRRQRKAAQDENLNPKLSHADEGYGKSKVCMMHYSRARLRKHKGAAPDINIANLNSQDETRTLATGLESQEFDSTWIMRGDSTAHPEVPGRKYNSVRVANPTGMRKNRSNMLQPESADARNEVSTSHSNNPGTKRPIVVIQHF >KQK94140 pep chromosome:Setaria_italica_v2.0:VIII:9472355:9474981:1 gene:SETIT_027327mg transcript:KQK94140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVVNEDGEIFEVATGEQTHKNQRKKLRGLIVCHHGSPRYVPFPLRHACEFIGQVFSVHISKEIELEKKMQEKTCPLSIISGSPNIMDLVKCDGAALLYGDKVWQLHTTPTVTQIRDIATWLSDVHRDSTSLSFDSLRDAAYPGLASLGDMICGMAMAKATSSIILFWFRSHAAAEIKWGGAKHNPSDKDDCRRMQPRLSFKAFLEVVRMKSLPWNDYEMDAINSLQLVVRGSLKEENKLARVPHFNNPINHLKPNAFSVVQAETTEVVLLMETETVPIMAVDGNGFIIGWNLKAAQMTGFSVHEATSRHMLTLVEESSLPNVQKVLSLALRGIEEKKKGEGPLIMVVNARTNRDLQGKVVGVSFVAQDMNACKFSSEKITQSDGENKVLVNDLNLFTPMFGADKSGKCNEWNAAMEKLTGLHREEVLHKMLLEEVFDSSNAPCMLKDKNAYVGSCIIINSILASDQIENQVPFGLFDRNGWYIECLLSAKRKENADGVLIGVSFFILFPNHELQHALQMDEFVLQDMVVAAVSKVQTACRGKGIIVSCDLAEKFLRQRLYGDCIRLRKILSDFLFAWVKIKQRLIVVPEEILAHMFQEDHEDQPEEGLTLVVCRNLLSMIN >KQK95283 pep chromosome:Setaria_italica_v2.0:VIII:32979306:32981101:1 gene:SETIT_026886mg transcript:KQK95283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQDYKQYEAVFLISLGIAATPMISILKDIINNMKQLHGDIESGDATNNSMSSSSFRPWRVYFYWVFREQGSFDWFRSIIDEVAEAEKMGVIEFNIYITSIYEEGDVRSGLIAMLHFLNYAKNGNDIISGTRVKAHFGRPNWWEVYKSIALNHQGQRVGVFYCGAQVLVKELRELARDFSRKTTTKFEFFKDTF >KQK94142 pep chromosome:Setaria_italica_v2.0:VIII:9655266:9659641:1 gene:SETIT_025997mg transcript:KQK94142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSGAVGSVIGKLGELLHEEYKLQKGLPEQIQSLKHELESAKTVLSKVGEVLPEQLDPQVRLWASEVREASYDMEDILDTCLVSIIDVDAPAENKNGLLKRLLKNIADLFNKSKDRHTIAGAIDDMKKRIQEVADRRDRFSVEVALPALATKPDPRLSDMHKEAAQLIGIEKTKAELTAMLLPDLKRKEKKRKTMLLPTPHGNGDSDVSGSGSSSSKMKIVSVVRVGGLGKTNLAKAVYDELQSQYDCGAFLSVGRKPDLAQVLSNILYLLNKNEYTTIHNVKDQSLLIGELRKFLQNKRYFIVINDVWDVTTWNKIKSALVNNDTGSRVITTTRNRDVASREEVYELRTLSPNHSEKLFRTRLFGVNAIITMASLLVGKSREDWFVVCNSPGFYRGKGNQQVDDTEWILSLSYYDLPSYLRTCLLYLSGEEYFNQLVNRSMIQEVESDDMGLSHYDVWGCRVHDMMLDLIRDLCNIDSWVLHPSFKFMRVLDLEGCTVPWQGWQGLKHLGNLLHLRKVTSLEYLRIRIDNLDEESHRQFIKDVGNHSEVRVLHIYVGRWKGIVQSDLVHSLGNLHKLEHLELASYNGKAAMREWGRVVFPRHLRHLGLGSIPFRQLPSWISPAHLPILRYLSLHVDHMDHSSLRNLANITAVDGFFKKLRYCWLYGWMVQLVLNEDSTGVSFSIWNGMGAIAFGSKIKDEYNRSIAPLSVMPNLQVLHFDVPVRALYKLSTVREGASADDVEKVEAKLRNAVQLHPNRLIIKLQQRNEHNMMAQSTDQDHKEVKLGLLGGRS >KQK94329 pep chromosome:Setaria_italica_v2.0:VIII:13158715:13162921:1 gene:SETIT_026115mg transcript:KQK94329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSLQAVMGGGGGGGRKGGGVSGNDGTNTSSTTLVSLLRARSERSARAEERVEWVRSQLVGGDAEFETPFGRRALLYADHTASGRALLYIEDYILKHLLPFYGNTHTEDSYVGSRTTRTARKAARYIKRCMGAGPGDALLFCGSGATAAAKRLQEAIGVAPCPAAALRARQLLRPEERWIVFVGPYEHHSNLLSWRRSLADVVEVPAAGDGLVDLEALRRALAAPEHADRPMLGAFSACSNVTGVLTDTRAIARILHQHGAFACFDFAASGPYVDIDMRSGEMDGYDAVFLSPHKFVGGPGTPGILLMNQALYRLAGHPPTTCGGGTVAYVNGFSEEDTVYYEDIEEREDAGTPPIVQKVRASLAFWVKEHVGRDAVALRERAYADAAMARLLANPNVEVLGNVTARRLPIFSFLVYPPDGDRRRLPLHGRFVARLLNDLFGIQARGGCACAGPYGHALLGVGEELSLRIRAAIVRGFHGVKPGWTRVSFAYYMSREELRFVLAAVDFVAAHGHRFLPLYAFDWATGNWAFRRRTFKHHAMREDLLRGGDHRRHVAANADDQKKKAAASGDGQAADGGGLVGDKYESYLEIATRIALSLPDTYDELVSSVPKGIDPDIILFRV >KQK94458 pep chromosome:Setaria_italica_v2.0:VIII:17671370:17676785:-1 gene:SETIT_026432mg transcript:KQK94458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEKPTLGGTRIKTRKRNIAAPLDPASFSDAIVQIYLDNGGDLELVAKSIESSDLNFSRYGDTFFEVVFVGGRTQPGTIKPEEEGDRHPYSVLDCAAQREAILPFVLYLQKTLRRRPFLIKNLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITEFFKEYLKENSLDDLIGLLKKGKMEDNLLDFFPSAKRSSEALSEHFTKEGLTSLVEYNEKKMFEVKLKEIKSTLTTMINDEAEISEVIETVKQQVKDAKFPDIEVIRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAELLNAFCTSGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNPKGRQSFVKALEPFVKWLEEAEEEE >KQK94457 pep chromosome:Setaria_italica_v2.0:VIII:17671389:17676785:-1 gene:SETIT_026432mg transcript:KQK94457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKEKPTLGGTRIKTRKRNIAAPLDPASFSDAIVQIYLDNGGDLELVAKSIESSDLNFSRYGDTFFEVVFVGGRTQPGTIKPEEEGDRHPYSVLDCAAQREAILPFVLYLQKTLRRRPFLIKNLENVMRKFLQSLEFFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITEFFKEYLKENSLDDLIGLLKKGKMEDNLLDFFPSAKRSSEALSEHFTKEGLTSLVEYNEKKMFEVKLKEIKSTLTTMINDEAEISEVIETVKQQVKDAKFPDIEVIRMLWDVLMEAVQWSGKNQQQNSNSALRQVKAWAELLNAFCTSGRLELELIYKVQTQCYEDAKLMKLFPEIIRTLYDQDVLAEDTILLWFRKGSNPKGRQSFVKALEPFVKWLEEAEEEE >KQK94805 pep chromosome:Setaria_italica_v2.0:VIII:27366649:27367429:1 gene:SETIT_027319mg transcript:KQK94805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSTTAHSYPESVGSCWPPTPPTPPPTLPSPAAAAAAPRSAPVRRQLDFAAADADAGAGLGDDLEYGEDIISAVDDIERGYEAKRRAPPCVCRRGECAVRRDEQGRWTYVCSSQPVG >KQK95859 pep chromosome:Setaria_italica_v2.0:VIII:38992825:38994425:1 gene:SETIT_026268mg transcript:KQK95859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPDATWVPYDFQLANWTEEEEPAAWPHGLAMDSTGAQLVEYAEAATPPSDLIENTAQDLEVDLHNMVLKIHTDPIHVFEKAAWEFKVDVDMMKMKIHRYPASIRALGELYTVPTTVAIGPYHHGRDHLKPVEKVKHVAAYHCIRESGRSVQEMYDAVVAAAGDARRLYDGGTVGDEGEFLPMMFFDACFLVQYMLTCTRAGHAQMDPALRSFFDANDNDVYRDITLLENQLPWRVVEAVMAFRPVPLVEFVASLRGCLQDRKVQDSDEERFALDDGYEPPHLLGLLRYYIVGRSAAGKLPALPETDAISFSVSTIELAEIGVTLTANKATELIHMGIKKKGNLFAELSLAPLSLDDTRACLLVNMAALELCTTSSFQDADDEESAVCSYLLLLGMIVEREEDVHELRRRRLLQGGGGLTNKEALDFLASLHGLRLGSRYVRTMEEIENYKVKRKTRTKAHSFVYRNRKTMLAVFSAVTAVVGIVGTLKSLKIVH >KQK95736 pep chromosome:Setaria_italica_v2.0:VIII:37692343:37695749:1 gene:SETIT_028383mg transcript:KQK95736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPYHQLIRSASDAFPSHSLEDYCCCSQPHKSKMADIALGSVGKIVEIALKIKQAVETVKQNEKECRDIQRCVARVSALLRKLDEMTEMMKDEVMRDALEDLAESLERALKLVTECQRKRIFCRFLGAGDMARELGRVQDDIVRKLLLGNFATSVQTITIMVTNIQSAGGSTKFSSSELKAATENFSDGNKIGSGGFCDVYKGVLQDGQVVAIKRLHRFDFMGGNWRYDDVITVFGDLKHKNIIKPVGYCYEVTKSVNSHKGRYYIHDHIEFCFVEGYMANGSMEKIINGSRFIGWSCRFKMIQGIAQGLHYLHEQRVVHRDIKPDNILFDSDMNPRISDFGVAQKLINKLNCEETLVGTPGYMAPEYLYRGAVSTKCDVYAFGITLLGTIIGSMIMSAPPNLSELIIWLMQIKKCMEVGLLCVEKDEERRPTMADVLAMLN >KQK94225 pep chromosome:Setaria_italica_v2.0:VIII:11119793:11124824:1 gene:SETIT_026481mg transcript:KQK94225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATITADDRQLQQVAQRNPAKASPMFSMESGAGGAADDSSSEQEGSYCLIKSVRHLSDRGFTRLPDRYVLPASERAGDGSGRVKLPVVDLAHLRDPCHRAAVIETLDAACRDYGFFQVVNHGVEREVISGLLNVARRFFELPLAARARYMSPDVRAPVRYGTSFNQAKDAVLFWRDFLKLGCCQPLHAAVASWPDEPADLREVAARYAMANHQLFMELMEAALEALGIACRRGLLRELAAGYSQIMLNCYPACPQPELTLGLPPHSDYCLFTLLLQDQVEGLQVMHHGRWLTVDPVPGSFIANVGDHLEIYSNGRYKSKLHRVRVNSTRPRISAASFHSVPAERVIGPAAELVDEASPPRYMDTDYATFLAFLASAEGKQKSFLQSRKL >KQK94295 pep chromosome:Setaria_italica_v2.0:VIII:12700074:12700580:-1 gene:SETIT_028043mg transcript:KQK94295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPNIACLRELGQSIVVGGVAYWLLRRSAFAVRVDTPEPTDQPAPGLALAQSLGVDPDGKLIFIDAALCKDLDDAALGAAVRSHHLAVATSGDDGYSGEWEPRRRGIRLKQLKVRYEGFGEDKVPPFGEKINLRWFCKKSGNLLFTLGEGT >KQK94771 pep chromosome:Setaria_italica_v2.0:VIII:26651426:26653403:-1 gene:SETIT_026233mg transcript:KQK94771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILEQMKALSAEGQPQVQITRDGSNCSASQIMVSPSLEGDISHCVETMGSQVQLPEDILHQIHALMPLRDAAQAACVSRGFLRSWRCFPNLIISVGSLGINENTSNDDEIKRDFICRVDHIMQNHSSMGVKRFVMETYPCSNLQPSYVDRWLQCAITPGIKEIYLSVFSCGIKYNFPGLLLFSREIRSSIQTFVLGDCSFHCAAQVGYMSSLTNLELHSVHITGEELYGFLSNSCAVEQLRLTNCNDIICLKIPCLLQKLDILHLVGCSKLEMIDSNAPNLSTFFFAGRPIHILLGEALQVRKISFFRDFSPDALYYASTKFPFIAPNLQTLVLSTSDEVSFIHPNKFIVLEMKLMVE >KQK94768 pep chromosome:Setaria_italica_v2.0:VIII:26650461:26653960:-1 gene:SETIT_026233mg transcript:KQK94768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILEQMKALSAEGQPQVQITRDGSNCSASQIMVSPSLEGDISHCVETMGSQVQLPEDILHQIHALMPLRDAAQAACVSRGFLRSWRCFPNLIISVGSLGINENTSNDDEIKRDFICRVDHIMQNHSSMGVKRFVMETYPCSNLQPSYVDRWLQCAITPGIKEIYLSVFSCGIKYNFPGLLLFSREIRSSIQTFVLGDCSFHCAAQVGYMSSLTNLELHSVHITGEELYGFLSNSCAVEQLRLTNCNDIICLKIPCLLQKLDILHLVGCSKLEMIDSNAPNLSTFFFAGRPIHILLGEALQVRKISFFRDFSPDALYYASTKFPFIAPNLQTLVLSTSDETVNTPKVFGKFIQLKYLEIVVSTLKFSQDYDLCSLISFLDASPALESLIVRIAQPTIRRDSVIEDSNGYSRPQCLQEQCHDNLKNVMITGFCSAKSMIELTICIIEKTKALACLTLDTTRGYDRRLVKFDKCLQLGKEALVEAKRARVAIQRYIEGRVPPAVNLKVIEPCSKCIW >KQK94770 pep chromosome:Setaria_italica_v2.0:VIII:26650461:26653403:-1 gene:SETIT_026233mg transcript:KQK94770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILEQMKALSAEGQPQVQITRDGSNCSASQIMVSPSLEGDISHCVETMGSQVQLPEDILHQIHALMPLRDAAQAACVSRGFLRSWRCFPNLIISVGSLGINENTSNDDEIKRDFICRVDHIMQNHSSMGVKRFVMETYPCSNLQPSYVDRWLQCAITPGIKEIYLSVFSCGIKYNFPGLLLFSREIRSSIQTFVLGDCSFHCAAQVGYMSSLTNLELHSVHITGEELYGFLSNSCAVEQLRLTNCNDIICLKIPCLLQKLDILHLVGCSKLEMIDSNAPNLSTFFFAGRPIHILLGEALQVRKISFFRDFSPDALYYASTKFPFIAPNLQTLVLSTSDESTINLRGTFISSDSQYTKGVWQIHPAQVLGDSGLYIKVFPRL >KQK94769 pep chromosome:Setaria_italica_v2.0:VIII:26651426:26653403:-1 gene:SETIT_026233mg transcript:KQK94769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILEQMKALSAEGQPQVQITRDGSNCSASQIMVSPSLEGDISHCVETMGSQVQLPEDILHQIHALMPLRDAAQAACVSRGFLRSWRCFPNLIISVGSLGINENTSNDDEIKRDFICRVDHIMQNHSSMGVKRFVMETYPCSNLQPSYVDRWLQCAITPGIKEIYLSVFSCGIKYNFPGLLLFSREIRSSIQTFVLGDCSFHCAAQVGYMSSLTNLELHSVHITGEELYGFLSNSCAVEQLRLTNCNDIICLKIPCLLQKLDILHLVGCSKLEMIDSNAPNLSTFFFAGRPIHILLGEALQVRKISFFRDFSPDALYYASTKFPFIAPNLQTLVLSTSDEVSFIHPNKFIVLEMKLMVE >KQK94416 pep chromosome:Setaria_italica_v2.0:VIII:15358677:15362587:1 gene:SETIT_026003mg transcript:KQK94416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSFSSSRNNTNGGDMATALVPLILLATTLTAAVAAAGTTPPFSCGPSSAEASQGYAFCDTTLGPAQRAADLVSRLTPAEKVAQLGDVAPGVPRLGVPGYKWWNEALHGLATSGKGLHFDAVGGVRAATSFPQVLLTAAAFDDDLWLRIGQAIGREARALFNVGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPAVASRYAAAFVRGIQGNVSSLLQTSACCKHATAYDLENWNGVQRYSFVARVTAQDLEDTFNPPFRSCVADGGASCVMCAYTAVNGVPSCADAGLLTGTVRGDWGLDGYVASDCDAVAIMRDAQRYAASPEDAVAVSLKAGLDIDCGSYVQQHATASIQQGKLTDQDVDRALTNLFAVRMRLGHFDGDPRANGYGALGAADICTPAHRSLALEAAQDGIVLLKNDGGILPLDRSTVASAAAIGPNADDGRALIANYFGPPCESTTPLKGLQSYVGDVRFLAGCGTAACDTAATDQAAALAASADQVFLFMGLSQQQESEGKDRTSLLLPGMQQSLVTAVADAAKRPVILVLLSGGPVDVTFAQSNPNIGAILWAGYPGQAGGLAIARILFGDHNPSGRLPVTWYPEEFTKIPMTDMRMRADPATGYPGRSYRFYQGNTVYKFGYGLSYSSFSRKLVSGANMPAIPTTVLAGLREMMAGEDGTSYHVDAIGADGCEQLKFPAAVEVQNHGPMDGKHSVLMFLRWSNATAGRPARQLTGFRSKHLKAGEKANLRFDVSPCEHFSRVREDGKKVIDRGSHFLMVDDHEMEITFEA >KQK94847 pep chromosome:Setaria_italica_v2.0:VIII:27981355:27981870:-1 gene:SETIT_028321mg transcript:KQK94847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSQTSFSEHTNTLFSMAQDLSQEFGAYIIVVTFSPTGEPKAYGAPTADSILCTYLPEIHSLPSPTYSKTAGEVTARAAAWSKILAAQMSAGKQNWWEVDVEALRVDELPVFVRALEVLRTDVQRHLDAMESSRKENMQPYVSALTAR >KQK93467 pep chromosome:Setaria_italica_v2.0:VIII:1290455:1294553:-1 gene:SETIT_026191mg transcript:KQK93467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDVGEPLDATAAADNGEAALQLLRDADPAHFLSPSADLAAAARAASQHIYSSLAPLSPAQPPPLPTLLAGPAFDAEQIWSQIELLSRPLLPHLRRQLRRLEQQPQPQPQAALPVETPADAEEEQSEEDGQGSELDEFKEELEETDEEEELSDDEEEEEEELDGRGGKGLEDRFLKIGEMAEFLDRGDEEEYGGGANRGEKKKVTKNWMEDSDDEGEEDRDEDDDEGEDDDDEGQLDLEDFEDDDEEDEGDGGGGIMYKDFFEKKHNQPVKKRDGSTKKVQFKDDVHEMELDGSENDDGNEDQGLSTHEKERLKMRAKIEQMEKANLEPSTWTMQGEVTASSRPKNSALEVDLDFEHNVRPAPVITEEVTASLEEMIKKRIAEGHFDDVEKPSLLPSKAPREHKELDESKSKKGLAELYEDDYAQKAGIAPAPLSISDELKKEANTLFKRICLKLDALSHFHFAPKPVIEDMSVQANVPALAMEEIAPVAVSDAAMLAPEEIFEGKGDVKEEGELTQAERKRRRANKKRRYAGSHKERPVKLQKD >KQK94995 pep chromosome:Setaria_italica_v2.0:VIII:29660703:29661383:1 gene:SETIT_026969mg transcript:KQK94995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAGGDGKEAINEQVIANTYANMRTEMNQLYTKITELEMEVSEHSLVIGAIEPLDPLRRCYRMIGGVLVERTIKEVLPAVNRNKEGLEEVIARMHEALERKKKEITEFELKYKIRIRKADNDAEEGGKKEGTAQGVLVGPAGQ >KQK95515 pep chromosome:Setaria_italica_v2.0:VIII:35223118:35224496:1 gene:SETIT_028207mg transcript:KQK95515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATLLSSSMALALLPLLLLAAASIPSLASASPALDHGLDGEELLMLGRFHGWMAAHGRSYANEEEKLRRFKIYRSNMELIEAANQDGRMSYQLGETPFTDLTHDEFMAQYSSNLLSSVPPEEEMITTRAGVVHEGGGHGGDLPLAAGEEPPRPTNLTSLPASIDWRMMGVVTVPKNQGACGSCWAFASVATIESAQAIRTRRVPPLLSEQQLVDCDGYDQGCRGGFLGNAFRWVIQNGGITWAPWYPYTGMSGMCQRFKPAVVRLRSYRWVAPNEVSLMQAVAQQPVAVTIDASDPCFQHYYRGVYDGRCFWNGVYIGGACGTAPNHAIAIVGYGTKPGGTKYWIGKNSWSVRWGDQGFVYLLRDSARVGVCGVAQQACYPII >KQK94977 pep chromosome:Setaria_italica_v2.0:VIII:29489728:29496297:1 gene:SETIT_025883mg transcript:KQK94977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFSFVGSCIQKLQEIITEEAIQILGVKQVLIDLQQTMTQIQCYLRDADRRRIEDLAVRNWIGELKDAMYDADDIIDLARAKGSKLLGENSSSLSRKLTPCNGFPLISCFSTIWTRRQISVQIRIINKRIERIAELGTKFKFDIEPVARISIIGKTSCLVEPNLVGKETLLACRKMVELIISHEKKEIYKIGIVGTGGVGKTTLAQKIYNDPKINGTFSKQGWICISKDYFDKDLLKQVLRTFGAHYEQDETVAELSVKLATTVRNKSFFLVLDDIWEHEVWTNLLRQPLDTAEKGIILVTTRNDLVARAIGVEEDVHRVELMSADVGWELLWRSMNISEETTLQHLKTMGIEIVQICGGLPLAIKVIASVLATKEKTENEWRKVINKSSPSMSKLPVELRGALYLSYDDLPQQLKQCFLYCGLYPEDFIMDRDDLIRFWVAEGFVEEQKGKLLEDTADEYYYELIYRNLLQPDVDFVDHSKCKIHDMLRQLAQHLSGEEVFCGDSELLEAKSLPKLRRVTIATGNQFSISPGVQKEQIRVRTLVTMCKSLEVENAIFKRLLKIRVLVLADSIVQSIPDSIGSLIHLRMLNLDKTDISDIPESIHCLINLQILNLEGCHALHNLPLAITRLCNLRRLGLNGTPIDQVPKGISKLKFLNDLECAPIGCDNVNSNKVQDGWSLEELGPLLQMRRLIMIKLERASPVCANTLLVDKKYLKHLRMSCTEERGDQPYSEEDVTNIEKVFEQLIPPQNLEALRIWHFFGQRYPYWLGTTHLSSLKYFTLEGCKSCVHLPPLGQLPNLKYLKINQAFAVTKIGPEFFGNSRSTKIVAFPKLEVLLFYDMPNLEEWTFVVEEEEAIAAGKEGEEDGAAAKQKGEAPPPRMQLLPHLEKLEIICCLKLRALPRQLGQEATSLKKLQLRHVNSLKVVEDLMFLSDLLLIANCESLERVSNLPQARELRVQGSPCLTCIEKLDNLQLLGLHESMQEVSSLWLPRLQQQCRQVHGEDFDVFNWT >KQK94976 pep chromosome:Setaria_italica_v2.0:VIII:29488308:29496297:1 gene:SETIT_025883mg transcript:KQK94976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFSFVGSCIQKLQEIITEEAIQILGVKQVLIDLQQTMTQIQCYLRDADRRRIEDLAVRNWIGELKDAMYDADDIIDLARAKGSKLLGENSSSLSRKLTPCNGFPLISCFSTIWTRRQISVQIRIINKRIERIAELGTKFKFDIEPVARISIIGKTSCLVEPNLVGKETLLACRKMVELIISHEKKEIYKIGIVGTGGVGKTTLAQKIYNDPKINGTFSKQGWICISKDYFDKDLLKQVLRTFGAHYEQDETVAELSVKLATTVRNKSFFLVLDDIWEHEVWTNLLRQPLDTAEKGIILVTTRNDLVARAIGVEEDVHRVELMSADVGWELLWRSMNISEETTLQHLKTMGIEIVQICGGLPLAIKVIASVLATKEKTENEWRKVINKSSPSMSKLPVELRGALYLSYDDLPQQLKQCFLYCGLYPEDFIMDRDDLIRFWVAEGFVEEQKGKLLEDTADEYYYELIYRNLLQPDVDFVDHSKCKIHDMLRQLAQHLSGEEVFCGDSELLEAKSLPKLRRVTIATGNQFSISPGVQKEQIRVRTLVTMCKSLEVENAIFKRLLKIRVLVLADSIVQSIPDSIGSLIHLRMLNLDKTDISDIPESIHCLINLQILNLEGCHALHNLPLAITRLCNLRRLGLNGTPIDQVPKGISKLKFLNDLECAPIGCDNVNSNKVQDGWSLEELGPLLQMRRLIMIKLERASPVCANTLLVDKKYLKHLRMSCTEERGDQPYSEEDVTNIEKVFEQLIPPQNLEALRIWHFFGQRYPYWLGTTHLSSLKYFTLEGCKSCVHLPPLGQLPNLKYLKINQAFAVTKIGPEFFGNSRSTKIVAFPKLEVLLFYDMPNLEEWTFVVEEEEAIAAGKEGEEDGAAAKQKGEAPPPRMQLLPHLEKLEIICCLKLRALPRQLGQEATSLKKLQLRHVNSLKVVEDLMFLSDLLLIANCESLERVSNLPQARELRVQGSPCLTCIEKLDNLQLLGLHESMQEVSSLWLPRLQQQCRQVHGEDFDVFNWT >KQK94980 pep chromosome:Setaria_italica_v2.0:VIII:29489728:29496297:1 gene:SETIT_025883mg transcript:KQK94980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFSFVGSCIQKLQEIITEEAIQILGVKQVLIDLQQTMTQIQCYLRDADRRRIEDLAVRNWIGELKDAMYDADDIIDLARAKGSKLLGENSSSLSRKLTPCNGFPLISCFSTIWTRRQISVQIRIINKRIERIAELGTKFKFDIEPVARISIIGKTSCLVEPNLVGKETLLACRKMVELIISHEKKEIYKIGIVGTGGVGKTTLAQKIYNDPKINGTFSKQGWICISKDYFDKDLLKQVLRTFGAHYEQDETVAELSVKLATTVRNKSFFLVLDDIWEHEVWTNLLRQPLDTAEKGIILVTTRNDLVARAIGVEEDVHRVELMSADVGWELLWRSMNISEETTLQHLKTMGIEIVQICGGLPLAIKVIASVLATKEKTENEWRKVINKSSPSMSKLPVELRGALYLSYDDLPQQLKQCFLYCGLYPEDFIMDRDDLIRFWVAEGFVEEQKGKLLEDTADEYYYELIYRNLLQPDVDFVDHSKCKIHDMLRQLAQHLSGEEVFCGDSELLEAKSLPKLRRVTIATGNQFSISPGVQKEQIRVRTLVTMCKSLEVENAIFKRLLKIRVLVLADSIVQSIPDSIGSLIHLRMLNLDKTDISDIPESIHCLINLQILNLEGCHALHNLPLAITRLCNLRRLGLNGTPIDQVPKGISKLKFLNDLECAPIGCDNVNSNKVQDGWSLEELGPLLQMRRLIMIKLERASPVCANTLLVDKKYLKHLRMSCTEERGDQPYSEEDVTNIEKVFEQLIPPQNLEALRIWHFFGQRYPYWLGTTHLSSLKYFTLEGCKSCVHLPPLGQLPNLKYLKINQAFAVTKIGPEFFGNSRSTKIVAFPKLEVLLFYDMPNLEEWTFVVEEEEAIAAGKEGEEDGAAAKQKGEAPPPRMQLLPHLEKLEIICCLKLRALPRQLGQEATSLKKLQLRHVNSLKVVEDLMFLSDLLLIANCESLERVSNLPQARELRVQGSPCLTCIEKLDNLQLLGLHESMQEVSSLWLPRLQQQCRQVHGEDFDVFNWT >KQK94978 pep chromosome:Setaria_italica_v2.0:VIII:29489728:29496297:1 gene:SETIT_025883mg transcript:KQK94978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFSFVGSCIQKLQEIITEEAIQILGVKQVLIDLQQTMTQIQCYLRDADRRRIEDLAVRNWIGELKDAMYDADDIIDLARAKGSKLLGENSSSLSRKLTPCNGFPLISCFSTIWTRRQISVQIRIINKRIERIAELGTKFKFDIEPVARISIIGKTSCLVEPNLVGKETLLACRKMVELIISHEKKEIYKIGIVGTGGVGKTTLAQKIYNDPKINGTFSKQGWICISKDYFDKDLLKQVLRTFGAHYEQDETVAELSVKLATTVRNKSFFLVLDDIWEHEVWTNLLRQPLDTAEKGIILVTTRNDLVARAIGVEEDVHRVELMSADVGWELLWRSMNISEETTLQHLKTMGIEIVQICGGLPLAIKVIASVLATKEKTENEWRKVINKSSPSMSKLPVELRGALYLSYDDLPQQLKQCFLYCGLYPEDFIMDRDDLIRFWVAEGFVEEQKGKLLEDTADEYYYELIYRNLLQPDVDFVDHSKCKIHDMLRQLAQHLSGEEVFCGDSELLEAKSLPKLRRVTIATGNQFSISPGVQKEQIRVRTLVTMCKSLEVENAIFKRLLKIRVLVLADSIVQSIPDSIGSLIHLRMLNLDKTDISDIPESIHCLINLQILNLEGCHALHNLPLAITRLCNLRRLGLNGTPIDQVPKGISKLKFLNDLECAPIGCDNVNSNKVQDGWSLEELGPLLQMRRLIMIKLERASPVCANTLLVDKKYLKHLRMSCTEERGDQPYSEEDVTNIEKVFEQLIPPQNLEALRIWHFFGQRYPYWLGTTHLSSLKYFTLEGCKSCVHLPPLGQLPNLKYLKINQAFAVTKIGPEFFGNSRSTKIVAFPKLEVLLFYDMPNLEEWTFVVEEEEAIAAGKEGEEDGAAAKQKGEAPPPRMQLLPHLEKLEIICCLKLRALPRQLGQEATSLKKLQLRHVNSLKVVEDLMFLSDLLLIANCESLERVSNLPQARELRVQGSPCLTCIEKLDNLQLLGLHESMQEVSSLWLPRLQQQCRQVHGEDFDVFNWT >KQK94979 pep chromosome:Setaria_italica_v2.0:VIII:29489728:29496297:1 gene:SETIT_025883mg transcript:KQK94979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFSFVGSCIQKLQEIITEEAIQILGVKQVLIDLQQTMTQIQCYLRDADRRRIEDLAVRNWIGELKDAMYDADDIIDLARAKGSKLLGENSSSLSRKLTPCNGFPLISCFSTIWTRRQISVQIRIINKRIERIAELGTKFKFDIEPVARISIIGKTSCLVEPNLVGKETLLACRKMVELIISHEKKEIYKIGIVGTGGVGKTTLAQKIYNDPKINGTFSKQGWICISKDYFDKDLLKQVLRTFGAHYEQDETVAELSVKLATTVRNKSFFLVLDDIWEHEVWTNLLRQPLDTAEKGIILVTTRNDLVARAIGVEEDVHRVELMSADVGWELLWRSMNISEETTLQHLKTMGIEIVQICGGLPLAIKVIASVLATKEKTENEWRKVINKSSPSMSKLPVELRGALYLSYDDLPQQLKQCFLYCGLYPEDFIMDRDDLIRFWVAEGFVEEQKGKLLEDTADEYYYELIYRNLLQPDVDFVDHSKCKIHDMLRQLAQHLSGEEVFCGDSELLEAKSLPKLRRVTIATGNQFSISPGVQKEQIRVRTLVTMCKSLEVENAIFKRLLKIRVLVLADSIVQSIPDSIGSLIHLRMLNLDKTDISDIPESIHCLINLQILNLEGCHALHNLPLAITRLCNLRRLGLNGTPIDQVPKGISKLKFLNDLECAPIGCDNVNSNKVQDGWSLEELGPLLQMRRLIMIKLERASPVCANTLLVDKKYLKHLRMSCTEERGDQPYSEEDVTNIEKVFEQLIPPQNLEALRIWHFFGQRYPYWLGTTHLSSLKYFTLEGCKSCVHLPPLGQLPNLKYLKINQAFAVTKIGPEFFGNSRSTKIVAFPKLEVLLFYDMPNLEEWTFVVEEEEAIAAGKEGEEDGAAAKQKGEAPPPRMQLLPHLEKLEIICCLKLRALPRQLGQEATSLKKLQLRHVNSLKVVEDLMFLSDLLLIANCESLERVSNLPQARELRVQGSPCLTCIEKLDNLQLLGLHESMQEVSSLWLPRLQQQCRQVHGEDFDVFNWT >KQK93307 pep chromosome:Setaria_italica_v2.0:VIII:446793:450124:1 gene:SETIT_026664mg transcript:KQK93307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAWVALAVLLVAAQVASAAPVLAPAFLWAPKNYGFRSDDAKQVVHYQTVSPKSLAKSVLEEGGWSNLMCSKEDAQKNVNVAIVFLGSELQSSDISKDKQVDPALADTLKLSFTSSEFSMAFPYVSTTDDEKLENSLLSGFAENCNGGFERNRVTYTDTCTVTGQDVKKHHSMDSIRDLVTSRMGNNPSGQTDLIVFCNGGFEDLDPAKSEGELLSDLVAMLKKSGAGYTILYASQPSALLEKPSDLPLGRYLAEKTNTTKAGLGKCDGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >KQK93309 pep chromosome:Setaria_italica_v2.0:VIII:446793:450114:1 gene:SETIT_026664mg transcript:KQK93309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAWVALAVLLVAAQVASAAPVLAPAFLWAPKNYGFRSDDAKQVVHYQTVSPKSLAKSVLEEGGWSNLMCSKEDAQKNVNVAIVFLGSELQSSDISKDKQVDPALADTLKLSFTSSEFSMAFPYVSTTDDEKLENSLLSGFAENCNGGFERNRVTYTDTCTVTGQDVKKHHSMDSIRDLVTSRMGNNPSGQTDLIVFCNGGFEDLDPAKSEGELLSDLVAMLKKSGAGYTILYASQPSALLEKPSDLPLGRYLAEKTNTTKAGLGKCDGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >KQK93308 pep chromosome:Setaria_italica_v2.0:VIII:446793:450114:1 gene:SETIT_026664mg transcript:KQK93308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAWVALAVLLVAAQVASAAPVLAPAFLWAPKNYGFRSDDAKQVVHYQTVSPKSLAKSVLEEGGWSNLMCSKEDAQKNVNVAIVFLGSELQSSDISKDKQVDPALADTLKLSFTSSEFSMAFPYVSTTDDEKLENSLLSGFAENCNGGFERNRVTYTDTCTVTGQDVKKHHSMDSIRDLVTSRMGNNPSGQTDLIVFCNGGFEDLDPAKSEGELLSDLVAMLKKSGAGYTILYASQPSALLEKPSDLPLGRYLAEKTNTTKAGLGKCDGECLVKSTLLEGTFVGIVLLIILISGLMCMMGIDTPSRFEAPQES >KQK95931 pep chromosome:Setaria_italica_v2.0:VIII:39723072:39726741:-1 gene:SETIT_025944mg transcript:KQK95931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIGISHERKYLDVGTLGNEFIQEIIETRAFSLHKRDDELVNLVGPIAERCAGSPLAAKALGSILRKKATTEEWEDVLQRSSICTVETGILPILKLSYDELPTDMKPCFAFCALYPKDYQIDVDNLIQLWMANGFIISEQNKVPIETVGKRIVNEMVSRSLFEYVEQDPTRFGYSSTTFLKIHDLMHDVAVSATHDECIYITDEMNESGELLPSATRHIHFERRSIANIDILSRSIRKMSIPIRTMFVERSRDVLHSSKYSSLRALALPTSRYLPIKPKHLHHLRYLDISWSIVKALPDDISILYNLQTLKLSGCKYLSMLPKQMKYMTALCHLYTDGCRNLQCMPPELGRLTSLRTLTCFVVSSDSDCSSLGELKNLNIGGSLELKQLENVTEARNARQANLGNKKELRQLSLRWTSRKEEEQQCNEVLEVLQVHDRLLALEIEAYQGTNFPLWMGALRNMVELRLSWCRKSEQLPPLCQLPALQLLHLEGLTQLQFLCSSCTSSTFGKLKDLKLVDLDNFDRFYDQAVEEELVAFPQLEKLHIERCEKLTALPEAGVLRKWYDGGEYTMVRSAFPGLKSLMLKDLRSFERWEAAIEIEVEHALFPLLETVRIDSCNKLTTLPRAPKLRELFLYMSDGENQQRSLGATRYMTSLSNLELKGIEVDGKDKWDYISSVTNMHLYCCSLFFQSHALALWACFRQLQDLVIYRSNDLIYWPENEFQNLVSLRRLEIWHCKSLVGYAPDQATLELPLPCLESLRIIACHSLVEVFNPTPALKKMDVGSCENLKTISFKQQDKTSLNAGRPSTDVIIASTAVQEDLSPSGRSNFLPSSLETLRINNCDGLLEVLNLPSSLKEIYIGGCSQLQILSGELDALSYLSVDDCPGIKSLVSDLRERYGIFEGRSPSLLGRLCS >KQK93573 pep chromosome:Setaria_italica_v2.0:VIII:2034712:2039906:1 gene:SETIT_026206mg transcript:KQK93573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSTNLLLEEPIRMASILEPSKTSFFPAMTKIVGTLGPKSRSVDTISSCLKAGMSVARFDFSWGDTDYHQETLENLKLAIKATKKLCAVMLDTVGPELQVVNKSETPISLEENGTVVLTPHQGQEATSNLLPINFSGLAKAVKPGATIFVGQYLFTGSETTSVWLEVSEVNGDDVVCIIKNTATLAGSLFTLHCSQIHIDLPTLSDEDKDVIKKWGAPNKIDFLSLSYTRHAEDVRQAREFLSKLGDLSQTQIFAKIENVEGLNHFDEILAEADGIILSRGNLGIDLPPEKVFLFQKSALHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNQDLYYKRTVKYVGEPMTHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPSMPVLSVVIPRLKTNQLRWSFTGAFEARQSLIVRGLFPMLADPRHPAESTSATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDSSVVKIIELDD >KQK93897 pep chromosome:Setaria_italica_v2.0:VIII:5727976:5731128:1 gene:SETIT_0263071mg transcript:KQK93897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ISLAKSSCKFALNGIKSWMKPEKVPAAITTFPSSAQIVPEPLGVVLIISAWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPATSAMFAKLLPEYVDSTCIKVVEGGVTETTALLEQRWDKIFYTGSGTVGRIVLAAAAKHLTPVALELGGKCPVIVDSDVDLHVAVKRIAVGKWGCNSGQACIAPDYIITTKSFAPELVDSLKRVLERFYGKDPLESGDLSRIVNSKHFQRLTELVEEKKVADKIVYGGQTDEKKL >KQK93495 pep chromosome:Setaria_italica_v2.0:VIII:1526433:1531267:1 gene:SETIT_026221mg transcript:KQK93495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRSTENALRQEDHLEFDDPDEVDEEEVEYEEIEEEVEYEEVEEDEEEEEEEKSEVVCEVDAKHESKMVDQKDEEEKEKHAELLALPPHGSEVYVGGISDVSSEDLKKLFESVGEVVEVRIRGKGDNKLYAFVNFRTKELALKAIQKLNNKDLKGKKIKVSSSQAKNRLFIGNIPQDWTQDDFKNAVEEVGPGVLKVNLPKAPRSDCHKGYGFIEYYNQACAEYARQKMSTPEFKLDTNAPTVNWADTKNSGESASTAKQVKSLYVKNLPKTVTEEQLRTLFEHLGEITKVVLPPAKAGHENRYGFVHFKERYMAIKALKNTERYELDGQLLDCSLAKADKKDDTISVPNTKAGPLLPSYTPLGYGLSGAYNPLGNGLAGAYNPLGNGLAGAYNPLGNGLAGAYNRLGNGLAGAYNRLGNGLAGAYGVLPAPAAQPILYAPGAPSGSTMIPMVLPDGRLVYVPQAAGQQTVHVASPPSQQGGHRYGGSSGGGSGSGGRRQRGDDRGSTSSNNSRRGRHRPY >KQK95472 pep chromosome:Setaria_italica_v2.0:VIII:34693756:34697655:-1 gene:SETIT_026703mg transcript:KQK95472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSFKGHVLPGTLFLLVGLWRVWSSVARFAAEPSSFRVRAWNPVSVASPSSPLRLLELYVIAGGALADMCVEVLYSTHLHIFSGGEINPAHLNDLEHGGMLLMFFLFGALALASQLKPRYMPLTDGVLCLLAATAFTAEFVLFYFHSTTHMGLEGYYHYLLVVLVGLCIVATVLGALLPESFPVDLASGILIALQGLWFYQTAFTLYGPMLPKGCARDADGHIECHLHAAQERSEQLANFQLFGLVLLAFLYVLVCYAVAAARYGHPDLTAMHDKHVAAMEGDAGTGSREECAI >KQK95772 pep chromosome:Setaria_italica_v2.0:VIII:37923362:37924264:1 gene:SETIT_028344mg transcript:KQK95772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLPIWQARLRFSPRRPLTLQRASQQTPQRRETTPVYPLHSSHSPSHTRPCTRTPHCIRPVGAPCAPTLAHPPAHVPLDRRRRGSTLARLRAAFLAVITHRRRRRQLGSCVTGTIFGRRRGRTSRCRRTRAPRPCCWWTPPARSSSGWEMSSGLVHLTLECEKTEAKCGFAVRRECGADEWRVLGAVDPVSVGAGVLPSRRRGSSAWLGSRNSEAFYMMNPDGSGGPDIGRLCFE >KQK94079 pep chromosome:Setaria_italica_v2.0:VIII:8538653:8539135:1 gene:SETIT_028607mg transcript:KQK94079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHSCCRCGKFRDLFFQNYQSSHKIDHGNNGIKYA >KQK94574 pep chromosome:Setaria_italica_v2.0:VIII:22505913:22506170:1 gene:SETIT_027591mg transcript:KQK94574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSSTSVQLLLVLLVLLVVVSGILAQGGPSTCDSPEQHECPPIPGQGN >KQK93692 pep chromosome:Setaria_italica_v2.0:VIII:3301298:3301897:1 gene:SETIT_028180mg transcript:KQK93692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDLVLTGPYRGISAYASFTIKVDIPKANPARFEWDCYDQSNADKVDAVNPSYGEIKDKDGKLLAEVTYAVMSDALEATVQQVMLRLKDGHTLNDVHGEIKARIDGFEVGSILFNPTQGAGKCFSPAGDSWFLLQLARNVVAVPCGKVLHIEVDLKTETSNDQGPKPLKVALKFDNRTLSQSSPDGNGNEVEVDIDWYPE >KQK93496 pep chromosome:Setaria_italica_v2.0:VIII:1545508:1546622:-1 gene:SETIT_027531mg transcript:KQK93496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPVAQLRYPNPSGDYSDPVGEFEDDDEDREVEDDPIDYEITCPGQSFTKKQEEEISLIWMDRHIKLNAEFSELCNEILSQGDDDVTFPPKPLKVLPETTYPCIRRGYCYHREYMTTDASRTKSTLGFRSPQQMMQHHPKLKGHF >KQK93908 pep chromosome:Setaria_italica_v2.0:VIII:5867576:5868661:-1 gene:SETIT_028310mg transcript:KQK93908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EPTSLAVLSSLRQALPPDEFHLASPNLALSPSRSAILSPAIARGGDSMDPLPPPPPLPDDALAGVLRRLAPRDLAASRCVCRAWRRVVDDRRLLRADLLPRSLGGIFLNLHDLWEGEAAGTLPGMVGSARFFYHQGCYWRGSLNICCSDCFVMSITVVLVILSFTKYELPVSVSLLQNITVRQQVSTIVEEKMGEKFEWDSDNDNVLEHGGRSKDSYIVVFLSDKFDRVLAYNWSSSKLQDLGQLFPKFYIERDLLFQHRLASASFPYTPCWLGELPEKQN >KQK93681 pep chromosome:Setaria_italica_v2.0:VIII:3015038:3018174:1 gene:SETIT_027702mg transcript:KQK93681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPELLVAACHGCHTKLTSLLNSEDQDTAIVVEIDRGTSTAAASSPASSSPSLLLQGVTSDGDSALHVVAAAGDGDGYLRSAEVIHGKARHLLEARNKGWKTPLHCAARAGNVEMLTLLIRLAGEERVRALLRTENGVGETALHEAIRAGDVRAVDVLMTADPCLARVPDGGAGSSPLYLAVALRRYAIARDLHKRDSQLSCSGPGGQNALHAAVLRSEEMTKLLLEWNKQLTKQQDEHGNTPLHFALSLESVTRGMLPLYAVPVKKGKAIATLLNISEQPLELTRQLLEADAYSAFQPDREGSFPIHIAASAGRLSAVKVLVTMFPGCAGLCDSDGRTFVHVAVKKKRYSIVAYACQTPALSTILNKHDIEGNTALHLAVEVGDWMIFARLFVNNKVDFNLPNNKKHTPLEFSINTIPTGLYCLLNSRILIQETLIAANATRGISRRDADMEEYSPQSEAENEEKGSAIVSNSTQFLSVGLVLITTMAFGATFALPGGYIADDHTNGGTPTLARVKQFQGFMMANTLAFFCSSLAVLSLVFAGTPTVELPMRYMHYNISIWLSLNAVGSLAIAFAIAVYIMITPVAAKTSLAVIVVILSIGILHSPSITEKFTVLLLVLCIRPGILPVLRSSISKVMLLMCWPLIVIFGWQELSSRYQ >KQK94293 pep chromosome:Setaria_italica_v2.0:VIII:12649566:12651915:1 gene:SETIT_027504mg transcript:KQK94293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKQPVEEKPFLRSTGSALAIDPRINYEPRSQISPFRDMARSNFAHSSGRPFKVQLVRKVTGSSSSRSRGSASTHHSNDYTPSLMREEEVPQEEHSGPQAMEVEGPLLDLHSNWEMQAYTLIKDRVFAHTQAFDSELLESIGMDVDFANVWHTIGWNDFKGIPAFLDKRYISSQVIVGKFAPRCNKIHNPTLRLMHKWLALSLFGRFSPVEPMISQWLEHFKMIGPIECTSLITRIASKVRALDGIAIPYIQTPHTIIDEAYLIQGHILKHDANQSLKCRELTLPLIPQEEARRSSVFGRVTWSKSRNEATTSQYQPPQSQHVMQQMYQAGWVPTGQMLGFAPGASSASGNKDHMDTTPLPAPVGFATWQQLVDTQFNAINTSLQQSHNDLQAYFHSQGYNPYPGQ >KQK95391 pep chromosome:Setaria_italica_v2.0:VIII:34061965:34066482:-1 gene:SETIT_026850mg transcript:KQK95391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQMPMQAPAAAAAAPPSAGITTEQIQKYLDENKQLILAILENQNLGKLAECAQYQAQLQKNLLYLAAIADAQPQPPQNPASRPQMMQPGMVPGAGHYMSQVPMFPPRTPLTPQQMQEQQQQQLQQQQAQALAFPGQMVMRPATVNGMQPMQTDPAATAASLQQSAPVPADGRGGKQDTTAGVSTEPSGTESHKSTTGADHEAGGDVAEKS >KQK94482 pep chromosome:Setaria_italica_v2.0:VIII:19151175:19153691:-1 gene:SETIT_028361mg transcript:KQK94482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLRRLCFVLPMDIDDAVLAAEEPRCRVTARKTVRSYVRGTVGRVLWCLRCRSCSGADRCHNSSGMAFEDIAGVDENGGRKLAGSEGSPRIFSYSELYIGTNGFSDKEILGSGGFGRVYRAVLPSDGTTVAVKCIASHGDRFEKSFLAELAAVARLRHRNLVRLRGWCVHGGEELLLVYDYMPNRSLDRLIFAPASAKVPVLSWDRRRRIVAGLAAALFYLHEQLDTQIIHRDVKTSNVMLDAEYNARLGDFGLARWLEHAVCADDAAQPHLEVSPSPPSLGLSSSASANFQFRLMDTSRIGGTIGYLPPESFQRRATSTAKSDVFSFGIVLLEVATGRRAVDLAYPDDEIFMLDWVRRLSDEGKLLNAADGKLPEGAYSLFDINRLIHLGLLCSLHDPRARPTMKWVVENLSDGCSGDLPRLPSFVALPKYISLTSSSDSGTTTITTGSTATTLSKPVYDTAAADTIYHTAEDGRCSSRSADDSGGNSRRSSRPVAIPNVDMPREISYKEIVAITNDFSESQMVAELDFGTGYEGFLDSGHGRVHVLVKRLGMKTCPALRVRFTRELCNLAKLRHRNLVQLRGWCTDHGEMLVVYDYSPGSLLSHYLIRRDDAVLPWRQRYNIVKALASAILYLHEEWDEQVIHRNITSSAVFLDPDMNPRLGSFALAEFLSRNEHHGGHHVVVSTSSARGIFGYMSPEYMETGEATTMADVYSFGVVVLEVVTGTMAVDGRLPEVLLVRKVELFEQLNRTVEAMADRRLDGKFDRKELLRLAKLGIACTRSDPAARPSMRKIVSILDGNDEVLNKFEHRKESTEDWQRRNGANLALVRRFQALGIH >KQK94528 pep chromosome:Setaria_italica_v2.0:VIII:20768771:20770288:1 gene:SETIT_026474mg transcript:KQK94528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEEPKKEEAPAAVPEAEKKEEAAAAEGGEKKKEEAAKEPPPPPPPCILGIDLHCTGCANKIKRCLLRCKGVERVEVNMAQNQVMVKGIVDLQGICERLRKRTMRNATVISPPPPPPAEADAAAALKEEPVVVHSQVSEVTTVELFVNMHCEACAQQLQKKILKMRGVKSADANSGAGKLTVTGTMSADRLVQYIHRRTGKLATVVPPPPPPEPPKEEEPKKEDGDKKPEEPPAEGANNKEEQEKPSAEDAAEKKAAQGEKKEDGNAKPEDGAKKKEGGGDEEKAKPELLAVDGFPPEEMMKRMMYWPYSHKHYYNPQVDEEAMMARRVSMVHPYAMSMMQWAPPPPTPPPPAPVAAPPTMYQYYNYGMVEQPAPAPQYFSDENPNACVIS >KQK93694 pep chromosome:Setaria_italica_v2.0:VIII:3303977:3304741:1 gene:SETIT_027348mg transcript:KQK93694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCRILTNHPDHEGILDGPEHPKLSTRQHPLLLSDRMDRWLHIKLQVAGEGTSVTLAIGMDDLRVHGFTNENEDRLWYRPEDYKKWLSLSSQVNLHWGYGYDSILGVNSHEGIVGKLASETQGLGKTTAVNAVRVLSRFRPHVLLGELVAGGDKYYDARVALVCLSVMVCDSAKLNPVLKHIESGWENGTGHTKELEGYIKNWNRISSALLDWKEDSYRTWMKDERLERIGIKSPEDALDVVHLCSAERMHRF >KQK95428 pep chromosome:Setaria_italica_v2.0:VIII:34389673:34390740:1 gene:SETIT_027725mg transcript:KQK95428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASSRAVAGGCSTSAITTATVSGSHVLTINGYSESRAYGVGSSIASSKFLVAGHSWFLRCYPVGWNEETSDRICFFLVHDGRSSVRAQLKFSLLDLEGNQVHSAKSFCPVAFHGYRCFWFCFIKREDFENSNYLRDNSFRVVYDITVIKGFYKEGTMMFVDTVPPSDLHKDLGRLLASGKGVDVKLKVRDKLFLAHKNVLAARSSVFMAELFGPLKEGEADSVEIHGMEPVVFKAMLDFIYTDNVPEVRTGEEIAMAQHLLVAADRYDLKRLKMICEHNLCSRITKKTAATTLVLAEQHGCNGLKKACFAFLSSLGSLKAVMDTQGYDHLRSSCPSLHDELVAKFDVSKRNKI >KQK95330 pep chromosome:Setaria_italica_v2.0:VIII:33389300:33391939:1 gene:SETIT_027735mg transcript:KQK95330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGAGGGDQHCGRNYGGRSDFAGRGRDHGGHGERSDGTRGGGAEGTVPGIGGSGFGGSYHGTNPGKGLAEERTTSRRMHLRGIKVQNLEVGLGGTGTQQQHIHCAVSMSVELQRMIMKNEIKPKQKLQKVWVHVYGVPYEIRSFLPLWAVGSILGATQRVDMRSMKKIGVVRLMVAVLDVNCIPDKVDIVVDDLTDNGGEPDDLDEDDDLEPDNQDKDKDLEMEDVQKKTMMDQALLSDQVPKFGASTDMEMQIMENVIDLAVDNLLDEISGRVVAEINQDTTYTSESPIEKGSHTNDVVAYNEVLKANVHAAVDTDSYSDRDGTEVVVQGSEIAGEIFHAPMINLMGVRIPESDKTPTMQEGTATLGPLTEHNDSASAFRQHMTCVLGLDGPVHDTSRLSLPKEHQLGEIATLTGASEEFSEVGQGTASTGKSDECRPSDKDLMLPDAATNLVTTTKEVPCTGGRSSKRAAAIGDIKIMEKAMNLAAKRNSLEPDSIISSRVANIGVSMGRHNRVILSSVTSLKNIEIDRLKLSGKKDGCSSKVSSPKINLDEEEEDLLDAHLHHISRDFEESAYEEGYDQICCELNATPRKKRLNSRNKNKIAIKKPIIPSKLCLK >KQK94903 pep chromosome:Setaria_italica_v2.0:VIII:28557753:28558082:1 gene:SETIT_027747mg transcript:KQK94903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLENPVGGGGGDLAAAAAAEPNSPRDCETLLAVACMVGLLMAVCVLPVFFYRAHRTAGLGVRLLAVVLLILGSMGLVVACCCCTFLVVDVIYGNPEGWQQRDEEAPLQ >KQK94209 pep chromosome:Setaria_italica_v2.0:VIII:10847106:10847776:1 gene:SETIT_027860mg transcript:KQK94209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVAAHEASICIIRVSWKKNTNEHVYEGPITRSRVKKLQQEVHAFLVEINFNIPKNFILPKSFTLVMIRFTHEDVGIAPHGDETQQSDQTTRNMEMKHNN >KQK93696 pep chromosome:Setaria_italica_v2.0:VIII:3319972:3320416:1 gene:SETIT_028609mg transcript:KQK93696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEYIWLQISSITLWILVFLSSCMVLMDADTLSRALGCIIIGACKLCCMKAGVNI >KQK94244 pep chromosome:Setaria_italica_v2.0:VIII:11718009:11718278:1 gene:SETIT_028027mg transcript:KQK94244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLSLASALCSIGIDRSAIGCLGRQIHDLMQTEFSSCIVSLCNRSCNKVADCLATYGAGMLASDSALCWSQAPEFVRELVLGDLPRGDV >KQK94621 pep chromosome:Setaria_italica_v2.0:VIII:23621704:23622129:-1 gene:SETIT_027413mg transcript:KQK94621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FPTCAELLAVCWLDISGTITCRMLSGDTRYAAYLVFKMTDDCYGLDSPLQQASVSVGEGTSTHSVCLQGYVGDEENDISEEGLPPRLPHERPDGWMEVELGDWYNHGGDDIEVRASVKETRFGGNWKKGLIVQGLEFRPKN >KQK93824 pep chromosome:Setaria_italica_v2.0:VIII:4740552:4745523:1 gene:SETIT_027602mg transcript:KQK93824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPGRPEPLRLRYAPPLPASPLNSVRTFACPVPRCDSGTRSLRFGWVAQFCSVPVSPLARVYRSRAPIGPENVSYAVNFVCCWMWIGNIPYDATEEQLVQICEEVGPVVSFRLVIDKETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENGRNTDRNREKGRGGPGMASGADGQKQSGGTSVVGDTSLHQPVGLPPAIHAASVMAGVLGGAQTAIVQNGLPVQYGLGNDPLTHYLARMSRHQLHEIMAELKALTTQNKELSRNLLQGVPQLPKALFQAQIMLGLVTPQMMQMAKNQQPSSSLAQSSSHLNEPFLQPDAMIPAVSRPLSLPANIPPNPTVLQEQTATLHNFPQYQHASQPPVKIFPHGHQSGLVTHPPMLSQPLGGSSSVPTQSLVASVGLMSQVQPPFMPQHSRPPVMPTSVQQGPLTHPQVAAAPETLPNEIRAADPASHLAEFAHPSKLRKLEDGTSVPGMVNISHHPAYTAPPQAVGPSGPSGSYSAGAVSFQQPGNEAQLTPDVESALLQQVLQLTPEQLSSLPPEQQQQVLELQKMLSAGK >KQK95582 pep chromosome:Setaria_italica_v2.0:VIII:35805217:35808095:1 gene:SETIT_026137mg transcript:KQK95582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKFSMAASNLCVAIVALAAVAAAAVGEAAAVEHTFVVSEMKMTHLCNETLVTVVNGQLPGPAIEVTEGDSVAVHVVNKSPHNITIHWHGLKQRLNCWADGVPMVTQCPIRPGHNFTYRLNVTGQEGTLWWHAHVSCLRASLHGAFIIRPRHAYPFPKPDKEIPIVIGEWWSMNLAQLAKNMEDGYYDDSSSATTINGKLGDLYNCSGVVEDGLVLDVEPGKTYLLRLLNAALYSEYYVKIAGHEFTVVSADANYVRPFTTDVVAIGPGETLDALVVANAIPGRYYMVAVGGQAPKPDIQIPETRSRATVRYAIGAGNGDEAAPPVAPEMPDQHDFMVSFNFHGNLSSLNRPGSPPVPATADESLFVVLRMGSICRRGRLSCKRSGSKESIIVETMNNVSFQLPAVAAATPLLEELYYDHRRNGTVGGSGLDQLYTLPDRPARPFNYTDRALIPWGPNEAWLEPTEKAALARRFRHGAVVDIVFQNAAMMDTDNHPMHLHGHDMFVLAQGHDNYDTVRDVARYNLVDPPLKNTVLVPRLGWAAVRFLADNPGVWYMHCHYELHVSIGMAAVFIIEDGPTLESALPPPPVDFPKCDQQ >KQK94796 pep chromosome:Setaria_italica_v2.0:VIII:27036035:27036535:-1 gene:SETIT_027464mg transcript:KQK94796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VWKPPDAGSVKVNTDGAFLEQTSTGRTGAVLRNTDGQILAAQGIWYEHLPDALTSEALSARDGLLISHVTQELGRSFTSFQIVFVRREANSAAHCCAKKVSPSDRVCSWFSHFPNWLKEVANRDCNPANE >KQK95196 pep chromosome:Setaria_italica_v2.0:VIII:31836395:31837198:1 gene:SETIT_027519mg transcript:KQK95196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASPPREEADPARNVKAKQAQQQQVAASGASERKPRPQQEQGLNCPRCNSTNTKFCYYNNNSMTQPRYFCKACRRNWTQGGTLRNIPVGGGSRKNKQNRAGSSSSSSPAPPAPSSSSTNSKKMNLTQQLLMMPTAMAPMPADFPIVLPTFMSTGGGGFELPSSDHHSLPFPPLSLPSNPGTTPSLLDMLTGGFLDGGIGALPFLPTPPSFGAMQHGHGIMVGSHDQQLVDPLQGVDQALKPPMAATGGSGLQQWPSSAAQDQHGSI >KQK95746 pep chromosome:Setaria_italica_v2.0:VIII:37740549:37743178:1 gene:SETIT_027579mg transcript:KQK95746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTSMHTKSAMNFTCKHLLTTYPYFLKKLGVLRDGLGVAIKKFKHPYQLPAAHINDELSLVSKLQHKNIVKFIGYGYEVIEKVDGFDDNKHQAQESHYFLVEEYMPNGSLDDIIYGSRIEWSSLFGIVQGIAQGLHYLHEQQLVHSDLKPNNILLDSDTNPKITDFGIARILDHGDDMPRDINYLAGTVGYMSPEYIVEGILSTKYDVYSFGVMLLEIISSMCRSKPAPRQASIEWAWMARQAGQMEELLDPSLYAEFQLKEIRRCMEVGLLCTQFKPADRPAMSDVLAMLDGKKKLRTPKQPGYTKGRKA >KQK94275 pep chromosome:Setaria_italica_v2.0:VIII:12321232:12321562:-1 gene:SETIT_028431mg transcript:KQK94275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKILCGIITVVESWLCLSVQHNKEQGLCSVSVSCCNIDSSK >KQK94276 pep chromosome:Setaria_italica_v2.0:VIII:12320833:12321668:-1 gene:SETIT_028431mg transcript:KQK94276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKILCGIITVVESWLCLSVQHNKEQGLCSVSDLTKPRAMPHPPPGLLSSSCNSV >KQK93661 pep chromosome:Setaria_italica_v2.0:VIII:2864087:2864497:-1 gene:SETIT_028613mg transcript:KQK93661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPIPHRAAHAAASSRRRGLAVEQAGGASRPPSGCRGPAQPVRPAKWSPRWLPPP >KQK95187 pep chromosome:Setaria_italica_v2.0:VIII:31744728:31750265:1 gene:SETIT_026552mg transcript:KQK95187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPFGSTASVDCNQLLVETNGSTRTLILNRPKQLNALSSTMIKELLRCFIAYEKDDGVKLLIMKGKGRAFCAGGDAAVGFQSALNEGWKWGANFFKNLYLLNYIIATCIKPQVSLLTGIVMGGGAGVSLHGRFRVATEKTIFAMPETALGLFPDVGGSYFLSRLPGFYGEYVALAGARLDGAEVLACGLATHFVHSNRLLLLEESLKKVDTSNPFIVCGIIDQFSEQPSPKENSSLNRLEIINKCFSKRTVEEIISSLEQVASNLPDEWVAATIQSLKNASPTSLKISLRSIREGRTQTVGECLRREYRMVCHVWMPPRLEQVHDESVQQYFSTIDDPQWEELNLPTRHFHGRNIKSKL >KQK94313 pep chromosome:Setaria_italica_v2.0:VIII:13037797:13040802:1 gene:SETIT_026503mg transcript:KQK94313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGKVIKCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTALCHTDVYFWEAKGQTPVFPRILGHEAGGIVESVGEGVTELAPGDHVLPVFTGECKECAHCKSEESNMCDLLRINVDRGVMIGDGKSRFSINGQPIFHFVGTSTFSEYTVIHVGCLAKINPEAPLDKVCVLSCGISTGLGATLNVAKPVKGSTVAIFGLGAVGLAAMEGARLAGASRIIGVDLNPAKYEQAKNFGCTDFVNPKDHDKPVQEVLIEMTNGGVDRSVECTGNVNAMISAFECVHDGWGVAVLVGVPHREDQFKTHPMNFLKEKTLKGTFFGNYKPRTDLPNVVEMYMKKELEVEKFITHSVPFSEINTAFDLMLKGEGLRCIMRMED >KQK93462 pep chromosome:Setaria_italica_v2.0:VIII:1273284:1276009:1 gene:SETIT_026229mg transcript:KQK93462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWNNPPPAAAAADAPGTDHGRLRELGYKQELKRHLSVLSNFSISFSVISVLTGVTTLYNTGLTFGGPATMTLGWFVAGAFTMAVGLSMAEICSAFPTSGGLYYWSARLSGDRWAPFAAWITGWFNIVGQWAGTASIDFSLAQLIQVIILLSTGGNNGGGYLASKYVVFAFHAVILLSHAIVNSLSITWLSFFGQLAAVWNMLGVFALAIAVPVVATERTSAKFVFTHFNNDNSAGIHNNLYIFVLGLLMSQYTLSGYDASAHMTEETKNADRNGPIGIISAIGISIIVGWGYIIGITFAVKDIPYLLNPDNDAGGYAIAEVFYLAFKSRYGNGVGGIICLWIVAIAIYFCGMSSMTSNSRMTYAFSRDGAMPLSSLWHKVNKQEVPINAVWFSAFISLCMALPSLGSLVAFQAMASVATTAVYIAYALPILFRVTLAYKSFVPGPFNLGRYSVLVGWIAVLWVATITVLFSLPVSYPVTKDTLNYTPVAVGGLFTLILWSWIVRARHWFKGPIANLGA >KQK95262 pep chromosome:Setaria_italica_v2.0:VIII:32763526:32766661:-1 gene:SETIT_027664mg transcript:KQK95262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAPASWSDIPVKLSGLVLGRLPVHVDRVRFAAVCPPSGARPRSRGACPRRCRCSCSQVPPCAASQEAPFHFPGCTGYTNACGTGNWLVFSGEDDCFLRDPFSNETVTLPALTRARMWDVGDQSVDEAGHAWMEMDEEEERNAARIAVCQPGGTSWWSVHMDQRAALFVDIVFHQGKFYALFAVDISVDHSTGNPWFSRIQQFISGLRAFSYIFIPGVQILSLTYLVESRGALLLVCRKIDLQLKALHWDRIDVLKAERNRFEVYEANFGQSRLAKVTTLGEDQVLFLSSTVPGDSIFFLENEDEDHLRSSVASCSVYSMKDGKVSTPLPAVSWKRATWLFPQN >KQK95698 pep chromosome:Setaria_italica_v2.0:VIII:37318989:37319497:1 gene:SETIT_028401mg transcript:KQK95698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGAMAALLVPLLVAALLACVSPPGAAAVAAEQGGEMNFFPPVSTAGPPGSSCRRCACACAAPRVVLDGFFPACLGGGSEEGKLLVRAIGCGGLD >KQK95696 pep chromosome:Setaria_italica_v2.0:VIII:37318873:37320063:1 gene:SETIT_028401mg transcript:KQK95696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGAMAALLVPLLVAALLACVSPPGAAAVAAEQGVLRMKPCDGGYPYNRTLAHILVEYASAVSSPLLPWQSY >KQK95697 pep chromosome:Setaria_italica_v2.0:VIII:37318873:37323470:1 gene:SETIT_028401mg transcript:KQK95697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKGAMAALLVPLLVAALLACVSPPGAAAVAAEQGVLRMKPCDGGYPYNRTLAHILVEYASAG >KQK95701 pep chromosome:Setaria_italica_v2.0:VIII:37340215:37340881:1 gene:SETIT_027048mg transcript:KQK95701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVARLVSERAVVVFTASNCSMCDVVTSLLGSLGVNAAVHDLDRDPRGREMERELARRLGGGSGPGGSTPTVPAVFVGGDLVGGTNRVMALHLAGELVPMLRNAGALWL >KQK94815 pep chromosome:Setaria_italica_v2.0:VIII:27447833:27448948:1 gene:SETIT_027352mg transcript:KQK94815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSVGGQRITISSISCRGVRAFVPFQKPPLYAAVSLGGRREKTPPDADGGENPDWDDAAFAFDLDGDGGGQQQQLVEFEVKAQVPLLGHKLVGTASVPVVDLAAGGGGGAGDGAALRHVSYQVSAPDGKPNGTLSFAYAISGGHAGAGARPPPQLYPAPDQDPSFCCAPPPSAAYSAPAVASFAPPSAGYPPPPQPPASAPLYPQLQDLLPPSSYPPHPPPNPQFPSPNSSYPPPPPPAAVNAYPPPPASCTACPAPPPEHTSYPPPSTAAYPPPPPPASCAACPAPPAQYASYPPPPPSTAYPPAPPSGYPTPPQAYPPPPQASNLTPPASTYPPPPEFGSAYPVYPRSGPSPPPSTVDRALPYYPAPPGG >KQK93980 pep chromosome:Setaria_italica_v2.0:VIII:7108599:7109306:1 gene:SETIT_026885mg transcript:KQK93980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIPRPIRSVAGEGSSRPRALPPIHLPSPSAAGRLEPVLRRFEPVRADSSSSTGGPLLPRSSAAAAVLTPVSLLPTGPPPQGCQAGGPAGPLRSSLTQARSLDLARIRQGLVRQLPATTFLSGGFPLEAVVRSLNSSVPFPFPRCHSSVFHCSAYTYVVQWTIRLFRKSEPYLHSRFELLPEILHHGLVPELVL >KQK94878 pep chromosome:Setaria_italica_v2.0:VIII:28283815:28285978:1 gene:SETIT_028309mg transcript:KQK94878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDICTAVKVQLRKEEEHFKTRFSVHRIRDLLPNLEDHQKQWINEAGFGALLTLAEFSLPVKLVKWMLKHVDPLLREFRYRNKVIVFNRDLVCKILGLQNGIIPLRLSGDSEDVKKLREVYKDGDRAKIAKCKEIVQSSKDRDSFVRAFSLLALGTIYTPGTGNYVSLKYLHSLIDISEISTFNWVGHVLDELMNEVKKYHKFTPDRLDKDHQMGSCLIILAIAYMDHLDLPTDRGGHQLNYNLPRICNVSNIDFDFILAVDKNRLALGNTFGKLPFRDFYRTPYGAATIVQEHPAPAVEIPAPAATEEVPDLAATEEIPAVSSLDEWLHHLPSSNNLPHQIPLEYQNIASHYSKLVEQDVLQLADAISTTISAQIKDKVMAMWRRRHIEMLGKMADLANMARNDGVEAGVSTFNVDAAAPSMC >KQK94563 pep chromosome:Setaria_italica_v2.0:VIII:22089148:22090389:1 gene:SETIT_027951mg transcript:KQK94563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTATRRPRRYPTGNGCGIKSPRIDAGGLSWRIVFYPNGMRPGTTESISLYLQLDTAADDGGDDDDVEVLYQFMLPGPDQGLRFMSGKVMATFNRLQQNAHGFKRCISNDYFKIRCDVTVLATTRRRTPPSVQEPSLAKAQPSKPRRPTPSSEPALMATLPSRQLQVPSVTAVPSASGLQGSSDLGRLLATKEGADVDFEVGGMVFAAHRCVLAARSPVSKADLFGPTMEENTSYIRINDMNAETFQALLHYIYTDSLPDMNDQEVGAMAHHLLSAADRFDLQGLKSIMENRLCQRTSYIGNSCFRFIACPENDRAVMATDDVEHLTKSCPSIVRELVTKIFDSRELNSGKEME >KQK93949 pep chromosome:Setaria_italica_v2.0:VIII:6508826:6509716:1 gene:SETIT_027333mg transcript:KQK93949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVISFLDVPRRLREAAAQEQALAVLLSSSADSFPGSGYRPGNHKKWMEGLGASNVRVNQVVWPGTHDSATNGISAAPLAQCQTLSVVYEQLAMGCRVLDKDRRVCHGILLSYPVDVVLDDVKRFLEETTSEFVILEIRTEYDQQDPPEFARYLVDRLGDHLIRQDDRVFNKTTAKLLPKRVICVWKPRQSPAPKPGEPLWRLDQHGHAQDKYKLSRNPPVTQSLASLAVEPVTRWIRRFARLFISRVFVEGHGNKLQVFSTDFIDENFVNACIGFTKARIDGARA >KQK94021 pep chromosome:Setaria_italica_v2.0:VIII:7839446:7840606:-1 gene:SETIT_027289mg transcript:KQK94021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTIEPVKLDALKRGDFWLLFKSCAFGDEQYDEDQGLCGIGKQIAEDLRGNPLAAKTVGAPLKRNINVDNWTNILNNQEWKSLQVNGGIMLALKLSYDYLPEDLQQCFRYCCLFPKDYHFYEAKLIRIWISQGFVHGKHTGKEQEDAGKGYLADLVDSGFFQRVSYSSHTFVMHDLLHDLACQVSGAEFVTIDGSECAEISPTARHISIVFDYLLQITLVRKLRSLVLIGAYNSHFFNCFNNIFKEAHNLRFLQIEATNSDFNCFIGNLGRCTHARYIDVAPFDELEYKDKVLPQAMINFFHLQVLDVGLHTNLTLPHGMSNLVSMQHLVAAEEVHSAIANIGKMTALKELPQRQQLTCLRDSDHIEALSIYK >KQK94799 pep chromosome:Setaria_italica_v2.0:VIII:27123849:27125487:1 gene:SETIT_027972mg transcript:KQK94799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHYSEDSTQDLLQAHLQLLHESLGYIKSTAIAVALDVGIADAIHHYGGSATIPQILAKIDVNPFKQRGLRRLMRMLTISGIFTIHHPAPSSSSDGCEALYQLTPASRLLLSDGSSTSLTPLLTMLLGPLLVSPLATVVTALVRQEEQPDLSAFGIAHGETVWDVADQEAAFNISLHDAIAADTRFLMPIVLKECGEVFQGIDSLVDVGGGPYGSAAAAIAAAFPHLKCSVLDLPHVVAQAPSDSNVQFIAGDMFESVPPANAVFLKWILHDWGDDECIKLLKRCKEAIPSRDAGGKVIIIDMVLGWGPSEEKHTETQLLFDLLMIALNGVERDEQEWKKIFFEAGFKDYKIITLLGIRSIIELYP >KQK96006 pep chromosome:Setaria_italica_v2.0:VIII:40411251:40412535:1 gene:SETIT_026414mg transcript:KQK96006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASASNSKKKEAVDETTKQTERVDRWRGLAYDVSDDQQDITRGKGLVDSRPWATAPTRPCSTSTSARVSVTWTTPWTATTSLRRSWTSSSSTSPRTSCPCPTSRCPLILGIWGGKGQCESFQCELVFAKMGINPVVMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCVLFINDLDAGAGCMGGTTLYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIVVTGNDFSTLYAPLIRDGRMDKFHWAPTRVDRIGVCRGIFRADGVSDEAVVKLVVDAFPGQSIDFFGALRARVYDDEVRRTGIENIGRKLVNSRDGPPTFDQPKMTIEKLLEYGHMLVAEQENVKRVQLADKYLSEAALGDANHDDDAIAAARNLYYGKAAQQVGLPVPEGCTDPKAGNFDPTARSDDGSCVYN >KQK95889 pep chromosome:Setaria_italica_v2.0:VIII:39279901:39280291:-1 gene:SETIT_028555mg transcript:KQK95889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPCGPLVPRHTLAHLSTLALFPSHLCYGHPGSCMPNFTSP >KQK93516 pep chromosome:Setaria_italica_v2.0:VIII:1631894:1634435:1 gene:SETIT_026301mg transcript:KQK93516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSEDSLKSLSLDYLNLLINGQAFSDVAFSVEGRLVHAHRCVLAARSLFFRKLFCGLDPNHQPPPPPPALGSPGARAAGAAPELVIPVSSIRYEVLVLVLQFLYSGQASVAAPKSGPLPGCGARGCWHTRCGAAVDLALDTLAAARSSASSSSRSWYSFGALQKQLESMVKEASVDDVMKVLMASRKFEMQELWATCSHLVARSGLSADLLAKHLPIDVVAKIEEIRAKSPVAAANTPRSPFLTHHYLPINAPSSAADRDHKIRRMRRALDAADIELVKLMVMGEGLDLDDALAVHYAVQHCNRDVVKALLELGAADVNSRAGPTGKTALHLAAEMVSPDMVSVLLDHHADPNARTLDGVTPLDVLRGLTSEFLFKGAVPGLTHIEPNKLRLCLELVQSAVMVTTRDEGGAPGAGGEAGGSDGGNFPRSDADDSLVSLTMNSTLMYQGQEMAAAVAGEARKGNSGGRGSPSNLYFPNGFP >KQK94987 pep chromosome:Setaria_italica_v2.0:VIII:29551838:29552524:1 gene:SETIT_028514mg transcript:KQK94987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDLTALYTWTCSRCNDLTQGFEMRSLIVGVENCLQVPFSPQFEFSYHEFL >KQK95462 pep chromosome:Setaria_italica_v2.0:VIII:34589008:34590528:-1 gene:SETIT_026253mg transcript:KQK95462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILSLSYDDLPSHLRTCLLYLSVFPEGYEIGKDRLIRIWIAEDLIQCEKQGESLFEIGERYFYELIDRGMIQPVYKRWYYDMTENCRVHNMVFELICYLSCKQNFVSILDHVHHTFPSKEIIQRLSLQNCMVDHATHRATMSMQEVRSVVVFPSAVNILPALASFRVIRVLDLQSCCLSQGYSLKYLENLVDLRYLGLRDTGISQLSREIGKLQFLQTLDVTGNEISSLPSTVVELKHLMCLRIDSWTRAPCGIGSFTSLEELSTLCIQDSTDLIEDLGNQTELRVLGIDCHTGWNFKRFEKSLVECLHKLQKIHTLSISVVGECKLDAWVAPPHLRSLEITGCCSSTLSAWLNPLLLQELSFLSIEVRKIRQEDLEILGSLPALVYLDVEVGNQNHGILRRLVFHDCSFPCLVHCVLRGYIGPVAFQQGAMVKLERLHLAIPVLETREIAGGFEFGLGNLPVLQDVTFLLRRGGAGEGEVEEAERALRRAIEMHPNHPTLEIL >KQK95464 pep chromosome:Setaria_italica_v2.0:VIII:34589008:34590528:-1 gene:SETIT_026253mg transcript:KQK95464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILSLSYDDLPSHLRTCLLYLSVFPEGYEIGKDRLIRIWIAEDLIQCEKQGESLFEIGERYFYELIDRGMIQPVYKRWYYDMTENCRVHNMVFELICYLSCKQNFVSILDHVHHTFPSKEIIQRLSLQNCMVDHATHRATMSMQEVRSVVVFPSAVNILPALASFRVIRVLDLQSCCLSQGYSLKYLENLVDLRYLGLRDTGISQLSREIGKLQFLQTLDVTGNEISSLPSTVVELKHLMCLRIDSWTRAPCGIGSFTSLEELSTLCIQDSTDLIEDLGNQTELRVLGIDCHTGWNFKRFEKSLVECLHKLQKIHTLSISVVGECKLDAWVAPPHLRSLEITGCCSSTLSAWLNPLLLQELSFLSIEVRKIRQEDLEILGSLPALVYLDVEVGNQNHGILRRLVFHDCSFPCLVHCVLRGYIGPVAFQQGAMVKLERLHLAIPVLETREIAGGFEFGLGNLPVLQDVTFLLRRGGAGEGEVEEAERALRRAIEMHPNHPTLEIL >KQK95461 pep chromosome:Setaria_italica_v2.0:VIII:34589008:34590528:-1 gene:SETIT_026253mg transcript:KQK95461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILSLSYDDLPSHLRTCLLYLSVFPEGYEIGKDRLIRIWIAEDLIQCEKQGESLFEIGERYFYELIDRGMIQPVYKRWYYDMTENCRVHNMVFELICYLSCKQNFVSILDHVHHTFPSKEIIQRLSLQNCMVDHATHRATMSMQEVRSVVVFPSAVNILPALASFRVIRVLDLQSCCLSQGYSLKYLENLVDLRYLGLRDTGISQLSREIGKLQFLQTLDVTGNEISSLPSTVVELKHLMCLRIDSWTRAPCGIGSFTSLEELSTLCIQDSTDLIEDLGNQTELRVLGIDCHTGWNFKRFEKSLVECLHKLQKIHTLSISVVGECKLDAWVAPPHLRSLEITGCCSSTLSAWLNPLLLQELSFLSIEVRKIRQEDLEILGSLPALVYLDVEVGNQNHGILRRLVFHDCSFPCLVHCVLRGYIGPVAFQQGAMVKLERLHLAIPVLETREIAGGFEFGLGNLPVLQDVTFLLRRGGAGEGEVEEAERALRRAIEMHPNHPTLEIL >KQK95465 pep chromosome:Setaria_italica_v2.0:VIII:34586834:34590543:-1 gene:SETIT_026253mg transcript:KQK95465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILSLSYDDLPSHLRTCLLYLSVFPEGYEIGKDRLIRIWIAEDLIQCEKQGESLFEIGERYFYELIDRGMIQPVYKRWYYDMTENCRVHNMVFELICYLSCKQNFVSILDHVHHTFPSKEIIQRLSLQNCMVDHATHRATMSMQEVRSVVVFPSAVNILPALASFRVIRVLDLQSCCLSQGYSLKYLENLVDLRYLGLRDTGISQLSREIGKLQFLQTLDVTDSWTRAPCGIGSFTSLEELSTLCIQDSTDLIEDLGNQTELRVLGIDCHTGWNFKRFEKSLVECLHKLQKIHTLSISVVGECKLDAWVAPPHLRSLEITGCCSSTLSAWLNPLLLQELSFLSIEVRKIRQEDLEILGSLPALVYLDVEVGNQNHGILRRLVFHDCSFPCLVHCVLRGYIGPVAFQQGAMVKLERLHLAIPVLETREIAGGFEFGLGNLPVLQDVTFLLRRGGAGEGEVEEAERALRRAIEMHPNHPTLEIL >KQK95463 pep chromosome:Setaria_italica_v2.0:VIII:34586834:34590543:-1 gene:SETIT_026253mg transcript:KQK95463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRILSLSYDDLPSHLRTCLLYLSVFPEGYEIGKDRLIRIWIAEDLIQCEKQGESLFEIGERYFYELIDRGMIQPVYKRWYYDMTENCRVHNMVFELICYLSCKQNFVSILDHVHHTFPSKEIIQRLSLQNCMVDHATHRATMSMQEVRSVVVFPSAVNILPALASFRVIRVLDLQSCCLSQGYSLKYLENLVDLRYLGLRDTGISQLSREIGKLQFLQTLDVTGNEISSLPSTVVELKHLMCLRIDSWTRAPCGIGSFTSLEELSTLCIQDSTDLIEDLGNQTELRVLGIDCHTGWNFKRFEKSLVECLHKLQKIHTLSISVVGECKLDAWVAPPHLRSLEITGCCSSTLSAWLNPLLLQELSFLSIEVRKIRQEDLEILGSLPALVYLDVEVGNQNHGILRRLVFHDCSFPCLVHCVLRGYIGPVAFQQGAMVKLERLHLAIPVLETREIAGGFEFGLGNLPVLQDVTFLLRRGGAGEGEVEEAERALRRAIEMHPNHPTLEIL >KQK95789 pep chromosome:Setaria_italica_v2.0:VIII:38058294:38058958:1 gene:SETIT_028502mg transcript:KQK95789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNAHVLIHTTGGLSWLFMWIFSIFLTCERVTSFWPLKHNILAIYPYSREKKKMEPCPR >KQK95053 pep chromosome:Setaria_italica_v2.0:VIII:30336446:30336811:1 gene:SETIT_028445mg transcript:KQK95053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSCICACVPDRIRRICLGMLPAIQPCISLIDWEVRQGYPRLVLLL >KQK93705 pep chromosome:Setaria_italica_v2.0:VIII:3358386:3360732:-1 gene:SETIT_027870mg transcript:KQK93705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SPPASPKFATPNPNPPKHSLGSDPLFPFLSEQGQVVRISPSVTMEDEELVDQKKYLEERCKPQCVKSLYEYEKCVKRVENDDTGHKHCTGQYFDYWACIDKCVAPKLLKKLK >KQK94075 pep chromosome:Setaria_italica_v2.0:VIII:8483201:8486075:1 gene:SETIT_026513mg transcript:KQK94075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNPRGVGGGRGGPMEHVDLIPGIPDDVAVDCLARVPHASYRALRRVCRGWRSAAAAPSFASARAAAGANEDLVYMLQFGNPSADDGPKDGDAPASTPAYGVAVYNVTTGEWRREPGAPPVVPVFAQCAAVGTRVAVLGGWDPRTFEPVADVHVLDAATGEWRHGAPMRSARSFFACAEAGGKIYVAGGHDKHKNALKTAEAYDAEADAWDPLPDMSEERDECDGMATVAGDRFLAVSGYRTARQGGFERDAEWFDPAARAWRRLERVRAPPSAAHVVVRGRVWCIEGNAMMEWMGTRRGWTEVGPYPPGLKAGTARAVCVGGGEKVVVTGALDGEGGGARHAVWVFDVKTKNWTVVRPPPEFAGFVFSVTSVRI >KQK95164 pep chromosome:Setaria_italica_v2.0:VIII:31584297:31586604:1 gene:SETIT_028512mg transcript:KQK95164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARIRLEEKLRGAALPSATSPSRWSRLIGEREGRATSERRSRRREQQQENAERGVLATAEVWPIPPAPTSAADGGHHHRRRAELTRTLSKVEVCAVCLDEVRERRQRVTRLPCSHKYHSDCVLPWLAIQPDCPCCRALVPAADTLA >KQK95165 pep chromosome:Setaria_italica_v2.0:VIII:31585078:31586604:1 gene:SETIT_028512mg transcript:KQK95165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPNSLPDDLKVSAILLSMYQRRWSRLIGEREGRATSERRSRRREQQQENAERGVLATAEVWPIPPAPTSAADGGHHHRRRAELTRTLSKVEVCAVCLDEVRERRQRVTRLPCSHKYHSDCVLPWLAIQPDCPCCRALVPAADTLA >KQK94898 pep chromosome:Setaria_italica_v2.0:VIII:28514841:28515273:1 gene:SETIT_027108mg transcript:KQK94898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRIMCLIISVFLVLAMMSTTIPSSEAGPSCSKGQCPPPPPASVRCFPMECGKKCTCKKDAQNECGKIDYPKKDATCRSENEFCCVN >KQK95574 pep chromosome:Setaria_italica_v2.0:VIII:35719865:35720162:1 gene:SETIT_0280042mg transcript:KQK95574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSDTAQTGKRKAPAAATKKTTTTKAQIDSLIRYKTFYFPEDAIPKVSKERLTRTNLADKGSLPVPLDKMDDYIANIFRQLRAF >KQK93852 pep chromosome:Setaria_italica_v2.0:VIII:5157212:5161452:1 gene:SETIT_026091mg transcript:KQK93852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSESKGKTDRLSLLQSIWNNQFTSYGFAHLCNDVPEGVLCLLYRDERINVLYKHKGMLHVLVTAQDVLEKYPDALWRKLEQVDKNGDLLTSSFGPINVTWLENQQNLIEDERKAVKQKETCSLKNAKKHGRGKDKMNTVTQDESGGANKDLGDEAEANIAEDRGPASPKDGVLGANIAEDREPASAKDGVLVPSQDNMLRDGFMKFLKEYLLDGKPYFRDQVEFMHFKGISHLIICYGWIKTYDKELAVSICSNYFRAAEDLKLCFCDYFHSIMQENSVDTDIVELSTKIKLTICCLPLHKRFMSFEEFAAENCMYIVYELEGRFVNSTSYVGRLMALGYLHCILKTHKSGYSWYGEFGKSHMSVKIVKGVMIFKIKTLATMVKLNRDNGIADFDKYKEILFPLFKRHDVEGYPVYFDEFVKDFLAMPDPIESPVEFEKFQKYMGAHLFAKAPLVRSGLVTNTYQVCESLVFTRPPPGGWPVYAPLDFHDEDLPQWDREQLGAAMSEVYTYRNTSYDKTITQNRYDRHTYWYALMLGRHGVQHLHWYTKKGNVQTIKDFVIADLIVAHDLKGLLANVIYKIMKITNFDGPFSSVWRTFVASETFGDSAVEAGETEGTFEEPAWDAAVEAGETEAPGPATAAIPGDQELTMSMAPPSS >KQK93853 pep chromosome:Setaria_italica_v2.0:VIII:5157212:5161452:1 gene:SETIT_026091mg transcript:KQK93853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSESKGKTDRLSLLQSIWNNQFTSYGFAHLCNDVPEGVLCLLYRDERINVLYKHKGMLHVLVTAQDVLEKYPDALWRKLEQVDKNGDLLTSSFGPINVTWLENQQNLIEDERKAVKQKETCSLKNAKKHGRGKDKMNTVTQDESGGANKDLGDEAEANIAEDRGPASPKDGVLGANIAEDREPASAKDGVLVPSQDNMLRDGFMKFLKEYLLDGKPYFRDQVEFMHFKGISHLIICYGWIKTYDKELAVSICSNYFRAAEDLKLCFCDYFHSIMQENSVDTDIVELSTKIKLTICCLPLHKRFMSFEEFAAENCMYIVYELEGRFVNSTSYVGRLMALGYLHCILKTHKSGYSWYGEFGKSHMSVKIVKGVMIFKIKTLATMVKLNRDNGIADFDKYKEILFPLFKRHDVEGYPVYFDEFVKDFLAMPDPIESPVEFEKFQKYMGAHLFAKAPLVRSGLVTNTYQVCESLVFTRPPPGGWPVYAPLDFHDEDLPQWDREQLGAAMSEVYTYRNTSYDKTITQNRYDRHTYWYALMLGRHGVQHLHWYTKKGNVQTIKDFVIADLIVAHDLKGLLANVIYKIMKITNFDGPFSSVWRTFVASETFGDSAVEAGETEGTFEEPAWDAAVEAGETEAAPGPATAAIPGDQELTMSMAPPSS >KQK94973 pep chromosome:Setaria_italica_v2.0:VIII:29412716:29413128:1 gene:SETIT_027829mg transcript:KQK94973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTLFYNPAATKLSPNDVFGVAFNGGFNQPKEPKQMTLQVRGSVDPPIYSIRIHVPQHARSLIFSFTNGAEWDQAIYPDENIAITSCAMDGYYGEGVG >KQK94460 pep chromosome:Setaria_italica_v2.0:VIII:17721891:17723766:1 gene:SETIT_028314mg transcript:KQK94460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWDWSSSARFNDPVCYKCKKSGRMAAECTSIHHRKLMMFGFGIPGQGFYSREVKQVDKNRYYAIFPDQSSLDTFRKLTGVELALFQTTGKRGFSPAKPIKVDEFNLLKDEPVRVRANCRDPSMLRGFVEVFFNGVGYQLKFVGEGLQKKRKGKGGSRPRKPDDKSNNRRSDYE >KQK95050 pep chromosome:Setaria_italica_v2.0:VIII:30318997:30321453:-1 gene:SETIT_028155mg transcript:KQK95050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAASSSSGAARGIRIRDLEEEERKATLWSSLGVDGVEREEAAAAAMARAMVRECDVYVGHGGDARRMAAWLRAELELLGVPCVASDRRLCVDAPAHAAARAAMDAAAAGVVLVTPATLANPYAVEEIRTFLARGALVPVFVGVRRGDFATADDVVGRRGDLWEKYGGRLWMAYDGAEEQWREAVEGLARSESAVEVRVGDLRDRILDVLEIVGARLGRRAVAAAIRAWRAEAELEIPFPWNAGFVGREKELLELESMLRGGASAHNKAAGKRPMFLDGAVSGEGPFLDGVVCISGTSGAGKTELALEFAHRHSHEYKKVLWVHGEARYLRQSYLKLADHLGIAVGDSLSSSKGRSARPLHGIEGDAIAKINKELTRDIPYLVIIDNLESDKDWWDGRAVGELLPRGCRRTRVVVTTRLAGGVRGVRTLALGDLDDANAMRLMKGARTTLGADDTTILRVIQEAIASAPVGLALVGAMLSEVPVGPAELRRAMRRAPHRAATWEGDRDGATLRDNPGLVRLMDACLALLEREAAGLGTVALRLLEAASYFAPAPVAAAMLVDAARAAADVETPWERFKRTMKLSCTSPRTPSFAGGAEQEALATLLRLGVARRGTREGCVSVHGVFRLFGRKVGTGGRAARAVVDAVAAAQNAQDHTWAACLSLFRFESPAAVVELPPPELARFVTVSVLPLAARCVAGYSAYGAALELLREATDGVLEAEERYIAPSSRRSNGNTYMELDPKVYRELARVRAELLVMRARVMMRAGERDIAEDHCVSAISILEVVRGDWHPDTLAVRAFLEQDVVVQTTDESEPAAV >KQK95952 pep chromosome:Setaria_italica_v2.0:VIII:40052322:40053239:-1 gene:SETIT_028057mg transcript:KQK95952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRAVSVAPLHDRSMEVELGTPMLTCARIAANGRLRGSLAGVIGAGARVTLMQKVWRSLQAFGNIAFAYGFSIILLEIQDTIKLPPPSEATVMKKATAVSVVVTTVIYLLCGCVGYAAFGRTAPDNMLTGFGFYEPFWLLDVANAFIGAVSFWPLTVYFPVEMYIAQRRVPRGTMRWLLLHARSAGCLVVSIAAAAGSVAGVVEELKAHSPFCWSC >KQK94030 pep chromosome:Setaria_italica_v2.0:VIII:7936440:7936673:1 gene:SETIT_027505mg transcript:KQK94030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHANDTLDRTPLASGAPDFLGRSNSSDDYSTMEVATPGPIYIISKSDRFKSHIVSSAQEPRRPTSTSTPVQLPSGSD >KQK94917 pep chromosome:Setaria_italica_v2.0:VIII:28690919:28693448:1 gene:SETIT_027730mg transcript:KQK94917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKTKAAEEGGEDRISELPDALLHQVLSLLPVDEAVQTSVLARRWRGLWKGMPVLRLVGPKKRFPSAEDFDRFVNRLISVRGDSPLVTCEIEAYLTWDDYAGEPEEPEPNVHFHSWIHCGRPYRLRNRARRASCLPAPDELGQELDMRHCGFWVRSMSFPSLKRLHLTECNFPEGHRVRVSAPSIVSLRLHDCRGKTPLFESMPSLETASIDLSHGCKDQCRGGCGDESCEGCHGYPVGSYQSVLLNSLSNAVNLELKDQPKVYIYKRDLECCPIFGRLMTLLLDMWCRAIDLHALVRILQHTPILEKLTLQLRSDENFLSAARGESRKHVRIEQSFACANLKEVNIECEEKLRVKDKVRQIVKILSKSGIRNEQISVKKIPRPEGCYRWEVVSPRGL >KQK95091 pep chromosome:Setaria_italica_v2.0:VIII:30668381:30669357:-1 gene:SETIT_027106mg transcript:KQK95091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLDVNPATAAQCSCCVSARAKACCFACITAGGSDSLCKNTCCFPCVLSDSVVAKMEEMGALAKMEEAGQA >KQK95930 pep chromosome:Setaria_italica_v2.0:VIII:39717374:39718041:1 gene:SETIT_027175mg transcript:KQK95930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRERAPHILLHGSSFVVSARDGMTVPGDPGRSLRVHAASRALTPTAKRPPSLAKGAKPAMATGRSAWLTRKE >KQK95950 pep chromosome:Setaria_italica_v2.0:VIII:40018809:40019931:-1 gene:SETIT_027270mg transcript:KQK95950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPLVPVGDTNHRLRSPSRGAAPPPACRGSAPPPALARRRAVPLPVLHPPSPIFSAAATTIQNLAHSPSSPPPPPRGWVALLRDVLVAVFRKLDHVEILMGTGRVCRSWCHAARDDPALWRRIDMRGHADLHRRVGLCGMARAAIHRAVIPLVQETPNYGGVIMWNRYDDKRDRYGLRIKLMV >KQK94616 pep chromosome:Setaria_italica_v2.0:VIII:23537867:23538505:1 gene:SETIT_028535mg transcript:KQK94616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLDFKIYPLYMVAGSSCFYLTCTISLRWSEHLSQFSYVSIIHLFVSWTSGMVHFNCLLSQYPIIEY >KQK93543 pep chromosome:Setaria_italica_v2.0:VIII:1823272:1824404:-1 gene:SETIT_027276mg transcript:KQK93543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDSAAASSAPTWRRAVRRRALEGAQEPELGRRAEELEEAVGRLRAEKEAAERAAAALRAELDAERGAAETAVSEAMLMIARLQSEKAVALIEAREFRRLAEGRAGRDRELQDDLAAVSALAASYAALLRAHGVDPEDEEDGGNYDDEEEHSVEHLEAEAEADGESRDSDVETKCAVVEIEKASPSPPPPTAEKEFEYTMDVRCTATTNAVVTAAGEERAVDIAGGLGLYARVEALEADRAAVRREVAALRSERAHVVLARRLWLKAASARSVAMAAERPRFSVLAICKEKRKSYQFAAFSSSRNVVLFLK >KQK94826 pep chromosome:Setaria_italica_v2.0:VIII:27683888:27692067:1 gene:SETIT_026101mg transcript:KQK94826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARDQLLDAIRALMTAHSPPLHALVVPSEDAHQSEYVSEQDKRREFISGFTGSAGLALITMKEALLWTDGRYFLQATQQLSNRWRLMRMGEDPPVESWIADNLADEAVIGINSWCISVDSAHRYEHAFSKKHQTLFQLSSDLVDEVWKDRPPVEPRPVIVHPVEFAGRSVSEKIKELREKLVHEKASAIIITALDEVAWLYNIRGSDVDYSPVVHSYAIVTLHSAFFYVDKRKVTVEVEKYMSENGIDIREYETVQSDASLLSSGKLKSSVHGEKDINEVESLKIWIDSGSCCLALYSKLSPDQVLTLQSPIALPKAVKNPTELDGLRKAHIRDGAAVVQYLAWLDNQMQENYGASGYFSEIKGSHQKENLGTKLTEVSVSDKLEGFRATKEHFRGLSFPTISSVGPNAAVIHYKPEASTCSEMDAGKIYLCDSGAQYLDGTTDITRTVHFGKPSLHEKSCYTAVLKGHIALDIAVFPNGTTGHALDILSRAPLWREGLDYRHGTGHGIGSYLNVHEGPHLISFRPSARNVPLQASMTVTDEPGYYEDGSFGIRLENVLICKEANAKFNFGDKGYLAFEHITWAPYQAKLIDTKLLTPVEIEWVNTYHSDCRNILEPYLNEQEKEWLRKATEPIAVSS >KQK93413 pep chromosome:Setaria_italica_v2.0:VIII:996665:1000402:-1 gene:SETIT_026227mg transcript:KQK93413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLQRLSLSRCKALTDMGLGCVAVGCTDLRDLSLKWCLGVTDLGLHLLALKCKNLTTLDLSYTMITKESFLAIMKLPDLQVLTLVGCIGIDDDALASLEKECSKSLQVLDMSHCQNITDVGVSSIVKSIPNLLELDLSYCCPVTPCMLRSLQKISKLRTLKLEGCKFMADGLKAIGSSCVSIRELSLSKCSGVTDTELSFAVSKLKNLQKLDITCCRNITDVSVAAITGSCTSLISLRMESCSHVSSGALQLIGKHCSHLEELDLTDSDLDDEGLKALAGCSNLSSLKIGICLRISDEGLAHIGKSCPKLQDIDLYRCGGISDEGVIQIAQGCPTLESINLSYCTEITDRSLMSLSKCTKLNTLEIRGCPRVSSTGLSGIAMGCRLLSKLDIKKCFEINDVGMLYLSQFSHSLRQINLSYCSVTDIGLLSLSSICGLQNMTIVHLAGITPNGLIAALMVCGGLTKVKLHKAFKSMMPPHMLKNVEARGCIFQWINKPFKVEVEPCDVWKQQSQDVLVR >KQK93414 pep chromosome:Setaria_italica_v2.0:VIII:996995:999991:-1 gene:SETIT_026227mg transcript:KQK93414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLQRLSLSRCKALTDMGLGCVAVGCTDLRDLSLKWCLGVTDLGLHLLALKCKNLTTLDLSYTMITKESFLAIMKLPDLQVLTLVGCIGIDDDALASLEKECSKSLQVLDMSHCQNITDVGVSSIVKSIPNLLELDLSYCCPQVTPCMLRSLQKISKLRTLKLEGCKFMADGLKAIGSSCVSIRELSLSKCSGVTDTELSFAVSKLKNLQKLDITCCRNITDVSVAAITGSCTSLISLRMESCSHVSSGALQLIGKHCSHLEELDLTDSDLDDEGLKALAGCSNLSSLKIGICLRISDEGLAHIGKSCPKLQDIDLYRCGGISDEGVIQIAQGCPTLESINLSYCTEITDRSLMSLSKCTKLNTLEIRGCPRVSSTGLSGIAMGCRLLSKLDIKKCFEINDVGMLYLSQFSHSLRQINLSYCSVTDIGLLSLSSICGLQNMTIVHLAGITPNGLIAALMVCGGLTKVKLHKAFKSMMPPHMLKNVEARGCIFQWINKPFKVEVEPCDVWKQQSQDVLVR >KQK94090 pep chromosome:Setaria_italica_v2.0:VIII:8591549:8592560:1 gene:SETIT_026441mg transcript:KQK94090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSPLAAGAGAAYVSAGAAAATGACAFVHGYSAYLEERMQWVINQAGNLEPARRPPALAPPNHDGKPPPPPPSSSSSHDAEAETLLLKLAMCQRLLDLAIQLLPDNNTSGCAAVRSAFGIVLRESFKVYDAFTEGLDAMLLRSRSLAGLSKPMRVSAHEILKKACAQTPDLKEFYHKCKGSNASKSLEYPLVRVVTPAQASAMEVLPVPIPEEDGQEKEPEAEAEAEAETSDGGGSTTFARKMETTISTVWVEFEEDDKLIPGDGGHSSKEPKAS >KQK93247 pep chromosome:Setaria_italica_v2.0:VIII:148506:148697:1 gene:SETIT_028313mg transcript:KQK93247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSTWTCQITLINSNPTILCYKFRNTLYHFSGSCSNESSHIHIPKISNQVTGTLDKECWESNL >KQK93647 pep chromosome:Setaria_italica_v2.0:VIII:2646165:2647461:1 gene:SETIT_027396mg transcript:KQK93647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLCTQMALNRANWDEGTTKTLLDLCIAEKNQFNWSNRCLTKLGWKHVYRSFNQQTGMNLASKQLQNKLNALRRAFLSWRALQTQSGLGRDKQTGGVDADPSFWEDEEAETSAGAAQTSSQPSSFKPPPFLDELYELYGHEPQDRGTLLMAGGICEATPSVGTEGNAQDLYQDPCLLKRGDREQEEMDRAMQLIEEDGLQEGSPLYYQALYLCTRNPGYRRAFTKMKTKEGRLNWIQFNWDMLNK >KQK93707 pep chromosome:Setaria_italica_v2.0:VIII:3372080:3374128:1 gene:SETIT_026391mg transcript:KQK93707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGTNQPPGSRMQGAPFGSLDLHGNSMETHAPNLGKQIFINSQIPGTLTMNRASEPDDFPGFQFKEDGRSDKNHHQHSHHSKNGLIDDEGHYMTEDATNTPSVKGKKASMWHRMKWTDSMVKLLITAVSYTGDDHGADLGGGRRNSTIMQKKGKWKAISKVMGERDCHVSPQQCEDKFNDLNKRYKRLIDILGRGTACDVVEKPELLDSMNHLSDKMKDDVKKLLNSKQLFFEEMCSYHNNNRRKLPEDHALQHSLLLALRCKEDHDPLRDASGDADEDDQSADSDHEENDDEEQHPVHTNMREPSMDKRARHGDAALLTSSSHEGIKRSDPHGITVDINKAFPDGTNWALLQQDLASQSLEVRKRLLQIEEKNLELKKQCVKWERFRKKKDREIERMAMENEHMMIENKQLELELRQKELELELKLKGQANHA >KQK94144 pep chromosome:Setaria_italica_v2.0:VIII:9675932:9676384:-1 gene:SETIT_027974mg transcript:KQK94144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NNIVWEDTDVIKSHLIKQDFVDGYTIWSLHGEAGGTFNNTDIDTGFDEVGGDDASENDHVMIDDDYGCRDQNGDKKDARVEPQVDEECDFDMEDMLRYIEQEVLLGSAKGLDNFETLHKAAKDRMYEGCGKEWAVLHFILHLLILKAKLI >KQK93914 pep chromosome:Setaria_italica_v2.0:VIII:5974053:5977055:-1 gene:SETIT_028567mg transcript:KQK93914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDDYNDDMDMGLGAAPLAAGSQVLGGVICLAEPRNGRGAPQSCCAIQ >KQK94379 pep chromosome:Setaria_italica_v2.0:VIII:14012876:14019153:-1 gene:SETIT_026197mg transcript:KQK94379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKAKRYLSSMRRKKPIPFTHKFPNADPLALRLLERMLAFDPKDRPSAEEALADPYFKNIANVDREPAAQPVMKLEFEFERRRITKEDIRELIYREILEYHPNMLREFLEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPSTHA >KQK94380 pep chromosome:Setaria_italica_v2.0:VIII:14012876:14019153:-1 gene:SETIT_026197mg transcript:KQK94380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFFTEYGEGNRYKIEEVIGKGSYGVVCSALDTHTGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKAKRYLSSMRRKKPIPFTHKFPNADPLALRLLERMLAFDPKDRPSAEEALADPYFKNIANVDREPAAQPVMKLEFEFERRRITKEDIRELIYREILEYHPNMLREFLEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDNRTQSTTNVTEDLSKCVIRDDARRAQLDSSSVGANRVPQAGGATRPARGVGSAERYGNYASPAADQYEQRRIANGVSPRSSYPKRIPTCKGETSEADRIDVRQTGQPKPYIPNKLPTTVDGRNGHW >KQK94381 pep chromosome:Setaria_italica_v2.0:VIII:14012876:14020923:-1 gene:SETIT_026197mg transcript:KQK94381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGAFDPSQPNLANVFHRDLKPKNILANADCKLKICDFGLARVAFSDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDIITDLLGTPSPEAISRIRNEKAKRYLSSMRRKKPIPFTHKFPNADPLALRLLERMLAFDPKDRPSAEEALADPYFKNIANVDREPAAQPVMKLEFEFERRRITKEDIRELIYREILEYHPNMLREFLEGTESTGFMYPSAVDHFKKQFAYLEEHYAKGSTAAPPERQHNSLPRPSVLYSDNRTQSTTNVTEDLSKCVIRDDARRAQLDSSSVGANRVPQGGATRPARGVGSAERYGNYASPAADQYEQRRIANGVSPRSSYPKRIPTCKGETSEADRIDVRQTGQPKPYIPNKLPTTVDGRNGHW >KQK93466 pep chromosome:Setaria_italica_v2.0:VIII:1287643:1290155:-1 gene:SETIT_026922mg transcript:KQK93466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPTNPTKSAKAMGRDLRVHFKNTRETAFALRKLSLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQVKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEAESQIATRKA >KQK93465 pep chromosome:Setaria_italica_v2.0:VIII:1288318:1290035:-1 gene:SETIT_026922mg transcript:KQK93465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKYSREPTNPTKSAKAMGRDLRVHFKNTRETAFALRKLSLTKAKRYLEDVIAHKQAIPFRRYCGGVGRTAQVKSRHSNGQGRWPVKSARFILDLLKNAESNAEVKGLDVDTLYVSHIQVNQAQKQRRRTYRAHGRINRKFI >KQK94241 pep chromosome:Setaria_italica_v2.0:VIII:11624557:11625093:-1 gene:SETIT_027130mg transcript:KQK94241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAGSGSPVSRKRPLVSVPASPAAASCGGGDGGDAAGGGSGSPKAKRRLFAAVGGAALPAWPGRRTTAAAAEVRIRHEWLLPLV >KQK93552 pep chromosome:Setaria_italica_v2.0:VIII:1874766:1881347:-1 gene:SETIT_025837mg transcript:KQK93552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANRMKSMREELRKINKVFRDFKFSEGGTCTSLEQHDDYRETSSRLPEEPIIGRNREKQEIINRLSAGTDNDETVIVSIHGLGGIGKSTMAQLIYNDAQFKKYDHQGGQQNRDTLEAINQCLDSLLRGKKVLIVLDDLWEEKDAELGKLRSMLQVGKKGTTIDVIVTTRKEDIARKVSTCPPYKLEPLNDYTCWKIIKRYSRFEDQHYKERLEEIGLDIAKKCGGVALAAQALGYILQSKDLSGWIEINNSDIWKESSEDNGGVLPSLKLSYERMQPQLRICFSYCAIFPKGHNIAEDDLIHQWIALGFIKPSKGKEYTRQLLGMSFLQVSKFPETLGDHMERYTMHDLVHDLATLIMGDELIVSNVAWMNNKAHSQKLCRYASVTKYDHATRLSNVLPSKVRALHFSDSGKLDLSCGAFLFAKCLRILDFSGCSSILFPASIGKLKQLKYLTVPRMQNQVLPEFITELSKLQYLNLNGSSHISALPESMGKLWCLKYLGLSGCSSISKLPGSFGDLKCLMHLDMSGCSGIRELPNSLGNLTNLHHLDLSECSGVKEIPESLCGLTHLQYLNLSSCRYITRLPEAIGSLVNLQYLIMSWCGVREFPESFKGLCNLFHLDLVGCYIEKGLAAAMHGLTALHYLDMSVVRGTENEDLLVTMRNLTNLKVLKLASFLGPIYGPIYGTHTNLNFIGTLTNLEYLDLSLNRFEYLPESIGNLKRLHTFNLENCRMLKSLPESICCATGLKSVLLDGCQQKLMDQASSLLDYSLTLPLFKVRADDVSVHSNLHVLEGENVGELHIVSLENVKLLEEAQRLNLLTMQNLLTLKLVWTLDADRHLEDKHLLGQLVPPMSLKDLSLEGYSSPSFPGWLMAISHHLPNLTSIALNDLPTCLNLPPLGQLPYLEGLDLSNLPNIIKIDGRICGGKGAFPRLVKFTVFSMGGLEEWNTTCPDKDGVEEFMFPMLDVLAVSQCPKLRLKPCPPKCHKFIIIESDQVISTLEEVETSSDRCNSTPTATSLVIISTTSQHHSFRLFHHFPALQELSFSCSNLTSLPEGIQQLSSLQSLELYRCRSISALPEWLRDISSLKKLTIHDCDNIKSLPACMQHLTNFQQLVIDRHNKELQQWCESEENKAKLAHINIVSSRPPHLFF >KQK93780 pep chromosome:Setaria_italica_v2.0:VIII:4333200:4333970:1 gene:SETIT_028632mg transcript:KQK93780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVITSHNMSMAPTTGSPVSLPAPQTHSSRNEQLLPSSSHHSSQLEETRTQAACL >KQK94820 pep chromosome:Setaria_italica_v2.0:VIII:27573464:27576370:1 gene:SETIT_026589mg transcript:KQK94820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLHWLCGPCSSLHSGPTPDTEKSSATSQLGTALNRTIQIRPPTSPPPPSQPTNQAAGGRERIVRGRGSTGFGRAMATRRGRGWARGAAAFAAVALAVGAGRRYGWDGASAVAAFREARGALGPWAAPAYVAAHALTLALCPPYAIFFEGGAALVFGFLPGVACVFSAKVLGASISFWIGRAVFRYFTSAMEWLQRNKYFHVVVKGVEQDGWKFVLLARFSPLPSYIINYALSATNVGFFRDFLFPTIVGCLPMILQNVSIVSLAGAAVASTTGSKKSSIYSYLFPAIGIVSSILISWRIKQYSSALAIPNELKSAPTNGNSNGDAKLASAPSTNTNSGKTRKRR >KQK94353 pep chromosome:Setaria_italica_v2.0:VIII:13592320:13592855:1 gene:SETIT_027195mg transcript:KQK94353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGTSGWPTCGQRLGGARRRLTGRRKRTSLAVVGARRRWPGQREGSTRQAASQRGGGAAMAGSTRGHL >KQK95108 pep chromosome:Setaria_italica_v2.0:VIII:30944046:30944436:-1 gene:SETIT_027202mg transcript:KQK95108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGFEGEDLNGFYYPYVHLLCMVQNIHWYGWLAMRAPVCTPWGSGFLAVHPVVKLQHLLVVGVHR >KQK93335 pep chromosome:Setaria_italica_v2.0:VIII:605636:607242:-1 gene:SETIT_028286mg transcript:KQK93335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGGFSVLRSRAVLPLSLATPPRFLRRLPHPRAVSSSSSPPLQPPPGMEASYKFGPYKIDAREVFYATPLSYAMVNLRPLLPVKRFADLSSDETSDLWVTAKEVGVRLEQYHKASSLTFAIQDGPEAGQTVPHVHIHVMPRKKGDFEKNDEIYDAIDVKEKELKEKLDLDIERKDRSMEEMAHEANEYRALFS >KQK95351 pep chromosome:Setaria_italica_v2.0:VIII:33694910:33696796:-1 gene:SETIT_027516mg transcript:KQK95351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESFVKVFNEFEIQLLVLLSFTLQLFLFFAGNLRRSSSNRLLRLSLWAAYLGADLAAVYALGYLSRHQQTQQLVFFWAPFLLIHLGGQDTITAFALEDNNLWLRHLLNLVTQVTLALYVFWKSIGRHNNTELLISGTFAFVAGMIKYGERTWSLKFGSITSLESSAAHRYRKEPPQGTASDVAPFVGDALESMPSVLDAFSKRTLREPLRGFVNTIDDCDQMIRMVRLQLGMMYDDLYTKAPVLRTRTGVILRCISEASVIVAFALFHVSEKQRYSKADIAITYSLFVGCFFLDVCSMFIPMMSPWTWAWLKAHKCHALARLSLFVFYCDIGYPKMKLRWPNSVGQYNFHSWRLSTDSDLQPRTCTQHIMILLRKLFVDLFRVEQKKLFWLSKILDTEFTDVDIMILEGVAEELTDLLSSELDNAKEWTHMAALLSWLQGHFVLDFGMGIIRMHYVTETYLRKYASDSDMKANAGLMEVCRKLSEYMMYLLVTHPSILPLSISAEAALDGFRTLEQADMYSAGLDPSKETLEELARMWTRLLLYAAGKSHPGMHAALLSGGGELITFAWLLMVHYGVGNSGIIEIQLTNKDLAQQVNLGRFYAFCVPPEQQSDPFFGKQRARNPVTGG >KQK94261 pep chromosome:Setaria_italica_v2.0:VIII:12015273:12017014:-1 gene:SETIT_027397mg transcript:KQK94261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPLFHFRWFRVPPRVVAGALSMLPVKIWQIQPGRRSWSSGAGGLKSVWAAGRTSCPCAPTRRATCLGRTTTATSTKLSSPQPRIQSSGGYGYGYGKAIAIGGQSKRGPRRPYSCADCGETFYTPQALGGHANGHRSKEKKSMVMPNLQSPSACRSGRHVCPVCDIVFSTGQALGWHRKRVHYGGLVIGSASNTSSSSTPRPPANALEPSEATAMNMYSNAAAVHGTANAMRCRSFFPDSAGSAILALPALNPVQRMAMTSNPAVPGLVSAATAATGSWSWSLPATTSSFLPAIPLQNHGENPPEEIAVAPGNRAGRTIRAFSSQDQEENPPQELAMAPGNGEHRSIRPFGSDTSPQFPSQNQEYPPEERAVATGNDEQRSICLLGIDVSPALPSQNQEENPPQEIVPGNRGLGTILLFGVNLAERPKEPKK >KQK95156 pep chromosome:Setaria_italica_v2.0:VIII:31481962:31483363:-1 gene:SETIT_028289mg transcript:KQK95156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMDSGADNAGGESCLSSSTAYPFEYGCGFQQGRVFLFAGDVFDGTPQNQKRPRFDMGREPPPPPPQASTWASVHTDILGVVLNFLPCAADRAAVRSVSRHWRAAARGHCLPPPLPVLVLPKFRFSCLSSRGAMTAPRVPGCPRTRRAMTTVAAGEFVNNGNGECFLVNAFSDGVIHLPRLKANCNASIYSKSIRIVNDNDSGFVHTASGKKYGMLLCNNAVLSATPNSGSKCIDEHGVNVSCVSSHVIYPLLRSKHNYSLRFQMVVWRGKLLLIIRNFDARKIEPEIVKVEVFALDISTSRVTEIHSFDGDCIFVGNRSCKSFSAGLHVGVQGDLIYFADGYDGVFSYKNRLFDGYMVCNIRDGTIRPLAVEFSPTNSGAPEVHLDIPVWFCPSE >KQK96018 pep chromosome:Setaria_italica_v2.0:VIII:40504145:40505044:-1 gene:SETIT_027118mg transcript:KQK96018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTTLMVIMCLVILCLNVNSATAAQCGCCVSHEAQACCQLCIRVGGSDTVCKHTCCFPCFLDDSVAAKMVEMEVLAKMKEAGQA >KQK95280 pep chromosome:Setaria_italica_v2.0:VIII:32893079:32897356:-1 gene:SETIT_026043mg transcript:KQK95280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPAAASRSGAGAGEPLAEEAESPAMRRLRRLSLHLLQPSDQAETSLALAACAGRSRRVEGGADVAAALAAYLRGRHRAAQLRLFDFFRSRPDLQTPVELTTAAHRELCFRQLRALVRDAGVRPLTLMASDPAEYFAVMEAAGGADISLGVKLGVQYSLWGGSIINLGTKKHRDKYFDGIDNLDYPGCFAMTELHHGSNVQALQTTATFDPVTDEFIINTPNDGAIKWWIGNAAVHGKFATVFARLILPLQGKGGEPADMGIHAFIVPIRDLETHAVLPGIEINDCGHKIGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGIAYSSVGVLKVAVTIAVRYALLRQQFGPPKQPEISVLDYQSHQHKLMPMLASSYAFHFATVQLVDKYSEMKKTNDEDLIADVHILSSGLKAYVTSYTAKSISICREACGGHGYAAVNRFGALRNDHDIFQTFEGDNTVLLQQVAGDLLKQYQEKFKGGTLSVTWNYLRDSMGTYLSQPNPVTARWEGEDHLRDPNFQLDAFRYRTSRLLHSVAARLQKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIESVRSCPDEKTREVLKLVCDLYALDRIWKDIGTYRNVDYVAPNKAKAIHKLTDYLSYQVRLVAQELVDAFDLPDLIIRAPIGMQSEAYAQYTQYVGF >KQK93648 pep chromosome:Setaria_italica_v2.0:VIII:2677491:2680399:1 gene:SETIT_027345mg transcript:KQK93648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGELWNAWEIHSLILVSLFLQVFLFLFAGKRMRSNSTLRRLVLWLAYLSADSVATFVLGHLAVRAIEPSDQGLMSFWAPFALVHLGGQVTMTAFSMQDNELWKRHLLNLVIQAAVARYVVGKASWPDRRLKAALVLVFVSGFFKYAVRTWYLFLARPNFLKSPKSWKIYGQGKTSYEDKRERAIEDMGKVLHRLSKGSTESVVHGDAPLNTVRSITLAATGKLPGMLDEFLSRDDHHNAYEHVGTLLVECYSRLYTKCYVLGSLTDGLRLVLRKRNMVQRYSRLYSVQFIFQSIYFLVTLFPYVAMPIALVLFATAEKGDPLLHSSRGRVDIMVPYLLLVGALAQKIRLAKYNKTVQLVFDFVYIYAEVSNKFVRYIREKCGCERDADLSMPVKKFILDTLLVSGTRKEWNIASTRGQLALHHRKATATGVDFPRSVLVWHIATDICFHYSGDKDAAITYSADGLLKKHCYKQMSRELSNYIMYLVFKCGVMLTTYSHVVHDDTLYEIAKKLSLYRRQAGVNPGDHKDAVITKLLSEEIKIEREESKEQVETSKVEHEGESKEEERDEILPRITCMKKLGQSAEALYSSPVLPRAREVAQELISIKDEAERWDLIAAVWAEMLYYTPPRCGLLSTPSI >KQK94608 pep chromosome:Setaria_italica_v2.0:VIII:23343853:23344110:1 gene:SETIT_027753mg transcript:KQK94608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSTPTSSPDSSDNRRCSYPMPFSGATLCVSFSNVGNTITCPVCPGMRQLWKILNEVKDHILGMTTFAPLRGENKNKWSHHRVVA >KQK94542 pep chromosome:Setaria_italica_v2.0:VIII:21485971:21489338:-1 gene:SETIT_026469mg transcript:KQK94542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALRPRAATAVASADLRPAVRRGACRVSAAAFRYYPCWQLQGNMLNWRSKFASKKLLSTVAGAMPDDSEFESVDAPLEPETWEGSFLCGLLKNLPHIFLAAAAKQLQELSNQREDTLNRWEHSIGSKEDCLHRRIAELKEQECQTAIEDIMYMLIVYKFFKIEVPMVPNLSKLISNRRLQLWPPRETDLESIHGPEVLELIREHLTSIIRWVHRNGPKINRSTLRIKRLQFGRIYSASIMYGYFLKSVSIRHHLELTLTRSEELPPPIQFLNAQFTNKQEQEEAVGGSGEVSSSSKPSSVVNPHDLKGYMMGFDPKTLQLCAKIRSCEAANLIERHSWALFGENMEVTQENDEAVILDPSSLKRLLLEAIAFGSFLWDVEDYVDEIYKLSDN >KQK95385 pep chromosome:Setaria_italica_v2.0:VIII:34027185:34028229:1 gene:SETIT_026732mg transcript:KQK95385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGPKYDGAFLHGKIKSLTHDVRIADTVTNVVVPAFDVKCLQPVIFSTYEAQHEPLKNAHLSDICISTAAAPTYFPAHFFRTEGPSGKSREFHLVDGGVAANNPTMVAMSMLTKEVLRHNPDFRPAEYGNFLIISVGTGAPKQAEMYTAPKCAKWGLLRWLYDGGFTPLIDIFCHASADMVDIHAKVLFEALGCEKNYLRIQDDSLVGHTSSVDIATKENMEALIRIGRELLKKPVARVNIDTGMYEPVAGEGNNEQALERFARKLSDELKLRMKNFNSY >KQK94573 pep chromosome:Setaria_italica_v2.0:VIII:22490792:22491416:1 gene:SETIT_028605mg transcript:KQK94573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSSTTVQLLLVLLVLLVLVSGILARGGPSTCNLRRKRALLP >KQK94572 pep chromosome:Setaria_italica_v2.0:VIII:22490789:22491496:1 gene:SETIT_028605mg transcript:KQK94572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNSSTTVQLLLVLLVLLVLVSGILARGGPSTCNLRPFEQRNCPPIPGQGD >KQK94667 pep chromosome:Setaria_italica_v2.0:VIII:24511691:24513360:-1 gene:SETIT_027666mg transcript:KQK94667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCQSRTGAGLVAKIVIVPERPPDDDTHVEVRRLEYGDLMEQAFKVVEIELVLMYESIHSKAPVIHGWLGRGLRVFTLAAPVVSLVLFARAAGDMRGYARVDVHISYVLLGGAVFLETYAILLMVISPWTYADLRASERLRPAPRAVLWLIKLFQPETRPRWSNRMSQYNLISYCLNDEPRWYKGLMERLEWRWNFRVKTMWDSWRYTKKITVPKDLKRLVFDQLKSKANSTMDLKSYQKLGEHRATQGPDGLYQQLGWSVDCEFDDSILLWHIATDLCLYAMSIGQIRFGNTCAEAKGSFRRADEAGDEAGCVERLRAVDTSIVEPRDVKGDRSKSVLFQAYKLAQQLLELEGATEAKRCRLVASVWVEMLCYAAGKCSGSAHARQLSQGGELLNLVWLLMAHFGVGDQYRVESGHARAKLVVDR >KQK95450 pep chromosome:Setaria_italica_v2.0:VIII:34523970:34526089:1 gene:SETIT_028055mg transcript:KQK95450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTLLAFRLRLLPLLALLPLLLLHPTALAEDGADAAALLRLKQSLSDPTGRLDAWSASSPSPPCDAASPWPGVQCYKGVLVGLRLTHMNLSGKFDFAAVAKLPGLHSVNLKHNNFSGALAATSVGAARSLRALYLSFNQFSGPVPADVFTNLRWLKKLYLNGNNVTGPLPADAIAAAPRLIELHLDRNEINGSIPFKLPASLKLFNVSHNRLTGSIPPDIARRFDPSAFAGNTGLCGSPGSDTAVCVAAGPAPPPAMPPPTPADHMAVEEETSVFVVIGIILLVILLVTGAMVLMLRQDERNSATPAYDYYAAAGASAPGAVAGSSKASGGAEMVAVDVAGGGSSSSHGGAGGGRRMGEFVLLTDDIPAFGLPDLMKASAEVLGNGTLGSAYKAAMRNGVTVAVKRMRDMNRAGREEFEQHVHMLGELRHPNVLPPVGYHYRKEEKLIVSEYMPHGSLLYILHGDQSPNRVVLDWPARARIAVGVARGLAFLHEKLGIPAGRLVSMDGADFDAPPPPPPHGNLKSGNILLDADMEPRLVDYGFFPLVNAAQAPQAMFAFRSPEGATRGAVSARSDVYCLGVVLLELVTGRFPSQYLLSARGGTDVVHWAAGAVAEGGERELVDPAIAAGGGCDAAVRLLRVGVHCAKPEPECRPTVAEAAWMVEEIAAGNAS >KQK94362 pep chromosome:Setaria_italica_v2.0:VIII:13749555:13752838:-1 gene:SETIT_026327mg transcript:KQK94362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSKKPIPNLSSQRRFELLDLKKSSSSLNMSTSSLRSVGEETRKGGAAVQASRRATAVRFAPPPPSSAAMSAKANSGSVSHSQQAMARPATASGARPGSAAGPRCRTSAGRLREPGPKAMRRNWGWTGGVDAKEKGSSNPVAAKTHSRSSSAPRRLPPSEEKEKPQPKRGSKIMTTSRKETNPATPPKTEMEGSRSPPDIARRNTKAPNCVSLKNMDMVSPPPRTSVTTIGASWDSLPSDVQSLGQEVMEYRGDAEVAAVEALKEASAAEILLRCLSAFAELASAAAKHSPQETVDEFLALHTALTGSNAAVPGDDKQSLHAGDWLRAAVSTDLAAFSLYSPMRNSSQAVGSPPAASRSPPSTRRPNAAGEASAEEEITWLEAARRRLGEEMRAWFLGHVERLLDGDVAGTLGQLKRVNDWLDAVGPGPESEAVERVRKKIYGYLLDHVESAVVALNGGVAPGGRRK >KQK95070 pep chromosome:Setaria_italica_v2.0:VIII:30521328:30523525:-1 gene:SETIT_028041mg transcript:KQK95070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSAAAAAAPVAATDPQLLAAAVEAAIASRSPRLGRAAHARAIRLLAPAIPPFIRAHLVNLYSKLDLPGPAAAALASDPSPTVVSYTAFISGAAKHGRPAPALSAFAAMLRLGLRPNDFTFPSAFKAAAIAPPSSAIGPQVHSLALRFGYLPDDAFVSCAALDMYFKTGRLALARRLFEEMPNRNVVAWNAVMTNALLDGRPLETVEAYFGLREAGGMPNVVSVCAFFNACAGATYLSLGEQFHGFVVKCGLERDVSVSNSMVDFYGKCRCVGKAKVVFDGMGVRNSVSWCCMVVAYAQNGGEEEAFSVYLRARRAGEEPTDFMVSSVLTTCAGLLGLDLGRALHAVAVRSCIDANIFVASALVDMYGKCGGIEDAEQVFFEMPQRNLVTWNAMVGGYAHIGDARNALAVFDDMIKCGETAPNYITLVNVLAACSRGGLTKEGYELFKTMNDRFGIKPQIEHYACVVDLLGRAGMEEQAYEIIQGMPMRPSISVWGALLGACKMHGKTELGKIAAEKLFELDPQDSGNHVLLSNMFASAGRWAEATDVRKEMKNVGIKKEPGRSWITWKNVVHVFQAKDTKHEMNNEIQALLAKLKSQLQAAGYMPDTQYALYDLEEEEKESEVFQHSEKLALAFGLICIPPGVPIRIMKNLRICVDCHRAFKFISGIVGREIIVRDNNRFHHFKSYKCSCKDYW >KQK93442 pep chromosome:Setaria_italica_v2.0:VIII:1110777:1111157:-1 gene:SETIT_028537mg transcript:KQK93442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKSLSLKFKVVVICAMKKDWKRGSTIWML >KQK94609 pep chromosome:Setaria_italica_v2.0:VIII:23388622:23391877:1 gene:SETIT_028287mg transcript:KQK94609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPALLLIMSPPVLKQPLGSSFQASATTFNNITDGDTLLEFKASLSNHWGAIASWNKTNEFCRWQGVSCSLKHKHRVIKLNLSSEGLSGTIAPSIGNLTFLRTLDLSWNNLHGEIPSTIGHLSLLRNLNLSNNSFHGEIHANLNNCTSLESINLDSNMLTGEIPAFLGGLSRLSSIHLQRNNFSGLIPPSLANLSALQQIYFAFNKLEGPIPKGLGRLSGLEFVQLAANQISGTIPTTFFNHSSLTHFSVALNELNGRLPSDLGNHIPNVQYLLLSMNHFTGTLPASLANATKIYALDVYLNNFTGRVPPEIGKLCPALLSFDTNQLTATTAQDWKFVTFLTNCTRLRVLKLQDNSLGAMLPISITNLSAQLQKLFVGENEIYGKIPFGISNLAGLTQLQFSNNRFTGVLPDSIGMLNSLQIFDFDGNQLTGLLPSSIGNLTQLLHLRTDNNKFEGPLPTSLRNLQELTAATFTNNKFTGPLPIEIFNLSSLSFLLDLSNNYFFGPLPPEVGSLTKLAYLYISGNNFSGWIPDAISNCQSLVDLRLDTNSFNGSIPASISKMKGLMILTLFNNTLSGAIPRELGLMDGLEGLYLSHNNLSGHIPESIENMTSLHKLDLSFNHLDGKVPLHGVFSNVTGFLFDGNLGLCGGISELHLPPCLPNSMEHSKRELLAIFKVILPIAGVLLCISLAHLIDDKYPRVSYAELVQGTNGFDTNSLIGRGRYGSVYKCSLHLKNAITTVAVKVFDLQQSGSSNSFISECEALNKIRHRNLISIITCCSSSDFNQNDFKALVFEFMPNGSLHSWLHQDVQASQQRHGLTLTERLNIAADVADALDYLHNNCEAPIVHCDLKPSNILLNQELIAHVGDFGLARILSNSTSEQLIDSKSTMGIRGTIGYVAPEYGDGGQVSKCGDVYSFGIVILELFTGMLPTNDVFRDGLTLQKHAENALPGMLMKIVDPVLLTVEEAFESNLQGRRNAMEDISMVMLPVTKLALSCCKQAPIERMCIRDVAAEMHRIRDLHVKKRKTQGEFTIK >KQK94886 pep chromosome:Setaria_italica_v2.0:VIII:28409594:28411080:-1 gene:SETIT_027528mg transcript:KQK94886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGRALPVVTLFLRVITLCLLAASLVVTATTAKTIYGPYPIYIYIGDIELTQQYDFTFQGLYTYRYVLSVAAIGCAYSLILISLAIIAVIEGKRVGGTNAARFLSFLDVVFCTLFTSGGAAGLGLVVDSQRIDGKYFDSARRKFFISFDASCGLLLAASVCTVVIIMISVYSK >KQK94662 pep chromosome:Setaria_italica_v2.0:VIII:24408115:24408767:1 gene:SETIT_027021mg transcript:KQK94662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGKKHVSVVALLAAMVALQLMAAPAAMARPMQAMKGDGSRAPLPRQIMEELILSKLGKLQYCGETCYLTGCHGSGCSCVPLGGIPWTTFNCMRSAASLSVKVKPFHDAVLPCNVRTS >KQK95271 pep chromosome:Setaria_italica_v2.0:VIII:32843400:32844676:-1 gene:SETIT_028212mg transcript:KQK95271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSTYCLFVHSDTPRPFLFISGSAEHMAATPQSWSDIPLDLACLVLGRLYAHADRVRFAAVCPQPAAPSTTCPGASRSASLAATSFATASGSWLVYRRVRCLVLVDPFSGATMTLPDPSDAHLPDKDLVAALFWSSRSNRVAVCRPGGSTWSVAWNLSLWITDMAFYRGKLFAVDHEEDLLALDISVDDKTGDPQVSRIGQAIKVNHFHNPDTFHRMLYLVELRGKLLLVRRMIFHEHAHGSGQMHTFDGQCEPELVVFKADFRRSRWAKVMNLEDDQALFLGPCSRAVCLPQYDSPGNRFWFLYKDYYPSWQWDSSSTSGTSDMANNGKFSSPLPTISWNRHGGPADHVGAVWLFPSN >KQK94044 pep chromosome:Setaria_italica_v2.0:VIII:8168860:8171644:1 gene:SETIT_026964mg transcript:KQK94044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGAMEERVVTERIRRKLEEVNAAAQQQLAGVQDHVNFTMQQAYFKCAYECFDRRRNQEGINNCVENCSVPVLTANNLVENEMARFQERLNRSLMVCQDKFEAAKLQKMKTDATQELESCVNRSIDDSIRVLPHVVEQIKSSLKMN >KQK94239 pep chromosome:Setaria_italica_v2.0:VIII:11593760:11594185:1 gene:SETIT_027244mg transcript:KQK94239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNQEMCMHIVYACLCPSDHLLDSAFISSLLVLQFYFFLLLHHDLKSNCVTTTS >KQK94367 pep chromosome:Setaria_italica_v2.0:VIII:13812559:13817603:1 gene:SETIT_026547mg transcript:KQK94367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVYSTLRLLDPKFPRTSEEPKRIGQRHAGAKKMLLLFLLALLAGAAAFLLFKFATVVDGDLTLVSRGPPRRERVDGKVVWITGASRGIGEVLSMQFANLGAKLILSARNKDELERVKQNILSKNPDSRIEVLPMDLSAGEESLKQVVHAAESLFSNAGIDYMIHNAAFERPKRGALEESEGGLKATFNVNVIGTINLTRLLAPYMLDRGMGHFVVMSSAAGKVPAPGQAVYSASKHALNGYFTSLRSELCTKGIKVTVVCPGPIETPQSSAAASSVQRNVFRWKDVLN >KQK94366 pep chromosome:Setaria_italica_v2.0:VIII:13812559:13817603:1 gene:SETIT_026547mg transcript:KQK94366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGVYSTLRLLDPKFPRTSEEPKRIGQRHAGAKKMLLLFLLALLAGAAAFLLFKFATVVDGDLTLVSRGPPRRERVDGKVVWITGASRGIGEVLSMQFANLGAKLILSARNKDELERVKQNILSKNPDSRIEVLPMDLSAGEESLKQVVHAAESLFSNAGIDYMIHNAAFERPKRGALEESEGGLKATFNVNVIGTINLTRLLAPYMLDRGMGHFVVMSSAAGKVPAPGQAVYSASKHALNGYFTSLRSELCTKGIKVTVVCPGPIETPQSSAAASSVQRHSSEKRVSVERCAELTIVAATHGLKEAWISYQPVLTVMYLVQYMPTIGYWLMDKIGAKRLDAAAKKGNTYSWNLIFGGKKSA >KQK95170 pep chromosome:Setaria_italica_v2.0:VIII:31624896:31625191:-1 gene:SETIT_028626mg transcript:KQK95170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHCKNYQMSSLFPVNTQQYIFLYALFLCCFTAIL >KQK93898 pep chromosome:Setaria_italica_v2.0:VIII:5736033:5738674:1 gene:SETIT_028042mg transcript:KQK93898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFDRNQRQRSSFCSTATFFVAFVALCLLGLWMAAVKADAKEEDSSIDATNTVKQDSANVVAETTAAAEASQEDPAKPAAEDAKGDGDKAAASKDQTFDDENGRTEGGELVKPGNGGETDAAAAQGKGAAEEASAETDGKDAGGMDQASTDAKDQSAEQASTDTKESAEQAAAAVAGRGTPKNLTFDDENGKMDGVDLVKDDGNKTRISEESAKVEGAALTVKPLAKAAAATTDTDTTSTAEALPNVQAELLTERAAQNGSFTTQAAESTEEKKKRAGAEKKAKGNKKKKAPGGGAATPAGKWKLCNSSAGADYIPCLDNEAAIKKLKTDKHYEHRERHCPAEPPTCLVPAPPAYRDPIRWPHSRDKIWYHNVPHTALAEYKGHQNWVKVSGEHLTFPGGGTQFKHGALRYIDLIQAAAAPEGAVAWGRRSRVVLDVGCGVASFGGYLFDRDVLTMSLAPKDEHEAQVQFALERGIPAISAVMGTRRLPFPGGVFDVVHCARCRVPWHIDGGMLLLELNRLLRPGGVFVWSATPVYQKLPDDVEIWDEMAKLTKAMCWEMVAKTKHTVVDDQVGVAIFRKPERNGCYEKRPEKAPPLCEPSDDPNAAWNIKLRACMHRVPEDPSERGARWPEPWPERLGKAPYWLDGSQTGVYGKPAPEDFAADLEHWRKVVRSSYLAGMGIDWKTIRNVMDMRAVYGGLAAALREMEVWVMNVVTIDSPDTLPVIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKLKPRCKVLPVVVEVDRILRPNGKFIVRDDKETVDEIQSAVRSLQWEVRMTVSKNKEAMLCARKTTWRPTEVESR >KQK93575 pep chromosome:Setaria_italica_v2.0:VIII:2043731:2045960:1 gene:SETIT_027302mg transcript:KQK93575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDARPAQEEATDVANAVLDGSDAILLGAETRDLHTVETISTVGKIAEKVFHHDLSASVTVRAAIKVNTSVIICFTTSGRAARLTAKYRPSMLVLSVAIPHLKTNQLKWSFTGAFEVVQDNHSLFFLMLVDPRHPAESTSAANESVLKVYLDHGKASGVMNFHDCVVVRQIAGESLVVKILSWMTGHVY >KQK93968 pep chromosome:Setaria_italica_v2.0:VIII:6857743:6859779:1 gene:SETIT_028177mg transcript:KQK93968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAEGYVSLEETKEYKCIVDQTFMREEDFYEFYNDYAYHKGFSIRKGRVRYKTGTKEVIWRRLMCSCEGYRSVKYFERMDQKRQPRALTRCGCTARLDVEWSEIIGTWYVKDFVDVHTHALAKPEHVFVLRSHRGLNDPQKVEVVELGLGGLRPFQIMDVMEASHGGPWETGFLSQDLYNFFSRYKKGKVEGSDVEFVLNHMRQMQEKDPEFFFTFSVDAQGRLKNLFWSDAQSQIDYGVFGDVVVFDSTYRVNRYNLPFVPFIGVNHHRSTVVFGCGILSDETILSYVWLLEALLEAMHQKHPKSLITDGDAAMMRAIEIVMPDADHRLCSWHIEQNMLKRFRGLKLKDFRKFIYHAMEEGEFDRLWREFRGTHNIKEDNLWMSLVDLMEHYEFCLSRIRRNEIELDARALCSIPFTKISADVLEKSATQIFTPTIFQKVSFQIKKSSNWSVTEVTLQNGCLRYEVSLQGNNKRSFHVTCTFGSSLVDARCHCRKLEREGIPCAHTFCVMKYSHIESIPPCCVYVRWTMNVKSAFPTEMRTNTHVWTEQMDRYHSLRSKGNRALFKVSRSQDETDRVMKLLDDILKEDTQEQGMEEETTFGPLPAHFSAANQPGGTKVLDPVKIVSKGAPRSNK >KQK95691 pep chromosome:Setaria_italica_v2.0:VIII:37212892:37215470:1 gene:SETIT_026977mg transcript:KQK95691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNISLRDRIRKRREEEDDDMMMFLFPALYLMGSAREGVKKKRHTSEETGEVKVRRLLEGHIKNCQVTFRMEPHIFKELATYLRRKRLVVDIRITVEEKLGFFLYMLSRNASYEDLAVTFGHSNDTFHHHINHFFTSTSYDD >KQK94191 pep chromosome:Setaria_italica_v2.0:VIII:10691353:10696225:1 gene:SETIT_028373mg transcript:KQK94191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLEILGMSLTSPGDQLPEPLKPQDKLELLKQDLEEIHTLLMDLSRVEAPKTMAKLWMNQVRELSYDIEDCIDKMMHPPSNTGDENRFDVEEFNTLMKQASDARKRYHRYDLGRWASNPTFRVVNREVWVPTMDLVGIGDSRANPINLLSNDAEKLMKVISVLGPVGVGKTTLAKEVYRQMKGQFKCRAFVRASKTPDTRRLLRSIISQIQRHQQPPHGLPVQELIDYIRKHLQQKRYIYGAFPEGIDCSRILITTDIEEVALECCDYQSDCIFKMEPLSRNNSRELFLNRMFGSKHECTEQLKDVSEKIIEKCGGLPLATICTASILASQSDNSELWLHVNECLSSFIRKNLTSEGMLSEIVGMSYNSLSDHLKTYLLYLSMYPEGYTFLKTDLVKQWIAKGFISAVEGKDTGEVAEFYFDELVCRGLILPNCIDFSDEDIFYTVHSTVFEENFATVIDYSEAITKLSAKICRLSLTFSSAKHATKPDGIALSELRSLTFYGLVNCLPSIMEFKLLRVLILEFWGDKEELDIIGINKLFQLRCLQIRTDMTVKLQASMQELLCLETLEMYARVTTFPSDAFVLARLLHLCIGHMRSLRTLQCFDLSRISNGIVWSLNEMTNLRDLRLTCTTASCEHHLKSNLIALMSCLEKLGNLKTMILAPSASCTSINLCCSGIVSSLPISLGRLELLPPICIFSRLPLCMGQLQKLRILKIVLGELMGSDIYSIGRLQELTILSLYVRQPTGELIVVNRAAFPVLKCFKLRCGIMRLAFQADAMPGLQRLKLEFNAHSGEQNGNMLAGIEHLLNLQEITGRIGAAPGAEESDRVAAESVFKDAISKHSRLISFNLRIVHSVDQ >KQK93815 pep chromosome:Setaria_italica_v2.0:VIII:4652460:4659217:1 gene:SETIT_026305mg transcript:KQK93815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASECSTSRPPQPSPASASSPASASGVWAKLVPADPAYPEVEVAEDDVVVCSLVAPAAAGGEEVAWCEIRRNGGDASSATIRNLSSDAIIVDGTIVKQEAVDIKPGSEIVSGPQKEGHLVYTFEITAAKDQDKNNVKIVLDIENAKCSICLNLWHDVVTVAPCLHNFCNGCFSEWLRRSSSKSRDKSQCAACPQCRTAVQSVGRNHFLHNIEEAILQTFSSLQRSDEEIALLESYASVKSNIVLGKQKNQSRKRPFPRSTDNIDNADLPCPQCRGEFGGFRCSPGAAHLQCDGCGGMMPARSNVNIPQKCLGCDKAFCGAYWCSQGVNSSHFNLICNQETFKMISQRHVSRLPDTVHGGNPYEKDITEKCIQQSGKTLQAVISEWTSKFDNMEIDRSRLQLNNVDAITSRTYICNHCYNKFTDFLLYWYRVSMPRNLLPPDAVNRESCWYGFLCRTQHHRPDHAKKLNHVCRPTRGNP >KQK95791 pep chromosome:Setaria_italica_v2.0:VIII:38107047:38110164:-1 gene:SETIT_026535mg transcript:KQK95791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKELGRVQDDIERKLQLGNFATNVQTTIMVTNIQSAGDLPPRPPPPRSQGEVIIDGFTKFSLSELKAATENFSEGNMIGSGGTGDVYKGVLQDGQVVAIKKLHYIRDIDESGLYDGINAFVDLKHKNISRPLGYCHEVIMVLIRDNGKCVRAEHREFCFVEEYMENGSMEKIIDGSRFIGWSCRFKMIQGIAQGLHYLHEQRVVHRDLKPANILFDSDMNPRISDFGVAQKLDLGVEETSGDTNIAGTVDYMPPEYLFEGTVSTKCDVYAFGITLLGTIIGGMSMSRPQEPIRWAFEARDDVRTELFKPSLCCKSQLMQIKKCMEIGLLCVEEDREHRPTMADVLAMLNGVKELPALKQPWGIE >KQK94020 pep chromosome:Setaria_italica_v2.0:VIII:7805762:7807877:-1 gene:SETIT_027339mg transcript:KQK94020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIILSLFLQVFLFLFAGTRMHSNNGLRRLVLWLAYLSADSVATFVLGHLAIRTIEPSDQGLMPFWAPFVLVHLGGQETMTAFSMQDNELWKRHLLNLVIQAVVACYVVRKSSWPDRRLKGALVLVFVSGFLKYAGRTAYLYFACPKFLKSPLSWKLFGQGRISYEDQRENAIEYMGGVLDRLSKRSTERSRFMESYNLTTDIMAGDAPLNAVRTITLAENGKLPGMLDEFLCRDDRQNAYEHVGTLLSLTDGSTAPLKHKEGSSVGSGSLSKPFPVSLIVFHSLVYGASTLFPYVTIPIALVLFATANKGDPLLHGSRGRVDIMVSYLLLVGAIVLDVSSVVSLFLPNKKQWCQKLNQYNMINSAKVPYKLLSNIHFLSFDILLECGPGRDNIDLSMPMKKFILDTLLASGTRKEWNISCTRGQLALHHRKPMTTTLRALEDSVRTGVDFPRSMLVWHIATDICFHYTGHKDAATTSSYGLLKKHDYKQISRELSNYIMYLVFKCGVMLTTYSQVVHDDTIDEIAEKISLSGDHEDAAITKLLLSEEIKVEHEESKEQVETSKVKHEGETTKQEEQDEIVQIEHEESTNDDSNDAAAKDHMKKHCQSAEALYSSPVLPRAREVARELISIKDEAERWDLIAAVWAEMLYYTAPRCGAAFHAEHLTKGGEFVTHVFVLMYLLGPFMPPPGA >KQK94274 pep chromosome:Setaria_italica_v2.0:VIII:12239527:12240224:1 gene:SETIT_028324mg transcript:KQK94274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLIDIAGHVAVTSLQPMDDLHNLRAVCRVMHRVCGDPSIGRRMALITMYWEDMQWNEPDRYHALLALLVGVGNPEACTIKGIVDFFAVPKPSLHKLSRAAAGGHDVGAYPASGSAGPNKLRNDGYRVCHKEATYLVNRVTWHGHGDPLPPAPVRGDFPCVGGDCGKVKGWEQATLFYNEECRIRHEIVAFGRIMGIDN >KQK94477 pep chromosome:Setaria_italica_v2.0:VIII:18878621:18880287:1 gene:SETIT_026989mg transcript:KQK94477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSKILGSLQTAHNLISKIKPSLSPLPLPQQTVSTQHPQSLTASPHSAAAAAAIPQSSVLAATTLIPLLPLLVPLVAAVAAMTKGWVQRVQQDDEEEETTRLNRTASASMKIGKRVWRKWVIRCILSWSNQLSFG >KQK94476 pep chromosome:Setaria_italica_v2.0:VIII:18878621:18879507:1 gene:SETIT_026989mg transcript:KQK94476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSKILGSLQTAHNLISKIKPSLSPLPLPQQTVSTQHPQSLTASPHSAAAAAAIPQSSVLAATTLIPLLPLLVPLVAAVAAMTKGWVQRVQQDDEEEETTRLNRTASASMKIGKRVWRKWTRRSSDAYFLGAIN >KQK95298 pep chromosome:Setaria_italica_v2.0:VIII:33140946:33146329:1 gene:SETIT_025906mg transcript:KQK95298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAVGASQSAMSALLGKLGSLLAQEYTLISGVRSEIQYMNDELASMHAFLRKLERAAAAGAAHDEQTKDWTEQVRDVAYDIEDCVDDFAHRLGHQPRGEGLLVNLRRAWYAMTTLWSRRDIAAKIIDLKNRAQEVGERRTRYGVQDPKHDFERKASRTPRPYPTDRLQSSNPRLVGMTKPVGQEEAISTHGRWLVEGRADQRILAIVGFGGLGKTTMALEMQRRFGEKFDARASVQASQKLNRTSLLRDILKQVLPQQEPERKGDTGGTGLESRADEIQGWSEEQLKKKLQKQLEHKRYFLLVDDVWSVSSWTNIWESFPKNKIGSAIVVTTRFKSVANACCQQEGRIHMLKSLSYKESETSPRTSRRMNSKTRRRPKITKWSPKISKGSSKTPWGEFTRIKEDIIRSCGGLPLAIVIVAGLLAQRDLSNVSHWKTVKESLNSELDKNLTPEGVTQILNLCYNDLPADQKNCLLYLSIFPKGCSIKRRRLTRRWIAEGFIVEKDGKTVEEVADDTFNEIISRNIVRPVEHSSNGNVKACQVHDMILEYIVFKSSEENFITVVGGHWLTPTPSNKVRRLSLHNSNPEDARDRIGNMNLSHVRSLTVFDNLSQMPSYSLKSGILQVLDLEGCKSLNKNQLDKICKMFHLKYLSLRRAYIKKLPEEIGKLQYLETLDIRETDVTKLPLSIGQLQKMVHLLGGNKSTRLALRFTEVIAKMTALQTLTGIEISKGPTQDLGSIHNLTKLKKLSIFNLRDIDASSQKHGDLLSAIEYLSGFSLKSLAIDDGFTGFLDSVKELSTPPKYLHSLELSGKLTCVPGWIEELKTLENLTLSLTSLGTDTLRVLSKLPLLFSLTFSVNAKGQERNVVEILHENTTVSGGKIFVPAGGFENLKLLRFSAPVMPLLSFLETATKELQRLELQFRLLEGVYGLENLKSLQQVHLRVSQQHSEATKVKISDIRTSVSVHPNKPTVVADEYYE >KQK95304 pep chromosome:Setaria_italica_v2.0:VIII:33187521:33187866:-1 gene:SETIT_028575mg transcript:KQK95304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTEEYNNSMIHVLEGVYHSLYIWYFIFGECAMNECIGSNLVVTFRLLYNSRNSLGFYLSERFHFLKKSS >KQK93406 pep chromosome:Setaria_italica_v2.0:VIII:972755:973831:1 gene:SETIT_028089mg transcript:KQK93406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDRLLATLARHGRFAAAAALVSTARCTTRALNSLLAALCSPTKSSPTFLRVAPSLLLRAAPHAAPDATTFRILTSALCRARRPTAAADLLRCMPALLLDPDPRHCRAVLASLCHCAPAARHALAFLDDMRRWGVSPIQSDHRAVLDALLREGMAAEAYEVVARQMDADGVAPGLPEFERVLRAFREEGSFDAVEEAFDEMLLRGLVPGARVYDVYVGALCDKGDLAGARRMLACMERAGCPPGVTTFGVVVAGCVAAGDVEAAREVAREAVRRGLRWDAPALSELAGALRGGGHLARARGLLLEDILRDGCTAQLDASAFEHLIGGEGALCGEAPCAEAVAVVVGETPTSLQPGTER >KQK95142 pep chromosome:Setaria_italica_v2.0:VIII:31329972:31331655:-1 gene:SETIT_026852mg transcript:KQK95142 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGAAKPPPLVCFKWPWGPNPTPSPDPGPSPCGDLELPWLFKSIRTLAQGLVIAGDLPSPPASAASGGGGTRRRRGWGSPGAAQVEADRGDTEQRALAAALASGRPATVLEFYSPRCRLCASLQGFVRDLEEQAGGSAGFVLADAEDDRWMPELLHYDIRYVPCFVLLDKHGRALAKTGVPTSRQHVIAGLHHLLKIEQPSGHEGNRSAPPS >KQK93571 pep chromosome:Setaria_italica_v2.0:VIII:2027402:2030428:1 gene:SETIT_026901mg transcript:KQK93571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSSSRPHHLLRPLLRGFHASAQVLSRAEPHEFSKPSGYLGSWESAGEPRQAWAQLDRLRKGYARDVRQLRRQYAYEVQLMEAERQRKAEARAEAARVANEERKAAKAAAAQTRAAERRAFEEDFRQALMKERAEKLESWRKKEKLQEQKKAEHKELLHKKSSVWLTEDKLEDQILDAIKNTTPL >KQK93582 pep chromosome:Setaria_italica_v2.0:VIII:2080446:2081828:-1 gene:SETIT_028282mg transcript:KQK93582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVAGGGGRMRASSIKKQRQRTMNNIKITLLCGFITVLVLRGTAGFNLLVNSGDPDGAAADAKVVEDIERILAEIRSDSEPDEVVVFVGDGGSSSSSSPSNVTAGGFGNFSFSPSATLIKVKEYSLGPKVSDWDSQRQEWLSRHPEFPSRDARGNPKVLLVTGSPPGPCDNPAGDHYLLKAIKNKIDYCRLHGMDIVHNMAHLDPELTGYWSKIPLVRRLMLAHPEVEWIWWMDSDAIFTDMAFELPFSRYEGRNLVVHGYPDLLFEKRSWISLNAGIFLLRNCQWSLDLLDAWVPMGPRGPSRIEAGKLLTASLSGRPPFEADDQSVLIHLLLIQKDKWMEKVQIETEFYLHGFWTGLVDRYEQMMEEHHPGLGDDRWPFITHFVGCKTCGRYEDYPLERCLRGMERAFNFADNQVLRLYGFQHRSLVSAKVRRVTDPRANPLEAKEAALKMDAKFQRV >KQK93933 pep chromosome:Setaria_italica_v2.0:VIII:6290593:6291276:-1 gene:SETIT_027970mg transcript:KQK93933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPEDSETQEFTVMNEQDLEEYKIKQLGDRNDLRGTLRIFGLENVGSKEEALEANLAAKERLTVLELCWERDSRRPNAEAEAQLQHLEIWDFHGSSYPSWIVGAQSGGPTELRRLFFWRCSQLGPAPKLENFLHLQLLWLDDCSWDALPDNMERLSSLKKLFIIACLNIRWLPALPPSLEELNVVSCDAAFTRSCQTTGHPNWQKIEHIPKKMISC >KQK95395 pep chromosome:Setaria_italica_v2.0:VIII:34115335:34119286:1 gene:SETIT_027707mg transcript:KQK95395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDLDYCALITIETSLESDILVKIDDIFVTQSQLSCLLDPKKFLNDDVISAYICCIKYQTHLQSRNDVKFYLENPFISVILKRDGKFGVGQDGNHMTKIVRNYLKHEMILIPINIKETHWYLAIINTQKCEIQVLDSLCWDSNRGDLADTLQGLQFHLDIIGRQQNLISHNWKDLQVISWIITEQLQEPIQKDGSSCGLFMLKFMEYWTGDSLSHPITQEDINCFRYKLAGILLCWKRNTAQTTPKNISLLGNSDDQKEPKATDSLLEETKYQSLMSILSKISENELIGGLCDYIKSINCLETLEEVWVRNSKPYSISLTVRKLQEILKEDLPMDCDCLNLVIRKFMFDEIQMMKKTKGTISKHYLDTRFWMITDYGRHPNFRKKLDVDQLAETVCSWPGVNYSVSRCKLVRHHFPIPILAIIATSLLVHVNALALVVTNSILQILIPIVQFNKTFILFILNQDTRTVYILDPTPLDPVYKYNPNARYVKKLLCIAEFLPKAMSKVCPGSRWSEDVFLWCQIILSDVPIENRELSGYLVSLFMCIWKDEELRLPILKDGYELRKQFMAQLLTYKDNECEDNLPAGVRDFLRCINATQS >KQK95700 pep chromosome:Setaria_italica_v2.0:VIII:37331137:37331861:1 gene:SETIT_027043mg transcript:KQK95700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRVARLVSERTVVVFTVSNCSWCNVVTSLLDSLGVHAAVHDLDRDPRGREMERELARRLGGGAGRGGSTPTVPAVFVGGDLVGGINRVLALHLSDELVRMLRNAGAICPC >KQK93380 pep chromosome:Setaria_italica_v2.0:VIII:858109:860377:1 gene:SETIT_026743mg transcript:KQK93380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTARLSTSCSLATGSITIRCRRATMTAIGCAPRGSRTHRRSVGISLCRSSSTAGAEGGRKMEDYNIAMKRMMRNPYEYHHDLGMNYAVISDSLIVGSQPQTPEDIDHLKNEENVAYILCLQQDKDIEFWGIDFPAILSRCKELGIKHIRRPAVDFDPDSLRSQLPKAVSALEWAISQRKGRVYVHCTAGLGRAPAVAIAYMFWFENVDLNTAYKKLTSIRPCGPNKRAIRAATYDLAKNDPLKEPFETLPEHAFEGIADWERKLIRDQVRALREA >KQK95033 pep chromosome:Setaria_italica_v2.0:VIII:30092277:30093192:1 gene:SETIT_027262mg transcript:KQK95033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYVMLQPKFLHLVLRTSLQPGQMYLEWFCLNFAKSYIENFKVGSIDAIYLSINSWYQIMIIIKCPDLTNCNPFRVS >KQK95843 pep chromosome:Setaria_italica_v2.0:VIII:38836559:38838080:-1 gene:SETIT_028259mg transcript:KQK95843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SRTEKLLRKLPSPPFKLPIIGHLHLIGSLPHHSLRDLAKRHGPDVMLLRLGAVPTLVVSSPRAAKAVLRTHDHVFASRPHSAVADVLFYGCTDVGFAPYGEYWRQARKVITTHLLTAAKVRSNRAAREQEVQLVLAKVTAAAAMGIAVDVSELFSFFANDIVCQAVTGRLPREQGRNQLFRELLETNAKLLGGFNLDDYFPSLARLDLVSAKAVKHRKIWDDLLDSLIDKHKTKPVDGEDEEDFIDVLLSVQQEYGLTRDNVKAILMDMFEAGTDTTYIALDYAMAELMRNPKVMTKLQAEVRGCATKGKELVTEQDLSGMSYLTAVMKESMRLHAPGPLLIPHLSMAEWEVEGYTIPSGTRVIVNAWALGRDSTYWENAEEFMPERFMEEAVDAASDFQGNDFRFLPFGSGRRMCPGINFTTATFEIILANLIYHFNWELLPGSTGVDMSESYGMDVHRKEKLLLIPRMAQDV >KQK94425 pep chromosome:Setaria_italica_v2.0:VIII:16047334:16050376:1 gene:SETIT_026765mg transcript:KQK94425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSLLPRAPYLASFPKPASSSLRPPLPAMSASPSAAAAPAAVAGSEAAARPRKLPVLLFDVMDTLVRDPYYHQIPAFFKMSMKELLESKHPTAWSEFEKGLVDENELAKKFFSDGRSFDLEGLKECMVRAYEYIDGVEDILCCLKKNNYEIHAFTNYPVWYQLIEDKLKLSKYLSWTFCSCRTGKRKPSPDFYLQAVDHLNVDPASCIFIDDRMVNIEAALSVGMVGLQFKNAEALRKDLCALGVELSPLVCEGEAHVQ >KQK93328 pep chromosome:Setaria_italica_v2.0:VIII:571363:575822:1 gene:SETIT_026411mg transcript:KQK93328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGTSTGCSARTLAACVIGGIVLGASVLALHLAGPAAIPSLPPLDALRRRLRRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVISDDEIKANKGPPVTPLHERMIMVRAVKWVDDVIPDAPYAITEEFMNKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSSSDAHNHSSLQRQFSSGHGQKVDDSGSGSGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTIR >KQK93329 pep chromosome:Setaria_italica_v2.0:VIII:571363:576565:1 gene:SETIT_026411mg transcript:KQK93329 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPGTSTGCSARTLAACVIGGIVLGASVLALHLAGPAAIPSLPPLDALRRRLRRRRRRPVRVYMDGCFDMMHYGHCNALRQARALGDELIVGVISDDEIKANKGPPVTPLHERMIMVRAVKWVDDVIPDAPYAITEEFMNKLFTEYNIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSTTDIVGRMLLCVRERSSSDAHNHSSLQRQFSSGHGQKVDDSGSGSGTRVSHFLPTSRRIVQFSNSRGPGPDSRIVYIDGAFDLFHAGHVEILRLARELGDFLLVGIHTDQTISSTRGRHRPIMNLHERSLSVLACRYVDEVIIGAPWDVSKDMITTFNISSVVHGTIAENMDFMEDDSNPYAVPIAMGIYCRLESPLDITTSTIIRRIVANHEAYQKRNEKKEASEKKYYESKSFVNGE >KQK93976 pep chromosome:Setaria_italica_v2.0:VIII:7048492:7048749:1 gene:SETIT_027680mg transcript:KQK93976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGSVRRERRRKGEESGPRKMGTSFRPGGFSFTGYVYLLTYLLMAITGLGYLALTWSTVVLLGGFVTSLQRKDFWCLTVISMLQAA >KQK94696 pep chromosome:Setaria_italica_v2.0:VIII:25246357:25246839:1 gene:SETIT_027168mg transcript:KQK94696 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADRSRIGYPRVRARAVRFAWVSLAPDRRHSHNSACACRRRRPPRSLPRGFRCRLPRRLSAPHLPSASAAAARAS >KQK94185 pep chromosome:Setaria_italica_v2.0:VIII:10578560:10579511:1 gene:SETIT_028071mg transcript:KQK94185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSFPAWPGISTNSSPTPPGLLHGLSPAVGKERRHLVTHRPEIHHGFQLLQWPPNNPTGNEARTAGPRRCAESIEHANSWSDQTAITTAVPRRFLRRRSRHRQHRLLKRMASWQNGLHASAVVELRRSNNTKSCGSGGASCKGEN >KQK93326 pep chromosome:Setaria_italica_v2.0:VIII:569647:570470:1 gene:SETIT_027113mg transcript:KQK93326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTRSWECRSLTAPAMRKLGLSFRRWRSSTRSSSTSTKPRATPSTKPRATPRSICSSPTMVNCDEVMNKLIFFSCVTYHHNSCLIHY >KQK93327 pep chromosome:Setaria_italica_v2.0:VIII:569647:570470:1 gene:SETIT_027113mg transcript:KQK93327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTRSWECRSLTAPAMRKLGLSFRRWRSSTRSSSTSTKPRATPSTKPRATPRSICSSPTMVNCDEELFKYVR >KQK93325 pep chromosome:Setaria_italica_v2.0:VIII:569647:570470:1 gene:SETIT_027113mg transcript:KQK93325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMTRSWECRSLTAPAMRKLGLSFRRWRSSTRSSSTSTKPRATPSTKPRATPRSICSSPTMVNCDEELFKYVR >KQK95905 pep chromosome:Setaria_italica_v2.0:VIII:39441351:39443498:1 gene:SETIT_027472mg transcript:KQK95905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATSPSPIPTPQDDDVLALFYVLALVFLAVVAIFLLHLLGPLRRWLSKGLLHHIVMGVYALSYPLVGYTIGLMQSANWYFNDFTVWAVFLLLLLSSTDSLTACRLNDIDNWKNIYVKQLFKGFLLVFIILKFGRQMMMRNLDADYLWYPLSAILVVIVLKSYLMIASMRMVSKSYLGKNVKVIAEYMQHIDNRLVVFNPVTMEGYQYMVAGEKHCVNRPGHTPWYKKPDDLKVTTVEQIWQCEGNLLIGDQGKVLKDLCLSMALSKMLNRRFAGFKLSEAELEKTHDFVFKGLLAGDEQRAFRVIEEELVFVHDMYYTRYSYLYQKGRYLALCLPVIMFALCSWLTVASLHVKHHDDPYLSNHSNRSLMSGTIVITVVLAFLEAYQLYLYIASGWFKVALIRSYVAAPFLQTSCFYEMIIHLLLMLKVFRPWKGRLGQYCFLENLGRKSKVVNCLHYGTLCLVDKAMKGSKKSVKVSEDVKKAIIDSLLASNGHLTNGVTSLQKNGVHDDLKWACDATATDGALARTIVVWHIATTQCEQKLDKQVKEEDAVKTASTLSKYCMHLLAFAPNLLPDHSSISESILDYTDDDCVDDETILVARGVHLARQLIDNIQDFTTRWKVLSDFWAEMMLYVSPSDDAREHLEVLAIGGEFITHLWALLTHAGVLKRGPTVPKDGL >KQK95398 pep chromosome:Setaria_italica_v2.0:VIII:34141476:34144613:1 gene:SETIT_028143mg transcript:KQK95398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVTGAIPSLLDKLGELLVGEYNLQNEVKGGIIFLQDELKSMQGALEKILRTPADKIDNQDKIWASFKEAIDRILEWLWQPMIRRKIATEIREIKSRVIEVHKRRRMYEVSLGVDKPVTVDPRLFAQYTEVKELVGIDEARDELINKILIEGNEVPLKQGRIVSIVGFGGLGKTTLANAVYKKIRAQFDCYAFVSVSQIPDLKKLYKGLLYDLGKSINEETLDERRLIEVLREFLEDKRYFVVVDDVWDLSVWKMIRCALPDNDVGYTIITTTRISHVAEQAGGAYNMKPLSLNNSRKLLYRRIFGNGNKENNEEEEKCHDELAEVSDRILNKCTGVPLAIITMASLLACKARDKMEWYDVCNSIGTGLENNLDVENMRKILSFSYYELPCHLRACLLYLSMFSEDYEIKKDRLIRMWIGEGFIQCEKAGKSLFELGESYFNELINRSMIQPVYDFDDIIIQGCRVHDMVLDLIRSLSSEENFVTVLSNVLSNMGGTSPPNTIRRLSLQNGQESHVMAQATWSLQHARSVVVFPAAAFLVPPLDYCRVLRVLDLEDCNLSQANSSLKYLGNIHHLRYLGLHQTGISQLSEEMENLHLLQTLDVSRNKFLMLPSSVVQLRKLMCLYIDGFITAPDGIGNLTCLEQLLGLCIDPCTRNVIEELGQLTELRQLSIDLDEWNDKLLECLCKLQKMQELAIGSRGQRSIGGLDAWVAPRHLRVLDTRNSCWFSTLPAWVNPSLLLDLTNLKIAMRELHQVDLEILGRLPALHYLDLLVDNKNLSILAGFVVGAGAFPCLVNCYFTNFVWPVVFQHGAMPRLRGLEFWWFYLREVRGIACNDGSLDLGLGNLPALQNIYVDMQRDGASKEEAEQAKAALRHAAEMHPN >KQK95467 pep chromosome:Setaria_italica_v2.0:VIII:34641820:34648380:1 gene:SETIT_026243mg transcript:KQK95467 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRCIEIAQICVHHDPSKRPTACDIIVMLNRTEAMTQKVPSFFNRLRTDPPFSLRQMVQRFKKTFSQTLCEHCRMVDISDDLNILERILEGSQKPSKISYPLLQFITRNFSDERKIGHNELGDFFKGILRIVAVTRLSRSLSISDKIFHQEVKLMTMAQHGNIIRLLGNCSYAEENVGQDGEITIDERERLLCFEYLSKGSLKKHLSDELRGLQWHTRYQIIRGICEGLRYLHKERAIVHMYLRPACIILDDFMVPKITEFGISELVMTSTNHHQQREYLAPESISNGSVSFKADIYSLGIIIRELVTGSKETPCLIKVLRRWKHRWGKSGPLWCLQIKKCLELAQSCMQKDPNKRPPISHIVGELNELDTNANVSTLDQINSCLEDMLEIEPLELHFRFEHNKAITRSVELINDTDDAFAFRITASSSLPYYTKPSKSIVGPRSRCSVTVALEALEMAPEQCKYGASRFYVQSTRVVESLTAEDITEDMFDEETDGKVVDKVDLIVFLE >KQK94012 pep chromosome:Setaria_italica_v2.0:VIII:7475702:7477702:-1 gene:SETIT_028092mg transcript:KQK94012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSNSLIFLCFLLLPAVLAFEGPHRESYPCPYNCPPSKETHLRMYSHQFPASGANPNEVAWPGWAADHWLLTWGPDPNQNIAGRARGFHLLTGETGKDWYISHIYVFQDDSRFAGSTIQVLGMLNGEWSIIGGTGAFYNARGYIKYKEVPSTIISNITDIVRELDVHIFTRETSTVANGGPVPI >KQK94740 pep chromosome:Setaria_italica_v2.0:VIII:26050309:26050787:-1 gene:SETIT_027892mg transcript:KQK94740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRGRCLPVAAVASVCKNTEFPDLCRGSLQKHAGKYDTVDSLTVLEMQVDAFKKQVRAHAISFRDGITIYAAMTMAAQDMQNCDEEFRKAAAKSPVCDLNRSLIEMSENCRAVSNMIPTR >KQK95074 pep chromosome:Setaria_italica_v2.0:VIII:30549665:30550145:-1 gene:SETIT_027189mg transcript:KQK95074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLKEVHGWLLEEVEESKVQRCHISSTFVLCSVQWLVLWLVLSSRLAIRTRRVSDGAILGKLMRCDRLTR >KQK95278 pep chromosome:Setaria_italica_v2.0:VIII:32881878:32884556:1 gene:SETIT_026818mg transcript:KQK95278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPDAVKSTLSNLAFGNVIAAAARDLQKEMVAKDKAQGAPASHDEVDLDELLDDPELEKLHAERIAALKKEAEKREVLKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKALAPVYVGTKFVKLDAENAPFFVAKLAIKTLPCIILFKKGIAVDRLIGFEDLGRKDDFSTRALENILKMKGIIDEKKKDDDDEDDESESKNRRVRSSTAQDSDSD >KQK93855 pep chromosome:Setaria_italica_v2.0:VIII:5215923:5220740:1 gene:SETIT_028034mg transcript:KQK93855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIRTRKEANARTPYVDAEEEEVVRREEDVGGSGAADGLRLELWTKIGSPFLVRSVAAVVLLLWTPTTASVLRMTRAGRQEPRRGRRREDGAVVVVAAFVGIAWVGRRRWPTAGGWVRRRRRDHLRRKAQMGFDWDAAVREIDEACAARASASASAPAPAHHPLPPWPPEPSATAPLHRPPAAVGSGAARQSTLDRFVDSFTKRRREKEGPVPAPAPAVEPGGGGVGRPGVLAGEGSSRQAGDKAVEDRFVESFTRRQREKERAVPAAPAGGRKRPAARANKGCPRRANVEVELDSCAVALDLEAVQTWIYPTNVDVREYQKHMVEKSLFTNTLIALPTGLGKTFIAAVVMYNYYRWFPEGKIIFAAPSRPLVAQQIEACLNTVGIPQEWTIDLRGNKNPSSRSVHWKSKRVFFVTPQILQNDIKSGICMVKQIVCLVIDEAHRASGNYAYCIAIRELLAARVPLRILALTATPGSKHAGIQSVINNLCISELIYCDEEDSLVKEYVNTRKVRVVKVPFGSDATQVDGMLMDIIRPHLNRLRDAGVIDHRDYAKWTPFELIKYKDKFKEAPPSNIREKERGEIGRSFVALGSLFHMRKLLWSHGIQQAHQFLEESVNKGSLNLMRKNELFWKLKEKMKLVSSQGSTPKIRELIKLMDEYF >KQK94268 pep chromosome:Setaria_italica_v2.0:VIII:12112747:12116993:1 gene:SETIT_026454mg transcript:KQK94268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALALRLRRALAAASTAAPLLRPSASVSRSLPLAPPSASPAAPLLPGGAAGFRSTAAAAARGGADYGADDSKISPDEILFEGCDYNHWLITMEFPDPKPSREEMIETYLQTLAKVVGSYEEAKKRMYAFSTTTYNGFQAVMTEEMSEKFRGLPGVVFILPDSYLYPETKEYGGDKYEDGVITPRQPPVHYSKPSRTDRNRNYRDGPPQQGNYPNRPPPQGGYHNSPPQQGNFQTYRSQQDGRGYAPQQNYAQSGQDARGFGRNDYADRSGYNGPPGGFQGQAPQYQGHVNPAGQGQGYNNPQEHRNFSQGQGGGFRTGGPSAPGSYGQPSTPGSYGQPSVPGNYGQAPPSAYPGGNRVPGVNPSYDGDSREGAGPAYGGDNWQRGSGQYPSPGEGQGNWQGRQ >KQK93248 pep chromosome:Setaria_italica_v2.0:VIII:151135:152355:-1 gene:SETIT_027868mg transcript:KQK93248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSWLRRFPHDVLHRKDNGGAGRRAASSTTWRNKNNNSFTARIIRCASSAARRRFDDDDDDRQLPSSPPPPGPPPAEEEEDDDDDDYSRSKDRNNKVGVVSARAFSFRELAEAAGNFRQEHLIGEGGFGRVYKARIKEQQEQVVVAVKQLDRNGPQGNGEFVVEVLMLSMLHHPNLVSLLGYCAEGEQRLLVYEYMALGSLEDHLLLISNHPLLPWRTRMRIACGAGRGLEYLHERGVIFRDLKPSNILLDDHHNPRLSDFGLARLLPPSNSSSSSNSSSSSTGSSRVMGTYGYCAPEYLRTGKLSAKSDVYSFGVLLLELITGRRALDASRPDGEQSLVGWAAPMFGDPTRIHELVDPRLVMAMQGPPAPELKQAVGLAAMCLQEHHALRPVMTDVVFALDFLSTD >KQK95734 pep chromosome:Setaria_italica_v2.0:VIII:37666597:37667189:1 gene:SETIT_027101mg transcript:KQK95734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIVHYLMATSFVVLLIISTNSPSCQACLWPWCKPRPDPEPCFRVMEPRDCTDNYCHGVCEVNHVVSNHAYCKIPGKRGVPVWHCCCPR >KQK95613 pep chromosome:Setaria_italica_v2.0:VIII:36438111:36440745:1 gene:SETIT_026161mg transcript:KQK95613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMESRSLPRAAATSMALAIAIVFLIYTTARLGDAASVEHTFMVSQVKMTHLCKETLVAVVNGQLPGPAIEVTEGDSVTVHVVNKSPYNITIHWHGVKQWLNCWADGVPMITQLPIQPNQNFTNRFNVIGQEGTLWWHAHVPFLRASLHGALIIRPRNGASSYPFPKPDREVPIIIADYWQLDLAQAARRMMHGFLFSFANASTINGKLGDIFNCSGVPEDNYVLDVVPGKTYLLRIINAALFAEFYLKIAGHKFTVVGADASYVSPYTTDVIAIAPGETVDALVVADAPPGRYYMVALPNQAPLPDTQTPEYTTRGIMQYSNTHSSADDPAGLISDRGVEEEEEDKGSSGDVPVAPEMPDIHDTITSYYFHSNLTNLYQTVVPQRVDERLFIVLSLGSICQHGQSCRRGDHNETILIATMNNVSFQDPTGKTPLLEAHYYHTGSVDLMQELPDRPPRAFNFTDEALIPYGPKEMRLEPSYKATVVRRFRHGAVVEMVFQSTAVLQGDSNPMHLHGHNMILLAQGFGNFDAAKDVAKYNLVNPPVKNTILVPNLGWAAIRFVANNPGVCKIYLC >KQK93463 pep chromosome:Setaria_italica_v2.0:VIII:1277186:1278619:-1 gene:SETIT_028319mg transcript:KQK93463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGPAIFSRGFRFNPTPLEAATYYLPRLVAGAPLHEAVRPVVNHADVYGCEPADLARQFCPLPRTGHRFFFTHCKLQQPQRAGKASRATRAAGSGSWHSQSVKDVVDHAGVKVGEIRKLRYKKGGEYTDWLMDEYSCCLEDAVAGDRQFVLCNIYVSPRADQGSAARQESAAFFAPPAPAPVVIAQAAAPKRPAPQSAEPPCPKRMRGDVAPTPPVVQPAGYCTASFAPPLPYVPHIATSAQPPPPPVPTRLAAPPLSRSLAPTPLHPRSPPQQQMPPPPTLPVVRACHMPVQAPARHCQPPQPSVQKKQSTRDPFEAAELGDEAEEERVAAPDPKESPAALVDQDDDWAELEKCMDDAVPTTEGSTVSEDEMDQTKQSTDDPFEAAELRDEAEKESVAAPGPAQDFDMDEFCRSLEGNGDLVRLFEDEDNVLTAEAEEEAPANSEGSTMAEDAPDPSSMEESPAAAQDFDIDEFC >KQK93232 pep chromosome:Setaria_italica_v2.0:VIII:98075:98548:1 gene:SETIT_028570mg transcript:KQK93232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEQMNSCLPTILFKFLQRNFGEKLIRRPTALFCFLCFPCSTVYSNKNSFSIFPAFCKHIGYILRILCSKRCLNRK >KQK93391 pep chromosome:Setaria_italica_v2.0:VIII:906249:908219:-1 gene:SETIT_028086mg transcript:KQK93391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHTSTPGPPGSPTPNSGAVKRQRKAAAPLGDVTNLLLPETPTPIKPRRTGLRPIPTPSDASAVSSTCSLSSAASVTPAPKLSSAVGFDEERSVVKCPIATVYWRRGTAETRGSRMRRRNPTTTTNSNKGKEPVAAAGTSSCPPLGRATRKNSRKDSMAQDTRPISSSAPCHGAKKKRPPPSTPKLPEDFVKRQRAYFADVDAFELPEEEVSESELE >KQK94356 pep chromosome:Setaria_italica_v2.0:VIII:13620689:13622192:1 gene:SETIT_026246mg transcript:KQK94356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVRRIRCVKAALDCGTAKLCAWTNVQREHWEGDLAVEGNLPAWLNGTYLRNGPGLWDVGEHSFHHIFDGYATLVRISFNQGRATGAHRLIQSEAYKAARTHGRPLHREFSSLCPRKPGSLLDRVRNIVGLSSGTALSDNANVSVLPLGDGRVICLTETTKSSVLIDPDTLDTIGKFHFTDRLWGLLQSTHPVVTPTEFLTLLPDLFRRGHRVVRMAAGSNERKVLGRVHCRGGLAPGWVHSFAVTENYIIVPEMPLRYSVTGVLKSELTPWYIFDWVPESGSYMHVVCRSTGKTVASVEVPPFMALHFINAYEQGDDDGGTGVIIADCCEYYADPAIIEALALHRLRSPGINKDAFPDAR >KQK94355 pep chromosome:Setaria_italica_v2.0:VIII:13620689:13622998:1 gene:SETIT_026246mg transcript:KQK94355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKVRRIRCVKAALDCGTAKLCAWTNVQREHWEGDLAVEGNLPAWLNGTYLRNGPGLWDVGEHSFHHIFDGYATLVRISFNQGRATGAHRLIQSEAYKAARTHGRPLHREFSSLCPRKPGSLLDRVRNIVGLSSGTALSDNANVSVLPLGDGRVICLTETTKSSVLIDPDTLDTIGKFHFTDRLWGLLQSTHPVVTPTEFLTLLPDLFRRGHRVVRMAAGSNERKVLGRVHCRGGLAPGWVHSFAVTENYIIVPEMPLRYSVTGVLKSELTPWYIFDWVPESGSYMHVVCRSTGKTVASVEVPPFMALHFINAYEQGDDDGGTGVIIADCCEYYADPAIIEALALHRLRSPGINKDAFPDARVARFRIPLDGSARGELETVVDPDAHGRGVELCSINPAYQGKEYRYVYACGARRPCNFFNSLTKIDLAEKGAKNWYEAGSVPSEPFFVARPGGTNEDDGVVISIVSTVEGEGYALVLDAASFKEVARVSFPYGLPYGFHGCWIPKKI >KQK93609 pep chromosome:Setaria_italica_v2.0:VIII:2271801:2273210:-1 gene:SETIT_026742mg transcript:KQK93609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRDKQLMPAPMASDGGLRRLFEKPLPENPTLLEALSACHRNTHPNPNRPIDPSSFTEIFGELHFQEKQQPERAALPPAPAPASWLDIATAAEADEKSKDDSSLDALLRPKPAASAVATVKRSASFCLNKSSASLLLCTEGLGSESTVDADDMVKFDGDAEAEADAVKGTETTADGSRNVDAAGAAEEAMKEERQPKAFPPPIRSIGRGGKPYVCFRSFREDGRFVLLEVVIPGKELLQATREGGRLRLQFANAAAAAKMHGEDDDHHAAKNACID >KQK93816 pep chromosome:Setaria_italica_v2.0:VIII:4658235:4658745:-1 gene:SETIT_028469mg transcript:KQK93816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVKSLISQLSFRNLLHDKICQILLPTTWSSILLIYVTLLLRKHRKKS >KQK95554 pep chromosome:Setaria_italica_v2.0:VIII:35568698:35570100:1 gene:SETIT_028147mg transcript:KQK95554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFSCQQRSVSQRIFLVSVVLLLNASAGLCSCYKRIFSFGDSIIDTGNFANGKGPLMEFPFGMTYFKRPTGRICDGRVLIDFYAQALQLPLVPPNLPEKDTGLFPNGANFAVFGSTAMPPEYFRRFHHSVPLWCHLGMQMGWFKDLMHRIAPNDDAKRRILSESLIVLGEIGGNDYNYWFSGNMPREQAAQFTPDIMATIGSSIQELINMGAKVIMVPNNFPIGCVPASLSRYRSNNRADYDELGCLRWYNDFSQRHNQELRRVVDGLAARNPGVKLIYADYYGAAMEFIRDPHRNCDRTARIWGNPSSFASWDGVHMTEKAYEVIARGVLDGPFANPPLLRACWLEF >KQK93381 pep chromosome:Setaria_italica_v2.0:VIII:866442:866867:-1 gene:SETIT_027238mg transcript:KQK93381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRASLTMLLAVIIFIEPSAIMSSILILALMIMVASAPDPGLLGKEPPSPVQNSEA >KQK95453 pep chromosome:Setaria_italica_v2.0:VIII:34532677:34535076:1 gene:SETIT_027171mg transcript:KQK95453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQSFFVKFNGIFADLRLHSTMWIWHILTNFCFFRCIYGRSCLIDTRSLENRLLMCFSAQSVDHMFLMYLLQFTC >KQK95452 pep chromosome:Setaria_italica_v2.0:VIII:34532677:34535076:1 gene:SETIT_027171mg transcript:KQK95452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEQSFFVKFNGIFADLRLHSTMWIWHILTNFCFFRCIYGRSCLIDTRSLENRLLMCFSAQSVDHMFLMYLLQFTC >KQK94176 pep chromosome:Setaria_italica_v2.0:VIII:10521688:10522209:-1 gene:SETIT_028633mg transcript:KQK94176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIRFNQDKIHLLQTVSYLLEHSPFPRLVYGITGPSSS >KQK93513 pep chromosome:Setaria_italica_v2.0:VIII:1628193:1629797:-1 gene:SETIT_028262mg transcript:KQK93513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPPPAENGPDRRLAHLGRALCECAADVEAGSMEKAARWLSRATGLAAATDGGPLPRLAVPVADCLARRLIRPMVPAVADALIDPSDHLDRRCVRAARRSFFELSPFPKAAVAVANRVILEAMENEKNVHVIDFAGPAAQPCQWIQLLRDFRSLPEGAPHLRLTIVHDDEEFLAKVSESLVDEADRLDVPLQVHCVAGQIETLDFSDLHGVLGLKSGEARAIVCTLRLHRLLAAADEAASSFSAGHRSNQTASVARLQQMASNSCPLSIGGGAACEEEEEDPYYRSPATPLGFVSPPLTTPPFQMPPALAGFLSAARATVSPKIVVLAEQEASHNGVSFRKRFAEALHHYAAVYDSLDAAAAAYRRPPAERAEVERAVLSEEIRDLLLRDGARRRERHDRLHQWALRMEVAGFRGVPLSYIALRQGDDVLRRCGVGGCESREHGGCLLLCWKSWPLYSVSAWRPDRGAAYGI >KQK93871 pep chromosome:Setaria_italica_v2.0:VIII:5302084:5303367:1 gene:SETIT_027304mg transcript:KQK93871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEFATLWDMVQNFQLNAEEDSIRWRWTPNGAYKAKSAYLAQLQGTYPSLEEMAIHISMHCCYAKEIWFLVSNWMGPGASILCGADGNLYNAADGDIYDWWNRVLEPLNANQRCSVAAIVMYTIWNIWKERNPRIFDNSSLRLDQWQRWGDSAALRRQPARTDDTRARAVQEQRARALAAARAVWERRARAVQEQWARALAAAGLDGRLGAAGASLDGQAE >KQK94431 pep chromosome:Setaria_italica_v2.0:VIII:16276285:16277887:-1 gene:SETIT_026548mg transcript:KQK94431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAQTVVPTDAELLQAQADLWRHSLYYLTPMALRCAVELGIPTAIHRLGGAASPTGLITALSLPSAKLPFLRRLMRLLAASGVFTVDKSTEEGVYCINPVSYLLVDGIPDEVHINHTSFVLTTTSTRYIDAAMGLADWFKKDVVTPPFEELHGATLFHESMESLDADYHKMANEALEAHDNFGVPIAMREFRDIFKGIQSTTCCWGASGDDTFARALVKAFPHIKCTVLANPKTISSKPGDGTINYVQDDMFNFIPPAQTVVLKLMLHHWTDEDCVKILAQCRKAIPSRKDGGKVIIGDIVIDYSAGPLLEAQLLMDVAMMTMTKGRQRDEKEWREIFMKAGFSDYKLLKKFGARGVFEVYP >KQK93764 pep chromosome:Setaria_italica_v2.0:VIII:4146075:4151194:1 gene:SETIT_026044mg transcript:KQK93764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNPYFFGFILPFVASLLLTKRKSEKKRGVPVDVGGEPGCAVRNHRFERPVESHWEGVSTLAELFEQSCEQFAYMPLYGTRKLIARELEVAPDGRSFEKLHLGNYEWKSYADAFKTVCNFASGLLRVGHLKDERVAIFADTRAEWQIALQACFRQNIAVVTIYSSLGEGALCHSLNETEVTTVVCGRKELKKLVDISGQLDTVKHVIYINEEGVSTEVSLAEKCTSWTIKSFEEVESLGLERPVEANLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLAVVSAVMTIVPYLGKKDVYLAYLPLAHILELAAEAIITGVGASIGYGSPLTLTDTSNKIKKGTRGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGLAKKLFNIAYSRRLAAVNGSWLGAWGLEKLLWDMLVFQKVRAILGGRIRFILAGGAPLSGDTQRFINICLGAPISQGYGLTETCAGGTFSEYDETSVGRVGPPLPCSYIKLIDWAEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDERGMRWFYSGDIGRLHPDGCIEIIDRKKDIVKLQHGEYVSLGKVEAALSVCPYVDQIMIHADPFHSYCVALVVAAHSELKGWASKQGITYRDFADLCQKQQIVKEVLQSLAKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREIIKKAYEKDLAQLYS >KQK93763 pep chromosome:Setaria_italica_v2.0:VIII:4146075:4150956:1 gene:SETIT_026044mg transcript:KQK93763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLLFLLILGLSGRLRCRQNIAVVTIYSSLGEGALCHSLNETEVTTVVCGRKELKKLVDISGQLDTVKHVIYINEEGVSTEVSLAEKCTSWTIKSFEEVESLGLERPVEANLPLPSDTAVIMYTSGSTGMPKGVMMSHRNVLAVVSAVMTIVPYLGKKDVYLAYLPLAHILELAAEAIITGVGASIGYGSPLTLTDTSNKIKKGTRGDASALKPTLMTAVPAILDRVRDGVRKNVDAKGGLAKKLFNIAYSRRLAAVNGSWLGAWGLEKLLWDMLVFQKVRAILGGRIRFILAGGAPLSGDTQRFINICLGAPISQGYGLTETCAGGTFSEYDETSVGRVGPPLPCSYIKLIDWAEGGYLTTDSPMPRGEIVIGGPNVTKGYFKNEAKTNEVYKDDERGMRWFYSGDIGRLHPDGCIEIIDRKKDIVKLQHGEYVSLGKVEAALSVCPYVDQIMIHADPFHSYCVALVVAAHSELKGWASKQGITYRDFADLCQKQQIVKEVLQSLAKAAKQARLEKFEIPAKIKLIPEPWTPESGLVTAALKLKREIIKKAYEKDLAQLYS >KQK95354 pep chromosome:Setaria_italica_v2.0:VIII:33713114:33718532:1 gene:SETIT_026235mg transcript:KQK95354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTCGRFLSSSAATTTASFSPLRTLTRSLLRRPHPRLLFCSSAAAATTAVEPDTKVGSGAGAGGGGAVRPQWKASIDFKWIRDNRDAVADNIRSRNSAANLDLVLKLYDQYLALQKEVERLRAERNAVANKMKGKLDPSVRQALVEEGKNLKEALIGLEEDLVELTDKLQLEAQSIPNATHPDVPVGGEESSVVRKEVGSQRSFNFTIKDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVLLEMALVNWAISEVAKKGFTPLITPEIVRSSVVEKCGFQPRAQNTQVYSIENSDQCLIGTAEIPVGGIHMDSILLESALPLKYVAYSHCFRTEAGAAGAATRGLYRVHQFSKVEMFIFCRPEESDKWHEELITIEEDLYASLGLHFKTLDMATGDLGAPAYRKFDIEAWMPGLERYGEISSASNCTDYQSRRLGIRYRPAPTEPPPANAKKVKAAGGPTQFVHTLNATAVAVPRLIVCILENFQQDDGSIVIPEPLRPFMGGLEVLTPKSK >KQK95433 pep chromosome:Setaria_italica_v2.0:VIII:34413096:34414226:1 gene:SETIT_028084mg transcript:KQK95433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKKKEPGLADQHGCKCLEEACWKFIMSRRNLKSIMASNDFEQLMISYPSLVKELLAKVGY >KQK95922 pep chromosome:Setaria_italica_v2.0:VIII:39639794:39643749:1 gene:SETIT_027791mg transcript:KQK95922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IPDLLLGQKLGPLPYESAIDIFDEFEYEALRRQAKKNGHITKLGKAGVKLFPTHNRVIFRIRMASKLQRVVDTIKVLVDEMNVFGFNRPQHQQAPALKEGRETDSNIVDPKNIVSRSRHEERKKIVEILVNDQATNGDLKVLPIVGMGGLGKTTLAQLIYNDPQVKDHFQLLKWVCVSDDFNLRNVANKICNASEGSLEEALKELQEQLKGKRYLLVLDDVWDEESFLDNWEKFKTCLEQGGVGSAVLTTTRNTEIAQLMGTIGISHERKYLDVGTLGKEFIQEIIETRAFSLHKRDDELVNLVGPIADRCAGSPLAAKALGSILRKKTTTEEWEDVLQRSNICTVETGILPILKLSYDELPTDMKPCFAFCALYPKDYPIDVDNLIQLWMANGFIVSEQNKVPIETVGKRIVNEMVSRSLFEYVEQDPTKFGYSSTTFLKIHDLMHDVAVSATEDECIYVTREMDESGKLLPSATRHIHFETWEGQLYANIDILSIRTLLVDVLHYSPKYSSLRALALPASYYKYLPMKPKHLHHLRYLDISWSRVEALPDDISILYNLQTLKLSGCKELTMLPKQMKYMTALCHLYTDGCTKLQCMPPELGRLTSLRTLTCFVVSSDSDCSSLGELKNLNIGGSLELKQLENVTEARNARQANLGNKKELRQLSLRWTRRKGEEQQCNEVLEVLEVHDRLLALEIEAYQGTKFPLWMGVLRNMVELRLSGCRKSEQLPPLCQLPALQLLHLEGLTQLQFLCSSCTSSTFGKLKDLKLVDLDNFDRFYDQVVQEELVAFPQLEKLHIEGCGELTALPEAGVLRKWYDGGEYTMVRSAFPGLKSLILEDLPSFERWEAAIEIEVEHALFPLLETVRIRRCKKLTTLPIYDLFFQSHALALWACFRHLQDLDISWSNDLIYWPENEFQHLVSLRRLKIELCDSLVGYAPDQATLERSQLLPCLESLRISGCHSLVEIFNPTPALKKMDVGYCKNLKTISFKQQDKTSLNAGRPSTDVIMASTAVQNFIPSSLETLKIDECHGLLEVLNLPSSLKEIEIAGCSQLQILSGELDALKKLRVGRCPELRSLESCMIEIPALEELFLSSCENLTSLPSGTGEYSSLRDLSVNNCP >KQK94819 pep chromosome:Setaria_italica_v2.0:VIII:27564160:27568014:-1 gene:SETIT_026128mg transcript:KQK94819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGVIHILLFPDTTRFGRHDFFSTVRYLNPLLLVYPILNCWIILSVHLQDTKGVVSATEVLKKEPVSDVIALKDSMKYFDANFFSDSKLREMEDGAKEFNVPAFRENRKLVALENGGLHNPSVLLFKSSWSGDSIISETRTFNYPGAPAVHRPSNDEDIAFMSVIELGELVRTKQITSRELTNIFLRRLKRYNPVLESVVTYTEDLAYKQAKEADDLLAQGKYLGPLHGIPYGLKDIIAVPHYKTTWGSRTFKNQVLDTEAFVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVARTDVMSISESLDKLGPFCRSAVDCAIVLDAIRGKDAGDPSSREVALGDPFHVDITKLTVGYLDDAEMEVVDVLSSKGVKLVPFKLNYTVESVQSILNITMDVDMLAHFDNWQREGHDDDYEAQDQWPVELRRARLIPAVDYIQAQRARGKLIHEVRDSFTVDAFIGNVTDWERVCLGNLVGMPVVVVPTGLKTIEDPPKGGTRRRTTVTTGIYAPPDHDHIALALAMAYQSATGHNKQRPPIDDLGPNDSIHR >KQK95089 pep chromosome:Setaria_italica_v2.0:VIII:30654456:30655374:-1 gene:SETIT_027105mg transcript:KQK95089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLNVNPATAAQCSCCVSARAKACCFACITAGGSDSLCKNTCCFPCVLSDSVAAKMEEMAVLAKMEEAGQA >KQK94159 pep chromosome:Setaria_italica_v2.0:VIII:10018156:10019288:-1 gene:SETIT_026634mg transcript:KQK94159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEQAETKYMGIEQENESLTSSLPTREGWWEPFFLLQGCWLSTKMTRSVMAMKPQFHPRHDDIILATHPKCGTTWLKALAFTVINRSTHPAVASYDHPLLSHNPHDLVPYLEIPFRDLHPVTELDEIPSPRLLSTHLPLTLLPSSTSTLSRVVYLCREPKDVLVSFWYHIKTVRPDILIEFDRSFELFCEGFSFYGPVWEHYLGYWKQSKIEPEKVLFLKYDEMAAEPTKHVKMLAEFLGVPFTDEEESRGAVEEVVRLCSFQHLKSLPVNSQGVTERIGTDNSLLFRTGKVGDWANHMTVEMAQKLDCITEEKLRGSGLTF >KQK95362 pep chromosome:Setaria_italica_v2.0:VIII:33766903:33769501:-1 gene:SETIT_026654mg transcript:KQK95362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMIASSKVIALGALIFLLLVLYGSCTRIVNFNASHITADPYWVAARATWYGAPTGAGPYDNGGACGFKNVNLPPFSAMTSCGNQPLFKDGKGCGSCYQIRCLNHPACSGNPETVAITDMNYYPVAKYHFDLSGTAFGALAKPGRNDELRHAGIIDIQFKRVPCIYPGQMVTFHIEHGSNPNYLAVLVEFEDGDGDVVQVDLMEANSGWWTPMRESWGSIWRLDTRRPLTAPFSLRITNESGQKLVAYQVIPANWAPNTYYRSNIQYQAFSSDDGLDIGSAAGLVISSAAGLDTKILGVTGLICLVLSRLHGTDVP >KQK95314 pep chromosome:Setaria_italica_v2.0:VIII:33284790:33287851:-1 gene:SETIT_026956mg transcript:KQK95314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPVIVGGVAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDILTPPPPPRKWWWPGK >KQK95316 pep chromosome:Setaria_italica_v2.0:VIII:33284790:33289921:-1 gene:SETIT_026956mg transcript:KQK95316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPVIVGGVAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDILTPPPPPRKWWWPGK >KQK95317 pep chromosome:Setaria_italica_v2.0:VIII:33285209:33286870:-1 gene:SETIT_026956mg transcript:KQK95317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPVIVGGVAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDILTPPPPPRKWWWPGK >KQK95313 pep chromosome:Setaria_italica_v2.0:VIII:33285209:33286870:-1 gene:SETIT_026956mg transcript:KQK95313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPVIVGGVAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDILTPPPPPRKWWWPGK >KQK95315 pep chromosome:Setaria_italica_v2.0:VIII:33284790:33289921:-1 gene:SETIT_026956mg transcript:KQK95315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEDVKQRQIIENRARNISHNVRCTECGSQSIEDSQADVAILLRKLIRDEIKAGKSDKEIYKKLEDEYGETVLYAPKFDLQTAGIWLSPVIVGGVAAGIWAYQKHRQRTNVHIMALNLVRGVPLTPREKETMLDILTPPPPPRKWWWPGK >KQK95749 pep chromosome:Setaria_italica_v2.0:VIII:37769430:37772952:1 gene:SETIT_026334mg transcript:KQK95749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALGSVGKIVEIALKIKEAVETVKQNEKECHDIQRCVARVSALLRKLDEMTETMKDEVMRDALEDLAESLERALELVTECQRKHIFRRFLGAGDMAKELGRVQDDIVRKLQLGNFATNVQTTIMVTNIQSAGGPPPPPPPQREVIIDGFTMFSLSELKAATENFSERNRIGSGGTGDVYKGVLQDRQVIAIKNLHASVAVIDDNRLYDEINVFVHLKHKNITRPLGYCHEMRMVLISHNGKYVGAQQREFCFVEEYMENGSMENIIYGSRFIGWSCRFKMIQGIAQGLHYLHEQRVVHMDLKPANILFDSDMNPRISDFGVAKKLDLGVEKTSDDTNIVGTMPYMPPEYIDQGTVSTKCDVYAFGITLLGTIIGRMSMSSSSYSWNPIQWAFEARDDLRMELFKPSLCCKSQLMQIKKCMEIGLLCVEQDREHRPTMADVLAMLNGVKELPALKRPWGIE >KQK95748 pep chromosome:Setaria_italica_v2.0:VIII:37769430:37772117:1 gene:SETIT_026334mg transcript:KQK95748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALGSVGKIVEIALKIKEAVETVKQNEKECHDIQRCVARVSALLRKLDEMTETMKDEVMRDALEDLAESLERALELVTECQRKHIFRRFLGAGDMAKELGRVQDDIVRKLQLGNFATNVQTTIMVTNIQSAGGPPPPPPPQREVIIDGFTMFSLSELKAATENFSERNRIGSGGTGDVYKGVLQDRQVIAIKNLHASVAVIDDNRLYDEINVFVHLKHKNITRPLGYCHEMRMVLISHNGKYVGAQQREFCFVEEYMENGSMENIIYGSRFIGWSCRFKMIQGIAQGLHYLHEQRVVHMDLKPANILFDSDMNPRISDFGVAKKLDLGVEKTSDDTNIVGTM >KQK95751 pep chromosome:Setaria_italica_v2.0:VIII:37769430:37773190:1 gene:SETIT_026334mg transcript:KQK95751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALGSVGKIVEIALKIKEAVETVKQNEKECHDIQRCVARVSALLRKLDEMTETMKDEVMRDALEDLAESLERALELVTECQRKHIFRRFLGAGDMAKELGRVQDDIVRKLQLGNFATNVQTTIMVTNIQSAGGPPPPPPPQREVIIDGFTMFSLSELKAATENFSERNRIGSGGTGDVYKGVLQDRQVIAIKNLHASVAVIDDNRLYDEINVFVHLKHKNITRPLGYCHEMRMVLISHNGKYVGAQQREFCFVEEYMENGSMENIIYGSRFIGWSCRFKMIQGIAQGLHYLHEQRVVHMDLKPANILFDSDMNPRISDFGVAKKLDLGVEKTSDDTNIVGTMPYMPPEYIDQGTVSTKCDVYAFGITLLGTIIGRMSMSSSSYSWNPIQWAFEARDDLRMELFKPSLCCKSQLMQIKKCMEIGLLCVEQDREHRPTMADVLAMLNGVKELPALKRPWGIE >KQK95750 pep chromosome:Setaria_italica_v2.0:VIII:37769732:37772952:1 gene:SETIT_026334mg transcript:KQK95750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALGSVGKIVEIALKIKEAVETVKQNEKECHDIQRCVARVSALLRKLDEMTETMKDEVMRDALEDLAESLERALELVTECQRKHIFRRFLGAGDMAKELGRVQDDIVRKLQLGNFATNVQTTIMVTNIQSAGGPPPPPPPQREVIIDGFTMFSLSELKAATENFSERNRIGSGGTGDVYKGVLQDRQVIAIKNLHASVAVIDDNRLYDEINVFVHLKHKNITRPLGYCHEMRMVLISHNGKYVGAQQREFCFVEEYMENGSMENIIYGSRFIGWSCRFKMIQGIAQGLHYLHEQRVVHMDLKPANILFDSDMNPRISDFGVAKKLDLGVEKTSDDTNIVGTMPYMPPEYIDQGTVSTKCDVYAFGITLLGTIIGRMSMSSSSYSWNPIQWAFEARDDLRMELFKPSLCCKSQLMQIKKCMEIGLLCVEQDREHRPTMADVLAMLNGVKELPALKRPWGIE >KQK93771 pep chromosome:Setaria_italica_v2.0:VIII:4208546:4212415:-1 gene:SETIT_028294mg transcript:KQK93771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CDSLLVIHLPSSFMLLVLEDRQLLQPANLGGAKILQLQQRVTALSLRSVPLQGELSPHLGNLSFLMQLNLINTSLSGTIPADLGRLSRLRKLDLHKNGLSGAIPSTIFNMSLLQFMSLGHNNLTGSIPSNQSFSLPVLRKLILEQNNFAGGIPLELSACQRLQTLSLTHNSFCDTVPTWLAELSQLKTIFLGRNHLVGSIPAVLSNLTGLTKLDLSFCNLTGDIPTELGLMRELSILHLGNNQLAGPIPTSLTNLSKMSDLILQKNQLSGPVPATFGNIRALNTLELSDNNLNGNLDFLSSLSNCRQLQVLDITSNSFSGGLPDHVGNLSTKLRMFYANNNKITGVLPSTLANLSGLDSVNLRKNLLTGAIPESITSMQNLVHLNILGNDMSGPIPTQIGMMRSLQRVYLHGNKLFGSIPNSIGNLTKLQYLAVSNNHLNSTIPASLFHLDKLVGLYLSNNYFSGALPADVSGLKLVNQIEISSNILTGKIPDSFGQLRMLAQLDLSHNSFEGTIPDSFQELTSLASLNISSNNLSGTIPMFLANFTSLTTLNLSFNKLEGKIPEGGIFSNITLTSLIGNAGLCGSPRLGFSPCLEKYDSTDRHLLKFLLPAATIASVSIVLCVYLMIKRKLKNKRVHASVADPSDVMRHRLISYHELVRASDNFSDNNLLGTGSFGKVFKGQLSTGLVVAIKVLDMQKEQAIRSFDAECRVLRMARHRNLIKILNTCSNLDLRILVLEYMPNGSLDTVLHAEGRRHLGFLKRLDIMLDVSMAMEYLHHEHHEVVLHCDLKPTNVLFDDDMTAHVADFGIARFLLGDENSMITATMPGTLGYMAPEYGSLGKASRKSDVFSYGIMLLEVFIGKRPTDPMFDGELSIRQWVHQAFPSELASVLDDQLLREASSTCNLNDSLLPILELGLLCSSDSPEQRMSMSSVVSKLKKIKKDHEKRHQQQ >KQK94882 pep chromosome:Setaria_italica_v2.0:VIII:28342394:28342891:-1 gene:SETIT_027080mg transcript:KQK94882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKMSRKRLVYLTVSLFLVLATMTSTSQSIETECIGWWCRPRRSPPPQSPQGRINCFTPYSLEHCNDRDCGHTCSTHGFHFGGYCKQDYHPICCCR >KQK94236 pep chromosome:Setaria_italica_v2.0:VIII:11584995:11587695:-1 gene:SETIT_028036mg transcript:KQK94236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLEGRSFPGKVLITQQSEPLPPPDYSSHFDCDEDEHNEGSQEGEGQSPGNSTDNTSENKSNVPSASITNSLPVAQGVVFGARATDSARITKFTKELSRPAVILDKLCEVSWSGVPPYMRPNIWRLLLGYSPPNKDRRDGVLTMKRHEYVEYVSQYYDIPDSERADEEINMLHQISVDCPRTVPDVTFFQNPQIQKSLERILYTWSIRHPASGYVQGINDLVTPFLYVFLSEHLEGNIDTWSMDNLLPQDISNIEADCYWCLSKFLDGMQDHYTFAQPGIQCLVFRLKELVRRIDEPLSKQIEEQGLEFLQFSFRWFSCLLIREWSDKLQKLDFQEMVMFLQRLPTSTWAHHELEMVLSRAYMWHTMFKSAPSHLTS >KQK93962 pep chromosome:Setaria_italica_v2.0:VIII:6730303:6731554:-1 gene:SETIT_026629mg transcript:KQK93962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLESTLEVDNGVGGGAGVGSGSVSMRWCADAMRLVKRMQREMLAIFRKADVPAAAAGGGGWFEHYMQETAALLDFLNAFKAAVSRMHRYCIVVDFAAQVGRAAGGDGGGAAAAASLVVESAAATPRTAEEPGQAASSAAAAVRDKIADAKAAVAEAERLERTIISAGAGGDAGGMVIVTLVAKITTSVLSMFVLQALTSPSSLDAGGVQPALASSAVDVPELEPWRESLSLIHDRFPSRATVAEHEKVATVVRDLVNGKDDGGGRHGSHQQDELARGHVELLRARSGELREEVEMFDCVLDEVFDEVIRGRNEMLGILRDKALT >KQK95106 pep chromosome:Setaria_italica_v2.0:VIII:30926967:30931562:-1 gene:SETIT_025996mg transcript:KQK95106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMDPVSSSHVATTSTNAQTVAPSEITEDQCKDVDETKVDKKSLTHIRTGLISNKASQQSQVISVWGMGGLGKTTLANGIYQSPKLSDMFEKRAFVTIMLGRLQEESSKKEELLNNRSSKTKSLAMMGVEDLTKELKRLLEKKSCLIVLDDLSSIEEWDHIIQGEESIAKHCSRKYGIVHNLEVLKEEDALNLFSLKKCGGLPLAIVTIGGYLASRPKTRAEWRKLNENINAELEMNPELGVIRTVLQTSYDGLPYELKSCFLYLSIFPEDHIISQRRLVYRWTAEGYSQERRGKSANEIAENYFTELKYRSMILPFQQSVHSRKSIDSCKVHDLIHDIAISKSMEENLGFRLEEGCDLSTHGAIQRIVDLSRLRSLTVFGEFRPFYISDKMRLLRVLDLEDLLHLKYLSLRGCIGIDLLPDSLGNLRQLQVLDVRGTRVSLPKTIIKLRMLQYVHAGRRTDYVPEAKDSLTRRCLWVAGQCATCCVPLLGDIHGPLHKALTRRDACTFACCVKFPAVMAGVYYTERGSMVPRGTRKLKELHTLREVHVGRGNAVLQDIKMLTGLRKLGVAGINWKNGPAFRAAISNLSRLESLSVRTSVGMRGLRGCLDDISPPPENLQSLKLYGNLETLPKWIKELPHLVKLKLVSTRLLEHDAAMEFLGKLSKLEILSLSSQSFQGEELRFRSQQTGRAFGSLRVLMLANIRFTKSVKFEQGIMPKLERLQVTGSANNEIGFSGLEFLQSINEVQLSVYISSWSKRFYQSISEEEIQEERRKNGELKKKIQEQLARNPNEPILTF >KQK94448 pep chromosome:Setaria_italica_v2.0:VIII:16936792:16937426:1 gene:SETIT_027179mg transcript:KQK94448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKLTSTSLVTLNSKYTGIGIYPKFRHCTYGYVADATVRLYATVLLRLQASNATGMAFHHEVAGNITRKMNKL >KQK94284 pep chromosome:Setaria_italica_v2.0:VIII:12436058:12438425:-1 gene:SETIT_028599mg transcript:KQK94284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQDHDLWLGVDSSLCFIMFCLRVAVRTELTVKTVCVAGAYFFCCNCK >KQK96007 pep chromosome:Setaria_italica_v2.0:VIII:40414847:40416400:1 gene:SETIT_028351mg transcript:KQK96007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPTPIPLTTIAELRQHHSQLVRLGLASHPSHARRLLSFLARDPDSHFPYAARLLAHHPDPHPALFNPLLSALPPRHAARLLALMLSLPLPPDHFTFPRILPGAAPLLLVAQLHALLVKLGFHSHSQSLNALLAAYLANARPDLASRVFRGGGALDVVSWTTMVGGLCKLGLVDGAREVFDGMPERNLISWNAMMSGYVKAGRFLDALEVFDEMRARGVEGNGFVAATAAVACTGAGALARGREVHRWVARSGIRMDDKLATAMVDMYCKCGCVEEARRVFEALPAKGLTTWNCMIGGLAVHGRGEDAVEVFARMERKGVAPDDVTLVNVLTACAHAGMVREGRRYLDHIVRRYGVELKMEHYGCLVDLYGRAGQLDEAKKVIDDMPMEPDVGVLGALFGACKLHGDVDLGEAIGWRVIELDPQNSGRYVLLANLLATAGRWEDVARVRRLMDERNVSKEAGRSVIEVDGEVCEFQCGSLSHHQAEEVYAMARDMMRRIGAEGYLPDTRDVLHDVA >KQK95296 pep chromosome:Setaria_italica_v2.0:VIII:33113705:33115707:1 gene:SETIT_026612mg transcript:KQK95296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEILKLKRFYEQNGGPLLEGVKNIRIYTRKHLKQITNNYKNSIGEGAFGKVYMGTLKNEQPVAIKKSIKVDEERKKEFTDEVIIQSEMRHKNIVRLLGCCLELDVPMLVYEFVARGSLYDFLFKRRESIPVDTRLKIAIGSAEGLTYMHSAGESTIRHGDVKSANILLDEEFNPKVSDFGTSSLLARGKAEMTERVIGDMSYIDPIYMEQGIVTQKSDVYSFGIVLIELVTRRAATYDEKRSYIENFVGACQDNRARFFFDNDVTCEDDIMILEMVSGVAVECLRPNPEERLDMKQVEHHLRGIVGQSAQNGQERGNFRGGLSPAPDDVALLN >KQK95154 pep chromosome:Setaria_italica_v2.0:VIII:31470943:31475232:1 gene:SETIT_026521mg transcript:KQK95154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIEHPNVVRIYEVMGSKTKIYIVLECVPYGDLLDTIVNSGRMRECEARRYFQQLINAVDYCHSRDVYHLNLKPEKLLLDSRGNLKVSEFGLGALSQQIKTDGVLHTTYGTPNYVAPEVLEDGGYDSGTADVWSCGVILFVLLAGYLPFEDSNLTRLYKKISNAEFTFPLWTSFPAKMLLKRILDPNPITRITIPEILEDEWFKEGYRRQEFDKKFDITLLDDVDAVFQDSEEHLVTEKKDEPVSLNAFDLISSSKGFNLENLLDSEQGFKREERFTSTCPPREIIHRIEEAATTLGFSVQKKNYKLRLEKIEAGRKGNLHVAAEILQIAPSFHVIEVRKGEGDTLEFHKFYKDLSKTLKDIVWKFDDL >KQK95155 pep chromosome:Setaria_italica_v2.0:VIII:31470943:31475232:1 gene:SETIT_026521mg transcript:KQK95155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLIEHPNVVRIYEVMGSKTKIYIVLECVPYGDLLDTIVNSGRMRECEARRYFQQLINAVDYCHSRDVYHLNLKPEKLLLDSRGNLKVSEFGLGALSQQIKTDGVLHTTYGTPNYVAPEVLEDGGYDSGTADVWSCGVILFVLLAGYLPFEDSNLTRLYKKRITIPEILEDEWFKEGYRRQEFDKKFDITLLDDVDAVFQDSEEHLVTEKKDEPVSLNAFDLISSSKGFNLENLLDSEQGFKREERFTSTCPPREIIHRIEEAATTLGFSVQKKNYKLRLEKIEAGRKGNLHVAAEILQIAPSFHVIEVRKGEGDTLEFHKFYKDLSKTLKDIVWKFDDL >KQK93502 pep chromosome:Setaria_italica_v2.0:VIII:1564479:1575143:1 gene:SETIT_025812mg transcript:KQK93502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKGRASSSGMAASLVPHALGAVPTVGFGGYHGAVRVEPAEPSEPDSPIRLTPDVDGEVLQNLKRLGRKDPTTKLKALSALSTLFAQKPSEELVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLVTAIKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETFLYLSDNLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLVDILLGGKLQNCDVDSTSTENRSLSKVRSITLSSAQAAFCMHKCFLDALKSKSAVIRSATYSLLTSYIKHIPHVFDEETMKKLSPTILGAFHEKDASCHSSMWDAILVFSRKFPEAWSYCNIHKVVLSRFWNFLQNGCYGSKQVSYPLLVQFLDSIPPKAVMGQQFIFDFLHNLWAGRNQRQLSAADSLAFCIAFKQCFLWLLENVSRYSGEDSSVDTPIKLITDILAKIVWRDYLLLSGDTTGNSVQLSHKNSGSAAANTQYPMYYLQGLEKCIVEILDVIADTENHLLNISCELFVRDCLDIIQQGEKLSKFEDHVEQLVSFFLSLDQLVVHKGETWPLERLARPLVEQSLPAIKFVDTPSLVKLLSVLVEIFRPIPLFLKNNQNHDENSDVKSYLELFNDDLLPWCFNGKYSTCNSKIDLLLSLFQDESFFGQWCSIIKYTGAEQKHSIDDKTSNIKNRLELLTLLLQKIRERIAGGKLRNLQKNGYLPEHWQHDLLDSTATSVICDLPAADCHVSFLCAALGGSDQEDQICFLSAETVHKVLGSILRDLASALMASTFEWPRLAYSLLLSSEPEHLKLPEENSLPINFEMAQFAFKVLQGSFFSLWRLEEDSAFPSILAALFVIEWECSMSLAIDDENDSEGHIEDMDVGSSMHISSKDYLDEKMHLKANLAESIHAFCQSLSPSFWNNLPSCTLNRLANILAQSVRYSVFQTRDLHAEKTAVLCSEWVVEMLKLICLDDINLQSFFDLLLSEGEHWPLWLKPCLQNGHASVKVQLEPAITDEIELKHERFVAFIDRLILNLGFGEVILGIPGNLRRATSQSIDITSPISSLSRAWVAGEILCTWTWKGGSALQTFLPSLVQYMKEESRLEVGIVPLLLDTLLGGALMHESGPWVLFNAWHLSDNEIGKIQDRFLRALVALLFTTNIKDCLWRESDALVFFEQLLSNLFMGSIVNRKCLKVLPFVMSTIIKPLSQKLNEDSSYADLVRKSILSWLEAAISCLPSSPREVPVQDIEDWMQVALSCFPLSITGGAQRLEVTVEREISDAEISLMLTLFQKYQIFYKGLASPLSTSETVISRIVELLGVKLTAVMVGYCWTKLQENDWHFVFRMVFKCIESSALLVEEMTDGVNDAVINQVSSEDALEKLKLVVSTTDKLTLSLAESALVTLCHLNHLGNLQAAENSQSLELIRSGDYVESTNKMVETILRLFLATGVSEAIAKSFGEEASSIIGSSRHAYLHFWELVASIIKNASPQIRKSALESMELWGLTKGSVSGLYSILFSSQPVFHLQFAAFSLLLSEPFCQLSLLKDSSLRENCSSAQRSDISQSAELMPDSEKTLCLRDELSALVEFPTSELLKTDLTTRDRVDVFIAWALLLSHLQSLPSSSIIRENVLQYIQEKVSPCILDCIFQHIPVKTAAPSGKKKDAELVPEVEAAAKASKNAIVTCSLLPYVESLSPVGTLQMASLAGSLYGMMIRLLPSFVRTWFTTLRDRSLSYSIESFTRQWCSPPLLLDEFSQVKDSVYADENFSVSVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTRSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >KQK93503 pep chromosome:Setaria_italica_v2.0:VIII:1564479:1575143:1 gene:SETIT_025812mg transcript:KQK93503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKGRASSSGMAASLVPHALGAVPTVGFGGYHGAVRVEPAEPSEPDSPIRLTPDVDGEVLQNLKRLGRKDPTTKLKALSALSTLFAQKPSEELVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLVTAIKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETFLYLSDNLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLVDILLGGKLQNCDVDSTSTENRSLSKVRSITLSSAQAAFCMHKCFLDALKSKSAVIRSATYSLLTSYIKHIPHVFDEETMKKLSPTILGAFHEKDASCHSSMWDAILVFSRKFPEAWSYCNIHKVVLSRFWNFLQNGCYGSKQVSYPLLVQFLDSIPPKAVMGQQFIFDFLHNLWAGRNQRQLSAADSLAFCIAFKQCFLWLLENVSRYSGEDSSVDTPIKLITDILAKIVWRDYLLLSGDTTGNSVQLSHKNSGSAAANTQYPMYYLQGLEKCIVEILDVIADTENHLLNISCELFVRDCLDIIQQGEKLSKFEDHVEQLVSFFLSLDQLVVHKGETWPLERLARPLVEQSLPAIKFVDTPSLVKLLSVLVEIFRPIPLFLKNNQNHDENSDVKSYLELFNDDLLPWCFNGKYSTCNSKIDLLLSLFQDESFFGQWCSIIKYTGAEQKHSIDDKTSNIKNRLELLTLLLQKIRERIAGGKLRNLQKNGYLPEHWQHDLLDSTATSVICDLPAADCHVSFLCAALGGSDQEDQICFLSAETVHKVLGSILRDLASALMASTFEWPRLAYSLLLSSEPEHLKLPEENSLPINFEMAQFAFKVLQGSFFSLWRLEEDSAFPSILAALFVIEWECSMSLAIDDENDSEGHIEDMDVGSSMHISSKDYLDEKMHLKANLAESIHAFCQSLSPSFWNNLPSCTLNRLANILAQSVRYSVFQTRDLHAEKTAVLCSEWVVEMLKLICLDDINLQSFFDLLLSEGEHWPLWLKPCLQNGHASVKVQLEPAITDEIELKHERFVAFIDRLILNLGFGEVILGIPGNLRRATSQSIDITSPISSLSRAWVAGEILCTWTWKGGSALQTFLPSLVQYMKEESRLEVGIVPLLLDTLLGGALMHESGPWVLFNAWHLSDNEIGKIQDRFLRALVALLFTTNIKDCLWRESDALVFFEQLLSNLFMGSIVNRKCLKVLPFVMSTIIKPLSQKLNEDSSYADLVRKSILSWLEAAISCLPSSPREVPVQDIEDWMQVALSCFPLSITGGAQRLEVTVEREISDAEISLMLTLFQKYQIFYKGLASPLSTSETVISRIVELLGVKLTAVMVGYCWTKLQENDWHFVFRMVFKCIESSALLVEEMTDGVNDAVINQVSSEDALEKLKLVVSTTDKLTLSLAESALVTLCHLNHLGNLQAAENSQSLELIRSGDYVESTNKMVETILRLFLATGVSEAIAKSFGEEASSIIGSSRHAYLHFWELVASIIKNASPQIRKSALESMELWGLTKGSVSGLYSILFSSQPVFHLQFAAFSLLLSEPFCQLSLLKDSSLRENCSSAQRSDISQSAELMPDSEKTLCLRDELSALVEFPTSELLKTDLTTRDRVDVFIAWALLLSHLQSLPSSSIIRENVLQYIQEKVSPCILDCIFQHIPVKTAAPSGKKKDAELVPEVEAAAKASKNAIVTCSLLPYVESLSPVGTLQMASLAGSLYGMMIRLLPSFVRTWFTTLRDRSLSYSIESFTRQWCSPPLLLDEFSQLTISLQVKDSVYADENFSVSVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTRSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >KQK93501 pep chromosome:Setaria_italica_v2.0:VIII:1564479:1575143:1 gene:SETIT_025812mg transcript:KQK93501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKQKGRASSSGMAASLVPHALGAVPTVGFGGYHGAVRVEPAEPSEPDSPIRLTPDVDGEVLQNLKRLGRKDPTTKLKALSALSTLFAQKPSEELVQIVPQWAFEYKRLLLDYNREVRRATHEAMSSLVTAIKKGIAPHLKSLMGPWWFSQFDPAPEVAQAARRSFEAAFPQSERRLDALMLCVKETFLYLSDNLKLTTQALSDKATPMDELEDMHQRVISSSLLAMATLVDILLGGKLQNCDVDSTSTENRSLSKVRSITLSSAQAAFCMHKCFLDALKSKSAVIRSATYSLLTSYIKHIPHVFDEETMKKLSPTILGAFHEKDASCHSSMWDAILVFSRKFPEAWSYCNIHKVVLSRFWNFLQNGCYGSKQVSYPLLVQFLDSIPPKAVMGQQFIFDFLHNLWAGRNQRQLSAADSLAFCIAFKQCFLWLLENVSRYSGEDSSVDTPIKLITDILAKIVWRDYLLLSGDTTGNSVQLSHKNSGSAAANTQYPMYYLQGLEKCIVEILDVIADTENHLLNISCELFVRDCLDIIQQGEKLSKFEDHVEQLVSFFLSLDQLVVHKGETWPLERLARPLVEQSLPAIKFVDTPSLVKLLSVLVEIFRPIPLFLKNNQNHDENSDVKSYLELFNDDLLPWCFNGKYSTCNSKIDLLLSLFQDESFFGQWCSIIKYTGAEQKHSIDDKTSNIKNRLELLTLLLQKIRERIAGGKLRNLQKNGYLPEHWQHDLLDSTATSVICDLPAADCHVSFLCAALGGSDQEDQICFLSAETVHKVLGSILRDLASALMASTFEWPRLAYSLLLSSEPEHLKLPEENSLPINFEMAQFAFKVLQGSFFSLWRLEEDSAFPSILAALFVIEWECSMSLAIDDENDSEGHIEDMDVGSSMHISSKDYLDEKMHLKANLAESIHAFCQSLSPSFWNNLPSCTLNRLANILAQSVRYSVFQTRDLHAEKTAVLCSEWVVEMLKLICLDDINLQSFFDLLLSEGEHWPLWLKPCLQNGHASVKVQLEPAITDEIELKHERFVAFIDRLILNLGFGEVILGIPGNLRRATSQSIDITSPISSLSRAWVAGEILCTWTWKGGSALQTFLPSLVQYMKEESRLEVGIVPLLLDTLLGGALMHESGPWVLFNAWHLSDNEIGKIQDRFLRALVALLFTTNIKDCLWRESDALVFFEQLLSNLFMGSIVNRKCLKVLPFVMSTIIKPLSQKLNEDSSYADLVRKSILSWLEAAISCLPSSPREVPVQDIEDWMQVALSCFPLSITGGAQRLEVTVEREISDAEISLMLTLFQKYQIFYKGLASPLSTSETVISRIVELLGVKLTAVMVGYCWTKLQENDWHFVFRMVFKCIESSALLVEEMTDGVNDAVINQVSSEDALEKLKLVVSTTDKLTLSLAESALVTLCHLNHLGNLQAAENSQSLELIRSGDYVESTNKMVETILRLFLATGVSEAIAKSFGEEASSIIGSSRHAYLHFWELVASIIKNASPQIRKSALESMELWGLTKGSVSGLYSILFSSQPVFHLQFAAFSLLLSEPFCQLSLLKDSSLRENCSSAQRSDISQSAELMPDSEKTLCLRDELSALVEFPTSELLKTDLTTRDRVDVFIAWALLLSHLQSLPSSSIIRENVLQYIQEKVSPCILDCIFQHIPVKTAAPSGKKKDAELVPEVEAAAKASKNAIVTCSLLPYVESLSPVGTLQMASLAGSLYGMMIRLLPSFVRTWFTTLRDRSLSYSIESFTRQWCSPPLLLDEFSQDSVYADENFSVSVNRSAYEIVATYKKEETGIDLVIRLPNCYPLRHVDVECTRSLGISEVKCRKWLLSLTSFVRNQNGAIAEAIRTWKSNFDKEFEGVEECPICYSILHTSNHSLPRLACKTCRHKFHGACLYKWFSTSNKSTCPLCQTPF >KQK94628 pep chromosome:Setaria_italica_v2.0:VIII:23712063:23713757:1 gene:SETIT_026223mg transcript:KQK94628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVLAILCFLCGNAILPSSASSDSFLQCLTSMMPSELVYQQSSSGFMSVLQSSIQNPKFLTNTTVRPLCIVTASDVSHVQAAVRCGRWHGVRLRVRSGGHDYEGLSYRSVQPEVFAVLDLARLRGVRVVPGEGSAWVDAGTTLGELYYAVGTANPGFLFPGGACATVGVSGFISGGGIGLMMRKYGVGGDNVLDARIVNAAGDVLDRAAMGEDLFWAIRGGGGESFGVVVAWRLKLSAVPPTVTVVNNLRTMEQGVAYLLAKWETTILSPSLPADLTIRVVLQERHAFFQTLYLGRCSELLATARGVFPELGMTAADCNEMSWLRAMAFIYFGNAATPPEALLNRTNSLGTYFKSKSDYVRRAVGKAGWDALFQQWLSKNGNGLMILEPHGGAVGGANTATTSPYPHRRGVLFNIQYGSMWWGEANGTAAAAALRWLDGLYGFLGQYATSNPREAFANYRDLDLGQNVVGRDGVSSYRSGRVWAEKYFMGNYRRLAAVKAMVDPSDYFRNEQSIPPLPKF >KQK94131 pep chromosome:Setaria_italica_v2.0:VIII:9290980:9291259:1 gene:SETIT_028634mg transcript:KQK94131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPTAPAVDLAEECTLTRYIYTFLLWNIH >KQK95486 pep chromosome:Setaria_italica_v2.0:VIII:34905530:34906062:1 gene:SETIT_027210mg transcript:KQK95486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGCGEDRFRNKRCSFQINSMFQSFLETTTATSCEAQTWHSIMTQMQNSCCLATPILFLHHGLP >KQK94139 pep chromosome:Setaria_italica_v2.0:VIII:9467880:9468164:-1 gene:SETIT_028279mg transcript:KQK94139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAFDSDFESQTETDSSDDRRHRPRVPFPHGDALRVYCHADNTYRCPICPTRIHWSKILNEVKDHVLGMARSAALRGDNKKWRCHRVVARNEGWM >KQK95588 pep chromosome:Setaria_italica_v2.0:VIII:35908130:35910611:1 gene:SETIT_027685mg transcript:KQK95588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDRDQLTAAIETTEHSRHVRGLSSTLPCCKAFQNDQASYRKMDRYKKDLEEKMREIAKQEFVEFLASQQLQTITNPTVSNAQGQAEPTLQLAHTGFFAPSSAGSITNLRCPVDDIQNYEHGKPFLYRWDLLEGPWKLNKLHGWVMTPMKQGIRAITARVPKKIFLGVLDYEIVIDFENLHRLYCHQHLDANLVTYQKQPNGTVLCRYYVCEFLRKNGSIPNHQSSLVEGQINNIFRDMARFIQLEICHEDGAFFDPNAVLMPDECKGLRRWMK >KQK95719 pep chromosome:Setaria_italica_v2.0:VIII:37528450:37532629:-1 gene:SETIT_026220mg transcript:KQK95719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPSSACEGTYLLFHGETLLSGGVRASLYTVALAYCFIGLSAITARFFKSMEQIMKHSREVVSVDPHTNAPVVKQEKVWNYTIADIALLAFGTSFPQISLATIDAIRNLGQLTAGGLGPGTLVGSAAFDMFPIHAVCVIMPKAGSKKKITDLGVWLVELFWSFWAYIWLYVILEVWTPKVITVWEALLTVLQYGLLLLHAYAQDKRWPYVSIPLVRGDRPEDWVPEEGASVDYDNCNETSEILPGSSDKDIADIFSGHSYHNAEYHKVPENDMECSSTMNNFVKNTREDTSWRSLWRQQFVDAFMLESPESRKMASVCLRLIRIFWNLLIAPWKLFFAFVPPYHIAHGWVAFICSLIFISGIAYGVTKLTDQISCVTGVSPYVIAFTALAAGTSWPDLVASKIAAERQVTADSAIANITCSNSVNIYVGIGVPWLIDTVYNFFVYREPLYIDNAAGLSFSLLVFFATSFGCITVLVLRRIIIGAELGGPRLWAWITSVYFMILWIVFVVFSSLSLWNYKT >KQK95561 pep chromosome:Setaria_italica_v2.0:VIII:35605667:35607197:1 gene:SETIT_026946mg transcript:KQK95561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIVDVVSEYCSLPRTRRHLKKRKQFQTVEMKVLIDCDGCERKVRKALEGMKGVSSVDVAARQHKVTVTGYVDAGKVMRRVAYKTGKRVEPWPYVPYDVVAHPYAPGAYDKKAPPGYVRNVVSDPTAAPLARASSTEVRYTGAFSDENPNACAVM >KQK94953 pep chromosome:Setaria_italica_v2.0:VIII:29084930:29085214:1 gene:SETIT_028458mg transcript:KQK94953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYIVHTFWSMNIHSSHKSQDIRGHSGIHNFLGQQVYCIQLIH >KQK94776 pep chromosome:Setaria_italica_v2.0:VIII:26716951:26718108:1 gene:SETIT_027684mg transcript:KQK94776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEHVDPQDGGKTTVSVEEEDPEMAGPPLGRVFDPVPAPFDDRHVVFGLPAFFDPPEEDSVIIPAGDEEEELADVVHDEEDVEGYDMDDGGGSSDSEMEGNDDEPVRFWDGEAAGSNNDLVTRELTFLGQPALFASYQGTAGFMRVAALEAAPPAPGEEAGGGVIAVHYRYYRFSRPRGRGHGVDAPNYGTDLHHVRYVVPFAADPASSLRLVGASLAADVYPYRSNAQLQALWSGMVAAAPVRVPPLANELVITVDVGVLRSEDRTPEHMECMRSVLEEKAREVDASPPTDYGQEQLLPAPVRCDDGEPGGAVARPAKRGRFDDVAGEEVCAICQEVLEERGLAAWPRCAHVFHGKCLEQLLATVRHRCPMCRRTLSIKGMFD >KQK95519 pep chromosome:Setaria_italica_v2.0:VIII:35296328:35301780:-1 gene:SETIT_026142mg transcript:KQK95519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYDERYEGNGGPVSGADAAGAYAQAEYGAAPPAAGGSPPAGAKPTGFSDHADGRNSQPQHETQSHDSGSSKSRERDRDRDKGKDRERDRDRGRDRERDRDRDKDRERGDRDRDRDRHHRDRRERSEKREHRDRSHDRDRHRDDRDRHRSLDSERRRDRDRDGHRRHRSRSRSPSKSRDRDRRSRSRSRSRSKSKRVSGFDQGPLQQTMPIVTAGAVPGQLPVVTPIPGMFPNLLMGPMNPLIQPQAMTQQATRHARRVYVGGLPPTANEQTVAIFFNGVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGAAQPRPEQESILLQAQQQVQMQKLVYQVGGALPTKVVCLTQVVTADELRDDEEYEDIVEDMREEGRKYGNLVKVVIPRPDPSGAPAAGVGKVFLEYADVDGSTKAKTGMHGRKFGGNQVVAVFYPEGKFAAEQYDG >KQK95518 pep chromosome:Setaria_italica_v2.0:VIII:35297016:35301707:-1 gene:SETIT_026142mg transcript:KQK95518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYDERYEGNGGPVSGADAAGAYAQAEYGAAPPAAGGSPPAGAKPTGFSDHADGRNSQPQHETQSHDSGSSKSRERDRDRDKGKDRERDRDRGRDRERDRDRDKDRERGDRDRDRDRHHRDRRERSEKREHRDRSHDRDRHRDDRDRHRSLDSERRRDRDRDGHRRHRSRSRSPSKSRDRDRRSRSRSRSRSKSKRVSGFDQGPLQQTMPIVTAGAVPGQLPVVTPIPGMFPNLLMGPMNPLIQPQAMTQQATRHARRVYVGGLPPTANEQTVAIFFNGVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGAAQPRPEQESILLQAQQQVQMQKLVYQVGGALPTKVVCLTQVVTADELRDDEEYEDIVEDMREEGRKYVPHNAIAECFIVRPYAILAIGP >KQK95520 pep chromosome:Setaria_italica_v2.0:VIII:35297016:35301707:-1 gene:SETIT_026142mg transcript:KQK95520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEYDERYEGNGGPVSGADAAGAYAQAEYGAAPPAAGGSPPAGAKPTGFSDHADGRNSQPQHETQSHDSGSSKSRERDRDRDKGKDRERDRDRGRDRERDRDRDKDRERGDRDRDRDRHHRDRRERSEKREHRDRSHDRDRHRDDRDRHRSLDSERRRDRDRDGHRRHRSRSRSPSKSRDRDRRSRSRSRSRSKSKRVSGFDQGPLQQTMPIVTAGAVPGQLPVVTPIPGMFPNLLMGPMNPLIQPQAMTQQATRHARRVYVGGLPPTANEQTVAIFFNGVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGIMFEGAPVKVRRPTDYNPSLAAALGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGAAQPRPEQESILLQAQQQVQMQKLVYQVGGALPTKVVCLTQVVTADELRDDEEYEDIVEDMREEGRKYGMPHETLDFCPLLVLTSYILFYNCFDCLPSVTELVSFCVFVFLCVFFVVPHNAIAECFIVRPYAILAIGP >KQK93450 pep chromosome:Setaria_italica_v2.0:VIII:1154253:1155171:1 gene:SETIT_028179mg transcript:KQK93450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPSPPPQQQQPSPVTTSAGRRMDPLQPSKVSPPPASPPCFKGICRDCQGLEPFIGKQLASLLYPEPNRELTVGHAFGHRCWTSTGLHKIFWNNLILASPKTGVYAGL >KQK95160 pep chromosome:Setaria_italica_v2.0:VIII:31542188:31542968:1 gene:SETIT_027127mg transcript:KQK95160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRAMYSKLLVMLCMILVSLAIILQGASAARDLTHTVHASRGRGLGGYYWYGGRNNGRTYGGTPSHNSGGNHGRIRGHTPPDHY >KQK93390 pep chromosome:Setaria_italica_v2.0:VIII:899872:900836:1 gene:SETIT_026992mg transcript:KQK93390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAKPPAAAVGKQGLEEALLQIVQQHHHQSLRQRQQTERAKKDALRSAGHVADLLVDTVDGGVQELFVNEKSIELEARALLSTIARHRKQTDRWLAATNEINSVLKEIGDFENWMKVMDFDCKSINAAIRNIHQS >KQK93892 pep chromosome:Setaria_italica_v2.0:VIII:5652616:5653034:1 gene:SETIT_028477mg transcript:KQK93892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQYTRALKSAVHSIEQNYSCIDALKLDAYFLKKLLNGLLKLLTSYKW >KQK94912 pep chromosome:Setaria_italica_v2.0:VIII:28653362:28655002:-1 gene:SETIT_027062mg transcript:KQK94912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISVGTKEDRQLMTGLHTVADIYCRDCRGVLGWKYERAYEESQRYKEGKFIFEKAKIVKENW >KQK94913 pep chromosome:Setaria_italica_v2.0:VIII:28653604:28654540:-1 gene:SETIT_027062mg transcript:KQK94913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISVGTKEDRQLMTGLHTVADIYCRDCRGVLGWKYERAYEESQRYKEGKFIFEKAKIVKENW >KQK94914 pep chromosome:Setaria_italica_v2.0:VIII:28653362:28654979:-1 gene:SETIT_027062mg transcript:KQK94914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVGPRVYSCCHCRNHVCLHDDIISKAFQGRNGRAFLFSHAMNISVGTKEDRQLMTGLHTVADIYCRDCRGVLGWKYERAYEESQRYKEGKFIFEKAKIVKENW >KQK95238 pep chromosome:Setaria_italica_v2.0:VIII:32520134:32521439:-1 gene:SETIT_026608mg transcript:KQK95238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVGGEGRQPRIPGLQHVHRVHDGRALRRHRVRAPEPDDEHALHLPRVELAVQPSVGGLQDGAAVVELPHPLRQRQRLPAGLLVRGRPAAGHLQQRDAEAVHVGGRARPARVHQVRVHQRRAEPQHDPVPLRPRQDAAPAVEVLVEAALRDELVDEQEALAAVAPADELDQVAVPQLADDPDLRLELPAALRGGLRRQHLDGDVAVLAREAPAVDGAEAAAPELVLLGEVPRRRRHRAVGVPPRSHPFLEVRCFPGALLVGAVGVVVDCAGVPLVRSLPALLPPQQEHHCRTACEQDRERCNRGDDWDHPPPQPSCGSCCCVRWHIGADRSNSQQ >KQK93269 pep chromosome:Setaria_italica_v2.0:VIII:280588:284630:1 gene:SETIT_026614mg transcript:KQK93269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSLKKSDKKAVLDFAAWSFNVTTSVGIIMVNKALMATYGFSFATTLTGLHFVTTTLMTLVFRWLGLSQPSHLPLADLIKFVIFSNLSIVGMNVSLMWNSVGFYQIAKLCMIPASCLLEVVFDHVHYSRDTKLSIMVVLIGVGVCTVTDVSVNARGLVAAVIAVWSTAFQQYYVHFLQKKYALNSFNLLGHTAPAQAGSLLLVGPFVDYLLTGKRVDHFNFSSLALFFLTLSCFIAIGVNLSQFICIGRFSAVSFQVLGHMKTVLVLSLGFLFFGKEGLSLQVVLGMVLAVLGMIWYGNASAKPGGKERRSILPVRSTSLKGSSEETDGAEK >KQK94130 pep chromosome:Setaria_italica_v2.0:VIII:9287533:9287964:1 gene:SETIT_027223mg transcript:KQK94130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSMVKTSTNTKLRLKFSFVPSSTWTWMGIDFFCTSEHLATTTGLKPSIEFELIYFLLCV >KQK93229 pep chromosome:Setaria_italica_v2.0:VIII:74673:77617:1 gene:SETIT_028054mg transcript:KQK93229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPLLFYDLSLLPSSSSSIGGGGDDSSNPSSSRLQLLAATARALELGYAAVALDRTHRGLLADSHRCRTDLFPPLSSLPLPPPAALHRRHLASPASEPFRQYTRITLSLDSAAAAASALAPSAARLLRTYDLVAARPLTQAAFDHLCQTPLSAQHLDLISIDFSSHSKLPFRIKLPMLKLALQKGLHFEIAYSPLISTDINAKRNLLAEVKVNQYCFSEHFATIYSTCTYIIMHSTNFQLLVDWTKGKNLIISSAAHTASQIRGPYDVINLSAYLLGLPINRAKAAISTNCRSLVLKAMRKKHFYKETIRVDRLLPNEQLTSTKFKLVDWIAGISVSSEGGLNQLESSSNFDERRGSPICGVMEGSHEEPHDFDVSVFPKLSEQSGDHEQIPSQTQEETLQIDRTEVLLDCGQSILPASSYYQNAVLAKTEDSKVVPNPLMQAGPGSSVNPKGIVKHVEFVQDAMEVDATESRRLNLIVGDNIPSTSGISAKLSCSALIHGVELSGTSLEDQGPCQSSEILANDKSYTKYHTDCAGGEREKTVVDHEIPSGSVVCPEDKDLDQSAGMQVDAETCRGTSELVEWPPSGIDDEAPLDLAFYSSHKLHSNIIQREVMEGKIEQSMDENVERTAENETESIDKKTRTSVSMEPAFHGQEISLTSYKRSTDASCESDELKEQNSEETNASLEKSVAKTHELLPKFSYPSGKVEMSTIRSEKRRRKLRPYHPAYLPFLGFLRSLHFKQKICK >KQK95907 pep chromosome:Setaria_italica_v2.0:VIII:39471838:39472231:-1 gene:SETIT_027670mg transcript:KQK95907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLSDALSIAAPLKFKSFKNWRHVPVKVAVQKALSDSAFFAMKFLEFYDGDGHGSLHTSITAERSKELRAETLYYLTFHKQNKVVALPDEILQYRRDDHHPFFY >KQK94713 pep chromosome:Setaria_italica_v2.0:VIII:25550620:25552254:-1 gene:SETIT_027401mg transcript:KQK94713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPESADPGLEECLRLLEAVPAAAASSPAFRRHWPSISASLAALSAALASPAFPPSAPLLAPLAAALGALMSAATDAPRLGHLHTLAADARLLATPAPPPSAGAAAGADSGADALISRLRLGSAASRATALEELAGTAAALPAPSAAAAVSAVAALLDSAGGDLLPSSRERAVSVLAAFASSESACRFLAQESGAVVPHLCRALESGGAGAEHACAALLPLTAASRDASAAVAARGGVAALLAACAGGTPAAQAAAAGVLRNLAAFPDLLPWFRDEGALPLLLQLVSLGTPRAQELALGCLQNLTAGDGDEGQRLKVEAFQEGALACPGLAPALGLLRNMASFRYIAEIAVSAQFAAHVAAALGSDRSPTRTEAALALAELCGNSAGKSRHEVEDAVPRLVWMLEAKAVSERDAAARALAALLAASGACRKLFRKDERGIANAVQLLDPAGARGVDRRYPVTVLLAVAQSRRCRKQMVAAGACGFLQGLMTAEVDGAKKLAECLGKGKMLGVFPRT >KQK96009 pep chromosome:Setaria_italica_v2.0:VIII:40428386:40429320:1 gene:SETIT_027652mg transcript:KQK96009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTVRLMTPEELALHGHAPEGQEPREGSDHLEEIMPCVHMLAIDVYGNYAVQKRVFSYCNNLEIYDTLAAEIIESVNKLSREKFANYVVQYLLEHGGQAKRSMMVSNFAGYVVSMSYNKFASNVIEACLTFGSQEDRQLITNEIITRKMVSVAEEQQVGVLAGGCGQKQRGLSSLMRFKYGEHVIAAIESFLSAKGKTHSLPS >KQK94501 pep chromosome:Setaria_italica_v2.0:VIII:19846938:19847753:1 gene:SETIT_027220mg transcript:KQK94501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNQTVGLANTWFSIRRESKLYIVQNDGYFFTRFMENISLSTSRLIIYKTEKELIIFWQQ >KQK95601 pep chromosome:Setaria_italica_v2.0:VIII:36248783:36249824:1 gene:SETIT_027698mg transcript:KQK95601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTGSHGRLPRHLHLLHPRHLNTIFPSPSYLDPETPTPERFPVRAPPDEQVAAWVTRLHLGRVSPPGLCSGFHHAPESYFAGPCLPDLRLFNACLRFCCDRRSLFPLAFDMFNKMRAMPAVTGCRPDVEIYMLLLSAIVRCPPASMVNLIAVRSLSCQMKAFSVAYARCVEVDDALKVFRREMPLYGCEPNEFTYDYIVKAMFQGWADKGMVYFAEKREKGFVPSGGVYMIAELRRVLLDMLDCKRKPDMITYRTLLAEMSRAGQTEQAFEVLEELKGRKRGPLDQRMYSELLDGLHWIS >KQK94104 pep chromosome:Setaria_italica_v2.0:VIII:8880524:8885855:-1 gene:SETIT_026072mg transcript:KQK94104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMEGLSLTLIHFLLLFCIVCKCLASHLEATQKVTLKIDASPKLARKIPDTLLGVFFEEMGRGGAGGLWAELVSNRGFEAGGPNTPSNIDPWLIIGDDSSIFVETDRTSCFRKNIVALRMEVLCNNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPQTASLTVSLTSSDGSRNLASITILVAGKSNWIKVKQKLVPQGTDRTSRLQITSEKKGVVWFDQVSLMPADTYKGHGFRNGLVSMLLDLKPRFLRFPGGCFVQGGWLRNAFRWRESIGPWEERSGHFGDCWNYWTDDGLGYFEFLQLSEDLGASPIWVFNSGLSYNDEVDTAAIAPFVKDVLDSLEFARGSANSSWGSVRAAMGHPEPFPVKYAAIGNEDCGKQFYNGNYLKFYSAIREAYPDIQLISNCDGSSGPLDHPADLYDFHVYADAKTLFSMKNTFDKTSRIGPKAFVSEYAVWKTDAGRGTLLAALAEAAFLTGLEKNSDVVEMACHAPLFVNDDIEKKWNPDVIVFNTWQHYGTPSYWMQLLFRESSGSIVHPTTISSSSSSNVSLAASAITWKDSDNSSFLRVKIVNFESVAVQVTISTTGLQASIDVLRSTATVITSSNVMDENSFSNPNKVVPVKSQLFDAAAHMQVTVAPHSFTSFDLSLAPSKLVTLAGRYNEYLMSEL >KQK94231 pep chromosome:Setaria_italica_v2.0:VIII:11503051:11504246:-1 gene:SETIT_028122mg transcript:KQK94231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQGAASSMFAMALLLGIIASIPQSVDSIGVCYGMSGSDLPPASTVISMYKSNGIKAIRIYAPDKAALQALAGTNIRVLVGAPNDVLSNLTDAKAAAAWVRDNIEAYPSVSFGYIAVGNEVAGKAADLLAPAMENVHSALDAAGLGHIKVTTSVSQAIVVFNKPSGGNFTKEAQGFMGPVLKFLARTGAPLMANIYPYFTYAYNTAGMDVDYALFTAPGTVVKDGKYNYQNLFDATVDAFYEAMAKLGVSDVPVLVSETGWPSGGGKAATPENAKIYNQNLIEHIRKGTPRHPEPIKTYVFSMFNENQKDKGVEQNWGLFYPNMKPVYAISLSTSAAPAPAPSPDSVEE >KQK94634 pep chromosome:Setaria_italica_v2.0:VIII:23793707:23795104:-1 gene:SETIT_026647mg transcript:KQK94634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAEVRRSSASSSPEFEFWPLHPDPAASPSCADELFSGGVLLPLPVLPPKPASSHAGRSSGTSQSVPPAPEPEPEAEPAEASLLATVAPPTASITSPAPPAAVSTGGSKRWTDIFSKKPAEEKDKEKEREKRKDGASGRKQAAHAGGGSELNINIWPFSRSRSAGGGSGTSKPRPPARKVSSAPCSRSNSRGEAGPPRRWAASPGRAGGGVPVGRSSPVWQIRRPAAKPAPAPLASELAFADRRAPPPQTHKDSKPAGAAGGGRKPGLGGGVRGLNLSVNSCIGYRHQVSCRRADVGAARGPGGGGLFGIKGFFSKKVH >KQK93548 pep chromosome:Setaria_italica_v2.0:VIII:1866567:1867426:-1 gene:SETIT_027146mg transcript:KQK93548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLEQIWVLHAYLSEPRVLVHPLPPAPSAKGTRRGDDREVTAVGAAALAAAVALGACTNWSWTHWRLLNRSAANVATF >KQK93549 pep chromosome:Setaria_italica_v2.0:VIII:1865257:1867426:-1 gene:SETIT_027146mg transcript:KQK93549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLEQIWVLHAYLSEPRVLVHPLPPAPSAKGTRRGDDREVTAVGAAALAAAVALGACTNWSWTHWRLLNRSAANVATF >KQK93547 pep chromosome:Setaria_italica_v2.0:VIII:1864061:1867426:-1 gene:SETIT_027146mg transcript:KQK93547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLEQIWVLHAYLSEPRVLVHPLPPAPSAKGTRRGDDREVTAVGAAALAAAVALGACTNWSWTHWRLLNRSAANVATF >KQK93550 pep chromosome:Setaria_italica_v2.0:VIII:1865257:1867426:-1 gene:SETIT_027146mg transcript:KQK93550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVLEQIWVLHAYLSEPRVLVHPLPPAPSAKGTRRGDDREVTAVGAAALAAAVALGACTNWSWTHWRLLNRSAANVATF >KQK94400 pep chromosome:Setaria_italica_v2.0:VIII:14501812:14504936:-1 gene:SETIT_026861mg transcript:KQK94400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAATRGGLSGPRILLSLAVLALAIALVSRSLAPTVPDMADDLPASIYDIAVKDIRGNDIKLSDYAGKVLLIVNVASQCGLTNSNYKELNVLYDKYRQKGLEILAFPCNQFAGQEPGSNEDIQETVCTRFKAEFPIFEKINVNGKDAAPLFKYLKSQKGGFLGDGIKWNFTKFLVDKDGKVAGRYAPTSSPLKIEAE >KQK94401 pep chromosome:Setaria_italica_v2.0:VIII:14501479:14505013:-1 gene:SETIT_026861mg transcript:KQK94401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIAAATRGGLSGPRILLSLAVLALAIALVSRSLAPTVPDMADDLPASIYDIAVKDIRGNDIKLSDYAGKVLLIVNVASQCGLTNSNYKELNVLYDKYRQKGLEILAFPCNQFAGQEPGSNEDIQETVCTRFKAEFPIFEKINVNGKDAAPLFKYLKSQKGGFLGDGIKWNFTKFLVDKDGKVAGRYAPTSSPLKIENDIQKLLDTTP >KQK95479 pep chromosome:Setaria_italica_v2.0:VIII:34734482:34735984:-1 gene:SETIT_028428mg transcript:KQK95479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLKPPNILLDNDLVPKITDFGISRPGEISATMSKERLFSIGYCAPEYQFNGKMSFKSDVYSLGVIIREMVTGTRNEPNITIVRGVIILPLYIPKKIHNCTPLVLLRDYSLTFSQGHRKKI >KQK95480 pep chromosome:Setaria_italica_v2.0:VIII:34734482:34736488:-1 gene:SETIT_028428mg transcript:KQK95480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMVEHQNTVRFLGYCSHTEQQALEMWGKYILAEERERLLCFDYISKGSLEDYVTDELRGLEWHTRFQIIKGICEGLHHLHKEKGIIHMDLKPPNILLDNDLVPKITDFGISRPGEISATMSKERLFSIGYCAPEYQFNGKMSFKSDVYSLGVIIREMVTGTRNEPNITIVRGVIILPLYIPKKIHNCTPLVLLRDYSLTFSQGHRKKI >KQK95813 pep chromosome:Setaria_italica_v2.0:VIII:38236255:38240243:1 gene:SETIT_025840mg transcript:KQK95813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVGGSAQSAVDSLLGRLKSVFVEEAQLLRGVHDDMQFIKREMESMNGFLLDAGDEGRGNGNQVRVWRRQVHELAYDSQSCVDRYVQTFGATHPAAGAGLLASFRRVPVLVRTLPSRHRIATEIRGLKARALEVGERRLRYGVVTPATPGVQGGGISTLAARRAAIANREAEDARRRHALDSADVLFDANARARELVAWLMGAPQPVPRVRLRRNQLVTAGQLIQKMWSGGGGPNDRQDLTMELIKLFRGLDDNDNPENVAEAAGYLSSAMLEVDTQEVKVLSSWLLDKVLGRADLPQQDPETKSEAAGSELFMDMLKAVKDPMAKFIGHAENFKTSTYDMFGVWNMMEDLIEVFKALKEPIERFLDLADQPPQDSSSSKDGSPHQRPAATANEDLLEMVKAAKEPMEVARAEARYVAAQVNEEELKTRIAEHLKGKRFLMVLADPEDENSWQDITSALPDHGDSAVIETPRIRYMAQFHAWYKASWIFLLMGASSRYQVHFCSNLVGIRKVAAELVGGDQLPGGISAILKKCLWDSFATKMFLHALYANPHRSDAELERLMLGLRYSSSVSNARRMVQFCYEDLPRQYQSCLMSLSIFPQEGRTFKRTNLVRRWAAENFVSARDGLAAVDEANRCFDALIARGLLQPADIGPAGKVRSCTLHRHVHSFITKMASYQTVTDNTDLRSELAHRLSTRSGILQLLVENQAAANSNTCWGIIHRHRHTPSGDLTHEVVTLLNLLPASSDQLGLVKLLDLEGCKGLKKRHLKKICNKIFQLKYLSLRDTDATELPKEINKLRYLETLDIRQTKIKSFPSNTIALPKLMHLLAGCIDHESTQVIESDGRFSTVHLPSHVGSLTNMQVLSHVEVSETESDTSELTDVGRKLHLRKLGVVIRGKDPRGVLLRVIGMLHESLCSLSVHLEPVKAEGEVYSMEPQAHGGDAFDIPKSLESLNIKGVISELPTWIRQLHRLSKITLCRTSLREGDIQKLSELANLRCVRLWHQSYTNKKLTFNTREFRKLELLVVEDSGISDIHFANNAAPMLKKIVWNFTGAEVTLSGIQELLNLKEVHVGGKCDESHLSKIKQDIKKNPNLPDLYPPIHNKPNAASAIIAATSTAATGAAAAASSNASVPK >KQK94784 pep chromosome:Setaria_italica_v2.0:VIII:26861156:26862362:1 gene:SETIT_027719mg transcript:KQK94784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VEFFSLEIKVEGLCTTNSLGTKSYSKGTIIKWNVEIGIFTFELLMNSLRNKVKWAPNQDATVWFFDKRIGEDVRLTNEIKMLDLYEFIPDSHDRTQPTVAQHTPKTGDGASASKGANSKGPTTMEADVPEPDRDPNLFDNDEEYAGVDDEHLYMAGPSTQPSDNAQPDDGSTDPFADIGGIPHDAEVNDQDPQELHVLHDLENPNIAKGSQFPNIIAFRKAIRHYAVSVGFEFARLKIDKTRFIRGQDGFTRLVLDRLCDWLKKNPKKTTKDAKEKLDKDFGIKLKYSKAWSD >KQK94866 pep chromosome:Setaria_italica_v2.0:VIII:28128349:28131810:-1 gene:SETIT_026450mg transcript:KQK94866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRACARVGGGGGRQGGALMASLITFLPSSSFSSSHLPRKPQLPPTPPTPAPTAARPSRFNLLFARAAARRDPEPSPAPAEAPPDAAVPAAEERRSLAVRTGELFLGLAALLLRGTGARRGGGGAAVEEVEARDGVVWEQRPEDVEAERRRRELTTPGFSFSAAGLLFPYHLGAAQYLMDKGYITERTPLAGSSAGAIICAVIASGNTMQDALQVTKDLADNCRSNGTAFRLGAVLRDVLDKFLPDDLHIRCNGRIRVAITQLSWRPRGLLVDQFDSKEDVINAVITSSFIPGYLAPRPATFFRNRLCIDGGLTLFMPPTSASETVRICAFPASRLGLQGIGISPDCNPENRASPRQLFNWALEPAEDEILDKLYELGYRDAAVWAEQNSTELTSKNDQPLAVD >KQK93751 pep chromosome:Setaria_italica_v2.0:VIII:3949916:3951421:1 gene:SETIT_026443mg transcript:KQK93751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFYPSSSSGNRAQPAAAVPAVTEGKNSDNDKSSKKKRSVSISRSMACASSICSTKESSVLSRDRSGGRSASSRSLRAPDVDVDAVYAASAAAVSATSSFNSEATAATSSSATTVTSASSPLSSALSSPVSSFGSSFRGMPIRKLSGCYECHSVFDPRSFAAAFPCTDCGEVFGKAESLELHKATRHAVSDLGPEDTSRNIVEIIFQSSWLRKQAPVCKIDRILKVQNSDRTVKRFEQYKESIKERASGEEGKKNARCVADGNELLRFHCTTFTCSLGAGGGTALCQAPATQCKLCAIIRDGFRVDGDGRIATMATSGRAHDMAQGISDGEKKAMLVCRVVAGRVKKASDTKPSEDYDCDSVSPSSEGVYSDLDELFVFNPRAILPCFVVIYSGYQVIAIAQPSY >KQK93638 pep chromosome:Setaria_italica_v2.0:VIII:2488989:2492334:1 gene:SETIT_025977mg transcript:KQK93638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAGGCAVQQALAAEAAAVVRQAVALAGRRGHAQVTPLHVASAMLSAAGLLRAACLRSHSHPLQCKALELCFNVALNRLPTAGPATAVMFHHRHHQGGHHHSPVLSNALVAAFKRAQAHQRRGTVEGGQPPPQPQPALAAGSKVEIEQLIISILDDPSVSRVMREAGFSSSQVKANVEKAVSSPERHPNTTTSHAATGSPPGSGHARRPNADDDAMRVLDCMASGSKRCVVVVGESAATAEVVVKAVMDRVSKGELQQRHERLKNLQFVPLPAASFQRMTREEVEAMAGDLRALVRQGCAAGKGVVLVLEDLAYAAEAWAAASERRRCGGREPGQCYCPVEHAVMEVSSLVSAAGGGSGRGLDRFWLLGSGNSHAYMKCRAGQPSLEAVWELHPVVVPDGSLALSLSCDSDAEQLASQERSRRPWPFVNNGAAAGESEPTSSCAATMTTPSVPPWLHRYQEPDMNRLGNRSTSLQLPDWNPNYGLAAHHTSELTLSFSSPATNSPDTSSISGFAPSFINANLMMSSKPWQFKLMQPWPNHQHDDPLAKSYDHQPLHANPSPESYSVSNSSVSGSAESPKFMELTAENLKILCNTLENRVPRHKDVVADIASVVLQCRSGMTRRMRWCQEKPSAVTWLIFQGGDNDGKEVVSQELARLVFGSYSKFTSISLPEFTQVHTDSSSSLLTLKRQRSPDTGHGYQRLYEAILENPHRVIMIDGIEKLDYDSEISIRNAIANGRIKGCNGDEISLEDAIVVLSCEALDSRSNASSPRLKQRVIDNGGKEENGMNIENGMESSGFTLDLNACAEDGEEDGVSVSDNMRILNIVDGVFFFQLAEDL >KQK95614 pep chromosome:Setaria_italica_v2.0:VIII:36483964:36486555:1 gene:SETIT_0261172mg transcript:KQK95614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESRSLPMAAATSMSVAIAIVFLIYTAAPLGDAASVEHTFIVNQTKMTRLCKATQVTVVNGQLPGPTIEITEGDTVTVHVINRSPYNMTIHWHGVKQFRNCWADGVPMLTQCPILPNKNFTYQFNVVGQEGTLWWHAHVPGLRATVHGAFIIRPRHGAESYPFPQPHKEIPVIIGDWWEKDLAEMARNMTKSIFLSYASASTINGLVGDLFNCS >KQK95632 pep chromosome:Setaria_italica_v2.0:VIII:36667166:36668020:1 gene:SETIT_028222mg transcript:KQK95632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPPQVPGLQVRPVTAANYEAELDGIGFLLARYPYVAIDTEYPGTVHRPPPPWRAGRELSPPDRYELLKANVDELPVVQLGITLCDEYGNLPTLVDGSGRPHEVVWEVTFSDFDARRDRHAPESVAFLRSQGLDFDQAIARGVSSAAFAAKLAAVLPRAGLELTWAAFGGAHDFAYVVKMLSGGRPLPGTWHEFAALARDLLVGRVFDAKYMAEHCERADLCGGLRRVAESLRVQQHDLPERPAWLAGRKSYIASRIFTAMRRRIMYRDGGALYESLIDGLHI >KQK95047 pep chromosome:Setaria_italica_v2.0:VIII:30303776:30304277:-1 gene:SETIT_028595mg transcript:KQK95047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITVPALERMAGSVNVSLFRIAVESLDSSFLLMMIRLSVFVDTT >KQK95077 pep chromosome:Setaria_italica_v2.0:VIII:30561709:30568653:-1 gene:SETIT_025983mg transcript:KQK95077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDAAAGGGDGGECHAAQVVGADGEMDGAAMERFAAAAGLPGQGLSYAVVSILGPQGSGKSTLLNHLFGTSFREMDALRGRHQTTKGIWIAKAVGVEPFTVVLDLEGTDGRERGQDDTAFEKQSALFALAVSDIVMINLWCHDIGREHAANRPLLRTVFQVLMRLFSPRKTTLLLVIRDKTKTPLEFLTQALKEDIQKIWDSVRKPEAYKEAALSEFFNVEVTALSSYEEKEELFMEQVGQLRQRFYHSIAPGGLAADRRGVIPASGFCLSALQIWKVIRENKDLNLPAHKVMVATVRCEEIVNEKLGQFLSDKGWLELDAAVKSGPVLSFGTRLGAILNSYLSGYDMETMYFDEGVRTAKRQQLESSMLHHTYPALETVIEHLHVVTLKKFESDLEQSLRSREGFAASVRQCAQAAIAEFDAGLRDAAVKHVEWDTSKFRNKLLEHIQAHVESIRNAKLAELKANYEKLLSDALAGPVQSLLETGERDSWACIRRLYRRETENAALAFSASLSEFDLDQTISSEMVSDLRKHARSVVEMKSREEAGNVLLRMKERFFTVLSRDRDSMPRTWTGDEDLWAITREARLAALRLMSVMAAIRLDDKPDKIERALITALLDGGPLSQKRSVEFTYDPLASSTWEEVSPRDTLITPVQCKSIWRQFKAETEYAVAQAMSMQESHRRSKNWLPPAWTVLLLAILGYNEFMFLVRNPLYLLGLFVAFVLSYAIWLQYDITAYFRHGTLSALLTILSRLLPTIMDFVTAIVNMSHSQKHSANQSRHPPLLHAQSLMNQTWRRSQVKYQSPDSPSPSSSVDSNSGDES >KQK95573 pep chromosome:Setaria_italica_v2.0:VIII:35716246:35719624:1 gene:SETIT_026555mg transcript:KQK95573 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFQVVLAGLNIFYKLTVSDGMDLRVLVAYRYLFATAFLTPLAYFIERSKRTKLTWRVLVLSFMCGLTGGMVAQNLYIAGMKLTSTTFASATTNLLPAVTFVLAVAFRYERLAIGSLSGQAKVAGTFLGVGGAMLLTFYKGPDITPWRSRVNLAAALAQRAAAAGAAGSHPPPPAPAAPNFAMGSLLCVSSCFFAALWLIIQAKLSKEYRFHYSSTALMCAMTTLQSAAFALFFDRDAAQWRLGLNIRLLAVVYSGVLASGVMLVVLAWCVRRRGPLFVSVFNPLMLLVVAVLSSLLLGEELHLGTALGAVLIVMGLYAVLWGKGHEPAAATEAAKVADQPTVEEEDKRIEVPIAANSI >KQK93720 pep chromosome:Setaria_italica_v2.0:VIII:3570299:3571179:1 gene:SETIT_027641mg transcript:KQK93720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDDSFAPECSGYRVLLHPKVSTSKYTSEQILKARDVFESPCLVFLHNIMFFSLQIFLPVCYKYHWTLYVVNYSSEQIDILDSRVSTKRDKTNCHQKINSKIRTGLYDALNTFTNNKMTPFNTWVFHFNGCGFFVMIFLKQYNTTSNVRRSKILWYLLFHELNKSGAEILVDIKMTTPDA >KQK94117 pep chromosome:Setaria_italica_v2.0:VIII:9013648:9016501:1 gene:SETIT_026499mg transcript:KQK94117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTTIGLVVLATAVAAAAMFLSSLDDSRRDDGVPVVEIRGDGGFELIPLDGGATGPESVAFHDATGDGPYTGVSDGRVLRWLPAERRWVEHSSSCAPELLDSCRGSQDPGREHECGRPLGLKFNNETGELYVADAYHGLRVVGPDDHVSRPLVPEWQGSRPFSFANGIEIDYETGAIYFTETSTRFQRREFLNIVISGDNTGGLLKYDPKSNQVEILVDGLSFANGLAMSTDGTYLLLAETTTGKILRYWIKTPKASTLEEVVQLPWFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWLRRLILKLPMRHVQRASWLLNRLGHQVIALRLSEDGKTIEAVSVHGAIQKVFKSVSEVEERNGSLWIGSVMSPFLGVYRL >KQK95358 pep chromosome:Setaria_italica_v2.0:VIII:33752613:33753227:-1 gene:SETIT_027308mg transcript:KQK95358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFAFLALLALSVSAATAVLVPQCSVAAAAATIPQYLSPYTALGLQQALAASILPSSAMFLPQQSALLQQQSLSHLTVQSITAQQQRILSPLNQLALANPAAYLQQQTLLPFNQLALANPAAFLQQQQLLPFNQLAAVNPAAILQQQLSPLNPLALANPAAFWQQQQLVNQLALTSPAAFLQQPIVGSALF >KQK93997 pep chromosome:Setaria_italica_v2.0:VIII:7343467:7344707:1 gene:SETIT_027893mg transcript:KQK93997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRKRGRTGREAAAAPEDDGRDHISALPDDALEHILSFIPALDAARTCVLARRWRHIWKSTKSLRIVTPGSIQDIKEFVDHLLLIRAGSPVDTFELRTQEGMSIEDNARVNLWIRHALACKACVLQFEIFGSTTWLKLKDIHLLFASQYLTRLDFAYVQFRDCFLNFSSCPALQDLQGVVAKRISSQSLKRLSIADCNSIWTLRTCIHAPNLISLCLDETFHRAPVLEKMPSLVEAVVTIRECNSDICTRTTSQGCNVLECKGCYGIEGDTSCVLLQGLYTVKNMALVALSNPFISRRDLKHYP >KQK93407 pep chromosome:Setaria_italica_v2.0:VIII:974197:976297:1 gene:SETIT_028296mg transcript:KQK93407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAPAPNVAAAPVGIRVENCYVFKSRLQEYAQKAGLPTQEYHTLKEGPSHEPIFKSTVVINNTKYDSLPGFFSRKAAEQSAAEVALMEIVKSVPATETKSIPAVQETGLCKNLLQEYAQKMNYTIHHIFALNKLQAVFRYANIIPPFCLLLGYLDRVITGQCLVQVNLKVVQMVPRNKRPTETPKSLKVKKSGGRKKWNKWKFMRKTDQIVDAEKDGAREAGDVHDSDVPMQATIITEEPSRDTMILHLDEEARRVELELLRETATQQPDKEARKLEPLRDPATVQPNEEARMVKPNMEARSVEQESASGYVALQFNGGATDVKEEPPSNSVMMQCEETETIKQE >KQK94235 pep chromosome:Setaria_italica_v2.0:VIII:11577053:11577772:1 gene:SETIT_0281591mg transcript:KQK94235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVQLGAHRAGARQPQEHRLPPGLLRRLRRARARLHSRVPMAPAVSSWRGRLAIALDAARGIEYMHVYAVPPIIHRDVKSANILLDDAWTAKIADFGLSSVLDPTAGACDDAGGGGEPLYTGGTVGYMDPEYYRLQHLTDKSDVYSFGVVLLELMSGCRVVQRYAESVTPKNVVQFAVPHILADEVARVLDPRLPAPTPEEAEALAYVGYLAADCVGSVGCDRPSMTE >KQK95211 pep chromosome:Setaria_italica_v2.0:VIII:31993660:31994851:-1 gene:SETIT_026632mg transcript:KQK95211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAKEERRATNSSPESHGTDLISKLPMRETPSEPLFLYKNFWFRRIFLERIMLLEATFKARHDDIILATNPKCGTTWLKALSFAITNRSHYDSGNHPLLTRHPQEVIPTIDVEIPLNGDLTFIEKLPPPRILATHMPLSLLPESISIQGCRIVYICRDPKDAFVSRWHFMSEFFGEKPDINVGFNMFCEGVLGYGPYWDHCLEYWRESVIKSDRILFLKYEEMMLEPVKFVKVLASFLGAPFTGEEEDAGVPEEVVRLCSFKTLSGANSSQTEVVQRGSVVVKKSAYFRRGKVGDWVNHISEEMGRKLDDIVQEKLKGSGLVF >KQK96028 pep chromosome:Setaria_italica_v2.0:VIII:40610189:40613055:1 gene:SETIT_026769mg transcript:KQK96028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRRPLIVLFGSSIVQYSFSNGGWGAALADIYARKADILLRGYIGWNTRRALQVMDKVFPKDSPVQPSLVIVYFGGNDSIAAHSSGLGPHVPVDEYIDNMRKIAEHLKSLSEKTRVIFLSCPPLNEEMLRRSTSSTILSEIVRTNETCRLYSDACVALCKEMNLKVVDLWHAMQTREDWMTACFTDGLHLSEEGSNIVVEEILKVLKEADWDPCLHWKAMPTEFAEDSPYDLVSSSGDATVNPSEWTIHRRIPWD >KQK96027 pep chromosome:Setaria_italica_v2.0:VIII:40610189:40613055:1 gene:SETIT_026769mg transcript:KQK96027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGRRPLIVLFGSSIVQYSFSNGGWGAALADIYARKADILLRGYIGWNTRRALQVMDKVFPKDSPVQPSLVIVYFGGNDSIAAHSSGLGPHVPVDEYIDNMRKIAEHLKSLSEKTRVIFLSCPPLNEEMLRRSTSTILSEIVRTNETCRLYSDACVALCKEMNLKVVDLWHAMQTREDWMTACFTDGLHLSEEGSNIVVEEILKVLKEADWDPCLHWKAMPTEFAEDSPYDLVSSSGDATVNPSEWTIHRRIPWD >KQK93856 pep chromosome:Setaria_italica_v2.0:VIII:5223026:5226882:1 gene:SETIT_026037mg transcript:KQK93856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQRMGRTGRKHEGQELTGYTKKQGDSRTMRKLLRNSERFEYHASPRMVPHIHKPEVRYVKLTIDKYIPSSNKMRVALKEASSIPWKMSEADGQLIAQYFGACKDVWRPSLVAFPRFQLCPSLVHKVPHSFRTTDMLTDAMQQLQDPSFFRTKCEKPLQESADVAAVKGHYQSVSVPGSPVRKYPIHSFFSVDYVTVDRGGNVSITFVPVLPQTSAFLKDTKNADWHNKDRNKATPCRSVADISWTSVEYVCPVTNSAKHMSTNNLSSSAMHSPEYAGPCDNVDDNHVLTPLLPKTSTSPREKLDTTCNTKLPQSTSSYQEDMDLSPRLTLYMEEGIVPESPVVEVSHLHLGIDGAANIGFEPKHASPELLSVGAHTNLAGCKKGPLDLEKNSQWISVVTELGASARQNPTEPSNVKICTPTKHIPTENLLHDSFSGDCLLRSGGGASGSVPQAPKYRRLCKYGDKIKRVSISFDACHDGFEKCNIAARAMVSEDADVSEDEDDNQSEDKYEDSFIDDEATPTGEFTQTEQGGRISGDMMGFYRYLDVSDNSASWTGNASCSSEVGHNSIETPKELQTHHTMDPSPSYQQSLLGKASFVKDQCGTTFANSESSTKLDCRKRKLSFQQPATIPVINLEPEPAPESSSHIATGVTDDNYYDDAFFENLDLDAIEAEATALWRQKTSQSTQKPVETKKASDLSFEPPPFDLGF >KQK94326 pep chromosome:Setaria_italica_v2.0:VIII:13109797:13121361:-1 gene:SETIT_025810mg transcript:KQK94326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEDVTFQVLKDVTNGFSEKQKLGEGAYGVVYRGLTKNGEEVAVKKLRDVNPDLDDKQFQNEFYNLMKLKHNNIVQILGYCYEIEQISIEHNGRIVLAEKTYRALCFEYLHNGSLQKHISDEHCGLDWHTRYKIIKGICEGIKYIHEELEKPLYHLDLKPDNILLDKDMVPKIADFGLSKIFGKELTRTSQSPLGTCGYQPPEYIERGEISKKFDIFSLGVLMIRIVSGPEGYSKSQNMPYDEFIDQVQKSWRNMLQETWSDSLLEGYCHQVQRCTQIALTCLEKDSQERPDIMMIIDMLNKIEADTVKLRQKGCHGTVPSMTMLDTVTKMRTEQLDITNQYQHFNSMMTSSRCNDQEFVDTRKKTLDAGEGQIVGRTEEKNKIMAALLSMKEKIIVLPIYGIGGIGKTTFAKLIYEDTMFKYFSRAWVYVSPRFDLNKIGNSVISQLSVKESKTNEKREMDNILTKILSNKKILIVLDDLWEDNPFQMKDLKAMLNLGENNNIIVLVTTRSEHIAKKICVTLKPYKIRPLTNDMCWDIIKQKSHFETRDDREELMGIGREIALKCGGVALAAQSLGYMLQTMKSDEWEKVKENDIWNEHISKDVCSPNHVLASLKLSYSSMDPCLTSCFTYCAVFPKGHKIVKDDLIHQWISLGFIQPKKILSPIQLCEKYIVQLLGLSFLQHSVLPTTLGAYDNGFTLYKGVTVFTMHDLVHDMARLDMADEILDGSKQGITVESSGRYVLLTDCSKPLKSSTRFTDKIRALRFLDCRRIKLHGDAFSSATSLQVLDLSECRIYQLPACISELVKLRYLSAPKIQSQTIPYCITKLSKLIYLNLSWSTILALPESIGDLRCLMHLDISNCHFIDNLPKSFVNLKRLEYLDLSNNNQLRGVSKALDGLTNIQYLNLSMEKGYGLPEVIGNLIGLRYLGLSHTTRCIFWNPSSDELFSFIDRICTLSNLHHLDLSNNDSIVSIPESIGNLWNLHTLDLSCCPHLVRLPECLVRMDSVKILNVTGCRKLEKSALSRSKIFDLLPHFVVQADEGQSSSNIGLLQYANPNELHITCLDNVKSAEEVQSIKLIEKLGINDLKLQWTSDACRSLEDVEVLASLVPPSTLREFNMTGYNSVSFPPWLINIKLYLPKLRKIELWDICKCNSLPALGQLQNLRELIIGEMDSITTIEEDFCGGARAFPQLKRFCLYHMENLEAWKTMYSNGKDVEKEFIVMKFMFPNLVELLIRDCPDLRLKPCPPGAKRWEIESSDNVLSSWGERGASSVSSVSLTNVTVTVKLSKVPLDQWMLLHHLPAFTELRIISCTDLSCNSLDIIGDLSFIKSSWLEDNTQPELPKWLGGLTSIRKMDITGCKELSVLQGSVRHLTSLQELSLIDCPRIKVLPEWLGDLTALKKLQIRYCRGINSLPQSIQKLTKLKGLAIYHCPELAEWCNLEKNKKMLAHIKVKLRGVSKALGGLTNIQYLNLSMQIGYVLGQFLEGLPEVIGNLIGLRYLGLSHTTRSIFWNPSSDELFSFIDRICTLSNLRHLDLSNNDSIVSVPESIGNLKNLHTLDLSCCPHLVRLPECLVRMDSVKILNVTGCRKLEKSALSRSKIFDLLPHFVVQADEGQSSSNIGLLQYANPNELHITCLDNVKSAEEVQSIKLIEKLGINDLKLQWTSDACRSLEDVEVLASLVPPSTLREFNMTGYNSVSFPPWLINIKLYLPELSKIELWDICKCNSLPALGQLQNLRELIIGEMDSITIIEEDFCGGARAFPQLKRFCLYHMENLEAWKTMYSNGKDVEKEFMFPNLKELSINDCPKLRMEPCLPRANKWEIENSNNVLSLWGETRATSSAAPMDVSVTVRSDKAPLDQWKLFHDLPSLSTLHITCCTDPTWSSNMLSQFLFNIMSRWYTNSNDLYITKVMKRQVINDLKLQWTRDAGSSVEDVEVLGKLVPPISLREFNMTGYSSVRFPAWVMDITLYLPSLSKIELWDICKCNSLPPLGQLTNLQELVIGVMDSITIIDGDFCGGARAFPELRKFCLCRMRNLKLWETMYSYDMGSVKKFMFPNLVELLIRDCPDLRLKPCPPGAKRWEIESSDNVLSSWGERGASTVSSSISLTNVIMTVKFSKVPLDQWMLLHHLPAITELRIISCTDLSCNSLDIIGDLSFIKSLWLEDNTQPELPKWLGGLSSIRKMDITGCKELSDLQGSMRHLTSLQELSLIHCPRIKVLPEWLGDLTALKKLQIRYCSGINSLPQSIQKLTKLKGLAIYHCPELAEWCKLEKNKKMLAHIKVKYIFLMNDHLVQRVKLHGKRIKRSCGRTI >KQK94297 pep chromosome:Setaria_italica_v2.0:VIII:12712069:12714688:1 gene:SETIT_026892mg transcript:KQK94297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARVAATLVALLVALFAVAATAQAPAAAPKMAPLPPPPKRAPMASPPTPPMGSPASAPSASVPAMSPTAAKLVSMARDNPRVAIIDVRDEERSYQAHIAGSHHFASGSFAARMPELVQAASGKDTLVFHCALSQVRGPTCARMFSDYLSETKEDSEIKNIMVLELGFNGWEVSGQPICRCTDAPCKGTCS >KQK93224 pep chromosome:Setaria_italica_v2.0:VIII:47568:53176:-1 gene:SETIT_025940mg transcript:KQK93224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSTNPFGQSSTSPFGQNSFGTQQGFGQATPAANNPFAPKPFGSPTTAFGAQTGGSPFGTASTGAFGQQQSTPTFGTTSTGAFGQQQSTSTFGTPSSSPFGSTPAFGASPTPAFGATSSTFGSGSLFGQKQSFGGFGSSPSQSSPFGSTFQQTQPTFGSSTFGASTTPAFGTTTTPAFGATAPAFGTSTPAFGTATTPAFGSTSTSLFGASSTPGFGSSTPGFGTSGSTAFGVGGTAPGFGSSSTPSFGTSTNAFSFGSSPSFAQTAVSSGSSPFGTTSPFGVQTPAFGSQTAAPAFGQAQFGNQAGGTRIKPYAQTPDVDGATSGTQPAAKLDSISAMPEYKDKSHEELRWEDYQRGDKGGPNPSGTPAAASIFPSLSTQQNAFAPTSNVFNQSTPNTIPTNNPFAPKPVSTSASSFNSFNSTVASSSPFTSSTSTTMFGQTGVSPFQASSSPSLFANTTPFAPSSLFGTSTTNNPNPFGTVSSLANTQSAPLFQSAPAFTQQPSSTPAFSSGNLFSTPPGSLFGSGPSLFSTPTFQTSAPVQTPNTFSFQPPTQPASTGGFPGFSNSANQALIGQQSPSQSNMVMQPAPVSNPFGTLPAMPQMSIGNGGSSPSVQYGISSLPVAEKPLPTRTLSMAVPRHLSQRRIKLLPRKYNPISDGKVPFFADDEESPATPKADAFFIPRENPRNLIIRPIEQWPSRSAINRQSVPKDSTDLDKYEDTSTESGRDKTVKSPSSSPLVENGQQHEPSHHGNGKGTSVERLLPKLPQEDYFTEPSLEELAAKERGEPGYCSRVKDFVVGRHGYGSIKFLGETDVRGLDLESIVEFNNREVIVYKDDSKKPPVGEGLNKAAEVTLLNIKCMNKKTGEQYREGPRVERYRDMLMMKAEEQGAEFVSFDAAKGEWKFRVKHFSAYGLW >KQK94971 pep chromosome:Setaria_italica_v2.0:VIII:29387801:29388240:-1 gene:SETIT_028425mg transcript:KQK94971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAVVLLAWATRSSTSNNKQGHHSMAHYKRGPTRPSPNRKIC >KQK94133 pep chromosome:Setaria_italica_v2.0:VIII:9353266:9355121:1 gene:SETIT_026572mg transcript:KQK94133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGQAAGDPAPAVETAKGRVAPPMPWRTRLVVLAAGYVTDATRRADGTVNRRLLAVLDKGVAASGTPRNGVASRDVDIDDPAVPLLRARLFYPAAGGVGAEDEEGHRPLPVVVFFHGGGFAYLSAASPSYDAACRRIARHARAAVLSVEYRRAPEHRYPAAYDDGLAALRFVGNHHHHDHQPPVDASRCFLAGDSAGGNIAHHVARRYALDPSAFPRVRLVGLIAIQPFFGGEERTPAELRLDGAPIVNVPRTDWMWRAFLPPGADRSHEACSPAAAVAGIKSAPAFPPVTVVVGGYDPLQDWQRRYCDALRREGKEVRVLEYPDAIHAFYVFPEFPDAKDLMLRIKEIVAGSE >KQK95531 pep chromosome:Setaria_italica_v2.0:VIII:35371428:35375619:-1 gene:SETIT_027866mg transcript:KQK95531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHGAAAAQPPQSGKNSSEPAECLLKEYLLLLATLVATVTYAAGLNLPGGSWLEDAPAEGQLAGDSILRQTNYTRYIVFYYFNAISFAASLLVGLLLLLLHRHGQNWDGYLLPLVRTVMVVDLLGLTGAYAVGGSHDGFTTASASVLVSAVFAYVAVAFLFFAVRRVRLRGTPLPAPPSTKKDELDRHKILMVLAVFAATIAYVAGLNPPGGFWRSAEEGHHAAGEPVLQRLHHNRYKAFFFCNTAAFAASLTAIMIIVDYAKLDGLKGMTRFEARDSALYGLIVTALLGLGGAYAAGSCRDGKHTGYVVGLILPVAACIFLQYVAANSSCIKNFKHWYETRLEVEANKRSPNKYISAIRAIVTEPSTRDDLNKARENIQLLGILAATIAYQAGLDPPGGVWAAKGKGYNVGDPILLTTHPGRYKAFFYFNSAAFVASLVIMVMLQIKHLAGSHALKAAMILDLFGLIGAYAAGSCRDTDTSIYTVALAGGVLIYVVIHIVFFTPERKLGEDEEQLEDKRKVLLLLAILAATLTYQAGLTPPGGFWEDGHRAGFPVLQDKYPLRYKAFFYCNAASFMASVALIILLLNENMYKPGIRCYALVVCMVAGMFGLMCAYAAGSSMHLRTSIIVLVLLAAVSAILVILAIKLFVEKLRSAAADARKAKQNNPNDPEQLNNPNGLINKPNNDPKPNAASYPKRSLPDQETAMYLMLVGILAASVTYLTGLKPPGGMWRDDGNGHSAGNPVLYDINKQRYNAFFYCNSTSFVASITIIALLLLSWMTAFIGKAVLPLGPMHTAMVLDMLALLGAYAAGSDRESSRSWKVILLVPLVVLVIFLFWFICGKTGENGGTNMDHGGRAGAGTGSEEPAGAS >KQK95725 pep chromosome:Setaria_italica_v2.0:VIII:37563928:37566880:-1 gene:SETIT_026771mg transcript:KQK95725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDTPRSSSAGAPARHAGIGHLGRPGKIFAPIGRPRARAGYFPGPINPRRPPPPQTLAPHRLRPPLPALVSLLAQARVLSTRGGAMLVYQDLLTGDELLSDSFPYREIENGILWEVDGRWVVQGAVDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKNLTAKLEPEQQAEFKKGIEGATKYLLGKLKDLQFFVGESMHDDGSLVFAYYKDGATDPTFLYFAHGLKEIKC >KQK95726 pep chromosome:Setaria_italica_v2.0:VIII:37564636:37566880:-1 gene:SETIT_026771mg transcript:KQK95726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDTPRSSSAGAPARHAGIGHLGRPGKIFAPIGRPRARAGYFPGPINPRRPPPPQTLAPHRLRPPLPALVSLLAQARVLSTRGGAMLVYQDLLTGDELLSDSFPYREIENGILWEVDGRWVVQGAVDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKNLTAKLEPEQQAEFKKGIEGATKYLLGKLKDLQL >KQK95724 pep chromosome:Setaria_italica_v2.0:VIII:37563928:37566880:-1 gene:SETIT_026771mg transcript:KQK95724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTDTPRSSSAGAPARHAGIGHLGRPGKIFAPIGRPRARAGYFPGPINPRRPPPPQTLAPHRLRPPLPALVSLLAQARVLSTRGGAMLVYQDLLTGDELLSDSFPYREIENGILWEVDGRWVVQGAVDVDIGANPSAEGGGDDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKNLTAKLEPEQQAEFKKGIEGATKYLLGKLKDLQFFVGESMHDDGSLVFAYYKDGATDPTFLYFAHGLKEIKC >KQK95841 pep chromosome:Setaria_italica_v2.0:VIII:38825679:38827455:-1 gene:SETIT_026592mg transcript:KQK95841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAEAIGLISSLMSIVEQINRLAEAAQRNREKCTMLKDHVQMINLLLTELKSQWMPDPVTYSMLKHLEDALNDGKALVESCREKRTWSLVFKTKKKANKIVAVDARISKILESFHIANMILIVSINKERFFMNVLEKLLRNGACKRLPQTEKEELKSSIRNLTNMDNMSSDAKSVIELIIRDITDGDVNAVRSSTLSVGPGERGDQQGARTGDHDEVVRLALSIVQEAKALRQNRGEIQQLVQFVQQIADLMQQPQSWKLSRDQNTRSMVSSLKEHLRDAYKIVLHNQQHKIDYRIAQTFLCGVDGGYDWQQPDLILKVAYRIEYYVQVLPVITMRHMLA >KQK94001 pep chromosome:Setaria_italica_v2.0:VIII:7380725:7383797:1 gene:SETIT_025922mg transcript:KQK94001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIRLLLAFLLLLLQAIAAASSDAEHLLAARSALRDPTGALASWGAGSGRGLPCRWARVTCANNSTTAVAGLDLSQLSLGDVFPAALCSLRSLEHLDLSVNEFMGPLPACLAALPALVHLNLTGNNFSGEVPPEWVTGFRSLLVLNLVQNLLSGEFPAFFANLTSLQEFSLAYNLFSPSPLPENIGDLADLRVLFVANCSLNGIIPASIGKLKNLVNLDISRNSIHGEIPRSIGNLSSLEQIELYANKLSGSIPVGFGGLKRLRSLDFSMNQLTGEIPEDMFMAPNLASVHMYQNNLSGRLPATLGTAQSLYDLRIFGNQFSGSLPPEFGKNCPLVFLDTSDNRLSGPIPATLCASRKLKQLMLLNNEFEGTIPVELAQCWTLVRVRLSSNRLFGPVPPQLWALPGVYLLELRGNALSGTVDPAIAGAKNLIKLLLQDNRFTGALPAKLGTLANLQEFKASNNSFSGPLPPSLANLSLLGNLDLSHNSFSGEIPRDFGKLKQLSQLSLSDNHLSGNVPSELGDIIEINTLDLSNNELSGQLPAQLQNLKLTHFNISYNKLSGTIPVLFNGLEYQESFLGNPGLCHGFCQSNGDPDAKRHNTIKLIVYIFIAAAIILFIGLAWFSYKCTLHKISAAELDDGKSSWMLTSYHRVDFSERDIVNSLDESNVIGQGGAGKVYKAVVRPQGETMAVKKLWPVGVESKRIDSFEAEVATLSKVRHRNIVKLACSITNTVCRLLVYEYMPSGSLGDMLHSAKRSILDWPMRYKIAVNAAEGLSYLHHDCNPPIVHRDVKSNNILLDAEYGAKVADFGVAKTIGDGPATMSVIAGSCGYIAPEYAYSLRVNEKSDIYSFGVVILELVTGMKPMAPEIGEMDLVTWVSANIAQNGLESVLDQTLSVAEQFKDEMCKVLKVALLCVSNSPKSRPPMRVVVKMLLEVKEENKPMLKLTLPNI >KQK93742 pep chromosome:Setaria_italica_v2.0:VIII:3837265:3838921:1 gene:SETIT_026507mg transcript:KQK93742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGPVFALIAVAGASIAVAVPCLLVAFFCRQSRRNLKPYCRRRGCSSPSGPALPVSAPDSSSWSFYGSAADACLEKLSLSDLAAATGGFSPDNIIGDGSFGFVYRAVLPSGTAVAVKRLSGDGAAGAGNREFLAELEVLGSLSHPNLARLLGYCAAGRDRILVYELLERGSLDAWLHGGDAEEGGGGEALPWPARLRVARGAAAALAFLHHGRRPPVLHRDVKSSNVLLGEGFEAKLADFGLARIVRGSPAKSHVSTQAAGTAGYVAPEIWLGVGATAKADVYSFGVVIIEMVTGQRPSWPVKAKIGEEEVDMVDWAREKIGAGQASEILDPRMGIGAQAKEMDEVKALLEIAWQCTDSAHKNRPTMEEVVTMLNKI >KQK94161 pep chromosome:Setaria_italica_v2.0:VIII:10254595:10255096:1 gene:SETIT_027123mg transcript:KQK94161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFFDIPVFSDSSNQHPTPNDDYIYESRIAMYHAFLVFGLGADGRNTALKTHPASMAPIKGHIQYTYSCSHVLCQLLTYAQPNACL >KQK93507 pep chromosome:Setaria_italica_v2.0:VIII:1586103:1589929:1 gene:SETIT_027993mg transcript:KQK93507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVRACAPPAPAPTAPSADAAKRKPSRSARVLVLGGAGRVGGSTATALSKLRPDLNILVGDRNREKGESFAAKLGEKSEFVQVDIRNASLLEKALQGVDLVVHTAGPFQRAEECTVLQAAISTKTAYIDVCDDTDYSWRAKGFHEQAKAAGIPAITTAGIYPGVSNVMAAELVHAARSENGEPERLRFFYYTAGTGGAGPTILTTSFLLLGEDVIAYNKGTSYIFICILWCSSGKFQDILICVTNSGEEIKLKPYSGGLNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPSFWNWGMQAFANFLPVEFLRDKVKVQNLVKSVDPLVRAIDGIVGERVSMRVDLDCSNGRNTIGLFTHKKLSVSVGFATAAFALAVLEGNTQPGVWFPEEPEGIAIEARKLLLERASQGTSNFVMNKPSWMVETDPKEVGLGIYV >KQK95216 pep chromosome:Setaria_italica_v2.0:VIII:32036340:32036747:-1 gene:SETIT_028046mg transcript:KQK95216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSSDFYYKDFTVWAVFLLLLLGSTDNLTVCRLSNVDNWKSIHVKHILQGFLLVLIILMICKHHQDVTYGQKLPYRYPLYAIVLVLILKGYVRIASMRMVSKSYLCKKVKVIAEYMQQQHKDNLAVHQAAAWLHTM >KQK95530 pep chromosome:Setaria_italica_v2.0:VIII:35355765:35360397:-1 gene:SETIT_025991mg transcript:KQK95530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEHGAAQPPQSGKNSSEPAEYQLKKYLLLLATLVATVTYAAGLNPPGGSWLEDTPAKGQLAGDSILRETNYIRYIVFYCFNAISFAASVVVSLLLLLLHRDDQGSWLLKLTRAVMVVDLLGLMGAYAAGGSQDKFTTICAAVLVGGTFAGVVFAVPKLFTRQERGELVDNDLEKHEIVMVLAIFVATIAYVAGLNPPGGFWRGTEAAGEPMLQGLHPIRYKFFFFSNTTAFITSLLAITVTVHCEKFHLKDIEVPLYGLIITAILGLGGAYATGSCRDSRHTIYVLALIVPVLVCIFLQRVLAINFGCILIRTSKKKRDDLLDKTREFIQLLATLAATVAYQAGVDPPGGVWADSGEGHTVGDPILLTTHPRRYRVFFYFNSAAFAASLVIMVMLQNERLLIAHALEATLILDLFCLIGAYAAGSCRDTGTSVYTLALAGGVLVYVVIHIVFFTLDLSKKPERSELEKKHEQDKLEKKREVLLLLAILAATLTYQAGLTPPGGLWENDRFGHRAGFPVLQDKYPRRYKAFFYCNAASFMASVALIVLLLNPTLYGPGIRCYALFVCMVAGMFGLIGAYAAGSSLHLGTSIVDLALVLAVFSFVAYVVIIRHSQKNKAAGTGSQSQAEPSKPSGAASNDQHGEASKQEQKMMAKYLMLVGILAASVTYLTGLKPPGGLWREDDGNGHSAGNPVLYNIDKHRYNAFFYSNSTSFMASITVIALLLSRMILFDSKKPLWPMHTAMLLDMLALLGAYAAGSARDWCTSKDVILLLFPILGFVALLFFWKKQGDRATIPQNIANNTTNHSLDA >KQK95875 pep chromosome:Setaria_italica_v2.0:VIII:39113967:39114887:-1 gene:SETIT_026749mg transcript:KQK95875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAPVSVSLGVIRSLPAKLELLLSPEDDHRLHKREKKKIRLLKDHLQELIDKYLMEPSEVEAPTSSAARCWVKEVRELSYDIDDFLDELVYGLNATAASHKNLRGKIAKVREDRSRSRWVADETTRFKSRLEEAIQRHKRYDLDKLQSGTSRINSDEAPIPPLYGMTASRLVGIRSSMEKLEEWLSDGEQGLRVVSIVGSGGIGKTTLAKELYSKLRRQFECRAFVRSSQKPDIRRLLTSILLQVRRHQLPNDLEMGNLTETIRAYLQNKK >KQK95099 pep chromosome:Setaria_italica_v2.0:VIII:30781194:30781493:1 gene:SETIT_028589mg transcript:KQK95099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRPDGGGGSRPSSRSTGRCGSSGAATSSRGGRSAACRRSPSPCSRPGLYVFSSASGIVRSRTGSSTPV >KQK95030 pep chromosome:Setaria_italica_v2.0:VIII:30042997:30044068:-1 gene:SETIT_028075mg transcript:KQK95030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGRRKQRRGAPPRQGCPPPPPLQGPLARIQEWIADAAHGPAAGGEEVPNNDGNATGSAGEPGPDAAHAEHGAQGAQPANVAPNNPAAAPRKQGKAKEAKGRGLRRSPSPCDPGYGLAELFRERQDHDDDVALCYAKLCQSRAKELLGKKDQEICEICFLHEGSLRSLKRERLKDHCKNQHQGGYLCKRKGCVVRSKTLREAGLHFLYLHGQGDRGTNNLHFFFFFFFFFFLCLNCLVGFLMVLYVRLAFLQTGGACSWTSTATPDDLIR >KQK95132 pep chromosome:Setaria_italica_v2.0:VIII:31274142:31274994:1 gene:SETIT_026950mg transcript:KQK95132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGKVTGGRLALVAALLCAAAAMAAAQQASGVRATYNYYRPQNINWDLNAASAYCATWDAGKSYAWRSKYGWTAFCGPAGPTGQASCGQCLLVTNSATGASTTVRIVDQCSNGGLDLDYDTAFSKIDNGQGVNDGHLTVSYQFVDCGEPRE >KQK95048 pep chromosome:Setaria_italica_v2.0:VIII:30305475:30309125:-1 gene:SETIT_028226mg transcript:KQK95048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVIGPLISMVKEKASSYLLDQYKVMEGMEEHRKILERKLPAILHIIQDAEEKGASRPEVAAWLKDLKTVAYEANDIFDEFKYEALRREAKKKGHHSKLGNEVVRLLVPARNPIVFRYRMGKRLRSIVETIEALVTEMNTFGFSHLQQAQPSRQWRQTDSIIIDSDRDIVSRSRDREKKKIVGMLLDQASNMDLMVLPIVGMGGMGKTTFVQLIYNDPAIEKHFELRRWCCVSDDFDVSIIASNICQTNEKGEKSFQELHSIISGKRYLIVLDDVWNRDVDKWGKLKTCLKQGGKGSAVLTTTRDAEVAHIMIMCVAEAHNIENLSDEHLKEIVQSRAFSLQNPNIEEQDGILNGFVRRCVGSPLAAKAFGSMLSNKTSINEWKDLLAKSNICSEKTGILPILKLSFDDLSSDMKQCFAFCALFPKDYEIDVDLLIRLWMAHDFIPVQEDDNPETVGKYIFEELTRRSFFQDVRQTLPINAFGRLSLRKSTICKIHDLMHDIALSVLGKECVTIVGKPSVNKLLLNPTRHLFLSIHRAVFWKEQLTSLLKKQTAMLHTLFFTGYYRDPLDISKYTSLRALHLPADRFLRVGQEQLTRHIQHLRYLNLSSHGFKKLPEGISTMYNLQTLDLSHCTNLLQLPKDTKYLANLRHLYTHGCNSLTCMPPGLGQITSLQTLTYFAIGDGLGCSTIGELRNLNLGGELELSGLQNVTEVLAKAASLENKEKLTHLSLKWNDHARAKPDSHNEVLGSLKPHHQLEMLSIIFYKGTNLPSWVTDLSLLQHLTELQLVGCTLCEEFPQFCHFKALEVLYLANLDKLRSLCSHMVSTPFPALKQLRLHYLKSLERWVATEGKEDELTFPVLEEIDIKNCPKLTSLPETPKLKVVRLDEGKPLLSLGIVKSRHMSSISELQLQSQLTPGVWKWFEHLVYLTIQHCNVLIYWPEEVFQSLVSLKELWIQSCNKLIGPTQAKGGKPTQTTDQVLPHLNAITIRNCRSMVQLFILPPSIRFLYIEFCPKLEFIWGKEEHLDTYTSLEHCRDPASTTGTLEQWMSPIIRRPCLVNLIIRGCDSLVTLPNLPPSLKCLCIFSCEKLCSVSGDLCALEELNIFYCDKLQSVNSLGDHPSLEILHLHDCRCLESLGCDGGRGSYSALRSRKIEHCPAIDMKQFY >KQK95470 pep chromosome:Setaria_italica_v2.0:VIII:34679560:34681139:-1 gene:SETIT_026802mg transcript:KQK95470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKKIVKPVTRRIESSVKQARGFIWPVSEFGVSFRLGDYIRGVIWTLGRISHPLVAAHPPPSSPPAGAASSSSSSSASLLMLPPPPSFHLAPSCCLSSLKIQSPPASAPAKGEGNVALPEASLMVEKAHEVWRRLAASRGRGGKRGERGKRKGRWRHLESGGAPRGKRRGRRRHLEGEVPCCFSSAEGEVLLCSAPLLRPQVRLCVWRRLAARLIRLGVSKGVDHHASPYRRENNDHY >KQK95548 pep chromosome:Setaria_italica_v2.0:VIII:35539631:35541069:1 gene:SETIT_026928mg transcript:KQK95548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSHRHHLNSRRAAAIYPHVGPQPSAPPVPALGHCHPPLCRDAAARSARAELQLGPPSSPAGLPPPLPSNPVAGPPPPLDGCNSDLYCPLSKAGNIMASHAANKPEHSEGEILSDTLKSNHLHRTQKTHVCPSSTPSLPSRIHPRPYICGKEASYRNTRRSHSMTILR >KQK93629 pep chromosome:Setaria_italica_v2.0:VIII:2440925:2443354:1 gene:SETIT_028557mg transcript:KQK93629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSRSPPVSPNAALRGAVSASRKAKRLELLGFGAARESARIGGGIL >KQK93630 pep chromosome:Setaria_italica_v2.0:VIII:2440925:2443354:1 gene:SETIT_028557mg transcript:KQK93630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSRSPPVSPNAALRGAVSASRKAKRLELLGFGAARESARIGGGIL >KQK93411 pep chromosome:Setaria_italica_v2.0:VIII:989588:992032:-1 gene:SETIT_028064mg transcript:KQK93411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVANLSSGGLEPTVKPLAAACYDNNLVNSQGMFLGDQPLRFSLPLLLVQVSVILVLSAAAHVVLRRLGQSRFVTHMLVGVFLGPTVLGRSETFRGVLFSERGTYILESVSLVALILFLFSMGVKTDLSLLRRPSGRAVAVGITGALVPLAVTLPVFHALQPSLPEDLRGSSLITELAVRLSLSSFPVIADALSDLDLLNTDLGRIALTASLITDVTSWFLRACTAAVFLVSEAKSAAFTAQILASFVAFVLFVGFVARPAGRYIAYKRTPTGSLLSEGSFVVVVIAALLSALVTDAIGFKYMIGPMMLGLALPGGMPIGATMTERLDSFFIALFLPVYMALSGYRTDLAELTKPETSEKWCALELFVALCVSGKLVGCVAAGLFFAMPFRDATVLALMLNIRGIVEVAAINNWGDTMKATAEHYSTLTLSMVLITAVSTPLIKLLYDPSGQFVRAKRRTLEDARPSADLRVLTCLYSEDHAAPLIDLLEASGSSRDSPVSLIVLHLTELVGRAASVLKPHRKSSSTSNPTPSDRIVNAFRYFEQQQAAPGAVTVSPYVAQAPYSSMHHDVCSLAHSRKANLILLPFHKSSDGARATANNAIRSINRAVLHYAPCSVAILVDHGLAAGSACATAANSLLQRVALYFLGGPDDREALAYAARMPDQDSGSSVSLTVVRFKLRNWVGMGGRDEVRDEEVLQEFWTRHRDNERVVYVEKTVEDAEGTASVVRSMSEKFDLLIVGRRGGAGEGDDLEGSAGAALTSGLSDWSEFPELGVLGDMLASAEFASKVSILVIQQQPPKNNAAARGGSSIND >KQK93621 pep chromosome:Setaria_italica_v2.0:VIII:2350696:2353211:-1 gene:SETIT_026452mg transcript:KQK93621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDDSDGQRQCRRGVTVDVELDAAMALADMAGVGPAATPGGGNHPRSSHQAAAAAPPTAEGEDDLASTRLSLELGKVGIQSASPCSSSSSAGHPHPQPSAQHQPHPVPEAAATGYGPRPRHTLTEAEKEAKRLRRVLANRESARQTILRRQAIRDELARKVVDLSSQNENMKKEKDMVMQEYLSLKEANKQLKEQVARTTKKAPVTTAVTAAVPMHVAAVQQQAAEPMAAAAASPPATPPQPGFLYTAAPPVPYVWGSWPPGAGYEHHHHHGSPPPALCLPPCAWYYPVVADPRGSPSAAYAGTQQQQQQAAFQPEPAGSGGGSPAGGATAEEDTDDDPCSLTLGLDIAADKRSAPVSIDARGGAGPSDRDKAATAAEARKRRKELTKLKHMHAAGRPGAEQW >KQK94838 pep chromosome:Setaria_italica_v2.0:VIII:27870781:27871978:-1 gene:SETIT_027607mg transcript:KQK94838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPSIDQILVASILPPNFDIDRVDWSNITQEELDCTQLNACVTNFLRSTLYEDIQDIIFDIKEVCNAAHLIWALLMETYVKPEYSSQASSLPKSTPSNDEVDLCLMAKKKKKAKKGKNQKIEVSSSLVEELELLKSNHASLVYNQSSIEHVFVESYNDLVAQENDELMQEVERLKKDLSELKGKSQVQPSQDNHEIMVKKVEKGSTVTYSAPQHLKINKSKI >KQK94500 pep chromosome:Setaria_italica_v2.0:VIII:19834059:19836319:-1 gene:SETIT_026387mg transcript:KQK94500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDGFLGRFHDLAWPVAHHAAVANAGGGGAPFVALQVHVQHELMGAGAGARSGWEHDAAMGSLVPSRSPSPSSLAAAASGAAAVDTALMEQLASRLGVSVPSSSRYASCYSSPVGSPSKPAPAPFGAPLLGADAARLSCFAASGGKLSRVASSQLLLGEPVTAPAPGTAQQHASDGSSSDSPSRKRKAPGGKSKAKEAATTATPKSREPETRAKKCKLSADTADEERKPAAGEAGSGNGKGKEVAAEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLEIDVDSFIPKDANQPCAPAASSLPPPPVYSLEDSSPALCYASSQGTAAPSAVTSAKSFATPSTFVNHGIPDHSLEGFHNANSQMGSLWEEDDLQSLVLMGFRGNT >KQK94499 pep chromosome:Setaria_italica_v2.0:VIII:19834921:19836319:-1 gene:SETIT_026387mg transcript:KQK94499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHDGFLGRFHDLAWPVAHHAAVANAGGGGAPFVALQVHVQHELMGAGAGARSGWEHDAAMGSLVPSRSPSPSSLAAAASGAAAVDTALMEQLASRLGVSVPSSSRYASCYSSPVGSPSKPAPAPFGAPLLGADAARLSCFAASGGKLSRVASSQLLLGEPVTAPAPGTAQQHASDGSSSDSPSRKRKAPGGKSKAKEAATTATPKSREPETRAKKCKLSADTADEERKPAAGEAGSGNGKGKEVAAEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLEIDVDSFIPKDVTSS >KQK94522 pep chromosome:Setaria_italica_v2.0:VIII:20531310:20531675:-1 gene:SETIT_027622mg transcript:KQK94522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAQIGLSLYVDACVPTGCHHLLDPGGAMVPATRCEVEEARLRAVAIMNLTLSMHSVVVAAIVALVLLWVARWFRVDTSAGTGRRHKGSSYYALPIVTSTGAMMKMEHFQGKGIVGKSVVQE >KQK93757 pep chromosome:Setaria_italica_v2.0:VIII:4032550:4035599:1 gene:SETIT_028378mg transcript:KQK93757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SVLVTGVASASSSFPGSSPTPNINGSETDLAALLAFKAQLSDPLGILARSWTTNVSFCGWFRVSCSRRQQRVIALSLPDVSLKGELTPHLGNLSFLMLLNLTNTGLSGAIPADLGRLSRLRKLDLGRNGLSGSIPSTMFNMSLLQVMSLGRNNLTGSIPSNQNFSLPVLRKLNLEHNNFEGPIPQELSACQHLQYLSLAHNSFCDTVPTWLAELSQLKTIFLGRNHLVGSIPAVLSNLTGLTKLDLSFCNLTGDIPTELGLMRELSYLHLGNNQLAGPIPTSLTNLSKMSDLILQKNQLSGSVPATLGNIRALKNLKLQLNNLNGDLDFLSSLSNCRQLQVLAISSNSFSGGLPDHFYADHNKITGVLPSTLAKLSGLDSLDLSKNLLTGAIPESITSMKNLVYLDVSGNDMSGPIPTQIGMMKSLQRLYLRGNKFFGSIPNSIGNLTNLEYLVMRNNHFNSTIPASLFHLDKLIELSLSHNYFSGALPADVSGLKLADQIYISSNMLTGKIPESFGQLRMLAQLDLSHNSFQGTIPESFQELTSLELLDISSNNLSGAIPKFLANFTSLTTLNLSFNKLEGKIPEGGIFSNITLTSLIGNAGLCGSPRLGFSPCLEKSDSTDRHLLKLLLPAATIAFVSIVLCVYLMIKRKLKNKRVHASVAGLSDVMRHRLISYHELVRATDNFSDNNLLGTGSFGKVFKGQLNTGLVVAIKVLDMQKEQAIRSFDAECRALRMARHRNLIKILNTCSNLDLRILVLEYMPNGSLDTLLHSEGRRHLGFLKRLDIMLDVSMAMEYLHHEHHEVVLHCDLKPTNVLFDDDMTAHVADFGIAKFLLGDDNSMITTTMPGTLGYMAPEYGSLGKASRKSDVFSYGIMLLEVFTGKRPTDLMFDGELSIRQWVHQAFPSELASVLDDQLLQEASSTCNLNDSLLPILELGLLCSSDSPEQRMSMSSVVSKLKKIKKDHEKRHQQQ >KQK93787 pep chromosome:Setaria_italica_v2.0:VIII:4353410:4354747:-1 gene:SETIT_028503mg transcript:KQK93787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWWNEWLGGFEVLCQLQGSGVLDPIERDHMRTKRRPNTNRLARR >KQK94410 pep chromosome:Setaria_italica_v2.0:VIII:14854162:14857944:-1 gene:SETIT_027955mg transcript:KQK94410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PQKSVPSISVIGLNGVMLWNHEGHISSENLKESIEKAWGALHLQETAATLLTASLASRNAESVNTTTALPQQGGSSTSENPSVSSSAPDISGASGVADSAELVSQLPSSTNHDELIEIKEKKGKGSKSDSGDRSFEKLESASTEIECDLPVSSMGSNTGSSIDPKEDTTPSLKRKNKDDGSLAAVPMVAAPSTIISRGVSSQVLVEQDITTSSAPVEPVFNSAKSDDIQLSIRMPSGNRLEIELTKQDVLRKVKNFVDENKGSGLGSYDLSLVYPKRIFSEQDMETTLCELGIQNRHAMIVVPHRRPVQVSRLQSSSSSSPYHAGDSSGGGGYFGYLRTIMSYVNPLSYLGGNTTTSRQEQEPNEGPQQLGMFFLFHPMSSHRSGPWSERHPLPGNRGQETTDESSANMLRRRARPFGANVHTLGSEQGPSDDRNVFWNGNSTEFGGDDRK >KQK94879 pep chromosome:Setaria_italica_v2.0:VIII:28330113:28331510:1 gene:SETIT_027335mg transcript:KQK94879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQERISCRANLVKKHILADPACALCGQPEDCDHLILHCRFAAQVWSALTADTIGVSVRCLWNIPRPTTVPSRHYSSFILLVCWLLWKSRNELVFQNITPSVGRFWLACRDEARLWSHHLKIEDRQIVDACPRHLLDGHGTDVGRSPGDGAHRRRHPRDAARRVGGPRDDFPCRGQRPPGALRDVESLVDAACRAAGHRRAEAKLVAEPEPTVQRRHARRRRRQQLLVPLVEGLRAPQRVLAPHEAPRQH >KQK94256 pep chromosome:Setaria_italica_v2.0:VIII:11976552:11977018:1 gene:SETIT_028450mg transcript:KQK94256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSKIHYSAEEIPKFLPRGSYQAMKGKCHLHAQ >KQK95323 pep chromosome:Setaria_italica_v2.0:VIII:33327564:33327961:-1 gene:SETIT_027162mg transcript:KQK95323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAWKASLHLQKIQRSLKSYWLIGKFAVQTDSICTALSISTSDKNLTRITNAYSDTLSVHICLLIHLYYIHTEYISFF >KQK95592 pep chromosome:Setaria_italica_v2.0:VIII:35975999:35981277:1 gene:SETIT_026110mg transcript:KQK95592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGADLINPALPDEILDDVIRRVGAGPGGGKRDLDACALVCRRWRRLERASRRSARLAASGEHADEVLRLVAERFPALADVSVDERLTSAGVVGAVPRSRRPRQAPTSSPFRRRRRLPLASNSVHIAPFPLDQPAGDDGSEHSCLTDVGLTHLSRGCRGLEKLSLIWCSAISSTGLVRIAENCKNLTSLDLQACYIGDPGLIAVGEGCKQLKNLNLRFVEGTTDEGLIGLVKGCGQSLVSLAVATCVWLTDASLRAVGSHCPNLEILSVESDRVQDEGVISISKGCRQLKTLKLQCISAGDEALDSIGLFCSLLESLSLNNFERFTDRSLSSIAKGCKNLTDLVLNDCQLLTDSSLEFVARSCKKLARLKVNGCQNMETAALEHIGRWCPGLLELSLIFCPRIQNSAFLEIGRGCSLLRTLYLVDCSSISDSALCHIAQGCKNLTELSIRRGYEIGDQALISIAENCKSLKELTLQFCERVSDTGMSAIAENCSLHKLNLCGCTLITDSGLTAIARGCPELVYLDISVLRIIGDIALAEIGEGCPMLKEIAFSHCPEVTDVGLNHLVRGCLQLESCQMVYCRQITSAGVATIISGCSRLKKLLVEESKVSERTRRRAGPVLSFLCTGL >KQK95871 pep chromosome:Setaria_italica_v2.0:VIII:39099866:39100940:1 gene:SETIT_028620mg transcript:KQK95871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGTIYMFLCRSFNNFGTDLLALKMKRKIENVNGFVPAGLMTPASARAQVRGLKFHAPCIFLKMPLGPTHACCHPHINLILSTSVVPSAICILSSPISLASLGSAPLISLTLVPPICRQ >KQK94529 pep chromosome:Setaria_italica_v2.0:VIII:20770574:20771099:1 gene:SETIT_027780mg transcript:KQK94529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTKWKHKGMQSANFISSFQIELCAHLTSLGLQNVSLQLTWEGKTKQKHFFMKITNCISTAISSRIRYCIFLP >KQK93453 pep chromosome:Setaria_italica_v2.0:VIII:1213756:1218616:-1 gene:SETIT_026350mg transcript:KQK93453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPYKEFLYVWMISLTPALPISSLFPFLYFMIRDLNVAKRTEDIGFYAGFLGASFMFARCLTSTAWGIAADHIGRKPVVIFGIFSVVVFNTLFGLSVSYWMAIATRFLLGALNGLLGPIKAYAIEVCRPEHEALALSLVNTSWGIGLIIGPALGGYLALPAENFPNVFSPDSLFGRFPYFLPCLCTSVFAAAVLISCIWMPETLHKHKGSENENQSIEALEAHLIDPQEKVEESGSLNTKKSLFKNWPLMSSIIVYCIFSFHDMAYIEVFSLWAESDKKYGGLSLSSEDVGQVLAVTGASLLVYQLFLYPRINKVLGPVNSSRIAAILCIPILFAYPYMTYLSEPGLSIVLNIASVIKNNLSATIITGNFILQNNAVPQDQRGAANGLSMTGMSFFKAVAPAGAGIVFSWAQKRQHGFFFPGDQMVFFLLNVIELLGLILTFKPFLAVPEQYNRN >KQK94277 pep chromosome:Setaria_italica_v2.0:VIII:12329052:12335091:-1 gene:SETIT_026208mg transcript:KQK94277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVARFDFSWLDAEYHQKTLDNLRKAAQNVKKLCPVMLDTLGPEIQVNNSTGGPIEMKAGNHVTITPDVSKAPSAEILPIKFADLAKAVKKGDTLFMGQYLFTGSETTSVWLEVVETSGENVNCLVKNTATLAGPIFTFHVSQVHINLPTLSEYDKQVISTWGSRNSVDIISLSHTRSAEDVRELKAFLQSHDLPDTQIYAKIENSEGLDHFDEILKEADGIIISRGDLGIDLPPENVFMFQKTAIHKCNLEGKPVIVTRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETLRGLYPVDAVSTVGRICAEAETVYNQPLQFKKVMWHVGDPMPHEESVASAAVGSAIKVKAAAIVVFTFSGRAARLVSKYRPTMPVLAVIFPREGSDPSKWRSYGTTQARQCFAVRGVYPLMGSTDEAETGGLTKEEYGIKLALNYGRSVGIVKPFDRVIIFEKIGDSSVVKIIECEV >KQK94278 pep chromosome:Setaria_italica_v2.0:VIII:12330434:12335363:-1 gene:SETIT_026208mg transcript:KQK94278 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGASHMLLEEPLRLASVLTPAKPKVFPSLTKIVGTLGPKSQSVEVIQECLTAGMSVARFDFSWLDAEYHQKTLDNLRKAAQNVKKLCPVMLDTLGPEIQVNNSTGGPIEMKAGNHVTITPDVSKAPSAEILPIKFADLAKAVKKGDTLFMGQYLFTGSETTSVWLEVVETSGENVNCLVKNTATLAGPIFTFHVSQVHINLPTLSEYDKQVISTWGSRNSVDIISLSHTRSAEDVRELKAFLQSHDLPDTQIYAKIENSEGLDHFDEILKEADGIIISRGDLGIDLPPENVFMFQKTAIHKCNLEGKPVIVTRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETLRGLYPVDAVSTVGRICAEAETVYNQPLQFKKVMWHVGDPMPHEESVASAAVGSAIKVKAAAIVVFTFSGRAARCSIFTR >KQK94279 pep chromosome:Setaria_italica_v2.0:VIII:12329052:12335522:-1 gene:SETIT_026208mg transcript:KQK94279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGASHMLLEEPLRLASVLTPAKPKVFPSLTKIVGTLGPKSQSVEVIQECLTAGMSVARFDFSWLDAEYHQKTLDNLRKAAQNVKKLCPVMLDTLGPEIQVNNSTGGPIEMKAGNHVTITPDVSKAPSAEILPIKFADLAKAVKKGDTLFMGQYLFTGSETTSVWLEVVETSGENVNCLVKNTATLAGPIFTFHVSQVHINLPTLSEYDKQVISTWGSRNSVDIISLSHTRSAEDVRELKAFLQSHDLPDTQIYAKIENSEGLDHFDEILKEADGIIISRGDLGIDLPPENVFMFQKTAIHKCNLEGKPVIVTRVVDSMIDNLRPTRAEATDVANAVLDGTDGILLGAETLRGLYPVDAVSTVGRICAEAETVYNQPLQFKKVMWHVGDPMPHEESVASAAVGSAIKVKAAAIVVFTFSGRAARLVSKYRPTMPVLAVIFPREGSDPSKWRSYGTTQARQCFAVRGVYPLMGSTDEAETGGLTKEEYGIKLALNYGRSVGIVKPFDRVIIFEKIGDSSVVKIIECEV >KQK95948 pep chromosome:Setaria_italica_v2.0:VIII:40005469:40006812:-1 gene:SETIT_027635mg transcript:KQK95948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFPSIPARPSWIILDRFIHRTDRDVEDEADGTASEISYTCTDRPIRASIRVADSPAVSRLYLDWPSRPEFGGRLREPRVIAAHNHSILFRAIVPLEDPMFCKDTASFPVDMFVYSAFSSPPSLHRLRTCFTGGVSTPDEDIYFKPYQRCQQRIMAEKHIGLLCHGSKGGFTVVDFTNFGLEGELCLLHHPALPASASHKNTEEEEADWMIKKVRLPPGPRVRRWITDAIIPLHGRYLCWVDNYQGILVVDVLRASDKNTTDELLHYIPLPHEALQSDRSHPDGDCPDKARCVCVTADFTLKLVCVTTGKANRARSPFTIRSWTFPWKFPHVFPSGRWYRGHTMEAAEFWGLYNGQSLPQVKPMYPLVSLVNPDEFCFLLKEDHTTYWIIEVDMGNKMLKSSAIYINEEEEGCTTDRPRARRIVFDGHSFIPSGLSDYLGMDAIKR >KQK95981 pep chromosome:Setaria_italica_v2.0:VIII:40291622:40293100:1 gene:SETIT_026746mg transcript:KQK95981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALSRIGVGLAVVSALLLLALTAELYYIFVHKRRLRRRAAAISDAASSPSSSSRELLQLFCFKKPPALASTYAVQEPSSAVAVAVGGDGEDGDDDETVEAQLMRLGSVVGPTRLLFTIKEETREDLESDDGHSRSRSLGELLHCSETPPFLTPRASPSPVLDNSYNPLFESPATSPGPAPAVVSPPPKFQFLKDAEEKLYRRALAEEAMRARRSPPPQQQPASPVPGREDGGYITIVVGKNNRSVIPPAAAAAAHSRRWPSVSEGVVQMDQRF >KQK96001 pep chromosome:Setaria_italica_v2.0:VIII:40387705:40388211:-1 gene:SETIT_028403mg transcript:KQK96001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGWMLSPNCSAIPFFSCCGCHYDHIDISRTKRKPCPHVSLGTYRFLH >KQK94070 pep chromosome:Setaria_italica_v2.0:VIII:8410775:8415112:-1 gene:SETIT_026404mg transcript:KQK94070 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRGGRRAALLLAAAAGVAGAGTIARRDPDTAVYASASPPLRQALSAAAASEGLRTFTHLFSPWLLPPPYQGFPILNSFASASVSPADLSGQGSAGSSDDSRCCPGCLGRNSIAKAASAVGPAVVNISCSQDAHGWLLEQSIGSGTIIDPDGTILTCAHVVADFQSTKAIVRGKVSVTLQDGREFEAVVLNADRHSDIAVLKIKSKTPLPAARLGSSSRLQPGDWVVALGCPLSLQNTVTAGIVSCVDRKSSDLGLGGLRREYLQTDCAINQGNSGGPLVNLDGEIIGVNVMKVRNADGLSFAVPIDSVVKIVDNFKKNGRVVRPWLGLKMLDLNPMIISQLKEKSSTFPDVRKGVLVPMVTPGSPAEQAGFRPGDVVVEFGGKPVESIKEIIDIMGDKVGVPFKVLVKRANLTVTLIVVPEEADAGR >KQK95955 pep chromosome:Setaria_italica_v2.0:VIII:40131880:40133326:1 gene:SETIT_027532mg transcript:KQK95955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAPRRQRKNGRGERRKPPPSPTWCAGTELTKPAASPPPRAPGWTEPPPPATGDGSWLILDRFVHLSRRRRGVVEGDATASSLTEDCAGRPVRASLRIAGPPAVSRLYLHWAWRPQIRGFAEPAAIAAHRNSILFRMSVPFEDSTCWIDISSSPLDYLVYSSSSPPSLAVLPPCFDGGETNPLLDKLFQPYRCEQQRIMFDEDMGILCHGDNGEFTVADLTYRYRQEVDLCLLHHPPPAGIPLKWSINRLQIPPQMKIDLYSWRTDVVVPIDRSLCWVDYYQGILLIDVLADSQSSPIQQQLHYVRLPSQALKSRRLYIDPGAPDPFRRVCITDAGIIKLVCILTKYPPPDDEFTIITWTLVDINQGSWRKDVDTISCLPRVRPSFPVVSLVDPDVICFLLKEKDRNVSWMVEVNMRKKVLLSSALYINEEEEEGPPSEKDRRNNFFGHSFIPTKFSSYLAEDAIT >KQK95845 pep chromosome:Setaria_italica_v2.0:VIII:38881850:38882638:-1 gene:SETIT_027044mg transcript:KQK95845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQVLLVTLAVLAVLATLPLLSKGSGEEGGAAVADDAANASSWPCCNQCGFCNRKNPPDCSCLDISFQGCHPACMNCVKYTSTTEAPVYRCVDVLTNFCKRRCTPTAAAI >KQK94197 pep chromosome:Setaria_italica_v2.0:VIII:10779009:10781582:1 gene:SETIT_028083mg transcript:KQK94197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDTIQEIGTDYLTDEPVNNWIGEVRKVAYRVEDVVDKYSYHVFQLMQEGFLKKYFIKGTHYAIVFSAITDEIADIEEEINQVILMKDQWLQHSQLVPDKLAVIERQRSQDGFQDFVKDEELVGIVKNRKLLSGWLYSDELDSTVITVSGMGGLGKSTLVTNLYEREKVNFPVHAWIVVSQICPADALLRKLLWKIEDMVPPVPSEIDKMDVHDLKAEIKKKLQNRKCLIVLDDVWEQEVYFKIHDAFQNHQASRIIITTRKDHVGAIASLDHHLELEPLDGPDAFDLFCRRAFHNKKDHKCPKEFEKIAKSIVDRCHGLPLAIVTIGSFLSSRPRINIWNQTYNQLRSELSANDHVRAILNLSYHALSGDLRNCFLYCSLFPEDYPMSRESLVRLWVAEGFVLSREKNTPEEVAEVNLMELIHRNMLEVVDYDELGRVSTCKMHGLMRDLALSVAKEEKFGSANDYEAIIQVDPHVRRLSLCRWKVNISLKVKFPRLRSLVAHGMISSTPYLLSSILCESKHLTVLELQDSNITEVPTFIGNLFNLRYIGLRRTNVKSLPESIEKLSNLHTLDIKQTQIEKLPRGIVNVKKLRHLLADRLVDEKQSDFRYFIGVESPKGLSNLEELQTLETVQVSKDLFVQLKKLMQLRSIWIDNVSVSDCANLFATLSTMPLLSSLLISARDLNETLCLQALDPISTKLHRLIVRGQWTSGTLRYPIFRNHGEHLKYLALSWCQLGEDPLGVLAPHVPNLTYLSLNRVNSASTLVLSAGCFPHLKKLVLKRMPDVKQMEIEDGALPRIEGLYIVSLAQLDKVPQGIELLLSLKRLWLLYLHDEFKTLWQTSGMHQKMQHVPEIRI >KQK94426 pep chromosome:Setaria_italica_v2.0:VIII:16065618:16067195:1 gene:SETIT_028386mg transcript:KQK94426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSSPHLLGILLPLLLALPPPAANALSADGLALLALKSAVTDDPSSTLSTWSDADADPCRWVGVTCANTSSSGPRVVGVAVAAKNLSGHLPSELGSLPFLRRLNLHGNRLSGAVPPALANATSLRSLFLYDNRLTGPLPAAALCALPRLQNLDLSRNTLGGALPPELARCGQLQRLLLADNGFSGSVPAGVWTGMAGLQMLDLSGNNFSGAIPAELGKLPALAGTLNLSRNHLSGGVPAELGRLPATVTLDLRFNNLSGEIPQSGSLASQGPTAFLNNPGLCGFPLQVPCRAAAPSASSSLSPPAPSSSAAASGGARQPIRTSLIVLISVADAAGVALIGVVAVYVYWKVRDRRRAADNAKDKGGGGDDEEEGRGQCPCPCMRADACTDSSSDCSDDDGGGDGKCSGGGGNSGAGDVGGELVAIDKGFKMELDELLRSSAYVLGKGGKGIVYKVVVGNGTTPVAVRRLGGGAAAPERYREFAAEAGAVGRVRHPNVVRLRAYYWSADEKLVVTDFVNNGNLAAALR >KQK93953 pep chromosome:Setaria_italica_v2.0:VIII:6635201:6637930:-1 gene:SETIT_027366mg transcript:KQK93953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFPSSMPQTELPTTGQTQDTITEETQEMSQSDTNQKQTQEIKSKDESMPTSDRLMLELRKYILLLASLVATVTYAAAFSPPGDVWQDTADGHLAGDPIIRDTHHQRYLVFFYCNATAFAASLVVIVLILILASLDDKEDHSKIICEYKKNPWIAVRPLQAAMVLDLLSLMGAYAAGTCRDTFTTIYSSLLVSTVVVYLMVQMAMASRFTDSSSGSVIVGKVEEQQLPELLAASQPGKNPDSGSGTGVVEEEEERLRKRKVLMLLATFAVSITYVAGLSSPGGFWDSTEAGHRPGDAILKDSHNARLAVFFAFNTTSFVASLLIIVVLLDRTLREINAYGFIVVALGSLVGAYTAGSCRQTDTTVYVVGLVPAVLVYILFLLLVVRAIVAVRKKSEPVIQPQAMANQGGAKREPTENEKAADQARSLVLLLATLAATITYQAGLDPPGGVWQDNRDGHKAGDPILLTTNARRYKAFFYCNSVAFVASLVAIVLVQKKLILHRHHVLEAVMILDLFGLIGAYAAGSCRDVSTSIYAIALAGAVLVYVVIHVVFITLDHTNSSRKKDDEHVERTRKRLLLFAILAATITYQAGLTPPGGFLLQDDRSRHHAGDPVLLYNFPRRYKAFFYCNSVSFMLSIALIILLVNPNLYRPAIRSHALSVCTAAGLFGLMGAYAAGSTQYLKTSIYVFVLVAVVLFFIVLLFMVFLFTGGGSTTRGVVTPNSHSNSNTTQGADVEKTEDKVVTRKKRIHANRKYLMLLGILVASVTYQAGLEPPGGAWQSSNEGYEAGNPVLHDNRRPRYLGFFYSNSTSFMASIVVILLLLVPKEQFEKQARLRSWLVVMNTTIVLDLLGLLGAYAAGSSRGWKTSVYVFVLIIAVLAYMAIHLMLSCISKQKEKNQDSSQVQSQVYVG >KQK94871 pep chromosome:Setaria_italica_v2.0:VIII:28159058:28159606:-1 gene:SETIT_028507mg transcript:KQK94871 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVMARNTVLLLVLVATAVQLCSVVPPAAADPASGAAGSQGSAGGAGAVTSLPNGVASNKDAVGATGGVANSLTGSLVGAGAGH >KQK93569 pep chromosome:Setaria_italica_v2.0:VIII:2010367:2010964:-1 gene:SETIT_028214mg transcript:KQK93569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLAILSLNVNPATAAQCSCCVSARAKACCFACITAAYYLHHEDILYSISTAKLTHALMGFPYLNLTVAAKMEETAVLAKWKMLRTSLKHSMNAIHRHMLLCFKLWPSYVNVYLQ >KQK95630 pep chromosome:Setaria_italica_v2.0:VIII:36663371:36665078:1 gene:SETIT_027798mg transcript:KQK95630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDSGAVLAGGGGGGGGDRLSGFGDAVLVHVLSFLPADEAVRAAALSRRWRDVPGYGGPINRNPGVPTPPVVNTVSAALFCRLRSGGGPAAAVPLRVRFQAFSGLNAGLVDMWLCCAMRQAGDELHVDLHLRGEVVCRRGYSLRRSVSAAHADKEDGGQEEPASDGGEYDYYNKFGSYGGDKESDSDADGEDDDDPALPESEPADDSDEEEPPPPYKNDAVQRLVSACPRLADLTLESFEKLTKLAILGTRLRRLALRCCHDLAAVAADSSELRAFEYRGAVPAPSFLALRGGARKISSCALDFCGAEATDPPKLARLGDFLRLFVGVKRLQLTSARLGGAGGALAFPAFPKLHHLGLTGMLPEDDTAAVATVTRVLKRTPSMETLTLFFLPEPEDLEDSDYYYIDEEELLDGHKLKYDRHAPLAVPEVEILCLRERTKEISFVHYEGNLAQRTLAKFLLRNAPVVDEVCGEFAQGPLRIQTRLMEEIKGWVVNKSANTMFF >KQK94386 pep chromosome:Setaria_italica_v2.0:VIII:14157179:14161332:-1 gene:SETIT_026456mg transcript:KQK94386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMGACRHRPQPEPPHRGRMWAASPAFRRQLVLLRSLLPPPPPPSSTSSVAAGCFAPSSSTSSSSSSLRVSRAMAAASTATVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRDDERALVSSKILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMKHARQANIPTVVDGDGLFLITNNLGLVEGNPLAILTPNVYEYKRLVQKVLNFDVNEETAAEQLTLLCRKIGGVTIMRKGKADVISDGKTVTQVSTFGSPRRCGGQGDILSGSIYIMGTVLYLVK >KQK94384 pep chromosome:Setaria_italica_v2.0:VIII:14157179:14161332:-1 gene:SETIT_026456mg transcript:KQK94384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMGACRHRPQPEPPHRGRMWAASPAFRRQLVLLRSLLPPPPPPSSTSSVAAGCFAPSSSTSSSSSSLRVSRAMAAASTATVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRDDERALVSSKILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMKHARQANIPTVVDGDGLFLITNNLGLVEGNPLAILTPNVYEYKRLVQKVLNFDVNEETAAEQLTLLCRKIGGVTIMRKGKADVISDGKTVTQVSTFGSPRRCGGQGDILSGSVAVFTSWARYFILSNEQPTEKRKAASLAFEKNKRSTVTTDIIEFLGKSLEDICPAEN >KQK94385 pep chromosome:Setaria_italica_v2.0:VIII:14157599:14161332:-1 gene:SETIT_026456mg transcript:KQK94385 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRPMGACRHRPQPEPPHRGRMWAASPAFRRQLVLLRSLLPPPPPPSSTSSVAAGCFAPSSSTSSSSSSLRVSRAMAAASTATVYEADAEAVVRRITPPLDRARHKGQAGKIAVIGGCREYTGAPYFAAISALKVGADLSHVFCTKDAATVIKSYSPELIVHPILEESYSVRDDERALVSSKILTEVAKWMERFDCIVVGPGLGRDSFLLDCVSNIMKHARQANIPTVVDGDGLFLITNNLGLVEGNPLAILTPNVYEYKRLVQKVLNFDVNEETAAEQLTLLCRKIGGVTIMRKGKADVISDGKTVTQVSTFGSPRRCGGQGDILSGSVAVFTSWARYFILSNEQPTEKRVNPMTLGCIAGSLLLRKAASLAFEKNKRSTVTTDIIEFLGKSLEDICPAEN >KQK94933 pep chromosome:Setaria_italica_v2.0:VIII:28935802:28943325:-1 gene:SETIT_025826mg transcript:KQK94933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVLVSNIGCDENDDRVPSHRVGQSNGHLLERTRLTRGPHLPLPDAPLPPSSARTWRRDKTKETPPPRTTPARLSHRHCHVAPPRPHSPCYPATWLPHPALPRNGTLGETRAYPVKHSTPTDSRPLPRRPRGRFACGGPTRRWQRHGPVPVAPATPASRAQSLAPPGRSHSAHTNRADDRHGARHRRLPFPPPPPPKNPRSPKTPDRSPMAAAGRKPPKRRRRKSRGARWSKSPRRPPPPPPPSAPPGSSSSSPVPEPLPTGAEVEVRVDAHGFGGSWFEATVVGFAPARGPRTPARYTVTYEHLLADDGGGVLAEHFAPTHIRPRPPPPSEDFPPRFRLHDIVEAFHKDGWWSGIVVRAPGSPDPGATVTVAFPLTREVIPFPPRLVRPRRDYVGGGGWVPSRSVVAVRPERKVRVYQVGEKVEARRDRDVYGYSWFPATVSKVVDDFSYIVEYFDLDEEGDGGTEKATEYLEWRFIRPAVEHLPHPSEFQLVPGAAVEAYCDGAWSPGVICRAVGDGEFEVSILGKKAEQLVTRVVELLKPQYKWDGKQWKIVAAKRRANLRRQSMSGQNLSSPVDLLSNIDDYTHDPESAGTKKSRKKSQQLDVLLAENSGSLLSEKNSLQVTSHGVVSSVPMNGHLCASPGHSTPQNESMPNSTGETVDNQEVLSEMMDSDGHLNTRVFGASAGDAHDMLSIAELRKNMASARRSSSVQQIQKKVDNQEVLSEMMDSDGHLNTRVFGASAGDAHDMLSIAELRKNMASARRSSSVQQIQKKVLSVKTLKVKKGISKSKGTKTHPILELEGKNDASDNIQLKGNIDFSSTVIVCGLNASVEDQTNKTPDRRVTRQTIRGPSSKVLTCKKLAKRKGPRELCSPLSSLDVTSTVQQRGRKKLAGPMKESPLAEQLDKTLEDTLNTTELSDQDTIPMIPPGFESMYDGKGVDIHGSLSEEEPTAIIDSISQVNLNADADHAATQVAKSNHLMETGILSVDHPVPKAGRKVDERSILPRLHNASSSQCTMDNSSLRSCSASGSSMPSHLPASHVQFVKNSPMWSLIEEMNVFCEVPQHPHFLPLRQFPPGLREGMALGLMVSFADTVKLITQASIDHSMEWFEDTMNTLSHLERNGFDVQFLQSTLTKLLHIKSDSTNCLGEIQKLNSQIVGVTASSSRIDKLLDEKDRAIAELEQKLGRLRQESQKIAKDKEHQEVEIFGLQSARSRFEEAHNDAKRQFHNVLDELRQKRLT >KQK93276 pep chromosome:Setaria_italica_v2.0:VIII:322319:322755:1 gene:SETIT_027005mg transcript:KQK93276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAPPFFWASATDATTDWSIAYTSLGGTQPPPPGPFPPPLPSILPPLLQQFAQNTGGMVGVGGPFGMMAGSMPPPPPLSLPAAQNQPQQQQSPQPPQQSPTSTGFFQSSSMGFFPPVQVRQSPSAQRQ >KQK95285 pep chromosome:Setaria_italica_v2.0:VIII:33015077:33021129:-1 gene:SETIT_027455mg transcript:KQK95285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVVGASNDAVKSLVGKLGSLLAQEYTLIGGVSDDIQYINDELASMQAFLNRLKQEARRDEQRQDWMKQVREVAYDIEDCVDNASHRLSREPRGSGKLASLRRAWYLLHTLYARHCIATEIRNLKVRAQHVSERRTRYGVENPARQDKASDSKEATAPFDCPAPPPQLIGTVAPVGIEHAKEKLKPWFMEAKQQSTAHDQPRFLAIVGFGGLGKTTLAMALYREFGDEFDCRATVLASQKFHLLTVLRSLIKQFHDHQAAASKHDIEGIEEWGQKELKELLARQLKDKRYHIFIDDIWSVSALESIRDSFPKSKNGSSIVVTTRFKSVAEACRRQQGRVHELLPLSDDNPYKLFRQIISRVPNVPTDGAKALLKKCGGLPLAIILVAGLVASKLRSKSDDIHVECHHLAQKDKNVGDELETNKALEGNDISKRLDKVSKDLGEELEKNLSTEGVTHIVNHCYYQLPADLKTCLLYLSMFPKGCLISRKRLIRRWIAEGFIAEKHGKTVDEVAEDCFNELISRNLIRAVNSSSNGKVKSCQVHDMVLEYIVVKSSDENFITVVGGHWHTPFPSYKVRRLSVQKSGRQEKETVERMKLSHVRSLTAMGSFRSLHSTLSKFQILQVLDLESCKDLSLMNQVEKICDKYQLKYLSLRGTDIERSPKKIGRLEYLQVLDIRDTNILQLPPSVEKLQHMVHLLAGSKSKRIGLTLTEGITKMMALQTLSGVEICGSSANAASAKVLQALENLTNLKKLTVYRLRAFSSKDNILLLSVIEHLSSCSLKFLAIDDNFTGFLDSSLNASEAPPEHLHTLGLSGKLSQVPHWISRLHNLEKLTLSLTSLTEGTLPVLGGLPELFSLIFVLDNSAKKYPSVLQILTKNAMESGGEIFGVYGLENLRSLRHVLLAVSSSAEPLGSVEPGLPSFQPNFDHVQGNILRGDPSIDDCYRLILGLGFLEIDEVYDMTHA >KQK94121 pep chromosome:Setaria_italica_v2.0:VIII:9145987:9146391:1 gene:SETIT_028367mg transcript:KQK94121 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GEDGGAETQLDLNLSLRPSLELQMEMEPQGYFSCSYCDKKFYTSQALGGHQNAHKLERSIAKRSLQRAAAHRHAAGPGGYGGAVDKEEARERRGKEPRISAQVMTPSSSNPMRALLEAGEKDFTDKIIDLSLKL >KQK93334 pep chromosome:Setaria_italica_v2.0:VIII:602325:603955:1 gene:SETIT_027461mg transcript:KQK93334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRIHPSDASRGGRARRAAASDRCPAVYTVWKRSSMGFQGTDGFCVYDDAGRLAFRVDNYSRRRKLCAGELLLMDGQGSPLLSLRPQLLSLHDRWNCYTATEEGGVDKKPSASSQQQVFTMSRCSALKISDEAEVHMSAAGTAASSSLSGLGCKHPQAAASGYRIEGSFSRRSCKIRHGSDGKEAARITRKNAGVASRPVATLGDDVFSLVVRPGVDAATIMAIVVVMDRICHKPYTPMVCSSQQSCSVKP >KQK93358 pep chromosome:Setaria_italica_v2.0:VIII:746745:749572:1 gene:SETIT_026831mg transcript:KQK93358 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSVPPYPCELGSNRAARTQHQRIRKDERTWTSDTYAPYDDGHQWRKYGEKKLSNSNFPRFYYRCTYKNDMKCPATKQVQQKDTSDPPLFSVTYFNHHTCSTSSAPIGGTRDTTAQSSSRKAVSICFSPQTASEQHTFLTSSALPQSPSIHSYRANQQPERNPYASQFQWTDTSSPTSSGPFMIEIDNVSGASASSSSSGALPRTLLPIDQSRCIEYFHFL >KQK94052 pep chromosome:Setaria_italica_v2.0:VIII:8228543:8228872:-1 gene:SETIT_028255mg transcript:KQK94052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSGKVVILLVVCVMTSPHQVISKRPICTRRQKNTILNKCDYFIQQGYPIRLVSRNSPCCAAVRTVPDRNMECVIFLLTRKQQTKYSVEKIRALHRLCELPPPDHQVK >KQK95353 pep chromosome:Setaria_italica_v2.0:VIII:33707531:33711527:-1 gene:SETIT_026468mg transcript:KQK95353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARGGQSYGFPIYCAAWLPLAHIVNPDPPATDADADAASSSPPPPPMAALGGGGGEGRSGVPNKLVVAALDPAAAAGEEAALSPEAVVEVNTEEEVPYRMAVHPRGDGVVCAFPNGCRLYRWESQEGDEPRNLALEADQEALAELTDVGLQLAVSFSGEGSILATGGEDGHLRVFKWPALESVLTEADIKTSIKDLSFSTDEKFLAVNRSSGPCKVWDLLSSEVVANLPREAGEIFGFCRFSNKTDNSNVLFIAAMQGDYWKIISWDTTSWTRVGSKKITREAISAFAVSPDGALLAIGTIEGTVIVLGSKDMRTLITVKKAHLGIVTTLGFSQDSRTLLSTSFDSTARVTSVGSPKSNGSNVWPMILVIILAILVYYCMQHKAELLAILPR >KQK93268 pep chromosome:Setaria_italica_v2.0:VIII:278078:278495:1 gene:SETIT_027374mg transcript:KQK93268 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALDSDNWSQYADDYQKFAIIAVGLLTANATSIATQIVEGPMISWCLRSCQALYGSILQMQPRCSDLVKDFRYDEAKSCLDQAARETKQCEAEFVRSYVVSALAVENDCAFKLARLASSALLDHADA >KQK94170 pep chromosome:Setaria_italica_v2.0:VIII:10391425:10391859:-1 gene:SETIT_027795mg transcript:KQK94170 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGLIKIGPCGGSGGDPRDDIVAAGVAPHCLQSIIIRCQGAVDAISFTYAGIYGAPCMAGPWGGSSRSTSHMFVAGEFVKEISGTYGPFGGHTIVRSLMFVTNVHK >KQK95377 pep chromosome:Setaria_italica_v2.0:VIII:33940056:33943800:1 gene:SETIT_025919mg transcript:KQK95377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVTGAIPSLLDKLSELLDGEYNLQKEAKGGIKFLQTELESMQCALEKISRTPADKIDDQDKIWARKVREMSYDIEDNIDKYIVQCKGRKRKRKTDEQHSFKEAIDRFLEWLWQPMIRRKFATEIREIKSRVIEVHERRRRYEVSLGVDKPVTVDPRLFAQYTEVKELVGIDEARDELISKIMIEENEVPKKQGRIVSIVGFGGLGKTTLANTVYKKIRAQFDCYAFVSVSQTPDLKKLYKGLLYDLGKSINEETLDERRLIEALTEFLEDKRYFVVVDDVWDISVWKMIRYALPDNDVGYTIIITTRISHVAEQAGGAYNMKPLSLNNSRKLLYRRIFGNGNKDNNEEEEKCPHEELAEVSNRILNKCAGVPLAIITMASLLACKARDKMEWYEVCNSIGTGMENNLDVENMRKILSFSYYKLPCHLRACLLYLSIFPEDYEIEKDHLIRMWIGEGFIKYEKAGKSLFGLGESYFNELINRSMIQPIHDRFDDTISSCRVHDMVLDLIRSLSSEENFVTVLSDMGGTSPSNTIRRLSLHNDQESHVMAKATWSLQHARSVVVFPAAASLVPPLDCCRVLRVLDLKDCYLSEANSSLNYLENLHHLRYLGLRDTCISWLPEEIGNLQLLQTLDVSGTQISRLPSSVVQLRKLMCLCIGEYRTRVPNGIGHLTCLEQLSELHIDDSTRNIIEELGQLTELRKLSIELDEWNDKLLECLWKLQKMQELVITGKISQRSIGGLDAWVAPRHLRVLQTQSSCWFSTLPAWVNPSLLLDLTKLKIAVRELHQADLEILGRLPALRFLFLEVDNKNLGILAGFVVGAGAFPCLVRCYFLGLVWPVVFQHGAMPRLRWLMFFPFYVGETRGIACNDGSLDLGLGNLPSLQDVHAFLYAAGKEEGEQTKAALMHEAKMHPNHPSSRILNKQVRQLLIVIPYLSPSPFSSPFLLNCICVYSSV >KQK95378 pep chromosome:Setaria_italica_v2.0:VIII:33940056:33944293:1 gene:SETIT_025919mg transcript:KQK95378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVTGAIPSLLDKLSELLDGEYNLQKEAKGGIKFLQTELESMQCALEKISRTPADKIDDQDKIWARKVREMSYDIEDNIDKYIVQCKGRKRKRKTDEQHSFKEAIDRFLEWLWQPMIRRKFATEIREIKSRVIEVHERRRRYEVSLGVDKPVTVDPRLFAQYTEVKELVGIDEARDELISKIMIEENEVPKKQGRIVSIVGFGGLGKTTLANTVYKKIRAQFDCYAFVSVSQTPDLKKLYKGLLYDLGKSINEETLDERRLIEALTEFLEDKRYFVVVDDVWDISVWKMIRYALPDNDVGYTIIITTRISHVAEQAGGAYNMKPLSLNNSRKLLYRRIFGNGNKDNNEEEEKCPHEELAEVSNRILNKCAGVPLAIITMASLLACKARDKMEWYEVCNSIGTGMENNLDVENMRKILSFSYYKLPCHLRACLLYLSIFPEDYEIEKDHLIRMWIGEGFIKYEKAGKSLFGLGESYFNELINRSMIQPIHDRFDDTISSCRVHDMVLDLIRSLSSEENFVTVLSDMGGTSPSNTIRRLSLHNDQESHVMAKATWSLQHARSVVVFPAAASLVPPLDCCRVLRVLDLKDCYLSEANSSLNYLENLHHLRYLGLRDTCISWLPEEIGNLQLLQTLDVSGTQISRLPSSVVQLRKLMCLCIGEYRTRVPNGIGHLTCLEQLSELHIDDSTRNIIEELGQLTELRKLSIELDEWNDKLLECLWKLQKMQELVITGKISQRSIGGLDAWVAPRHLRVLQTQSSCWFSTLPAWVNPSLLLDLTKLKIAVRELHQADLEILGRLPALRFLFLEVDNKNLGILAGFVVGAGAFPCLVRCYFLGLVWPVVFQHGAMPRLRWLMFFPFYVGETRGIACNDGSLDLGLGNLPSLQDVHAFLYAAGKEEGEQTKAALMHEAKMHPNHPSSRILNKQMTTAMRLSYTGR >KQK94736 pep chromosome:Setaria_italica_v2.0:VIII:25911580:25917484:1 gene:SETIT_026028mg transcript:KQK94736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAPRGGARRPTPSGAGADQRRAAAQQAMARMEEMMLAHAGAAGEFSIILDAPLPSLQQYRRHPAPPSGASSASSSPFRSGGRDGSAGGGRDEERIPARLRRDGSGHDELADARTSRRGADAGVRREQRQAGGTRGAVRGDEGEEEEVGAPVRLMGPRSVRRPVSRGATPPPRAAEAKRVVVNKEEEEETPLQLLARGERSSSATRPAEAPPPPSQAVERAAAAARPSSRRSKQEVGVRPVAAEVAASVDSDVESVGRWSSRGSEDGGEEAVALPRPVAAIVARDRSRSNSPAISRNGVDSAAVNRPPSTGRSTFAPPVGVNVRPLQAVEIPNGTPKDRRAVYPDPTFAQSTRSRDSHDSSTITEELEMLKDENVNLLEKLGLAEEKLRQSEARTIELEKQVANLGDGLSMEVKLMKRREEMLVRKEQEIRKALISKNGKSEELTALQQQLQSAKEEASAAVKKLKEAESETKDLRTMTRRMVLSKEEMEEVVMKRCWLARYWGLAVQYGIYPDISMSKHEYWSSLAPLPFEYVTSAGQRAKNGSETGSDGLEDADKLVHDLTVTAGEGNVETMLSVDKGLQELAFLKVEDAVLFALAQHHRSNVVGPADPDIKSTGDEKFTEAFDLSKEEEEDVKFKQAWLIYFWRRAKTHNVEEDIAEERLQMWIDRHSQEPTSHDAVDVEQGLHELRKLGIEQLLWELSRHEVNLTKDDPSDVEDLT >KQK93667 pep chromosome:Setaria_italica_v2.0:VIII:2906082:2909497:1 gene:SETIT_026170mg transcript:KQK93667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSQDSIGTVLKCMHKGAVDFLVKPVRKNELRNLWQHVWRRHAMNSQTNGSENNAASNHISANVANGSKAGENSDEESDAQSFGSKRETEIQSVEKLPDIRADEGAGPSRKIKLQNESYDGVNTKLHASKDSDDAPSGSEKNVRSKGLNGITSAKVAEQIMDNALRIADASSRRPSNLGKDLAMAQPTADVQCKSSVMESNAVTENNLGEKSKGAAISHAKSCPSQFLETNLGKQHHLNGYKIQEFREKDIFNHSNSSAFSRYGNKRIEPSAEHQFSPSLCITHQEPVHDKDPVSQPSGVLPPHEHNTGESTRQARIPLDSSTEGAAVLCSSSAREDAGASSSSHRQDSMSHPSYGFIPLPIPVGAAMPYHYGAILQPVYYSQAPHMHCDSAGINKAAIQHASGQSNYHENPSKPSQVDEHKQSEENQQLHHSRQILRESEPIDLTRGHLEHINQSASCSQDIRKGSGCTGSGETDIHTNTGVALESGNESGIQNCYNNGLDSDRSRREAALMKFRLKRKDRCFEKKVRYHSRKKLAEQRPRVKGQFVSQKLKSATTGDAETDFDS >KQK94413 pep chromosome:Setaria_italica_v2.0:VIII:15157858:15159266:1 gene:SETIT_028231mg transcript:KQK94413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKATQNPHDLGAGGYAAKIAKWRRKEEEQRRAGLPDMLEGLDKCSRNWIVIDFEDLHKLYRQQHLNVNLISVWCLMQWREEELTNSKFKAAYLDPTRISEPEYKLKMTEMIKAQMEAAQT >KQK93786 pep chromosome:Setaria_italica_v2.0:VIII:4351978:4352370:-1 gene:SETIT_027515mg transcript:KQK93786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNMLPKIADFGMSRLFGQQQSRIITQKRVGTLGYMAPEYINNGLISYKSDIFSLGVIIIELLIGSRDYPQSSETSFEHVIENMRQSSARHEFLTVSSFFF >KQK93958 pep chromosome:Setaria_italica_v2.0:VIII:6686535:6691345:-1 gene:SETIT_026506mg transcript:KQK93958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPASPAAAAAGRGGAMSSDNAKGLVLAVSSSAFIGASFIVKKMGLRRAADSGVRAGYGGFSYLMEPLWWIGMISMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHVILQEKLHTFGILGCVLCVVGSITIVLHAPQERDIDSVKEVWDLATEPGFLCYAAIVVAAALVLIYFVVPHHGQTNIMVYIGVCSLLGSLTVMSVRALGIALKLTFSGTNQLFYPQTWAFALIVATCVSTQINYLNKALDTFNTAVVSPIYYVMFTSLTIIASVIMFKDWDHQNPTQIVTEMCGFLTILSGTFLLHKTKDMADSPGQSLSTRRKHDRQNGFAIEVMPLKCQDSVDDETLTLSLPKVGNGYLKEGRPLRYKDSSIV >KQK95853 pep chromosome:Setaria_italica_v2.0:VIII:38955703:38956604:-1 gene:SETIT_026829mg transcript:KQK95853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTRRSRSVCTIGVPSRIEEVDGEAEHPDVVRPCACQPDLEQHLGHHHHSAVWPIPRAAALRRRRQRRRLIELGPPGDLGHVVRWLLVPVDAHRWLLEPAHAHLGRVPLRRGYPDLGVEVGIVHLHAPAGVVGIEGEVVEFLLFQLPALEACILDGEEDQWRSEADDDDGGDGDHDQGGIDIAMASMSRPLRHLEYIKDHRDACPEMRLLVVVSGLHNPRTKGRS >KQK93393 pep chromosome:Setaria_italica_v2.0:VIII:925298:927006:-1 gene:SETIT_026336mg transcript:KQK93393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSNVGDRVSTFDRALQLMKNSGVIITRHANLYETAPAYVTDQPRFLNSAIRGTTRLSAHELLKRLKEIEKDIGRTSGTRYGPRPIDLDILLYGNYEINSETLIVPHERIHERPFVLAPLVDLLGASGDDGIETSWHSLSKCSGGFFKLWNKLGGESIIGTDCIKRVLPVGNRLWDWYERTLVMGVLNLTPDSFSDGGKFQQVKAAISQAKLLISEGADIIDIGAQSTRPFAKRLSPNEELERLVPVLDEIMKIPEMEGKLLSVDTFYAEVASEAVKRGVHMINDVSGGQLDPKILKVAAELGVPYVAMHMRGDPSTMQSEQNLHYDDVCKEVASELYAQVREAELSGIPLWRIVLDPGIGFSKKSKHNLEVIMGLESIRKEMGSMSIGASHVPILLGPSRKRFLGEICNRANPVERDVATVAAVTAGILNGANIVRVHNAGYGADAAKVCDALHKGRRWEE >KQK94832 pep chromosome:Setaria_italica_v2.0:VIII:27769401:27770045:-1 gene:SETIT_027665mg transcript:KQK94832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGKLGLHPLELYHKCFRDLQPNVSRLGSKREDTVPSAAELSEAGIHFEMSATKRVSDIDFENGVLRMPLVGVYDETEKNYLNMMAFELLHRYAGNDVTDYIIFMDNIINSERDVKLLRSKGLIKSGLGSDMEVARLFNTLSKGAVMSPFCKLLDVQKKMNDHCRKRWNKWRASFEHTYLSNPWVFISLVAAAVLLVATLMQTIYSVMPFYTKNS >KQK93829 pep chromosome:Setaria_italica_v2.0:VIII:4792889:4793883:1 gene:SETIT_027623mg transcript:KQK93829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAVSLLFAGLTAWVLCSSDAGDGEDKFSVVRRLSPLICAYLLLRTVALSESEARAALAARFMRASYLPLLAYLAAKVVGPLAGAASACAAAAACRAPRRAPAPRRGGAVGRRRRGEHRALPEPRRGGARGVRRGHGRLPHGLISAAVLCAIMWFESGESKLSTVVFFAGPYLLITRLVLLRREPLEDAGLEKAGRGHGHLWPRPLAAMMVVSWASTTAMAGYLGYGIAVHQSFEQLMIVKRQPPAVQDASGLPVGRDGEHAAAVHIDAACCVLVAIDA >KQK93974 pep chromosome:Setaria_italica_v2.0:VIII:6989831:6990613:1 gene:SETIT_027049mg transcript:KQK93974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFYWKMAVAILLVCAISPPQVVTGTCTETQKARVMFYCHTFTKKGSTGNVIHIHSDCCVAVRLVPNSDMKCVVSMLTDKEKEEHDEERILSLESLCEYHPPPSPPSSLST >KQK95722 pep chromosome:Setaria_italica_v2.0:VIII:37554942:37558090:1 gene:SETIT_026640mg transcript:KQK95722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLAGLKSVDGAHEESIWAAAWAPAADHRPTAVLLTGALDETVRAWRPDDLAAAGPPARGHALGVVSLAAHPAGALAAAVSLDSFVRVFDVDSGASVATLEAPPSEVWGVQFHPKGNALAAAGGGSGSVKLWDTEKWQPITSLAVPRPEGARPDKTGSGKFVLSVAWSPDGKLLACGSMDGTIAVYDAIRMKFLHHLEGHHMPVRSMVFSPVDPHVLFTACDDCHIHIYDAKEKTLIGAMSGHASWVLSIDVSPDGLAVATGSSDRTVRLWDINMRSSMQTMSNHSDQVWAVAFRPPGGAGVRAGRLASASDDKSISLYDYS >KQK93952 pep chromosome:Setaria_italica_v2.0:VIII:6625966:6626322:-1 gene:SETIT_027966mg transcript:KQK93952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DDPHPHAPSSPAYKSYIVILRPPPEVSTMDDDARLSWYHSFLPSNLTDSGEPRITHTYKIIFLCFAAWLTETELDVMSKKPGFGSWIPDPTFKIPGLCGVLEVHHVREASYFGCLMLF >KQK95344 pep chromosome:Setaria_italica_v2.0:VIII:33584689:33586044:1 gene:SETIT_027832mg transcript:KQK95344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVQTSKAVNPAYGGGSGGAPLAAANATAVPLTVFDKVNYDLYISGINFFRPPAPPNAVLAAGLARALAEYREWAGRLGVDAAGTRAILLNDAGARFVEATADVALGSVMPLEPTPAVLSLHPDGDGAEELMLVQVTRFKCGSLAIGHTMHHAVADGRAACNFLLAWGQATRGAALDPVPAHDRASLFLPRQPPRVAFEHRGVEFKPREQKKPGRRSDVAVVDNDDEVVVQRVRFSREFVAELRSRASAGAPRPHSTLLCVAAHLWRCITKARGLNGGEVTRLCVAVDGRMRMRDPLVSEGYTGNVVLWARPAAAAGELVSMPLRRAVELISREVARVDDGYFRSFIDFASSSAVEEEGLVPTADAAKTAYIPDVEVDSLLHAPFYDLDFGGGPPFFFMPSYLPVEGSVFIVRSFSGDHSVDAYVPIFRRAMDTFNKCCYSLAMADARL >KQK95640 pep chromosome:Setaria_italica_v2.0:VIII:36771945:36773477:1 gene:SETIT_027805mg transcript:KQK95640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAEEEQQQDLLPVPAEAAAAANGDEAPAGESAAAGRDSSPERDGGEAERLQHLNRMLAVRAKEEHRRAAALEAQLGEVSEDADALAAAERGVLLAALAAPLRTAEEERAALGARLAAAREALGRAEEEAAREAAAGADAAARLEAAAAENRSLSERIDAKSAEAAAAAEKVAGLEALVAGLEAKSSELRAEMTELEKQLEVARAAASAVQSQKAEVESSFNELKRDAEREKQEMEGKLQEKLKELETLQSQKAELEAKVSSLEAELLAADARKGELEVEVEELEIEKATANKDVERLRAKVVEVGNLRDELEKLHGSMADLRALCDDLEGKNSCLEGERDLVLKALEQQKAEAEKLRLTIGELEVCNGKKDLEIGTLKEEVEGKEFQTDDLNRKLEELQLAVAEAEHSGKNEVWTWLCPATSTVIAAASFIYAARSR >KQK95885 pep chromosome:Setaria_italica_v2.0:VIII:39217205:39219730:-1 gene:SETIT_027992mg transcript:KQK95885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLVIIDDIWRWEEWEVIRKALPKDNLGCKIIMTTRVRSIAEKCETEQRAAMRLSKSVEGLSTFSYEIADLSTEIADMCDSPPFDFCPLAVICLSSAWAESHHVQGDDEEFYWARHVLYDGFLITPSLKPLVQSLCLGFDDLPVQLRTCLLYCTICPSMGYTGGLVRKWVAEGFVSQVEIAEAYFDKLVSRNLLEREQDFYYLIHPIILAFLVCKAKEDNFIAYHWGDMPNSSHAKQIRRLSLGTDRCPDEDVLSHTRSLVVCGKQSQLDGVPFKAFKKLRVLQIDESSGCTNVTELPTCIEKLQSLKNLYVQYTKVKELPREIKNLERLETLDVSGTEVTELPTWIEKLQSLKTLNVSWTPVTELPKEIGKLQHLRTLDISGSKVREISCSKDPNPLSVQVEKLMLQSGCEDVIMSQRDDLSILILFNHFGSKCEVLPVRMLRVAGRHMKVPQWVKQDLCNVCSLDIRLCKLVHEDLEFLKTQMPNLQALQLRFEVLPREPVAITDGGFSKLETFYVDCRLPRVITFGEGAMPKLKHLEFKFYTGTVSQDYYMGIKHLDSLEKVVFRCSGYYRSDSPGIREAIDVLRNEVAEHPNMITLWVNNMECKVFRSGEFEEWEKEIEKREKEVEKKRKQKRIEERLRLSTAAEGRAQRDKNNARAGIEKEIQERKRNLEIRVQRLAVTEHYYNFLSKSGLPSP >KQK93461 pep chromosome:Setaria_italica_v2.0:VIII:1266812:1269757:-1 gene:SETIT_026177mg transcript:KQK93461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITALDLYHVLTAVVPLYVAMTLAYGSVRWWRIFTPDQCSGINRFVALFAVPLLSFHFISTNNPFAMNLRFLAADTLQKLAVLALLALASSRLSPLRGRLLGLDWSITLFSLSTLPNTLVMGIPLLRGMYGPDSAGTLMVQVVVLQCIIWYTLMLFLFEYRAARALVMDQFPDGAAASIVSFRVDSDVVSLADAAKGELEADAHVADDGRVRVTVRKSTSSRSEAACSHSHSHSQSMQQPRVSNLSGVEIYSLQSSRNPTPRGSSFNHAEFFNIVGGAKGAGGDEEKGAGGGHSPQPHAQAAKRKDLHMFVWSSSASPVSERAAVHVFGAGAEHGDVLAKGTQAYDEYGRDDFSRTKNGNGADKGGPTLSKFGSNSTAQLYPKDDGEGRPAAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGVIWSLVSFRWGIEMPAIIARSISILSDAGLGMAMFSLGLFMALQPRIIACGNKLAAVAMAVRFLVGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILLGL >KQK95982 pep chromosome:Setaria_italica_v2.0:VIII:40299221:40300251:-1 gene:SETIT_027277mg transcript:KQK95982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVIKCDGLPLAVKVMGGLLSTRSRSEREWEAVLNHHAWSVAGLPKELDRRIYLSYEDLSSQQKQCFLYCSFFPKGTCIRRRIVIPMWISEGFIQPYTDQSSSHDDQLEEIAAEHFQELVTRNLIEPENSLAAYHCEMHDVVRSFVEFMAREESLVVQDMQVASGRNDSLIHRLSIGPTSLVPGLAALQKQECVRTLFINSKINFDLSDSLNSFFMLRVFCINGGDCDRGLRDDIDDMKFLQHIVLLGSVHLENLPRTIIQLMHIRTLDMYGSNANVVIPKKFGGLRSPCTHQHRAERPDHKETSTIRTMCESKVSQVDLQQEAA >KQK93257 pep chromosome:Setaria_italica_v2.0:VIII:218492:221673:1 gene:SETIT_027449mg transcript:KQK93257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVMNSRIDKLAILMDEEYVKLSNMSKELSFIRDELSSMNAFLEILADKEELDPLTKNWKNQVREMAFDIEDWIDEVMRHASQDGTAADLIWKIIQRLSMLRTKNRISNEIRQMKARVMEVSHRHKRYKIGVSTSASKYVPVDPRLHALYADEDGLEGMDGPRNELVELLLDEGQRLRVVSVVGIGGLGKTTLANEVYKRIGDKFDCQAFVSVSQRPDMTRILANIEVQNLINDLRKHLQDKRYFESVWDMLRCALPKNEQASRVIATTRIETVAIACCSYLHEYVYKMKPLDDQQSKRLFFNRIFVSEDACPEQLRDISTEILDKWNGLALAIVSISGLLANQATTRVEQWEHYETNIAAQLQEPSLLSEGIYPEDYTIRKNDVVKQWIAEGFVSKVQGLDAEDVASNYFNELVNRSMISPNDANYQNEVLSCKVHDMMLDFILSECAEENFLTINDKPNASLGLHNNVRRLSIQYDNGNHSVVDPAKNLSHVRSLAAFGGPNFLYLNPLSEFSFLRVLIVGFSYVSYKMELDFLGVCKLFQLRYLKIMLIETNIHVRLQLPEKIGELQQLETLDVEWGSVVIPSDIICLPCLTHLIIPEITLQSFDLGENSIDSIRDLGQLTNLRDLKLWYSGTTISNTALYWPGICGSGLSLLNPCPRHLQTLELTYWCFPKVPEWIAELHELQVLKIAIRELSMDGFLVLAQSITIYSMAFPALKYFKYWCRTPRLTFEAGAMPKLERLKLRFKEIFESPSGIEHLKEVFLEIGGLRGKVPKRGGALSVLIMAIDMHP >KQK93267 pep chromosome:Setaria_italica_v2.0:VIII:271043:276325:1 gene:SETIT_028261mg transcript:KQK93267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKFVAAEQPPPKQRQSSRARERERERRTAIAMDASALNNPRLKALIECNYQVFTMENSQDESENDEQEQPLEMKPLRSLAPMFPASLGYDVATQSTDPPLVFVTPFRPRRSPEQSPASFGQPLPKSPIPLRATPISAAFPMPQREDESSDEDYKPFCDHKKPTPLKTAKRTHQAGSNEANIKRRSTRRSLNTELAPCPSLSSDPNKSVEEIMLMFDSIRHRILQLDEKEDASRRADLKAGTLMMQNGLRINNLKTIGPVPGVEIGDIFFFRIEMCIVGLHAPAMAGIDYVSAKHVGKDDTLAVSIISSGGYENDDDDTDILVYTGQGGNSRHKEKHDQKLERGNLALMNSMKKKNLIRVVRSAQDPFCNSGKIYIYDGLYRVEDSWMDKAKNGFSVFKYKLRREPGQPDGISVWKMTEKWKANPGTRDKAILLDLSSKVENLPVCLVNDIDDEKGPSYFNYETGVEYLRPLSKTKPLQSCKCPSVCLPGDPNCSCSQLNSSGLPYSASGLLVKHIPMLYECSSRCQCSQNCRNRVTQKGINLNFEVFWTGDCGWGLRSWDPIRAGTFICEYAGKPVQYDHGDDSYPHIMLFAMKHIPPMTELTYDYGTRGAPPGIKGKFPNASKLKPCLCGSTNCRGSF >KQK93659 pep chromosome:Setaria_italica_v2.0:VIII:2832708:2841391:-1 gene:SETIT_025833mg transcript:KQK93659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSSSDPPLPPGYRFYPTEEELLSFYLRHRLAGTMPQVEHFIPVVDIYRYHPSELQAMAGVANVGDKEQWFFFCPWAERELHGGRPARTTPSGYWKAAAVASYVYSAPANRVIGEKRTMVFYEGRAPMGKKTRWKMNEYKAADGAPLLLAAGAPVRLRNEFRVCRVYISTGTLKSFDCRPLNPAGGVDQALHCYQQQQVQLAPPPPPAAAAAASQMPAVDGVDQALNVSEEHGESSTEGMTNSTQGTMEAPVSSSLGAMGSLFRKLGILLDPKYPLRSSLKHAIKLLVEDLEEIRDAHMEQLMADSHNSKAKYWMEEVRELSYDIEDSIDFMMLSHNAANEKPRSIHAPKLRVARLKIRGLPSMLKLSTRIVRVAELRTLLWEASERHARYQLDGCVSNPRSVFSGRSSRITLGPKKELTMWLTNQAEQQLKVLCIFGPAGIGKTTLAKELYRELGGKFDCRAFIRVSRNPDISRLLQELLYQILRPNKQNLYACSVQGDIENIRKHLEDKRYFIVIDDLWEAEAWDIIKNAFPNGSNCSRVIITCEVKNIAPECSGYHTVDTFEMKPLGSQDSENLFLDRAFSSDNQFPDPFIFRMLKECGGLPLAIISAAGLFASLRDNSDIWHQLLQSVLSTLENLSLEDMLKQIVSICYNNLPQELKTCLLYLTMYPVGCTIWKDDLLKQWAAEGFITTTKGNEGVAENYFNELVKRGMIQPEKINYNNEVLSCTVHHVVLDLITYKSRQENFITAVDYSRTNAMFLMKARRLSLHYSCAQYATEPEGIRVSQVRSLAFFGLPRCMPSVIEFKLLRVLILEFWGNKDDCTSVNLSRICGLCLLRYLKISSQIYVELPSEIQGLKFLEILEIDARVSAVLNEKMLEIDAKESAVPNDIVHLPGLLHLGIRDGTKLPDRIGLITSLQTLKCFDLGYNSEDNVQSLGELINLRDLHLTCSKEVSHEHLKRKLVALASSFGRLANLKSLTLAHGAVGMTMFVDHSSAIFSTPMFLQRLELPPICTFSRLPEWIGKLRKLCVLKIVVRVLLRSDIDILTGLPGLTVLSLYVRQPNAEGVVFNSGEFPVLKYFKYRCSALCIAFREEALPNLRRLKVCFNFHRDEIYSHMISGVEHLLNLKEIAVRIGAADGGVEESDRRAVESHSRAPYANIQRFLFI >KQK95499 pep chromosome:Setaria_italica_v2.0:VIII:35078740:35080285:1 gene:SETIT_027647mg transcript:KQK95499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIWQCTGRLLVLERGKLLKDLCLSMALSKMLNRRFVGFRLSEAGHEKAHDFVFKGLLAGDKPYQRAFRVIEEELVLVHGSYYTRYSYLYQKGRYIALSLPIVMLALCSWLTYLLVKHYESRSAYQLYLYIFSGWFKVALIQSYINTPFLRRSTTGNRANAECFSICRMIIGLLLRLKAFAPWKRNLGQYCILQEVDRKHRVRNCLRYATLRLLDKASKNGLKKSEKVSENVKKAIIDSLLGSNGNLTNGVTSLQNNGVNCLSWACDATATDGAVARTIVKLDKQAKEEDAVKTASTLSKYCMHLLASAPNLLPDHSSISESILDQSIDEAGKLLKEAKENKIEGKNKKIKGKNKKIEGRCEILMQIRTDDDCVGDETRLVAQGVHLAKQLIDNIEDFTTRCKVLSDFWAEMMLYVSPSEDAWEHLEVLAKGGEFITHLWALLTHAGVLKRGPTEPKDVV >KQK94033 pep chromosome:Setaria_italica_v2.0:VIII:8009396:8009743:-1 gene:SETIT_028327mg transcript:KQK94033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CGSCGYPLNLSSSNRSTSEVGSSYKKSLKKGLISFVSVDLSRFTQVDEISCFPITWRAYRPKTKLLCRKCGASIGYGYGEPTVLCSFDPASSSSSSTSQKYLIKIQALQPSDGTQ >KQK94786 pep chromosome:Setaria_italica_v2.0:VIII:26916547:26918685:1 gene:SETIT_026234mg transcript:KQK94786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLRDAAQAACVSRGFLRSWRCFPNLIISVDSLGINENTSNDEIKRDFVCRVDHIMQNHSSMGVKRFVMGTYPCSKLQPSYVDRWLQCAITPGIKEIYLSMLSCGIKYNFPGLLLFSREIRSSIQTFVLGGCSFRCAAQVGYMSSLTNLELHSVHITGEELYGFLSNSCAVEELRLSNCNDIICLKIPCLLQKLDILDVVGCSKLEMIDSNAPNLSTFFFAGRPIHILLGEALQVRKISFFRDYSPDALYYASTKFPFIAPNLQTLVLSTSDETVNTPKVFGKFIQLKYLEIWVSTSTFSQDYDLCSLISFLDASPALESLIVRIAQPTIRRDSVIEDSNGYSRPQCLQEQCHDNLKNVMITGFCSAKSMIELTICIIEKSKALACLTLDTTRGYDRRLVKFDKCLQLGKEALVEAKRARVAIQRYIEGRVPPAVNLKVIEPCSKCIW >KQK94785 pep chromosome:Setaria_italica_v2.0:VIII:26915719:26918422:1 gene:SETIT_026234mg transcript:KQK94785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGILEQMKALSVEGQPQVQITRDGSNCSASQIMVSPSLEGDISHCVETMGSQVQLPEDILHQIHALMPLRDAAQAACVSRGFLRSWRCFPNLIISVDSLGINENTSNDEIKRDFVCRVDHIMQNHSSMGVKRFVMGTYPCSKLQPSYVDRWLQCAITPGIKEIYLSMLSCGIKYNFPGLLLFSREIRSSIQTFVLGGCSFRCAAQVGYMSSLTNLELHSVHITGEELYGFLSNSCAVEELRLSNCNDIICLKIPCLLQKLDILDVVGCSKLEMIDSNAPNLSTFFFAGRPIHILLGEALQVRKISFFRDYSPDALYYASTKFPFIAPNLQTLVLSTSDETVNTPKVFGKFIQLKYLEIWVSTSTFSQDYDLCSLISFLDASPALESLIVRIAQPTIRRDSVIEDSNGYSRPQCLQEQCHDNLKNVMITGFCSAKSMIELTICIIEKSKALACLTLDTTRGYDRRLVKFDKCLQLGKEALVEAKRARVAIQRYIEGRVPPAVNLKVIEPCSKCIW >KQK94856 pep chromosome:Setaria_italica_v2.0:VIII:28032637:28036968:1 gene:SETIT_026768mg transcript:KQK94856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKLGTRFPAARIKKIMQADEDVGKIALAVPVLVSRALELFLQDLIDRTYEITLQSGAKTLNSFHLKQCVKRYSSFDFLTEVVNKVPDLGGADSCGDERGLPRRRKSNGSDPENDESRSSKMAIRSVNISPRGRGRGRGRGRGRPPTKRKEVGYVQFEDESSMFAEQGEPLPGEETVPESNHNNENIPPSAQPPQEAPLPAAVPGTSSKVEEANTDHQSDWPMPDAAIGSIGVGPSGFGHLTVQVDEDEDYDNED >KQK95646 pep chromosome:Setaria_italica_v2.0:VIII:36830588:36831009:-1 gene:SETIT_028405mg transcript:KQK95646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIMEPWRMLASFTGSSGEEEADHGHRDDDEDDLAGRRRKLGCHRFGLSS >KQK94502 pep chromosome:Setaria_italica_v2.0:VIII:19845971:19847753:-1 gene:SETIT_028439mg transcript:KQK94502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHFKKMRAQRKSVHLLSTKGSIGMEWNGGELRITRSFILWGSFGS >KQK94846 pep chromosome:Setaria_italica_v2.0:VIII:27979773:27980231:1 gene:SETIT_027743mg transcript:KQK94846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSSHYDGTSASGSHSDTANLNPSSPPVGDALCVFCHANNTFVCPVYPAKRHQWTIMNEVKDHILGMATSAPLRGENKKKWSHHHVVAQNEGWME >KQK93534 pep chromosome:Setaria_italica_v2.0:VIII:1719114:1728326:-1 gene:SETIT_026038mg transcript:KQK93534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPREQEQPPMDAAGRRLRAVSAHIRPPAPAAGCGIAANPTAAGEYAHVQGYSVVLPEKLQTGKWNVYRSARSPLRLINRFPENPDIGTLHDNFVYAVETFRDCRYLGTRIREDGTVGDYKWMTYGETSTSRTAIGSALIHHGILEGARIGLYFINRPEWIIVDHACAAYSYVSVPLYDTLGPDAVQFIVNHATVEVIFCVPQTLSALLSFITKMPCVRLIVVVGGEDVKIPPTPANTGVQIITYPSLHNQGKMSPQPFRPPKPDNVATICYTSGTTGTPKGAVLSHENLIANVAGSSLGVKFYPSDVYISYLPLAHIYERANQVALLHYGVAIGFYQGDNLKLMDDLAALRPTVFASVPRLYNRIYAAITNAVKESGGLKERLFHTAYNAKRQAVLNGRNPSPMWDKLVFNKIKARLGGRVRLMTSGASPLSSDVMEFLRICFGGEVLEGYGMTETSCVISTMDIGDKLIGHVGSPNPSCEVKLVDVPEMNYTSEDQPYPRGEICARGPTIFRGYYNDEVQTREVIDEDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFVYGDSFNSSLVAIVAVEPEVLKAWAASEGIQYEDLRQLCADPRARAAVLADMDSIGKEAQLRGFEFAKSVTLVAEPFTLENGLLTPTFKVKRPQAKAYFAKEISDMYAQLQEAESARSKL >KQK94153 pep chromosome:Setaria_italica_v2.0:VIII:9894562:9895077:-1 gene:SETIT_027019mg transcript:KQK94153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPAGAELRGAPGWSSWSSDACAARCSRPRFVAAARSTTLTSDDDSTRPLPWTRTPMREHPRARVRQRRRCPRDLMVQLGPRRACSPAASGTARPDRSRNLLILTAAAVAAASIRLRRRH >KQK94645 pep chromosome:Setaria_italica_v2.0:VIII:23929405:23936058:1 gene:SETIT_026562mg transcript:KQK94645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKEVAVNVFLSCFMHVGLALVLLVYLPIAFVCRLLARVFVRPFARGEDLRGKVVLVTGASSGIGEHLVYEYARKGACVALVARTEIALRAVAKTARDLGAPDVLVVPADITKVDEAKRAVEETVAHFGKLNHLVANAGIWSSCFFEEITNITAFHNIIDLNFWGAVYPTYFALPYLKASRGNIVVTSSVAGRVPTARMSFYNASKGAVIRFYETLRAELGSHVRVTILMPGYVVSNLTKGKGLQKDGHVGIDEEARDINVGPLPVGKTESLAAVVVASVQRGDYYVTWPGWYWPFHMVMCAAPELLDWFSRTFYVSSSGEPQDGGAALSKKILEAVGGKKFLYPKTIRSQAAMAAN >KQK93374 pep chromosome:Setaria_italica_v2.0:VIII:817643:821901:1 gene:SETIT_026086mg transcript:KQK93374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRRCGSRRLAVVGDNSNGYVETDPTGRYGRLDELLGKGAMKSVYRGFDEERGVEVAWNQAYLADVLRTPDAVERMYSEVQLLSALRHDAIIGFHASWVDVPRRTFNFITELFSSGTLRSYRLRYPRVSLRAVRSWARQILRGLAYLHAHDPPVIHRDLKCDNLLVNGHQGLVKIADLGLAAVLRHQSTAHSVIGTPEFMAPEMYDEEYDERVDVYSFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGKLPDAFYRVQDDDARRFIGRCLVAASKRPSAAELLMDPFLLDGHHHSTPCAVMPPSSSLPAAPHSSTCSTSNVDEEAEPPGPIRTDMTITGKLNAEEDTIFLKVQIADQTETNVDAGNNVRNIYFPFDMANDTAAEVAEEMVKELEITDRDPSEIAAMIEQEINRLLPGREQQHEYSVSTANGDDDDDENEERPPPFYYLSSSPTSSQGSHCGVGPHAGGWSKDHWYTCLSDDDDTSSMHSGKYSALHYDASGHEEDEAQLPPQDGHVVGPSSCSKASGQQVKNTRFGPGESSSSRSPGRADTAQQQSLARQLQRQCSLSPHAGRPRRRDDDHRHHGRNNRMTRNRSMVDMRSQLLHRTLVEELNRRLFFNTVGAVEDIGFRAPAATTTSSSSSTRGRRSKDDKHHHQYVML >KQK93373 pep chromosome:Setaria_italica_v2.0:VIII:817643:821901:1 gene:SETIT_026086mg transcript:KQK93373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRRCGSRRLAVVGDNSNGYVETDPTGRYGRLDELLGKGAMKSVYRGFDEERGVEVAWNQAYLADVLRTPDAVERMYSEVQLLSALRHDAIIGFHASWVDVPRRTFNFITELFSSGTLRSYRLRYPRVSLRAVRSWARQILRGLAYLHAHDPPVIHRDLKCDNLLVNGHQGLVKIADLGLAAVLRHQSTAHSVIGTPEFMAPEMYDEEYDERVDVYSFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGKLPDAFYRVQDDDARRFIGRCLVAASKRPSAAELLMDPFLLDGHHHSTPCAVMPPSSSLPAAPHSSTCSTSNVDEEAEPPGPIRTDMTITGKLNAEEDTIFLKVQIADQTGNNVRNIYFPFDMANDTAAEVAEEMVKELEITDRDPSEIAAMIEQEINRLLPGREQQHEYSVSTANGDDDDDENEERPPPFYYLSSSPTSSQGSHCGVGPHAGGWSKDHWYTCLSDDDDTSSMHSGKYSALHYDASGHEEDEAQLPPQDGHVVGPSSCSKASGQQVKNTRFGPGESSSSRSPGRADTAQQQSLARQLQRQCSLSPHAGRPRRRDDDHRHHGRNNRMTRNRSMVDMRSQLLHRTLVEELNRRLFFNTVGAVEDIGFRAPAATTTSSSSSTRGRRSKDDKHHHQYVML >KQK93372 pep chromosome:Setaria_italica_v2.0:VIII:817643:820823:1 gene:SETIT_026086mg transcript:KQK93372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGPRRCGSRRLAVVGDNSNGYVETDPTGRYGRLDELLGKGAMKSVYRGFDEERGVEVAWNQAYLADVLRTPDAVERMYSEVQLLSALRHDAIIGFHASWVDVPRRTFNFITELFSSGTLRSYRLRYPRVSLRAVRSWARQILRGLAYLHAHDPPVIHRDLKCDNLLVNGHQGLVKIADLGLAAVLRHQSTAHSVIGTPEFMAPEMYDEEYDERVDVYSFGMCMLEMLTVEYPYSECSNPAQIYKKVTAGKLPDAFYRVQDDDARRFIGRCLVAASKRPSAAELLMDPFLLDGHHHSTPCAVMPPSSSLPAAPHSSTCSTSNVDEEAEPPGPIRTDMTITGKLNAEEDTIFLKVQIADQTGNNVRNIYFPFDMANDTAAEVAEEMVKELEITDRDPSEIAAMIEQEINRLLPGREQQHEYSVSTANGDDDDDENEERPPPFYYLSSSPTSSQGSHCGVGPHAGGWSKGIKKIFF >KQK93227 pep chromosome:Setaria_italica_v2.0:VIII:66710:69565:-1 gene:SETIT_026699mg transcript:KQK93227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIGIKYEEGYILNSRGMNLFTCQWRPSNYEPKALIFLCHGYAMECSISMRGTGTRLAHAGFAVHGMDYEGHGKSSGLQGYITSFNDIVVDCLKYFASVCEKVEYKNQRRFLLGESMGGAVVLMLHRKEPTYWDGAILVAPMCKIVEDMKPHPIVISILSKLSNVIPTWRIIPNEDIIDRAIKSEEWREEVRNNHYCYKGKPRLKTGYELFMASLDIENNLDKVTLPFIIVHGGGDAVTDPSVSEALYTLAESKDKTLKLYPGMCHALTSGEPKENIDSVFSDIIKWLNERVSTS >KQK93761 pep chromosome:Setaria_italica_v2.0:VIII:4133738:4137366:1 gene:SETIT_027417mg transcript:KQK93761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVLDVLASYVQNMLIEMAKEEVHKLLGVSGEIDKMCTKLGDLKNSLADADRRNITDSSVQAWVRELKGAMYEATDILDLCQLKSMERQPGMDTGCFNPLLFCMRNPLHAHDISSRIKNLNKRLDGIKNRGAAFDFINLVSYEDRNRMVASFHPSKRETSGELDGSGVVGEKIEEDTKSLVRMMTQGTETSYGDKNILIFAIVGVGGIGKTTLAQKIFNNKIVQEEFSKKIWLSVNQELSAIELLQRAITEAGGDHQAASNTKGALERTLKEALNGHKTLLVMDDVWNHEAWEGVLKTPLINTLARGSRVLVTTRDIRVARGMMAEEPYHHVKKLEPEVAWSLLKNQVVGNENNEPQIDMLKDIGMGIIEKCDGLPLAVKVMGGLLRQRRARRGDWENVLNDSIWSVSQMPEELNYAIYLSYQDLHPSLKSCFLHYSLLPKSTWFYLDEIVGMWISEGFVHGNWHDLEELGRQYYEELILRNLIELDKKYIDQQVCNMHDVVRSFAQYVARNEALVAHRIESGIFDKLNSQKFIWLSVETTGSESNELEWSSLQAQISVRTMISVGHIKFKPSDLLLSFSRLRILHIQSAEFDTLAESFFRFKHLRYLSIKHTNISRLPESIGKMKLLQHISLFGCKCLVKLPGSIAKLQQLRLLNLSGTTQIDGDWCSLEELGPLSQLIDLHIRGLENVPSSSFASKAKLSEKVRLSYLRLSCTSGHGHDDGLVKDKERIFEEQQRVIEEVFDELCPPSCLVNLAILRYFGRRLPAWMTSTAVVPFGNLRILTMIDLPCCMELPDGLCKLPCLEFLQIDRAPSVKHVGPKFLKPYHPENLGSVVEFEVLESPELERICNLPKLQRLCISMCPKIKELDGLSALEMLKLEDYDMNTLPGYLQDINLRHLQIYCDISLLTSIANGKSSPEWDKFQHIQQVNAYADDGKDIVPRKWYVSYTKDPFSFETNYISPTFVS >KQK95128 pep chromosome:Setaria_italica_v2.0:VIII:31213580:31214419:1 gene:SETIT_028412mg transcript:KQK95128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALEFWEDAPSRPDVGKRISRSSAGAERPGLAGQSCRWGSER >KQK94031 pep chromosome:Setaria_italica_v2.0:VIII:8002427:8007053:1 gene:SETIT_025974mg transcript:KQK94031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIGTSVCGAGSPPDMDSFTLSDFDGLERYTDLGAGISVDDSVLSALCSSLSSEEQAEAGASISEDGDSPDGSASGEVMHMCTATLPKSIHGAAITLPERMLRALAMLKDASSGSGAILAQVWMPVRYGERQVLTTSDQPFLLDQRLTGYREISRKFIFSASEGPEQFPGLPGRVFISCMPEWTSNVMYYHDSEYLRVDHAARHEVRGSLAVPVIDSSGPSDSCCAVLEVVMTQEKDNFMSEIDSISKALQSVNLSTVKAWTYPQNLTRNQESAFTEISDVLQTVCHAHMLPLALVWVPFCSSSNANVSIEYGDQDMKFNLRKKDLLCIHESACYANDMRMHYFVRACGDHPLERGQGVAGNVILSNSPFFSCDVKDYDVCDYPLAHHARKFGLQAAVAIRLRSTYTGSDDYVLEYFLPLMCKGCDEQQRLLDDIAETMQRACKSLRTVSNSELMADTTVKPSNKKGCRIRFPSSDMSINSGHKLNVISTIKANVLSGHQKMNTNELLGDMKRAKKLKPSTTSHGEKTSTSMEKNISLSVLQKYFAGSLKDASKSLGVCPTTLKRICRQHGISRWPSRKIKKVNRSLEKIQNVISSVHGVVDRELKYDPATGFLISSISPSGNPLLIDVEGDGVDPPHIESESSQLKIKLDCGASQGEYQGQLVLKAQEEKLSEIDFSLNEGRLSLNSHSSVGSQGNNASRDLFLVPQSNIGTETLPSSSSKTDYSSGSASSHGTFQKCSKTQASANEGNTTVTVKANYKDDAVRFKLLPSMKHHDLLEEIAKRMKLSVGSFHLKYRDDEDDWVILESDADLQECLDILEITRSHVLKVQVRDVTHPAASSGSSSFAMLCKACKEP >KQK94290 pep chromosome:Setaria_italica_v2.0:VIII:12628568:12633123:1 gene:SETIT_026650mg transcript:KQK94290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASWIRIQRLTLRPLQSPITSSPSTCRLPAAPPVSAQKRQVGKTTPRRAKAKPPSPKIFDLPPPVLLPCPSLPNPPGQTLEEPAAIPPEASGLGESAAMSRRRASQVQAQAQAQALIDLDSDAEDESQNKRSRTSRTTALKTSGRPKHVLPSFYDNLPKNRSSRNATSRRDKGNQDKLNTDIFELYMEDLWKHIDEDKKSAYAYFDSLWFNMYYRGRNIPNVLKWIKAKRIFSRQYVFVPIVCSGHWSLLVLCHFDEANCSDIKKGPRMIVLDSLNTTDPTRLQSYIRKFIVDIYETEEREESKQFINKIRLEFPKVFI >KQK94398 pep chromosome:Setaria_italica_v2.0:VIII:14443505:14447597:-1 gene:SETIT_026813mg transcript:KQK94398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLEDLPVEGEEQRPPLTRALLHRSATNNTSQVAMVGSNPCPIESLDYEMIENDLFDENWRTRSKADQVRYVVLKWTFCFAIGILTGIVGFVVNLAVENVAGFKHNAVSALMESSSYWTAFWVFAGSNLALLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKVLCSMRLLVPATVIGEGCCCHHHSHYQDVLEMETVIE >KQK94399 pep chromosome:Setaria_italica_v2.0:VIII:14446412:14447597:-1 gene:SETIT_026813mg transcript:KQK94399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLLEDLPVEGEEQRPPLTRALLHRSATNNTSQVAMVGSNPCPIESLDYEMIENDLFDENWRTRSKADQVRYVVLKWTFCFAIGILTGIVGFVVNLAVENVAGFKHNAVSALMESSSYWTAFWVFAGSNLALLLFASSITAFVSPAAGGSGIPEVKAYLNGVDAPNIFSLRTLAVKVRSYPPAHRLFHYLHVAPSTLPACAPELFTNGSITYASQPHMLLLYPKFSYWPR >KQK94444 pep chromosome:Setaria_italica_v2.0:VIII:16613983:16618216:-1 gene:SETIT_026009mg transcript:KQK94444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWHLSILIMATTCFMLFPRSEQSSESELLQQLRKQLEYPRQLDAWGSPSSDPCYTQPTAVLAVTCEGNAITELKIIGDRITKPPKFSGYSVPNVTLSEAFVVDSFVTTLARLTTLRVVILVSLGLWGPLPDKIHRLSSLEVLDLSSNFLYGSIPPKLSVMSKLHTVTLDRNYFNESVPDWLDSFSNLTVLRLQSNRLKGSIPASIGKAAMLTELALAGNSISGDVPNLAYLNKLEMLDLRDNQLDGDLPEMPTALVTILLSKNSLKGEIPKQFGELNRLQHLDLSFNFLVGSPPEGLFALPNISYLNLAANMLSGSLSSSLTCSSTLGFVDLSTNRLTGDLPACLNGNMNNKVVKFDGNCFSVGPAHQHEAKYCQQSHKGSNKDVGLVVTVVGILFIVLVLSLVLMASNRRSCQKVLAEQQFLQKHKQDNSTSGMSSELLVNARCISQAVKLGTQIQPSYRIFSLEELKEATKSFERSAFLGEGAIGKLYKGKLENGTLIAIRCLALHQRYSIRNLKLRLDLLAKLRHPNLVCLLGHCIDSAVDESSVKRVFLVYEYVPGGTLSSYLSGSSPEKTLKWCDRLQLLIAIAKAVHFLHTGIIPGSLYNRLKSSSILLDEHLVSKLSDYGLSIITEEIYKHEVVEEKRYLQNNAAETEDSEDDVYSFGCILLEALMGPKLHEKGGPFVLNDLVASISCQEEREEVLDSVVIGTSSQDSLSIVVSIMIKCLSAQSSTRPSIEEVLWNLQYAAQVQVTTDGDQRSEVSSQAC >KQK93758 pep chromosome:Setaria_italica_v2.0:VIII:4044467:4046020:1 gene:SETIT_027508mg transcript:KQK93758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASSSFILVQLLAISLSVLVTGVASASSSSPGQSTTPNINGSDTDLAALLAFKDQLSDPLGVLARSWTTNVSFCRWKGVSCSQPQQRVTALSLTSVPLQGELSPHLGNLSFLMQLDLENTSLSGTIPADLGRLSQLWKLDLYGNGLSGSIPSTMFNMSLLQVMSLGKNNLTGSIPSNQSFSLPVLRKLILEFNNFEGPIPQELSACQHLQILSLAYNSFFGTVPTWLGQLSQLQIIFMGKNHLGGSIPAVLSNLTSLVQLDLGFCNLTGHIPTELGLMRELSYLHLGNNQLAGSIPASFANLSKMSDLILQINQLSGSVPATLGNIRALNTLELSVNNLNGDLDFLSSPSNCRQLQVLDISYNSFSGELPDHVGNLSTKLHQFYASNNKITGVLPSTLANLSGLEMVTLRNNLLTGAIPELITLMQNLVYLDVSDNDLSGPIPTQIGMMKSLQRVSLYGNKLFGSIPNSIGNLTKLEYLDVSNNHLNSTIPASLFHLDKLVRLNLSNNYFSGALP >KQK95080 pep chromosome:Setaria_italica_v2.0:VIII:30595627:30597446:1 gene:SETIT_027562mg transcript:KQK95080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEDRRRCSGSEDRISGLPDELLHAILVRLYSTRAAARSSVLSRRWRHVWAHLPELFLGGSRDAPPPLASLPDAVDAALAAYSATAAPLERLHITLRRGDGGHPVQASRAASWLRFASRHVVGELRLFVPAPGVDGEEEEVLELPACHRAKSVRLTIHDSWRLRPQPAGLFAALTSLRIDYGRMEASELTDLVCKRCPQLRDLNLSVDLVDVSDVSVLSGSLQSLLFFVLNIRRLEVVAPRLEELLVYHASEAHITSPKLAELVWNGEDYDPRLHKFDDVGRHLRLLEIGQISGAASLMRQFDEVDKLKLQISIPWAHSCPLSCPCRLAESGRVDGIALSFLEEVKLHNFASSSDELEFVEELSRCNAAVLKKLVINYAYGPATPLTMAICEKVRSMWRPNVSVEFYVFLDGRLVRFD >KQK93819 pep chromosome:Setaria_italica_v2.0:VIII:4693974:4695640:1 gene:SETIT_027873mg transcript:KQK93819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTPTGDEGGYSMDILLELEETTPKTDYLDANKYQEGLTPNKLKDVDMSQQVLITNETNESEDAFKIPEAAKKISVESGREELVLINDVLLFLPINIKDTHWYLAVVNARRRKIHGLRMQMQYTLQCTGLKDHAWPDVNVDTWDVVEESMVKFRTKMAATLLSTIFNERLGKPLLRNEDENIGSLSDFAEIIEPKEFQQIKQKRKSTNNHENALKPKKIDTEIDSDKQDVLLYYKD >KQK95186 pep chromosome:Setaria_italica_v2.0:VIII:31738715:31739622:-1 gene:SETIT_0270032mg transcript:KQK95186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLIVMQRHGYIGEFEYVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIEGWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >KQK93929 pep chromosome:Setaria_italica_v2.0:VIII:6230216:6231531:-1 gene:SETIT_026822mg transcript:KQK93929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPEDSETQEFTVMNEEDLEEYKIKQLGDRNDLRGTLRIFGLENVGSKEEALEANLAAKERLTVLELCWERDSRRPNAEAEAQVIEGLCPPVQLQHLEIWDFHGSRYPSWIVGAQSGGPTELRRLFFWRCSQLGPAPKLENFLHLQLLWLDDCSWDALPDNMERLSSLKKLFIIACLNIRWLPALPPSLEELNVMSCDAAFTRSCQTTGHPNWQKIEHIPKKMISC >KQK93930 pep chromosome:Setaria_italica_v2.0:VIII:6230129:6231531:-1 gene:SETIT_026822mg transcript:KQK93930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPEDSETQEFTVMNEEDLEEYKIKQLGDRNDLRGTLRIFGLENVGSKEEALEANLAAKERLTVLELCWERDSRRPNAEAEAQVIEGLCPPVQLQHLEIWDFHGSRYPSWIVGAQSGGPTELRRLFFWRCSQLGPAPKLENFLHLQLLWLDDCSWDALPDNMERLSSLKKLFIIACLNIRWLPALPPSLEELNVMSCDAAFTRSCQTTGHPNWQKIEHIPKKMISC >KQK94859 pep chromosome:Setaria_italica_v2.0:VIII:28042372:28051413:-1 gene:SETIT_026019mg transcript:KQK94859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAADDVRELLLSTTADASDPSTPLSAPDLRLLIDRLRLRSDRLHASALSFAASHRGALASSLARAASAAASAASHESSLDSALAPLASSPDLSDLKALADRLLAARQELAERQEHLAAASTIASLAARLREARAAANPLDTAAAAAELKPLLIDPERSGSSQDDPVVFGLLRNDWEQLVDELQVGLAKNVEECMEFAAEGGKVVVSATPRGCSSQTHDVELPVALQALEIIDALDYGMAKMADLMMKHILVPAISNTNVAVSVEVFEEGGPKHSVSVLSIVPSQELKDNKDGSNLYSRIIDAIKFICKFICMENSKWVQSFAKLTWPRISDLVITHFLSKAVPNEASKLIEFQDVVRSTAEFENKLRSMMFLLPDRKDGKLTQFVDDVEVHFAVRKRSEILVKARNILVQYDYDNPLESGDRGDSVVDLLFLPEKCFTSKSALLLMELVHGALKDASLSSARVAKEFCFAARDVLLLYKAIVPVQLEKQLHSISQVAAIVHNDFYHLSQEILGLAFQYRADFPIDLQKQVVFVDLAPIFSHMADVVLRRQIQLAIDTISEAIDGADGFQNTHQPQHYESAKFSIEQAVFILEKIRIMWESILPKSTYRKSMCHVLGSVFSRITRDMLLIDDMAAEETLQLQGLIHLALENLSSLFLSLVENGDGSTKFLDHDTWIQLDGIVPSLKKFRKLAELLDMSLKSITAAWESGDLVSCGFTSSEVQNFIKAIFADSPLRKECLGWIVRTPA >KQK94391 pep chromosome:Setaria_italica_v2.0:VIII:14398611:14400386:1 gene:SETIT_026538mg transcript:KQK94391 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQATPIVVPTDAELLQAQADLWRHSLYYLKSMALKCAVELGIPTAIYRLGGSASIPDLITALSLPVAKQQFLSRLMRLLASSGVFSVVDSTEATYSLTPLSYLLVDGIEADDHINHAPFLLTVTATHYIDLAMGLAHWFKQDAKKPPFDHVHGASLFEESMERKHPEFHKMAMQGLIVHDNFGTNIALRELSDIYQGINSLTDCCYHGDGMTAKAIVKAFPHMKVTVLDLPQEIRKIPTDGVVNYVGGDMFKSIPRAQVVLLKMVLHHWSDEDCVKILANCKKAIASREEGGKVLIGDIVLDPASEPVMFETHLLMDVCMMLMKGGRQRDLNDWRDIFIKAGFSDFKLVKKFGARGVLEAYP >KQK94392 pep chromosome:Setaria_italica_v2.0:VIII:14398611:14403594:1 gene:SETIT_026538mg transcript:KQK94392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQATPIVVPTDAELLQAQADLWRHSLYYLKSMALKCAVELGIPTAIYRLGGSASIPDLITALSLPVAKQQFLSRLMRLLASSGVFSVVDSTEATYSLTPLSYLLVDGIEADDHINHAPFLLTVTATHYIDLAMGLAHWFKQDAKKPPFDHVHGASLFEESMERKHPEFHKMAMQGLIVHDNFGTNIALRELSDIYQGINSLTDCCYHGDGMTAKAIVKAFPHMKVTVLDLPQEIRKIPTDGVVNYVGGDMFKSIPRAQVVLLKMVLHHWSDEDCVKILANCKKAIASREEGGKVLIGDIVLDPASEPVMFETQLLMDVCMMLMKGGRQRDLNDWRDIFIKAGFSDFKLVKKFGARGVLEAYP >KQK94393 pep chromosome:Setaria_italica_v2.0:VIII:14401921:14403594:1 gene:SETIT_026538mg transcript:KQK94393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATQATPIVVPTDAELLQAQADLWRHSLYYLKSMALKCAVELGIPTAIYRLGGSASIPDLITALSLPVAKQQFLSRLMRLLASSGVFSVVDSTEATYSLTPLSYLLVDGIEADDHINHAPFLLTVTATHYIDLAMGLAHWFKQDAKKPPFDHVHGASLFEESMERKHPEFHKMAMQGLIVHDNFGTNIALREFSDIFQGINSLTDCCYHGDGMTAKAIAKAFPHMKVTVLDLPQEIRKIPTDGVVNYVGGDMFKSIPRAQVVMLKMVLHHWSDEDCVKILANCKKAIASREEGGKVLIGDIVLDPASEPVMFETQLLMDVCMMLMKGGRQRDLNDWRDIFIKAGFSDFKLVKKFGARGVLEAYP >KQK95893 pep chromosome:Setaria_italica_v2.0:VIII:39324346:39324988:-1 gene:SETIT_027693mg transcript:KQK95893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDHTEERQEGSPWTMATKPCAVGFGGPESEIVQRLIDDEKELKVVSVLGPGGLGKTTLAREVFKKQRSQFDCGAIVYVGRYPSLDDT >KQK95013 pep chromosome:Setaria_italica_v2.0:VIII:29894378:29898991:-1 gene:SETIT_026471mg transcript:KQK95013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETDEKAKMESLTSPAAFVEGGIQDACDDACSICLEAFCESDPSALTSCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPTSQELLEAVERERNIRTNQTRNTTIFHHPALGDFELQHLPVVGNDAELEERILQHLAAAAAMGRAHHLGRREGHRGRSGSHGRPQFLVFSAHPNSPSAGTISSSSAHVDGDNDSNPVTPRASESSPRAIRIGEAGNQSPGMLTYDAEQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPDRAGPSDVQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGSGRTGDSPTASTSEVPPTESSNERATDSISATSTTATSTSTSNTPTPCVTTSGSN >KQK95012 pep chromosome:Setaria_italica_v2.0:VIII:29894936:29897271:-1 gene:SETIT_026471mg transcript:KQK95012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASETDEKAKMESLTSPAAFVEGGIQDACDDACSICLEAFCESDPSALTSCKHEFHLQCILEWCQRSSQCPMCWQAISMKDPTSQELLEAVERERNIRTNQTRNTTIFHHPALGDFELQHLPVVGNDAELEERILQHLAAAAAMGRAHHLGRREGHRGRSGSHGRPQFLVFSAHPNSPSAGTISSSSAHVDGDNDSNPVTPRASESSPRAIRIGEAGNQSPGMLTYDAEQDAVVSSGNSTPVSSPRFFNRRHSTGQSTPDRAGPSDVQSFSDSLKSRLNAVSMKYKESITKSTRGWKERLFSRNSSVADLGSEVRREVNAGIASVSRMMERLETRGSGRTGDSPTASTSEVPPTESSNERATDSISATSTTATSTSTSNTPTPCVTTSGSN >KQK95259 pep chromosome:Setaria_italica_v2.0:VIII:32726102:32727817:1 gene:SETIT_027469mg transcript:KQK95259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIILRNQACWLADRLGIEEAALGTNPEFHSLRGILAWMHSYFSYLHACPRHLKKCMLYLSVFPQDSIIRRMRLVRRWIAEGYSKGTDSISMEKYAEKLFDEVAALSIMQPVLKASKVIGYRVNGFFREYIISRPVEERVFFPVEVSALERGHGRLTTEGIGQHLAVGTFWNADRVVFEGLDFSRLRSLTVFGKFWPWYVSDRMRVLRVLDLENAIGGNSAFEEIVKLSRLKFLSLRGQRTVSRLPDSVGELVQLQTLDIRDTSIVALPPCITRLQKLQYIRAGTTIAWTQDDSLSAGEHSTPLSRRSRLLARFSRRGPDGSCRNGVEVPRGIARLKALQTLGAIDVNTAGDATLREIASLVFRQLKKLEVSGINRKTRALFNGNLDEAQHLESLSLQFEKNDHFVHWNYIRFPRGLRSLKMHGHVEQLPPDIKYRNNLLKLTLEKTTLFTTGDIKVIESLPSLRTLRLRVNKDQDGELQFHSGLFSKLEVLEIACKSKLSVWFDNGAMEKLEQLKIHCLQGSEMQFSGLEHLVSLKQVWLIGFFGDALKVALKQQLHKHLKIPAPKLQVLY >KQK93217 pep chromosome:Setaria_italica_v2.0:VIII:13834:14902:-1 gene:SETIT_027973mg transcript:KQK93217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPFGPPHGILARPKATVPGPLPQPVGGTAYLARGPATAGPAWLAARPVLQNPINPTAASRLGGSPAPSRVAVRRSPSPIRGPPPSALRPPRSALRASACPRRPPRLPLPSAGRPARRRLPLPPAAWLESNAPLVRRIIYIRTGVGSSSRSLCVDTARRMSGEDELLAGGGVPIRPPRLEDAGLEDCALPLESIAEAFSLAAAAVSSRLARFPPSDDSDEEDEEGRVLAPRGGSCVDDAGPARGAVPDADALVVGDGGGGADEVVVVGGGRGGGCEDAVVVGGRGEERDGVVVVGEGSGEKELGKEGGCVEGVLEGVSESGRAHGDEKDDEEVAEKAILVLDFE >KQK93509 pep chromosome:Setaria_italica_v2.0:VIII:1595652:1598821:-1 gene:SETIT_026579mg transcript:KQK93509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSAMAARPVTVASSSPLLRLPAQAASAASGTSSSPVVRGLKSEAAEKRSLLRRCPMLLTSVAVGSLVAAGAANATELLDPMHGSSGLLLADLGIGDWFGGLLFSAGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKGQAEVVGNSIAFSLGLATTLAILGVAASFAGKAYGQVGQGLPVAASGLAIVMGLNLLEVVELQLPSFFSDFDPRTAAANLPSSLQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPIVGGSLLLTYTTGYVAPLLIAASFAGVLQSLLSFRRYSAWINPISGAFLLGGGVYTLLDRVFPATSMVM >KQK93724 pep chromosome:Setaria_italica_v2.0:VIII:3599479:3601235:1 gene:SETIT_028157mg transcript:KQK93724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRCRQLRLPPPRPRQPHDENLLAPPLNTTVLLQTYRRELRKVRSLSSDGFTAAPPPEAKTTLAPALPSDLVLEIVSRSDVATLLRCAACCKPLRRDILRPAFIRRVCHGPAAAVPPRLLCFLQSRDVFFRLVASRGFPPPPPFSLLHPATPAAAYLFEQHLAPFLSHGGADILARYEPVTSRGGLVVLRRRHDTDVPQESGICVYDPMTGGRAYSPYGGLCTYVLLTASDGIGCSFLLAADFKMLKLMHPTSSFKVLTVSPDAAAGSGKWSPVTSVTSHHRSHGSSPHPFCSAVVLGGSIHWVMHGGADHLHVLTYHVRTATAGSIELPMDSLPRSYRETNDSSLRRRRRRTGSSRC >KQK94782 pep chromosome:Setaria_italica_v2.0:VIII:26858842:26860142:-1 gene:SETIT_026665mg transcript:KQK94782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLEVSSLAALEKEIDLWSGGQRPRVVVRVPHVGDLFEAAPLLHRLNKQVYDSRFLWKVDDGALALLLQASEYYQKLDLLELHLDLMRLDIVEGISMKKIRFDGVRQTANEVVIHAHPASIIEVLDSITIEGQRIARVANLLGFGGAGLEETKLMDDDLEWLLEDVFEAGEVGDVEAVYFEEDMVSVEDREAGLPVGSGDEGALSNRLDALHLGVDQRGVFYPVVGKGKILTGLADQDNALHLASGLEAGEHNLGDDIGQVNPVAKDGAHHLGHINSKINPEAKEDAIKGVLLQKISRLQLVLQRVKRGPFFV >KQK94003 pep chromosome:Setaria_italica_v2.0:VIII:7397302:7397884:1 gene:SETIT_027137mg transcript:KQK94003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISPGFPLLSSGSGSRWETKTPLSFSLTETTVSSLDASSRDQAVFDIIQAVQIEDQCADSSIIRISQYISSTIKQCYEHKFK >KQK95508 pep chromosome:Setaria_italica_v2.0:VIII:35145874:35147060:-1 gene:SETIT_027936mg transcript:KQK95508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSAVSAALPLLLLVAAAAFAAAPSPASTVGSHCTPRCGNISIPYPFGVEPGCYRPGFDVTCSKDVPPKLLLRNASEVIDINLPNGTVDVYVDRVDQSLPVPQAYNSIGNWDVVGVFIDSGPFTLAPGRNKLLVFGCDVQVLLMGSDEADIISTCAAFCSRVFRNQYQVASEDCSGIGCCQAPIPAGLNAYLLQFRQFNGSRSSDHATVFVVDAERLSSFPMDSVSWRALPVVLEWVISNSTCQSNSTSPECRSSNSFCQNSTAFRGTGGHRCHCAQGYDGNPYVLDGCKDIDECKYPEVYPCFGDCNNTVGGYLCKCPLGFVGDASTPTGCKGIDREFKMQIKISVAATYLFATTGNWNFPDG >KQK94842 pep chromosome:Setaria_italica_v2.0:VIII:27926073:27928185:1 gene:SETIT_026358mg transcript:KQK94842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAASSRAWVVDVEKTLEEADASLEVYLWQRHSIYRVPACIKDLKPKAYRPQVVSLGPFHHGDKELQPMEEHKQRALRHLLRRARRPLEAFAAAVEEVAEQLESAYMDLGDQWRGEEGRREKFLEMMIVDGCFLLEVMRAAGRDGKNTGDYAPNDPIFSHHGVLYMVPYIRRDMLMLENQLPLLLLQKLVAVEGGNPPNDDVINRMVLKFMSPSSRQPPAGTSLGLHPLDVCRRSMLYGPYQATRDPKDVVAEADIIRSAVELYEAGIRFKKSHSASLHDIRFRHGVLRMPAVTVDDSTEYMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSTSGIIQNAVGSDKAVAQLFNSISKDVVLEPESALDAVHRQVNAYCGKPWNMWRANLIHTYFRSPWAFMSLAAAVFLLVMTIMQTVYTVLPYYQQDQANNSSPAAPAPM >KQK93912 pep chromosome:Setaria_italica_v2.0:VIII:5926504:5930191:1 gene:SETIT_027867mg transcript:KQK93912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEPSRTMSQDNDGEAAGVVVNSHGDHEHDNGTIRSRADADTEEDEERGGGQESFFQCLDGQEQPSGMDDARVEFPSDDEDGGGDGDDARFSFATAVGDGDRLLEEQSELDLDGEEEEDTSRYDYGTWMAAEPMSIQERRRRLLQGMGLTSSRDLLRSRNARAARLPPDIPRSAPRRPHLPPSAAADDAPSTASPAPTAVGPPRQPSGSALSRSRSDSRLAVRGGGARKPPPSLRRVCSLPHSLHSPSVSRALRAAARRPLPLAASSKDEGIGDAGDKSGGVPTKGQDSGKEFTANGKLNGAAQRSVPLSMDEFERFVGSTPFVKQFIRRSRSQPVPAGAANKGGEKPVAEKKRTRWLKNIKLVASAAGLSRNEKDKDGDQGGGRSARTMPPAAAAMSKSASVHAAVSMSPATTGPERLKVHHYGKSSKELTGLYMRQEVRAHEGSIWSIKFSPDGRFLASGGEDHVVRVWEVVDVHADASTDPTSARELSAASLPLHPPPQASTDAGGRPAAAPGLAAQLSRRMRRGRSGKDVLLEHVVVPESAFALAERPACAFEGHQDDVLDLSWSKSQFNPVDDGYFISGSLDCKVRIWSVPDRQVVDWSDVSDMVTAACYTPDGQFAPGNPSEILVTSADSQIRVFNGITVLQKFKGFKNTSSQISASYTADGRYVVCASEDSNVYVWRRVPVGSGGGGGGGGGGGAGGGIGVRAKTWLTSRSYEYFFCRDVSVAVPWPGSPSFRCDAQEPPSSRSGGTPKKQSSARGAGDDDDAGGVSDVQPRRHKSGPMGYPGGQQLQPELSRRQSSARWHGGAEGGNAWGMVVVTASRGGEIRVYQNFGLPLGNLFH >KQK94472 pep chromosome:Setaria_italica_v2.0:VIII:18653713:18654906:-1 gene:SETIT_028350mg transcript:KQK94472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VIAMIVGVSDVTHIRVGSNSVDTPSRVIGLKDLRYVAFYVEAVHLFGQEHAVIGIFVGTLVKSYRGYEETLSSGSNWKWYLDEDIAEIDKFCERYWIIDEQVDDHHRSGQLSQRSVSELRALETWEVEAISFLCTVKVVRINNAQPWWFSSCARFFKASPPYGSEYRCSGGCASTTAIPKYRLCLICSDGTTAAKFVLFGRVAQQVVGKPVMSLMKSDGIPKEIAAIVSCFFVSFR >KQK94810 pep chromosome:Setaria_italica_v2.0:VIII:27413241:27414107:-1 gene:SETIT_027158mg transcript:KQK94810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLRRLSGGASDEDGVEDILGCVRHISLYQGVPHLFNTVFPFGKKNRASKNVGPQRLVCILTINISSANCMVLSRFL >KQK95516 pep chromosome:Setaria_italica_v2.0:VIII:35248852:35250443:1 gene:SETIT_027759mg transcript:KQK95516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLARLYEHASPESLALLFFLLLVAVHLATPRSRTEKLLRKLPSPPFKLPIIGHLHLIGSLPHHSLRDLAKRHGPDVMLLRLGAVPTLVVSSPRSAKAVLCTHGHVFASRPRSAVADVLFYGCTDVAFAPYGEYWRQARKVITTHLLTAAKVRSNRAAREQEVQLVLAKVTAAAAMGMAVDVSELFSFFANDILCQAVAGRLPREQGRNQLFRELLETNAKLLGGFNLDDYFPSLARFDLVSAKAVKHRKIWDDLLGSLIDKHKSKTVDGEDEEDFIDVLLSVQQEYGLTRDNVKAILMDMFGAGTDTTYIALDYAMAELMRNPKAMTKLQAEVRGCATKGKELVTEQNLSSMSYLKAVMQESMRLHAPGALLIPHLSMAECDVEGYTIPSGTRVIVNAWALGRDTTYWESAEEFMPERFMEEAVDAASNFQGNDFRFLPFGSGRRMCPGINFTTATFEIILANLIYHFNWELPPGSTGIDMTELYGVDVRRKKKLLLIPRSA >KQK94728 pep chromosome:Setaria_italica_v2.0:VIII:25781941:25785639:1 gene:SETIT_0258791mg transcript:KQK94728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFEGTCARLHTCFENLKPLYPENGSPMPILDPLVHQAFIGIDTLTTVANSYNLPRKEQNKTMLLKLLFHIKNRYSSILTLDQRDELDSRVRQLVFEDKDNVNDPSTSGSAPSGQVSSGRLPYESGAANSFSGSSLPRLEIPAKRISPLLDLHADYDENSLPSPTRDNAPPFPVPKPIGFGAFPMVPERPSFPERESGRNSIYPSLNDPLKAVSSYQQKYGQKSVFPSDDLPSPTPSGDDGKSADKGGDIFGEISSFPAPKKTALPSTSQMPASQPNTTSGSNISYAGGPPGYGKQAEQLAAGPNHALKATSKSRDPRLRFLNRDSAGATDANQRVNFSDLKDGNLGAGVPTINRKHKAVDEPQVDENVLKRFRLGAGDPRNMLVPTGNPNQLMTNMRAPPNSSGATTPFLHPTQSSAPQISAPPAVSLPSSLLKDIAGNPTVLMNWIKMEQQKMSASEPQQVAMSSGTISIGIAGTVLPPGSAPKTTEAAQVPSVRPQVLMQTPPLNSQNDTGILRMKPRDPRRILHNSIAQKTDAVGLEQHKSNGTKPDSQGTKDQTTSMVSQPALVSGIARPFTMSTKHVDPVSNSQLAATALMAPTQQASSSLTRVDPRLAVEQNGHNAYAANAPATPLEAVQPVNPWGDVDHLLDGYDDQQKALIQKERARRITEQHKMFSARKLCLVLDLDHTLLNSAKFIEVDSVHEEILRKKEEQDRSMPERHLYRFHHMNMWTKLRPGIWNFLDKASKLFELHLYTMGNKLYATEMAKVLDPTGTLFAGRVISRGDDGDPFDSDERLPKSKDLDGVLGMESAVVIIDDSVRVWPHNRHNLIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHHNFFSHPKLNEADVRTILSDEQKRILAGCHIVFSRVFPVGDTKPHLHPLWQTAEQFGAVCTNLIDEKVTHVVANSLGTDKVNWALSTGRFVVHPGW >KQK94730 pep chromosome:Setaria_italica_v2.0:VIII:25781941:25786500:1 gene:SETIT_0258791mg transcript:KQK94730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFEGTCARLHTCFENLKPLYPENGSPMPILDPLVHQAFIGIDTLTTVANSYNLPRKEQNKTMLLKLLFHIKNRYSSILTLDQRDELDSRVRQLVFEDKDNVNDPSTSGSAPSGQVSSGRLPYESGAANSFSGSSLPRLEIPAKRISPLLDLHADYDENSLPSPTRDNAPPFPVPKPIGFGAFPMVPERPSFPERESGRNSIYPSLNDPLKAVSSYQQKYGQKSVFPSDDLPSPTPSGDDGKSADKGGDIFGEISSFPAPKKTALPSTSQMPASQPNTTSGSNISYAGGPPGYGKQAEQLAAGPNHALKATSKSRDPRLRFLNRDSAGATDANQRVNFSDLKDGNLGAGVPTINRKHKAVDEPQVDENVLKRFRLGAGDPRNMLVPTGNPNQLMTNMRAPPNSSGATTPFLHPTQSSAPQISAPPAVSLPSSLLKDIAGNPTVLMNWIKMEQQKMSASEPQQVAMSSGTISIGIAGTVLPPGSAPKTTEAAQVPSVRPQVLMQTPPLNSQNDTGILRMKPRDPRRILHNSIAQKTDAVGLEQHKSNGTKPDSQGTKDQTTSMVSQPALVSGIARPFTMSTKHVDPVSNSQLAATALMAPTQQASSSLTRVDPRLAVEQNGHNAYAANAPATPLEAVQPVNPWGDVDHLLDGYDDQQKALIQKERARRITEQHKMFSARKLCLVLDLDHTLLNSAKFIEVDSVHEEILRKKEEQDRSMPERHLYRFHHMNMWTKLRPGIWNFLDKASKLFELHLYTMGNKLYATEMAKVLDPTGTLFAGRVISRGDDGDPFDSDERLPKSKDLDGVLGMESAVVIIDDSVRVWPHNRHNLIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHHNFFSHPKLNEADVRTILSDEQKRILAGCHIVFSRVFPVGDTKPHLHPLWQTAEQFGAVCTNLIDEKVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRANELDFLVK >KQK94729 pep chromosome:Setaria_italica_v2.0:VIII:25781941:25786500:1 gene:SETIT_0258791mg transcript:KQK94729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SFEGTCARLHTCFENLKPLYPENGSPMPILDPLVHQAFIGIDTLTTVANSYNLPRKEQNKTMLLKLLFHIKNRYSSILTLDQRDELDSRVRQLVFEDKDNVNDPSTSGSAPSGQVSSGRLPYESGAANSFSGSSLPRLEIPAKRISPLLDLHADYDENSLPSPTRDNAPPFPVPKPIGFGAFPMVPERPSFPERESGRNSIYPSLNDPLKAVSSYQQKYGQKSVFPSDDLPSPTPSGDDGKSADKGGDIFGEISSFPAPKKTALPSTSQMPASQPNTTSGSNISYAGGPPGYGKQAEQLAAGPNHALKATSKSRDPRLRFLNRDSAGATDANQRVNFSDLKDGNLGAGVPTINRKHKAVDEPQVDENVLKRFRLGAGDPRNMLVPTGNPNQLMTNMRAPPNSSGATTPFLHPTQSSAPQISAPPAVSLPSSLLKDIAGNPTVLMNWIKMEQQKMSASEPQQVAMSSGTISIGIAGTVLPPGSAPKTTEAAQVPSVRPQVLMQTPPLNSQNDTGILRMKPRDPRRILHNSIAQKTDAVGLEQHKSNGTKPDSQGTKDQTTSMVSQPALVSGIARPFTMSTKHVDPVSNSQLAATALMAPTQQASSSLTRVDPRLAVEQNGHNAYAANAPATPLEAVQPVNPWGDVDHLLDGYDDQQKALIQKERARRITEQHKMFSARKLCLVLDLDHTLLNSAKFIEVDSVHEEILRKKEEQDRSMPERHLYRFHHMNMWTKLRPGIWNFLDKASKLFELHLYTMGNKLYATEMAKVLDPTGTLFAGRVISRGDDGDPFDSDERLPKSKDLDGVLGMESAVVIIDDSVRVWPHNRHNLIVVERYTYFPCSRRQFGLPGPSLLEIDRDERPEDGTLASSLAVIERIHHNFFSHPKLNEADVRTILSDEQKRILAGCHIVFSRVFPVGDTKPHLHPLWQTAEQFGAVCTNLIDEKGRSFSSAVSARQ >KQK93388 pep chromosome:Setaria_italica_v2.0:VIII:886900:888891:-1 gene:SETIT_026617mg transcript:KQK93388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIMATRAASAARCSSSVIALLLLLVVMAGTSSSSAQLSTGFYSYSCPGVYDAVKSVVQAAIAREQRMGASILRLFFHDCFVQGCDASLLLDDTSSFQGEKMATPNNGSVRGFEVIDAIKSAVEKVCPGVVSCADILAIAARDSVVILGGPNWDVKVGRRDSTTASFSGANNNIPPPTSGLANLTSLFAAQGLSQKDMVALSGAHTIGQARCTNFRAHVYNDTNIDGAFARTRQSACPRTSGSGDNNLAPLDLQTPTAFENNYYKNLVCKKGLLHSDQELFNGGSTDAQVQSYVSSQSAFFADFVTGMIKMGGITPLTGSNGEIRKNCRRIN >KQK94028 pep chromosome:Setaria_italica_v2.0:VIII:7923365:7923851:1 gene:SETIT_027229mg transcript:KQK94028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSASANISIFEYTNSVEILKQQIKTYILIQQGSVYFQVGNSRPPIFQLKWRMYLHVRI >KQK93765 pep chromosome:Setaria_italica_v2.0:VIII:4152631:4152894:-1 gene:SETIT_028524mg transcript:KQK93765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIEYHVDPVHNVIIVSNGCCNVNCINNVTP >KQK93796 pep chromosome:Setaria_italica_v2.0:VIII:4420494:4423702:-1 gene:SETIT_027961mg transcript:KQK93796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIVNFLLVLMAAFGTWQVAICTSLYGNVTDRLSLIAFKEEAISLDPTKALVSWNDSTHFCNWEGLIGQISPSLGNLTFLRRLVLSKNAFTGEISLSITRLRRLQILILTNTLRGMIPNFANSSSLKNLQLANNVLVGQFPDLPYHLQHLELEYNGLAGTIPASLANITTLTTFSCEGNNIKGNIPDELGKLPGMKFLAAGENQLAGRFPRAVMNLSALTVLSLPVNRLSGVTPNNLGTSQPNLQTLLGNNFFGGYIPHSLTNASNLHLLDMSNNNFTGVVPSSIGQLTTLSFRNLEKNQLQARNRQGWEFMNSSANCTRLHHISLASNHLEGPVPKSLGSLSNQLQVLYLGKNKLSGGFPSGIENLPNLVALALHDNQFTGELPEWLGTLKGLQIMGLSRNNFTGFIPSSLSNLSRLVSLFLDSNKFGMLTKEIFGILTIIQTDLSSNNLDGQLPKEVGNAKQFVNIPNTLGNCESLQYVKLDRNIFSGTIPTSLGKLSTLQVLNLSRNNLTGPIPVPLGSLHLLEKLDLSFNHLKGEVPRQGIFRNVTALRIDGNQELCGGALELHLLAWKLFQDRTVVAVQVFSLETGGAQKSFITECNSLRNLRHLNLVPILTACSSSDSEGNDFKALLYSAQNNANTSTSNRTTLAQRLCIVVDVAGALEYLHHRSQGTIVHCDLKPRNILLDNNMTAHIGDFGLARFKVDSAASSFADSISASSIGIKGTIGYVAPEEEHDVSEETSSLECLLSVLKVGLSCANPSPNERMDMQRVAAGLHGIKEAYLRGTEVTLRS >KQK94285 pep chromosome:Setaria_italica_v2.0:VIII:12474321:12476189:-1 gene:SETIT_026427mg transcript:KQK94285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDYRKDNEGNHCTCTRATILGLGVAVPEHVWQQKSFPDYYFEITNSDHMVDLKDKFKNICKKALPSPFLWISRKPSISGSCFWSLRYFVAFTSRETTFHLATYVSVIFRPGTKKALVLGAGQRDRTGAEAARLAIADWGRQASDITHLVFCTTVSGCMPGADFELVKLLSLPPSTKRFMLYQAGCHGGGIALRLAKDLAENNRGARVLVVCSEVITMAMRGPSETHMGNLVGQAIFGDAAGAAIIGAHLTSVERPLFEMVSASQDIVPRTEEAVVSKLYEEGIVYTLHRDVAMHISSNIEQLVKAALERVGVDKDWNEEVFWVVHPGGREILDRIESALRLRKEKMEASREVMRQHGNTLSSCVIIVMEEMRRRSVERGLRTAGEGNEWGLLFGFGPGLTVETIVLRALPCQPAV >KQK93454 pep chromosome:Setaria_italica_v2.0:VIII:1220397:1222921:-1 gene:SETIT_027947mg transcript:KQK93454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGKRKTGDLHGRVKPSKELEESEDPDQIQMVVLEVVGGGDSDEDRADGDPLMQETEHLNPTGIVGDELDEGQGRSAYLVACHWDWSEYSKPYAVYKVDVATSYPSRVKWKRLHRVARLVTVAGGKTFTSVRSMHRVWIIGVGGDPGDTVIFDTKTKKVIHGPTLNSKKWCPVLTTVGDKVYALSKTPSWLSDPDFPPWFEVLDLSKAKVVTVDGCSHLEGCSWIELPHPPCFPWKLTPLDYMMLPVVVVKSYVLVDTYILVSFNQLWGTYAFDTNSVDWHKVDNQLLPFIGRATPHGSLFLGLSKHNGPINAYRINVTTPDKDHAPNLSITVLPVKYMDNEVDAGPCFFSLEDGCFCSFSFSLDSCSITLHPKKRELFPKEAHLNLRTYQTENPSSLEVQEETLLAVNPESD >KQK95576 pep chromosome:Setaria_italica_v2.0:VIII:35752993:35756394:-1 gene:SETIT_026502mg transcript:KQK95576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKSRPLWELHILDFPTSEAASAAVFRINHALGDGTSLISLLLACTRSATDPKTLPVMPSAMPPPARLKGRRRVYGASPPPARSAGAMAFVAWVLSCVLLAWHTIVDVVSFAALALDLVRDPRTVFTAVKGVEFRRKRLVSRGLSLDDVKHVKNVLGCTVNDVLVGVTSAALSRYYFRKSGDDATKKDVCLRSLLMVNVRSTPGIQELAQMMEPSKHNGVKWGNPVGQIILPFYIAMYDDPLEYVRKAKKVVDRKKHSLEVIVTHGIGKRGTELFGTKVSGAIFHRMVSNTTVAFSNMIGPVEQVEFYGHRVVYIAPSVCGNTSALTIHWQSYADTIRVVLAVDDSQFPDCHHLLDDFAESLKLIREAASAHYQEAGNS >KQK94498 pep chromosome:Setaria_italica_v2.0:VIII:19802457:19807887:-1 gene:SETIT_026694mg transcript:KQK94498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLTRAAKRAGEMAFNAGGGTVNWFPGHMAAASRAIRDRLKLADLVIEVRDARIPLSSANEDLQPVLSAKRRILALSKKDLANPNIMNRWLNHFESCKKDCISINAHSSSSVNQLLGLAELKLKEAILKEPTLLIMVVGVPNVGKSALINSIHRIANSRFPVNDKIKRATVGPLPGVTQDIAGYKIASQPSIYVLDTPGVLVPSIPDMETGLKLALTGAVKDSVVGEERIAKYLLSILNIRKTPLHWERLLHRREELHEEICSLNENDSRDSLRRRRVNNSDAVYVQVLSLISKSNE >KQK95066 pep chromosome:Setaria_italica_v2.0:VIII:30432003:30437627:-1 gene:SETIT_026400mg transcript:KQK95066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVGIAGVGKITLAQKLYNDQRVKGNFKKHAWICISQQYSQVSSLLVEGNNFFLVLDDLWESDVWTNILRTQLNSAAQVIILTTTRHDTVAKAIGVEHMHRVELMSEEVGWELLLKSMNISDEKEVHNVQDKGMEIVRKCGGLPLAIRTMASVLAVKETTESEWQKILDNDAWSISQLPAELRGALYLSYDQLPQNLKQCFLYYALYPEDRTLRRDDLVRLWIAEGFIEKQNNQLLEDTAEEYFCELISRNLLLPDPRYAEPYTCKMHDLLRQLAQHLSREESISKLRRVSVVTCNDMISLDKHQLKVRTSINFCGKSLVVESSIFKRFPYIRVLDLSGSGVENIPDYIVSLIHLRLLNLNDTSITCLPESIGSLKNLEVLELKKCGAACFLSIHDTSFRTLAINSAKYCDSAKSQFGGTGSYMLFNSSK >KQK95067 pep chromosome:Setaria_italica_v2.0:VIII:30433700:30435968:-1 gene:SETIT_026400mg transcript:KQK95067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIVGIAGVGKITLAQKLYNDQRVKGNFKKHAWICISQQYSQVSSLLVEGNNFFLVLDDLWESDVWTNILRTQLNSAAQVIILTTTRHDTVAKAIGVEHMHRVELMSEEVGWELLLKSMNISDEKEVHNVQDKGMEIVRKCGGLPLAIRTMASVLAVKETTESEWQKILDNDAWSISQLPAELRGALYLSYDQLPQNLKQCFLYYALYPEDRTLRRDDLVRLWIAEGFIEKQNNQLLEDTAEEYFCELISRNLLLPDPRYAEPYTCKMHDLLRQLAQHLSREESISKLRRVSVVTCNDMISLDKHQLKVRTSINFCGKSLVVESSIFKRFPYIRVLDLSGSGVENIPDYIVSLIHLRLLNLNDTSITCLPESIGSLKNLEVLELKKCGAACFLSIHDTSFRTLAINSAKYCDSAKSQFGGTGSYMLFNSSK >KQK95840 pep chromosome:Setaria_italica_v2.0:VIII:38820443:38822606:1 gene:SETIT_027484mg transcript:KQK95840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVIGPLISMVKEKASSYLLDQYKVMEGMEEQRKTLERMLPAILQIIQDAEEKGASRRGVAAWLKHLKTAAYEANDVFDEFKYEALRREAKKKGHHSKLGVEVARLLVPTRNPIVFRYRMGKKLRKIVQTIEALVTEMNTFGFRHLQQAKPSRQWRQTDSIIIDSDRDILSRSRDREKKKIVGMLLDQASNMDLMVLPIVGMGGMGKTTFVQLIYNDPAIEKHFEFRRWCCVSDDFDASTIASNICQTNEKGREKSLQELQSIIIGKRYLIALADVWNRDAAKWGKLKTCLKQGGKGSAVLTTTCDAEVACIMTMGVAEAHNIENLSDKHLKEIVQSRAFSLQNPNIEEQDGILSGFVRRCVGSPLAAKAFGSMLSNRTSINEWKDVLAKNMKQCFAFCALFPKDYEIDVDLLIQLWMAHDFIPVQEDDNPETVGKYIFEELTQRSFFQEVRQTHPKCYYGSLRKSTICKIHDLMHDIALSVLGKECVTIVGKPSINKVLLNPTRHVFLSTRCSHFWKEQVTSLLDHLLKKQTAMVHTLFFTDYSDPLDISKYTSLRALHLPAYIFSCVGQEQLTRHIQHLRYLNLSSHEFEKLPEGQEQLTRHIQHLRYLNLPPSLKQLGICSCEKLCSVSGDLRALEDLHISDCNKLQSVNSLGDHPSLETLILSRCRCLASLGCDGGRGSYSALQS >KQK95446 pep chromosome:Setaria_italica_v2.0:VIII:34505956:34510374:-1 gene:SETIT_026655mg transcript:KQK95446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLNGRQLYGQPIKVNWAYTSTQREDTSGHFNIFVGDLCPEITDAALFAFFSGYSTCSDARVMWDQKTGRSRGFGFVSFRNQQDAQNAINDLNGKWLGNRQIRCNWATKGANAGEEKQSVDTKVDQTNGSSEAGQENPNEVGPENNPLFTTVYVGGLPHEASNNDVHLFFHSLGAGLIEEVRVTRDKGFGFVRYSTHEEAALAIQMGNGQLIGGRPIRCSWGNKPTPPGTASSPLPPPAPSPFLGGVSATDFLAYQRLALSKIAANPALMGQHALKQATLGMDTGASQAIYDGGFQGINAATAAAQQQQQQQQLMYF >KQK95972 pep chromosome:Setaria_italica_v2.0:VIII:40219226:40221620:1 gene:SETIT_028264mg transcript:KQK95972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYMSRGPPNSSVYVCNLPPGTDETMLAEYFGTIGLLKKDKRTGRPKIWIYRDKVTNEPKGDATVTYEDPHAASAAVDWFNNKDFHGSIIQVHIAESKSKDTFDSSTNLAITADLGRQDLDNGVGRGRGSGDGPGKAWQQDGDWMCPNTSCGNVNFAFRGVCNRCGAARPAGVGGATGGGVGRGRGRGSTDARGSSRAGAAAAVGGPPGLFGPNDWTCPMCGNINWAKRPKCNICNTSKPGTNEGGVRGGRGGGYKELDEEELEEVKKRRKEAEEDDGEIYDEFGNLKKKFRAKAQHTESAQTLPGSGRAGWEVEQRGSSEREGRERSRDRGRDDYDRGDHGRERLRSRSRDRDRERGRDRGRDHHHESRDCDRHRRHR >KQK95015 pep chromosome:Setaria_italica_v2.0:VIII:29901665:29906495:1 gene:SETIT_026148mg transcript:KQK95015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLAARVHAPLLLAPAVPAARPAALLRASSGPRGGVGTGVGVRQGALASERPPAVAVAEEAGEDAGGGEGEGPYDTIVIGSGIGGLVAATQLAAKGARVLVLEKYLIPGGSSGYYRRDGFTFDVGSSVMFGFSDKGNLNLITQALEAVGRKMEVLPDPSTVHFHLPGDLSVLVHRKYEDFINELISKFPHEKEGILKFYGICWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARQFIKDQELLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIATSLADGLVEKGSEIRYKANVTNVLLENGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKDKELPEEEKNFQKNYVKAPSFLSIHMGVKASVLPAGTDCHHFVLEDDWNNLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKEYEEKKEVVANEIIRRLEKKLFPGLQDSIVLKEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADVGLEQKSPVLDAGLLGLLRWLRTLA >KQK95016 pep chromosome:Setaria_italica_v2.0:VIII:29902480:29905761:1 gene:SETIT_026148mg transcript:KQK95016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNSANCHMLLANGGRHACVFTFRCSLLSNSGNLNLITQALEAVGRKMEVLPDPSTVHFHLPGDLSVLVHRKYEDFINELISKFPHEKEGILKFYGICWKIFNSLNSLELKSLEEPLYLFGQFFQKPLECLTLAYYLPQNAGDIARQFIKDQELLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGGIATSLADGLVEKGSEIRYKANVTNVLLENGKAVGVRLSNGKEFFAKTVISNATRWDTFGKLLKDKELPEEEKNFQKNYVKAPSFLSIHMGVKASVLPAGTDCHHFVLEDDWNNLEKPYGSIFLSIPTVLDPSLAPEGHHILHIFTTAGIEDWEGLSRKEYEEKKEVVANEIIRRLEKKLFPGLQDSIVLKEVGSPKTHRRFLARNDGTYGPMPRGKPKGLLAMPFNTTSIDGLYCVGDSCFPGQGVIAVAFSGIMCAHRVAADVGLEQKSPVLDAGLLGLLRWLRTLA >KQK95028 pep chromosome:Setaria_italica_v2.0:VIII:30028949:30030374:-1 gene:SETIT_027637mg transcript:KQK95028 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSRAERMNLSCLCNLLFRALANIFASSTCSLMKTLLQLDEFLHPSPVVAPTAGSELPWLPQDILMDIFALLETPGLVRAGSVCASWRAAYTSLCATEHCKLQRTPCLLYTSESMGERAMGLYSLAEKKAYTLTLPDPPIRTREVIGSSYGWIITADERSELQLVNPITGDQIALPSVTTIEQVEPIFDDAGTLCNYEYLWYTGEEWLYDKPSILDLSELRDMLFDKAFLSSDPSTGDYFVVLIHDPECQLSFARAGDNEWTWLPPHTCYEDCQFQGGLLYATTALGEIHAFDLCAPAVTMKIVLERVKWSASDRVYIVQAPSGELLQITRSNGKDYDSILELSTPPPNKTNRIKVHKVDLTSEKLVVEVGTLGENVLFIGRNQSLCLCAKEYPQLKPNHAYFTDDYFLDVTNKEIVSPQLWSNSPTPVWLVPNLRRMSLASHN >KQK93273 pep chromosome:Setaria_italica_v2.0:VIII:299597:301846:1 gene:SETIT_026753mg transcript:KQK93273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTKKSSEDVEDRRAQLCISLRTLSVASKTLGTARGNLEEANNLLSGENGCGCLKNITQKLRMRQQYMVTQVAWVYPVRPLDEKSPDHKTGYTPSIIKTSNAESILPDGSQKRPLAILGLQLPKPTAKKTGYFGDRTDFQKPSTVLGYVAHAVSLIASYLNVPLRYPLRFGGSRSYILDHSPLVEPSSMTSVVSSVSPSTSMRTMEFPLFFDGQETTRSAYAIFLLNKDIEQLLNYIGAESLGPRHVLANLKQLTTIIQSQQYISTD >KQK94122 pep chromosome:Setaria_italica_v2.0:VIII:9173648:9173923:1 gene:SETIT_027960mg transcript:KQK94122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIFLTCGKKFYTSQVLGGYENTHKSERSHELRHTMHGNDSGGAVEEEVRRGQEPRISALFMTPSSYNQIRASPEAGQKDLTDKIDLSLKL >KQK95442 pep chromosome:Setaria_italica_v2.0:VIII:34474954:34476267:1 gene:SETIT_028130mg transcript:KQK95442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHIEADVKARFKLSLLDQAGEPLLPYSHEFKVMRTFRSTQSSGSCDFLKRKELEMSPNLRDDSFRIRCDVTVSKGSTRTTTVQLPALPPSDLHQHLGNLLSSQVGADVVFDVGGETFTAHRYVLAARSPVFMAELYGTTKETTADRVRISDVEPEVFRAFLHFIYTDLLPEVDEAVIAQHLLAQIDLRARILCIHIDTNTAATTLALAEQHRCLLLKEACFKFMASPCNFKGVMASDGFEHMMRSCPSLLKELAANIAA >KQK94198 pep chromosome:Setaria_italica_v2.0:VIII:10782854:10788418:-1 gene:SETIT_025917mg transcript:KQK94198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDPGLLSAFVDNLVSRLFSLVEEKYKLYKGFEGDVTFLMRELPMITSAIDGQLLGQDDHILRLSVEELRHVAHEMEDCIDHIMYDASWDQQPWYCKYMKSGRKRKSRSQLGEEMQHLRYRLEEALQRQQRYSVSHSSSSQLAQSSWDQHVLPDDLVGIDAPLEELLEQLAEAEGQPKQLRVISIVGFCGLGKTILARELYNSEIGKQFEERAWVSGTHGDPGELLSEILRQLNKPDLVTSNVNQLSADLCNFLNNKRYFIVIDGMRSDQWSIVKSAFPRDVSSRIVVTTKIQSVANTCSSTHGYIHKMRRLDEKHSKQLFLRNACPGEYSDYLQPDSAAILNKCDGQPLALTTVGHFMRKKSWPTGQDCEDVCNKARFYDLQSGDDTLDRMHQVLTHDYASLPSHALKACFLYFAMFPSNHPVRAKRIKSQWIAEGFLQPTNLCNDPAAENFEKLMNQNIIQPINVSINTKVKTCKTYGMMHEFITLKSLCENFITLFDGGELQPNHARRISLHHNGITDEASFNIDLSLVRSLIVFGKAGKDMLNFNKYQLLRVLDLEDCTDLQNDHLREVCNLLLLKYLSLGGNVTSLPKEIKQLKLLETLDLRRTNVKILPTEVIQLPYLIHLFGKFKLRDKAMQDELQKFLASGKCKLQTLGGFLVDESEGFAELMGYMKKMRKVKIWCESSATSTKLTTLQKAIQEFIHDEKDASNDPRSLSLYFDGCSEDFLKDLKAPCYLRSLKLQGRLLELPGFVTALRRLRELYLQSTKMTADLLTALTNLTHLQYLKLIADELEEIHIKDKALPRLLGLCFVLQRPTFPKVEKGALPFLKSLQLLCKDMNGLCGIQINGFTRLSEVMLDCRVTDGTKANWVRAAKEHPNRPIVVLKRAIPPKVDHGGDSTAAGKTENEIVDCSVLSEEQVQETHTQMPHDETDSAFNNMGQQVVCAALTGSSIANNGRVAS >KQK95607 pep chromosome:Setaria_italica_v2.0:VIII:36347685:36351356:1 gene:SETIT_026109mg transcript:KQK95607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMESRSLPRAAATSMALAIAIVFLIYTTARLGDAASVEHTFMVSQVKMTHLCKETLVTVVNGQLPGPAIEVTEGDSVTVHVVNKSPYNITIHWHGVKQWLNCWADGVPMITQLPIQPNQNFTYRFNVIGQEGTLWWHAHVPFLRASLHGALIIRPRNGASSYPFPKPDREVPIIIADYWQLDLAQAARRMMHGFLFSFANASTINGKLGDIFNCSGVPEDNYVLDVVPGKTYLLRIINAALFAEFYLKIAGHKFTVVGADASYVSPYTTDVIAIAPGETVDALVVADAPPGRYYMVALPNQAPLPDTQTPEYTTRGIMQYSNTHSSADDPAGLISDRGVEEEEEDKGSSGDVPVAPEMPDIHDTITSYYFHSNLTNLYQTVVPQRVDERLFIVLSLGSICQHGQSCRRGDHNETILIATMNNVSFQDPTGKTPLLEAHYYHTGSVDLMQELPDRPPRAFNFTDEALIPYGPKEMRLEPSYKATVVRRFRHGAVVEMVFQSTAVLQGDSNPMHLHGHNMILLAQGFGNFDAAKDVAKYNLVNPPVKNTILVPNLGWAAIRFVANNPGVWFIHCHYEFHLTMGMAAVFIVEDGPTVDTSLPPPPAHFPTYCGHDDNLLPDELCLQTKKDA >KQK95608 pep chromosome:Setaria_italica_v2.0:VIII:36348717:36351356:1 gene:SETIT_026109mg transcript:KQK95608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHLCKETLVTVVNGQLPGPAIEVTEGDSVTVHVVNKSPYNITIHWHGVKQWLNCWADGVPMITQLPIQPNQNFTYRFNVIGQEGTLWWHAHVPFLRASLHGALIIRPRNGASSYPFPKPDREVPIIIADYWQLDLAQAARRMMHGFLFSFANASTINGKLGDIFNCSGVPEDNYVLDVVPGKTYLLRIINAALFAEFYLKIAGHKFTVVGADASYVSPYTTDVIAIAPGETVDALVVADAPPGRYYMVALPNQAPLPDTQTPEYTTRGIMQYSNTHSSADDPAGLISDRGVEEEEEDKGSSGDVPVAPEMPDIHDTITSYYFHSNLTNLYQTVVPQRVDERLFIVLSLGSICQHGQSCRRGDHNETILIATMNNVSFQDPTGKTPLLEAHYYHTGSVDLMQELPDRPPRAFNFTDEALIPYGPKEMRLEPSYKATVVRRFRHGAVVEMVFQSTAVLQGDSNPMHLHGHNMILLAQGFGNFDAAKDVAKYNLVNPPVKNTILVPNLGWAAIRFVANNPGVWFIHCHYEFHLTMGMAAVFIVEDGPTVDTSLPPPPAHFPTYCGHDDNLLPDELCLQTKKDA >KQK95870 pep chromosome:Setaria_italica_v2.0:VIII:39075582:39079145:-1 gene:SETIT_025909mg transcript:KQK95870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLLLLFSPAPTTAVAASFASGSCITAERDALISFKASITNDPGRWLRSWRGQDCCLWYGVRCSTRTGHVVKLDLRNNFVIDDYYGQHFYNGPADNVVHGLSGQITLDLSGNGLGGNMPIPEFMGYLKSLTTYLDLSNMNLSGQVPPQLGNLTKLKYLNINVSYSLYNQYSYASDVSWLANLHSLEHLDMSTVDLSAAVDWVHWVNTLPNLRVLDLSNCELNSSIPSLLHKNLTVLENLDLSLNSFQSPAAPNWYWDVTSLKSLNLAASGLLGPFPDELGNLTKLRNLAMESNEIQGMIPSTLNRMCSLQNIYLSQINIGGDIAHLMDRIPKCSLNSLQELFLDRTNITGTIIESVSNFTALSILDISYNHLSGSLPVEIGTLKNLTELIIPGNGFSGVISEEHFSGLTNLKYIDLTDTHLQVMVGSDWEPPFDLHTAYLSSCYLGQIPNWLRWQKSISYLNISDTGLIGTIPDWFWNTFSNATSLDLSYNQLSGKLPLDLEFMSSTVLLLQSNNLTGSVPRLPRSIYLLDISKNSLNGQLPSNFGGPNLQVAVLFSNRITGIIPDSVCRSPQLQILDLSNNLLTRGLPDCDREGLKQQNQSRSNSSRVGFGSAHSYSLKISALLLNNNCLSGGFPLFLKQCQDLGYLDLSQNRFSGKLPAWISDNMPKLVMLRLRSNYFSGHIPIETTRLSYLRIFDLANNTFSGVIPQSLANLQDLTTTEDVDPFNPFVELYQSGNFLYYMGMSGDSFSLATKGQVLQYNGNAVFFKSIDLSYNRLVGKIPEEIGSLLGLINLDLSSNFINGSIPYKICNLQGLESLDLSNNQLSGEIPWCLSNLTSLSYLNLSYNNLSGRIPSGHQLDTLRADDPTSMYIGNPGLCGRPLPKVCPGDQPPVQRDPVISHEDDKAQIDFHLGLIMGFLVGLWIIFCGLLFKKTWRYAYFSLFDKLYDVLCLFCSHLSTMLIPSLKQSVHSST >KQK94263 pep chromosome:Setaria_italica_v2.0:VIII:12069792:12072358:1 gene:SETIT_027786mg transcript:KQK94263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRIHHRRPRGCQSPPALSSVRALRRHPPPSPRPSSRARNFLRTLKSSLKSQPPLAGPEGGGAAMEHGSATDSTASTFSIMEEDHTLANSARFVLNQDPRVAFCGYSIPHPADKKVNIRVQTTGDPAKDVLKDALQDLMVMCQHVRGTFDNAVASHRAKEPAEQMDIDQK >KQK95839 pep chromosome:Setaria_italica_v2.0:VIII:38796224:38800261:-1 gene:SETIT_026388mg transcript:KQK95839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDALGRVRNIVEVALQIKEAVDTVRKNKADCYEIGRRVSRLSAILSKMENLEMVKDQAMIQALENLEETLYRALKLVRACQSTRNIHLGATGYLTKELRQVNQVIMDQIAAANWITQDAGHLGAVESSSSSASNNDTRFGADGERKSDPACMEHFLNQSGFRMFSLSEMKAATNNFSEHNLIGRGGSATVYKHQNIVCFLGYCQETTYEKMLYKGRYVEAEITSMIVVEEYTPNGNLSDIIEGQCPQMDWSIIFQIIQGMAQGIAHLHWKNIIHMDLKPENILFDSNMNPKICDFEMCKIFDQEVTQEVTEELAGTLGYMAPEYIAQGVISAKNDVYSFGLLVLSTIRGMSRSGLLQHSIEWAWEVREAQSLKDLFDSASCGESQLQEVERRIHIGLLCTQDNPRERPTMPDVLRMLNSEERPAAPTPPSFIKR >KQK94014 pep chromosome:Setaria_italica_v2.0:VIII:7567652:7568386:1 gene:SETIT_028236mg transcript:KQK94014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLYEEPPAVISSDAHPAHKLKLQVTTDGPPFRCDGCKEPGGGKERRYSCDAGCDFDLHTTCALSSPTLKHPLFGGDVEFELLPSAPPPVDATYCDACGDRARGLVYHCFDRDLDLHPCCAALRMESVVHGGHLLKLCGEAELRCIVCGEKQGRRQSSSSSKRFWAYRWCYDGVTGYLHVACMKKIAVMSWEQDYKDGVGGGVVEASVTIMEGMLRRRSPTGNAGSGSGVELGIRGLENITKIVE >KQK94975 pep chromosome:Setaria_italica_v2.0:VIII:29477742:29479099:1 gene:SETIT_027754mg transcript:KQK94975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVHSSKSVKPASSSGSGSSTPAGSSKSKLIPLTVFDEANHDEYIPGIFVFHPPAPPVDALEAGLTVMLAEYRHWAGRLATADVVLTNVTPLEPTPEVLRLHPRSDDDSAEGELMLLQVTRFSCGSFAVRYNMHHSVVDGYATCTSLLAWGQAVRGAAAFDPAPVHDRASLFVPRDPPLVEFEHRGAEFKPRAEKEKALNIVVDVQTVRFSPEFVARLTSEASAGRRRPYSVAQCVAAHLWRCVTASRGIDAHEVTKLHIAVNGRFRMTDPPVPKGYTGNVLLHSPLRRAAQLISKAVSDVNGRYFRSFVDFASSGAVEREGLVRTAVASELPFLFVPAAQPVEGAVFLVPEADGSGGGVAYVPLYRRAVDTFASCCYSLLPPVVVDARL >KQK93604 pep chromosome:Setaria_italica_v2.0:VIII:2249988:2250801:1 gene:SETIT_027083mg transcript:KQK93604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSSAGRHPPQQSCSRSLACSLRMRHTMSSSDMPSLSSIFFSSCLLITSFTLTEPGSTTAAGAFTGSRLVRDSSLPGDDTLRTSLPSTTLMILISFLS >KQK94473 pep chromosome:Setaria_italica_v2.0:VIII:18681122:18682059:1 gene:SETIT_027144mg transcript:KQK94473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSKIVCRASVLVFLLIVVSALSVCTEGGRELAKENVHKVHPAAASEKGATASGDMVKTNDYGRYDPTPAFAKPRFKLIPN >KQK94112 pep chromosome:Setaria_italica_v2.0:VIII:8941158:8943473:-1 gene:SETIT_028196mg transcript:KQK94112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLYTVRFLCSVVAAFARLVRELRKAIAAMAASSAMMSPIPSAPKTAAVSPVGLIATTPLPLRKKALATVTIPEMKLLTALAPPPQVVAPDVEVEQQMDSKRRRKADWLAGRRPSRLVLPVADDAGEVAAGWGAAAAAVKEADVEVEGEGFWVASRAGPRHAMEDAYSVITGKNGGDSQLAFYGVFDGHGGRAAVDFVSQWLGNNVVSAVLAAEGAATTRDDGASSAEAEHDAVSAAIRAAYLATDSELLAKHQGASGGVCAATALVKNGDLYVAHLGDCRAVLSHDGGAAAALTADHTCAAEGERERIERGGGYVSRSGSGVWRVQGSLAVSRSFGDCGLKQWVVAEPAVTRVPLVAGCEFLVIASDGLWDKVSNQEAVDVVSRSGNAAAAAASAGRSCAELVELARRRGSRDDVTVMVIDLERFVR >KQK94693 pep chromosome:Setaria_italica_v2.0:VIII:25219035:25224231:-1 gene:SETIT_027633mg transcript:KQK94693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YDYPSGAAPALEFVITSKDITCSGATATLLNGSSICDAGIGFKSVFLVSRNPHIFSNGYQIKFREDPSPECGIGYIVPEWVEENPSISDIAKIYSSFKSLPTTTFILPLKSDKIDAVKKELSNTHPEVLLFLSKIRQISVREVNDDLNATSLSQISISSEADALTTKDIGAESYTLHLSADEDERDGQHCSYYIWKQHFPVKPECYVQKREGIDQWVVMLAFPHGQRLSKGLTSPGVYAFLPTEMATNFPFIIQADFLLSSSRESILLDSQWNRGILECVPSAFVNAFLALVKSTESAPVFALPPVFKFLPLNHSSIELMDSVRLSIRNKLIDVDIVPCETCSSVKVFRKPTEVYRLNSSFWSIINRAVKLGVDVHNISSHGTNILNSYFDSEAYDDVLGFLKIGYVDSEWYGRCILGSDLVKLLPEDIYFDLLSFVAENWKAKFVSTNMMEIPLVKCVGGGGVMTYRSVCEATTADERLCMLSDEESAPSIINWNNDYFSTVSRTLFMPLSTQKALGLFSKKTTVVEWLEKYVAVKTLTLHEYTLMVVKALPEKSMVLAFTRFIYHLHSEKLMPEWSVKQICNSMPLVDNCGHVVVTRSIVLVPSKGSKWGALLGENPWRPQNYIELGDDYVCSGNSSGEHICEDQYLSFIRTYIQATDVPLLIPPDASFPAASSFLTAENAVLLLEWIENLRSRGVGLPKKFVSCIMHGNWLMTSVGYRSPADSFMSNEEWGCLFQARLAFVDVPMIDQEYYMGEMNDFKEVLASLGVKFEFSQAMSYIGECFISMVTGTLTGDMVLSLLSFIRFLKQEHMSSDHLIRTIRGGDWLKTCSGYRSPTGSVLFSSEWMIPSEISCLPFVDIDFYGHEISEYKSELQHLGVHVKFKQNYQIIVDNINLPTGPVTSGAAILLLKCIRHADSCKYLVKGLKKRQWLKTNAGFRAPRETFLLDPEWKCLVKFADVVPLLDLPFYGNEILTYRDELMKIGVVGSLDQASNSITYYLKQLVSTSSLTKEIRLALLSCYKDLSDEDMTIPANILKFMQTEKWLHTTQGFRPPNKCVLSDSSWEPVMAVASLPFIDDSDSSSGTGKEIYNYKKELKALGVTVDFNQGADFVLSCLSTVEGPQLPKPNVVSPSTGLHVSSDSGNTSEGTNQNVVALTSVERPPVLASKTLVSLLKCIHRSSNPRSFALKIGKMQMKSTLGYRYADQCILFDSAWSSYLCREDGPFIDEAFYGPEILSYRTEFRLIGVVVDVGYGCSLLAQDLKHFSRGDTITRIYKYLAAFKWEPRNKGESWIWIPKGRSTGNWVRPADCVLHDWNGLFSTRFSVLDKYYQKDLQGFFSNVLGVRHSPKVLDHCILWRSWECTCFELTPASCSFFWEFIGNCWNATTAKLLSGSVTRVPVLSGGKIILQEVEDVFVPDDLVLKHLFDQFSSEPIFIWYPAGLSFRSRAQMDTIYQSLGVRAISKAVTKDETCMLNMNTCQVVEMKDAMVTPGLLRIILAFLANPALEIGTDKRHQMASYLLSVKALEMTEPISVSYQVKLSLGRTVTVKGRRLFRWERENRKLYMQKSEGSHGRTTRMEFATCFGEEISQGLLYERVDLVPSLTELLKVGFLIGFEEDEVEFLLKTKNLQLFLEDEDFLLGAFPPQNYEVSMCLTY >KQK93259 pep chromosome:Setaria_italica_v2.0:VIII:222767:226336:-1 gene:SETIT_026322mg transcript:KQK93259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKAKIYIVLEYATGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSHGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEVSDWCISIFSLMHNVTDFSYHLVLLMLFSIIQEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAIPLGFDVQKKNYKLRLEKLKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFFKNLSKTLKDVVWKSEDLPMQPAA >KQK93258 pep chromosome:Setaria_italica_v2.0:VIII:222432:227689:-1 gene:SETIT_026322mg transcript:KQK93258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKVKRRVGKYELGRTIGEGTFAKVRFAKNTETGEPVAIKILDKEKVLKHKMVEQIKREISTMKLIKHPNVVRIYEVMGSKAKIYIVLEYATGGELFDKIVNHGRMREDEARRYFQQLINAVDYCHSRGVYHRDLKPENLLLDSHGNLKVSDFGLSALSQQIKDDGLLHTTCGTPNYVAPEVLEDQGYDGAMADLWSCGVILFVLLAGYLPFEDSNLMTLYKKISNAEFTFPPWTSFPAKRLLTRILDPNPMTRITIPEILEDEWFKKGYKRPEFDEKYDTTLDDVDAVFNDSEEHHVTEKKEEEPVALNAFELISMSAGLNLGNLFDSEQEFKRETRFTSKCPPKEIVRKIEEAAIPLGFDVQKKNYKLRLEKLKAGRKGNLNVATEILQVAPSLHMVEVRKAKGDTLEFHKFFKNLSKTLKDVVWKSEDLPMQPAA >KQK94125 pep chromosome:Setaria_italica_v2.0:VIII:9222254:9225795:-1 gene:SETIT_0266521mg transcript:KQK94125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVEVVAEAKAKAAPSPDVEQAVDWKAPAAMVFLQLSSTGMVLLSKVAIGGGMFVFALLTYRSLFGAAIILPLALFRERGKWKEMDWHAAGWIFLNAFIGYAVPMSLYYYGLQDTTASYAIIFLNIIPLTTFILSFVL >KQK94370 pep chromosome:Setaria_italica_v2.0:VIII:13839730:13844992:-1 gene:SETIT_026718mg transcript:KQK94370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSHRATSPAPPRPQSPGVGGVPLSSAIGDLLRFVLSSNAAATPADEPASFPLSPSYCARLLDDGGDLCGKLAAGIVQCLEEGRLPGPPVAVGIPVAEEGPEEREWEAVLLEKGAELKLMYNAVDFELHVQEPYFTQLRAEAKTVEGRLATGDYNRITQGSLLLFNKCLMLNVEAVRKYSSFSEMLQAETISNVLPGISSVEEGVKVYRKFYTEERENSYGVLAISVSKPSAQPYIIMTDILAGLRYDGLGRLLGMAKTAGTVPDGLPPPRSALISSCMRLHQPNE >KQK93653 pep chromosome:Setaria_italica_v2.0:VIII:2781448:2781997:-1 gene:SETIT_027160mg transcript:KQK93653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLEMMDRSWKRRLEWHKLTLYSQLLQRNQEQNLPPLNLVFLSFSQASLGQAPNGRLAGEEDARPTALSGRILCWRAT >KQK94443 pep chromosome:Setaria_italica_v2.0:VIII:16611150:16613596:1 gene:SETIT_027646mg transcript:KQK94443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGSAANGCAPKTEYGFLVDPNNKDKVECKFCGHRSQGGVHRLKEHVANVGTNAKKCKKSTQEAKDKCKKSLEDSKRKRKEVVARELELREEVNVSRVGGEDEEQQTESLQQQKLNKEVWKERTHEVHKYIARWVYTHAIPFNACDNDEFKQIILEKKDQLRNMVVHNRWDTLKDVIVFEPLVKVLCLVDGDVKPSMGFLYGELLKVNREIKEAYGNVQSRYNEVIAIIDKKMKGRLDSPLHLTTYLLNPYYSYGNLSIFDKATITEGFISCVETFYHHDEKQDEAVNTELKKFQNREGNFNKKLARSCEKIDYNPASWWRLYETEAPALQKLATRILSLTSSSSGCEGNWSTFEMIHTKKRNRLTTTRLNKLVFVQFNSKLINKKERIMSKKTTDVLLSSETTEAQGFLYEDGDNCATVVYRDEEDEEMEGTGIPWSVIGDAVGADQQLELRRSARVR >KQK94418 pep chromosome:Setaria_italica_v2.0:VIII:15431992:15435491:1 gene:SETIT_027450mg transcript:KQK94418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSSIHNRNPGMATLLAALGHLVFVPALAAAGAPPFSCGPSSPSKGLPFCNTKLPAAQRAADLVSRMTPAEKASQLGDIAPGVPRLGVPGYKWWNEALHGVAISGKGIHLDQGAVRAATSFPQVLLTAAAFNDNLWFRIGQATGKEARALYNIGQAEGLTMWSPNVNIFRDPRWGRGQETPGEDPAVASRYAAAFVRGLQGSASNTRAAPPAVLQTSACCKHATAYDLEDWKGVSRYSFKATVTAQDLADTFNPPFQSCVVDGKASCVMCAYTSVNGVPSCANADLLTKTFRSSWGLNGYVAADCDAVAIMKASQFYRPTAEDTVAATLKAGLDIDCGPYIQQYVTSAIQKGKLTQQDVDKAVKNLFTTRMRLGHFDGDPKAGVYGSLGAAHICTAEHKNLALEAALDGIVLLKNGAGVLPLKRGAVASAAVIGHNANDVLALLGNYWGPPCAPTTPLQGIQGYVKNVKFLAGCNSAACNAAATQQAAALASSSDAVILFMGLSQTQESEGKDRTSLLLPGNQQSLITAVANAAKRPVILVLLSGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIAKVLFGEKNPSGKLPVTWYPEEFTKIPMTDMRMRAAGGYPGRSYRFYNGKTVYKFGYGLSYSMFSQRPVTGRKNPAYNTSLVAAAGLTATTEDRASYYVDAIGDEVCEQLKFPAVVKVRNQGPMDGKHTVLVFLRWPNATDGRPARQLVGFQGQHLKVGEKANLRFELSPCEHFSRVRRDGRKVIDKGSHFLKVGRHEVEISFGA >KQK93275 pep chromosome:Setaria_italica_v2.0:VIII:313515:317658:1 gene:SETIT_026537mg transcript:KQK93275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICPLENLRHVKRVRRCTECGKSDLSIILCLSTGSQTCIKQLPGDVQKIVDTYQLSPFIAKVAKCSATSKEEWEEQCKLWPTSYHPAHNLDIIRGFRDDELPSIFNCMKTAIQLSKAGNAAIIVDPSSMQIIAKATDQTHQHDTSAEGNKLAEVKANDTFSLDESTENNGNLLWPSSRLSKCNSLNMEVSCINPLGWTKQRTTEQKPLPCEGCFAWHPLRHAAMVAIENAAERDRMLFPSSTPITMPDSNGNLEDYSDNEPAKRLKMDTKDKEQSTDESCCGDLSETTRPYLCTGFDIYLVWEPCAMCAMALVHQRFKRVFYAFRNPVTGALGGVYRLHGEKSLNHHYNVFQVSVPETYLNGFE >KQK95683 pep chromosome:Setaria_italica_v2.0:VIII:37156633:37157274:1 gene:SETIT_027023mg transcript:KQK95683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANGSVLKTAVPVAACIALILLSMGLPPAMADVEEDCRGICYPACDGFTSEVCGSLNGTLPVLGNIGFFYTTCKVRVSIACRTLCFNVCTLNTLTPSGGAPMPAPGSTAAAPPPCKP >KQK95971 pep chromosome:Setaria_italica_v2.0:VIII:40214585:40216509:1 gene:SETIT_026497mg transcript:KQK95971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRSRPTLFSRARTHQAGAQIPVSIHGHKTSLLSNKAHLLAAAAGRRSIPSRRLVAGPALAMDPASSEEIIIDSRYFRIYGDRRIDRLVGTTTVPPGFDAATGVTSRDVTIDADTGLYVRLYLPADTSSKQQNDKKLPLLVYFHGGAFVTQSAAAPAYQPFLSTLAARAGLLVVSVNYRLAPEHPFPAGYEDSLRALEWAVAGGGGDPWLSRHGDLGRVFLAGESAGGNIAHNVAMMAAAGEAAAAVEGAAVLHALFSGREPVDGELPMWVESLGKLWAVVCPEAAEGTDDPRMNPAAAPANLRRMPCRRVLVCAAEKDFGAPRCRAYYEALKASGWGGEVEWFESKGEDHVFFLAKPADDDVVAELMDRLVAFFTATGGN >KQK95217 pep chromosome:Setaria_italica_v2.0:VIII:32048678:32055561:-1 gene:SETIT_027855mg transcript:KQK95217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLRVLLWHLLVPALVTSHLVAAASNSSMPGPDCPSKCGNIDIPYPFGIGDGCGRPGFSPYNLTCNNSFSPPRLYMSSAEVISISPETGEIRVVTYPSYMCYNLNSPSIFTSYAGPWILANPFMISARSNEFTAIGCSTVALLQSRSYYTGCVTYCESIKGAATDGAQCTGLGCCQMPISGNLSTIQVYWNNNSNGTYDNPAWTYSPCSFAFVAEKGWYRFSRQDLIFDGNNSFASRASAKPTAPACVSRNSFCISATQGPGYLCNCSEGYMGNPYVTGDKGCTNINECDLRKSNPEKYEKLYPCYSGSTCHDTQGSYKCKCKFGLKGDGKSEKGCQPIFPVWAIPILGIIVVAVVATFAIFEVKRRKHRRFFDRNGGDILKSMGINIFTEGQLKKITNGYKKSIGEGAFGKVYIGVTDDSQQVAVKCSTAKGEVLPQEEFVNEITFQFRISHTNLVRLVGCCLETDVPMLVFEFVPRGSLHSVLHGAGKTLPLSMPVRLDIAIGSAEALAYMHSHGGHNHVHGDIKSGNILLDDNLTPKVSDFGSAKLVSVASRYSKWCVSGDMSYIDPIYIKSGRFTEKSDVYSFGVVLLELVTRKPAKYGDNSLYIDFIRSFKEEGNGRKMYDEDILSGEDARSYHHMECLDRISRLAVQCLKEDVDERPTMAEVVEELKEVKAIASGGSSSVAS >KQK93752 pep chromosome:Setaria_italica_v2.0:VIII:3960116:3963049:-1 gene:SETIT_026050mg transcript:KQK93752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNRDATSELMEAQAMVMAIGPKASVVAAYLKALLRMVMTLDLVACKVIAASVIWVILRQLQSVLGPRRRLSGHWLVQYGAMAAYYLPTLIAFYTANAVYSSNDIRIILSMVCCVLLFCCARGPVTMTAFTLDHGPRRLQSWRLLPWLLYFAWLQWVFLDCETKTFADYMVRESRSSSPFFDGELPDGLHDGCKYPFKLRDGRWINFSDVLQHHSRLPTDCAVDTDICLSYSFCRLLARRYFGFPCPEDGNPQVREFVLTELLADCNRAFTIVEVQLALLHDYFFTNYHSDITYGFVSSKQVIVKLARLSLLSLACFAAAFLMAGLVKGFSGGDFSAAFHFSAARLLLLLSFTALLVLFYWHPIRNLFLYYPAATGIPSGFSDIDRTAAPHNQNYSRPTYWREKMGQYSVMEDYDSRSSKKAIIAWCKVHVLSQVSYGFIKHHPVEEEDVSVPDSLRMMVARTIKDTNGPPTMGTRSLRVHDLGDDLSWTCTQETLTHTILIWHIATCCCDMSQPLEEEITPQQSQDEGMSQLLEEPSTGYRKVATTLSRYCAYLVAFLPELLPEHSLTAKVVLQQVLQEPKDLLGSTRMSMEAKRSKIQELELPEEDSSLTTFQKGVRLGRQLEQQVADVSLRWKTMADFWVEMILYVASSSDNATAHVEQLAQGGEFVTHLWALLCNAGIVMKRAAEEPATS >KQK95886 pep chromosome:Setaria_italica_v2.0:VIII:39231254:39232382:-1 gene:SETIT_028118mg transcript:KQK95886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDERFAPVTAATGALGPVLVKLSALLGDEYKLHEKTRGDIMFIKSELEPVHHLLWKLWGKLDLDAACKNWMTEARELSYDMEDDIDDFTLGLERGDGSFIQREATDSPFKEFMERVKDVSKKCGKMQKIGDAICNRSKLTTDPRAHFLHKDASELVGMEEKKAEVIQLLQKHEMVCILGPGGMGKTTLADLVYQTIVGDEFQCRAFVSVHPSPNMTEILGTILSQVTDGAMSAGSGTEPAAQQNIVTDISISLSDKSSGTEPPAAEQNDFIKRISNFLSDK >KQK95177 pep chromosome:Setaria_italica_v2.0:VIII:31659584:31660278:-1 gene:SETIT_028316mg transcript:KQK95177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVWTKGGVPALGWWLIAVGTFRSGYTLSCFFGSAAFCSATFSEIAMTGVHGRTAAVWTLLSCTLCFLCAFNLGSKPVYAATLRSFVYAIGYLAVECLVYHTMSAARLSLFIFIAGTSIVWMLLQWNSHGHGPRP >KQK95821 pep chromosome:Setaria_italica_v2.0:VIII:38512413:38512760:1 gene:SETIT_028347mg transcript:KQK95821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELAAWFIDSTAPRHMTGNPSLLTDLIHVSNTFVDAGIGKGMQVGGIGSVNKEAVVLPDVWFVPGLGLSMNLVSVDQLTADPNLIIAIAGTGCNVTKMSDGSLVGSAHLRPDNKYE >KQK94063 pep chromosome:Setaria_italica_v2.0:VIII:8324679:8333258:1 gene:SETIT_026319mg transcript:KQK94063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHGGSGPAAEEERPAGGLPRSGSASRLNAQAPEFVPRAAAAAPPQPPPPQAVVRLFPPPPPAAFFVAGPPPPPPPFEYYAAAVAGGGARFGGPPGAAAGAEQEVDAESPARDVGFDDPVHKIRKQVEYYFSDINLATTEHLMRFISKDPEGYVPISVVGGFKKIKALVQSNSMLASALRTSSKLVVSDDGTRVKRQQPFTESDLEELQARIVVAENLPDDHCYQNLMRLFSAAGSVRTIRTCYPQTPNGTGPATNRSAKLDMFFANKLHAFVEYDTMEDASRAIVELNDERNWRSGLRVRLLSTCMIKGGKGKKGGHEADGYGDEENVSTSDQRNDKQLEEAPQLSDAAGEHMTEDGTGDMGRGRGRGRGRGGRGRGRGYHQYNNNQQHQNQQQQHQNSSQHGNNRNGAHPVGTPPSGHQVKNEQQSQPQQPSAANKQPPGPRMPDGTRGFAMGRGKPQSSAPTVAASESEP >KQK95420 pep chromosome:Setaria_italica_v2.0:VIII:34326965:34329905:-1 gene:SETIT_025978mg transcript:KQK95420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLLHGTLDATIFEAKFNIPVSKFLVGLIPRVDGIPTGLPQLYATVDLSRARVGRTRVVDDDPANPRWNESFRIYCAHSTTDVVFSVKAALPVDAALVGRAYLPVQDLLKAKGGEVIDRWLDILDEGMMPLLHGPKIHVQVRFTDVAHDPQWGCGVGGAQFTGVPKTFFKQRQGCQVTLYQDAHVPDTFKPLGIQLAGGRPYEPRRCWEDVYDAIAGAKHVVYITGWSVFPEITLARDGGRRHPGGGVTLGELLKRKADEGVRVLMLVWDDPTSVLNLGLIDGQMKTNDAITLRYFRGSGVHCVLCPREPDDAASFAQGLKTFAFSHHQKCVVVDVADVAGGGRRRIVSFIGGLDLTNGRYDTPEHTLFRTINTAHSNDFYQGNLHGATIAAGGPREPWHDIHCKIEGPAAWDVLHNFEQRWRKQGGKDGIVHNLLFPWKAQKDVLVDLRGMEDVIAPQSTPAAPAGNDESWNVQVFRSTDSSACDGFAKTPAEAAQSGLVSGKDHVIERSIQDAYIHAIRRANRFIYIENQYFLGSSFGWKPDGVTPENINALHLIPRELSLKIVSKIEAGEPFAVYVVVPMWPEGDPSSWNVQAILHWQRKTMEMMYGDIAAALKAKNIDADPKDYLSFFCLGNREAKLEVPREYEPKSHPPRGSDYDRAQKARRTMVYVHSKLMIVDDEYIIVGSANINQRSMDGGRDTEIAMGAYQPAHLNADGQAARGQVHGFRMSLWYEHLAELKDVFKDPGSLKCVRAVNKMAGEFWQRYTSDEVADLHGHLLSYPVDVKRDGTVAALEGVEFFPDTIAPVLGGLSLLVNVGSPLTNFVLST >KQK93375 pep chromosome:Setaria_italica_v2.0:VIII:822571:823136:-1 gene:SETIT_028588mg transcript:KQK93375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSRRRVLQLVGLLLLLTALAPRPSTCARPLTTNNILLLPALPAAAASSSGAGATAAPSSMAPGDHDQHRLPAGSYQWVLDRKPRGKPPPSAPSKRTN >KQK95892 pep chromosome:Setaria_italica_v2.0:VIII:39322675:39323116:1 gene:SETIT_028597mg transcript:KQK95892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIAKVPLLQAGPLGRFNENQSVFPSRPRSLLLAASPPPLSPAPLTPARPSPHHGLPARAGTQGDRISPLLASSLK >KQK95927 pep chromosome:Setaria_italica_v2.0:VIII:39679185:39679611:1 gene:SETIT_027070mg transcript:KQK95927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSTSVDTMSATMHSILASACIILVIMSCALTTSTYAAIGETCYGTRCVKETCDLSCRTRFDETYAGSACKSTIHPFQECCCYYEETPFGPPPPLTPNPTWQQG >KQK94491 pep chromosome:Setaria_italica_v2.0:VIII:19677127:19681692:-1 gene:SETIT_026193mg transcript:KQK94491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLAPQFKWAPSATAHATSSSSRCSALRVHCAVTSAAAVVDTDRANGGGAAQLRLAFSAPALQRNFESTLASEALLNEEAVVEAAAAEAVALARAAAEAALEVVQMVQNNNHQPVIRQKKVVDSYLANEILRTEIQPSSPDGYANDVLLEDFESYGIMAGGGELDDDAQYTENIAVKSARQSERRARRTRAAIKAATTVRASQKTATSSKKKRPKGSSPSMNPLGSLWKMTGRRLLTAKEEVEFSEGIQDLLKLEAIQAELTEYNGGQPTFSQWATAARTDEKTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMDLYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECTKRLRRKLRRRPTNEEIAVDTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQEVTADPSAETAEEMLNRLSMKKDVHQALDTLTAREKQVVVLRFGLEDGRIRTLQEIGNIMGVSRERIRQIESGAFRKLRSKKRVKALKDYLVPVGNW >KQK94490 pep chromosome:Setaria_italica_v2.0:VIII:19677273:19681692:-1 gene:SETIT_026193mg transcript:KQK94490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLAPQFKWAPSATAHATSSSSRCSALRVHCAVTSAAAVVDTDRANGGGAAQLRLAFSAPALQRNFESTLASEALLNEEAVVEAAAAEAVALARAAAEAALEVVQMVQNNNHQPVIRQKKVVDSYLANEILRTEIQPSSPDGYANDVLLEDFESYGIMAGGGELDDDAQYTENIAVKSARQSERRARRTRAAIKAATTVRASQKTATSSKKKRPKGSSPSMNPLGSLWKMTGRRLLTAKEEVEFSEGIQDLLKLEAIQAELTEYNGGQPTFSQWATAARTDEKTLRKRLDHGIYCKNRMVTSNVRLVISIAREFEGPGMDLYDLIQEGMQGLIRGAEKFDASKGFRFSTYSHWWIKQAMRKSVSEQSQIFRLPAHMVEASYRVKECTKRLRRKLRRRPTNEEIAVDTGMPIKRVEAAVNLPKYSVSLDSKIGSTDMTYQLNLCFQEVTADPSAETAEEMLNRLSMKKDVHQALDTLTAREKQVVVLRFGLEDGRIRTLQEIGNIMGVSRERIRQIESGAFRKLRSKKRVKALKDYLVPVGNW >KQK93887 pep chromosome:Setaria_italica_v2.0:VIII:5627604:5631048:1 gene:SETIT_0258112mg transcript:KQK93887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANFNSSEHQDSREPMSPSPSTSSSISREKGDLAEVDDPESAMSTVARLLEDLHASTVSPSEKETTTRRLLELAKAKKEARILIGSHSQAMPLLISTLRIGSSAAKVNAAALLSALCKEEDLRVRVLLGGCIPPLISLLKSESAEAKKAAAEAIYEVSSGGLSDDHIGRKIFVTEGVVPTLWDLLNPRSCQDRVVEGFVTGALRNLCGDKDGYWKATLEAGGVEIITGLLSSKNTASQSNAASLLARFICAFGDSIPKIIDAGAVKALLHLLNRDNVISVRESAADALEALSSKSSIAKKAVVDAGGLPILIGAVVAPSKECMQGETCHSLQSHAVHALSNICGGTTSLLLYLGELCQAPRSPVPLADILGALAYSLMVFDGTDGKSFDPVEIENTLVVLLKSHDSKLDRILEALASLYGNDCLSGRMDHSNSKKVLVGLITMAPADVQEHLVRALTSLCCDGVGIWEALGKREGVQLLISLLGLSSEQQQEYAVSLLAILSDEVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAYIMWNMCSDSDDIRACIESAGAVLALIWLLKSGSPRGQEASVKALKKLIRSADSATINQLLALLLSDSLSSKAHVITVLGHVLVLAPQRALIQNGSPANKGLRSLVLVLESSNEETQEIAATVLADIFTMRQDICDILEIDEIVQPCMKLLTSGNQVIATQSARALGALSCSASAMSKNKMSCLTEGDVRPLIEMAKTSSIVVAETAFAALANLLSDAQIAKEALDDNIVLALTRVLKEGSLEGKISASRSLRQLLNQFPLSEVLPDYSQCCFIIHALLVCLSGISLDNVTSLEPLDVLALMARTKEGAHFSPPLCTAFLEVPESLEPLVRCVSIGLPPIQDKSIQILASLCQGRPSLLGEYLNRSQGCIGSLASRVMESKDMEIRISSAVILISAMRDRREQSIDVLEASKLLKDLISALIDMLKQHSSLTSLDIEIWKPYTETSSLNYEQDVLSVPELGKVSEETVALWLLSLICSYHARSKYTVMELGGVDAVSDRLASCTANRQ >KQK95375 pep chromosome:Setaria_italica_v2.0:VIII:33903074:33906698:1 gene:SETIT_025956mg transcript:KQK95375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGALEKISMTPADKIDDQDKIWARRVREMSYDIEDNIDKYIVQCKGRKTAEQHSFKEAIDRTLNWFRQPKIRRKIATEIREIKSRVIEVHERRRRYEVSLGVDKPVTVDPRLFAQYTEVKELVGIDEARDELISKIMIEENEVPKKQGRIVSIVGFGGLGKTTLANVVYKKIRAQFDCYAFVSVSQTPDLKKLYKCLLYDLGKSINEETLDERRLIEALREFLEDKRYFVVVDDVWDISVWKMIRCALPDNDVGYTIITTTRISDVAEQAGGAYNMKPLCLNNSRQLLYRRIFGNGNKDNNEEEEKCPHEELAEVSDRILKKCAGVPLAIITMASLLACKARDKMEWYEVCNSVGTGLENNLDVENMRKILSFSYYELPCHLRACLLYLSMFPEDYIIEKDRLIRMWIGEGLIQCEKVGKSLFELGESYFNELINRSMIQPEYDLDDIMIQGCRVHDMVLDLIRSLSSEENFVTVLSDMGGTSPSNTIRRLSLQNGQESHVMVQATFSMKHARSVVVFPAAASLVPPLDCCRVLRVLDLEDCNLSQANSSLKYLGNLHHLRYLGLFKTGISQLPEEIVNLQFLQTLDVKHNKISRLPSSIVQLRKLMCLYINWTTIVPNGIGNLTCLEQLSRLRINDSTRNIIEELDQLTELRQLSIQLDKWNDKLLECLCKLQKMQELTITVYPGQRSIGGLDAWVAPQHLRELDTRRSCWFSTLPAWVNPSLLLDLTDLSIAMRELRQVDLDILGRLPALCFLDLVVDNKNLGILAGFIVDAGAFPCLVSCVFRQFVWPVVFQQGAMPRLRKLMFWTFYVGEARGIACTDGSLDLGLGNLPSLQYVTADLICDGSSKEEVEQAVDAK >KQK96024 pep chromosome:Setaria_italica_v2.0:VIII:40578455:40581852:1 gene:SETIT_026687mg transcript:KQK96024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGDHGSKVDISFAGRFTASAIAACFAEVCTIPLDTAKVRLQLQKNVDAAALPKYRGLLGTAATIAREEGAAALWKGIVPGLHRQCIYGGLRIGLYEPVKSLYVGQDHVGDVPLSKKIAAGFTTGAIAITIANPTDLVKVRLQAEGKLAPGMPRRYAGAMDAYAKIARQEGVAALWTGLGPNVARNGIINAAELASYDQVKQTILKLPGFKDDVVTHLFAGLGAGFFAVCVGSPVDVVKSRMMGDSAYKSTLDCFVKTLKNDGPLAFYKGFLPNFARLGSWNVIMFLTLEQVQKMFVRKPAS >KQK95360 pep chromosome:Setaria_italica_v2.0:VIII:33761584:33762758:1 gene:SETIT_027677mg transcript:KQK95360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLIEDTRITVHAQCGPTVSCADILALATRRAVLLAAFASRGLTDLVALSGAHSIGKAHCPSFRDRAALRDAYMVEDLDGVTPRALDSQYYRNLLYRGEGVLTSDVALLSHPLTAMSVRYYNDHPQEFLNHFSSKMDKLYNVPRPGFGEIRRFSCFRTNNNGCAGCVCP >KQK94486 pep chromosome:Setaria_italica_v2.0:VIII:19580792:19582802:-1 gene:SETIT_027309mg transcript:KQK94486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNFSISQLCQPATIITAVNSQLPGPTIHVHEGDTVVVHVVNNSPYNITVHWHGLFQRGTQWADGPEMVTQCPIRPGSRYSYRYNATGQDGTLWWHAHSSMLRATVHGAIVIKPRNGDQGYPFPKPDKEEIILLGEWWNRNVFNLEREAFLTGNLVDPADAYTINGKPGNMHKCPGSNRKPRTFKLKVQSNSTYLLRIISAAVNTPMFFKIAGHSFTVVGADASYTTPYETDVIVISPGQTVDALMVADAAPSRRYYMVASPYNSARPNLPFRKGAATAVVEYAGGRKRAAPRRPLLARMPRFNDTATAHRFFSSLTALVRPGQPTVPLAVDTRMFVTVGLGFADCRPEQTQCKQQVFAGSMNNASFVLPTAMSLLEAHFRNVTGVYTRDFPDRPPLEFDYARPPRDMDVTTTKSTKVRTVRYNATVEVVLQNTALVARESHPMHLHGHNFFVLAQGFGNFRQDTAAKRYNLVNPQERNTLAVPSGGWAVIRFVANNPGMWIMHCHFDAHLPIGLAMAFEVQDGPTPETALPPPPADLPQC >KQK95896 pep chromosome:Setaria_italica_v2.0:VIII:39336372:39339665:1 gene:SETIT_028247mg transcript:KQK95896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCWNGCGGTFQWGDRPTPPAPNAWGSSSLLSLKNDGGSGSFGNINDRPSSRGSSRTSTDGSDLLDSPLAWGRTSYNSTTAISHPQRTELRSGSWRFPHSQTSFSDVLKGPLNSIAKRRPTSHGKGFTLSADDFPVLVSKSSQSNSQLGNSFQGRPTFSSVIIAARDEQRKIPPTGGDPFSTADFSMEAQQAQLHATQTPDICMPPPCIDYWHPPPDHPPDRNGIWPGGVASYGLGKPADTHGSFPVESFTHNGQSLLNQRGETGHGPAHGGFQPENNNSCYAHEPADASVKILPHLMLGKVKGNHSDALEKQVIKKDVALLEKIKCLNIKARNLRASNISEISSCREAKVGHPKSIGVEAYHVANDAPVSAVICDITSAFDLANSVSESSNHVPIGTSNVSASANLVMIDLSEGYPTIFSEAREPGESADNYVYVVGNTSRNKHVSSATNTASDIWGPGWEEHSTVDSLPVDMINTHEDQRFAGNSSQQVDVRTVDDMLNSPDYEIQHSRRELSAQRSKQLQEEERGKPQQKAESTAKLEDLNVHPLLQSQKSKDAP >KQK95490 pep chromosome:Setaria_italica_v2.0:VIII:34958496:34960498:1 gene:SETIT_026701mg transcript:KQK95490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEKISMIIVLVDLDCHKCYNKIRKILCQLQDCERIRTISFDDKSKTITIVGPFDPQRLACKLRCKGGKVIRDIHIVDNGSGRPPQKMAEPPPSSPPPMKNGKQKHKGKPPPPPAADEPPPPQPAPAPEPVPVHEMPPPPSPTHHGPPDREMSAMVPAFVEEKHPKAKPAELEPPPMSPPRKEKPPMDMHMPMPASPLPPPPVKERSPPLLMPARPCDQPPVEYVIPTVEIPSWPAPPVGPCGCPCCAPCYQGYYEGCRCCSCGRAYAPAPAACGGYRGCRTFSDEDPTAACTVM >KQK95489 pep chromosome:Setaria_italica_v2.0:VIII:34958496:34960498:1 gene:SETIT_026701mg transcript:KQK95489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPSPTHHGPPDREMSAMVPAFVEEKHPKAKPAELEPPPMSPPRKEKPPMDMHMPMPASPLPPPPVKERSPPLLMPARPCDQPPVEYVIPTVEIPSWPAPPVGPCGCPCCAPCYQGYYEGCRCCSCGRAYAPAPAACGGYRGCRTFSDEDPTAACTVM >KQK95221 pep chromosome:Setaria_italica_v2.0:VIII:32316724:32319520:-1 gene:SETIT_028323mg transcript:KQK95221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWSLAGSLTSAAVDIAKDKLGAFIVEQANSLWNFSDDLEDMNSMLEAISAALQDAERRSAKEKSVQLWLKRLKHAALDIADMLEEYKDNSYRLTAKLQVLA >KQK95699 pep chromosome:Setaria_italica_v2.0:VIII:37326233:37328945:1 gene:SETIT_026648mg transcript:KQK95699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPCDGGYPYNRTLAHILVEYASAVYTSDLTSLFTWTCPRCKGHTKGFEVIEVIVDVENCLQAFVGVAPDPRSIIIAFRGTQQHSVSNWIEDLFWKQLDVTYPGMPDAMVHHGFYTAYYNTTLRHEILKSIQWARKTYGKLPINVVGHSMGGALASFCALDLSVKFGSQEVELMTFGQPRIGNPAFAAYFGAQVPRTIRVTHQNDIVPHLPPYYYYLGEWTYHHFAREVWLHVIIDGNVVTRNETVCDDSGEDPTCSRSVYGISVADHLEYYGVTLHSDSRGTCQFVMGAANSVYSYIREVDGTIILSRYAQEPHALESM >KQK93935 pep chromosome:Setaria_italica_v2.0:VIII:6309532:6313377:1 gene:SETIT_025957mg transcript:KQK93935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGLLIGKLGEALANETAAYGTSLLCKEASALKGLFGEIRKAEGELESMKAYLRESEKLRDADETTGIFVNKIRELSFWIEDVVDEFMYKLEDNKLGGFAAKTKKRIKHVKVWRRLALQLRDINVELEDATKRRDRYVIPGMERHAGNSDHHARSTNQALCFAREEDLVGIEGNAAKLKGWLVDDLDERNIKITTVWGMGGVGKTTLVDHVYKMVKLDFDAAAWVTVSKSYQVDYLLKEIARELGISIASNMEMIRVVDVIRNHLEGKRYILVLDDVWEQDMWINNIMPVFPTNCSGRFVLTSRSSEVASVATSNCAINLEPLGANHSWKLFCKEAFWNSDDKRCPSELLDLAVKFLQKCKGLPIAIACIGRLLSIKPHSEWETVYKELESHSTNNVIKSVDMILRVSLEDLPSELKNCFLHCAMFPEDYEIKRRRLIRHWITSGFIRKRGNKTLEQVAEGYLNDLVNRSLLQVVRKNEVGRLKSCRMHDVIRHLAIDKAEEECFGKVYEGNGTFSVDGTRRLSIQSINIVPQNQSDAAHLRAVYAFESSIDVALLGTILASSTLLSTLDLEGTQIKMLPNEVFNLFNLRFLGLRRTRIESLPEAVGRLQRPKLKKLRYLYASLFLREGNWTCFCGISAPRGIRNLTGLHALQSLKASKEILRDVAALTELRTFAVTDVTSEHSINLCSAISNMSHLAHLTVWALNENEVLPMEALRLPETLYKLELRGQLEKTQIPQIFSSWSNLNNLTILQLTSSKLKEDSFSSLVTLRSLCSLSLYHAYDGKIIRFYAQSFPCLQTLDIIGAPQLKHIEIEEGALESLVKLVLGDCRELKHLPHGIEYITTLEELYLRDTAEELIEKLWQESESYERNEEHMKIKHIRKVVVMLTEKNIWERIR >KQK95838 pep chromosome:Setaria_italica_v2.0:VIII:38791106:38792741:1 gene:SETIT_027542mg transcript:KQK95838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTGSSTPSLLSTVPKDLSAAFLRDITDGFSTDREIGQGAFGTVYKGILPEGDCIAVKKLVDEDIYDKQFQNEITHLMNVCHENIVRIVGFCQEREKKVVEHNRRYIIVDSVERFICYEYLPNGSIDKCIFDDTLNWATRFKIIKGICQGLHFLHEGMETPTIHMSLQPANVLLDDRKVPKITDFGSSRVFGRADKSMMITQNPVGVIGYMAPEYLYRGEISTQADIYSLGLMIIEITTRKKNYSDDRDKSAQRFIDEVRQTWTDDVYILSKYSQLDAGLLQEVKACIQTGLKCVDIDRKRRPSISEIVRLLNAA >KQK93590 pep chromosome:Setaria_italica_v2.0:VIII:2192618:2196307:1 gene:SETIT_026568mg transcript:KQK93590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRQASTLSPQPETRRPTPTSSTNPGRSTTPSSAHCSLARPTTTGAAPTRHASSPSGRRRPHSPSSDLGQTGWTDSTLKHIAAADYDVLLLPGDLSYADSIQPRWDSYGRVVEPLASARPWMVTQGNHEVEKLPLVEPTPFKAYNARWRMPFDAGATPSGGNLYYSFDVAGGAVHVIMLGSYTDYAAGSAQYEWLQRYLAAVGRRGGTAAFVVALVHAPWYSSNEAHRGDGDAMRAAMEELLYGGRVDAVFAGHVHAYERFARVYGGEEDPCAPVYVTIGDGGNREGLAGKYADPQPAISAFREASFGHGRLEVVNATHALWAWHRNDDDEAVLADQVWITSLAANPACHRSKN >KQK95495 pep chromosome:Setaria_italica_v2.0:VIII:35028686:35033146:1 gene:SETIT_025910mg transcript:KQK95495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIMVSAATGVMSSLLAKLADLLREDYQMQKGMRREISFLQDELSSMNVLLERLADMEVLDLQTREWMNQVREMSYDIEDCVDDYMRQLRSGPQRPSGVMGFFLGYLQRVKELVTGHETAEQIQELRDRIVEAGHRRKRYKIDDAVNSSSVNVVPVDRRLPALYAELGGLVGTSVPIDEIIKLLGDGEQGMKVVSIVGCGGLGKTTIANQVYRKVAEQFDCQAFVSLSQNPDMVMIFRSILSQVKKDDCDGTSLSDKELLISELRDFLKDKRYFIVIDDIWSTQVWNTIRFALFENTYDSRIIVTTRIRTVARSCSSPHYDLVYELQMLNEYDSKRLFFRRIFGSEDKCPHQLNEVSIEIIRKCGGLPLAIITMASLLTTKSYSRADWLKVCNSIGSGLEKNWDVEEMNTILSLSYNHLPHHLRTCLLYLSMLPEDYVIKRDYLVRRWVAEGFISAHGGRKLEDEGECYFNELINRSLIQPVDYQYDGRVHSCRVHDMILDFITCKAVQENFISIITERKQMLASQVKVHRLSLDYHSQELVTSYSMVTTHVRSLNIFRYSEKMHPLSDFQALRVLDLDGNENFDSSYLEDVGKLFQLRYLRIKASNIIALPEQIGELQFMVVLDLLNCPNISELPASIVKLRCLKWLIAHRVRLPEGIGNMQALEFLSLIVVDYTTSITMLQELGSLTKLRTLGLDWRVGTQHRDKNIYTDNLVLLLGKLGRSNLQYLTLISPWSLDFLLDSWSPVPHLLQELGIKGWYLSKVPAWMASLTNLTYLDIEVKDSQETLKILGDFPSLLFLKLHSNIGGPWASCIVSSSSFQCLKKFNFSGWTSLMFEGGAMPMLDTLEFQIIAHEAQNSRRFGHPDRGIRYLSALRKLIVNIHCQGARIEEVEALEAAIRIGASMLPNHPKPALHRFQVAEMIKDDAGIEMQGHEEDKKKLSTPAWFFFNTSGLGVGQEMTSSPGLDLLQDG >KQK94081 pep chromosome:Setaria_italica_v2.0:VIII:8543037:8545786:1 gene:SETIT_026339mg transcript:KQK94081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVFGTAVLLLLLQLSSSYPIVVLADSPVLGRKAGVAADKPAAEKAPAGPGRYAVIFDAGSTGSRLHVFRFDRQMDLLGIGDDIQVFAKVKPGLSSYAGRPQDAANSILPLLEKAKSVVPSRLMKTTTLKLGATAGLRLIGEEKSEEILEAVRDLVHTKSKFQYNPNWINVLEGSQEGHYLWVALNYLLDKLGGDYSQTVGIVDLGGGSVQMAYAISAYAAASAPAVPDGEDPYVTKEYLKGKDYSVYVHSYLSFGAFAARAEILKAKNGPFSSCTLRGFSGTYSYNGKKYDATASPKGANYEKCREEITKALNLNAPCKTKNCTFGGVWNGGGGAGQNNLYVTSSFHYLASRVGFIDSEAPSAKATPAAFRIAARKACRLGVKKVKVAFPKIEDSNVPYLCLDLTYQYTLLVDGFGLPPTKKITFVSKVKHGEYFIEAAWPLGTAIEALSPKKQTGNN >KQK93237 pep chromosome:Setaria_italica_v2.0:VIII:106165:108644:1 gene:SETIT_026531mg transcript:KQK93237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSRLLPATLQILRRRCASRFSTASSLVSPPSKAVLYDEHGAPDQVLRVADVPPVHLGDRDVCVRMLAAPINPSDINRIEGVYPIRPPLPGAVGGCEGVGQVHALGPAVTAPLSPGDWVIPSPSSFGTWQTYIVKNESVWHKVRSDVPMEYAATVTVNPLTALRMLRDFVQLNPGDAIVQNGATSIVGQCVIQLAKVHGIHTINIIRDRPGSEEAKGKLKQLGADEVFTESQLDMKNLKSLLGAFPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMSKRPVTVPTSYFIFKKWMNSDKAEDCRTMIDYLLGLVHEGKLKYEMESIPFSEFSLALEKALGKHGSQPKQVVRF >KQK93236 pep chromosome:Setaria_italica_v2.0:VIII:106165:108644:1 gene:SETIT_026531mg transcript:KQK93236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPSRLLPATLQILRRRCASRFSTASSLVSPPSKAVLYDEHGAPDQVLRVADVPPVHLGDRDVCVRMLAAPINPSDINRIEGVYPIRPPLPGAVGGCEGVGQVHALGPAVTAPLSPGDWVIPSPSSFGTWQTYIVKNESVWHKVRSDVPMEYAATVTVNPLTALRMLRDFVQLNPGDAIVQNGATSIVGQCVIQLAKVHGIHTINIIRDRPGSEEAKGKLKQLGADEVFTESQLDMKNLKSLLGAFPEPALGFNCVGGNAASLVLKFLRQGGTMVTYGGMSKRPVTVPTSYFIFKDISMRGFWLQKWMNSDKAEDCRTMIDYLLGLVHEGKLKYEMESIPFSEFSLALEKALGKHGSQPKQVVRF >KQK93601 pep chromosome:Setaria_italica_v2.0:VIII:2241219:2245356:-1 gene:SETIT_026421mg transcript:KQK93601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGETSSSSHSRQDPCALGYGFHGAVASATPNFFDQEGATYFGELEEAFMHQVASLRRTQAATTSAAHPTPFPTAAPAAAATATARPPPTLDIFPAWPMRSLHTPKEGSNLTADSTEDSESSSKNNSNHSSDQHGAAHNMASQFDQGSQQQQEEIQHKNMATSSTTKSGKTLDPKTIRRLAQNREAARKSRLRKKAYIQQLESSKLKLAQMEQDMQRARSQGLFLGGAPGANTSSGAAMFDVEYARWLDDHARRMAELHGALHAHLPDGGLRAIVDDTLTHHDELFQLKAVAVKSDVFHLITGVWTTPAERCFLWMGGFRPSDLLKTLLPQLDPLTEQQVVGICNLQQSSQQAEEALSQGLEQLHQSLADTMAGGSLIDDANMNFMGQMALALGKLSNLEGFVIQVKNIFIYTSSILQS >KQK94137 pep chromosome:Setaria_italica_v2.0:VIII:9442195:9443086:1 gene:SETIT_028167mg transcript:KQK94137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASQRVQTSRQGDGESSGASNDGGGVLPRDVLYEILLRVPAWVLCRFRTVCESWCSLLSGRPFIAAHEAHHRHRDPLFAVTCVFGKAVSSTGGDGEYKVLSLSRASDPPYDTQFCEVLTVDSHGTWRVAPGPPVAIKTLHRETLVASGVVYRLVDRTIGWTIAAFDLEAEQWRPDLLQGPASRNWVVRRSLAEVNSRLAAVSSTDSAFDMWLLMGSGAGPVVQATQSSHIVHRAEVLVPGGHDGRVAFCLRSPYCRDGTLWMYDPRTKMCTHLADCLTIGVGVYSGNLLRQV >KQK95692 pep chromosome:Setaria_italica_v2.0:VIII:37215644:37216222:1 gene:SETIT_027065mg transcript:KQK95692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMLKMAIVAACIALVLLSMGPPGAMADIQDDCRATCRGLCDGFGTNTCNSVIGIAPAVLNNVNFFFTTCKVRVSGLCTPLCVNTCSLNTVTPASPPPPPCKP >KQK96025 pep chromosome:Setaria_italica_v2.0:VIII:40589860:40591337:-1 gene:SETIT_028358mg transcript:KQK96025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSAVCRLHPGVAVTGFCPACLRDRLAGLQPPSSADLRRCKSFSYARSASALEPQRRSCDLFRHQQPIAAAVPEEQADYQYQYQEEPQAEQEAYCSSRKSFLGRKLQQWRRKNKKEPAAEMPGTQAQRHRPSCDVDGSSWDARSGPVPVLARLPATMLSLPVEEDDDMTMAPPVQRSDGQIPVEEDYYYLDASAAAAPGGTAQTRDYYLDSSSSSRRRRSVDRSATSGRNSFSDANNGGELPASWATTAMNANGNARVSPAIAAERPLYHHHYHHEEQSVLVHHQYDFSGSHQSSQKQPANKKGIRGWSIWGLLHKKSSRKQQPAEAAAGAGDDRYPYPWPELRARGYNGQMLRCNSSISARSSFSGGAAMIGGSGRRSISGVDMRDPRDGHGGGLLSERRTRRDEVLLERTRHSFFWLTRRAI >KQK93305 pep chromosome:Setaria_italica_v2.0:VIII:442398:443240:1 gene:SETIT_026958mg transcript:KQK93305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLTRPTPGTVQCFGRKKTAVAVAYTKPGRGLIKVNGVPIELIRPEGLRLKAFEPILLAGRSRFKDIDMRIRVRGGGKTSQIYAIRQAIAKALVSYYQKYVDEAAKKEVKDIFGRYDRTLLVADPRRCEPKKFGGRGARARFQKSYR >KQK95658 pep chromosome:Setaria_italica_v2.0:VIII:36939817:36940380:-1 gene:SETIT_026912mg transcript:KQK95658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGKSDGSPDAASLALRIATVALSVASAAMMASASQRSCAGCAPASQPVSYSDYSSLNIVSAALQAAAACLKARGKEGESKAAKSLAELVDTAAQVLLYSSSALAFSVDDFGTCGHRVAGVCKGSGEFCQRVRASGAVSMAAAVALAASKYLNDVPVSTWFKGDEKKAKQGCGRGCHCHH >KQK93586 pep chromosome:Setaria_italica_v2.0:VIII:2134965:2136693:-1 gene:SETIT_026260mg transcript:KQK93586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLPWAAQCAGMAFSAFSLCLVALAMVLLLVRRWPWCSCHVCRAYLTGSWARDFTNLGDWYAHQLRESATGTIHVHVLGCTVTANPANVEYMLKTNFDNFPKGKTFAALLGDLLGGGIFNVDGHAWRHQRKMASLELGSVAVRSYAYKIIAQEVEARLMPVLTDAADSGAVVDLQDVFRRFAFDTICKISFGLDPGCLEREMPVSKLANAFDAATRLCAMRGAAASPLLWKVKRMLNVGSERELKKAIKLVNELAAAMIRERRKLGFANSHDLLSRFMASAGDAHAVDDKYLRDIVVSFLLAGRDTVSSALTTLFMLLSKNPAVAAAMRAEAGGDDSTPVTYEHLKSLHYTHAVLYENMRLFPPVQFDSKFCAAADVLPDGTYVSGGARVMYHPYSMGRMPRIWGADHGVFRPDRWLTGAGGSFVPESLYKYPVFQAGLRVCLGKELAITEMKAVAVAVVRGFDVEVVGESGSGACAPKFVSGLTASISGGLPVRIRRVRK >KQK95727 pep chromosome:Setaria_italica_v2.0:VIII:37572792:37575419:1 gene:SETIT_026913mg transcript:KQK95727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRRRAPLLARVPERKDPHDIRANPKVQLAQAKRFATGVLEHYNKRKKIKFELLDAKPVISIPEPRCCYTHINFTARTSKEDSEEQLFFAEIYHCGPRRAPNGFIVTCCEPLGPDSAGDYFSFLLWDKTFREPDCTSVVRKNADFTYCFACTERKLHPRGESYVAGHCNIPRIYDYVR >KQK95933 pep chromosome:Setaria_italica_v2.0:VIII:39743978:39744416:-1 gene:SETIT_028104mg transcript:KQK95933 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YIDPVYIKSGRFTEKSDVYSFGVVLLELITRKKAKYGDNSLPLDFVKCCKEEGNGRKLYDRDILPDDDAHAHRHMECLDRIGALAVRCLKEDVDERPTMAEVLDALKQLIVS >KQK94553 pep chromosome:Setaria_italica_v2.0:VIII:21653064:21657879:1 gene:SETIT_026440mg transcript:KQK94553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWQMNLGEAVELGPYPERVGEPDCSYYMRTGMCRFGMTCKFNHPADRKLAVAAARMKGEYPQRSGQPECQYYLKTGTCKFGATCKFHHPREKAAMATRVQLNELGYPFRPNEKECAYYLRTGQCKFGSTCKFHHPQPSTMMVAVRGSGYSPGQSATSPGQHAYQGAVTSWPLSRSASFIASPRWPGHSSYAQVIVPPGLVQVPGWSPYTAQIGSSSSDDQQQTPGAAQYYTGSRQSGTPGMGDQGMFSSYQAGSVPVGLYAVQRENVFPERPDQPECQFYMKTGDCKFGAVCKFHHPRERIIPTPNCALSSLGLPLRPGEPICSFYSRYGMCKFGPNCKFDHPLGTVMFGHASSPTGEVPTSRRMLAHVPSHPEVSPDSGSGRSRRISHSDSQQIPPGERSTEREAS >KQK95301 pep chromosome:Setaria_italica_v2.0:VIII:33164584:33168562:-1 gene:SETIT_026126mg transcript:KQK95301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLVFALVLLGCLQSSAAAEFQVEALYEMRQQLIDNSGVLNYWKDNQMSPCYWDHVTCQDNKVTTISLSSSGLTGTLSPSIAKITTLQELILHGNSITGVIPQEFGNLSSLKTLDLGKNNLNGSIPDTLGRLPKLEILDLSQNHLSGSIPSSFINLQSLNNINLAYNNLSGEIPQYLLRVPQYNYTGNHLNCGQHLFPCEGGSTKTDGSKNSKLNVVLGSIAGAVTLLVLGVLFVLWWQRMRYRPEIFIDVSGQNDHRLEFGQIKRFSWRELQIATNNFSEQNVLGKGGFGKVYKGVLPGPDSIKIAVKRLFDVQSPEGEMAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKLNEPALDWSTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVTLLEIVTGERVIAFYPEAGEIMLIDRVKLMMEEGQLEAIVDRNLGDLYNLEELEKIIQIALLCTDMEPDHRPAMSEVVQMLEGELVPAERWQEWQLAELNRRQQYEMRQQCKPFSFSEESLNIQEAIELSTGR >KQK95300 pep chromosome:Setaria_italica_v2.0:VIII:33164283:33169879:-1 gene:SETIT_026126mg transcript:KQK95300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLVFALVLLGCLQSSAAAEFQVEALYEMRQQLIDNSGVLNYWKDNQMSPCYWDHVTCQDNKVTTISLSSSGLTGTLSPSIAKITTLQELILHGNSITGVIPQEFGNLSSLKTLDLGKNNLNGSIPDTLGRLPKLEILDLSQNHLSGSIPSSFINLQSLNNINLAYNNLSGEIPQYLLRVPQYNYTGNHLNCGQHLFPCEGGSTKTDGSKNSKLNVVLGSIAGAVTLLVLGVLFVLWWQRMRYRPEIFIDVSGQNDHRLEFGQIKRFSWRELQIATNNFSEQNVLGKGGFGKVYKGVLPGPDSIKIAVKRLFDVQSPEGEMAFLREVELISIAVHKNILRLIGFCTTPTERLLVYPFMENLSVASRLRDIKLNEPALDWSTRMQIALGAARGLEYLHEHCNPKIIHRDVKAANVLLDGNFEAVVGDFGLAKMMDIGRNTVTTGLRGTMGHIAPEYIKTGRPSVKTDIFGYGVTLLEIVTGERVIAFYPEAGEIMLIDRVKLMMEEGQLEAIVDRNLGDLYNLEELEKIIQIALLCTDMEPDHRPAMSEVVQMLEGELVPAERWQEWQLAELNRRQQYEMRQQCKPFSFSEESLNIQEAIELSTGR >KQK94315 pep chromosome:Setaria_italica_v2.0:VIII:13048604:13051728:1 gene:SETIT_026504mg transcript:KQK94315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGKVIRCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTSLCHTDVYFWEAKTPVFPRIFGHEAGGIIESVGEGVTDVAPGDHVLPVFTGECKECPHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHIGCVAKINPEAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEAKKFGCTEFVNPKDHSKPVQQVLADMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINKAFDLMAKGEGIRCIIRMQN >KQK94317 pep chromosome:Setaria_italica_v2.0:VIII:13048604:13051728:1 gene:SETIT_026504mg transcript:KQK94317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRVKILYTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIIESVGEGVTDVAPGDHVLPVFTGECKECPHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHIGCVAKINPEAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEAKKFGCTEFVNPKDHSKPVQQVLADMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINKAFDLMAKGEGIRCIIRMQN >KQK94316 pep chromosome:Setaria_italica_v2.0:VIII:13048604:13051728:1 gene:SETIT_026504mg transcript:KQK94316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGKVIRCKAAVAWEAGKPLSIEEVEVAPPQAMEVRVKILYTSLCHTDVYFWEAKGQTPVFPRIFGHEAGGIIESVGEGVTDVAPGDHVLPVFTGECKECPHCKSAESNMCDLLRINTDRGVMIGDGKSRFSINGKPIYHFVGTSTFSEYTVMHIGCVAKINPEAPLDKVCVLSCGISTGLGATINVAKPPKGSTVAIFGLGAVGLAAAEGARIAGASRIIGVDLNPSRFEEAKKFGCTEFVNPKDHSKPVQQVLADMTNGGVDRSVECTGNINAMIQAFECVHDGWGVAVLVGVPHKDAEFKTHPMNFLNERTLKGTFFGNYKPRTDLPNVVELYMKKELEVEKFITHSVPFSEINKAFDLMAKGEGIRCIIRMQN >KQK94434 pep chromosome:Setaria_italica_v2.0:VIII:16443497:16444167:-1 gene:SETIT_027694mg transcript:KQK94434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FLQNIPSVSWERFALEYIEFLKAISYPGRSYYGTANYECALCGAMFWYGDRSKSDSTEHRVTYNLCCRAGKVFLPSFRAVLEFFASLYHFDGDSQCKNFLNRIRQYNSLFAFTSMGANTDEGTNDGDGPYVFKINGLVYHRIGSLMLAEDESPKFAQLYIYDMEHEIRNRISAIVSEDSDDTSVGGMPWRQS >KQK94196 pep chromosome:Setaria_italica_v2.0:VIII:10774185:10775497:1 gene:SETIT_028304mg transcript:KQK94196 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGWTDDHPRKLQYSLVKEITNNFADELGRGSLVKGTPKGQ >KQK95801 pep chromosome:Setaria_italica_v2.0:VIII:38181129:38181389:1 gene:SETIT_027534mg transcript:KQK95801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLRMHQTYYNSKIHKQQTDEQPHLHAKLQHCLTELSEMFQEKTLTEFNTISQAYIQFFMRNLASKSSLNTA >KQK94015 pep chromosome:Setaria_italica_v2.0:VIII:7580511:7582859:-1 gene:SETIT_026778mg transcript:KQK94015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVLDVGCGIGGPLIEIARFSSTLITGLNNNDYQISRGKELIFSAGLSEQCCFLKGDFMNMPIADNTFEAAYALQATPHAPDAQGVYSEIYRVLKPGKYFALDEWCLTDWFDPSNARHLAIKAEIELGDGLPDIRTTRQCVQAMNDAGFEVIFAKDLAEDFPCPWYRMMDPNKLSLTSFQCTRPGRIMTRAIVNILEFLHIAPAGSVEIYNFLQSASEGLLKGGREGIFTANFFVLGRKPLKETEIVNGSL >KQK95915 pep chromosome:Setaria_italica_v2.0:VIII:39550421:39552020:1 gene:SETIT_026381mg transcript:KQK95915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKQLALVLTLLAISLGHAAAAASVRMKVMHVDAGRGLTNRELLQRLSSRSKARAARLLPKAASAPVTPGAIPGAVPDTEYLAHLAIGTPPQPVQLTLDTGSDLVWTQCRPCISCFRQALPYFDPSLSSTFAGVPCRSTACQSLRKPSCVRSPRGQQACAYSYSYADGSVTIGRIEADTVTFDGHTATAVTGVSFGCGHDNTGIFESNETGIVGFGRGFLSLPSQLKVGNFSHCFTTITGAAAPSAVLLGLPANLYNSARGVVQTTPLVRNPPRNPNFYYVSLRGITVGSTRLPVPESAFTLRDDGDGGTIIDSGTGMTSLPEDVYKLMREAFVAQVRLRVYNVRSLSQLCFAAPSSSTAKPDDVPKLLFHFEGATLDLPRENYMFDAVEEGRSITCLAINAGGGSMTIVGNYQQQNMHVLYDLANGKLSFVPAQCEKL >KQK93281 pep chromosome:Setaria_italica_v2.0:VIII:343799:346348:-1 gene:SETIT_026643mg transcript:KQK93281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAIKRRPCRTETDNTSEKRMETTISNPVTEPLLGNGPHEDKSKRYEPATWSGFWDGTRQECLRWAHLLSIFIAQSARKIVNVLSEFGSLIARLFGCSSAPQSSQNGQTVPLNLSPLQVERLRLLKQRLDVPYDCSSVKHQDALKELWRLAYPDRQLPPLKSDLWKEMGWQNSDPSTDFRAGGFLSLENLIYFARNYPDSFHRLLHKVEGKRAEWEYPFAAGGVNISYMLVQMLDLQSGKMSTKAGVHFIQLLEDDEAAFDNLFCVAFQVLDAQWLARQASYMEFNEVLKSARVQLEKELTMGCISSVQELPSFRMLTR >KQK93282 pep chromosome:Setaria_italica_v2.0:VIII:343614:347070:-1 gene:SETIT_026643mg transcript:KQK93282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKAIKRRPCRTETDNTSEKRMETTISNPVTEPLLGNGPHEDKSKRYEPATWSGFWDGTRQECLRWAHLLSIFIAQSARKIVNVLSEFGSLIARLFGCSSAPQSSQNGQTVPLNLSPLQVERLRLLKQRLDVPYDCSSVKHQDALKELWRLAYPDRQLPPLKSDLWKEMGWQNSDPSTDFRAGGFLSLENLIYFARNYPDSFHRLLHKVEGKRAEWEYPFAAGGVNISYMLVQMLDLQSGKMSTKAGVHFIQLLEDDEAAFDNLFCVAFQVLDAQWLARQASYMEFNEVLKSARVQLEKELTMGCISSVQELPSFRMLTR >KQK95597 pep chromosome:Setaria_italica_v2.0:VIII:36087865:36090508:1 gene:SETIT_027660mg transcript:KQK95597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAIIFFLSAMVLSVRAAVVEHTFVVSQVNMTHLCKETLVTVVNGQLPGPAIEVTEGDSVAVLLVNKSPYNITIHWHGVKQWLNCWADGVPMVTQRPILPNHNFTYRFNVVGQEGTLWWHAHVPFLRATLHGAVIIRPRNGAISYPFPKHDMEVPIIIGDWWQLDLPQVDRSMKNGSFDFFASGSTINGKLGDLFNCSGVPEVGYVLDVVPGKTYLLRVINAGLFSEFYLKIAGHKFTVVAADANYVSPYTTDVIAIAPGETVDALVVANATPGRYYMVALPNQAPRPDTQTKEFTTRGMVQYRVNHSSMTNGPAALRSTRGAKDEENDGLSGDVALAPQMPDEHDTMTSFYFHSNLTSLHHLSVPQQVDENLFLVLGLGSICRNGQQSCKRGGNNNESIVVATMNSVAFQHPTANVPLLEAHYYHSGLLDAVQELPDGPPRAFNFTDKALIPFGPKEMRLEPSSKATAMRRFQHGAVVDIVFQSSAILQGDSNPMHLHGHDMFLLAEGLGNYNAAKDVERYNLVNPPVKNTVLVPNLGWAAVRFVANNPGVWFMHCHYEFHLTMGMAAVFIVEDGPTVDTFLPPPPSNFQ >KQK94148 pep chromosome:Setaria_italica_v2.0:VIII:9716155:9719516:1 gene:SETIT_0280281mg transcript:KQK94148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFRMALDARVDCMEVDVSRSSDGVLFALHDSCSNPGGSPIKHTVIAMSPDGPGSKDDKRVKAFAHITIHIILRSRPPVIQRYISWLRSKGRETCILQDGLLICRDLQKMSGNSTAKVGHWSTDEIKALRFQLSKRVQNEEVPKAEDALAVISRSVRQVILDVKVGPPSFDKGLAEDVLSLLKRTNCKNCLVWAKTDNLARDIIKLSEDVVVGYIVMVDKSTGRKTEFVRLEGAKVAGVYHPLIHEKVVKVMRRHGKKVFAWTVDDNKSMEKMLHEHVDAIVTSNPSLLQQLMHETRTECLEDGFALP >KQK94204 pep chromosome:Setaria_italica_v2.0:VIII:10829795:10831993:-1 gene:SETIT_026636mg transcript:KQK94204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDASRGSKPSLLSTLPNELPAEFLKDITDGFSLERKLGQGAFGTVYLGILQDGQPIAVKKLADNSPVAPEKQFLNEVINLMAVQHENIVKLFGYCHATLKKVIEHGGRYILVDVVERLLCYEYCPKGNLDKCIFDASTRPDWKTCFKIIKGICQGLHFLHKEMDRPIIHLDLQPANILLDDNMVPKISDFGLSRLFGEEQTRINTINVVGAKGYMAPEYLYRGEISTRSDIYSLGVLIIEITTGERNFSSEKDMSARDFIDKVRAKWTDEHIASKCSSLDAVSLQEVRTCIEIGLKCVDIDQRKRPTIVEILDKLNGRHAP >KQK94203 pep chromosome:Setaria_italica_v2.0:VIII:10830352:10831382:-1 gene:SETIT_026636mg transcript:KQK94203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQHENIVKLFGYCHATLKKVIEHGGRYILVDVVERLLCYEYCPKGNLDKCIFDASTRPDWKTCFKIIKGICQGLHFLHKEMDRPIIHLDLQPANILLDDNMVPKISDFGLSRLFGEEQTRINTINVVGAKGYMAPEYLYRGEISTRSDIYSLGVLIIEITTGERNFSSEKDMSARDFIDKVRAKWTDEHIASKCSSLDAVSLQEVRTCIEIGLKCVDIDQRKRPTIVEILDKLNGRHAP >KQK93979 pep chromosome:Setaria_italica_v2.0:VIII:7077312:7080195:-1 gene:SETIT_025918mg transcript:KQK93979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVRFLLLQGTVLAWLLLILPMPSSSFLQAKRSNGRCITSEGDALLSLKAGLSDPRGQLSSWQGEDCCQWKGVRCSNRTSHVVKLDLHGDCRRPSYELGGEMSSSLVGLQHLKYLDLSCNNFNGSSIPKFIGSLKSLEYLNLSGAVFGGRMPPQLGNLSNLIYLDLNSYYGHSLYSDSLTWVSHLSLLKHLDMRGTNLSAAVDWIYGISNLLSLEVLHLSGSDLRNTITILSHSNLTALKVLDISYNSFHTAISPNWFWHIRTLTYLDLSSSGFQGPIPYEMGNMTSLEQVYISDNNIPSVIPPNWENLCNLNILNLEFNNITGDIGDLMDRLPKCSWNKLYLLDFSYNKLGGNLPNWLQPLKNLSYLNLYGNDITGPLPLWIGGLNNLTILNLGSNRLVGEINEEHLEALTNLQVLQMSDNSLSMGVHSNWIPSFKLKVASFRSCQLGPAFPSWIRWQRSINVLDISNATIYDNVPDWLWVVVSTASFLDMSKNLLSGTLPASLEMLAAEMVDLSSNRFAGPVPRFPRNIMYLDLSRNNLSGTLPDFGAMHLHTFALYNNSISGSIPFSLCLVQFFYILDLSGNMLSGELPTCKGDSDSYKYMVALNLNSNNLSGVFPSALQMSQDLVFLDLAYNQFSGNLPAWLGDKLPSLALLRLRSNNFSGNIPIQLATIQGLQYIDLACNRISGQIPESIVNLNAMARSNGYSHSLGEVEGFGMGQTYDNYMMGSYDTYSAMIFFTETTSVLTKGQQLEFSKGIQYMVNIDLSCNNLTGQIPQGISALVALKSLNVSWNHLSGRIPNNIGDLKALESLDLSHNELSGEIPSNISALTSLTSFNLSYNNLSGRIPTGNQLQTLATDDPASMYIGNIGLCGPPLTKGCPGNGTSNSRCKPEEKDNWMVNSVFLSMIIGFIFGLWVVFCIMLLHKGLRYSYFASTDYLYHTMCVHVVVTWNFLVRR >KQK95957 pep chromosome:Setaria_italica_v2.0:VIII:40148831:40152359:-1 gene:SETIT_026979mg transcript:KQK95957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCGGSDAPIIPIGLGGFVACRAFTEKQWSNKSFSALGRVAFKLYDLKQQGFIEKQEDKQMMVATLAESGMNLSDDIIEGIIDKTFEEADTKHDGKIDKEEWCRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >KQK95956 pep chromosome:Setaria_italica_v2.0:VIII:40148613:40152996:-1 gene:SETIT_026979mg transcript:KQK95956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRSSLLDWEVLWPAELSQRNSGPTKVSRPWDVDKQMMVATLAESGMNLSDDIIEGIIDKTFEEADTKHDGKIDKEEWCRNLVLRHPSLLKNMTLPYLRDITTTFPSFVFNSQVEDA >KQK95034 pep chromosome:Setaria_italica_v2.0:VIII:30100463:30101627:-1 gene:SETIT_027474mg transcript:KQK95034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAPERGTGDPPPPAVTSPSRRRHPRSDRVGTGSGPGDPGSAALAGRHCHGRYWSSKPDTVRKRTWGEGGRRCGARGPASAPSRAAAAARQAAAAVAGGGRRRRLLWGGGVAPSVAQRGDAEGSFFFLLLPNTPFRSTTFLIHIAQRLYPELENLSQLLTVCEVYCAAETKISRDLDWYGILQVEATADVTVITKHYDKLAYWLHPDKNTLPEAHAILCDNVKRSLYDMKRQHASREVTGNVIRQSGKNHPDKCNMGGRTTLSDFTMVFSTICPHCLF >KQK95173 pep chromosome:Setaria_italica_v2.0:VIII:31633828:31635173:-1 gene:SETIT_028185mg transcript:KQK95173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGAGTSADHTQHAPLPVCVRKGSKASQSLATAAAAAAAQTRCSTKCAPASETRRSDEAQEAQNRPCAGLPEDILGVVVGRLPLVEERAKLLSPPPPPPLPPLLLYDFSFASFCAEGTLTGPERETESAGSVRCMGSFEGWLVGVKLNKGSLRCFLMKAFSRDVVRPPPPSAGARHVDAYSWSLPIVNGSGVVNCAINATVCVAVGISIIKSAAKLAFWQSGMKSWCISSEFTIDVFAFEISEDNIGMIVSRVERFIFELPESGVENLIIVATYSGGTEYGHMIDETRIFEADLSTNPVRFTEIQNLDGDCIFISPCSSKSFRSCHDGVGEDLIYFIDGNLCPEKFVYSMKDGTMAPVAAHGSEDKFWAADAVCDMVIFS >KQK94319 pep chromosome:Setaria_italica_v2.0:VIII:13053850:13054347:1 gene:SETIT_027066mg transcript:KQK94319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRRRRTGGEAGSGQRPGSAGGPSCWRAEDRRRGLDCCKVGGEEEGEEGSSHCGASLPLPFSPWTAVNCDLGRLFSLARKMYKGLLLELFFYSLVGNALFMLVVL >KQK95024 pep chromosome:Setaria_italica_v2.0:VIII:29986499:29990213:1 gene:SETIT_026275mg transcript:KQK95024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRWRSRARRDPDLDDDDEGSPPSRQPRRGGGSDEDNDDDEGNEDLSLEILARARRKRRGASGGSGRVPGFAHLLSVSSGDEEADEDAVVELGEAEESRRKQRKKQRRKQRKKNRKEPAEAAAAAPATGQEEEKEEGPIGTAESVLTEDGADVPVSDNMVLRKLLRIPRYFDPGETLLETCFNCSEEGHVAANCPMEKRKKPCFVCGMFGHNAKQCTQGQDCFICKKGGHMAKDCPDKHKRNDHQSTLCLRCGEIGHDMFGCTNDYLADDIKGCAKQRRETSAVITPTQCYKCGEEGHFARGCTKNAKSDRSKGKSSSHSQRKEKWKKDSSARSAPHDARKTSKRKSPHFEDRMDTPRHKSKARGGWTGGDDLEDLPFKKYKSKEWGSPSTPKKPYTNHQFSSGGDYFTPQSSRRHNHGFASPNSNYSPSAKKHGFSSSRFATSNTHLRFERS >KQK95025 pep chromosome:Setaria_italica_v2.0:VIII:29986499:29990213:1 gene:SETIT_026275mg transcript:KQK95025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQRWRSRARRDPDLDDDDEGSPPSRQPRRGGGSDEDNDDDEGNEDLSLEILARARRKRRGASGGSGRVPGFAHLLSVSSGDEEADEDAVVELGEAEESRRKQRKKQRRKQRKKNRKEPAEAAAAAPATGQEEEKEEGPIGTAESVLTEDGADVPVSDNMVLRKLLRIPRYFDPGETLLETCFNCSEEGHVAANCPMEKRKKPCFVCGMFGHNAKQCTQGQDCFICKKGGHMAKDCPDKHKRNDHQSTLCLRCGEIGHDMFGCTNDYLADDIKQIRCYMCNQNGHLCCFDFSDNSPKQISCYNCAKSGHSGLGCAKQRRETSAVITPTQCYKCGEEGHFARGCTKNAKSDRSKGKSSSHSQRKEKWKKDSSARSAPHDARKTSKRKSPHFEDRMDTPRHKSKARGGWTGGDDLEDLPFKKYKSKEWGSPSTPKKPYTNHQFSSGGDYFTPQSSRRHNHGFASPNSNYSPSAKKHGFSSSRFATSNTHLRFERS >KQK95879 pep chromosome:Setaria_italica_v2.0:VIII:39144002:39146332:-1 gene:SETIT_028148mg transcript:KQK95879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFICIDDIRSTQDLEVIICALPDNDLGSRILTTTRMKDIAISLSRCPNDVVYEMIALNETDSKSLFCNCLYVQEEEWPDHFEESWKEILEVCGGLPLAIIVTAGFLGRTSAELSLQSEKLKKAIPSELDESYPESQPMRKILDISYADLPQPLKFCFLYLTAFSGNYDIKKDRLVRRWVAEGLIPERHGNSSWETGESYFDELISRRLIQPAFDGNDDQPIGCTVHGVVFDFLESISTEENFITPAGAELKSGLFPCERVCRVSLDCGDTLISNTYCLLKLSRVRSLAFSGDAGRISNLCALKYLRVLDLEDTKGLENKGLESIGHLSLLRYLGFGGTDVTVLPEPIMALEQLTTLDLRRTRVRRLLPVLCRDTKLVSLLADELAILPTQLRRMQNLEELSKVLLGGYGTLASELAGHANKLGPLRMLVIRFNHFHSHMATERQVVKRLLEELGKSNLQSLLLDNYPLPLLGLLLHYSLAQNLQKFELRIRGCLPQVPQEMASLIAITHLHINVEQVEEQAVHALGSLPNLVVLRLDLYTSPSMTVSSKDGFQCLKVLCFSSHYNGGKGIQFEAGAMPLLRRLQLELYAWVTRSKHDDFDFGIQHLPSLVQVHATINWRKSTLTSLEVDAAETKIREQVSRNTNNPEELVITVNSLQEWGKQIDPRKLVVVHFSAKWCSPSRKMSPVFAGLAKKYRNVVSLKVDIDVEEMNTGRVLGAHKEELEEVLEEQADLML >KQK93594 pep chromosome:Setaria_italica_v2.0:VIII:2219161:2219998:-1 gene:SETIT_028168mg transcript:KQK93594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSVEPLIVGRVIGEVLDSFNPCVKMIVTYNSNKLVFNGHEIYPSAVVSKPRVEVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDASFGREVINYESPRPSIGIHRFIFVLFKQKRRQTVTVPSVRDHFNTRQFAEENDLGLPVAAVYFNAQRETAARRR >KQK95944 pep chromosome:Setaria_italica_v2.0:VIII:39915117:39917970:1 gene:SETIT_026364mg transcript:KQK95944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFPSIPARPSWIILDRFIHRTDRDVEDEADGTASEISYTCTDRPIRASIRVADSPAVSRLYLDWPSRPEFGGRLREPRVIAAHNHSILFRAIVPLEDPMFCKDTASFPVDMFVYSAFSSPPSLHRLRTCFTGGVSTPDEDIYFKPYQRCQQRIMAEKHIGLLCHGSKGGFTVVDFTNFGLEGELCLLHHPALPASASHKNTEEEEADWMIKKVRLPPGPRVRRWITDAIIPLHGRYLCWVDNYQGILVVDVLRASDKNTTDELLHYIPLPHEALQSDRSHPDGDCPDKARCVCVTADFTLKLVCVTTGKANRARSPFTIRSWTFPWKFPHVFPSGRWYRGHTMEAAEFWGLYNGQSLPQVKPMYPLVSLVNPDEFCFLLKEDHTTYWIIEVDMGNKMLKSSAIYINEEEEGCTTDRPRARRIVFDGHSFIPSGLSDYLGMDAIKR >KQK96002 pep chromosome:Setaria_italica_v2.0:VIII:40391652:40394438:1 gene:SETIT_025985mg transcript:KQK96002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATPEEFLGQGAYLAAPEPFSPSVFLDLPPTPRPDADDPDASDDLVLPFISRMLMEEDIDDKFFYQFPDHPALLNAQQPYAQILSDTTASSSSDSAATNTTSSGTAANSTLSPPSSDAPSSAEPAWPYDSIELSQLLRSPPYPDMGVGLDDFTADDVDALLLQGRQEGATAGFHQSPPVLDTGGGGGGQRQGASLATQNAGSGESQRPRASLAAQNAAGDGSQRQRDSFAAQNAGGGAGIRSLPADEQETKTANATALPAADTDHAALASAFFGAQNGENMDMLNMAFLKGMEEAKKFLPTNNSLLMDLEANSGQSTDSKPVTGFTAARVKEEEVVDGMLLFGGGTTNGRGRKNRYTQEDLEAETGRSSKLMMPEQEETGASELYSEIMSLNYEEFMKRMSDLRIAMDSESEKSARKVSGKKGARGRQRADEVVDLRTMLIHCAQSVATGDRRSGIELLRQIKQHSSPKGDATQRLAHCFAEGLEARLAGTGSQVYQSLVAQRTSVVDFLKAYKLYMVACCYMKVKFTFSTATICNAVAGRSKLHIVEYGVQHGFQYPGLLYTLAKRKGGPPEVRVTAIAVPQPGFRPAHQIEETGHRLSNCARELGVPFKFNAILAQWETVRAKDLNIDPEEVLVVNSECFWGNLMDESVLVDSPSPRDMVLNNIREMRPNVFIHSVVNGTFGAPFFLTRFREALFFYSAQFDMLDATIPRDNDERLLIERDVIGRSALNVIACEGADRVERPETYKQWLVRNHRAGLRQLPLDSEAAKVSRDKVKNYYHRDFLIDEDNRWLLLGWKGRVLYAMSSWTAEDNKPLF >KQK93797 pep chromosome:Setaria_italica_v2.0:VIII:4439361:4442538:-1 gene:SETIT_025888mg transcript:KQK93797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLTAMGPFFLVLIASCIHVVICSSNGNHNDRLPLLEFKKAISLDPRQALMSWNDSTHFCSWEGVLCSVKNSIRVISLNLTNRGLVGQISPSLGNLTFLKILVLSTNSFSGEIPRSLGHLPHLQILSLQNNTLQGRIPTLANCSKLTELLLANNQLTGQIPVDLPQRLENLDLTTNNLTGTIPDSVANITMLQMFSCAMNYIEGNIPNEFANLLRLQVLLVSINKMSGLFPQPILNISNLVELSIAINDFSGVVPSSIGNSLPDLQAIELDDNFFHGHIPSSLTNASKLYSIDISSNKFTGLVPGSFGKLSKLTWLNLQLNKLQARTTQDWKFMGSLANCTELSELSVAYNYLAGQLPNSVGNLSSMLQGLFLGGNQLSGNFPSGIANLRNLVTVSLFGNNFTSVLPEWLGTLNSLQVIQLSDNFFTGPIPSSLSNLSQLISLDLESNQLNGNIPPSLGGLQMLQELLISSNNLHGTIPKEIFTIPTLVRISLSFNRLQAPLHANIGNAKQLTYLQISSNNLSGEIPSTLGNCESLEIVVLGHNFFSGSIPASLGNISNLHFLNLSHNNLTGSIPVSLSGLQFLEQLDLSFNHLKGEVPTKGIFKNATALWINGNQGLCGGPPGLHLLACPVMHSNSANHKLSVIWKIVIPVAIVLVFAAVFAFWLFRRRNQKTKAISLPSLGRFPRISYSDLVRATEGFARYNLIGQGRYGSVYRGKLFPDGKEVAIKVFSLETRGAQKSFIAECSALRNVRHRNLVPILTACSSIDSNGNDFKALVYEFMPRGDLHNLLYSTHSSEGSSCLNYISLAQRLSIMVDVSDALMYLHHNHQGAIVHCDLKPRNILLDDDLVAHVGDFGLARFKLDTAPSFVDSNSTSSVAIKGTIGYIAPEYAAGGQVSTAVDVYSFGVVLLEIFTRRSPTDDMFKDGMTIAKLTEINFPDNVLQIVDPQLLQELEQREDVPTTIIRDSRAQILHSVLSIGLCCTKTSPNERISMQEVAAKLHGIQDAYLRGN >KQK95532 pep chromosome:Setaria_italica_v2.0:VIII:35422398:35423927:1 gene:SETIT_028163mg transcript:KQK95532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGAAAAPLLPSAAAAPDAYAPRRNRFSFVCATLASMTTILMGYNLALMSGAQLFIREDLGLSGVQIEVLAGSMNVFMLVSILAGGWAADFLGRRRTLVLANAFLMAGALAMSVGGSYTELMAARFVTSVGAGFARVVAPVYNAEISPASTRGVLSSFLDMFISVGILLSYVSNYVFAGLPVHLGWRVMFAAGALPPVLLAAGVLAMPESPRWLVMGSRHADAHAVLLRTSDTPAEADLRFAEIKQAVSAPQQASAGGGVWKEMLVRPTKSVRRILVCVLGLQFFQQASGIDAIVLYSPLVFEKAGMPSSTAVLGATVAVGVVKTGFILVATFLSDRVGRRPLLLASTGGAAMALASVALTLCIVGGGDSSSSSALGTVACIASVLAFVAAFSVGLGPVVPTYTSEIMPLRLRAQGTSFGTAVNRVTCGLVTMTFISLADWITMPGCFFLYACVAAAACAFVHVQLPETSGRSLEDMDVLFSK >KQK95627 pep chromosome:Setaria_italica_v2.0:VIII:36654159:36654773:1 gene:SETIT_027819mg transcript:KQK95627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRRAALGGSGGSAWWRGAVGACTESLGSESGDVGGGEIDDDQLLPAADDDGADTAGAGGVREEEEEEVQPPAPEEEEKRRRRGGLLLPPVMPRASEALVIRAERRGGRLILTEVRAAERQRRGVFRASRDGGRLQLCFAATAANAPDDDAGEPNNQQQAGNDDNKAGGGAVVSAQHGGEPCQVAAAGAAGPRSRVEVGAVVGT >KQK94420 pep chromosome:Setaria_italica_v2.0:VIII:15437126:15437469:1 gene:SETIT_028476mg transcript:KQK94420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGQVEKSFSRTQIDLLGWLLGFLALRTLNIRNCRRYRKKRTMEY >KQK95147 pep chromosome:Setaria_italica_v2.0:VIII:31374366:31374995:-1 gene:SETIT_028447mg transcript:KQK95147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHRRPGRHLRRRRRGGRGGITTATRSSEGGGGGDAGRVQRARTAQGCAAPSRAAGGRSPWARVGRRRRSLTRGARPPATGPAPRALVPSPRLHAGPASHDRFRGSATDLVAAVGPRLLKRGPGMRTGRSSDLALVGEMLEETVGGVIDLWSPFVAPLPL >KQK96003 pep chromosome:Setaria_italica_v2.0:VIII:40398181:40400139:1 gene:SETIT_026116mg transcript:KQK96003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPEEFLSVHPEPPSPSVFLDLPPTPPPHDDPAGAFDDMALPYIARLLMDEEAADEASFFYQYPDHPALLKAQLPFAQILSGAAAPDDDDSGNTTTSPSFSADTDTRGTSSPGGRGGSDSDHSPTLTSPSTSFIPAADDADMVTSAFLKGMEEGTKHNASPETEARRATKLMAAPEPLDASDARKMFDEMMLGERDISMKGVEQQQQRVIADTANHKAAPRRRRRRTSNSNSTNNNEAVDLHALLLRCAQSVSTDDRRGAQDLLAQVRRHSSATGDAAQRLAHCFAEGLEARLAGTGSRLYNSLMLQPTSAVDFLKAYQLFMAACCCKKVAFAFSNKTIFDAVAGRRRLHIVDYGIGYGFQWPGLLRGLAARHGGPPEVRITGIDLPQPGFRPAHQIDETGRRLMNCARELGVPFRFRGIAAKREAISPDDLDLGMADDDEVLVVSSLCHFRHLMDESVVAGRPSPRDQVLGNIRRMRPDVFIHGVINGGYGSTYFPTRFRELLFFCAAHFDLLDATVPRDSPERLLIERDILGRGAMNVIACEGADRVERPETYRQWQARNQRAGLRQLPLRPEVVKVVLDKVRDNYHKDFVVDEDQAWLLHRWKGRVLYGLSTWVAQR >KQK94337 pep chromosome:Setaria_italica_v2.0:VIII:13315955:13316368:1 gene:SETIT_027838mg transcript:KQK94337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSDLDFGPRLEIQLLVQERFSFLVSFSPTSSEPEFWLVASFGGSALHLNVESIGLILQSCLGGTALNFNVQHLSGCMFWLFVASKNVGFLVYRLRSHVCKLFALFFALWGNGGPNWQWEHILWLKEEEDEWTHVQ >KQK94922 pep chromosome:Setaria_italica_v2.0:VIII:28793429:28796011:-1 gene:SETIT_027347mg transcript:KQK94922 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWAIGQPKSRPIQNTAGTSPLSVAVPSLFSAAALHPIPEKSGATGRRRHAATVGPSSGCSADWCNRVTRARSRRSCRAVLRVFSRPCTHVVAREEEPIVNPLARKKVCKRIVNIDRQELEEYEYIVERTFHSEDEGYEFFNAFARNKGFSGRGRLHTQQTKMSRQYVCSKEGAGQPKFLNRETMKRRPRPVTRFDCPFEVAMRHKPEMNIWYVHKYLYTHNHVMARLNEVGFLFSHRRISELQKKEILAYQTAGLRKYQIMDVMEKQYGGPHNVGYAKIANAVLQFMKQQQQENSEFFFDYQTSSKGCLLNLFYTYRTNRHKMPFVPFVGLNHHRSTIIFACAIVSHENVESYEWLLRTLLVAMYKKKPRSIITDGDRAMRKAIRAIFT >KQK93520 pep chromosome:Setaria_italica_v2.0:VIII:1651229:1656448:1 gene:SETIT_026107mg transcript:KQK93520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAKPFCSTTQQPPTPIANRLPSPSQPPALSSRAAPRFAHGLSAAAGVRASPSARRLRALARPIRASSQHQQQQQLPRRRPEYVPSRIDDPNYVRIFDTTLRDGEQSPGATMTSAEKLVVARQLARLGVDIIEAGFPASSPDDLDAVRSIAIEVGNTPVGEEGHVPVICGLSRCNRKDIDAAWEAVRHARRPRIHTFIATSEIHMQHKLRKTPEQVVAIAREMVAYARSLGCPDVEFSPEDAGRSNREFLYHILEEVIKAGATTLNIPDTVGYTLPYEFGNLIADIKANTPGIENAIISTHCQNDLGLATANTLAGARAGARQLEVTINGIGERAGNASLEEVVMAIKCRGELLDGLYTGINSQHITLTSKMVQEHSGLHVQPHKAIVGANAFAHESGIHQDGMLKYKGTYEIISPDDIGLTRANEFGIVLGKLSGRHAVRSKLVELGYEISDKEFEDFFKRYKEVAEKKKRVTDEDIEALLSDEIFQPKVIWSLAAVQATCGTLGLSTATVKLIAPDGEEKIACCVGTGPVDAAYKAVDEIIQIPTVLREYGMTSVTEGIDAIATTRVVITGDVSSNSKHALTSLNRSFSGSGASMDVVVSSVRAYLSALNKMCSFAGAVKASSEVPESTSV >KQK95767 pep chromosome:Setaria_italica_v2.0:VIII:37902195:37907146:-1 gene:SETIT_026406mg transcript:KQK95767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVASVEKIVKIGLKIKQAVDTVRKNKEVCLEIRKRVLRFSDILSQLQQTGMLNNNPAMSGPLEDLEETLERGLELVTSCQERRSTIRRFITAGDLSKQLREVKDDILNKVMLASFAINTNSTIMLFTIHTGQHQAELHGHRTRCNRIRTPWPPPLTITLREFGLSELKAATNGFSDSNIIGRGGIATVYKGVLDDQSVIAIKKFRWAPRLGWAHTYEQLLLASKLQHKNVVQVLGYSHENGAVKDREYIWVEEYPRFDWSSLIRIIEGIAQGVRYLHEQRVVHLDLKPTNIVLDSHMNPKITDFEVAKVLNGNQLEGEIITAGTFQYIAPEYLTDGVVSMMNDVYGFGVTLLETAWKALEGRRKFDPALFAEPQLVEIKRCIQIGLLCAQHESADRPTMEDVLLMLNGEKELPTPEKPAYIKSTA >KQK95854 pep chromosome:Setaria_italica_v2.0:VIII:38961874:38962282:-1 gene:SETIT_028471mg transcript:KQK95854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYLFPLIVLWSSCNDFSRYFSLVWQMLLAMVLTSKSSDTQHHLRSSKCFSIAGSL >KQK93717 pep chromosome:Setaria_italica_v2.0:VIII:3534424:3535233:-1 gene:SETIT_028211mg transcript:KQK93717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGSKLLSLLSEKEHRLEEAIWNTMEEVRTRVLNDDDDDNERGIQTWQGSPDICKVTRSLATYIKSLWDNYWRLNYIVRAAAELGSYVPSRGIITSFHLSAQQVKTDPLTTLALEMVFSLEVKLAKRSESFPDHSLRFLFLVNNTHFQWQQLHPFFSMKYKEVLGLKIEDYIQKYLQASWAPIMSCLYNHTPRWFRKNSALPKFDSKFQKTYTAHKHWKVPDPELRTRLRKAIVEEVVPGLTGYLEDNNVTSPGVTPQEREEMLLELFEG >KQK94352 pep chromosome:Setaria_italica_v2.0:VIII:13582859:13585447:-1 gene:SETIT_026082mg transcript:KQK94352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALIDKNHGSRVIVTTRNVDVANLTSVDGALYELDPLSDEDSKRLLCTRIFNEEQVIHSDLEEVTTKILRKCGGVPLAIITVSSMLACIQNKTKLEWYGVYNSMGSGLEKDKSLENMRKILYLSYGDLPSYLKPCLLYLSMFPEDSLIQRNDLIRLWVAEGFVDEKKGSNSYDLGGIYFSELINRSMIMPVGMDEFGSVSGCRVHDMVLDLIIYLAAQENFAMLSEGPDLKTSACKIRRLSLQGSELDTDKEDRKEGQTAMPTTVDMSHVRSLIALGDAFQWMQPLSRFSVLRVLVLELSPSKNNDPKDIFRLHHLRYLELRGELATELLEHIGNLQSLRTLDLWGTSLKELPPSIVQLKQLERLITDEEVKFPDGIGNLVSLQQLEVLDVKKSPNTLAELVKLTELRALTITGFHENEGLIKTFLQTLINLNNIVTLSFLGGGSCSLDCMPERWRGPAHLQSFNGGDVTFSELPRWFSSLCELSCLSIRVRVLRQVDIQLLGALPTLRFLKLQTTLPTEERLVIGSDQPFRSLAEFRFKHYSRCWLVFGQGVMPRLQRLKLYFEARKRVGGGFDLGTENLTSLKHVTVEVDCVRARKREVEDAETKIRDAVDGHPNHPTLVLSRSYESYMSEDENEDVRKGPEQQSSDLLTANDEREDLQS >KQK95898 pep chromosome:Setaria_italica_v2.0:VIII:39349948:39355560:1 gene:SETIT_027640mg transcript:KQK95898 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLRILLWHLLVPALVTSHLVAAASNPSTPGPDCPSKCGNIDIPHPFGIGKGCGRPGFSPYSLTCDNSYSPPRLYMGEAEVISISTETGEIRVVTWPSYMCYNLNSSSIFTSYAGPLWDLENPFVISSRSNEFTAIGCNTVAILESKSYYTGCVTYCESIEGAATNGSQCTGLGCCQTPISGNLTTIKNYWNNNSNGTYDNPASTYSPCSFAFVAEKGWYHFNRQDLIYEGYDNSYVNRVLEARTMPLVFDWAIRDDGSCRPPPNNGEASAKPTAPACVSRNSFCINATQGSGYLCNCSKGYMGNPYVTGDKGCTNINECDIQKSNPEKYPCYSGSTCHDTEGGYKCKCKFGLKGDGRRENGCQPIFPVWAISILVIIVVAVVATFAIFEVKRRKHRRFFDRNGGDILKSMGINIFTEGQLKKITNGYKKSIGEGAFGKVYIGMTDDSQQVAVKCSTAKGDVLPQEEFVNEITFQFRISHTNLVRLVGCCLETDVPMLVFEFVPRGSLHSVLHGAGKTLPMPLPVRLDIAIGSAEALAYMHSHGGHNHVHGDIKSGNILLDDNLTPKVSDFGSAKLVSVASRYSKWCVSGDMSYIDPIYIKSGRFTEKSDVYSFGVVLLELVTRKPAKYGDNSLYIDFIRSFKEEGNGRKLYDEEILSGVDDARSHHHMECLDRISRLAVRCLKEDVDERPTMAEVVEELKEVKAISSGGSSSVAS >KQK95286 pep chromosome:Setaria_italica_v2.0:VIII:33023860:33025198:1 gene:SETIT_027605mg transcript:KQK95286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNLGCLINRWHVYPRHGLSLGKEKRERLLEIDLECAELLITRESRRSKMTSVWSSFLDPDGPPLPSTWAAGLTERPAWLLYFGTSSLLARGKAEMTERVIGDMSYIDPIYMEQGIVAQKSDVYSFGIVLIELVTRRAAATYDEKRSYIENFRGGAPSISLHTKPEGRRLAGETLRPQGTGAPSLQ >KQK95735 pep chromosome:Setaria_italica_v2.0:VIII:37670602:37671096:1 gene:SETIT_027103mg transcript:KQK95735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIVQCMMATSFVVLLMISTNSPSCQACLWPWCKPRPKAPCFETTNKDHCTMDICARLCEANGVVSKRPICIQKFRAPRWQCCCPKSP >KQK93556 pep chromosome:Setaria_italica_v2.0:VIII:1910199:1911834:-1 gene:SETIT_027768mg transcript:KQK93556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMSSAPQQESRYQHDYSLPAAASGNGLYTSRPRSTAAGDSNDAFLFLAVPAGCLIWLVAFLGELVASAILSLVFPVAALIGALRALPAVVASNLRRAALGLLAAACTFAALVAALPVSVLLGFVLVRHWVEEPVTVRQPLYFDYTVAQPSAAVALGGARGAALPAGHSVTVSMALVLPDSYHNREVGMFQIKAEAISVSGITMASAAQPYMLRYKSTPVRLAQSALMCVPLTLGMRGETQTANLKVLQYREGHGRHKRTGLIRVLLQPRAATLQLPQVYRAEVVVQTTLPWTKGLARGLKWTLWVWVSSSVYIVLVVLAICWVRPLAVSARNRRSSELQANGKMASDLGTGDIGGESPSKELSEDFTMKRRERRSKRKPQFRTQLHGGSMELEFTEGSTSSVAVAEIGQAMNDPWESNCTRSSG >KQK94377 pep chromosome:Setaria_italica_v2.0:VIII:13989220:13993319:1 gene:SETIT_026559mg transcript:KQK94377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLALLLSRIRLSPAAMRTTRSAASARLVRADLNPVVREVKRESSISFDISKPESPSVKRKRVKQELEENGEHPKKQAGIVPDIEDFRYDKTKASTSSIKVEKKVRVSSVIKVGAPENWEAVLGGIKNMRLSGQAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLSENGLLDPDAIVRTDEATLANLIKPVGFYQRKAQFIKEASKICLERFGGDIPDSLNDLLALRGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRISLEKWLPKDEWEPINPLLVFFYELFLIFSTAVLFFFVYLG >KQK94378 pep chromosome:Setaria_italica_v2.0:VIII:13989104:13993884:1 gene:SETIT_026559mg transcript:KQK94378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLALLLSRIRLSPAAMRTTRSAASARLVRADLNPVVREVKRESSISFDISKPESPSVKRKRVKQELEENGEHPKKQAGIVPDIEDFRYDKTKASTSSIKVEKKVRVSSVIKVGAPENWEAVLGGIKNMRLSGQAPVDTKGCEKAGSLLPPKERRFAVLISTMMSSQTKDEVTHAAVERLSENGLLDPDAIVRTDEATLANLIKPVGFYQRKAQFIKEASKICLERFGGDIPDSLNDLLALRGVGPKMAHLVMSIAWKNTQGICVDTHVHRISNRLGWVFREGTKQKTTTPEQTRISLEKWLPKDEWEPINPLLVGFGQTICTPLRPKCDMCGINNLCPSAFKESSSPNPKQKKTRSP >KQK95626 pep chromosome:Setaria_italica_v2.0:VIII:36640542:36650487:-1 gene:SETIT_025851mg transcript:KQK95626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDPSPSPPPSRPPPLPGYFDPESSGRREEYRRYRKRLSSSNVSPLLGSSLSKSSEARLLYDGDSIPRRPNAGLLLEDIKQEAEDYSNFEGLDGSRMFSSAKRRAPFDGGSASDAAYSSGRQAVRQTLKPVKMEDDMYAPHEGETSFSMFASLLDSAIQGLMPFPDVILQFERTCRNASESIRSSATGKLRVVEDKLMQQKAQLLLDEAASWSLLWYLYGKGNEELSGELFVSPTTSHQEACRFVAADLTAQLCLRIVLWLEGLASEALDLEKKVRGSHVGSYLPSSGVWHRTQRYLKRNNNDSTIVKHVDFDAPTREGAQLLPDDKKQDELLLEDIWTLLRAGRLEEASELCRSAGQAWRAATLCPFGGIDMFPSLDALLKNGKSRTLQAIELESGIGRQWRLWKWASYCASEKIAEQDGGRYEMAVYALQCSNLKRILPICTDWESACWAMARSWLDVQVDLELSQYQTSRPDEKQLDDDMNGAQSSVGPESWPYHVLDQQPRDITALLQKLHSSDLVHETVSRACREQHRQIEMNLMSGNISHLLDLLWSWLSPAEEDQNIARPLDDPEMIRFAAHVVLVLRYIFISDEMEDELEEKLVAVGDLIINMYVRYLFSEDQEELVGVYASQLQRDLCIDLFVEMMELRLNSSLHTMYKLFLSAVEYLPFSSGDASKACFEEIIERVLSRSRQTKPSKYDEDFSDVAHQHHLQSLQKAMVIQWLCFTPPPSIPDFQMITGKLLIRALMHSNTLFREFSLISMRRVPELPAGPHKLLAILAEPLKQKENLFSLEDQEVSDNLQEFEDWHEYYSLDATYRNWLKVEMQNDAVSPEMLSAEENDQAVAAAKETLELAFLLLKKDERPWLDAVESSPFESSDPIFLELHASAMLCLPSGECMLPDATSCTALTSALYSTASEEDVLHRKLKVDIQVSSRDPCCIEVALSCLAAEGDGYGLHEANDGGLLAAIMAAGFKGELSRFQPGVSMAISRLDAWYSDGNGSVESTAAYIIRGLCRRCCLPETILRSMQACISLSAAGDSLDDCDKLIELVGSSESGMIHLFSQQQLQEFLIFERECLICKMELEEEQQLPSDG >KQK93417 pep chromosome:Setaria_italica_v2.0:VIII:1016293:1018271:1 gene:SETIT_027488mg transcript:KQK93417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCLICQHPSPMAVRYVYSVLFLLANLFAWVVRQSRVTFFQGQRRGGCHGDRDCLAAEGVLIISLTTFVSSQKKILLISPNNMHIFFLVMFFSTMYTSKVQDPRNSWHCQWWPAKIILLAGSIMVSTFTPSSLIQIYGEVAPFGAGYLKVIALSVIAYGVSMVVIILMSFWPNGFYMEPGLVGAYSVFLCFAAIKSEPETDCYKKGKAGVNWKTLISFVAELIGTTYAVFSTGKDYKCIQLRNVVESEDDVPYGYGFFHFVFAMGSMYFGMLFVGWDTHHIMEKWSIDVSWTSAWVHIVNEGLAVISFGK >KQK95086 pep chromosome:Setaria_italica_v2.0:VIII:30629625:30631847:1 gene:SETIT_027231mg transcript:KQK95086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILMAFRTPSVEIIGLTTIFGNVSTEGATRNALLLCERAGHPEVPVAEGSPEPLKVC >KQK95087 pep chromosome:Setaria_italica_v2.0:VIII:30629625:30631836:1 gene:SETIT_027231mg transcript:KQK95087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTILMAFRTPSVEIIGLTTIFGNVSTEGATRNALLLCERAGHPEVPVAEGSPEPLKVC >KQK95676 pep chromosome:Setaria_italica_v2.0:VIII:37054810:37056374:1 gene:SETIT_027930mg transcript:KQK95676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVSAAIVQETVGQILSGVVQKYEEKEESNEKRNLERLEMTHIRLEAALETSNKWQITDASLLRWRRKLKRAAQECDDTLHKCKQRILEDEQMEREVKNSSLPNRIVHATKFERYADGASEFLRFKELGGAPHRHMPFDLVDKNLFAGKELHHKIVLGNKYPLFQLWLIPMCNSVHGIEVSLTFIKYDGRPEGNISALLFSPHFKCKFENIRNELTQLPNENFSWGPSFYSDNKEHWEKFNSLFSQFLRPNPFCCKEHGQKQKTSLYKDLISLQDYPYLKAGFAFSPHGSLEDILPANRSSEIAAIVRKEQHFLHTDITVEQLEETMLPKAIDYFRQNAEAMVYQMRKFKHGFALIQVEKPCMGAWRSSMRRRSTSGRARKRKLFQGHDEELIRRRIHVCLWLDSWFTHVPVRLRRSLMNWIWKEKEILIAAPQLYLKF >KQK93704 pep chromosome:Setaria_italica_v2.0:VIII:3358980:3359378:1 gene:SETIT_028393mg transcript:KQK93704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLSEQDKGTCEYKNIHVIITQYHLADSISSWHLLPRISLI >KQK95043 pep chromosome:Setaria_italica_v2.0:VIII:30248732:30249562:1 gene:SETIT_028074mg transcript:KQK95043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPASVARLVAAHPAVLSSATIGDRFDFYFHELGLAPAEVRRFVLASPNRFLTAGIDGRLRPNHRLLRDLLGFDKNVLTAVKQSIELIYDNLEVVLLPKLQALRDHGVTEEVLVKLVTTHPKALVHRATRFDEGLAAMKEFGLSPNSGIFPYAFGVFAKIYQSKWDRGVENYLSLGWTKKQIRRAFIKHPYCMSVSEKLGWDPEYVRVIDPDCSFLELEKRTLLRYKVLDILVSMGVLKKGIRMGHLTMSEKKFAERYVNRYQEVIPEVLEAYGART >KQK95842 pep chromosome:Setaria_italica_v2.0:VIII:38831080:38832293:-1 gene:SETIT_027775mg transcript:KQK95842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLALLFFLFLVAVHLATPRSRTENLLRKLPSPPFKLPIIGHLHLIGSLPHHSLRDLAKKHGPDVMLLRLGAVPTLVVSSPRAAKAVLRTHDHVIASRPHSVVADILFYGSTDVSFTPYGEYWRRARKVITTHLLTAAKVRSYRAAREQEVRLVLARVRAAAAAGTAIDVSEILGFFANDIVCQAMTGRLPREQGRNQLFRELLETNTKLLGGFNLDDYFPSLARFDLVSAKAVKHMKRWDDLLDSLIDKHKSKTLDGEDEGDFIDVLLSVQQEYGLTRDNIKAILMDTFEAGTDTTYIALDYAMAELMQNPQSMNKLQAASRASTRVDKHRYDRVIWG >KQK93750 pep chromosome:Setaria_italica_v2.0:VIII:3939428:3941655:-1 gene:SETIT_026295mg transcript:KQK93750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASEKVETIVAGNYMEMERAGGVVGGDAGGGGEEAASAATSRRGGNKALSSLFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMASGIVFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNMGLFFNCTFLLFGSVIQLIACASNIYYINDKYDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIAAITHGQVEGVTHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLAATLYVLTLTIPSASAVYWAFGDTLLDHSNAISLLPRSGFRDAAVVLMLVHQFITFGFACTPLYFVWEKLVGVHESRSLALRAAARLPIVLPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMAVFAPAAARENAVERPPRGVGGWAGMYAANCFVVAWVLVVGFGFGGWASTVNFVRQIDTFGLFTKCYQCPPKH >KQK94705 pep chromosome:Setaria_italica_v2.0:VIII:25387738:25388450:-1 gene:SETIT_027299mg transcript:KQK94705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQYYIGEVRSGAGIANLKGAKATILCFEGAETGKNLHDCLSSVSHTHVMKSLGYGSGQKRFSRYQFLALPFFGSTFEEYIKESWKCVEMGRFTMEIIMIVGMDILKHSALLT >KQK94530 pep chromosome:Setaria_italica_v2.0:VIII:20820834:20821508:1 gene:SETIT_028031mg transcript:KQK94530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLAADAEARWPTSPTPAPAWFTLLLALGLLVLVRSAATFLAWLHRAFLRPGRDLARRYGTWAVVTGATDGIGRAVALDLARRGLHLVLVGRSPDKLARVGKEVLAAAPSCKVRSVAFDLASTGDDARHGVARVAAAVEGRDVGVLVNNAGATYPCAAYFHEVGDPVWEAVVRVNVEAATRITRAVVPLMAARGRGAVVNVGSGSSVVVPAFPLYAVYAASKA >KQK95926 pep chromosome:Setaria_italica_v2.0:VIII:39676722:39677330:1 gene:SETIT_027081mg transcript:KQK95926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAMNSIAVCIILLVMSCALTCTYGAGEESPICIRTTIFCGVKKCMKSCQSMYGDRFIRAECQRVMTFFQACCCHLDAPGGSGGPPTQPMPPKPPSRG >KQK93252 pep chromosome:Setaria_italica_v2.0:VIII:184862:187806:1 gene:SETIT_028235mg transcript:KQK93252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVTLVYLHEILWLRLEKIRKKLRRQDINGPKPTWLYGNTQEMKRIRQELKCVQRQDKDMNGYISTIFPHFILWRKTYDSCLRKDIHKHFVFKWTQILRMVQFVIMSLYIVFENWNRARVPLLNRSFGDIACILAANGDLWAYEKKILAPQFFVEKIKGMIGLIVDATVPLLQSWENMLDSTGGSQEIYVDGYLRNFSADVIARACFGSNFIEGKDIFCKLRQLQKLISQQDTLVGLSALWKYLPTKRNWEIQKLDQQVRLLILDLSREHRSRSRSNGGTHMSTYDNLLHAIVDGANQSSSYSSAPEDFIVDNCKNIYFAGHETAAVTATWCLMLLAAHPDWQERARAEALEVCCGQTVMDNDILRQLKILTMVIQETLRLYPPASLIMREALTDIRLGGVDVPRGTIIQVAISMLHLDLEAWGPDANEFRPDRFANGAAAACKPAHMYMPFGYGPRLCTGQNLAMAELKVVLARLLSKFSFSLSPGYQHSPVYRLTIEPEFGMPLVVTRLP >KQK93845 pep chromosome:Setaria_italica_v2.0:VIII:5016063:5016596:-1 gene:SETIT_028306mg transcript:KQK93845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSFAALSCLFAVALLAATASAAGEKETRLRVFWHDVVSGGPNVSTVVQVAEGPNSNASATGFGSVTVIDDPLTEGPNLTSRLLGRAQGMYVSAGKDSLSLMMAMNFVFVDGAYNGSSLAILGPNQADRKVREMAVVGGTGVFRFAHGYCEARMRWFDARTGDATVEYSIHVRHD >KQK95810 pep chromosome:Setaria_italica_v2.0:VIII:38220618:38221398:-1 gene:SETIT_028227mg transcript:KQK95810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRGVSPTGGYCFCFGAKNKLRIFPPNTYKFKPRDHIVLDEVQECILDNFWYQYNNKREDKGYMLAILNSLAEYFHTINGLIQPKETPENIEKRPIYVIYKGKALGIYVSYEHVIAQKIEKDKDGGILWKKYTDIDQALSYARNILGANYFLEPAAKEYIQKYKKIKGEDGPSGIPTYKEVLKKETDSLNEEYIEKKLKEKFESIYPLVEQKFKGRILKEIMVEIDEKFKNIQKDYELKMIYQYLMII >KQK94187 pep chromosome:Setaria_italica_v2.0:VIII:10592254:10598034:1 gene:SETIT_025954mg transcript:KQK94187 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLAVTKIGYALANESATVIINKLSEKVQALKELPRKMDLIRMKLTLMSDTIQEIGTVYLIDKPVKSWIGEVRKVAYHVEDVIDKYSYHILEIEEEGFLMKYFIKGTHYVKVFSDIADEVAMVEQDIQQVVLMKDQWLQHSQLVPDKLAMIERQRSQDGFPDFVKDEDLVGIEKNRKLLSGWLYSDELDSTVITVSGMGGLGKSTLVTNLYEREKVNFPVHAWIVVSQICPADALLRKLLWKIGNMVPPVPSEIDKMDVHDLKAEIKKKLQNRKCLIVLDDVWEQEVYFKIHDAFQNHQASRIIITTRKDHVGAIASLDHHLELEPLDGPDAFDLFCRRAFHNKKDHKCPKEFEEIAKSIVDRCHGLPLAIVTIGSLLSSRPRINIWNQTYNQLRSELSTNDHVRAILNLSYHDLSGGLRNCFLYCSLFPEDYPMSRESLVRLWVAEGFVLSKEKNTPEEVAEGNLMELIHRNMLEVVDYDELGRVSTCKMHDIMRDLALSVAKEEKFGSANDYEAIIQVDPHVRRLSLCRWKVNTSLKVKFPRLRSLVAHGMISSTPDLLSSILSESKHLTALELQDSNITEVPTFIGNLFNLRYIGLRRTNVKSLPESIEKLFNLHTLDIKQTQIEKLPRGIVKVKKLRHLLADRFADEKQSDFRYFIGVESPKGLSNLEELQTLETVQVSKDLAEQLKKLMQLRSIWIDNVSASDCENLFATLSTMPLLSSLLISARDVNETLCLQALDPISTKLHRLIVRGQWASGTLKYPIFRNHGEHLKYLALSWCQLGEDPLGVLAPHVPNLTYLSLNRVNSASTLVLSAGCFPHLKTLVLKRMPDVKQMEIGDGALPRIEGLYIVSLTQLDKVPQGIELLLSLKRLWLLYLHDEFKTLWQTSGMHQKMQHVPEIRI >KQK95130 pep chromosome:Setaria_italica_v2.0:VIII:31228932:31229585:-1 gene:SETIT_026952mg transcript:KQK95130 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGAAGLKAAAAIAVFAVLVMSSQGHPSKKPLCSDCPSLCNANCTAEIAEKCRNECSYQSSCDQCKSQVLQACCQSFCSSNNGTSSFSCCPNGCASDCVTCSCGNCENAYINSCTSPCSDRYCRACEFGIGQQCRESCMSACNDNCVKKNC >KQK93662 pep chromosome:Setaria_italica_v2.0:VIII:2882768:2883827:-1 gene:SETIT_026855mg transcript:KQK93662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKDCGNHGDDEFKQTCRRLLIILLGFALLAGVIALIVFLVLHPTHPRFILQDATLRQLDLSNGSAPLLSTAAQVTIASRNPNARVGVHYDRLDVYASYKYQQVTLASRLPTVYQGHGDVDVWSPVLAGPNVPFAPFLADAMRKDVANGYLIMEVRIDGRVRWKVGSWTSGHYHIFVTCPAYFISSGTYSVVGAHGLRFQTPTYCRVEV >KQK94110 pep chromosome:Setaria_italica_v2.0:VIII:8917621:8918425:1 gene:SETIT_026882mg transcript:KQK94110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVIDNAAGVAAGDVAGQYRGVRKRKWGKWVSEIREPGKKTRIWLGSFESPEMAAVAHDVAALHLRGREARLNFPALVHHFRRPATAEPDDIRAAALEAAAQVRFRPDLVMLAGGGGGGGDGDSCGSAGSPDLLLGDDVAWDVLLGTDELAPESPKMWAELAEAMLMAPPVWEGNAADNDEWAQGNLWDLPVWHC >KQK95928 pep chromosome:Setaria_italica_v2.0:VIII:39685921:39693189:-1 gene:SETIT_025850mg transcript:KQK95928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIETSAAPAAAVAGDELRRLLAATLSPDKASVDAAAAGLDRAAADPRFPLAILAVAAGDGDQGVRVAAATYLKNFTRRNMEGSLSSSELYKEFRDQLAQALLRVEPAILRVLIEAFRPVVEKDFVKNNLWPELTPQLKLVIQSSNLISPGQHPEWNTINALKVLQSVVRPFQYFLNPKVAKEPVPQQLEQIAAEILVPLQVTFHHFADKVLLSPDEARMEYEQLLLITCKCMYFTVRSYMPSRMKQILPSFCKDMLRILDSLNFNSLPEDGALVRLKIAKRCLIIFCALVTRHRKHADDQMPHIVNCAIKISKQSINLSKLDSLPNRIFSLAFDVISRVLETGPGWRLVSPHFSSLLDSAIFPALALNEKDIAEWEEDTDEYVQKNLPSELDEISGWTEDLFTARKSAINLLGVIALSKGPPVASAASKRKKGDKSKGKSERSSIGELLVIPFLSKFPIPSHGENASSIAVRNYFGVLMAYGGLQDFLTEKKDLTVTLIRNRILPLYSLDPCSPYLISTANWVIGQLAICLPEAMSKSIYHSLMKALTMEDVEDITCYPVYASASGAIAELIENSYAPPDWLVLLQTVVKRISTGDENESALLFKLLGTIVEGGQEKIMPHIPEIVSNIANTIMKLLPPVPEPWPQVVEQGFASLVAMAQAWESSAPDENKKHEKRVWQSGQSAIAQTFSLLLQKAWLLPVQENSCSALPPPSCVNDASVLLEFVMRSVTCMEETASMKVFELVVVWADTIANWDSWEEMEDQEVFNTIKEAVNFHQRFDLTGFFLKMLPSQSENGSQSSIIGRVSNFVTRAIAAYPSATWRACSCIHTLLHAPNFSLGTEDARKTVAVSFAQAAFSHFKSISDSPAGIWKPLLLAISSCYICYPDVIEQVLNNYDGNGYAIWASALAQVSSSSFSPGLSSESEIKLALLTLSTVIERLLVLSMGGTKLLQDCYVSLMESCIQLKEVQEDGDNDDDDDGAEDLDDEEEEEDTDDDDEDSEDDDVREETEEEFLERYALAAAGESIEVVEEGDLDEETQDIELGSLDEVDVQQVILCLVQNQPALQAQTLPGSLVERIAEAFPEYEHLAQIHRQA >KQK93437 pep chromosome:Setaria_italica_v2.0:VIII:1094307:1096900:1 gene:SETIT_026773mg transcript:KQK93437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRVSPSPAAAAAAASHPSAPAAAPASVRVAAPRVSPPFGTACRAAGKGKEVLSGVVFQPFEEIKGELSLVPQTPDKSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNTRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHAVATRCNDPQLTDFIESEFLADQVKATKPFSVRNKLCRALFYFARVFLYLTL >KQK93434 pep chromosome:Setaria_italica_v2.0:VIII:1094381:1095488:1 gene:SETIT_026773mg transcript:KQK93434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRVSPSPAAAAAAASHPSAPAAAPASVRVAAPRVSPPFGTACRAAGKGKEVLSGVVFQPFEEIKGELSLVPQTPDKSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNTRGGRVRLQSIVTPLTEFDHPEKGDALYGEMLAAYLVMLGSD >KQK93436 pep chromosome:Setaria_italica_v2.0:VIII:1094307:1096900:1 gene:SETIT_026773mg transcript:KQK93436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRVSPSPAAAAAAASHPSAPAAAPASVRVAAPRVSPPFGTACRAAGKGKEVLSGVVFQPFEEIKGELSLVPQTPDKSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNTRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHAVATRCNDPQLTDFIESEFLADQVEDIKKISEYVAQLRRVGKGHG >KQK93438 pep chromosome:Setaria_italica_v2.0:VIII:1094307:1096900:1 gene:SETIT_026773mg transcript:KQK93438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRVSPSPAAAAAAASHPSAPAAAPASVRVAAPRVSPPFGTACRAAGKGKEVLSGVVFQPFEEIKGELSLVPQTPDKSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNTRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHAVATRCNDPQLTDFIESEFLADQVEDIKKISEYVAQLRRVGKGHGVWHFDQKLLEEEA >KQK93435 pep chromosome:Setaria_italica_v2.0:VIII:1094307:1096900:1 gene:SETIT_026773mg transcript:KQK93435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLRVSPSPAAAAAAASHPSAPAAAPASVRVAAPRVSPPFGTACRAAGKGKEVLSGVVFQPFEEIKGELSLVPQTPDKSLARQKFVDECEAAINEQINVEYNASYAYHSLFAYFDRDNVALKGFAKFFKESSDEEREHAEKLMKYQNTRGGRVRLQSIVTPLTEFDHPEKGDALYAMELALALEKLVNEKLHNLHAVATRCNDPQLTDFIESEFLADQTSRRSPSTLPS >KQK94138 pep chromosome:Setaria_italica_v2.0:VIII:9448042:9453432:-1 gene:SETIT_025907mg transcript:KQK94138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSQERNNRLENLCWRIWNVARKKKQVEWEYSRQLARRRLEQELGRREAAEELSEGEKDQRPDSTQPPSSAGAAADGDQQQPSRFARISSEARIVSDDEDEAAKDERNLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALAATAGVHRVDLLTRQISCPDVDWTYGEPVEMITRHSDADADDGSGDGGAYIVRLPCGPRDKYLPKESLWPHIPEFVDRALAHVTNVARALGEHLAGIDGTPAPVWPYVIHGHYADAAEAAAHLASALNVPMVMTGHSLGRNKLEQLLKLGRMTPAEIQGTYRIARRVEAEETGLDAAEVVVTSTKQEVEEQWGLYDGFDVMVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVDTGDLAAGDGDGDLQALISPGKAKNPLPPIWSEVLRFFTNPHKPMILALSRPDPKKNVTTLLKAYGESRHLRELANLTLILGNRDDIEEMSGGAATVLTAVLKLIDRYDLYGQVAYPKHHNQTDVPHIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDIIEALHNGLLVDPHDAAAITDALLSLLADKARWLECRRAGLRNIHRFSWPHHCRLYLSHVAASCDHPAPHQLLRVPAAAGASPRAASGDSLSDSLRGLSISIDASHDLKASGDSAAAIMDALRRRRRSNVDRPAGSPRAAAGFAPGRRKSLLVLAADCYGDDGAPDAEKLEKAVDMALSACAAAGGRLGCVLATGMTVAEAAAALRACGADPAAFDALVCSSGAELCYPWKEELAADEEYAGHVSFRWPGGHVRAAVPRLGKADGAQEAGLAVDDTACSVHCHAYAAAGASKVKKVDSLRQSLRMRGFRCNLVYTRACTRLNVIPLSASRPRALRYLSIQWGIDLSKVAVLVGDKGDTDRERLLPGLHKTLVLPGLVTHGSEELLRDEDGFLTEDVVAMDSPNIVILAGDQATAADILKAI >KQK95447 pep chromosome:Setaria_italica_v2.0:VIII:34509093:34509691:-1 gene:SETIT_028534mg transcript:KQK95447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIHTSNMLFHFFSVSGTCLNELTTCFNDFNAYLNSFSYFGTQ >KQK93809 pep chromosome:Setaria_italica_v2.0:VIII:4571615:4573797:1 gene:SETIT_026200mg transcript:KQK93809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAAWGPCEFQLANWTIEEPAVDEVWAPAASLPVEYASIDIFPDIWSLQSYVPSSSSGGQHRQVVYNSYAAATQHDNPVTEKAAPPAQEPFEVDLLHDMEMEILNNNPIRVFEEATRKFEDDMDMVKMKIHRYPPSIQGINEWYTVPTVVAIGPYHHGKRQLKQAEKVKHAAAYHCIKNSGRSVQEMYQAVVSVVLEINARRLYDNDVMEGIGDDKFLPMMFFDACFLVTYMLKMSRKACDTLLRNFLESNAYDIDHDIMLLENQIPWPVVDAIMKYTPVPLAEFVTNWKDGCLQDRVVAKVPTIVLDDSYKPPHLLGLLRFYIVGRRSRAEVPGLDKMKSIAISVSAVELTEMGISFAANETTQLVDIGLTKEWIYFAKLSMAPLSLNDLRASLLVNMAAHELCTTPDFFDDKAGDEDSAVCSYLLLLCMLMNREDDVQHLRTSGILEGGAGLSNKQALDFFTGLQSLRIGRCYGCVMAQIESYRITRPLRIKVYAFLYNNWKAIVGVGSVIGVLASILRAIKSLNGPAH >KQK94311 pep chromosome:Setaria_italica_v2.0:VIII:12982540:12983581:-1 gene:SETIT_028202mg transcript:KQK94311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHNDSNRYLPKSSVCDDLPHACNHRLVLKEISKEQSLVMQLRAIVLPALEMNQNSELVSQMFQSILDCSSMVIAELLHCQSDAPAVDMLVDDKETVQRISNDVIEDSARPQHHHQNKRRRVAESMSFDTPVPHYDGHQWRKYGQKQINGAKYPRSYYRCTYGKEQGCKATKTVQQYDPVTNTASDHSIMYKAIYYGRHTCNFNGNDSGISIPKDNGETNTHRNHDLVHYNNNQCSIVSVTCSHPDDHQTFLDGNVVHDTYGDIIPRNINMDWQLDTIESVQLDFDNWDWR >KQK94757 pep chromosome:Setaria_italica_v2.0:VIII:26363543:26365786:1 gene:SETIT_026280mg transcript:KQK94757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLADNQQMLIAGAALLLATITFIKLLVWSGSRGKHLPPTILALPVVGGLIRFMRGPISMIWEEYARLGSVFTLCILNHKITFLIGPEVSQHFFNGHESELSQQEVYKFTVAAFGPGVSFDVKYSVRLEQIRIFTEAVRGNHLRNYVGQMVSEADEYFTKWGDSGTVDLKYELEQIILLITSRCLLGREVRENLFNDVATLFRDLCNGMQPVSVIFPYLPIPAHRRRDRARARLGEMFSTIIKSRKASGQSEEDMLQRLIDYKYKNGRPTTEGEITGLLIAVLFGGQQTSSITSTWTGAYLLQFKQYFAAAVEEQKEVMKRHGNKIDYDILAEMDVLHRCVKEALRLQPPLPLLFRYSHSDFTVTTKEGKELVVPKGHMVATSPSVANRLPHIYKNPDTYDPNRFAPGRNEDKAAGALSYIPFGGGKHWCIGQLFAYLEIETVWAHLLRNFELELVSPFPESEWNSMVVGVKGELLVKYKRRRLVVGN >KQK93323 pep chromosome:Setaria_italica_v2.0:VIII:566420:569011:1 gene:SETIT_026837mg transcript:KQK93323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKLLPKTKSKKKKEAASSAIPTLDRLHETLEMLEKKERFLQKKCSAEIEKAKDYTKSKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKSIQQSLNIDDIENAIDEANEQTENMRQIQEALATPVGASADFDEDELEAELEDLEEEELEEELPEPPARIPAPVEPLAKAASSSRQGSDLSELTKLQAEMAL >KQK93322 pep chromosome:Setaria_italica_v2.0:VIII:565512:569011:1 gene:SETIT_026837mg transcript:KQK93322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKLLPKTKSKKKKEAASSAIPTLDRLHETLEMLEKKERFLQKKCSAEIEKAKDYTKSKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKSIQQSLNIDDIENAIDEANEQTENMRQIQEALATPVGASADFDEDELEAELEDLEEEELEEELPEPPARIPAPVEPLAKAASSSRQGSDLSELTKLQAEMAL >KQK93324 pep chromosome:Setaria_italica_v2.0:VIII:565479:569011:1 gene:SETIT_026837mg transcript:KQK93324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKKLLPKTKSKKKKEAASSAIPTLDRLHETLEMLEKKERFLQKKCSAEIEKAKDYTKSKNKNAAIQCLKKKKLYETQIEQLSNFQLRVHDQIIMLESAKATTDTVDALRSGSSAVKSIQQSLNIDDIENAIDEANEQTENMRQIQEALATPVGASADFDEDELEAELEDLEEEELEEELPEPPARIPAPVEPLAKAASSSRQGSDLSELTKLQAEMAL >KQK93888 pep chromosome:Setaria_italica_v2.0:VIII:5631933:5636094:1 gene:SETIT_0258111mg transcript:KQK93888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EQYEDSENIWTCALLLATLFQDSVIVQSSEIMRTIPSLASLLKSDDIINKYFAAQALASLVSTGSRGIQLAIANSGAVLGAVALIGQVESDMPNLVTMGEEFKLAENPSQIILRTLFELEDVCTGAIARRSIPLLVDLLKPMPDRPGAPLIALHLLTQLAEGSETNKVAMAEAGALDALTKYLSLSPQDSTETTITNLLGILYSNPDLLYHESSRSTSNQLVAVLRLGSRSSRLSAVRTLQKLFDSENIRDTEVARQAIQPLLDMLESGTEIEQQAALGALIKLSAGNISKGSAMFDVESNTLENLYKILSFSSSLELKKDAAQLCYILFENSTIRASPIATECLQPLISLMTSGSSLAVEPAVCALNRLLEEEYNAEVAATGEVIDLLVSFVPGTNYQLSEACIGALIKLGKDRPNCKLDMVKAGIIEHALDMILDVPVSVSSSIAELLRILTNNSGIAKSSAAAKMVEPLFLLLRRPDVTMWDQHSALQALVNILEKPQSLAALKLTPSQIIEPLISFLESPSQAIQQLGTEVLSHLLEQEHFQQDITTKNAVVPLVQLAGIGILSLQQTAVKALENISQSWPKAVADAGGIFELSKVIVQDDPQPSQALWESAALVLCNVLRYNSDNYVKVSMAVLVRLLNSTTESTVTIALSALLVQEKSSSRCAVAMAEAGAVRALLELLKSHRCEESAARLLEALINNSRVRETKVAKYAIAPLSQYLLDPQSKNQSAKFLVTLALGDIFQHEALARASDSVSACRALVSLLEDQPTDDMTMVAICALQSLVMHSRTNRRAVAEAGGILVVQELLLSPNVDISGQAALLIKYLFSNHTLQEYVSNELIRSLTAALERELLSMSSINEVILRTIYVIFSNFKKVRFSEAATLCIPHLVCALKDGNESAQESVLDTLCLLKESWPQMNEDIAKAQSLISAEAIPVLQMLMKTCPPSFHERADSLLHCLPGCLTVTIIRGNNLKQTMGGTNAFCCLQIGNGPPRQTKVVNHSICPAWNEGFTWLFDVAPKGQKLYIICKSKNTFGKSTLGRVTIQIDKVVTEGVYSGFFSLSHDGGKDGSRTLEIEIVWSNRPSNDSM >KQK94600 pep chromosome:Setaria_italica_v2.0:VIII:23145874:23148050:1 gene:SETIT_026923mg transcript:KQK94600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGGETMMTREQLLHLFSRFSFLTSLPEVKQRIADAVRDKQEAVAVTTEIQEEILREMGIDPSFGIGCLGKVNVVYENDKDLMIKFYQFVAKEEMAIDEAELGPREMAEKLHAQRILQEQQLNMLVEMRKYSPESQSVILGTLRKQLEEANFDINASILSPDQIQEIIQK >KQK95312 pep chromosome:Setaria_italica_v2.0:VIII:33272659:33274701:-1 gene:SETIT_028352mg transcript:KQK95312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHTNISSALDWLDIWKLRVLVLASTVIQVFLLVYGSARRAPVERWFRVCIWLAYLAGDSVAIYGLATLFNRHSRAAPVRSTLEVLWAPVLLIHLAGQEQITAYSIQDNELWGRHVVTLVAQVAIALYVFCQSWSGERRLLVAAILMFIIGIYKFSTKPWALKRATFGNLVGSPASVARRKKLTGFSRRGSGFWTALTTPIATLRSKAELFLSDDLEERRAWLQAWAEQEEIEATEEELSLSDGPASQVFLAQTEAFAADLLVPYSRRLKILQFMRNIACKKNRSAFTVRQGLDEVYYRLYTRAKVALTPIGINLRLLTFSLAAAAIALFARTPKPHDDGADVKVTYILFCSIALLELRSFANILFLKFSCVVFPASYKHCHMIYQQSLISSVGRRRKPTTLLGLATLVSLDDLVIRRWYITQTPASESIHEAVLAHINKGWGEYIRGDAAKYKSFNALRGRWAVRNHQGLRSYIVKWPFDQSVLIWHVATEICFHHADVAGGAPRKLARVISCYMAYLLSAQSEMLMLGTRRHLFSTAMDDVEVMLRYSDLDAASCDDHSIGCAILRTARRGPSMYREDVGPLIPKACKLADALLQLQEEETWEMVQGVWVEMLCYAASRGRGYLHAVSHGERWELLSRVWLLMCYMGMETLADRLQRTGYDDQAEDGGC >KQK94193 pep chromosome:Setaria_italica_v2.0:VIII:10754417:10754904:1 gene:SETIT_028525mg transcript:KQK94193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVVVRTYLGQPSQIRTFRDHALLTDRTRVHV >KQK95161 pep chromosome:Setaria_italica_v2.0:VIII:31558247:31559014:1 gene:SETIT_027486mg transcript:KQK95161 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRAMYSKLLVMLCMILVSLAIILQGASAARDLTHTVHASRGRGLGGYYWYGGRNNGRTYGGTPSHNSGGNNGRIRGRTPDHY >KQK95409 pep chromosome:Setaria_italica_v2.0:VIII:34245331:34247385:1 gene:SETIT_027718mg transcript:KQK95409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRALPGNNVGSKIITTTRDLNIAEQAGSVYKLQPISPNNSRKLFFRRIFGNDDKDNNEEEERCPNDELAEVSDRILKKCAGVPLAIITMASLLACKARDKMEWYEVCNSVGTGLENNLDVENMRKILSFSYYELPCHLRACLLYLSMFPEDYMIEKDRLIRMWIGEGFIQCEKVGKSLFELGESYFNELINRSMIQPIHDISDDMIFSCRVHDMVLDLIRSLSSEENFVTVLSDMGGTSPSNTIRRLSLQNGQDSHVMAQATWSLQHARSVVVFPATASLVPPLDCCRVLRVLDLEDCNLSQANSSLKYIGNLHHLRYLGLRRTGISQLPEEIGNLQLLQTLDARYNNISRLPSSVVKLRKLMCLYIDWTTIVPNRIGNLTCLEQLSKLRIDDSTRNIVEELGQLTELRQLHIELDEWNDKLLECLCKLQKMQKLFITVYSGQCSIGGLDAWVAPRHLRVFNTSGYWFSTLPAWVNPSLLLDLTSLYIAVRELHEANLEILGRLPALRYLILEVDKENLSIIRGFVVGADVFPCLLCCYFRQFVWPVVFQQGAMPRLRELWFWPFYLREARGIACNDGSLDLGLGNLPSLQVIQAVLRCDGAGKEEVEQAKAALTHEAEMHPNHPFHSIRITGAPASYCYSLLFSFPLSCKLYLRLFLCLRWWDLRVIFHHAFLLAPLPQFF >KQK93605 pep chromosome:Setaria_italica_v2.0:VIII:2257456:2258363:1 gene:SETIT_028018mg transcript:KQK93605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSARAAIGLLVAASSLAVVLSDGGGGPAYGYASGSPTGPENWGKLSPAYAACGKGKQQSPIDIVTKQVVPNPNLDSLSRTYAAANATLVNDGHDITLKFEAGKVGNIAIGGKVYSFDRMHWHAPSDHTVNGQRFPLELHLVHKSADGAVAVIGILYQIGAPDSFYYQLKRQLEEMAGDRCHFGEEESHVEAGLMHLRSLQKRTGSYFRYMGSLTVPPCTENVTWNVLGKVRQISKEQLQLLKAPLPASDARPTQPLNGRTVQFYNPPNSTVSFQM >KQK94373 pep chromosome:Setaria_italica_v2.0:VIII:13916342:13920297:1 gene:SETIT_028015mg transcript:KQK94373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWKPPAAMVLVQLIITGLIMLSKVVISRGMFIFALHAYRSAFGTMCILPFAMFYERGKWKELNWRTLGWICLNSCIGYAMPTCLNYYGLRDTTPSYAAIFLNIIPLITFILSTVLRMEKLQFRTATGSLKVVGVLLAVGGTMLVSLYKGKVLHLWGSSLRHQRYEQPHTAKHHVRGTILLLGSSFTFASWYPIQSMVNKVYPHKYWSSTATCFLGGLQTTLIGIILRRDRNTWKLGWDLQLLTIVYTAVLATAVRYNLESWAVAKRGPAFPTMFIPLITVFTTVLDSIFLGAAITVGSLLGAVTVIAGLYVFLWGKSKELPTK >KQK94726 pep chromosome:Setaria_italica_v2.0:VIII:25781687:25781763:1 gene:SETIT_0258792mg transcript:KQK94726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTVTPKDEERLVGLMARSGRGRR >KQK94725 pep chromosome:Setaria_italica_v2.0:VIII:25781249:25781763:1 gene:SETIT_0258792mg transcript:KQK94725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTVTPKDEERLVGLMARSGRGRR >KQK94727 pep chromosome:Setaria_italica_v2.0:VIII:25781687:25781763:1 gene:SETIT_0258792mg transcript:KQK94727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVTVTPKDEERLVGLMARSGRGRR >KQK94303 pep chromosome:Setaria_italica_v2.0:VIII:12875382:12876365:-1 gene:SETIT_028088mg transcript:KQK94303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EHFTSYASYHHPAPDPDLSPALDATQSDMLLQLKAFLLDGIDSEPPAEVCSGWSSPSSSTVDVCSEMQAAMGPSTIRDHQHWSPGTVDTVDMPLDIDAFLIGSNADAAAAEECSDWLSPSSSTSSEVANVSPSTPDVHQRPDAGTGPAASPGDKRQAFIGVRKRPWGKFAAEIRDSTRKGARVWIGTFDSPEAAALAYDQAAFAARGTTAVLNFPVECVRESLGPLALAGGAGCGSPVLALKRRHSKRTRRRKVSPTGFSNSKSTLQDLQPPAGQCSVVSGTAVDMPQQQATVSISQCPSGIVELDDLGDAYLEKLLRVVSSELGEH >KQK94666 pep chromosome:Setaria_italica_v2.0:VIII:24453317:24453811:-1 gene:SETIT_027282mg transcript:KQK94666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRFPPVRGSSAPSLKVAGVTRGCLWWLATLLRACPPWPAALLRPRLPAVADSARLPAGAGGACPPRHRTCATKVASSPVYVRRLAWSSPARRQHPAPSSNQATLRPDTSQSMVSLVVCMAAAA >KQK93332 pep chromosome:Setaria_italica_v2.0:VIII:585324:586843:1 gene:SETIT_027305mg transcript:KQK93332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSRIHPSSETTRPLLPHRRGEDAGRTSPSPAALQYTVWKRSSMGFHGTDGFSVYDAAGALAFRVDNYSRRSKVFAGELLLMDGQGSPLLALRPQIFSMRDQWNCYKASEEGQGKRTSHPLFSMRKCSILQNGHEAQVFMSGCSTASDNPGQGPSFLVEGCFRRRNCKIRNSDGEEVARIMRKKAEAASNSWTLGDDVFSLVVEPNVDCTVIMAFVVVLDRICWRPYTPMICSS >KQK94412 pep chromosome:Setaria_italica_v2.0:VIII:14881918:14882484:1 gene:SETIT_027902mg transcript:KQK94412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRMFWEDMEWNEPDRYYALLPLLVGVGNSEACTLTGIVDFFAVPQPSLHELSHAAAGGHNVGAYLYALMMYRNNGGSANDDIAKMYIRRVKCEDGSAASGSVGPKKLRNDGCQVCHEEAAYLVTRVMWHRHGDPLLPAPVHGNFPCAGGVCGKVKGWAQATLFCSEDCRIHHEIVAFESRMGIDN >KQK95236 pep chromosome:Setaria_italica_v2.0:VIII:32498173:32502178:1 gene:SETIT_027588mg transcript:KQK95236 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSGHASVPNRFLPPQLATTLQASAAYTLALLAGREDLSYYTGCYTSCMSLHAAAQDGEECTGLGCCQMSIPTNLITTIHMWGNNDSYPPPNNAWRYSPCSYAFIAEKGSYNFTRRDLTRLANKTSSDHIGDRTIPMVLDWAIRDGGSCQAPTKDAGASAKQTAPACISRNSLCVNVTHGSGYLCQCSKGYTGNPYVTDNCTNINECELRKYHCGSNSKCHDTQGDYECKCKFGYKGDGKSEKGCQPIFPGYATAILVALVSLTILVILPYLLLKEHKRRIRRGYFDKNGGKILSGANIVIFSEAKLSKFTNNFSEEIGRGAFGMVFKGINDDNQPVAVKRPIVEGEKPQQGGEFVGEIIFQFHIRHPNIVPLVGCCLETSIPMLVFEFIPNGSLSDMLHGAGKPRSLSLQKRLDIAIGSAEALTYMHSQAGQNNRIHGDVKSGNILLDDDLNPKVSDFGSSKLVSNASKWSVSGDRSYIDPVYLITGSFTEKSDVYSFGVVLLELITRKKAKYDGSNSLPMNFVKTCKKEGNGRKMYDRDIFSGEDAQSQRSIECLDRVGALAVRCLKEDVEERPTMAEVVEELKQVNMHVQ >KQK94931 pep chromosome:Setaria_italica_v2.0:VIII:28915616:28916293:1 gene:SETIT_027078mg transcript:KQK94931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNKNLGVAGFTMALLVASIYGDFPGANDPSYGGMAGNYCYESTGTVCTSDATCRTTCLRKGDPYVIGGYCFKAASSSSCMCIKRCVAWFPHGAAGPASA >KQK94165 pep chromosome:Setaria_italica_v2.0:VIII:10292455:10293472:-1 gene:SETIT_026933mg transcript:KQK94165 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATELNKAMKGSLCHDLVTGLDAADVWEVYGGLLVGNLIPKLLPEVFSKVELVEGDGGVGTVLLVTFPPGTPGSETMEEKFIKVDNENYIKEALVTKGGFLDHGFQKYLVRIKIIGKGEKTSIIRSTIEYEVDHEHASNPPVPSTSGLAAIAEAITKYIKEQKALE >KQK94623 pep chromosome:Setaria_italica_v2.0:VIII:23629519:23631130:1 gene:SETIT_028096mg transcript:KQK94623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGEMLAAAVIKEVVRKLPALLQASVQGPVKRIKSFKDDLNYMKMTLESIEAAMADAEKRSINDETARLWLKRLKHAAYDISDMFDEFQHGSPQGKLQGPSWFKIMLSGDMAKKMKKMNKRLKQIKEQSKSYGIGWVSSEPKKDAVEEQETTSVVSAVVGRTVEKQAVINILLSSNNKACSTNTHPQETSHCTVIHGLAGVGKTALAQLVFNDERIQEAFPHRAWVYLYQNWHEKEIGRAIISVVEHRPCNLEILESIYQHLKKVLLSRWLIVLDNLWDSDHLAKLQGVLGSNVSILVTSRREIRLNMPKATLFPLDPLSDRLSFALVKQVASSYFLEGDIPEIAVEKIVKMCRGVPLALKCVASLLKPERSVNELL >KQK93792 pep chromosome:Setaria_italica_v2.0:VIII:4404595:4404991:-1 gene:SETIT_0263202mg transcript:KQK93792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDVHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGAAGRRTMRNSLHLNLGELLNPSKT >KQK93791 pep chromosome:Setaria_italica_v2.0:VIII:4404595:4404991:-1 gene:SETIT_0263202mg transcript:KQK93791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LEREENLVSAFSFFDKDGSGFITIDELSQACREFGLDDVHLEDMIKDVDQNNDGQIDYSEFTAMMRKGNAGAAGRRTMRNSLHLNLGELLNPSKT >KQK95544 pep chromosome:Setaria_italica_v2.0:VIII:35523431:35524044:-1 gene:SETIT_027307mg transcript:KQK95544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRPKLSGIQRQVLALYRGFLRTARLKAPEERHRIESVVSAEFRDNARNVDRRNFVCIEYLLRRGKRQLEQLKNPDITGLATLEVKKGESRRRQGPLEDAGPPEAKKQCILEMMKLQEKQR >KQK94178 pep chromosome:Setaria_italica_v2.0:VIII:10523668:10525392:1 gene:SETIT_026832mg transcript:KQK94178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCLHQWFRYVVGREDPVSSRTCAKTWPSPRVHCLGAAPWEPGPVRHVLSPFFPLNFALSNSVANLSLAPPTMCPEIYLCIIGHVTVHGTVHDGDLHKQAVNRLSLPSRCPRRKRRREAHRRSAMAAAAAVDTPGYFVGAPSHYQKPQPLPPPVPAQAVDEQNAVNTQVPGYYSGPVQGRREPAAEHNNPADTAVQQSQEQGFLARWFGCFTGRSGNAN >KQK94177 pep chromosome:Setaria_italica_v2.0:VIII:10523668:10524731:1 gene:SETIT_026832mg transcript:KQK94177 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFCLHQWFRYVVGREDPVSSRTCAKTWPSPRVHCLGAAPWEPGPVRHVLSPFFPLNFALSNSVANLSLAPPTMCPEIYLCIIGHVTVHGTVHDGDLHKQAVNRLSLPSRCPRRKRRREAHRRSAMAAAAAVDTPAQATSLALHRTTRSRNRSRRRCRRRPSTSRTL >KQK93948 pep chromosome:Setaria_italica_v2.0:VIII:6500171:6500859:-1 gene:SETIT_028571mg transcript:KQK93948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGMRWRPKTAAAAASTAAATGAWSSGGPAPPRRRPTCSTPAARAPRWSRQRGSSPLPATSSPGRRGLGWSSAAPSCCTSSRPRRRPRRCDRYANP >KQK95891 pep chromosome:Setaria_italica_v2.0:VIII:39319875:39322641:1 gene:SETIT_026071mg transcript:KQK95891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKYLLLIDDIWSAKTWGDIRNCLPVENKKRSRIIVTSRFQAVGAACSPVGATNLLHTVNFLNAAESKNLVKQSVHESKSSKESEKVQDKVLEEILKICGGLPLAIVSMAGHVACNPSKPSDHWHNVCRSLFPESVTALSLDGVTRILDFCYNDLPGDLKTCALYLSMFPKGSKISMKRLTRRLTAEGFVSEKQGLTEEEVAETYFNQLMRRKLIRPVEHNSNGKVKTFLVHDMVLEYIVSKSSEENFITVVGGHWMMPPPSNKVRRLSMQSSGSKHENMTKNINLSQVRSVTVFGSLKQLPFHSFNNGIIQVLDLEGWKGLKEKHLKNHICKMLMLKYLSLRRTEIAKIPKKIVKLEYLETLDIRETHVEELPKSVEKLKRISSILGGNKNPRKGLRLPQEKIKEPKKSTLAQKNMEGMKALRVLSGIEIDRESTAVNGLHQMIGLKKLAIYKLRIKKGDKIFTQLHSAITYLFSCGLQTLAINDEGSYFINSLDSMSAPPRYLIALELSGMLEKPPTWISKLHTLSKLTLSLTVLRTDTFKLLQDLPSLFSLTFSLSAAKQKQDKIKDILEKNKSDSGGEIIVPAGFPSLKLLRFFAPLVPKLGFGDNAMPELEMIQLQFEAFEGLFGIDTLENLREVHLRVNGLVSEITRFLVKDLKNYTTDKLKVIVDVNA >KQK93700 pep chromosome:Setaria_italica_v2.0:VIII:3337429:3339214:1 gene:SETIT_026264mg transcript:KQK93700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALISAVAGDLMSRFISFVAQNFCNHTESEDNYRRLEHVLLRVHTIVEEAEGRHIRNQGMLLQLKMLIKDMYVGHYMLDRLKIQSIKEEKVEGEVSHQNQPFSMSALSAAKRFRFAYTTRMNTPVASGTRPSAAKLKCMLESLETKIQDMREFVILLASCPRLPHQPYSTYLFMDRCMFGRYSEKQQVISFLVCNDTHGCPNLDILPVLGPHRVGKKTLVQHACKDERVRNCFTDILFFKGHDLENGEFAANLKVNSRKCLFVIEFSWGVDEVAWMNFKSYLQNVVGAGSKIVLIGRTQEVAKFGTAHPIWMKSLSEEEYWYYFKALAFGSMDPDEHPRLASLGMQLATELKGSFLGANILGEMLRSNPNPQFWHAILSSVRALVQEHLFSSGVHPEDLLERNAPVDFPMAFVGAHGQRCLVYDLREADPGQDELPLPTSQEVLVGGKVPAEEKFDVLVWKSRIPPYCSFIATYKKQKPQRMVGKRNRLALREASV >KQK94595 pep chromosome:Setaria_italica_v2.0:VIII:23085898:23093680:1 gene:SETIT_026222mg transcript:KQK94595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAPANGDSQSGPQRNYQVVVAATRDMGIGKDGVLPWKLLGDLKFFKEVTLSTSDPAKKNAVIMGRKTWESIPVKSRPLPGRLNVILTRSGSFDFATVENVVICGSMKSALELLASTPYCVSIEKVFVIGGGQVLREYLNGPACEAIHLTDVQSSIECDTFIPPIDFSVFQPWYSSFPVVESNIRHSFVTFVRVRKSLEETHVSNGKESTEVDTKNDKFETENFSFLPKMIFDRHEEYHYLNLAEDIIKSGAQKNDRTGTGTLSKFGCQMRFNLRKIFPLLTTKRVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASREYLDSVGLAHREEGDLGPVYGFQWRHFGAEYTDMHADYTGKGFDQLMDVIDKIKNNPDDRRIILSAWNPSDLKKMALPPCHMFAQFYVENGELSCQMYQRSADMGLGVPFNIASYSLLTYMIAQVCGLSPGDFVHVIGDAHVYRTHVRALEEQIQKMPKPFPILKINPSKKDIDSFVASDFKLVGYDPHQKIEMKMAI >KQK93644 pep chromosome:Setaria_italica_v2.0:VIII:2583164:2584250:-1 gene:SETIT_027420mg transcript:KQK93644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METLPLEVVIEITIHVAATSDQPMDDLCNLRATCRLLHCACSHCAVGRRVALLRCWEDMEWYQPDRYYSLLHLLVGVGNPEASMLRGILDFFGGAGGLPSHPSLDELSRAATGGLNVAAYLYAVMLYRNVGGTADDDIAKMYVRRVEGEEGTVASGSIGPMKLGNFGCRECRGDAVYLVWRITWCKAGDALPPAPVHGEFPCAGGGCGFPNGWGQAMLFCSEDCRLRHEIVLVLNNVLPAYAGLK >KQK95232 pep chromosome:Setaria_italica_v2.0:VIII:32441095:32441325:1 gene:SETIT_028538mg transcript:KQK95232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILSYVLYIKGKKTENAAAWSQHKSSPSQLHLVVCQSSRSCCSRD >KQK94242 pep chromosome:Setaria_italica_v2.0:VIII:11646611:11647892:-1 gene:SETIT_027520mg transcript:KQK94242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQNNCDEAGDDITGFGRGLPMAGFYGGWNPGLQPSAQQYPFFQGGWTAALNQGFMPPYAQNQHVAATVESVQPAVMKESQGDGEGASVAAGRRRKVGPSRIKLSNFSPKEDVFLVKSWLEISCDPIINIGQKKEGFWARITSQYNNKRGSFHERRHMANVLRDNPSGMSDADKVREMIYYWYLTSKNTLFIYMHCWDLLKEEPNWMELNIRGARPGDDDAIADHIPIVDCDLETPSSQYTGSKRPMGRDAAKRQAKKSTSSSPSDSSQYVSKLQDLSIQKISIWHEENAKKGSLYEQMAVIESQRYNEVHQHNQHMVAIEEEKLRIMRTKADILQTHEEERILGIDLDKCAPRLRMYYEKKQQEILKNIGADGDDSVDP >KQK95336 pep chromosome:Setaria_italica_v2.0:VIII:33494396:33497834:-1 gene:SETIT_026590mg transcript:KQK95336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAIGISKTAVQLLADKVKSAIKEEAKQWQILQQNLVFITGEFEMMQSFLNSADGERVKNHVVRTWVRQVRDLSNDVEDCIEFVLHLDTKRSWWLRLLPPCSCSSTEGSELPLDLAVTQIEQLKIRVQDVSQRNLRYRFGDSCSDSLTQQQFVSASAIGAPGFDDIHIEAKDTAASCRGAVDLTKLVTEGSNDLRVISLWGTGDDLGTMSIIRNMYDDPTIYRNFRCRAWVKKKRDALSWTETSAGDLVEEFVRQVNTNRYLIILEDLSTVVQWDAIRPYLRDRKNGSCIVVSTRHHEIASLCTGKPYLLSELQRFSANQAIRVFFNAKKASPYTAQVQPV >KQK94433 pep chromosome:Setaria_italica_v2.0:VIII:16345740:16349052:1 gene:SETIT_028216mg transcript:KQK94433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHVARNKNCPCMKDGSCSKHFPKQFQVETTADGRGFIMHKRRDMRRYVIKNGVKLDNCYVVPSNMFLLKKYQAHINVEFCNQSNIAKYLCKNVTKGPNQANVAFQRTRKRKASSSSTLLEVYWHIFCFELHHKIQDVERLAVYLPIMNMVPYATKANLAALIATPFLQKTMLTELSSSGFGNRLIDDGEAYDIATLVDQAPLLYSRLNDCERAAYDSIVRSVMGNEPAFYFVSHFGDTGTMFLWNNIITYVRSLGKIVLAVTSSGVASLLLSGGRTAHSIFKILIDIDETTICDIKRALMMHRRCFEALDHSLHDIMSENNADMGLLPFGGMVVVLGGDLRQLLPIVEGGVCSKIVDAEITNSPLWRSIIVLELTMNMRLVADGLDSIAKEELSKFSDWVLSVGDGTLPTVSHAPKDDGAWVQIPDDMLVVTTTDDRIRAAPTHPGPIDTVYDNFNFNFKYKSYLCKRAIVSPTNDVSDEINSVVISMVLGESKEYLSFDCVSKCSDTLGDVDLLYLMVGLCNGTRLIVTNFANRILEARIITGSNIGDTVYVPRTVLTAANKKWPFTLQSRQFSVRVCYAMTINKSQGQTLSAVGLYLRNPVFSHGQLYVAVSRVTSKKGLKILIEDGDNGCGEYTKNSVYLEVFASLRRSLS >KQK94610 pep chromosome:Setaria_italica_v2.0:VIII:23428557:23429842:-1 gene:SETIT_026792mg transcript:KQK94610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKGKVHPSPSLPPPAAGGGGEVTAEAVLMRLLPAAVLAAAAPLGAEGKEVLAYLVLASLRSSALPAPPATPARGEAGHRPELGCGCFGCYTAYWSRWDGSPEGDREAIHRAIEAFEEHLAKEEKEGAGGGKGGGGARRGRKKRAAKEAAAAKDAAKEKASKGKGKGKSKEVAVDPLPLPPAAASPAPEEAPKVEDGAEYLTAEEEKEHEPEDAAAGEEEKRRRGWGGVLNWRSWGLWGSH >KQK95985 pep chromosome:Setaria_italica_v2.0:VIII:40324986:40325939:-1 gene:SETIT_027406mg transcript:KQK95985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDAMVPYVKKLITDMADEEVSMLLGVYGEITRLEDNMEGIKAFLAGAERRRLTDDSVRRWVRKLKDAMYDTTDIIDLCQLVAANKRRGSTEDGSSVKKKVSAGCFQPLLFCIRNPLDGIHKEADRFKFSINLGSNMEPRMLTDAERSMQKMTSEFDESAIVGEKIEQDTRELAQLLITGSLHHIKVVSIVGTGGMGKATVAQKIFNEHFDEIELLKTAIEHAGGVHGGTQDKTLLTRTLTNTLSTGRFLLVMDHVWSDQAWSHVLSVPVKTSFYQHHSAH >KQK93698 pep chromosome:Setaria_italica_v2.0:VIII:3332802:3333214:-1 gene:SETIT_028474mg transcript:KQK93698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARNMIGSRHAAVAAASGWSTTMHGFRLTGINDSNFFFPPRTK >KQK94151 pep chromosome:Setaria_italica_v2.0:VIII:9879449:9879916:1 gene:SETIT_027198mg transcript:KQK94151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINKNRNQRYHKPKLIYLRHNRAQYGHQPALAPRMCTIYKLESRRRPSLHVFALATLIIREAQLRGTH >KQK94750 pep chromosome:Setaria_italica_v2.0:VIII:26264297:26270230:-1 gene:SETIT_025988mg transcript:KQK94750 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTELWNLCAGPLVTVPSVGDKVYYFPQGHIEQVEASTNQVAEQHMQLYNLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKQPDENGSSEQEMPAAPSAAPARPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGAEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGVNGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPCDRYMESLKRNYPIGMRFKMRFEGEEAPEQRFTGTIVGNVDPDQAGWPESKWRYLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVHRPKRPRSNAVASLPDSSAPTKEAAPKVTVEAQQNALQRVLQTQDNATPKSAFGDKSELDAAQQSVLRPSGFDREKSTIGTQRKLGSDSWMQMSRPESYNEMLSGLSGYQQPKDLQNQQGFCSLPDQIAAGRPNFWHTVNAHYQDQQGNHNMFGSWSMMPSSTGFGLNRQNYPMIQEVGAMPQSSANTKFGNGVYTPLPGRGIDQYSAGWFGHMVPGSRMDDAQPRVIKPQPLVLAHGDAQKMKGNSCKLFGIHLDSPAKSEPLKSPPSVANDGMPQTPAAAEWRRVDTTEVEKSSDPPKTPKQLDAPQADPVPCPQSSRSTQCKSQGGSTRSCKKVHKQGIALGRSVDLTKFKGYTELVSELDEMFDFNGELKGSNKEWMVVYTDNEGDMMLVGDDPWDEFCNMVHKIFIYTTEEVQRMNPGTLNSGSEDSPANSMERGSAVRETLPASSLNSGNC >KQK94749 pep chromosome:Setaria_italica_v2.0:VIII:26264297:26270230:-1 gene:SETIT_025988mg transcript:KQK94749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTELWNLCAGPLVTVPSVGDKVYYFPQGHIEQVEASTNQVAEQHMQLYNLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKPDENGSSEQEMPAAPSAAPARPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGAEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGVNGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPCDRYMESLKRNYPIGMRFKMRFEGEEAPEQRFTGTIVGNVDPDQAGWPESKWRYLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVHRPKRPRSNAVASLPDSSAPTKEAAPKVTVEAQQNALQRVLQTQDNATPKSAFGDKSELDAAQQSVLRPSGFDREKSTIGTQRKLGSDSWMQMSRPESYNEMLSGLSGYQQPKDLQNQQGFCSLPDQIAAGRPNFWHTVNAHYQDQQGNHNMFGSWSMMPSSTGFGLNRQNYPMIQEVGAMPQSSANTKFGNGVYTPLPGRGIDQYSAGWFGHMVPGSRMDDAQPRVIKPQPLVLAHGDAQKMKGNSCKLFGIHLDSPAKSEPLKSPPSVANDGMPQTPAAAEWRRVDTTEVEKSSDPPKTPKQLDAPQADPVPCPQSSRSTQCKSQGGSTRSCKKVHKQGIALGRSVDLTKFKGYTELVSELDEMFDFNGELKGSNKEWMVVYTDNEGDMMLVGDDPWDEFCNMVHKIFIYTTEEVQRMNPGTLNSGSEDSPANSMERGSAVRETLPASSLNSGNC >KQK94748 pep chromosome:Setaria_italica_v2.0:VIII:26264821:26269771:-1 gene:SETIT_025988mg transcript:KQK94748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYTELWNLCAGPLVTVPSVGDKVYYFPQGHIEQVEASTNQVAEQHMQLYNLPWKILCEVMNVELKAEPDTDEVYAQLTLLPESKPDENGSSEQEMPAAPSAAPARPRVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGAEWRFRHIFRGQPRRHLLQSGWSVFVSAKRLVAGDAFIFLRGVNGELRVGVRRAMRQQANVPSSVISSHSMHLGVLATAWHAVNTGTMFTVYYKPRTSPAEFVVPCDRYMESLKRNYPIGMRFKMRFEGEEAPEQRFTGTIVGNVDPDQAGWPESKWRYLKVRWDEASSIPRPERVSPWQIEPAVSPPPINPLPVHRPKRPRSNAVASLPDSSAPTKEAAPKVTVEAQQNALQRVLQTQDNATPKSAFGDKSELDAAQQSVLRPSGFDREKSTIGTQRKLGSDSWMQMSRPESYNEMLSGLSGYQQPKDLQNQQGFCSLPDQIAAGRPNFWHTVNAHYQDQQGNHNMFGSWSMMPSSTGFGLNRQNYPMIQEVGAMPQSSANTKFGNGVYTPLPGRGIDQYSAGWFGHMVPGSRMDDAQPRVIKPQPLVLAHGDAQKMKGNSCKLFGIHLDSPAKSEPLKSPPSVANDGMPQTPAAAEWRRVDTTEVEKSSDPPKTPKQLDAPQADPVPCPQSSRSTQCKSQGGSTRSCKKVHKQGIALGRSVDLTKFKGYTELVSELDEMFDFNGELKGSNKEWMVVYTDNEGDMMLVGDDPWDEFCNMVHKIFIYTTEEVQRMNPGTLNSGSEDSPANSMERGSAVRETLPASSLNSGNC >KQK95103 pep chromosome:Setaria_italica_v2.0:VIII:30888114:30891617:1 gene:SETIT_027482mg transcript:KQK95103 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRLAQRPDHPALPILTSNTCPTILWQSLHAKKHSQLSKLSKDDDKQYIADMMGRKHVQKILKDLLKQRTTAPQKKYGDFLDVVLDELQSGRALLDENFLVDTVAGFIFAGVALTPTTLTAGMKFLTDSPNVVEALSEEHDTILKNRDETQPTITWEEFKTMKFTDQVINEILRLSSNGPGIIRQALKDVQYNGYTIPAGWVVMISPMSVHLNPDIFEDPLTFNPWRWQEENANSLMKHFMPFGDGKRHCMGANFTKFQIAMFLHTLVTKYRWKEIKRGETFRIADLAFPQDYHIKLLPRS >KQK95603 pep chromosome:Setaria_italica_v2.0:VIII:36262659:36266175:1 gene:SETIT_028115mg transcript:KQK95603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGCTTAAVIFFFFMTAFPACAAVVEHTFVVSHMNMTHLCKETRVMVVNGQLPGPAIEVTEGDSVVVHVVNKSPYNMTIHWHGVKQQLNCWADGVPMITQRPILPNNNFTYRFDVSGQEGTLWWHAHVPLIRATVHGILIIRPGHGAVSYPFPKPHKEIPIIIGEWWSEDIAQVDTTVSYNSASTINGKLGDLYNCSGAMEQGYMMEVEPGEIYLLRVINAALLSEYYLKIAGHKFTVVAADANYVSPYTTDIIAIAPGQTFDALVVADASPGRYYMVALPNQPPKPDFQSPVLPSRGILQYSNVAGGSQSGDVPMSPEMPDNHNNMLSFYFHGNLTNLHHPRHQPVPERIDERIFITLGLGSVCRNGRQSCKRGDNSLESLIVATMNSMSFLLPNVSTALLEARYYNTSNMDWLQELPDVPPRVFNFTDHSLIPAGPKEEKLEPSSRAALARRFRYGAVVEVVFQSTALMQSDSNPMHLHGHNMFVLAQGHGNYDMARDLARYNLVNPPVVNTVLVPRLGWVAVRFVADNPGIWYMHCHYEFHLTMGMVALFIVEDGQTTNTSLPSPPADIQAYGNANNLMPDKYYLQNEER >KQK94818 pep chromosome:Setaria_italica_v2.0:VIII:27544224:27545221:-1 gene:SETIT_026724mg transcript:KQK94818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRQWKSLVPALHLHAPGAAASCFPHPPSPSPCPSPPREEEDPASLLPPPPPEKDGKAKVVRLVGCDGRVRAYPPPVTAWELMQQHPRHLVCRADALLIGEKIPAVAPGEELQPGQAYFLLPAHLFRSVLSFVSLASSLLLLLSTAGAAGKAAGKQQGRPFELHRTASGTLQIKFSDDFLVGGGGCGGASDETPAAEAKPGVLRGDARLAKDYEELVGYGKSRRWAPKLETIEEVVVAAAAASPDAAAPTPERRRSRALPFLGRLGSRRRRDTCSGGSAVACSG >KQK95760 pep chromosome:Setaria_italica_v2.0:VIII:37885086:37886550:1 gene:SETIT_026512mg transcript:KQK95760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHYSSSSMSLALLPLLLAAALAPSLASASPALDNGDELLMLGRFHGWMAAHGRSYATEEEKLLRFEIYRSNMEFIAAANRDSRMRYRLGETPFTDLTHDEFMAMYGGNGGDPSEKEEMMITTHAGPVHEGGVHRSDLQLAAVDEEEPPRRPNPTTLLPPSVDWRAEGVVTPVGFNGWCSSCWAFVAAATIESAQAISTGDPPPVLSEQQLVDCDTLDKGCGGGWMDTAFKWVIQNGGITSAAAYPYTDRNGTCQEGKPAEVTLSGYKRLPRGDEAAIMEAVARQPVAVAFDHNDPCFQHYIDGVYDGKCFASGVYVGGACGTNQTHGLALVGYGTMPGGTKYWIGKNSWSASFPII >KQK95761 pep chromosome:Setaria_italica_v2.0:VIII:37885110:37886550:1 gene:SETIT_026512mg transcript:KQK95761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLALLPLLLAAALAPSLASASPALDNGDELLMLGRFHGWMAAHGRSYATEEEKLLRFEIYRSNMEFIAAANRDSRMRYRLGETPFTDLTHDEFMAMYGGNGGDPSEKEEMMITTHAGPVHEGGVHRSDLQLAAVDEEEPPRRPNPTTLLPPSVDWRAEGVVTPVGFNGWCSSCWAFVAAATIESAQAISTGDPPPVLSEQQLVDCDTLDKGCGGGWMDTAFKWVIQNGGITSAAAYPYTDRNGTCQEGKPAEVTLSGYKRLPRGDEAAIMEAVARQPVAVAFDHNDPCFQHYIDGVYDGKCFASGVYVGGACGTNQTHGLALVGYGTMPGGTKYWIGKNSWSARWGDNGFVYVLRDSPPLGLCGLAVRPSFPII >KQK94734 pep chromosome:Setaria_italica_v2.0:VIII:25854650:25855127:-1 gene:SETIT_027191mg transcript:KQK94734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGASNKASNEFMRNLCVGSQRLVAPDVPMQAKYRPSEHLMVSFFTSCWSNGSLSPWGYLYPHHSPIWSC >KQK95560 pep chromosome:Setaria_italica_v2.0:VIII:35602691:35603656:1 gene:SETIT_028402mg transcript:KQK95560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRKTRESGVVGTRMLGVRSTGSPHAQDATCSCRWAGYSYRQLCSWLGLGRRNGSKCKLCGKEGTDCRLGVKLVWKAMSRLC >KQK94119 pep chromosome:Setaria_italica_v2.0:VIII:9028054:9028542:1 gene:SETIT_028063mg transcript:KQK94119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARHHLHLVSVLFVATIVASPPTASAKSLSSSMVAPPMAASPTAHSLTPTAYDILEKYNLTRGILPEGVTGYVLQPDGSFEVHLPGDCSIHATNMQIKYSSRIAGNIQPQSIHDLEGVKVEMMLVWIGITVVTRTDDQLNFFVGPISKSFPIDSFANSLQCN >KQK94195 pep chromosome:Setaria_italica_v2.0:VIII:10757874:10760739:1 gene:SETIT_026024mg transcript:KQK94195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLTFMSQIIQQIGTVYLTDELANNWIGEVRKVAYRVEDVVDKYSYHVFQLTQEGFLKFFIKGTHNDIVFSAITDEIAHIEEEINQVIHMKDKWLHQTQLVSNNQQLAEIERQRSQDGFPDFVKDEDLVGIEKNRKLLSGWLYSDELDSTVITVSGMGGLGKSTLVTNLYEREKVNFPVHAWIVVSQICPADALLRKLLWKIGNKRAAEIKKKLQNRKCLIVLDDVWEQEHLELEPLDGPDAFDLFCRRAFHNNKDHKCPKEFEEIATSIVDRCHGLPLAIVTIGSLLSYLEYIIWNQTYNQLRSELSTNDHVRAILNLSYHDLSEDLRNCFLYCSLFPEDYPMSRESLVRLEKNTPEEVAEVNLMELIHRNMLEVVDSIIQVDPHVRRLSLCRWKVNTSLKHLTVLELQDSNITEVPTSIGNLFNLRYIGLRRTDVKSLPESIENLLNLHTLDIKQTQIEKLPRGTVNVKKLRDLLADRFADEMQSDFRFFIGVESPEGLSNLEELRTLETVQVSKDLAEQLKKLMQLRSVWIDNVSASDCANLFATLSTMPLLSSLLISARDVNETLCLQALDPISTKLHRLIVRGQWASGTLKYPIFRNHGEHLKYLALSWCQLGEDPLGVLAPHVPNLTYLSLNRVNSASTLVLSAGCFPHLKTLVLKRMPDVKQMEIGDGALPRIEGLYIVSLAQLDKVPQGIELLLSLKRLWLLYLHDEFKTLWQTSGMHQKMQHVPEIRI >KQK94598 pep chromosome:Setaria_italica_v2.0:VIII:23128438:23131909:1 gene:SETIT_026209mg transcript:KQK94598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIELIITTMIPLIFLMHFTTTTISPSAQPSWLFSLLSISLAVAAVILPFAVTTRHARSRNNGAAAAAIPGPRGWPLVGSLPAVSGPLMHRRLASLADTHGARRLMSLTLGATPVVISSHPDTAREILSGAAFVDRPPKAAARELMFTRAIGFAPAGEYWRRLRRAAGAGMLSPRRLAALEGLRSRVADGMTARVAGAMGRSGEVAMRALLQKASLESMAGSVLGLEGGALSEELGEMVREGYELVGTFNLGDHYYTTLWGPLMDLWGVGPACRGLAARVRGYFGKVIEERRVARDCHDRDDLLSYMLSLPEDERLEDSDVIAVLWEMVFRGVDVVAILLEWTMARVALHPDIQSKAHEEIDAVVGVHRPITDADIPNLHFLQCIVKETLRMHPPGPLLSWARLAVHDARVGKYVVPAGTTAMVNMWAISHDEAIWGDPWAFRPERFRVEDVSVLGSDLRLAPFGSGRRVCPGRMMGLSTVQLWLGRLLQEYEWSPAKPVKLAECLRLSMEMKQPLVCRAVRRGEAA >KQK93444 pep chromosome:Setaria_italica_v2.0:VIII:1118114:1119918:1 gene:SETIT_026524mg transcript:KQK93444 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASGKGLDSSTMDHQLQSSSGEAEEEEEDAAADMPPGGGRDGSSASNSSTVELLDEAGGGGGDSSRNPSSSSSSVRPYVRSKNPRLRWTPELHLCFLRAVDRLGGQDRATPKLVLQLMNVKGLSIGHVKSHLQMYRSKKIDDSGQVIGGSWRDDHELQEGGRQVYNLGRLSLHHAQTTGATTLLSARFGAWPHYWNWLHGHHHLLGSKPYYSSAAEAGVFLTTRAHQYVARATCSSAPASILQGRSSYHQNDQFIRPLLRDEDSGNHNHHDPLDLELALDIGPRRQDNKRIKRSSSGCSWGREDEENAARDNDQQVLESATDTGLSLSLFSSYPPPFVRTGSGGALGVNMDKGKAHPTRTSTLDLTI >KQK93748 pep chromosome:Setaria_italica_v2.0:VIII:3904943:3908304:-1 gene:SETIT_026413mg transcript:KQK93748 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRFAGCTGWAGGAYTFGPFVDGLLSARRGGAPLDSCDFDLDVNLDLGRYDLDLGRYDVPKMERRVNSWIRRALRRQVRELRFQVSITPRLPFSLEDRPLASEHLTRLELATVRGNSSVLDFSSCPALEDLTMEDCDVGSLEIHSPSLRHLRIRYCLFYCNYRTRMSFPNLVTFQFITNAGRVPLLESMPSLETATVRYDHFYDDRCENGRLDDCGDTGCDGCFFYYGPHDYNCVFLEGLTEATDLKLSAYPDLYVFNRDLEWCPAFSKLKTLVLSKWFVSADLSAVIWFLCHAPLLEKLTLKPSKVRDNLMKNDGSYKPLEQSIAVSHLQIVEIKCKDVDEIVLEILKVLNATGIPQEKIRIRYSAGYNFVHTDST >KQK93745 pep chromosome:Setaria_italica_v2.0:VIII:3904943:3908304:-1 gene:SETIT_026413mg transcript:KQK93745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRFAGCTGWAGGAYTFGPFVDGLLSARRGGAPLDSCDFDLDVNLDLGRYDLDLGRYDVPKMERRVNSWIRRALRRQVRELRFQVSITPRLPFSLEDRPLASEHLTRLELATVRGNSSVLDFSSCPALEDLTMEDCDVGSLEIHSPSLRHLRIRYCLFYCNYRTRMSFPNLVTFQFITNAGRVPLLESLTEATDLKLSAYPDLYVFNRDLEWCPAFSKLKTLVLSKWFVSADLSAVIWFLCHAPLLEKLTLKPSKVRDNLMKNDGSYKPLEQSIAVSHLQIVEIKCKDVDEIVLEILKVLNATGIPQEKIRIRYSAGYNFVHTDST >KQK93746 pep chromosome:Setaria_italica_v2.0:VIII:3905885:3908280:-1 gene:SETIT_026413mg transcript:KQK93746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRFAGCTGWAGGAYTFGPFVDGLLSARRGGAPLDSCDFDLDVNLDLGRYDLDLGRYDVPKMERRVNSWIRRALRRQVRELRFQVSITPRLPFSLEDRPLASEHLTRLELATVRGNSSVLDFSSCPALEDLTMEDCDVGSLEIHSPSLRHLRIRYCLFYCNYRTRMSFPNLVTFQFITNAGRVPLLESLTEATDLKLSAYPDLYVFNRDLEWCPAFSKLKTLVLSKWFVSADLSAVIWFLCHAPLLEKLTLKPSKVRDNLMKNDGSYKPLEQSIAVSHLQIVEIKCKDVDEIVLEILKVLNATGIPQEKIRIRYSAGYNFVHTDST >KQK93747 pep chromosome:Setaria_italica_v2.0:VIII:3905885:3908280:-1 gene:SETIT_026413mg transcript:KQK93747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGADRISDLPEGVLHHILSLLPAQDAVRTCVLAQSWRHHWRSAPAVRFAGCTGWAGGAYTFGPFVDGLLSARRGGAPLDSCDFDLDVNLDLGRYDLDLGRYDVPKMERRVNSWIRRALRRQVRELRFQVSITPRLPFSLEDRPLASEHLTRLELATVRGNSSVLDFSSCPALEDLTMEDCDVGSLEIHSPSLRHLRIRYCLFYCNYRTRMSFPNLVTFQFITNAGRVPLLESLTEATDLKLSAYPDLYVFNRDLEWCPAFSKLKTLVLSKWFVSADLSAVIWFLCHAPLLEKLTLKPSKVRDNLMKNDGSYKPLEQSIAVSHLQIVEIKCKDVDEIVLEILKVLNATGIPQEKIRIRYSAGYNFVHTDST >KQK94887 pep chromosome:Setaria_italica_v2.0:VIII:28414649:28415534:-1 gene:SETIT_027765mg transcript:KQK94887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSERALAAASLLLRVLTLLLLVASIIIIVTNKIYAPFTDVVDPPNITFRDFYAYRYLLSAAVIGCAYTLLVLPFAAIHVAQGRKIGRGRGLALFIFTDVVLAVLIATGAAAGLGLTVEYQHQQQSSDFKNFLNLVDVSCGLMLGATVCMVIMIMASVHSLT >KQK94991 pep chromosome:Setaria_italica_v2.0:VIII:29609853:29612372:1 gene:SETIT_027865mg transcript:KQK94991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATNPSYYESGVCQDIRQKEHRFHLYMNQRGEESPDGGNQKIVVSPGLSQGFGITVVNDWTVSDGPAPNANIVARCRGMQMGDGKANQNWLFCHSIMFTDSRLLALSLAVVGVSTKCLKVLGDFEAKTDGEWAIVGGTREFAYAQGVVTAKIIQDLLTTTGRIWELLPCIMDSARGALCDPFSYWRKMGPWGGDGGKVFDIPGPPQSLQTVTIGYGDVICSVAFSYTDQA >KQK95570 pep chromosome:Setaria_italica_v2.0:VIII:35659596:35659814:-1 gene:SETIT_028251mg transcript:KQK95570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKCQFQADKFIFFFQYILSIAMVNIFHMLHVLYQASSGEAFHQLASALKRIFHPIVVGCMPMLTVFDSCNLI >KQK94648 pep chromosome:Setaria_italica_v2.0:VIII:24030652:24031380:-1 gene:SETIT_027004mg transcript:KQK94648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASGMVGTILPFIFFAILLGGTSGERCNTSSIQVETFNSGVVVSGGDTVFEVQLKNLCPCAVRNVQLDARGFATTVDVDPAAFRADDGGVYLVNGGEPIASMATVSFQYAWDHFFQMTPRKFEVDGQC >KQK94216 pep chromosome:Setaria_italica_v2.0:VIII:10961057:10967649:1 gene:SETIT_025832mg transcript:KQK94216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLAVGLAKTVLERALTRAQSAIEEESKLRQSTQRDLVFIAGEFEMMHSFLEVANAERVRNNVVRIWVRQVRDLAYDVEDCIEFVIHLDGGNTWWRRVLPSWFCFCIAAAAAPPPLDVAVAEIEQLKARVEDVSRRNSRYSLISDSGSNPGDSASSAAVTATAVDMPGEARNTVKKQPGFSDLTQLIAKDGKELGVMSVWGRSDDLGAMSVIRKAYEDPEVRKTFGCRGWVKLEYPFNRRKFLQSVVAQFYTNSCLEEEKSVDVVELERMENAVVKQGGFVQEFKAQVNEKRYLLVLENVCTMGDWDAIRACLPKSVNGNRIIVSTEEREIARLCIGHSYRVLELKQYSAEYPVCVFFKQGSQGEIDRAMSSEVIHDVNHKVLRRIHTTKMEEVRTWNKNFTLVGREVQMEDLRNYQTKARFGNWRVMSVWGIAGVGKSALVKNFYYAKMVECLFDKYSWVNVEHPLNLMDFSRDLLRDFHSESLEDMEIKDPIQQCRNLLKEYRCLLVINDLQSTEEWDSIQNSLVSRHSQSFIIVITTQENIATYCADNEEAVYNVKGLEAEAAFHLFQQKVLQKKNSSPLKQSKYEDLKQLILKCGGLPKVIVAVADFLAPKTVTCMKDTRTMDEKFMHELESNPEFGCLRGLFDWMHLFFRSCPDFLRPCIFYLSIFPGYQIIRRRRLVMRWVAEGYSRDTKDKTAEERGEVLFSMLVNLCMIQPPQCTVMTQMRMVQCQVSAFFHEYVISRPEEENIVFALEVFELKGQCRPSTQRTGRHLVIQSCWDRDRIVFDSIDFSRLRSLTVFGKWESFFVSENMKVLRVLDLEDASNVTDKDLEEIVKQLPRLKFLSLRGRCEITRLPHSLGDLRQLETLDVRGTSIVTLPASITKLKKLQYVRAGATSLADEQPKSCASASWLPLLCRTRQLVGVEVPAGIDKLTALHTLGVVNAATTPRKATIKELKMLTQLRKLGVSGINKKNCKQVSEAFSCHVHLESLSLWLRKGDQCCLQDISSPPKNLQTLKMYGLVKVVPPWIKDLHKLTKLELEMTISQEGKIIEILGEIKELTILRLRVKPLQDGDGKLDFCVWLNGAQNICYEKVKILDVACTSKLTVVFGSQSMQKLELLTVRCGSASAFKFFELKCLSKLKEVRLIGSQDGILKNDLETQLNEHPGRPALKLDGSSSS >KQK95407 pep chromosome:Setaria_italica_v2.0:VIII:34211695:34215502:-1 gene:SETIT_026371mg transcript:KQK95407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQKVPPDVNEPRNDPRSSLYQVVKRFWALPTQTLHEYSSNGATSTTVPQPPPSKGKLITILSIDGGGIRGLIPATIIACLEAKLQELDGPDARIADYFDVIAGTSTGALLTMMLAAPNENKRPLFAAKDLTTFYLENCPKIFPQRKAGWLSTAMDLMSTMRGPKYDGVFLHDKIKNLTHDVRIADTVTNVIVPAFDVKYLQPVIFSTYEAKNEPLKNALLSDICISASAAPTYFPAHFFKTEAPNGKSREFHLVDGGMAANNPTMLAMSMLTKEVLRRNSDFHLNRDSVDYRDYLIISIGTGSAKQSEKYTAHQCAKWGPVQWLYNGGFTPIIDMLSHASSGMVDIHAAVLFEAFHSEMSYLRIQDDSLKGNTSSVDIATKENMETLIGIGKVLLKKPVTRVNIETGIYETVDGEGTNEEALARFAKILSEERTLRKNNLNA >KQK95718 pep chromosome:Setaria_italica_v2.0:VIII:37513992:37514362:1 gene:SETIT_028515mg transcript:KQK95718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDIVVCFKDNKCKLEGHIFHSCFFLSPTSHKPNFL >KQK94955 pep chromosome:Setaria_italica_v2.0:VIII:29088741:29091307:1 gene:SETIT_026994mg transcript:KQK94955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSAYPDADENLEAIITRIEQKSRKIETLLKQSKPVEALKTALEGSPLKTRDERCKSANWIVVHRAMMAIKDVDGMFNSLDPEYYDILMKYLYRGLSTGDRPTCDQCLKIHEKLTEKAGLGCILRSLADTANTV >KQK94766 pep chromosome:Setaria_italica_v2.0:VIII:26589120:26593108:-1 gene:SETIT_028001mg transcript:KQK94766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTRACLIALVVALAVVAAAGGAAGTSEQRRRQVRSLLKRLNKDPLASIEMRPSYHPEGLSDDSNIAPHSITQTWHQNGKCCPPNTIPIRRTKEEDVLRASSIKRYGKKRPRSIPNFFSVIDDPNKLNVTIGHQHAIAYTPKARYYGTKTSINLWEPTIGRAKDFSLAQLWISGGSYSGNDLNTIEAGWQVYPELYGDRSTRLFIYWTDPKGGNWWLQVGNHILGYWPSTIFSYLQISASYVMWGGEVYSPYAGQTSTDMGSGHFPGEGYSKASYIRNIQVVDSFNKLNSPNVVGLGNKQPNCYNVQSGTNSINWGTYIFYGGPGKNPSCP >KQK93361 pep chromosome:Setaria_italica_v2.0:VIII:762199:763393:1 gene:SETIT_026670mg transcript:KQK93361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGAVREVAQVYERIKIQHPLLLHYSSSHHHQPTTQLAHNLLSDALRALNLALSVMKQHPAAAAGSVTPRIVQAAEPQISPPSPASADPQAIVTSTARSGKRRRSSVMLEGKKSSWVNFTTVPYEDGYEWRKYGEKKINGTSYTRSYFRCTYKDDTGCLATKHVQQKDCNSDPPMFQVTYNNGHTCKNFTTTTAANNNSGSSNNLALIGCCNSSEGVTKISSRNNGHAGAAMNNIKQEQPPVLLPPILEISALPFDGKPPSMTSSCISGESWDEYSAGDMAQIAEASAGDDLLYDPELFVLCTSFKVY >KQK95832 pep chromosome:Setaria_italica_v2.0:VIII:38720962:38722573:1 gene:SETIT_028156mg transcript:KQK95832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAFFLALALPILLVVLSYILQLFHDSPRRLPPGPRPLLLIGNLHQLDHLPHRSLARLAARHRAPLMSLRLGAVLTVVASSPDVAREVLQRHNADIAARSIGDSMRTGGHCDNSVLCLPPRHRWRALRRLGATELFSPPRLRAMEPLRREAVSTLLRHVSDHAARGAAVDVGAAAHAAALGLLARTMFSGDLDPRTAREVSDVVDEASVLAAGPNVSDFFPALAAADIQGVRRRMARLVKRMYAIIDDQIEQRERSRAAGEARKNDLLDVMLDKEGEVEEEGTDDVSHNTIRGLFTDLFTGGETTSHTIECALAELLQSPNSMRKVQEELKSVIGTKQQIDEADTSKLPYLQAVVKETLRLHPPVPLPPYEAEATVEIQGYTIPKGTKVLINIWAINRCPDAWIEPNRFMPERFLEAEINFMGRDFHFIPFGAGRRICLGLPLAHRMVHLMLGSLLHRFRWTLPAEVEKNGVDMRERFGLALSLVVPLHAIPQEIH >KQK95251 pep chromosome:Setaria_italica_v2.0:VIII:32664784:32667528:1 gene:SETIT_025945mg transcript:KQK95251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGLLIGKLGAALVNEAASSGASLLCHEASALKGLFGEIHEAKDELESMQAYLKAAERFKDTDETTGLFVDRIRGFAFEIEDVVDEFTYKLEDKHGGFVSKMKKRIKYASTWRRLAHKLNDIKGRLQGAKQRNQDYTMKQTDRNAGGIAFHANQALNFTRDEDLVGITEHKKQLVQWLAGDLEQRCKIFAVWGMPGVGKTTLVAHVYKTIKMDFDAAAWVTVSQSYDVQELLKKIAGEFGITADAANMEKERLAEIIYQYLQGKRCILVLDDVWAADVWSEIRTVFPSNCIGRFVITSRKHEVSLLGTSNSAIHLEPLDKDDSWELFCKSAFWNDGDRKCPLHLKVLALKFVEKCEGLPIAIACIGSQLSAKGQTSAEWEKAYDELELQLVKNVMPRVETILNVSMEDLPCDLKNCFLHCALFPEDYPIMRRAVMRHWISSGFIKKKGNQTLEEVAEEYLTELVNRSLLQVVKRNHTGRLKCCQMHDVIRLVALKKAEKECFGKVYDGSGEFSGGPTRRISIQSRNLDRISPSNASHIRSLHVFERYIDIDLLRPILTSSNLLSTLDLKGACIKMLPTEVFNLFNLRYLGLRSTTIESLPETIGRLQNLEVLDAFNAQLLYLPNNIVKLQKLRYLYACNVFQEGEDIHPTIGVKVPSGIRHLTSLQALQCVEASSEILREVGDLTELRTFSVCNVRSEHSGNLRDAVNKMSHLVHLEIITPGEKEVLHLEGLCLPPTISKLVLEGQLERKSIHKVLSSWSRLSSLTMLHMSFCKIDEESFPSLLVLRGLCVLALSKAFDGKKLHFTAGCFPRLQVLSIWDAPQLNQVQIEQGAMSNLAQLYLQVCHKLKFLPQGIEHLKNLVELYLHDTSEELVERLWRKGGPDECKDDRMDISHIRKVIVIMGTREWIV >KQK94024 pep chromosome:Setaria_italica_v2.0:VIII:7851167:7851806:1 gene:SETIT_027320mg transcript:KQK94024 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVRSLVSRTLLDRRRRGRSGAVAGCFSVYVGAGRERFVMPVERANHPLFRRLLDDAEREYGRAAQGPLALPGCDVGAFLDVLWLMEERHDEDEEDGEGTIANNTAVCGANGGRVVAGYRTLCPTSRSSNYGRFASNNVR >KQK94929 pep chromosome:Setaria_italica_v2.0:VIII:28894494:28897850:-1 gene:SETIT_026384mg transcript:KQK94929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAGNGMAVAAAAAAAAPAATWNFAPNEALLGLTALSVRGVLGRVKAGMVAGGGARPVIPMGHGDPSVFPCFRTAPEAVDAVAGALRSWEHNSYSTCVGLEPARRSIAKYLSHNLPYELSPDDVYLTSGCAQAIEIICSVLARPGANILLPRPGYLFYEARAVFNGMEARYYDLLPEKDWEVDIHGVQALADENTVAMVIVNPGNPCGNVYTYEHLAKVAETARKLGIFVITDEVYAHLTFGERKFVPMGVFGEVAPVFTLGSISKRWLVPGWRLGWIVTNDPNSVFQRTKVVDSIKSYLDISSDPPTFVQGAIPNLLENTKEEFFDKTVKILRETSDICWEKLKGISAITCPSKPEGSMFVMVKLDLTCLQDIKDDMDFCCRLAKEELVVLLPGCAVGYKNWLRITFAIDPSSLEDGLDRLKSFCLRHSKPTK >KQK94755 pep chromosome:Setaria_italica_v2.0:VIII:26325857:26327057:-1 gene:SETIT_026679mg transcript:KQK94755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLQLRSPLLAQTTLSSCVLFFLLISSPGPVTAAGELTVVWGRNRAEGSLREACDTGLYATVILSFLRVAGHGKYLTDLSGHDVSTVGADVKHCQSAKNVTVLLSVAGSYNSPATARDVADHLWNAFLGGGSRRGGVRRPFGDAVLDGVNFYLDGEAEQERFSDLVRRRLQESRNNGGRNGAANKAVRLTATPPCSRVLDADMSGGELGLFERLHVRFYNEARCGYDYHEMRPFWGAWHMWVLRFPAARLLVGLPATDGMRGWIDPATLRDSVLPSVHDDPNYGGLMLWDRYYDKLTGYSRAIDP >KQK94910 pep chromosome:Setaria_italica_v2.0:VIII:28633831:28634399:1 gene:SETIT_028449mg transcript:KQK94910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMLSSVAGRVAELGMGRVEWCVLDWNKNAIDFYERMGADVLSQWCICRLAGASLEKYKGNHQEGACDGKVAE >KQK95973 pep chromosome:Setaria_italica_v2.0:VIII:40240827:40244913:-1 gene:SETIT_025942mg transcript:KQK95973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSGAVGSVIGKLGELLQAEYNLQKGLHEQIKFLKDELESAQTALSKVGEVPPEQLDPQVKLWASKVREASYDMEDILDTFLVSIVDVDTPAEKKDGLLKRLLKNIANLFNKSKERHTMAGAIEAMKKRLQEVADRRDRFSVAVSQPVLPTKPDPRLADMHKEAAQLIGIEETKGDLIAMLLPTPHGNGDSDVSGSNNKMKIVSVVGVGGLGKTTLAKAVYDELCDSPRYDCRAFLSVGRKPDLAQVLKEIFLLLDKKEYEAIRDVKNESLLISELRTFLQNKRYFIVIDDVWDIPTWKTIKTALVENESGSRVITTTRNQDVASMEEVYELRTLSPDHSEKLFKTRLFGVNGEYPANHPAEAYEKILKKCGGIPLAIITMASLLVGKSREDWFAVCNSPGFYRGQGNQQVDDTEWILSLSYYDLPSYLRTCLLYLSVYPEDYDIEKDSLIWKWIAEGFVEKKRGTSLFQQGEEYFNQLINRSMIQAVESEANGIVYACYVHDMVLDLICALSYEENFVTISSNDDEGTSPHQNRVRRLALQNRIMKQTQQDDHMDIAQLTQLVCLRGDFSTCVPDGSILRKVTSLEYLCIRIDNLDEESQRQFMKDVGNQSELRVLNISVGHLKGIVQSDLVHSLGNLHKLQHLELRDYKGEAAMREWDRAVFPGHLQHLELTSVPFRQLSSGISPMHLPILHYLCLRVDHMDERGLRALGALPELRFLILSTRRPSMACMATVANINTVVDGFFKKLRCCKLYGWMVQLVLNEYSTGVSFSIWNGMGATAFGTKTKDECSRSIAQQPVMPNLQELWFDVPVRALYKDGNGSCGDLGLECLPSFCSVKIAVDCEGASIDDVEKVEAELRNTSQLHPNGPRIILKRRKDDYLSDDGDNVSDNGGASAAGDEAAVTESGCDNPATPGC >KQK93580 pep chromosome:Setaria_italica_v2.0:VIII:2072514:2077089:1 gene:SETIT_026261mg transcript:KQK93580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPDGDATADLAVDELQSLSFGSSSRSRSASTVSTATASCSTSSSGPLHHLPLPPRSTTPTAAAASTQTIPRLGPVSLSDIRFLRRLGAGDIGSVYLAEVRAKKEKPNGGGGAGVGAVLVAAKVMDRKELEGRNKEGRARTEREILEAVDHPFLPRLYGVAEGDRWSCLLTEFCPGGDLHVLRQRQPHRRFSEAAVRFYAAEVVAALEYIHMVDIVYRDLKPENVLVRADGHIMLTDFDLSLKCDPTAPTPAHVISDPLSSLAGRSAAVSTSCTISSCIVPTVSCFQLFPGRGRSRRRRRWRTKKPSSSNSGNISRFPSGGSGGLELEFVAEPVELRSMSFVGTHEYLAPEIVSGEGHGSSVDWWTLGVFIFELLYGVTPFKGYDNEMTLANIVARALEFPKEPSVSSAAKDLVTALLAKDPARRLGATVGAAAIKRHPFFSGVNWALLRCATPPYVPPPFSLGNNVKGGAAGGGGAGSSANDDGMSDDDDSCPGTPVEYY >KQK95056 pep chromosome:Setaria_italica_v2.0:VIII:30342583:30342940:-1 gene:SETIT_028549mg transcript:KQK95056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNGSMLVFFFLVTAWNFEVGLQSKVIFATRVKSPFFCFEQLLWVYMDLFVLMFHR >KQK94779 pep chromosome:Setaria_italica_v2.0:VIII:26813456:26815194:-1 gene:SETIT_028192mg transcript:KQK94779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQPTLSHVQGANNEDEQPKLSPVLEALNEDDGTTALEGSEWVDDLEVNDPTSPSPASPPPKRAVPHMDENLNFFASDEVPMEYEHGKPFLYKWDLLESPWELNKLHGWIMNTLPLTTSRHESHFHMVLVSPHVSHTRVLNTYVFYIKYLSNLLCRMQWREEQLMGGRNKAHREEMHKVSVYISKVIRQRADKDYIIALYSFENHWICIIILPKRGEAVVLDSASYDRDRYKDFIDIIQKQPPGCVLCGYYVCKFIRNNRIYRNNPEDMPTINNNYTKIEDKQIDYICTDMARFILREIYHEDGVFFDKDGVLMMDECTTLRRWA >KQK94227 pep chromosome:Setaria_italica_v2.0:VIII:11202080:11202581:1 gene:SETIT_028411mg transcript:KQK94227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFTIRGISIEHSRLKEFHFLYCLRVSCKVNLEPC >KQK95248 pep chromosome:Setaria_italica_v2.0:VIII:32624249:32624917:-1 gene:SETIT_028486mg transcript:KQK95248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKSMVTTSKVRLDATPQAEGCVNYSIILWMLPLSLRRQVITKRIERSN >KQK95664 pep chromosome:Setaria_italica_v2.0:VIII:36957867:36959460:-1 gene:SETIT_027976mg transcript:KQK95664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASGSREERWTLAGATALVTGGSKGIGHAIVEELAGFGARVHTCARNAAELEECRRRWAENGLVVTVSVCDVSMRTDREMLMDTVKKVFDGKLDILVNNAGQLLLKAAAECAAEEYSHVMATNLESSFHLSQLSYPLLLNASLAGGGSVVNISSIASYNSSVRAGGMNQLTRSLAVEWAQDKIRVNCVAPGLVTTDILKQVEPETIEQEISRGPMARSGEPKEVASVVSFLCMPAASYITGQDIRIDGGRTIS >KQK93766 pep chromosome:Setaria_italica_v2.0:VIII:4151513:4153716:-1 gene:SETIT_026936mg transcript:KQK93766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKPYYLFDGYAHLSSGLACGLAGLAAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAD >KQK95600 pep chromosome:Setaria_italica_v2.0:VIII:36242405:36246635:1 gene:SETIT_026140mg transcript:KQK95600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRRQQVAAIAAVIFFSFTALSAGTAVVEHTFVVSQVNMVHLCKDTLVTVVNGQLPGPAIEVTEGDSVAVHVVNKSPYNLTIHWHGVKQRLNCWADGVPMITQRPVLPNQKFTYRFDVSGQEGTLWWHAHVPCLRATVHGILIIRPRHGAISYPFPKPHQEIPIIIGEWWDLKDLGQVDRHLRYYVADDYFKASTINGKLGDLYNCSGVVEEGYKLDVEPGKTYLLRVLNAALFSEYYLKIAGHKFIVVAGDANYVSPYTTDIIAIAPGQTFDALVVADASPGRYYMVAMPNQPPKPDYQSPVLPTRGILHYSNVAGGSRSGDVPMSPEMPDNHNDMLSFYFHGNLTSLHHPRHLPVPKRIDERLFITLGLGSVCRQGQSCERGAESDEVIVVATMNNISYELPTVSRPLLEAHYQNPSNIDWLQELPDVPPRVFNFTDNSLIPTGPKEEQLEPTSKAALARRFRYGAVVDVVFQSTSMLQSESNPMHLHGHDMFVLAQGSGNYDMQRDVAKYNLVNPPLVNTVLVPRLGWVAVRFIADNPGIWYMHCHYEFHLSMGMIALFIVEDGPTANTSLPSPPVDFWTDGNDNNVMAYA >KQK94409 pep chromosome:Setaria_italica_v2.0:VIII:14840532:14841658:1 gene:SETIT_027477mg transcript:KQK94409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIHGELEHFIMLEEETVTQMFRRLMLLVLDIRTLGKKMLRALTPRNPTLDTMIRRDPSFKTKTPNQLLGEILHQELVERYVAKSLSMRMNNSLALNASSTKNEESSEGSTDEEITFAIRNYKKFLKKNAFKKFGEDRKKTSKRRCYECKEVGHFIADCLHKKKKEFEDKRFKDKSKDYKKKYQGQAHVGQEWDSSDDEDNKKGMATLAILNPTTPTKLFNNFFDNEDDTPFCLMAKGAKLMIHVRGCQRNLL >KQK94538 pep chromosome:Setaria_italica_v2.0:VIII:21449000:21449314:1 gene:SETIT_027387mg transcript:KQK94538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPNDQDNNSNAYKGPITRARAREIQNKVNLFLSNVHIFYEDSILPNACTLLVLRFEGLVSLEVKTKEQNKTLAEMKLCLTTQAYDGGIMREEREYGSSTRGK >KQK95668 pep chromosome:Setaria_italica_v2.0:VIII:36986350:36990143:-1 gene:SETIT_026420mg transcript:KQK95668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMALSVRATRACLVALAVALAFLLLEGPTMAAALGAAGGSSEEHLRQVRSFLRRVNKAPVTSIQSPDGDIIDCVPISKQPAFDHPLLKNHTIQMQPSYHPRGQYGDSNIAPHPITQTWHQNGKCPENTVPIRRTKEEDVLRASSVNLYGKKRPDSIPNIHPEASVTSGHEYAVASLADGQYYGTQININLWKPMTETEDFSLTQLWTAAGSYANNDLNTIEVGWQVYQNFYGDNNPRLFIYWTRDAYRTTGCYNLGCSGFVQTNNQIAVGGTISPQSVYGGSQYEICILVWKDPNTGNWWLQVGGTNVGYWPSSIFTHLENSASNVQWGGEVCSSSAGQTSTDMGSGHFAGEGFGKASYIRNIQVVDSSNYLIQASGLGFIISSTSPSCYDVQSGTSSNDWGTYIFYGGPGRNPNCP >KQK95629 pep chromosome:Setaria_italica_v2.0:VIII:36657374:36661943:-1 gene:SETIT_026207mg transcript:KQK95629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEAAPGVEPAEAEPGAAAGPGQQQAVLLAEMVEDAAVWCALHGLVVGDRADPRSGTVPGVGLVHAPFSLLPSRFPTSFWKQACELAPIFNELVDRVSLDGEFLQAALSRQVLNYILTTYRFSNFRTKQVDEFTARLLEIHEKMMAINKKEAIRLGLHRSDYMLDSETNSLLQIELNTISSSFPGLGSLVSELHRTLLNQYGKVLGLDSKRIPRNWAATQFAEALGKAWAEYNNDSAVVLMVVQPEERNMYDQYWLANHLKESYGVTTVRKTLAQVEAEGQVLTDGTLVIDGRTVAVVYFRAGYAPTDYPSEAEWKARLLMEQSSAVKCPSISYHLVGTKKIQQELAKPNVLERFLDSKEDIAKLRKSFAGLWSLDNEEIVKSAIEKPDLFVLKPQREGGGNNIYGHDVRDTLIKLQKEQGESLAAYILMQRIFPNASLTPLVRGGDCFEDLTISELGIYGAYLRNKDKVILNNQCGYLMRTKVSSSNEGGVAAGFAVLDSVLLTDEVSTSHRNNLFLNLDHLLLS >KQK95628 pep chromosome:Setaria_italica_v2.0:VIII:36656736:36661943:-1 gene:SETIT_026207mg transcript:KQK95628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAEAAPGVEPAEAEPGAAAGPGQQQAVLLAEMVEDAAVWCALHGLVVGDRADPRSGTVPGVGLVHAPFSLLPSRFPTSFWKQACELAPIFNELVDRVSLDGEFLQAALSRQVLNYILTTYRFSNFRTKQVDEFTARLLEIHEKMMAINKKEAIRLGLHRSDYMLDSETNSLLQIELNTISSSFPGLGSLVSELHRTLLNQYGKVLGLDSKRIPRNWAATQFAEALGKAWAEYNNDSAVVLMVVQPEERNMYDQYWLANHLKESYGVTTVRKTLAQVEAEGQVLTDGTLVIDGRTVAVVYFRAGYAPTDYPSEAEWKARLLMEQSSAVKCPSISYHLVGTKKIQQELAKPNVLERFLDSKEDIAKLRKSFAGLWSLDNEEIVKSAIEKPDLFVLKPQREGGGNNIYGHDVRDTLIKLQKEQGESLAAYILMQRIFPNASLTPLVRGGDCFEDLTISELGIYGAYLRNKDKVILNNQCGYLMRTKVSSSNEGGVAAGFAVLDSVLLTDE >KQK95073 pep chromosome:Setaria_italica_v2.0:VIII:30548573:30549263:1 gene:SETIT_027381mg transcript:KQK95073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKLPLLFLLLVAAAVQLAPPAQAAPQVYCGDSLSGLMECRSFMFGGAAAPSPACCAAYEATFDATPSASATSPTALQGLVLPPYAPEGTRAQPPATAAAAPTALPAPSGSSEAPPSFTSPPPPAPTSKADPSEDAFLLLVATAILWALL >KQK95207 pep chromosome:Setaria_italica_v2.0:VIII:31913148:31914185:1 gene:SETIT_027763mg transcript:KQK95207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPVPVIFSRGFRFNPTPLESATYYLPRLVAGAPLHEAVRPVINHADVYGCEPADLARQFCPLPRTGHRFFFTHCKLQQPQREGKASRATRAAGSGSWHSQSVKDVVDHAGVKVGEIRKLRYKKGGAYTDWLMDEYSCCLEDAVAGDRQFVLCNIYVSPRADQGSAARQESAAFFAPPAPAPVAIAQAAAPKRPAPQSAEPPCPKRMRGAVAPTPPVVQPAGYCTASFAPPLPYVPHITTSVQPPPPPVLTRLAAPPPSRSLEPAPPLTPPVVRSCHMPPVPTRLAAPPPSRSPAPTPLQPRSLPKQQAPPATLPVVRACHMPPVPTRLAAPPPSRSPAPTPLQP >KQK95393 pep chromosome:Setaria_italica_v2.0:VIII:34080933:34081296:-1 gene:SETIT_027318mg transcript:KQK95393 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGPTERDQFTDEEEDDFLDEELDEQGLGSEDEEGVVGVGGKRKLLGKSLDGFGKRGVCYLSRVPPYMNPSHIQMLSKYGDELRIYLVSEGNQYAESTLAVVPISEA >KQK94333 pep chromosome:Setaria_italica_v2.0:VIII:13282232:13282771:-1 gene:SETIT_028464mg transcript:KQK94333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYQTRALTKFHSLRRIFLYRRYHRIHMLHLCLKIICYNLLKF >KQK94614 pep chromosome:Setaria_italica_v2.0:VIII:23524572:23527620:1 gene:SETIT_026859mg transcript:KQK94614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENYHMLFGTTPTAAQPSSSTSNSYNFLATAGGGTSSLMRDHDRGQPGHSFLAELSNSSKDGGASPPAAAGGRGESSAGPAAAGGEVDRPSGKRKGEKKERRPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSRDEGVVVTTYEGTHTHPIEKSNDNFEHILTQMQIYSGMGSNFSSNHNMFH >KQK95182 pep chromosome:Setaria_italica_v2.0:VIII:31708066:31713478:1 gene:SETIT_028038mg transcript:KQK95182 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLPFGSTASVDSNQLLVETNGSTQTLILNRPKQLNALSSTMIKELLRCFIAYEKDDGVKLLIMKGKGRAFCAGGDAAIEGWKWGANFCRNLYLLNYIIAICIKHQVSLLTGIVMGGGTGVSLHGRFRVATEKTIFAMPETTLGLFPDVGGSYFLSRLPGFYGEYVALAGARLDGAEVLACGLATHFVHSNRLLLLEESLKKVDTSNPFIVLEIINKCFSKRTVEEIISSLEQVASNLPDEWVDATIQSLKNASPTSLKISLRSIREGRTQTVGECLRREYRMVCHWMPPRLEQVHNESVQQYFSTIDDPRWEELNLPTRHSHGRNIKSKL >KQK95615 pep chromosome:Setaria_italica_v2.0:VIII:36488224:36490703:1 gene:SETIT_0261171mg transcript:KQK95615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VPKEGYVLDVEPGKTYLLRIINAGLFSEFYLKIAGHKFMVVAADANYVSPFTTDVIAIAAGETVDALLLADAPPGRYYMVALPNQAPLPDTQTTEYTTRGMVRYKVSHSAGNGTTILRSSRGAEEEQGGYSSGDAPMVPKMPDIHDTITSFYFHSNLTSLRHHGHSLVQQRVDERLYVVLSLGTICKKGQFCKRGDSDENLLVATMNNASFQHPTAIPTLLEAHYYHTGLINGTTQELPKRPPLLFNFTDEALIPFGPKEMRLEPTYKATLVRRFRHGAVVEIVFQSTAMLQGDSNPMHLHGYDMLVLAEGLGNYDPVKDVARYNLVNPPVKNTVLAPNRGWIAVRFVANNPGVWFMHCHYEFHLSMGMAAVFIVENGPTMDTSLLPPPVNFPTCSHDNSLIQTI >KQK95960 pep chromosome:Setaria_italica_v2.0:VIII:40154892:40158366:1 gene:SETIT_026287mg transcript:KQK95960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPFPSIPARPSWIILDRFIHRTDRDVEDEADGTASEISYTCTDRPIRASIRVADSPAVSRLYLDWPSRAEFEGRLREPCVIAADNHSILFTAIVPLEDPMCCKDTASFPVDMFVYSAFSSPPSLHRLRTCFTGGVSNPDEDIYFKPYQRCQQRIMAEKHTGLLCHGSKGGFTVVDFTNFGLEGELCLLHHPALPASASHKNTEKEEADWMIKKVRLPPGPRVRRWITDAIIPLHGRYLCWVDNYQGILVVDVLRASDKNTTDELLLHYIPLPHEALQSDRSHPDGDCPDKARCVCVTADFTLKLVCVTTGKANRARSPFTIRSWTFPWKFPHVFPSGRWYRGHTMEAAEFWGLYNGQSLPRVKPMYPLVSLVNPAEFCFLLNEDHTTYWIIKVNMGKKMLKSSAIYINEEEEGCTTDRPRARRIIFDGHSFIPSGISYYLGMDDAIKSRELSEMMQKAKQCRVAQKKGQLEVEQAESKAAKCRA >KQK93781 pep chromosome:Setaria_italica_v2.0:VIII:4335928:4337305:-1 gene:SETIT_028364mg transcript:KQK93781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HRGAIAAEYVKEHLLRNLIEHPKSITDTKAAIGSKPGPGAGSTAVTAIFVGGRLLVANVGDSRAVICKGGQGITNSDERHIIEDAGGYVMWDEGWRVGGVVPVSRAFGDKLLKQYVVADPEIKEVVVDSSLEFIILASNGLWQVVTNEGAVAIAKRHIWDPEESAKELPNEAYKRETSDNTTVVIVRFLHENSELPSI >KQK95092 pep chromosome:Setaria_italica_v2.0:VIII:30692412:30693325:-1 gene:SETIT_027110mg transcript:KQK95092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLNVNPATAAQCSCCVSARAKACCFACITAGGSDSLCKNTCCFPCVLSDSVAAKMEEMAVLAKMEEAGQA >KQK95343 pep chromosome:Setaria_italica_v2.0:VIII:33574309:33576490:1 gene:SETIT_028144mg transcript:KQK95343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSGLLRYIGPSLLVFILHRPWSIGMNLSLDLHRTPSTVTSHPTPAHHKPRATSNQHNIVNHSSSKGDQGSRTEKLLRKLPSPPFELPVIAHLHLIGSLPHHSLSDLAKRHGPDVMLLRLGAVPTLVVSSPRAAKAVLRTHDHVFASRPHSAVADILFYGSTDVSFTPYGEYWRRARKDITTHLLTAAKVRSNRAAREQEVRLVLARVRAAAAAGTAIDVSEIFIFFANDIVCQAMTGRLPREQGQNQLSWGLGGFNLDDYFPSLARFDLVSAKAVKHIKRWDDLLDSLIDKHKSKTMDCEDEGDFIDVLLSVQQAYGLTRDNIKAILMDMFEAGTDTTYIALDYTMAELMQKPRVVMKESMRVHAPAPLMLPHFFTAECDAEGYTIPSGTRVILNVWALGRDPTSWKSPEEFMPDRFIEEAMDAASDFQGNDFRFLPFGSGRRMCPAINFTKATFEIILANLVYHFNWELPPGSTGIDMTESYGVDVHRKEKLLLIPRVALDV >KQK94839 pep chromosome:Setaria_italica_v2.0:VIII:27880704:27882740:-1 gene:SETIT_028354mg transcript:KQK94839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTFSCEEAINEFEALTRDAGRVQQDTLKRILELNADAEYLNHFGLNGRTDVQSYKSCIPLCVHSDLEPYIQRIADGDSSPLLTGKPVISLSLSSGTTGGKPKFVPFNDELLENTLQICQTSYAFRNREYPIGKGKALQFVYSSKQMVTKGGILATTATTNLYRRPRYKEGMKDMQTQCCSPDEVVFGPDFNQSLYCHLLYGLVYSDEICQVFAPFAHSLVRAFQTFEEVWEDLCADIRDGVLSEKVTVPSIREAVAKILKPNPELADSIHKKCMGLSNWYGVIPALWPNAKYVYGIMTGSMEPYVKKLRHYAGHLPLISADYGASEGWVGANINPTVPPEKVTYAVLPQTGYFEFIPLEKPNAEETENSASIHYIESDPVGLTEVEIGKIYEVVMTTFGGLYRYRLGDVVKVVGFHNSTPELQFICRRSLVLSINIDKNTEKDVQLAVEEAGKLLEAEKLEIVDFTSSVEKSSDPGRYVIFWELSSDASEDVLQSCANCLDLAFVDGGYLGSRKTKAIGPLELRVLKKGTFKEILDHFVSLGCAVGQFKTPRFVNPMNTKVLQILTSSTTKSYFSTAYGV >KQK95110 pep chromosome:Setaria_italica_v2.0:VIII:30969148:30973807:-1 gene:SETIT_025965mg transcript:KQK95110 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPVSNSDVATTSTNAQTMAPSEIAENQCKDVDEMKVHKKSLTRIRTGVGSLEESQLIGREKEISKIIGLISNKASQVISVWGMGGLGKTTLANGIYQSLKLSDMFEKHAFVTIIRPFNHADLLRILIGRLQEESSKKEELLNNRPSKTKSLAMMGVEALTKELKRLLEKKSCLIVLDDLSSIEEWDHIRQGFSWMKKTTRIIVTTREESIAKHCSGKYGIVHNLEVLKEEDALNLFSLKATDLIKKNPELFEETKKILKKCGGLPLAIVTIGGYLASRPKTRAEWRKLNENISAELEMNPELGMIRTVLQTSYDGLPYELKSCFLYLSIFPEDYIISQRRLVYRWTAEGYSQERRGKSANEIAENYFTELKYRSMILPFQQSVHSRKSIDSCKVHDLIHDIAISKSMEENLGFRLEEGCDLSTHGAIRHLAISSNWKGDQSELERIVDLSRLRSLTVFGEFRPFYISDKMRLLRVLDLEDVKGLKYYHLDHVWKLLHLKYLSLRGCTGIVLLPNSLGNLRQLQVLDVKDTSVMALPKTIIKLRKLQYIHARWGAGYVPKEKDSLTRRCLWGAGQCATCCVPLLRDIDGPLHKALTRRDACTFACCVLYPAVMMGANEEGGVMVPRGTRKLKELHTLREVNVGRGNAVLQDIKMLTGLRKLGVAGINRKNGPAFRAAISNLSRLESLSVSSAGKPGLHGCLDDISPPPENLQYLELYGNLETLPEWIKELPHLVKLKLVSTRLLEHDAAMEFLGKLSKLKILSLSWESFQGEELRFRSEQTGRAFGSLSVLMLANIGYIKSVKFEEGIMPKLELLQIIGEANNEIGFSGLEFLQSINEVQLSSSTPTRLKISGAALQQLPAARGTLWVVKNEPFNLFLLTFFCY >KQK93266 pep chromosome:Setaria_italica_v2.0:VIII:265267:269162:1 gene:SETIT_026180mg transcript:KQK93266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEEPAAAGAAHEYPTIDPSFDVVLCGTGLPESVLAAACAAAGKTVLHVDPNPFYGSHYTSIPLLSLASFLSPDPSPSSSTVAAAATSGSHTVVDLHRRTVYSEVETSGAIPELARRFTVDLVGPRVLYCADEAVDLLLRSGGSHHVEFKSVDGGSLLYWEGCLYPVPGSRGAIFSDTTLKHTEKFVAYKFLKVVQEHIAATSASADERGEEEDAAMISEEDLYRPFVEFLNQHSLSAKMRAVVLYAIAMADYDQDGPEPSDKLITTREGIKTIALYLSSIGRFANAEGAFIYPMYGHGELPQAFCRCAAVKGALYVLRMPVAALLMDEEKKHFVGARLASGQDISCKRLIIDPSYKIPTLDVPSDDSNSNFPRSVARGICIFSKSLKQGTSNVLVVFPPKSLEEKQVAAVRLLQLSSNLAVCPSGMFMAYLSTPCTDASAGKHCIKKAIDALFSPQPQDSDGFEGHLESTSESTDDMKPTLIWSCVYVQEITQGTSTSGSSWSCPMPDENLDYRNILESTKKLFADIYPDEEFLPRNSAPVHGDDDSDSAE >KQK95521 pep chromosome:Setaria_italica_v2.0:VIII:35302710:35304650:-1 gene:SETIT_026289mg transcript:KQK95521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHADDTAAVPLLPSPTTTTKAGDEPPRRNMYAFGCATLASMTTILMGYNLALMSGAQLFIREDLGLSDAQIEVLAGSINVFMLASILAAGWAADVLGRRGTLVLANVSLMAGALAMALGGSFPALMFARCVTSVGSGFSIVVTSVYNAEISPPSMRGFLSSFLDLFISLGLLLSYVSNYAFASLPVHLGWRVMYAAGVLPPVLLAAGVLAMPESPRWLAMRGRHADARAVLLRTSDTPAEADLRLEEIKQAVFKEQPQANDGGGGDVWKELLLRPSASVRRMLICVVGVHFFQQASGIDAIVLYSPLVFRKAGMSSNDAVLGATVGIGVVKMCFVLVASLLSDGVGRRPLLLASTAGVAASMASLGTALCVGSTSPVSMAATIASVLAFIAAFSIGFGPLAGTYSAEIMPLRLRAQGASLGMAVNRLTCALVSMTFISLADAITMPGCFFLYAGVAAAACVFVYARMPETRGRSLEDMDVLFAK >KQK95082 pep chromosome:Setaria_italica_v2.0:VIII:30608591:30610540:1 gene:SETIT_027511mg transcript:KQK95082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQDRPHRRRRRRRHRNRSGEDYISGLPDELLHSILLRLGSTRAAARTSVLSRRWRPVWRHLPELVFGNGSHDAPPPTPASFLDAVDSALAAYAAPTIQGLVIVLSTAAAGLGVPAGRVAPWLRFAAERVAVELVIFVPPPPMHRHQTWPVVDWEDDLLELPACEEAVLELPACERAKTMALRLKQHWRLRLPPAGMFTALTSLTILFASMEGSEVTALVCTRCPCLRNLRLSLTLVDASNVSIRSDSLQSLSFCVRKTRRLEVVTPRLENLFVGDYIDEARISAPKLEGIFWRATPYDPHHHRFDDVGRRLQLLDIGDCFITMASLMQRFDEVHELKLSIPQENRCWSQCPCLQESRSANGIALSSLEEVEITSHKSSQEQLLEFVEQLLSRCNAAVLKKLVINYTTFFAPSRTKVACEKIRRLCHPNTEFEFYEFSYGECVRFY >KQK95046 pep chromosome:Setaria_italica_v2.0:VIII:30266357:30270338:-1 gene:SETIT_027592mg transcript:KQK95046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LFHDSPRRLPPGPRPLPQIVNLHQLDHLPHRSLARLAARHRAPLMSLRLGAVLTVVASSPDVAREVLQRHNAYIAARSIGDSMRAGSHCNNSVLCLPPRHRWRALRRLGATELFSPPRLRAMEPLRREAVSTLLRHVSDHAARGAAVDVGAAAHAAALGLLARTMFSGDLDPRTAREVSDVVDEASVLAAGPNVSDFFPALAAADIQGVRRRMARLVKRMYAIIDDQIEQREGSRAAGEARKNDLLDVMLDKEGEVEEEGTDDVSHNTIRGLFTIDEADTSKLPDLQAVVKETLRLHPPVPLPPYEAEATVEIQGYTIPKGTKVLINIWAINRCPDAWIEPNRFMPERFLEAEINFMGRDFHFIPFGAGRRIYLGLPLAYRMVHLMLGSLLHRFRWTLPAEVEKNGVDMRERFGLALSLVVPLHAIPQEIH >KQK95274 pep chromosome:Setaria_italica_v2.0:VIII:32864140:32867461:-1 gene:SETIT_025946mg transcript:KQK95274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGLLIGKLGAALVNEAASSGASLICHEASALKGLFGEIHEAKDELESMQAYLKAAERFKDTDETTGLFVDRIRGFAFEIEDVVDEFTYKLEDKHGGFVSKMKKRIKYVNTWRRLAHKLNDIKGRLQGAKQRNQDYAMKQMDKNAGGIAFHANQALNFTRDEDLVGITEHKKQLVQWLAGDLEQRCKIFTVWGMPGVGKTTLVAHVYKTIKMDFDAAAWVTVSQSYDVQELLKKIAGEFGITADAANMEMERLAETIYEYLQGKRYILALDDIWTADVWSEIRTVFPSNCIGRFVITSRKHEVSLLGTSNSAIHLEPLDEDNSWELFCKSAFWNDGDRKCPLHLKVLALKFVEKCEGLPIAIACIGSQLSAKGQTSAEWEKAYDELELQLVKNVMPRVETIIKVSLEDLPCDLKNCFLHCALFPEDYPIKRRTVMRHWISSGFIKKKGNQTLEEVAEEYLTELVNRSLLQVVKRNDAGRLKCCQMHDVIRLVALKKAEKECFGKVYDGSGEFSGGPTRRISIQSRNLDRISPSNASHIRSLHVFERYINIDLLRPILTSSNLLSTLDLKGACIKMLPTEVFNLFNLRYLGLRYTAIESLPEKIGRLQNLEVLDAFNGQLLYLPNNIVKLQKLRYLYACNIYQGGEDIYPSRGVKVPSGIRHLTSLQALQCVEASSEILREVGDLTELRTFSVCNVRSEHSGNLRDAVNKMSHLVHLQITTLGEEEVLQLEGLCLPPTFSKLVLQGQLEKKSIHKVLSSWSRLSSLTRLHMAFCRIDEESFPSLLVLRGLCVLALSKAFDGKKLHFTAGCFPRLQVLSIWHAPQLNQVQIEQGAMSTLAELYFAVCPKLKFLPQGIEHLKNLVELMLKDTSEELVERLWRKGGPDECKDDRMNISHIRKVIVGKGTRQWIM >KQK95550 pep chromosome:Setaria_italica_v2.0:VIII:35551649:35555555:1 gene:SETIT_026338mg transcript:KQK95550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEADAGKLFIGGISWDTNEDRLREYFDKYGEVVEAVIMRDRATGRARGFGFIVFADPAVAEQVIMEKHMIDGRMVEAKKAVPRDDQHALSKSGGSAHGSPGPSRTKKIFVGGLASTVTEADFRKYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKALFKTFHELNGKMVEVKRAVPKELSPGPSMRSPVGGFNYVMGRANILNGYTQGYNPSPVGGYGMRMDARFGLLSGGRSGYPSFGGSYGIGMNFDPGMNPGIGGGSSFNNSVQYGRQLNPYYSGNSGRFNSSVGYGGVNDNNGSVFNSLARNLWGNSGLNYSSNSASSNTFVSSGNGGLSGIGNNNVNWGNPPVPAQGASGGSGYGTGNFGYGSSENNFGLGSSAYGRNAGSGGVNTFNQSTNGYARNFGDSSAPGGSIYGDTTWRSGSSELDGTSPFGYGLGNSASDVTAKSSAGYMGH >KQK95670 pep chromosome:Setaria_italica_v2.0:VIII:36994781:36995233:-1 gene:SETIT_027405mg transcript:KQK95670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTKCDVYSFGIILLETMSVVWKKCRGPPSKEIREQWAKRLEKRDLFDQQLVADDSNRVLALRCVLVGLICCLREPARRLSMEEVVVRIGGPRTEVVPAAAPSSSSAAQAIPRQ >KQK95904 pep chromosome:Setaria_italica_v2.0:VIII:39438764:39440872:1 gene:SETIT_027959mg transcript:KQK95904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAEMALAPGLPPSPTPELYEALPLFYVIALVFLAVLTIFLLHILGPLRRWSSHGLLHYIVMVIYTLSYPLVGYTIGWMQSTNYLYFNDFTVWAVFLLLLLSSTDSLTACSINDIESWKSIYVKHLFKGFLLVFILLKFGYYIEKDVDADYLWYPLSLILVVIVLKSYVMIASMRMVSKSYLGKNVKMIAEYMHHIDNKLVAFDPVTMEGYRYMVAGEKHCINRRGRAPWYKRPDDLKVTTVEQIWQCEGNLLIGDQGKVLKDLCLSMALSKMLNRRFAGFKLSEAELEKTHDFVFKGLLAGDEQQAFRVIEEELVFVHDMYYTRYSYLYQKGRYLALCLPVIMSALCSWLAVASLHIKRTDDPYLSSRLNRTLLSGTIVIPVVVAFLEAYQTYLYMASGWFKVALIRSYVTAPFLQTSCCSEMIIRLLLMLKAFRPWKGRLGQYCFLESLCRKSKIMNCLHYATLRLVDKAVKGRMESVKLSGNVKKAIIDSLLGSNGNLTNGVTSLQRNGVHGDLSWACDATATDGAVSRTILVWHIATTLCEHQLDKQGKQAEAVRTASTLSKYCMQLLAFAPNLLPDHSSISEAILDESIDNGGDPRWQGLRKLLEGSNDLESRCKELLMFNIDNGDGGEAPLVAQGARLARQIEGIHDSKLRWKVLSDFWAEMMLYVSPSDDARTHLEVLPRGGEFITHLWALLTHA >KQK94814 pep chromosome:Setaria_italica_v2.0:VIII:27441877:27443466:-1 gene:SETIT_028273mg transcript:KQK94814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGRSLFKSPLPRSYLSDTASSASSAAVATHRVYQVWRGKNRFLCGGRLIFGPDASSIVLTVALIMTPLALFVAFVSFRLADLIGKPLGAAVPATAMAVGAFDVVVLVLTSGRDPGIIPRNARPPEPDDADSTASPAAASTAPSWSLPPTRDVYVNGTVVKVKYCHTCMLYRPPRCSHCSVCNNCVDRFDHHCPWVGQCIGRRNYRFFFMFISSTTFLCLYVFGFCWVNLYLISRQYGVGLGRAVADSPVSGFLIAYTFVTAWFVGGLTAFHSYLVCTNQTTYENFRYRYEGKANPFNRGAAANVTEIFFSPIPPSRNDFRAKVSPADPDAAALYYLGPLSSESRISFYTRGSLSFDMAKASFDLNYSAKRTSVASSSDFGDIYGGQGHGGGLDRVSTHQQPRHSIFGGPGRESRKVEEEADAVTAELGATKPQYGGGAGRPRGGEFEVV >KQK94526 pep chromosome:Setaria_italica_v2.0:VIII:20752871:20755106:1 gene:SETIT_027349mg transcript:KQK94526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGFPSAISRNGAISFFTLGASFLDIEPHWDLFHYFFHLMPYPNAEAPNVVGGAKIQLREKISQEYILVLTLSTNKGWHEEWFYTPNHAPTIPCNINARPKMRDCWTESIREENMGQVWELEAMIKDLKYHGLNGMGVVTNFAFCRTQPMKDFVHPAFEFTGDIDTKREVPEIVDKDGLYRHLKKFFANNTRMKNHGHMLPLSLCNPRPEVRLLAFVSKAPIPEHPRTLDIATSQLRELELERPKKAKAEAGTNGDSPQASSSPQDKAVPSGTRWASTPPKGVARGGCRGLDEPLVRPNHPSLFLPPANVWHLLPEEESSPQHTTTPLDLPLEELVLHSMAKLYLG >KQK95617 pep chromosome:Setaria_italica_v2.0:VIII:36567192:36570070:1 gene:SETIT_028303mg transcript:KQK95617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRSLPAATVAIATGVVFFLSAIGAPAAMASVVEHTFVVRQVKMTHLCKETLATVVNGQFPGPAIEVTEGDSVTVHVVNQSPYNLTIHWHGVKQRLNCWADGVPMITQCPILPNQNFTYRFNVAGQEGTLWWHAHVSFLRASVHGALIIRPRRGASSYPFPKPYKEIPIIIGEWWDIDLLKADWGIKQHVIDAYFNASTINGKLGDLYSCSGAVEDGYLLDVEPGRTYLLRIINAALFAEYYLKIAGHKFTVVAADANYVSPYTTDVIAIAPGETMDALLVADADPGRYYMVALPNQSPLPDPQSPTLITRGIVQYSNKQRAADGGGRPSSDIPVSPEMPDQHDMITSFYFHGNLTGLHHPQHLEVPKHVDERLFITLGLGSICRGGQSSCKRSENNESMDVATMNSFTYQQPAVATPLLELHYYNTDNRVLSMLQELPDKPPRVFNYTDPALIPPGPKEAKLEPTSKATIARRFRQGAVVEVVFQGMAILSSESNPMHLHGHDVFVLAQGEGNYDATRDVPRYNLVNPAVKNTVFVPRLGWVAVRFIADNPGIWYMHCHFGFHVSMGMVALFIVEDGSIVDTSLPAPPADFPTCGHDHNVMSNELYPI >KQK94988 pep chromosome:Setaria_italica_v2.0:VIII:29557865:29564795:1 gene:SETIT_025860mg transcript:KQK94988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVLDALAPYVKKLLTDMAEEEVSMLLGVSGEITKLGGNIESLKAFFADAERRRITDLSVQGWVRKLKDAMYDATDIIDLCELEADSQRALRGGSMEQVPMGCFQPLLFCLRNPKFAHKIGRRIKELNQRLDGIHREAHRFNFINLGSYHYRRMPTDAEPSSQRMTSEFVESAIVGEKIENDTRELAHWLTTYRNHDIKVVSIVGAGGMGKTTLAQKIFNATTVKEHFKVKIWLSITQHFDEAELLRTAIKHAGGVHWQKQPGNGVLITTRLGDLAQRMGASFYQHHVSLLNDEDAWSLLKKQLPPPPNQQGILFGTRGILYTLPFRIVTTTTDRFMLCFRKDTQHLTGLPTDHLRAIGMKIVKKCGGLPLAIKVVGGLLSKRSQSEQEWEAILHHHVWSVAGLPEELDKRIYLSYEDLSPQLKQCFLYCSLFPKGTKIVESIVIPMWISEGFIQPRDGTRSHDDRLEETATDYYRELITRNLIEPTGKYNITGYKCTMHDVVRSFAEFMAKEESLVVIQDDMQAAGGNSLVHRLSIGPTRMTSDWAISQKQKGTLRTLIINCRINFRPGDSLTSFSNLRLLFVKYAGCDGLVLAASICQLRHLRYIRLEKTDISRLPEDINRMKFLQHIVLRKCSSLNNLPRRIVGLEHLRTINIRGSNVRILIPRGFGGLTNLRTLYGFPIHMGDDGWCSLEEIGPLSKLRGVTLHFLENVSDCSMGKRARIRNKEHLSYLELNWRSRGFMGLMDGVEKQHQQKVVEEVLEELCPPSCIQHLQIEEYFGRQLPNWMMVPATTAFTSLRYLGLIHLPCCTQLPDGLCLLPILEWLQIKDAPSVKSVGPEFQGSSSMAVKNAGPKFQASSSLSVGGGVIATSAAFPNLTNLFLEGLCEWQDWDWEEQSQAVTAGAMAIPGLKSLKIDNCKLSCLPPGLANSRRDALRQLFLHELTNLTSVDNFSSVVELDVFDCPKLMRISGLSRLHKIRIFRCPNVEVLEGVPSLDSMELKDATMEALPGYLRGLNPRYLKLTCSRKLYDIILTCSSSEYDKISHILSRTIDYFPEDEN >KQK93505 pep chromosome:Setaria_italica_v2.0:VIII:1576917:1577456:1 gene:SETIT_027097mg transcript:KQK93505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPEQNHFIVHTLQKEKSHGFNKISNWNFLLLYLVLYCCIYGVWLVLGNNPIMTEVLYTLLRMIIFHINKWIYLINSILSHLVQNEVNSLFL >KQK94857 pep chromosome:Setaria_italica_v2.0:VIII:28033669:28033986:-1 gene:SETIT_027178mg transcript:KQK94857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEPRFKTNKKPPQQTLLSALRCRFHKSVLACSVQKTLGPSMRTKYYTTNTVHLLHLTSQPKRASEPTPLQH >KQK95793 pep chromosome:Setaria_italica_v2.0:VIII:38123775:38124263:1 gene:SETIT_027577mg transcript:KQK95793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFWDMVGKAANLLQLFGVDAITLITIIASCFWQFHEVKKECRKLEDTGCWIMQQQNLELLGHLVTNALMDADDLVRSCSESTPSLRVLRGRGMSRQFRDLRNSIDSYCRLILSINAAFLLGVSPTPGDSTSSSTAAPDHTHIIDISQE >KQK95665 pep chromosome:Setaria_italica_v2.0:VIII:36961472:36962969:-1 gene:SETIT_028032mg transcript:KQK95665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAGGSREERWTLAGATALVTGGSKGIGHAIVEELAGFGARVHTCARNAAELEECRRRWAEDGLVVTVSVCDVSVRTDRERLMDTVKATFGGKLDILARVQVNNAGQCTFKNATECTSDDYARLMATNLESCYHLSQLAHPLLLASGSGGSVVHISSVAGFIGLPALTIYSMTKGAMNQLTRSLATEWARDGIRVNCVAPGGIKTDISRDETIDPELVKKEMALLPMGRIGEPEEVASMVAFLCMPAASYMSGQVICVDGGRTIA >KQK95740 pep chromosome:Setaria_italica_v2.0:VIII:37704488:37705059:1 gene:SETIT_027099mg transcript:KQK95740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTVHYLMVTSFVVLAMISTNSPSCQACFGPWCKRPPVPCFAATNEDYCTSEICPHVCEVNHVVSNRAYCKKPGRRDPVHIWLCCCPPPR >KQK93926 pep chromosome:Setaria_italica_v2.0:VIII:6107674:6111602:-1 gene:SETIT_026065mg transcript:KQK93926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLVPDGARRQRLLQCEAHLPPASPPPAPASPAPAAEPAAGGRTVVVGIRRDAASRELLTWALVKVANAGDRVVALHVAAQHVAAAADGLLMGLDERSRAADSLASVLAVYDGFCNLKQINLELKVCGGSSIRKTLVKEAASCGAAHLILGVAKNSRSFGSSSTSVAKYCSKRVPVGCSVLAVNNGKVVYHKDGGHGMQHELYQSTIPETPRRSYRKLLSSMIGEKLWDEHGKDNRSISRAVTMPMRSPASSIPMRSPARSKEVSLALVPVKACRRESPEVATGWPLLRKKFLPDRKASLPDKSKMSVVQWAMRLPSRYSGVSPVHSEYRTTRPDSMSTSHILRDRLVIPLRSNSGKSSVVIQELDKETPEELTLLKEKFSSVYSSFSYSELAKITSDFSPECVVGQGGTSQVYRGCLANGRELAVKILKYSDEVLKEFVSEIEIVSSLSHKNVISLIGFCFKNDDLLLVYEYLQRGSLEEILHGEKECKNIFGWTERFSVAVGVAHALDYLHGNDNSRPVIHRDVKSSNILISECFEPKLSDFGLAVWAADVTSQMTCNDVAGTFGYLAPEYFMHGKVNSKIDVYAFGVVLKLLEGDNDILKWARSQVGLAYEVDGDECVMTPPAPGSNANIQSYINLAFDVDDDSASVSSTDFIAANTSLEEYLKGRWSRSSSFD >KQK93991 pep chromosome:Setaria_italica_v2.0:VIII:7197366:7198273:-1 gene:SETIT_027521mg transcript:KQK93991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WCDSEMTQSLPPAVIHAEDEEIMSALPNLDPKLKGPEPLDAVPLRVVPGDEFVPVKEQSICSFPQSLKSLESYKEEDWTAFLHTRVDGHKDWVKPDIFRKVREEFRPPIVQWLVTIT >KQK95235 pep chromosome:Setaria_italica_v2.0:VIII:32482316:32487928:1 gene:SETIT_028353mg transcript:KQK95235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIIYGFALGSSCGYGLTVSSSSFNEETASGSNIAPPPPVVAAPRPGCPSMCGNVTIPYPFGIGVECAWPGLGSNNFDFTITCNHSFNPPRPYIGDFEVVNISLEAGEMRVFSPVSYTCYNSSNTTASNGVIGWTLDFIDTVFLISPTRNVFTAIGCYTWAYLNGRVDSSFFTGCITTCNSLHAAAQDGEECTGLGCCQSSIPTNLSRIHIFWANDDSYTPTNVAWEYSPCSFAFIAEKGWYNFTRSDLTRDANKTFTDRVGDTTIPMVLDWSIRDGGSCRAPPKDAGASAKSTAPACISRNSFCVNATQGPGYLCNCSKGYTGNPYITDGCTNINECSSTTNGPCGMRSTCEDTDGDYNCKCKFNRKGDGKSENGCYQYVFPPYAIAAVAITVAVILACLSIILLIRRKQRKLFNKNGGDILKEVGIKIFTEGEVDTITKSYRNRIGGGYFGDVYKGTIIDDPPVQVAVKKVVRLQQKSLRGEAPQHEQEKLWKEGFVREICFQFKVKHPNMVRLIGCCLETDVPILVFEFVGKGSLHEVLHGANKLTLSLPKRLDIAIGSAEALSHMHSHGDYKHVHGDVKSANILLDDDLNPKVSDFGSSKLLSVKNYAMEVAADGNYLDPVYHKTGHFTVKSDVYSFGVVLLELITRKKPRYGSSDANILTIDFNKSIKNHSNGREMYDAEVLSDDNAQSHRYMECLDMVGALAVRCLSVDADERPTMAEVVDELNQAKSIACGSSCSDLS >KQK94145 pep chromosome:Setaria_italica_v2.0:VIII:9677898:9684159:1 gene:SETIT_025900mg transcript:KQK94145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAGAVGSVIGKLGELLQAEYKLQKGLPQQIESLKHELESAQTALSKVGEVRPEQLDPQVRLWASEVREASYDMEDILDTCLVSILDVDAPADNKSGLLKRLLKNIADFLKKSKPRHTIAGAIEDMKKRLQEVADRRNRFSVAVALPSPATKPDPRLADMHKEAKQLIGIDNPRAELIAMLLPDLKNKKRKAMLLPAFGDSDVSGGSRSSRKMKIVSVVGVGGLGKTTLAKAVYDELQSQYDCGAFLSIGREPDLAQVLINILQHLDINKYTAIHNLSTTFTNWRLQREHPFVNNDTGSIVITTTRNRDVASREEVYELCTLSPYHSEKLFKTRLFGVNGEYPANHPAEASENILKKCGGIPLAIITMASLLVGKSREDWFDVCNSPGFYRGNKGNQQVDDTEWILSLSYYDMPSYLRTCLLYLSVYPEDYEIDKHSLIWKWIAEGFVEKRRGTSLFQQGEEYFNQLINRSMIQAVEPSDDSREIEVCGCRVHDMVLDLIRDLSYKENFVTISNDGEGTSPHQNRVRRLAHQNRIMKQTQQDDHTDMAHVRSLVACDCDIENLVLHPSYKLLRVLDLEGCTVPSEVWQGLKHLGNLLHLRYLGLRSTRGCYELPQEIGKLKFLQTLDLEDSEIVVLPSCVCQLTQLVFLRGDFRTCAPDGSFLRKVTSLEYLSIRIYNLAKESQRQFMKDVGNLSEVRVLCIRGSWEGMVQLDLVHSLGNLHKLQHLELREDLNSNGEAATREWDRVVLPWHLRHLDLDPILFRQLPSYISPAHLPILGYLCLRVDHMDEAGLRALGALPELRFLELSTPRPSMACTATVANINTAPDGFFKKLRCCLLHGWMVQLVLNEDSNGVSFSILKGMGVVAFGSKTKDEYSRSIAPPPVMPNLQELWFDVLARALYKDGNRTCGDLGLKCLPSLRTIQIDVNCDGASTNDVEKAESELRNAAQLHPNGPRIELELFNQWTDQDDTESDDGDNVSAKEE >KQK96029 pep chromosome:Setaria_italica_v2.0:VIII:40613527:40614497:1 gene:SETIT_027491mg transcript:KQK96029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASDGTGVVALYGGGSGNNKVKVMDASSKSPAAAATFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPGLIRDIKRAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTPADDAHHINKHNFRVPFVCGCRDLGEALRRVREGAAMIRTKGEAGTGNVVEAVRHIRSVMGDVFAYAKRIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPARRARAIVQAVTHYSDPEILADVSSGLGEAMVGINLSDPKVERYAARSE >KQK94369 pep chromosome:Setaria_italica_v2.0:VIII:13838495:13839609:1 gene:SETIT_028600mg transcript:KQK94369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDSSQKGSAGSQQIMIEGPLCEDYYKIRKLLYSQFYLL >KQK95784 pep chromosome:Setaria_italica_v2.0:VIII:38047725:38049801:1 gene:SETIT_026740mg transcript:KQK95784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYRETRSVHSGLLARFVVDEAHCVSQWGHDFRPDYRGLGCLKQNFPRVPIMALTATATESDVLGALRIPNAVILKRSFDRLNLNYELGDLLKERIMNKSGIVYCLSKNECTDTAKFLREKYKIKCAYYHAGLAARQRTSVQEKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDDLPAHCIVLYQKKDFSRIVCMLRNADNFNSQSFKAAMEQAKKMQSYCKLKTECRRQALLEHFGEHYNRQRCRDGPSPCDNCLKT >KQK94101 pep chromosome:Setaria_italica_v2.0:VIII:8836052:8838059:-1 gene:SETIT_026725mg transcript:KQK94101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALAPSKILGTQINFAGSSRYATAAPTAGAQKIVSLFSKKPAQKPKPAAVTSSSPDISDELAKWYGPDRRIYLPDGLLDRSEVPEYLTGEVPGDYGYDPFGLGKKPEDFAKYQAYELIHARWAMLGAAGAVIPEACNKFGANCGPEAVWFKTGALLLDGNTLSYFGNSIPINLVVAVIAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLASDPDQAAILKVKEIKNGRLAMFSMFAFFIQAYVTGEGPVENLAKHLSDPFGNNLLTVISGAAERTPSL >KQK93942 pep chromosome:Setaria_italica_v2.0:VIII:6431655:6433252:-1 gene:SETIT_027931mg transcript:KQK93942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQEMRVSSKNHILAGAYATALSSICHLSFHYSNGDGPGGTGKTFLYRALLGTLRNQNKLAIATATSGVAVSIIPGGRTAHSRFKIPLTLEDGGCCSFTKQSGTAKLLQQASLIIWDEASMAKRQAMEALDNSLRDIMGRQDLPFGGKTVVFGEDFRQVLPVVRKGSRAQIVDASLRRSYLWESMHHLKLVRNMRAQSDPWFAEYLLRISGGTEEVNRDGDVCLPDDICVPYSGDSEKELDRLIECIFPNLNANMTNKDYITSRAILSTRNDWVDNINIKMIGMFQGGEMVYHSFDSAIDDLHNYYPSEFLNTLTPNGLPPHLLKLKIGCPVILLRNIDPANGLCNGTRFVVRGFQKNSIDAEIVLGQHAGKRVFLPRIPLCPSDDEMFPFQFKRKQFPIRLSFAMTDNKSQGQTIPNVGVYVPAPMFSHGQLYVAMSRATARTNIKILALPSNTEADEEQTKKKEKKTANKKVNGQGNQNNNEQKGSSEKKKRVPTVDGTYTKNIVYKEVLTP >KQK95252 pep chromosome:Setaria_italica_v2.0:VIII:32671852:32673029:-1 gene:SETIT_027465mg transcript:KQK95252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQTDQGKQQQRPPRASVPAFGGWEGGALPDYSVDFTKIRAARMQRRRKALSWSSFVGNAAIANAAAAEAPGADEDRDRRHWSSAASDGGDDDRERRHRHRPRHRRLRSDAADLDDRQPIRPGRADPKGRGKFKGYLFGCVGGLW >KQK95367 pep chromosome:Setaria_italica_v2.0:VIII:33814633:33815631:1 gene:SETIT_027776mg transcript:KQK95367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TFAIFEVKRRKHRRFFDRNGGDILKSMGINIFTEGQLKKITNGYKKSIGEGAFGKVYIGVTDDSQQVAVKCSTAKGDVLPQEEFVNEITFQFRISHANLVRLVGCCLETDVPMLVFEFVPRGSLHSVLHGAGKTLPLSLPVRLDIAIGSAEALTYMHSHGGHNHVHGDIKSGNILLDDNLTPKVSDFGSAKLVSVASRYSKWCVSGDMSYIDPIYIKSGRFTEKSDVYSFGVVLLELVTRKPAKYGDNSLYIDFIRSFKEEGNGRKLYDEEILSGDDDARSHHHMECLDKISRLPVQCLKEDVDERPTMAEVVEELKEVKAIASGGLSSVAS >KQK93301 pep chromosome:Setaria_italica_v2.0:VIII:428638:429221:-1 gene:SETIT_028410mg transcript:KQK93301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLSADFSIGSTLAFFSSSVSWLDIPWIRPTMARMAWVASPSFFTAAASSGEQGPGQLHSQGAFSYKSKMTSGTR >KQK93599 pep chromosome:Setaria_italica_v2.0:VIII:2233140:2237132:-1 gene:SETIT_026735mg transcript:KQK93599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALATSHHHHRLFGAAPSASSAAAASRLPLRGFLRSRAAFPAPLAAARRICCQSVDSANVLGASSTTSDEAVPVPLVMIDQDSDRDATIVQLSFGDRLGALLDTMKALKDLGLDVTKGTVATDSAVTQTKFHIMRFGRKVEDPDMLERIRLTIINNILQYHPESSEKLAMGEFFGIKPPEKKVDIDIATHVVVEDDGPKRSMLYIETADRPGLLLEIMKIITDTNVDVESAEIDTEGLVVKDKFHVSYRGAKLNSSLSQVLINCLRYYLRRPETDEDSY >KQK94669 pep chromosome:Setaria_italica_v2.0:VIII:24626383:24631693:1 gene:SETIT_025880mg transcript:KQK94669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGADLERVVELLRSERMDEQLRLLGAGTLLAELRSAVSVIHSNGTVSRGGNQRLMLFIQSQAAPLRELLDTLLHTRIRAEGWHQVTAVLFCRRFSRSSALRDLGLFLDRFRSLASYVDRPSYGPGLPAPPNRDALPVPATASMVGRSDLLEKMVSVLLADRTRSDGLLVMPIVGGPGVGKTALARALLRDDRVKRKFGVRLAVPVTRKFFLETTLILLISPEVAAHVQYNYTPEVMASRISRSLRGRDYLIVLDDMWSDKEGKWLEIGALMRSLPWNGAVVVTTRTRDVAAKLAGTITEASCTNKPFYLQSLEPEFSSSFVDEWAAAYCGDWPGELFREAGTKIADRCSGVPLLLQYAVLESAAAGYQHLPSDMRSCLLYCSMFPSGYDYDVEELSDLLAAQSYIPPAVAKAQQKGFLQQLLDECFYPLQEHEYGDKCMYRMHKVLHIFAQFMDMKTSSVVRADQATQLATKAASQSLTSLRRASLIVNPLTASFPTSLFKCEELGALILIQEGAMCTPDQPRCEISEIPQEFLNSCLRVQALNFRATKIRTLPTKFVETYKYNMRYLNLSLTDIDNLPSSIARLVSLQTLILSYCDKLRKLHPNVTKLSVLQKLELEGCCNLVELPQDLSKMKKLEHLNVIECSSLTQLPRGIGQLKRLQMLLGYIVSYADGNPMSELESLTNLHGLSLQSLEKVIDPLDARFARLNYKTNLESLTLQWNMDDYSNDTIPAYAVLESLQPHRRLKALEIVGYEGKYLPSWMVVTKPYLVSLVEIRLINLRSCEKVLPPLGLLPSLKIAEISGAETICSVKDNFYGHKGRFPSLEKLVFSYMHNLEIWEQEHRPGMFPRLRELVIIQCPKLRALHMELPSLEKLELWMNNKMLYGLKGALRGVAKTLEHISISFGEELLAYSQCEGLRDLGKLTKLEICGCDELTCLPQGLQHLSSIRILRIDNCSKLKTLPDWLENLPSLRIVQLSGCPLLQHMPGGLQQRPRIIHVEDCPNLPEEPFPGFQRSQ >KQK94636 pep chromosome:Setaria_italica_v2.0:VIII:23809156:23809577:1 gene:SETIT_028526mg transcript:KQK94636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMSENTKKKEKTLLVSSSSMLTGRLFCQKIQASIKVQNHLTFKIYKHVKITETISCTSTGH >KQK95924 pep chromosome:Setaria_italica_v2.0:VIII:39658996:39662022:1 gene:SETIT_025913mg transcript:KQK95924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALSLAKLTLDTLLPVAKNALADEAVLLLGVHEEVSFITNELEMMQAFLRAAGADHRASNEVAKIWVKQVRDLAYDVEDCLQEYLALDLGSVGCDMPPCARWLRACAVPSPGRMRTQHQIAVRIKQVKGRVEEISKRNLRYSIVVQPAGPAAVHDITIEHQVLDAEKFAAGIATEESDLVGRDQHRAELVDRLVSLPSSEPLQVFALWGSGGVGKTVLARDVLRSPKLRQAFQLRPWVTVPHPFIMAEFISSLASQLEIMEESRSLVFTKVVRHLRDRKYAMVVDDVLTIAEWEKMKQAFPDSSTGSCIVVTTRDEVVAKHCSTSSRHVRKLDCLSHTESFQLLCKKVQLQEAGPLMPMVDAILKRCCGLPLAVAAVGKLLATMKTPAEWKKLHDHLGSELKGNPRLEEITNVLTSSYEGLPYHLKSCFLYLSIFPKYRELRQTRVSWRWMAEGLVPESSSGGMDPEDNGENYFNQLISRSMIQPATTWVNLNDRINTAQVHDMMREIILAKAAEENQLFVLNARLPSRLPREKIRHLVLTSDCRWPAGSDVLEGISNMWQVRSLTVNGECPPRLIIPSKMKMLRVLDLEDSINATDEHLSGIGELRQLKYLGLKKTAITKLPESVGRLKFLQSLDLRGTKVTTIPQGVTKLERLRHLMAGNGMLHDDDNTISSLPSRPSCFAWRAISSIDARKLASTTTFWPQVVGSTAVTIGQHSGVRLPDGFGRLKSMHNLGTVDVGKDGHRIFKEISKLAGLWSLEITELTNRDGPEFCHMIDELVSLRDLEVRSRAGRSGLLHCLSMIESPPLNLITLRLCGHLGRLPSWIGHLQYVTKIKLLATELEQDAIEMLGDLPNLTGLHLWRMSYIGQELRLGTGKFAKLKLIDINRLEDLVSLVIEGASTPQLEWLWLKQCCRLSDDENGVVGVPLLHRLRELQIMFCGDKPKLVDLLQKQLGDHQNRPLFECF >KQK94168 pep chromosome:Setaria_italica_v2.0:VIII:10328074:10328617:1 gene:SETIT_027767mg transcript:KQK94168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDALYNPTVGANIISSSLALTFLGDEPLALINRTFRSSSRDLLRVKGS >KQK95558 pep chromosome:Setaria_italica_v2.0:VIII:35579800:35585511:-1 gene:SETIT_026515mg transcript:KQK95558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLVLVVLPLGLLFLLSGLIVNTIQAILFVTIRPFSKSFYRRINRFLAELLWLQLVWVVDWWAGVKRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLNDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIVPKDSPQPTMLRILKGQPSVIHVRMKRHAMSEMPKSDEDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPVKSLLVTLFWACLLLFGAIQFFKWTQLLSTWRGVAFTAAGMALVTGVMHVFIMFSQAERSSSARAARNRVKKE >KQK95557 pep chromosome:Setaria_italica_v2.0:VIII:35580140:35585338:-1 gene:SETIT_026515mg transcript:KQK95557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPLVLVVLPLGLLFLLSGLIVNTIQAILFVTIRPFSKSFYRRINRFLAELLWLQLVWVVDWWAGVKVQLHADEETYRSMGKEHALIISNHRSDIDWLIGWILAQRSGCLGSTLAVMKKSSKFLPVIGWSMWFAEYLFLERSWAKDEKTLKWGLQRLNDFPRPFWLALFVEGTRFTPAKLLAAQEYAASQGLPAPRNVLIPRTKGFVSAVSIMRDFVPAIYDTTVIVPKDSPQPTMLRILKGQPSVIHVRMKRHAMSEMPKSDEDVSKWCKDIFVAKDALLDKHLATGTFDEEIRPIGRPVKSLLVTLFWACLLLFGAIQFFKWTQLLSTWRGVAFTAAGMALVTGVMHVFIMFSQAERSSSARAARNRVKKE >KQK94327 pep chromosome:Setaria_italica_v2.0:VIII:13151444:13153156:1 gene:SETIT_026601mg transcript:KQK94327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRCSRSNGTNVTGLGLAMAASWLLLLAGVSDGQLQVGFYSRTCPGAESTVASVVRQSGSADPTILPALLRLQFHDCFVRGCDGSVLIKGGSGSAEVDNSKHQGLRGLEIIEGAKTQLEAQCPGVVSCADIVALAARDAVAFTGGPSFDVPTGRRDGKVSNIRDADALPDVHDGIDALRSKFRANGLDEKDLVLLTAAHTVGTTACFFLQDRLYNTPLPGGGRGADPTIPPGFLSELKSRCAPGDFNTRLPLDRGSGNVFDTSILRNIRNGFAVIGSDAALYNDTATVDVVDSYSGLLSNFFGPYFRQDFADAMVRMGSIGVVTGGAGEVRKVCSKFN >KQK93351 pep chromosome:Setaria_italica_v2.0:VIII:701625:704222:1 gene:SETIT_026130mg transcript:KQK93351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPKETAPASSSVSSAAHALTCSLFLSYKPPPSNSCCSRISASAMDRITQWVSSQEIPADLTIRIADSIFALHKAVMVPRCGYIRRAVAAATKDPSAAVELDLSALPGGADAFEKAARYCYGANFEITARNAAALRCAAAFLDMQHPADLARRVDEFIAQAGLRALPSAVTVLRSCEALLPAAEELGVVRRAADAVALRICNEALFPTRSPPGWWTAELAALSPASFQKVVTALRCRHADPQVLASAASAYAELALAEVLADPRDREDQRALLESVVDVLPSAADAPIPAAFLCRLLHTAVTTEASAKTCRDLELRVAAVLDQATVGDLLGVALDGAGEHVRNTDTVRRVIAAFVERQGAASEGGRSRRASLSGGAELDSGAAMEKVAKTVDELAAEIATEESLAISKFVGVAGAVPKEARASHDCLYRAVDIYLKTHPELDEIEREKVCSVMDPLKLSYQARLHASQNKRLPLQAVLSALYYDQLKLRSAAGAGDDDTQSAAGKARAQARADASLARENEALRSELARMRAYLSGMQPSRGSGSSPSPAAKKTSFLGSVSRTLSRLNPFKGGWAKDTASVADGRDGRKEMHVVRPKRRRFSIS >KQK95220 pep chromosome:Setaria_italica_v2.0:VIII:32311094:32317650:-1 gene:SETIT_025966mg transcript:KQK95220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQLRICFSYCAIFPKGHNISQDDLIHQWIALGFIKPSKGKEYIRQLLGMSFLQVSKLPKTSGDHMERYTMHDLVHDLATLIMGDELIVSNVASKNNKAHSQKYCRYASVTKYDNTTRLSNVLPSKVRALHFSDSGKLDLSCEAFSFAKCLHILDFSGCSGILLPPSIGQLKQLKYLTAPRIQNEVLPEFMTELSKLQYLNLNGSSHISALPESMGKLWCLKYLDLSDCSGISKLPGSFGDLKCMMHLDMSGCSGIRELPASLGNLTNLQHLDLSECSGIKEIPESLCGLMHLQYLNMSKCRGKELPEAIGSLVNLQYLNMSLCDVRELPESFKRLRNLLHLDLMRHRIEKGDLHGLTALQYLDMSYSGYSEGYLEERSVTMRNLTNLKVLKLRYCFIERSTCLNFIGTLTNLEHLDLSWNRFEYLPESIGNLKRLHTLNLENCQMLKSLPKSISCATGLKSVLLDGCPHKLMDQASSLLHHSLTLPLFKVRADDVSSHSNLHVLEGENVGELRIVSLENVRLLEEAQRLKLLTKHNLLTLKLVWTLHADRHLEDKDLLGQLVPPKSLKDLSLEGYSSPSFCGWLMAISHHLPNLTCIELKKLPTCNNLPPLGQLPYLESLILYDIPNVTKIERSIFGGKGAFPRLADITVSHMDGLEEWNTTCPGEDGVEEFMFPMLDVLEVSKCPKLRLKPCPPKCRVFKISESDQVISSLEEVETSSHRCNSTPTTTRLLIIRSNHHSLELFHHFPALRELQLSHCPNLKSLPKGMQQLSSLKSLKLNYCDTALPQWLSDISSLKKLVISDCYRIESLPARIQLLNNLTELVINNQELQQWSRAAWIHGLWSVSFAVAEAVLAFVFVSDRTPPRGDRRAHHVISEHRSP >KQK93527 pep chromosome:Setaria_italica_v2.0:VIII:1680049:1682935:-1 gene:SETIT_026158mg transcript:KQK93527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLLSRLLLRRGSSPSSHQHHHHLPFLCALSSAPSPVSSDADLRKYAGYALLLLGCGAATYYSFPLPADALHKKAVPFKYAPLPEDLHAVSNWSATHEVHARVLLQPDSLPALEDALATAHKERRKLRPLGSGLSPNGIGLSRAGMVSLALMDKVLDVDVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFIQVGAHGTGARLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVRCNPPSKWKTPKLTSKYGKDEALQHMLFRTETESNDPEIDTLSFTELRDKLLALDPLDKDHVVKVNKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTLAKPSTKDLDYIEKLLQLIEKEDIPAPAPIEQRWTARSKSPMSPASSSEEDVFSWVLNLFLFHRFF >KQK93528 pep chromosome:Setaria_italica_v2.0:VIII:1681136:1682935:-1 gene:SETIT_026158mg transcript:KQK93528 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLLSRLLLRRGSSPSSHQHHHHLPFLCALSSAPSPVSSDADLRKYAGYALLLLGCGAATYYSFPLPADALHKKAVPFKYAPLPEDLHAVSNWSATHEVHARVLLQPDSLPALEDALATAHKERRKLRPLGSGLSPNGIGLSRAGMVSLALMDKVLDVDVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFIQVGAHGTGARLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVRCNPPSKWKTPKLTSKYGKDEALQHVRNLYRESLKKYKYAHLLWFDNPNF >KQK93526 pep chromosome:Setaria_italica_v2.0:VIII:1679145:1682935:-1 gene:SETIT_026158mg transcript:KQK93526 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRHLLLSRLLLRRGSSPSSHQHHHHLPFLCALSSAPSPVSSDADLRKYAGYALLLLGCGAATYYSFPLPADALHKKAVPFKYAPLPEDLHAVSNWSATHEVHARVLLQPDSLPALEDALATAHKERRKLRPLGSGLSPNGIGLSRAGMVSLALMDKVLDVDVKKKTVTVQAGIRVAELVDALREHGLTLQNFASIREQQVGGFIQVGAHGTGARLPPVDEQVISMKLVTPAKGTIELSREKDPELFYLARCGLGGLGVVAEVTLQCVERHQLVEHTFVSNADEVKKNHKKWLSENKHIKYLWIPYTDTVVVVRCNPPSKWKTPKLTSKYGKDEALQHVRNLYRESLKKYKTETESNDPEIDTLSFTELRDKLLALDPLDKDHVVKVNKAEAEYWKKSEGYRMGWSDEILGFDCGGQQWVSENCFPTGTLAKPSTKDLDYIEKLLQLIEKEDIPAPAPIEQRWTARSKSPMSPASSSEEDVFSWVGIIMYLPTSDARQRKEITEEFFNYRSLAQTLWDDYSAYEHWAKIEVPKDRDELAELQARLRKRFPVDAYNKARMELDPNKVLSNAKLEKLFPALEPVK >KQK95382 pep chromosome:Setaria_italica_v2.0:VIII:34000452:34002019:1 gene:SETIT_026332mg transcript:KQK95382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGEAIHVVMLPWLAFGHISPFAQLARKLFSVEGGHNIRVTFLTADGNLPRVQAMLAPAAGAAAVVPLHLPHVPGLPEGAASTAELTADGAELLKVAFDGTRDQVSALLSELRPDAALIDFATPWVTDIAAPLGIKVLYFSVFSAVASTYTVVPARRLHGAAPSAGDFTAAPSGFPKGSALATIPPYQAADLTYLFTSFYGQPCVYDRVTAGIKACDAIVIKTCVEMEGPYISYLSAQFGRPVLLAGPVVPEPPQGHLDERWASWLSSFPENSVVFASFGSETFLPTAAATELLLGLEATNRPFLAVLNFPKGADTEAELRARIPPGFEERVKGRGAVHTGWVQQQHILQHQSVGCYLNHAGFSSAVEGLVAGCRLVLLPMKGDQYLNAALLARELRVGVEVARRDEDGWFGGQDVSDAVALAMAEGGDRDGRKWREFLTDDAVQKRFAGDFVRQLKELVRAA >KQK95202 pep chromosome:Setaria_italica_v2.0:VIII:31878117:31882471:1 gene:SETIT_026723mg transcript:KQK95202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGHDHVVVDVEGLAKSKDDGVAEPSEGVSASAAAASPSAVVDLVDDEEGGGGEEEPLIQAAECRICQEEDSVKNLEKPCACSGSLKYAHRACVQRWCNEKGDTTCEICHQEYKPGYTAPPRVEPDETTIDIGEDLIMDLRDPRILAVAAAQRRLLEAEYDGYATTDAGGAAFCRSAALILMALLLLRHTLSISDNEGNDDDASTIFSLFLLRAAGFLLPCYIMAWIFSVLHRRRQRQEEAALAAAEVAFILQSARGRGLQFAIAPDSPATPQQHEHEPAQPQQQ >KQK94219 pep chromosome:Setaria_italica_v2.0:VIII:11011608:11012468:-1 gene:SETIT_027153mg transcript:KQK94219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPPQELIGPLPAPARSTSRWRHDVDGGASSWLRRRGSGGIRSSLGSVRCSMQVHSCGSGEGRSGSSLLRSPHQAFFH >KQK94220 pep chromosome:Setaria_italica_v2.0:VIII:11011049:11012468:-1 gene:SETIT_027153mg transcript:KQK94220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDPPQELIGPLPAPARSTSRWRHDVDGGASSWLRRRGSGGIRSSLGSVRCSMQVHSCGSGEGRSGSSLLRSPHQAFFH >KQK95502 pep chromosome:Setaria_italica_v2.0:VIII:35110398:35111678:1 gene:SETIT_027659mg transcript:KQK95502 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLVVRKSSPVVIRPSPEPATTRAVTIKLSSLDKGLYKVPTTSLLVFEAPLHNAAETIRAALSRALVHYYPIAGRIVAGAGDNDDDVHIECNDEGVVFVAASASYALKEVLCFNRSPGARKLLDELAVYYPAMTCGHGDPFLLMQVTEFPCGVFVLGVTWNHGVADGMGMAQFLQAVGELAGGSPSPSVTPVRWDDSLHRLPLSLATHDITIAMDSINRIRADFSTCFHGRQCTTFEVVLAILWPCRTRAIRPDQETPTLLVFLADMRRHIGVKRGYYGNCIVVQLVMAKSGAVAGGEVKDLVMEIKHAKDRIPDRLKENEGMVGGEELRKLKTYDTMTVTSWRNLGFEQVDFGSGRPARVASSGRDMPPSPSAAGFLCNGKGGVSVWSALVREEHVNAFLAELAKQVL >KQK94632 pep chromosome:Setaria_italica_v2.0:VIII:23756093:23761610:-1 gene:SETIT_028362mg transcript:KQK94632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVTSVMSSLILKLAELLTDEYKLQTSLRGEIMFLKAELESMEAALEAVSEAPVNGNQVNIWASEVRELSYDIEDSIDQFMVCIDTHPSVTPQGFKGFISRSMRLLTAAKTQHHIATEIGDMKTLVKEVAERRNRYKVDSVVIPPSTAVNIDPRLHGIYEEPSKLIAICGPKEELSKLLVREGTSKQLKVISIVGVGGLGKTTLANVVYQQLKGQFECNAFVPVSLKPDMKRILSSILRQVSEQSYTNIETWDVVELINKIRQVLEDKRYLIVVDDIWDESAWNLIKDALVDNNCGSRVITTTRIAGIAATCCSFTDGIIYKLKPLSHNDSMKLFYKRIFGDQDHCHPELKEISEKILRKCYGVPLAIITIASLLANKPRNINQWNSVHSSIGNGTEKFPSMENMRQILSISYYDLPSHLKPCLLYLSIFPEDYTILRDQLIRRWIAEGIIHGTDVETLHNLGHNYFNELMNRSMIQPEHIDARGMVGACRVHDMVLDLITSLSTKENFAITSHHHQHKHLPRRIRRFSLHGSDEEHTRPEVALNLSHLRSLMVFPGATNMMPALSSFQVLRVLDLEGCRDLQNHQISNAGSLFHLRYLGLRDTNITSLPNEIGNLNYLQTLDLKQTSISYLPPTVVRLRQLMCLYIEPSVMLPDGIGNMESLQLLSSVGVSSSANFARELGRLTELRTLHISVISTWHENHKNSLVDSLCNLNKIQELHIDSTGMSTEFIVDLSWAPRYLKKFAGSVPRLPRWVNPLLSDLTTMIITLNMLRQEDIQSLGGLPFLQFLCLTVLTTDSAEERIIIRTHGANFQSLSEFHFHSFKMGLFFAQGAMPKLETLEVTLKVQERKAAYGDFDIGLENLSSIKQVIVRIRCTGSTVYEVDDADSALRRTAGLNLNHPKLEVIRYYEDEMVDAELQCNKETLKEEEKEEVVLQRRGPWGGDGGSTRDITMAPKSLKSVKVCSAVVVDSLSFSYLDRYGREHNTPLWGGVGGSIRMINLGPSEFVKEVSGTYGRFSHLPNVITSFTLVTNLCSYGPFGQPSGTPFHTRVDRTGSIVGFFGRSGKYLDAIGVYVRPFES >KQK93731 pep chromosome:Setaria_italica_v2.0:VIII:3640365:3641189:-1 gene:SETIT_028260mg transcript:KQK93731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSTSCSLLLAAAAICALSSLPCCAAAVSGGRSAHLTAGFTRVKLRESQFVVQKPYDVPLHERYEQSGGVRRMWVFATDKPISATHPGGARTEIKVNEVYSSGVWQFEGDMFVPSGTSGASVMQIFGAATHATTLMLHVYGGRLTYYHELTKVLADRVYDRWVRLNVVHDVAAGNVTVFVDGERRLSAAGHGGKEHYFKFGVYKQSHHQPSRRMESRWKNVAVYTKP >KQK96012 pep chromosome:Setaria_italica_v2.0:VIII:40437628:40438136:-1 gene:SETIT_027094mg transcript:KQK96012 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLGEGAAASRAPAMPSATARRAPWRAPERLGVNGISLTVPAWGISDRWIGRLRLRFDLLSEIRKRCWTKRRPNYRAQLYSLRPVGEFDPQA >KQK93443 pep chromosome:Setaria_italica_v2.0:VIII:1108887:1112206:1 gene:SETIT_026214mg transcript:KQK93443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATASDSTHPPPPSTPHDHDDDEEFDGDDEADEYDDDDDDDDGESSPSPSEEARLEAVLRRLTADEVRIRVHQVAIRGCARTRRAAVEAAVGTDLARAATVRDLVRAAAAAGDRLRRLGAFDTVSITLDAPPPGTPGSAVVVLVDVSEARGRAATEFGVFANTQTRSCSLEGSLKLKNLFGYCETWDAAGALELDQTAELSAGVEMPRIGAIPTPLVARISFLSEDWLKSSLKEHLMGVSVGLLSTMNHNLAYNLTWRKLTDPARMSSNSVQEQLGHSLLSSVKYAYTVDQRDSSIRPTRGYAFLSSSQVGGLAPGSKYSRFLRQEFDLRVALPLGVLNGALNAGVAAGVIHPLERGSTGSVSPLSERFYLGGNRSLVCRLGGPSSLLGFKTRGLGATELRTCDPNNSENGASTSPELNGLGGDIAVTAFADLSFDIPLKPLRDLGIHGHAFVCAGNLGKLTECDLRKFPLTNFLQTFRSSAGFGVVVPTRLFRIEVNYCHILRQFDHDKGKTGIQFNFASP >KQK95062 pep chromosome:Setaria_italica_v2.0:VIII:30370818:30372038:1 gene:SETIT_028293mg transcript:KQK95062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQNVLVVGCGNSGMEIAYDLASHGANTSIVIRSPVHAVTKEIIRLGMTLVQHKPVNVVDDLLYLSRHGIVRPKLGPLVLKAKIGRSAVVDVGAVGLIKNGTIKFVYHGRCLEIFRKIKGYIVEFDGRKENTFHAIVFATGYKSTANTWLKNGESMLNDDGLPKQEFPNHWKGANGLYCAALAKRGLAGIAMDAKNIANDILSNYHA >KQK94341 pep chromosome:Setaria_italica_v2.0:VIII:13423855:13425764:-1 gene:SETIT_026751mg transcript:KQK94341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSSTTNTSDGTKSSSCPRGHWRPGEDEKLRQLVDKYGPQNWNSIAEKLEGRSGKSCRLRWFNQLDPRINKRPFTEEEEERLLNAHRVHGNKWALIARLFPGRTDNAVKNHWHVVMARRSRERSRLLARAATSSSPSSAYPFGAGTPAASSSLCFGFSKLGGGGGMFSSPPAARPTSLFKSFGTATGSKSFLGASFEAARYSYSGRQAAPPVSITFSSPREALTMDIGRHGHHEHVHGQKDYHASEGGDEALKRKDVPFIDFLGVGVSS >KQK95583 pep chromosome:Setaria_italica_v2.0:VIII:35838225:35843119:1 gene:SETIT_028100mg transcript:KQK95583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEFFDGPPSFSDLVDRVMRKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMRDEVAVVNHNGTQESEMLHHVLGETEHDFDLAIANDDVPNNIFERDEANIDVDNVSMGSKDCELEEAGVVGVEDISMVHKEICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHKPFSVVHSDKNLRYNMMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVLSLVESIFIFSRYRVKYSKAWWAKQHAVALLWGDWKESYGMVPRVLSAITYYNLGVKWCIDSCGMMLPNNGVLKHILQRVFWCFPQCSEAFQHCCPVILVDGTFLTGKYKGTLMMAVAVDPEQQLVPLAFALVESENNESWSWFMKLVRVHVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCTRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFCEKLEDLVKDLNDDAKEWLKGEMEDKDKWAQAFDEGGMRWGIMTTNYSESLNGVFKGILSRPVAGIIEYTFEKCNAYFVNRWRKAREMLDQGYRIGQVADDYLSEAELRSMHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNYENPLYMSPLYSREHTIKIWESSFQPYLDPSQCPAYEGAGYVPNPSLMRNKVGRRQKKHFTGDMDVMAASGYPLLEAAYDLQHRAHHLADLNENLTPLRARVHSPLRWDERYAQYLQRAGFLDITVQVVGGLPPMDGPLLTAMVDRWRPETHTFHLPFGEMTITMQDAAMILGLPLDGPPEPEGGDSSKKTSGVSSAWLREHFDVCPPGANDEVVQRYARVWLWHFVSTFPLPDVAGNTVSWMVLPILGQVWENIAVYSWGSAALAWLYRQLCEACRRTARDSNVGGCTYMLQIWIWERMPVGRPSRLRVDPWHRDDARPMFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQNKQGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDAPSEDDADIADAYDTVTRYGTQPERAPLHDYMGQQLARLSNEAGVIMEHAVGEGDGLLRAFAE >KQK95265 pep chromosome:Setaria_italica_v2.0:VIII:32796628:32797542:-1 gene:SETIT_027883mg transcript:KQK95265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GNTDACGNWLVFSGEDGCFLRDPFSNATVPLPALSRDRICYVGDESIDEANLAWLRKSHRLILEEPRRWKLMFCSPHLIAALVSLRKTKRIAVCQPGTNSWWSVSVENNYLYFVDMAFHQGKLYALTILGILFAIDISVDSSTGNPWVSEMRKIIDDPPFSIPLVTKMTYLVESRGVLLVVLRKLAEGETGGALPESAASEQNEIEVFKASLRQSQWTKVMTVGDDRVLFLRRRCCRSACVSHEEVPRDCIFFLENDDEDHGWSKATSSSCSVYSMRDGKVSTPLPTVSWKRGTVFATWLFPQN >KQK94243 pep chromosome:Setaria_italica_v2.0:VIII:11700670:11700795:1 gene:SETIT_027557mg transcript:KQK94243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FHRRSSVDLISLPADFARVGAVHMYDIVVKNRDYFTVVDAA >KQK95887 pep chromosome:Setaria_italica_v2.0:VIII:39247933:39250083:1 gene:SETIT_027806mg transcript:KQK95887 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLLLIDDIWSAKTWGDIRNCLPVENKKCSRIIVTSRFQAVGAACSPVGTTNLLHTVDFLNAVESNNLFKQSVYESKRSKESEKVRDKVPEEILKICGGLPLAIVSMAGLVACNSSKALSHWDKVCKSLFPESVTALSLDGVTRILDFCYNDLPGDLKTCALYLSMFPKGSKISMKRLTRRLTAEGFVSEKQGLTEEEVAETYFNQLMRRKLIRPVEHNSNGKVKTFLVHDMVLEYIVSKSSEENFITVVGGHWMMPPPSNKVRRLSMQSSGSKHENMTKNINLSQVRSVTVFGSLKQLPFHSFNNGIIQVLDLEGWKGLKERHLKNHICKMLVLKYLSLRRTEIAKIPKKIGKLEYLETLDIRETHVEELPKSVEKLKRISSILGGNKNPRKGLRLPQEKIKEPKESTSAQEKSEDDTVTSTSTQEKNKEGMKALRVLSGIEIVGESTAVDGLHQMIGLKKLAIYKLHIKKDDKIFTQLLSAITYLFSCGLQTLAINDEGSDFINSLDSMSSPPRYLIALELSGMLEKPPTWISKLHTLSKLTLSLTVLRTDTFKLLQDLPSLFSLTFSLSAAKQNQDIIKDILEKNKSDSDGEIFVPAGFPSLKLLRFFAPLVPKLGFGDNAMPALEMIQARFEAFEGLFGIDTLENLREVHLRVNGLAAELKESDEAGTQETETPKIKEKERKEAAEITRFLVKDLKNYTTDKLKVIVDYIINA >KQK93956 pep chromosome:Setaria_italica_v2.0:VIII:6657877:6660981:1 gene:SETIT_027402mg transcript:KQK93956 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFNDLRDRLFIKLLKLILPGPTGNIGTYLSEWFDILKRNKSEFYETSYRSLRSLLSSHCCLAFVLAGPVFVLLVLFFIIIFPFPLYCLLCVAFYFVMSVYGFLGPIACFALALWRILQPDYGDTDGDASKGNLKPALIMFYWLILCQFVLYLVFIIANGFVEFLLVPLVSRDSKLTQVWGTKLVNMYLSDTGAKCWREGDPASISGRSLSRYAADLLDSESWEDNLFGARMLATFIRHGADVRSLLLPSRPKIQKLIDTLAWRGRGDREMRECAAGIVAHLAGDIHIPQFPGALRCISSLLQLQDESTATTYWDSDGQQVGDDGGKKRSKNWYGLLREIYLDWVSYDQQVGDDGGKKDGGCNKLILQGLAILERLASSDHHNCSDICSAPGLLHMITAPLYSGTFSGDINMSDWAHVVNAAFKVIYQLISHAPEDISTRLRLDISSNEHAMSNLKGILDQGTGIGLETQEQLLMRAMEILTELTLDSSVDLTSETKENLIKKQLQIFLADQGTQEPDSRTNPLIVTAGRTLVLLTSNSKTNPDFILKACDSDSLAPLTGLPDDENTVALPIEVTIEDKKNTVAHLTELLDATNNITYRTIAAEILENFCTHCDWNKHKQIMKELLLPKVVTEILSIKSDQPEGKISDEKENKPQSRTWTRSQKNNRGNQKNFVPPGKDEENQKDIAPGGKSMIRRKSSDEQNKQNKEQTAKKELQEALLSLALVICDKLISADDVIQEKALGGDAFVVKLKTIIDDNCQLRADSLRIVKLCGRIAASMMQSQPYAERFRNKKFAQSLTKASEIMSNLESCMIFAGTDFGLKKTVRPLLSELEKKYLKTFVYC >KQK95006 pep chromosome:Setaria_italica_v2.0:VIII:29860635:29860897:1 gene:SETIT_027234mg transcript:KQK95006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSHNDTSSISGRTLCVYSFVSLACTRNVCWKVCYDTNNVKCCCSSTRALAWLFSSP >KQK94469 pep chromosome:Setaria_italica_v2.0:VIII:18482867:18483910:1 gene:SETIT_027567mg transcript:KQK94469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPQPAASADGCATAPGAVDSRSGYCKETKTFCSLRPPVPLPPAGSPLSFTAFAFSLLPSPLPAHPALLDAATGETLSFPAFRSQVRALAGGLRSRVGVRRGDVAFVLAPARLDVPVLYFALLSFGAVVSPANPALTAAEVARIVALSDASVAFAVSSTAAKLPAGLPTVLLDSDHFRSFLHNEDRGEVLALETAVVHQSETAAIQYSSGTTGRVKAAALSHRNFTAMAAGSHALAHKPRHGRDRMLLGAPMFHSLGFYYALKGIALGQTTVLVTDTVARRGVKGVVEAAERWAVSEMTASPPVVIGMAKERCDLEALERVVCGGAPLPTTAAEMFRRRFPNVDLCM >KQK95306 pep chromosome:Setaria_italica_v2.0:VIII:33199598:33200150:-1 gene:SETIT_027203mg transcript:KQK95306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPSYRGNSKEAVARRWVGRCGNGSRASSRKGCSTGGGAAAGAGRDGGGGGGARGDGAPRRKRWGG >KQK95538 pep chromosome:Setaria_italica_v2.0:VIII:35464604:35467744:-1 gene:SETIT_027900mg transcript:KQK95538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLHHNHAKELWDEWGIHCLILVSLFLQVFLFLTADMRRRCGSRVIMMVLWLAYLSADTVAIFVLGHLAVYVRAPSHELMFFWAPFVLVHLGGQDTITAFSKQDNELWRRHLLSLVSQVAMAGYVVSKSSWPDARLRAAMVLMFLCGFFKYAGRTLCLYYSSPKSLRASALSNLFETIRSLWKKIICRSERIRSLRASSRAKLEKRFEIMFVADMCWKFVGESTKHAYPDHMQIVSVDALVDDECLFHCADKLPDMLEKFKNRPDRCMAYQYVAALLAESYKALYTKTVLPMYVGFLLDVKDRHQNCQLSIILISLIHILFQYLSTTIALVLFAAAEKKRPYSQADIIVSYLLLVGAIVLDLLPVFTSIVSLTRKPFRPGTAREWAIMCLGNCFVPQGWKTTKQWSEELAQYSMISRYNTSNACMPSLRKWTGKCFGAWCVEFFDTTRTPVTDDLKLLVLDKLLLQTSTKEWGIASFRGERALKKWMASHRVPEPGRSGYDALHMIVSSRAEFTTSVLILHIATDICYFSEDTETDEAKKKKMMSRELSLYIMYLVFKCDVMLSSISGLAHEQAHEELKESISRLGMLGREEKAMAMAMAMAMAMKVEKVVHYHLDAHVRAIRSGELLPGTEEVVVPPVLSRVPAVVQELMAIYDEASRWDLISEVWLEMLFYMAPRCGAAFHYEHLSTGGEFISHVLLLMRHLGPFMPAPDASF >KQK93987 pep chromosome:Setaria_italica_v2.0:VIII:7127985:7129171:-1 gene:SETIT_027140mg transcript:KQK93987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKPNSPGSLSLSRSFFSPSLLPPTPCERRRCRDSAAQAVGSIAGPLVGGRVRLELIHSWAKEGSHAGFFSMAGIRCCCEL >KQK95599 pep chromosome:Setaria_italica_v2.0:VIII:36172765:36175422:1 gene:SETIT_027586mg transcript:KQK95599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAIIFFLSAMAISVRAAVVEHTFVVSQVNMTHLCKETLVTVVNGQLPGPAIEVTEGDSVAVLLVNKSPYNITIHWHGVKQWLNCWADGVPMVTQRPILPNHNFTYRFNVVGQEGTLWWHAHVPFLRATLHGALIIRPRHGAISYPFPKPDMEVPIIIGDWWQLDLPQVYRSMKNDSFDFFASGSTINGKLGDLFNCSGVPEGGYVLDVVPGKTYLLRVINAGLFSEFYLKIAAHKFTVVAADANYVSPYTTDVIAIAPGETVDALVVANATPGRYYMVAKPNQAPLPDTQTPEFTTRGMVQYRVNHSSMTNGAAALRSRRGAKEEENDEGPSGDVALAPQMPDKHDTVTSFYFHSNLTSLYHLTVPQQVDENLFLVLGLGSICRNGQQSCNRGGKNNESITVATMNSVSFQHPTANLPLLEEHYYHSGLIDVVQELPDGPPRAFNFTDKALIPFGPKELRLEPSSKATAMRRFQHGAVVDIVFQSSAILQGDSNPMHLHGHDMFLLAEGLGNYNAAKDVERYNLVNPPVKNTVLVPNLGWAAVRFVANNPGVWFIHCHYEFHLTMGMAAVFLVEDGPTVDTSLPPPPSNFQ >KQK95536 pep chromosome:Setaria_italica_v2.0:VIII:35453702:35455852:-1 gene:SETIT_027808mg transcript:KQK95536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HAKELWDEWGIHCLILVSLFLQVFLFLTADMRRRCGSRVMMTILWLAYLSADTVAIFVLGHLAVYVRAPSHELMFFWAPFVLVHLGGQDTITAFSKQDNELWMRHLLSLVSQVAMAGYVVSKSSWPDARLRAAMVLMFLRGFFKYAGRTLCLYYSSPKSLRASSLGHLSETIRSLREKVTSRAKIKERFEIMFVADMCWKFVGESTDHAYPDHMQIMSVDAVVNDEWLIIAADELPDMLEEFKNRPDRCITYQYVAALLVESYKALYTKTVLPIYAFYLLDVKDRHQNCQLSIILSFLIHILFKYLSTAIALVLFAAAEKKRHYSQADIIVSYVLLVGAIVLDLLPVFTSIVSHTREPFRPGTAGEWACLCLASCLAPEGWQTTKQWSEELAQYSMISRYNTSNACMPSLRKWTGKCFGAWCVEFFDTTRTPVTDDLKLLVLDKLLLQASRQEWDIASFRGERALEKWMGSHQVPEPGRSGYAALHMSVSSRVEFPRSVLILHIATDICYFSEDKGRDTEPDEAKKKKMMSRELSLYIMYLVFKCDVMLTSISRIAHEEAHGGLNFISSRLGGIAREEEAMAMAIMEVLEAMKKEEQQQQGSMQEVAHHDKNEEPASKDAAATTSRSQELLRWTEEAMYAPVLSRAHAVAQELMAIDDEASRWDLISEVWLEVLFYTAPRCGAAFHYEHLSTGGEFISHVLLLMRLLGPFMPDPGA >KQK94520 pep chromosome:Setaria_italica_v2.0:VIII:20354221:20354864:1 gene:SETIT_028072mg transcript:KQK94520 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDLHNLRVVCKVMHRACSDPSIGQRVALLRTFWEDMQWNEPDRYYALLALLVSVGNPEACTIKGILSRVVVGRHDVGAYLYALMMYKNNGSAVDDDIANMYIPRVECEDGSSARRSTGSKKLCNDGCWVCHKEAAYLVNRVTWHGHGDPLPPAPVHDDFSCARGNCGKVKGREQAMLFCNEDCTICHEIVAFERRMGIDN >KQK93598 pep chromosome:Setaria_italica_v2.0:VIII:2230148:2232298:-1 gene:SETIT_028380mg transcript:KQK93598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFNIPHCKSQAPVAAAAAATAVAAIPSSFLSSSLPALVPLPPPLPEESPFAALLAADPPPPEPLRLVLAAGDVRSALRGLPGLARQLFRWAEATPRGFPRTASAFADVLVPLAQANHLRAAYPVSLRALHLGLLLPLVSLLLSAPRSPSNQSLLSLLLRLSTKFTAQCGARDPAPTTCSTLCLAAFREMACHGVAPDVKDCNRVLRVLRDAARWDDVHAVYAEMLHLGIEPSIVTYNTLLDSYLKEGRKDKAGMLLKEMETQGGDCSLNDVTCNVMISWFTREGNLDKAARLVDSMRLSKTASSFTYNPLITALLERGFIEKVESLQLEMENEGIMPTVVTYNAIIDGLLKSGQVEAAQVKFVEMRAMGLLPDLITYSLLIKGYCKAGNLKEAFWLLGDLRRAGLGPTVLKYNILMDGYCRLGDLEEARRLKEEMVEQGCLPDVCTYTILMNGSCKVRSLAMAREFFDEMLSKGLQPDSFAYNTRICAELTLGATSKAFQLKEVMVLEGIYPDTVTYNILIDGLCKTGNLKDAKDLRTKMVTDGLQPDFITYTCLIHAHCERGLLREAIELLGNMISDQFSPSAVTYTFLIHAYCRRGNLYSAYGWFRKMLEEGVEPDEITYNVLIHALCRTGRTQLAYRHFHEMLERGLIPNRCTYTFLIYGNCREGNWEDAMRLYFEMHQNGIHPDDCTHNALFKGFDEGRMHHAIEYLENIVL >KQK95119 pep chromosome:Setaria_italica_v2.0:VIII:31120046:31124219:1 gene:SETIT_028087mg transcript:KQK95119 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVAATSSLLLGAHHAHGVLLLPASPAETMKRSYYTRRKPSRLPTVRAFMARPHHQNVAAPPPASSKMVTAVPPPPPVRETTAVTPTLPATTVYRDNWFDNLAIGYLSRSLQEASGMKNGKDGYEGLIEAALAISGLFRVEQQWQTVATALERAFPSYILTMATWIDIVRSVGQIKVMMPPSRFSREYFAAFTTIFFPWLVGPCEVRESEVDGRKEKNVVYVPKCRFLESTNCVGMCTNLCKIPCEKFIQDSLGTAVYMSPNFEDMSCEMIFGQQPPEDDPALKQPCFRTK >KQK93944 pep chromosome:Setaria_italica_v2.0:VIII:6474996:6476505:1 gene:SETIT_027550mg transcript:KQK93944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAGEALEVSVEAGNHGDEARLDEDGRPRRTGTMWTASAHIITAVIGAGVLSLAWAMAQLGWGAGLVAMVVFAAISYYTSTLLADCYRSGDPVSGKRNYTYTEAVRAILGGAKVKLCGVIQYANLVGIAIGYTIASSISMLAIKRADCFHDKGHRNPCRSSSNPYMILFGAVEIVFSQIPDFDQIWWLSIVAAAMSFTYATIGLSLGIAQTVANGGIRGSLAGISVVAGVSPAQKVWRSLQAFGDISFAYSYAYILIEIQDTIRAPPPSESTVMKRATMVSVATTTAFYMLCGCMGYAAFGDDAPENLLTGFGFYEPYWLLDVANAAIVVHLVGAYQVFVQPLFAFVETRAAARWPGSRFLSREVRVGPFVLSVFRLTWRTAFVCLTTVVAMCLPFFGDVVGLLGAISFWPLTVYFPVEMYIAQRGVPRWSTRWVCLQTLSAACFVVSVAGAIGSTAGVIGSIKLHRPFSGY >KQK93416 pep chromosome:Setaria_italica_v2.0:VIII:1003146:1008036:1 gene:SETIT_028483mg transcript:KQK93416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLVLGPYIDQPGSHLTLITTPGFASLDYIKKKSMYLPGRLYLMLSLSAYQVSPLPIKRQGAKQ >KQK93955 pep chromosome:Setaria_italica_v2.0:VIII:6653821:6654320:1 gene:SETIT_027009mg transcript:KQK93955 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSVGGEKREGRGSNPRPPTAHRSPLRRPGESTILSGYVACRMYLIMAVTGLGYLALTWSTVVLLGGFVTALQNKDFWCLTTISMLQAARSTLPIHIALPFQLAFCLTLQNPPFLGFSNGQGNHVD >KQK93996 pep chromosome:Setaria_italica_v2.0:VIII:7335217:7336924:-1 gene:SETIT_027738mg transcript:KQK93996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPEKKSKNVPAASGSDRIDALPDDVLEHIIGFLPVDEAGRTSVLARRWRHLWKYATALRIRCVEDDACFSHQRGLKHSQDPEAIDGMLRLRGRAPLQVCELTFDCFYKDDDVVRLNRWVRHVVMCQVQRFRLENFYAAEFMELDNLPLVSRHLTRLELVGLLLNSDFCDFSSCPSLQHLELSDCYFRNVKRLSSDSVKWLSMTDCNFSTESSTLILVPSLVSLRLHAHLYRPPILGSMPLLQEAYVRVPLLDNFPMVCEEENCYSCHDIMDNNKCALLNGLSNAEKLALLSESTTFIFKSDLKQCPTFSKLKTLLLNDHWCVPPDFSALTCILKNSPVLEMLVLQLFSKEHDHIVEIEGRYHPMVDRSAVISKDLKAIKIKCEAVDENVHKILKFMCTLNIFMSSNRYFNQTILLVMLNYVVTKFL >KQK93476 pep chromosome:Setaria_italica_v2.0:VIII:1387258:1387976:1 gene:SETIT_027077mg transcript:KQK93476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPCHGGLEGCEVGVWVVWWMWPEGCMVDGFGCLSRFLFFGYSFKGLFSFWTKISCMVDLFTMEHAIKWSLLVTLVIWPQRPSTSASSSSGMASFWPLPKFG >KQK93568 pep chromosome:Setaria_italica_v2.0:VIII:1987793:1988660:-1 gene:SETIT_027159mg transcript:KQK93568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLNVNPATAAQCDCCKSDQAKQLCSTCTSTGAPDIFCESACLPDCLPSDPVAATTEGGQH >KQK93597 pep chromosome:Setaria_italica_v2.0:VIII:2227210:2228039:1 gene:SETIT_027551mg transcript:KQK93597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTTVRRRKQSYTSFPSIASRQAFKNLIGQKQRALWIAHNIPSLLYLWMTQRQQWIYESIHHDLLVAFGSWELNPMNNTNPFPQNEGSGYIWQGYEHKLVLVELQRHIFMKLPIPWTKYHEVPEGGHTFMLVDGSTD >KQK93710 pep chromosome:Setaria_italica_v2.0:VIII:3414762:3420009:1 gene:SETIT_027815mg transcript:KQK93710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSSLRSVGEETRKGGAAVQASRRATAVRFAPPPLSSAAMSAKANSGSVSHSQQAMARPATASGARPGSAAGPRCRTSAGRLREPGPKATRRNWGWTGSVVAKEKGSSNPVAAKTHSRSSSAPRRLPPSEEKAKPQPKKGSKIMTASRTETNPATPPKTEMEGSRSPPDIARKNTKAPNCVSLKNMDMEVMGYRGDAEVAAVEALKEAFAAEILLRCLSAFAELTSAAAKYSPQETVDKFLALHTALTSSNAAVPATTSKASTQGIGCAPREEMRAWLLGHVERLHDGDVAGTLGQLKRMND >KQK93785 pep chromosome:Setaria_italica_v2.0:VIII:4351163:4351411:-1 gene:SETIT_028337mg transcript:KQK93785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWSGINGGPLVDEIVRDRFANVKEKMQQPSILSCRVGVQSSQESCSRGGGF >KQK94617 pep chromosome:Setaria_italica_v2.0:VIII:23538588:23540292:1 gene:SETIT_028366mg transcript:KQK94617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAILCSAPSVHSNFLPRWLLLNSSPRMYCHAFRDRMRLKRRNRHQWVTCFSKGSFLQDSMPSLKPSRLLPTEELKTYPNTVPEEIFSTIRLDDSDAFYVLELSTSREFSSSLLDKNSAILICLIDVDGDSLLQRVPAIYLGQPTPGMKAEQSMPFQSGSVDVVTFKGSKLQRIKEVWIGLESGSWRLDGLSLKVIHGPVGRSKDVNGTPELKFNGLQYTFEKINVPLGEDGASVAEARPVAVMDLSGVSLSDLQEGQLSSESTASIVKELKEDGLRQYADLKQSLLLYDAAIVITGFSVFTLASNDNAAYSFLVGGIGGFFYLLLLQRSVDGLPVISLPSEASSTQPSVSGFSGVRRPWLILSLVMVAGAVALKYGAGGDSFELTPTELFVGTAGFLANKVAVLLAAFKPMQSDLKGEDGSGDST >KQK95940 pep chromosome:Setaria_italica_v2.0:VIII:39785426:39788100:-1 gene:SETIT_028220mg transcript:KQK95940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAALLCRVLPSPTLPAASGQRLLSAFTTSQQNAATTVDLSSDESRRRLLLNRLVYRSKQKQRGFLELDLVLGTWVEQHVHSMDESNIRAAPSCKCSTSLVIVRGLNWVASVCAIHLLIVIYGIISLLHILYTVWIRIFFLLGFMNTNENPDLWKWLTGQEQPPEDLNSNPVFTAIKSKVTDNLSKHASPETRSTPGQPWVRGWDDIKKGCSACCSWTSSLQTLTRSLISFIPLPREANSPRPYIDAGDPDPFRCVCVTS >KQK95101 pep chromosome:Setaria_italica_v2.0:VIII:30836395:30840498:1 gene:SETIT_026705mg transcript:KQK95101 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRALARAASLLRRAAAGPAPAPVAPRHSLPGAGPSLAKNLPAFCFNGYSTLLAPANEVLIPPELLSSKTVWTPDRELGQYEDLVARVTNFHNEDKGFMVLDGDVFDVPIRKDIVHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYAQKGTGRARHGTLRGCQFRGGATMHGPKPRSHAIKLQKKVRRLGLKIALSARTAEGKLLVFEDLEVPSHKTKNIVQYISQMDDTKKVLLVDGGDIDKKLKLATQNLHYVNVLPSIGLNVYSILQHDTLVMTRAAINRIVERMHTPINR >KQK93226 pep chromosome:Setaria_italica_v2.0:VIII:63339:64282:-1 gene:SETIT_028272mg transcript:KQK93226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EYARNSRGMSLFACRWLPGKKRNKDARPPKALVFLCHGYAVECGVTMRGTGERLARAGYAVYGLDYEGHGRSDGLQGYVPDFEALVQDCDDHFASVVRSHGTTVRHRFLLGESMGGAVALLLHRARPDFWTGAVLVAPMCKIADDMRPHPVVVSILRAMNSTYPPGRTQEKRDEIRGNPYCYKDKPRLKTAFELLKVSLDVEANILHQVSLPFLIVHGGADKVTDPSVSELLYRPTLKLYPGMWHALTSGESPNNIWTVFQDIIAWLDHRSSHTTTSMEELPEVEQKARHDDQHQQQHGNK >KQK93531 pep chromosome:Setaria_italica_v2.0:VIII:1702850:1703398:1 gene:SETIT_028456mg transcript:KQK93531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFARSFAAQDGFVWPEKSLHVNMANLYSFSFFHPSDLSHPNGLC >KQK94897 pep chromosome:Setaria_italica_v2.0:VIII:28495118:28499292:1 gene:SETIT_026181mg transcript:KQK94897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEVVTDGGSARRDVEEEGDQRRQGGGGPAAGEPDPVVDVYSAAAYGDLERLRGFVERGGAAALREPDGNGYHALQWAALNNYPHVALYLIEHGADVNATDHAGQTALHWAAVRGSTSVSDVLMEHGARVEAADVNGYRAVHVAAQYGQTSFLHHIISKYGADFDALDNDGRSALHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAAIRGNLEVCTVLVHAGTKEELTLNDSGGLTPVQLAAEKGHRHLSNILSNAIKVSFEDKFFPGRSRKIGYAPFLFAYLVICLILFLNSIVFAPNFSRITATAGLWSWAAVSLFFASQVQFYRVSRKNPGYIKANTKRLDSKEPLMEIDLTNSSWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGKVRCRYVFLC >KQK94895 pep chromosome:Setaria_italica_v2.0:VIII:28494986:28501287:1 gene:SETIT_026181mg transcript:KQK94895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEVVTDGGSARRDVEEEGDQRRQGGGGPAAGEPDPVVDVYSAAAYGDLERLRGFVERGGAAALREPDGNGYHALQWAALNNYPHVALYLIEHGADVNATDHAGQTALHWAAVRGSTSVSDVLMEHGARVEAADVNGYRAVHVAAQYGQTSFLHHIISKYGADFDALDNDGRSALHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAAIRGNLEVCTVLVHAGTKEELTLNDSGGLTPVQLAAEKGHRHLSNILSNAIKVSFEDKFFPGRSRKIGYAPFLFAYLVICLILFLNSIVFAPNFSRITATAGLWSWAAVSLFFASQVQFYRVSRKNPGYIKANTKRLDSKEPLMEIDLTNSSWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGKVRCRYVFLC >KQK94894 pep chromosome:Setaria_italica_v2.0:VIII:28494986:28501287:1 gene:SETIT_026181mg transcript:KQK94894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEVVTDGGSARRDVEEEGDQRRQGGGGPAAGEPDPVVDVYSAAAYGDLERLRGFVERGGAAALREPDGNGYHALQWAALNNYPHVALYLIEHGADVNATDHAGQTALHWAAVRGSTSVSDVLMEHGARVEAADVNGYRAVHVAAQYGQTSFLHHIISKYGADFDALDNDGRSALHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAAIRGNLEVCTVLVHAGTKEELTLNDSGGLTPVQLAAEKGHRHLSNILSNAIKVSFEDKFFPGRSRKIGYAPFLFAYLVICLILFLNSIVFAPNFSRITATAGLWSWAAVSLFFASQVQFYRVSRKNPGYIKANTKRLDSKEPLMEIDLTNSSWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVAK >KQK94896 pep chromosome:Setaria_italica_v2.0:VIII:28494986:28501287:1 gene:SETIT_026181mg transcript:KQK94896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIEVVTDGGSARRDVEEEGDQRRQGGGGPAAGEPDPVVDVYSAAAYGDLERLRGFVERGGAAALREPDGNGYHALQWAALNNYPHVALYLIEHGADVNATDHAGQTALHWAAVRGSTSVSDVLMEHGARVEAADVNGYRAVHVAAQYGQTSFLHHIISKYGADFDALDNDGRSALHWAAYKGNADTIRLLLFMDANQVRQDKNGCTPLHWAAIRGNLEVCTVLVHAGTKEELTLNDSGGLTPVQLAAEKGHRHLSNILSNAIKVSFEDKFFPGRSRKIGYAPFLFAYLVICLILFLNSIVFAPNFSRITATAGLWSWAAVSLFFASQVQFYRVSRKNPGYIKANTKRLDSKEPLMEIDLTNSSWTGNWSQLCPTCKIIRPMRSKHCPTCKHCVEQFDHHCPWISNCVGKRNKWDFFVFLCMGIATSFLGAAVGFHRLWTEPVILSSSESWTHFMVTNHPGAVLFMFLDVFLLTGALILTGAQAVQIAWNITTNEQANKSRYAYLRGPDGRFRNPYSRGCQRNCTDFLVNGYTNDEEIAWPTLQQTVQRS >KQK94589 pep chromosome:Setaria_italica_v2.0:VIII:22985704:22987152:-1 gene:SETIT_027888mg transcript:KQK94589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTAPLAVSRRRPNLSLLADRCATTRALARVHAAMLVSGRLAEDTFAASRLLAAYAALSPDPAAAALALLSSLPIAPNSFMLNTTLRALASSPDPAAAFPFFSRLRATGALAAPGRHTFPFLLKAAAHLPLPLPVAAQLHALAVRHGVHLDTYVANGLVRAYSVAGRLRAARRVFDEVPERNANLYTTLVSACTQNGRHEDAMAGFDEMVREGFEPGGAALSSVLSACARSASGGLEMGRRVHDLIAARRGGAEGAILGTALVDMYAKNGAIREAVEVFDGMPERVPATWNALISGLAHHGHGERALGVFRRMRREGVLPNATTLVGALSACCHAGLLDEARRLFRSMEEEFGIAPGIQHCGCMVDLLGRAGLLSEAEEMIRRMKCKADTVIWGALLTACKNHGDIEIAERVVMEMLKLDPSNHGVYVVLSNLYAEAGRWQDVDKLRKVMKGARLSKIPGASTVGGSPEQPEPSPPLEKVLV >KQK95497 pep chromosome:Setaria_italica_v2.0:VIII:35060944:35061174:1 gene:SETIT_027441mg transcript:KQK95497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSTPFPALKQLRLHDLESSKGWVATEGKEDELTFPVLEEVDIKNCPKLTSLPEAPKLKVVRLSEGKALLSLGIVKS >KQK96023 pep chromosome:Setaria_italica_v2.0:VIII:40574077:40574957:1 gene:SETIT_027412mg transcript:KQK96023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPKESRWSLAGKTALVTGGTRGIGLAIVEELAGLGARVHTCSRTAGDLNTCRRRWVGKGFPADAITSSVCDVSSQRDREGLVGTVRDLFQGQLHILVNNAGQSIYKPAAETTPGDYARLMSTNLDSCFHLTRLAHPLLQRADGGAVVVHMSSVAAFIAYPALSAYSVSKGALHPLTRSLAAEWAPHGVRVNCVAPGAIDTGMFSATLSDPGRARRLAEMEVSRVPMRRFGTPQEVASLVAFLCMPAASYITGQVICIDGGRTLAAKL >KQK94463 pep chromosome:Setaria_italica_v2.0:VIII:17862237:17864809:1 gene:SETIT_027155mg transcript:KQK94463 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQTVVLRVGMSCEGCVGAVKRVLGKMEGVESYEVDIKEQKVTVKGNVTPDAVLQTVSKTGKKTSFWETEPAAPADATA >KQK93634 pep chromosome:Setaria_italica_v2.0:VIII:2456317:2458040:-1 gene:SETIT_026658mg transcript:KQK93634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGRQQPHFKLQLHLHAHTHTVQATTPRVPGPTAATQLEHTHHPLPAFTGRPAMSTRARGGGGGGGRQFPVGRRRYVPVVDAGCGCRPRRPRLLSLPSFLKPCQLGGNNNNKAAARRGGSGGEQYSSCASTSTAASFSSSSAATRSTGYSSANSSDYYYHHHPSSHVLYGDAAAAAKQQQEAPPSPRPKQQQATKAAPAPAGKRQLKAAKTKKKRYEKTAGPEGDGVGVAVEKESSDPRADFRDSMVQMVVEMGLCDWDGLRGMLRRLLALNAPRHHAAILTAFAEVCTQLAGAAAAAAAPPPSHQHSPPAYHQYRL >KQK95181 pep chromosome:Setaria_italica_v2.0:VIII:31702370:31702974:-1 gene:SETIT_027949mg transcript:KQK95181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAWKKHSVPALGWWLIAVGTFRSAFTWSCFFGGSASLCSATYSEIPTTFLSFVYAIGYLAVECLVYDTIRVASLVMFILVAGISMVWMLLHQRNSDCHHGPRPHGATRQP >KQK94954 pep chromosome:Setaria_italica_v2.0:VIII:29085347:29087182:1 gene:SETIT_027968mg transcript:KQK94954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQRDDRSRWIAHSNHNIKCFTKWEVEIITNNYRTIIGRGAFGEVYRGVLDKSVVAVKRFVHNVRENFDQELTAHREVNHKNVVRLIGYCVEENALMMVTEYIPNGNLSGVLHSDSSIPISLEARIRIATDCAEALASMHSYMYTQVIHGDIKPANILLDESLDGSLRAKISDFGISRLVNDPDRTLFTAHVVGSIGYMDPLFARDGRLTAKSDVYSFGVVLVELITRKRATTSGGEANIVDVFTNTLANGFRGVREIFDAEITSQNNMKILEGVAKLAGECLLMERGRRPDMIDAVERLRTFGKAAHQGQQRKSKPTPPALVNTSPSDLCRRVYCGGIDGGATKVAIKCPRNRHGGGRESHSVIEMRSKLRHRHIVPLVGYCDENSEMILVYDFMAHGTLHYHLYDNKEQRLTWKQRLEICIGAARGLHYLQRGTKHGIIHGNLNTETILLDENWVAKITDGGLSDNASNYWVLRVNFLAAEPHGLTEESDVHAFGALLFEVLSGKLGRILPKKYMLEWALRYKEEGRLHLFFDPALKGEINLQSLNKFVEIAAKCVADQEIDRPSMEDVLSDLECALQLQVD >KQK93382 pep chromosome:Setaria_italica_v2.0:VIII:869013:869620:1 gene:SETIT_026880mg transcript:KQK93382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYIMRTGSTGLGSILFTSPRITGHRHRRVRSPSPAALVVFKKAPRPLQHSLCGVGVSCSLPVRNDPQVISAANGVTHSVGDDGVEPPPGRPGKPGTKDGAALGQGGSDGTPGTTGAGDDLELGVPGKPDDVGGDGLATGKPGKMGLRGLAPPGRPGYRSTLASDVADAAVYLAASDGRAAAAATATITAASTTAM >KQK93578 pep chromosome:Setaria_italica_v2.0:VIII:2062740:2063874:-1 gene:SETIT_027006mg transcript:KQK93578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRSIALALRSSLQSHSECGMGEVKHLCLVKFKEGVVVEDVLKGMADLAAQMDMVKSFEWGQDVLNQEMLTQGFTHVFSLTFASADDLTAYVSHEKHAAFAATFMAALEKVVVIDFPVAIAKPPPQA >KQK93779 pep chromosome:Setaria_italica_v2.0:VIII:4328961:4331815:-1 gene:SETIT_026480mg transcript:KQK93779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSATLLKSSFLPKTAEWGTTRQAAAPKPVTVSMVVRASAYADELVQTAKTIASPGRGILAMDESNATCGKRLDSIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQSTVDGKKITDVLVEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLASREAAYYQAGARFAKWRTVISIPNGPSELAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAETFYYLAENNVIFEGILLKPSMVTPGAECKDRATPQQVADYTLKLLRRRIPPAVPGIMFLSGGQSEVEATQNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLLRAKANSLAQLGKYTSDGEAAEAKEGMFVKNYVY >KQK93429 pep chromosome:Setaria_italica_v2.0:VIII:1080095:1082486:-1 gene:SETIT_026372mg transcript:KQK93429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTESTHKTIAAGGKMTVPQSPVGVRSIVTSLVAFFILASSIVFLLDRGQEEQVQMAVEHGRQEVQVKVEAGLQEPAMRGTTEAEDTSNEECNWSRGQWVYDNVSRPLYSGLKCAFIFPEVACDKYGRKDVMYQHWRWKPHGCDLPRFNATRLLEKLRNKRLVFVGDSVNRNQWVSLVCMVEASIPDDRLKTRIFNGSLISFKALEYNATIDFYWSPLLVESNSDNPIIHRVEYRIIRADRIEKHASVWRDADIIVFNSYLWWRKQKDDMRMKVMYGSFEDGDARLDEMEMVDGFEIALKKLTEWLGENIDKNKTRIFFAGSSPTHSWASSWGGEDSNKCLNETEPIYKVGYKTATTDYSLMAKAKSYFRTLEPKGIHVQILNITELSDYRKDGHPTVFRRQFVPLTKEQIANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >KQK95376 pep chromosome:Setaria_italica_v2.0:VIII:33919021:33922025:1 gene:SETIT_026185mg transcript:KQK95376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARKAIGANKETCGAFEPCLWGDFFVNYTPTSSQRSEEWMRERSEQLKGEVCRKFEVGKAMSVADTVRLVDTLERLGIENHFVKEVEKALEGVHDEELDFGSSNDLHVVALRFRLLRQHGFWVSADVFDKFRDDTGSFNVNLSNDPRGLLSLYNAAHMAVPGETILDDAIAFTRRHLEAAKGKLTSPIKEQVSRALEIPLPRFMRQLETMHYLTEYEKEEPHDIMMLELAKINLNLLRSVHLKELKDLSLWWRDLYDSVKLTYCRDRIVESYFYSFGVFHGEESSAARIILTKVFGLLVLIDDTFDVRATFEESQMLDDALQRWDESTVSLLPQYLRMFYIKTLSNFNEIEDTLEPHEKYRMDYVKKEYKLQSKNSIQQAKWFNENCTPSFKEHLDVSLMATGLPLLFFTALMSAGQVISNEAFEWALDMPDMFYANIEVGRFLNDIASYKQGKCEKDVASTVECYMREYGTTGEEAVAAITGMVEHAWRRINKACIEVKPAVEPVVRCLLNTTRVLEAYYLHGRDGLTYGRDLKELITFLFLKDVHV >KQK95203 pep chromosome:Setaria_italica_v2.0:VIII:31879206:31879527:-1 gene:SETIT_027255mg transcript:KQK95203 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDQLNLIEHKIQPAIAIDWTQMIGIQMPSALIYKIASHGSTVGE >KQK94095 pep chromosome:Setaria_italica_v2.0:VIII:8686854:8689937:1 gene:SETIT_025986mg transcript:KQK94095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLVHDLATLIMGDELIVSNVASKNNKAHSHKYCRYASVTKYDHIARLSNVLPSKVRALHFSDRGKLDLSCGAFSFAKCLRILDFSGCSGILLPASIGQLKQLKHLTAPGMQNEVLPEFMTELSKLQYLNLNGSSHISALPESMGNLWCLKYLGLSGCSRITKLPGSFGELKCMTHLDMSGCFGIRELPASLGNLTNLQHLDMSRCSGIRELPASLGNLTNLQHLELYKCSNVEAIPESLCGLMHLQYLNLSCCRYITRLPDAIGSLVNLQYLNMSWCCVREFPESFNRLRNLLHLDLSDCYIEKGLAGALHGLTALQYLDMSGVACTQNIWICEDLPVTMRKLTNLKDLKLNSFLGTNLNFISTLTNLEHLDLSSNGFEYLPESIGNLKRLHTLNLKNCWMLESLPESIVYATGLKSVLLDGCRRKFMDQASSLLHYSLTLPLFKVRADDFSAHSNLHVLEGENVVGELHIVSIENVRLLEEARRLNLLTKQNLLSLKLAWTWKSNAYRHLEDKDLLGQLVPPMSLKDLSLEGYSSPSFPGWLMAISHHLPNLTCIELKDLPTCSNLPPLGQLPYLESLRLCNIPNVSKIDGGICGGKGAFPRLAHFIVYIMQGLEEWNTTCPGEDGVEEFMFRMLDVLHVAECPKLRLKPCPPKCREFVIFDCDQVISSLEKVQTSSDRCNSIPTTTRLAISRTRQHQSFRLFHHFPALQKLSFYFCSNLTSLPEGIQQLSSLQSLELRYCHSISALPEWLSDISSLTKLVIKRCDSIKSLPACIQHLTNLQQLVIDGNQELLQWCESEENKAKLAHINISIYE >KQK94215 pep chromosome:Setaria_italica_v2.0:VIII:10934868:10937706:-1 gene:SETIT_026620mg transcript:KQK94215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAEVMGASSESEPTLLSTLPNEVPLDFLREITSDFSDERRLSEDAFGTVYKGVLRNGQLVAVKKLAAYVQVPAGKRFLNAATNLMAVQHDNIVKLLSCCSESKKKVVEEKGRFVLVDMDECVLCYEFLHKESLHDYLSDSTRKTDWDTNFKIIKGICQGLSFLHEGMMLGRIVHLDLHPANILLDNNMVPKIANFGLSQLFDTDKSRTYTMNVMGLKGYMAPEYLYRGEISPQCDIYSLGVVIIEITTGEKNCSNDKDMAGRNFIDRIRQTWTDDHIASMYPLLRADRLVEVKACIHIGLECVDVNQKERPSIADIVDKLINGKFAVQA >KQK94792 pep chromosome:Setaria_italica_v2.0:VIII:26983955:26988373:-1 gene:SETIT_026241mg transcript:KQK94792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAEAGDDGRPATASAAAMEAKGKAKRDGVVKEVIRLERESVIPILKPKLVMKLAYLIERDNDRAEFMKLCKRVEYTIRAWYLLQFDDLMQLYALFDPVNGEKSLEQQGMTSNELDTLELNFLTYIFQIMEKSNFKLLSDEEYEVAQSGQYLLNLPIKVDESRVDSKLLTRYFKDHPHDNLPAFADKYIVFRRGIGIDRTTDYFFMEKLDVIISRAWRSLLRVTRIDRLFSKRQVPSNKDKKKSDEINEDAEEPDLYVERVRLEKMELSIKNLLRKMTIQEPAFERMIVVYRKASTESKPDRGIYVKHFKHIPMADMELVLPEKKNPSLTPMDWVTFLISAVIGLVTLISSLEMPKADIWFVTAILSGLIGYCAKIYFTFQANMVTYQNLITKSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIVSYYILMEQGKATIQDLDLRCEQLIKEEFGVECNFDVVDAVKKLEKLGIVSRDSIGRILCVPLKRANEIIGTTTEEMVIRAQQAPAAS >KQK94479 pep chromosome:Setaria_italica_v2.0:VIII:19028636:19029591:1 gene:SETIT_026797mg transcript:KQK94479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSWSSSSSCTSSFGSLDDDVIVCVLKTDDVGAAAAAAGEGSVKFLCSYGGRILPRHTDGALRYVGGDNRVLSVDRPLQFYELQRKLRELCGWEVCLRCQLPTEDLDALISVTSDDDLANLLEEYDVASKDCLQPLKIRAFLFPRTPPSLQPRCSPPSTPAFVSRPSPSNAHLRRQNTSPAAAARVSSSPTCAPRWWAAPLHTFRPARAHQPQRYDWHGPGEARLQRYLVHNGSHWQ >KQK94439 pep chromosome:Setaria_italica_v2.0:VIII:16604966:16606255:1 gene:SETIT_028422mg transcript:KQK94439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKKLMSLVHFTSVCPDSKYFHSPPIPINFWLQVWGPFALPPRPGEIRRELHAHSCLQQPPIALRG >KQK94686 pep chromosome:Setaria_italica_v2.0:VIII:25027060:25029201:-1 gene:SETIT_026779mg transcript:KQK94686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAPARWRRGGRSPLPPRLLQRAFLVAAFFAAALILLILLQHHHGPKPPNPSTASGARAFSDQLPDDSPPAERDAGVGDAAVVGDWATCATVERMGEEAAGEGRGSPEQASLRVREMIRQHFELQGAERVRMLPAHEFCKQGFVLGKASEAGFGNEMYKILTAGALSVMLNRSLIIGQTRGLYPFWEYISYTNQSFTIHEIKHLWRKHHCARTYGRDLNIRVDIFENPAETNVLCSDWNSWKDPIIWLVL >KQK95723 pep chromosome:Setaria_italica_v2.0:VIII:37561372:37562507:1 gene:SETIT_027400mg transcript:KQK95723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMDAVAAAPGWPSPLGLTVVARSRSSAHTPCHVPADPDRCHWKITHEAHRYIFQHTQVLFLRRPRDLQLVPSLEHYNKRKKVKSSFELMDVMPVIMMTESLRLYTHVNFTARSTKEGSREQLFFAELENCSKRRAPSRFIVTCCEQLGSDSTVGHKGFQLDYGTSAVWKNVDFSYCFACGLRMVHPRGDK >KQK94806 pep chromosome:Setaria_italica_v2.0:VIII:27369146:27372690:1 gene:SETIT_027789mg transcript:KQK94806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQKCKYVALCEEAGLSPESQPAVRSHPKPSNPCVFNIPSNHVPEPRTPVDNVNPRGAGATTPINVSPQAPLNSTFQGAGTATPVHVPVPRTPVNNVNLRGAGATTPVNVNPRAPLNSTFQGAGSTAVVKVSPRGARSNDEWPTCKCTAGKCKVLRVNKEEAYYVCPIPKSVSQSQAYAHVNSPGLFLPLDPTDREADPPAIDAGRPAVVP >KQK93481 pep chromosome:Setaria_italica_v2.0:VIII:1438951:1440526:-1 gene:SETIT_027794mg transcript:KQK93481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSPWSRTWRCIGVQATIVHGDGLLVLSNAGRSIMAPASTHGEHFAEVVLVRHGQTDWNVSRIIQGRIDQELNETGRQQAAKVARRLSEEAKPAAVYSSDLKRASQTAQTIAAHCCVSDSDLVIDRALTERHMGLFQGWTIDDAKRSEAYKAFARGGRDQEIPGGGESLDQLSERCVSRLNAIAEKHKGERVVVVSHEAVIEEICRHADPTISVGRKIPNTSISVVHVSGSDSRWILEKFGDAEHLTGDGFPQSGPEQAIQQALSEDALCNKGKNKQVIGSHKTSRKVINSKREKSKEKIDEIESEESRKKIKK >KQK94396 pep chromosome:Setaria_italica_v2.0:VIII:14433701:14435910:-1 gene:SETIT_026138mg transcript:KQK94396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVAAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCGSGRCGMFGQGGLIMYGVSTMFDDLITYHLKDIPIVILIGVAGALLGGLYNFLMMKVLRVYNMINEGGRAHKLLMAATVSILTSCCLFGLPWLAPCRPCPTTGSPPSPDGTCHALNRFRRFHCPPGHYNDLASLFLNINDDAIRNLYSTGTNDVYHTGSMITFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLDGHSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILQLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFNGVEKVGNIVHILRTTGHNAFPVVDEPPFSPAPVLYGLVLRAHLLVLLKKREFLRAQELRYPKEYVAGRFQAEDFDKRGSGKQDTIGAVQLSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKACDRSPVVGILTRHDFMPEHILGLHPVLLGSRWKRLRWQKGAVAKYFRSLLVWIANSS >KQK94397 pep chromosome:Setaria_italica_v2.0:VIII:14433399:14435967:-1 gene:SETIT_026138mg transcript:KQK94397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKVLRVYNMINEGGRAHKLLMAATVSILTSCCLFGLPWLAPCRPCPTTGSPPSPDGTCHALNRFRRFHCPPGHYNDLASLFLNINDDAIRNLYSTGTNDVYHTGSMITFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLDGHSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILQLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFNGVEKVGNIVHILRTTGHNAFPVVDEPPFSPAPVLYGLVLRAHLLVLLKKREFLRAQELRYPKEYVAGRFQAEDFDKRGSGKQDTIGAVQLSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKACDRSPVVGILTRHDFMPEHILGLHPVLLGSRWKRLRWQKGAVAKYFRSLLVWIANSS >KQK94395 pep chromosome:Setaria_italica_v2.0:VIII:14433399:14435967:-1 gene:SETIT_026138mg transcript:KQK94395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHTGACIAAIFGQGGSRKYGLTCRWLRYFKNDRDRRDLVTIGAGAGVAAAFRAPVGGVLFALESLSSWWRSALIWRSFFTTAVVAVVLRLFIELCGSGRCGMFGQGGLIMYGVSTMFDDLITYHLKDIPIVILIGVAGALLGGLYNFLMMKVLRVYNMINEGGRAHKLLMAATVSILTSCCLFGLPWLAPCRPCPTTGSPPSPDGTCHALNRFRRFHCPPGHYNDLASLFLNINDDAIRNLYSTGTNDVYHTGSMITFFVASYALGVLSYGVVAPSGLFVPIILTGATYGRLVAMLLDGHSGLDHGLVAILGSASFLGGTLRMTVSVCVIILELTNNLLLLPLVMLVLLISKTVADSFNSSIYDLILQLKGLPHLDGHAEPYMRQLTVGDVVAGPLRSFNGVEKVGNIVHILRTTGHNAFPVVDEPPFSPAPVLYGLVLRAHLLVLLKKREFLRAQELRYPKEYVAGRFQAEDFDKRGSGKQDTIGAVQLSPEEMEMYVDLHPFTNTSPYTVVETMSLAKALVLFREVGLRHLLVVPKRSPVVGILTRHDFMPEHILGLHPVLLGSRWKRLRWQKGAVAKYFRSLLVWIANSS >KQK94948 pep chromosome:Setaria_italica_v2.0:VIII:29062826:29064168:1 gene:SETIT_027284mg transcript:KQK94948 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALRGTAIPGSSSQKSAPDRISALPDELLLHVMYFLTLQEAAQTSLLSRRWQNLWASLMWLNFDAAKFSSMRTYRKFVNNALLIRSSLPVPVPLDAFWISAECDNSDDSLDYSDMHPWIRHALNSKAWAIGILKHSGPKPLSMQGYPFPFTSVYSKILGLCHCSIDDWVVPKVLQHDLLSCETFSNLEQLHLGEWFSSPGCYPLICLLRRSPGIKKLILLARKQITNFSCKELREISIHCDPRSDKRAQIITRILSAHLCPLSEIKIWPITT >KQK93716 pep chromosome:Setaria_italica_v2.0:VIII:3528873:3533229:1 gene:SETIT_027303mg transcript:KQK93716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HEVAIYIDRFHNLDLFQQGWYRMKIRALWEADEHRAPISPARVTQYEAVDIGAKGTFGFWKIDDVDNSFCTQPFLVKYSRQDIYLSVMVSFYIPNSEDEGPATSSVILKFELIYIPTLGNGWTEVKDSGDTDLVPVHEFRIPHKALLGLHSYCPVHFDALHSALVDLTIHIVYLKAGVTKSSLKSMEQSFGSKLYDIVKASLISREILLEGLMKISNAIGNTLEDLDGTDLTLGKYESIQPSKSGLSTCNKGKGTPTKCTTPQLTSILRDFLESSGVMVGNTADDVMLYTLSEEELFELFQIVSSQLSFIWNEFLKFHRTHRVKILDYLHAIWDFDRKAEWSIWIIHSKIDIPHRYLRTMNDGSPRHGHLRRISSSRKPIQNSMSQAELHRKSIAQMKIDTRSVQDMHIYADPSCVPVVRIEQHVMVVPQHCSSKDFFSDASEPGHHLDLRLVRNQWLLLDPGAECLLSQINEDRTSGDFKEMGRRLANEVVAFLKRRLDKYSKMGGCQEMKLSFVGHSIGNIILRSALTEPKLQPFLKNLYTYMSISGPHLGYWYSSNSLFNSGLWLMKRLKGMQCMAQLTFSDDNDPQNTFFYKLCKLKTLENFKNIILVSSPQDGYVPYHSARIDLCHASSSDNSRRGQVFTEMLNNCLDQIRAPTSETRVFMRCDVNFDQSAQGRSLNTMIGRAAHIEFLENEIYARFIMWSFPELFR >KQK95504 pep chromosome:Setaria_italica_v2.0:VIII:35119024:35120661:1 gene:SETIT_026523mg transcript:KQK95504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGYANGAGVVVDDDDATCLHAQTLVYAYNVTMAVHAAVKLGLIDALGAADGRALTADELAAKVVKAEDKAESAALIGRILRFLASFDVVRCSAEKGPDGAVLWRYSPAPACRWLTMNNGEGSLGPMAVFDVDEDNFSSWHHIADAVAGGGKQTPFQIAHGGTPAYDYFGKNPRLSTLFDQAMAHQSLLVIRKLLEHPKVFDGVGVIVDVGGGTGATLALIRGRYKHIKGINMDLAHVISEAPSLEGVEHVAGDMFESVPSGDAVLMKWMLHMQSDEECMMILKNCHKALPANGKVIVIQSVLPETPESTPASRDSFTMDMIILVNFKGGKERTEQEYAKLGRDAGFTGGFQSTYIFCNIYALEFTK >KQK93312 pep chromosome:Setaria_italica_v2.0:VIII:478131:482323:1 gene:SETIT_026122mg transcript:KQK93312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAWEATKVVFDRVRALDPDNASKIMGLLLIQDNSDKELIRLAFGPDHLLHAFVATARADIAAKPASPPSPVLGSPWGGVPSPGGGDHQSPFAADQVGYDGGNAFYPEGEYDCWSPASGGHRRSFSLSDAEVAAWRPCMYFARGYCKNGSSCRFLHGLPEDDAAAEREMAVVRAKALAAARQQQLMASAFPFSPSPPKGVSLNFLLQQQQQQHEHQRCHFYLQRLHFAAAAGILLGGEDMHRFPERSPRMDRGELIGSPAARQIYLTFPADSTFSEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFVYAETVKIILNKGNPHFVCNARVLVKPYKEKGKVPDRFRKLQHAHHGDFVGCTSPTGLLDSRDPLDLQQPQIGPRMMYGNIANHEAFLRRKLEEQQQAAELQQAIELEGRRFVRLQLLDLKSRGHHLGFPVPLGQADGKGSINGNGNAVHMEDVTIQDSKMNSTSLAMSAPAAAAVSATDAEGRHEEQQEEDGDASPKQVVNPGEEEKRESGPVTATPNVACAFQESGVVEHILPESPFASPTKAFTDTSTTGQNGNISNTSPHHVASSLFPPTSTLELPPYNSCFFQVPRFSPGHEAIGL >KQK95171 pep chromosome:Setaria_italica_v2.0:VIII:31629669:31630092:1 gene:SETIT_028433mg transcript:KQK95171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGRVRGRPPPLPSRTRTTPMGLRRLVGTAAATTSRSSSLKSAGSSRAPTDLAIARWAMPMDKLSILTLIRTADVLRWRSEGW >KQK93969 pep chromosome:Setaria_italica_v2.0:VIII:6868135:6869285:1 gene:SETIT_027538mg transcript:KQK93969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GTCKCSQKLLVEIISMFNQEQKDAVEKAGFGSLLKLKDIEIRRELCKEIADSFDLDKEEFNIQEKKVKISIKDVDHILGLPSQGDEIKEPPKKHVPGLFDKYTWNDSTKIHSSELREYLSKNKTYGDDFIRIFVLYTIGFYLCPTLQPYVKSDYLGLVEEIDNIKNLNWSSLVLNFLIRSIREYKEVKAANLKGNLVLLQVWYWEKVSMSHMYPRLEHPGGDKPLVQYWDEKRAKERCKLARNHHFGEGKIVHDITRHKHNNTCSIPQCHTTSEQSDKGQDDSNHKIMQELQEFITNQYRLLSNQIDDRFNALNKRFDDVIQEQR >KQK94773 pep chromosome:Setaria_italica_v2.0:VIII:26691737:26694387:1 gene:SETIT_026780mg transcript:KQK94773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGPWARSASPQAHHSQPPTRDDWRSRAATGGRPRERARPTPRRRLAAMSAAATSCGAPSPSYASGPGGEDGEITYVSYGGEQHLPLVMSLVDAELSEPYSIFTYRYFVYLWPQLTFLAFDAKEGKCVGTVVCKMGEHRGAFRGYIAMLVVLKPYRGRGIATELVTRSIRVMMEFGCEEVTLEAEVTNKGALALYGRLGFIRAKRLYRYYLNGVDAFRLKLLFPHPDPGLPPMIVGSERDDQHIDSPYL >KQK95863 pep chromosome:Setaria_italica_v2.0:VIII:39039122:39040232:1 gene:SETIT_027654mg transcript:KQK95863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWASLPCDLLVDILRRLDSASAVVRCAGACKPWRRAIIANASRLRPYPDRFNPNLLLGFFHRRWLDGRHVARLQYVPGPFEDLLSSDVAADTTVPAAAARGGVDAASYDEPLSSRDGFLLLGGSAAGDLCLCNPLTGSCSFVPNPAATFGPICKYMLVTGDDDSTPGVGVFSCASGEWGPLRWSAVAEEDDRFRPYLCEEAKDVVVGRDSVVYCLVELMVGFAHDGREHWCVLAVDVRTERTWTVQLQERLMALDLRIRCSMIALATSEDGRLSLILKRQGHKIDVWVLIGGDGRWMLRRTIDVQGFIPYYWPHFWNVRISSFCPRSGCLFGDIDGQDILINLDRGS >KQK94149 pep chromosome:Setaria_italica_v2.0:VIII:9816897:9817370:-1 gene:SETIT_028610mg transcript:KQK94149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLPACLRRTGLYPLTTAAADCPMKWTLQVQA >KQK94194 pep chromosome:Setaria_italica_v2.0:VIII:10756855:10757813:1 gene:SETIT_027218mg transcript:KQK94194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIAINCKKKKRHERPTIEDIISKLDETETVKIDTQLSIKKGYFEESRFLSSGILGRPCQC >KQK94951 pep chromosome:Setaria_italica_v2.0:VIII:29072768:29075183:1 gene:SETIT_026049mg transcript:KQK94951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFHSLTASSSSSSFFLLFMIFFLLSGGLQVRAQQPYGKETNDCARQHNSTGMLGYLCGGAGSAPSCPAFLTFTARAPYSSLASIAALLGSDATTLAAANEVAAAAPLADGSRVLVPATCACTATPEGRFYQRNATSYVSKNGDTLLIIATGTFQGLTTCQALQAQGLRGAAPETLQVGQPLPVPLRCACPTAAQAAAGARFLVSYLVVLFDDVTAVAARFGVDAETVIAANQLQPPYTIIPSTTLLIPVSAQPNVSRIQTPPSPPPPPTVTVAPAPGKKSSSRVGVYIGVAVAVVAVAAIVSAGAVLALKARRRRAAGAALAAGEVAKKEGKGNETDTTSLGFTGGGEFSLSTSEAFSSISVTDIKSSLKIYTYAELKAATDDFSPERHLGGSVYRAAFNGDAAAVEVVDRNVSSEVEIMRKINHLNLIRLIGLCHHHGRWYLVTEYAEHGALRDCLLAAGAGAAPSLTWAQRVQVALDVAEGLRYLHEYARPACVHMDVSSGNVLLAGDGPRAKLRGFCAARAITGATAGAGGEEAALFTMTSRIAGTRGYIAPEYLEHGVVSPKADVYSLGVVLLELVTGKDAEELVSDGVGDPFAALRELAEELDGGGDAVLQRLEELVDPALPAGSCPQDAVVMMVRLIERCVRRDAAGRPSTGEVAQRLLKLSGVSAVSWRNSPESPRSSGSGKGLMY >KQK95743 pep chromosome:Setaria_italica_v2.0:VIII:37725070:37725613:-1 gene:SETIT_027042mg transcript:KQK95743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEVFENSALDPHITPYIPTPSASAAPWCPISPSTFAALPHRTGPEHDEWFSRHRLPRRALLYISAQRISRVGSRLVGKLLPATAPATRVAIPVAAACCKPATTVTVGRV >KQK95387 pep chromosome:Setaria_italica_v2.0:VIII:34043024:34047063:1 gene:SETIT_026465mg transcript:KQK95387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGRHYWGGGRRPDPAAAGVVVMFAWLSSQERHVRAYVELYAARGWACLVCHSDFPTLFFPEKAAMLADRVLGELVKELKIRPVPVAFASFSGGPKGCTYKVLQLIERRCKGQLSLDEYQLVRDCLCGQMYDSSPVDFVSDLGTRFLLDPSVLKMSEPPRVLSWMAKGVASGLDALFINKFEEQRKDYWETLYSSVNVGPILILCSEDDQLAPYSVVENFAKRLLELGGDVNLVKWHSSPHVGHYKYHPEEYRTAVTELLMKASALYMSRRQLNGYEVGTSEHSDMPPSISDQRRTAASSNNRLRRAPIDPMDQFFLPSSMEYHESSEGPKPELFNMPSVESLSLHGVLGQVMYDVCVPKNVEGWDLKPSASRHMHTAARRHSSFNPMKCVRRSRL >KQK93539 pep chromosome:Setaria_italica_v2.0:VIII:1776169:1780399:-1 gene:SETIT_026745mg transcript:KQK93539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFSGEVVEVPAELVAAGSRTPSPKTKASELVSRFLGSSEPAVSMQLADLGHLAYSHTNQALLRPRSFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGANEVVLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTNSVLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFKVEGSTVLTAL >KQK93538 pep chromosome:Setaria_italica_v2.0:VIII:1777373:1780289:-1 gene:SETIT_026745mg transcript:KQK93538 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFSGEVVEVPAELVAAGSRTPSPKTKASELVSRFLGSSEPAVSMQLADLGHLAYSHTNQALLRPRSFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGANEVVLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTNSVLVASVCCLLIVLVAVSNFYPSMVTWFRTMGG >KQK93540 pep chromosome:Setaria_italica_v2.0:VIII:1776229:1780399:-1 gene:SETIT_026745mg transcript:KQK93540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAVFSGEVVEVPAELVAAGSRTPSPKTKASELVSRFLGSSEPAVSMQLADLGHLAYSHTNQALLRPRSFAAKDEIFCLFEGVLDNLGRLSQQYGLSKGANEVVLVIEAYKTLRDRAPYPASFMLSQLTGSYAFVLFDKSTNSVLVASDPEGKVPLFWGITADGCVAFSDDIDMLKGSCGKSLAPFPQGCFYSNALGGLKCYENPKNKVTAVPANEEEICGATFKVKKMVCYVLKKNSVYSTCSSGLCRSWDTIASIGHSQQGTLGSDSAEYCTVE >KQK94668 pep chromosome:Setaria_italica_v2.0:VIII:24579928:24581036:1 gene:SETIT_028103mg transcript:KQK94668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAPCDFFQWIDGPDKYDPKIRLFPYHSIEVKSYHQFRRWVPPPPNPPRMTEEEKQKAACRRVRDPPMCKCGVPAKLMCPNLGDPPKFTPFFRCSLKTHDGWPLCDFNEYIYGPMAMCPTEEQVREFESGKASWPCVSSPSDRCKCGILVTQGVVPSELGYERRTCDWEAFSGRCDLLLKLGNTSEPWKSRKQQELKEKIRKKYDVPIPDNDLLWGKIYQDMVHETGVEPERLYARETIIKYWRQNRSKEKIGGSCRRRGSWRNKG >KQK94509 pep chromosome:Setaria_italica_v2.0:VIII:20029762:20032312:-1 gene:SETIT_028511mg transcript:KQK94509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGREHLHNLAHLTTEVDGEQSVKVRVTGLADPHQESLRLSLQLANELGLPAPQLQIQFVWWLLEPLM >KQK94508 pep chromosome:Setaria_italica_v2.0:VIII:20029762:20031660:-1 gene:SETIT_028511mg transcript:KQK94508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLFAAANPVRVVASGAIDVNHKDEMYDGKKLLEGFGNTRRPSTLS >KQK94445 pep chromosome:Setaria_italica_v2.0:VIII:16754283:16759122:1 gene:SETIT_027661mg transcript:KQK94445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNFFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMIAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNVLGPSRIVCMISDRHHGLLNCARDHIDGFPPLVHRWCMRHFAANMSRRQKSKGVIGKLKLLCTVHTESEFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESINGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYLSPLYSREHTIKIWESSFQPYLDPSQWTAYEGVGYVPNPNLMRNKVGRWKKKRFTGEMDVMAAPGYPLLEAAYDLHHRAHHLADNNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSSAWLREHFEPWHRHDSLPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQYQVEWKPYDRQQLSDIVFSPMCYRDRELWRCTTPMIMYFVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRVKHDRYIHMWNNKEGCDPEGGPYWRPNNEYIRWYCTSTRTKVKPSWTNVPIEDVPSEDDADIADAYDTVTRHGTQPERAPLHDYMGQQLARLSNEAG >KQK93919 pep chromosome:Setaria_italica_v2.0:VIII:6043019:6045551:-1 gene:SETIT_027598mg transcript:KQK93919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVAAATALLVMALQLPAAAATTWPQGAPIGLPGCDTTCGSVRVPYPFGIGPSGCYRPGFNLTCDTRHGPARLLLGDGTLRVTDISLRNGTVRVISKDLIINTTDDFPPGGFNASFGRAFREHGYLLSDRNELVVSGCNVMATLFAHIAGAENPKTISGCATFCPKVDDIGGVSMFTGLSTGKEVKRCSSASGDFTCCKKPLFRRSRLDGVVEAKWFNSGMDHNMELEGVVSVLVAEEGWVDDNGLPYVHEFVEAPLLLEWEMTVGDAPKHDNCSEDVRRMLCKSEHTSCSAKIAGYVCRCDPGYDGNPYLEGGCQDINECKLPSEMIAECFGECFNTIGSYQCLCPPGTIGNPLVKGGCVHYNFTTAKTCQIYMEPTANVKAMCRRLVTSSSKGARSRIRGLNRQQDLPMTISAQGVTQALQGNPRLLWVPNPFSTGCSAGTAMS >KQK95027 pep chromosome:Setaria_italica_v2.0:VIII:30026925:30027425:1 gene:SETIT_028455mg transcript:KQK95027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVGGLELQICICEVRVSFLSLFVQICSLVCFHLVICRISFWCE >KQK95264 pep chromosome:Setaria_italica_v2.0:VIII:32788538:32792385:1 gene:SETIT_027691mg transcript:KQK95264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGAGKSGLVWNIYCKWRTSNNSWKHAWASVSHPFNPTDFSRNLLLHLLPKSTSSEERYYKAHPAKDPIQECQHLLHEHVYLVVIDGLQFKEDWDWIKSNLIGSGGLRSCIITITSEESVGKHCVVSSNNAVVHNIKGLEADAALELFKKKCMLYLSVFPQDRIIRRRRLVWRWIAEGYSKGTDSISMEKYAEKLFDEVSALSIIQPVLKASKVIGYRVNGFFREYIISRPVEERVFFPVEVSALGRGHGRLTTEGIGQHLAVGDSWDVDRVVFEGLDFSRLRSLTVFRTVFWPWYVPDRMRVLRVLDLENAEYVSNYAFEKIMKLLPRLKFLSLRGQREVSRVPDSVGELMQLQTLDIRDTSIVALPPCITRLQKLQYIRAGTTIAFTQDDSLSAGEHSTPLSRRSNAMASRLLARFSRRGPDGSCRNGVEVPRGIERLKALRTLGAIDVNTAGDATLSEIRSLFRQLKKLELFMINRKISGWFLSHLFVAQHLESLSMQFEKSDHFVHWDYISLPRSLRSLKMHGHVEQLPRGIEYLGNLLKLTLEKTTLFTPDDIEVIGTLRSLRTLRLRVNKDQDGELQYHSSLFSKLEVLEIVCKSKLRVRFDIDAMEKLEQLKIHCLQGSEMQFSGLEHLFSLKQVWLMGSIDDALKVALEQQLVKHLKKPAPKLEVEPRSSQESSEESN >KQK96005 pep chromosome:Setaria_italica_v2.0:VIII:40406282:40407674:-1 gene:SETIT_027553mg transcript:KQK96005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ENLQEIANHHPLVFPRRRAAVAMAAAAPSTSASFLPVRAAQPLAGITVSFGASYQKRRKIPARRVRCAADDEEEVKDLGVNVALSMLKFYKREISPLLPSSCRYVPTCSEYSMQAYKRYGVVKGTILTAWRLCRCNPLGGYGYDPPRWFGEEDLPLE >KQK93253 pep chromosome:Setaria_italica_v2.0:VIII:192142:196052:1 gene:SETIT_028059mg transcript:KQK93253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASNRTNHGQFSIGALSLVATMHRIGIAAMLVLSSCFLFLATHAQQQPQPAASDNTASLPSCIPHERDALLPPQLVAGRRWPRAHDEQDCCRWRGVRCSNRTGHVHKLRLRGNYYEERSTMEGKISPSLLALDHLEHLDLSCNDLAGPTGRLPEFLGSLKSLKYLNLSYISFQGSVPPQLGNLSRLQHLDLSNMQDTNSMDLSWLTRLPSIEYLNLNGVNLSTVVDWPHVMNMLPSLRVLRLSSCSLASANQSLPHLNLTNLEELDASGNSFNHPMVTSWFWNITSLKYLYLGLTRMYGQFPDALGDMISLQVLDLSLNFYYHDDDKYRVMTTDLKNLCNLEVLSLYSSLLHGDVTELLRNLPRCSHNKLQELDLRANQLTGMLPRWIAQFAGLRTLDLSYNNLRGNVPYEIGKLSNLTYLGLNNNKLDGVITEEHFVSARSLQYIDLSYNALKIEISSDWQPPSRLDIAIFAACQMGPLFPGWLQWHVNITHLDISSAGIADRFPQWFSDAFSNVEFLNISNNQLNGSLPTNMGFMSLRELSLSSNQLTGQIPTLPPNISTLDLSNNFLSGPLPYATRSANLKQLSLFSNQITGHISESFCKYQGLFVLDLSNNFLEGVLPLCLGVMEDVEILGLRNNSLSGGFPSFVQNLTNIMFIDLSMNNFSGRLPTWIGKLTQLRILQLSHNKFSGNIPVNITNLACLQYMDLNNNEISGSLSSYLSNLKSMGNTIDKCMMSIDLSSNNLIGEIPEEIVVLGALVNLNLSRNHLTGVIPNKIGEMQSLQSLDFSRNKLSGEIPVSLSNITFLSDLDLSYNNLTGRIPSGPQLDTIYAEHPTMYIGNIGLCGHPLQNNCSSEDGRIEHFGLVLMRVGLARSWSAVVSWLVAWLVIVRTEPAAVLQERKRSVGPGAGECRSMSGTGEERLPAAAMIRRVRR >KQK95018 pep chromosome:Setaria_italica_v2.0:VIII:29921654:29927209:-1 gene:SETIT_026064mg transcript:KQK95018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSELMKMDFTKIVKTWEELQLRILVLASLFIQCFLFVSAPQRKRRIPGLLRFFIWLAYLGSDAVAIYALTTLSGSQEKDSHPGPQVLWVPILLVHLGGQEAITAYNIEDNELWRRHVLTAVFKVTVAINVFVKYYPWSSSPDIGIFVPGLLLFLCGITKCVCKPWDLKRVSINSLVDDSSGPAEKGEIDSLHEYLRAAMLYVRQADHGSLQPSDGGDGACKVDEVWKPYNLCVDVAPPYPGRLSCLRHLVRNQDEAHRLVQSGLSATFDRLYTKESLKLHDISPLNMTNNVAMNRWKILTSAVGIRTVAALVLLWAIGLFYHSSSHAYNHIDVTITYALLWSALVMECAIPAVMESVDKIKRWRLNANRWPDQVAQYNLVGYLARNRKHSWLMKMATCLVGTDLLDQRWCMESSKSSHEITKLVHGHVAGGWTEGHIADAEAFRAFNDCRGQWTLDKEEAHTTSKHLRSSVSRPFDESVLLWHLATDFCFHLHRTASSCEAARRRSREMSNYMAYLLFVNPEMLMPGARRSLFRAAYGELADMLEENPRHTPRDEAGLAHKVIQLVKRDTEGTSIVHQAWSIAEDLMDLCKDNDEKMWRVIQGVWVEMLCFSASRCRGYLHAKSLGTGGEYLSYVWLLLLYMGMETLSEKMQRTELYEDCTAAGRTSAAATANNDQNV >KQK94403 pep chromosome:Setaria_italica_v2.0:VIII:14583482:14585124:-1 gene:SETIT_027837mg transcript:KQK94403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERANIAGQGSRNRQRSRQNQEEDGVELAQLREVAFVNNYAVIRAYTLMGLKNFGYLALTWWTVDLLGGFVTPLNRKNIGTGHSLCFGIAISSLLRQNYGIDPGDASTANLTSAFNIYFSLTTRRPVWTLHPARFRRLCCQRNLGVAGQWKKRISPSCDHPILV >KQK94566 pep chromosome:Setaria_italica_v2.0:VIII:22314053:22314563:1 gene:SETIT_027431mg transcript:KQK94566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSRVSLLILMASVFLASIDGANAQEAHGVLATYNLYNPERINWDMRTASTFCATWNADMPLAWRKHYGWTAFCGPAGAHGQPSCGRCLLVTNMATGAKTVARVVDQCDNGGLDLDISVFRQLDTDGGGMFNGHLSVDYEFVDCHD >KQK94492 pep chromosome:Setaria_italica_v2.0:VIII:19703794:19707434:-1 gene:SETIT_028049mg transcript:KQK94492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VESSLRNSSLLNLASEKKELSMGKALFRLILVLVAVLGCKADQDFVSDGEYIRVKRSTFAVLIVFAFLVVGLAIAITKYLRNINRANETTAESLKSSQGNIRVHGEVTSRWSGLYKFTKEEIERAINYASTRIYLGSGSAGQVYQGVLPSGQLIAIKHIHKTAMSGSFMREVEQLSKVRHPNLVCLFGYCDEEGDQYLVYEYCANGNLAQNLLRSDSVLPWETRVKILRDCASVLRFLHTHPDGCIVHRDIKLTNILLTENTVPKLSDFGLAKMLEMEETKVFTDVRGTIGYMDPEYITHSKLTCASDIYSFGVVALQLLSGRKVIELDIVARDSLTKKAKDVVSGKKPLEEFIDPRVRDDVIIEDFVLILKIAVLCVASSSIGRPTIKDVFEEMDKALRNTTIKTKTRKEMNEANLVIQYAKVLDV >KQK95166 pep chromosome:Setaria_italica_v2.0:VIII:31587070:31587809:1 gene:SETIT_027999mg transcript:KQK95166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGYASVKLPSFSHPHAKSMPMLAAVTCASRRRRMHCRGSDTAAAALELDQGQHDPGNHHHRWRDEPAPVGMPGSRERTRRQGRRRHPRDGGPALGSRRRQRQAVAAGAERAPAPEEDRSQPGPGSSAAVVSKKAPAAGEARAGVETAGAALSSEAAASSSSAVLCAVCLEEVPTGAEATTLPCSHSYHAGCVLPWLAARGACPCCRATLPSPENYILTCEIDME >KQK94940 pep chromosome:Setaria_italica_v2.0:VIII:29019970:29025599:1 gene:SETIT_027207mg transcript:KQK94940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLVATRAEGRYDQNIIYRNRGAKEEAEQQITADYVRVLKFSFCSHIILQLRDTTKTRPGIQKM >KQK94939 pep chromosome:Setaria_italica_v2.0:VIII:29019970:29024953:1 gene:SETIT_027207mg transcript:KQK94939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPLVATRAEGRYDQNIIYRNRGAKEEAEQQITADYVRVLKFSFCSHIILQLRDTTKTRPGIQKM >KQK95365 pep chromosome:Setaria_italica_v2.0:VIII:33784316:33784712:1 gene:SETIT_027188mg transcript:KQK95365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVASSPSWPQVTEEAKQATSTASIPLAKPAITLCLVHLRGGARPVYWYQFIPMIFCKISRKLLLCTVYLWS >KQK93624 pep chromosome:Setaria_italica_v2.0:VIII:2386943:2388470:1 gene:SETIT_027306mg transcript:KQK93624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMQKKSCGLEGGKVIVGIDENGVPNEKSASILGQYLGQTTKKPSLAPLHIERWDNPLFNTHKQQIIKDVEVKLILPVQAKRSLDEIINWKPNNVNELQWKALAGFWYREPHKVPSFYVTFISNLKAMSVTNSRIAKEQKNTHTSGRKSHARLKKRWQLNNNGNLLAQDFNEVFGEIVAKELKARGYYDDNYWSEVLVSQGVTFVTQTGEERRYQEKVNAMENKVQHMGGFMKHWLGFMLKKFPEEDFIK >KQK93755 pep chromosome:Setaria_italica_v2.0:VIII:4017726:4019510:1 gene:SETIT_027748mg transcript:KQK93755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVAQFAGLRTLGLCCNNLSGNVPYEIGKLSNLTHLDLNNNKLDGVITEEHFVSARSLQYIDLSYNTLKIEISSDWQPPFRLDAANFGACQMGPLFPGWLQWHVNITHLDISSAGIADRLPQWFSDAFSNVEFMNISNNQLNGSLPTNMGFMSLQELFLSSNQLTGQIPTLPPSISALDLSNNFLSGPLPSATRSANLKQLSLFSNQITGHISESFCKYQGLVVLDLSNNFLEGVLPLCLGVMEDVQFLGLSNNSLSGGFPSFVQNLKMIMFIDLSMNNFSGRLPTWIGKLSKLRILRLSDNKFSGNIPVDITNLACLRYMDLNNNEISGSLPSYLSNLKSMRHQYIAECSILSFDPTPILYIYNGRPILHSLSINLKGQELKYGDIFRVLGTSMMSIDLSSNNLIGEIPEEIVVLGGLVNLNLSRNHLTGVIRNKIGEMQSLQSIDLSRNKLSGEIPISLSNIAFLSYLDLSYNNLTGRIPSGTQLDTLYAQHPTMYIGNIGLCGHPLQNNCSSEGQAPKQGDLGRTEEGHGIQFFYLGLGCGFVVGTWMAFGVLLFKKSWRIAWFRSSDKLCDKVYVLVAVWARQTRTDHDA >KQK95711 pep chromosome:Setaria_italica_v2.0:VIII:37439443:37439604:1 gene:SETIT_027656mg transcript:KQK95711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNELGARRLANGALDYACICVGAWLGLVGFFSSTPVLRCKLGMQLQSISCRN >KQK93428 pep chromosome:Setaria_italica_v2.0:VIII:1076141:1078323:-1 gene:SETIT_027280mg transcript:KQK93428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPEATPKMTVLSSPIGLRSFLNSLVAFSIIVSSVTFLFHQGQEGQVPKAIKHEHQEMQVQVAAEHHEQLRAKEAQVQLTTEIPDASKEECNWSTGRWVYDDVSRPLYSGLKCAFIFPELSCDEYGRKDVMYQHWRWQPHACDLPRFNATRLLEKLRNKRLVFVGDSLNRNQWVSLVCMVEASIPDDRLKMRVFNGSLISFKAFEYNATIDFYWSPLLVESNSDDPIIHRVEYRIIRADRIEKHANAWRDADIIIFNSYVWWRKHKPDMRMKVMYGSFEEGDARLEEVEMMDGFEIALKKLTEWLGENIDKKKTRIFFAGSSPTHSWASNWGGVDRNKCLNETEPIYKVGYKAAGTDYSMMEKAMSYFGTLEQKGIHVEILNITELSDYRKDGHPTVFRRQFAPLTKEQMANPASYADCTHWCLPGVPDVWNEFLYGYLMYK >KQK93646 pep chromosome:Setaria_italica_v2.0:VIII:2607050:2607992:1 gene:SETIT_027398mg transcript:KQK93646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHRSSTMISNSEKDVPGRHRVILNRAGGRRDNFTGDRTTLATSHTSTGDEVSVSLDVAEPPGTSVTLDWPQGQPTESILAYPTVISADRNVVLFGISTLDERSQVSVNLLRSGSDEWEVLRNLHVSDGSDGQKLLGWSTDAVVPYRRRFLIWVDYYRGMIIAAVSPESDTKMPNLKLRYVPLPVYTAARTVHGFDGRENPGVSRSLCVTRSGVKFDRRRQQADQRLRRW >KQK95159 pep chromosome:Setaria_italica_v2.0:VIII:31531404:31532171:1 gene:SETIT_027343mg transcript:KQK95159 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRAMYSKLLVMLCMILVSLAIILQGASAARDLTHTVHASRGRGLGGYYWYGGRNNGRTYGGTPSHNSGGNNGRIRGRTPDHY >KQK94824 pep chromosome:Setaria_italica_v2.0:VIII:27642874:27643463:1 gene:SETIT_028413mg transcript:KQK94824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQYLIRNVSSVFLNCCKSQLDNVSSILFGVYMLHTIFHLFCT >KQK95243 pep chromosome:Setaria_italica_v2.0:VIII:32585137:32585577:1 gene:SETIT_028460mg transcript:KQK95243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKYIFLTSSISTRQHRNHLLCRVANVARQQRAVCVGERPPAQTVFLLAQGCWQVR >KQK93920 pep chromosome:Setaria_italica_v2.0:VIII:6055298:6057633:-1 gene:SETIT_027879mg transcript:KQK93920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PIALPNNCNATCGDVRVPYPFGFGPSHCYKPGFNLTCDTSHSPPRLLLDGNGTLQVAGISLSDSTVRVIHHTRIDAFDVTSSSSLIDGRVTRAVSFQLPEIGESYMLSARNEYVVFGNGVQATLYGNTYRNGSGANSNITGCVSSFSSGPFEEYRNCSGGDGCCHASILAGSTPKKMEFRGLVNTGLHNDMPLAVVSEEGLTAQWWDTILNRTWAVKQGFPAPAGNSSGQCPGDVASRLCRSEHSSCRQENGGYTCYCHKGYQGNPYITDGCKDVDECKIPNRCFGHCKNLPGKFKCRCKLGTFGNANKPHGCVSSSVILSKFIKKNKIGLSAASGPVLLLLVLGTMLVPRKIEQHRMKVLKQKCFKQNRGQLLQQLMSQKADIAERMIIPIDELAKATNNFDKARELGGGGHGTVYKGILSDLHVVAIKKSKITVQKEIDEFINEVAILSQINHKNIVKLFGCCLETEVPLLVYEFISNGTLYHHLHVEGPRSLSWRNRLRIATEIATSLAYLHSSVSIPIIHRDIKSTNILLDDTLTSKISDFGTSRYIPMDKTGLTTRIQGTRGYMDPMCCYTGRVTDKSDVYSFGVILMELLTRKKPFSYLSSEGDGLVSHFVNLLAVGDLVQIIDPQVIEEAGKEVHEVATLAASCVNFRGDERPTMRLVEHTLEGISGSKKYMKDDMVIAEFKNDGGKIFEDESSRRYSLEQEMVMSARYPR >KQK94343 pep chromosome:Setaria_italica_v2.0:VIII:13437641:13437724:-1 gene:SETIT_028333mg transcript:KQK94343 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYCCRVGYWSRDEAPQCAFVILCCETW >KQK93810 pep chromosome:Setaria_italica_v2.0:VIII:4589155:4590418:1 gene:SETIT_027752mg transcript:KQK93810 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQASTSLTGNPPTQAERQSIGLHKSRPISRDGSIDRSHPNLWQHGNLNQPESTLGSAGGEAPLGDAGSQRQQQSGQAASFTSSGKSFTVSFILAPPPATSSYHCDWIIGGAPGHGYNSNDDLRHRIVPDRDRSNDLLVIEAHDDSVLIKMSVPERRGCFLRDYFLYETGGAARPPSLSLLPGCYISKQFEREKGPTPNDRPRSLDNWNTGVLRRGGGELQVAQLEVMYGDPPHDTAGLCVVRPGAEWDLKRLPIVHQEAGELPQWPELDAAVPVGVRFMCWVDYVNGFFLCDMAEPGGDLPRQGTHGRNSGRRPYLPYCRNLAAAGCDAVRFVSVAPRCCCGGHSETSCERSRFAFNVTTWTLTLRTEGPMTWVKDGVLDCDELWAAPQLQFPTACDPT >KQK94169 pep chromosome:Setaria_italica_v2.0:VIII:10357627:10359539:1 gene:SETIT_027497mg transcript:KQK94169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVTGATVRREAATVGNGGGGGWRWQRRLAAAGSLSLCLVQLCSTIMSEACFWKIYFVLLHSKLNKQDAEILSTPQILEAREELLQSSPTKNKPSSKNMSAPSTQPEDSTLSPSSIQNESSMSEAPSVQEPTSDPVPNVEAEKHPISTTDTEVIDKSVIQEELVVKTEVESLPTEKSNPNLVEDDDEKEVDDWLQDMDLVPSKTGNTAPAGEEEDVSFSDLEDD >KQK95325 pep chromosome:Setaria_italica_v2.0:VIII:33348821:33350344:-1 gene:SETIT_026642mg transcript:KQK95325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANPSYYQTSASVTSPVQHQEHLFHLYAFQQYGTNEHNIVPSKGLPNHFGCTNVTDWDIRDAPDNKATVVARLQGVGIAARKSTESWYGSFIVVFTDQRFKGSTLSVQGPLGPATLGDEGDWAVVGGTGEFVYAQGVCSYKRIQAISGVLINELRIRVMCLTIPMPKPVQKIGPWGGNGGTPYEIQGAEQPQRLESVTIYANNNFIQTIAFSYTDQSSQKRAVGPWGGDAGKSKQPPIQFGPSETVKEIYGTTGNNYDGVHTVVTSLTIVTNVNTYGPYGKQAAGNTPFRVAAPNNHSIVGFYGRVGDVVDQIGAYVSPN >KQK95136 pep chromosome:Setaria_italica_v2.0:VIII:31285806:31288412:-1 gene:SETIT_026393mg transcript:KQK95136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQDQLIMAKAYLHFASPQGSAHLVRELKLRIKEIERAISRSSGGSHVPGSALQKMKAMEQTLSKAQRTYPRCSQMTSKLRAMTHHSEELVRAHQSESSFLEQVAVRTLPKGHHCLAMQLTTEYFSLDPKEREFPKRESRQLDDYYHYAIFSDNVLASAVVVNSTIAASKDPRRIMLHIVTDALNYPAMMMWFLTNPPTPAAIQVESLKDFKWLPDDFSSRFKLKGVRDPRYTSALNHLRFYLPEVFPSLSKILLLDHDVVVQKDLSGLWDIDMKGKVIAAVETCTSGEAYHRLDSLVDFSNPSVFNKFDAKACIFAFGMNIFDLNEWRKQGLSATYHKWFQVGKKRKLWKAGSLPLGQLVFYNQTLPLDRRWHVLELGHDSTIGTDELESGSVIHYSGKLKPWLEISIPKYRDYWNRHLNYENPYLQQCNIHG >KQK95625 pep chromosome:Setaria_italica_v2.0:VIII:36635874:36637146:1 gene:SETIT_028208mg transcript:KQK95625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PSMALRVLHITNCTELLPPPPSEVFPLLTDLKLLGRTTSVTDLQGITDAAPQLAGLYLERTRLIGGLEYDEETEDGGQIMIERYGSAAQRSPLSCKSANTQLLEASTNTDQPKLCSKPHRVLLGQITNARYGAWTQLAGHSPGGTRGGGRRRRAEELLPHWTEEGYGGRGEHRSRTELPSAGEASALGGVGGRERRENAAVLALGHRSGGAAAGERSEPATLPGPPPPPSSSALLPWKPSA >KQK95309 pep chromosome:Setaria_italica_v2.0:VIII:33234212:33234713:1 gene:SETIT_028498mg transcript:KQK95309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIPTSSSSFSNYRLTLSTCAHTSVHHRTCMQVVVTFTSMSL >KQK95917 pep chromosome:Setaria_italica_v2.0:VIII:39599894:39604622:1 gene:SETIT_025828mg transcript:KQK95917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLAVSLVVGPLVSLVKEKASNYLLDKYKVMEGMEKQHEVLKRKLPAILDVIADAEKQASHQKGVKVWLGRLKTVAYQAIDIFDEFEYEALRRQAKKNGHITKLGKAGVKLFPTHNRVIFRIRMASKLQRVVGAIKVLVDQMNDFGFNRLQHQQAPALKEGRETDSNIVDPKNIVSRSRHEERKKIVEILVNDQATNGDLKVLPIVGMGGLGKTTLAQLIYNDPQVKDHFQLLKWVCVSDDFNLCNLANKICNASEGSLEKALKELQEQLKGKRYLLVLDDVWDEESFLDKWEKFKACLEQGGVGSAVLTTTRNTEIAQLMGTVGISHERKYLDVGNLGKEFIQEIIETRAFSLHKRDDELVNLVGPIAERCAGSPLAAKALGSILRKKTTTEEWEDVLQRSNICTVETGILPILKLSYDELPTDMKPCFAFCALYPKDYQIDVDNLIQLWMANGFIVFEQNKVPIETVGKRIVNEMVSRSLFEHVEQDPTKFGYSSTTFLKIHDLMHDVAVSATEDEYIYVTDEMDESGKLLPSATRHIHFETWEGQLFANIDILSIRTLLVVPGRYYRDELHSSKYSSLRALALPASYYKYLPMKPKNLHHLRYLDISKSTIKALPDDISILYNLQTLKLSGCEELSMLPKQMKYMTALCHLYTDGCTKLQCMPPELGRLTSLRTLTCFVVSSDSDCSSLGELKNLNIGGSLELKQLENVTEARNARQANLGNKKELRQLSLRWTSGKGEEQQCNEVLEVLEVHDRLLALEIEAYQGTNFPLWMGALRNMVELRLSDCSKSEQLPPLCQLPALQLLHLEGLTQLQFLCSSCTSSTFGKLKDLKLDYLPNFDRFYDQVVQEELVAFPQLEKLHIEGCRELTALPEAGVLRKWYDGGEYTMVRSAFPELKSLVLIDLWSFERWEAAIEIEVEHALFPLLETVRIYRCDKLTTLPRAPKLRELCLSMNYYKNQQRSLGATRYMTSLSNLKLERVEVDGKDKWDYISSVTNMHLDSCSLFFQSHALALWACFRQLQDLVIRRANDLIYWPENEFQNLVSLRRLYIGDCESLVGYAPDQATLERSQLLPCLESLSISFCDSLVEVFNPTPALKEMDVEYCKNLKTISFKQQDKTSLNAGHPSTDVIMASTAVQDLSPSAGRSNFLPSSLETLTIDECDGLLEVLNLPSSLKEIYISGCSQLQILSGELDALSYLSDDCPAIKDLRERYGIHF >KQK93652 pep chromosome:Setaria_italica_v2.0:VIII:2759289:2761433:1 gene:SETIT_027459mg transcript:KQK93652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVSLFLQVFLFLFAGKRMRSNSTLRRLVLWLAYLSADSVATFVLGHLAVRAIEPSDQGLMPFWAPFVLVHLGGQETMTAFSMQDNELWKRHLLNLVTQAAVAGYVVGKASWPDRRLKAALVLVFVSGFFKYAGRTLYLFFARPKFLKSPISWKLYGQGKTSYEDKRKRATEDMGKVLNRLSNGSTERPRFMESFSLTTDIMAGDAPLNTVRSITLAETGELPGMLDEFLCRDDHHNAYEYVGTLLVQCYSRLYTKGYVREGIADSLSKCKEGSSVGSGPVSKPKQISSNMVCTAIFQSIVYGGPTLFPYVAIPIALVLFAAAEKGDPLLHSRRGRVDIMVSYLLLVGAVVLDVSSIVSFIFSRFSSSKELWCQKLNQYNMINSAEVSECLRSIQEKFGCDVYDVALSMPIKEFILDTLLVSGTRKEWNIASTRGQLALHHRKATTSTLRALEESVRTGVDFPRSVLIIWHIATDICFRYSGDKDAATTYSADGLLKKHCYKQMSRELSNYIMYLVFKCGVMLTTYSHVEHDDTLYEIAKKLSLYRRQAGVNPGDHKDPVITKLLLSEETIKMEREESKEQVETSKVEHEGESSKEEERDEIVQLDHEESANDDNNDAAAEDHMKKLCQSAEALYSSPVLPRAREVAQELISIKDEAERWDLIAAVWAEMLYYTAPRCGAAFHAEHLAKGGEFATHVFVLMYLLGPFMPPPGA >KQK93869 pep chromosome:Setaria_italica_v2.0:VIII:5291822:5294808:1 gene:SETIT_026496mg transcript:KQK93869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVEDKCPERERERERQRQAGPALALRLRRCVMLEQVLDYWFLAKEAVSGMGLWGWPWGRRGPSGFGSASTAEEVTAGVDASHLTAIVTGATNGIGKETARVLALRGAEVIIPARTLESGLKVKESLAEQVPGSKLHVMEMDLSSLSSVRNFAQSFNSSHKHLNILINNAGIMACPYQLSEDGIELQFATNHLGHFLLTNLLLDKMKSTASQTGVQGRIINVASVAHKRSDGTCFELDKLNDKARYKPFIAYSHSKLANILHANELSRRFQEEGCNLTANSLHPGVIFTNIVRYIAGNSALLSVVSPVAKLVLKGVPQGAATTCYLALHPDLKDVSGKYFADCNEATPTPVARDAELAKKLWLFSEELLGANAVQEYHDS >KQK93868 pep chromosome:Setaria_italica_v2.0:VIII:5291822:5294227:1 gene:SETIT_026496mg transcript:KQK93868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVEDKCPERERERERQRQAGPALALRLRRCVMLEQVLDYWFLAKEAVSGMGLWGWPWGRRGPSGFGSASTAEEVTAGVDASHLTAIVTGATNGIGKETARVLALRGAEVIIPARTLESGLKVKESLAEQVPGSKLHVMEMDLSSLSSVRNFAQSFNSSHKHLNILINNAGIMACPYQLSEDGIELQFATNHLGHFLLTNLLLDKMKSTASQTGVQGRIINVASVAHKRSDGTCFELDKLNDKARYKPFIAYSHSKLANILHANELSRRFQEEGCNLTANSLHPGVIFTNIVRYIAGNSNNLASSSPLNDDSKTIFFTDLLEPLTS >KQK93867 pep chromosome:Setaria_italica_v2.0:VIII:5291822:5294388:1 gene:SETIT_026496mg transcript:KQK93867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVEDKCPERERERERQRQAGPALALRLRRCVMLEQVLDYWFLAKEAVSGMGLWGWPWGRRGPSGFGSASTAEEVTAGVDASHLTAIVTGATNGIGKETARVLALRGAEVIIPARTLESGLKVKESLAEQVPGSKLHVMEMDLSSLSSVRNFAQSFNSSHKHLNILINNAGIMACPYQLSEDGIELQFATNHLGHFLLTNLLLDKMKSTASQTGVQGRIINVASVAHKRSDGTCFELDKLNDKARYKPFIAYSHSKLANILHANELSRRFQEEGCNLTANSLHPGVIFTNIVRYIAGNSALLSVVSPVAKLVLKGVPQVIES >KQK94564 pep chromosome:Setaria_italica_v2.0:VIII:22182906:22184367:1 gene:SETIT_026581mg transcript:KQK94564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYIGGNNPRITLALMLLAVITMMDHIFIEAEARDMSAGGYSEEAMKARHHKWMAEHGRTYNDEAEKADRFQVFKENAAFVDRSNAAGGKKYRLAVNKFADMTNDEFLAIYTGFKPVPTGTKKMPGFKYDNFTLSGDQQAVDWRKKGAVTGVKNQGTCGCCWAFSAVAAVEGIHQITTGNLISLSEQQVLDCSNGNNGCNGGLMDNAFQYIINNGGLTTDDAYTYTAEQGMCQSVQPTVMISGYQDVPSNDEDALATAVANQPVSVAVDAHNFQFYSGGIMTGESCGNNLNHAVTAVGYGTAEDGSQYWLLKNQWGQNWGEGGYMRLEKGTGACGVAKQASYPVAN >KQK95555 pep chromosome:Setaria_italica_v2.0:VIII:35573489:35575052:1 gene:SETIT_027785mg transcript:KQK95555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTVVLIVGAGPAGLATAACLTHLSIPYVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPADAPTYIPKDQFVKYLDNYIERFDIRPKYHTAIESCSYDEVRKCWFSMARDVTTSVAVRYIARFLVVASGENSVGNIPVIPGLQSFAGEAIHSSRYKSGSSYSGKNVLVVGCGNSGMEIAYDLASHGANTSIVVRSPLHVVTKEIMRLGMTLVQHTPVNIVDDLLVRMSDFVFGDLSRHGVVRPKLGPLLLKAKTGRSAVIDVGTVGLIKNGTIKVLGNISKIKGNIVEFEGRKESAFDAIVFATGYKSTANTWLKNGESMLNDDGLPKQEFPYHWKGANGLYCAGLAKRGLAGIAMDAKNIANDISSNYHA >KQK95392 pep chromosome:Setaria_italica_v2.0:VIII:34072383:34075070:1 gene:SETIT_027388mg transcript:KQK95392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVMGVMSRLILKIGDLLVSEYKLQKEVKGEIMFLQPQLESMQGALKEITKVPSDHIDTQDKAWASDVQELCYDIEDNIDTFMVRGMGIEPAGPDGMRGFISRSLDLLTRLRIRRKAIELVGIDEARDELINILVEGDGVSSQHGKVVSIVGFGGLGKTTLANVVYEKIKEKFDCWAFVTVSQNPDTRKFCKGFLYELGKNINDETLDERQLIDQTRKLLQMKRYEEIAQHLHSVNFTILLYYNRTALLLCIVTDDIWSVSIWDMIRCAFPNDVGGYIIITTTCILKVAERVGGAYMMKPLCLESSRKLLYRRIFGNEEKYKCLDEHLTEVSDRILKKCAGVPLAIITIASLFANRARDTMDWYEVCNFIGTGLEYGLDVENMRKILAYSYYDLPPHLWTCLPYLSVFPEDSAIEKFRLIWMWIAEGFLQHEQKGKGLYELGEYYFNELINKSLIQPVYDMYEATIESCRVHDMVLDLVLSISSEENFVCRLLLQSNTGQAIPCARMNMLQVRSVVAFSLAFNLMPALGTFRVLRVLDLKSCYLSQGCDLKHLGNLFHLRYLGIGSTYRAQLPDDVGNLRYLQTLDVVGSLFLSLPSTIVQLRDLMCLRVDQSTRVPNGIGSLTSLEELSTLYICDDSMNILEELCHLTELRVLGIFLFPTENVTLGKSLVMSLCKLQKVQSLTIWATGGECNFDAWDLFSLRISVRELHHLEILGKLPALRYLYLMVDHENLKIPQRFIICTSSFPCLVRCMVLGFLGAVVFQQGAMIRLESLAFTFHAWELREITSSDGRLNLGLENLLSLQDVL >KQK95804 pep chromosome:Setaria_italica_v2.0:VIII:38189733:38192114:-1 gene:SETIT_026099mg transcript:KQK95804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNGGQAGLTFWSPNVNIFRDPRWGRGQETPGEDPAVSARYAAAYVRGLQQASPSGDSLKLAACCKHFTAYDLDRWGGTDRFHFNAVVAAQDLEDTFNVPFRACVANGNAASVMCSYNQVNGVPTCADEGFLKGTIRGKWGLDGYIVSDCDSVDVFFRDQHYTRTTEDAVAATLRAGLDLDCGPFLAQYTESAVAKGKVSDADVDAALANTVAVQMRLGMFDGDPAAGPFGHLGPKDVCTPAHQELALEAARQGVVLLKNKKGKHRGGVLPLRPATHRTVAVVGPHAEATVAMIGNYAGKPCRYTTPLQGVAGYVRQAVHAAGCTDVACAGTRQPIAAAVDAARRSDATVIVAGLDQKVEAEGLDRSTLLLPGWQAELISAVAKESKGPVVLVLMSGGPIDIAFAQNDPRIAAILWVGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPEDYLRKAPMTNMAMRANPASGYPGRTYRFYTGPAILPFGHGLSYTQFTHSLAHAPEKLTVQLTGGHASAAAASSSFPNATRSASAVRVAHARCEGLTVPVHVDVRNAGDRDGAHTVLVYHSPPSAPGAPARQLVAFEKVHVPAGGVARVEMGVDVCEGMSVADRDGVRRIPVGEHSLMIGELTHTVTLGVEQLGGV >KQK95648 pep chromosome:Setaria_italica_v2.0:VIII:36848717:36854760:-1 gene:SETIT_025937mg transcript:KQK95648 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSAIEELSGLTTKELGEMLKESDSFVLQSKTEDGSPKQVDMEKLVSSLPLHLLAVCLELGQGSDMAYVLRGVRFLHSLSELASRHTRLEQVLLDDVKISEQVMDLIFFLLSILAHRKKENNVGASPLVHASLVAASLHLLTSYFSSQWHELVHILLAHPKVDIFMDVAFDSLHEDVRLLSIRLSAMGLSDVPVGSLECHLAHFICQQCETSLQFLLLLCQQKLFRDRILKNKELSRNGGILSLSHTILKLVVPECLKGSTDLVASVSRLKAKILSILLQLCEAESVSYLDEVATSPNSMRLGQTLALEVLNLLKTAFGRKQKITSDSHNKSYPMGSVLISALRLVDVFSDDSNFRSSFMTNTVPFLTQILAIPHDEFVLSWCSVNLPVVEEDANLDYDPFGAVEVALLASDNALTEAKANYSCPFRPSLPSMAYAQTRTSCVVKIIANLHVFVPNICEEQERDLFLQNFQKYLMSGSPKQSVDQPNDFKATKVCINLGSLSDYAKTLIPNFLNEEDVQLLSDFSDKLQTWCKSQVGQVAQQVVHTDTPSESKEDLHPVQQPLPTQASTPDSFMNNLKDVQNMEVSTTAPSTKLEGNATDETPKNSRNGGFLQNAVGQDLVHLGVARTASAGFSAVSSGVNTGYQRSKMDLDPASSTVDHFKTPELSKESGLQEDEKGDTSMYDDRQPKRRKRTIMNDEQIDELETALVDEPDMHKNAVLLQNWSEKLSMQGPEITASQLKNWLNNRKAKLARIAKERGVPFEGENSDKPSTPATPHLGESSESAGEDSYLPPARVMNAISKGRLVSPDSSELTSSAEFSQNMMLSRPFTRSFSFEPGRLVSLVDGDGKEVGRGKIFHAPPGKSSTESRLCVVDVTELRIEKWRELPHPSEASGRTFQEAEVRNGGVIRVPWDVVRLAPVAQ >KQK95111 pep chromosome:Setaria_italica_v2.0:VIII:30974423:30975951:-1 gene:SETIT_027790mg transcript:KQK95111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALSVGKSVLNGALGYAKSAIAEEVALQLGIQRDHAFVSDELEMMQSFLMEAHEERDDNKVVKTWVKQVRDTAYDVEDSLQDFAVRLERPSWWRFPRTLLERHRVAKKMKELRAKVEDVSQRNVRYRLIKGSGSKATAATEQSSIIAAAIFGVDDARRAAKEESQRVDLVQLINKEGDDLRVIAVWGTGGDIGQTSIIRAAYKNPDTQSKFPSRAWVRVTHPFSSKGFVQSLVNQFLAVEGFKDILDTEKTAHNLVQEFDGYVKEKRFLIVLTDLCTIEEWDQIEKCLPNNNKGSRIIVSTTQVEVASLCAGQDSQASELKQLSADHTLYAFYDKDIPADLMNGYTRKIVKSLHLLTENLYEGERY >KQK93251 pep chromosome:Setaria_italica_v2.0:VIII:176572:179628:-1 gene:SETIT_026445mg transcript:KQK93251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQQQTTTQIQTLPPQINKRTTTTMMRAEAAAKATEDDDSRFDAGKPPPFRIGDVRAAVPAHCWRKSPLRSLSYVARDVAVVAGLAVAAAALDSWAVWPLYWAAQGTMFWALFVLGHDCGHGSFSDSATLNSAVGHLLHSFILVPYHGWRISHRTHHQNHGHIHRDESWHPMTEKLYRQLEPRTKKLRFTVPFPLLAFPVYLWYRSPGKNGSHFLPSSDLFTPKERGDVMLSTTCWCIMLASLLAMACTFGPIQVLKMYGVPYLVFVMWLDLVTYLHHHGSHDLPWYRGEEWSYLRGGLTTVDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATKAARPVLGRYYREPQKSGPLPLHLFGVLLRSLRVDHFVSDHGDVVYYQTDHALNTAAHGWATENHKQK >KQK94328 pep chromosome:Setaria_italica_v2.0:VIII:13156764:13157267:-1 gene:SETIT_027251mg transcript:KQK94328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKRRLAAQISSWSTLVTVNLSYFRLVGVKISTKFTSPFKTCTSTMIKT >KQK95200 pep chromosome:Setaria_italica_v2.0:VIII:31870655:31871245:-1 gene:SETIT_027436mg transcript:KQK95200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKSVATTTGHYLETVLQEPCGIILFLEFLAWCISMFNMFLPSSCQSCNCNHSPAEKAVQESILTEKEVTKTRNKNQDNDMELTHDDINVVMRNIGQDFGQENSMVCKSIGNDSIARIFDEDEPSLQEVWQAFLVFDHNHDGYFDASDLERVLQSLGLGEGVGVDECEQMIAKYDTNKDRRIDMAEFTKVLEAGIC >KQK95122 pep chromosome:Setaria_italica_v2.0:VIII:31156447:31159896:-1 gene:SETIT_026999mg transcript:KQK95122 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGKGGKGLIAAKTVAGMDKEKKQPVTRSSRAGLQFPVGRIHRQLKERAQASGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTVKE >KQK93377 pep chromosome:Setaria_italica_v2.0:VIII:841264:844251:1 gene:SETIT_026369mg transcript:KQK93377 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRGKILMERYELGRLLGKGTFGKVHYARNLDSNQSVAIKMMDKDKVLKIGLSEQIRREITTMRLVAHKNIVELHEVMATRNKIYFVMEYVKGGELFDKIEKSGKLTETAAHKYFQQLISAVDYCHSRGVYHRDLKPENLLLDEDENLKVSDFGLSALSESKRQDGLLHTTCGTPAYVAPEVISKIGYDGAKSDIWSCGVVLFVLVAGYLPFQGPNLMEMYRKVQHGDFRCPSWFSHKLKKLLYKILDPNPATRISIQKIKESTWFRKGPEGTRTVKEKIPCENATINAAPTLSVRRKKNPHEDAKPLTVMNLNAFEIISFSLGFDLSGLFIEKECRKEARFTSDKPASAIISKIEDVAKMLNLRVRKKDNGVVKIQGRKEGRNGVLQFDTEIFEITPFHHLVEMKQTSGDSLEYQKLFEEHIRPALKDIVWAWHGDDQQQKQE >KQK94301 pep chromosome:Setaria_italica_v2.0:VIII:12843050:12843756:1 gene:SETIT_028441mg transcript:KQK94301 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDYMTTTIDAHPTEPWILIIRSSIIIWDYNTQASDCSQ >KQK94763 pep chromosome:Setaria_italica_v2.0:VIII:26433594:26435756:1 gene:SETIT_028053mg transcript:KQK94763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLVIIDDIWHWEEWEVIRKALPKNNLGCKFIMTTRVWSIAWKCQTEQGARVYEQKFGLAEAESLSAMRLRKSVEGTNAKGLSTKIAVMCGGPPFDFCPLAVICLSSAWAESHHVQGDDAEWDTWASHGCLVRKWIAEGFVSQVEVAEGYFDKLVSRNLLQRKGVMHAVHPIMGAFLVCKAKEDNFIAYDGAGNSSHAKQIRRLSLSTDRCPDEDVLSHTRSLVVSGYQCQLDGVPFKAFKKLRVLEIHDSSRLENGHLVDICGLIWLKCLDLMGCGHITELPREIGRLQNLETLYVAGTGISKLPTEIEKLQHLETLDVSGTKVTELPTWIEKLQSLKTLDVSDTKVTELPREIKNLERLESLDVSWTRVSELPKEIGELQHLRTLDIRGTKVRELSCSRDPNPLLSVVVDAHIHRFSDEVETLMSPLGVIRSSGGEEVTSSEAKCRDDLSILILFNHFGERCEVLPVRMLRVAGRHMKVPQWVKQDLCNVCSLDIRLYKLVHEDLEFLKTQMPNLQALQLRFEVLPREPVAITGGGFSKLETFYVDCRLPRVITFGEGAMPKLKHLEFKFYTGTARQHYSMGIKHLDSLEVVVFRCSECYTSDGPGICETIEVVRKEAADHPKKINLCVNEKKVEFGSSYKWESLADKAVTKRREQLYNAAERRRCRGLFV >KQK95785 pep chromosome:Setaria_italica_v2.0:VIII:38052074:38053250:1 gene:SETIT_028478mg transcript:KQK95785 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGITKKRSCITNSQGRGRQGLMDLDPYSTSASSADDASPERTKRRRRRTPSSGNARKNEGNEILEMIKLLKVEFHKQSKQNKDDISEIHKQLEKVLENQNVQSKELKKLRKQSELHTNGTSHASDAPRYCLLHTIISFVFRALPTVNSEVIIII >KQK93216 pep chromosome:Setaria_italica_v2.0:VIII:10697:11261:-1 gene:SETIT_028077mg transcript:KQK93216 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGKKECFASCLFICYDLIRPDIALELAWMNNMTDFAFPYLLQFIREYSSKVDDLVNDKIESQNEERAKEKEEKDLVAQQNMYAQLLPLALPAPPMPGMGGPPPPMGGMGMPPMGPGPMPAFGMPPMGSY >KQK94454 pep chromosome:Setaria_italica_v2.0:VIII:17453855:17457843:1 gene:SETIT_026747mg transcript:KQK94454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAQQSPNPIHPPSSDSPSSREARRELEAAMAANLEDVPSLDLMHELLRRMKCSSKPDKRLILIGPPGSGKGTQSPLIKDEYCLCHLATGDMLRAAVAAKTPLGVKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDEMLEKKGTKVDKVLNFAIDDAILEERITGRWIHPPSGRSYHTKFAPPKVPGVDDVTGEPLIQRKDDTAEVLKSRLEAFHRQTEPVIEYYSKKGMVANLHAEKPPKEVTAEVQKALS >KQK94934 pep chromosome:Setaria_italica_v2.0:VIII:28960815:28961439:1 gene:SETIT_027059mg transcript:KQK94934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLNKKLVVAGFTLALLLVSCRAQGARALCEEKIDWFMCLGDWMCKPMCFGEGMTGGRCTKKLDDDPNSVVITSVSVCVCMKPCHGEDDPPSEKQPMPQIRGMGMLH >KQK95517 pep chromosome:Setaria_italica_v2.0:VIII:35257450:35262046:-1 gene:SETIT_027938mg transcript:KQK95517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKAKRGGGDLPRSFHKNSRAFKNEWISGIAMEHQFFTKFLTTATLVRALNATTKHHDDLKIQVRLDGTKLYDGYMAEYDLDNDFAVVEVYNVRDVQVGSFQSALESLPHGEVLAVGRDTSGEIMVETVELNGDSRVSEGDRDLYCKISKPWEGGPLLSVDGDMKSHFSTMGHNSQALLDIRAKEDWSCTIKKNEGSQVCLFNACRLLVYLLCSTLALLLKELIRPGASIGEKSNSHPEVHGDFLNQEQLDLDSMGYPKLPSSMLGAGMILVNSFEDPFGDIHGEGVWRKFSKRASILNRNVVALASFNGEKRFFACTGFFIEWNGSKMILTSASLVRDSGDENKIDENLRIEVLLNNQCKEAKLEHCNLHYNIALVSVKYRALRPLNTSFDWESSSRVAAVGRCFKSGTLMATSGRLVPWSGTLDCEFLTRSTCKITKAGIGGPLVNLDGYVVGMNFYDTRIGTPFLLWEVICKILASFETKSESGGDIGNASGACFWKMPRDVKNKVNRWPVPKPRWCRPEDVESGDDDKLAFDHFGRLQYSYHLGRKVKLLDLTIPISVPIIEAKSTDEPGVDPFAERKQKKKRVEKQGKNRVENSEQLDVGKASSRIQWSTLNCVLPTITGTACSVSAITMYKVKKEKQRRKDREMSSKSDKLKPQKKSFKKSSKKKA >KQK94019 pep chromosome:Setaria_italica_v2.0:VIII:7697340:7699876:-1 gene:SETIT_027962mg transcript:KQK94019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FSSSQALPAGNTRFIATTTGTAETVKLTTTPIFPQIPRGQTNKDFQVLVRLEAPPAAGHHGRVPIDLAVVLNVGGGTASRLDSVKKAVLFIIRQLHDDDRLAVVGPANNRLFGETATGFLDIRDGRRHAESSVNKLQPRDGHSQQASGLKEAIKMLSELPASSSSRASFIILVTDTKESSRFSKLPREFLKNHPVVHTIGVGAAHDPKALLAVAEESGGTYSFVDDRNVDGIAGAVAVCLSGLKAVAAVGTRLRLEAAAGTGVRVERVESGGYSTALAGDRASGEVTVGALYAGEAKSFIIHLQVPAVPPTSTSVDGAACDKQHLLTASFVVGHGTATGYGDASPPTIQAILTVQRPPAEGIAAAASATLQRVPVPVVMDHIVQFGVLDMVTTFVENEIWELSSITAEVGAAMAAKLQSRWEEFVQARQFWSGLDLGAFEVEISKMVSILAAAGSSGGSSSPASASTAYILSWLSSYQMQRPTAMGSPSSVAPAFVTLSMQLTVQQTTTILVAAPGNVDGGGAGCPPCECDDACVEPVPPPVFVASGRHDDTYRVNGVYPPVLMDAINQAVNQMYLALVQASNVKRCNSSNGEVPPQPRAIA >KQK95803 pep chromosome:Setaria_italica_v2.0:VIII:38186046:38188830:-1 gene:SETIT_026846mg transcript:KQK95803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWASRFLTAVTFLAAGVLFAPDALRLGGSGSGSGSGAAAAARLVHLLAFATAWGAGLWVAFIGGTIMFKYLPRHQFGSLQGKMFPAYFMLISACSAISVAAFAYLHPWKTASTVERYQLGFLLLALGCNLSNLLVITPMMVEMTMKRYKLEKDLGIGSEVGNSKNKEMAKRSPTLAAMNQKFRMIHVVSSLASLMSFGSLAMHSWYLSSKLDL >KQK95269 pep chromosome:Setaria_italica_v2.0:VIII:32832425:32833457:1 gene:SETIT_027854mg transcript:KQK95269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKASQKSDVFSFGIMLLEVFTGKRPTDLMFVEGFSLRQWVSQAFPARLIDVVDRKLLQDEEIRHICFDHQTNTSLGSSSFISTSNSILASVFELGLMCSSESVGQRMSMNEVATRLEDIEKDHYYSALVQAMQRHY >KQK95828 pep chromosome:Setaria_italica_v2.0:VIII:38611145:38613815:1 gene:SETIT_026750mg transcript:KQK95828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVNGNPVAPDFSRRRAPPMGSEASAAEVEQLLRSQIPFQSDGELVLPPRDGGGVGLVLVDVSNGFCTVGAGNLAPAAPNKQIEKMVGEAARLARLFCERSWPIFAFLDCHFPDKPEPPFPPHCIIGSGEENFVPALEWLENEPNVVIKRKHCIDGYISSIEKDGSSIFSDWVGKHQIKTILVLGICTDICVLDFASSALAARNIGRVPPLQDVVVYSEGCATYNLPVEVAMDVKGAVAHPQDLMHHIGLYMAKGRGAKVVDRVVLEPS >KQK94447 pep chromosome:Setaria_italica_v2.0:VIII:16926129:16933299:1 gene:SETIT_026672mg transcript:KQK94447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAETEPAAAAASGLAGEMEVEAYRRLFPLAFLERHLGESVRPDARRLCEARPTTVALGAVSSAHGSALVRLGDTAMLASVKLEVMSPPAESPDEGSLAVEFHMPPICSPLVRPGRPVDVAPVISKALEDVLMSSGVLNLKQLCLISGKASWLAYLDIYCLNVDGSLFDAALISAVAAFTHLEIPLVSIGDDGRLFTVGGNEGKTKFELVNREKRKLTLGNIPFSLTCALHKDSILADPTSEEESVIETYVTVVVDSSDRIVSIQKLGGAVTSMEAIKECISLAKERRRKLREILTDSVEAMEVDQTE >KQK93490 pep chromosome:Setaria_italica_v2.0:VIII:1505624:1506868:1 gene:SETIT_027418mg transcript:KQK93490 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALGPTIFSRGFRFNPTPLEAATYYLPRLVAGTPLHEAVRPVVNHADVYGCEPADLARQFCPLPRTGHRFFFTHCKLQQPQRAGKASRATRAAGSRSWHSQSVKDVVDHAGVKVGEIRKLRYKKGGEYTDWLMDEYSCCLEDAVAGDRQFVLCNIYVSPRADQGSAARQESAAFFAPPAPAPVVIAQTAAPKRPAPQSAEPPCPKRMRGAVAPTPPVVQPAGYCTASFAPPLPYVPHITTSAQPPPPPVPTRLAAPPLSRSLAPTPLHPRSPPQQQMPPPPTLPVVRACHMPVQAPARHCQPPQPSVQKKQSTRDPFEAAELGDEAEEERVAAPDPKESPAALVDQDDDWAELEKCMDDAVPTAEGSTVSEDEMDQTKQGTDDPFEAAELRDEAEKESVAAPGPAQDFDMDEFC >KQK95474 pep chromosome:Setaria_italica_v2.0:VIII:34702069:34707805:-1 gene:SETIT_025845mg transcript:KQK95474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEQAARWAAAQESVPVGADLVAAALRQLEFLAAVDRRRWLYEGPLLNRAIRRYKACWLPLLAKHTQAPVVDGSLVVPLDCEWIWHCHRLNPVQYIRDCKKVYGRILNNDNVESSTRTKSKIQSEKVWNELYPEEPFELEYTSSSETTMGVDPGATEGISYDLVSAVKRQSSFYYQVGTPTMHDQRFLVEALARYKAFLYLIKMNLEKGVQRFRVPTYDVDLMWHTHQLHPITYCKDMLKLLGKVLEHDDTDADRSEGKKLDVGFTETTEQFESSFGVRYWKAGCMYRGNMPSPVTSAPQIFNTEVGSDICKIQQSLNVLKLTFVELYLQVVDIKNLPSAVPKENVYVRFTKNQSDMFISDGGRLDISTVTGKNTGAGLQCEPTGELILTVMVDQASKKPEPIGKVSIPLHDLTGPNSKLSFERWFELKAHAGHATSAPVSLRVAASATVPSSAQKVFSMVRTEPFSLKSCLLPHSSKDQKMGSWTRFLYDCGTEVIRLQIREHKVKNTTACSRELVGVLKSTKQQLQLAEFKENKWTLKNSNLSISDSTDGSMLEVKGDNQLIKLYGGRKLEYERKCCNCHSEDASAVTAVKFSAEHPYGKAVALLDTESQLIMVNEDDFLLPWITISFLFVDADSKDGAKLISGAVVQKAAVSGSDTAMVSETETLGARRATVAPVQCGTCSTAIGDDKVMAGCKADHARSGGCRPAVAIGKNGDAESAGCGVRCGGNCGPIVVDDSKGDNAKSGGCGSGCGGGCGGRGGCGTFKASTMTEGQAKSGGCGSGCGGGGGCGTLLNSNAKGDHGQAKSAGCGSGCGSGCGNGIVTEGLKTSHAKSGGCGSGCGGGCGGGGGCGALLNSSANAGQDLTLTKSAGCGSGCGGGCGSGTVIEGSKTSHAMSGGCGSGCGGSCGALFNSSTAAGQGLAKSAGCGSGCGGGCGSGMIIEGSKTTQAKSGGCGSGCGGGCGGGALFNSSCAAGQGPAKSAGCGSGCGGGACAAMVIEGSKTSHAKSSGCGSGCGSGCGGGGCGALFNSSTAAGQAISGGCGSGCGSGGCGAMVTEGSKSSQAKSGGCGSGCGGGCGGIMFNASTKAGGEGLSMSGGCGSGCGGGCGGGGCGALFNA >KQK95473 pep chromosome:Setaria_italica_v2.0:VIII:34702069:34708223:-1 gene:SETIT_025845mg transcript:KQK95473 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGEQAARWAAAQESVPVGADLVAAALRQLEFLAAVDRRRWLYEGPLLNRAIRRYKACWLPLLAKHTQAPVVDGSLVVPLDCEWIWHCHRLNPVQYIRDCKKVYGRILNNDNVESSTRTKSKIQSEKVWNELYPEEPFELEYTSSSETTMGVDPGATEGISYDLVSAVKRQSSFYYQVGTPTMHDQRFLVEALARYKAFLYLIKMNLEKGVQRFRVPTYDVDLMWHTHQLHPITYCKDMLKLLGKVLEHDDTDADRSEGKKLDVGFTETTEQFESSFGVRYWKAGCMYRGNMPSPVTSAPQIFNTEVGSDICKIQQSLNVLKLTFVELYLQVVDIKNLPSAVPKENVYVRFTKNQSDMFISDGGRLDISTVTGKNTGAGLQCEPTGELILTVMVDQASKKPEPIGKVSIPLHDLTGPNSKLSFERWFELKAHAGHATSAPVSLRVAASATVPSSAQKVFSMVRTEPFSLKSCLLPHSSKDQKMGSWTRFLYDCGTEVIRLQIREHKVKNTTACSRELVGVLKSTKQQLQLAEFKENKWTLKNSNLSISDSTDGSMLEVKGDNQLIKLYGGRKLEYERKCCNCHSEDASAVTAVKFSAEHPYGKAVALLDTESQLIMVNEDDFLLPWITISFLFVDADSKDGAKLISGAVVQKAAVSGSDTAMVSETETLGARRATVAPVQCGTCSTAIGDDKVMAGCKADHARSGGCRPAVAIGKNGDAESAGCGVRCGGNCGPIVVDDSKGDNAKSGGCGSGCGGGCGGRGGCGTFKASTMTEGQAKSGGCGSGCGGGGGCGTLLNSNAKGDHGQAKSAGCGSGCGSGCGNGIVTEGLKTSHAKSGGCGSGCGGGCGGGGGCGALLNSSANAGQDLTLTKSAGCGSGCGGGCGSGTVIEGSKTSHAMSGGCGSGCGGSCGALFNSSTAAGQGLAKSAGCGSGCGGGCGSGMIIEGSKTTQAKSGGCGSGCGGGCGGGALFNSSCAAGQGPAKSAGCGSGCGGGACAAMVIEGSKTSHAKSSGCGSGCGSGCGGGGCGALFNSSTAAGQAISGGCGSGCGSGGCGAMVTEGSKSSQAKSGGCGSGCGGGCGGIMFNASTKAGGEGLSMSGGCGSGCGGGCGGGGCGALFNA >KQK94642 pep chromosome:Setaria_italica_v2.0:VIII:23907884:23910849:1 gene:SETIT_026682mg transcript:KQK94642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPRPEDAMEEVEAEAAPKAAAGPELGFWLAARRRLTPDDPFFAAGDLERELLAKHVALDLSEDDRNQLEKMEVASTCTVFCPIAGCGAHLDCLEDFEDHYVTRHTASCSVCSRVYPTSRLLSIHVSEAHDSYFQAKVARGFPMYECLVEGCGVKLKSYKSRQQHLIDKHQFPKSFEFFKKARPSQRHRQKSQRRQTAHKGEETRDNLMDVDGKGTSQTNWRYRPKQHDHKESKGNERQHQEVKENEMEVEQKIDELISAVSKLSTADSTPANVTFGHRRSRGLTFVPRAIRQNKQAASQPEAK >KQK94682 pep chromosome:Setaria_italica_v2.0:VIII:25000400:25006843:-1 gene:SETIT_026166mg transcript:KQK94682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPEPAAEPARRWCSFSLVRLLQRALVAAVIVAIVYCHGPGLFISRPASSWSSGAVDAFFGSPPPAEKKARPAVESEVAGRDTATTTCATVERMGEEDAAGRGLAAEAASLRVRELIRRHFEIHGAARVRTLPPHEFCKQGFVLGKASEAGFGNEMYRILTAAALSVMFNRSLIIEQTRGLYPFGQYVSYANHLFTLEEIKHLWRKHCCATKYGRDLSMRVDNFEHPSETNVLCSDWNSWKDPIIRFSGTTDSVGTQFFLKNVHPGMKAAASALFGSPDSLHARPNTFGELLRAIVSPSRTVQEAVNWALKGVDPDIALHMRMMSSRPVEARQAAASCIKRAIQICHIQGTPRVALVSDTPSFVQDIKSDISEFAEVIYFDYELFVNKSNWMFGNDTPLDFRLRDWGPAPRWAAIVDFFLASRARYAVITGAHPRVGTTYAQLIAALAAANTYDLKPSGANFTFLSSIHSSLLVHGLSTQVGRSHIWDTYAGPLSCGHQPHQCAVTPLLPPTWWDGTWQSPNPRDVKRLSEYGVQLSITGEVDESQLLAHCRSREDHVDRYSVLSSDIKNS >KQK94586 pep chromosome:Setaria_italica_v2.0:VIII:22963189:22968696:1 gene:SETIT_026903mg transcript:KQK94586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEEEGSGGGGGCSGGDRKGSPAPTKSGGGGAAKFLAGMPSRGNFSSGSVSSSLGGFRVYVCEHSTDPPEGQVIQTDSTNILIRHLQLNKQKSDAKDSGSRTPGENTRGKRSAARSLEMLNPAKRANLGTSSGSSVYEETISGFSQHTLQSFTVERLRALLRQTGLSTKGKKDELIARLRESQG >KQK94744 pep chromosome:Setaria_italica_v2.0:VIII:26173390:26174319:1 gene:SETIT_028141mg transcript:KQK94744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTKGSLTNPTHSAGADEPVASCCAGGLWPSTRAYLGAHWRHQQKEGTEGMTVTFSKKSLFKQIRTHLTNELMASLTPTGLIESHYSEVQRAKKSIVDRYRVNKAIAKAQGWLNDYPIAIQEKKKELAASINQVRHQHRQINDIPGSDEQDMQLIADVDQICLRVVEAIEKFL >KQK93831 pep chromosome:Setaria_italica_v2.0:VIII:4828077:4831022:1 gene:SETIT_028368mg transcript:KQK93831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFIHRRILPPFRRPPLPFFHPGAGAPASSLPGGAAGAAGRRPWTPRRILDPGDDAVLRWYRLFLVTCLVGLFVDPLYFYLLHTDGLAACVSMDMGIGVLVTAVRTFADLFYLAHMILKFRIAFVAPSSRIFGRGELVRDPDQIAKRYLKNDFIIDLAAMLPIPQMIIWFVIPAVSTSSANHTNNTLSMIVLIQYIPRVYLIISLNSKIVKASGVVTRTAWAGAAYNLLLYTLASHVLGALWYLLSVERQYSCWMEVCNNEGSSADSTSCVMGFLDCKSRENPMRQTWHNHSAIQKQCMLPDAEYDYGLFADALNLDRNGVAFIDKYLYCLWWGFRNLSSYGQNLQNSTYRTYLQSMTVRLEEWRVKRRDIEEWMRHRQLPPELQERVRRFFQYKWLATRGVDEESILQSLPLDLRREIQRHLCLALVRRVPFFSQMDEQLLDAICERLVSSLSTKDAYIVREGDPVSEMLFIIRGELESSTTDGGRTNFFSSITLRPGDFCGEELLTWALMPNPSLNFPQSTRTVRSVTEVEAFALRADDLKYVANQFKRLHSKRLQHAFRYYSHQWRSWGACFVQGAWRRYKKRKLARELMKQEGIYYQDADDGGGAGGVGEGDGAGLGGGDGVDTDGAPLLGEFGKAGAGAGGGAVAGATGDGADGGAGAAHLGATFLASKFAKNTKRGAAAHQKRIDDVAGIKFPKLAKPDEPDFSLHTDDVL >KQK93492 pep chromosome:Setaria_italica_v2.0:VIII:1514286:1516772:-1 gene:SETIT_028113mg transcript:KQK93492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSTPGAATRTPASSPAKEMDTDKLRFIEEVTTNADAMQERVLGEILARNAETEYLASKCGLAGATDRATFRAKVPMVEYEDLLPYIRRIANGDRSPILTGPGHPVTEFFTSSGTSGGERKLIPTVEDERHRSLLLGSLAMPVINQYVPGLDKGRGLYFHFVNLETKTPGGLLAQPVLTSLFKSDHFKKLPLSGALTSPVAAILCPNAFQSMYAQMLCGLCHRHDVVRVGATFASGVVRAITFFLDNWEKLAADIDAGTLADRITDPSVREAVAGVLRPDPELARFIRAEGYEGGGAGIIARIWPNTKYLDTIVTGSMAQYVPTLNHYSGGLPIFSTLYASSECAFGVNLSPMCDPSEVSYTIMPFMAYFEFLPVDGEARGDASQLVELAHVEAGREYELVITTYTGLNRYRVGDVLRVTGFHNAAPRFRFVRRKGVLLSVDADKTDQADLQRAVERAAALLRRHGGAIVVDYTSRACTKSFPGHYVIYWELLKAKGAQSGGGAAVDGDVLDRCCLEMEEALNWVYREGRVALCSIGPLEIRVVRSGTFQELADLAVSRGASAGQYKVPRCVTAPRIVELLDSRVVSSHLSPALPDWAPEMLSFSPKEKE >KQK94803 pep chromosome:Setaria_italica_v2.0:VIII:27238659:27240084:1 gene:SETIT_026453mg transcript:KQK94803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATTTLLNEIRRAQRADGPAAVLAIGTAVPANCVRQDEFTDWYFRITKSDHLAKLKAKMKKMCDKSGIKKRYFHHTEETIGGHPEFTDRAAAPSLGARLRVSADAVSELAAAAAERAIAEWGRPAADITHLVVATNSGAGEPGTDLRLAALLGLRPTVRRTLLYFHGCSAIFTAIGLAKDAAENNRGARVLVACAHAVLLPFRAPDENSLDTLVAMALFGDGAGAAIVGADPTTDPIERPVFHVVSSSQATLPGTEHAVAINLGESGVDYRMSVEVPALVRGGLERCLAESLAPLGLANASGTGWNGLLWAVHPGSRPLLDSYEAAMGLETGKLAASRCVLSEYGNMFGATVIFVLDEIRRRRQHGEEEGEDWQWGIMSGLGPGLTVETIVMHATAGRRNED >KQK93262 pep chromosome:Setaria_italica_v2.0:VIII:238931:244027:1 gene:SETIT_026066mg transcript:KQK93262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGIFAYLNYNVSRERRYILEVLFNGLRRLEYRGYDSSGIALDADRPASSSSPSPSDAPYAGAPPLVFRQEGKIENLVRSVYSEVDEKDVNLDAAFNVHAGIAHTRWATHGVPAPRNSHPQSSGASDEFLVVHNGIITNYEVLKETLTRHGFTFESDTDTEVIPKLAKFVFDKSHDEEGDVTFSQVVMEVMRQLEGAYALIFKSPHYPNELIACKRGSTLILGVNELSGQQNGKPFHDVKALTTNGKPKELFFSSDLCAIVEHTKNYLALEDNEIVHIKDGSVSILKFDPHKEKPASVQRALSVLEMEVEQIKKGSYDHFMQKEIHEQPHSLTTTMRGRLKDGEVLLGGLKEHLKTIRRCRRVVFIGCGTSYNAALAARPFVEELTGIPVTMEVASDLLDRQGPIYREDTAVFVSQSGETADTLLALDYALENGALCVGITNTVGSTLSRKTHCGVHINAGCEIGVASTKAYTSQIVAMAMMALAIGSDQISTQARRNAIISGLTSLPSCVSEVLKLDAEMKELASSLIDSESLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLPIIVIATRDACFSKQQSVIQQLLSRKGRLIVMCSKGDASAVCSNGSCRVIEVPGVADCLQPVINIIPLQLLAYHLTVLRGFDVDQPRNLAKSVTTQ >KQK94998 pep chromosome:Setaria_italica_v2.0:VIII:29688570:29691830:1 gene:SETIT_027658mg transcript:KQK94998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFFFSLFFFCSHALVSLGSSNATGDELALLSFKSMLSTPSKVSLASWNMSSHFCSWQGVVCGRRHPDRVVSLHLSSFDLSGRISPFLGNLSFLQKVELGNNQLVGHIPPELGRLSKLQELNLSTNFLQGSIPVAMGGCTNLMVLDLSNNQLQGEIPSVIGASMKNLVQLYLRKNLLTGVIPQSLAELSSIELLFLSHNNLDGEIPSALGNLTNLLSIRFSYNMLSGAIPSSLGMLPNLSVLSVGFNNLTGPIPTSIWNISSLTVLFVSRNMLNGAIPPNAFDNLPNLQMLYMEHNHFHGHIPASLANASNLFTIFLGANPFSGIVPKEVGELRNLNLLMLSHTLVGAKEPKDWEFITALTNCSQLEVLTLGFGGFTGTLPDSLSNLSTSLKYLILSENAISGSIPKDIGNLFNLQILEFDHNNFTGTIPSSFSRLTDLQVLTLYGNKFSGPIPSTIGNLTELNSLYLGANGFSVFDLSYNNLEGAIPQEIGNLKNLVQFHAEFNKLSGQSPSTLGDCQLLQSLYLQNNFLSGNIPSLLGQLKGLENLDLSSNNLSGQIPKFLGDIRMLYYLNVSFNSFIGEVPSTGVFANSSGVSVQGNGRLCGGIPDLHLPLCSLQLPKKKHKLLAVPIVISIAATLAILSSLYILLTWRRRSKTKTPSTMFMPGHPFISYSQLVKATDSFSPTNLLGSGPFGSVYKGELDDPDGESRNLVAVKVLKLQTPGALKSFIAECEALRNMRHRNLVKIVTACASIDSRGNDFKAIVYDFMPNGSLEGWLHPDANDEQTEQRYLDLAERVTILLDVAYALDYLHSDGPVPVIHCDLKSSNVLLDADMVAHVGDFGLAKIIVDGNLIVQQSVSSMGFRGTIGYAAPEYGAGNVVSTNGDIYSYGILVLEMVTGRRPTDSTFREGLSLREYVELALHNGTMDVIDTRLSFSLKNELQGAGEGNSSHKRKTDCLTALLWIV >KQK95825 pep chromosome:Setaria_italica_v2.0:VIII:38597322:38597747:1 gene:SETIT_028162mg transcript:KQK95825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DPNRGRFRCPIYFDSGATVHVVGDVWMLQGYQVLAEPWPIAVADGHPLVVVGIGSISQEGFMIPNVFHVQGLKMNLISVSQLDRGHGLFSGFHDGMCKVLKRGGDVVGGGFLLANGLYELSFLEVPETETGEEAGSTIQPM >KQK94127 pep chromosome:Setaria_italica_v2.0:VIII:9261942:9262955:-1 gene:SETIT_027906mg transcript:KQK94127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPSTLLRSFSSRLSTRLAPSPAVAPWPPVRSAYDRWLAAELDGLRADPLVPCTTAAWLGRALGLAVAAQRRLVASAPETAAAGIERKTVDECVDDTAELLDACAGLRERLDMLRSYATATRIALRWLEGGHGGEAATRCAAAAFAECEAVERRCGGAELAKCASNLRKFGERALLHARQVDEELSGARAMALLAVGVLGAALAFRPRRAVPGVAHRAGSGGNKPVAQWECELQEVQRHVREEYDRRRKDGVPCMAELDATAAVVRAVRCAVAGGRRCPETLAAAARRRCDELEETVSAFEEKVGELHRELIAVRMVLLERAQRARGHELLRLPRI >KQK94186 pep chromosome:Setaria_italica_v2.0:VIII:10581341:10582237:1 gene:SETIT_028398mg transcript:KQK94186 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHCQCGESISLFLTSLLGRLLFLPRLSRAALFFFSELQHWCCESCSFFFFCSLFHI >KQK95167 pep chromosome:Setaria_italica_v2.0:VIII:31588706:31590641:1 gene:SETIT_028010mg transcript:KQK95167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGDGEDRISGLPDELLHAIHICLGSPRAAVRTGVLSRRWRHVWTPLPELNLAEGVNAPLPLASFLDTVNAALAACAPRTLKDSASSRPPRRRLWPRLPGTARRAVAVLRFGARDDGEEAVLELPACEGVTKICLRLHGAWRVRLPSAGLLRALTDLTIICDCMDGSELTALVCTQCPCLMDLRLRIRLDNASDISIWSDSLRSLFFSVWKTRRLEIARISAPKFAEVVWSNDTYDARHHQFDDLGRRLWLLELDQNVSLMQQFDEVDELKLGIFIPEHILTVEAPWCPCPPSCLCLLEESHMIDDIALNSLEEVEITSNTSYHEVLEFVEQLSRCNAEILKKIVLKHRMNSAPPPTKEVREKIRRMFQQNIEVEFYVSPERGWVRLD >KQK94683 pep chromosome:Setaria_italica_v2.0:VIII:25005657:25006193:1 gene:SETIT_027142mg transcript:KQK94683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMGGGGSIRFDSFPSLLRLLVLYGNAGAVVGEEEEGVPSPSTRLARTSLRTRGRSSRCRRRPDVRVDEEEMRDSHPLGAR >KQK94026 pep chromosome:Setaria_italica_v2.0:VIII:7874034:7874465:1 gene:SETIT_028494mg transcript:KQK94026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHQLSKAQFLIMAYSSFTLDLYAPFSSNFHTVLAYKCLKLKQSCCIQSVLAITLELEDFTVNITCTVPKSWCCLSVLDWIWAASHRGCEF >KQK93951 pep chromosome:Setaria_italica_v2.0:VIII:6623797:6624240:-1 gene:SETIT_027569mg transcript:KQK93951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATANATTTPPLKPVVLYPTPGMGHLFAMVELNRTLAAWGLTVTVIIVDPPYDPGAPGRFLLVVSAANPSISFHRLPPVDLPPVASKHYEALIMEAVRISNPHLRVILTAVVPAPSVLVVDMFSGVVLDVAVEIMGLAHCNFRNSK >KQK93589 pep chromosome:Setaria_italica_v2.0:VIII:2178747:2182318:-1 gene:SETIT_028359mg transcript:KQK93589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMMRPVLHLMVGLVLYGVAEEMTVPALVDKVTAALCPADDRSCPEAIYLTGLQSSVGGIFRTIGFTLMGQLADEYGRKPLLLLTASTSIIPFAVLAWNNSRTAVYVYLVLRTLSFMIGQGTIFCLSIAYTADAVEPSRRAAAFGFMTGIFSASHTLGSVFSRFLPEKLIFEVSIALLICSILYMKIYLIETVQKAPSTSSHHSSMSALLAKLPQQRWESIKENISIVKNSQTLRRISYVAFFYKLGMIAISDVLMYYLKSVFGFDKDQFSEILMVVGVGSIFSQILILPFLSHIIGEKGVLCISILASIAYALLYGVAWASWVPYFSSSLGVIYVLAKPAIYAIISGEVLSTDQGKAQGFIATVQSVAILLAPLFMSPLTSYFISPEAPFDCKGFSFIVASFFLAISFCLAWTLNPESKDDKRRKLVVSDEEAVQAPLLAHRPKP >KQK95072 pep chromosome:Setaria_italica_v2.0:VIII:30544732:30545417:1 gene:SETIT_027426mg transcript:KQK95072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSSKLPLLFLLLVAAAVLAPPAQAAPQVYCGDSLSGLMECRSFMFGGAAAPSPACCAAYEATFDANPFCLCYVADGTFGRATGYDVNVSDALRIPAACNQFQPPIELCAMQGLVLPPYAPEGTRAQPPATAAAAPTALPPSGSSEAPPSFTYPPPPAPTSKADPSEDAFLLLVATAILWALL >KQK94533 pep chromosome:Setaria_italica_v2.0:VIII:21125519:21126763:-1 gene:SETIT_027600mg transcript:KQK94533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIVARAPDSDVVPKPKGNEVVIFCDLLFASLRLELDPVVVDILHPSNIYLHQFTPNALVRLSTYMWICKTMKITPLAAGFAISHKVEAPIREATKKKILYLEQKALKKATKVIAMKDTDRAKGAPTLAQEKPGATQHLKRTKRGHHPSSKASRASQRSRIENVAPGDKVEEDGRPSSPSPSNATITAMPIHQAAPPGC >KQK93432 pep chromosome:Setaria_italica_v2.0:VIII:1091963:1093391:-1 gene:SETIT_026781mg transcript:KQK93432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAEQDHHDALSLFASRLSRQHRFGDDDLRLLEAALSAGADVPALLATRSAARRLLQQRAKEAFAALDPLLDQGRSLASADFFARAFALVGDVESCLAMRYEALLLRDAKYSDNHHLQVSRQEWLTFAKDSLDNGFYTIASKACAHATAHIHRSHPGQLGSTNSIEKDEINDIIGLQNLAKSLSAQHSVQTQSAEYMKRRASGVHEKYNLQPGKPKLPGSSMFRLGIKTRNIKKLLHSRERNLGEI >KQK93431 pep chromosome:Setaria_italica_v2.0:VIII:1091136:1093391:-1 gene:SETIT_026781mg transcript:KQK93431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALAEQDHHDALSLFASRLSRQHRFGDDDLRLLEAALSAGADVPALLATRSAARRLLQQRAKEAFAALDPLLDQGRSLASADFFARAFALVGDVESCLAMRYEALLLRDAKYSDNHHLQVSRQEWLTFAKDSLDNGFYTIASKACAHATAHIHRSHPGQLGSTNSIEKDEINDIIGLQNLAKSLSAQHSDTVS >KQK94039 pep chromosome:Setaria_italica_v2.0:VIII:8096908:8100310:-1 gene:SETIT_027807mg transcript:KQK94039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEESYFGYGTICENLMLKVFGLDYVILTLGSDYSSVETDPSYYHQPFESPIVKGMRKAFQSGRHLLLVENVHVPLSLDVLVFTTDKHPSPFQSRWLISTTSKDVCDKSRETPGTYRWELHSGKEYNYAPRFDDDLSENDWAMLIKEALLDAAASIHIALQQQQQDMLFWLYIAHQCLRYSILYHPLRGDTDCSVTSDEHVRCWVVEDLLFSEANGKKHSISYRPAFEVGKVVIQALQKYSLLPTSSTSPLDAVTGVSKLAEGVPRLNQDELSHHDKRERLSWVSFFNNDGRHLSWGLGRDEGAKLIPGEMVMSTLILRGCSNASAFPFDTVSNHSLRVLDLSYTQINSLPSWLSNLLNLHLLSLRGCSQLETLSPPALVSEEERSPLANLGNLQVLDMNGVPLLELTQQDGSNKSNLHFLDLSGSKVITLPSGFFCDMSSLEELILNNCSNLKKLPPSLADLSNLLILHVEGTQITSFPEDTFQAMQRLHTLKLIKNMLLKSLPSSLSRAKGLRELHIHNCITLKTDTLWDLVSCLEGLYIRAWEALEDLKIHGHHNLRTFSLSGPWIRCLSLRGCSRLEIVNFRDDLTALEDVDLSGTAIEDIPQNLPNLPQLRRLLLLNVPSFKRFPWHQLIRFPKVFYLDNCEYYGNQSPKILCEQKICEEGSQDREKTTNTAQINTNDPRMFHSFSAFAANKLVKEGQFLQCFNVQVKGCSVRGMEPKNKEGEICSKIQRQLPYQDVAHSSEVASIAPMVRLQPKQRHLEISSNDRYPDGLRHILSVTESLFITDDASIKCLNESNCTMTCLEECQLVQCHEMKVVFKMHSRVTGAIRREYLEIRIPEVLPALKIFQASNLQNLLSFVEPGDLSYSALITLKLLNHIHLEHCPRLEKLFPCSLSLPSLETLVILFCYNLKTIFYNQSDYDVAASPLPNIERIYLQELPQLQHFHDDVMFRFETLKWEKLFVRGCQSFHRLPLLKSEYPESRVEVSGERDWWGRLQWSLPEQSHYYLHVPPPEFASRKKHIIRSYLR >KQK94201 pep chromosome:Setaria_italica_v2.0:VIII:10801416:10806954:1 gene:SETIT_025950mg transcript:KQK94201 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLALAKIGSVLADETAKAMLAKLCEKVNNLRDLEAKIEQIRMQLSAMNSVIRKIGTVYLTDEVVRGWIGEVRKLAYRVEDVMDKYSYHTLQMEEEWFLKKYFIKGSHYVLVFSQIAEEVVKIEKEIQNVIELKKEWLHHSQLAPDQFMEMERQRSQDSFPLLVRDEDLVGIEDNRKTLTEWLYSDELDSTVITISGMGGLGKTTLVTNVYEREKINFPASAWMVVSQTYTMDALLRKLLMKLGGEQQVSPNIDKLDVYDLKENIKQRLKNRKCLIVLDDVWDQEVYLQMSDSFQNLQASRIIITTRKNHVAALADPTRRLDLQPLSNTHAFDLFCRRTFYNKKDHTCPTDLVKVATSIVDRCQGLPLAIVSIASLLSSRPRTYYIWNQMYNQLRSELSNNDHVRAILNLSYHDLSGDLRNCFLYCSLFPEDYPISRESLVRLWVAEGFVVSKENNTSEEVAEGNLTELIHRNMLVIVETDEQGRVSTCTMHDIVRDLALAVAKEERFGTANDYRAMILMDKDKDVRRLSSYGWKDNTSLKVKLPRLRTLVSLGTISSSPDTLLSILTQSSYLTVLELQDSEITEVPASIGALFNLRYIGLRRTKVRSLPDSVEKLLNLQTLDIKQTKIEKLPRGISKVKKLRHLLADRYADEKQTAFRYFIGMQAPKDLSNLVELQTLETVEATKDLAEQLKKLLQLRTVWIDNISAADCANIFASLSNMPLLSSLLLSAKDENEALCFEALKPKSTELHRLIIRGQWAKGTLQCPIFRGHGRHLRYLALSWCQLGEDPLEMLAPHLPNLTNLRLNNIHSAKTLVLPEGSFPNLKMLVLRQMPNVNQLVIGKGALPCIEGLYIVSLVDLDQVPHGIESLHSLKKLWLVNLHRGFLTQWNKSGMHHKMQHVVEIRV >KQK94488 pep chromosome:Setaria_italica_v2.0:VIII:19615538:19616755:-1 gene:SETIT_027744mg transcript:KQK94488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQNGTPDIEPKLQETVNKSVELLPEAWKYAGSYQEALASYRRALLSPWNLDDECRSRVQKRFVAFLLYVNVDWSPPSMAQQIEGTFVPKNNVEEAILLLMIVLGNWYQGKTHWDPSVMEHFTYALSLCREPLVLAKQLEEVLPGIYPRTERWCTLALCYHAAGQKDTALNFLRKSLNKLENPNDILALSLAAKICSKECHLASEGVEYARRVIALAESHHLKSVGLHFLGSCLGKKSKVVSSDYQRSLLQTETLKSLTESITLNRYNADLIFDMGVEYAEQRNMNSALRCAKEFIEATGGSVSKGWRLLALVLSAQQRFSEAEVAIDAALDETAKWDQGSLLRVKAKLKVAQSSPMEAVEAYRTLLSLVQAQKNFSGSTKDTSEVCFICPQTMRLTHIKPLLYL >KQK95678 pep chromosome:Setaria_italica_v2.0:VIII:37084346:37089116:1 gene:SETIT_025867mg transcript:KQK95678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAGAVGNVIVKLGELLHAEYKLQKGLPEQIESLKHELETAQTALCKVDEVLPEQLDPQVRNWAREIREASYDMEDILDTFLVSIVDIDAPAENKKGLRKRLQEKMSKLFNKSMARHTIAGAIEDMKKRLQEVADRRDRFAVALPAPATKPDPRLADMHKEAAQLIGIDNARAELIAMLLPTSDGNGDSDVSSGGSSSSKMKIVSVVGVGGLGKTTLAKAVYDELRPRYDCGAFLSVGRKPDLAQVLKEIFLLLDKKEYEAIRDVKNESLLIAFLRFYSILGHSYFLSYMLNGLSILGIDLYCHVARYFIVIDDVWDIPTWNIIKSALVNNDTRSRVLTTTRNQDVASREEVYKLRTLSPDHSEKLFKTRLFGVNGEYPTNHPAEASEKILKKCGGIPLAIITMASLLVGKSREDWFHVYNSPGFYRGKGNQQVDDTEWILSLSYYDLPSYLRTCLLYLSVYPEDYEIKKNSLIWKWIAEGFVEKKRGTSLFQQGEEYFNQLINRSMIQAVESRIGIVYHCRIHDMVLDLIRDLSNKENFVTAISNDDEGVSPHQNSARRLAHENRIMKQTQQDDHMDMAQVRSLVACSCDIESWVLHPSFKLLRVLDLDGCKVARRGWQGLKHLGNLLHLRYLGLRNTSRSYYEFPEEIGKLKFLEIFDLEGSGIGVLPSSVCQLTRLVCLRGDRFRTRAPDGLFLRKVTSLQELCIRIDYLDEESQREFMNDLGNNSEVRVLDITVGRLKGMVLSDLVHLLANLHKLQHLELTDGEIGWEWVQMEMTVVLPRHLLHLGLGSILFRHLPSWISPAHHPNLCYLWLRVAHMDESSLRNLGGLPELRFLRLTTDRPSMACTTIVANINTAVDGFFKKLRCCCLDGWMVQFVLNEDTTGVSFSIWNGMGATAFGSKTKDEYSGSIAPPPVMPNLQELWFHVPIKALYKDGKGSCSDLGLECLPSLRSVEIVVNCVGASTDDVEKAKAELRNAAQLHPNCPRIELDLFNPWTYQDDTESDDGDNVSAKEEEGGASAAGDEVAVTDSGGDNAATPNC >KQK95384 pep chromosome:Setaria_italica_v2.0:VIII:34018527:34019985:1 gene:SETIT_026438mg transcript:KQK95384 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGTTATADTTVPQPPPSQGRLITVLSIDGGGIRGLIPATIIACLEAKLQELDGPDARIADYFDVIAGTSTGALLTSMLAAPDENKRPLYAAKDLTTFYLENGPKIFPQRKLGWLTSAMNLVGTMRGPKYDGVFLHDKIKSLTHDVRVADTVTNVVVPAFDVKFLQPVIFTTYEAKADPLKNAHLSDICISTSAAPTYFPAHFFTTDDGKGGSREYHLVDGGVAANNPTMIAMSMLTKEVLRRNPDFNPGKPTEYRNYLIISVGTGSAKLAEKYTAPQCAKWGLIQWLYEGGFTPIIDIFSHASADMVDIHAAVLFESLHCQKNYLRIQDDSLTGDASSVDIATKENMEALIGIGKELLRKPVARVNIDTGMYEAVAGEGTNEDALARFARMLSEERKLRNKNLNSY >KQK95923 pep chromosome:Setaria_italica_v2.0:VIII:39655099:39655973:-1 gene:SETIT_027254mg transcript:KQK95923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKAMNRAAVCNLDALKENRMVVDSCVSLQSPSSPANMMPGSRGTSTW >KQK95295 pep chromosome:Setaria_italica_v2.0:VIII:33111839:33112942:-1 gene:SETIT_027563mg transcript:KQK95295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRGGSWTDLMSDETVVDALSLSMLLEDTVTPASGGRGSTKRSTNYTPQEDMQLCMSWETGQGILERIAEHFHANRTFHSDKNLNSLENRLDTIKKECGKFHACYEQDEPQASETKRPIGRKEAKQRLKTRGDAGPYKEAIEELILDKKEQKKLREEEKKLKEERWKEKRMIHHQKISLVKEKFMWEQEQRIMFCDVSTLNLDRKTYVLAMRAQNAAQKMAAFNSGFGSRFDGGFESSKGGDVNGASQ >KQK94708 pep chromosome:Setaria_italica_v2.0:VIII:25515713:25516496:-1 gene:SETIT_028243mg transcript:KQK94708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DLGKAGIIGPLVPQLGGLKKLQELFDNGLNASIPTTLGNLSNLLNLDLQENMLTGMIPASLGSIGTLKNLRLYGNKLTGPIPPSMGNLTNLVTMDLQKNSLSGSIPASLGNIKTLRYLHLNNIMLTGMIPSEILSLAIVGSLSL >KQK95860 pep chromosome:Setaria_italica_v2.0:VIII:38999153:39000493:1 gene:SETIT_027330mg transcript:KQK95860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQHDPTDEKGEEQESFQVALHRMKMEIYHYTSPFLVFQKVVRDFEDGIGKIGMKMHRYPPNIRDLGDWCTVPRIVAIGPYHHGRQQLKEVEQVKHVAAYHCILESDHSLREVYDAVVSAAHDARHLYSKDVMAGIDDGDFLPMMFFDACFLVQYMLWHTKRGKEEMDPSLRRWFSLNRKVLRNDIMLLENQLPWGVVKAVMRFRRASLTGFVSSLRGILQNRMVFKAESFVLDDRYKPPHLLGILRHHIVGSRDTEKPDVGNIDGLSLSVSAIELAEIGIKLRANETTEVINMGLNKKLFFAELSLSPLSLNQARAIRLVNMAALELCMKHSKQEDSAVCSYLHLLSMLVHREEDVQELRARGILQGAGLTNKEALNFFTSFQSVHGSYSAIVMIQIESYKIDRRIRTNVYAFLYKNKKVIFMVLSTIVAVVSIFGTLIGILLKLN >KQK95109 pep chromosome:Setaria_italica_v2.0:VIII:30947828:30954519:-1 gene:SETIT_028241mg transcript:KQK95109 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALSVGKSVLNGALGYAKSAFAEEVALQLGIQRDHAFVSDELEMMQSFMMEAHEERNDNKVVKIWVKQVRDTAYDVEDSLQDFAVRVERPSWWRFPRTLLERRRVAKKMKELRAKVEDVSQRNVRYRLIKGSGSKATAATVQSSIIAAAIFGVDDARRAAKENQRVDLVQLINKEDDDLRVIAVWGTGGDIGQTSIIRAAYKNPDTQRKFPSRAWVRVTHPFSSEGFVRSLVNQFLAVEGFKDILDTEKTAHDLVQEFDGYVKEKRFLIVLTDLCTIEEWDQIEKCLPNNNKGSRIIVSTTQVEVASLCAGQDSQASELKQLSADHTLYAFYDKGSQNEMVSMDPVSNSDVATTSTNAQTVAPSEITENQCKDVDEMKVDKKSLTRIRTGVGSFEESQLIGREKEISKIIGLISNKASQQSQVISVWGMGGLGKTTLANGIYQSPKLSDMFEKHAFVTIMRPFNPADLLRSLVGRLQEESSKKEELLNNRPSKTESLAMMGVEALTKELKRLLEKKSCLIVLDDLSSIEEWDHIIQGFSWMEKTTRIIVTTREESIAKHCSGKYGIVHNLEVLKEEDALNLFSLKNPELFEETKKILKKCGGLPLAIVTIGGYLASRPKTRAEWRKLNENISAELEMNPELGMIRTVLQTSYDGLPYELKSCFLYLSIFPEDHIISQRRLVRRWTAEGYSHERRGKSANEMAENYFTELKYRSMILPVQKSVCSRKSIDSCKVHDLIREIAISKSMEENLVFRLEEGCGLSTHGAIRHLAISSNWKGDQSELERIVDLSRLRSLTIFGGFRPFYISDKMRLLRVLDLEGIWLEYHQLDHIWKLLHLKYLSLRGCIGIDLLPDSLGNLRQLQVLDVRGTNVMALPKTIIKLRKLQYIHAGWKISYVIEEKDSLTRRCLWGAGQCATCCVPVLGDIDGPLHKALTRRDACTFACCVRFPAVMMGFVEESGAMVPRGTRKLKELHTLREVNVGRGNAVLQDIKMLTGLRKLGVTGINRKNGPVFRAAISKLSRLESLSVSSSGKPGLHGCLDAISPPPENLQSLKLYGNTETLPQWIKLLPHLVKLKLVSTRLLEHDDAMEFLGKLSKLEILSLSRQSFQGEELRFRSQQTGRAFGSLRVLMLTNIRYIKSVKFEEGIMPKLERLQVRGVVNNEIGFSGLDILQSINEVQLSVYIPWDKERIIAAKDPKTREKIEEEERQEQRRKKGELKKKVQEQLARNRNEPILTFDNIYLG >KQK95328 pep chromosome:Setaria_italica_v2.0:VIII:33377064:33377500:1 gene:SETIT_028581mg transcript:KQK95328 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIKGMHQLNPSTEPEFFADFWIVAGLLDDGCHPKIAYCSPH >KQK95485 pep chromosome:Setaria_italica_v2.0:VIII:34877030:34877230:1 gene:SETIT_027585mg transcript:KQK95485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRTTAADAMLELKETLVNCMLTIVVTSRAGIGITVTYQYLYMYVLRFTSSILSLFVGSFAGVSKV >KQK95342 pep chromosome:Setaria_italica_v2.0:VIII:33561385:33562687:1 gene:SETIT_028153mg transcript:KQK95342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVHTSKSIKPAYVSGSANHAPAATTSDVVPLTVFDEVNHDMYIPGILAFHPPAPSVAVLEAGLAKVLAKNREWAGRLVMDTGSKRVILLNDAGARLAEVSADVALDVVLPLRVGPEALQLHPSCDGAEDLLLVQVTRFPCGPFTVGYNIHHYVSDSYATCTCLMAWGMAVRGVALDPAMVHDRASLFVPRDPPLVEFEHRGIEFKPRVEKKAFDNNDGADDDVVVETMHFSQEFISQLKSRASAGERRPYSTVQRVVAHLWRCVTFARDLDMHEVTSWLHIAVNGRRRMMNPTHHRAGADGHSPASHGRALISQAVFHVDDRYFRSFIDFACSGAVEREGLVRTTVLSELVMRTNIEIDSVLGIPFYDLDLGSGNPFLYVPSTPQPVEGAIYLMSSFSGDGGVVAYVSLFRHAVD >KQK93529 pep chromosome:Setaria_italica_v2.0:VIII:1688571:1692147:-1 gene:SETIT_027878mg transcript:KQK93529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQLPAPASRLWEASIRKLQTIRRVGTVAPAAGPVDGSASDAATPCLLSVSSSASSTIYQYHDDGEDSDTSTEGGNDSDAGDEDANAAALGEPTHAEQLLPSGDFYQGDLRGDLPHGAGKFLWTDGSMYEGSWRRGRASGRGKFSWTSGATYEGDFAGGYMHGHGTYIGEFGDTFAGLWASNLRHGRGTQAYANGDVYDGHWRDGLQDGHGRYIWRHGHEYIGTWKAGDMHGCGTVIWADGDRYDGAWEDARPKGQGTFRWADGGMYIGTWCEESGAVHADGVYYPPSGGPAVPVPREPCEPITTLLQELEACEGKKASLMPSHKILTWPGVEAVQKKPVWRPPKVSADHGRRSSVSRRSSVSLDLDSLQAEGESEEARTDRSCLRTSSCMRTPPRPGKKQGETISKGHRNYELMLNLQLGIRHAVGRQSAPTSLDLKSSAFDPKEKVWTRFPPEGSKHTPPHQSCDFRWKDYCPLVFRTLRKLFDVDPADYMISICGDEALRELSSPGKSGSFFYLTNDDKYMIKTMKKSEVKVLLRMLPAYYKHVRAFENTLLTKFFGLHCVKLTGAIQKKVRFVIMGNLFCSNYAIHRRFDLKGSSHGRMTDKPIDQISEHTTLKDLDLNFIFRLEGSWFQEFCRQVDKDCELMEQERIMDYSLLVGIHFKDRCKDKHMHAFTSNTENGTSHTTTEDSEENRKTPLKLGICMPSRVENVAKNPDSEFPLIGEPTGEFQDVILFFGIIDILQDYDISKKLEHAYKSMQYDPNSISAVDPKQYCKRFRDFIFKAFADDVQ >KQK93708 pep chromosome:Setaria_italica_v2.0:VIII:3407133:3407690:-1 gene:SETIT_028444mg transcript:KQK93708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGSGSWSRRAARKKSYQMIFGHGPARWIIRSRITWDINWDINRNRIKSDVASI >KQK94345 pep chromosome:Setaria_italica_v2.0:VIII:13440726:13445207:-1 gene:SETIT_026073mg transcript:KQK94345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAWWKGKGRSSKSKAAAAAAAGDAGAIPAAAAAGEIKGKKKASSFDEALLAAGVRGKQQQQPLPVAGAVVGLPLPRPASLPAPPPSAPASASASASASASSGGGSSLGSSAASDEQLDLGVYRLSETSSTLPGRAVANESRKQSHVLTEGRIFTNNQALEHPRLSETSVSPRKEFHLQNLDLDRTTYCRGRKSTEIVFSTQVPSSPPSSRGHHYSTSPVPSRTFGQSQASPTAWQDDSRSSSSPQPLPLPPGSPCLPSCSLQWKKGKLLGSGTFGQVYLGFNSEGGQMCAIKEVKVISDDSNSKECLRQLNQEIVLLSQLSHPNIVQYYGSDLSNETLSVYLEYVSGGSIHKLLQEYGPFGEAVLRNYTAQILSGLAYLHGRNTVHRDIKGANILVDPNGDIKLADFGMAKHISAYTSIKSFKGSPYWMAPEVIMNSNGYSLSVDIWSLGCTILEMATAKPPWSQYEGVAAIFKIGNSKDIPDIPDHLSSEAKSFLKLCLQRDPAARPTAAQLMDHPFVKDHATPRSSRSGMTRDMFSTSTDGKNSTVQTSIAMSSYRSLSPLRDTRNLPAPTSPIPSTANRRIAAINPSNVRMNMSLPVSPCSSPLRQYRQSNRSCLPSPPHPAYSAGAANYSPINNALYPMRPSSGLTDPWLEISPLNTQTFDSPRRL >KQK95500 pep chromosome:Setaria_italica_v2.0:VIII:35086700:35089550:-1 gene:SETIT_026118mg transcript:KQK95500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIQCLSLPIAATAVASAVIVVLSAMALLGAATAVVEHTFVVSEMNMTHLCKEMLVTVVNGQFPGPMIEVTEGDSLVVHVVNKSPHNITIHWHGVKQLLNCWADGVSMITQSPILPNQNFTYRFSVTGQEGTLWWHAHVTCLRATLHGAFIIRPRHGASSYPFPNPDKEVPIIIGEWWEMDLDELDRRMRDSLFNDNPSGATMNGKLGDLYNCSGAKEDGYVLNVEPGKTYLLRIINAALYSEYYIKIAGHKFTVVATDANYVNPYTTDIIAIAPGETIDALVVADAPPGGYYITALANQSPKPDHQMPKFVTRGTVWYSTRHVSSNGTAPPVAPEMPDQHDTMATFYFHGNLTSLNDTQQPLVPERADESLFITVGLGSICRRGETCKRSGSNEAIIVATMNNVSFQLPDTNMPLLEAHYYHTGGMDVLQELPDRPPMVFNFTDRGLIPWGPKEGQLEPTSRGSLVRRFRHGAVVDIVFQGTAVMQSASNPMHLHGHNMFVLAQGVGNYDAARDVAKYNLVDPPVRNSVVVPRIGWAAIRFVADNPGVWFMHCHYEFHLSMGMAAIFIVEDGPTVETSLPPPPLELLACNHGHHVVPNELYHKTTETEFACINEV >KQK94365 pep chromosome:Setaria_italica_v2.0:VIII:13811391:13811682:-1 gene:SETIT_028271mg transcript:KQK94365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPWSRTDTPPLRVTVVSRCGAGRMEVVVAAHAAFLLSGDSAYFFIHRQSVIDEARSFKWHDMAAGDEVIVFDGFMTRAPAY >KQK95921 pep chromosome:Setaria_italica_v2.0:VIII:39617433:39618058:-1 gene:SETIT_028527mg transcript:KQK95921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAEGWSVPLEQQFFMTYSFWLLSLVSLVSLVY >KQK94565 pep chromosome:Setaria_italica_v2.0:VIII:22269500:22270780:-1 gene:SETIT_026533mg transcript:KQK94565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAENAAKKPLLQDGDTTNAGQTKAPPQGAGLKRFRRCKTAPSADGAQDSPPGRQNPNHQEHGSAGGSTPFAPPKELLRGARPSFRLVGVLLLAYLLAGTIAFYLVMDHMSGKRTWSRVLDALYFCVVTMTTVGYGDLVPASDAAKLLACAFVFAGVALVGTFLSKAADYLVEKQEALLFRALHLRRAGDRRALRDMEANKVRYKLYSAAALLAAALASGTAFLVEVEGMRPVDAFYCVCATVTTLGYGDRSFSSVPGRAFAAAWITVSTVVVALFFLYAAELGAERRQRALARWVLRRRTTCTDLEAADMDGDHRVGAADFVLYKLKELGKISQEEIAEFLEEFEQLDADSSGTLSPHDLLVAQHG >KQK93790 pep chromosome:Setaria_italica_v2.0:VIII:4397576:4398289:1 gene:SETIT_0277421mg transcript:KQK93790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AVNNRLLSDYFRNAFAAGGDQGSSLLVEDPAGELDGESHKILVWHIATGLCQIKLLLEDKAGAGDLYALPATPPHYAAVACLSNYCAYLVTQKLVPDNGLVAEEVFDDVREEAYTALRGCSTVREIRDRLVPAAAAAAHGGAAASTTTIVGMGAQLSEKLLLAYGCRDDHLWERLARFWAGFLLHLSASTRAAKHEIHLQGRGELTTHLWVLLSHAGFLGKTSHGQQLLDPVDLDDA >KQK93925 pep chromosome:Setaria_italica_v2.0:VIII:6106236:6106767:1 gene:SETIT_0270501mg transcript:KQK93925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DALDALNLVRYCCRRMLMTHVDLIEKLLNYNTLEKTEDGAAS >KQK95733 pep chromosome:Setaria_italica_v2.0:VIII:37664786:37665338:1 gene:SETIT_027125mg transcript:KQK95733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRIVHYLMATSFVVLVMISTNSPSCQACLGPWCFRRTEPCFQVTHVVSDDYCRGVCEVNHVVSNRAYCTFKRGKRRAVWQCCCPR >KQK95543 pep chromosome:Setaria_italica_v2.0:VIII:35520093:35522818:1 gene:SETIT_028069mg transcript:KQK95543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLATTTSQRHKRPPHKHSAGTCTVHCTALAHATVLAGHAVSDEARAMYNGGQAGLTFWSPNVNIFRDPRRGRGQETPSEDPAVSARYAAAYVRGLQQQQQQSPSASGDSRLKLAACCKHFTAYDLDRWGGTDRFHFNAVVAAQDLEDTFNVPFRACVADGEAASVMCSYNQVNGVPTCADEGFLGGTIRGKWGLDGYVVSDCDSVDVFFRDQHYTRTTEDAVAATLRAGLDLDCGPLLAQYTESAVAKGKVSDADMRLGMFDGDPAAGPFGHLGPKDVCTPAHQELTLEAARQGVVLLKNEKGKHRGGVLPLRPATHRTVAVVGPHAEATVAMIGNYAGKPCRYNTPLQGVAGYVRQAVHAAGCTDKVEAEGLDQSTLLLPGRQAELISAVAKASKGPVVLVLMSGGPIDIAFAQNDPRIAAILWVGYPGQAGGQAIADVIFGHHNPGGKLPVTWYPEDYLRKAPMTNMAMRANPASGYPGRTYRFYTGPTILPFGHGLSYTRFTHSLAHAPEKLTVQLTGGHASFPNATRSAGAVRVAHARCEGLTVPVHVDVRNAGDRDGAHTVLVYHSPPSGVAGAPARQLVAFEKVHVAAGGVAPVEMGVDVCEGMSVADRDGVRRIPVGDHSLMIGELTLGVERLGWA >KQK95881 pep chromosome:Setaria_italica_v2.0:VIII:39165293:39167954:-1 gene:SETIT_025975mg transcript:KQK95881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRVRSIAEKCETEQGARVYEQEFGIAEAGRLSAMRLNKYVVRRRKFGRAKALSTNNYEIPGMCGGAPFDVCPLAVICLSSAWAAERQHHREFNTWARHILDDGFLSTPSLKPLVQSLCLGFDDLPVQLRTCLLYCTIYPRRYRFDKGCMVRKWIAEGFVSQVEAAEAYFDKLFSRNLLQRHMGMHAVHPIMRAFLVCKAKEDNFIAYDGNQRKGVIRRLSLSTDDEDVLDEDVLSHTRSLVVCGHDEDVLDVPFKAIKKLRVLEIYRSDCAQNGDMVDICGLIWLKCLVIKSCYQITELPREIGRLQNLETLDVAGTGISKLPTEIGKLQHLETLNVCGTEVTELPTSMEKLQSLKTLDVSDTEVTELTWIEKLQYLKTLDVSGTQVTELPREIKNLERLETLDVSCTEVAELPREIKNLERLKTLDVHCTEVAELPREIKNLERLETLDVSRTKVTELPRWIEKLQSLKTLDVSSTKVTKLPLEIKNLERLETLDISETLVRELPKEIGQLQHLRTLDIRGTNVRELSCSKDTNPLLRVVLNMHKLMSPLGVISSSGAEEVISSSSEANCRDDLSILILFNHFGLRCEVLPVRMLRVAGRHMKVPQWVKQDLCNVCSLDIRIYKLVHEDLKFLKMHMPNLQALQLRFEVLPQEPVAITDGGFSKLETFYVDCRLPRVITFGEGAMPKLKHLEFKFYTGTASQDYYMGIKHLDSLEEVVFRSSEYYTSDSPGICEAIDVLRNEAAEHPNKITLWVNKMECKVFRSGEFEEWEKEIEKREKVVEKMRKQKRIEEQRLRLSTAAEGRAQRDKNNARAGIEKEIQERKRNLEIRERRLREQLRYYNYLSNSDLPSP >KQK94797 pep chromosome:Setaria_italica_v2.0:VIII:27054810:27056619:-1 gene:SETIT_026359mg transcript:KQK94797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQTCLLQPWCSPRSSPSDPRAASRRVGSGHGNIGLDAMEDTAAVVLRQHQHRTYSTRCSAILAHHEYDKAQQPQRAAMLAIGTANPANCVPQDEYVDWYFRVTKSDHLTKLKAKMKMISSNSGIKKRYFYHTEDTLRDHPEFIDSALPSLAARQGILASAVPELTAAAATRAIAEWGRPACDVTHLVFATSSDAHMPGADLRLASLLGLRCSVQRTAVYFHGCSSGSAALRVGKDIAENNPGARVLVACAELSLKFLREAREDRPETLIMQSLFGDGAAAVILGAGGVTDGDDGAAGSGVERPLFELVSASQTWIPDTEDAAAGQLAEGGLVFRPSPKMPALLRQLIEQYLAEAVGPLGLGVGWNDLFWAVHPGGPAILDSVEAALALEPGKLAASRHVLREYGNMSGVSVIFVLDELWRRRHELDGGFGVILGLGPGVTVETMVLRARG >KQK95873 pep chromosome:Setaria_italica_v2.0:VIII:39108322:39109890:-1 gene:SETIT_028377mg transcript:KQK95873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATAPPDAASVEKRKPAAHAVFFPFPAQGHVKAALHLAMLVHARGGVRVTFVHSDRNRRRLLRSQGPDALAGAPGFSFASVPDSLPPPSGEGGDTPQYMAALLSSLETSAGSHLKKLLDDAAAAGAPATCVVSDVDSVLRAAGEVGVPAVAFWTASASALMAFQQCQQLIDKGFVPLKDAAQLSNGYLDSTVIDWVPGMPADMRLRDFPSFFRTTDPDDAMLRRVLGVVDCVRTAASAMVLNTFDELEGEVVEAMSAFLPPIYAVGPVPLLAQQVVVAGGGAPPPAASLTKEDDGCLAWLGTKRPRSVVYANFGSIAVLTTQQIEEFAWGLANSGYDFLMVIRDDQANGAFGGGITPEFVEETKGRCYVTRWCPQAAVLQHEAVGAFLTHCGWNSMLESICSGVPMLCWPFGADQQTNCRFACTEWRVGVEVGGDVKRAEVEALVRDVMGGGEKGMELRRRAAEWKERAAAASEPGGSSWVNLDRLVNEVFHPL >KQK93348 pep chromosome:Setaria_italica_v2.0:VIII:687603:688979:1 gene:SETIT_026661mg transcript:KQK93348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFLICACLLQLLLLATSSGVAAQSQPLSPERILDATLQDYAYRALVRPRTGIVYNATLPANLTGIAVSAVRLRSGSLRRKGFADYLEFGIPTGVVVQPHVERVVLVYHNLGKSSDSYYPLPGYTYLAPVLGLLVYDAANLSAVGLQELDIIASGSPISVTFSDVRSVPAGSAAPRCVVFDLNGVPQFRDLEATNLCSTYHQGHISIVVNSSEIAPAPAPPGTISPPIPTEGGHKKGSSKAWKIAVSVVGAAVALGLLAALLLCLVRYKRDKKLEVMERNAEVGETLRMAQVGRTQAPVALGTRTQPVIENDYAA >KQK95188 pep chromosome:Setaria_italica_v2.0:VIII:31770108:31770604:-1 gene:SETIT_028608mg transcript:KQK95188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTKGKTQNNKILTNSLTFIYWVSATKLVHVRECSLLTGNTGK >KQK93687 pep chromosome:Setaria_italica_v2.0:VIII:3102569:3105020:-1 gene:SETIT_027310mg transcript:KQK93687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPDPEAAGGGQAEPPDEEDDPDVDEVDPTGRYLRYKEIVGSGAFKTVYKGFDAVDGIEVAWAKVEITGRIMGSPKELQRLKTEIQLLRSLQHKHILKLYASWVDSKKRTVNIITELFTSGNLRQYRTKHKKVDMKAMRRWAKQILTGLAYLHDQKPPIIHRDLKCDNIFINGNHGKVKIGDFGLAMVMQQRKTQSIQGTLEFMAPELFGENYNELVDIYSFGMCMLEMVTGECPYGECQGFVQIYKKISEGIKPVALSKIKDVEVRSFIESCLASAADRLPASELLKSPFLLKDDIINDKTSNPAQEPIAFPQNLDLDLDVTPIFVSLLPNGTVCDGKESFSLVLRRGGFVLEGDMSVKDPVNLLLRIPVPNGKCKNIEFAFDLEKDTSLSVATEMVEELELPSWSMPVVARLIDAFLLKTVRGWRPCVQVGQMIQAVYNTASADGM >KQK94756 pep chromosome:Setaria_italica_v2.0:VIII:26331821:26333019:1 gene:SETIT_026681mg transcript:KQK94756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTRGRPSLAVSAAALLSVVLISFLAGPASAQGKTGQVTVFWGRHRDEGSLREACDSGLYSMVIMSFLDVYGGNGNYHLDLSGHSLAGMGAAIKRCQFLGVPVSISIGGFGGAYSLPTNQSALALFDHLWNTYFGGSLNDTRRPFGNAWLDGVDMFLEHGGAAERYSTLALELAKHNIRAGDGKLLHLTATAHCRFPDDRVKEALDTGIFERIHVRFYDDTDCAAGFSAAEWAKWAAAYPFTKIYVGVPASLQAAKTGYTDPAALRRAVLPVAQKASNYGGVMIWDRYFDKRSNYSGSIKSWV >KQK95949 pep chromosome:Setaria_italica_v2.0:VIII:40011930:40013750:-1 gene:SETIT_027494mg transcript:KQK95949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQHIGLLCHGSEGGFTVVDFTNFGLEGELCLLHHPALPASASHKSTEEADWMIKKVRLPPGPRIRRWITDAIIPLHGRYLCWVDNYQGILVVDVLRAASVKSATDQLLHYIPLPDEALQSDRRPHPDGDCPDRARCVCVTADFTLKLVCVTTRKANRARSPFTIRSWTLPNFYRSGQWYRGHTMEAAEFWGLYNGQSLPRVKPWYPLVSLVNPNEFCFLLKEDHTTYWIIEVDMGNKMLKSSAIYINEEEEGCTTDRPRARRIVFDGHSFIPSRISYYLGMDDANKSQELSEMMQKAKQRRVAQKKSQLEVEQAESKEHVAESKAAKCRA >KQK94957 pep chromosome:Setaria_italica_v2.0:VIII:29102974:29105180:-1 gene:SETIT_027446mg transcript:KQK94957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISWIAAGECSYRIGHVVRLGLRSDLNDPIIAPMVGQISQSLLSLEHLEHLVQGGNSLQGSDGRIPKFLGSLKNLKYLDLSSIPFSGNVLSHLGNLSNLQYLDLSSTEDTHSTDVSWLRHTLDRCVMISQATILTIQLHPRGFTYERRSRLFSFWTILTNILGTIPNCMRQLTGLQFLGLSGNNISGSIPNWIGQLTSLEFLSLARNNINGVRPDLMAQLTSLNILDLAENNITGPLPSFVGNFTSFTGLKSLQWIDLSSNSLMIKIGSEWKPPFTLMEGHFGSYWFCSTFSKVTYLSIANNQIRGGLPANMENMSLIQLFLGSNQLTGQMPLMPISLTTLDLSKNYFSGLLP >KQK93924 pep chromosome:Setaria_italica_v2.0:VIII:6102841:6104043:1 gene:SETIT_0270502mg transcript:KQK93924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGNLAKTPHPSCPPSPPATGDPILPPPAAAAAKMIIPVRCFTCGKVIGNKWDLYLDLLQADYTE >KQK95190 pep chromosome:Setaria_italica_v2.0:VIII:31806735:31807358:1 gene:SETIT_027089mg transcript:KQK95190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVIKSNVRRAVCAAALCNLVMASSSQPELVVFDKICVKLDPCAKRNCDIKCVEVGREQKHPYPALAACDKTDECCCRFYHEQHPPPPPPVGNLE >KQK93995 pep chromosome:Setaria_italica_v2.0:VIII:7305453:7309496:-1 gene:SETIT_027957mg transcript:KQK93995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSIINDPPIGSIVSKIQDIVIDEGIITLGLQEDLKELQRTMKQVQCFINDAEQREQRKGNKLLMVDASSSRSSEMCVISQLFSCLPNIQSLHKIAIRIRNLNTELDKISKLEEASVVRRTRTSELLEPNLVGKETLLASMQLVELILRHKDETAHKIGIVGTALTTRAWLCVSQEYSKDALLKEVLRNIGVDYMLDETVGELSRKLGTAVENKTLFITWNDTVHRVQLMSYGTGWELLGKCMNINEEAEVQNLRDIGIKIVRMCGGLPLAIKVISSQCFLYCALYPEGHIMYRADLIRYWIVEGFVEEQEDELLEDTAEEYYNELIHWNLLQPDPFYVDCGRCRMHSLLRRLAQHLSQDECFCGDQQLLDFKSLSKLRRISIVPDKGSVRLPDIGKEQIRARTLIIHSTKPTIVENKIFKTLPHIRVLSLQHCQALHCLLLAITQLCQLRCLGLSGTPINQVPKGIGALNFLNDLQSIPVCGRAIDNFTLTQDGWDIEELSGLQQLRSLSMVKFEKAGPCSTDFLINKKHLKSLELRCTDEQYSEEEVSNTEETFEQLIPPHSLEYLEIGEFFGRRYPTWIGTTHLSSLTYLILSYCKSCVHLPPVGHLPNLKYLQIRGATTVIKIGPEFVSCGVGNPEPVGAVAFPRLEWFIILDVPNLEEWTLVSVEGEVSASSSEVREGSANVKQKGGASIPKMRLLPRLKCLQIIHCPKLKALPERLGQDATSLKTLEIRDLGCLKVVENLQSISTLVIAECEGLERVTNLPCVGQLKAENCLNLRFVDNLDNLKNLFLTDDMQEISSPWVAGLQEQYQKLHGEILDVYIWTDCSFKASQ >KQK95494 pep chromosome:Setaria_italica_v2.0:VIII:35024651:35028211:-1 gene:SETIT_026323mg transcript:KQK95494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCTEARRKELAAPIGGEEKGDTESPDRIPASVNEVATWRRECGGRQEKSNPRPVGGAGGRWRLVGTGRIPKSDPGHAVAAPPPSPAARRRRSLVASRQDEWSGRWLHVGPRDGRAHMSLGDSGGVEEGGRWVDSNVCHHQGTNTHRLVSAIPTGRSGGAARSSLPLESSGPGAYGMAGLIGARAGPGSLLPVRWSSATARPPPASKVAVRFASPLFRSAKVCKSRGLVAAALEVSKDGGTAVLANRQPSKGVIETLQNADAVCFDVDSTVIQDEGIDELADFCGAGKAVAEWTAKAMTGTVPFEEALAARLSLIKPSLSQIEECLVKRPPRISPGMADLVKKLKANNTDVFLVSGGFRQMIKPVASELGIPAENIIANQVLFGTSGEYAGFDPTEPTSRSGGKAQAVQQIKQNYGYKTVIMIGDGATDLEARQPGGADLFICYAGVQLREPVAAEADWVVSDFEELITKLP >KQK93632 pep chromosome:Setaria_italica_v2.0:VIII:2451824:2453366:1 gene:SETIT_026477mg transcript:KQK93632 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKGGLAAIFSSKPKLAAADTPWSWPSCAASPQTASFRRQGRHDGDDYRPCTTAGRHRSSEPTAAAGRLRPPRKAAAAGGDDNMYKMVNSVYFDATDSCRFFFDDDGDGWEAGGDDEVEDGLDDGSFSTTTASEEWSEAVIRSLGRTSTDRFFFDAGPGTAPASNSILAASPSPPLPCRTAARATLAPPHEEAPKQQALPSEVAAAASVLSDDPDSFSDSEEEEAPASASLVEESVAVALDSEDPFGDFRASMEEMVSAHGLRDWPALQEMLLWYLRINGKHNHALIVGAFVDLLVGLATGSSAAAATTTTTTTTTTATMTATTTTSACSTSSSSSSASSSGGDAITTSGTAAAAAAAAACIEEQCGGGSAGASCSSSDLEVDDGEGR >KQK95340 pep chromosome:Setaria_italica_v2.0:VIII:33546583:33547073:1 gene:SETIT_027156mg transcript:KQK95340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLRSLLLPSSDEPSPGCHCCHPPARRRARPWPLPPASPASANATAACATGGEERGEEKGEGRRLIFSLTSEPYMSGG >KQK93521 pep chromosome:Setaria_italica_v2.0:VIII:1659636:1659869:1 gene:SETIT_027375mg transcript:KQK93521 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPAAGGEEGEEDGESACAVCLEGYAAGDALRTMPCAHDFHEGCIVEWLSVSRLCRFRLPTQAEEDAAQAQQPPRRLG >KQK94368 pep chromosome:Setaria_italica_v2.0:VIII:13832244:13833456:1 gene:SETIT_028506mg transcript:KQK94368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGEVAKSFEDSVEAFLLRKVTVIINKEELDNLGDNPKVVLASMASLEVGFSHDIFVDMANEEKNCALY >KQK94008 pep chromosome:Setaria_italica_v2.0:VIII:7413242:7413915:1 gene:SETIT_026997mg transcript:KQK94008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKMFALFALLALCASATTATYVPLGAMNPCMQYCMMQQPYAMNPCMQYCMMQQALAMGRFASPASMMLQQPWTSPLQQYWTPRMMPSMMPFQQCHCGAISQITQQQQLPFMFNPMATVIPPMFFQQPFAGVPF >KQK94098 pep chromosome:Setaria_italica_v2.0:VIII:8751303:8754775:1 gene:SETIT_026975mg transcript:KQK94098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSICVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKKAIIERMGELEKLE >KQK94548 pep chromosome:Setaria_italica_v2.0:VIII:21628528:21629041:1 gene:SETIT_028598mg transcript:KQK94548 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCINLFHTVFFLTVTSIWLLPCIVIVTFHSFLAFSLFHPCFLLCCCWQKQTE >KQK95429 pep chromosome:Setaria_italica_v2.0:VIII:34392218:34393285:1 gene:SETIT_027556mg transcript:KQK95429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAVFSGRAQSASSIVAAAVEGSHVLTIDGYSRTKGLGNGKFIKSVTFDVGGHRWFIAYYPDGYDSESSGWISFFLKSDSSYSTKVKARFGFSLLDHVGETVPSYKVGSVICAFGSKNRSWGYPKFIKTKGLEESTYLKDDRFRVRCDVTVLKDEMEIRAENSSPFVTVPPSDVNTHLGHLLSSGVEADVTFQVGEETFAAHRLLLGARSSVFMAELFGPMKEKHTSHIKIDDMEPRVFKAMLHYIYTDSLPEMEKDGIFVMSQHLLVAADRYGLDRLKLICEDKLCNYVSTGTAATTLALAEQHGCKGLKEACFKFLRSPGNLKTILDSDGFKHLTASCPSLLSELLANVAP >KQK93460 pep chromosome:Setaria_italica_v2.0:VIII:1261549:1264185:-1 gene:SETIT_026860mg transcript:KQK93460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHLVRERLYFGDIKDAIAALTDSSSTPTFTHVLSVVSSASISFITDCRPGLAIPTEEVRRVVAGEEGAPPTAAVPPGTLMRVVERAGEGLRVTRMAVPLRDTEEENLLDHLEPCLDFIDDGRKVGNVLVHCFAGVSRSASIIVAYLMRSEQKPLEVEEGALEGKLSCIHCGARLGYFNWSGIQCNCGSWVTPAFQIVKSKVDISTI >KQK93783 pep chromosome:Setaria_italica_v2.0:VIII:4346343:4346900:-1 gene:SETIT_028427mg transcript:KQK93783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVGWTNRLQRVYSNDEEGQCWCSRKENHEEQLALESWRAPEPQQNLVSA >KQK93362 pep chromosome:Setaria_italica_v2.0:VIII:774472:779754:1 gene:SETIT_026114mg transcript:KQK93362 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFRSAGAMLRLLVWAALLLGCCHGRFVVEKNSLKVTAPDDLKGTYECAIGNFGVPQYGGTMVGFVAYPKANKKACKSFDDFDISYKAKPGAFPTFLLVDRGDCYFTKKAWNAQNAGAAAILVADDKDEPLITMDTPEESGRADYLENITIPSALITKSFGDRLKKAIDNGDMVNVNLDWREALPHPDERVEYEFWTNSNDECGPKCDSQIDFVKSFKGAAQVLEKKGYTEFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQVCVFKVAKEHKKPWLWWDYVTDFAIRCPMKEKKYTKECADGVIKSLGLDHKAIDKCIGDPDADEENPVLKAEQDAQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKALCAGFRETTEPAVCLSEEIQTNECLENNGGCWQDKAANITACKDTFRGRVCECPVVKGVKFVGDGYTHCEASGSGRCEINNGGCWKETRNGRTYSACTDDGCKCPDGFKGDGKHKCEDIDECKERTACQCKECKCKNTWGSYECGCSGGLLYMKEHDTCISKNGATETGWGFLWVIFLGLVAAGIAGYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGDVQSHSHHIEM >KQK95662 pep chromosome:Setaria_italica_v2.0:VIII:36954757:36956665:-1 gene:SETIT_027907mg transcript:KQK95662 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVGKERRSLAGATALVTGGSTGIGHAIVEELAAFSAPRRSWRSAAGGGPRRACRSPSPPATSACAATGRPSSIGTVKDTFAGKLDILVNNAAQLNFKPAVECTAEDYSRLMATNLQDYFSVDVQGKSKALGKRRCEAGTWSQGSSADVRMEQGRGRTAAQRDCQK >KQK95621 pep chromosome:Setaria_italica_v2.0:VIII:36611260:36613521:1 gene:SETIT_026150mg transcript:KQK95621 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKAMAASFFLCVALAAALAATASVGEAAVVEHTFVVHEMNVTHLCNTTKIYVVNGQLPGPALDVTDGDTVVVHIVNRLEHGLTIHWHGVRQIRSCWADGAGFVTECPIPPGGEHTYRFNVTGQVGTLWWHAHVTCLRATINGAIIIRPKDGKYPFPTPAKDLPIIIGEWWQLDLIELDRRMADGNFDDNPLSATINGKLGDLSNCSGVPEESFVLDVERGKSYLLRIINTALFSEYYFKVAGHTFTVVGADGNYLTPFETDMITVAPGETIDVLMTANAPPAHYHMIALANQPPEPDPQIPVFISRGLVRYAGVRGDNNGLPVPVPLMPSQHNTMPSYYFHGNLTGLAYPERHRVPMHVDERFFITLGLGSLCRGGKKVCKRRRSNETIVVATMNNVSFHHPTTTSLLERYYDGTGKGVYTEDFPEKPPHPYNYTNRDLIPPGPLEEALEPTFKATKLRRFKYNTSVEIVFQSTALLQSDSNPMHLHGYDFFVLAQGLGNFNPKRDVKKFNYHNPQLRNTVQVPRTGWAAVRFVTDNPGMWYLHCHFEFHIVMGMATAFIVEDGPTPETSLPPPPPEFKRCGANGLTRP >KQK94569 pep chromosome:Setaria_italica_v2.0:VIII:22445298:22446005:1 gene:SETIT_027370mg transcript:KQK94569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSEHVMSSSRWHPPSLAVLPTEVAIEITSRLAATSERPMDDLHSLWATCLFMHCVCGDDAIGRRMALAHFRCNMSWNELASYAALLTRLTLIGNPNAYFLTGIREFFREHRSHQPSLYELAHAITGGHNVAAYFVTLLYRNNGSAGDDDTARWFVRWVEGEENSAASNGSGPRMQSNKGCRLCREKATQMFGPMTPLPLAPVRGDLLCAGGGCGVTFGWPKKTLCCSEGCRMC >KQK95151 pep chromosome:Setaria_italica_v2.0:VIII:31402894:31404099:-1 gene:SETIT_028363mg transcript:KQK95151 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HASWADLPADILGLVVGRIPRADDRARLRSACRAWRAAARAHGRPPPPLPLLVLSDFAFSSFCADGAMTGARRIPLPSREMAVDVRCVGAFQGWLAGVQLNKGRYFGDGRCFLMDAFSRDVIRLPPPSVATHFIDAYSRSLPIANGSGAVHCTVNGAQYVMSFCKVVLSSSPDPGSKCVVAAISVHRSAAKLALWRPGMTSWCVCHGGCISKFSDIALYQGKVYMFSKLTTNLFVFDISEDDSGLMVSRVERCVTELPEVKDSYGQRWNIVEWHEKLLLVVTYLGGAEGWHNICKIGVFEVDLSTKPFRFTEINSLDGDCIFISPCSSNSFRACQYDGVEDDLIYFIDGGLYPAKNAPPFDRFVYSMRDGAMAPFAAEIPEGSLRAHDGSPMNPTWLFPSE >KQK94681 pep chromosome:Setaria_italica_v2.0:VIII:24991996:24992562:-1 gene:SETIT_027977mg transcript:KQK94681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVHTSSLPGAKKVKEILEGYPSWCKVKFRMEPEIFKIIADFLRRDNLLRDTRGVAIEEQLGMFMFMLLHNASNQRLQKPFQHSEDTTPPYRNRKETLS >KQK95239 pep chromosome:Setaria_italica_v2.0:VIII:32545021:32548851:1 gene:SETIT_028002mg transcript:KQK95239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHLIAKPQLRLLWLLLLCPALVLSRVLVEVPTPVAASNGTAPGPRPGCPSMCGDIHIPFPFGIGDGCSRSKGFAIFCDNSYNPARAFFGRRFEVKDITLETGEMRVFTEVAHICYNSSNTISSRRPLKYNFNGSPFLISSSRNEFTGVGCNTVALLSGNDLDEDDGRYLSGCITTCASLGDAADDVNCTGKGCCQTATPEGLDTVNVRCNKLTNNTARNHNSCSTAFLGEKGWYRNFSLNHQNGTGETSLHNRLGNRTIPLVLDWAMKKDGACLSANSTRVDVRNGLWYRCKCSDGYAGNPYVDGGCQTTMVASLLLVLLRKEHKRRVRSGFFDKNGGEIMKSMNINTFTELQLEKITNHYDTPIGKGAFGKVYRGTTHKNLRVAVKRSIVEGMKPSHDHDLVNEIAIQFQVSHANLVRLIGCCLETDVPMLVFEYVSNGSLYNVLHCGSTPRVLPLSARLDIAIGSAKALAYMHSHGGRSLVHGDVKTGNILLGDNLTPKVSDFGSSKLESIARHANWCVMGDMSYIDPVYIKTGRFTQKSDVYSFGVVLLELITRKTARYGNNNSLPVDFVKSCKEDGNGRKMYDRDIIFSDGDAHSHRCVECLDQIGMLAVRCLKEDRDERPSMAEPEPSLAGSSLCGSCPIASSEGNSS >KQK95441 pep chromosome:Setaria_italica_v2.0:VIII:34449980:34456724:-1 gene:SETIT_028376mg transcript:KQK95441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVLGLAKSAVEGTLTMAKTAIEEEKKFKKDVQRDLMLISDEFQMMHSFLSVTKERATDDMTRTLVRQVRNMALDVEDCIESGIYLDNKSSWWRQLLQPCMFMATPSVGLDDAIAGIELLKSRVEAMGQRNMRYRQIGDSSPKPAEKMHQQAVADATVMDTLLEARDGKMKLSDPRDLVMLINKKDDAIPLQVLSVWGAATDVGVASIIKKTSDNPEICKTFRWRAWVKLMHPFNHREFIRSLLTQFYANYSQERTVDFLKPIETIATEGALIDEFMKQVSEHRYLIFLEDISTMVDWEAVRIYLPDMNNGSCIVVHTQQLEVASLCVGQPHQVLELERLSDEHSICAFFNEKREDGERNAKTEAAEKWLKEFQHVGRQTNLYVLRSMVYRCDVISVFGIAGVGKSTLVKQAYYEAVTKHGRYEKFAWVDVSHPINLRELSRTLLSDLNSDSLQHGSNFRIKDPIQECCCVLHQHRCLIVINDLKSTEEWDMIKDTLAGHSQSCTIVITHRESVAAYCSKACCNVKGLEIDEALDLFKMRVSQKIGHRWAPDPDTTNAAKLILHKCGGLPKVIVAVADSMAPVLHYPEVWKVLRDRFVEILEGHREFRCLRDLFTWVHSYFRSCPDFLKPCIFYLSIFPLNQSIRRRRLVRRWVAEGYSRDTEENSEAKGITGPLRGHKESSAEETAEQFFFKLFLLCMIQVPGQRTLSSYMRMPRCQVNGFLREYIISRSMEENLVFALEGRCSINAQRTGRHLTIGSTWDRDRIVFGSIEFSRLRSLTVFGQWETFFISEKMRLLRVLDLEDVSSGVTNGDVEQMVKLLPRLKFLSLRGCKEVSRLPDSLGNLSQLQTLDIRYTSVVTLPQSITKLQKLQYIRAGTTVPFDVDTSTVESLPPPPPSAAAETRSLSTSSTSRSCGGLMSKLWLSKLSTHRRIAVRNGGIDVPRGIRLLTTIQTLGVIDVSSARGRAILEELKNLTQLRKLGVSGINRENCKEFCSAISAHGHLESLSVRLVVDQNLGCLDGITSPPENLESLKLYGHVEEKLPIWIKQLPNLTKLNLQMTKLTTDGIDNLSDLPNLRTLCLCFKEFEDGKLQFEGSFNSLKVLEIACNSRLQAVSFYHAVMFRFELLKLRCCSASPSLQFSGLEKLKALNEVWLSGSNDDVLKKHLKDRLLEHPSEKNPVLIEEPSSS >KQK94188 pep chromosome:Setaria_italica_v2.0:VIII:10613033:10615916:1 gene:SETIT_025972mg transcript:KQK94188 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIIQQIGTVYLTDELVNNWIGEVRKVAYRVEDVVDKYSYHVFQLMQEGFLKKFFIKGTHYSIVFSAITDEIADIEEEINQVIHMKDNWLHETQLVSNQQQLAEIERHRSEEQMAKAVLLVVIHDDLVGIEENRRLLTGWLNSDEQITRLITVSGMGGLGKTTLVTNVYEREKNNFTTHAWIVVSQNYDVVKLLRKLLRKIGEPEQSQLVDLDARDLKAKVKERLAGRKCLIVLDDVWNQEAYTQIRDAFQNLQASCVIITTRQEQVAVLAHPTRQLKLKPLDHGDAHVLFCRKAFYNTINCSCPDELEKLANNIVDRCQGLPLAIVTMGGLLSALPPIKQVWNETYKQFQDELTNNDHVRAILNLSYHDLPGNLRNCFLYCSLYPEDHLMSRESLVWLWVAEGFALQNEQSTPEEVADRYLRELIQRNMLEAVENDELGRVRTCKMHDLVRDLALSVAKEEKFGFAYDFGTLVKMDKGVRRLSSCGWQDKTSLKVKIQLPRLRTAVALGIIGSSPQLLSSVLSESTYLTVLELQDSEISEVPASIGNMFNLRYIGLRRTRVKSLPESIGKLSNLQTLDIKATKIEKLPRGVVKIKKLRHLLADRFADEKQSEFRYFIGVQAPKELSNLEELQTLETLEANKDLAEQLIKLTKLRSVWIDNISAADCANLFSILSKMPLLSSLLLSTSDENETLHLENLKPASENFHRLIIRGCWADKTLECPVFRDSGRNLKYLAISWCHLQEDPLQLLAPYVPNLTYLSLNRVSTADTLVLSKGCFPQLKTLVLKRMPNVDKVEIRVGALQQIEGLYVLSLLKLDKVPQGIESLRSLKKLWLLSLHKDFRGHWDSKKMQQKMQYVQELRI >KQK94631 pep chromosome:Setaria_italica_v2.0:VIII:23745778:23747180:-1 gene:SETIT_026830mg transcript:KQK94631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRRPWRCYRQIKNKPYPKSRYNRGVPDPKIRIFDVGQKRRGVEEFPLCVHLVSWEKENVSSESLEAARIACNKYMAKHAGKDAFHLRVRAHPYHVLRINKMLSCAGADRLQTGMRGAFGKPTGTCARVGIGQVLLSVRCRDAHAAQAREALRRAKFKFPGRQRIITSGKWGFTDFSRAEYLKLKGEGRVVPDGSNAKLLTWHGSLADRKPSRVVYPPSVAEHA >KQK95346 pep chromosome:Setaria_italica_v2.0:VIII:33605782:33607222:-1 gene:SETIT_026367mg transcript:KQK95346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVHTSKAVKPAYGGGIGGDATAVPLTVFDKANFDQHISGINFFRQPAPPNAALAAGLARALAEYREWAGRLGVDAAGSRAILLNDAGARFVEATADVVLGDVMPLEPTPDVLRLHPDGDGAEELMLVQVTRFKCGSLAVGHTIHHAVADGRAACNFLLAWGQATRGAAFDPVPAHDRASLFLPRQPPRVAFEHRGVEFKPREEKKPGRRSDVAVVDNDDEVVVQRVRFSREFVAELRSRASAGAPRPYSTLQCVAAHLWRCITKARGLDAREITRLCVAVDGRMRMRHPPVPEGYTGNVVLWARPAAAAGDLVSMPLRLAVELISREVARVDDSYFRSFIDFASSGAVEEERLVPSADSSETVLSPDVEVDSLLHAPFYDLDFGGGPPFFFMPSYLSVEGTVFIVRSFSGDRSMDAYVPLFRRAMDTFNKCCYSLAMADARL >KQK95397 pep chromosome:Setaria_italica_v2.0:VIII:34135545:34138731:-1 gene:SETIT_026055mg transcript:KQK95397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVRFLQLGLGFLLLLAAKHDPALAVPSSQCQTQCGGVEIPYPFGIGDNCSLSSSFNVSCQIHDGVYKPFLGFVEVLNISLAQGTIRVLNQISTYCYNNSSGQMEDNRWWLDIRGGPFRFSDVHNKFTVIGCNTLAYIYDNTSTGYLSGCVSTCRNLSDLADGPCSGIGCCQTAIPRNMGYYEVLFSRGFNTSKVQRFSPCSYAVLMEAEAFNFSTKYISTTTFNDTRIGRAPVVMDWAISNKTTCEVATGTYACLSTNSGCVDSANGLGYLCNCSKGYQGNPYLQGGCQGVAVGSLVLVIFSSFGYMILQKRKLNQVRQEHFREHGGMILFERMRSEKGLAFTVFSEAELIQATNNYDKSRIIGKGGHGTVYKGIVKGNMPVAIKRCALIDERQKKEFGQEMLILSQINHKNIVKLVGCCLEVEVPMLVYEFIPKGTLFELIHGKNQALQISFSTLLRIAHEAAEGLNFLHSYASPPIIHGDVKTANILLDENYMAKVSDFGASILAPSDKEQYVTMVQGTCGYLDPEYMLTCQLTEKSDVFSFGVILLEVLTGQEPLKLDGPETERSLSSNFLSSMKENNLDAILPSHVKGQENNELIRGLAELAKQCLDMCGSNRPSMKEIADELSRLRKLSLHPWVQVDVEMEAQSLLGGASTASFEIEGATSIGYPTQEGESLPMNPGSSYYAR >KQK95456 pep chromosome:Setaria_italica_v2.0:VIII:34544609:34548048:-1 gene:SETIT_025864mg transcript:KQK95456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVVGALPSLVHKLGELLVGEYNLQKEVKGGIIFLRAELESMQGALEKISRTPADKIDNQDKIWARKVREMSYDIEDNIDKYIMQCKRRKMAEQHSFKEAIDRTLKLFTQPKIRRKIAIEIRDIKSRVIEVHERRRRYEVSFGVDKHVTVDPHLFAQRYQELVGIDEARDELISKIMIEENEVPKKQGRIVSIVGFRGLGKTTLANAVYKKIRAQFDCYAFVSVSQTPDLTKLYKGLLYGLSKSINEETLDERRLIEVLREFLEDKRYFVVVDDVWDISVWKMIRCALPDNDVGYTIITTTCISHVAEQAGGAYNMKPLSLNNSRKLLYRRIFGNGNKDNNEEEEKCPHEELAEVSDKILKKCAGVPLAIITMASLLAYKERDETEWYGMYNSVGTGLENNLDVENMRKILSFSYYVLPYHLRACLLYLSIFPQDYKIEKDRLIRMWIGEGFIPGENSGKSLFELGESYFKELINRSLIQPLRKSLDGMISRCRVHGMMLDLICSLSSKENFVTVLSGGTSPSNMIRRLSLRNGQESHHTQATWSLQHARSVVVFPASVPLVPPLDRCRVLRVLDLQDCHLSQCYNLKDLGNLVHMRYLGLRSTHIAQLPEEIGNLRLLQTLDVTGNGISMLPSTVVQLKCLMFLRIDSWTRAPNGIGSLTGLEELSTLCIHDSTDIIKELGHLRKLRVLGIDCRTLQNNSFRIHLLECLHKLQHIESLSISVFGECDLDAWVAPRHLNRLELMGCWSSKLSYLINPFSLLGLSFLSIELNKLQQEDLEILGRLPALTYVDVEVNHENLGTRRLIVGASSFPCLVQCVLRGSIGPVAFQQGAMPRLESLCFAFPVLKMREISNCDGNFDLGLGNLQSLRNVTVSFLSGGASEREVDEAEAALRNEITMHHNHPSLEIH >KQK95457 pep chromosome:Setaria_italica_v2.0:VIII:34544609:34548990:-1 gene:SETIT_025864mg transcript:KQK95457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSAASPNGHGGHDETPSSRPAATVAEEAINRTQRPPPSSDKHAGMRKPEINRNEFAESRYASFHVWAGPTVCVFPHASGPHHAAASLAQATNSSSAASWNQSSGGNRHSGDKERAATGESSLTSILCARLQTSESTRDKSMEIVVGALPSLVHKLGELLVGEYNLQKEVKGGIIFLRAELESMQGALEKISRTPADKIDNQDKIWARKVREMSYDIEDNIDKYIMQCKRRKMAEQHSFKEAIDRTLKLFTQPKIRRKIAIEIRDIKSRVIEVHERRRRYEVSFGVDKHVTVDPHLFAQRYQELVGIDEARDELISKIMIEENEVPKKQGRIVSIVGFRGLGKTTLANAVYKKIRAQFDCYAFVSVSQTPDLTKLYKGLLYGLSKSINEETLDERRLIEVLREFLEDKRYFVVVDDVWDISVWKMIRCALPDNDVGYTIITTTCISHVAEQAGGAYNMKPLSLNNSRKLLYRRIFGNGNKDNNEEEEKCPHEELAEVSDKILKKCAGVPLAIITMASLLAYKERDETEWYGMYNSVGTGLENNLDVENMRKILSFSYYVLPYHLRACLLYLSIFPQDYKIEKDRLIRMWIGEGFIPGENSGKSLFELGESYFKELINRSLIQPLRKSLDGMISRCRVHGMMLDLICSLSSKENFVTVLSGGTSPSNMIRRLSLRNGQESHHTQATWSLQHARSVVVFPASVPLVPPLDRCRVLRVLDLQDCHLSQCYNLKDLGNLVHMRYLGLRSTHIAQLPEEIGNLRLLQTLDVTGNGISMLPSTVVQLKCLMFLRIDSWTRAPNGIGSLTGLEELSTLCIHDSTDIIKELGHLRKLRVLGIDCRTLQNNSFRIHLLECLHKLQHIESLSISVFGECDLDAWVAPRHLNRLELMGCWSSKLSYLINPFSLLGLSFLSIELNKLQQEDLEILGRLPALTYVDVEVNHENLGTRRLIVGASSFPCLVQCVLRGSIGPVAFQQGAMPRLESLCFAFPVLKMREISNCDGNFDLGLGNLQSLRNVTVSFLSGGASEREVDEAEAALRNEITMHHNHPSLEIH >KQK94570 pep chromosome:Setaria_italica_v2.0:VIII:22451599:22455060:-1 gene:SETIT_028266mg transcript:KQK94570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VSYPDRSYYDTADYECAFCSCMFWYGERSKQDSTQHHMIYNLCCRGGKVFLPSFQVMPEFLASLEHCDRDSRCKNFLNRIRQYNSLFAFTSMGANMDKGINDGAGPYMFKINGLVYHRIGPLMPAEDESPKFAQLYIYEIEHEIRNRISAIVSEDSDDTNLDPDIVNAIQKARDLLAQHGGEHIGIRIVGAHEDDPFQFNVATTSEIAGLVVGDFSLENYKRDIIVDSIPCSLQHISCLHPAYMALQYPLLFPYGEQDFCLGIPYRYQPNPYLCCGRLSFQSSVDIFACIEECRLTWIADHQDDFRCEHFQGITDAVSRGCIDGTSIGKERVVSVSFVGESVELECGQQHSDRPDVGCRVYHMKLSKLMDDIKSGSVFGPIVAVLQSVEFQKRGLPHAHILVWLQDIAVADIISIIDKYISAEIPDPEEDPLGYALVEEFMMHGPCGDDNKNSPCMKNGSCSKHFPKQFQAETTTDGIGFIMYKRHDKGRYIIKNGVKLDNRYVVPYNMFLLKKYQAHINVEFCNQTGKRKASSSSTFLEAYWRIFCFKLHHKIPAIERLAVHLPNMNMAPYATGANLASLIATPFFQKTTLTEWFVANRNYPAAHDLSYCDFPTRGNGTYKIGRMYNIHPSPGENYYLRMLLLLPKGAQCYEDVRMVDGILYDTCWRSMADDIEYFFQKCMGSSSYFVPDSQLRGFGNRLIHDEDAYDIATLVDQAPLLYSRLNDFQRTAYDSIVRSVMNNEPAFYFMSGFGGTGKTFLWNSIITYVRSLGKIVLVVASSGVASLLLLDGRISHSRFKIPIDIDETRICDIKRGTMLADLVKKGSLIIWDEALMTHRRCFEALDHSLRDIMSKNDADMGSLPFSGMVVVLGGDLR >KQK95781 pep chromosome:Setaria_italica_v2.0:VIII:37996939:38002752:-1 gene:SETIT_025934mg transcript:KQK95781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVTGAIGSIISKLAELLAAEYKLQAGVKQRIQSLTRELESAHAFLQEIDKVPPDQLNNQVKIWACEVRDASYDMEDVLDTFLIHAKDPNPVNKNKNMLKRLKEKIDTLFSKTMARHDIANAIEEVNKKLKEIAERRQRYKIDDCVASPATPSSCIDPRIAPVYSTSASMLIGIDESKEAVIKMLSHDDMKTRVVSVLGFGGLGKTTLAKATYDQLKQNYEYLIVIDDVWETQSKKRIIDQVLSTQNNKGSRIIITTRNSEVATGDEVYKYKLQPLSDDNSKELFYARIPEDKCPDNQRPKVSEVVDKILKKCGGVPLAIITMASLLVGKSMQEWFEVCNSIGFRDKDKEQVDDTLWILSLSYYDLPSHLKTCLLYLSLFPEDYLIKKDALIWMWVAEGFVNEKPGIGLYEIGEGYFNNLVNRSLIQPVEDEETKIINGCRIHDMILDLIRSLSREANFAMVFDNRTEDTLLGSNARRLALHNDAPLNNHTDTARAWSFFAFMRHSVPRVQFRSFKLLRVLYLESIFHRLPFYEHIGDLLHLRYLGTSRSSYEDLELPKEAAFKFLRVLYLDDNILSASEHPRELLPSSVGMLTQLVCLRAVDYSLPGEEIKNLTSLQELHIRPGTWGDEKSIGQFVKDLGNLRELRALRIFTGHFKDKRMQSDFVNSLGNLHNLQRLQLFGASVTIEDGEGARENKWQLPLPLPRGLCHLVLDTVPELPPCIDPTRLPNLSHLTLTVDEMGDQGLKILGELPELHYLKLTLSTRCRSKATITGTAAHGYFRKLRSCELPFSTVQFVVNEDSSVSFTISTRVFHHSQFRFKKKQDNCRVAGALMPNLEVLSFRNDVEELTDCNLGLECLPSLQKLTVVLLTGWGLPDDVANNLTGRVEAALRHTIQAHPNRPTLEIDRYDYCYNSSESDNDDSY >KQK93475 pep chromosome:Setaria_italica_v2.0:VIII:1375837:1376588:-1 gene:SETIT_027008mg transcript:KQK93475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPEYGSYGKASRKSDVFSYGIMLLEVFTGKRPTDPMFVTDLSIRWWVRQAFPAQLASVLDDRLLQGVSSSAGNLNDFLTATFELGLICSSDSPDQRMSMRDVTVALKKIKKDYTESIISATTTSATL >KQK93682 pep chromosome:Setaria_italica_v2.0:VIII:3019324:3021468:-1 gene:SETIT_026668mg transcript:KQK93682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQEIEHSYLPIGRLKLHIAPIGKGEVGTLLFVHGFPEVWYSWRHQMVAAAAAGFRAIAPDHSGYGLSEPPPDLAQASWEGLMKALLAILDFLAISKVFLVAKDFGVKPAFDLALCQPDRVCGVVTFGVPPLVESLGFSGLPEGFYIYRWRETGRAESDFGRFDVRRIMRTIYILFSRSEVPVAKQGQEIMDLADDSTPMPEWFREEDLSAYTNLYEKSGFITALQIPYRTKPAKAEYAKPRFEVPMFVIMGQKDYILNFPALKDYMSSEKLKEITPDLEITYIPEGSHFVQEQFPELVNQLMIDFLCKHA >KQK93721 pep chromosome:Setaria_italica_v2.0:VIII:3581141:3582448:-1 gene:SETIT_026522mg transcript:KQK93721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASAKRFLLPCVLLLVAVSLNGCLLSATANSLADDDNDDGLMLARFQQWKAEYGKSYATAEEERHRFQVYAGNMRYIESTNAVAEAAGLTYELGETEYTDLTNDEFMALYTVPPQTQLLAEEYEEDTALITTRAGSVDATGHAAAYTNFSAAPASVDWREEGAVTPVKNQGSCLSCWAFATVAAVEGITKIRTGKLLSLSVQQLVDCDKLDSGCKGGIHFRAMEWITSNGGIATEADYPYEAQEGTCDRSKLPAATISSCGRVAPKSEVSLQNAVAMQPVAVSIEAGGTNFQHYKKGVYNGPCGISLNHAVTVVGYDQQGGDEYWIVKNSWGAKWGENGFIKMKRNIAGKPEGLCGIAIRPSFPLKE >KQK95855 pep chromosome:Setaria_italica_v2.0:VIII:38962483:38967461:1 gene:SETIT_025969mg transcript:KQK95855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQDGILSGFVRRCVGSPLAAKAFGSMLSNRTSVNEWKDVLAKSDICSEKTGILPILKLSFDDLSSDMKQCFAFCALFPKDYEIDVDLLIRLWMAHDFIPVQEDDNLETIGKYIFEELTRRSFFQDVRQTLQFDYFGSRLSLRKSTICKIHDLMHDIALSVLGKECVTIVGKPSVNKLLLNPTRHVFLSLYGFNPTFWKEQVTSLLDHLLKKQTAMLHTLFIKDYGQPLDISKYTSLRALHLPANEELRYPNLSPHEQLTRHIQHLRYLNLSSHWFEKLPEGISIMYHLQTLDLSHCKYLRHLPKDMKYMANLRHLYTHGCISLTCMPPGLGQITSLQTLTYFVIGDGLGCSTIGELQNLNLGGELELSGLQNVTEVLAKAASLENKERLTHLSLAWNDDAREKPDSHNEVLDALKPHHRLEMLRIKSYKGTKLPSWITDLSLLQHLTELQLVGCRLCEEFPQFCHFKALEVLYLKKLDKLQSLCSHMVSTPFPALKQLQLHDLESLERWVATEGKEDELSFPVLEEVEIENCPKLTSLPEAPKLKVVKLDEGKPLLSLGIVKSRHMSSISKLLLRVRDTEALPQIDYNWDSSQKLELSLGGTEAAPLSQLSIFGCNFLFVSSHSQLTPGVWKWFEHLAVLTIAKCDVLIYWPEEVFQSLVSLKYLFIFSCNKLIGPTQAKGDKPTQTTDQVLPHLNMIRIHNCESMAQLFILPPSIRIIHIFECPKLEFIWGKEEHLDTYASLEHCRDPASTTGNLEQSPSPIIRRPCLVDLTIRGCDSLVTLPNLPPSLKELFIRKCEKLCSVSGDLCALETLQIVNCNKLQSVNSLGDHPSLETLFLSGCRCLASLGCDGGRGSYSALQCREIEDCPAIDMKQFY >KQK95856 pep chromosome:Setaria_italica_v2.0:VIII:38962483:38967461:1 gene:SETIT_025969mg transcript:KQK95856 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQDGILSGFVRRCVGSPLAAKAFGSMLSNRTSVNEWKDVLAKSDICSEKTGILPILKLSFDDLSSDMKQCFAFCALFPKDYEIDVDLLIRLWMAHDFIPVQEDDNLETIGKYIFEELTRRSFFQDVRQTLQFDYFGSRLSLRKSTICKIHDLMHDIALSVLGKECVTIVGKPSVNKLLLNPTRHVFLSLYGFNPTFWKEQVTSLLDHLLKKQTAMLHTLFIKDYGQPLDISKYTSLRALHLPANEELRYPNLSPHEQLTRHIQHLRYLNLSSHWFEKLPEGISIMYHLQTLDLSHCKYLRHLPKDMKYMANLRHLYTHGCISLTCMPPGLGQITSLQTLTYFVIGDGLGCSTIGELQNLNLGGELELSGLQNVTEVLAKAASLENKERLTHLSLAWNDDAREKPDSHNEVLDALKPHHRLEMLRIKSYKGTKLPSWITDLSLLQHLTELQLVGCRLCEEFPQFCHFKALEVLYLKKLDKLQSLCSHMVSTPFPALKQLQLHDLESLERWVATEGKEDELSFPVLEEVEIENCPKLTSLPEAPKLKVVKLDEGKPLLSLGIVKSRHMSSISKLLLRVRDTEALPQIDYNWDSSQKLELSLGGTEAAPLSQLSIFGCNFLFVSSHSQLTPGVWKWFEHLAVLTIAKCDVLIYWPEEVFQSLVSLKYLFIFSCNKLIGPTQAKGDKPTQTTDQVLPHLNMIRIHNCESMAQLFILPPSIRIIHIFECPKLEFIWGKEEHLDTYASLEHCRDPASTTGNLEQSPSPIIRRPCLVDLTIRGCDSLVTLPNLPPSLKELFIRKCEKLCSVSGDLCALETLQIVNCNKLQSVNSLGDHPSLETLFLSGCRCLASLGCDGGRGSYSALQCREIEDCPAIDMKQFY >KQK94287 pep chromosome:Setaria_italica_v2.0:VIII:12546098:12547268:1 gene:SETIT_027427mg transcript:KQK94287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAPIVYDRLSELKAFDDTKAGVKGLVDAGITAIPRIFRHPPDPYTPPDHHADSIIPTIDFTGAARRAELVAEVKKAAETVGFFQVINHGVPATVMSEMLDGLRAFHEEPAEAKRPYYTRDMGSRVRYHSNFDLFQSPAATWRDTLYLDMAPIAPAPEEIPPACREVVFEYTNHVQMLGGSLLELMSEALGLHRRYLSHDAGCLDGISIVGHYYPPCPEPDLTLGTTRHSDPSFLTVLLQDGVVGGLQVLVGGRWVPVPPVAGAFVVNVGDFLQLMSNDRFKSVEHRVVAVGAAGPPRVSVACFFRPRGVAASTRVYGPIVMDAAAGPRSPPRYRSITAEEFINHYMDKGLVGKSALDHFRI >KQK93675 pep chromosome:Setaria_italica_v2.0:VIII:2961101:2966068:-1 gene:SETIT_026085mg transcript:KQK93675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYYHGGAGTDIQASTDGLQTLYLMNPSYTAGYADAGASPPGPANMMLLNSAVSTMTPASFGHHQQSPSAAQQHFVGIPLQAPPAGYNLWAPATSGAADMSPPQAQTPGAAGVSAVLSLSSREAPPVTVAAVGGADEGKYHLVGASATSQGQMVMSSKYLKAAQELLDEVVSVSKGVEEAKAATKSLSAVKKKEDSEGVSGGGTEDGGGAKSGSAAPELSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMQAVSASFEAAAGAGSARTYTALALRTISRQFRCLRDAIAAQVRAASRALGEDADAAVAAGGRTVGSRLRYIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDGGNDEGKSGGGTKSGDTSNGVDGVTPRADGGSGAAMSKAAGRVGAEGASSAKGVGGGVHGSTLLELAGDQHTAHPGFYEDEGDDADDVERRLKKARGDEPGAPFHSHHVHDMAALHAQAAAAARQQHEEVSHRELLMKFMESGGGGAGARDQHHQDGGGYSLFAPGPYGQFGSEPFAFAGNGGVSLTLGLPHGAGGGAEQTASFLMGSSAGGDGGSHGGAGGYDMNMQSTKSFAAQLMRDFVA >KQK93677 pep chromosome:Setaria_italica_v2.0:VIII:2961599:2966096:-1 gene:SETIT_026085mg transcript:KQK93677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYYHGGAGTDIQASTDGLQTLYLMNPSYTAGYADAGASPPGPANMMLLNSAVSTMTPASFGHHQQSPSAAQQHFVGIPLQAPPAGYNLWAPATSGAADMSPPQAQTPGAAGVSAVLSLSSREAPPVTVAAVGGADEGKYHLVGASATSQGQMVMSSKYLKAAQELLDEVVSVSKGVEEAKAATKSLSAVKKKEDSEGVSGGGTEDGGGAKSGSAAPELSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMQAVSASFEAAAGAGSARTYTALALRTISRQFRCLRDAIAAQVRAASRALGEDADAAVAAGGRTVGSRLRYIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDGGNDEGKSGGGTKSGDTSNGVDGVTPRADGGSGAAMSKAAGRVGAEGASSAKGVGGGVHGSTLLELAGDQHTAHPGFYEDEGDDADDVERRLKKARGDEPGAPFHSHHVHDMAALHAQAAAAARQQHEEVSHRELLMKFMESGGGGAGARDQHHQDGGGYSLFAPGPYGQFGSEPFAFAGNGGVSLTLGLPHGAGGGAEQTASFLMGSSAGGDGGSHGGAGGYDMNMQSTKSFAAQLMRDFVA >KQK93678 pep chromosome:Setaria_italica_v2.0:VIII:2961599:2965758:-1 gene:SETIT_026085mg transcript:KQK93678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYYHGGAGTDIQASTDGLQTLYLMNPSYTAGYADAGASPPGPANMMLLNSAVSTMTPASFGHHQQSPSAAQQHFVGIPLQAPPAGYNLWAPATSGAADMSPPQAQTPGAAGVSAVLSLSSREAPPVTVAAVGGADEGKYHLVGASATSQGQMVMSSKYLKAAQELLDEVVSVSKGVEEAKAATKSLSAVKKKEDSEGVSGGGTEDGGGAKSGSAAPELSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMQAVSASFEAAAGAGSARTYTALALRTISRQFRCLRDAIAAQVRAASRALGEDADAAVAAGGRTVGSRLRYIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDGGNDEGKSGGGTKSGDTSNGVDGVTPRADGGSGAAMSKAAGRVGAEGASSAKGVGGGVHGSTLLELAGDQHTAHPGFYEDEGDDADDVERRLKKARGDEPGAPFHSHHVHDMAALHAQAAAAARQQHEEVSHRELLMKFMESGGGGAGARDQHHQDGGGYSLFAPGPYGQFGSEPFAFAGNGGVSLTLGLPHGAGGGAEQTASFLMGSSAGGDGGSHGGAGGYDMNMQSTKSFAAQLMRDFVA >KQK93676 pep chromosome:Setaria_italica_v2.0:VIII:2961599:2966155:-1 gene:SETIT_026085mg transcript:KQK93676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAYYHGGAGTDIQASTDGLQTLYLMNPSYTAGYADAGASPPGPANMMLLNSAVSTMTPASFGHHQQSPSAAQQHFVGIPLQAPPAGYNLWAPATSGAADMSPPQAQTPGAAGVSAVLSLSSREAPPVTVAAVGGADEGKYHLVGASATSQGQMVMSSKYLKAAQELLDEVVSVSKGVEEAKAATKSLSAVKKKEDSEGVSGGGTEDGGGAKSGSAAPELSTAERQELQMKKSKLINMLDEVEQRYRQYHGQMQAVSASFEAAAGAGSARTYTALALRTISRQFRCLRDAIAAQVRAASRALGEDADAAVAAGGRTVGSRLRYIDHQLRQQRALQQLGMMQGGAWRPQRGLPERSVSILRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEETKDQDGGNDEGKSGGGTKSGDTSNGVDGVTPRADGGSGAAMSKAAGRVGAEGASSAKGVGGGVHGSTLLELAGDQHTAHPGFYEDEGDDADDVERRLKKARGDEPGAPFHSHHVHDMAALHAQAAAAARQQHEEVSHRELLMKFMESGGGGAGARDQHHQDGGGYSLFAPGPYGQFGSEPFAFAGNGGVSLTLGLPHGAGGGAEQTASFLMGSSAGGDGGSHGGAGGYDMNMQSTKSFAAQLMRDFVA >KQK94437 pep chromosome:Setaria_italica_v2.0:VIII:16603240:16603392:1 gene:SETIT_027840mg transcript:KQK94437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMLFHDCTIQYCNLVCNSYEKTVNKVVRTQDWWFHTNVRASNLQDGKLC >KQK95249 pep chromosome:Setaria_italica_v2.0:VIII:32628346:32630932:-1 gene:SETIT_026390mg transcript:KQK95249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGDQRMILALDSATSSSSAPGLTLSEDLTSGSSNCVTESLQVPCAQKGGQVEGLVNCYRKKNKVGSGSGNPAIEGWGSNMEDGDQNGEVNTSELISGIGRELAISCLLRLPRSYYYDVACVNRSFYSLVRSGELYRLRREARIVEQMIYCSCNVLEWDGFDPCRQRWFSIPSMPPIECFTLADKESLAVGTNILVFGRRVEAHVVLRYSLLTNSWTTGEMMNTPRCLFGSASFGEKAIVAGGIGENGTLSSAELYDSEMRTWTTLPSMNRARQMCSGFFMDGKFYVIGGKSEKHNEILSCAEEFDLENGTWRLIPDMARGLNGGCGAPPLVAVVNNELYAADYASREVRKYDKENNSWITLGSLPGRYTSVHGWGLAFRSCGDMLIVIGGMSLGGSGVIEICSWVPNNGLPDWKIIGTRHSGSFVYNCAVMNC >KQK93737 pep chromosome:Setaria_italica_v2.0:VIII:3683283:3686445:-1 gene:SETIT_026709mg transcript:KQK93737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSGYERSREAAVMVDALARVVAGGAPAAGARQVVSPAGAWPGYDYDALSPSYSAQAHEYGAALATPPQHSPAAAAASPGSSQQIPSPSSADTSAGRSGGGAPRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALGFRGSRAKLNFPESATLRPPSAPQVAAVPPPPPQRPEALLESQALAAGAGGGEYSEYARFLQGAGEPPRFFEPTAPAAPGSSSFPVFFSFGGGDGGSDGASHHPWPPASTGTSNSGAGHPPPPATWADSGWWPAPPRDPSAG >KQK95556 pep chromosome:Setaria_italica_v2.0:VIII:35577845:35578433:1 gene:SETIT_027205mg transcript:KQK95556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAERLMRPTDEQGLLRYACRCMALQAPVNYLLRPAATWAEAFGTEGMPWIGKLFFRTALICWCR >KQK95334 pep chromosome:Setaria_italica_v2.0:VIII:33491602:33493239:-1 gene:SETIT_028382mg transcript:KQK95334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCMLYLLVFPREQTFRRGRLVRRWIAEGYAKGTESDSLDEFAGELFDKLTSQSVMQQVTPTNMGTRYEVNGFFHEYMISRPVEEKILFPLEVSVLQEGYRRLTTQGVGQHLSIWSSWVGNRVVFDNLDLSRLRSLTVFGWWEAFLVSDKMKVLRVLDLEDAGDGVTNDDVEKIGKVLLRLKFLSLRGCKNITRVPDSLGGLRQLQTLDIRQTLVATLPVSITKLEKLQYLGAGTTSVPLGDHTSNPSPEATSATADPSLSTSGPCAPTLKSLFHVPKSWILRYQQLPDSRNGGVVVPGRIGQMTALHTLGVIDVSVASGRATLKELKNLTRLRKLGVSGVNSENLWFDKGVNRAGCLDTISQHPVKLQSLKLYGLVDSNLPTWINLLTNLGKLNLQMTTIPQDEVEALGNLPKLRTLCLHFKEFQCGKLDFCTGFPALQLLQVACNSRSQAVTFHAGAMHELEVMNLPCHNVPSSLRFYGLEKLRKLKEISLSGSYDEAMKQQLRSQLAAHPREMKPFLKVEPRSS >KQK95943 pep chromosome:Setaria_italica_v2.0:VIII:39896152:39898196:1 gene:SETIT_026610mg transcript:KQK95943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDQIGLLCHGSEGGFTVVDFTNLDPEGELCLLHHPALPASASHKNTEEEEADWMIKKVRVPPGPNFRRWITDAIIPLHGRFLCLVDNYQGILVVDVLRAASVKSATDQLLHYIPLPDEALQSDRRPHPDGDCPDRARCVCVTADFTLKLVCVTTRKANRARSPFTIRSWTLPNFYRSGQWYRGHTMEAAEFWGLYNGQSLPRVKPWYPLVSLVNPNEFCFLLKEDHTTYWIIEVDMGNKMLKSSAIYINEEEEGCTTDRPRARRIVFDGHSFIPSRISYYLGMDDANKSQELSEMMQKAKQRRVAQKKSQLEVEQAESKEHVAESKAAKCRA >KQK93878 pep chromosome:Setaria_italica_v2.0:VIII:5438715:5440461:1 gene:SETIT_027386mg transcript:KQK93878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKYIIPNYLRRCIWLAYTSRDVLAIYALATIFNRNARASEHAGMATSLEDLWAPLLLVHLGGRDEITALNIQENEQWTRHAMTIVSQVTVALYAFYKSWPRAADGRILLSAIMLFISGIIKFCEKPLALRSASINRLVPVSSIIKGKKRKPNAWERCFTEVDARYKSCWKKGPRQEDQPILSEMDQVQVILSDISLLAAVGKEKKKHVLAPLKPGLIYTRANVIYAPAYMACDFLLVPALYIAAITLFVTGDKKGYNTTDMKMTYIFMVFTAVLDVVGMLISWLVYGLMSKTRKPALCMTLPKYHLIDSVAKRMKPKTGCLLKSDVTEFVVYKLLKPGKVEGLDLSSYRILSLTEHNWALSDDLREYVRDKGPESTIRRSYSRTRRAYRSTVKDYPLFHDACKLAEELMAMGQEEEGQEKRSKLMFGVWTGMLCYSASMCRGYLHAKSLGEGGEFFSYIWIVISLKGGQDIGRQASDVRGSDDVWSPNRGVRTRYPVAVWSWCVNIVLVLLPASSLAAYRVLSIYFACCCRMDVHAVACD >KQK95331 pep chromosome:Setaria_italica_v2.0:VIII:33399073:33400770:-1 gene:SETIT_027599mg transcript:KQK95331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDEAGIIINKCGRLPKLSVALVKYLADVRNGILEARRLNANFMYSLNNSKGLDSFQDIFAWIYSNFQACPQLLKKCVFYLSLFTQSSMIRQSRLVRRWIAEGYSEGTDSNSKVEYTEKLLHELANLGITEHPHQTPTVAGCQINSLFLEYVISRETEENIFLPLEVSVLQGEGSLNTQRVGQHLAIGSSWKRDKFVFDNMDLSRLRSLTVSREWRPFLISDRMRVLRVLDLEDTNVADGDIEQVVKQLPRLKFLGLRRCTKISCLPESLGDDLRQLQTLDIRHTSVTKLPKSITKLQKLQYIRAATSLRLMDEEPSTPWRTSHGHVDACNGIIVPRGIGALMALHTLGVVNIGAGGGKAILNELKYLSQLKKLGVSGINRSNIKGLLSAIFGHSHLESLSLQLHKDDKDLEWLGKITPPNNLQRLKAYVHVVKYPHWSYLQGLGQLKRLHTLSLRFETDQDVELQFCDNLDRNRSSTHRQFSELKVLEIVCSSNLHVMFAGGEMFELKVLKVHCSTGASLQLSRIERLHSLKYVWLKGSFDDTTKEELRRKVTQHPNKPNWKLD >KQK95565 pep chromosome:Setaria_italica_v2.0:VIII:35623733:35628075:-1 gene:SETIT_025853mg transcript:KQK95565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLDEFASKLVGILAGMVKEEVEMLLGVPGEVSKLETTLRDLSHILGDAERKRIRDKATEGWVRELKDVMYDLDDVLDLCQLMEGGEDPPAPTSAPKTTSRFWDIKMFFCFRNPVVAHEIGTKIQAINQRLEDLAKRSSRFRSITQTIHSSADSINKTLTEETGSVFIRSDVVGEKIEDDTKKIVDLLIKKVDAPAGSRVNNDVVVAAAITGIGGIGKTTLAKMVFADSRVEENFEERIWLSVNREFDEINVLQSIIASFGAKHEGCAGNKDLLQRALKDTIRQKKKFLLVMDDVWSENVWYALLREPLSHGASGSRVLVTTRNNGIAHGMKAQHLHRVDKLTTEDAWILLKNQVVLNESDEADVDELKSIGMEIVERCDHLPLGVKVLGGLLRRKSRTRDAWMDVSSHNTWSTTGIDEDINKAVYLSYEDLPSHLKQCFVYCSLIPKDVMIGMRVIVELWIAQGHVDNKTSSKALEELGEEYYNELVSRNLLEPDKSNYSIEACSMHDVVRSFAQYIIKDEGILISDGQDFNRTLSTAKLRHLSISNKAVGHGTLQKQALLRTLMLFGSSTTVELKNLLNNLSCLRVLLLVDVDPVELPDSICHLKHLRHLRIDNASISTIPRDIGNLKFLQALELAGCTNVSQLPTSILKLRKLRSLNLRGTAITSIPRGLGKLEDLVEINGFPTHYSDESTGGWCSLEELRPLSKLQSLEISCLEKAPSGSMAAKANLSSKHHLTNLDLIFTSRLGDNGEVEGNISEEEHRRTEDVLDNLCPPPCMELLDISGYFARGLPQWMRTMSAFGSLRRLALVDYACCTRLPNGLGQLPFLDYFWVERAPSVQCVGHDFLFPSLGGQAKRQPHHTSRGAGVAFPKLTRVGFVGMLGWTEWEWEQHVLAMPALDKLIIRNCKLQRLPAGLAQHACRLRELYLRNIQLLVSVENFPSIVKLWSLDNPRLERISNNPSLQWIGISNCPALEELDGLPSLRSVEWWDWIAEALPEYLREAKLKKLHVDCSRSLLKLIALQDESSEWGKIQHVQQVKAYGHKIEGGAEEADQSHEDEDAEWYIYYTKEPYSFDAYLGKSTG >KQK94150 pep chromosome:Setaria_italica_v2.0:VIII:9831423:9832021:-1 gene:SETIT_027886mg transcript:KQK94150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNRASWDEPTTKILLEMCIEQKNQLNWSDRCLTKLGWRNVHSRFRAATGLQLGVKQLQNKLNNLRRQFFGWRALETSTGLGRDTQTGGVSADATYWEQDQQDTQARSQPHSVKPPPLLDLLFELFGHEPQDRGTLLSAGGNREGTPSMGGTPSMGTEGNFVDLEDE >KQK93759 pep chromosome:Setaria_italica_v2.0:VIII:4126563:4128367:-1 gene:SETIT_026389mg transcript:KQK93759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSPSSAGAGAASPSPSPSASASASDPTPSWWESVSQARSRILALSSILPPPASHDVAALADSDRPARALLRSPAAYAALSDALRAGGGAGDPACHWLYDTLLSADADLRLAALAFLPLLAALYLRRLPPQLPSSLSGFEAVLLAVYSSEAKNRQGKPVLVQVPDLSVPSLYHTPASTPSSKSPRRHQPPLIPPPQATPVVGVLSPPLELQAAVKSTKRAGIIGVAFEAYYSKISQMPAASKVDACNAAAVWAGQYCKCRFELDEKELEEEEGDSLGSVSPMSSEAENGKELVEELARMRIYGDRSGRNCREDYDKEARVPLPWELLQPVMRVLGHCLLAPLNPVEVRDAAAGAVRVVYARACHDLVPQAILASRSLVELDMSARKAAKEAAAVASGAIVAAGTDGSTALSSRPSSKPNTPSKQRKPDTLLVSK >KQK93820 pep chromosome:Setaria_italica_v2.0:VIII:4704333:4704920:-1 gene:SETIT_028390mg transcript:KQK93820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLKCAASHATLKPKCLLKINEQGLMHMITAWRRLMHS >KQK93400 pep chromosome:Setaria_italica_v2.0:VIII:954141:958566:1 gene:SETIT_0258222mg transcript:KQK93400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVRSSSSPSPSPAAPPAPLPNHHHNHVTDDLPVANGPEPRNGLEPAEVEKPEPVAYLPHVVVLCEQRHEALDEAAAAAAGPSTTGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPRAVDL >KQK93401 pep chromosome:Setaria_italica_v2.0:VIII:954141:958566:1 gene:SETIT_0258222mg transcript:KQK93401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALGDLMASRLVRSSSSPSPSPAAPPAPLPNHHHNHVTDDLPVANGPEPRNGLEPAEVEKPEPVAYLPHVVVLCEQRHEALDEAAAAAAGPSTTGLVSKWRPKDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPPKALENIGKTLHSQYERWQPKARYKLQLDPTVEEVKKLCNTCRKYARSERVLFHYNGHGVPKPTANGEIWVFNKSYTQYIPLPITDLDSWLKTPSIYVFDCSAAGIIVKAFLERLDWSSSSSASSQKDCILLAACEAHQTLPQSAEFPADVFTACLTTPIKMALHWFCKRSLLRGSMDHSLIDQIPGRQNDRKTLLGELNWIFTAITDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPLLPPTHQHHMWDAWDMAAEICLSKLPQLIADPNAEFQPSPFFTEQLTAFEVWLDHGSEDKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGPRAVDL >KQK94580 pep chromosome:Setaria_italica_v2.0:VIII:22627083:22638134:1 gene:SETIT_025854mg transcript:KQK94580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPEQRLQQPPPFAAQNPAAQGPPGAGALPGAPPPPAAARPAFPGSPPAPAFVRAPMATAAPSAAPPFGGPPGAVSQQPPPFGGPPGAASQQPPPFGGPPGVASRAPPPFGGPPSAASQVPPPFGGPPAAVSQASPPLGGPPAVTSRPFGGPSVAASQAPPFGGPPSAGSQPLPFGGPPAMASQPAPPMFGAPRPTFPGQPGPTAAASSQAMPPSFGAPQQPPTPFGGQTQFGGPQPGAQPPFAAQSAPVAQQLPFMGPPRANAPAFGPPSWQTQGAGSGAMQPPMGMPGIPGMQPNTLGPPGTPTMPYSPHAGTQVSTPSKIDPTQIPRPIPEASVIIYETRQGGQATVPPAASSEFIVKDTGNCSPRLMRCTVNQIPCTGDLLTTSAMPLALMVQPFALPHPSEEPIQLVDFGEMGPIRCSRCKAYINPFMKFVDQGRHFICNLCGFRNDTPRDYMCNLGPDGRRRDADERPELCRGTVEFVATKEFLVRDPMPAVYFFLIDVSMNAIQTGATAAACSAIAQAISDLPEGPRTMVGIATFDSAIHFYSLKRAQQQPLMLIVPDVQDVYTPLQTDLILPVSECRENLEQLLESIPNMFENNRVADSAFGAAMKAGFLAIKSTGGKLLVFQSVLPSLGVGSLSAREAEGRANVTTGDKEAHKLLQPVDNTLKTMALEFAEYQVSVDVFLTTQSYVDIASISVVPQTTGGRVYYYYPFSALSDPAKLFNDLRWNISRPQGFEAVMRVRCSQGLQVQDYFGNFCKRVPTDIDLPAIDSDKTVMVTFKHDDKLQENAECGFQCALLYTTVYGQRRIRVINLSLSCTSLLSNLFRYADLETQFACFLKQAANGIPTSPLPRIRDEATNTCINILQSYRKHCASVTSSGQLILPEALKLLPLYTLALVKSVGLRTDGRLDERSYWMSLVSSISVVLAVPLVFPRLIPIHDLTSRADDDSLIPSPLMLNSENVQEDGVYLLENGEDGLIYVGNMVNPATLEQIFGVSSLAALPVQLVLEQFDNELSRKVNEVVNEIRRQRCSYLRLRLCRRGEPSGDFFRSFLIEDKAPAVFSYVEFLVHVHRQIQSKMT >KQK93600 pep chromosome:Setaria_italica_v2.0:VIII:2239944:2240887:-1 gene:SETIT_028564mg transcript:KQK93600 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAISESHRRNLGNEGSLRKGVFLDFLWKTVIVFLKKRGTCTNNFQEVKLFSSLWSEKGREKEQLESPVLCILLVLSSLNSQTCSS >KQK93299 pep chromosome:Setaria_italica_v2.0:VIII:417630:418386:-1 gene:SETIT_027353mg transcript:KQK93299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKAPALLICFLFLIALASAAEIIGSNGVAGEDLNSKGDDVDNHKGNNKDGKGNLKPSQCGGECRRRCSKTHHKKPCLFFCNKCCAKCLCVPPGTYGNKDTCPCYNNWKTKRGGTKCP >KQK94245 pep chromosome:Setaria_italica_v2.0:VIII:11722997:11723399:1 gene:SETIT_028094mg transcript:KQK94245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGVWVFKNGVLRSLPPEEPGVAAGPSNKKALVYAPASETRWLLELGWERCGDDPGDTSLLLFRRGDSAELISLPADFASVGADHMHNIVVKNPEQIDSRSSTTYACTSRSSTPPAVRQIDS >KQK95702 pep chromosome:Setaria_italica_v2.0:VIII:37347081:37347398:1 gene:SETIT_027821mg transcript:KQK95702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVAALASERAVVVFTASNCSMGNVVVSLLSSLGVNAAVHEVDRDPRGREMEQDLARRLAGSSGGTPTVPAVFVGGNLVGGTARVMALHLAGELVPLLRSAGALW >KQK95319 pep chromosome:Setaria_italica_v2.0:VIII:33300112:33305243:-1 gene:SETIT_026262mg transcript:KQK95319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLRLLSRAVERLRPLLVQGPPTRGFSAFVREPLHVCVVGSGPAGFYTADRMLKSHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGSCSFFGNVTLGRDISLSELRKTYHVVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCDLSPDLKNTESAVILGQGNVALDVARILLRCKTELATTDIADYALDALRGSTIRKVHLVGRRGPVQAACTAKELREILGLKNVRICINEADLATSPADEEEMRNSRIQRRVYELLSKAASVHKDNSYSDQKELHFVFFRRPIKFIPSENGSTVGAVQLEKTALKGDEVTGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVQGLPFDKNRGVVPNLRGRVLSSESETTTVESGLYVVGWLKRGPTGIVATNLHCAEETVGG >KQK95320 pep chromosome:Setaria_italica_v2.0:VIII:33299722:33305243:-1 gene:SETIT_026262mg transcript:KQK95320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARGLRLLSRAVERLRPLLVQGPPTRGFSAFVREPLHVCVVGSGPAGFYTADRMLKSHEGAQVDIIDRLPTPFGLVRSGVAPDHPETKIVVNQFSRVAANGSCSFFGNVTLGRDISLSELRKTYHVVVLAYGAESDRSLGIPGEDLKGIHSAREFVWWYNGHPDMCDLSPDLKNTESAVILGQGNVALDVARILLRCKTELATTDIADYALDALRGSTIRKVHLVGRRGPVQAACTAKELREILGLKNVRICINEADLATSPADEEEMRNSRIQRRVYELLSKAASVHKDNSYSDQKELHFVFFRRPIKFIPSENGSTVGAVQLEKTALKGDEVTGKQVAVGTGEFEDLKCGLVLKSIGYKSLPVQGLPFDKNRGVVPNLRGRVLSSESETTTVESGLYVVGWLKRGPTGIVATNLHCAEETVASILEDDIKGVLKPPSDSKKHGRTGLVEILKQNNVRFVPFSGWEKIDSKEKMAGQLRNKPREKITTWDELQKAASE >KQK93689 pep chromosome:Setaria_italica_v2.0:VIII:3248046:3249100:1 gene:SETIT_026870mg transcript:KQK93689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSATLFVQDNNLYCLGFMNQNEVCYELSNPRDWKLPSQYNAVPLDWGLTYESILNVRDEEVEGRLDSMRLGKTFAADAVRVLSRFSPDEADGDDASARRALAGLIVMVCESARMNPLHKTIADGWNTGARFTKQLMAYIEHWELISIALLDWKDERYGRWTMDPKLADITGVKGPTDALDVIHLVRNFTVEERELQLSYGS >KQK94283 pep chromosome:Setaria_italica_v2.0:VIII:12420537:12421013:-1 gene:SETIT_027921mg transcript:KQK94283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KQCRDSHKKTSYGAYYKEYSLLMATNLESAYHLCLLVHPLLKASGSGSIVFISSIAGVVALFSGPIYGMTKASLNQLVKNLACEWAKDNIRINADAPGYISTYSTSYFFSNHLTYFFKDFLIF >KQK93635 pep chromosome:Setaria_italica_v2.0:VIII:2474376:2475714:-1 gene:SETIT_028037mg transcript:KQK93635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAVVESPLRQRQRLRSPLGTSGGGGDFEFRHWRPVKRVTGMRRRWAPPEIEIPNGHGVNGGAGGGGPRGSYTSLRDIMSSPEYAKQQAASSPDEATGSCGDVHMIRHPLVKHAAYAYLQLTPAAREEKARLRRRRGPLCRLLEGCLGFVGALFGRLLNDGGTHVAGGEAAAVKDGRRVAAASGGAPTLINCCIVVSPPGAHARGKRKECPIIGRGVRSASPVFVRVMGERSGAHARRRWVWWPGGVVSDGARPGKTGEGGADLQMRACDSVTLGLGVGDTGG >KQK93256 pep chromosome:Setaria_italica_v2.0:VIII:215604:216668:1 gene:SETIT_027608mg transcript:KQK93256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEVEQQLSKQQIEEFREAFSLFDKDGDGTITSKELGTVMRSLGQSPSEEELQDMVKEVDADGSGAIDFQEFLTLLARQMREANGADEDELREAFRVFDQDQNGFISRDELGHVLQNLGERLSDDELAEMLREADVDGDGQINYTEFAKVMLAKRRNQELEDHGSDSSHSKSSCPSCTIL >KQK94585 pep chromosome:Setaria_italica_v2.0:VIII:22872763:22874879:1 gene:SETIT_026210mg transcript:KQK94585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MICYMLWWLVACCLLLLLYGVRLRSGWSHGHGPRSYPVIGCLFAFYQNRWRLLDWYTELLAASPTQTIVVDRLGARRTVVTANPVNVEHILKGNFGNYPKGKPFTDILGDLLGTGIFNVDGELWYAQRKLVSHEFSARALRELEFAVLEDEARERLVPALGLAAASGDAIDMQDLLRRFSFDVICRVSLGVDPGCLDPALPAPRLAAAFDAAAGIIARRGAAPVAAVWKVKRALGVGSESRLREEIRVIHEAVMDLIHIRKKELALVNGGGGGNDGRRSDLLSRMMECGYPDEAIRDMVISFIMAGRDTTSSALTWFFWLLTRHRDVEREVLREVAGGGAGHHAAGGQGKMRVLHAALCETMRLYPPVAWDSKHAAAADVLPDGTRVERGDRVTYFQYGMGRMESIWGADAADFSPQRWLSLPEDGAPPAAVAGVSPFKYPVFQAGPRTCLGKEMAFVQMKFVASTVLRRFELTPVDEGRVPVFLPLMTAHMAGGLNVTVRSRGDQQAAAAAAGGSTPIAVAAATGN >KQK95872 pep chromosome:Setaria_italica_v2.0:VIII:39102244:39102819:1 gene:SETIT_028219mg transcript:KQK95872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWPTTWDQQQQPPDAGASPSPGETEKREGFIGVRPRPWGTFAAEIRDSTRRGARVWLGTFDTPEAAALAYDQAAFSARGAAAVLNFPVDRVRESLAPLALAAGVGGGSPVLALKRRHSKRRTRRRRKGLCSKCMADGKDLQPPCQCSDVSATAMAVPQQQVTAARCQVRFGVLELEDLGADYLDELLRISC >KQK93297 pep chromosome:Setaria_italica_v2.0:VIII:406634:408454:-1 gene:SETIT_027312mg transcript:KQK93297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRELRVDSFYARLRSAAAAAGSSSPLLILPSAADADSLCAVRALAHVLSADSIRFSIYPVASAARARDLLASFSATTAPLCCVLVNWGAHRDLRGILPHAATAFVVDSHRPVHLHNLSAHNDRVVVLFTSDDEHTADLSYDFDLSSLADASDLAAEGDADDHLRVPDEDDEDSDASESDSDAEDGGRRKRRRLSDDAEAEGGDPVRLFAKLRREYYRLGTFHGKPSGCLMYDLAHALRKNTNELLWLACVALTDQFVHDRITNERYQAAVMELEQHINGSGNLDPSGVGSVVTLKDGTKIRAPETSRIAYEDEPRLMLLREWSLFDSMLCSSYVATKLKTWSDNGLKKLKLLLARMGFPLADCQKNFQYMSMEVKRKMRDEFDRFLPEYGLTEFYYRSFLRVHGYRSKVSAADVVYGVTALLESLNAESKDSKESSAAEQFWVAYSALSLSNVDQLRKGMQSAIEIQRAILRQGSSAITKTGFIRSAKKFRWVKLDDPVDTNKLCHPQALTKFCFFLMDALKERGARMKPLICACLAKEPEKVLVVGVYGKPRLGAVQGNAFGNAFRSAAEEIGADYFHDMFESSWIVLDVVAVSSFMIRLTEKL >KQK93330 pep chromosome:Setaria_italica_v2.0:VIII:577205:578702:1 gene:SETIT_026980mg transcript:KQK93330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHHLRVSMISLTGFTILSLALLFASLQAQGASNLGSGKEKRQSSSSSEYLPVRSVVYRSRSSVALPAAAAKTEAVGYEPFAVCEGCRCCSTSNSSSCVDTSCCYSIDCNLPGKPFGTCAFTPQTCGCAGTNNCTQPS >KQK93331 pep chromosome:Setaria_italica_v2.0:VIII:577205:578702:1 gene:SETIT_026980mg transcript:KQK93331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRHHLRVSMISLTGFTILSLALLFASLQAQAGASNLGSGKEKRQSSSSSEYLPVRSVVYRSRSSVALPAAAAKTEAVGYEPFAVCEGCRCCSTSNSSSCVDTSCCYSIDCNLPGKPFGTCAFTPQTCGCAGTNNCTQPS >KQK93395 pep chromosome:Setaria_italica_v2.0:VIII:931730:933240:1 gene:SETIT_028318mg transcript:KQK93395 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCCICSPMATMYRLPRNTICAPCYEGAKAIIGFLNKDEQEEDDGHGSAKSPVSTKLNSSTKGMGHACQQVKEMRDREDESNQKAAFLEQGFALAWKDGMHTDIDVRPGTGAPIPAHKAILPSSSISHLMLMECAQAARSEVFRHVLSSDEQCKAPAGDSISLPELSHDELSLLLAFLYTGALEQDLPERHLHALLVAADKYDVPFLRRACEARLAAAVEPRNVLRTLEVADLSSSAVLRERAMDTVVEHAEQVVFSAEYEEFAVRNAGLCVEITRALLAS >KQK95291 pep chromosome:Setaria_italica_v2.0:VIII:33065815:33070293:1 gene:SETIT_026095mg transcript:KQK95291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNHRKAADAAGASSVGDDIVEMASVGPARLAESEFVVPSQPAAFDNDDVVEITLLDVRDDSVVAVHGVQPAAGGDDSPVAASTGPRGGGSAAPRADRSLFGSVHRLKGLNFVRGGAGADWAAVEKRFNHLQVDDHLFSYAFGRCIGIKGSEEFAHQLFHALARRRGICGNSITKAELRQFWDQISNTSAEIRLQTFFDMVVKNTDGRITEEDVKEIIALSASSNKLSKIMEHADDYAYLIMEELDPSNMGYIRQENLEMLLLQAPSQSVSQTLRESLRPAAEPNPLRRWYRSTQYFLEDNWKRVWVVLMWLSICTGLFTWKFVQYRRRYVFEVMGHCICVAKGGAETLKFNMALILLPVCRNTITWIRNLTFVTRVVPLDDNNLINFHEVVAVGIAVGVGLHILPHLTCNFPRLLHAADAQYAPLAQYFSTSRNWRPPSYWWFLRGTEGWTGLTMLVLMVIVFTLALPSLRRGRIWRLRRLTGFNAFWYSHHLFVVVYTLLIVHGHFLYLTKKWYKKSTWMYLAMPMVLYACERLARVVRSTMLPVKILKVVVYPGNVLSLHFSKPQGFRYESGQYIFINCPAVSPLQWHPFYITSAPQDNYVSIHSRTLGDWTRELKSNFATLCRPPMEGESGLLRAEYNCNAGAMLNPR >KQK95501 pep chromosome:Setaria_italica_v2.0:VIII:35107742:35109511:-1 gene:SETIT_026274mg transcript:KQK95501 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVFGRRRRLWTLSLVTAAAMLENANKSLLPAMYREVGAALGALPAELGTITLCRGLVQALCYPLAMCAEARFYRTRIVAAGTFLCAVAAVLIAASTTFLQMAIAGGFNGVGLALVLPGVYSLVADYSDDETRGATFGWVYMAQGMGTAMGNSLGVLLAPTSFFGGVPGWRLAFLAIALVSISLALPTWLVAGDDDDSRAADGSGSTSITAMASAVAGGAKAVASVPTFWIVVAQGVAAQVPWSALTFMAMWLELVGFSHWETTVVTDLNGLSNGLGALVAGFAGDLAARRFPDTGRIALAQISNASTVPLAALLLLLARPGWPLAGAVYAGGFLLLGVAMAWSTGSTSNPIFAEIVPEKARTTVYALDLCFENVVASFGAPAVGVLAEHVFGYHGRPAASDHGDRENAVALGKAVFAVIAVTATACCLTCSALYWTYPVDRRRARMMDASQQEEPSVGDERNGGGGEASGPAVASSADDGLSQALLSPTMNL >KQK95888 pep chromosome:Setaria_italica_v2.0:VIII:39275295:39277500:-1 gene:SETIT_026134mg transcript:KQK95888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTRVWSIAEKCETEQGARVYEQEFGRAEAERLSAMILMSKSVEGTNAKELSTKIAYRLDLCPLAVICFSSAWAESHHHVQVDDAEWDTWARHILDDGFLSTPALKPLVQSLCLGFDDLPVQLKTCLLYSTIYLKEYKFYKEWLVRKWIAEGFVSQVEVAEAYFDKLVYRNLLWQGEETSHVVNGAIKDFLVCKAKEDNFISSYDGGPGNTSHAKQIRRLSLSSPTYYGWRGNTAPACPDEDVLSHTRTLSVSNMHSLHSVPFKAFKKLRVLQINKSCNLEDDHLVDICGLIWLKYLSLRGTGVRELPKEIRKLQHLRTLDIRDCKVRELPWEVENSINVHFGDPRSPKILKLGEEAVSSDWVISSSGANCRGALSIVLADPFNWRYEPLQVPLLRIDRRHVKVPQWVKQDLCNVCSLDIRLCILVHEDLEFLKTQMPNLQALQLRFEVLPRKPVIITAGGFPKLETFYVDCRLPQVITFGEGAMPNLKHLQFKFYTGTASRDYYMGIKHLVSLEVVFLCSWYYTSDGPGIRETIDVLRKEAVEHPKEITLWVNDMDPEVFGRDALRGISLAIAEKREKLSRAAERRSFLRERRGVPFVN >KQK95655 pep chromosome:Setaria_italica_v2.0:VIII:36923610:36924646:1 gene:SETIT_027861mg transcript:KQK95655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EVEPETVRVTKLLEEGNIMVGHFRLSWNYFTLKPDEVYAFDEKKAFIHPKSNLVASHAVMMVGIGHQQTGPKRFLRHMVMQNSEGKLFGINGIGRVRKNTVRGLYRLERIEESVKPRTTPLSVYHCTR >KQK94390 pep chromosome:Setaria_italica_v2.0:VIII:14395394:14397086:-1 gene:SETIT_026446mg transcript:KQK94390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLMAPDASHPIGCPHEGGRATIMGIGTAVPSHEFQQKSFSDYYFEITSSNHMVDLKAKFANICEKTMIEKRHFYMSNEMLRDSPSITAYSSPSLTLRQELADVGVPELGAKAARLAISDWGKQASDITHLVASTSSSGCMPGADCELARLLGLKPSTKRFMLYQTGCHGGCTALGLSKDLAENNPGARVLVVCSEVCTLSLRGPSESHTGDLVGQAILGDAAGAVVVGSRPAADEHAMFELVLTCQETVPGTEEALVSKLREEGILYNLHRDIPLHVSSSTERLVKLMLQETLVPTLDLNDEVFWVVHPGGRGILDRIASKLGLREEKLAASRAVMRQYGNTRCSSVILVMEEMRRRSEELDRHTAGEGLDWGLLVGYGPGITVEAILLFALPDKAVNKLSVT >KQK94936 pep chromosome:Setaria_italica_v2.0:VIII:28990427:28991611:-1 gene:SETIT_026673mg transcript:KQK94936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACLSPSPSGRRLSELLEEKQEPFVLDLHLLEKGCSSRLLDGYDTALCWPAAGNDAAAAVLRRLTSKRSKAAKKKPQQQAGGLLQLLLSKILRGRAAAAAAQRKPAALQFSESFKAAAVAPAPSPSPNRLAAVKTADAMEQEDSDCEYSDDEKQQLSPVSVLEPHPFEMSSPAHGKLSPPSKNAMDVFRELLDAAYSPALLTQLLAKTDDLLLNDAAAADDDDDYYYGSSYRTSPKNCRDDESAAAYWDAHRGELARVSELVASEVPASKLTAADVQPERQDVGAEVEAAVFEELLMEIVADLGSGWC >KQK95212 pep chromosome:Setaria_italica_v2.0:VIII:32003344:32005070:-1 gene:SETIT_027695mg transcript:KQK95212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HQMKPKEGNQSSPHQTQGHKERTLASTSSRGISTLSSEYVSVQVGANKEVYHEDEDIVYSQPIVPKLGMEFDTIQEARRVYNEYAMKLGFSIRVASSRNSNVTKELSRKEWEAAIAVLTTATRKSNTIKKLDCKAHMAVGLRNGRWRVIVMQSDHTHPMVKAIGVRKHLRSHRSISWADYELLKTLHHRNISTTQIWGPSFYYATMIDDNNNVVRGLFWVDGRTREMYKSFEDCIFFDTTYCTNRYDMPFAPIGI >KQK94747 pep chromosome:Setaria_italica_v2.0:VIII:26248486:26252142:1 gene:SETIT_026212mg transcript:KQK94747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPPFSNPLWVQDDCDAQQQQQQLDAPPPTPMMLGTTQALGHEQQKLLCLANAADMGGGVFSTPSVLDDDWYFNPAAAGAGAQGSLLLAPPVQGSAGLSLGAGSSQMFSLFNMGGGAQYDLHGFDLGLSGGGGGVSGADLVSFAGAGSAANSASLPLIPSGNAGFLGSFGGFGTAPAQMPEFGGLGGFDMFSNGAGSSAAAPPPASAPLSAPFSARGKAAVLRPLEIFPPVGAQPTLFQKRALRRNASEEDDDKKRKAEALAAAAGASSAGGGDAVLDDADDDDGGSIDASGLNYDSEDARGVEESGKKDDKDSNANSTVTAGGAGDGKGKRKGMPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQKINDLQNELESPPSTASLPPTPTSFHPLTPTLPTLPSRVKEELCTSALPSPTSQQPRVEVRMREGRAVNIHMFCARRPGLLLNAMKAIEGLGLDVQQAVVSCFNGFTLDVFKAELCKDGPGLMPEEIKTVLLQSTGFHGVM >KQK93798 pep chromosome:Setaria_italica_v2.0:VIII:4449157:4449898:-1 gene:SETIT_028536mg transcript:KQK93798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGATQAEAARALAHLVADPMGRARRSRAPTRSSLPPPVHLLVPAHARQEEIFIRWFRSF >KQK95307 pep chromosome:Setaria_italica_v2.0:VIII:33202003:33202504:1 gene:SETIT_028586mg transcript:KQK95307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGTPLQVCLVFIFKCLVDGVVLIWSIREGARSFAFGV >KQK93663 pep chromosome:Setaria_italica_v2.0:VIII:2888240:2888712:-1 gene:SETIT_028497mg transcript:KQK93663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNILMEINSDRGELSMIWLVLFQLAKHWMWLPLFLLAKYAIQVLKFMCIILLWFCQALVCTPLWLGGHFGWSARMMFPHVLEF >KQK93882 pep chromosome:Setaria_italica_v2.0:VIII:5532954:5537417:-1 gene:SETIT_026089mg transcript:KQK93882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQQQQQHIQLAPPSSGSPAANSSPNDADGARLVQMCPSLYRTAYGGRTEEVMALLLQRHGAERYQSTGIVQHGQCDILEVSAERNTVLHVAAEQGHDELILELYLRFREEQGLLSRRNSSLDTPLHCAARAGHVRAVAVLVQLAGQDFGESILGCKNEAGDTALHLAARHGHGDAVEVLVSAAAGPAGELNNAGVSPLYLAVMSGSVQAVRAIVSTCSEASAVGPSSQNALHAAVFQSSEMVEMLLEWRPALADEVDSGGSSPLHFAASDGDRKIVEAILRAGPPGTVYRKDSGGLSALHVAARMGHDSVVKAVLRSCPDAAELRGGDGGTFVHAAARAKRSKVVSLAVKNPVLHGLLDAQDRDGNTPLHLAVGAGAPGVVETLLWVGKVRTDVLNNLGRTAFDLVVGSTSFFTMVKLVVTLVAFKAQLRPQRHDRLTPWSDHYILEKMGKTSDSLAVVAVLIATAAFTAGFNVPGGYGDTGEANLAKKPAFKAFVFLDTVAVATSMAAVFLLVYGKVSRSASSWKSFALALQLMWVSLVTLFLAFYAALVAVVTTRAVRYGFVVVLACMFVLQLRIGAWIGTDWPTICTIWRFIWQRCHSKGRHGIKRLYPLVCASVVHHFLFAAISFISFFCFGAFTGPAVASPFTSSPASAPSPL >KQK95007 pep chromosome:Setaria_italica_v2.0:VIII:29861285:29865045:1 gene:SETIT_026344mg transcript:KQK95007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGDAAAAAAAVENGAVEAAEGYVVVTAAADGEPAGDREDHSAVQEAAEGGSEAASAAVPLAEDAAPATAKAPAKKAGSGDAAVARKGKLPNGRVPAAAAKGKKPGVLSQSASFPARGPSGGAKKAAAAAAVATTPKQAKAAVANGSEAASGRAAEKKANSARTPVVARRAMPVKSGSVDAAAPNDATPAVQESHENTAKPLKQVQPGKTEDDVRSTTSSTNTPRAAARKIAAAAFSFRLEQRAEKRKEFFQKLEEKIHAKELEQTNLQEKSKESQEAEIKLLRKSLTFKATPMPSFYKEQPPKVELKKIPPTRARSPKLGRHKPTNSATAASADGSVSCESPRSTANSARVNEVAENNKPRAPARKPVQRSVTKTTSQVSGTAKAEPRPMVTKPKTSNSKSKVSRAKAAQVQDNPVEVPPTQPSAPEELTVEHGVGEATGPDLAAPLVASNEVPVHG >KQK93756 pep chromosome:Setaria_italica_v2.0:VIII:4028002:4029319:1 gene:SETIT_027378mg transcript:KQK93756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLLRQKKTSRRDWESVIRDSMWSVSQMREELNYAIYLSYEDLHACLKSCFLYYSILPKGTLCYAENIVGMWITEGFVHGTSRDLEELGREYYDELIQRNLIEPDSLYIDQRVCNMHDVVRSFAQYVARNEALLAQHSEIGISNKFNSQKFIRLSLLEIGGSESSELEWCSLQAQTLLRTLISVGHIKIKSGDSLLAFSNLRTLHVEEANFDKLMKFLQYISLVGCRSLSKLPASISKLQQLRCLNLSGTSIKYIHRGFSGLTSLRKLSGFPADMDGDRCSLEELGLLSHLMQLWISGLENVSSSSFATKARLDEKVRLTYLKLGCTMRLGDVGQLVNGERGISEKVQLVNQGEHLEISGYFGQQLPRWMMSTAPLGSLRILLMDNLAFCTELPNGL >KQK93772 pep chromosome:Setaria_italica_v2.0:VIII:4231698:4234503:-1 gene:SETIT_027332mg transcript:KQK93772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RVTALSLRSVPLQGELSPHHGNLSFLMQLNLINTSLSGTIPSDLGRLSRLRKLDLHENGLSGAIPSTIFNMSLLQFMSLGHNNLTGSIPSNQSFSLPVLRKLILEQNNFAGGIPLELSACQRLQTLSLAHNSFCDTVPTWLAELSQLKTIFLGRNHLVGSIPAVLSNLTGLTKLDLSFCNLTGDIPTELGLMRELSYLHLGSNQLAGPIPTIPATLGNIRALKKLHLQHNNLNGHLDFLSSLSNCKQLQKLEINSNSFSGELPDHVGNLSIKLRKFDAGHNKITGVLPSTLANLSGLDTVNLRKNLLTGAIPESITSMKNLVYLNVSGNDMSGPIPTQIGMMKSLQRLYLRGNKFFGSIPNSIGNLTKLEYLVMRNNHFNSTIPASLFHLDKLVELSLSHNYFSGALPADVSGLKLADQIEISSNILTGKIPESFGQLRMLAQLDLSHNSFEGTIPESFQELTSLALLDISSNNLSGAIPKFLANFTSLTTLNLSFNKLEGKIPEGGIFSNITLTSLIGNADLCGSPRLGFSPCLEKSDSTDRHLLKLLLPAATIAFVSIVLCVYLMIKRKLKNKSAHPSVADPSDVTSHRLLSYHELVRATDNFCDNNLLGMGSFAKVFKGQLNTGLVVAIKVLDMQLEQAIRSFDAECRVLHMVRHRNLIKILNTCSNLDLRILVLEYMPNGSLDTLLHSEARRHLGFLKRLNIMLDVSMAMEYLHHEHHEVVLHCDLKPTNVLFDDDMTAHVADFGIAKFLLGDDNSMITATMPGTLGYIAPEYGSLGKASRKSDVFSYGIMLLEVFTGKRPTDPMFDGELSIRQWVHQAFPSELESVLDDQLLQEASSTCNLNDSLLPILELGLLCSSDSPEQRMSMSSVVSKLKKIKKDLEK >KQK94321 pep chromosome:Setaria_italica_v2.0:VIII:13060401:13062071:1 gene:SETIT_028560mg transcript:KQK94321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRPADTRVSPPPPRFPHRIFSVSSRHFFPRAEVPERSWSGGGGGFRGRRPRSDLIGNEGGSSLSPSAISSPSGAAFDFVGDGGGDQQHPRSNFGDGGHLSVIPHPLTGAKAAAHQGEAAPRQRLHGLL >KQK95178 pep chromosome:Setaria_italica_v2.0:VIII:31672654:31673370:-1 gene:SETIT_027539mg transcript:KQK95178 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAWTKGGVPALGWWLIAVGTFRSGYTWSCFFGSAAFCSATFSEIAMTGVHGRTVAVWTLLSCTLCFLCAFNLGSKPVYAATFLSFVYAIGYLAVECLVYHTMSAARLSLFIFIAGTSIVWMLLQWNSHGHGPRPREATKQA >KQK94551 pep chromosome:Setaria_italica_v2.0:VIII:21635502:21638851:-1 gene:SETIT_026731mg transcript:KQK94551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNPRAPLLLLAVVVLLALAASVAAAAEDDASGISLGRRAGGFLHGLKKKDAVVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTARRFFARFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >KQK94552 pep chromosome:Setaria_italica_v2.0:VIII:21634939:21639022:-1 gene:SETIT_026731mg transcript:KQK94552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNPRAPLLLLAVVVLLALAASVAAAAEDDASGISLGRRAGGFLHGLKKKDAVVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTARRFFARFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >KQK94550 pep chromosome:Setaria_italica_v2.0:VIII:21634939:21639022:-1 gene:SETIT_026731mg transcript:KQK94550 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPNPRAPLLLLAVVVLLALAASVAAAAEDDASGISLGRRGFLHGLKKKDAVVEGDHGVALDEVGPGLFDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALYVMTVLSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWKSDPKGSQKKEMEEVEEKLESGQGKSTARRFFARFCTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGASLGHTVCTSLAVIGGSMLASKISQRTVATIGGVLFLGFSVSSYFYPPL >KQK94674 pep chromosome:Setaria_italica_v2.0:VIII:24688351:24689362:-1 gene:SETIT_027040mg transcript:KQK94674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLEPRSYLRHTWPPVAPPPTRSLLGGSASTCLGTPASPALTRWEEASKNLMIRGLLLPIQGSDSRSPPPIHHPPRTMTIATLLILCCCSPWRRGEVRGGQLARPASPSAAWR >KQK93418 pep chromosome:Setaria_italica_v2.0:VIII:1025375:1029740:-1 gene:SETIT_027849mg transcript:KQK93418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKSKSSWSQVVKSTRPTNLSISARNLQPQDLGAVIFGCTNNTIAECHSRQLFGLPRAHISYVRNIKEGLPLFLFNYDDRKLYGIYEAAGNGNYPAQVAMRVRVWCFPLAENQFRNAIVANYYQKNTPSIPGQKLHYFQFELDHAQTRVLMDMFTPSPPNNFWMTPVAAPADEHVRELVSSPVWAPKHEGKVKSEKVVKSYADMVKKNKFEEVGKGDVDAEHASSGDESSNGFDDLDCGDTPPEREEHELSDQAVEMKQQQHSDKQGKVLSFNWVLEGHAALPAQQWNPYLYANVTETEENDAYSCKYAQEDKCAILDGHSNLPETLDAEVNQLSLGHSNLLVQLLDSESCAEAKLIDVVKELSGRIEMMERKQAWSNREVQHLQGVNERLLKRIVELKGTVRTLNSKIDPLTLDDSLNQFVEQCLGSEDVIYLVGGFDGISFLPSLDSFSPSLDILTPLKPMAVGKSYASTVALNGKIFVLGGGDGACWFDTVDCYDRSRDDWITCPSLTHEKGSLAGVSVNGRIYAFGGGDGSQCFSDVEIFDPTHGKWIKNQPMLEKRFALAGVALNGAIYAVGGFNGVQYLSSAERLDPREPNWKMLPMMSAGRGCHTLAVLNEKIFSIGGYDTGAKAMVATVEVYEPRMPSWVMVEPMNYTRGYHSSAVLGGSIFTFGGVKAEADTILDVVERYKDGCGWVTTGLKSIGRRCYCSAIVL >KQK94921 pep chromosome:Setaria_italica_v2.0:VIII:28790598:28791451:1 gene:SETIT_027296mg transcript:KQK94921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSEKLESRWSNYDILNWDVVLKKNIPRQHDECSCGIFTIKYMQYWNGSKITSPFSQKDMETIRKEMPAELIMSPFNKLTISRMKLRQMNLLPPICSSVDKTFLLRSHQPITFSCSEWKWCQF >KQK95255 pep chromosome:Setaria_italica_v2.0:VIII:32687790:32689415:-1 gene:SETIT_026577mg transcript:KQK95255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKECQDFEDFTVNLMHRCNIAQLDSFRLHIGRRRAPQFAHKQVAGWLRRAMKYCTPDPASQHKGLGPSHWHIKRLYLCFVHLDNRFAKHVTSVCRTLEDLELHNCSCQIRSVTSDSLKTLVLKNCKWCNLSEITSPTLKTLVIDGSSNTDGCVLVILTPAVSYLHLAVSVYFFSGGISTNEMPSLVKASIHLRDHRNSVSESNKLGGNQFKLLSSVSKATSLELSAVGKKVLGKEPTFLEFMNLRNLFLGNCDLRGDFRTLGFFLQSSPNLEKLTLRHCKFPKYPEKKKGKTKLNNTSSSEFRRLDFMCENLKVEIIYKDGDGHQLVKLLLHASRNLSKNNIKLTKS >KQK93397 pep chromosome:Setaria_italica_v2.0:VIII:935959:938741:-1 gene:SETIT_026580mg transcript:KQK93397 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTTPLVVVSSCNGCRRPYPHRRRRFSGKPKPNALPPLLSLSLCAIPAAAPLVPLPRRRRNVTAAYGDDDMDDDFGDFDADDADGVGDDDDIDNEQDYDVDYDRLLAPVKPPLPSSLHGEEGDIAMVAAESFVSTQDSASDTVVDYTVDEDEFHKIRLLHCDFLIRKVPDPDDDVFDFREMYVTPPDTDIYSIPRVLAPMPQKYVRCTKKDFGRYNVTEPPVEHLRDPLYKTEREIMKVFLTKHYRNRRADDPDFFLDFEEIYVIDSKTRSITRAKVVVSVPEGKKRDRQNDLLLIRDGGESFRIIDKTKRDDASTVIQREEWAKSRQDVEKHFRKLRDFDYSNWF >KQK93449 pep chromosome:Setaria_italica_v2.0:VIII:1151053:1153179:1 gene:SETIT_027559mg transcript:KQK93449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTNHATTSQETTADQDHDRANPTSINTTVPSVRFCHLPPDILYRIASKLPPKEFARTSVLSTEWRRCTSLACPRLTFDAVEMFKCEREFMQEIWYRHVVWQFVREVNNILWKHKDKVVETLQVRINLEDSILARHIDTWVHFAATSRTKNLTLDLASGRFWEYKNLYEFPFQLLGRESISNLQHMHLSYVSFYPPSWFKGFPNLRKLHLEAARFVRKNLEHMLSHCHTLEWLHINRCHLDELTVDSPLSRLLYLRVERCSFSKIKFNAANLATFEYVGSLIPIDLVHSFKLQSANIEFEEAIIQHALTSLLHGLPSVQNLTSKFGLPHLEKQWLWDNPLKFSNLRHLQLLLLFIYSENIDKILYLVSFIRATPFIEKLEVHFNRSDLWLAEVGPCRKDLGQCEYNYLKDIWITGFKAARGQVEFLSHVVENAPVLEVVSVKIGKYPRESLSGSGPTIEAAKEIARTCLRTKLSQNVTFNVEE >KQK93961 pep chromosome:Setaria_italica_v2.0:VIII:6724581:6730068:1 gene:SETIT_026074mg transcript:KQK93961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQYRQQYGGGFSDSRGGGGGHHHQGLPDWHRPTHHAPSKPASRIRRPGKPAPRRRSPAAAVAVAAALLLLAAVFLLSRRISRGSSEISKDSGSEESLPEWNQSKSWKELKFGHGGGGRSARDSRYWDRDDRRRDEDYTEDEKEKISGGSGTATDAGGSGDKGVTAEAGVEDKGLTLETAAKDVPEVAEGGKGGTLYNEGGRKELEQYEAAAMGAAGTGVREVDPDDEYDDGIDTQDDLEDSHLHSADGGRKLGDGSHESAEKDEVAVERHTETGAGVVDSHDVNSMDKKKVSGTSDKKHGSKKKSKRKKSGSTCEMKFLNSTAQLVEPVRNEKFASFNLEYVEVEEKPVGSEYWEPRFAGHQSLEEREESYKARDQQLKCAFVKSPNGTSTGFDISDDDRKYMSKCHIAVSSCIFGNSDRLRTPFGKTITSLSKKTVCFAMFLDEVTLQTLESEGQKMDNMGFIGIWKIILIKNMPYNDMRRVGKIPKFLAHRLFPSSRFSIWLDSKLRLQTDPILILEYFLWRHGYEYAISNHYDRHCVWEEVAQNKKLNKFNHTIIDQQFEFYQADGLTRFNPSDPNKLLPSYVPEGSFIVREHTPMSNLFSCLWYNEVDRFTPRDQLSFAYTYLKLRRTNPDKPFRLNMFKDCERRSIAKLFHHRSEERHSGPQLTR >KQK93239 pep chromosome:Setaria_italica_v2.0:VIII:115729:119634:-1 gene:SETIT_025932mg transcript:KQK93239 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFTAAALLLFFHFQLLAPPSAAQPGFISLDCGGARDHTDAIGIQWTSDASFVSGGQAAQLLVQNGMQSQQFTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISLGASPWSTIVIDDATTPVVEEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDETRFFLGLSARINFGAESNDSVRYPDDPFDRIWESDSVRRANYLVDVAPGTERISTTKPIFVSTNEEPPEKVMQTAVVGQDGSLNYRLDLEGFPANAWGVSYFAEIEDLAPNETRKFKLDVPGMPALSKPTVDVEENAQGKYRLYEPGYTNLSLPFVFSFGFKKTDDSSKGPILNALEIYKYVQITMGSQDANIMASMVSRYSQAGWAQEGGDPCLPASWSWVQCSSEDAPRVFSITLSGKNITGSIPVELTKLSGLVELRLDGNSFSGQIPDFSECHNLQYIHLENNQLTGELPPSLGDLPNLKELYIQNNKLSGQVPKSLFKRSIILNFSGNSGLHIVNSGISHTIIIICVVIGAIVLLGAAIGCYFFTSRRKKKHHEDTVVIAAPAKKLGSYFSEVATESAHRFSLSEIEDATDKFERRIGSGGFGIVYYGKLADGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGKSTSTCW >KQK93238 pep chromosome:Setaria_italica_v2.0:VIII:112801:119634:-1 gene:SETIT_025932mg transcript:KQK93238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAFTAAALLLFFHFQLLAPPSAAQPGFISLDCGGARDHTDAIGIQWTSDASFVSGGQAAQLLVQNGMQSQQFTTVRYFPADNRKYCYTMNVRNRTRYLVRATFLYGNFDNSNVYPKFDISLGASPWSTIVIDDATTPVVEEAIILAAAPTLSVCLSNASTGQPFISTLELRQFNGSLYYTTDETRFFLGLSARINFGAESNDSVRYPDDPFDRIWESDSVRRANYLVDVAPGTERISTTKPIFVSTNEEPPEKVMQTAVVGQDGSLNYRLDLEGFPANAWGVSYFAEIEDLAPNETRKFKLDVPGMPALSKPTVDVEENAQGKYRLYEPGYTNLSLPFVFSFGFKKTDDSSKGPILNALEIYKYVQITMGSQDANIMASMVSRYSQAGWAQEGGDPCLPASWSWVQCSSEDAPRVFSITLSGKNITGSIPVELTKLSGLVELRLDGNSFSGQIPDFSECHNLQYIHLENNQLTGELPPSLGDLPNLKELYIQNNKLSGQVPKSLFKRSIILNFSGNSGLHIVNSGISHTIIIICVVIGAIVLLGAAIGCYFFTSRRKKKHHEDTVVIAAPAKKLGSYFSEVATESAHRFSLSEIEDATDKFERRIGSGGFGIVYYGKLADGREIAVKLLTNDSYQGIREFLNEVTLLSRIHHRHLVTFLGYSQQDGKNILVYEFMHNGTLKEHLRGAADEKITSWLKRLEIAEDAAKGIEYLHTGCSPTIIHRDLKSSNILLDKNMRAKVADFGLSKPAVDGSHVSSIVRGTVGYLDPEYYISQQLTEKSDIYSFGVILLELISGHEPISNDNFGLNCRNIVAWARSHIESGNIHAIIDQSMDRGYDLQSVWKIAEVAIMCVKPKGAQRPPISEVLKEIQDAIAIERGPQHSIQIQQQLLLSNSNRSMSMADSSSVNNNLDAASLDELLMRPGLR >KQK95333 pep chromosome:Setaria_italica_v2.0:VIII:33476632:33477002:1 gene:SETIT_028488mg transcript:KQK95333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRPQQHLHRPLSEEHRHHWLADQACQFQPLAPQMHRFWQHLHWLQSEVHRQPALLWHPVTG >KQK95589 pep chromosome:Setaria_italica_v2.0:VIII:35916036:35917813:1 gene:SETIT_026536mg transcript:KQK95589 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIGFVNGAGVDEDSTCLHAQTLVYAYNVSMAVKAAVKLGLIDALSAADGNGLTAEELARKLVQAEDKAESASLIGRILRFLASFDVVRCSADKAPDGTVLWRYSPAPACRWLTTNNGEGSLGPMAVFAVDEDNFSSWHHIADAVAGGGKKTPFELAHGGTPAFEYFGKNRRLSLLFDRAMAQQSLLVIKKLVEHPKVFDGVGVLVDVGGGTGETLALISDRYKHIRGINMDLAHVVSEAPSLEGVEHVAGDMFESVPSGDAILMKWMIHLQSDEESILILKNCHRALPDNGKVIVIQSILPETPESTPAARDSYTMDIIIYVNFKGGKERTEQEYAKLGAAAGFSGFQKTYIFCNIYALEFTK >KQK95731 pep chromosome:Setaria_italica_v2.0:VIII:37623790:37625254:-1 gene:SETIT_028250mg transcript:KQK95731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METTDTVAAPAALPHDVLEDILGRLPVRSLAASRRVCKVWRDLVDDRRLLLRHLLPHSVRGLFVTYIDHYRPHFLARPAVDGGPRIRIDGKFSFIAREKPFHWYNILDHCNGLVLDSGDHFGGSGMYVCNPTTRWWARLPPAPHYNHWRPEQRTFLVFDPAGSPAQWEVLMAPPEPHKETSKADMEPEKRMMEWPPATWRWSALSSTAMEWEEKVFVREGEAAGTVADLLMHSLDKYEPRWRYGTYCQGALYMHCRGEYISRLCLSTNKYRVIKSPIDLAECQEGAMSYIGRSGNGVCFAALDNMAQLRVWTLNESGDETEWLLKHDSVVFTKYEQRRCDGPWTIDATRDYDSETEDDEEVSQEDNRDWNSDDDANIIDDTADENDCFRMSVSFLGFHPYKAVIFLCHGGYSAVAYHLNSTKIQYLGELNPGCYNRGLFDSFVYTPCLIGV >KQK94223 pep chromosome:Setaria_italica_v2.0:VIII:11098851:11100618:-1 gene:SETIT_027890mg transcript:KQK94223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKMALLALLVSFILATASAHANAEHLGFRATMIRRTEVTAATINFTRAAQQSHHRLSMLTSRLDTATSSVNTQTPLKMDGDGGGAYDMELSIGTPPQKLTALADTGSDLIWTKCGACASCEPQGSPSYFPDKSSSFSKLPCSARLCSALKEESPTTTCGAGGAECDYKYSYGLEEDSDHYTQGYLGTETFTIGGDVVPGIGFGCTNMSEGGYGAGSGLVGLGRGPLSLVSQLNAGAFSYCLTSDASKASPLLFGSLAALSGGGIQSTPLLGSSVFYAVNLRTISIGFSKTAGVDGGVVFDSGTTLTYLAEPAYTQAMLAVVLQTDLAPASDRDGFEACFYYDEPSDGRIEEAVPSMVLHFDGGANMVLPVRNYFVDVGGGVVCWVVQRSPSVSIIGNVMQVDFHVLHDVDKSVLSFQPANCDSLSVPVATASGGLSVAGPFYP >KQK95815 pep chromosome:Setaria_italica_v2.0:VIII:38268906:38269867:1 gene:SETIT_027913mg transcript:KQK95815 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGTLVPVLGNNCLHSCRRCPSDSNCAYRNVVDHSAGEFTQATPRSEEGMSLFFVRCNPSCGHRCKDDDDREEDEVALDILMDVVGDAAANLLTAAIAEFRWC >KQK93672 pep chromosome:Setaria_italica_v2.0:VIII:2943909:2948140:1 gene:SETIT_026022mg transcript:KQK93672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGVDTRPAAAASGRGGGGGAGTGTGEGALSFLSRGLREDLRLIRARAGELETFLNAPVPEPELFARLRRAYSSSASSSRTRLDLSAIGKAFEAESWRGTRGSVSWRWEEEAEEWELVRMVKARLRELERRRQGQTASDMLHKFKLSLKSMSFAPEASEDVPPLDLGELFAYFLKQSVPLFDQLGIKRDLCDKLVESLCSKRKDAYNFLSACEPSSLRNDNVGDELDLRIASVLQSTGYHDDGGFWLDRKSSLSDKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQNVTLVVPWLCKSDQELVYPNSMTFNSPEEQENYMRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKILRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAQRESGQESFSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGFKLDIYGNGEDSHEVQSAARKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVARVKEAMTRDPQPLTPEQRYDLSWEAATQRFMEHSELDRFLNSSNSDCSSSSSTERDGNSAGRRMRKSASVPNMSEVVEGGLAFAHYCLTGSELFRLSTGAVPGTRDYSKQHSLDLRLLPPQVQNPIYGW >KQK93673 pep chromosome:Setaria_italica_v2.0:VIII:2943909:2949465:1 gene:SETIT_026022mg transcript:KQK93673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASFGVDTRPAAAASGRGGGGGAGTGTGEGALSFLSRGLREDLRLIRARAGELETFLNAPVPEPELFARLRRAYSSSASSSRTRLDLSAIGKAFEAESWRGTRGSVSWRWEEEAEEWELVRMVKARLRELERRRQGQTASDMLHKFKLSLKSMSFAPEASEDVPPLDLGELFAYFLKQSVPLFDQLGIKRDLCDKLVESLCSKRKDAYNFLSACEPSSLRNDNVGDELDLRIASVLQSTGYHDDGGFWLDRKSSLSDKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSSKQNVTLVVPWLCKSDQELVYPNSMTFNSPEEQENYMRNWLEERVGFKTDFKISFYPGKFQKERRSIIPAGDTSQFIPSKEADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGAIQAFFVKHINNLVARAYCHKILRLSGATQDLPKSMICNVHGVNPKFLEVGERIAAQRESGQESFSKGAYFLGKMVWAKGYRELIDLFAKHKSDLEGFKLDIYGNGEDSHEVQSAARKLNLNLNFHKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNDFFRSFPNCLTYKTSEDFVARVKEAMTRDPQPLTPEQRYDLSWEAATQRFMEHSELDRFLNSSNSDCSSSSSTERDGNSAGRRMRKSASVPNMSEVVEGGLAFAHYCLTGSELFRLSTGAVPGTRDYSKQHSLDLRLLPPQVQNPIYGW >KQK93378 pep chromosome:Setaria_italica_v2.0:VIII:846975:848821:-1 gene:SETIT_027098mg transcript:KQK93378 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCPLRVILIFLSATIAGFFLIRGLNAEPDQFDADDDKASDSGSPRAPLPLHSKVGSAVKTGFWTMVDMASGRYLWRTLVAQPAKSESEKAR >KQK93899 pep chromosome:Setaria_italica_v2.0:VIII:5744215:5745344:-1 gene:SETIT_027295mg transcript:KQK93899 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYIANKKPLVTMALILLAVLTIANCICCTVAARDLPGSGSVAEAAMMVRFERWVTEHGRTYKDAAEKARRFQVFMANAIFVDSSNAAGGKKYHLAINGFADMTHDEFMARYTGYKATPATGMKMPGFQYGNVTQSEPQQAEVDWRQKGAVTGVKNQEDCGCCWAFSAVAAIEGIHHIKTGELVSLSEQQVLDCSTNGNHGCNGGNMDNAFEYIISNGGITTEDAYQYTAIQDMCQSVQPAVTIRSYQDVPRYDEDALAAAVANQPVSVGVDANNFQFYDGGVMTTDSCGTDLNHAVTIVGYGTAEDDSQYWLIKNSWGETWGEGGYLRLERGVNACGVAIQASYPVA >KQK95918 pep chromosome:Setaria_italica_v2.0:VIII:39605911:39606417:1 gene:SETIT_028543mg transcript:KQK95918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVYLFPLSFSSEHGPLILDCLHKQSFCPSPEFSRSINPS >KQK94224 pep chromosome:Setaria_italica_v2.0:VIII:11112513:11112653:-1 gene:SETIT_027916mg transcript:KQK94224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKVALLTLVMIFLLAASLAYAKPCGFRATMTCRDLSINFTRATHK >KQK95022 pep chromosome:Setaria_italica_v2.0:VIII:29964409:29965359:-1 gene:SETIT_027518mg transcript:KQK95022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VETILMLLNGYELVPQSAKPQLTHLVQPIVVPRDFDRTAAVSRYREKRKSTLKFDVKADYSIRREIASRIARRRGKFVSSDKSSDNSVAAAARRRQRESCAKCGESSEATPMMRRGPNGYRTFCNACGLMWAKTNKIRKLTNPDCGAAAAGVAVAVAAEA >KQK94480 pep chromosome:Setaria_italica_v2.0:VIII:19116846:19117505:-1 gene:SETIT_027573mg transcript:KQK94480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRQCLMLTDLPMEVLIIVGDVAVTSFKPMEDLSNIRAVCRVMERACSDPSIGQRMAMLQIYREGLGWRDPDRYYNLLTLLVGVANPQACTLKGIADLFGSTDPSLNELSRATAGGHNVGAYLYALMLYRKNVGTMNDNIAKMYIRHLECDDDSVAIGSAGPNKLRNDGCWVFREESAYLVNSVTWRMHGEPLPPAPVCWRYALEAIIEMMIFHLYP >KQK95129 pep chromosome:Setaria_italica_v2.0:VIII:31218437:31221158:1 gene:SETIT_028023mg transcript:KQK95129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWVAEGFLKEEQGKGSFEDGERCFTELINKSMIQPTKSDEDAAVDGCHVHDMVLDLIRSLATEENFIKILDREHQDCSSPWSSSVRRLALHEGSNQDKNNNLADGMAKLRSFNAIKCPISMLSQLVSFQTLRVLVLEGCEIMEGYHLKHLGKLRQLRYLGLKDTRVAKLPREIGDLVHLLVLDVRDTGLEELPETVRELSKLMSLRVNDSMSYLWLLWVGNLTSLQELVLGNVVMSPWLIVELSKLTELRILEISFLESGEHMESLLEALRGLCRIRILMLRFWPDEVLVSSWEGWEPPRQLCQFVMEGVCLARLPGWVNSTCVPHLSYLRLKLLVMEAQDWEVLARMPELHSLCIYSTLRLSSAGSIESPIYDGYSWRMYGQKEIPGAKHPRGYYLCMHRQSQRCTATKQVQCRDEDSTLFDVIYHGTHTCVQRTSVEISACQNLVEENYMLVEQGPDWDVQSLASLFLEMPTSSSITDDTNRPFKRSKKRRSHMEMQKVKVRVVKVRVGAVSGEGPADDGYSWRKYGQKKVLGANYPRAYYRCTHRHSQGCVATKQVQRCNEDPMAFDVIYIGIHTCTQSAVAMQPPLEQNPSASGGSTQ >KQK95782 pep chromosome:Setaria_italica_v2.0:VIII:38017191:38017721:-1 gene:SETIT_028161mg transcript:KQK95782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAPTHTKSCRAGWLAGSAGPLLLLNLALYVSMMGFASWALNSFVDDIGDQEYYPPADDACMCTGTPGGAAPATLHFIQFALLAAVLGSASKAAAAFHARASWRPQGLAAAAALGTVAWAATALALDLACKEMRAACWQ >KQK94576 pep chromosome:Setaria_italica_v2.0:VIII:22542805:22543289:-1 gene:SETIT_027611mg transcript:KQK94576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSYIANKPQIRVALIILLAFITMADHTSYSVPHPGRWNKHHLAINKFADMTHNDFMAMYTGSSLRLRGPTALPGFKYENFTLLDALQEVDWRKKGAVTGIKDQGQCGS >KQK95507 pep chromosome:Setaria_italica_v2.0:VIII:35141009:35142663:-1 gene:SETIT_027448mg transcript:KQK95507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDECLHPDAYACYGICENLPGSFHCQCPQGTYGDPTTKGGCITIKNSFPASSGFILLIVALAAPFVTRKIQLQRLKRLKEKFFKQNHGLLLQQLISQNTDIGERMIITLREIEKATDNFDKSREVGGGGHGVVYKGILHLHVVAIKKSKIVVQREIDDFINEVAILSQVNHRNVVKLLGCCLETEVPLLVYEFIANGTLYHHLHVEGPISLSWDDRLRIVLEVARALSYLHSATSMPIYHRDIKSSNVLLDDGLTAKVSDFGASRYIPIDQTGVNTAIQGTFGYLDPMYYYTGRLTDKSDVFSFGVLVMELLTRKKPFVYRSDDGDGLVSHFASLLGEGKLGDIIDPQVLEEGNGDIQEVAALAVMCTKLKGEDRPTMREVELILENLRVKTEAPSCRAPCNWDHIPVQGVIQEVSRQYTMEEEMMLSASYSR >KQK93310 pep chromosome:Setaria_italica_v2.0:VIII:452313:453747:-1 gene:SETIT_026649mg transcript:KQK93310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEKEWFFFCHKDMKYPTGMRTNRATKEGYWKATGKDRDIFKPAAAGGAGRELVGMKKTLVFYMGRAPRGSKTNWVMHEFRLEGKSRHNNSKLRFNPKDEWVVCKVHHKNGEAKKPAEEYSAGTPNVSSVISDDAGEGDDHFLDSMINSMYFNSAGSSLPSTTNVNAAAPHNADYNPISCTVNPISSTFLTTASTTQQASATCTRSSLWPNSAAPRSSSSGYSSSWNMLHADHNQAMGSYNLHHQAMVAKALGGVISPNFAGVLPSSSVTAGFLQQNSVGMPQQKLGNYRESYATNLTSSSASTTGPAAKNLGDGLVRY >KQK93408 pep chromosome:Setaria_italica_v2.0:VIII:977219:979124:1 gene:SETIT_026178mg transcript:KQK93408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLALLSPMLPNYRPSTPPLAEHLLMPQQRAHCCLGEIPTPTFCRRKGHPDDASTATVDWASVRRACKEWLKNPMNIALLLWLLCVGVSGGMFVLLLLGLLDGAFPAAAERNRWIEINVQVLNALFTLMSLYQHPALCHHLFLLCRWRPRDAADLRAAYCKGAGAAPRPRDRVHMAVVVALLHLTVACQYVQCGLYWGYSKATRPELAEAGFFVLGVVAPVAAAVYTVCSPLGKDGQCHELAFFDSTSATQMHPTPVGHLVIEPEWAGGMFDCGGDAPSTWCLSLSCTFCVFGWNMERLGFGNAYVHAVTFALLCFAPLWVLGVSALHIHDYVICDVVGGAGVLLCACGLLYGGYWRIQMRKRFGLPGSRACCGSKSLTDYARWLLCWPWALAQEVRTANLYHVDGEILYSKVAEDDHADSRKPLLVVSNDHDVFRATDTVIVSQASPPNGHLVVVDDETTMAPPVQVVVVQQLEGDKSDDSSVPLQGEMSNSSILTSVTVREEDAALLESNRAVTEEDGHGMPSDGSWRVEKVKKLINMVTLVSLLILLYTRGIIL >KQK94096 pep chromosome:Setaria_italica_v2.0:VIII:8740856:8743639:-1 gene:SETIT_028291mg transcript:KQK94096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKKPYVIAIIVQVIYTGMSVISKAAFDKGMNTFVFIFYRQVASSLLLLSFLLLFFLKGITFRLNLYNVSMKLTSATVASATFNSQPVVTFCLALLLRMEVVKLRSSSGIAKVTGVSLCLAGVLLQAGSSHARIKGTFLMVLANMSWSLWIVKQAAVLKEYPNKMLMKLSQCIFSTVQSFIVAVVAERDFSKWKLRLDISLQAIIYTMKGPVFLTVCSPLCLIFTIFCSSILGEIVHLGSIVGGNLLVGGHCVLWGKSKESKTAACNKEHKQTEEDKKGREDQKEATLESMVEQV >KQK94717 pep chromosome:Setaria_italica_v2.0:VIII:25645203:25645588:1 gene:SETIT_027128mg transcript:KQK94717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSWPIQIRRRPYAPGAPLRQTVVSSCSWLLLVRCLSPGDSWHSPSPAAVRRTARAPRRRPPRAAQLELPDAAAHMPGREPRRR >KQK95400 pep chromosome:Setaria_italica_v2.0:VIII:34161170:34163638:1 gene:SETIT_026097mg transcript:KQK95400 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIKRALPGNNVGSKIITTTRDLNIAEQAGSVYKLQPISPNNSRKLFFRRIFGNDDKDNNEEEERCPNDELAEVSDRILKKCAGVPLAIITMASLLACKARDKMEWYEVCNSVGTGLENNLDVENMRKILSFSYYELPCHLRACLLYLSIYPEDYKIGKDRLIMMWIGEGFIQCEKAGKSLFELGESYFNELINRSMIQPIHGIFGESCRVHDMVLDLIRSLSSEENFVTVLSDMGGTSPSNTIRRLSLQNGQESHVMAQATWSLQHARSVVVFPAAASLVPSLACCRVLRVLNLEGCNLSQADSSLRYLGNLHHLRYLGLCETGISQLPEEIGNLQLLQTLDVRINKISRLPSSVVQLRKLMYLYIHGSTRVPNGIGNLTCLEKLSWLGIDVSTRNIVEELGQLTELRQLSIELDEWNDKLSECLCKLQKMQELVITGYRRYLGRRSIGGLDAWVAPRHLRILSTQGNFWFSTLPVWVNPSLLPDLTYLEVAVRELHQVDLEILGRLPALRNLELEVDNKNLGILAGFVVGAGAFPCLVRCHFLEFAVVLQQGAMPRVRDLTLISFYLREARGIACNDGSLDLGLGNLPSLQYVQVALQCDGTSKEEAEQAKAALTHEAEMHPNHPDHDIGIIDDDDDDDDEYDDDDDE >KQK93842 pep chromosome:Setaria_italica_v2.0:VIII:4997826:4999211:1 gene:SETIT_027383mg transcript:KQK93842 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSSSLAALSCVLAVALLAATASAEGEKETRLRVFWHDVGSGGPNVSTVVLVAKGPNSDASATRFGYVTVIDDPLTEGPNLTSRLLGRAQGMYVSAGKDSMSLLMAMNFVFVDGAYNGSSLAILGPNAVERKVREMAVVGGTGVFRFANGYCEARTQWIDTRTSDATVEYNIHYNLHIHHD >KQK95105 pep chromosome:Setaria_italica_v2.0:VIII:30916899:30922255:1 gene:SETIT_025963mg transcript:KQK95105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLFFSESACKETKLHSAPHSWLPLERGKLSKFSGHAAGGSSIESLMKMPEPAVLPHFKPADYVDKLAQIHEELESCPPDEKSCLYLLQFQVFRGLGEAKLSRRSLQSAWEKASTIHEKLIFGAWLKYEKKGEEAISDLLSSCGKCSQEFRLLDFVSQVSTGSHDMSYDDESDEFRGSAVVHFRIRDDMIACDRRKLAALSTPLYAMLNGGFRESYLEVIDMSRNGISPIGMRAISKFSLSGRLPYLSADAILEMLDFANKFCCKGLKDACERKLASFVSSRQDAIDFMECALELGCSILAASCLQVLLNELPECLNDEQVVRIFSSANKQQRLTMAGNASFSLYCLLSEVSMSTNPTSDVTVSFLEKLVESALDSRQKQLALHQLACTKFLRKDYAEAERLFNAAFSAGHFYSVVGLARLASLRGNKHFALKLLDSVMSSRWPLGWMYQERALYLDGDSKLENLNKATELDPTLTYPYMFRAASLMKRQSVEAALMEINRILGFKLVLECLELRFCCYLALEDYRAALCDVQAILTLAPEYRMIGGRVAAKQLRMLVLENVEQWTTADCWMQLYDRWSSVDDIGSLSVIYQMLESDTAKGVLYFRQSLLLLRLNCPEAAMRSLQLAREHAASDHERLVYEGWILYDTGHCEEGLQKAEASIAIQRSFEAFFLKAYALADSSLDPSTSATVVSLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDLAAECYINALKIGHTRAHQGLARVHFLRNNRTGAYDEMTKLIEKARNNASAYEKRSEYCDRELTKADLQMVTKLDPLRVYPYRYRAAVLMDNHKEKEAIAELTKAIAFKADLNLLHLRAAFHEHIGDISSALRDCRAALSVDPNHQEMLELHHRVNSQEP >KQK94364 pep chromosome:Setaria_italica_v2.0:VIII:13796127:13801180:-1 gene:SETIT_027704mg transcript:KQK94364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKQGCFTRRVPGEGPAFCCKIDKVHIYIPEVPYELRRLFASLMDRDAKYFRKHIRYFNSHFSFTIFGVSTDQRLATAKGSGVYLVKERHMQLYFYDTDDSIDHRVKRSPNLDKNLIHLIRGVLWRYNPYVQWFTSLGTVTNIQEYTTELNISILVDQRRYNAPVMDDRDVQSRFIDAMTLVTCYGEPDYFVTMTCNPYWDEIMVELLPRQMPQYRPDVVARVYYAKLLDLHDFLIKKGHLGIVAAWAHGTEFQKRGLPHEHFLLVMEFGSKLKSPDDYDKYISAEIPDLNKYPRLHELVVKHMMHGPCGTLNKNFPCMKVKVRGEELDNRWVVPYNPVLLMRYNCHINVEICNIIKSVKYLYKYIYKGHDQTSFSVDAKGNEHRMQVHLLGMYMVAYKATNNLQDVVDHAKCQRSMLAKYFKMNERSAKACKYLYKEFPELFATIMVFCKCANIRHLWDKHYESLAEDFHRTNDNNTILVQLVLRDISFHLKSMGKDIRHYGLPELHESDDLRTRDHYRELTEEQNLGYEAEHLAIIDTLNAEQRAGFEDFFLDGPSGTGKTYLYKALLAKVLSMDLIAVAMATSGITTPIMPGGRTAHSRFKIPIKLDDSTMCSDFRQVLPIMARGTRAQITDATLLKSYIWESVRRIRLTQNMRAQSNMWFADYLLRISNGTEGTFGDEYVLLPDDIFIDSPSKDICIDTLIDHVFLDLPNNRRSAPYMRDRAILSTRNEHVDAVNALMIYSFDLVEDDTRNNYPVDFLNSITPNGLPPHELTIKKNYPVILLRNLDAHNGLFSHPICLSFAMTINKAQVQTITNVGIYLPEPVFAHGQLYIALSRGVSHETTWVLARKNKDMDPH >KQK94456 pep chromosome:Setaria_italica_v2.0:VIII:17571457:17572434:1 gene:SETIT_027543mg transcript:KQK94456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREYLMAVNPALWDVMNVGITFPSEDATLTQDQALQIQRNYQALHLIKSSLCAEEFDKVDGLQSAKVVWDTLFINHQGTKRVREGRIRALESELNCFIIKEDETPQEMYNRLNKIANKIRSLGNKEKNKRKSKRSCHFIANHPDVNIKRNDTSKHDNNI >KQK93866 pep chromosome:Setaria_italica_v2.0:VIII:5282406:5283512:1 gene:SETIT_027939mg transcript:KQK93866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGYLGALALYKPAGGGGAALMPLASPMINSFISRPRPADPRCGSTRFSGGDMDVDLEKGGSPSSDSGGTASLAGEDGCHPQFTCGSGGVNSCDRHYYFGLPNGSVSGMFLVSLLFVALAVVLLPRTHPAQTVSVVWTVSLLVCSYLACWTYTFSRTMGAAAVFFRVVAGPVAGMASAHLCTAWAAGLLGYALAEHRLHVGSERTADEAAARTLPSSSLGKREKFTAVQGVFLASLATLGLGARVAWLVFFPSYGDENASSVWIWVYCLGMEMMAMAAFFGYMIAVSERCKDILARYNL >KQK95247 pep chromosome:Setaria_italica_v2.0:VIII:32624734:32625072:1 gene:SETIT_028606mg transcript:KQK95247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRQIYIIPTVTKLAFRCVNKKLLHTHH >KQK94092 pep chromosome:Setaria_italica_v2.0:VIII:8600611:8603020:1 gene:SETIT_0274071mg transcript:KQK94092 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TDKISILGSTIQYVKQLEEKVKTLEEQNARRTSSESSTGPSGSAESVGGDSNSSVEVTIHENTVLLKICCESRSGVLVMIISKLESLGLSIINTSVLPFTNTYFSISITAKIGEGFSTTVELVNNLNMALRDFS >KQK94582 pep chromosome:Setaria_italica_v2.0:VIII:22657441:22659745:-1 gene:SETIT_026984mg transcript:KQK94582 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYFENARKRRNNSGITPPPGASPAHMVSAPAGHRTPPRTADAKPVKPQDRANRSRNQAKAGQGGSGSVPTWGQWNESNSSAGAQQYTLVFDQLREERSAPPTPSVEQLQRPTPNRATHHDLYDHAPKGFKCCGLFRI >KQK93860 pep chromosome:Setaria_italica_v2.0:VIII:5259215:5260174:1 gene:SETIT_026948mg transcript:KQK93860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRARGGQEPCVARRRPPPASLPLLVRSTTRRGHVARAHAHASRARAGHGGGGGGGPVEATIKNPPSSPAISLLYSRCIITVTIVVHSSTDDRRDAVADDADAVAEEEEGGGGWAPHPGGGAHAAGRIAGRHVAPRRADHHLHAHPGLLLIIE >KQK93864 pep chromosome:Setaria_italica_v2.0:VIII:5277110:5278114:-1 gene:SETIT_027186mg transcript:KQK93864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIYGAREKKKGYALKKGVEEYIFKAICKEARLLNHKSKIAIASFVCWPPYILWITFILQEVNLEHHKPSHGW >KQK95183 pep chromosome:Setaria_italica_v2.0:VIII:31714702:31717970:1 gene:SETIT_026660mg transcript:KQK95183 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRFLAAAGNPHIRLFDVNSNSPQPVISYDSHTSNVMAVGFHCDGNWMYSGSEDGTVRIWDLRTGTCQREYESRAAVNTVVLHPNQKELISGDQNGNIRVWDLAANSCSCELVPEVDTAVRSLTVMWDGSMVVAANNRGTCYVWRLLKGTQTITCFEPLHKLQAHDGYILKCLLSPEFCDPNRYLATASSDHTVKIWNVDGFKLEKTLVGHQRWVWDCVFSVDGAYLITASSDTTARLWTMSTGEAIRVYQGHHKATVCCALHDGAESAPS >KQK93555 pep chromosome:Setaria_italica_v2.0:VIII:1902039:1902659:-1 gene:SETIT_028584mg transcript:KQK93555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLTMLCFLWPLLRSPCFASSGHCFSMTWKIWWNLANPTKPRWEWLCLQYVGL >KQK93709 pep chromosome:Setaria_italica_v2.0:VIII:3410088:3413626:1 gene:SETIT_026460mg transcript:KQK93709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPVLVVGRGWLRPCPPWWLENANWAVILDGGSAVAACALTEVNSSHDGTERAYQGVCGSVTNLARIKEQHGVPARGGMKYAKYHDLHGGDEQFRKSNYSDLVNKYYDLVTDFAEYRWGQSIHFAPRWHGETRLESIKRFEHFIALQLGLKKGMKVLDVGCGIGGPLTEIARFSSTQITGLNNNAYQISRGKEIISSAGLTEQCNFIKGDFMNMPFPDNTFDAAYAIEATIHAPDALGAYKEIYRVLKPGQYFALDELCLTDRFDPNNAKHRNIKSQIEIGCGLPDIRSTRQCIQAMKDAGFEVVIAKDLAEDSECPWYQEIDPGVFSWTSFSNSCVGQFLTYAIVGTLEFLRIAPKGLNRLFSIMQTASHGLVTGSREQIFTATFFVLGRKPLEETEI >KQK95584 pep chromosome:Setaria_italica_v2.0:VIII:35864594:35866594:-1 gene:SETIT_026211mg transcript:KQK95584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDSNPATFDAFAAEADGFQPLNADDVRSYLHKSVDFIYDYYKSVESLPVLPGVEPGYLRRLLQCAPPSAAAPFDIAMKELREAVVPGMTHWASPNFFAFFPATNSAAAIAGELIASAMNTVGFTWQANPAATEMEVLALDWLAQLLRLPASFLMHRTDAGEGRGTGGGVILGTTSEAMLVTLVAARDAALRRMGSSGVAGITRLVVYAADQTHSTFFKACRLTGFDPANIRSIPTGADTDYGLDPTKLLEAMQADVDTGLVPTYVCATVGTTSTNAVDPVGAIADVAARFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLWVRDAHRLTDSLETNPEYLKNGASDSGAVTDLKDMQVGVGRRFRGLKLWMVMRTYGAARLQEHIRSDVAMAKMFEESVRSDDRFEVVVPRNFALVCFRIKPHGGGMTTEEDADGANHELMERLNRTGKAYLAHTVVGGKFVLRFAVGSSLQEERHVRSAWELIRKTTDEIMEGEKKCVHEDGC >KQK95598 pep chromosome:Setaria_italica_v2.0:VIII:36143684:36144971:1 gene:SETIT_027826mg transcript:KQK95598 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNAMNQGIRAITAHVPTKVFLGVLPYQIVIDFKNLHRLHSRQDLDVNLISIWEDELTNDHWICIIILPKLGEAVILDLANYHRDRYKNFIGIIQNAYKLYILKGRLVINNNSSFFLFHCSMPTIDINYSNIENKQIDNICMDMARFILRKICHEDGAFIDKDGMLMADECTNLCRWA >KQK94097 pep chromosome:Setaria_italica_v2.0:VIII:8753580:8753718:-1 gene:SETIT_028404mg transcript:KQK94097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLSFMKLYKYEWQVLSVVAEYTLRTDICMYHS >KQK94872 pep chromosome:Setaria_italica_v2.0:VIII:28242972:28245808:1 gene:SETIT_028285mg transcript:KQK94872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGLLSPLLSSARKLLDLLWSPAAAAPRGERFTFSADLQRLERLLRRIQATLDDVGEREIQESYVKLWIEELTVLARDAEDVLDDYRYEMLRRRAQELQGSIAAAAASSSSASRKRIHEEDEDEEDDGGTSERIGEIIRRFEEISRDRAALQLGPDDGIRIAGRESQWESRTSSHLFDESLVFGRTDEKERIIQLVLSRSQDNKTHVLPVVGMGGIGKTTMAQMVYNDGRVQERFDLMGWVHVSETFDLRRLTIAIYESLTRRPCEYNELSSVHDVLKEKLCRKSVFVVLDDLWNERHSFWQDLMCPLEYAESVMILVTTRSKEVARVVQTFEPLVLCSLSEEHCWLLFQHYAFGDRIIDDESSLLQVGRKIMQKCGGLPLAVKSIGCLLRFKRDMQTWLEISKSEFWEYSDDNEEIFCALRLSFHRLPARLKPCFLLCALYPKGQPFAKDDMIHLWIAHGFIQNTGCKTIERLAGEYFDELTERSLIETDLVRLRIHESRQLRKKPLSRPRARSPAIGEIFNTSHNIYELHIRSLVDTFREKTTKASLSFLRFRLHDMTWDLAKSLSSCLLSAIAFDEGSLYLGKKNQRLSFWLSGDTSRQNTQRYNPQVITIANSEFSYKMNYLRTLVLKQCTFFHIGINEFRYLRALVLDSCKDSGCISATQYLKLLRYLHVSNCDALFGKNLKRLTESICHLYSLEKLIVSSCRKEFSMKSCNLFSLRYLQLSVRFNDWSLHPFCQFDNLDTLCLQNCDSIAELPICIGNLLNLRRLQLFQISKIKKLNHYCFRCHTNNNRCGLTDVIFPALEELEFDGLCDLQEWCKLQESDYPKMQSITIRNCYKLRRIPYFGSLRNLIITKSALIDLQLS >KQK95008 pep chromosome:Setaria_italica_v2.0:VIII:29868962:29880971:1 gene:SETIT_025887mg transcript:KQK95008 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSDIRKWFMKTQDKGGASGAVKPSGPAAAEKKKPVLSIPEKKQAPPSLPFSFGQCVPCSNQWGARIFVLIKASCDHDPSARRKTSKYFGSKTEKDSDVEMADATAGKSTEKNAAKRKLQKGSNELKDDTKPLPAKKMSKDDEDDNDDFVSPSKKKTPVKPPPSKKPKVESNVEAPGRTTGTDDGEEEDKMDEDAKTPSKGAGRGRGRGGRGGAAPGGRGRGGGGRGFMNFGERKDPPHKGEKEVPEGAPDCLSGLTFVISGTLDSLEREEATDLIKRYGGRVTGSISKKTSYLLADEDIGGVKSNKAKDLGVPFLTEDGLFDLIRKSKPAKAPVDGHQSSNGSEKLQKLQTKSSPAKVEKRAEASPVGKSFASKSNVANASAENRKAKNIDRGSMQWTEKYRPKVPNDIVGNQSMVKQLHDWLKSWDTQFLHSGQKGKGKKQADNGAKKAVLLSGPPGIGKTTTAKVVSQMLGLQAIEVNASDSRGKADSKIEKGVGGSTSNSVKELISNATLNYSDNRLKHPKAVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLMLNFRKPTKQQMSKRLMEIAKKEGIQAQENAMEELAERVHGDIRMALNHLQYMSLSQSVVKYDDIRERLNSSAKDEDISPFTAVDKLFGFNGGRLRMDERIDFGMSDPDLVPLIIQENYINYRPNTIGKDESGVKRMNALARAAESIADGDLVNVQIRRYRQWQLSQAACFASSIVPAAFMHGNREILEAGERNFNRFGGWLGKYSTTNKNRRLLEDAHSHILASQQANLDRETLRLDYLTLLLRQLTDPLKTMPKDEAVQKVVEFMDTYSLSQEDFDTIVEISKFKGHPSPMDGIQPAVKSALTKAYKQGSSSRVVRAADLINIPGMKKPLKKRVAAILEPVEESLADENGVASAEADEEDSSDTENNDELVPSDSMPKLDLQSDKKKGIQVQLDLKSNGNGSSGKKTPASRSRAAPGSGGKSAGGSAGKRKR >KQK94120 pep chromosome:Setaria_italica_v2.0:VIII:9030427:9031154:1 gene:SETIT_026925mg transcript:KQK94120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKNHHHLVHLLFFLAAVASTAASAATGGNSTTPTAYEMLERYEFPRGILPEGVQRYVLRPDGSFEVFFSGSGGGCEFRVGDRYQLRYERRIAGNARAGSIRELRGVSVKVLFVWLGITEVDRAGDQLSFRVGPLSASFPLAKFSVSPRCRCGFDCEAAAGGDAAVAAS >KQK94873 pep chromosome:Setaria_italica_v2.0:VIII:28255894:28256824:-1 gene:SETIT_026930mg transcript:KQK94873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSRELIAGRGPLQQRRGRRRRLLPPLLLLLLLAVADRSPHAAASIFGGDAASECSRTCESDHCTAAPLMRYGKYCGVGYTGCPGEAPCDALDACCMIHDACVQATDNDYLNLWCNQSLLDCVAAATSSSTTAATFEGNRCNMTEVADEITSVVEAAVYAWGIFHKP >KQK94517 pep chromosome:Setaria_italica_v2.0:VIII:20248825:20252327:1 gene:SETIT_026877mg transcript:KQK94517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVEAPTQEVKLFGRWSFEDVQVNDISLADYLAVNPTKHAIYLPHTAGRYSAKRFRKSQCPIVERLTNSLMMHGRNNGKKVMAVRIVKHAMEIIHLLTDANPIQIIVDAIINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEVERVAKANR >KQK94889 pep chromosome:Setaria_italica_v2.0:VIII:28427138:28428912:-1 gene:SETIT_026633mg transcript:KQK94889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVVGEKMDIDFVISTGDNIYDDGIANTSDPLFKESFSNIYTAKGLQKPWYLVLGNHDYTGNALAQLDPAIRNVDSRYTVIAKSFIVNSGIADFFLVDTTPFILHYWNNTKFDWRGVAPRDTYITNLLKNLEYALTQSKAPWKIVVGHHPISSGCGHGNNTELEELLLPVIKAHGADMYVNGHDHCLQRITSRDSPLQFLTSGGGSKAWAGKFKATPDKVEFLYDGQGFMSMRLSKTEAHLAFFDVAGSVLHCWGLTKTAAAAGH >KQK94890 pep chromosome:Setaria_italica_v2.0:VIII:28427138:28432274:-1 gene:SETIT_026633mg transcript:KQK94890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVGIMLVALGAVVALLQVAAALPRLEHPPKADGSLAILVVGDWGRRGQFNQTLVAQQMGVVGEKMDIDFVISTGDNIYDDGIANTSDPLFKESFSNIYTAKGLQKPWYLVLGNHDYTGNALAQLDPAIRNVDSRYTVIAKSFIVNSGIADFFLVDTTPFILHYWNNTKFDWRGVAPRDTYITNLLKNLEYALTQSKAPWKIVVGHHPISSGCGHGNNTELEELLLPVIKAHGADMYVNGHDHCLQRITSRDSPLQFLTSGGGSKAWAGKFKATPDKVEFLYDGQGFMSMRLSKTEAHLAFFDVAGSVLHCWGLTKTAAAAGH >KQK95000 pep chromosome:Setaria_italica_v2.0:VIII:29737266:29741010:1 gene:SETIT_025889mg transcript:KQK95000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFFFSLFFFCSHALVSLGSSNATGDELALLSFKSMLSTPSKVSLASWNMSSHFCSWQGVVCGRRHPDRVVSLHLSSFDLSGRISPFLGNLSFLQKVELGNNQLVGHIPPELGRLSKLQELNLSTNFLQGSIPVAMGGCTNLMVLDLSNNQLQGEIPSVIGASMKNLVQLYLRKNLLTGVIPQSLAELSSIELLFLSHNNLDGEIPSALGNLTNLLSIGFSNNMLSGAIPSSLGMLPNLSMLSVGFNNLTGPIPTSIWNISSLTVLSVSRNMLNGAIPPNAFDNLPNLQILYMDHNHFHGHIPASLANASNLFMIVLGANPFSGIVPKEVGELRNLNRLVLTDSLVGAKEPKDWEFITALTNCSQLEVLILGICEFNGTLPDSLSNLSTSLKILSLSANAISGSIPKDIGNLFNLQVLDLAYNSFTGNLPSSLAKLKNLQKFFVNDNYINGSFPLAIGNLRYLISLYLMSNAFSGRLPNTLANMTMLSELYLANNNFIGTIPSGLFNISTLSIGLDLSYNSLEGSIPQEIGNLKSLAKFNAESNKLSGEIPAALGECQGLRYLFLQNNILNGNIPGHLSQLKSLQRLDLSSNNLSEVPTFGVFANATAISIQHNGKLCGGMPAMHLPPCPLQLPKNKHKLLVIPIVTSLVGTLIILVLLYKLLTWHKRNKTEIPSITTMQRQRHPLISYSELVKATDGFSATNLLGSGSFGTVYKGELDGQLGESTNVVAVKVLKLQTPGAMKSFVAECEALRNLRHRNLVKIVTTCLSIDHNGNDFKAIVYEFMPNGNLEGWLHPDTDGQMEQKFLNLIERVSILLDVAFALDYLHCHGLAPVIHCDLKPSNVLLDADMVAHVGDFGLAKILVEESSTVQQSMSSMGLKGTIGYAAPEYGAGNVVSTNGDIYSYGILVLEMVTGRRPTDSTFREGLSLREYVELALHNGTMDVIDTRLSLSLENEFQGVGEGDSSQNRKTDCLIALLKLGLSCSEELPSSRMPTADIIRELLVIKGSIL >KQK95688 pep chromosome:Setaria_italica_v2.0:VIII:37197813:37198455:-1 gene:SETIT_027249mg transcript:KQK95688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMTALHTSELKPSQRGRQVARQSSWMSAIAGEPMDNRTSAMQVATRTTF >KQK95693 pep chromosome:Setaria_italica_v2.0:VIII:37236933:37237519:1 gene:SETIT_027067mg transcript:KQK95693 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMLKMAIVAACIALALLSMGPPAAMADIQDDCRATCRGLCDGFGTNTCNNIIAIAPAVLNNINFFFTTCKVRVSGLCASFCVTTCSLNTVTPASPPPPPCKP >KQK94594 pep chromosome:Setaria_italica_v2.0:VIII:23076722:23082097:1 gene:SETIT_025993mg transcript:KQK94594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDSENNAPSTPGSPGFSTDRLPPNTTTSRGATDPSSYSDDDGEAEVDPHVLPEDDDPAAAAPADEEDEEGEDLFNDNYLDDYRRMDEHDQYESVGLDDSLEDERNLDEIMADRRAAEVELDARDVRTGPAADRKLPRMLHDQDTDEDMNFRRPKRHRTSFRPPSGPRTPRSDDDGDGATPSSPGRSQRGYSGGDVPMTDQTDDDPYEDEFDEEDEMNMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEQGEFEYVRLINEMVLANKCSLEIDYKQFIYIHPNIAIWLADAPQSVLEVMEEVAKNVIFDLHKNYRNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCSKCGTILGPFFQNSYTEVKVGSCPECQSKGPFTVNTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFATVVEANYVAKKQDLFSAYKLTDEDKAEIEKLSKDPRIGERIVKSIAPSIYGHEDIKTAIALAMFGGQEKNIKGKHRLRGDINVLLMGDPGTAKSQFLKYVEKTGHRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKTFTQNVELTDPIISRFDILCVVKDIVDPFTDEMLARFVVDSHARSQPKGANLEDRVPTDVNDDPLAAARQADPDVLSQDMLKKYITYAKLNVFPKIHDADLDKISHVYAELRRESSVR >KQK95876 pep chromosome:Setaria_italica_v2.0:VIII:39115610:39117190:1 gene:SETIT_028384mg transcript:KQK95876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATAPPDAASVEKRKPAAHAVFFPFPAQGHVNAALHLAVLVHARGGVRVTFVHSDRNRRRLLRSQGPDALAGAPGFSFASIPDSLPPPSGEGGDTPQYMVALLSSLETSAGSHLKKLLDDAAAAGAPATCVVSDVDPVLRAAGEVGVPAVAFWTASASALMAFQQFQQLIDKGFVPLKDAAQLSNGYLDSTVIDWVPGMPADMRLRDFPSFIRTTDPDDAMLRHALGLMDCVRTAASAVVLNTFDELDGEVVEAMSAFLPPIYAVGPVPLIAQQVVVAGGGAPPPAASLTKEDDGCLAWLGTKRPRSVVYTNFGSIAVLTTQQIEEFAWGLANSGYDFLMVIRDDQANGAFGGGITPEFVEETKGRCYVTRWCPQAAVLQHEAVGAFLTHCGWNSMLESICSGVPMLCWPFGADQQTNCRFACTEWRVGVEVGGDVKRAEVEALVRDVMGGGEKGMELRRRAAEWKERAAAASEPGGSSWVNLDRLVNEVFHPYKEEL >KQK94719 pep chromosome:Setaria_italica_v2.0:VIII:25649047:25652001:-1 gene:SETIT_028128mg transcript:KQK94719 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNFPAGTVNQAVRVIIPYLEDTREPHQVIYFDGWRGLGASAVLKSVAEHPPPHLRNRYDQIIHIDCSRWKSRRALQRTIADKLSLGQDVMDIFDRQDEEEDFSGVDEGSRIEIGDAGRKIHEALHGNSFLVVFHNGSNNMVNLNDFGIPQQTDRWSNIIGKVLWTFRGRLRGIPGMKQTTDREHISPSSESTKPGYQSPTEQEFSNKKSPQTESVDKSHIYLYSYFDYGTSIFWEELVHEEATEIAQYTKRFDITPKVAALCCRYLLLLNAKGIENLDYNWPVHASNYWVCDGIMQEYHQDKAWEVATALHEEMILEDFSSYRFPKFGDTTRWIVATNSNSEEIKPDTTSFFWANRRGSVASLPDNMFQRSEQLHVLKLCLCTFNFSSPPFLYCPNIRFLGLEKCKDQPNKLEENKEEEDEQDRRRIKFFQSLLVLDICYTDWELNSSPNIIERMVTNIREISIKRGRIWNNQGWTWRHLQNIHKLRVIEPTCPWETDNIDEFRDMLKLELLDLSGNSTIQVLPCLSGATSLRTLVLDGCIGVEHIGPESLPPSLETFSFDAKAAEDHNKEAKISCISMTGCTRLVNFRLCGSLPELEELSLSNTSVKTLDLKDEVVQVPCLQRIILLGCMRLRAILWPNDGMPKLRVLCIDTRGGTEAAESKAPHDSFVNKELEESGRASASASASVSVTDLSKEKMAPYSTSKLVGSPPQKSLISKSYQTYSDVNFAKATIDHDGSTAHQQFQPLDLHVEIGEGISNTNVITERGISAARSFIKRAKSLLVHDNFSINTVIPESIVTDGNWSGLKWCCIERCPKLDTVFTANYDYGSCFSELETFWAADLLMAHCIWNINIRSGSGWFTGPFRQLRAIYLHLCPRLTFVLQLSWWYYGTGTLSNLETIHIVYCGDVSQVFITSYQEGELEFPKLKHVHLHELPKLHQICAARMFAPKLETIW >KQK93885 pep chromosome:Setaria_italica_v2.0:VIII:5586521:5591857:1 gene:SETIT_026004mg transcript:KQK93885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRKASSTSDSRLKWRKRKRNSNASPSKPSTSSAAAADHSDESDSAAANDDEYAAAGDGADDDEAPADAAAASEDPVLDHREAEVLPTAEPISAFPAAKRRVVNRPHQSVLALIAAERSAYSGDITAAAPPPVLENISHGQLQVLSGVLPDHPSLTTDPNKTSLYVCTPPPLMEGHGVPKQFHGRLHVVPKHSDWFSPGTVHRLERQVVPHFFTGKSPGHTPEKYAMLRNKVIAKYLENPGKRLAFAECQGLVGNTGELYDLSRIVRFLDTWGIINYLAAGSVHRGLRMATSLLREEPSGELQLLTAPLKSIDGLVLFDRPKCSLQAEDISSMASSSSNSELVDFDAAFADLDGKIRERLSESSCSYCLQPLPSLHYRSQKEADIALCSDCFHDARYITGHSSLDFQRVDGDNDGLENDSDKWTDEETLLLLEGIEKYNDNWDDIAGHVGTKSKAQCIYHFIRLPVEDGLLENVEVSNGSMPFRAQSNGFPHLDSNGSTSGIPVQSFRHGNELPFINSSNPVMSLVAFLASVIGPRVAASCAHAALSFLTRDDDPRLSSEGMHADGRGNGANPIFHNHNGASPAISPENVKHAAMCGLSAAAMKSKLFADQEEREVQRLAATVINHQLKRLELKLKQFAEVETLLLKECEQVERVRQRISADRARMRSAMLGSTGMPGSSSTMPSNPVSMSPRPVGVPGSMPQASMPTAYANNMQGHGHPQMPQMSFMHQRPQMLSFGPRLPLSAIQTQPSPQASNIMFNSGMPSSVTPNHHQLLRSSSGNNSSAG >KQK94494 pep chromosome:Setaria_italica_v2.0:VIII:19711748:19712169:-1 gene:SETIT_028462mg transcript:KQK94494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTAQHTWNHLHQQFTFHFVDHLRCDVIFISFFVFMGASCV >KQK95847 pep chromosome:Setaria_italica_v2.0:VIII:38896678:38897494:-1 gene:SETIT_027046mg transcript:KQK95847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQVLLVTLAVLAVLATLPLLSKGSGEEGGAAVADDAANASSWPCCNQCGFCNRKNPPDCSCLDISFQGCHPACMNCVKYTSTTEAPVYRCVDVLTNFCKRRCTPTAAAI >KQK94791 pep chromosome:Setaria_italica_v2.0:VIII:26980884:26983813:1 gene:SETIT_026917mg transcript:KQK94791 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALLRRALLLRRVLPSPSPSPTLPAASAHRLLSAFTTSQQNAATTVDLSSDESRRRLLNRLVYRSKQRGFLELDLVLGSWVEQHVHSMDESNIRALLQVLDLENPDLWKWFTGQEQPPEDLNSNPVFTAIKSKVTDNLSKHASPETRSTPGQPWVRGWDDIKKGKDGPKYGNQ >KQK95425 pep chromosome:Setaria_italica_v2.0:VIII:34378560:34379493:1 gene:SETIT_028252mg transcript:KQK95425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGRANWDDNTTKIFLDLCIDEKNKLKYNKRGLTKVGWHNLYTNFKQQTGRKYSCKQLQNKFNAFKRQYKDWRKLKDKSGTGWNNSTRTIDCDDEWWAARIEENEANKHFRGKAFPFYDELTTLFGTTDTEGGPMLCVGGIGDRTPSYGSEDTPDPMADENVDWLEDTVGRSSVGRVSQRSGKEHVVDSPPPKRTKSMEYYVERISESMIQRTMTERNLISREEEEVTEMLHLVEQDGVPNGSELYFIATELFRSPARRASYRSITASENRIAWL >KQK95496 pep chromosome:Setaria_italica_v2.0:VIII:35053288:35055039:-1 gene:SETIT_026392mg transcript:KQK95496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLRLGAVPTLVVSSPRAAKAVLRTHDHVFASRPHSAVADILFYGSTDASFTPYGEYWRRARKVITTHLLTAAKVRSKSNRAAREQEVRLVLARVRAAAAAGTAIDVTEIFSFFANDIVYQAVAGRLPREQGQNQLFRELLETNAKLLGGFNLDDYFPSLGRFDLMSAKAVKQIKRWDDLLDSLIDKHIRSKTVVDGEDEQEDFIDVLLSVQQEYGLTRDNVKALLVDMFEAGTDTTYIALDYAMAELMRNPQSMTKLQAEVRRCTAKGKDMVTEEDLSGMSFLKAVMKESMRLHAPGPLMLPHFSMAECVVEGYTIPSGTRVILNVWALGRDPTYWKSPEEFVPDRFLEEAMDAASDFQGNDFRFLPFGSGRRMCPAINFTKANFEIILANLIYHFNWELPPGTAGIDMTESYGVDVHRKEKLLLIPRVGQDV >KQK94606 pep chromosome:Setaria_italica_v2.0:VIII:23211598:23213216:1 gene:SETIT_026482mg transcript:KQK94606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGKMEHIHVDHKSLLGGEFKDGICASIPKPPPSSTSSRPNSMVVKKVCPREFIPPHIIAEAISTLHGLDLRWSGPITPTERQYVEQYVLAMYPQYSHGLIEDAACDKDDDLDSAYYSGGGTASPEAAGGERRRSSPAGSPSAAAAVIRPDAVDAVRLEPSRLLDILTKKSSFPGSFISIPEIQARNRVLRHCGLTDDEYLVLFAPTPRDAMMLVGESYPFFRSSYYMSILEEGGDCVRAFAAYKEAKVIAAPESWLDLRIKGSQLSQYFRRKSKHAPKGLFAYPAVSSSASGNGGGQQQQPAARYSLHWVSEAHRNAWHVLLDATALAVGEDRLPLSLHRPDFVLCTLADTAVRAPQQPAASAARVTCLLVRRRSFDTSPPQQPQKQ >KQK95968 pep chromosome:Setaria_italica_v2.0:VIII:40203923:40204420:-1 gene:SETIT_027432mg transcript:KQK95968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TARNSTADGTNRRLLLLLSLSPASKVIAKQAVGKQNHRARSGLDGGGGASFRCRTCGRCFATFQALGGHRTSHSRPRVRADGLDLLLGARPGRKGAAASDVHRCNTCGMVFPTGQALGGHMRRHRAAFEVAVLETMQATTTVSGLSEEEDDDDARHVSSTLIQFI >KQK95673 pep chromosome:Setaria_italica_v2.0:VIII:37032948:37033605:-1 gene:SETIT_027029mg transcript:KQK95673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPTKCDVYSFGIILLETMSVMWKKCRGAPSKEIREQWAKRLEKRDLFDQELVTDDSNRVLALRCVLVGLICCLREPARWLSMEEVVVRIGGPRTEVVPAAVPSSSSAAQAIPRQ >KQK95134 pep chromosome:Setaria_italica_v2.0:VIII:31282605:31283823:1 gene:SETIT_026967mg transcript:KQK95134 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITGGRALAVAALLCAMAAMAAAQSASNVRATYHLYNPAQNGWDLNRVSAYCATWDANKPLSWRQKYGWTAFCGPSGPRGQASCGKCIKVTNRATGASTVARIVDQCSNGGLDLDFETVFKKIDTNGQGYQMGHLNVDYQFVAC >KQK95135 pep chromosome:Setaria_italica_v2.0:VIII:31282605:31283823:1 gene:SETIT_026967mg transcript:KQK95135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAITGGRALAVAALLCAMAAMAAAQSASNVRATYHLYNPAQNGWDLNRVSAYCATWDANKPLSWRQKYGWTAFCGPSGPRGQASCGKCIKVTNRATGASTVARIVDQCSNGGLDLDFETVFKKIDTNGQGYQMGHLNVDYQFVAC >KQK93631 pep chromosome:Setaria_italica_v2.0:VIII:2442526:2443354:1 gene:SETIT_028440mg transcript:KQK93631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVFHKKGTSILSLYLTWLTIIFFFIVQCIQFLI >KQK95730 pep chromosome:Setaria_italica_v2.0:VIII:37614488:37616005:1 gene:SETIT_027619mg transcript:KQK95730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDTVAARALPDDVLEGILGRLPARSLAASRRVCKAWRDLVDDRRLLLRHLLPHSVRGLFVSNYIYYYYRQHFLARPAPAAEEGGPRIDGEFSFIVREEPFVSHHILDHCNGLVLSSGEHLGGSRMYVCNPTTRRWARLPPAPHYNHRRPKHRTFLVFDPAVSPAQWEVLMAPPEPHKETAKADMEPEKRTMEWPPATWRWSALSSTAMEWEEKVFVREGEAAGTVADLLMKHSLDDQFLPRWRYGAYCQGALYVHCRGEYVSRLCLSTNRYRVIKSPIDLTECQEGAVSSIGRSGNGVCFAALDNMTRLRVWTLNESGDETEWLLKHDSVVMTKCERQRRCDGPWTIDATRDYDRQSKDDEQVSQEDNRDWNSDDDANILDDTADENDWVRTDVDFLLGFHPYKEVIFLGDLGDSAFAYHLNSTKVQYLGELNPGYNHGLFDSFVYTPCLIGV >KQK94221 pep chromosome:Setaria_italica_v2.0:VIII:11032746:11033876:-1 gene:SETIT_027458mg transcript:KQK94221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMGFERSWYSFVLLASLVLATAELATAQTSPNRTVVEEVTGWLRIYSDGTVERLTPPGAEAFTAIVPPYKNPRGGVTVHDITTDRGIDVRLYLPAAAAAKAPHRRRRPVLLHLHGGGFCVTRPSWAIYHNFYAPLAAELDVAGIVSVYLPLAPEHRLPAAIDAGHAALLWFRDVARSRNVYGAAHSALVRHFRRTADFSRVFLIGDSSGGNLVHLVAARAGEDKPGVLHPVRLAGGVLLHPGFAREQKSRSELENPPSMFLAPEMIEKLLALGLPMGVNRDSPYTSPELATKAVAHVRMPPLLLMAAEKDLLHDPQVDYGKAMEHAGKKVTTVVSRGDVAHVFYLNFFAVKTDQLTANRTKELVHTIKCFIDQH >KQK95545 pep chromosome:Setaria_italica_v2.0:VIII:35525141:35529508:-1 gene:SETIT_026108mg transcript:KQK95545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTSSGANFQHQHPATQGQGMPPPPRPNGRAPPALQTSLSLGGAAAAAATSPEQVGSPPDAQEPLSNSDGGHDSATESASSRETWPGEPSTGKSGGGGGPPVAAAPITAVRMAGSKDKEVVVCNGVHDLQAVRGRIIPGAGWVTLREIARDRVDVVAEKMKVIGEEVLDEVKTELRSILEGTGGSHHVEEFLYLQKLVQGRVDLTPAALSVAHHVQLEVLVAIKTGIQAFLHPSVNIPQSRLAEVFLYKRCRNIACQSTLPAEECRCGICSSRNGFCNLCMCVICNKFDFEVNTCRWIGCDVCSHWTHTDCAIRDGRIGTGQTIKNGVGHAEMLFRCQACQRTSELLGWVRDVFQQCAPGWDRDALLRELDYVCKIFRLSEDSKGNKLFRKCVELVDRLRSASAESVSPRMLLQALQELDIASPKSFENEEPGRSITPQEACNRIAEVVQEAVKKMEIVAEEKMRMYKRARLAVDACDRELEEKAREAQELKAERLRKLQQAEELESIIRLKQVEAEMFQLKASEARQEAERLRSVALAKKSEEAGQDYASMYLKRRLEEAEAEKQYIFEKIKLQENQRPPPPQAASSGGLGIGGSSSAGDPSQMMMLSKIQDLLKNVRSMPSSKSDGPHPK >KQK95138 pep chromosome:Setaria_italica_v2.0:VIII:31314230:31318404:1 gene:SETIT_026347mg transcript:KQK95138 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKGKARAPPRRGEEESYRRANPVQIDEPTSPPPPPPPVLHLLHPLLGSYYKYHHRDAAALCPPRSFDPAAGEGSPPSQARQATRRKSSIQELDSAVSPLAIDTMDVSDEKCAHPSDLPSAVDVMQSDDGRSEHLGSAAVNGAIGNEGYSGINCSEQTDDEHGGGEDSVVNVGSTADKQENQEKIPMEETEMSDGTSITSMEDALEPNNDLHSEPEDTSNHTPDLSNGKSSNGNSNVFQSAKSVLTSTKKVKKTSSANARKPLQSTNRGNQDDGKSSIGKATVPAGPVFRCTERAEKRREFYMKLEEKHQAMEEEKIQLEARLKKEQEEALKQLRKSLTFKANPMPSFYHEAAPSPRAEVKKLPTTRPKSPKLGRRKTTSMETSNSSSESEGTRPCCRANRDGLDSNCKCSGGAGSRSSKALATNAKPAAAAAKKQKQPKHRAHKIAGESAINIAVH >KQK95644 pep chromosome:Setaria_italica_v2.0:VIII:36808394:36811130:1 gene:SETIT_026014mg transcript:KQK95644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIKSAFVENDSGSRVITTTRNRDVASREEVYELRTLSPDHSEKLFKTRLFGVNGEYPANHPAAASEKILKKCGGIPLAIITMASLLVGKSREDWFDLCNSPGFYRGNGNQQVDDTEWILSLSYYDLPSYLRTCLLYLSVYPEDYVIEKDSLIWKWIAEGFVEKRRGTSLFQRGEEYFNQLINRSMIQAVESGYTGIVGGCRVHDMVLDLIRDLSYKENFVTISNDDEGTSPHQNKVRRLAHQNRIMKQTQQDDHMDMAQVRSFVACRCNIDSHWVLHPSFKLMRVLDLEGCRVPWEGWQGLKDLGNLLHLRYLGLRNTFCGSYYELPEEIGKLKFLQTLDLQDSGIGVLPSGVCQLTQLVCLSGDFDTCTPNGSFLRKVTSLEYLRIRIDNLDEESQRQFMKDVGNQSEVRVLDIIGTLKGMVQSDLVKSLGNLHKLHKLHISGIWDRGSEVRVLDIIGMLKGMVQSDLVQSLGNLHKLQHLRGVDDNGNGEAAMRKWDRVVLPRHLRHLGLGFISFRQLPAWISPAHLPILRYLWLRVEHMDESSLRNLGGLPELRYLGLSTARPSMACTATLANITAVDGFFKKLRFCFLYGWMVQLVLNEDSTGVSFSIWNGMGVIAFGSKTKDEYSRSIAPPPVMPNLQELWFDVPVRALYKDGNGSCGDLRLECLPSLRSVQIAVDCEGASADDVEKAEAELRHTANLHPNRPRIELHHNERRKQSTDQDDKEEGGASVAGNEVVVTESGGDNPATPSC >KQK94417 pep chromosome:Setaria_italica_v2.0:VIII:15359565:15360107:1 gene:SETIT_028481mg transcript:KQK94417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRSRSFGFYCRTGYLFVDRSPWVRRVHPCYSFSCRSG >KQK95257 pep chromosome:Setaria_italica_v2.0:VIII:32717275:32718542:-1 gene:SETIT_027581mg transcript:KQK95257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKRKDRAAKEQNPDLPLHSHPTTSTTEHKRRQRRDPVPATGSDSEPDPSPPSSPAGSVRRLIEPYSKPRLVAILAEATASDPALRARLVAAADASPSHRRLFVHGLPPRADAAALAEAFSRFGALAECHAVADRGRRCRGYAFVSFASRAAARRALLDAPRVLVASRHVSAQFASAGPDRSRGCGGGAGRRVYVTNVAPDAGAERLRAFFARFGELEGGPFGFDADTGRSRGYALFVYRAAAGAATAVAEPYRVFEGRMLHCQLANEPARKAKAPPAPPLPAAEAPALQPMLDAIAAAGVGDLAAYARDPAQAAALLGQNPALAAAALSSALAAAAQSPAVAAVATNPAAASALMPVAAAAGRRTRATTAAAAVPSPVKFGVRPSGGAGLLGPYKPPAHHRRSSTAGSH >KQK94440 pep chromosome:Setaria_italica_v2.0:VIII:16605114:16605746:1 gene:SETIT_028473mg transcript:KQK94440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQNLFSVVIGCPTIILVVVHQNLTNVSLFILQSIKPTVLIGTSGVGRTSTKYVVETMASFNEELGGLGVKQSDGFIHSNSGSTS >KQK94441 pep chromosome:Setaria_italica_v2.0:VIII:16604825:16606255:1 gene:SETIT_028473mg transcript:KQK94441 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFDAVLSIKPTVLIGTSGVGRTSTKYVVETMASFNEELGGLGVKQSDGFIHSNSGSTS >KQK94442 pep chromosome:Setaria_italica_v2.0:VIII:16604966:16606255:1 gene:SETIT_028473mg transcript:KQK94442 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLFDAVLSIKPTVLIGTSGVGRTSTKYVVETMASFNEELGGLGVKQSDGFIHSNSGSTS >KQK93228 pep chromosome:Setaria_italica_v2.0:VIII:71359:74400:1 gene:SETIT_026565mg transcript:KQK93228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAEMVLERRAYARVGLLGNPSDVYGGRALSFAIADFSATVRLRPSAELLIQPHPHHDLVAFPSLPHLVSRLQSEGYYGGVRLLMAICKVFYNHCIQNNISLKAENFTLSYDTNIPRQAGLSGSSAIVCAALSCLLDFYDVRHLIKVELRPNLILDAEKELGIVAGLQDRVAQVYGGLVYMDFSKEHMDKLGHGIYRRLDVNLLPPLYLIYAENPSDSGKVHSTVRQRWFDGDEFIISHMKEVAQLALDGHMALLQKDYTELARLMNRNFDLRREMFGDDVLGSVNIKMVEVARSVGASSKFTGSGGAVVVLCPDGEAQVELLHRACQEAGFVVQQIIVAPSALSDKELTSLLTC >KQK93220 pep chromosome:Setaria_italica_v2.0:VIII:43340:46775:1 gene:SETIT_026554mg transcript:KQK93220 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLQQLLLLFFFLSAVAPQVVRAVKPIPNSNLGVEEGDNSIGIIQKDIIETVNKHPNAGWTAAQNPYFANYTIAQFKHILGVKPTPQDALTDVPSKTYSRSLKLPKEFDARSKWSHCSTIGNILDQGHCGSCWAFGAVECLQDRFCIHMNMNISLSVNDLLACCGFMCGDGCNGGYPIMAWRYFVQNGVVTDECDPYFDQVGCNHPGCEPAYPTPVCEKKCKVQNQVWDEKKHFSVNAYSINSDPDDIMSEVYINGPVEVAFTVYEDFAHYKSGVYKHITGGIMGGHAVKLIGWGTSDAGEDYWDGYFKIIRGVNECGIEEDVVAGMPSTKNMVRNYGGSSGTAVV >KQK93222 pep chromosome:Setaria_italica_v2.0:VIII:43340:46775:1 gene:SETIT_026554mg transcript:KQK93222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLQQLLLLFFFLSAVAPQVVRAVKPIPNSNLGVEEGDNSIGIIQKDIIETVNKHPNAGWTAAQNPYFANYTIAQFKHILGVKPTPQDALTDVPSKTYSRSLKLPKEFDARSKWSHCSTIGNILDQGHCGSCWAFGAVECLQDRFCIHMNMNISLSVNDLLACCGFMCGDGCNGGYPIMAWRYFVQNGVVTDECDPYFDQVGCNHPGCEPAYPTPVCEKKCKVQNQVWDEKKHFSVNAYSINSDPDDIMSEVYINGPVEVAFTVYEDFAHYKSGVYKHITGGIMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGVNECGIEEDVVAGMPSTKNMVRNYGGSSGTAVV >KQK93221 pep chromosome:Setaria_italica_v2.0:VIII:43340:46775:1 gene:SETIT_026554mg transcript:KQK93221 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGTLQQLLLLFFFLSAVAPQVVRAVKPIPNSNLGVEEGDNSIGIIQKDIIETVNKHPNAGWTAAQNPYFANYTIAQFKHILGVKPTPQDALTDVPSKTYSRSLKLPKEFDARSKWSHCSTIGNILDQGHCGSCWAFGAVECLQDRFCIHMNMNISLSVNDLLACCGFMCGDGCNGGYPIMAWRYFVQNGVVTDECDPYFDQVGCNHPGCEPAYPTPVCEKKCKVQNQVWDEKKHFSVNAYSINSDPDDIMSEVYINGPVEVAFTVYEDFAHYKSGVYKHITGGIMGGHAVKLIGWGTSDAGEDYWLLANQWNRGWGDDGYFKIIRGVNECGIEEDVVAGMPSTKNMVRNYGGSSGTAVV >KQK95540 pep chromosome:Setaria_italica_v2.0:VIII:35477085:35479677:-1 gene:SETIT_027086mg transcript:KQK95540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPAGSRSGVVRSAPSRGAMIRDASEDCETTSRRLADRKTAKFQKNITRRGSVPETTIKKGNDYPVGPVVLGFFIFVVIGSSLFQIIRTATSGGMA >KQK95541 pep chromosome:Setaria_italica_v2.0:VIII:35477085:35479861:-1 gene:SETIT_027086mg transcript:KQK95541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTSRRLADRKTAKFQKNITRRGSVPETTIKKGNDYPVGPVVLGFFIFVVIGSSLFQIIRTATSGGMA >KQK95440 pep chromosome:Setaria_italica_v2.0:VIII:34447080:34447367:1 gene:SETIT_027945mg transcript:KQK95440 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESGSHELTIIGYSGTKGLGAGKCNTSATFTIGGHRWCIRYYPDSDTQDSTDWISIFLKRVDEGNVDDVTARFRISLYSSRTDSPCHPLKSRAICT >KQK94181 pep chromosome:Setaria_italica_v2.0:VIII:10551214:10552161:1 gene:SETIT_027164mg transcript:KQK94181 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDVDYANVPGGYFMGPPANAAAAEPKPAATTQTPGDYFIGTPENIRQQGMEAEPARPAGELKRSRSFMEWFPCLRG >KQK95021 pep chromosome:Setaria_italica_v2.0:VIII:29957060:29957380:-1 gene:SETIT_027674mg transcript:KQK95021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RSLGIPCGSMAVCGLNSDELEFEFHNLKATKLVVKKDYPDGTDKIEMDKFKVAFVIFFMATFLAPTCKYNTVNPDFLGSLVNLDEINQYNWSAYVLDHLIQDAARV >KQK93729 pep chromosome:Setaria_italica_v2.0:VIII:3624657:3625454:-1 gene:SETIT_027856mg transcript:KQK93729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NLMAELAAIHALLPRYPYVTVHAEHGAGGDDGGEDGRVILPPCVRLGDLPAAARYALAKIDVDAFPLLQLGITLCDAHGRLPALRAPWGATAESVWQFAVFPGRDTSSGGSGAATMTLRTLAYALFASGVVSPGTWGRVTWVAHGGLYHLGFLLKVLTGGAPLPETKEEFLAALRGYLGGKVFDVRYLAARLPASVSLKGPLAYLAALLGAPAAAAREPWQAGEKSLAACQVFMRIKGLFFAWDGVDMHAGRIHGLHTPPPPPSS >KQK93365 pep chromosome:Setaria_italica_v2.0:VIII:785194:788471:-1 gene:SETIT_026382mg transcript:KQK93365 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGIRRRRGGREPSPQQGAQFSPLADATHSTREVTIVERPSKNACHASGSTSSTSSGPHVCADLLDSLLHEIIILINSFHDFLAFIGTCRSWRASVSSFPSVCTFSFPPLHFEPDGPYFRPHSRGIKPLLLSNCKWQLSDPSKKNLSLRCSVPQNTPNKMYYLGCSYGYLIFTCKEHCLLVDAYTGAKLKAPKLPCNNKLGLSSGIGVLTAPFSSPNSRLLLFSKAFMFAWQVGTNSWSVLPLALGHERIHQIVFFKGHILVIDTLLRLHTVQLTPNFSIKRVKIMWELLWNLPVNPWLVACGDMLLMVDLSFRSLCSDEKDDFSRIFEVFHLDFSVKPAKWVKMEKLENQALFVSLDKRNPAFCCMNPERWGGRSNCIYVARLFDDPDETWTAVELGQSVPCHSTIHSMVYGLSFPPDYSQIGSLWLFPSLVYGARQ >KQK94105 pep chromosome:Setaria_italica_v2.0:VIII:8890374:8897456:-1 gene:SETIT_026076mg transcript:KQK94105 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMEGFSPTTIPCLLLLFCLGCKCLASEFELTQTATVKVDATPELARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSIVGDDSSIFVATDRSSCFSRNIVALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSPDGLQNLASINLKVAGTSNWTKLEQKLVAKGTNRTSRLQITTHNKGVIWFDQVSLMPADTYKGHGFRSDLISMLLDLKPRFFRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPIWVFNNGISHHDEVDTAIIAPFVKDVLDGLEFAKGSANSTWGSVRAAMGHPEPFPLKYVAIGNEDCGKKHYRGNYLKFYNAIRDAYPDIQMISNCDGSSRRLDHPADLFDFHVYTDSKTLFSMMNEFDSTSRDGPKAFVSEYAVWKRDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNTNDRRSVFMALCFRWFLVFLSI >KQK94108 pep chromosome:Setaria_italica_v2.0:VIII:8889263:8898251:-1 gene:SETIT_026076mg transcript:KQK94108 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMEGFSPTTIPCLLLLFCLGCKCLASEFELTQTATVKVDATPELARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSIVGDDSSIFVATDRSSCFSRNIVALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSPDGLQNLASINLKVAGTSNWTKLEQKLVAKGTNRTSRLQITTHNKGVIWFDQVSLMPADTYKGHGFRSDLISMLLDLKPRFFRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPIWVFNNGISHHDEVDTAIIAPFVKDVLDGLEFAKGSANSTWGSVRAAMGHPEPFPLKYVAIGNEDCGKKHYRGNYLKFYNAIRDAYPDIQMISNCDGSSRRLDHPADLFDFHVYTDSKTLFSMMNEFDSTSRDGPKAFVSEYAVWKRDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNTNDRRWNPDAIVFNTWQHYGTPSYWMQTLFRESSGAMVHPITINSRYSNSLAASAITWQDLGNSFLRVKIVNFGWHAVIVRIATTGLEASVNAVGSAVTVLTSDNVMDENSFSHPEKVVPVKRQLRNAAEYMRVRLAPHSLTSFDLALSQSKLISNVAEKGDESLRSGL >KQK94106 pep chromosome:Setaria_italica_v2.0:VIII:8889263:8898251:-1 gene:SETIT_026076mg transcript:KQK94106 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMEGFSPTTIPCLLLLFCLGCKCLASEFELTQTATVKVDATPELARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSIVGDDSSIFVATDRSSCFSRNIVALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSPDGLQNLASINLKVAGTSNWTKLEQKLVAKGTNRTSRLQITTHNKGVIWFDQVSLMPADTYKGHGFRSDLISMLLDLKPRFFRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPIWVFNNGISHHDEVDTAIIAPFVKDVLDGLEFAKGSANSTWGSVRAAMGHPEPFPLKYVAIGNEDCGKKHYRGNYLKFYNAIRDAYPDIQMISNCDGSSRRLDHPADLFDFHVYTDSKTLFSMMNEFDSTSRDGPKAFVSEYAVWKRDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNTNDRRWNPDAIVFNTWQHYGTPSYWMQTLFRESSGAMVHPITINSRYSNSLAASAITWQDLGNSFLRVKIVNFGWHAVIVRIATTGLEASVNAVGSAVTVLTSDNVMDENSFSHPEKVVPVKRQLRNAAEYMRVRLAPHSLTSFDLALSQSKLISNVAEKGDESLRSGL >KQK94107 pep chromosome:Setaria_italica_v2.0:VIII:8889263:8898251:-1 gene:SETIT_026076mg transcript:KQK94107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSMEGFSPTTIPCLLLLFCLGCKCLASEFELTQTATVKVDATPELARKIPDTLFGVFFEEINHAGAGGIWAELVSNRGFEAGGPHTPSNIHPWSIVGDDSSIFVATDRSSCFSRNIVALRMEVLCNDCPAGGVGIYNPGFWGMNIEDGKTYNLVMYVKSPETADLTVSLTSPDGLQNLASINLKVAGTSNWTKLEQKLVAKGTNRTSRLQITTHNKGVIWFDQVSLMPADTYKGHGFRSDLISMLLDLKPRFFRFPGGCFVEGEWLRNAFRWRESIGPWEERPGHFGDVWHYWTDDGLGYYEYLQLSEDLGAAPIWVFNNGISHHDEVDTAIIAPFVKDVLDGLEFAKGSANSTWGSVRAAMGHPEPFPLKYVAIGNEDCGKKHYRGNYLKFYNAIRDAYPDIQMISNCDGSSRRLDHPADLFDFHVYTDSKTLFSMMNEFDSTSRDGPKAFVSEYAVWKRDAGKGSLLASLAEAAFLTGLERNSDIVQMASYAPLFVNTNDRRWNPDAIVFNTWQHYGTPSYWMQTLFRESSGAMVHPITINSRYSNSLAASAITWQDLGNSFLRVKIVNFGWHAVIVRIATTGLEASVNAVGSAVTVLTSDNVMDENSFSHPEKVVPVKRQLRNAAEYMRVRLAPHSLTSFDLALSQSKLISNVAEKGDESLRSGL >KQK94571 pep chromosome:Setaria_italica_v2.0:VIII:22470473:22474040:-1 gene:SETIT_025921mg transcript:KQK94571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCDRQRKNVPHLGTLRSARLLIFVVLSSLSSFAVFPAATAAASFSSSNTDFQTLLCLKLHLSNATNLLGSWKQNDSLSFCRWPGVTCSKTNTTRVVALDLESYGLSGQIPPCIVNLTLLTRIHLPDNQLSGQIPPELGQLSNIPSSLGNFSSLRSLLLAANHLQGSIPVSISKIPNLQVLDISYNNFRGTVEPSLYNISSLMYLSIGVNYFTGALPFDIGYTLPSIQTLILQQNNFGGKIPPSLANATDLESINLGANAFHGIIPSFGPLYKLNELILATNQLEAGDWSFLSSLENCTQLEVLSLATNVMQGNLPGSVGSLANTLNALWLHANKISGTIPPEIGNLTNLMRLRLEQNYFAGNLPGTIGNLANLTSLSLSQNNLSGQIPLSIGKLGQLNKLFLQDNNFSGAIPRSLGDCKKLITLNLSCNTLNGSIPKELFSLNSLTEGFDLSHNQLSGQIPQDIGGLINIGLLNFSNNHLSGQIPVSLGACVRLESLHLEGNFLDGRIPESFINLGGVAEIDLSRNNLSGQIPNFFQSFNSLKLLNLSFNSLEGQMPEGGIFQNSSEVLVQGNSMLCSRSPKFQLPLCVASSRHQRSSSRNLMITVISVALALVFVACVVFILLKKRKRYKRSDHPSFNEMKNFSYADLVKATNSFSSDNLVGSGAYGSVYKGVLESEAREIVAIKVFKLDELGAPKSFVAECEAFRNTRHRNLLRVISACSTWDNKGNDFKALIIEYMANGTLESWIYSEMRRPLSLGSRVTIAVDIAAALDYLHNRCVPPIVHCDLKPSNVLLDDIMGARVSDFGLAKFLQIHDSSSITSSKYGTGSKISKEGDVYSYGIIILEMLTGKRPTDELFNNGLSLQKFVGNAFPEKICEILDPKIIPNFGSEGVDTNLDHEKHVTMGILNCIMQLVKLGLACSMQTPKDRPTILDVYAEVSTIKRTISALICVEE >KQK95001 pep chromosome:Setaria_italica_v2.0:VIII:29742556:29746613:1 gene:SETIT_028003mg transcript:KQK95001 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGCIRGNGLLSTPTLNMQSPKSTRGQRIHGSTLNLQSFRSEGRTRLLLLSLLFLFSHARLPPRSRSSSNTTADELALLSFKSMLSGPSALLASWNTSTNYCTWPGVACSRRPPVRVVSLLMNSFNLSGKISPFVGNLSFLEKLNLGNNQLIGEIPPELGRLAKLQLLNLSANSLEGSIPATIGRCTELKLLCLTNNKLQGEIPTEIGNLKNLLGLFLFTNAFSGEIPQSLTELPSMVHLTFYDNKLSGEIPASLSNLTNLQFLGLGKNMLTGSIPSSLGLLPTLSWLDLGFNNLSGVIPTSLWNISSLVMFSVSQNIMLSGTIPPNAFNNLPHLQKIYMDNNQFHGQIPASIANASELEHVQLGYNLFSGIVPPEIGRLRNLSWLELSQTMLEAKEPKDWEFLTALTNCSQLRILDMMFCRLGGVLPNSVSNLSTSLEILFLGHNPISGSIPRDIGNLFNLQIVDFAQNNFTGTIPSSFSRLTNLQGLTLYGNKFSGPISTIGNLTELTYLYLGANGFSGGIPNTLGNLKKLLELDLSRNNFTGSIPNALFNIPTLSEGFDLSYNNLEGDIPQEIGNLKNLVQFHAEFNKLSGQIPSTLGDCQLLQSLYLQNNFLSGNIPSLLGQLKGLENLDLSSNNLSGQIPKFLGDLRMLYYLNVSFNNFIGEVPSTGVFANSSGVSVQGNGRLCGGIPDLHLPLCSLQLPKKKHKLLAVPIVISIAATLAILSSLYILLTWHRRSKTKTPSTMFMPGHPCISYSQLVKATDSFSPSNLLGSGSFGSVYKGELDDQDGESRNLVAVKVLKLQTPGALKSFIVECEALRNMRHRNLVKIVTTCASIDSRGNDFKAIVYDFMPNGSLEGWLHPDANDEQTEQRYLDLAERVTILLDVAYALDYLHSDGPVPVIHCDLKSSNVLLDADMVAHVGDFGLAKIIVDGSLIVQQSVSSMGFRGTIGYAAPEYGAGNVVSTNGDIYSYGILVLEMVTGRRPTDSTFREGLSLREYVELALHNGTMDVIDTRLSLSLENEFQGVGEGDSSQNRKTDCLIALLKLGLSCSEELPSSRMPTADIIRELLVIKGSIL >KQK93240 pep chromosome:Setaria_italica_v2.0:VIII:109428:113387:1 gene:SETIT_026767mg transcript:KQK93240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIENEDGGNSALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESELSLNAEHRNSRRPPSSSVGSLPPMGRPVSSSQTSDRRGGSSASNTRKDEYNWRYDPDDISEEVLRTSTALENIQLDRKSRNLPTSWRHSGDGAE >KQK93241 pep chromosome:Setaria_italica_v2.0:VIII:109546:112337:1 gene:SETIT_026767mg transcript:KQK93241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDYAREMMELKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIENEDGGNSALLGSCNDRAKQLHASPSGRLLTALVCEYLEWAQLSHTMKVYLPECNLPKDFWKNELKDFSNKSGAEGSRSAESGPMLLDVLEGYLKYENLSQTRMGGRRMMSSESELSLNAEHRNSRRPPSSSVGSLPPMGRPVSSSQTSGL >KQK95593 pep chromosome:Setaria_italica_v2.0:VIII:35981637:35982521:1 gene:SETIT_026809mg transcript:KQK95593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKGGGGGGDDPATRAAGGMCDRFLTFLTRNLTMSRVKSIADGPKNGAGAGQPAMERAEEGEEEDEFAIPIERAEFDYEFGGGHGDGGYSSVATILEESAAMTTTTTRDVPEPKTGAAAADDGPVGPAPAAMAVEETKVRKSVTIKEDRLPEQEGGTPAAPLERKRSLFKKRQASSVGGGGDDEQRVPRRSGLRPRIPPVLRVPSNINERSSTFIEERKKSFVGRGGGAKPAPDK >KQK94660 pep chromosome:Setaria_italica_v2.0:VIII:24291586:24292104:1 gene:SETIT_027793mg transcript:KQK94660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKMALSVNVLVPAFLLLFFVQGARSEKCTPASIEVLQTSNGEKAGVDPVFEVMVRNRCECAVRGVILGSKGFSSSLPVDPKLFRKEGNGYLVGDGSLIQSGAVVQFRYAWDRAFEIGPLAVQEDCSGLHEFTV >KQK95831 pep chromosome:Setaria_italica_v2.0:VIII:38708911:38711552:1 gene:SETIT_026175mg transcript:KQK95831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKKLLLAHKLASAMLSLLLHPRRPATTAVSKPSTSPPPTDPFPPPVVHHGALPPASTQATTLVVDVDAALLRTSAAASELFPYFMLVALEAGGYLRGLLLLLLYPLILCLSRGAAVRAMAAAAFCGLRAGRFRAGRAVLPKWLMDDLAAEAFDAVRAAAAAGKGNGRPAVVGVTAMPRVMVDGFLREYLGVEHVVAPEMKVKWGFYTGLMEDADGQALMALAAAVVGFAGSTEFLDHPVARSCKEIFVASSDEKRRWRPLARDKYPKPVVFHDGRLAFRPTAADTLAMFLWLPLGAFLGAARLAVALAVPYKYSTPILAATGMSWRLKGGRPALPSGGRGQLFACNHRTLIDPVYVSVALDRQVRAVSYSLSRLSELISPIGRTVRLTRDRRSDGAVMARLLAGGDLVVVCPEGTTCREPYLLRFSPLFTELSDDVVPVGVAVETSMFYATTAGGLKCFDPLYYMANPRMCYTVQFLEKVDTSPARTGAAPSTDVANLVQRRMGDALGYGCTMLTRKDKYLMLAGNDGVVRSTDDKSAAGAPAPAGKKKGDKSTEKSN >KQK96010 pep chromosome:Setaria_italica_v2.0:VIII:40429795:40430565:-1 gene:SETIT_028253mg transcript:KQK96010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLQLLSIFLSMAALLVTSASGGGGGGGIQLILVNNCAESIWPGLLGTAGHPTPQSGGFHLAPGDETAFDVPLGWSGRVWPRRGCSFDDRGRGSCATGDCGGVLRCDGRPGATPATVVEMTLGTPRSALHFYDVSLVDGFNAPVSMSPVGGGAGCGVAGCQADMNVCCPSALEVRDREGKVAGCRSACRAMGGDRYCCTGDYASPERCRPTIFAHVFKAVCPKAYSYAYDDATSLNRCKASRYLITFCPPPTSRK >KQK93639 pep chromosome:Setaria_italica_v2.0:VIII:2505043:2509564:-1 gene:SETIT_028178mg transcript:KQK93639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVPSFYKWLVGEYPNIVVPAKDDDESSAAGEAGPNGVYHNLYLDMNGIIHPCFHPEDQVCPPTTFDEVFQAMFQYMDRLFRIVRPTNLLYLAVDGVAPRAKMNQQRSRRFKAAKDAKDAEMEEKLLRDRFRAEGKEVQPRETHEVSDPNVITPGTEFMEKLSKALEYYIRARLNNDPAWKGIKVLLSDSNVPGEGEHKIMSFIRAQRSRENYDPNTWHCLYGLDADLIMLSLASHELHFSILREDVLRQNQPENCIPLAKELFKTEETRKCRGGFPQATETTPRGKSLKKPYQFLNIWVLREYLELDLKIPNPVVKTDIERLIDDFIFICFLTGNDFIPHIPSVETHECAVDLLMEVYKQTFNKMGGYIVNTEKLKDKHAAYLKISRLEKYFHELSLCEEKIFLKRYDLRERLQRNILHQAAEKECNERNFDSMEGNPDGPDLTVKSFSTQCGISTCSADNSDITANTLELRRSLKDTLRNKQDLIKSGACKHDKIRLGKAGWKSRFYREKFDVERYNEVGKLKNDMVQKYLEGLCWVLQCYFADVPSWSWYYPFYYAPFASDLKGLSQFKISFTMDKPLRPFDQLMAVLPQERHINHSFSCALPKCYRKLMENEESPIQKFYPSDLQIDTHGKRFLWQGIAKLPFIDEKLLISATKTVENELAVFYLVYVLGA >KQK94629 pep chromosome:Setaria_italica_v2.0:VIII:23717605:23717991:-1 gene:SETIT_027620mg transcript:KQK94629 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNRKDADARAEVDTNVDLAREEMGRKVQQERQSMDHKLMQERADMDLKLRLQRADMDNMVREERENMDQMLKQQCDNVDRKIKEECDNLDQKLKHERQEMDRLIVLERVQIDSENMQARVEMDKKVAT >KQK93606 pep chromosome:Setaria_italica_v2.0:VIII:2260599:2261569:-1 gene:SETIT_026790mg transcript:KQK93606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASRAGDPPESTRLRVGDDIAWSEINGVYDRDDSLKENTNPKCLLKNHPHHNNGSSQRFSGNLKPTAAPIIGLSGKLGGGGRRQHNHPPAIFPKKAKTGGGGRAPKAAVPEPGSPKVSCIGKVLSDRERARLGRPPRTRGSSRQPGCCGGLGFLMRRSRSRKSAVECVDQSPPPPSLPPLAEAPRRRREEKEVEPEPEATTAAAPGLGGMRRFASGRRAAEWAAEMEDDDGRVARSGPL >KQK95487 pep chromosome:Setaria_italica_v2.0:VIII:34921973:34922638:-1 gene:SETIT_027764mg transcript:KQK95487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TIISFVPVRRSSRCRADQAACKASYSSTWPDNGASLRLRPAITFCLKVHVLCTNGAHWVGAADSAASCVAARHDDAAMAAVDLRGADFELVPFGAGRRMCPGMPFGLLAGLLLHFDWEVPGLADPAQLDMAEEFGITARRKNDLLLCPILRVSVPGI >KQK94523 pep chromosome:Setaria_italica_v2.0:VIII:20532339:20540777:1 gene:SETIT_026169mg transcript:KQK94523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRASRLPFAAALLVLLLAGGAAADDASSDDDAGAPRTPGCSNKFQLVKVKNWVNGTEGATVVGLSARFGASLPRDMHEAQKSFAVLANPFDCCSNLTSKLTNSVALATRGECAFTAKAKTAQAGGAVGLLVINDNEELYKMVCSENDTSINVTMPVVMIPQSAGKKLKDFLDHGASVEVQLYSPSRPVVELSACFLLIMAVGTIVCASLWSEFVACEQVDERYNQLTRKDGPNTGTNSGEDKEIFEISAKGAIVFIIIASVFLLLLFYFMSSWFVWVLIVLFCIGGIEGMHVCLVTLLARIFKDCGQKTVQLPFLGEVLTLSVGIVPFCVVFAILWAVYRHASFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDIFWVFISPLIFHESVMIAVARGDNTGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKKGILNGYFLWLTGGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLGLIVLLGWVRGDLHDLWNYGKGRMENLVDEP >KQK94525 pep chromosome:Setaria_italica_v2.0:VIII:20532339:20540777:1 gene:SETIT_026169mg transcript:KQK94525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRASRLPFAAALLVLLLAGGAAADDASSDDDAGAPRTPGCSNKFQLVKVKNWVNGTEGATVVGLSARFGASLPRDMHEAQKSFAVLANPFDCCSNLTSKLTNSVALATRGECAFTAKAKTAQAGGAVGLLVINDNEELYKMVCSENDTSINVTMPVVMIPQSAGKKLKDFLDHGASVEVQLYSPSRPVVELSACFLLIMAVGTIVCASLWSEFVACEQVDERYNQLTRKDGPNTGTNSGEDKEIFEISAKGAIVFIIIASVFLLLLFYFMSSWFVWVLIVLFCIGGIEGMHVCLVTLLARIFKDCGQKTVQLPFLGEVLTLSVGIVPFCVVFAILWAVYRHASFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDIFWVFISPLIFHESVMIAVARGDNTGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRPFPYLSCSFPDGRARPTCVAVPGSMYIRAYCSPWLGKR >KQK94524 pep chromosome:Setaria_italica_v2.0:VIII:20532339:20540777:1 gene:SETIT_026169mg transcript:KQK94524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAARRASRLPFAAALLVLLLAGGAAADDASSDDDAGAPRTPGCSNKFQLVKVKNWVNGTEGATVVGLSARFGASLPRDMHEAQKSFAVLANPFDCCSNLTSKLTNSVALATRGECAFTAKAKTAQAGGAVGLLVINDNEELYKMVCSENDTSINVTMPVVMIPQSAGKKLKDFLDHGASVEVQLYSPSRPVVELSACFLLIMAVGTIVCASLWSEFVACEQVDERYNQLTRKDGPNTGTNSGEDKEIFEISAKGAIVFIIIASVFLLLLFYFMSSWFVWVLIVLFCIGGIEGMHVCLVTLLARIFKDCGQKTVQLPFLGEVLTLSVGIVPFCVVFAILWAVYRHASFAWIGQDILGICLMITVLQMARLPNIRVASALLSAAFVYDIFWVFISPLIFHESVMIAVARGDNTGESIPMLLRIPRFFDPWGGYDMIGFGDIIFPGLLVAFSYRFDRASKKGILNGYFLWLTGGYAVGLFLTYLALFLMDGHGQPALLYLVPCTLGQCGCSSLGCHHHGLVLTLQTAVTVIDSLSFHVPGLIVLLGWVRGDLHDLWNYGKGRMENLVDEP >KQK94171 pep chromosome:Setaria_italica_v2.0:VIII:10458519:10460103:1 gene:SETIT_026520mg transcript:KQK94171 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLMLVLPFFLLVVCPYAAASARQAPCCYKRLFSLGDSITDAGNLAIVAPNIPAMAFPYGETFFHRPNGRFCDGRLIVDFIAEALKLPLLTPFLAGNKAEDFRQGANFAVSGATALSQQFFKDMGLDLAIIPPFSLDVQVEWFMRVLNMLGPTEQERKDIMSSSLFLVGEIGGNDYNHPFFQNRSFRDEIKSLVPKVIEKIENATKVLIGLGAKTIVVPGNFPIGCMPRYLTMFQSNNPGDYDYSGCIRWLNDFAEEHNRAVRLMLERIRLRDPTVTVVYGDYYGTILEITRSPDKHGFRKDVALTACCGDGGTHNSGTLFSCNATSILCPDPSKHISWDGLHLTEAAYQFVARGILDGLIGSSKCRC >KQK95900 pep chromosome:Setaria_italica_v2.0:VIII:39397174:39399309:1 gene:SETIT_026032mg transcript:KQK95900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPGLPPSPTPELHEVLPVFYVIALVFLAVLAIFLLHILGPLRRWSSHELLHHIVMVIYTLSYPLVGYTIGWMQSADWYVNDFTVWAVFLLLLLSSTDSLTACSINDIESWKSIYIKHLFKGFLLVFILLKFGYYIEKDVDADYLWYPLSPILVVIVLKSYVMIASMRMVSKSYLGKNVKMIAEYMHHIDNKLVAFDPATMEGYRYMVAGEKHCINRRGRAPWYKRPDDLKVTTVEQIWQCEGNLLIGDQGKVLKDLCLSMALSKMLNRRFAGFKLSEAELEKTHDFVFKGLLARDEQQAFRVIEEELVFVHDMYYTRYSYLYQKGRYLALCLPVIMSALCSWLAVASLHIKRTDDPYLSSRLNRTLLSGTIVIPVVVAFLEAYQTYLYMASGWFKVALIRSYVTAPFLQTSCCSEMIIRLLLMLKAFRPWKGRLGQYCFLESLCRKSKIMNCLHYATLRLVDKAVKGRMESVKLSGNVKKAIIDSLLGSNGNLTNGVTSLQRNGVHGDLSWACDATATDGAVSRTILVWHIATTLCEHQLDKQGKQAEAVRTASTLSKYCMQLLAFAPNLLPDHSSISEAILDESIDNGGDPRWQGLRKLLEGSNDLESRCKELLMFNIDNGDGGEAPLVAQGARLARQIEGIHDSKLRWKVLSDFWAEMMLYVSPSDDARTHLEVLPRGGEFITHLWALLTHAGVLKRGPTEPKDVV >KQK94821 pep chromosome:Setaria_italica_v2.0:VIII:27576600:27577271:1 gene:SETIT_027322mg transcript:KQK94821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLFIPLCSYILLIGIYAPKALSDSPPLQDVCPMAPQGERKLFINGFLCKHPSTILASDFKTLLLNHAGDLNNMFRSSVNMVTATEFPGLNTLGLAMARTDIAPSGVVLPHSHPRASEMMFVHGGSVVVGFFDTKGKLFQKTLGEGDVFIFPRGLVHYIMNYGFGPATTFSVLNSQNPGVVGITHAMFATESDVVEGLMARMLKFGEMALSDNSTYTGLQWAF >KQK93353 pep chromosome:Setaria_italica_v2.0:VIII:710113:711489:1 gene:SETIT_027540mg transcript:KQK93353 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPDDAGNRAVVERQGPHLRASGRPFIVHGFNTYWLMYFAADPATRPTVTAALAEAAGAGLNVCRTWAFNDGGYRALQLKPFSYDEEGLPVNGPCPISMHGVGFCIMFSALDFVISEARKHKMRLILSLCNNWKDYGGKRQYVRWGKEAGLDLTSDDDFFSDSTIKSYFKAFAKAVLTRINTITNEVYKYDPTILAWELINEPRCHSDPSGDTLQAWIEEMASYVKSIDPVHLLEIGVEGFYGPSTPELLHVNPDAYSGTVGTDFIRNHRALGINLASVHIYSDT >KQK94071 pep chromosome:Setaria_italica_v2.0:VIII:8424819:8429498:-1 gene:SETIT_028254mg transcript:KQK94071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADSAVSFVLGRLGEFVVKEARALQEVGNDVVLLKDKLQWLHTFVQQADHRRRCEGNTYMDVWVQQTREVALDVEDVLDEFMRRVDLQQGLPAWRKWLKFLRSCASQISVRRELSGRIAMIRARLDQISDHRSAYITDYSSSLTRESSSPSITTRLLREGDGRRSIVWIVGESGVGKTTLARTVYDSPAVQAHFKARVMWNLAPCTTEDVALCEIYQHLRPPVHAPATVEGIRDALSKYLKEKRYLIVLDGAAKLFNWSSVLDVLPDNHLGSRVVIVNSLNDHEALVPGGKHDHKLTVQLLDQKNSNLLFRRHALGLGSESSFGKSYFWASAYERQMSKAFKDMFEITSGLPLAILLLGRLLRRKVFPEQWEDVLKHLKSMKPSSRVEGVLALSFDDLPHYLKSCFLYFAMMPQNMSYSAAGLVRIWAAEGFLKPRRGQSMEDAGHSYLKELISRGMVHVFEKGPVASEESTLRTVVIHQRLHAMARFETEGSFLDVYDCTDIPASSTGVRHLYIQNLSNAAYTHMEGASFPKLRSVSCDFSEYWEYKAEEQQHVTAGHQDQPYHDSFLGHLGRSNLLRVIDLGGLQVKRLPSMIGSLVHLRYLCIQRSCLVELPSTIANLINLQTLDIIRTKVKRVTPAFWAIPTLRHVRAEMLHMPKPKSAGVLKSMQSLVGMVCVHPWHNNISPLHKMINVRELDISSLNSDHWGALSDAFKQLESLLYLRIRGCDIPALTLFTKFNLRRLQRLKLDGRIFMSAKEEAEEQFTLPNLTSLLLMHSGVKQGFIDKIGKLPRLVRLELCEKSYEGEELVFTQESGFVNLTHLGLRSLPGLSKWKIVSESLPNVEKVIVNDCTNMKLEVEGGVQVLKNLTKFKVIDMPKKWGVEEAGPLSEKFVQI >KQK93572 pep chromosome:Setaria_italica_v2.0:VIII:2031416:2034001:1 gene:SETIT_026010mg transcript:KQK93572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQFLARSMGFLAILACLSLAMPAAAAAGAAAATVPQPEPEVKPSDTDALTIFRHGADAHGILAANWSSGDACAGRWTGVGCSADGRRVTSLALPSLDLRGPLDPLSHLAELRALDLRGNRLNGTLDALLRGAPNLVLLYLSHNDISGAIPDAVARLPRLVRVDLADNSLSGPIPAAALGKLTGLLTLKLQDNLLTGLLPGVTAALPRLAEFNASNNQLSGRVPDAMRARFGLASFAGNAGLCGPAPPLPPCSFLPREPAPIPPSSVPSSMVPSNPAASSSVASSSPALATPESLGGAGKGGLRPGAIAGIAVGNALFFFALASLLVACCCCGRGSGGEPAAKKRKRRGRVGLEDGDGALFGHLKGEQPARPGSAGRCSDGGDSDGARSKLVFFGADGEGGDDGGGDSDGSAGGRPRGGAPLTSHLQERRSRFQLEELLRASAEMVGRGSLGTVYRAVLGDGRMVAVKRLRDANPCAREEFHRYMDLIGRLRHPHLVPLRAFYYAKQEKLLIYDYLPNGNLHDRLHGHKMTGESPLDWTTRVRLLLGAARGLACIHREYRTSGVPHGNIKSTNVLLDKGGAARVADFGLALLLSPAHAIARLGGYMAPEQADNKRLSQEADVYSFGVLVLEALTGRSPATQHPHPLPDAADAQRRDKRSGAAASLPEWVRSVVREEWTAEVFDVELLRYRDIEEEMVAMLHVALACVAPQPEQRPSMGDVVRMIESVPVDQSPMPEEDRDVSVTSPSIGVTTDDGGGRLSY >KQK94041 pep chromosome:Setaria_italica_v2.0:VIII:8147607:8149050:-1 gene:SETIT_026591mg transcript:KQK94041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVRGGAVAVLLVVALALSCDAASAQFLRKKPKQPPQTSKPGNPKQLPPKGKYTTITANKYHKRDYEITCTTDYGAACYVKCPARCPNKCLAYCAYCLTFCLCDLMPGTSCGDPRFTGADGNTFYFHGKKEESFCLVTDDQLHINARFMGNHNAESGRDFTWVQALGVTFGGGRHRLYVGARRAAEWDEDEDHVVVALDGEPVDLEPAENARWVSKAVRGLSVTRTGTAANAVTVELAGVFIISANAVPITDEDSRVHSYGKTERDTLVHLDVGYKFHGLTGGVDGVLGQTYRPDYVNKLDIAAKMPVMGGEDKYRSSGLFATDCAVSRFQRAAGDGFTSFAS >KQK95851 pep chromosome:Setaria_italica_v2.0:VIII:38943317:38943487:1 gene:SETIT_028280mg transcript:KQK95851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPDESKSQRISDGEWRVQMLLLQFLLVTVRPVVIMQLQHRTGGLDPSGDICSPRR >KQK95717 pep chromosome:Setaria_italica_v2.0:VIII:37471902:37472824:-1 gene:SETIT_027761mg transcript:KQK95717 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACNRANWDEATTKTLLDLCIAEKNQFNWSNRCLTKLGWKHVYRSFNQQTGMNLGSKAFLSWKDLQSQSSLGRDKQTGGIAADASFWDDDEGETSAGAAQPSSVKSLPFLDELYTLYGYDTQDRGTLLTAGGIREVTPSVGTEANAHAHNLSKRPSREISVDSPPKKKSGSLEDYVRDLSETVATRSQKRGDREQEELDRAMQLIEEDGLQEGSELYCQALYLCRNSMYRRTFTKMKMKEGRLNWIQFNWDRENK >KQK95079 pep chromosome:Setaria_italica_v2.0:VIII:30587776:30588393:1 gene:SETIT_027259mg transcript:KQK95079 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMLAAVTCLARRRRMHCRGCDTAAAAAALELELGQHAPGDHRHRWRDELAPVGMPGGREQTRRQGRRRLPRDGGPALDSRRRQRQAVAAGAEQVPAPEEVRSQPGPGSCATVVLKKAPVAGDARAPASEAASSSSVLCAVCLEEVPTEAEATTLPCSHSYHAGCVLPWLAAHGACPCCRATVPSPENYILTCEIDMDYGVESSF >KQK94928 pep chromosome:Setaria_italica_v2.0:VIII:28878067:28879179:-1 gene:SETIT_027228mg transcript:KQK94928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGSVTCSECTRLVPSSLLKGNFLALDYTIDMVRSLGSREGMLLCEKDGLKGCLPRLD >KQK94163 pep chromosome:Setaria_italica_v2.0:VIII:10256175:10256654:-1 gene:SETIT_027045mg transcript:KQK94163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRIAKLSNPPQFTASLIVLQCHCCKRRPRTQSHTALTAAKQRQPPWSAASSQPNKHAATPGRHHSYNRFHVSSATPVKLAILHHATLLRRKPIVAEPPPPAAASHDHRHH >KQK93430 pep chromosome:Setaria_italica_v2.0:VIII:1087241:1088547:-1 gene:SETIT_026864mg transcript:KQK93430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSGSSSGSGSSSSPCASCKLLRRRCTQECVFAPYFPPEDPQKFAIVHKVFGASNVSKMLQELPAQQRADAVSSLVYEANARMRDPVYGCVGAISYLQQQVSQLQMQLALAKAEILCVQMQHDGHATVSSPPLSASQQLERKQQQLMECEAYGSLLMQDGLINASFNSTAAAHHQQQMMGSLGSAGNTAMMLQEACLKKESLWT >KQK95869 pep chromosome:Setaria_italica_v2.0:VIII:39057765:39060742:-1 gene:SETIT_026574mg transcript:KQK95869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVLQPQRAAGISGGCRPGPPRPAAAATAATAAMMAMPRAKREHEEMMEAADGAAAEVEAEAELRRGPWTVDEDLTLINYIAEHGEGRWNALARAAGLKRTGKSCRLRWLNYLRPDVKRGDFTADEQLLILDLHSRWGNRWSKIAAQLPGRTDNEIKNYWRTRVQKHAKQLNCDVNSARFKDAMRFLWMPRLAERAAAAQHQHHQQAAAAIVSGGAAVSTTLITVPEVMTMMMNNENDDDRSPCSAVTTATTTSSSSSHTSSESTARDAVPVGGGGDEWAAMQQQDQEFWSTASALQQLTAAGSGDHHQLFQFQADLPLQDLTGWVQGFSDGVSPETTTQLWSLDDIWRMQ >KQK93574 pep chromosome:Setaria_italica_v2.0:VIII:2040430:2042765:1 gene:SETIT_026106mg transcript:KQK93574 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRRVTAARDRCLELERVIAGRARSGSLGLDDALKLFDELLPIARPASVHAFNQLLTVVSRAKGRGSLTSALVVSLFNRMARASPTKGFCNENRAQEALELLHMMADDGGGSCPPDVVAYNTVINGFFREGQVDTAYSLFHEMLDRGILPDAVTYNTVIDGLCKAGAVGRAEGVLQEMIHKGVKPDNRTYNCLINGYCTTGQWKEVLRILNEMSTQGLRADVVTYNLLLDYLCKNRNITEARKIFDSMIGKGIKPNVTTYSTLLNGYASKGDLADMHDFLDLMVADGISPDHPVFNIVFRAYSKGGMIDEAMHIFDQMRQHGLSPDVVSYGALIDALCKLGRVDEAMLKFNQMINEGVTPNIVVFTSLVYGLCTVDKWRKAEELLDVMVSAGLEPDVVAYNTLLHGYCRAGRIYDAFRLFRQMLSNAVMPGVATYNTILHGLFQYGRFSEAKELYVNMIKSGMQLDIYTYNIILNGLCKNNFVDDAFKMFQSLCSMDSQLDIITFTIIIDALLKSGRKEDAMGMFTAISAHGLVPDVVTYRLVTENLIKQGLLEEFDNLFLAMEKSGCTPNSGMLNAIIRRLLDRGEIMRAGVYLSKIDEKNFSLEASTTSLLLSVLSREEYRHHAKSLPKKELLS >KQK93277 pep chromosome:Setaria_italica_v2.0:VIII:325635:329065:1 gene:SETIT_028197mg transcript:KQK93277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSAFKSTTRRDLHASSTTTSRSDPPPCPRRSRSRSVSAAPRARGHDSFLQEDYAETRTNPLFDSAASPSPPQQPAGSATSAGGGNAPRPDRGRQPLKGGGRGGGGGRARSVSVAPQRRHSASAPSADGAGATDTEARDSARKLQSWRSRHSISESKQRGIGASCSSQGSTTGVAIWQQNHSTVPVDPVLEIPPEFDPDSAEFMSDVSDYATEYRKKDVVEIPLDFDTDAAELDSDARNNAAKQHLEQMEIPLGFDTDASELVSDIWHHEANQQLRQLEAPLEYDPDTSELAPDITEYTIKLKQSHERARKLRADLAVEEQREQELSRMLKGIVTAPNFTETHKKQPRRKSSIERLKVSRHLAEEALNYFEECVSISTMDSTDFSSPEDHQPNSVLNVQPKSNSRFFHKGRSSFQEPHTPADQHGHHEELDKRTQCSISITGSDVSDGVIFSNTKCHMKFRNNSSEDLDGFDTPRSRSSCFSFTHDSIKNVENCDVRQYLGNFGMGNNKELRETRSSYFADDYVSQKVNPDVLKDMATFQNRMQYGGFLICNIRTF >KQK93843 pep chromosome:Setaria_italica_v2.0:VIII:5001178:5001723:1 gene:SETIT_028164mg transcript:KQK93843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVPPKLQLPLLLSLLASVAVVHVAGDAGHDGLTHIHLYVHETYTGANATAAAVLQSPLGANSSFGSIGVVDDEIRVGPDRSSQLVGRYQAVFFGTSLQLGAGYLSSVTLVFTAGEHAGSTLSVQGPILGFTGTIERAVVGGTGKFRLARGYMLFKMISKPTPETDVNEVDLFVLMHHGKY >KQK94568 pep chromosome:Setaria_italica_v2.0:VIII:22424182:22425562:1 gene:SETIT_028076mg transcript:KQK94568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVGVSDVTHIRVHSNSIDTPRKVIGLKDLSGLEMKLVLWGNRANEFDAKEVHLLGEETLSGGLACKWYLNEDIVEIDEFFERYRLCLICSDGTTAAEFVLFGRVAQQVVGKTVMSLMENDRIPREIAAIVSQKFIFAVSVSQKSLTQRVVSFQVNGVESFCGRQACTLDIRDYDDVEATKASSFLAMLTPLSNLLHLLGY >KQK95189 pep chromosome:Setaria_italica_v2.0:VIII:31791942:31793513:-1 gene:SETIT_028070mg transcript:KQK95189 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STSFLIRSITTTRKGFHRTTTTGRLETPPLALTPEMEHAAANGSCCNGNGAAAPASPPPPHVAMLVTPGMGHLIPLAELAKRLASRHGATATLITFASTASATQRAFLSSLPPAVSSLSLPPVDLSDLPRGAAIETLMSEECARSVPALTDILLGLKRTTGRLAAFVADLFGADSFDAARDAGVDRRYLFIPSNLHVLTLILHLPELDASVPGEFRDLAEPLRLPGCVPIPGPDVLMPLQDKTNPCYKWMVHHGSKYREADAILVNSFDAVEPGPAKILRQPAPWRPVVYPIGPLIQADTGGSKNDAACLEWLDRQPDRSVIFVSFGSGGALPTEQMRELALGLELSGQRFLWVVRSPSDEGAVNDNYYDAESKKDPFAYLPEGFVERTKEVGLVVPSWAPQIKVLAHRATGGFLTHCGWNSVLESLVHGVPMVAWPLYAEQRQNAVMLSAEGVGAAIRVPESKGREKIAAAVRELMEGEGSGAAVRAKVAELQKAAAEGLQEGGAAAAALAEVVEKWTGGEN >KQK93664 pep chromosome:Setaria_italica_v2.0:VIII:2890534:2890935:-1 gene:SETIT_028121mg transcript:KQK93664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AILAANPNAKLSVTYFSPLASVSFAPSSPIAVASLAPFSQGPGNTTTLAFRLVVDDAYVGPDDAAPLKSGGGGGGTVEVQVRLMAVAVFDRGGWRTRRRVMRVMCDGVQVVFRGKNSTEAAFNGPPRRCDVVL >KQK95805 pep chromosome:Setaria_italica_v2.0:VIII:38192335:38192570:1 gene:SETIT_028487mg transcript:KQK95805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGFRLQKQRPLASCNLLKIFKTKHQTIFSFYKTCSP >KQK95710 pep chromosome:Setaria_italica_v2.0:VIII:37434479:37439350:-1 gene:SETIT_025820mg transcript:KQK95710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAAGAVSSLLGVLRNEALLLRRVGHDVEFIKEEMESMNSFLEHLARTTPPGGEHDEQVRTWMKQVRDLAHDCSNCIDRYLQRGDPAIHRARSGLRRYAWWAYWLVQEMLAQHAAAVRLRELKERARDVGNRRVRYGVELPRKSPEGADMLAGREYKEGEGGGGDDDDDVHNQVMTVAAYSSDARRRALEPCTLEDYCTRKLAEWLELQANTKMEGSIPSISIVVPDAARARAIAREAQDLAQARCFQKSIWIDLPNVQQSWDLPLLTREILSYILHECEGDHRSHYDHRRHVFNTMWEKADGINVHDKIKEVGTKIGEVEKKIVEAEGSNNRADEGSKSSIPSEEPLGVLLHALRLNTEGPHSRQEQEPLSSFGKILNETAEMLKWHMEAVSAAAGKEPKKPPICLHVTQYEDILQKVYPNLASGRKLPEEAGDSATSATGTPTDLLPKEVTHNHKITLEVIRELPPEPQLLPGPAVGGVQQAKGDAKDQTKEGQPVDKIPSENNAKDQTKEGQPVDKIPSENKKTRLVIKGTVDMVTLDMIGGAAAKSQASTDTPGGKSGIKNRAIAAAVKEAKESLPRIEWPIRRQLMIKGIVDEIKKHLEGKKTLIILQDEKDYVSRYWEETRKTLGLLGDLATAGSSVAVIIITSKNEKAEQYCYPQGEPIIYSLVGLYHNIVLQLTSLQQAHAENEDGSNNSKILRAILDKCDPHEFCMKMFAHALYANPNRSKEELKMLLDTLQHPKKSLATNAKKIFKFSYRDLPREHKTCLLYLAIFPQGHKISRSILIGRWLTEGLITKEDWPAAVRHAERCFDALIDRWLLWPGDIGVAGRVKSCVLGDLIHGFITKAAKKQHILDARLSHLWAHHFSIFSGLRLRASDSIDKFVKKLPTYSPQLPSLKLLDLEGCHCLDKNRRYLKDICSRIFLVKYLSLRGTNITYLPTEINNLHELEVLDIRQTKVNECATRDIVLLKLRRLLAGHVDPRASSDDKLVRDDKSFSYVRIPHKIEKMENMEVLSKVKASRKGRELKDIRKLWQLRKLGVVIDDNEDHLRNFLRAIGDLNDCLQSLSITIPETTSQGANPDNKNFLQKDIYNRLIQPPKLLESLSINGSTQRVKLLSLLATGGDELAKVTLSRTLLKEENLTSLAELPKLHCVRLRHNAYSGKELTFKENDFMHLKYFIVEGTNIPNIEKSMTETDIKFEDEAAPELEKIVLSFTNIRSLCGIGRLKKLKDLELTRNKFLLSFSHDGETHNENTDSGSRFIFEEKEFEHLKYFHVEDDKITNIIFKKGAAPKLEKIVLSLTNGNSNLTGVRNLSKLKEIELIGGKFLLSSFHEADQITKVTLRDTHLKKDDLKSFPMKPNLRCLELSENSYDENQISLDENEFPNLDLLIVDCSKINSIGFSNKSAPNLEKIVWTFKEMKALSGINYLPKLQELELNGEIVPREVRKDIIAHKGQHVLTHKKPQQQGQEKRSTTEEDDEEGFSLSSCFSRIKNQQ >KQK93985 pep chromosome:Setaria_italica_v2.0:VIII:7115153:7116166:-1 gene:SETIT_027781mg transcript:KQK93985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWRRRLTALAYEFPDIELMLLADGSAWSLQPRDGLHATTVLGALSLHKECMWDSSHPGGAARLSIAIGVYIMFYEYFCFHFLAM >KQK94661 pep chromosome:Setaria_italica_v2.0:VIII:24391479:24391721:-1 gene:SETIT_027150mg transcript:KQK94661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIASDILATLLALMPAPRRAARAAWAPHPRSSVRVASPFYPSDHERTRKSPKMSEECGEMEGQKNPENVRGMRRTERTEK >KQK95218 pep chromosome:Setaria_italica_v2.0:VIII:32089237:32091104:-1 gene:SETIT_026213mg transcript:KQK95218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAAARTFEEPANDEVWDPAAGLPVEYASSIDIFAGMWSLQPYVPSSSTRGQQQQQLVEYNYYAAATQHDGPIVEQAAAQESLEVDLLHDMEMEIYNNNPILVFQEKARKFKDDIGMMKMKIHRYPPSIQDLGDWYTVPRIVAIGPYHHRRQELRHTENVKHVAAYHCIKTSGRSVQDMYYAVVSAVAEIDARRLYREDVMEGIVDADFLPMMFFDACFLVMYMIKMSGMDCNATLYDFFEFSANEIDHDIMLLENQIPWPVVDALLKYTSVPLAKFVANWKDGRLQDWVDEVPSVVLDDSYEPPHLLGLLRFYIVGRTRSRTEVPGIGKMKSIQVSVSAMELAEMGINIRAKKTTELADMGLTKKRILFAELSMAPLSLNDLNASLLVNMAALELCTTPNFFYDEAEFEDSAVCSYLLLLCMLVNRKEDVHHLRTKGILQGGAGLTNTNALHFFTSLQSLRLGRCCGNIMVQIESYRIKRPMRIKVYAFVYNNWKTILKVLSVIGVFASILSAIHSLKGAH >KQK95366 pep chromosome:Setaria_italica_v2.0:VIII:33807319:33807915:-1 gene:SETIT_027726mg transcript:KQK95366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSSPTLRALGGAWRRAREAVSFSSTRVRHKTGAHLHRIDNYSGTMSAALPGHHIESAPFVVGGHEWKLHFYPNGADESASASPGRASVKLVYRGYPWWRPALLHLLRPRDVTAAYEVSVLDSEGNRVLSRAFRPRRFSAWWHEDAENVATAKELRSAAMRGGKEDGGIVVRCDVTVMKLEKESSVRWYLRQLVSKF >KQK95213 pep chromosome:Setaria_italica_v2.0:VIII:32007491:32008000:-1 gene:SETIT_027032mg transcript:KQK95213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKHTNPMTLCMVATSLALLAIMSSNTRSCEAWNGFSGRVGLLSLNGDLPQESPPPPASRAPPRAEPCFLPTNPDYCTDPICVHVCEVHGISNSPAYCRQEAGYDMCCCPNPN >KQK95689 pep chromosome:Setaria_italica_v2.0:VIII:37198470:37198709:-1 gene:SETIT_027257mg transcript:KQK95689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTYICTESSSLPLHTRSCDFLTPFTLLTSEAHPA >KQK94135 pep chromosome:Setaria_italica_v2.0:VIII:9379681:9380956:1 gene:SETIT_026570mg transcript:KQK94135 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETPTTPPPPPRKPKPPMSRLMRLSLKVVDRVADATRRADGTLNRFALSLLDPRVPAISSPCRGVASRDVVLDRASRLRARLFHPAAAAATAKASTLPVIVFFHGGGFAFLSAASPAYDAACRRIARYASAAVLSVDYRRAPEHRFPAPYDDGIAALRFLDDPKNHLLPLDVSRCYVAGDSAGGNIAHHVARRYAADAASFRNVRLAGLIAIQPFFGGEERTDAELRLDGAAPIVSVDRTDWMWRAFLPPGADRTHEAANFAHPAAAPGLDSPAFPPVLLAVGGFDPLQDWQRRYGEMLKGMGKDVRVAEYPDAIHAFYVFPGFDDARDFIIRIAEFVAESAGGGAAASDQP >KQK95010 pep chromosome:Setaria_italica_v2.0:VIII:29886643:29890422:1 gene:SETIT_026296mg transcript:KQK95010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAHLHTPAPLAAAQRRPTVALLLGLALAFCLAVLSIQSSFFAAPGAPGRRLDLDAGDVRDLAGFQSRVQQCVASRGLGLTADIIDHCKLVLKFPKGTNSTWYNTQFKIFEPLEYKYDVCETILLWEQYRNMTTVLTREYLDVRPDGWLDYAAKRIAQLGADKCYNRTLCDELLSILLPAKPPFHPRQFATCAVVGNSGDLLKTEFGQEIDAHDAVFRDNEAPVNKKYAKHVGLKRDFRLVVRGAARNMAPILKGSSDEALIIKSLTHKEINAVIKELPNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIIDMYGFTVDPGYTEWTRYFSAPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRVEDWSDIPSKEEIRRAHAAAFRLKKHETGQQAELGPFSNCKVWGTVDPDYGPVSGTSDMSEARKNSNYSKWELLPLEKLRREAQEHYIQMGGVSLYKMDGNKLDDLVCVRHQRSSS >KQK93510 pep chromosome:Setaria_italica_v2.0:VIII:1600572:1603337:1 gene:SETIT_026702mg transcript:KQK93510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGWNRSRYVRRQPDRSRRPPPRPPPDYGHEHCPVPLWEREFCSYVGNISWQRFCENKQYVSVYNNLEQWNDSGAFENFQNAKARFWSYYHGQPSDIPLSGPDMYIDKVDHRCKVDPELVADLDKVRLPFDSDYSAPATGSGNAEAVNKCTQNESGNWDIYIEKPAEVNKWDWEASLGSKAIWGGNNESSSKWGNGNSGWGAALEKPSWCGWSNERYASNNRNDSFYGGSNNNSYWDEDPSHTSGRKRNSSGYFQQRNNKQRNQDDGHHQRSGWQDHRGRNKDWRPLNKNNRAWE >KQK94234 pep chromosome:Setaria_italica_v2.0:VIII:11575676:11576930:1 gene:SETIT_0281592mg transcript:KQK94234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPPPARRLLHVHVFLSFLSLLVFLAARWRPAAASALSTFALAKAGNTTIVCGLLPSASSPLLVDLNCTAAGGDHTRQETYPSSHPFAALAGGEDFLCAVGPSGERAGDVDMRWWDLSGNGDGREKRVYSGAPLRALAAGEYKVCGVLAGGELHCWRWRGLDIPRELRFVAAAVGDGFVCGILNGTAASIRCFGNDTADPAVTHAPSGGNYDVVAACGTRACALSTAGALQCWGRGAPDLPGAGASAGYAALALGEGGVCGLRTNGTIRCFGEGVAAPPGTLAGAQYLDVKAQGKAFCGLLMADYSLVCWGGREFNATNHLVFPRVMPGPCAPMSSCRCGVLPGSANFCAARSCICHDCVFDLNVARPNASVLPAKSGGRSRRTMWIAIAAAAAGFLVLLVALQLALLLWCRRRRRR >KQK93850 pep chromosome:Setaria_italica_v2.0:VIII:5065128:5065629:-1 gene:SETIT_028601mg transcript:KQK93850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYWVYIIATGLEVQHFYWMLPEDLMLLFPENCSSQTSTLANIFKT >KQK96031 pep chromosome:Setaria_italica_v2.0:VIII:40643550:40644064:-1 gene:SETIT_027112mg transcript:KQK96031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTATALMVIMCLVILSLNVNPATAAQCSCCASARSKACCFACITAGGSDSLCKTTCCFPCVLSDSVAAKMEEMGVLANMDDGQA >KQK96032 pep chromosome:Setaria_italica_v2.0:VIII:40643212:40644123:-1 gene:SETIT_027112mg transcript:KQK96032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTATALMVIMCLVILSLNVNPATAAQCSCCASARSKACCFACITAVAAKMEEMGVLANMDDGQA >KQK93223 pep chromosome:Setaria_italica_v2.0:VIII:46120:47339:-1 gene:SETIT_026760mg transcript:KQK93223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGLLSNRVDREDLAAGDHIYSWRAAYLYAHHGIYVGDEMVIHFTRAAGHEIGTGTFLDSFLFSSSTSSAVAGDPPCQRQRCGHLVRPDDGVVMSCLDCFLHGGGLYLFHYAVSPALFLAKARGGTCTLAASDPGHVVVHRARYLLDKGFGAYSLFKNNCEDFAIYCKTGLLVETAFSVGRSGQLASLTAAFSAVASSPLRFLTTSAPGLAIVTTGMYCAGRYVSDMGVRRDVVKVPVQTLVAQATPAATEEAACSLTNHPL >KQK94510 pep chromosome:Setaria_italica_v2.0:VIII:20110143:20118220:-1 gene:SETIT_026147mg transcript:KQK94510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKTGDQQPADMEVESSAVAEEKPAVRFSINVLELMREAQMQHGLRQSDYTRYRRYCSARLRRLYKSLKFLHGRGKYTRRNITESTVTDVRYLHIVFYMAERAWSHAMEKKTAGTNAQQRIYMLGRFRKAVKWASLFSQLCSVKGDSRTSLEAEAYASYMKGALFFEQDKNIEAAMINFKNTRAVYEELGKYGSIENQLLCRQRIEEVEPMIDFCSHKLGGSSLQAHELLDTANDLLKAKMEAVLSETRSQQAASMTEFNWLGRRFPITNAKTRVSILKAQQLEKDLNGSATESIASDKKLGIFDKIFSAYHDARSCIRNDLASAGNAENIRDDLNGLDKAVSAVLGLKTIERNQLLVSIAKSKFTKHRDEKNERITKPEELVRLYDLLVQNTTDLTDLVSSGRNKNEEENSFIHEYEMKGLAFRAERCFFLAKSYSSAGKRAEAYALFCHARTLTDSALQQLANSPDKALIQDLKSLSDNCRSNSCMEHATGIMEEESVPLKLSKGVSTMSLGDDKTKENKYLLDMLESYESAIGEPNTKVPCRIAQFPPPFQAVPCNPIVLDMAYNAIEFPSLENRMKKEKKGLLSRFWG >KQK94549 pep chromosome:Setaria_italica_v2.0:VIII:21631701:21632696:-1 gene:SETIT_026939mg transcript:KQK94549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPISEHQQPKELGTQAATSHDAPVPSEEVAIGDAGNADQEEQRRQAARRERDFLAGIRKLIKSFKSLSHIFEIYKEEDEDDDDMDGGIEIGFPTDVQHVAHIGLDGSTSVSSSLRGLEGARELLSLSNLTTLEQFELAMAKLAATPSKEHDGVLDRAASRD >KQK95418 pep chromosome:Setaria_italica_v2.0:VIII:34312617:34314178:1 gene:SETIT_026297mg transcript:KQK95418 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVAGSPPCPSRPRRPLRIVICPWLAFGHMLPYLELAERLASRGHRVSFVSTPRNLARLPPRRHVIDLVALSLPRVEGLPDGAECTNDVPGDKLGPLFDAFDGLAAPFTEYLSGGGERPDWVLADTLTHWASTVASEHGVPCAMLQPSAAMIAAHGCGASERAELAAASVFEQLVAVDRRDAAMPRFEWESMAAFSAPFGASGMSILRRGSLTLERCTIAAIRSCPEWELEAFPLAAKLLGKPLVPLGLLPPSPDGSRAAGVHVDDAAVRWLDAQPPKSVVYLALGSEVPLPVKLVHELALGLELAGTRFLWALRKPSGVDAADVLPPGFEERTHGHGLVTMGWVPQITILEHGAIGAFLTHCGRSSLIEGLLHGHPLIMLPIATDQGSNARLMERRKVGLQVPRDEDDGSFDRHGVASAVRAVMVDEDTRRVFVANAVKMQEIVADKELHERYIDEFVQQLRSYITDGDSSTASCRPTSS >KQK93356 pep chromosome:Setaria_italica_v2.0:VIII:730335:731503:1 gene:SETIT_027982mg transcript:KQK93356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKHHSSRYLPQSSPSDHPSFVSDHRSAMKEIARGQSLVTQLRAIVLPALQAGQRCELVAQMFQNILDCSSKAITELQLHHQFDARADDALVDDKKRVRRISSDDCIKEEGATAKPHHQHKRRSYYRCTYRQEQDCKATKTVQQQDDSAGTDHPVMYTVVYHGQHTCKDNNGVESGTDDSETNTQSSSDNRSSISTTCTDAYEHQTSLDDNKPLDKSSDLITKNCMYEPFDMAAFAPLDLDSWE >KQK94751 pep chromosome:Setaria_italica_v2.0:VIII:26294329:26294981:1 gene:SETIT_028479mg transcript:KQK94751 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFEAMYLTVNPLHSRLCMYLYSHLQCNSIRNHTLTGIWYSFA >KQK93741 pep chromosome:Setaria_italica_v2.0:VIII:3728385:3731388:-1 gene:SETIT_026124mg transcript:KQK93741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIQSDCPYPGCFFCVMKEANPSKRKASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMASLIWKGLKNRRWLAHDQNIYIPYYAAHIIGSYTMNMEEFAECAVRAGVIPPLVELLRGRLMWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFADQRLGYHCDLLTRGMGGVEMESRKAEEWASQVLCWSLQLINCFAFKPEFLHDICKADFLVKLPGMWGGLVNENSPAGVGLLRTICQSKVGRGHVANIPGTIDALCNIARSSDDWQYMAVDCLMWLVQDASTCHKVIDKVAPTLIDLADISTLGDYKKLGDTIVTVLQECMQQTGNSRGRISTQTKEAIDELLRCKQSLKLEKSMPKEDLYIKQAAALVVKLEGNSLFSSGNIAGAAEKYSEALALCPVKCKKERVVLYSNRAQCYLLLQQPLAAISDASRALCLHSPVNRHAKSLWRRAQAYDMLGFAKESLLDAILFINECSQSSDPDLSLKQNKVPDYAEQLVKKQMRVTWLFREAALKHGGVHCEGNASNAFGQEADDSEWETASESDAENDARREADDETEWKNHGLSLPGRFL >KQK93740 pep chromosome:Setaria_italica_v2.0:VIII:3728385:3732726:-1 gene:SETIT_026124mg transcript:KQK93740 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIQSDCPYPGCFFCVMKEANPSKRKASVLKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFINLGIFECMASLIWKGLKNRRWLAHDQNIYIPYYAAHIIGSYTMNMEEFAECAVRAGVIPPLVELLRGRLMWVEQRVAVRALGHLATYPSTFPAVADHGEVLELAIQLASSSLEIVYSHFYQFADQRLGYHCDLLTRGMGGVEMESRKAEEWASQVLCWSLQLINCFAFKPEFLHDICKADFLVKLPGMWGGLVNENSPAGVGLLRTICQSKVGRGHVANIPGTIDALCNIARSSDDWQYMAVDCLMWLVQDASTCHKVIDKVAPTLIDLADISTLGDYKKLGDTIVTVLQECMQQTGNSRGRISTQTKEAIDELLRCKQSLKLEKSMPKEDLYIKQAAALVVKLEGNSLFSSGNIAGAAEKYSEALALCPVKCKKERVVLYSNRAQCYLLLQQPLAAISDASRALCLHSPVNRHAKSLWRRAQAYDMLGFAKESLLDAILFINECSQSSDPDLSLKQNKVPDYAEQLVKKQMRVTWLFREAALKHGGVHCEGNASNAFGQEADDSEWETASESDAENDARREADDETEWKNHGLSLPGRFL >KQK95511 pep chromosome:Setaria_italica_v2.0:VIII:35178628:35180795:1 gene:SETIT_027047mg transcript:KQK95511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTFRVQQAQPNAGPPPHLAPPLLRLPISLVLPRRRRRRRRVGRKRRRKEQAEMGHSNVWNSHPKNYGPGSRVCRVCANPHGLIRKYGLMCCRQCFRSNAKDIGFIKYR >KQK95925 pep chromosome:Setaria_italica_v2.0:VIII:39674611:39675939:1 gene:SETIT_026493mg transcript:KQK95925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFSLRVLSHIASNGGSRANLAVSPLSLHAALVLLGAGARGATLDQIVGFLGPAGAALASHAALHMLAADHSAGGPTVRFANGIWVDAALRLKDAYARAAVEHYRAEARPAPFKSMPEDVRLQINQWVASATAGRIKDLLPPGSIDSGTPAVLANALYFKGAWERKFDTSLTQDGAFYLLTGGHVRVPFMSSTSKQRIVSRPGYKVLRLPYARGRDHRAFSMYVYLPDAHDGLPGLLHKLSSDPAASLESSASLMAKVPVRALRVPRFTVSYKTKAAAMLQDLGLALPFDPIRADFGDMVESPPEPLFVSEVYHECFVEVNEEGTEAAAATAAVMACRCCARPPPPEDFVADHPFMFLIQEDFTGVVVFAGQVVDPSLSR >KQK93828 pep chromosome:Setaria_italica_v2.0:VIII:4759926:4764117:-1 gene:SETIT_028181mg transcript:KQK93828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAAAAASMRGNDSPGAKPAARRLVRVLAGRRRRAALLLLALAYAAAMLMLAMCGGEGLGAGGVVEAALRRGSPPPPPGSVHRSHLVFERLLPEMRAFTSRPNPLLTSHYKKIGKRWTPCISKKLAQSELPSSNGFLIVEANGGLNQQRISICDAIVVARILNATLVTPAFHLNSVWRDSSKFSDIFDEDHFIESLRKHVRVVKELPEEVSLRFDHNISTVPNMRTKAFSPPSYYLQQVLPKMLELGAVRIAPFSNRLAHSIPSDVQALRCLANYEALRFSESIRILAENMVGRMIKRSSLTGGKYVSVHLRFEEDMVAFSCCIYDGDSKESNAMENARERSWRGKFHRPGRVINPEANRRNGRCPLTPLEVGMMLRGMGFDNTTSLYVASGKIYNAEKYMSPLRQLFPLLQTKQTLATSKELALFKGHSSRLASLDYTICLHSEVFVMTQGSNFPTF >KQK95816 pep chromosome:Setaria_italica_v2.0:VIII:38281330:38284162:1 gene:SETIT_027470mg transcript:KQK95816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMIKSRFIGSYTAKGLRAKRGSEYQPLSLHPRGHHDQGRYRKRDHYKRDLEEQVKQLAMEVHAIKQTHPTSSFNGQPEPTMQLANTAYTAPSNAASIANLKYHVDNINVDTPCKLMFPVGRKNKFREVVTGMAVTAHLFSKEPPPTEYSWVQVVTVLDDSCELDIRTDGGLRFSMMRRTSLATSSPPSQPSQSQPSDPPPHRQPSSPSQKPVPRVLRSYVKKNRLTEVEQFMAIMKDHFIFLYGVPEKYEHGKPFTPWLDLSVGPPELMKLHGWIVHATKKGIQSITVHVPQKVFLGVEDYMLVIDFEDLWRLYHHQHLDVQLMTCWCLYSIAFLDPARICTPKHSFKLTGSVKAEMKSAKTQADKDAIQKNTHKEEKIKVANYILPQATPGTALCGYYMCEFLRNNGSYRMNNSKDLPKINTRNSALEDQGIIDICRDMAHFIQDEICHEKGLFFDPKRELAADRCEDFRTWKLS >KQK94720 pep chromosome:Setaria_italica_v2.0:VIII:25694568:25696381:1 gene:SETIT_028183mg transcript:KQK94720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VGQPGLLHPSTPSRRGASLASSPPRAGSAWRGYLPVRTRICSSLPAERMRKGLHPQMQWISYVTQSGRLINIMMTKVNHTGKVYHMRAKRQMAQSLGQIAKFKRRYEQEAEENKDK >KQK95345 pep chromosome:Setaria_italica_v2.0:VIII:33594524:33596399:1 gene:SETIT_027481mg transcript:KQK95345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGLLAITIVAVATQCLVPSTTVHLAGASAPPPVVRCAGSSGCTVTNAYGAFPDRSTCRAAAAAFPASERELLAVVANATAAGTRMKVTTRFSHSVPKLACPAGDRGLIISTDSLSRVVAVHAGRREITVEAGVTLGQLIDAAAEAGLAVPYTPYWLGLTVGGLLSTGAHGSSLWGKGAAVHEYVVGMRVVTPAPASEGYAKVRVLAAGDPELDAAKVSLGVLGVISQVTLSLQPMFKRSVRFEEHDDSDLAERVVAFASEHEFGDILWYPGHGKAVYRIDDRVAVNTSGDGVCDFVGFQPTPTIAIQAVRLTEEGMETAKNSSGMCDMALVTSAIMSAGNYGVTEHGVTALLPGRPVVGYQNRLQSSGRCLAGPDDALRTACPWDPRVSHGTFFFEAGVSVSLSRTAAFIHDVQRLRGLVGPRALCGVEVYSGVLMRYVRASTAHLGKVEDSVEFDLTYYRSRDPAAPRLYQGVVEEIQQMALRKYGALPHWGKNQNAAFEGAVGKYGASRVAAFMAVKDAYDPDGLFSSEWSNQVLGIGGGGGVSVVRDGCALEGLCVCSQDSHCAPSKGYFCRPGRVYKEARVCRRDDGS >KQK95754 pep chromosome:Setaria_italica_v2.0:VIII:37811610:37816968:1 gene:SETIT_027578mg transcript:KQK95754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADIALGSVERVVKVALAIKTAVETAEQNKKDCLDIGKLAAQVISQTERLKEKTVDPAMRDALEAMADCLNDALLLIAKCHGKCFLLRYLKASDMSKQLRRAHDEISNKMLLGILAIGVDTNIALAQRTQLEAATNDFSKDSYDKRGYYKGVLLHNGLDVVAAIKKISVSNDLELQHELSIRAKLQHGNIVKLLGYAKVEERLCFLVEEYMPNAKSLESIINGMSPKKIRQANVSLKSDVFSFGVILFEIITGRRVSPFDDLPEWSSIEMIRSVKGLFDPALADESQLMKINMCREVGLKCIEWDPKCRPTMADVLEMLNS >KQK93945 pep chromosome:Setaria_italica_v2.0:VIII:6487397:6488487:1 gene:SETIT_026728mg transcript:KQK93945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASDRAEVDTARPFRSVKEAVAVFGDRITVGESHSRHSSSAAATATPNANASASANSMPTASAKHEASSSSSTMTFSPNPMAEAEEEIMPATVPMYSAPSSPPSLASSPSPIKARGDDRDHEVGGLVVMRSIRKLEAEVAETRHEVAQLRKRGNEMEMAVASLNAQLHRGLSKLAEMEADRAAAAARRSIGGDTDVASTLRSERWGDKLGAGEYLPSFSHALSLGEIDDAELMGSRSRKAQKVKPIVPLIGDILFSKRKSTKEKVDDGFYSGDLYSVLG >KQK93967 pep chromosome:Setaria_italica_v2.0:VIII:6815808:6817525:1 gene:SETIT_026247mg transcript:KQK93967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGIVLLVLSVFVLLVVLSKLKSRLVAKPKHNLPPGPWTLPVIGSLHHLVAGTNIHRAMRRLAQKHGPLMTLRLGEVSALVVSSPQAAEEVMKTHDVTFSDRHVNATLSALSFDGKDVAFAPYGERWRQLRKICVLELLTAARVQSFRRIREDEAARFMENLAASAGAGAVNLSKMISSFINDTFARESVGSRCKYQDEYLDALGTALLQTSGLAVADLFPSSRLVQVLDTALRKVLACRDRMQRILEQIKQDTKEDMDRGGEAVAAETGREGFVGVLLRLQKERSTPIPLDDDTIVAVLFDMFAAGSETSSTTLNWCMTELVRTPDAMAKAQAEVRAAFKGKSTVGEDDLKGLSYLKLVIKEALRLHTPVPLLVPRKCRETCRVMGYDVPKGTVVFVNMWAICRDPKYWDDPEEFKPERFENSNLDYKGTNFEFLPFGAGRRICPGINLGVGNMELALASLLYHFDWKLPDGIDPKDVDVSEAAGLVASKKTSLILHPVTRIPPANV >KQK94560 pep chromosome:Setaria_italica_v2.0:VIII:22008128:22009608:1 gene:SETIT_026314mg transcript:KQK94560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGGGNGSGSAGGAAHVVMFPWLAFGHISPFAQLTRRLISGDETLRVTFLTAAGNVPRVEAMLAEAAGAVAVLPLDLPSVKGLPPAAASTAELSADGAELLKVALDGTRPQVATLLAELRPDAVLFDFAVPWVCDVAAPLGVRALYFNVYSAATLALLTVPARCPGGQRPSAHELTAAPAGFPSDSPLVTLPAYQAANLTYAFQSFYGMPSAYDRFIECFKGCFGTVMKTCAEMEGPYIDYISAQIGKPVLLAGPVVPEPPEGELEERWSSWLSSFPENAVVFASFGSETFLPAAAATELLLGLEATNRPFLVVLNFPKGADAEEELRARVPPGFEDRVKGRGVLHTGWVQQQHILLHPSVGCYVNHSGFSSVAEGLAAGCRLVLLPMKTDQYINAAVFARELHVGVEVARRSEDGWFGCDAVSDAVAAAMAAGGDGEGRKWREFLLDDAVQKGFAAEFLRNLKGLVSGPNYKT >KQK95694 pep chromosome:Setaria_italica_v2.0:VIII:37252960:37253564:1 gene:SETIT_027068mg transcript:KQK95694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAMLKMAIVAACIALALLSMGPPAAMADIQDDCRATCRGLCDGFGTNTCNNIIAIAPAVLNNINFFFTTCKVRVTGLCASFCVTTCSLNTVTPASPPPPPCKP >KQK93285 pep chromosome:Setaria_italica_v2.0:VIII:350694:351220:1 gene:SETIT_028459mg transcript:KQK93285 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQARDTIDFKLFICILGPRLNSSKTQIPRFGKFLARADVQCKRDTQHQYVGVPIALFFSRSTK >KQK94619 pep chromosome:Setaria_italica_v2.0:VIII:23558422:23561226:1 gene:SETIT_027833mg transcript:KQK94619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHDLFFLCSAVILSLLAITFFHLLKPSPRLPPGPRNLPVIGSAHRLVDKLPHRALRDLADVHGPLMSLRVGQIPVVVVTSKEVAREVLKTHDAIFATRPKLMAGGIVAYNWEDILFSPTGDYWRKLRRLCNQEILSADRILSYQHIREEEVLNLINDIRAAGGSTPLDLTSKLHRVTNSIVSRAAFGMKSSKVDDFLAAIHQSFIYCSGFQIPDLFPSFTSILSFLTGMGRNLQSIHETIDGILEDIISEREEILKHGSANQATATEKNLVEVLLSLQGNGDFGFPITRNTIKAIILDMFAGGTDTSGSAMEWTMSELIMNPKVMKKLQTEIRSAFHDKKIITEADLRGSDLKYLKFVIKETLRLHPPGPILVPRESIEACVINGYTIPAKTRVIINSWAISRDPRYWENAEEFKPERFEGASIDFFGNNYEYTPFGSGRRMCPGYNYGLASMELTLAQLLHSFDWSLPNGINEVDMSEVVSLSLRRKAHLLVLATPYAFDS >KQK94388 pep chromosome:Setaria_italica_v2.0:VIII:14190171:14190729:1 gene:SETIT_028577mg transcript:KQK94388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLFFSLAIREKWCPLQTRSPLLKHQQRQLLVAWWECICYN >KQK93445 pep chromosome:Setaria_italica_v2.0:VIII:1123236:1125575:1 gene:SETIT_026514mg transcript:KQK93445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPRSSDAPPRPHTLTSPAPAALSKLLRRQSLRSRSPPTPCGSVKAQRSSPLPRASPTPDLYWREPSATSRPAGTEPSSPVGRSTATARAACCVAPEWTMWTENPVVRDAGAAVLTGVAAAVVLRFWEEVANRALLDQTLCRKLVHITVGLVYFLMWPLFSSDDVYAPFLAPLIIVVNIIKVLVIGLGVVKDDGVVNSMTRHGDCRELLKGPLYYACAITLTTIAFWRTSPISIAVICNLCAGDGVADIVGRRFGQVKLPHNPEKSYAGSIANFMAGFIASVLFMCYFNIFGFVDKSWAMVGAFGVISLAAAAVESLPISTRLDDNLTVPLASVLVGALVFHSIGVTNLCCMSSTEDSSRSISAIVEMVAFAGSS >KQK93668 pep chromosome:Setaria_italica_v2.0:VIII:2910988:2912222:1 gene:SETIT_028317mg transcript:KQK93668 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRSTGDRVVIVGGGIAGALLAKTLQNHADVVLIDPKEYFEIPWANLRAKVDPAAVERTVIPHADYLTHAKVVTAFAVGIDDSVVLTSIGRAVAYDFLVVATGRTCNRPQKQSERLEMFHRDRERIDAAESVLIVGGGPIGVELAAEIVMKSPEKRVTLVHGGPRLLKVMGARASAKALEWLRSKHVTVLLDQTVDLAGTTPDTREFTTSASETVTADCHFVCTGRPVASGWLRESFLRDHLDEEGHLKVDDHLRVGGLKNVFAVGDITDVPEAKQGHLAQRQAMVVSRNLRLLVKGACRDEKLHRYKPCPRANITVTLGRRDALAELPFMTLIGHIPGAVKPRDLFITRTRRMMGLKSKPYGTMPRVM >KQK93405 pep chromosome:Setaria_italica_v2.0:VIII:970146:972571:1 gene:SETIT_026573mg transcript:KQK93405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVKSVPATEAKNIPAVQETGLCKNLLQEYAQKMNYAIPSYICTKQASGVAPFICTVEIGGIQYIGAAARTKKEAEIKAARTALLAIQGQSEGCANGATKYIVVPGQREVKETDKKPTETPKSLKVKKSGGRKKWNKRKFMRKTDQIVDAEKDGTREVGDVHDSDVPMQATIITEEPSRDSIMLHLDGEARRVELELLRGTATQQPDEEAISVKQGLAMLLHSEEAIRVEHDLSRDTAMVESNKEVVMLQSDEEARMIELEAPRDPATVQPNEEARSVEQEPLNIAEVVKPNMEARSAEQESASAYVALQFNGSATDAKEELPSNTAMMQREETETIKQEAPQSGELVQPN >KQK94361 pep chromosome:Setaria_italica_v2.0:VIII:13652772:13656730:1 gene:SETIT_028129mg transcript:KQK94361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELGVINRRLSYPDRSYYGTIDYECTFCGAIFWYGECSKHDSTQRRVIYHLCCRGGKFFLPSFQVVPEFLASLQHFDGDSRCKNFLNRIRQYNSLFAFTCMGANIDDGINDGCGPYMFKINGLVYHRISSLMPAKDESPKFAQFYIYDTEHEIRNRISAIVSEDSDDTILDLDIVNGLISMLDNCNPLVKQFRKARIRIVGAHEDDPIQLNVTTVIEIAGLVVGDFSLKNYKRDIIVDSISSSLQHISCLHPAYMALQYPLLFPYGEQDFRLGISYRRTDLLQADQPNPHLCCGRLSFQSAVDIFACIEECRLTWIANHQDDFRCEHFQGITYAMSRGCIDGSSIGKQCVIPRFQDAVAIFRVHGIPHFFMTFTCNPKWLEIKETLELEYGQRPSNRPDLGYRVYHMKLSELMNDIKSCSIFGPIVADPLGYAVVEEFMMHGPCGDDNKNCPCMKNSLCSKYFPKQFQAETTIDGRGFIMHKQRDMGWYIIKNGVRLDNRYVHIFGFELHHKIPAIERLAIHLPNMNTVPYATGANLATLIATPFLQKTTLTEWFVANRKYPAARELSYCDFPTRWTWDSSSRLWKLRGSGTYKIGRMYNVHPSQGAQCYEDVRMVNGILYDTFKEACSARGLLGDDCKWFAAFAEANCWATGSQLRSLSMLIVMYCGVSNEASLFDRCWRSMADDIDYVLQKRMGSSSYFVPDSQLRGMVIDELSELFSKNGVSITKYNLPSSAPNLGTSKTFLWNNIITCVGSLGKIILAVTSSGVASLLLQGGRTADSRFKIPIDIDETTICDIKRGTMLADLVKKTSLIIWDEALMTHKRCFEALDRSLRDIMSENDADMRLLPFGGMVVVLGGYLRQLLPAVEG >KQK94567 pep chromosome:Setaria_italica_v2.0:VIII:22380183:22381372:-1 gene:SETIT_026599mg transcript:KQK94567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSSFSNKLMTMIPLLILAVISMANCVSYGHATREVSTAGSKSGDAAMMARYKMWMVEYGRTYEDDAEKAHRFQVFKANAKFIDRSNAEGKKYVLGTNKFADLTAEEFAAMYTGFKPAPSKKLAGFKYENFTLSDHDEQVDWRQRGAVTGCCWAFSAVCAVEGMNQIATGNLVSLSEQQLLDCAVYGGNQGCNGGFMDSAFQYIIDNGGITTEDAYQYSAAEGTCQSVQPVVTINGYQDVPSGDEGALASAVTNQPVSVGIDGTSSPFQFYQSGMMTGDGCGAEMNHAVTAVGYGTDENGDQYWILKNSWGTGWGQDGYMMLQRGTGACGISTMSSYPIA >KQK93559 pep chromosome:Setaria_italica_v2.0:VIII:1927024:1927173:-1 gene:SETIT_027828mg transcript:KQK93559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVQSSSERICRVIMFRHACRLLFHELNSHGTTAKHRTCSFPPFFFSCN >KQK93966 pep chromosome:Setaria_italica_v2.0:VIII:6781429:6783243:1 gene:SETIT_027732mg transcript:KQK93966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPHRFETAAETSGEDQDLLGALPDEILQHVLSFLPSDEIVPTCLLARRWRDLWKSTPALRISDAENRFWDYRDMHEFVNHLLLLRDSEPMDICEINYSRYLGWGVLGKKRPFKYVGTWIRYALRHKARVLRVIIHKENKHFELDSAPLISQHLTVLELSGVQLDDRALDLSRYPALKDLKITECIIVARKISSQSLRHLIVNDNVFGPGLRIQISIPSLVSLHLKGFAGRTPVLESMPLLETAHVALGAECDDRCDNSSSGNCGKDTCKGCHDCPDGPERCVLLRGLSNATDLKLIAELNVFIFKRDLIFCPTFSKLKTLLLFGWSVAVDPSSVVFLLQHSPVLEKLTLQLYKVPEGSQNPENHYAFAHLKIVEGERREVEESAQNFLKSHYGIPVDLIKIQEPNGSSYSS >KQK93593 pep chromosome:Setaria_italica_v2.0:VIII:2215381:2215770:1 gene:SETIT_027263mg transcript:KQK93593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTEAVIADLDEDELFELDIALLDGRGDGHGHHHSHRSHSAAAVTDDGAGHALLASCLLPVQSVSNAVPVPASRVLPLYHPYSGYYNSRRLIFTGGDSRRFLGRSPGSSACFCFSSRGFETMGSYFQRY >KQK93862 pep chromosome:Setaria_italica_v2.0:VIII:5269696:5274464:1 gene:SETIT_026238mg transcript:KQK93862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAAEEGRSLAETPTWSVATVTTLMVAACFLIERSLSRFAKWLRKTKRKAMLAALEKIREELMLLGVISLLLSQTSRFISEICVPSSLFTSRFYMCSESDYKDLLQNKGANQTALAKTMFGGQSMHVCGEGHEPFVSYEGLEQLHRFLFILGITHVLYSFVTVVLSMIKIYSWRKWETLAGPIAAEEMKARRTKVMRRQSTFVFHHASHPWSKNKVLIWMLCFLRQFKGSIKRSDYLALRLGFVTYHKLPHSYDFHKYMVRSMEDDYNGTIGISWPLWAYAIVCILVNVHGINIYFWLSFLPVILVLLVGTELQHVVAQLALEVAEATAPYVGTQLKLRDDLFWFGKPRVLWWLIQFISFQNAFELATFLWSLWELSAQTCFMKHYYMIAIRLISGLLVQFWCSYSTLPLNVIISQMGSKFKKSLVSENVRESLHSWCKRVKDRNRHNPLFSRNGTVTTRSVCSLDTTYETDHETNTVGTLSRTVSATSLDDNLTVGTVDDDDEPSGIEKDV >KQK94043 pep chromosome:Setaria_italica_v2.0:VIII:8167018:8167288:1 gene:SETIT_027138mg transcript:KQK94043 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLATAVPASQEAAAPAPAVEPVCGLLSHGCTDMLCNAACTDIGVGECGTGAQSSICCCLPKPSMAPTPVPTELSSYPSLA >KQK94516 pep chromosome:Setaria_italica_v2.0:VIII:20242258:20242589:1 gene:SETIT_028400mg transcript:KQK94516 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPLYSTPIPILFLLRSGIGNTLCNNYVNFYLNKLRETLFNV >KQK95204 pep chromosome:Setaria_italica_v2.0:VIII:31889978:31894124:1 gene:SETIT_026349mg transcript:KQK95204 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTASLERRLGLGRRGGGMGLGLLAPADADTNGPPAPPMPGLMRLRCAVQHYDWGRRGADSLVARLAAGEAGPGGADDGRPCAELWMGTHPSAPSSLAPDVSLRDWIARNPAALGRDVAARWGGDLPFLFKVLSVAKALSIQAHPDRALAAALHALRPATYRDANHKPEMAIAVTEFHALCGFTATQELKEVLRTVPEVQELVGKEESRKLLSVKEQDGGIGVRSYLKSAFTKLMIASEEAVSEAIAKLKSRLNVESKVRTLTKKEKLVLSLEKQYPGDVGVLAAFFLNYVKLSPGEALYVGANEPHAYLSGECIECMATSDNVVRAGLTPKYRDVQTLCSMLTYNQTFPEVLQGVPVQPYVTRYTPSTDEFEVDRYLLPRGKSVTMSPVPGPSIFIVMTGEGEIQGGSMPDNAKAKEGDIFFVPAHTEVKLYTSGPRSMQLFRAGVNSRFLS >KQK93306 pep chromosome:Setaria_italica_v2.0:VIII:444584:446427:-1 gene:SETIT_026826mg transcript:KQK93306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAAATTSSLVLFSTTPRRSVTSFLKTSPSLLLRPRCNYSCSISSAKQQHLVCQAVPWLMNSKKSSTLRCSSSLSDGSSTVGSSVRWVLDPAGDGDWRHIGYKVARPGAFEIASDAVTVGRVADKADIVLPVATVSGTHARLEKKDGRLFVTDLDSTNGTYINERRLNPGFPIPIDPGSLIIFGDIHLAMFRVRKMIVEVPSETQGAQQETNTEVVSTAVQDTAS >KQK95620 pep chromosome:Setaria_italica_v2.0:VIII:36605445:36607940:1 gene:SETIT_026153mg transcript:KQK95620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKISMAASILWLALVALAVVGEAAIVEHTFVVHEMNMTHLCNSTKVYVVNGQLPGPQLDVVDGDTVVVHLVNELPHGVTIHWHGVRQLRSCWADGAGYVTECPVAPGGNRTYRFDVSGQVGTLWWHAHVTCLRASVHGAIVVRPKDGRYPFPTPARDVPIVIGEWWTLDLVELDRRNLDGNFEDDPLSATLNGKLGDLSNCSGVPEESFVLDVEPGKTYLLRFINTALFSEYFFKVAGHTFTVVGADANYLTPFRTDMVTISPGETMDVLMVADAPPGLYHMTAVANQPPPPDLQIRALTSRGLVRYAGVRADNGGAPVPAPLMPGQHDTMPSYYFRGNFTGLAFPSRHRVPAHVDERLFVTLGLGSICRGGKKDCKLRRSNETITVATMNNVSFHHQTAASLLERHYDGTGEGLYTEDFPDRPPRPYNYTNMDLIPVGPLEEVLEPTTKAIRVRRFKYNSSVEIVFQSTALLQSDPNPMHLHGHDFFVLAQGLGNFDPKKDVKKFNYHNPQIRNTVHVPRVGWTAIRFIADNPGMWYLHCHFEFHIVMGMATAFIVEDGPTPETSLPPPPADFKRCGTNGFSKP >KQK94836 pep chromosome:Setaria_italica_v2.0:VIII:27851303:27853487:1 gene:SETIT_026303mg transcript:KQK94836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCALCRVYGATAPHEGRRRPRKRRGGWLGERGRTRTPPPPGGTAPRLASHGLVIVIRGTHLVDPMRAPPRGKRRRERSPDLKPPYLDTLSPPSSIECPSRFLGEMLQGTTMAVHQARFGGGLAGCLPLPPCAGGGILVDEQTWALKDYGALLSAAANDGGYRYDRAAQSDLTCNGGGGGGAVAVPSRKRGIEDELERYYAAASSAALLPIPGMHEPIAVAPQSAATLGAVAASRMAESATASTSGRPAIPIAASVEDALVAELCQQGAEIDAIVRAECDRLRAGLEQARKRRCVELVRAAAAGAARVLRDREVELAAARRRAAELEERLRQAFAESQAWRDVARGNEAVAAGLRATLETVLLGGAGAGALAPTTKEVEEEGFGDSADPVEAADDARSCCFVEAEDGAAAAAPASRWACRACGGGEASVLLLPVPAPVPLQGVRAPGRRLPRLPRRQERRHPRRLTADDHTPGAPWRQLAP >KQK93315 pep chromosome:Setaria_italica_v2.0:VIII:498367:503022:1 gene:SETIT_026080mg transcript:KQK93315 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKGHQLQQQHSSPLSLPPPNKRRCSGLAAAVPALVVCSILLPLVFLLGLHRPGPGYGSEERAAVVISTELASFGARSNKQHLENGGAMKHELLKDVSKKASSGSNGISAEMSPRSKSKNHAIKSKAKLKGVLYLVKLNNDTFKSNGPDMLKRYQRKDLSWRSKESKTVLRHDTVVNGKENHGQETVHEGNPKSCEHEYGSYCLWSTEHREVMKDAIVKRLKDQLFIARAHYPSIAKIKQHERFTRELKQSIQEHERMLSDTITDADLPPIFTEKLEKMENTIERTKSCEVGCSNVERKLRQLLDITEDEAYFHTRQSAFLYHLGVQTMPKTHHCLNMRLTLEYFKSRSIRTDQLNKQMLESPTFQHYVIFSRNVLAVSTTINSTVLNSQDSGSIVFHLFTDAQNFYAMKHWFDRNSYLEATVHVTNIEDHLKFPKHEDSLEMQQLWPSEEFRVTIHNYSESSQRQMKTEYISVFGHSHFLLPDLLRNLNRVVVLDDDLIVQKDLSSLWNLDMDGKVVGAVQFCGVTLGQLRAYIAEHSFNSDACVWLSGLNVIELEKWRDLRVTSLYHQSLQKLQKENLTSKQLKALPVSILAFQDLIYPLEDSWVQSGLGHDYGISRDDIEKAPTLHYNGVMKPWLDLGIHNYKSYWRKYMTTGEKFMMECNIH >KQK93645 pep chromosome:Setaria_italica_v2.0:VIII:2605545:2606624:1 gene:SETIT_027414mg transcript:KQK93645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYVANKKPLVTVALILLAVLTIANCICCTIAARDLPGSGSVAEAPMMVRFEKWMTEHGRTYKDAVEKARRFEAFTANAVFVDSSNAAGGKKYHLAINGFADMTHDEFLARYTGYKATPSYGHEDDARFPAEVDWRQKGAVIGVNNQEDCGCCWAFSAVAAIEGIHQIKTGDLVSLSKQQLLDCSTNGNYGCNGGNMDNVFEYTISNCGITTEDAYPYTAIEDMCQWVQPAVTISGYQDVPRYNEDALALAVANQPVSVGVDANNFQFYDGGVMTVDSCRTDLNHAVTTVGYGTAEDGSLYWLIKNSWGETWGEGGYLRLE >KQK95049 pep chromosome:Setaria_italica_v2.0:VIII:30314422:30314703:1 gene:SETIT_027741mg transcript:KQK95049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLIVAGNLPSPSASAAAGGGGTRRRRWWGSPGPRRWRQTAVRGDTEQRVLAVALASGRPATVLEFYSPRCCLCASLQGSCGSWRSSCGDMQEQ >KQK95290 pep chromosome:Setaria_italica_v2.0:VIII:33061633:33061725:1 gene:SETIT_027365mg transcript:KQK95290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIHNRSHIFFPLACTPNWDHLPLNVERGT >KQK95775 pep chromosome:Setaria_italica_v2.0:VIII:37931281:37937377:-1 gene:SETIT_027265mg transcript:KQK95775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQEQEEAVAAAVVEDVMRLHGGGGGGGAGGGGGGETVGSWRNIDIAWRKAEEAAIRRYEAANWLRRIVGVVCAKDLAEEPSEEEFRLGLRNGIILCNALNKIQPGAVPKVVEVPSDSTVPADGAALCAYQYFENVRNFVIGLQDLGLPTFEASDLEKGGQGVRVVDCVLALKSFCDAKQVGKQSPFKYGGIVKPLSAKYAIRKNNEPFMKTMMRSHSAELLRDGISLEQIGLDFSLEPTETTTPDSIRILVQTVLSDKKPEEIPSVVESLLSRVINEFERRIASQNELVKDTTDTNDSKSLSRTDSPLATNSSKSLSRTDCPQMESTSTNDLEKMDEDDWDSLTVKEDVNTVVPPPLSDDNVGKFIPRPVRNFEQEQKQIQDLKSNISTIKSGIEQFKLLYTEDLTKLGDHLRIVSHAASGYHKVLEENRKLYNQIQDLRGNIRVYCRVRPFHPGKVSASSSVAGIEDRTITVMVPSKYGKDARKSFTFNRVFGPLATQEEVFTDMQPLVRSVLDGFNVCIFAYGQTGSGKTFTMSGPKVLTEEGLGVNYRALNDLFSIQEQRKDTICYEIAVQMIEIYNEQVRDLLQNETLEIRNSSQKGLAVPDANVVPVTSTADVIDLMNLGQKNRAVCSTAMNDRSSRSHSCLTVHVQGRDLTSGTVLRGCMHLVDLAGSERVDKSEVVGDRLKEAQHINKSLAALGDVIASLAQKNTHVPYRNSKLTQLLQDSLGGQAKTLMFIHIAPEPDAVSESISTLKFAERVATVELGAAKSNKEGGEVRELKEQIACLKAALARKDRDHESIRSTQSSPDIYRMRMGNASPASRHPTEDGTPEAEKGNFLNYQTSSLEDNPSSWLDTCNGVQKESASGDWADHSQFGSSNSLPELGPDGSQDLSFYQRSSPEQQWSWSGSVATEDSDDFEVATTCSSEQDCLRPSSAPKGSGFANGGISAARKAQPKGAKSSDIRGVNPAKKTSPLQKKLNGASPMLIKKSGVEGRRTSNGKVSAKK >KQK93818 pep chromosome:Setaria_italica_v2.0:VIII:4674165:4681654:-1 gene:SETIT_026157mg transcript:KQK93818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMTQACKKRRAVYISSESGDSGTDSEVEGSKLSQKSGVTSISTCEHQSSYKIKVKSMKTSKTRLCGNILRKLMEHKSGWLFNKPVDPVLYGIPDYFDVIRHPMDLGTVKKKLTNKQYVSTDEFAADVRLTFSNAMKYNPPGNDVHSIAKELNGIFDSEWESVERKLGGRNPVQEQQTMKVVKIRASMDSKSRAAMDSKSTVARGTTACSARGPVACSDSLAKKTLTDAISSKVKIKFSVRSSEQTSSKDIPVQAPGSKEGSLNHSLPTGYREASLNRSLPSTKENAKISRIQATEHSSGLVGNESRSCNDTSTSPCASSGQGEESYLHDEPLSPSRALRAAMLRSRFAGTIVKAQQKALLDHGKNIDPVKLQLEKERLEKRQQEEKARIEAQVKAAEAAAQRKLEEEIRMKREQEREAARLALRMMKKTVDIDNSDFLKELENFSKTCQSNPPGKLIVEFVDGDLPPGLGSPLERLGLFMKQDFEDEVEQEIEDSVSPSMDIDVKKDSEEEVGRGMDDSLSPSTVIGTKDDFQEEAGHEMEDSLSPLAVVDIKKDSDEVVEHEMVDSVSPLMDVDTEEGEISC >KQK93851 pep chromosome:Setaria_italica_v2.0:VIII:5146707:5148050:-1 gene:SETIT_028158mg transcript:KQK93851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKASSSRRPRRGSRRPANVLFNTEAVVGIHNSSTTAEDRTRNGKAIQVSFCLKRPPQPSTLYVYSSDLNRSLPPEILYSVDDLLLLRVNMGSGPCDSRGKDYDYFVYRADSTRPSLELLRRPHPHLSSSSAGLLPRPDGHYTVAALNFTGTVHQFELHLFHSDTSTWISRMLSVDAPLEDFPVKIPRNCGRLVHHHTTTVITIGGEGGTMGWVDLWREILLCDVLVGQPSLRSMPIPLPLVEMSYNNGWGFELGNPAQRRGIAFIRGKGCLRFVHLESTEECVPEIDDETGLTSIRVDDWALTTWSNKEMSSSLEDWHKESVVKASSIAIDPAISQVLEDTGLLRRPRENEAATEWQNLQNLSMCQPSPCISGEDVVYLVAREKFLHPKAWILSVDMKNGGRLQSAAYFGIRRYCGLDVIYCPSRISKYMNPAKYGNPATSPGN >KQK95335 pep chromosome:Setaria_italica_v2.0:VIII:33493430:33493882:1 gene:SETIT_027201mg transcript:KQK95335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALQTSFHYSEMPVLQTWFLYSQMHVLLVFWFRTKTKMHVQVISFHYSTMSVLRTSLYHYEMPDL >KQK93313 pep chromosome:Setaria_italica_v2.0:VIII:483301:484404:-1 gene:SETIT_026816mg transcript:KQK93313 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHQQEGDKQVKLFGFWPSPYVLKVIWALRIKGVEYDYIEEDLSNKSDQLLEYNPVHKKVPVLVYQGKPIAESEVIIQFIDEAWKDRGDPILPEDPYERAMARFWVRFLQDKLSPPIWKWFTTQGQQQEDAHGAAIDQLLVLEKELDGKRFFAGEKIGFVDLSLGPLSYVIPIYEEITGVKMITEEKLPSLSAWMGNFLSSPVVKDHLPPLDKLRLRLQAIREAFLNGKVK >KQK93459 pep chromosome:Setaria_italica_v2.0:VIII:1255786:1260777:-1 gene:SETIT_026300mg transcript:KQK93459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPMETSGSAAAGEVGWYVLGPNQESVGPYALAELREHFANGYLNESTMLWAEGRTEWMPLSSIPELHTAVAAKDQSEQVAPDAEDDFEKFQKEVIEAEAEVEALKGSAEDGDVNQLDDERPATPPDGEEEFTDDDGTIYKWDRTLRAWVPQNDLSGKKEDYAVEEMTFALEEEVFQAPDIPGPSAVEEINTPDGNKKKESDKVEKKGDKKRKSSETPAEKKEANKPPDSWFDLKVNTHVYVNGLPDDVTVEEIVEVFSKCGIIKEDPETKKPRVKIYTDKETGRKKGDALVTYLKEPSVALAVQLLDGTSFRPGGKILMSVSPAKFEQKGDVFIAKKTDKQKKRKTKKVEDKMLGWGGHDDKKVMIPTTVILRHMFTPAELRADEELLSELEADVREECIKFGPVDNVKVCENHPQGVILVKFKDRKDGAKCIEKMNGRWFGGRQIHASEDDGSVNHTLIRDYDAEVSRLDRFGEELEEST >KQK93482 pep chromosome:Setaria_italica_v2.0:VIII:1443360:1444618:-1 gene:SETIT_027314mg transcript:KQK93482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKAAETCARAREMHSTLPEARHCNRLLRLLVERLRWEDARKLYDEMLAEEGGADNYSTCAMVRGMCLEGRVEEGMKLIEARWGAECIPHAVFYNVPARGHWEGIAFGGGESLDQLSKRRVSYLNMIADKHKGERVVVVSHGATIEEICRHANPMTTLVRRRIPNTSISEIHISGENGHWILEKLGDVGHINEDGFLQSAFGGDGKKTCCSALVRTAIQSATSSP >KQK95533 pep chromosome:Setaria_italica_v2.0:VIII:35436035:35437530:-1 gene:SETIT_027871mg transcript:KQK95533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHGGAAAAPLLPSAAAAPDAYAPPRNRFSFVCATLASMTTILMGYNLALMSGAQMFIREDLGLSGVQVEVLTGSMNVFMLVSILAGGWAADLLGRRGTLVLANAFLMAGALAMSAGGSYADLMAARFVTSVGAGFARVVAPVYNAEISPASTRGVLSSLLDMFVNVGILLSYVSNYVFAGLPVHLGWRVMFAAGALPPVFLAAGVLAMPESPRWLVMRGRHADAHAVLVRTSDTPAEADLRLAEIKQAGGVWKEMLVRPTKSVRRILVCVLGLQFFQQASGIDAIVLYSPLVFEKAGMPSSTSVLGATVAVGVVKTGFILVATFLSDRVGRRPLLLASAGGATVALASVALTLCVGAGGDASSSSPLATAACVASVLAFVAAFSVGLGPVVPTYSSEIVPLRLRAQGTSLGTAANGVTCGLVTMTFISLADWITMPGCFLLYAGVAAAACVFVYMKLPETSGRSLEDMDVLFAK >KQK95858 pep chromosome:Setaria_italica_v2.0:VIII:38987647:38992416:1 gene:SETIT_026205mg transcript:KQK95858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADDPTAAGGNPVEDIARAEGDTNPREDGLLKSKDRDKDREKDKDRDCRRDRDRDRGRDRDRDRDRDRDRDRDRQSRHHRERRDRPDDHRGRDSERRRDRDRDGHRRNRSRSRSRSRGTDRSRSRSKRVSGFDAPPPQAMSSTFPVIPTPSQLPGSSLPNIGGMFPNMLPFGVTGQFNPLVIQPQAMTQQATRHARRVYVGGLPPSANEQTVAVYFNQVMAAIGGNTAGPGDAVLNVYINHDKKFAFVEMRSVEEASNAMALDGILFEGAPVKVRRPTDYNPSLAAALGPSQPSANLNLAAVGLTAGSAGGLEGPDRIFVGGLPYYFTEAQVRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLTVTDIACAALNGIKMGDKTLTVRRANQGTSQPRPEQESILLQAQQQVQLQKLVYQVGAIPTKVVCLTQVVSADELNDDEEYEDIMEDMRLEAGKYGNLVKVVIPRPDPSGQLVAGVGKVFLEYADVDGAAKAKTALHGRKFGGNPVVAVCYAEDKFANGEYDG >KQK93287 pep chromosome:Setaria_italica_v2.0:VIII:359785:360731:1 gene:SETIT_026856mg transcript:KQK93287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLALKRRPRIDAGVSRVEEVEEPLDPAIALACAADPARLGGVDSPIVRLVAADYGVGGDAAPFVCLGGFRNTRAVYELEDEGLVLELDETRFDFGTSYELECETAEPDQVKEVLERLLTVAGVPYEYSRSNKFACFMAGKLLP >KQK93825 pep chromosome:Setaria_italica_v2.0:VIII:4746184:4752629:-1 gene:SETIT_025891mg transcript:KQK93825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEEAENISKRKAPDSSGVEDPSPPAPASTAQSQAEADPAAKRRNTSRSCIHEVAVPKSYEAAKDEAVHGTLANPEFNGEMAKQYPFKLDPFQSVSIACLERNESVLVSAHTSAGKTVVAEYAIAMAFRDKQRVIYTSPLKALSNQKYRELSQEFTDVGLMTGDVTLQPNATCLVMTTEILRAMLYRGSEVIKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATEFAEWICSLHKQPCHVVYTDFRPTPLQHYVFPIGGSGLYLVVDESGQFREDNFGKLQDSFSKQNNQLDGRRGGGPKASGRIAKGGSASGNSDIYRIVKMIMERKFQPVIIFSFSRRECEHHAMSMSKLDFNTEDEKECIEQVFHNAIGCLVEEDRSLPAIELMLPLLKRGIAVHHSGLLPIIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTSVKKWDGDTNRYIGSGEYIQMSGRAGRRGKDERGICVIMIDEKMEMSVIKDMVLGKPAPLVSTFRLSYYSILNLMSRVEGQFTAEHVIRHSFHQFQYEKALPEIVQKITRLEDEATLLDSSGEIDLAEYHKLGLDISELEKKIMSEMIRPERALLYLVPGRLVKVRDGSTDWGWGVVVNVVKKPPASGTLPPALSASRSNSYIVDTLLHCSSSSNENGSRSKPCPPRPGEKGEMHVVPVPLPLISGLSSVRINIPPDLRPPEARQNILFAVQELGKRYPQGLPKLHPINDMGIQEPELVDLVHKLEELEQKQCSHRLHKSGQSEQELSWYQRKADLNSEIQQLKSKMRDSQLQKFRDELRNRSRVLKMLGHIDADGVLQLKGRAACLIDTGDELLITELMFNGTFNDLDHHQVASLASCFVPCDKSSEQIRLRNELSGPMMQLQEAARKIAEVQRECKLEVNVEEYVESTCRPYLMDVIYCWSRGATFAEVMEMTDIFEGSVIRLARRLDEFLNQLRAAAEAVGEVNLEEKFKKASESLRRGIMFSNSLYL >KQK94703 pep chromosome:Setaria_italica_v2.0:VIII:25355006:25357722:1 gene:SETIT_026273mg transcript:KQK94703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPMIGGLVACYSARTRRCWARGVGELSAEQAEKVPRPAAQLPTTRDETTRGASEQEALLTDGSSRSTPDQVYHATKSARGASGEPPGTQRGRSTAAPGRRRRSRTPLAASASSLLAGRGSPPSSVAMSAAVSPSWMLLEPFVFRRDDDESFPDEEDAPIRATGTTSWGAQFRIAFSLAKLPHISHLYAQLPVPGFLDRNVGTPLSIVATHRHLALVRVATRTSEMVTVQNFFIFTADEGPSSSLKALPLCTEPEFDYTRHSVRVPRFRRLPDGTPRLLNVRNLGFWCRGKQFVVVELTLFKPINHDKVCPIFRQSHGAHPPCVTVPCPLSTAARALKFVNITRHDGIPFEALKPGTGFTITCHTLVLGRGSMAWKEDYTVTSGELWEANTPERLPRCILMFPQVDIDRPHVVHFLYIEFGYYACKKMWVVSIDMSTKTVESFSLYINGHEGLKTDDADLIDLIERKSVSPWPFLPCEFPKFLNLSRKREHME >KQK93801 pep chromosome:Setaria_italica_v2.0:VIII:4460438:4462030:1 gene:SETIT_027323mg transcript:KQK93801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDQTTQPSPGSSSAAYPRWVLLEMNYKKNDEDEDSSCSTPADVKTLAAARTTSGYPIQVSLRLAEPPAASCVCLQLQVPDDVDVRYPTVVAAHGDSVLIQVTVTNDLGGFWNATTDHFVYNAGAAAAEPPREPSLSMLPPYYITDDYSGPQAHQLHTRATGLIRRGEDELVVAELSLKPARKDSLELKTAELLLFRSGEWILKRPRMSYGDREFGELPLWLHTDTVVPIGDRVLCWVNLVMGLLFCDVFEESPILQYVQLPMDPCYGKPSNRNVCVTDGGGALKFVNIFPRCCCGFVGATGCQRSHGVYVVNTWTLRMSDMEWVKDGMVDATELWALDAYKGLPRIPLSHPVVSIDEPYVICFLMIEDNKACYRDQTVWMLMVDTRSKTIQSVSLDQGERRWYPDTLIPSNVSYYLNSYPTSRSDGTSKSNGQSQIDIERSLVQVRDDDASNSMLQSSCKLSAEPAVQASEILAALQEIPSYGLDRDDMLKAAYRILSHGNGHRFRSLLSLPRNLRKDWLLMEIKASED >KQK94867 pep chromosome:Setaria_italica_v2.0:VIII:28124376:28129385:1 gene:SETIT_026251mg transcript:KQK94867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPTGALPLPLFASLRLPASIHTYDREPFREVGNAFLLSGGSEGIVADGADLAAPPSSFIKFVNVTFWRTPEAAESHTKMAHSTGLVQAILFEAADRDNIGGSAYGGQRSICCTPDLAKLEGCKQGEVIRRPSSDDPDWPYVLDTHFSANHLSVKLEDESVRVTKTGMYNLFFISCDPKLRGLTMSGKTIWRNPGGYLPGRMAPLMKFYVLMSLAYLLVMIVWFSQYIRFWRDILPIQNWITLVIALGLFEMTLWYFEYLNFNSSGVRPVGITTWVVTVGAIRKTVSRLLILSISMGYGVVRPTLGGLTSKVLLLGLTYFLASEMLDIAENVGTINDISGKARLFLVLPDAFLDAFLILWIFTSLSRTLEKLQARRSSVKLDIYRKFTNSLAISVIASVAWIGYEVYFKATDPFSERWQSAWIITAFWDVLAFVLLVVICYLWAPSQSSQRYAYSGEAADDDDEEAQSLTKGDGEVGMVKIDKDRNVGVSNAYSLEDEAEEDKRE >KQK93480 pep chromosome:Setaria_italica_v2.0:VIII:1418234:1419764:-1 gene:SETIT_026726mg transcript:KQK93480 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASPHGEHFAEVVLVRHGQTDWNVSRIIQGRIDQELNETGRQQAAKVARRLSEEAKPAAVYSSDLKRASETAQTIAEHCCVSDSDLVIDRALTERHMGLFQGWTIDDAKRSEAYKAFACGGRDQEIPGGGESLDQLSERCVSRLNEIAKKHKGERVVVVSHEAVIEEICRHADPTISVGKKIPNTSISVVHISGSDGRWILEKFGDAGHLTGDGFPHSAFGDGWMRLEGYNPAIQRPQCRLPRRFEVLQFVPRPPSLPSRRGRRPPPPLRVFTLHFLGRVV >KQK95176 pep chromosome:Setaria_italica_v2.0:VIII:31654236:31655759:1 gene:SETIT_026729mg transcript:KQK95176 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMAAPWSSPSCCPATASTSAPRLLGPRSLRGAASLAGSSSRSCKLPRRRQLSVCATAAAPPPPVDYAGNSNASTDADYVASLKVKLLSAVSGLNRGLAASQEDLDRADAAARELEAAGGGPVDLNKDLDRLQGRWRLVYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVVSRDFDNIVELELGAPWPLPPVEATATLAHKFEVVGTSGIKINFEKTTVKTKGSLSQLPPLEVPRIPDNLRPPSNTGSGEFEVTYLDDDTRVTRGDRGELRVFVIA >KQK94249 pep chromosome:Setaria_italica_v2.0:VIII:11896205:11901836:-1 gene:SETIT_025892mg transcript:KQK94249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSKGKKRPQQQPAAASPQPSPRTPSSRSSRGPGVRDGSGGGERSVDLPSIAAAAAARFPALVPRGGEGCFAGTVSEVAPRGGSGGAGLGRLWLSEAAMVGAGMRPGCLVSVSLISSRSDQLDRFPLDDLFEECNRFFDLAVDNDLLYGEAGRNFVVATVFPSREVQKNGIKLSWDLACVLGYPSVGQSLFISPLCTSQDPKHSDDVDILRVVKCKNLYLGLVPPEVESSSGIQSESDYRPERNEMLMETPKKIPFTPLHRKESHDFASNIGSSMCLDPTTARSALADEKVNALLQTSATRWLNGRHLIKGNFIPLSMCGKLSLFVVMRAEFDSSARDDFGEKRNTLPNAEDSSNLGGTLVSILVDRTTKVHLCDSVCTEKPGLDKTDLPSEVYDYYNKGNDDSNHAPMLGGLSEESKTIKEIISFSLSDQIGLPRYKGILLYGPPGTGKTSLVSSCTYDAGFNLFTINGPEIISHYYGESEQSLYDVFSSAKKAAPAVIFVDELDAIAPSRTEGGEELSIRMVATLLKLMDEIGPNDRVILIAATNRPDSIDPALRRSGRLDKEIEIGVPSPGQRMDILHHLLIGVRHSLNDDELKSIALETHGFVGADLAALCNEAAMCALRRYISLKENLSIQLGHPDSSVHKCIRGTDDPSGYQESSLPASFSAMSLDDAPCTNSNTKSSESYYVNTKCSASYDAIDEKALSVNTEDFKKAKTKVRPSAMREVMLELPKVCWEDVGGQANVKQQLIEAIHWPQECPEAFKRIGTQPPKGLLMMGPPGCSKTLMARAAASEAQLNFLAVKGPELFSKWVGDSEKAVRSLFAKARANAPAIIFFDEIDGLAVTRGHENDGTSVADRVLTQLLVEMDGLDGKVIVIAATNRPDKIDPALTRPGRFDRLLDVQPPNEADRADIFRIHTRSIPCGPDVNLEELARLTEGYTGADIKLVCREAAVVALDENFDIKEVAMRHFQFAISKIKPSDVKFYRKLAEGYRRFVD >KQK95654 pep chromosome:Setaria_italica_v2.0:VIII:36911882:36913353:1 gene:SETIT_027460mg transcript:KQK95654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TEEELRLLEEYYDAHPPTSFSQAFAELEAAALTNLAAEWGIEPPPRRKFLPDQAQQKASAAVAHPQHSDNFLKELYVCQHLNFTVQWVSNITLIIFIHPEASDEEIVENAKKWMRDEVTLVFQNYIGRRDDLKIVDYHLDELCHQCVSVEDYHNIFHHYNFTVKLKKVNSYDWVGALYFAEVKQMFGRKSYFCCPLEPNENGRCYACQNQGVDDLRHPATGGFTDVGYGLWYPDE >KQK93235 pep chromosome:Setaria_italica_v2.0:VIII:97821:105040:1 gene:SETIT_025927mg transcript:KQK93235 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGLPRDSRGSLEVFNPNAASASAAASASSAAASIRPAKPTSPFLLPPPAADDAAAVGRAAQRAAEWGLVLQTDDHTGRPQGVTARPSGGSASASTRTSDSLDGIPRALPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRHALAAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGTKDTAVRPNGLPESLIKYDARQKDQARSSVSELLLALKNPRSLSESRNSTFKRKSQESEVPGKRSSESGSRRNSRSGMRNSLQKISEVPEGGNKTRKSGLRSFMGFLGMGHGNVEKNMLKPRDDPLLDSDDERPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKNTADNIDEAAKELPDANLRPEDLWANHSKPVLPKPHMKDTASWRAIQKVLESGESIDLKHFRPVKPLGSGDTGSVHLVELRGTGEYFAMKAMDKSVMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHICLITDYYSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLNREGHMSLTDFDLSCLTSCQPQVFLSEESDKKKRRKSRGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGSLSHLACAKQNKTYA >KQK93234 pep chromosome:Setaria_italica_v2.0:VIII:97356:105800:1 gene:SETIT_025927mg transcript:KQK93234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGLPRDSRGSLEVFNPNAASASAAASASSAAASIRPAKPTSPFLLPPPAADDAAAVGRAAQRAAEWGLVLQTDDHTGRPQGVTARPSGGSASASTRTSDSLDGIPRALPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRHALAAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGTKDTAVRPNGLPESLIKYDARQKDQARSSVSELLLALKNPRSLSESRNSTFKRKSQESEVPGKRSSESGSRRNSRSGMRNSLQKISEVPEGGNKTRKSGLRSFMGFLGMGHGNVEKNMLKPRDDPLLDSDDERPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLQLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKNTADNIDEAAKELPDANLRPEDLWANHSKPVLPKPHMKDTASWRAIQKVLESGESIDLKHFRPVKPLGSGDTGSVHLVELRGTGEYFAMKAMDKSVMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHICLITDYYSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLNREGHMSLTDFDLSCLTSCQPQVFLSEESDKKKRRKSRGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDMRFPASIEVSLAGRQLMYRLLHRDPANRLGSYEGASEIKRHPFFRGINWALVRAATPPKLAQVEVEVEVEAPLQLQETAAADALTDMF >KQK93233 pep chromosome:Setaria_italica_v2.0:VIII:97356:105800:1 gene:SETIT_025927mg transcript:KQK93233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASKGLPRDSRGSLEVFNPNAASASAAASASSAAASIRPAKPTSPFLLPPPAADDAAAVGRAAQRAAEWGLVLQTDDHTGRPQGVTARPSGGSASASTRTSDSLDGIPRALPRVSEELRAALSAFQQTFVVSDATRPDHPILYASAGFFNMTGYSSNEVVGRNCRFLQGSGTDPAEIAKIRHALAAGSNYCGRVLNYKKDGTPFWNLLTVAPIKDEDGRVLKFIGMQVEVSKYTEGTKDTAVRPNGLPESLIKYDARQKDQARSSVSELLLALKNPRSLSESRNSTFKRKSQESEVPGKRSSESGSRRNSRSGMRNSLQKISEVPEGGNKTRKSGLRSFMGFLGMGHGNVEKNMLKPRDDPLLDSDDERPESFDDDFRRKEMRRGIDLATTLERIEKNFVITDPRLPDNPIVRQQLRSLLLLTPFFFLLTYISTSHQTISIVWSMLQIFASDSFLQLTEYSREEILGRNCRFLQGPETDRGTVKKIRDAIDNQTEVTVQLINYTKSGKKFWNLFHLQPMRDQKGDVQYFIGVQLDGTERVRDAAAKDGAMLVKNTADNIDEAAKELPDANLRPEDLWANHSKPVLPKPHMKDTASWRAIQKVLESGESIDLKHFRPVKPLGSGDTGSVHLVELRGTGEYFAMKAMDKSVMLNRNKVHRATAERQILDMLDHPFLPTLYASFQTKTHICLITDYYSGGELFMLLDRQPMKVLKEDAVRFYAAEVVTALEYLHCQGIIYRDLKPENILLNREGHMSLTDFDLSCLTSCQPQVFLSEESDKKKRRKSRGSPIFFAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQRTFANILHKDMRFPASIEVSLAGRQLMYRLLHRDPANRLGSYEGASEIKRHPFFRGINWALVRAATPPKLAQVEVEVEVEAPLQLQETAAADALTDMF >KQK93427 pep chromosome:Setaria_italica_v2.0:VIII:1055027:1066999:-1 gene:SETIT_025830mg transcript:KQK93427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSYRLHCIGRKKQRGIPHRARPPCHQHTRPTITRRQAGMPLPRGGEVDRRSGGGGGDGDGDEEAWRRWAVLVATVWIQAVTGTNLDFSAYSSALKSSLGISQGALNYLATASDLGKALGWSSGLALLYMPLHGVLLVSAALGLAAYAVQYCCLVFLNPSSLAVRYPLVFLVCLVAGCSICWFNTVCFVLCIRSFSASNRPLALSLSISFNGLSAAFYTLFANAFSPTSPSVYLLLNAILPLAASILALPAILLCHTHDNHLQSVPRYDRRVFLGLYILAFITGIYLVVFGSLNTTRSAAAWVILTGAMVLLALPLIIPACSSCSYVDTHSIDSASHNDDPHKPLLVGNHLQNESNAVMEKAMEQQLQGSSCGTILDKGRLVVLGEEHSAKRLIGCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSQLTMLLAVYSSFSFFGRLLSALPDFLHRKVSLARTGWLAAALVPMPMAFFLMRKQQDGSTLAVGTALIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLYGQIAALVYDANGQRMRVMDNHTGMIDTMIVCMGVKCYSTTFFVWGCITLLGLASSIVLFIRTKPREGLDAEQRGNEGSDRRTEAGLDHEELHGGAYGGPDRRDLQVPAREPHGMIRPQPAAAALIRVPSPGINRVSLPCCHRRHRPRPRRTTPWWRPPRCSRKGKPVVTDVVEEEAPRGPETQREDDEEAEAGSLRGALGWLRLDGVAADIISIAVPAVLALAADPITALVDTAFVGHIGSAELAAVGASASVFNLVSKLFNVPLLNVTTSFVAEQQAVDANSSSITGQNEFLTPQKKASQQKKVLPAVSTSLALAAGIGLLEMVALIVGSGTLMNIIGIPVDSPMRAPAEQFLTLRAYGAPPIIVALAAQGAFRGFLDTKTPLYAVGAGNLLNAILDAVLIFPLGLGVSGAALATVSSEYLTAFILLWKLNNEVDLFSWNIIGDGVIRYLKSGGLLIGRTIAVLLTLTLSTSLAAREGPVPMAGYEICLQVWLTISLLNDALALAGQALLASEYARGNYKQARMVVYRILQVGGVTGVVLAATLFVGFGSLSLLFTDDPAVLDVAQSGVWFVTISQPVNAIAFVADGLYYGVSDFAYAAYSTFFAGAVSSVFVLIAAPNFGLGGIWAGLTLFMSLRAIAGFWRLGSKGGPWEIIWSESE >KQK93426 pep chromosome:Setaria_italica_v2.0:VIII:1055027:1066806:-1 gene:SETIT_025830mg transcript:KQK93426 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPRGGEVDRRSGGGGGDGDGDEEAWRRWAVLVATVWIQAVTGTNLDFSAYSSALKSSLGISQGALNYLATASDLGKALGWSSGLALLYMPLHGVLLVSAALGLAAYAVQYCCLVFLNPSSLAVRYPLVFLVCLVAGCSICWFNTVCFVLCIRSFSASNRPLALSLSISFNGLSAAFYTLFANAFSPTSPSVYLLLNAILPLAASILALPAILLCHTHDNHLQSVPRYDRRVFLGLYILAFITGIYLVVFGSLNTTRSAAAWVILTGAMVLLALPLIIPACSSCSYVDTHSIDSASHNDDPHKPLLVGNHLQNESNAVMEKAMEQQLQGSSCGTILDKGRLVVLGEEHSAKRLIGCVDFWLYYTAYFCGATVGLVYSNNLGQIAQSLHQQSQLTMLLAVYSSFSFFGRLLSALPDFLHRKVSLARTGWLAAALVPMPMAFFLMRKQQDGSTLAVGTALIGLSSGFIFAAAVSVTSELFGPNSIGVNHNILITNIPLGSLLYGQIAALVYDANGQRMRVMDNHTGMIDTMIVCMGVKCYSTTFFVWGCITLLGLASSIVLFIRTKPREGLDAEQRGNEGSDRRTEAGLDHEELHGGAYGGPDRRDLQVPAREPHGMIRPQPAAAALIRVPSPGINRVSLPCCHRRHRPRPRRTTPWWRPPRCSRKGKPVVTDVVEEEAPRGPETQREDDEEAEAGSLRGALGWLRLDGVAADIISIAVPAVLALAADPITALVDTAFVGHIGSAELAAVGASASVFNLVSKLFNVPLLNVTTSFVAEQQAVDANSSSITGQNEFLTPQKKASQQKKVLPAVSTSLALAAGIGLLEMVALIVGSGTLMNIIGIPVDSPMRAPAEQFLTLRAYGAPPIIVALAAQGAFRGFLDTKTPLYAVGAGNLLNAILDAVLIFPLGLGVSGAALATVSSEYLTAFILLWKLNNEVDLFSWNIIGDGVIRYLKSGGLLIGRTIAVLLTLTLSTSLAAREGPVPMAGYEICLQVWLTISLLNDALALAGQALLASEYARGNYKQARMVVYRILQVGGVTGVVLAATLFVGFGSLSLLFTDDPAVLDVAQSGVWFVTISQPVNAIAFVADGLYYGVSDFAYAAYSTVLIYTPTQSHYFISNTTTLSCH >KQK94506 pep chromosome:Setaria_italica_v2.0:VIII:19892863:19893109:1 gene:SETIT_028035mg transcript:KQK94506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRLESIPVYVGAESGIQICW >KQK95332 pep chromosome:Setaria_italica_v2.0:VIII:33405891:33407066:-1 gene:SETIT_027615mg transcript:KQK95332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAIGISKTAVEALLNKVKTAIKEEAEQLQIVERDISFIKDEFEMMQSFLIKASSGEGMKNQVARTWVRQVRDLSYDTEDCIDYVVLHLDKKRSFLQRLLRFNMLQKPLTLDQAVAEIKRLRARAEEVNQRNMRYNQIGNSKEQVQQTTAANQMVLDIIKKPTDAFDNQEDILDLTRLIKMEDKGLQVISVCGTGGDLGVISIIKKTYEDPEICKMFECRAWVKLVRPFNPHEFIRSLLAGFYANSPSQEPELGSGNSHINGGPGKRLFSILTLAEFAKKLVGTQDVHFLGAKVLETMKATQDNINTDYMEIIKKKAYLIVLEDLSSIEEWNAIKTFMPDLRNGSRIVVSTQQLEIASLCPEQTNHGLLREFSTDHSVYAFFKALPRKHQ >KQK94808 pep chromosome:Setaria_italica_v2.0:VIII:27384663:27385738:-1 gene:SETIT_027176mg transcript:KQK94808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAGVVLKQHLLLKFVLHILHLIVLTRTMSLHSGTFIYTQLIVADLDTSMHDGKWLQLRNEIPPFPTVAALHRE >KQK95390 pep chromosome:Setaria_italica_v2.0:VIII:34058531:34061814:1 gene:SETIT_026225mg transcript:KQK95390 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDPASASTKKRSKKRKAADGEANSSKTLEPPPEADLQTPATPATASASAKKKHSKKRKATEASTDASTEAAGDASTEAAADASTKAAVDASLNVTVDASLTGGRTAAAPAPAVAYFPTGYDPLAAAAVAAEEDESAPNARLFRHEKHPTWVDLVVGSSGGGPDFVGRSYAGEAATPQLCEYALGVLDKASGTLRVVPIAANKILRLEPHLEVQQPAHSQHSEVASEAGSVAGNDELKVQDLTMMYGTKTDRDKDNKWRSLNEQRNDPSAYEDIDLGTSNVHTNDNQEPVIVRNIPPYDPTADTSEKAYLLDEIIPKNMRQHLLEIVDHFESGQISSKGYSSFVSNRVQKLQELQGQDKERLAWILSYIQHLLSLYERNGCMSKHHRKHRKENKANHGPGTPLAVYRNLLLIFTEPGSSSMSSEKNELLINYILVLTLFADDFRSDPKDICADLKMTRQMLKPYYDQLGCKSVSAGAFKSTFMTLPAPLNFPQDVTRRKRRR >KQK95389 pep chromosome:Setaria_italica_v2.0:VIII:34058531:34061814:1 gene:SETIT_026225mg transcript:KQK95389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPDPASASTKKRSKKRKAADGEANSSKTLEPPPEADLQTPATPATASASAKKKHSKKRKATEASTDASTEAAGDASTEAAGDASTEAAGDASTEAAADASTKAAVDASLNVTVDASLTGGRTAAAPAPAVAYFPTGYDPLAAAAVAAEEDESAPNARLFRHEKHPTWVDLVVGSSGGGPDFVGRSYAGEAATPQLCEYALGVLDKASGTLRVVPIAANKILRLEPHLEVQQPAHSQHSEVASEAGSVAGNDELKVQDLTMMYGTKTDRDKDNKWRSLNEQRNDPSAYEDIDLGTSNVHTNDNQEPVIVRNIPPYDPTADTSEKAYLLDEIIPKNMRQHLLEIVDHFESGQISSKGYSSFVSNRVQKLQELQGQDKERLAWILSYIQHLLSLYERNGCMSKHHRKHRKENKANHGPGTPLAVYRNLLLIFTEPGSSSMSSEKNELLINYILVLTLFADDFRSDPKDICADLKMTRQMLKPYYDQLGCKSVSAGAFKSTFMTLPAPLNFPQDVTRRKRRR >KQK94657 pep chromosome:Setaria_italica_v2.0:VIII:24215000:24215621:-1 gene:SETIT_027361mg transcript:KQK94657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATALKLLIVALFFSVSLQGTSGERCGTSSIQVQTINTGETASSGDMEFEVEVKNLCSCSVRNVRLDGGGFATTVEVDPAVFRADDGGVYLVNGGGPIESMGAVSFRYAWDHFFQMTPRSLEVDGQC >KQK94972 pep chromosome:Setaria_italica_v2.0:VIII:29398922:29400278:1 gene:SETIT_026785mg transcript:KQK94972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLSALLLLLVAAVAASAQYQDDSVGTAFFLDKDLYPGSKMTLHFTLAAAGAALPRALADAIPFASARIQEILSRLPVPAGSPAAAAVRSTLAACEAAPVPGVEALRCVTSPDSMADLAASILGTRNIRAAATKLTSTEGATTRQEYTMVSVRPLPVAGGDMVACHRMPYPYAVFACHATTAAVYAVSLAGADGTTAKGEALAACHADAFPGISQSAYEKLGVEPGSVPVCHFLPQDSMLWMRN >KQK95370 pep chromosome:Setaria_italica_v2.0:VIII:33845355:33846350:1 gene:SETIT_028365mg transcript:KQK95370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRRSCWSSNIGGCRCDCDYTNSSAGTVSLILRLSSGNTRAPLNARFKFSLLDREVRPVPCRTRACSFQNWSPHEGWEWVCSDFITREDLDRQKYLDDGSFTVSCDIAIKPFTAKATDAFVTVPPSDLHQHLGNLLACEDGTDVTFKVAGGGGTGTFSAHRCVLAARSPVFRAQLFGEMKEGKEAATGGVVIAVDDMEAHVFRSLLHFVYTDSLTETDEELEQHDGDGYMMTAHYKDLLVAADRYGLERMKLICQEKLCRRIRADSVARMLALADRHHCPGLKEACFDFLSSSTNLEEFIETDGFEELTDTCPAVLKGLLDKLATVLIFE >KQK95619 pep chromosome:Setaria_italica_v2.0:VIII:36594757:36597489:1 gene:SETIT_027928mg transcript:KQK95619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIGVVFFLYAIVPPAAMAAVVEHTFVVSQVKMTHLCNETLVTVVNGQFPGPAIEVTEGDSVTVHVVNESPYNLTIHWHGVKQRLNCWADGVPMITQCPILPNQNFTYRFNVAGQEGTLWWHAHVSFLRASVHGALIIRPRRGASSYPFPKPYKEIPIIIGEWWQMDLARADWAIAHSVDVYFGASTINGKLGDLYNCSGALEDGYMLDVEPGKTYLLRIVNAALFAEYYLKIAGHKFTVVAADANYVSPYTTDVIAIAPGETVDALVVADAAPGRYYMVALPNQSPEPDPQSPVLITRGILQYRNVQEALGDSSSSEMAVAPEMPDDQDLLTSFYFHRNLTSLHHPQRPLVPTRVDEHLFITLSLGSICRRGQSCKRGGSDETFTVATMNNVSFQQPAVATPLLELHYYNTNSMDMLQDLPDKPPMVFNYTDQALIPPGPKEAKLEPTSKATVARRFRQGSVVEVVFQGTALLQSESNPMHLHGHDVFVLAQGEGLYDAARDTAWYNLVNPPIRNTVHVPRLGWVAIRFVADNPGIWYMHCHFEFHMTMGMVGLFIVEDGPTVDTSLPAPPADFPSCGHI >KQK94298 pep chromosome:Setaria_italica_v2.0:VIII:12745063:12745630:-1 gene:SETIT_027076mg transcript:KQK94298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVVYRGDHELHVLCPDARHHGDMHAPCKMQNRNRRREYDQPINLLQHRSIGGHMHGFLLPWSMCSLAWVRLRRGLRAMSADREPPMMRWWRQGPLGSGVSWC >KQK95347 pep chromosome:Setaria_italica_v2.0:VIII:33624186:33626130:1 gene:SETIT_027350mg transcript:KQK95347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQRTTTHNIIFKTDHYPINTSLKTTPKQHASAHHRAGGPDPCTPATDPSPQRWGRGAAMRAGQTPPSGWPRPMHASHGSKPPTMGPRGGNAGRPVALLSAAPQTDGSSRSTPDQVYHATKSARGASGEPPGTQRGRSTAAPGRRRRSRTPIAASTSSLLAGRGSPPSSVAMSAAVSPSCMLLEPFVFRKDDDESFPDEGEAPIRATGTTSWGAQFRIAFSLAKPPQISRLYAQLPVPGFLDRNVASPLSIVATHRHLALVRVATWTSEMVTVQNFFIFTADEGPSSSLKALPPCTEPEFDYTRHSVRVPRRRRLPDGTPRMLHVGNLGFWCRGKEFVVAELTFFKPINHDKVFADICLLHSDRDQLGATWKSMRVEFLSTDDPDDADLFQISWWCTEAVIPFDKWLCWIDYHRGILFCDMSKLPNPPTVSFIWFPLDRLPISGNRTGTSTMCYRAVSVVDRGRALKFVNITRHDGIPFEALKPGTGFTITCHTLVLGRGSMAWKEDYTVTSGELWEANTPERLPRCILMFPQVDIDRPHVVHFLYIEFGYYARKKMWVVSIDMSTKTVESFSLYINGHEGLETDDADLIDLIERKSVSPWPFLPCEFPKFLNLS >KQK95031 pep chromosome:Setaria_italica_v2.0:VIII:30060742:30061490:-1 gene:SETIT_028124mg transcript:KQK95031 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDISSRAERMNLSCLCNLLFRALAKIFASSTCSLMKTLLQLDEFLHPSPVVAPTAGSELPWLPQDILMDIFALLETPDLVRAGSVCASWRAAYTSLCATEHCKLQRTPCLLYTSESMGERAMGLYSLAEKKAYTLTLPDPPIRTREVIALVNPITGDQIALPSVTTIEQVEPIFDDAGTLCNYEYLWYTGEDWLYDKPSILDLSKLRDKFDKAFLSSDPSTGDYFVVLILLD >KQK94066 pep chromosome:Setaria_italica_v2.0:VIII:8382222:8383568:1 gene:SETIT_027037mg transcript:KQK94066 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRSGAMDGVGTSRQRRNPVLVRPNAAKRHLQQRQQEADLNDRKVIASTYFSIGAFLVLACLTVSLLILPLVLPPLPPPPSLLLWLPVCLLILLIVLAFMPTDVRSMASSYL >KQK94118 pep chromosome:Setaria_italica_v2.0:VIII:9017861:9018262:1 gene:SETIT_028109mg transcript:KQK94118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HCSLPGHSHRGRCRPRPHLGSLTGAVGCERYNFTTGILPEGVAGYVLRPNGSFEVYLPGNCSFRVDSVRVRYSSRVASSIRPASIANIEGAKVLLAWVGVTEVDRNDDQFWFSAGPVPKLFPVDTFAHSPQCS >KQK95919 pep chromosome:Setaria_italica_v2.0:VIII:39606355:39608781:1 gene:SETIT_028617mg transcript:KQK95919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEIICGRENLDESLPEENIHLISLLQEKARFGQLSDLVDSSSNDMGLHMQVVVEAMKLAMWCLQVDSSRRPLMSTIAKVLEGVMSMEEIPDCTLVPSFASNNTVGAGSSYLPSESYLSGPR >KQK95117 pep chromosome:Setaria_italica_v2.0:VIII:31106958:31110484:-1 gene:SETIT_027905mg transcript:KQK95117 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RYFGTTERPINLFNFACRRAEAKRKKKQHNKSQGLSPFQTAGALAEPSASHLSWPPVSSSSRVIPDSEAPAPTSWREVGLSPEGERDGGVGSPKSHAAMYSRARLSSIFRTTASSASPPRAPHLALAAATERVRSGTFGPEDARHLLDELRRRGTPVPERALNGFLAALARAPPSTACRDGPALAVTFFNAMSRAAGRRVLALTFCTYGILMDCCTRARRPDLAPAFFGQLLRTGLGVDVITFSNLLKGLCEAKRTDDALDVLLHRMPELGCVPNVVSYSILLKSFCDNRDSRRALELLRRMAEKGSGCSPNVVSYSTVIDGFFKEGEVDKGCNLMNEMMQQGISPDLVTYNSSIDALCKARAMDKAEAVLRQMVRKGVRPDNWSYNNLIFAYSARGEWNEAGQEPDTVSYLILLDGYATEGCFVDMTDLFNLMLGDGVAPDVRIFNVLIKGYAKCGMLDRAMIIFSEMRHQGAKPDAVTYLTVIAAHCRMAKMDDAMEIFNEMIDQGVAPSIATYQCLIQGFCTHGGLLKAKELVFEMMSKGMRPDIVHLNSIINSLCKEGRVVDAQDIFDLLVSIGLHPDVFAYSSLVDGYCLVGKMEKALRVFDAMVSAGIEPDVAVYGTLVNGYCKIGRIDDGLSVFREMVHKGIKPSTIAYNIVLDGLFQAGRTVSAKERFHEMIESGISVGTDTYNTVLHGLCKNNSFDEAIGLFKKLQAMNVKIDIITINIMIAVMFKTRRAEGAKYLFASIPASGLVPSVETYDLMMTNLIKEGLPEEADDVFSSMENAGFDPNSRLLNHVPSPSGMMLCHASEQQQAPPSSDLAWVSLDLELSVASLSFLVTNLLPTLGGQEEGERGQGTNNGAAGKVAVVSSWGLR >KQK95448 pep chromosome:Setaria_italica_v2.0:VIII:34514266:34514772:1 gene:SETIT_027056mg transcript:KQK95448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPSAMACAAALGLLLLLAAAATATDAAAAAAAAAAAAAACNPGQLTPCAGPALFGGAVPAACCAQLRAQQACLCGYARSPNYGSYIRSPKAARLFAVCRLPMPRCG >KQK94877 pep chromosome:Setaria_italica_v2.0:VIII:28273783:28274632:-1 gene:SETIT_028269mg transcript:KQK94877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLTKLGWHNLYTNFKQQTGRKYSCKQFQNKFNAFKRQYKDWRKLKDKSGTKWNNSIHTTDCDDEWWTARIGENEANKIFHGKAFPFYDELTTLLGTTDTEGGPMLCVGAIGDRTPSCGSKGNPDPMADENDAWLEDNVGRSSVGRVSQRSGKEHVVDSPPPKRSKSMEYYVERISESMIQRTMNERNLISHEEEDVMEMLHLVEQDGVPNGFELYFIATELFRSPARHASYRSITAVENQIAWLR >KQK94429 pep chromosome:Setaria_italica_v2.0:VIII:16187571:16195509:-1 gene:SETIT_026408mg transcript:KQK94429 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVLRRAQPLLRRLLSARSPSPASSALGCCNQNVLCTVQLRSLSAEGREQSKAEEHGKKNIKQNDFALQQALDQITSAFGEESIMWLNHAYSRKGIPVISTGSFTLDTALGIGGLPKGRVVEIYGPEASGKTTLALHVIAEAQKTGGNCAFIDAEHALDPALAESIGVKAEHMLLSQPDCGEQALGLADILIRSGSIDVVVVDSVAALVPKTELDGEMGDAHVALQARLMSQALRKLSHSLSRSRTILLFINQVRSKLSTFSGFGVPAEVTCGGNALKFYASVRMNTKRISLMKRCEEAIGAQIQVKIVKNKHAPPFKTVQLELEFGKGLSRESELIELGCKHNFITKSGVFYHMNGQTFQGKDGIKHYLAKNRDAQEDLMTMLREKMMQNESQLDRHEEGVKPYKSASEEIASATDEGVNDELEA >KQK93468 pep chromosome:Setaria_italica_v2.0:VIII:1295732:1298776:1 gene:SETIT_026484mg transcript:KQK93468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSLEEPLGLGDLPKLSINRLGSLSSPRAYRRAEADDINNRKYNNSCNGSTQMVFHGNSHAWHPQCRQADSSCDAVELRDLPRKVMWDLPSFVKIVEVGPRDGLQNEKGNVPTSVKIQLIHKLVAAGLSVVEATSFVSPKWVPQLADAKEVLKGIQQVPGVRYPVLTPNLRGFEAAVAAGAKEIAVFASASESFSKSNINCTIEESLVRYRDVTAAAKKHGLLIRGYVSCVIGCPVEGAIDPSKVAYVAKELYNMGCSEISLGDTIGVGTPGSVAAMLEAVMSFVPVDKIAVHFHDTYGQALANILVSLQMGISIVDSSVSGLGGCPYAKGATGNVATEDVVYMLHGLGIETNVDLNKLMEAGDYISRHLGRPVGSKTAAALRKHLSP >KQK94772 pep chromosome:Setaria_italica_v2.0:VIII:26684738:26686893:-1 gene:SETIT_026412mg transcript:KQK94772 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHLDEDTRALEDEQYRQDKIESHFVNKINHVLENHSGFGMKVLILQLYPCANIDASYFDKWLRIAVKPGIEELALEMAVFNKRADYNFPIFLLSNEIGGATLESLRLTSCAFHPTASLGCNRSLTSIYLSFVRITGEELGQFVSNCFALARLSIYKCNDLICFKAPCVLHHLNHFHVTQCKMLQVIEIRAPKLSTFDCGDNLMQISLGAEVKHIRMIGSKPNTLCHARAEIPCFMPAVERLTVESICEKVKTPIMSSKFLLLKYLDIFLVDVQLYRQDYFSLVSFLEASPALETFMLRVETGYVLRSDSVLKDLDKDQLHLRQMPECLHYNLKNVMMTGFSSAKSLIELTSHIVRNASALVCMTLDTAWGCGRRTTKTDKCEHMSKEGLMEAQRALEAVNRCIEGIVPSSTNFKALEPCCQCGG >KQK95093 pep chromosome:Setaria_italica_v2.0:VIII:30696453:30697345:-1 gene:SETIT_027111mg transcript:KQK95093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLNVNPATAAQCGCCVSTRAKACCFACIAAGSPDFICKNTCCFPCTLTDSVVAKMEEMGVLAKMEEAGQA >KQK95611 pep chromosome:Setaria_italica_v2.0:VIII:36400609:36404930:1 gene:SETIT_026136mg transcript:KQK95611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSLPVAATAALIFFSVIALSAGTAIVEHTFVVTQVTMTHLCKDTLVTVVNGQLPGPAIEVTEGDSIAVHVVNKSPFNLTIHWHGVRQLLNCWADGVPMITQRPILPDHNFTYRFDASGQEGTLWWHAHVPCLRATIHGILIIRPRHGAISYPFPKPHKEIPIIIGEWWDLEDLGQVDRHLRHYVADDYFKASTINAKLGDLYNCSGVVEEAYKLDLEPGKTYLLRVLNAALFSEYYLKIAGHKFIVVAGDANYVSPYTTDTIAIAPGQTFDALVVADASPGRYYMVAMPNQPPKPDYQSPVLPTRGVLQYSNGAGGNQPGDVPMSPEMPDNHNHMLSFYFHGNLTSLHHPRHLPVPKRIDERLFITLGLGSVCRQGQSCERGAESDEVIVVATMNNISYELPTVSRPLLEAHYQNPSNIDWLQELPDVPPRVFNFTDNSLIPTGPKEEQLEPTSKAALARRFRGAATMTWKGMWRSTT >KQK95610 pep chromosome:Setaria_italica_v2.0:VIII:36400606:36404930:1 gene:SETIT_026136mg transcript:KQK95610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSRSLPVAATAALIFFSVIALSAGTAIVEHTFVVTQVTMTHLCKDTLVTVVNGQLPGPAIEVTEGDSIAVHVVNKSPFNLTIHWHGVRQLLNCWADGVPMITQRPILPDHNFTYRFDASGQEGTLWWHAHVPCLRATIHGILIIRPRHGAISYPFPKPHKEIPIIIGEWWDLEDLGQVDRHLRHYVADDYFKASTINAKLGDLYNCSGVVEEAYKLDLEPGKTYLLRVLNAALFSEYYLKIAGHKFIVVAGDANYVSPYTTDTIAIAPGQTFDALVVADASPGRYYMVAMPNQPPKPDYQSPVLPTRGVLQYSNGAGGNQPGDVPMSPEMPDNHNHMLSFYFHGNLTSLHHPRHLPVPKRIDERLFITLGLGSVCRQGQSCERGAESDEVIVVATMNNISYELPTVSRPLLEAHYQNPSNIDWLQELPDVPPRVFNFTDNSLIPTGPKEEQLEPTSKAALARRFRYGAVVDVVFQSTSMLQSESNPMHLHGHDMFVLAQGSGNYDMERDVAKYNLVNPPLVNTVLVPRLGWVAVRFIADNPGVWYMHCHFEFHQSMGMIALFIVEDGPTANTSLPSPPVDFLTYGDDNNLMPDEYYL >KQK94545 pep chromosome:Setaria_italica_v2.0:VIII:21611517:21611972:-1 gene:SETIT_028407mg transcript:KQK94545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKGSAAGMGCGSLPVDVPPASPLTELVRFWRGPLCSDPAR >KQK96000 pep chromosome:Setaria_italica_v2.0:VIII:40386718:40388481:-1 gene:SETIT_028095mg transcript:KQK96000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARPYYRRWSPWLVSAATVACVALFLVTMYVNDCPKHNSNCAAGFLGRFAFQPLKENPLLGPSSATLVKMGALHVYKVVHGRQGWRLITCMWLHAGVVHLLINMLCLVFIGIRLEQEFGFARIGLVYLISGFGGSLMSALFIRSSVVSVGASGALFGLIGSMLSELITNWSLYANKAAALASLVLVIAVNLALGILPHVDNFAHIGGLVSGFLLGFVFLVRPQFAWLDHQRMVAAAAAAAAASGGAPPPPPPAGAAGGAVKRKHKTYQYVLWAAAAVLLVVGFAVATVLLFRGYNANEHCSWCRYLSCVPTKRWKCDSSPT >KQK93762 pep chromosome:Setaria_italica_v2.0:VIII:4141720:4143414:-1 gene:SETIT_028244mg transcript:KQK93762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKPRPFLPHILLSLIIANAGADDVPLPPQEPTPAAASFPGEWQLLHASIGVSAMHMQLLPGDFVLMFDRTDTGPSNISLPALAPCAAAADGPADCTAHSVLLDLRSNVLHPYPLATNPWCSSGALLPNGTLLQTGGFSNGDRVARLFSPATGWVELPSALAARRWYATDMILPDGRVLVLGGRRQFNFEFFPHDAAAPAAALTFFPFLDETTELDTEDNLYPFLHLLPDGTVFVFANDRAVVFDPYNRAPLRRLPAVPGGVPRNYPSSGSSVLLPLRPDAPAHAEVLVCGGAPRGAYRLALRNGTFVPADRTCARIAPTDMDPAWAVEEMPMARVMGDMVLLPTGDVLIVNGAAAGTAGWELGREPVTRPVLYRPDAQLGARFEALAPSATPRMYHSSAALDTYGRVLVGGSNPHVGYVFTNVTYPTELSLEAFLPPYMDARLDGARPRVMAAPAEVGYGEASAVRFEVPGHVAGGAEAAAGEVRVAAVAPAFATHSFGMNQRVVELAVGRVAQLDVGVYEAEVAAPPTPGVAPPGYYLWFVVHAGVPSSAAWVRMRPLGPAT >KQK95553 pep chromosome:Setaria_italica_v2.0:VIII:35564934:35566525:1 gene:SETIT_027997mg transcript:KQK95553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTVVLIVGAGPAGLATAACLTQLSIPYVIVEREDCSASLWRNRAYDRLKLHLAKEFCELPHMSYPADAPTYIPKDQFVKYLDNYIERFDIRPKYHTAIESCSYDEVRKCWFSMARDVTTSVAVRYTSKFLVVASGENSAENIPMIPGLQSFAGEVIHSSRYKSGSSYSGKNVLVVGCGNSGMEIAYDLASHGANTSIVIRSPVHVVTKEIIRLGMTLVQHTPVNIVDDFLVRMSNFVFDDLSRHGIVRPKLGPLLLKAETGRSPVIDVGTVGLIKNGTIKVLGNISKIKGNIVEFEGRKESAFDAIVFATGYKSTANMWLKNGESMLNNDGLPMKEFPNHWKGANGLYCAGLAKRGLAGIAMDAKNIANDISSNYHA >KQK94273 pep chromosome:Setaria_italica_v2.0:VIII:12213084:12216968:1 gene:SETIT_027842mg transcript:KQK94273 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTACIWTSAQWSHTLTPNRRASYHGFARSISLVPQRRRRSTLYVTNAASTSAPVSSQNITQLPRTKSISSDKPSSALEQLDIERGVCIPFRKYTPEMVRKKVMDSRGSILSLASRGVEIIWKLGFYWSSLVYDFLVGRDEEIVPRQLRNLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDAPPVPNQVAFAIIEEELGQPLERLFRDYRDLFLFRTLASFLNGISLQKLGCNAEFIVDEFGEKLLQELDYTLEATNIEDFLENLKDDPTVKIPRVYKQPSGSRVPVMEWIDGIRCTDPQAIKEARIDVEGFLTVGVSAALRQLLEFDLFHGDPHPGNIFAMRDGHISYVDFGNVAILSQPTLYLIMLRQNIFLLKGFLLCCELMIALSCICTLSKETKSDVVVHAVNEGYAEMANDFTRLGFLASGTDFNQLVYNYPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYLYVAKRLLTDPNPAMREHLIQVLFKDGAFQWKRLENLIVLAKENVSKMSSNPALKKNSSQAMRGRQQESKLDLSETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEECSMDECNSFLLLAAYLPSVAPDFMLSWSDSILSDRQY >KQK94942 pep chromosome:Setaria_italica_v2.0:VIII:29025981:29028008:-1 gene:SETIT_026812mg transcript:KQK94942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVYKGILDDNTVVLVKRTSRAERACTRKYLMNEGMILSQIAHKNVMKLLGYCLEDRQEDFPLYLRVKIAVKIAEALEYLHSSTTGIIGLGNVAPSNILLDDNFMPKLSDFSGACKLIKESETNACGSVLSSCFLFVGFNKIRNDVYRFGVVLLALINKWYFPSEKDGIDLKAFFHIRATEDITVLEEIGRLALKCMEENDETTMSEVAERLRMLRKSWKNIAKGTSYTAI >KQK93392 pep chromosome:Setaria_italica_v2.0:VIII:915341:918209:1 gene:SETIT_027969mg transcript:KQK93392 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIARWLRYSPPPPLLPDTSPTTSLTPAPTPGTAATFSSPASPSPASGTSSPACCPAATGCSKAQRWIDGSPSSAGSGASPISFKEALLKDVSPAVVRRGNRVTSPASSVRVVLAPALEAPPPRAVLAPSRQVLPTRFVLRPTASPGVRREPDTEGWRTVESRRARRARLRVVRPPRRPVPSAPPRQKDGAEAGAPGRRRQRRSRGRRADGGIGQSSSSVPVTSPVEVVAGHAPPSSSSNDDQDPVVRPRKIIDRSAALIQREDELSRALVVSVFGNALDDSPESIKATIAQRFSLEDEELIIHCFGASSFLMTFANADSATRVYNEGRPIITHTHRLHVRRWSRLLHSAAAVLSVPVEIELRGIPAHAWDLATAEHLLNEYCWIEGLNPDTENCRDVFRVTAWCSSPSGVPEGLELGIVEPLVAGVHPHEGRRCLDYQIEISCSPVDLHPPADAPPPLPRADQQGGRHRRRWRRRRGSLAESPSSSAPGSSAKARFPVHDRLGSIGASCNLLSPNATIDVAPAVNCGPPPEEFIRDGASPGSKLPACPEEPACVAPAEVVTAARGSPEAPRFNALAPDDELQPADDFPGISILRLTAPAACGPALDGDGPLVISHADPDGLLAQLPVDGPIMEIFLTGHHVRPSTPIQQLSVSTATPFQTQDQMAAPHTLTADGSRVGTLKPPPTSETSREVTVSNVSTPAAPILSKVCKPTEMLLPQPVIHKRRAKAAAPGSLPRRSRRVAGVDPCSPRPITTASQRREKMDPKTQDEYFKIMGSRLADTHVAAMAAIFGWSLEEDS >KQK94340 pep chromosome:Setaria_italica_v2.0:VIII:13418115:13419464:-1 gene:SETIT_027803mg transcript:KQK94340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNGDGLTTTEEAVARVAAATVVGATTSLGASNVYPFSQLSRSRPPSVHVASSSDDSSSSDELEGEDLEAKKRRKEKMKAKIEKKAIKLMKKRIKEESDKHPFFGYHQVPSNYPPPSSQYPSSQFQSVHLGKPPYFDGTDYPKWAYDMKMHLYGLQPSIWEVVVVGVTPPKNGIPMAEQAQDYFRNAQAVRVITGSLCAQEFNKVRSIEIAKVIWDTLKEAHEGTDQLEYFIMLEEETMTQMFDRLMLLVSDIRILGSTDWYDHKVTKNMLRAFTPRNRTLATMIRRDPSFKTKTPNQLLGEILHQELVERDVAKSLSMRMNKSLALNTSSSTMIESCPKALKSKKEDSSEEGSTDEETAFAIRNYKKFLKKKAFKKNGDDRKKTSQRRCYEHKKVGHFIADCPHKKKKEMEEKRFKDKSKDYKKKYQGQAHVGQE >KQK95510 pep chromosome:Setaria_italica_v2.0:VIII:35168943:35169639:-1 gene:SETIT_026898mg transcript:KQK95510 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHQDMEREQMRMAILKQEQIFRQQVHELHRLYHVQKQLMQQMPTTALPNRAPVIADVKPKPQLDIWHSEKATNPQQFISFSSYKAHAPSPAPAPAPSEECNLELTLATGPSSSNSCNAERQQGKRLKSSSNSDSGTTAVSSTSTDSELAQFREVDVTAARFPGESRRMDQMGQGPWMYQCLSLKTA >KQK95509 pep chromosome:Setaria_italica_v2.0:VIII:35168499:35170246:-1 gene:SETIT_026898mg transcript:KQK95509 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLHQDMEREQMRMAILKQEQIFRQQMPTTALPNRAPVIADVKPKPQLDIWHSEKATNPQQFISFSSYKAHAPSPAPAPAPSEECNLELTLATGPSSSNSCNAERQQGKRLKSSSNSDSGTTAVSSTSTDSELAQFREVDVTAARFPGESRRMDQMGQGPWMYQCLSLKTA >KQK94257 pep chromosome:Setaria_italica_v2.0:VIII:11995207:11995970:1 gene:SETIT_0273292mg transcript:KQK94257 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTLTNPKALSDPPAARPRWVMLNPYVDNHKDSDLFAADAKTRAASCSSSGQFFSVSFVLAPPPESSRYYCDWIGGAPGDGNDSRHQILPDRDESKSLRIIAAHVDSLLIQIWFPKRRHGFRNANTCDYFLYETGGGARPPTLPLLPGCYVSKQLEREKDARHDPTPRGEGRPRSLDKGDTGMLPSDEGELLVALLEVMYDVDRGQHDTAELSVLRSGRREWELKQLPIEHLEHHEGGELPQWPELQAAVPVGV >KQK94531 pep chromosome:Setaria_italica_v2.0:VIII:20831527:20831950:1 gene:SETIT_027154mg transcript:KQK94531 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVKGDSPFIPSPEEYAKAALRCIGYEARCVPYWRHSVQWFLASLVPDAALNQWRLQIGIRKRNETNKALAGVKKVLG >KQK94363 pep chromosome:Setaria_italica_v2.0:VIII:13777240:13779420:-1 gene:SETIT_028339mg transcript:KQK94363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGSDSSVGIVPDEEKQENTLDLVAADGNYIVVDEEKHETILYVVSSDGSAIIQSQHVVVMADDIFVDYKTFKCLLRRNAYVINAYINLIRAEKHLLCRAESTIYLENTLIVGLLQRDGKNKEKIKPNIKEDSIVDRVMKYVAHDLVFLPINIEEMHWYLAVVNRKRREIQVLDSMGLMSRDDLGHVLDSLQLQIDAPDLQVSSWPVVEQFYHRMHTDGVSCGLFLLNFMEYWMGEKLSDTFSVMTNFRLKLAAILCDSTLNTAKELPDDSITDDYTFDTTEFVIENLTQLSQLTCIRYKDTAATVLSINYIYIYNIYVFHTPRMGSEFRSLIS >KQK94788 pep chromosome:Setaria_italica_v2.0:VIII:26960211:26962620:1 gene:SETIT_026774mg transcript:KQK94788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDATTAAAARRLPLPLRAAPPPRCAVSARSRRRSGPGRRVRASPARASLDRAAVLLDAAAVVAGGGTGYSQASYYTSLGLFVLSVPGLWSLIKRSVKSKIVQKTFVKEGAQSMAPNQVAGEILSFFTRNNFTVSDRGEVITFEGTMVPSRGQAALLTFCTCISLGSVGLVLSIAVPEGGNNWFWLMTLSPLAGVYYWTKASRKEEIKVKMILSDDGNVSEILVQGDDVQVEQMRKELKFSEKGMIYVKGIFET >KQK94813 pep chromosome:Setaria_italica_v2.0:VIII:27437563:27437901:1 gene:SETIT_028614mg transcript:KQK94813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLALSVHCVLVAAVATLVLLGVARWFGVDTSAGTGRRHNGSSYDALPTVASTGAMTEMEHLQGKGIVSKSVAQE >KQK95774 pep chromosome:Setaria_italica_v2.0:VIII:37924866:37928653:-1 gene:SETIT_026201mg transcript:KQK95774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVAGVEKIVKLGLAIKEAVDTVRHNEEECREIRKRVLRFSAILSQLQQTGLMNDSPALSGALEDLEESLQHALELVMACQERSTVRRLISAGELSKQLRRVKDDILNKVMLASFAINAHTTILLLTIQAGGHPLLRQQEVTGVTEASHNRVFDGHGTSELNGGRNNVLAGSRVPLLPPFREYELSELRAATNNFAYDKIIGRGGHSTVYKGVLNDGNEVSIKTFLESPDLSWARSYDIHLLVSKLQNKNIVKILGHVAHEEVQTFSSGVWFFKWKEHRVIKNEYFWVEEYMPNGSLDNIIHEPQFHWSSLFRIIEGIAQGMHCLHEQGIIHMDMKPSNVLLDSDMNPKIIDFGISEVLNDNQITRENVSDIILRNGSDDTYTNFRGTMGYVAPEYLAEGIVSKKNDVYAFGITLIQIVGRIRWFKPPELYPFDEWAWRAWESGGIEELFDPALFDESQLMEIKRCVEVGLLCAQEDPANRPNMEDVVQMLCGLKELPTPKKPQYMLETKWFDWILDSPSALSDVSLSPR >KQK95773 pep chromosome:Setaria_italica_v2.0:VIII:37924424:37928935:-1 gene:SETIT_026201mg transcript:KQK95773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVAGVEKIVKLGLAIKEAVDTVRHNEEECREIRKRVLRFSAILSQLQQTGLMNDSPALSGALEDLEESLQHALELVMACQERSTVRRLISAGELSKQLRRVKDDILNKVMLASFAINAHTTILLLTIQAGGHPLLRQQEVTGVTEASHNRVFDGHGTSELNGGRNNVLAGSRVPLLPPFREYELSELRAATNNFAYDKIIGRGGHSTVYKGVLNDGNEVSIKTFLESPDLSWARSYDIHLLVSKLQNKNIVKILGHVAHEEVQTFSSGVWFFKWKEHRVIKNEYFWVEEYMPNGSLDNIIHEPQFHWSSLFRIIEGIAQGMHCLHEQGIIHMDMKPSNVLLDSDMNPKIIDFGISEVLNDNQITRENVSDIILRNGSDDTYTNFRGTMGYVAPEYLAEGIVSKKNDVYAFGITLIQIVGRIRWFKPPELYPFDEWAWRAWESGGIEELFDPALFDESQLMEIKRCVEVGLLCAQEDPANRPNMEDVVQMLCGLKELPTPKKPQYMLETKWFDWILDSPSALSDVSLSPR >KQK94419 pep chromosome:Setaria_italica_v2.0:VIII:15435846:15436477:-1 gene:SETIT_027208mg transcript:KQK94419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDHCLHHGYGSIHGQMKFVKNHQECSSLFMWKATVTFKYDLLIHHRELAFPFCNLCYNQNDQLK >KQK94505 pep chromosome:Setaria_italica_v2.0:VIII:19850502:19855345:1 gene:SETIT_028290mg transcript:KQK94505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPNFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFSNNIFERDEANIDVDNVSMGSEDWELEEDGVVGEEDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRCILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNEVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVHVLGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCKVHTEREFREKLEDLVKDLNDDAKEWLKGEMGDKDKWAQAFDEGGMRWGIMTTNFSESINGVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKAREMLDQGYRIGQVADNYLSEAELRSVHHLAEPYGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESSMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVMAALGYPLLEAAYDLHHRAHHLADLNENLTPLRARVHSPLRWDERYAQYLQRAGFLDITVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGQPVTGIIQNENWRDMVEMHIGIRPPEPEGGDSSKKTSGVSLAWLREHFEPWHRDDALPTFYHVWKHVRPVRGNPDRRYRAYTNEFDVLTQHQVEWKPYDRQQLSQIVFSPTCYRDRELWRCTTPMILYYVVEFHMPHRVMRQFGRMQPCPPLELSTSQQLHSIDRRKRYKENDWRYIRWYCTSTRTKVKPSWTNVPIEDAPSDDDADIADAYDTMTRHGTQPERAPLHDYMGQQLARLSNEAG >KQK94518 pep chromosome:Setaria_italica_v2.0:VIII:20251644:20252249:-1 gene:SETIT_027253mg transcript:KQK94518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNDYSCGKTSIHTAANTTRKKGKVLLTFREHRATELQHQAKYANKQGR >KQK95636 pep chromosome:Setaria_italica_v2.0:VIII:36735477:36737710:-1 gene:SETIT_028198mg transcript:KQK95636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRSLPAGTVAIATGVIFFLSAIGAPGAPAAMASVVEHTFVVRQVKMTHLCKETLATVVNGQFPGPAIEVTEGDSVTVHVVNQSPYNLTIHWHGVKQRLNCWADGVPMITQCPILPNQNFTYRFNVAGQEGTLWWHAHVSFLRASVHGALIIRPRRGASSYPFPKPYKEVPIIIGEWWDMDLLKADWGIQQHVIDPYFNASTINGKLGDLYSCSGAVEDGYLLDVEPGRIYLLRIINAALFAEYYLKIAGHKFTVVAADANYVSPYTTDVIAIAPGETVDALLVADADPGRYYMVALPNQSPLPDPQNPTLITRGIVQYGNKQRAAEGEGRPSSDIPVSPEMPDQHDMITSFYFHGNLTGLHHPQHLEVPKHVDERLFITLGLGSVCRGGQSSCKRSENNESVDVATMNNFSYQQPAVATPLLELHYYNTDNCVLSMLQELPDKPPRVFNYTDPALILPGPKEAKLEPTSKATIARRFRQGAVVEVVFQGTAILSSESNPMHLHGHDMFVLAQGEGNYDATRDNTVFVPRLGWVAVRFIADNPGGSQISLVR >KQK95861 pep chromosome:Setaria_italica_v2.0:VIII:39004880:39006414:1 gene:SETIT_027720mg transcript:KQK95861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQPAAAAWAPCEFQLANWSIDQEPAAAGLPAVEYYASIDIPAGTCCLEEASVPDQYYYYSAASQHNATSDHDQKAVKISNDSRAQSVAHKVMGEFKEDIDMKEVKMHRYPACLVDLDESYTVPRIVAIGPYHHGKEHLKPAEKVKHVAACHCVRQSGRLLEELYGAFLPVADYTRDRHFYDRDVMAGINHEDFRHMMFFDACFLVQYMLIFHGTGDVDESLNGFLRPNRSDIFHDVMLLENQLPWHVVETVRFVRSARTCMLQDDHSEPAQQQTFIWYDSYRPPHLLGLVRYHIVGRGDIEKIESKPYIKYSFSAMELAEIGITLSANKTMQLLDMRLNQEGTGLFPELSLAPLSLDRDRASYLVNMAALELCTVQSFMAKKQDEEDSAVCSYLLLLAKLVYREEDVHELRVRGLLLGGGGLTNEEALRFFTGLQGLRRGPYYFRVMDQIQIYRGECKGIKTKLHGFFHNHKKTIAAVASGVVSVGGIIGTLLSIKNTL >KQK96013 pep chromosome:Setaria_italica_v2.0:VIII:40439355:40441541:-1 gene:SETIT_026402mg transcript:KQK96013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAFSSTVGAPASTPASFLGKKLRTQATAAVNYHVKSSSANRFKVMAAKDVDETKQTDKDRWRGLVNDISDDQQDITRGKGFVDSLFQAPMGDGTHEAVLSSYEYLSQGLRDYTGWDNMKDGFYIAPAFMDKLVVHLSKNFMTLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGITPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKGKMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIVVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDGVPEADVVKLVDTFPGQSIDFFGALRARVYDDEVRKWVAETGIENIGKKLVNSKDGPPTFDQPKMTIEKLLEYGHMLVEEQENVKRVQLADKYLSEAALGEANEDAMKTGAFFK >KQK95657 pep chromosome:Setaria_italica_v2.0:VIII:36935838:36936438:1 gene:SETIT_028345mg transcript:KQK95657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIIQNGKIWMNKEVMLCFEKHAERSAHLMGLENYCLDELLHQFYHHYNFTVKMKMPSSDDWEMFGRKYYFCCPLEPDKNGCCYACQSQGVEDLKHPAIGGFSKGSLDAPGFGLWYTDE >KQK93292 pep chromosome:Setaria_italica_v2.0:VIII:380159:381539:-1 gene:SETIT_027379mg transcript:KQK93292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDQLAAAARDLAAFSMQLVRHLGGHHQEETTAPNKLQTTNMAFSPMSFHAILSLLAAGATGAARDQIVSFLGPAGADAHEALASKVASYVLATHENIDWVEEDDEEEAPTPPPGVWCAMGVWPAFATVAASKYNAEARAISFRNTPAQARAEINEWFKSKTGGHFQGLLPESYISASTLLVLANALYFRGYWYDPFFPEMTRDGIFYVSPGHEVTVPFMEGNYLHEWMQIGCHPGFKVLRIAYINGKWEQSFSMYIYLPDDRDGLPDLVRELSSNPVALLHGKVVPDRKVLVGELQIPKFDVSLQADVSRLLADLGLDLTLFRPAGHSFSEMVALAEADDEDMLPPMAVPSIIQQCSVRVNERGTVAAAATELEILGFGMGGPEPVVDFVADHPFLFFIKEDRSRVVLFAGQVLDPSSPR >KQK95513 pep chromosome:Setaria_italica_v2.0:VIII:35188244:35191955:1 gene:SETIT_026686mg transcript:KQK95513 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLARAIPRPCSGGSGVNVRCRHDAPGTRPWVPGGLARGAGVKLVAVRPATTVVAAAAGERRRTAGPEPVATAAAAAADGTQLANGSVVAGISKLVTSTVGKSTNILWHECPIGQNERQMLLNQKGCVVWITGLSGSGKSTLACALSRELHSRGHLAYVLDGDNLRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGLICIASLISPYRSDRSACRNLLPNSSFIEVFLNAPLEVCEARDPKGLYKLARAGKIKGFTGIDDPYEPPSDCEIVIECKIGDCPSPKSMADQVVSYLEANGFLED >KQK94155 pep chromosome:Setaria_italica_v2.0:VIII:9914286:9917981:1 gene:SETIT_026215mg transcript:KQK94155 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYTAYSIRGPLKLAARHGSDVYYSCNGFKSSVSYPVAQGWSRNWSSVATRHVTSHCQLSVRASARWRSTLKASATDAGIVTDEKITVLVIGGGGREHALCYALNRSPSCNAVLCAPGNVGIAQSGDATCISDLDISSSNDVISFCRKRGVGMVVVGPEAPLVAGLANDLVKVGIPTFGPSSEAAALEGSKDFMKKLCDKYNIPTAKYRTFTDAVEAKNYVKHEGAPIVVKADGLAAGKGVVVAMTLDEAFEAIDSMLVEGSFGSAGSRVIIEEFLEGEEASFFALVDGENALPLESAQDHKRVGDGDVGPNTGGMGAYSPAPIVTDELKHIIMESIIIPTVKGMAAEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMMRLESDLAQVLLSACRGDLGNVSVTWSPEMAMVVVMASQGYPGSYKKGTVIKNLDKAEQVSPAVKIFHAGTALDGDGNLVAVGGRVLGVTAKGNDIEEARARAYDAVDAVDWPEGFVRRDIGWRALMHKEAVNYS >KQK93970 pep chromosome:Setaria_italica_v2.0:VIII:6884426:6888703:1 gene:SETIT_027415mg transcript:KQK93970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSALIDKNHGSRVIVTTRNVDVANLTSIDGALYELDPLSDEDSKRLLCTRIFNEEQVIHSDLEEVTTKILRKCGGVPLAIITVSSMLACIQNKTKYEWYGVYNSMGSGLEKDKSLKNMRKILYLSYSDLPSYLKPCLLYLSMFPEDYLIRRKDLIRLWVAEGFVDEKKGSNSYDLGGIYFSELINRSMIQPIGMDKNGSARACQVHDMVLDLIIYLAAQENFAMLSEGPDLKTSACKIRRLSLQGSKLDTDKEDRKEGQTAMPATVDMSHVRSLIALGDAFQWMQPLSRFSVLRVLVLELSPSKNNDPKDIFRLHHLRYLTLRGELATELLEHIGNLQSLRTLDLWGTSLEELPPSIVQLKQLERLITGKGVKFPDGIGNLVSLQQLAVLNVKESQNTLAELVKLTELRVLAVTGFDENEGLIKTFLQTLINLNNIVTLNFDGIELCSLDCMPEQWRGPAHLQSFNGGRLIFSELPRWFSSLCELSCLSIRVHVLRQVDIQLLGALPVLRFLNLLGTTEEGLVIGSDQPFRSLAEFEFAHYSRCWLVFGQGGMPRLQRLKLYFQARKRVGGGFDLGTENLTSLKHVTVVVDCDGARTREVEDAETKIRDAVDGHPNHPTLVLSRRYESSME >KQK95193 pep chromosome:Setaria_italica_v2.0:VIII:31812575:31813020:1 gene:SETIT_028442mg transcript:KQK95193 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIFNLTCLICFCLQWRSICISGKDAMTTLPLYIYSFRLCLHSAYVMHENLK >KQK95261 pep chromosome:Setaria_italica_v2.0:VIII:32765185:32765664:-1 gene:SETIT_028414mg transcript:KQK95261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENEEYYHCYSEDPSSSCSVYDVRDGKVYNPLPMVSWSPRAVLATWLLP >KQK95932 pep chromosome:Setaria_italica_v2.0:VIII:39738080:39743295:-1 gene:SETIT_025929mg transcript:KQK95932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELAASLVVGPLVSLVKEKASNYLLDKYKVMEGMEKQHELLKRKLPAILDVIADAEKQASHRKGVKVWLGVLKTVAYQAIDIFDEFEYEALRRQAKKNGHITKLGMAGVKLFPTHNRVIFRIRMASKLQRVVDAIKDLVDEMNDFGFNKLQRQAPALKEGRETDSNIVDPKNIVSRSRHEERKKIVEILVNDQATNGDLKVLPIVGMGGLGKTTLAQLIYNDPQVKDHFQLLKWVCVSDDFNLRNLANKICNASEGSLEEALKELQEQLKGKRYLLVLDDVWDEESFLDKWERFKACLEQGGVGSAILTTTRNTEIAQLMGTVGISHERKYLDVGTLGKEFIQEIIETRAFSLHKRDELVNLVGSIAERCAGSPLAAKALGSILRNKTTTEEWEDVLQRSSICTVETGILPILKLSYDELPTDMKPCFAFCALYPKDYQIDVDNLIQLWMANGFIVSEQNKVPIETVGKRIVNEMVSRSLFEYVEQDPTRFEYSSTTFLKIHDLMHDVAMSATGKECIYITREMNESGELLPSATRHIHFERRSIANIDILSRSIGKMSIPIRTMFVEYYGDVLHSSKYSSLRALALPRFAKASLPIKPKHLHHLRYLDISKSTVEALPDDISILYNFQTLKLSGCEKLSMLPKQMKYMTALCHLYTDGCRKLQCMPPELGRLTSLRTLTCFVVSSDSDCSSLGELKNLNIGGSLELKQLENVTEARNAKQANLGNKKELRQLSLRWTRGKEEEQQCNEVLEVLEVHDRLLALEIHAYQGTNFPLWMGVLRNMVELRLYGCSKSEQLPPLCQLPALQLLHLVGLTKLQFLCSSCTSSTFGKLKDLKLVFLGNFDRFYDQVVQEELVAFPQLEKLYIKGCGKLTALPEAGVLRKWYDGGEYTMVRSAFPGLKSLILISLRSFENGNMHYSLCLRLSVFVVATS >KQK94870 pep chromosome:Setaria_italica_v2.0:VIII:28152025:28157655:1 gene:SETIT_026069mg transcript:KQK94870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKISVAVRFRPPNPAAADPSPAGAGGGGDREWRIDDNRVTLVHRSAGPVPGAPFVFDHVFDRTATNERVYGTVVRDLIGAVVDGFNATAFAYGQTSSGKTFTMNGSDADPGIIPRAVRDVFDTVRQTDDREFLVRVSYMEIYNEEINDLLTLEGQKLAIKENLERGVYVAGLREEIVNSSEQVFELLQLGEANRHFGETNMNVRSSRSHTIFRMVIESSAKNQIDSGDPIRVSVLNLVDLAGSERNNKTGTEGVRLNEAGYINKSLLMLGNVINKLSENGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTAAPEEMHIEETRGTLKFASRAKCVSNCAQVNEILTDAALLKRQKREIEELRKKLQGSHSEGLEQVVLKLRNDMHKSELERDRLAMELEEERKLRMTLEHHLTEQQKQLEGLDNTSILADQFTDSIQLDALKTPDSKYMPDGFVACRSRYSNDVEFSPIPENVDNTADEDLWMRLNKGCVTDLDMLEMTPGLKRETSLRRDATSAAPLEEPTDARCQKLEKDCISDPQQLEESNARCEALEKECDLLRDKNSSLQQELSESKREADRLVAEKQAELEDSKATCALLERELSKSRQDAERLAIEKQELAGELGVERQKMEELKQDIRVISRAFSQREGQLTSLYTKSKAILENCKASHVATLP >KQK95469 pep chromosome:Setaria_italica_v2.0:VIII:34674553:34677561:-1 gene:SETIT_026272mg transcript:KQK95469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASSSCHCSPLLLALAAGLLILLVPPLASGSSHENSQEEDRILGMPGQPNDGVAFDMYGGYVTVDEGAGRALYYWFQEADRATADPATAPLVLWLNGGPGCSSVGSGAFEELGAFRVNTDGQTLLLNEFAWNKAANVLFLESPAGVGFSYSNTSSDLVVGDDRTARDSYIFLVKWFERFPQYKYRDFYIAGESYAGHYVPQLSQLVYRNNIGVEKPFINFKGFMVGNGLIDDLTDMIGMLEYWWHHGLISDETLASGLDVCLGSSLIHPSPECQKICDVQAAKEMGKIDGYSIYTPPCERGNPYDRMLERSRRPLMLPPYDPCTAWYSTNYLNIPEVQNAMHANVSGMIKYPWTMCNNPIYDNWTTITPNVSMIPIYKELIGVGLKIWVFSGDTDTAVPLSSTRRSLAALGLPVKTSWYPWYMVPTEVGGWSMEYDGLTYVTVRGAGHEVPLHRPEQALVLFKQFLLGEPMPAEAPKDASVILLPSEKAPSY >KQK94535 pep chromosome:Setaria_italica_v2.0:VIII:21194113:21195613:-1 gene:SETIT_026583mg transcript:KQK94535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHHQQGLYGQPATRVDEYGNPIPGGHGSIQGQAGGYGGAGTGSYDAGGYGQQAGYGPTGTGTHDIGGYGGSGHAAYGATGTGVHDAGGPGGYGATGTGPHGTHGVTGIHDARGVGNGHTGAHGVTATGTHGTGYGTHGATGATGVHDTGVLGGGAHTGMPGAAGMGTHGAGHGATGVTTGTHGAATGAAFPHAAEHKTGGILRRSSSSSSSSSSEDDGMGGRRKKGLKQKIKEKMPGGHKGNQGQATAPGAYGGTTGYTGPTGTGTTGGTYAPTTHGAHEKKGVMEKIKEKLPGGHKDHDQQHTTATGGYAPGRTGTTDTYGTTTEGTHEKKGFMEKVKEKLPGQH >KQK94485 pep chromosome:Setaria_italica_v2.0:VIII:19358379:19359116:-1 gene:SETIT_026838mg transcript:KQK94485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQAHELTIWARCEKWWDQLHTYRSSCAICWSTISGRKLPCASWHRWEIHTREEACVVDSALNSSRGIGSNKGALSIDRPPFPAQRNHLRLSMAATASSTLLQGSKFSSARCVPRTAGHQRIPSGVGRKSALSSGCLRTGSERRAAVICAAAASDGGAPASALPAALLFDCDGVLVDTEKDGHRISFNETFAEVGHPAPLILIFSGYFAPNRFTKSMG >KQK93585 pep chromosome:Setaria_italica_v2.0:VIII:2120618:2121932:1 gene:SETIT_026615mg transcript:KQK93585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARLGALLPLALAAVVLLLAVAVDAATPAARPPKAQGPKDPQPPRNEKPKPKPMKVKCKDRKLYPYCYGKPMECPAECSQSCYADCNSCKSVCVCSVPGACGDPRFIGGDGNAFYFHGRRDADFCVVSDRDLHINAHFIGKRGADGMSRDFTWIQAIAVLFDGHRLYVGARKTAAWDDDVDRMELALDGVPVSLPQEVDATWTSSAVPMLSITRTKAANGVLVVLDGKFKIRANAVPITEEESRVHRYGVTTDDCLAHLDLAFKFDTLTGDVHGVVGQTYRSDYVNGFDVKASMPTMGGESNFATSSLFAPDCAVARYHAPAGHRGDGVAMA >KQK95934 pep chromosome:Setaria_italica_v2.0:VIII:39758887:39759482:-1 gene:SETIT_026803mg transcript:KQK95934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPQEERLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKMSISPSSSSSSLTYQSCLLDTSPIIGMSSGDTHNGSSCVTSALDSTQSSMDAYPMDQIWREIEAPQAPALLGIAEGKEKTCSSIPCPLPSPAMWDYKCPEIFWKMEDEEIRMLAPSFGYGK >KQK95935 pep chromosome:Setaria_italica_v2.0:VIII:39758556:39759977:-1 gene:SETIT_026803mg transcript:KQK95935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTVREEMRKGPWTEQEDMQLVCTVRLFGDRRWDFIAQVSGLNRTGKSCRLRWVNYLHPGLKHGRMSPQEERLIIELHARWGNRWSRIARRLPGRTDNEIKNYWRTHMRKKAQERKMSISPSSSSSSLTYQSCLLDTSPIIGMSSGDTHNGSSCVTSALDSTQSSMDAYPMDQIWREIEAPQAPALLGIAEGKEKTCSSIPCPLPSPAMWDYKCPEIFWKMEDEEIRMLAPSFGYGK >KQK94025 pep chromosome:Setaria_italica_v2.0:VIII:7868153:7876515:1 gene:SETIT_025926mg transcript:KQK94025 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSGPPNPMGPGQPVGGTAASLLRTSSSLLSGGGGGQPGMGGGGGGMLSAQSPFSSLVSPRTQFGGNGLLGGASSVSSLLNRQSFGNGGHMQGPGSMQGGGMQMGTLQQRGGMDGGGDFIGAGGSDPLSFPSSSQVNLGNQMGSDNMQATSQQQQQQMDVVQDMQQQQQQLPMSYNQQPQHSQQLQQPQATVKLENGGSMVGIKSEQQMGQSDQNGPAQMMRSASGVKLEPQQLQAQMMRSLSSVKMEQQSSDSSAFLQQQQQQQQQQQQQQQQQQQQHLLQLTKQIRNCPDLVSMGGPNAIANSQAAAAAQLSLLQQQRLLHMQQQQQQHQILKNLPLQRNQLQQQQQQQQQQQHQQLLRQQSLNMRTQGKSPPYEPGTCAKRLTHYMYHQQNRPQDNNIEYWRNFVNEYFAPNAKKRWCVSLYGSGRQTTGVFPQDVWHCEICNRKPGRGFETTVEVLPRLCQIKYASGTLEELLYIDMPRESQNASGQIILDYTKAIQESVFEQLRVVREGHLRIVFNSDLKIASWEFCARRHEELIPRRSIIPQVSNLGAVVQKYQAAAQNSTSLSAQDMQNNCNSFVACARQLAKALEVPLVNDLGYTKRYVRCLQIAEVVNCMKDLIDHSRNTGSGPIDSLHNFPRRTASGVNPLQPQQQQPEDQQAIPQSSNQSGQNSAPMTGVQPSASANGDVTSNNSLSCAPSTSAPSPSVVGLLQSSMNSRQDHPMSSTNGGPYNGGGNAAIPKVNSTSSLQSNPSTSFPSPVPTASNNNMMPAPQNTNQLTSPTTSSSIPPMQPPATRPQEAEPSESQSSVQKILQDLLSSQMNGVGQSGNDMKRPNGLNPGVNGVNCLVGNAVTNNPGMGGMGFGAMGGFGHGMRTAMTNNPMAMGARMGMNHSAHDLSQLGQLHQQQQQQQQQHDIGNQLLGGLRQANSFNNIQYDWKPSQ >KQK94920 pep chromosome:Setaria_italica_v2.0:VIII:28770504:28772094:1 gene:SETIT_028182mg transcript:KQK94920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSNDAGEVTKGLKLSDLELHAITFVLEALAVDSNKDLVDIDGIKVTATHLKCLLRPVKENAVEKWLCSRNLVGHDMVTVTLNHSLPLLILMQILKVVVHVNVGLHSHEQYRKSLVALCSCGIFTIKYMQYWNGSKITSPFSQKDMETIRKEMPAELIMSPFNKLTISRMKLRQMNLLPPICSSSSNLS >KQK95937 pep chromosome:Setaria_italica_v2.0:VIII:39776354:39777277:-1 gene:SETIT_028387mg transcript:KQK95937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTRRRPSLAVSAAALLFVVLISFLLAGPASAQGKTGQVTVFWGRHRDEGSLREACDSGLYSMVIMSFLDVYGGNGNGNYHLDLSGHPLAGMGAAIKRCQFLGVPVSISIGGFGGAYSLPTNQSALALFDHLWNTYFGGSLNDTRRPFGDAWLDGVDMFLEHGDAADRYSTLALELAKHNIRAGDGKLLHLTATAHCRFPDDRVKEALDTGIFERIHVRFYDDPDCAAGFSAAEWAKWAAAYPFTKIYVGVPASPQAAETGYKDPAALRRAVVPVAQKASNYGGVMIWDRYFDKRSNYSGSIKSWV >KQK94049 pep chromosome:Setaria_italica_v2.0:VIII:8201518:8203467:1 gene:SETIT_027445mg transcript:KQK94049 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYHSIIPFLSFTCCLFFILFFILFADTLFYLLLAVKVQLGKEEEHFKTRFSVHRICDLLPNLEDHQKQWINEAGFGALLTLAKFSLPVKLVKWMLKHMDPLLREFRYRNKVIVFNRDLVCKILGLQNGIIPLRLSGDSEDVKKLREVYKDGDRAKIAKCKEIVQSSKDRDSFVRAFSLLALGTIYTPGTGNYISLKYLHNLVDISEISTFDWAGHLLDELMNEVKKYHKFTPDRLDKDHQMGSCLIILAIAYMDHLDLPTDRGGHQLNYNLPRICNVSNTDFDFVLAVDKNRLSLGNTFGKLPFCDFSRTPYGAAPIVQEHPAPAVGIPAPAATEEVPDPATTEEIPAISSLDEWLHQLPSKQDVLQLADAISTTISAQIKDKVVAIWRRRHIEMLGKMADLANMTRNDGVEAGVSTSNVDAADPS >KQK95460 pep chromosome:Setaria_italica_v2.0:VIII:34567552:34576729:1 gene:SETIT_026100mg transcript:KQK95460 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQESGVEDLERILSDVNAEPIKIPYAVIKSVTKNFAQVIGDGGFGVVYLGDLGRGMVAVKKLSISESFTDKQFLDEVACLKRVKHKNIVKYIGYCADTQGYLMEVDGEERIVEVPQRLLCFEYVPNGSLHHYLQENIDGYEWSIRYKIIKGICQGLHYLHQSRINHLDLKPANVLLGAHMEPKITDFGLSRCIDENQSTIFTTNLCGTPGYIAPEFIDKQKISFKSDIFSLGIIMTRLLIGSNESIAENWHESLHVECQQMKICIEIAQICAEYDPAKRPTIADIILKLNKTETMVQKVPPDVNEPRNDPKSSLHQVVKRFRALPTQTLHEYSRFTKMYEDLNVLERILEGSEKPSILSYPLLRSITENFSTERRIGRNELGEHFKGIFRIVVVTRLPQSVSINDGMFHRQIGKMMMAQHQNIIRFLGYCSYATEEKNVVIDGKVITAEKRERLLCFEHLSKGNLSKHLSDEFSGLEWHTRYQIIKGICEGLCYLHKEKDIIHMDLKPASILLDVHMVPKITGFGIPELIIMSNQRLPRLGYSAPESMFEGVASRKADIYSVGVIIIELVTGSKKKPSITNVLQRWEQRWKGSAKCTPWGHQVAKCLELAQSCLHKDPDRRPFAWDIVTELHLSPLCLK >KQK95459 pep chromosome:Setaria_italica_v2.0:VIII:34567552:34574269:1 gene:SETIT_026100mg transcript:KQK95459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQESGVEDLERILSDVNAEPIKIPYAVIKSVTKNFAQVIGDGGFGVVYLGDLGRGMVAVKKLSISESFTDKQFLDEVACLKRVKHKNIVKYIGYCADTQGYLMEVDGEERIVEVPQRLLCFEYVPNGSLHHYLQENIDGYEWSIRYKIIKGICQGLHYLHQSRINHLDLKPANVLLGAHMEPKITDFGLSRCIDENQSTIFTTNLCGTPGYIAPEFIDKQKISFKSDIFSLGIIMTRLLIGSNESIAENWHESLHVECQQMKICIEIAQICAEYDPAKRPTIADIILKLNKTETMVQKVPPDVNEPRNDPKSSLHQVVKRFRALPTQTLHEYSSGRWCGQIAADRGSTEGGRRPSLAGRCRLVLGSWPLLAPARVLPTQGHHEARQVAGVVVDSSCPCSQGGAHPMTTLDLLGLANAILGLDIEPAVCVQRTILGFRVKIIDGLGPRISSAWRMPSQ >KQK95482 pep chromosome:Setaria_italica_v2.0:VIII:34780525:34781210:-1 gene:SETIT_028397mg transcript:KQK95482 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWFGREYCHCKSCRRVSLSVQIYFMRDTSANSVKFTASNCCLK >KQK93937 pep chromosome:Setaria_italica_v2.0:VIII:6335062:6337827:1 gene:SETIT_025938mg transcript:KQK93937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGVLLGKLSVALMNEAATYASSIICKESSELKALFGEIRKAEGELEIMKAYLHDSEKLKDTNEIAGIFVNKIRDLAFRIEDVVDEFAYKIEDNKQEGFRAKMKKMLKNGKTWRRLALELRDINCKLEDAAKRKDLYAMPGMERGARDCDHHARTTNQTSCFARDEDLVGIQDNAEKLKRWLVGDFEERYKIATVWGMGGVGKTSLVDYVYRIVKVEFDAAAWITVSKSYKVEELLRKVAREFGITIDVSNMELRSLVEVIRSHLKGKRYILVLDDIWEKDLWINKIMDVFPTNWVSRFVFTSRKYEVASLATRNCAVKLDPMGENHSWKLFCRLAFRNNDEKSCPTELHDLAMKFLRKCEGLPIAIACIGRLLSCKPSTLTEWNNVYEELELQSTNNVIPGVDTILKVSLEDLPYELKNCFLHCAIFPEDCLLKRKRLIRHWITAGFIKKKESKTLEEVAEGCLNELVNRSLLQVVKKNEFGRVKCCRMHDIIHHLALEKAKEECFGKVYEGPETFSVDSTRRLSIQSTNIEPLCQSGVTHLRAIHAFTSDVDIDLLRPIFMSSHLLSTLDLQGTKIKMLPNEVFNLFNLRFLGLRYTEIEILPKALGRLKNLEVLDALFTPLLSLPEEVAKLQRLWFLYACTLHKERTLQRYGGIKVPRTIRNLTGLHAMETIEATLETLCDVASLTELRAFSVCDVKSEHSLNLCRAIMNMSHLVHLSIAALDENQVLPLEALCLPGTLSKLVLQGWLEKKRMPRILSSWLHLNNLSKLSLIFSKLDEDSFSSLKVLGGLCYLELVKAYDGKKLFFSALSFPRLRRLAIWSAQQLNRVEIEEGALESLEELMFTDCPELNCLPYGIEFLKDLEELRLEDTAEELIEKLRQESRGHQDNEELVKISHVRKVVIILSEKNIRERIR >KQK94073 pep chromosome:Setaria_italica_v2.0:VIII:8480183:8480443:1 gene:SETIT_027439mg transcript:KQK94073 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTKTIERAIHPRWLPWWSIKHHTAVSLPSTLALARAWFLLPCTTCQFHACDVWNESIPSSSSCRGACQLPCFAGNFHSALAPQA >KQK95311 pep chromosome:Setaria_italica_v2.0:VIII:33263493:33265703:1 gene:SETIT_028066mg transcript:KQK95311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLVATNKRWWFWENKNLETKHRNDEARHILLQKCGGLPKVICAVAETSNMVRDIKLQDNLVSKLEATRSLEDVLSWLLSYFQSCQDSLKPCIFYLSIFPVNHTIRRRRLIRRWIAEGYFRDNKESTAEENGERSFSNLVDLSMIQKPRTSVDDTRMPLCQVNGFLREYIVSRLMEENLVFELEGHCRKNIQRTGRHLAIDNSWDRDRNVFESIDLSLLRSLTVFGKWETFIISDRMRLLRVLDLEDVSSGVTNVPLLRGCREISHLPDSLGDLKQLQTLDIRETSVSKLPKSIIELEKLQYIRAGTNKHLQACEAAENPSAAEAAAQMGRPCATLGSKLTIHRRHGSHSGIKVPRGIGKLSSLHTLGVVNIHASDEDSILEELKNLTQLHKLGVSGINRKNSEKFFSDISRLVHLESLSLKMQANQDNEAAGLMADISSPLEKLRSLKLYGLVDRLPSWIMQMCLQLPRLEKVDLQMKTLPQQELDFILTLRYLRSLRLRLAEFQGGELRFGWSIAQRPGTWIIYFLEIACNSRLQAVKFGSRVDVVILKIRCCGVSSSLLFSGLQSLESLKEVWLSGSYDQTFNKHLEKELKENENKPILKLEEPSSSPDGC >KQK95778 pep chromosome:Setaria_italica_v2.0:VIII:37976616:37978745:1 gene:SETIT_026051mg transcript:KQK95778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSSRRRGSGHPVLRFFLWGVSAAHTPLMSYVLWFLTSSLSSMKESDDQDEGGLGTEIFIMYIMLSVVLIQFLKAKTDMAALAVAAVTSPVAGDDIDSLKIRPSMEGVINGVWVAGLVIYSIFLHAKGAIIGTDYGYMIMLIVPLWALGGCRMLLRFAAYRTATSSFALGRSVQLISGYMAYLQQTGCFEAEGQVPRLIVTGERNRDVEESPTGHRVKLSVLDDKRSRLVTLDRVWLERDLRPELKDLCLSFALFKCLRRRFAGHRLAEAGSTWAYHFFSGGLLGRQDDHERIFRVIAGELSFARDFYYSPLPMASLGTVFAALHFFLSLLVSAYLVFLPLLLILLGLFPIFGLLALVVAAAIVVTEISEMAAGVRSKWTKISIISHYMSCRNRCVRRIFSCLLRRNKSPKYWKDEIGQAELLKPSHFCDQPWARLFKNLFIRRKTRHKPVIKVPPEVKAAVLASFRSCGGRLSGGTATLRRRCIDVTWASQGDEITTTTDVLLVWHIATSFFEIRWSASSSSTRTASMVVAQSLSRYCAYLVAEAPDLLPDDSAWTKRRYEAVKKGIEEASKSSNAVPESGVYGHLIVSFSAESCHDVLNKGSRLGKQLVEEAERQRSGEGDAEDGAGHEEDTVWELLAEFWSEMVLYLAPSDNVKVHIEALQRGGELMTLLWALLVHAGITGRPARCVPEP >KQK94141 pep chromosome:Setaria_italica_v2.0:VIII:9479322:9480013:1 gene:SETIT_027060mg transcript:KQK94141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSYIDQTGQKRSAGRWGGPGGTAHKLDLGPTEIVKEVSGTYNMFEGEICLTSFKLVTNARTWGPWAEEKGTRFSITAPTGTSIVGFFARGGTKYLAAIGVYFNKL >KQK95605 pep chromosome:Setaria_italica_v2.0:VIII:36317436:36319208:-1 gene:SETIT_026472mg transcript:KQK95605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLSGALETLCGKAYGARLYRMLGLYLQSSFIMSAAASLLISVLWYFTEPLLLLLRQDPEVSHAAGIFVQAQIPGLFAFSFVHCLLRYLQTQSVVLPLVVCSVVPFVLHVALAHLLVNVFGLGLAGASAAVSATFWVCCLMLFAYVLISKEFCETWRGFSADAFMYVLPTVKLATPSAIMVCLELWAFELLVLIAGLLPHPTVATPLIAICVSTEAIACMITVGFSATVSTRVSNEIGAGNVDKAKNAVSVTLKLSVFLAVSFVLLLAFGHNLWASLFSRSSRIISEFAAITPLMMISIVLDAAQGVLSGVSRGCGWQHMGAMTNLVAFYLIGMPLAILFSFKFKFHTKGLWVGLICGLTCQACSLLVITVRTKWTKLAEAMQEEKANYVA >KQK93915 pep chromosome:Setaria_italica_v2.0:VIII:5995216:6001179:-1 gene:SETIT_0259142mg transcript:KQK93915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLSGDTSSRLLGSVGRIWRTHPEHSSSGGGGGGVYSGSFYNERKELEKIIVQNGGQFSACLTRKCTHLVANKPGGDKYVVAKRWGNIHIVNPRWVEQSVARRACLDENSYLVCQSSSAYSSGLKTSPKEQHNPEISSASASFQPVPAMSVDDSVSVSQYVPASFGDASKISNTDIVGAPGVQEANEMQVDSHVAEDSEAENDDLYLSNCRIALVGFEEKELLRLLMMIRSGGGSRHILLNEKLTHIILGAPSEDEKKEVRRLASWGVINVVKVTWLEDCNRAKKEVKVSPTHVATELLLKEFSQVTMEKSSDTRETKVAKSSCGIFHVPTVNDSHDKQLEKDMSSERKPARGKHENSMNKTRSATRSANSSQHNGVVNISKYHPKSKGTSAVNSGSSRSNVFKGKTFGFSNSFSHDKRPEVVDWIREGGGVVVDDIQSAVVDYTIECHGRNGTPCDFSHSTVVSTQWIRTCLEEGCLQDVGSHPIFSPLRCRIPFPGFENFRFCISQYGERERFLLKNLCFALGAKFTEKAYKGVSHLICKFASGPKYEVYSKRGTPTITAEWLFECVKQDTTVPFDHFQPKPLTSQDKEADLCTVSQYSTQATRFNCSELLSGCQVTTSNPTHNSGVASANEETTAPAVSKRKLLSVSGQANDTCGNIGRTEKHLESGSVPDVADAIEVLSSKIQDVQSPRSIFEPDNSAVVQDQKDTHSFGISRSWLNMQQKQDNTPGSPKVQSLNSSPAPSPAPTTYYPFSETQTESQVVGYEEDLTGRQKIIDRVRSQSINVTPSTENP >KQK95088 pep chromosome:Setaria_italica_v2.0:VIII:30634957:30635531:-1 gene:SETIT_027116mg transcript:KQK95088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLNVNPATAAQCGCCVSTRAKACCFACIAAGSPDFICKNTCCFPCTLTDSVVAKMEEMGVLAKMEEAGQA >KQK93777 pep chromosome:Setaria_italica_v2.0:VIII:4289144:4291592:1 gene:SETIT_026018mg transcript:KQK93777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAFGVSSVQLWVMVATLLLLAKFAIGSIGPWIFSSRRLIAPLVRLLHVLNHYAVIYTLGLMQPSSSHHADDPSVPSVAEFLQVWAVLIVTMQDSVSIGRPYKTKEMTLIDLLSSVWSANQIRVRTRARLAAPLWIIWCIHASRVVWHYVSTIRATNASLDNVKLVSDYMMTSQHTDDDDACPSTMRGYRYIVVWEDEQMMEVSGPPGFTLEMKLTGPKAAQLLTVDKVWEQAQDKDDRLLSRTADRDNMFKDVCLSFALYKLQRRRFYNFPVAEAAHPATRRLVSDAILEEGADGTYERALRITEVELSFLHASFYSRHAVVFSGGFPWVQLALSLLVTVAALYLACAVGDIPSTGNIVAGKRERVVRITHGALFTYLVIAIVVCRELGEVSIYVLSQWTKVWIICHYIKLKGCQGRIKAARQLMMEMVARIMFRVIRGGQWGRQIRQHNLLARPSTLAQFAWPLILRKVKLQREAKRVLFQSLKTLIDTPLPSPEPSAQQQMMNSLLMSYSRSAFPIPAGPAQSHSKLPVIEGTASDLEGETHKILVWHIATSLCQMKILDEAGERGADLYTLPKSPFTGDLAAVTPHYITAVSLSNYCAYLVTQGLVPDNGLVANKVFHAVSDEVRDALRGCSTMTEIRNKLTVTPENGAATTILGMGAQLSEKLMSLYKRDDELWERLGRFWAGFLLHLSASTRAAKHRIHLQGRGELTTLLWVLLSHAGFLGNTSHGEQLLDPEDLNDVC >KQK95939 pep chromosome:Setaria_italica_v2.0:VIII:39785057:39785395:-1 gene:SETIT_027466mg transcript:KQK95939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDGEFFGLYEAAGQNLPRVMPSFPVVSMIDPDFICFLLKEDDDIFWMKKKEKKVCSNFFDGHYFIPSQFSAYLSKDANVSDQRTDWMHAQTL >KQK95023 pep chromosome:Setaria_italica_v2.0:VIII:29967034:29980692:-1 gene:SETIT_025878mg transcript:KQK95023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLDVADSANLPYGWSRYAQFSLAIVNQIQPKYTIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVNDTIVVEAEVAVRRMVDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDSSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEGKMKETVVEGTIEQLFEGHHINYIECINVDYKSNRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAENHGLQDAKKGVLFLDFPPVLQLQLKRFEYDYMRDTNVKINDRYEFPLQLDLDRDDGKYLAPDADRSTRNLYALHSVLVHSGGVHGGHYYAFIRPTLSEQWYKFDDERVTKEDAKKALEEQYGGEEELPQVNPGFNNAPFKFTKYSNAYMLVYIRESDKEKIMCTVDEKDIAEHLRVRLKKEQEDKEHKKKEKAEAHLYTIIKVARDEDLKQQIGKDIYFDLVDHEKVRNFRIQKQLPFSSFKEEVAKEYGIPVQFQRFWLWAKRQNHTYRPNRPLTPHEETQSVGQLREVSNKAHNAELKLFLEVELGLDLQPLPPPEKGREDFLLFFKLYKPETEELCFMGRLFVKALGKPSDILAKLNEMAGFSPDQEIELYEEIKFEPNVMCEIIDQKLTFRSSQLEDGDILCFQKAPRADHDTQVRYPDVPSFLEYVHNRQVVHFRSLEKPKDDDFSLELSKLHTYDDVVERVARQLELDDPAKIRLTSHNCYSQQPKPQPVKYRGVEHLLDMLIHYNQTSDILYYEVLDIPLPELQFLKTLKVAFHHPTKDEVVIHSIRLPKNSTIADVINDLKTKVELSSPNAELRVLEVFYHKIYKIFPLLEKIENINDQYWTLRAEEIPEEEKNIGPNDRLIHVYHFTKDINQTQQIQNFGDPFFLLVREGETLAEVKKRIKSKLQVSAEEFSKWKFAFISMNRPDYLQDSDVIAPRFQRREVYGAWEQYLGMEHTDTAPKRAYTVNQQNRHAYEKPVRIYN >KQK95208 pep chromosome:Setaria_italica_v2.0:VIII:31920713:31921312:1 gene:SETIT_027165mg transcript:KQK95208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWVRVQRVNQVATLHRLEGSCWWSRAATMESSSSARRSRGSVGVCDGVAQQRTATSGILRRGKDAAAAESSGLGFG >KQK93570 pep chromosome:Setaria_italica_v2.0:VIII:2020706:2026787:1 gene:SETIT_025821mg transcript:KQK93570 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCIKNLGGRSFGRSLLATEYYKLPVAMKLRVLQILCDHVIESDELKTELEDREGYNEEMEYEIDSSALLEAGSRAVSNRASKASAYKKMNDLQNVESTPNVTNSEGTVADTSQDGNSDDCRICGMDGTLVCCDGCPWAYHSRCIGQNKAFLPQGEWFCPECVINKLGPTSSRIERGARGAQTFGNDMCGRLFLGTCDYLLVIGTSSAVESYSRYYNRYDVVKVLQRLALSDAYVDICSQIEEYWKHLVGIAQSERSKIGKEVGVSHTPQPGMLSFTPMKAGDGSIWTTLKDGGDSKTVALPQTYMQQKFVSNEEQKCMPSLVAAAEKNAEVCNQTLSAQYNIHNTPRNGAFGPSVVSSISHQNGSIVKGAYNIAHAQPTQSISRPDLPTNVGSNGMPREGTVSNISAKAESFCPSYQGKQHLQLFAERSGNMSGGKAAKFSSFKPQAYMNLYNHGNIAASAAANLAVITSDEGKVSASKQTANPRKRMAADNSLQLKAFSSAAAQFVWPSTEKKLMEVPRDRCGWCLACRSSAIGNKKACFLNMATANAAKGSARILSVMHVIKNSDSHFPSIVAYLANMEESLRGLLVGSLQDAQQKERWHQQLREASNCRTVIPLLLELESNIRGVAFSASWLKPIDDWPVESPGLSAGASRPAQYQKRGAGGRRGRRRSLASECGTATATATDDDNSWTWWTGGNISKRTLQRGAVLCSTIRKVARQGGKTRIAGLPYHEASNFPRRSRQFAWRACVGLSQTSSQLALQVRYLDAHIRWKEFIPPDQIPSDGKSSDADFSALRNAVICDKKIIDNKIRYALKFPNQKHLPVRVTKNILEAEGDQDENSKLWFSENHVPLYMLREFEQNYGSSSLPSPGISNSNCFTNLYPRVKAYTGDVFSYLFHKGDVYPCTSCKKDVLYRDVVKCSSCQGYCHKECTSRSIVSKGGSATSNLTCKLCLQKRNLMLTSYNTNVSYIRPQQKSTGQQQVTAPKVVFKVGSSHSAEPSLKVEAQTVTKVKAQPATKVKTQPLANVEAQPIMNVKAQPIAKVESQTLAKVEALPITNAATTNIISVPAQPKTKAKKSKSEKPKKPKKVQAITYFGLVWKKNKNDKDDGNEFRANDVILKSKDGIGSSIKPTCCLCNKTYSPEFLYVRCERCRNWFHGDALQLEDERIDELVAYRCCRCRRRAIPQCPHSDDYIKPEPECSEQTVATSSQSTMLSSEATFALGDQDPLLASYGIVEPIGEETVDADLSTNMVSFAPGSNKKLSIRRAQAKNCEYLDQARSANEYYIQNQSQGNGNINFSHMNEYSFSEADSVDASELLGWDFSQGTAYAAPPESTATHQANDTSCGNFAIDQYEPQTYFSFTELLEADDTQLDSAFGMSTNLQGNGNCTGNFDQQGAGFDEMYFMIEDGASNMNFPADDPSPDVVACHKCQNTEPPPDLKCAVCNLQIHRQCSPWDENVPPAASGDWTCGGCREWR >KQK94376 pep chromosome:Setaria_italica_v2.0:VIII:13982023:13987127:-1 gene:SETIT_028268mg transcript:KQK94376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPRPLLRRILRLAAAFAVAACACFPAPVSGIRKDSFIEPIICRSTVQGRHLISDDNGYVCSALSINPWSHCCPTTRDRFSCQGCKLDLQCCNSYEYCVSCCLNPSKTKKEDVLKLKVAKPVTAGTYTNVFDFCMGRCRHNSASVVHENAYASDFHHCFAMQQNSSGSTESSSVSKLLGINVVVGRPGESCSLVCKVRGQSCVPSRLSVLNKCEILQKYMRCKSGCFRSLGPDQPAEVVDEAPTSLNPGACLYMQMDERLTCDGSHQHTRRLCPCA >KQK94464 pep chromosome:Setaria_italica_v2.0:VIII:18054469:18055404:1 gene:SETIT_027625mg transcript:KQK94464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLANKFVVIIGAMGTGKTKLSIDVAKVIGGEVINADKMQIFVGLDITTNKVSVHDRCGIAHHLIGAIHTTTQDFPVSFFRSLATATANSIVRCNLMLVIVGGSNSLIHGLLVDYFDSSLADPFALANYWPSLRFQCYFIWIHANVLVLNEYFNHRVDDMVDDGLVKELKDYFDASSNFVQQTGLGKAIGVKELGKYFMGHQSYCDTIAEMKDNTQALAKAQNAKIHHMVNVWGWPIFSLDAIETIRAHINGSDSMAKAKAWQHDVSGPALNVISEFCNNFNVLLPTVN >KQK94591 pep chromosome:Setaria_italica_v2.0:VIII:23011757:23018771:-1 gene:SETIT_026216mg transcript:KQK94591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGCACDAAAAGTGATASASEAYLQGEPVREARELVAELCRHFYAQGWVTGTGGSITVKVNDPAVPLADRLIVMSPSGVQKERMVAEDMYVMAADGKVLSAPSAKPWPNKPPKCTDCAPLFLKAYLMRGAGAVIHSHGMETCIATMLNPGAKEFRMTHMEMIKGIKGHGYRDELVIPIIENTPYEYELTDSLSEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLLDACIKLYQLGIDWATPEHGPINSAKRLRSILSPEIPNGCHAAESSKCVVLDIEGTTTPISFVTDVMFPYAHDNVRKHLTSTFDSEETKEDIKLLRIQTEDDLRNGIAGAVPVPPDEAGKEEVINSLVANVESMIKADRKITPLKQLQGHIWRTGFEKKELQGVVFEDVPVALKNWHASGIKVYIYSSGSREAQRLLFGNTTHGDLRKFLCGYFDTTTGNKRETKSYFEISQSLGVDSPSQILFITDVFQEAVAAKNADFRF >KQK94590 pep chromosome:Setaria_italica_v2.0:VIII:23011100:23016577:-1 gene:SETIT_026216mg transcript:KQK94590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHMEMIKGIKGHGYRDELVIPIIENTPYEYELTDSLSEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLLDACIKLYQLGIDWATPEHGPINSAKRLRSILSPEIPNGCHAAESSKCVVLDIEGTTTPISFVTDVMFPYAHDNVRKHLTSTFDSEETKEDIKLLRIQTEDDLRNGIAGAVPVPPDEAGKEEVINSLVANVESMIKADRKITPLKQLQGHIWRTGFEKKELQGVVFEDVPVALKNWHASGIKVYIYSSGSREAQRLLFGNTTHGDLRKFLCGYFDTTTGNKRETKSYFEISQSLGVDSPSQILFITDVFQEAVAAKNAGFDVIISIRPGNAPLPDNHGFRTIKSFSEI >KQK94592 pep chromosome:Setaria_italica_v2.0:VIII:23011100:23018864:-1 gene:SETIT_026216mg transcript:KQK94592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCGGCACDAAAAGTGATASASEAYLQGEPVREARELVAELCRHFYAQGWVTGTGGSITVKVNDPAVPLADRLIVMSPSGVQKERMVAEDMYVMAADGKVLSAPSAKPWPNKPPKCTDCAPLFLKAYLMRGAGAVIHSHGMETCIATMLNPGAKEFRMTHMEMIKGIKGHGYRDELVIPIIENTPYEYELTDSLSEAIAAYPKATAVLVRNHGIYVWGDSWINAKTQAECYHYLLDACIKLYQLGIDWATPEHGPINSAKRLRSILSPEIPNGCHAAESSKCVVLDIEGTTTPISFVTDVMFPYAHDNVRKHLTSTFDSEETKEDIKLLRIQTEDDLRNGIAGAVPVPPDEAGKEEVINSLVANVESMIKADRKITPLKQLQGHIWRTGFEKKELQGVVFEDVPVALKNWHASGIKVYIYSSGSREAQRLLFGNTTHGDLRKFLCGYFDTTTGNKRETKSYFEISQSLGVDSPSQILFITDVFQEAVAAKNAGFDVIISIRPGNAPLPDNHGFRTIKSFSEI >KQK93566 pep chromosome:Setaria_italica_v2.0:VIII:1980858:1982095:-1 gene:SETIT_027209mg transcript:KQK93566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCFRCLTKRMTLQAHPISVVYFPSRCDISCSGNMDSLISHHTLLTRYSVIGGCRSMLILETSQD >KQK93660 pep chromosome:Setaria_italica_v2.0:VIII:2841640:2848074:-1 gene:SETIT_025895mg transcript:KQK93660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAAGAIGSLLPKLVELLKLEYGLYYDTRKAIESLLRELESAYAVLREIGDVPPYELDVDELVRLWARDVREASYDMEDALDALFLVPIGGPEPTETSLHEKVGKLFQKSKARRNLSTQIHDINEKVDKLAAKRDRYGLDIFVAKPVGATSIDPRNATRYIRATDLVGIEGPRDELISMLSVGGDVDMSNKKIKIVSVTGSGGLGKTTLAKAVYDQLKPGFDCGAFIPLGRNPDMMKVFKDILINLDKKRYANYSDLNVLDVKKLMEKLKEFVEKKRCFIIIDDIWDIQSWKLIKCALQDSDCRSRVVITTRIFGVATYADDVYDMQPLSPEKSEKLFYARMFAGDGKHLDRPSAETCELFLKKCCGLPLAIITIASLLANKPEEEWSEVYYSFGFGNTDNYDDVENARRILSFSYYDLPSRLKACLLYLSTFSENHMIEKNSLIWMWIAEGFIHAEPGVGLFELGERYFNELINRGLIQAEETEYEGYVDACSVNIMVLDLIRSLSTDENFSTVLDGREQQKLPRSIARRLLLKCFERHNSSQLTTNVDVSKVRSLFASQCDFGASFPRFPCLRVLDMINCRAEEGREEGMLDHLWSLLQLRYLGLGLGLIELPRKVRYLKFLQTLDLRGSFVKELPNGVGLLTQLVCLRSDCETRVPAGLIGKLTSLQELAIHPTANARMHFVKELGLLRELRVLRTMIDGTSESMGRTLLESIGNLHKIRYLNIEEAHPSLPSSFGNCRMTDAGFVTCQHLRFLRLEWIVFSGLPGWINSSLAPNLSYLCLKVLVMKEQDVETLARLPELRCLKLHSCDTKLLVIKILTENVGYFRNLRTLHLYNTSIWFDPHGSKCRSSSRIESTIMPSLESLSFDVHVRFLKDATTLFGFDKLLGFENIGRSSLQIVTAYVNCGNARASEVEEVEAALVHAATVHPKHPTLQTARLEEDDLLSPYQEVTSPVTKLVDQVKSMEVSAAPSLHQTNVDEEPGESSTDVS >KQK95150 pep chromosome:Setaria_italica_v2.0:VIII:31399178:31402250:1 gene:SETIT_028228mg transcript:KQK95150 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARYIADGVSRGSIATLTPYRPALPLDIFSCPIPPSSSDGENNEISLTDGVSYNHNCRSIPAVALNALIAKKPELASECGATADDVDNGRATGLVFVSERDGGLETLHVALRCNGKVKVLSLADIYGADNFGGVRMEDTGGFGTSFVGHYADLSIIYVSTKTRVGVRRTPWTAVYRTNLRTGETKRLTPDGQSDLSPAVSPSGTRVAVASFQSGWTGEIEHLKTDIVLMNVDRYASGGSLDRKPIVNDGGWPSWGSDNIIFFHRGIEELEDPASGRSRTATSWGVFRYDLSTMQIDRVTPTGMDAMTPAAISETKVAVATIRSRTNDYFMTNWRGEEQYRHIEIFDVSSPDQPPVKITQNIFTDADYYSPFVLNDGSRIGYHRVGRDTMIQKDNGNSNVPRIFDNMQSPHVDVKLFRVSSLVPSFSADGSKFAFVRNDMKNIWIADKQRLCLLQVCSSPTCIFSLVWNQNPDMDTLYACVVAGANRSMHIKALSNVSSSDSYVVFIQLTKGDFFNAYPSTNPEGTKVVFQSTRDHGDYEYKNLYIMEDAQIGEFGEGSVTRLTNGPWIDTQCQWSPRGNWIVFSSTRDKPAAAPENYNELDPGHFAIYLVNAADPTVVVRVVTSGDPGPGASSIAGHVNHPVFSPDGRSIAFTSDIAAVSAEPISMPMASVMASVRPYGDIFSVDIDPDDICRNKDIDRVHRVTHSRYEYSTNAWTKLTPTIPRIPLDHMMSTM >KQK95715 pep chromosome:Setaria_italica_v2.0:VIII:37452922:37456304:-1 gene:SETIT_026062mg transcript:KQK95715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQSFLLRLLSSPFPFSLHPPHTPRRHCRKLQAPSSSSPPLPANARSPSPPANQQSSLASLVGAQRASRRALPTTSSTGGIGSRPSSPREMSHDDPGSSSAGAGAGVDALSLDLLAHALAGVRDPRDRKSCRLASRAFARAEAASRRSARPLRREVLPRVLRAFPALAALDLSACAGLDDASLAAALPPGAGAEMGVRRVRLARASGVGWRGLEAMVAACPRLEAVDLSHCVAAGDREMAALAAAAGLRELVMDKCLGVTDVGLAKVAVGCPGLEKLSVKWCREISDIGIELLAKKCPELRSVDISYLKVSNESLRSLSTLEKLEDITMVCCLFIDDDGLQMLSAGNSLKSIGDSCKNLVEIGLSKCNGITDDGIASLVVNCSYLRTIDVTCCHLLTNDALAVIAENCTMVEALRLESCPFINEKGLERIGTLCSHLKEIDLTDCRIDDEALRHLANCSELQILKLGLCSSISDKGLVYIGSNCGKLVELDLYRCSAITDEGLAALAHGCKKIRMLNLRYCTQITDTGLKHLSALEELTHLEMRCLVRITGIGITSIAIGCTSLVELDLKRCYSVDDAGLWALSRYSQNLRQLTISYCQITGLGLCHLLGSLRCLQDVKMVHLSWVSIEGFEMALRASCGRLKKLKLLGGLQSVLSPELLQMLQACGCRIRWVDKRLVYKG >KQK96011 pep chromosome:Setaria_italica_v2.0:VIII:40432398:40433565:-1 gene:SETIT_027590mg transcript:KQK96011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDEEGSMLLHDDASDLLYCDEDPLLVSTPPPADGNGGGPVAAAAASVVGGDEEELGAAEQEEVLALLEHMVGRQGCYAPSRGYLEHLRRQQGAAGVAAARSRGVHYIIYAFGRLGLAAATAFNAVNYLDRFLSINCHLKWEEAWMVELVSVACLSIACKLDEVNIPSLHDLQMEEVLGHWFRAATVRDMELALLKALQWRLACVTPYSFLQLIITTATTARCATRLLIRSLAEPSLLLRFDPSVIAASALRCVNQLEQDRHQLLHGDIISRLVRPHCPT >KQK93588 pep chromosome:Setaria_italica_v2.0:VIII:2167579:2168131:1 gene:SETIT_028593mg transcript:KQK93588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIFHQSPIDLRGSERGRGSAAPPRPWMETISERLIDGPRARM >KQK93383 pep chromosome:Setaria_italica_v2.0:VIII:870956:876728:-1 gene:SETIT_027610mg transcript:KQK93383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTTPRRLLRARAEAAVASVVTVLPHEIPPLLSAAATFFFILSAYFVVLPLRDEGAISLGLDTLPGLFAGSLLLTVLAAPVASLAFSLPSIPKPRALILIHRFFSISLLAFFGLWFASSPRQSQSVSQSSEDGSSKYSGWENHSWFYIVVRISLFLWVSLLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFAASMAWLGPFMLLFSSLLMELAAVSSKGICIDDIQGSTELSPTGAEKIQNTEADDEMSSLVTSPRSPLQSRKTSPEIFVMFEGFWLILRSPYLIYISLFLWLSAVVSSFFYFQKVTIIATTISSPAARRRTFALINSFIAVFILVGQLTLTGHILTVAGVIVAICAYPHVAASNMVALAVWPTWTAVAITETIRKVVIYVFTRPGRELLFTVVSLDEKYKAKVCIDVIVQRLGDATAAGIYSLLFSRLEKKATMVTLYALPLCFLWLLIAFHLGRLQTNLARPKAAPVAS >KQK94691 pep chromosome:Setaria_italica_v2.0:VIII:25088339:25090634:-1 gene:SETIT_026700mg transcript:KQK94691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSLQHPLAFAFGLLGNIISFMTYLAPLPTFYRIYKNKSTQGFQSVPYVVALFSAMLWIYYALLKSNECLLITINAAGCVIETLYIAMYLTYAPKKAKLFTAKILLLLNVGVFGLILLLTLLLSAGQNRLVILGWVCVGFSVSVFVAPLSIIRQVVRTRSVEFMPFSLSLSLTVSAVVWFLYGLLIKDKYVALPNVLGFSFGVIQMGLYALYRNATPRVPAKEVADDKEDIIKVPEHVVTIAKLGAPAVELKTHEVRPVESPPMEEEVKPESGMAAAEKEQDKVMNKGGNADQA >KQK95326 pep chromosome:Setaria_italica_v2.0:VIII:33369133:33372409:-1 gene:SETIT_026006mg transcript:KQK95326 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGKSYIVKHVYFTKVIEGSFEKFGWVDVYHSFNIRDFSSRLLLDLHSGSLQHAGMLRIRDPIQACRQLLKKHACLIVIDGLQSTEEWDSIKAALGFEPDQTRSRIIVIANEESVASYCSKNWWSVEGLEIDDALELFKRTITKRRHSFWPADLSPADIEREKSVLHKCGGLPKVIIAVAHFIANGWRPKEDYFIQVLENHPAFGSLRDLFSWVDSFFRSCPDSLKPCIFYLSIFPVNHKIRRRRLVRRWIAEGYSRDTKDMTAEEKGEESFEKLCFRNMVQVPGSTSLSYMTRMPLWQVNGFLREYIVSRSMEENLVFELAGHCSVNSQRHAGRHLTIGSTWDRDKSVYESIDFSRLRSLTVFGKWETFFISDKMSILRVLDLEDASSVTDGDLEQMVMLLPRLKFLSLRGCREITRLPDSFGGLRQLQTLDIRHTSIVKLPLCITKIKKLQHIRAGTAVQLDDDTSIVESLPPSPEAGSATATPPSLSIPSMRRPPAATPVSRFCFPESWTRRWQLLPGSQNGGVEVPRGIGKMMMVHNISVIDVSGASGPAILEELKNLTQLRKLGVSGVKPENCKELCSAISGYAHLEFLSLWLDKNQDGCLDSISPPPEELQSLELYGHVVKLPAWIKMLSNLRKLKLRLTMITQHDVDLLRDLPSLHTLCLCFKDFECGELRFRGKGCFGRLWVLEIACNSRLQSVTFADNTMLGLEVLKIRCYNVPSSLKFYGLEKLRGLREILLSGLYHDKVKQRLEDQLKGRPREMKPVLKEEAGSSRWALIS >KQK94700 pep chromosome:Setaria_italica_v2.0:VIII:25276223:25276577:-1 gene:SETIT_028612mg transcript:KQK94700 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDACAETPPCNDGSSSCANRKECVNSFGSQPRHWHQRREAAAM >KQK94828 pep chromosome:Setaria_italica_v2.0:VIII:27701787:27703012:1 gene:SETIT_027971mg transcript:KQK94828 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVHDHEEVSSHEEDMVEAFALLYHHVFSYIKPMALKCAVELGIPDAIHGRGGAATLADIAADTGVHEARLPDLRCLMKLLTTSGLFGATAAAVADGGGEAAAAYTLTAASSLVVGPRGLSNIVRFGDGPVSLSPFFDMPAWLRTAPAPEAPKSLFELTHGRSRWDPANADDETMNGAAFAESRLLIEAVLGGHGDVFRRLTSLVDVGGGHGSFAKAIAAAFPNVRCAVMDLPHVVADTPDAGGDVQFVAGDMFESIPPADAVLLKYVLHCWDDNNCVKILKHCKDAIPARDAGGKVIITEMVLGSGPRDTNVAETEEMHSLFLTCISGIGREEHEWKNIFTDAGFSDYKITPVMGPISVIEVYP >KQK95430 pep chromosome:Setaria_italica_v2.0:VIII:34395584:34397317:1 gene:SETIT_027558mg transcript:KQK95430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNSSSPSAAAAGIGGGASSAGSCSTSIITEAGSHVLRVECYSATKRLGVGKFIELNAFIVGGLSWVFRYYPNGDNKGSTNWIAVDVFCLDSKDEILDKEGEPAPRFYAARNTKVRGSHWYGLRSELEESEYLGDDCLNIKCEQQRVTVPPSDLHQDLGRLLLSGKGGDVVFEVGGERFTAHRNILAARSSVFGAELFGPMKEKTAAHIQIQDMESNVFRALLHFMYTDSLPAMEEAEEIVMAQHLLVAADMYDLKRLKLITVATTLVLAEQHACLLLKDYCLQFLVSPGNLKPIMETDEYRHLKSSCPSLLQDLLDKFATCKLVYWINNLNALHQY >KQK93584 pep chromosome:Setaria_italica_v2.0:VIII:2099297:2103365:-1 gene:SETIT_026313mg transcript:KQK93584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEAAPPPLGLDSTDPPRFQSDKLESPSPPVEGSAGGSGEDGAATKLQKAYRGYRTRRKLADSAVVVEELWWQALDFARLSHSTVSFFDEPKPETAASRWNRVSLNASKVGQGLSRDGKALKLAFQHWIEAIDPRHRYGHNLHFYYDVWCQSQAGQPFFYWLDVGEGKELDLPECPRAKLRKQCIKYLGPQERENYEYIINEGKIIHKQSGEPLDTSQGPKGTKWIFVMSTAKRLYAGKKERGVFQHSSFLAGGTTIAAGRFTAENGIIKSIWAYSGHYKPSAENLSNFMNFLEENGVDLKEVEVRSSTKEDYNEDPVPDGSQNLTAEFMGSVPPEVISSPNITEGDEGENAPAEQAKPTYERTLSGGLQSPRATGILQKAILERMKSKGESKSYQLGHRLSLKWSTGAGPRIGCVKDYPMELRMQALEMVDLSPRASTPSASRRLPSCLSPTKATSPTSPLATMQASLPQLS >KQK94455 pep chromosome:Setaria_italica_v2.0:VIII:17456449:17456858:1 gene:SETIT_028437mg transcript:KQK94455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTFESAKSYLFAYDVRSAKQIVISSLVMIKPEVIILLVLVCFMSALWFRLHCFY >KQK94676 pep chromosome:Setaria_italica_v2.0:VIII:24729637:24733012:1 gene:SETIT_026351mg transcript:KQK94676 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVEMKENGVAAAADAGEKVAQPQLNVKRGEPTLVPPAAPTPTGEQYYLSNLDQNIAVIVQTVYCYKPSPPGDGKDKDDVATALRDALARVLVHYHPLAGRLGISPEMKLTVELTAEGVVFVEGDADCDLAVVGDLTKPDPAALGQLVYSVPGAKHILEMPPMTAQVTRFSCGGFALGLAMNHCMFDGIGAMEFVNSWAETARGAAELTVPPFLDRSLLKARDPPAHTFPHHEFAEIPDVSDTAALYGSQELLYRSFCFDPDRLERVRALALAGGDLARCTTFEALSGLVWRARTRALGLAPEQRTKLLFAVDGRRRFVPPLPRGYFGNGIVLTNAIATAGELLSAPVSRAAALVQDAVRMVTDEYMRSAVDYFEATRARPSLASTLLITTWSRLEFHGADFGWGEPVMSGPVTLPEKEVILFLAHGKERKSINVLLGLPATAMDAFQELMDEI >KQK93470 pep chromosome:Setaria_italica_v2.0:VIII:1299799:1316259:-1 gene:SETIT_025815mg transcript:KQK93470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPAPSTAAAPAAAEQTEAERLDALDRMLTRLALADDARLAPVLARVLPYAITSLASPAPAVRKLVMEILSHINKRVKHRPEIPLPMLDLWNIYTESTSSAIVRNFCIVYIEMAFERLPTEEKGNIAPDFLTNISNVPAQHQGIILRLVTKAIGECNIHKVDDTTTSKYRAITESNDGLVFADFCFHTLLYQTPLQGIGCPAGLSVAQSDRVTGKIPLKGDILASRKLGILNIIEAMNFAPEVVYPLYLSAASDSQESVSKKGEELLKRKASAVNLEDPNLIKKLFTLFNGTAGAENIAAELKVSPAHASVRMRLMSVFCRSIAAANAFPHTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTTETDSTGRDTKIFAYQAIGLLASRMPNLFRHDIFLNFLGSYDKTDMAIRLFTALRLEDQSLRLTIQEAATSLATAYKGASMRVLKDLEVLLLENCEAEQSEVRFSSIRWATALYDTQHCPSRYICMTGASDVKLDIREMALAGLNLLNDGRQPSAGSVDFNYPDVTEMINYICHQRPQLLDSDEQRNGKLLFPTKTFLSMIKFLMKCFEASDSPDLVQEDSSHSPVAKMCVILEHAMSNEGSSELHALALKSLVDLSTREPKLVSSRYADRIQWLRALLGHVDSDAREAASRLLGIASSALASSAALTLLSEFTSTLDQNRPSRFENYHGALCAIGYLTAGCLKQSYIPEGIVKKSVDILVKVVESEGSTLASVAMESLGHIGLRCALPSINQNSSTGGLLTILHEKLSKLLSENDTKAIQKILVSLGHISWNEMSFPHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADEILETNFVSLSQATNYLTSDAPLVSSNVYERSGCEEAHTMAREEIIKKLFETLIYSSRKEERCAGTVWLVSMTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSIVYELGDASMKEQLVHALVNTLTGTARKKKAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNSLIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWTTAFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMIIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALRPHLPELVSCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDKNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMIDIKPFTALLLKLLYSAVLEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADIIAGYNAVVIPVIFVSRFDDDKDTSALYEELWEDIPSSERVTLALYLPETICLLCDCMSSSSWAGKKKSAKATKKLCDVIGESLSPHHHNILESLLKELPGRFWEGKDAILDALASLCSCCHDAITAEDSSLPSVILNAVCAACNKKSKVYREAAFLCLQKVITAFRDPGFFNSVFPMLYKVSNQSVISKTKGSSLTTSSAGAEQDESEGASVSLDKVLNCATSCISVAFPQDIMSQKKNVLEVILNSLSPEESWQVKLSSFSCVKELCHKFQNSDDSDTWPQATASLVQELFHLVSAKVVDSIRLVKIAQVHTAASECLLELSKLYRDFPLTDRTEAKFEGELAELCESEKSEQAKALLKECLAILKTLPGVTMTTD >KQK93471 pep chromosome:Setaria_italica_v2.0:VIII:1300941:1316259:-1 gene:SETIT_025815mg transcript:KQK93471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEQPAPSTAAAPAAAEQTEAERLDALDRMLTRLALADDARLAPVLARVLPYAITSLASPAPAVRKLVMEILSHINKRVKHRPEIPLPMLDLWNIYTESTSSAIVRNFCIVYIEMAFERLPTEEKGNIAPDFLTNISNVPAQHQGIILRLVTKAIGECNIHKVDDTTTSKYRAITESNDGLVFADFCFHTLLYQTPLQGIGCPAGLSVAQSDRVTGKIPLKGDILASRKLGILNIIEAMNFAPEVVYPLYLSAASDSQESVSKKGEELLKRKASAVNLEDPNLIKKLFTLFNGTAGAENIAAELKVSPAHASVRMRLMSVFCRSIAAANAFPHTLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAVTDQLKLIGPVILSGILRSLDGSSTTETDSTGRDTKIFAYQAIGLLASRMPNLFRHDIFLNFLGSYDKTDMAIRLFTALRLEDQSLRLTIQEAATSLATAYKGASMRVLKDLEVLLLENCEAEQSEVRFSSIRWATALYDTQHCPSRYICMTGASDVKLDIREMALAGLNLLNDGRQPSAGSVDFNYPDVTEMINYICHQRPQLLDSDEQRNGKLLFPTKTFLSMIKFLMKCFEASDSPDLVQEDSSHSPVAKMCVILEHAMSNEGSSELHALALKSLVDLSTREPKLVSSRYADRIQWLRALLGHVDSDAREAASRLLGIASSALASSAALTLLSEFTSTLDQNRPSRFENYHGALCAIGYLTAGCLKQSYIPEGIVKKSVDILVKVVESEGSTLASVAMESLGHIGLRCALPSINQNSSTGGLLTILHEKLSKLLSENDTKAIQKILVSLGHISWNEMSFPHLNNALDLIFSLSRSKVEDVLFAAGEALSFIWGEVPVTADEILETNFVSLSQATNYLTSDAPLVSSNVYERSGCEEAHTMAREEIIKKLFETLIYSSRKEERCAGTVWLVSMTMYCGRHPKILELLPQIQEALSHLLGDPNELTQDLASQGMSIVYELGDASMKEQLVHALVNTLTGTARKKKAIKLMEDSEVFQEGTIGNNPTGGKLSTYKELCSLANEMGQPDLIYKFMDLANYQAALNSKRGAAFGFSKIAKQAGEALQPYLNSLIPRLVRYQYDPDKNIQDSMAHIWKLIVSDPKKAIDEHYDVIVEDLLVQSGSRLWRSREASCLALADIIQGRRYSQVSKHLRKIWTTAFRAMDDIKETVRNAGDSLCRAVSSLTIRLCDVSLTSTSDANETMIIVLPYLLSEGILSKVSSVQKASISLVMKLAKGAGPALRPHLPELVSCMLECLSSLEDQRLNYVEMHAGNAGIKTDKLESLRIAVAKDSPMWETLDICIKVVDKNSLDILVPRLAQMVRSAVGLNTRVGVASFITLLVQKVMIDIKPFTALLLKLLYSAVLEERSSAAKRAFASSCATVLKYASPSQAQKLIEDTTSLHSGGKNDQLSGAILIKAYLSNAADIIAGYNAVVIPVIFVSRFDDDKDTSALYEELWEDIPSSERVTLALYLPETICLLCDCMSSSSWAGKKKSAKATKKLCDVIGESLSPHHHNILESLLKELPGRFWEGKDAILDALASLCSCCHDAITAEDSSLPSVILNAVCAACNKKSKVYREAAFLCLQKVITAFRDPGFFNSVFPMLYKVSNQSVISKTKGSSLTTSSAGAEQDESEGASVSLDKVLNCATSCISVAFPQDIMSQKKNVLEVILNSLSPEESWQVKLSSFSCVKELCHKFQNSDDSDTWPQATASLVQELFHLVSAKVVDSIRLVKIAQVIFYIPSPN >KQK94949 pep chromosome:Setaria_italica_v2.0:VIII:29067724:29068617:1 gene:SETIT_026422mg transcript:KQK94949 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALRGTAIPGSSSQKSAPDRISALPDELLLHVMYFLTLQEAAQTSLLSRRWQNLWASLMWLNFDAAKFSSMRTYRKFVNNALLIRSSLPVPVPLDAFWISAECDNSDDSLDYSDMHPWIRHALNSKAWAIGILKHFGPKPLSMQGYPFPFTSVYLKILGLCHCSIDDWFAQNLSSCCPLLDDLDLMSCAIHATMFSSTTLKSLAITSTQTEKDFPIEFQYLVINMPNLVSLSLEEIPRRNIHLMDVSSVKTVSIYLYSLSFSNSQVQCSILSALSNANSLTLVSPSVYEDVCYLL >KQK94950 pep chromosome:Setaria_italica_v2.0:VIII:29067724:29069420:1 gene:SETIT_026422mg transcript:KQK94950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQALRGTAIPGSSSQKSAPDRISALPDELLLHVMYFLTLQEAAQTSLLSRRWQNLWASLMWLNFDAAKFSSMRTYRKFVNNALLIRSSLPVPVPLDAFWISAECDNSDDSLDYSDMHPWIRHALNSKAWAIGILKHFGPKPLSMQGYPFPFTSVYLKILGLCHCSIDDWFAQNLSSCCPLLDDLDLMSCAIHATMFSSTTLKSLAITSTQTEKDFPIEFQYLVINMPNLVSLSLEEIPRRNIHLMDVSSVKTVSIYLYSLSFSNSQVQCSILSALSNANSLTLVSPSVYEDVVPKVLQRDLPRCETFSKLKRLHLGEWFLSGGCYPLIYLLRRSPSVEKVILQLDTSGADDYDRLANAISEICRPCKEATMTFGCEKLKKIRIYCRLERDKRAQIIMLILSTHISPLPSIKIKPLPV >KQK95916 pep chromosome:Setaria_italica_v2.0:VIII:39562264:39566909:-1 gene:SETIT_026021mg transcript:KQK95916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLWFLLIPTLAFSYLIIPLPPLVAGASGSNIAPPPPALPAAPRPGCPSMCGNVNIPYPFGIGVECAWRPGMGFSITCDHSYNPPRPYTGDFEVISISLEAGEMHVFSDVAYICYNSSTTTASNGVSAWTLSFNPAFLISPTRNIFTGIGCDAIAFLNGREDFSFFTGCITSCNSLHAAAEDGEECTGLGCCQSSIPTNLSTIKIYWGNNESYTPTNLAWEYSPCNYAFIGENDWYHFNQSDLKRDANNKTFIDRVGNRTIPMVLDWSIRDGMSCQAPTKDYAGAPTAPACISRNSFCVNAAHGPGYLCNCSKGYTGNPYVTDGCTNINECKLRKSNPAIYEKLCGSNSKCHDTQGDYECKCKFGHKGDGKSEKGCQPIIEGYAIAIVVTLVSLTILVILLCLLYMEYRRRIRRGHFDKNGGKILEGAANIVTFSEDELRKITNNFSKDRQIGRGAFGMVYKGTTDDNKRVAVKRPIVEGEKSRQGGEFVDEIIFQFAISHTNIVRLVGCCLETSIPMLVFEFIPNGSLSDMLHGAGKQGSLSLQMRLDIAIGSAEALTYMHSHDAGHNNRIHGDVKSGNILLDDDLNPKVSDFGSSKLVSIASRYAKWAVSGDMSYIDPVYINTGSFTEKSDVYSFGVVLLELITRKKARYDGCNSLPMNFVKTCKKEGNGWKMYDRDIFSGEDAQTSQRYIECLDRIGALAVRCLKEDVEERPTMAEVVEKLKQVKLIAFGNSGSEAR >KQK94214 pep chromosome:Setaria_italica_v2.0:VIII:10920456:10922192:1 gene:SETIT_026165mg transcript:KQK94214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGQAAKAKESGKDDRQEPGAEVMKEKVLPSHQQEESSASLMDKDSSGVAGDVSPVLDGDSGELKVENLDINGNKEKKTSQKSSTSEGFASAKVSDGTSSLRKTSGSATMSTRADFTESGKSSMCRASTGSDISDESSCSSMSSATTKPHKGNDSRWEAIHVVKSRDNVLGLNHFRLLKKLGSGDIGSVYLSELSGTRSYFAMKVMDKTSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKYYSEQAAKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCSVSLTVIKSANPGLDALQRNNAAYCAQPACIEPSCIQPSCVAPTTCFGPRFFRKSKSKSKSKKDKSKPDAPNQENLFPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFAIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPIVSFSARDMIRGLLVKDPQHRLGYKRGATEIKQHPFFEGVNWALIRCASPPDIPKPVELDCRPKQVPSTNGKVAPVANPKGPDNYLEFEFF >KQK96015 pep chromosome:Setaria_italica_v2.0:VIII:40459713:40460590:-1 gene:SETIT_027120mg transcript:KQK96015 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTTLMVIMCLVILSLNVNPTAAECGCCASAQAKLCCQLCIRAGASDAVCKNTCCFPCFLDDSVAAKMVEMEVLAKMEGAGQA >KQK94943 pep chromosome:Setaria_italica_v2.0:VIII:29032584:29034884:1 gene:SETIT_027268mg transcript:KQK94943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPEDNEHLPGGLPPDHDQENLIVDNNQNIRTFTEDEIIRITSNYSTLIGQGGFGRSCSDDFDVVAVKRYIRKELREEFMEEVSIHRQMSHKNVVKLIGYCIGESTLTMVTEYISKGNLDDILHNSAISIPLDIRLGIAIGCAEALGYMHSMHLSSDSLVNQGDIKPANILLDGNLTAKVSDFGLARLLLGGITQYTRRLTPKSDVYSFGAVLLEIIARKRIKQGNCSLISTFSNACGKGESLRKLFDAEIASKAFMKILEEIGKLATECLILDIHKRPKISDVAKRLLVYFNCTSSVGHCRNKSLGVFETDVVDPDILIKLGNMRFFTVGELNEITKNFSNLVGEDWLAEVDVPIFIHEYAANSTLSDLLNGTKCFPLELRLQIAIRTAKALAYMHSSDSGCIRHASVNPSNILLDDNFMRKVSAFSLSRRLTKDTKDYDYAGSVVVYRNYSDPNFVQTGLLTVKSDVYSFGVILFELITRKISTYDEKCGLMDLVTKYKGAYQSDNRGVLAMFDKDIKATEDIILLDEIGRLATECTKLESDEKPTMKEVAERLEKLRASWKTFCGEGQPRWAATQVAHTVIWYYDCTMLDANGRSPL >KQK95687 pep chromosome:Setaria_italica_v2.0:VIII:37192121:37192720:1 gene:SETIT_027033mg transcript:KQK95687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASANGAMLRKAIPVAACIVLVLLSMGPPVMADIQDECRAACLPPCNDFVSDACNIVTRIAPVLQLKKSFLRECKEQVSPVCVPTCIRFCIGFSMLPGAPTPAPASTAAPPPCKP >KQK94147 pep chromosome:Setaria_italica_v2.0:VIII:9715346:9715432:1 gene:SETIT_0280282mg transcript:KQK94147 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRERKCGWITSPPMVCAHGGDSTNAFPNS >KQK95175 pep chromosome:Setaria_italica_v2.0:VIII:31646602:31648371:1 gene:SETIT_027525mg transcript:KQK95175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSERGMHPALYKAATQGNVASLMKLVKPDDPSVLSSTTPQLNAALHLAALHGHAKFARQILDINEELLVAQNDDGDTPLHLAAKAGKLEAAKLLVGRSLAWSMDRKTPLIMTNKEGNNALHEAVRFRRVAVALALVDADPSCGHDLNERRESALDVAARQGLVKIAQRIVDYPWVEQKQESLPPVSGTALHQAVLGTHLMYLHVTSQQQSFNKYHCTAEIVEILLERRPELVERIDSNGNNALHYAAQKNNRRVVEMVLNKRTELAYKPNKERQSPLHVAAHYGSTEAIKALLRECPDVAEMVDSSGRNALHVSVASGKADALRCLLRGVRPAELLNRVDRNGNTPLHLAAKMSRVKAALLLLGDHRVDICFRDRDGQTARSYVEMNVETKLRTGDQLDAYEMHLLKQLKQQESKRCRKQQLPPISGRRRALNTKDFDSVVDAYFLAATLIATVTFAATFTMPGGYDQNRGIPLHGRSAAFKIFVLSNTVAMCSSVVVIFLLIWARQEPVRLRLHNLMWTQTLTIIACLAMLVSLMTAVYVTVAPIAPWLADAVIAIGACSPALFFFISWLGR >KQK94579 pep chromosome:Setaria_italica_v2.0:VIII:22616426:22621829:-1 gene:SETIT_026224mg transcript:KQK94579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGPGSEASLRRRRGPARLWVAVAALVAGTIWLCSSSSVGLFGASYRVQDVDVNKLWRTADSNGWRASSAPRTYWSPPPTESESSGYLRVRCNGGLNQQRSAICNAVVAARIMNATLVLPELDTNSFWHDESGFVGIYDVPHFIKTLKYDVRIVMSIPETTANGKTKKLKAHQIRPPRDAPVSWYATVALEKMKKYGAVYLTPFSHRLAEDIDDPELQRLRCRVNYHALRFKPHIMKTSSEIVTKLRSEGHFMSIHLRFELDMLAFAGCIDIFRPQEQKILLKYRKEHFAEKILIPRERRLIGKCPLTPEEVGLILRAMGFDNTTRIYLASGELFGGKRFMKPFKAMFPRLENHSTVGPGKLEENTQGLAGSAVDYMVCLLSDIFIPTYDGPSNFANNLMGHRLYYGFRTTITPNRKALAPIFIDRAQGRTAGFEQRIRQVMFNTHFGGPHKRIHPESFYTNSWPECFCQTNTRNHADQCPPDNINDVLESQFQSEEEMEEARATNQTDSSGQAEETMI >KQK93894 pep chromosome:Setaria_italica_v2.0:VIII:5672435:5675324:-1 gene:SETIT_026342mg transcript:KQK93894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKITGVLDPNFPSHAHSSRHPSDARHASCPASGQPAHHPYRAKASLPNLHVRHIEPFPATRGAPPLSITSPSGQNTLRALQRPALAIDDCFVPIPSINSNPAKLMDFGGALQLPPGFRFHPTDDELVNYYLLRKCGGLPLAAPVIAEVDLYKFDPWNLPEKAMGGEKEWYFFSPRDRKYPNGSRPNRAAGAGYWKATGADKPVGSPRPVAIKKALVFYAGKPPKGVKTNWIMHEYRLADVDRSAAARKKTNNNALRLDDWVLCRIYNKKGVIERYDTVDDDEVAAAAADDVKPAAAKNPRGAAAPMKVEMADYGGYYDDYDLETPSAGMLCFDRPGEAAAMVPAPAPAPAALSPTEPDPDRDSSSVPWTMHTDNSSSGSEHVLSPSPDLPDRDHAESQPGGGAGWWPGGDWGGAAADDGFVVMDDCGSALFGPPSPALFARVDAAAFGDMFAYLQRPPF >KQK95245 pep chromosome:Setaria_italica_v2.0:VIII:32598874:32603871:-1 gene:SETIT_026752mg transcript:KQK95245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVADTESSDLLPGSSNAAAETPVHGSLDQRSQEKTPKKTHKAEREKLKRDQLNELFLELGSMLDLDRQNTGKATVLGDAARVLRDLVTQVESLRKEQSALLSEQQYVSSEKNELQEENTTLKSQISELANDLCARMGSSSLSLSSPGMSHPVANATSPDLATHPMPHHMWGNIPNLSSVAMAHQTTTVSPVHSQHHSANDVEVYAPPPQELQLFPGTSSSPEHECSGIRSAATNSSSLTDSLPGQLCLSLTQSSQEESSSGVLGRRKER >KQK93290 pep chromosome:Setaria_italica_v2.0:VIII:368174:368585:1 gene:SETIT_028566mg transcript:KQK93290 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMQIKYFFFLKEHKLNIFFLEGTQHQLQLVGPGIVINIYVDDSIILSQLGLLAARSLILHSSDKYEL >KQK93711 pep chromosome:Setaria_italica_v2.0:VIII:3455489:3457851:1 gene:SETIT_027530mg transcript:KQK93711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRSIVRDVRDGFGSLSRRGFEVRLLGHRRGKSHGAVHELQDPVPVVQSSCWASLPPELLRDVIERLEASEDTWPSRKNVVVCAAVCRTWREMCREIVKSPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSTQIYYLYLCLSSTVLVENGKFLLSAKRNIRPTCTEYIISMNSGNVSRSTNTNTYIGKLRSNFLGTKFVIYDTQPPYNAASATQSGKTSQRFYSKKASTKVSRSRYSIAQVSYELNVLGTRGPRRMNCVMHSIPASCLEAGGSVPCQPDSIVAHSLGGSFSSVSLSKSSVMDHSMHFSSARFSDVATGLGLGSEGRALFDDEECNDRPLILRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAATQPAAGAPTPSQPAPAPALDQDKVILQFGKVAKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >KQK95758 pep chromosome:Setaria_italica_v2.0:VIII:37848980:37850434:1 gene:SETIT_028302mg transcript:KQK95758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDHIVLTEDEVRRGQEKMMAKVAELLSFPLGFAATVLRHFKWNEGRVEERWFSDDRRIRDAVGLPADGVPVPMALSAAEASCAICFAEYPAGQMRSAGCAHFYCGECWRGYIGAALDEGARCLSLRCPDTSCSAAVVMELVDAAAGAEDRDRYARFALRSFVEEGSGRIKWCPAPGCTLAVEYVGGADGDGNADAAADVFCACRHGFCWRCGEEAHRPVSCDTVRAWLEKNSSYSETANWVLLNTKLCPQCRRPIEKNQGCMHMTCLPPCGYEFCWVCLDPWKNHRRCRGFGQGGAPDGDGDGGGSSREEQEQRRRHAQMSLDRYLYHYERWVANYTSLEKVRQDMDELESSEIRRIAAIVELNETNFAFLNEAYEQIAHGRRVLKWAYAYGYYLDPVRDAAKRGLFEHLLDQANSRLDQLHDAAELERREIFCSSAERTIVLDLLSYYQAKVKDHTKATQQFMGNLVKAFETTDLPEFKSLN >KQK94470 pep chromosome:Setaria_italica_v2.0:VIII:18489704:18492912:1 gene:SETIT_026881mg transcript:KQK94470 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDREECTRIGSAGRVSENVEVKIVDHITGKPLSVGQRGELFVRGPTVMTGYVGDDEANASTFDSEGWLKTGDLCYVDQDGFLFVVDRLKELIKYKGYQVPPAELELVLQTLPEVVEAAVMPYPHEEAGQIPIALVVRKPGSKVTEAQVVDHVAKRVASYKKIRKVLFVDSIPKSPAGKILRRQLTKYVQFGAVSRL >KQK93456 pep chromosome:Setaria_italica_v2.0:VIII:1239346:1240671:-1 gene:SETIT_027489mg transcript:KQK93456 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRGKRKTGDLHGRVKPSKELEESEDPDQIQMGVLEVVGGGDSDEDRADGDPLMQETEHLNPTGIVGDELDEGQGRSAYLVACHWDWSEYSKPYAVYKVDVATSYPSRVKRKRLHRVTRLATVAGGKTFTSVRSVHRARIIGVGGDPGDTVIFDTKTKKVIHGPTLNSKKWCPVLTTVGDKVYALSKTPSWLSDPDFPPWFEVLDLSKAKVVTVDGCSHLEGCAWIELPHPPCLLWKLTPFGYMMLPVVVVKSYVLVDTYILVSFNQLWGTYAFDTNSVEWHKVDNKLLPFFGRATPHGSLFLGLSKHNGPINAYRINVTTPDKDHAPNLSITVLPVKYMDNEVDAGPSFFSLEDGCFCSFSFSLDSCSITLHPKKRELFPKEAHLNLRTYQTENPSSLEVQEETLLAVNPEVAVCSQWEQAFKICSSHGFCPSAFALLSV >KQK93854 pep chromosome:Setaria_italica_v2.0:VIII:5164526:5167071:-1 gene:SETIT_026362mg transcript:KQK93854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTTKASSSQRPRRGSGRPANVLFNIEAVFGIHNSSTTAEDRTRNGKAIQVSFCLKRPPQPSTLYVYSSDLNRSLPPEILYSVDDLLLLRVNMGSGPCDSRGRDYDYFVYRADSTRPSLELLRRPHPHLSSSSAGLLPRPDGHYTVAALNFTGTVHQFELHLFHSDTSTWISRMLSVDAPLEDFPVKVPRNCDRLFDHHTTTVITIGGEGGTMGWVDLWREILLCDVLVGQPSLRSMPIPLPLVEMSYNNGRGVELGNPAQRRGIAFIRGKGCLRLVHLEITEERLPENDAETGFISVRVDDWALTTWSNKEMSSSLEDWHKESVVKASSIAIDPAISQALEDTGLLRRPRENEAATEWQNLQNLSMSRPSPCISGEDIVYLVAREKFMHPKACILSVDMKNGGRLRSAAYFCTRRYRGLDVIYCPSRMSKYMNPATTPVTVRKDAEE >KQK93718 pep chromosome:Setaria_italica_v2.0:VIII:3540345:3541879:-1 gene:SETIT_027227mg transcript:KQK93718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVKGVVGDGQTDGDRGPSIKTSGPTTKTIQVKSTILFLPCTFPVSCWRRRSRDDTG >KQK95055 pep chromosome:Setaria_italica_v2.0:VIII:30340670:30346990:-1 gene:SETIT_026244mg transcript:KQK95055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAANPNPRHKPMPPPAVPAAAAAAAHNPQAASSRASSSSSSAADANPNRRTHPGNAASTASGAASAPPDPAPSLNGEAGRSPLLPAPHPPPTLGASPLLPPPPPVPLPPSRPLLTVAAVEAVMDAIPPPPRYGLEDLDRRTVALSDGTVRTYFALPLEPPPQLRQPPPPIPAHLLAPPPPPPPALLRPPLERWAPPPMPMPALLPTAGLLPMPVPKRKWEDQANGGVPGQSSGRQQQHKADARAAKQVKVEETGVDPKALKSSFLKMVKLMNESEIAKKNYRADGKLCQLKCPVCQRDSIDLHALLNHAYHAKNAEHRADHLGFHKALCVLMGWNYSVAPVHKTAYQALSTADAEANQGDLILWPPTVIIENAYKSTNDGQKDVMSNKDMESKLREMGFAGVDVQPLPGKNGQRSMHAKFPASLDGLNKASQLVELFECQGHGRAAWARIRSIAVDGANHPLVVKVDGKGERTWVLYGYLATAWDLDMLDPESKQNVTVKSRKELDLD >KQK94654 pep chromosome:Setaria_italica_v2.0:VIII:24088480:24090075:-1 gene:SETIT_028355mg transcript:KQK94654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPTQICHMNNGDSLNGVAIRVVTKETAAPPAHSASLLDTDEFRRHGHQVIDFIADYYAGMGSYPVRPSVTPGFLRDKLPAEAPRRPDNGFAAALRDVRDHILPGLTHWQSPRHFAHFPASSSTAGALGEALAAGINVVPFTWTASPAATELEMVVMDWLGKALHLPESLLFPGGGGGTLLGTSCEAILCVLVAARERKLAEIGSRRIGDLVVYCSDQTHFALRKAARIAGIHRDNCREIPTCRDHMFALSPADLRTAMDADVDAGLVPLFLCATIGTTQTAAVDPVRELCDVAAAHGAWTHIDAAYAGSALVCPEFRHVMDGAEAVDSFSMNAHKWLLANTDCCALWVRRPALLVAGLGTEQEYILKDSAEDGHDVVDYKDWSVTLTRRFRALKLWLVLRCYGVEGLRDHVRAHVRMAAEFERMVSSDERFEVVVTRQFSLVCFRLRSPEKLGGEKAANEINRRLLQEVNVTSSGPYMSSAKVGGIYMLRCAIGSTLTEERHVTEAWKVVQDRGAELLRKMEISSSVLA >KQK95809 pep chromosome:Setaria_italica_v2.0:VIII:38214314:38214743:-1 gene:SETIT_028116mg transcript:KQK95809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADMAREPKKEGQSRAPPHAGDCSQSRRLASRSVQLELVVSTYAGGPALHIHNNRKQVLHCNSKNQATSRPLVKLHCLFYKTVCRLITSANCLEDTEKRDLTFVIPENLI >KQK95951 pep chromosome:Setaria_italica_v2.0:VIII:40051058:40051554:-1 gene:SETIT_027851mg transcript:KQK95951 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLLGDDNYMITSSMLGTLGYMAPEYGSYRKASCKSDVFSYGIMLLEVFTGKRPTDPMFVVDLSIKRWVRQAFPIQLASVLDDGLLQGVSSSASNLNDFLTTIFELGLICSSDSPDQRMSMRDVSGTQED >KQK93636 pep chromosome:Setaria_italica_v2.0:VIII:2480586:2482178:1 gene:SETIT_026550mg transcript:KQK93636 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNRSSGGVCPAKSDKNISGVCPVTGKNHGAEEQGSTGNAEGKSSDPRLVPAKCPFGYDSGTFKLGPLSCMICQALLHECSRCKPCSHKFCKACVSRFKDCPLCGADIEGIEPDSELQALVNRFIDGHARIKRSHASGDVEVLGGKNKVIYEDVSMERGAFLVQQAMRAFRAQNIGSAKSRLSMCAEDIREELKSSEDNLDLRSQLGAVLGMLGDCWYSPVSTMLLLNSHFGKLSILLAGTQRNMNALFVQPSKSLPIVSFMCI >KQK93637 pep chromosome:Setaria_italica_v2.0:VIII:2480586:2485655:1 gene:SETIT_026550mg transcript:KQK93637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSNRSSGGVCPAKSDKNISGVCPVTGKNHGAEEQGSTGNAEGKSSDPRLVPAKCPFGYDSGTFKLGPLSCMICQALLHECSRCKPCSHKFCKACVSRFKDCPLCGADIEGIEPDSELQALVNRFIDGHARIKRSHASGDVEVLGGKNKVIYEDVSMERGAFLVQQAMRAFRAQNIGSAKSRLSMCAEDIREELKSSEDNLDLRSQLGAVLGMLGDCCRTLGDSPSAITYYEESAEFLSKLPVKDLELVHTLSVSLNKIGDLRYYDGNLQSARSYYARSLDVRRNSVKEHSAVASQVIDLATSLAKVADVDRNLGNESTAVKGFKEAIECLEKLKLGSEQASLEQRRLSVLDFLHNQLADK >KQK94048 pep chromosome:Setaria_italica_v2.0:VIII:8199523:8200027:1 gene:SETIT_027052mg transcript:KQK94048 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTRSGKMRAVFLVAAAMFVVIVSCTLFSTTYARCDLIPGGICSEENCHVEYCGKLYGQEHHYEKVYCLKTPPFPDQCCCEVKVGSPPPSGHHPSPPKSSSKPGVVVK >KQK96022 pep chromosome:Setaria_italica_v2.0:VIII:40556563:40557656:-1 gene:SETIT_026741mg transcript:KQK96022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSSTAMDRIGSYWGMWGARRSTSSSSTASPITAWAAAAAAGGEPSWEEQAFERDAAAHLGGCVWPPRSYSCTFCQREFRSAQALGGHMNVHRRDRALLRQGGSSPDAPNDQPQEGALLYRAASSPSTTTAATNAAGTAAGSAANKGGAKNTTTPPATSYLSTIIKESKNKLLMSMPAAPVEMREDHCDLADNNGEESARTKRRRLDHPSAAALLIFVQPVAEVTACESSQGLQGGVDHDAKVPQATIPSPSSSSLLLVDQHEVDLELRLGTTPKVT >KQK95941 pep chromosome:Setaria_italica_v2.0:VIII:39793154:39794341:-1 gene:SETIT_027950mg transcript:KQK95941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWQHLQLLEIALSRLYLHWAGRPQIRRGFAGPTAIAAHRNSILFRMSVPFVDSMCWIDIPSFPVDYLVYSCYSSSSSSSSPPSLTVLPPFFDGGRTDPSDKYFQPYRRQHQRIMFNHNMGILCHGDNGEFTVGDITYRYDQEVDLCLLHHPPPAGTPPKWSVNRLQIPPEMKIDLYSWKTDLVVPIGRSLCWVDYYQGILLIDVVADSQGSPIQQQLHYVRLPSQALKSRRLYIDPGAPDPFRRVCVTDAGIIKLVCILTKYPPPDDEFTIITWTLVDINQGSWRKDVDTIVGADEFFGLCKAAKSCLPRVRPSFPVVSLVDPDVICFLLKEKGRNLSWMVEVNMRNKVLQSSAIYINEEEEEGPPSEKDRRNTFFGHSFIPTKFSSYLAEDAIT >KQK93379 pep chromosome:Setaria_italica_v2.0:VIII:850110:856324:-1 gene:SETIT_026005mg transcript:KQK93379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPWLVIPLIAIWATTQLLLPAAYRFEVTSPRLACVSVLLLTLFWYEILLPRLSLWRARRSARLREERRAHALELHKLRKTATRRCRNCSNPYRDQNPGGGKFMCSYCGHVSKRPVLDLNSAGKAPTGWPCAQDCGYWLDMRCSSGNNNSFLAFSWRLLSSFCSTAASWFLRKIFRFTSSGDDEGLGPDGKRLSKRGENGGKAEESRVEKARRKAEEKRLARLEREMLEEEERKQREEVAKLVEERRRLRDEKAEAEERSKSATPVGEKDARREAERRRQERRKREDKGSSKSNSDCEDIDRRLGREGDRKRDIDRKSDLDKREGYKPHYFEANNHSNKTVESRTKYFGRMTGGFLSSSRGFGGGSFFGRSAQAPAPQVNKVSRPVVPATDQGNALKRETQHAATQATAKSATAGETRSSWTNFNRPVSPNVQPHPTGLKKSWHQLFSRSASVSPCPDVTTSARDMNWKPEPNGAQISNAHSFLSHYPPLESKPSSSQSMHFPGFPPLNGSPPIKPLPHFPAGHTTFYDDAESTVFEESEQFEDPCYDPDAIALLGPVSESLDNFPLDLNCGFNSSNVTKESHGRPSPIESPLSRSRMVDEKPIKQPHSSTTKGPGGFISPEASSEQGTWQMWSTPLVQETLGLQGPQSQWLRQSTNQFNHSANLFNGGTNSSLSTGLNDSDPWLQKAPFQQLPPDTPSLFLPHEVQEKAINNDFVFGSPNKSAREHPFGPPGHPWPKEELVLKGAQEANHMSLAPCAHVGAGGLFSSTSPDVQSVWSFNEKETA >KQK94240 pep chromosome:Setaria_italica_v2.0:VIII:11618526:11620157:-1 gene:SETIT_028060mg transcript:KQK94240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANSEAVAESVDTKKSNVKYIATCTVHASMASLILGYGLGVLIGAALYIKKDLKITDVQLEVLMGVLSLYSVIGSFAAGRTSDWIGRRRTVILTAGIFLAAALLMALSVNYAMLMAGQFVAGVGAGYAIMIPPVYAAEISPPSSRGLMSSLPEVFGCAGVLLGYLSNYAFARLPLYLGWRVMVGVNAVPSVLLAVLVLGMPESPRWLVLKGRLRDARVVLEKITETPEEAAGSLADIKAAAGLPEGVDGDVVTVPKRSGGEEWQVWKDLVFSPSPAMRRILLSALGIHFFQQASGIDAFVLYSPRIFMGAGITDDRRLLGITCALGVTRTLVTLGAMFLLDRVGRRPLLLVSTGGMAVALAGLAAALTITTDRLRPDAEARWANGLAVACTVAYNAAYSAGIGTVAWVYSSEIFPLRERALGCAIGVAFNRAVSGVVGMTFLSLSKAITIGGAFFLYASMTVIAWVFVFTCLPETRGRTLEEMGKLFGMGNTSNVEAQDRDEDCKAVEMPMAN >KQK94833 pep chromosome:Setaria_italica_v2.0:VIII:27805468:27808045:1 gene:SETIT_028174mg transcript:KQK94833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARWNQRSIYRVPEFIKKLSNSDAYRPHFISLGPYHHGEPHLLPMEEHKRLAVLHMVKQASKPLQEFVAVIEDVVDELQCAYGDLDDKWRGANKGRFVEMVEGGCFVLEFIRAKAIRSEGKEDMEILGFHFLGILHKGYCGISPHWEGMDIHHPRTPSAVELNQAGIQFKKSNTDSIHDVDFKNGVLSMKVFKFYDSTELELLNLMAFEWLHPDAKQDVRSYISFLDNIIESETQHRHNRLGQVKWTMNAHCSKHRNKWGAIFVNNYLSNPWVFISLVAAVIPLIATIVQTIYTIVPFYTKKS >KQK95302 pep chromosome:Setaria_italica_v2.0:VIII:33175454:33176097:-1 gene:SETIT_028533mg transcript:KQK95302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAILLETAKILCVSRTEQQQLTAEVIKDSAWPFGSCGETF >KQK94010 pep chromosome:Setaria_italica_v2.0:VIII:7443302:7443811:-1 gene:SETIT_028385mg transcript:KQK94010 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFLTTNASCISVMAAAVPRRSLIVVRAASKPAEHQEQAAKPHSAYAGGRRQALMLLAAGAASTAVVSRSARADEPTIKVGGWVEIKDVMNPSVQDMGRWAVAEHNAKTGEKLQFSKVVRGQQQVVAGMNYMLDVETKEPSRFYGAFVFDPLPNSSEKRQLKYFKPLIG >KQK93702 pep chromosome:Setaria_italica_v2.0:VIII:3349845:3350558:1 gene:SETIT_028232mg transcript:KQK93702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATADDDTKLTIIIGPPTAAPGSKETCAACGALPTDGDAAIATTMPSPPPPPSAQRHVQWLRAAVLGASDGLVSTAALMLGVGAAHGGDGDLRAVLLAGLAGLVAGACSMAIGEYVSVHAQLDVELAELKRGGGGPPEPERAAGLPSPGQAAAASALAFASGGSVPLLAAWFVTGYEARVAVVVATATVALAVFGSLGAVLGRAPGGRAGLRAVVGGLVAMGITYGLMKLSRIHGV >KQK95634 pep chromosome:Setaria_italica_v2.0:VIII:36684008:36686138:1 gene:SETIT_026239mg transcript:KQK95634 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTHPLRPPHCACGRRRPLPPLAGHAATTAGGGATQFQCAQTAALAGTPPTAIPVRKLSCRNSTRILPASAAAATSRGTSPANRLPLRYTAPAVASSGGTRPESALWLRSTVAPAATRPVGRSSPEKAFLRSCRYPIRNAKSSPGTSPENQFRPRSRNASLGSRRSTAPTWPESRFPASESRLLRRSRWTSGARTDLGISPESALWESTSVRRSRSWSSDGGTRPVNAFLLRSTVRRPGANAGGRSPERRFPARARNRRAGSAATAGESAPVTRPGTREREARAESLETAAEGKGPDRPGAPARGLGGGAEVNAGAIDRHVGPHGAWGGSPGRASLGAGGGAADFYRPAPHPMTSGPGNVVARVTVRGARGRSGAGVLAGRVAALAAGTRWRVRTRPRTVPPRPHPMIRGRQFSEATGPHVSSSLLSTPGRRAGGPGPGGKRALYAPPVQEQRAQPRAGEAGQSSSSAAAAARWAPAVGASTNLGAPRLGSDDFVKLFPVLGSRETGTVSV >KQK95728 pep chromosome:Setaria_italica_v2.0:VIII:37577718:37583566:1 gene:SETIT_025962mg transcript:KQK95728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGIEGLLARDFGVRPQGKAAPMAGAASRPAAGSGAAWSNPGRSAPASSAAPSYDDLFGTPAPAPAPASASSFDSLFDSFKGPTTSSSSSAARAKPAPSSAPVFDDDIFDAVPGLRPSNSKSSSARYDDGVFGAAAAAPAYDDVFATGTRSAAPPPPAYDDDDFLGGFGSAPRAEEKRRPVAVDDDLLGGFGRKPVAAEEEGSGGAGFDDLIPGFAGSSPPRSRKANDDNKMKPPVPTSKPTASMADDPFVVLETASASGSAYTSPGRSADPLEDLDKPANSEGKAADSTAPDDSLFEEPVAFDQAPKSDPLFTSEINGHAKDRNPPSVARDSSPLHHSMDRNPARQSSIEDLGNIMPKSQSARYSDIHGNYTEDQSPRSTESEDDIWLTVSEIPLFTQPTTAPPPSRSPPLLKQKPQGANTNGKVNGHVRRSSQNHNHHTDLPKQPEVSSVDDLEGFAMGKPPAYDKTVFDEDFERSSSDHEERDRQERLEQEREMKLREEMERERRRLEKERELEQQRERERGRQAVERATKEARERAAAEARAEAEREARQRAQRAAVQRAQQEARERAAAAAKERAARVATEAKERAAAEAKERAAAEAKERERAAARERAAAERAAAERAQQEARKRAERAAVERAAAEARERQAAAAAAAAREKQSTPDDLESFFGVDARASSAPKQRAPTPTVDSMFGSGAQARGTANGSQRAASTSASVRKAPSASIFGDDLSDLFGAPASSDVFQDVEGESEERRRARLERHQRTRERAAKALAEKNERDMQVQREQAERDRIGDSLDFEIKRWSAGKEGNLRALLSTLQYILWPECGWQAVSLTDLITGAAVKKQYRKATLCIHPDKVQQKGATLQQKYIAEKVFDLLKESWNKFNSEELF >KQK93614 pep chromosome:Setaria_italica_v2.0:VIII:2311743:2312591:-1 gene:SETIT_027547mg transcript:KQK93614 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LNLQLPDEKVDSGCGSESDTARVRSKQQQQIGVRLPVICRHHRLHNQWIHPSINSSSLSWPLFLLGAVSLPIPAMEEDIVWEIGEIEAQGGGESPPLFDQATPPPLYPPASVSSLTRGDAAAASISNKRGRVAASSKAIQGLREVTAPPTDGSDDDCCAICLQDLDYSDKAHPVPVRAMPCSHTFHEHCIFEWLRRNAVCPLCRHQLSTEDDHEQEQEQGRRRRRRIMNFRIPYLYIDEDGQPHYGSSDDEEEEEEEVDPEQFEAALREFYAGLDQTIRPQS >KQK95744 pep chromosome:Setaria_italica_v2.0:VIII:37726046:37730580:1 gene:SETIT_026329mg transcript:KQK95744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPLASVEKIIKVALAIKEAVKTVRKNKEQCREIRKRVLRVSALLKRLQETEIMQDPAMCDSLEALEETLTHTHDLIIACQKKNIMCHFCMAWDLAKQLREVKQDISDQMVDGIFAANVNATIILTSIQYAACPLPPKNAGAVDISHCSHSTYDDRCGLMNHSKNNVPARSVLQSAPFSCLTKFSLSELETATNGFSDENLIGRGGFVSVYKVFHMLDQLSLTFLFYINSWSNDLYFSGFRLDWFNSFHIIQGIAHGLNYLHVQHIVHSDLKPSNILLDSNINPKIGDFGIARMLDHGNMTTRDVSCLAGTMGYMPPEYIVEGILSTKYDVYSFGVILLEIISSMCSSKPARRQASVEWAWEVRRIGLLIKEIKVRCNASEGDQIKRCMEVGLLCTQFNPADRPTMGDVLEMLNGKKELPTPRKPRYSKRRTGEGVRNFTLSVPNDGEGVRNFALSVPNDVRL >KQK94246 pep chromosome:Setaria_italica_v2.0:VIII:11747666:11749394:1 gene:SETIT_026526mg transcript:KQK94246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAGSKSVLVPTDAELLQAQADLWRHSLYYLTSMAFKCAVELGVPTAIHNLGGAASVKDLVTTLSLPETKLPFLRRVMRLLVTSGIFASESSADVETYRLNPLSWLLVEGVDAEDHTNQRSFVLATVSRHYVEAAMSLADWFKKDLAPPLPSPFEELHGVPLLHEKTPLLDKDLHDVVLEGLAAHDNLAIGTIMRECHDLFRGVQSLTDCCGGDGTTARAIVRAHPNIKCTVLDLPEVIKTAPADGVINYVAGDMFKSVPPSQAVLLKLVLHHWSDEDCVKILEQCKKAIPSREEGGKVIIIEIVLGPSLGPIMFEAQLLLDMLMMVNTRGRQRDENDWREIFMKAGFSDYKIVKKVGTRGVIEVYP >KQK94863 pep chromosome:Setaria_italica_v2.0:VIII:28075055:28076386:1 gene:SETIT_027617mg transcript:KQK94863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGSEVAPLRVSAFPAPGKQHGGAPPRLAGRARDVLVFAAGVAAAVLALLGSASVLGAPGSRLVAFPVPGPEDGPRTFYEDPELSYDAVDGRRLTGWDAKRAEWLRSRGLGRRPAPERVVMVSGSQPEPCPGDAGDHLMLRFLKNKLDYCRLHGIKLLYNRDFLQPAMTSYWAKIPIVRAAMLAHPEAEWVWWVDSDAVFTDMDFSLPLATKYAGRNFVAYGWPDKIERKSWLGINNGVFLLRNCQWSLDLLDEWARMGPAFPEHGQWAKVVLSSLADKGNSTWFDDQSALVYLLLYNWERLGKKAFIETDYFLMAYWLDVVDRLDGVTARYEAVERRMPWLRRRHAEREHMRYAAARNAAVSGAVPGPAGGGYKGWRRPLITHFVGCQPCNGERNPMYSRESCDDGMRRALGFADDQVLRAYGFRHAAPLNDSVRPLPFDYPA >KQK94046 pep chromosome:Setaria_italica_v2.0:VIII:8180421:8180786:-1 gene:SETIT_027899mg transcript:KQK94046 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LALIDPATKMLPVKVAALLLVCLTMYPHIVVGTCTKEQKNRIIVDCKKFIGHGPPHPFPRDPHAACCIAAREVPMMDMECIVDLLTAGEKKNYDENKIKGLRDFCMPRRRRQVKHLFSAVN >KQK95191 pep chromosome:Setaria_italica_v2.0:VIII:31809771:31815033:1 gene:SETIT_026405mg transcript:KQK95191 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDMGFEPQVRRIVEQMDMPPPGVRQTLLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTELIVQRIEFVQEADKRSHLMDLLHAQRDTGKQNLTLVFVETKRGADSLESWLCMNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDNNSSLAKSLAELMQESNQEVPAWLSRYAARPSYGGGGGRNRRSGGGNRFGGRDFRNDSSSFSKGGSRGGGGDYYGGGSSGGGSSSYGGGGYGGAGAPSAWD >KQK95192 pep chromosome:Setaria_italica_v2.0:VIII:31809771:31815033:1 gene:SETIT_026405mg transcript:KQK95192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACAQTGSGKTAAFCFPIISGIMRGPPAGRPQRGGGMGMRTAYPSALILSPTRELSMQIHEEARKFSYQTGVRVVVAYGGAPITQQLRDLERGVDILVATPGRLVDLLERARVSLQSIRYLALDEADRMLDMGFEPQVRRIVEQMDMPPPGVRQTLLFSATFPKEIQRMASDFLENYIFLAVGRVGSSTELIVQRIEFVQEADKRSHLMDLLHAQRDTGKQNLTLVFVETKRGADSLESWLCMNGFPATSIHGDRNQQEREYALRSFKSGQTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNDNNSSLAKSLAELMQESNQEVPAWLSRYAARPSYGGGGGRNRRSGGGNRFGGRDFRNDSSSFSKGGSRGGGGDYYGGGSSGGGSSSYGGGGYGGAGAPSAWD >KQK95205 pep chromosome:Setaria_italica_v2.0:VIII:31891124:31891737:1 gene:SETIT_028465mg transcript:KQK95205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMLVNRTPFVRFRLCGLYAKRRAMLSTKVFWIYPGGQWHLRLMGPEITSSTALESACWVGG >KQK95356 pep chromosome:Setaria_italica_v2.0:VIII:33724847:33725618:-1 gene:SETIT_027546mg transcript:KQK95356 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKICTMLVLLALSASPATAVLIPQCSATIPQYLPHATTSHMLTESILSSPAVFLQQQSALLQQQYLAHLTVQSIMAQQQRLLPFNQLAVENAYLQQQQLLPLNPLVVGNPAVFWQQQQLLPFTPLAISNPAAFWQQQQLLRVNPVATMNPASFWQQQQLLHGNPVAAMNPAAFWQQQQLLRVNPLTAMNLAALLQQPLVSS >KQK95714 pep chromosome:Setaria_italica_v2.0:VIII:37443793:37447483:1 gene:SETIT_026168mg transcript:KQK95714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAKRVASVAGTAALVYVALSERLSSASGGDAAEALRRQRWWEEDEAKKGEERWPERAPASWREAAAVTARTAGLAYAETLGKWTLGDIAFGINRYMRIQGNLQHEYTGTNCIPLEGPGVRQELIGLLRYLRLCLFFTKKPYEVFLDLGGYGQSDILIRKTKSKLMKPAFTIVRDESTKCFLLFIRGATSTKDRLTAATAAEVPFQHSVLHEGCKSKLVAGHAHCGMVAAARWIADQAIPCLSKAVEQFPEYRIKIIGHSMGAGIAAILTYMLREDNKLSSSSCIAFGPAACMTWDLAESGNDFITTVVNRNDLVPSLGRASAAIIRTEVMASSQAPDLRENIQQARILGFVNSSVNLMRSHIPFLHNPGSKVADTNDELKLSADVHAAVQKHSALSCWSSVAANRQTLESLMNPTQAMSIPALMSIYAGTDQNTRESASCSDEELIRLRSDAEQTNQEEKAAGQEHMKQILKSLRSSPTPSPRHQLYPPGRIMHMVVLPCPEEQGTGKHHDQDEVVAIYQTPRSMYGKIRLARSMIRDHYMPRYIETMEMLIDKLAEDDDDDTDDQLG >KQK94296 pep chromosome:Setaria_italica_v2.0:VIII:12706903:12708000:-1 gene:SETIT_027834mg transcript:KQK94296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGPRRRASSADAQPCFVPTAAAARLIGLPGPSWTALADAVLGLGDGRGLFEHARPVAARNGWLVLELRQERYTDGLKLCVCNPTTGDLAVLPPLAGADNLGDYACALYTGHDLDTPRPLSPFFRLLIVYNRRAFTALRSYSSDTGRWSTEAKRSWGPKIASEKLRELGQSIVFRGVAYWLLGRTAFAVRLDTPEPAEERMPQCGIPDLPPGWGAFGVAADGKLMFVDAALGLDYKAAVRSYHLTVAKRAVFCPSTGDDGCSGAWDWTNRYCIRLKELKVRCGGSQEEKVPPYGDKVNLRWLWFCEKSGNLLFTLGEGTGSPGAFVLNMVTEHVEKVADGVDCDSWRNFVGYEMDGAAYLASISRG >KQK95642 pep chromosome:Setaria_italica_v2.0:VIII:36774548:36778944:-1 gene:SETIT_026370mg transcript:KQK95642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAQAAARAEEKTCKAVANGGVGVGKAAAAAAAVVRCHPALAEHKASIRGVVGELLASAGEGRSLISLGVGDASSHDCFRRGGEFAAEAVAGAARSRAFDCYAPSYGFPAARRAVAEHLSAGAHHRTRDSDVFMTAGGTGAITAIATVLGGAPGANILLPRPGFAPYEAACEIAGAKPRFYDLLPRRGWEADLAMVRALADGSTAAIVVINPNNPCGAVYSAHHLLQITETARDLGIPVIADEVYAHMVFGGSKFVPMASFAHIAPVISIGALSKRFMLPGWRLGWLAFCDPNGALKHNSNRDAAECDFWPCLHSSGSSS >KQK95643 pep chromosome:Setaria_italica_v2.0:VIII:36774736:36778850:-1 gene:SETIT_026370mg transcript:KQK95643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAQAAARAEEKTCKAVANGGVGVGKAAAAAAAVVRCHPALAEHKASIRGVVGELLASAGEGRSLISLGVGDASSHDCFRRGGEFAAEAVAGAARSRAFDCYAPSYGFPAARRAVAEHLSAGAHHRTRDSDVFMTAGGTGAITAIATVLGGAPGANILLPRPGFAPYEAACEIAGAKPRFYDLLPRRGWEADLAMVRALADGSTAAIVVINPNNPCGAVYSAHHLLQITETARDLGIPVIADEVYAHMVFGGSKFVPMASFAHIAPVISIGALSKRFMLPGWRLGWLAFCDPNGALKHVRTATEMLLNVTSGPASIVQAAVPEILSNEHHEFHQNVVHLLESAADTLYRRVNQIEALQCYSKPEGSMFMMVEINTSILHGVADDMDFARELIKEECVLVLPGSVIGLKNWVRIFFGAPVSLILEACDRIELFCRTRTIKQND >KQK95641 pep chromosome:Setaria_italica_v2.0:VIII:36775041:36778850:-1 gene:SETIT_026370mg transcript:KQK95641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPTAQAAARAEEKTCKAVANGGVGVGKAAAAAAAVVRCHPALAEHKASIRGVVGELLASAGEGRSLISLGVGDASSHDCFRRGGEFAAEAVAGAARSRAFDCYAPSYGFPAARRAVAEHLSAGAHHRTRDSDVFMTAGGTGAITAIATVLGGAPGANILLPRPGFAPYEAACEIAGAKPRFYDLLPRRGWEADLAMVRALADGSTAAIVVINPNNPCGAVYSAHHLLQITETARDLGIPVIADEVYAHMVFGGSKFVPMASFAHIAPVISIGALSKRFMLPGWRLGWLAFCDPNGALKHVRTATEMLLNVTSGPASIVQAAVPEILSNEHHEFHQNVVHLLESAADTLYRRVNQIEALQCYSKPEGSMFMMVEINTSILHGVADDMDFARELIKEECVLVLPGIIH >KQK95645 pep chromosome:Setaria_italica_v2.0:VIII:36826280:36828348:1 gene:SETIT_028040mg transcript:KQK95645 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDFAPSPLPIECAGRDHTQTVEAFVVLGVVAMFLLHVLGSLRRRSSHGLLHSIMLGVYTLSYPLVSYTIGWMQSSDWYDEDFAVWAVFLLLLLGSTDSLTACRLNEIDSWKSIYVKHLFKGFLLAYWYLRIVSMRMVSKSYLCKNMKVIAEYMEHKDNPLVPFNPVTMEGYRYLVAGERYCVNRPRRTPWYKQEEGLKVTTVQEIWQCKGNLLLHERGNMLKDVCLSMALSKMLNRRFAGFKLSEAELEKTHDFVFKGLLAGDKPYQRAFRVIEEELAFVHDLYYTRYSYLYQKGRYLTLCLPVIMLALCSWLTCLLVKEQDRSLVDSTIFITVVVAFLEAYQLYLYLASGWFKVALIRSYVTTPFLQRNGCFLEMIIGLLLRLKAFRPWKYRLGQYCILQDLGRTSWLSNCLHYSTLGLVDKAKKGRKNSVKLSENVKKAIVDSLLRSNGHLANGVTSLRNNGVHADLSWARDAIATDGTVTRTILVWHIATTLCEHQLDAQAKEEDAVRTASTLSQYCLHLLVFAPNLLPDHISISESILDQSTNEAGELLRGAKTLDSKCEKLMKTSTRDGNGGEAPPLVAKGAELARHLIEDIQDTKLRWKILSDFWAEMMLSVSPSDDARAHLEALARGGEFITHLWALLTHAGVLKQVPDGSNNV >KQK95468 pep chromosome:Setaria_italica_v2.0:VIII:34652325:34655575:-1 gene:SETIT_027952mg transcript:KQK95468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVAGALPSLLPKLAELLTGEYNLQKEVKGGIIFLQAELESMQGALEKIAKTPADKLDDQDKVWASKMKEMSYDIEDTIDTYMVRCKGREPVEQHGFKKAIDKMLKWFRQPKIRRKIATEIREIKSRVIEVHERRRRYEVSLGVDKPVTVDPRLFAQYTEVKELVGIDEARDELINKIFIEENKVPLKQGRIVSIVGFGGLGKTTLANAVYKKIRAKFDCYAFVSVSQTPDLKKLYNSLLYDLGKSINEKTLGERQLIEVLREFLEDKRYFVVVDDVWDISVWKMIRCALPDNDVGYTIITTTRISHVAEQAGGAYNMKPLSLNNSRKLLYRRIFGNGNKDNNEDIVKCPDDELAEVSDRILKKCAGVPLAIITMASLLACKARNKMEWYGVYNSISTGLENNLNVDNMRKILSFSYYELPCHLRACLLYLSMFPEDYEIEKDHLIRMWIGEGFIQCDKAEKSLFELGESYFNELINRSMIQPIHNADDMISSMIKPIDDMIIHNADDMISSCRVHDMVLDLIRSMSCEENFVTVLSNMRGTSPSNTIRRLSLQNGQESHVMDQATWGLQHARSVVIFPASVSLMPSLDCCRVLRVLDLKDCNLSQANSILKCLGNIHQLRYLGLCETGISQLPEEIGNLKLLQTLDVRDNHISRLPSSVVQLRKLMCLYIDWYTSAPDGIGNLTCLEQLSVLRIDDSTRNIVEELGQLIELRRLSIELDKWNDKLWECLCKLQKMQNLFITVSADWMPGSPGQCSIGGSDAWAPRHLRVFCALDSCWFSTLPAWVNPSLLLDLTKLYIDVRELHQADLEILGRLPALRFLSLKVDNKNLSIIRGFVVGAGAFPCLISCYFCQFVWPVVFQQGAMPRLRELVFRPFYLREARRIAYTDGILDLGLRNLPAMQDVQADLRCDGAGKEEAEQAKAALMHEAEMHPNLPLRDISLST >KQK93814 pep chromosome:Setaria_italica_v2.0:VIII:4644533:4648467:-1 gene:SETIT_026182mg transcript:KQK93814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVSSHVSMASEAEINLDLSRLIIDKPRFTLERKRSFDEQSWSELSHRQNDGFDSVLQSPAFPSGGFDSPFSMGTHFGGGPHPLVNEAWEALRKSVVYFRGQPVGTIAATDHASEEVLNYDQVFVRDFVPSALAFLMNNETDIVKNFLLKTLHLQSSEKMVDRFKLGAGAMPASFKVDRNKNRNTETLVADFGESAIGRVAPVDSGFWWIILLRAYTKYTGDVSLSESPDCQKCMRLILNLCLSEGFDTFPTLLCTDGCSMIDRRMGIYGYPIEIQALFYMALRCALQMLKPEGEGKEFIEKIGQRLHALTYHMRNYFWLDFHQLNNIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPCRGGYFLGNVSPAMMDFRWFALGNCIAIVSSLATPEQSVAIMDLIEERWDELVGEMPLKICYPAIENHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQMAKRAIELAESRLLKDGWPEYYDGKLGRFVGKQARKFQTWSIAGYLVARMMLEDPSTLMMISMEEDRPVKPTMRRSASWNA >KQK95114 pep chromosome:Setaria_italica_v2.0:VIII:31058229:31072110:1 gene:SETIT_025857mg transcript:KQK95114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPVPGHRGLLPLLPLLLLHALLAPPPPCAAESATCLAVYREGGAPAVFQSAHCPRWTLPPPGAGGERDGGGRGSSPMGCHVAADRGRRRSQEDRAVCALGIRIPFLEQMRIKEVDVGVVAIFDGHNGAEASEMASKLFLEYFLLHVYFLLDGIYSMMFRKSTGKLTYKEVAILNNIFNLYKDDQSNHREGSCWISPAILDRSFHMEILKESLTRAVHDIDLTFSKEASQKHFESGSTATVVLIADGQIIAANVGDSKAFLCSEGHDLHRRNRKRRRKRNSIDHEEFALANYDGPLYHARELTKDHHPDREDERSRVEAAGGYVIEWSGVYRVNGELALSRAIGDLPFKRYGVISTPELTGWQFLSDNDTFLVASSDGIFEKMTMQDVCDLMLHAKFHVNQDFGSSAITQHNLADYVVRVALQKGTTDNVAAVVVPLGSPSSAGTTLEDWSQFEENLKTSILPVQNIPYQLKPDDITSSAVIDMEYFRRSSTKFQRFLVEAKLKRLGCFYLSESLDEDMDYIFRVPEAYQREEVHEFNHIPPDAALYSDGNLEKYKDRQFCLYLGHQDGEMGRCNGPEAVANFFGLLDSLPHNGSKSNGSHSFGNKIDFRYKLKRRFDRGSYGEVWLAFHWNCSEDRDAHKEPQHFTTIPKSDSYNCTNSNTMSSDEDHVSETVDGDLFILKRIMVERGNAAYLSGLREKYFGELFSNASRTLEGMSRTESSSTIFSMDMQSDTDILLERNMSVTEEPLKHVARFIESFESESREIWLVYHNEGRSLSKLIYTAEETKLVTGNNNEEVRHIQVLHPSKWWYWLRTTKAGQNQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDVETGKCLREVPSEAKKNKLNMRLIDFGSAIDDYTLKHLYGSGPTRSEQTFEYTPPEALLNSNWFQGSKSARLKYDIWSVGVVMLELIMGSPHIFQISDRTRVLMDQRLEGWSEQTKELAYKLRSYMELCILIPGISTQHGSGSSEQGQFGLASWKCSEESFAHQVKIRDPLKMGFPNLWALRLARQLLVWHPEDRLSVDEALNHPYFQETP >KQK95113 pep chromosome:Setaria_italica_v2.0:VIII:31058229:31072110:1 gene:SETIT_025857mg transcript:KQK95113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPVPGHRGLLPLLPLLLLHALLAPPPPCAAESATCLAVYREGGAPAVFQSAHCPRWTLPPPGAGGERDGGGRGSSPMGCHVAADRGRRRSQEDRAVCALGIRIPFLEQMRIKEVDVGVVAIFDGHNGAEASEMASKLFLEYFLLHVYFLLDGIYSMMFRKSTGKLTYKEVAILNNIFNLYKDDQSNHREGSCWISPAILDRSFHMEILKESLTRAVHDIDLTFSKEASQKHFESGSTATVVLIADGQIIAANVGDSKAFLCSEGHDLHRRNRKRRRKRNSIDHEEFALANYDGPLYHARELTKDHHPDREDERSRVEAAGGYVIEWSGVYRVNGELALSRAIGDLPFKRYGVISTPELTGWQFLSDNDTFLVASSDGIFEKMTMQDVCDLMLHAKFHVNQDFGSSAITQHNLADYVVRVALQKGTTDNVAAVVVPLGSPSSAGTTLEDWSQFEENLKTSILPVQNIPYQLKPDDITSSAVIDMEYFRRSSTKFQRFLVEAKLKRLGCFYLSESLDEDMDYIFRVPEAYQREEVHEFNHIPPDAALYSDGNLEKYKDRQFCLYLGHQDGEMGRCNGPEAVANFFGLLDSLPHNGSKSNGSHSFGNKIDFRYKLKRRFDRGSYGEVWLAFHWNCSEDRDAHKEPQHFTTIPKSDSYNCTNSNTMSSDEDHVSETVDGDLFILKRIMVERGNAAYLSGLREKYFGELFSNASRTLEERNMSVTEEPLKHVARFIESFESESREIWLVYHNEGRSLSKLIYTAEETKLVTGNNNEEVRHIQVLHPSKWWYWLRTTKAGQNQMQNLLWQLLMGLKACHDRNITHRDIKPENMIICFEDVETGKCLREVPSEAKKNKLNMRLIDFGSAIDDYTLKHLYGSGPTRSEQTFEYTPPEALLNSNWFQGSKSARLKYDIWSVGVVMLELIMGSPHIFQISDRTRVLMDQRLEGWSEQTKELAYKLRSYMELCILIPGISTQHGSGSSEQGQFGLASWKCSEESFAHQVKIRDPLKMGFPNLWALRLARQLLVWHPEDRLSVDEALNHPYFQETP >KQK95820 pep chromosome:Setaria_italica_v2.0:VIII:38510399:38510967:1 gene:SETIT_027235mg transcript:KQK95820 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGFYLERTMFHTKGFGSITTSSSVLDIVALSIEDIVALSIEALSISIPRKKSIKSG >KQK95451 pep chromosome:Setaria_italica_v2.0:VIII:34529025:34529405:1 gene:SETIT_028085mg transcript:KQK95451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein QGPNMGHVHPIDALCTPGVTVVEGKPTNFVATCWHGPVATNYSDEILFGCLGNDVFKYHVMDLATFHPPIAAVLYRPHYLPFNQLIKACLCNILNAQTLLLPNKTFRYPSSDQPFPTKFAAFRKYA >KQK95681 pep chromosome:Setaria_italica_v2.0:VIII:37135356:37135962:1 gene:SETIT_027069mg transcript:KQK95681 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSNRAVLSVAISIALSMGPPVMADLQDNCRTICRPKCDDFAIEVCTSLTNIVPILNNVDFFFRTCKVRISAPCTSLCINICSLDTLTPAPRASSPSPPCKPY >KQK95680 pep chromosome:Setaria_italica_v2.0:VIII:37135356:37135962:1 gene:SETIT_027069mg transcript:KQK95680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSSNRAVLSVAISIALSMGPPVMADLQDNCRTICRPKTCKVRISAPCTSLCINICSLDTLTPAPRASSPSPPCKPY >KQK94291 pep chromosome:Setaria_italica_v2.0:VIII:12633279:12634415:1 gene:SETIT_027131mg transcript:KQK94291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHNKMVPSILVGTIIQYSSIYILLSFIFTSGSLRKFEHCLRIYCSAVLCMEERYLYLPKHRASLTASFQSCRPTLGVFLFLKEA >KQK93504 pep chromosome:Setaria_italica_v2.0:VIII:1575175:1578489:-1 gene:SETIT_026676mg transcript:KQK93504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGYPPHGSGYPYGPGAGGGGGYGAPPPYGSSPAPSAPPYGEKPPKEGKTSSSSSVPPYYGAPPSSQPYGGGGYGAPPAGQQYGAPYGAPPPSSAPYGAPPPSSAPYGAPPPTAYGGAGGYGSPFASLVPSAFPPGTDPNVVACFQAADRDGSGMIDDKELQAALSGYNQSFSLRTVHLLMYLFTNTNVRKIGPKEFTSVFYSLQNWRGIFERFDRDRSGKIDSSELRDALLSLGYSVSPTVLDLLVSKFDKTGGKSRAIEYDNFIECCLTVKGLTEKFKEKDTAYSGSATFTYEAFMLTVLPFLIA >KQK95416 pep chromosome:Setaria_italica_v2.0:VIII:34294325:34295973:1 gene:SETIT_026285mg transcript:KQK95416 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGSPPSPSRSLRIVICPWLAFGHMLPYLELAERLASRGHHVSFVSTPRNLARLPPRRHVIDLVALSLPRVEGLPDGAESTNDVPGDRFELLWEAFDGLAAPFAEFLAAACAIDADNGNSKRPDWVLADTFHHWAPAAAREHGVPCAMLLPTAALIAAFACGARGHAELPAATTVFEHAIVVGGGPPPGMPRYEWEGDAPLFAVLGASGLSIARRTSLTLEQCTIAAIRSCPEWELDAFPLAAALLGKPLVPLGLLPPSPDGGRATDAHRDDAAVRWLDVQPAKSVVYVALGSEVPLRVELVHELAHGLELAGTRFLWALRKPRGVSDADVLPAGFLERTHGHGLVTMGWVPQIAILAHGAVGAFLTHCGRNSLIEGLLYGHPLIMLPISADQGPNARLMEGKKVGLQVARNEDDGSFDRHGIASAVRAVMVEEDTRKVFVANAMKMQEIVADKELHERYVDEFVQELRSYITDGNSTQADET >KQK94173 pep chromosome:Setaria_italica_v2.0:VIII:10480772:10482433:-1 gene:SETIT_027671mg transcript:KQK94173 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLITYIAPDLIMPIKEFIDKMAIGFQTKGYENFKGTNLLVSIEFVGRLTNRSATKYKVNVNNVIESMQSKGIKFMSPLKISSEERAGEEWNISALIEPKILKQPKDYVSYENSKGKTSIRFVNYKERSLDDLEVSTSESNIGEARRHSVCEFMKKLDIDNEIKHYEKKLSKVQDEYNTSMICEWSAIREKELYFRRELYRLNKIKKERESNKKKINMPIIKHEPTLQHVSDKNSKIQKELENNKEMVKEKEEVVISEEDQWEINNKILLESYEEEDEDIIEIHNSKSDSHILSLRNEELYNKDTAIEAMDIDPSPSKRRREQERDIKIEGETDRPSRKPGNWPPEKEEPTYTYIPGQYKHVGSKRREFERTVQFQNYRSDGAILNLAAHDPIDWPNIISIWKSLIVQKYIQNQHNIGSRVEDMITYLETFLGESVKVLWEQWVETYPHYYEELKRAGSNPYNFANIISSIVIDEDPELGYTALQNERLKEIEKLTLTNWKGIKEFSQHYLYNATTAKQGYNKSIVERYFNKLPDPLGSMIFEEYKKESNGREI >KQK94503 pep chromosome:Setaria_italica_v2.0:VIII:19846010:19846591:1 gene:SETIT_028591mg transcript:KQK94503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVAYAGQVMEVCHHHFVHQLDMSSSSTSDKGTDLYSNSAR >KQK94407 pep chromosome:Setaria_italica_v2.0:VIII:14637057:14638183:-1 gene:SETIT_027715mg transcript:KQK94407 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAFRLWLLVSCGLLLAVACHGLQVGFYQKTCPKAEAIVRAEVQKAVRRDPGLGAGLLRMLFHDCFVEGCDASILLDPTQSNPRPEKKGPPNDASQRSYEVIDAAKRALEKACPGAVSCADVVAFAARDASDLLSGSRIRFSMPGGRLDGRRSQESQTGVLPPPFAGLGTLVNRFAAKDMTVEDLVVLSGAHSVGRSHCSSFVFERLTSGSDMDSTLANQLKRQCPANPGVGNDPVVAEDAVTPNVLDNQYYKNLLDRKVLFTSDATLMSSAQTAKMVSEFAKPDRTWEKKFAAAMVKLASIGVKTARDGEIRRNCRVVN >KQK93879 pep chromosome:Setaria_italica_v2.0:VIII:5457987:5459418:-1 gene:SETIT_028017mg transcript:KQK93879 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKRSRSSRRARANQLDTAAAAATTYPPWVLLHRSCTAVAPGSSIADRNTQAAGHTTTGLPIAVSICVAPPPEGSRVCVQHPDGVQSTSDVVAAHGDSVLIKVSSLGRVTDHFIYNAFDAAAVTPRPPALLLLPVCYLDRDGTGLLRRGEDEFVVAELRIGYDNTTRMAAGELRLLRSGEWRATRPRVSHDGGEGKDFPSTWHNDTVIPVGDELLCWADMRHGLIFSKAFDESPGLRYVPLPKDHNFGQTVFRNVCVTAGGGTVKFVNIFPRCCCGGPSRSNCRLSEHAYTVHTWTLNMDDMTWVMDGILDSAYFWALDSYKGLPRVKLEYPVVSFDEPDVICFLVRGCNHVKNSGSTVWTIAVDMRSKTLQSVFRYPNVQGSKSWQNIRPSRVSDYFNSKPSSLKPDSTQEQTMIC >KQK93774 pep chromosome:Setaria_italica_v2.0:VIII:4250963:4254415:-1 gene:SETIT_025948mg transcript:KQK93774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQMMSSSLGAMGPLLGKLHTLLFSPEHELPGSLMKDGILHLKQDLEELNSFMVDLSGLGRPNAMVKRWMNEVRELSYDMEDFIDSVTSSNMMASRETNKEILSVVKQFRNLIKQARERHQRYELSRWPSNPYPSCMNSRVNGTELFGISESKGELVKWLKPLFSNVDEDRGRLKVASILGPAGVGKSTLAQEVYREIGAQFERRAFVRASRVPDARRLLRSMITQVRRHERPPCGLPVQELVDNLRRHLQQKRYFIVIDGLCETTSWDIIRNAFPEGAHCSRVLITTDIEEVALECCDYQSNASIFKMEPLSTDHSMELFCNRVFGSNPQFCEQLKKYSEEIIRKCSGLPLATIIIASVFAGQTDNSELWHHIKEYLSSRNNLSSEDLLREIIVLSYYSLSQHLKTCLLYFSLYPEGYTFLKSDLVKQWTAEGFIQKDKSANEIAECYFDELVSRGLVQTNHINLADEVMFYTVHSTVFEVIRRKSVEENFTTVIDYSETIPKLSAKVRRLSLSFSNAKYATKPEGFTPLPARSLTFYGLVECLPSIMEFKLLRVLILEFWGDREVFDLSGINILLQLRYIKITTDSIIKLPVKMQGLTYLETLEIFARVLTVPSDIVLLPKLLHLHLQGDIKLPDYVGQLRSLRALQSFDLSSNSEDNVQSLGEMTNLHDLHISCSTAVPDRLERNFIALASSIGKLDNLKSLTLAPDVSCRSIIYTDCSSIVSAPPVSLEKLELLPPICIFSRLPQWIGQLQKLCILKIVVRELNMDDVNRISGLQELTLLQLYVRQPSAQSIVFNSASFPTLKYLKFSCGVLRLAFQAGAIPNLRRLKLEFNVHSGEQYSDMISGIEHLLNLQEIAVRIGSAPGAEDSDRTAVESVFRNTTSKHSRHLSISIRMADSIDEVYVPIFS >KQK94212 pep chromosome:Setaria_italica_v2.0:VIII:10908121:10919848:-1 gene:SETIT_026012mg transcript:KQK94212 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWGEGRGAVGLVVQIRPALRRVGQSRRQKHKREVKQHNAFCGHGSVVVRMGNREPIELPLPLLIDITNNFSNEQIIGRGGNGVVYMGLLQNGAIAVKKFSRAHHEEDSMFDNEVNHLMRLKHKNIVRFLGYCSGTQRKVFDLEGNYVMAEVRERLLCFEYVPNGSLDEVISDLSRQFLWTMRYQIIRGICEGLHHLHDKRISHIDLRPLNILLDDNMVPKICDFDSLRSFDEGQTREITIPIYGAHRYSAPETFTTDGVVTFKSDIYCLGIMISEILTREKQCSSVVKGSSQIFRGVKGWRERLKSGEDVPLEQIRVCAEICIRCCHYNPKKRPAIQRIIEALDETESMTPDTQHVKQGILRNADVAVKKLFNSRTIKGKMFHREVKSLITVRHHNIVRFLGYCSFTEERAIPVEGQTVMVEIRERLLCFEYISNGSLERHITDELRGLEWRTRFDIIVGICNGLCYLHKEKNITHMDLKPANILVDDQMVPKITDFGLSRLDNNPQATTTSRLISPGYSAPEYRSEGKSSLKSDIYSLGVIILELVSGSKNNPNITKAQVLRKWRHRWIKSMKHTPLGYQQVTKCIDLAQRCMEHDPADRPDISDIVQELNEIDSNDHQFQVIPSLEDMLGIEPLEIQFPFEHYWQVSHTVELSNDTDDHFAFVTKPSLHGLRTEPDKGIVPPRSKCSVTVTMMQAQVMVLLNNRYKEEITVLSTRVDGGLSAVDITKGMFMEEEGKVVDEVNVMVDLGRPPLEEES >KQK94924 pep chromosome:Setaria_italica_v2.0:VIII:28838257:28841277:1 gene:SETIT_028008mg transcript:KQK94924 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLHESLFVSRPQKPAWHSRQHPQPPGARFRQPAVQIAAWKTETKQLTLWFRIEVVSDLRQKSTSEKHPSSPVEVAFNDSEHIDDSEYSGTQKSRKLSEMDNLLSASQETPSSNHCPKSSFVPQSGEEGLHIFSDMVLIRQSTPPNGTVTNVADTVINEEVPSDMLMENHVDELHPHQRESEASANSEGTQTQTQQQPNRAFEDTLNVNEASNQEIPPMITPGFELVYNGKGMNCENFTYGENNAMCQASKNAVMLTDNDATQEVKSNHLMEAPIQSLDYLDRQDEDIVNDKQLLLSSPNAGNSEFTTDNTALKISAATGSSIPSQLAVSQISDLQIPFVKRLPAIWSIFEDLDMFKKVPQRPHFFPLQEHLPGLREDRALGFMLSIATSAESTSKLSIEDSMEMFENNINELRHLEKYVFTVQHLLHYLNKLLQIKSDYTKNLEEKKKLNMQIFKKTYSLAQMDSSHREKDKAIAEHEMKLGQLRGEVQQIAKEEEHEEAELSRLEHDYSSVEESCDNEQLQFRSILDQLQQKHLTAQVPKLT >KQK95822 pep chromosome:Setaria_italica_v2.0:VIII:38528046:38528590:1 gene:SETIT_028434mg transcript:KQK95822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYIAHTNPCCCRGEELRGSRASMLPQPECCLNCFVVG >KQK95817 pep chromosome:Setaria_italica_v2.0:VIII:38449442:38452522:1 gene:SETIT_027537mg transcript:KQK95817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFSFAGSCIQKIQDLITEEAIQILGVKQELSDLQQTMTQIQCFLKDADRRRIQDLAVSNWVGDLKDAMYDADDIIDMARFKGSNLLGENSSSLSRKLITCNGFATFSCFSNLKTRREIAVQIRGLNKRIERIKELGTKFKFETEPLDRMSVSNMRETSHLVEPDLVGKQIRHATNRLVGLVLEHRDKKAYKMAIVGTGGVGKTTLAPKIYNDRKIKGAFNKQAWVCVSKHYSKVTILKEILRKIEVQYTQDESIDELQSKLKLAINEKSFFLVLDDVWDSHIWANLLKIPMHTAATGIILLTSRLDTVAVEIGVDYIHRVHLMSVDVGCELLWKSMGIKEEEVIARVLASKDQTENEWKKILRNDAWSMNNLHSEVTSALYLSYEDLPHYLKQCFVYCAMFPEDSVIFRDDIVRMWVAERFIDEQDGQLLEDTAEEYYYELINRNLLEQDYLTGDLNGCRMHDLLRQLACHLSKEECFVGDPESRTVRVMGKFRRISVVTMKDMVVLPSIYKEQYKVRTWKTPYQKSLRVDNTIFRNLLCIRVLDLTGSVIQGIPDCIGRLIHLRLLDLDGTDISSLPESICCLINLQILNLQRCVALYSLPLGITRLYNLRRLGLHGSPINQVPKGIAKLKFLNDLEGFPVGGGSDNSARTQDGWNLDELRPLSQLRNLLIFKLERASPYNTDSLLLDKKFLKKLYLCCTKRTADPYCEEDVINIERTFEKLIPPRSIEDIAIEDFFGPRFPNWLDTDTYFPSLIYLKLLNCKSCLHLPAIGQLPNLKFLQIKGATGVTKIGPEFIGFGVGNFGSAEAVAFPKLEVLAILDMPNWEEWTFVVEEEEATTEEEEEATGRCGEDGAAAKQKGEAPPPRMQLLPRLRSLQLWNCPKLRALPRQLAQETTSLKDLLLVRMNSLEVVEDLMFLSDLLLIWECESLERVSSLPQARVLIVCGSPCLTCIEKLDNLQQLGLHESMQEVSSLWLPGLQQQCRQVHGEDLDVYNWA >KQK94888 pep chromosome:Setaria_italica_v2.0:VIII:28416972:28417449:-1 gene:SETIT_027087mg transcript:KQK94888 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAKCSTKRVVYLAAAIFLILAIMSHTSSCCQAGEVDLDDRRHKPEMTCYPYSGAHCVDHDCGQVCVNKGFKDGNGAFCSKHGFAYQCCCPHQAV >KQK95112 pep chromosome:Setaria_italica_v2.0:VIII:31017340:31023950:-1 gene:SETIT_028140mg transcript:KQK95112 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALSVGKSVLNGALGYAKSAFAEEVALQLGIQRDHAFVSDELEMMQSFMMEAHEERDDNKVVKTWVKQVRDTAYDVEDSLQDFAVRVERPSWWRFPRTLLERRRVAMKMKELRAKVEDVSQRNVRYRLIKGSGSKATAATELSSIIAAAIFGVDDAKEENRRVDLLQLINKECDDLRVIAVWGTGGDMGQTKFPSRAWVRVTHPFSSKGFVQSLVNQFLAVEGFKDILDTEKTAHDLVQEFDGYVKEKRFLIVLTDLCTIEEWDQIEKCLPNNNKGSRIIVSTTQVEVASLCAGQDSQASELSNCTHNLKKITTRRRSLKVSQNEMVSVDPVSSPDVVTASTNAQTVAPSEITEDQCKNVDETKVDKKSLTRIRAGVGLISNKASQQCQVISIWGMGGLEKTTLANGIYQSPKLNLLRSLVGRLQEESSKKEELLNNRYSKTESLAMMGVKNFTKELKRLLEKKSCLIVLDDLSSIEEWDHIIQGEESIAKHCSGNYGIVHNLEVLKEEDALNLFSLKATDLIRKNPELVEETKKILKKCGGLPLAIVTIGGYLASRPKTRAEWRKLNENISAELEMNPELGMIRTVLQTSYDGLPYELKSCFLYLSIFPEDHIISQRRLVSRWTAEGYSHERRGKSANVMAENYFTELKYRSMILPFQQSVRSTKSIDPCKVHDLIRDIAISKSMEENLVFRLEEGCGLSTHGAIRRLAIRSNWKGDQSELERIVDVPFYISDKMRLLRVLDLEGIDDYQKYYIQSHQLDHIWKLLHLKYLSLRGCFRIYLLPDSLGNLRQLQVLDVKGTSVMALPKTIIMLRKLQYINAGQISDYVTLEKDSLTRRCLWGARQCATCCVPLLRDIDGSLHKALTRRDACTFACCVLFPAVMTGVHEERGAMVPRGTRKLKELHTFRGVHVGRGNAVLQDIKMLTGLRKLGVAGINRKNGPAFRAAISNLSRLESLSVSSAGKPGLHGCLESLYPPPENLQSLRLYGNLETLPKWIKELPHLVKLKLVSTRLLEHDAAMEFLGKMSKLEILSLSRQSFQGEELRFRSQQTGRAFGSLRVLMLDIEYIKSVKFEEGIMPKLELLQVIGEANNEIGFSGLEFLQSINEVQLSNNNGWLKKKIQELLAGNRNEPIVTVY >KQK94575 pep chromosome:Setaria_italica_v2.0:VIII:22529938:22530513:-1 gene:SETIT_028561mg transcript:KQK94575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALPAAAESTSFPYARHKKASRSREIKVAYRRPSLMRHNIRLPRLHQPDSLHLEELLTSKRYRRQHHRG >KQK95174 pep chromosome:Setaria_italica_v2.0:VIII:31638620:31640115:-1 gene:SETIT_026331mg transcript:KQK95174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSGEGASADQTRQAPLPPAAVAQPRCSTKCVTDPAASETPGRGRTSSALETQHRPWADLPADILGVVVTRLALVEDRARLRSVCHAWRAAARLHRRPPPPLPLLVLADFSFASFRADGILTGARLRVPLPETETETVEAGGVRCVGSIEGWLVAVERDKSRDFGGGDLRCFLMNAFSQDVVPLPPPSAATHPADEHSMSLPIANGDSGMEMNMNCAINAAQCVMSFRKVILSSSPESGTGCVVAAISMAEGTTKLALWRPGMESCCVSKFTDVIFIQGKLYMLSCSDLTTDLFSLELSEDDDDSGLMVSRVECREIEWPEVTDGYHQNWSIVEWRGKLLIVATYTAEDDDVWQRIVEVRVFEAHLSTDPVRFTEIRSLDGDCIFISPCSCESFRSCHYDGLEADLVYFIDGYLPPDKNARPFYKFVYNTRDGTMAPFAEGIPKDKLRTPDGILKHPTWLFPPE >KQK95776 pep chromosome:Setaria_italica_v2.0:VIII:37965454:37965939:-1 gene:SETIT_027690mg transcript:KQK95776 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACCKLFSIMLLAAVLAAGPAVAAATSAHLHFYMHDVTGGPSPTAVRVVNGPRGYFGNTVVIDDKLTEGTSQSSATVGRAQGYYMVASVANLELLVNMNVVLTSGPYAGSSLTVLGRDDIGTPLRELSVVGGTGQFRMARGYVLWKTITPEILDLEIFVNP >KQK94265 pep chromosome:Setaria_italica_v2.0:VIII:12095862:12104149:1 gene:SETIT_026068mg transcript:KQK94265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFSMDLNASPLPEDDEQQPYEEAAEVEYAQEEHVESAVATMRREREERRRRLKREQQDDGSRLHSQQIRNDYVPQPKRHSRIKEAPQGWLDCPAFGEPIDKIIPSKVPLDETFNESVPPGKRYSSKQLVNKQRKAGRDIGLVIDLTNTTRYYSPAEWTKQGTKHVKIPCKGRDAVPDNESVNVFVYEAMMFLDRQKQSKNPKYILVHCTHGHNRTGFMIIHYLMRTHVSCVAEAINIFAQRRPPGIYKRDYIEALYSFYHEVPENMMIGCPPTPEWKRPDDLDLNGEAKQDDDDDNGDIEPPNNETEEKVITNDDVLGDAVPYDQQEALRVLCYRLLEMPLVRGHTQFPGSHPVSLNSENLQLLRQRYYYATWKADGTRYMMLIMRYGCFLIDRNFCFRRVQMRFPHKSLEGLHDTTLIDGEMIIDTVPDSGLKRRYLAYDLMALDAVSKTKLPFSERWRMLEDEIIRPRYHEKKQFESGAKSNPLYKYDMELFSVRRKDFWLLSTVKKLLKEFIPKLCHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFELTNDNRQLVFLYERGKKKLMDHARISFPEEIDPPSVAGRIVECSWNKEEQCWVCMRIRADKSTPNDINTYRKVMRSITDNITEEKLLEEIDEIRRLPMYADRIAQAHAKMAQHRRR >KQK94675 pep chromosome:Setaria_italica_v2.0:VIII:24699529:24700330:1 gene:SETIT_027875mg transcript:KQK94675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDKTVIMTFTNEAWAAPGSLQDLFLSFRLGIRTAPLLKHLIIIAVDTKAYKQCQRAHSLCYHLRVEEDGAVYTAEQAYMSKGYLEMMWRRNRFQVQDIDIICLRNQLLRIPIGADIAMSCDRYPGDNPYDLNKEANTGFVYVKASARMVAFYESWYAARGSYPGTKEQDVLEQVKHVLPAQHGLLVQFMDTAYLTGFCELSKNFNKVCTLHGNCLPGLKMKLGKLTEVLEEWKQLKEKAGPLESNTLRLRIERLL >KQK95372 pep chromosome:Setaria_italica_v2.0:VIII:33859933:33860666:1 gene:SETIT_027782mg transcript:KQK95372 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVVQSLGWSGGRLCLRHPRQRANNIQPASTACGCLVVLCTVKELLLKVQLQFRNCGKRVVHVAGEMFGSVPSGDAVLMKVIVIQSVLLETPESTPASLDSFTIDMIILVNFKGGKERTEQEYAKLGRDAGFTGGFQSTYIFCNIYALEFTK >KQK94493 pep chromosome:Setaria_italica_v2.0:VIII:19708349:19708887:-1 gene:SETIT_026991mg transcript:KQK94493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMITGRKVLDDSLPEDETHLVTIFRRNMLDKDKFRKFVDPTLELSAESWSSLLEVADLARHCTAREPYQRPDMCHCVNRLSSLVDQWKPTNIVDEEEDEGTSEMGLHQQLERWRRDDFTISDSDSFSRYNMSRKYH >KQK94795 pep chromosome:Setaria_italica_v2.0:VIII:27029407:27030619:-1 gene:SETIT_026766mg transcript:KQK94795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGVDVRVASLLGLSPTVRRTMIYFQACTGGAAALRVAKDAAENNRGARVLVVCADALSAMAFHAPDEARPEGSLAHAVFGDGAGAVVVGADPRQPAERPAFEMVSASQTTIPGTERLVTGELGAAGVGYSLAPLEVPVLVGENIERVLAAAVAPLGLVASGGWNSLFWVVHPGSPLIMDSYEKVLRLEPGKLAASRRVLSEYGNMIGPTVIFVLDEVVRRRRRRLDGEGEGEGCEWGLLVGLGPGFTAEVIVLRACE >KQK94034 pep chromosome:Setaria_italica_v2.0:VIII:8035544:8038518:-1 gene:SETIT_026231mg transcript:KQK94034 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEIVGSAVIGETVNTIISSLGRKVEEKADLSENIERLEIAQTKMEAALDMSNKWQISNMPLLRWQRKLKRAAQECDDTLRQCKQRAIEEEDIRQHISKFSFPKRFAHATKSLVSSFMPFSKDESTNSYENVRRFERFADGAREFIKFVELGGTPRQYMFFDPLIGQLLTGKSLRYQVLQGSRLFYFGVRPMNFAERGVEAMIGFVDIDFKDPLNSLSLSFMLRLSESTDIFGVMIKCMESVTPHFKLAAEHVKRELIQLPTQDFSFLPHKPYVQSEYWVNVHNTMTQWCRPNPLCCYEHNLTPCSSTSDTIGPSSLPSPLLSDMFPEEVIVVLLQCHMLLPDQHKDRQNSATTSKDFGGGSSLNPDMDPLKLGILFIPHESPEDIDPAVESYALEVIDEKEHDTLHTNACLQDVDEKLLPKAIDYLHHNAGSKMYQMCLKTRHGTAHLCVEKMSTQMQSARQTKARRQAKNISVQIQDKRSEQWKQVARDLLKLWVVRSSDKLEGSIRSWTAINNLP >KQK94840 pep chromosome:Setaria_italica_v2.0:VIII:27892409:27893722:-1 gene:SETIT_027616mg transcript:KQK94840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMKVMTALAIITPLFLHHLSFTIADHTRAFSNSSAGFSLPIFAKQSPDRTIRRGTDGFLYLQHSLSASAANITTVGPVDDRLHLTEVHFGTGDGRRKLLLELDATAPLTWIQCIPCHPVATQTGPLFDGELSPTFQHVHSSVCTPPFHPDPTIHRCQFSISNPMGLLVQGLLSVDQYTREDGLVFPRFFFGCVHETHNFHNINTFAGIFAYRKFAVQAMANRLTRSSYCLFRETNRQGFLRFGTEADIPHKPHYQTTRILPANDVHESAYYVSLAGVSVGERRLSGVRPEMFARRKDGQGGSIIDLGTPLMEIVEEVYHVVEEAVWSDLERYGAERVEQAGYGLCIQATEAIKGRLQSLSLHFAEEEATLVISPKQLFLMMDNKQAGQIACLAMQVDTRFVYDLKDNKLSFAPESCIQDTVPVV >KQK95011 pep chromosome:Setaria_italica_v2.0:VIII:29892011:29892730:-1 gene:SETIT_027830mg transcript:KQK95011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TADCGGGGGGELTASPPEEHHSPAGGVVTRSVRPNQKRSKNFSTREDEMLVRAWLNVSVDPVQGSERAAYWKRIHDYYHSGRDFESDRNQNSITHRWSTIQESVGKFERCLSRVEGADQDGVITQDEIMQALALYKSEDQNNRSFQFLHCWNLLRTHQKWIDRSSQKSSHIPSQKKQKTAPSSSPSSSAPCALEDGEAAAQECE >KQK95567 pep chromosome:Setaria_italica_v2.0:VIII:35650043:35656005:1 gene:SETIT_027167mg transcript:KQK95567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVATVCYYSTRDNLRLLNRVNKGTTKPCGTVNVNKIFAMPCGPVPRAGEVGGAEREVVMIKIQLLFSVLDIGTHK >KQK95713 pep chromosome:Setaria_italica_v2.0:VIII:37440605:37440974:1 gene:SETIT_028517mg transcript:KQK95713 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLINTLCILLFLICIQNKTESTLITAFDFSSVTYINS >KQK95090 pep chromosome:Setaria_italica_v2.0:VIII:30664352:30665257:-1 gene:SETIT_027107mg transcript:KQK95090 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLNVNPATAAQCSCCVSARAKACCFACITAGGSDSLCKNTCCFPCVLSDSVAAKMEEMAVLAKMEEPGQA >KQK95126 pep chromosome:Setaria_italica_v2.0:VIII:31204020:31206150:1 gene:SETIT_027039mg transcript:KQK95126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLVSNNKRHPHRTWHGKRERGDKELTMVLLGRWLWSVLGACARQFQLASHHLHRPFLLRARLPSLLSFRSTGEFNWEEKLPGASEESCQGSDSILLCSFWHSRRCMTQVLM >KQK93909 pep chromosome:Setaria_italica_v2.0:VIII:5892636:5903054:-1 gene:SETIT_025848mg transcript:KQK93909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGGRGGKRRAPPPPAPSGAAAKRAHPNPGTPQPPPPAAAAAPGAEEEDMMDEDVFLDESILAEDEAALLMIQRDEALASRLARWKRPALPADLATGCSRTVAFQQLEIDYVIGENHKELLPNSSGPAAILRIFGVTREGHSICCQVHGFEPYFYIGCPSGMGPDDISRFHQTLEGRMKESNRSSNVPRFVKRVELVQKQTIMHYQTQQSQPFLKIVVALPTMVASCRGILERGITIEGLGSKSFLTYESNILFALRFMIDCNIVGGNWIEVPAGKYRKAARVMSYCQLELDCLYSDLVSHAAEGEYSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQIANLVTHQGEGQPFVRNVMTLKSCSPIVGVDVMSFDTERDILLAWRDLIREVDPDIIIGYNICKFDLPYLIERAEVLKITEFPLLGRIRNSRVRVRDTTFSSRQYGVRESKDVTIEGRVQFDLLQAMQRDYKLSSYSLNSVSAHFLGEQKEDVHHSIISDLQNGNSETRRRLAVYCLKDAYLPQRLLDKLMYIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKNLVIPNIKGQGSGQDTFEGATVLEARAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVPPEDARKLNLPPESLNKTPSGEIFVKPELQKGILPEILEELLAARKRAKADLKEAKDPLERAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTTVGGYEHNAEVIYGDTDSVMVQFGASTVEDAMKLGREAADYISGTFTKPIKLEFEKVYFPYLLISKKRYAGLYWTNPEKFDKMDAKGIETVRRDNCLLVKNLVTECLHKILVDRDIPGAVQYVKNTISDLLMNRVDLSLLVITKGLTKTGEDYAVKAAHVELAERMRKRDPATAPTVGDRVPYVIIKAAKGAKAYEKSEDPIYVLDNNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGSHTRSVSISTPSNSGIMKFAKKQLTCLGCKAVISGASQTLCSHCKGREAELYCKTVANVSDLEVLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRRKAQKDMAEARLQLDRWDF >KQK94775 pep chromosome:Setaria_italica_v2.0:VIII:26699520:26702949:-1 gene:SETIT_026787mg transcript:KQK94775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDTPPSPSPPAAAAEVCSSGTKVDCYRHRGEAGGRLPDPRGAAVFLYDFDVKLLYGPYRTDSDGGANLVPGAFDGRFPAQVKKLSTLFQPITLLPESSPPHDVDNWPPVPAFLPPSAHSAQPPAYAHHPTTHAAPATSMASQPSPPASTFLLPRKWPHSLVVATKDDVHTGASSSTITVSLAGFIFMCSSATRPECYRHRVLGLPPGSLEAVSRIQRGAAVFLYDFNAKHLCGPYHADSDGW >KQK93412 pep chromosome:Setaria_italica_v2.0:VIII:993309:995892:-1 gene:SETIT_026360mg transcript:KQK93412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGICCSKAKADLDDDEQGLGFPWMHDDVFHHHLWTTAAASMHTKQGWKGANQDAMAVCQDFAGHKGHIFCGVFDGHGPRGREVARHVRDTLPMKLSSALKPKTGEQEDPSTDTLKLRTEQDPSSNTDLDSSDKSDSTTSSDDTSDEKHLLSTWKNIFVKTFEQVDEELRQHSEIDCICSGTTAVAVVRQGDHLFIANLGDSRAVLCTRDSKDRLIPVQLTTDLKPDLPSELARILNCKGRVFAMDDEPDVHRMWLPDQDAPGLAMARAFGDFCLKNHGLICTPEVYCRKLSEKDEFLVLATDGIWDVLSNKEVVKIVSSVTDPSKAARQLIDRAVRAWRCKYPTSMVDDCAAVCLFLNRPASPDEESLTGTGDVKPPREQAGMSFTGSFRRVVSSRGGGEASEEGTTVWRALEGVTRANSVMRLPRIGRVLSWRRRSTNSLDEDEDDRD >KQK95622 pep chromosome:Setaria_italica_v2.0:VIII:36614585:36615963:-1 gene:SETIT_027783mg transcript:KQK95622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTTAASCRCLSDLPDELLQHILGFVPSREAASTAVPRRWRGLWPMPAGAVNLDTRSSGGEHQAFFRGADAAIAAHGGSVRRLAVHIEDDDGPDSIQDFMSGSSWGKDCHCVNDVLRLPAIRAVEELSIGASYSNLPAAVAANQRCESAMPDDDEGLYDLSMDSIPSEALRVLRITNCSDHYTSNNFHRRGPPPATAFQCLEVLQLRRCNISLESLQDMIVATLVLLNCGHQEGVVIELDVPRMQQFR >KQK95752 pep chromosome:Setaria_italica_v2.0:VIII:37790671:37793217:1 gene:SETIT_026377mg transcript:KQK95752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALCGMVANITQLLGVDLFGLISMIEKAAQTVSQNEEACRRITDRASMIKNRLEQLQQVSRSFEHLEMWKPMKGLKSTLRRAYRLIIGYQHSSYMYKFCCGSDLAKEFEGKLVGDLGQFQLLADPSGTSVLDLTKFGFSQLAHATNYFSLENKIGFGGSSYVYKGQLKMGLEVAVKRASYEGKIPFKHFQNEIELIPKLQHANIVKLQGYCIRKGERILVFEYMPNGSLDSFIYEGKARESLEWPKRRQIIEGIAQGAKYLHQLCEPHIIHGDLKPGNILLDSDFIPKICDFGISKALKPGADEDCTGIVTGSRGFIAPEYIRQGCLSKKSDVYSFGVTLLQIISRKRLPPPPLELSAESRHYGPLNKWAWDLCAAGELLEFIDPSLHDKRQNAEIMRWVKIALLCVQEDPEKRPSMSDVLEMLGSEDDIQIQPSRPAYY >KQK94625 pep chromosome:Setaria_italica_v2.0:VIII:23635178:23638285:1 gene:SETIT_025873mg transcript:KQK94625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDLVHDLAKLVADDELVVINQEDVVCASDSPRYAMVFACKLENLHKNKLLAGLRALHTKDSNGLKFKWYNSSFVKCLRILDISGLCTEKLPSSIGNMMQLRYLNASGIQCEVLPKAIGTLLELQYINLHGSRISALPDSVTKLGQLMHLDISDCVHLQTLPNSFCNLECLRFLSLKNCCRLSSLPDDLARLKNLEKLNLSGCSCLHTLPKSLGGLDSLKQLDLSGCKKLTMLPKSFISLTGLQYLNISSCSELDIPVDALNKLTKLNYIDMSSCPKLLGLPQEFCSLKHLHTLNLSDCSKLANLPEKLGQMESIKFILLDGCTESVRKPILQHRLGAGLQSLPAFVVETKDGSIRSNISQLEQEKFSELELYRLENIRTVDEAKALKMPDRSGLRSLGLMWTLNVDRFVEDEALLQALEPHENLKKLRVQGYMGERFPKWKLELGSSRQGQLQEVGLMHFPMCNSLPQLGQLANLKKLHLCRMHKIRTLGRELSSNTGGLRNLQNFTLEYMENLEEWCTTMTSATGQRKQEGFMFPALQELNIYHCPLLTMNPCPPRSINWEVRASGVSAQLLLQKDEVMQSLADYMGLQCPFAYTTELHVSGSNSSSPLLPTDGWKFNGSLITLKDLTSDCCSLIDTLLAKGNSMQCLVNLEISGIKDTNSLLEEVESVAYCTRSSLAKSWPDWFSQQPSINSASPHFIVTGYASCGLDGWIDKVTSFLGNLIRINMEDLPMCDHLPPLGQLPMLQELRLKGMPKIRSIDRDFCGSHQSSHALFFPRLTRFVLNGMPNLEDWVTKVSGASDPCGQEEFMFPKLVKLTIWNCPKLKLKPCPPRAMEWDINNSDQVIASNYDINSGGYLVTMLQVLLCKVLPNDWKLLHHLPGIQSLAIVSCHGMEALPDSIQYLSSLQSLTVSKCHGLKHLPEWLGDLTSLERLMVVSCPLEFLPGSLKRLSFLRSLTLSLCDRLAALPGWMGDLKSLVKITIEECKSLKSLPQLYQLEHLLIQCNDELEWWCKSKVNQHKFSQTLKKVQFSSK >KQK95979 pep chromosome:Setaria_italica_v2.0:VIII:40281191:40281781:1 gene:SETIT_026883mg transcript:KQK95979 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKSWSLLMSPEGFKSPAFIALVSVLCVALVLLLVTCCRGGWIGGGGGGGADRRRRRRHHHHQQRTEEEDGEVSISVEVSAATSRTHLVQVQAQAQAAAEVVCRYRKEEKWSEPTCAVCLAEFDDGEAVRVLPECLHYFHAECIDTWLRGSTSCPMCRAETTPTPSPSPPASLHHHHHRHLDLNINVSLEEILVRT >KQK93293 pep chromosome:Setaria_italica_v2.0:VIII:389581:393554:1 gene:SETIT_025897mg transcript:KQK93293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQSILSVGSNLFEEIQLSNELQRLRDTLPKARVLICRSEWGMFKDKELAKLVSRLKDTTYDAEDLLRELDDQVLRKRIEDADRSRAGQLLSSSLNLAITLFRRSKTRIRETQDRLEKVVAEIEGMLNLMGLMSVEPSQIMPETSSVISAPEVVGRDGERDALIEMLGVMIGREVPRDQVIKLLGVPLTGNRGGTGRTAGSNGKRAAASNGNASTSRAKKPKGNGGRAGLAETNFTNNVSVISIVGIGGVGKTTLAQFIYNDPRVRRHFGDRMIWVCVSDLFDKIRITKEIIRLKTCSKFLLVLDDIWPNANADWEAFYAPLKYGPEGSMILVTTRSPVVATRVTTGNCKPIQLEGLPTDIFWDFFKKCAFGRNDPESYSQLQDIARSISTRLCGSPLAAKTLGRLLNMSLTEQHWRAIQKSELWELPHEKNEILPALQLSYLYLPEAVKRCFVFCSMFPKDYSFERDEIVDIWVAQGFVALGGSIRPEDVGITYLDELRNRFLFQTDPMFPNKTRYVMHNLIHDTAASFSMDECLVMHDLRNQNKSRMHNTVRHMSIEVDGESLTRMGDIQHLNKLHSLRFGIRFNVEITWFNQLSNILFLSLKGCKLVKLPDSICELNSLRYLDISHSNVQELPGKLWCLYSLQVLDASRSSLKKIHEDVTKLINLRQLALPSREEALEARLVEKQYLKELVLDFRQSYASLLCSENGVLEGLRPHSRIEFLKVIGFCGDRFPSWFKPEDLSTLITLDLSNFFYMESLPIPCFADGTQVGLRGDDGTQHAAGSISRSNGMAPFAFSRLTGFRVYNLRKLTNLDQFLTPEKLPSIKSIEICYCPSLTSIPFHSFVGFVCLRDLKIRYCDKLKCPQEMVLPPSLQRICIVSCGELDKSFPACLENLTSLTLLQLGACRNIKCVPLNSIESNMLKCLVIHDCPELSSVGGLHGLVSIQHVELRYCPKLTEVQLPFEKKELRTKEGKELIKFLWDYYTAASN >KQK93295 pep chromosome:Setaria_italica_v2.0:VIII:389581:393554:1 gene:SETIT_025897mg transcript:KQK93295 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQSILSVGSNLFEEIQLSNELQRLRDTLPKARVLICRSEWGMFKDKELAKLVSRLKDTTYDAEDLLRELDDQVLRKRIEDADRSRAGQLLSSSLNLAITLFRRSKTRIRETQDRLEKVVAEIEGMLNLMGLMSVEPSQIMPETSSVISAPEVVGRDGERDALIEMLGVMIGREVPRDQVIKLLGVPLTGNRGGTGRTAGSNGKRAAASNGNASTSRAKKPKGNGGRAGLAETNFTNNVSVISIVGIGGVGKTTLAQFIYNDPRVRRHFGDRMIWVCVSDLFDKIRITKEIIRLKTCSKFLLVLDDIWPNANADWEAFYAPLKYGPEGSMILVTTRSPVVATRVTTGNCKPIQLEGLPTDIFWDFFKKCAFGRNDPESYSQLQDIARSISTRLCGSPLAAKTLGRLLNMSLTEQHWRAIQKSELWELPHEKNEILPALQLSYLYLPEAVKRCFVFCSMFPKDYSFERDEIVDIWVAQGFVALGGSIRPEDVGITYLDELRNRFLFQTDPMFPNKTRYVMHNLIHDTAASFSMDECLVMHDLRNQNKSRMHNTVRHMSIEVDGESLTRMGDIQHLNKLHSLRFGIRFNVEITWFNQLSNILFLSLKGCKLVKLPDSICELNSLRYLDISHSNVQELPGKLWCLYSLQVLDASRSSLKKIHEDVTKLINLRQLALPSREEALEARLVEKQYLKELVLDFRQSYASLLCSENGVLEGLRPHSRIEFLKVIGFCGDRFPSWFKPEDLSTLITLDLSNFFYMESLPIPCFADGTQVGLRGDDGTQHAAGSISRSNGMAPFAFSRLTGFRVYNLRKLTNLDQFLTPEKLPSIKSIEICYCPSLTSIPFHSFVGFVCLRDLKIRYCDKLKCPQEMVLPPSLQRICIVSCGELDKSFPACLENLTSLTLLQLGACRNIKCVPLNSIESNMLKCLVIHDCPELSSVGGLHGLVSIQHVELRYCPKLTEVQLPFEKKELRTKEGKELIKFLWDYYTAASN >KQK93294 pep chromosome:Setaria_italica_v2.0:VIII:389581:393554:1 gene:SETIT_025897mg transcript:KQK93294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALQSILSVGSNLFEEIQLSNELQRLRDTLPKARVLICRSEWGMFKDKELAKLVSRLKDTTYDAEDLLRELDDQVLRKRIEDADRSRAGQLLSSSLNLAITLFRRSKTRIRETQDRLEKVVAEIEGMLNLMGLMSVEPSQIMPETSSVISAPEVVGRDGERDALIEMLGVMIGREVPRDQVIKLLGVPLTGNRGGTGRTAGSNGKRAAASNGNASTSRAKKPKGNGGRAGLAETNFTNNVSVISIVGIGGVGKTTLAQFIYNDPRVRRHFGDRMIWVCVSDLFDKIRITKEIIRLKTCSKFLLVLDDIWPNANADWEAFYAPLKYGPEGSMILVTTRSPVVATRVTTGNCKPIQLEGLPTDIFWDFFKKCAFGRNDPESYSQLQDIARSISTRLCGSPLAAKTLGRLLNMSLTEQHWRAIQKSELWELPHEKNEILPALQLSYLYLPEAVKRCFVFCSMFPKDYSFERDEIVDIWVAQGFVALGGSIRPEDVGITYLDELRNRFLFQTDPMFPNKTRYVMHNLIHDTAASFSMDECLVMHDLRNQNKSRMHNTVRHMSIEVDGESLTRMGDIQHLNKLHSLRFGIRFNVEITWFNQLSNILFLSLKGCKLVKLPDSICELNSLRYLDISHSNVQELPGKLWCLYSLQVLDASRSSLKKIHEDVTKLINLRQLALPSREEALEARLVEKQYLKELVLDFRQSYASLLCSENGVLEGLRPHSRIEFLKVIGFCGDRFPSWFKPEDLSTLITLDLSNFFYMESLPIPCFADGTQVGLRGDDGTQHAAGSISRSNGMAPFAFSRLTGFRVYNLRKLTNLDQFLTPEKLPSIKSIEICYCPSLTSIPFHSFVGFVCLRDLKIRYCDKLKCPQEMVLPPSLQRICIVSCGELDKSFPACLENLTSLTLLQLGACRNIKCVPLNSIESNMLKCLVIHDCPELSSVGGLHGLVSIQHVELRYCPKLTEVQLPFEKKELRTKEGKELIKFLWDYYTAASN >KQK95562 pep chromosome:Setaria_italica_v2.0:VIII:35611627:35617186:-1 gene:SETIT_025856mg transcript:KQK95562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAALLDEFASKLVGILAGMVKEEVEMLLGVPGEVTKLETTLRDLSHILGDAERKRIRDKATEGWVRELKDVMYDADDVLDLCQLMDGGEEDPPAPTSAPKTTSRFWDIPKMFFCFRNPVVAHEIGTKIQAINQRLEDLAKRSSRFISITQAIHASADSINKASNSLSDETGSVFIRSDVVGEKIEDDTKKIVDLLIKKVDAPAGSRANNDVVVAAAITGIGGIGKTTLAKMVFADSRVGENFEERIWLSVNREFDEINVLQSLIASFGAKHEGCAGNKDLLQRALNDTIRQKKKFLLVMDDVWNENVWYALLREPLSHGANASGSRVLVTTRNDGIAHGMKAQHLHRVDKLTTEDAWILLKNQVVLNETDEADVDELKSIGMEIVKRCDCLPLAVKILGGLLRRKSRTRHDWMDVSSHDTWSTTGIDEDINKAVYLSYEDLPSHLKQCFVYCSLIPKDKLIIREAIVQHWIAAGHVHNKMSYKAPEKLGEEYYNELVSRNLLEPDKSYYGIQACSMHDVVRSFAQYIIKDEGILISDGLDANRTLSTAKLRHLSISNKAVGHGTLQKQALLRTLMLFGSSTTVELKNLLNNTSCLRVLHLVDVDPVELPDSICHLKHLRHLCIDNASISTIPRDIGNLKFLQALELAKCTNVSQLPTSILKLRKLRSLDLSDTAITSIPRGLGKLEDLVRIRGFPTHYSDEGTGGWCSLEELRPLSKLQSLEISCLEKASSGSMAAKANLSSKHHLTNLNLIFTSRLGDNGEVEGNISEEEHRRTEDILDNLCPPPCMELLDIIGYFARGLPQWMRTMSAFGSLRRLALDDYACCAQLPNGLGQLPFLDYFVVDRAPSVQCVGHDFLFPSLGGQADGKVTRNNKRQPHHTSRGAGVAFPKLTEVGFVGMLGWTEWEWEQHVPAMPALEELTIRNCKLQRLPAGLAQHACRLRELDLRNIQLLVSVENFPSVVKLWSYDNPRLERISNNPSLQWIDIRNCRALEELDGLPSLRSLVWQDEDAQSLPEYLREAKPKKFLLKLIALQDESSEWGKIQHVQQVKAYGHKIKGEAEWYIYCTKEPYSLDAYLGKSTG >KQK93357 pep chromosome:Setaria_italica_v2.0:VIII:736074:737116:1 gene:SETIT_027360mg transcript:KQK93357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLHDLILPILDTHSGQAKLVQQLFQDIFNSSSKVISFLELGDNSEKQANLIKYKRKHGKNNMESHILEEETKEIGNKRRKNAEHIGSVVTQAPYFDGYQWRKYGQKWISKAKHSRSYYRCANSKGQGCLATKTVQQKETDGSGTVRLFDVDYYGQHICKKDGIIHPYVVETTCHSVPIVNHNQSSISTFVNNDVHGIQDENYENLFMVPDMPECLKDLTDTEMERALELTCMNLPLISEDIWA >KQK94085 pep chromosome:Setaria_italica_v2.0:VIII:8561782:8563421:-1 gene:SETIT_028500mg transcript:KQK94085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSFGVEDFVKRYGALKPSQFVDVVALSGDKADNIPGVDGIGDVNAVKLITKFGSLENLLKSVDEVEDERIKQHHVSRMEWWT >KQK94022 pep chromosome:Setaria_italica_v2.0:VIII:7846356:7846952:1 gene:SETIT_028123mg transcript:KQK94022 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNSCKWKAGRVAAAVKSLVSRTLHRGRSGGGGGAAPPVAPGCFSVYVGPERARFVVPVERANHPLFRRLLDDAEREYGGQAAQGPLALPGCNVSAFLDVLWQMEERRDDDGGEIPTAVVSSPVCVLWRGGGSKGWQRDGWVPEAEPDEKRGSHELHIHVSWLSRMVACLSLMV >KQK95721 pep chromosome:Setaria_italica_v2.0:VIII:37556041:37556489:-1 gene:SETIT_028547mg transcript:KQK95721 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYQPDLAYARPHGRLEKFHMYYFVFSLTMKNPSCPHSKAIFFS >KQK94699 pep chromosome:Setaria_italica_v2.0:VIII:25274831:25275895:-1 gene:SETIT_027731mg transcript:KQK94699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPYMAYDQYVMLDGNGNPVVFDDDGTSLDSFRSSHLMVIRADVATEISKTFARSLILELRQIEAEGKCLAALGEHHVRVAPNGKVKLRGVQILSNPLPAARLAYLQQNYHSASTIIDGLFGQRIPPDIQHLTYLMRTDFAVRAMFPIHASLVPMTSYPALYKELHDYVKFKIPKHHFHAILLVLQNVPNVANWQQVVSTNSVLSTTLNFNQGSSYTIPTNIYNQTCLYPEQKTALRMLGIPKGNQISITPYQIALIRPLRFFDFKRNRIAHRMEAGLCANGMTLQRYFSAKGSDIVTRVRFPLVIPYIQLELQRRGLLSQLDLNPLFN >KQK93982 pep chromosome:Setaria_italica_v2.0:VIII:7110774:7112272:1 gene:SETIT_028468mg transcript:KQK93982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLFITNFPVCADWREGQSIFGRHDYVTAQLEGSIQRAAC >KQK93983 pep chromosome:Setaria_italica_v2.0:VIII:7110821:7112371:1 gene:SETIT_028468mg transcript:KQK93983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLLFITNFPVCADWREGQSIFGRHDYVTAQLEGPFFHNMLLLFNIIFMKLVS >KQK94532 pep chromosome:Setaria_italica_v2.0:VIII:21078074:21078661:1 gene:SETIT_027051mg transcript:KQK94532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWRTRVLLVLAFAAVALLASGTAAAAVGLSAGAEAMPSLHALRRVEDDASSFVEGEEAAAYPRRRALYSSGSINYAALTASKAACYGPCPARGQAYSRGCQAIYQCRG >KQK93975 pep chromosome:Setaria_italica_v2.0:VIII:6992563:6994832:1 gene:SETIT_027701mg transcript:KQK93975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYPRVPLLLLILQAHLCLVSPGVAGLSFSYDFSIPDPGRINRKILRYISQPVRLWDGLTGKRASFSTSFSFSMSGLRVGLNTTTLPKGPGTAFFIGPFPSSLPPNSGGGLLGLFGDKSSFTPTVAVEFDTQWDEGWDPYDATGDHVGINVNSIHSDSYSRDLAKGDLAAGTVSANVTYDAGSNLLEVTVRLANGSTTSISALLNLKKQRLPQDAAIGFSTGKGEDTNFSPVLISWSFSSTVAKEFSYNELSASTNNFSEDRKLGAGSFGKVYRGDLKDPRMPPVAVKVLTGHMDSQIRKDFVTEVTTLCQLSHRNLVKLVGWCSDGKPLMLVYELVTNRSLDEHLHGQGRLLTWTERYQVALDIGFAIEYLHNGCKEPILHRDIKPDNVMLDDAFHAKLGDFGLVRQVNPGQGSLRGTTMIGSYHYMDPQCTNGSASTASDMYSFGVLLLEVATGKRSQASLDPEKGFPNSLTSTVRESYHKGHVVEMADARLNGDFDKSQMARVLTVGLLCVQLDRALRPEIREAINMLSNPSHPVPQLGA >KQK95231 pep chromosome:Setaria_italica_v2.0:VIII:32437237:32439450:1 gene:SETIT_026041mg transcript:KQK95231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPAPSPTPSPLTNECRKDHTDTVKFFVILAVVAMFLLHVLGSLRRRSSHTLLHSIVMGVYTLSYPLVGFTVGLMKSSEFYYEDFAVWAVFLLLLLGSTDSLTVCRLSDVDDWKSIHVKYILQGFWLVIIILMICKHHDQDVTYGQKLPYRYPLYAIVLVVLLKGYLRIASMRMVSKSYLCKKVKVIAEYMRHNDNLPVPFNPVTMEGYRYMVAGEKYCVNRQPVGKLWYKGDGLKVVTVEQIWQCTGRLLVLERGKLLKDLCLSMALCKMLNRRFVGFKLSEAGNEKTHDFVFKGLLAGDKPHQRAFKVIEEELVFVHDFYYTRYYYLYQKGRYIALCLPIVMLALCSWLTYLLVKQYKRSSLQDATIFVTVVVAFLEAYQLYLYISSGWFKVALIQSYIDTPFLRRSRCLEMIIGLLLRLKAFAPWKRSLGQYCILQELGRKSRVRNCLHYATLYLVDKAMKGSKNSVKLSEDVKKAIIDSLLASNGYLTNRVTSLQRNGVHDDLKWACDATATDGAVARTIVVWHIATTLCEQKLDKQDKEEDAVKTASTLSKYCMHLLAFAPTLLPDHSSISESILDQSIDEASKLLKEGKNKKIEGRCEILMEINTDGCVDDETRLVAQGVHLARQLIDNIQDFTTRWKVLSDFWAEMMLYVSPSDDAREHLEVLAKGGEFITHLWALLTHAGVLKRGPTEPKDVV >KQK95764 pep chromosome:Setaria_italica_v2.0:VIII:37896641:37900613:-1 gene:SETIT_026304mg transcript:KQK95764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVAGVEKIVKIGLKIKEAVDTVRHNEEECREIRKRVLRFSAILSQLQQTGLMNDSPALSGALEDLEESLQHALELVTACQERSTIRRLIKAGDLSKQLHRVKDDILNKVMLASFAVNTQATIVLLTIQAAGGHPPPRQPEDAGVVDSTDDARTELNDVENSVIAGSEVPLAPLSVAIREFRWSELMAATNSFSYGNTIARGGSFVIYKGVLKGGNIVAIKRCHKTIDDYNVWLQDEYYDLLPVVSKLQHENIVKFVGYCEVLEQPIETEFLWVEEYVANGSLQDIIHDSRIHWSSLFQIIQGIAQGLHYLHEQRVVHMDVKPLNILLDSDMNPKITDFELCIVLPDNEIIIHDSIKGTMGYAAPEYIEAGILSTKNDVYAFGITLLETVSSMCRSKPPQGLHRRGGLES >KQK95766 pep chromosome:Setaria_italica_v2.0:VIII:37896641:37900613:-1 gene:SETIT_026304mg transcript:KQK95766 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVAGVEKIVKIGLKIKEAVDTVRHNEEECREIRKRVLRFSAILSQLQQTGLMNDSPALSGALEDLEESLQHALELVTACQERSTIRRLIKAGDLSKQLHRVKDDILNKVMLASFAVNTQATIVLLTIQAAGGHPPPRQPEDAGVVDSTDDARTELNDVENSVIAGSEVPLAPLSVAIREFRWSELMAATNSFSYGNTIARGGSFVIYKGVLKGGNIVAIKRCHKTIDDYNVWLQDEYYDLLPVVSKLQHENIVKFVGYCEVLEQPIETEFLWVEEYVANGSLQDIIHGMFQQIY >KQK95765 pep chromosome:Setaria_italica_v2.0:VIII:37896907:37900594:-1 gene:SETIT_026304mg transcript:KQK95765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVAGVEKIVKIGLKIKEAVDTVRHNEEECREIRKRVLRFSAILSQLQQTGLMNDSPALSGALEDLEESLQHALELVTACQERSTIRRLIKAGDLSKQLHRVKDDILNKVMLASFAVNTQATIVLLTIQAAGGHPPPRQPEDAGVVDSTDDARTELNDVENSVIAGSEVPLAPLSVAIREFRWSELMAATNSFSYGNTIARGGSFVIYKGVLKGGNIVAIKRCHKTIDDYNVWLQDEYYDLLPVVSKLQHENIVKFVGYCEVLEQPIETEFLWVEEYVANGSLQDIIHDSRIHWSSLFQIIQGIAQGLHYLHEQRVVHMDVKPLNILLDSDMNPKITDFELCIVLPDNEIIIHDSIKGTMGYAAPEYIEAGILSTKNDVYAFGITLLETVSSMCRSKPPQGLHRRDREAWKAELMKEEFDPALFEASDLEQIRRCIQIGLVCAQLDRARRPNMDQVLEMLNGNKKLPNTKWRLLAWF >KQK93972 pep chromosome:Setaria_italica_v2.0:VIII:6938303:6939921:-1 gene:SETIT_028131mg transcript:KQK93972 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKALLAAVSVFALLVVLSKLKSLLVITKPKLNLPPGPWTLPVIGSLHHLGTSPSIHRAMRRLAQKHGPLMTLRLGEVPALVVSSPEATKEIMKTHDIMFGDRHMNATIATLTFNGNDIAFAPYGERWRHLRKICVLEMLSAARVQSFRHIREEEVARMMQNLAASAGSGAAVNLTKMIARFINDTFVRESVGSRSKYQDEYLDALDAVMRLTSGLNVSDLFPSSRLMQVLSTAPRKALACRNRIQHILEQVIQDTKESMDHGNEVATGSEGFVGVLLRLQKESSMAIPLDDNTIVADMFSAGSETSSTTLNWCMTELVRNPEAMAKAQAEVREAFKGNSTIGEGDLKELSYLNLVIKEALRLHIPAPLLIPRKCRETCQVMGYDVPKGMVVFVNMWAICRNPKYWDNPEEFKPERFENSNLDYKGTDYEFLPFGAGRRICPGINLGVGNIELALASFLYHFDWKLPDGIEPKDVDVCEAAGLVGSKKISLVLHPVTRIPPANVD >KQK95233 pep chromosome:Setaria_italica_v2.0:VIII:32451276:32452968:1 gene:SETIT_026721mg transcript:KQK95233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGELGTGSRSTREEPLEGRKEGSVRDSEFQHGRHGIDLLGEQHHAARAPKNQGKDGMAVLQRRQSSEAEESGELSSERDRRRLLHHHIHHLLSSSCTLTLLVVVVFDRVCASTAMGFGRKVGEGGVSRWLLLLAGVLLAVAVTVTAGDAAEEGATAGDYTFGAVEEGATAGKDSLHGSRAMCELKCQHHQDPVNKQRCIDFCIRWQLALLFDVKEEDGATTTDAITAGEDGICASRRICKLKCQHHHDTVNNNRCVDFCIRYQLALHDINDGATAAAAGGAIRQVV >KQK93744 pep chromosome:Setaria_italica_v2.0:VIII:3904127:3904751:-1 gene:SETIT_027240mg transcript:KQK93744 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAMARVWIHTTKEKVSQRYICLLRHGKTFIFCYYHHVPDKKIDAGMRFEAENL >KQK95990 pep chromosome:Setaria_italica_v2.0:VIII:40338283:40338805:-1 gene:SETIT_027500mg transcript:KQK95990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQEEVSMLLGVSGEIEKLEENMEGINKAFLADAERRRVTDQSVQRWVRKLKDAMYDATDIIDEYQLEADKRRGSTEDGSSVKKKKVSAGCFQPLLFCLGNPVFAHKIGSRIKELNQRLDGIHKLGSRQARMLTDAERSIQKMTSEFDESDIVGKNAHNLV >KQK94754 pep chromosome:Setaria_italica_v2.0:VIII:26319482:26320807:1 gene:SETIT_028091mg transcript:KQK94754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEYFSKWGESGTVDLKSELEQLIILTASRCLLGREVRENLFDDVAPLFHDLCTGMQPISFIFPYLPIPAHRRRDRARARLGEIFSTIIKSRKASGRSEEEDMLQFLIDSKYKDGRNTTEEEITGLLITTVFGGFQTSSIASTWTGAYLLQLKQFFATAVEEQIQVMKRHGDRTDYDVVSEMHFLYRCIKEALRLQPPIPMLLRQSHCDFTVTTKEGKEFDIPKGHMVASPLAFANRLPHIYSNPDSYDPDRFAPGREEDKAAGAFSYTSFGGGRHGCHGEAFAFLEIKTIWMHLLRNFELELVSPFPEKDESTAIVGIQGAVIVKYKRRKLVISS >KQK93489 pep chromosome:Setaria_italica_v2.0:VIII:1498484:1499057:-1 gene:SETIT_028544mg transcript:KQK93489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLWQSTSMCVIMNQLFSLTLRSECQRRQCLMQTTKC >KQK95612 pep chromosome:Setaria_italica_v2.0:VIII:36405413:36405850:-1 gene:SETIT_027246mg transcript:KQK95612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKRLCQLNLYGMVWNISNHSFVSYVTFFNFHHVSFRISLVKQWQRAFMRF >KQK95299 pep chromosome:Setaria_italica_v2.0:VIII:33160480:33162468:1 gene:SETIT_027294mg transcript:KQK95299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRPLSTAATPAASCPPLPPLHSYDAACPGDPDPASLAPDDAIAALPSLADSAGSAAALALFRRLAARPDLRRLMRLYATAATTFVARGNLPMAHEAMRTMVAAFAEAGRLREAADMVLEMRSHGLPLCVETANWVLRVGLHHPACFPHAREMFDGMTRGGGVRPDERSFRALVLGCCREGRFEEVDALLVAMRQHGFCLDNATCTVVVRAFCQQGRLKDVSELFRRMSEMGMPPNMVNYTAWIDGLCKRGYVKQAFHVLEEMVRKGLKPNVYTHTSLIHGLCKIGWTERAFRLFLKLVKSSSYKPNVHTYTVMIGGYCKEGKLARAEMLLGRMVEQGLVPNTNTYTTLIDGHCKGGSFDRAFELMNKMKQEGFLPNIYTYNAIVGGLCRKGQIQEAYKALRMATSQGLHLDKVTYTILITEHCKQGHIMYALELFNRMADNGCYPDIDTYTTIIAAYCQQKQMEQSQKLFDKCLAIGLMPTKQTYTSMIAGYCKVGKSTSALRMFERMVQHGCLPDSITYGALISGLCKESRLEEARALYESMLDKHLVPCDVTRVTLAFEYCRKEKISIAVSMLDRLDKRQQAHTADALVRKLSAADNLDAASLFLKNVLEKHYTVDHLTYTSFINSCYNSNRYALASEISEKISKRISNFHQKDATTIA >KQK94604 pep chromosome:Setaria_italica_v2.0:VIII:23166588:23167283:1 gene:SETIT_027891mg transcript:KQK94604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFDAHVIVVAFSPTSEPKAYGAPTADSVLRTYLPEIHSSPSPVCSETVVEAATRMNAGKQNLWKVDMKALGADELPVFVGALEVLRTDVQCHLDAMESSRKEKMQP >KQK94812 pep chromosome:Setaria_italica_v2.0:VIII:27424948:27434124:1 gene:SETIT_026025mg transcript:KQK94812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRDQQRLGLSQLRGDRGGGGGEAEADGDEEGETRRKGVAAGSSLGHRVDPRELEPGEYVVHKKVGVGKFACISAEDGVDYVFIQYADAMAKLAVDQAARMLYRYNLPHEKKRPRNLSKLNDPSTWEKRRLKGKLAVQKMVVNLMELYLQRMRQSRPPYPKPVAMEEFAAEFPYEPTPDQCQAFIDVEKDLTERETPMDRLICGDVGFGKTEVAMRAIFIVISAGYQAMVLAPTIILAKQHYDVMTERFANYPDIKVAIFSGAQTKDEKDDLITKIKNGDLHIIVGTHALLTERMAYSNLGLLVVDEEQKFGVQQKEKIASYKSSIDVLTLSATPIPRTLYLALTGFRDASLMSTPPPERVAVKTYVSAFSKERALSTIKFELQRGGQVFYVVPRIKAIDDVLQFLKDSLPDVPIAVAHGKKMSKNIQFAMEKFARGEVKILVCTHIIESGIDVANANTIIVQFAELFGLAQLYQLRGRVGRSGREGFAYLFYTDKSLLSRVATDRLGAIEEHSELGQGFHVAEKDMGIRGFGSLFGDQQSGDVANVGIDLFFDMLFDSLSKVDQFCLVPVPYKDVQLDINISPHLSSEYISYLENPVELLNEAAKAAEKDLWTLIQFTEDLRRRYGKEPRDMELLLKKLYVRRMAADLGISRVYPSGKTIFMKTNMNKKVFRLMTEAMTSETHRNSLSFVGKEIKAELLVSLPDTLLLNWLFHCLADCYAVIPALVKY >KQK93333 pep chromosome:Setaria_italica_v2.0:VIII:589244:590933:1 gene:SETIT_028320mg transcript:KQK93333 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPVPPRSSPNIAAVTTRVHPSDALHHNRAADDDHHLVQPALYTVWKRSSMGFHGNYGFSVYDADGALAFRVDNYSRRRKLFAGELLLMDGQGAPLLALRPQIIHCLLFTKQKNFAATNDTNLRCGTHIVNMRDQWNCYRALEEASDKSSSRRQHLFSMRKCSLVQSNDEAEVHISGCITSSDHDAQAPSFRVHGSFWRRSCKIRKGNGEEVARVTRKKAGALSETVTLSEDVFSLTTMPNVDRTMIMAFVVILDRICQRPYEPLMCST >KQK95224 pep chromosome:Setaria_italica_v2.0:VIII:32356253:32356948:1 gene:SETIT_027216mg transcript:KQK95224 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKCAASGLASRQNRGAGPEQRSGAQQRLQSNGEMSKLAYSCNQVQAATITIKSRTSFHSNN >KQK93782 pep chromosome:Setaria_italica_v2.0:VIII:4338188:4340781:-1 gene:SETIT_026386mg transcript:KQK93782 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWYHCTALVDDSTVYAVKAIPKRKLLCREDCDDLWREIQVMHHLSDHPNVVRIDGTYEKGSFVHIVTEPCAGGDLMDRIGAKGGRYCEGAVAKIIRAIVGFVAWSHSLGVMHRYLTPENFLFASDAEDAPPKATDFVFSVFYKPDRHSDDVEKPYYLAPEILAEKCYGPEADVWSAGVILYVLLCGEHPFSAETYEGISIDIRQGKLDLESSPWPSISASAKDLVRNMLTRDPKKRFSARDVLRHPWLNDGSVAPDKPIDSAVLSRLKHFSAMNMLKKMALRVIAERMSEEEIGGLKELFKMFDTDNSGTITFDKLKAGLKRLGSALLSENEIQTLMDAADIDRNGTIDCTEFVAATLHMNKLERVENLNQAFSFFDKDVKGYITTEGLSQACCQFGLDVVHLEDMIKDVDQNNDGIIDFTEFSAMMRNGNAVEP >KQK95401 pep chromosome:Setaria_italica_v2.0:VIII:34163914:34168328:-1 gene:SETIT_026806mg transcript:KQK95401 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKDGVVLAVEKRVTSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESSTQAICDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLQEAETIALSILKQVMEEKVTPNNVDIAKVAPKYHLYTPAEVEAVIARL >KQK95677 pep chromosome:Setaria_italica_v2.0:VIII:37063742:37065232:1 gene:SETIT_028146mg transcript:KQK95677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRKRNQMRKETWERLEMAHIRLEAALETSNNWQITDASLLRWRRKLKRAAQECDDTLHKCKQRILEDEQMEREVKNSSLPNRIVHATKSFALSIFKRNDSDLRRSIAQKFEWYADGASEFLRFIELGGTPRRHMPLESLVKNLFAGKELHHKIVRGNKYPLFQLWLTPMRNPVHGIEVSLGFIEYDGTPEGNICFSMSVQLWESIDIVGIAVKCLQLFAPHFKCKVENIRNELTQLPNEDLSWGPSFYSDHKEHWEKVNGLLSQFARPNPFCCKEHGRHEVRRFSNMDMAGLSDGWLEPVIYFDLHCHVSLPMYRKQNTSLFEDLISLQDYPYLKAGIAFLPHGSLEDMLPANRSSKIAAIVRKEQHFLHTDITLEQLEEIMLPKAIHYFRQNEEAMVYQMLWKSKHGIAFIQVEKPCMSTWRSSMRRQSTSGGARKRKVFQGDDEELIRRRIYVCHWLDSWFTYVPVRLQRSLMNWIRKEKEILIAAPQLHLKF >KQK94029 pep chromosome:Setaria_italica_v2.0:VIII:7932362:7934974:1 gene:SETIT_027651mg transcript:KQK94029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDQEHEDLERILIEKNANAIPLSYTFLKYITNNFSHEREIGRGGFGIVYKGVLRNGNVAVKKLSRTDDISEKQFEDELFCLIRVKHKNIVRFLGYCSNISREVVHHNGRDILADVQQRFLCFEYIPNKSLKHYLEDESYGREWETRYNLIEGICHGLQYLHNEQRINHLDLKPENILLDYGMVPKITDFGLSRRFGEQSRIITKNIYGTLGYLAPEYLNYGKLSFKSDIYSLGIIMGKILRGNNDILDFQNWHKSQVTDSPQVKRCIEIAQLCVNADEHKRPTIDEIIAMLNEIHMSRSNSVPSLEKVN >KQK95026 pep chromosome:Setaria_italica_v2.0:VIII:30017280:30017864:-1 gene:SETIT_028106mg transcript:KQK95026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CDPAYGLAELFRERQDYDDDVALSYAKLCQSRAEELLGKKDKEICEICFLHRGLVRCFDRETGLEDHCKKKHQGGYLCKRKGCVVRSKTLREAGLHFLYLHEQGDRDCLVGFLMVLYVRLAFLQTGGACSWTSTATPDDLIR >KQK95961 pep chromosome:Setaria_italica_v2.0:VIII:40164407:40166461:1 gene:SETIT_026849mg transcript:KQK95961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVRRRRRLLAQLGALADGDKQGHGIHLAVAGRPEHTLAEVRSLAPLLAFVHARAPEQAGTPRLPSLLHAGARAIPGLICGGPIGGGPRRQVGHRRPRDLGGGPSNAPNRASEAWSGLEQRAGGHPASSGAADTRAGEGSPGGGPSTVMETTSSPRRRRRPSVRRSSRSPVVLEDLEGGRRRNSSQSEKEKEQGHGGGQHGPFATAFIAFSQF >KQK95997 pep chromosome:Setaria_italica_v2.0:VIII:40372294:40375449:1 gene:SETIT_027359mg transcript:KQK95997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLHITKLYHSTSCTTTVPSSAARPCLPGQAAALLRLKGSSFATTKASITTFASWRAGTDCCSGWDGVGCGDGHGGVTSLDLGGRGLLSAALGPAIFDLTSLRYLNLAHNDFSGSELPSTGFQRLTQLTHLNLSNANFSGMIPANIGRLVNLVSIDLSATPFLLHDGDSSAPKLKSLIANLSNLRELRLDSARLSDKGTEWCRALAKYTPNLGVLSLQSCSLSGPICGSFSALGSLTTLDLRRNMLSGPFPGFFAKLPSLRVLQLSDNDLQGRFPSIILRQTKLVTVDLSRNTDLSGNLPRFSAGSSLENLLLRGTNFSGEIPSSIGNLKSLKELDLAEAGISSSDGRGFSGTLPSSIGKLRSLELLALSGFGLVGSMSPWIANLTSLTILKLSNSGLSGSIPSSVGGLKKLKELTLSNSKFYGNIPSSISNLTQLSTLNLQSNNFSGTVQLSLFMGLPNLSILSLSNNNLSVVDGEDITWSPVYPRIKSLGLVSCGMEKLPKLLRYLGRSRANWLDISQNRIRGAIPQWAWENWSGSHFHYLNLSHNYFTGFVGLETSLPFSIDRFDLSSNMFRGPMPLPQNLSQGALELDYSSNMFSSIALHSSTKISIFKASRNNLSGSVLASFCGVNNLEILDLSYNNLTGPIPSCLMEGTNELRVINLKKNRLHGELPHNINESCSLEVLDFGDNDIKGKLPRSLAACSELAVFDIQNNQISDSFPCWMSTLGRLYVLVLKSNEFFGQVGPSAEDKNSCEFPRIMILDLASNNFSGTLTEEWLTNLTFMMGEAGALALPALTTQSYSDETRIYEVTNELTYKGSDLTMETVFRVLWFLDVSNNDLQGSIPAAIGELVELNSLNMSHNYLTGPIPKLGNLKWLEALDLSSNELSGEIPRELASLDFLTTLNLSDNKLVGSIPESPHFMTFSNSSFLGNSGLCGTPLSNQCMINRTMQSAVPYHSKKNPVDVMLFLFSGIGFGVGFAIAVVVAWGIPIRKRS >KQK93701 pep chromosome:Setaria_italica_v2.0:VIII:3342619:3349422:1 gene:SETIT_026192mg transcript:KQK93701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEGGEAGGGGPGGAEEGVGESSSPPRAPAPAPAPAASGGSGGRGGGGGGGGVGARDICREVFERLVADGHVEAVGASGPELRARLEAHFARLPTSYQLDVNVDKAEQVLIHQKVLAEAKDPDRRPAFAVRFLRLDEVNVDETTNSDAHEEGADIGEALSTRSKAYTYIHEILFSTTDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPIEDTEGLHKALEASILRNEGSWSGSDSSASGKSLPFLAQDCESDIDTRLLKIVKKVASGSCGAMFLGTYGGEEVAVKILNPENLDQNAWSEFKQEIYILREVDHPNIVRFIGSCTKPPQFYIVTECMSRGSLFDFLHNEHNVLDLPAVLKYALDVCRGMSYLHQKGIIHRDLKSANLLLGKDHVVKVADFGLARFQDEGGSMTAETGTYRWMAPEVINHQPYDNKADVYSFAIVLWELMTSKIPYTTMSPLQAAVGVRQGLRPQLPENAHPRLLNLMQRCWEVLPSDRPSFTDIIAELEDIQAQAQGTSGESSQKQKDGDSAH >KQK93928 pep chromosome:Setaria_italica_v2.0:VIII:6227112:6229715:1 gene:SETIT_027948mg transcript:KQK93928 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGVLVSKLGAALAKEAATYGASLLCKEASALKGLFGEIHKAKGELESMKAYLRESEKFKDTNETTGIFVKKVRELAFRIEDVVDEFSYKLEDGKHGGFVAKMTKRIKHVKSWHHLAVELRYINTELEEATKRRDRYVIPEMQRQDGSGDHRAKPNYQTSCFAREEDIVGIEYYADELQRWLLADLKERSNIITTIWGMGGVGKTTLADHVYNTVKVKFDVAAWVTVSKSYQVEDLLIKIAREFGISTVDGSNMEMRTVVEVIRNHLEGKKYILVLDDVWEQDVWINNVMPVFPTNCTGRFVLTSRVYEVASLATNECSIQLKPLPDKSSYKLFCKLAFWNNGEKTCPLELRDLAAKFLQKCEGLPIAIACIGRLLSCKPPTYSAWENVYEELELQSCKSAIPGVDTILKVSFEDLPYELKNCFLYCAIFPEDYELKRKRLIRHWITAGFITGKENKTLEQVAEGYLSELVNRSLLQVTRKNVFEQVKCCRMHDVIRCVALDKAENECFGKVYGSSGTFSVDGTRRISILSKNIEPLSQSCTTHLRAILAFTSDVNVYLLRRILASSRLLSTLDLQGTHIKMLPNEVFGLFNLRFLGLRYTRIEILPDAAGRLQNLEVLDACGTAQLSSLEDVRRLTHNIKALRQVNGIKVPRSIRRLNRLHALQNVKASLETLHDIAALTELRTFAVSDVKSEHSLNLSSAIMNMSHLAHLSVAASIEHEVLPMGGLACLPKDLYKLELTGPLDKAEVAQVFSSWSHLKNLTVLNLRYSRLDKDSFSSLVELRGLCSLGLSWAYDGKKVCFPKQSFPRLRILKILDAPQLYEVEIEEGALESLVQIVFSKCPEMKLLPPGIKYHYIYSYERY >KQK93288 pep chromosome:Setaria_italica_v2.0:VIII:362249:365556:1 gene:SETIT_026090mg transcript:KQK93288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAYAEQEKELLSSVVGDIRSYSGSDPLRPWLRGMRKMERALPPATLREKLPRFLQKCAQELQDDLRYRDDPRYLRVWIQLMDYVADAKPLLKKMERNGIGLKRASFYMAYALYYEKHKRFNDAEKMYRLGIQNLAEPIGELHKAHEQFILRMESYKRRKDKERMPRKAGPSEIMPTKAGPSITPMTQVEGESRNSKELKSNTIQKSGSSSNTSLGRHPPLGPAKVGMLSRGNSGANKNLSRCNSDDTVVVRFVGSALVGKSETEDACHHGLVEPTINTKEAMDAISSMFLEPVEPETMLKRRSKHEKSNFNQQPSAFDIFVDEDEPNCNGSKMLHRNSMKQEHPKFSQQTRGFEIFVDEDGPNGNDQNAEQNRNSRKANMKLNQETSGFEIFVDEDGPNGSDQNAGQNRNTGKENMKLDQETCGFQIFEDENEANGSIQNATYHKNNGLPPRPLCDSSRHQGESDFQKPFVGGFAILPDDEEEQCEKTVGVTINSRNVQPTHDNNTLLCPVQTNSGTRYREGSHPVCYGLREDTVIHRFVRSSIDDEPKVENACHHGLVDPTVNLKEAMDDINNMFGKPLNFKGEKTKRKTNALSDGKAVSVSGFSILADDDLKENTCKASQSSSCKFGDENGLFEPTITTRDVMAEINDMFGMPLDF >KQK95095 pep chromosome:Setaria_italica_v2.0:VIII:30735160:30736073:-1 gene:SETIT_027117mg transcript:KQK95095 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLNVNPATAAQCSCCVSARAKACCFACITAGGSDSLCKNTCCFPCVLSDSVAAKMEEMAVLAKMEEAGQA >KQK95152 pep chromosome:Setaria_italica_v2.0:VIII:31405288:31407568:-1 gene:SETIT_027354mg transcript:KQK95152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNAPVLNALHENKRNCRSTLPLLLLPPVSSSATSRTHPWSSVAVCTPDAASARLRCTPQGCLHLPPSLPAPRTPPAAACAARHLRLLPAPVRHLRLLPAPACHRRRIARSGGAAGMRQGEACVLSVKYRNFSCSSDQEAPRRRSVAVMGSGCDRSGVLFDGMPQTRSRSEFDAGVSLWAGLQPDILGVVLLFLPCLADRARVRSVCRHWPAGAHGHVLPPPLPILVLPGFKFSSLSDRGELMPVRRVPVPKEMAADDLRCVGSFDGWLLGVTPSKKRSDEYYRDADGDGFLVNVFSRKVIRLPQLSNPPIINGCCSVHFGANNIYRLSLCQVVLSASPDSGSKYIVAASSNHNACMTELPQDHDHPYQGGGAISCNMVVWRGELLLIIRHYTEDFGTRRVLRVEVFALDSEIHSFSGDCIFVGSAGGCKSFPASLHDGVEGDLIYFVPDDWKPHDTFLYSMRDGTMRPFAAELLACYFDVPEENLDFPVWLLPLQRW >KQK94305 pep chromosome:Setaria_italica_v2.0:VIII:12890348:12891203:1 gene:SETIT_028281mg transcript:KQK94305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIFVKTLTGMSITLEVESSYTTDNVKAKMQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQGKESTLHLVLRLRGGGGGRGCYPYSIDLNLRNLALEHNEKKMICRK >KQK94083 pep chromosome:Setaria_italica_v2.0:VIII:8557470:8561498:1 gene:SETIT_026379mg transcript:KQK94083 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGRMGIRRWLVSAATILVLLQVFLFHPATSQSFIGVNYGTIADNLPPAASTASLLMSTSIGKLRLYEPQPDLVAALAGSNISILLGIPNGDVPNLASSPAAAASWAAANIPTTVTVSAISVGNELLNSGDPTLAPQLLPAMQNLLAALPAGSTTKISTVHSMAVLSASDPPSSGAFHPDLAGSLDPVLDFLHQNGAPFMINPYPYFAYASDTRPETLAFCLFQPNAGRVDAASGLTYTNMFDAQLDAIRAALDAKGYTDVDIVIAETGWPYKGDADEAGATVDNARAYNGNLVAHLKSQAGTPRTPGKSVDTYIFALYDEDLKGGPESERSFGLYKTDLTANYDAGLAKSGSTAAPTILTPSPPQQGMLQPSRGATPTPTGFCQTTAAVPGSTQGQQVTQTSSCYIPAEAVSRRADAGTRQLVWFGVLLCLAMVAGK >KQK94689 pep chromosome:Setaria_italica_v2.0:VIII:25055997:25058190:1 gene:SETIT_027881mg transcript:KQK94689 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPKTPEELVDERRKGCFQPTIQSTQGPKSRNMMLICNGPSTARQFQIAIKATAQYGSGYKPPSPYQLGNPLLEDAMKMTSTMREEHERAWKHYGCTLMSDGWTDRRGRHLINFLVNSPEGTYFLESVDASSEVHDAYMLADLLEKRIEDIGKDKVVQVVTDNGANYKAAAKLLMERIPSLFWSPCVAHCLDLMLEEIGKLKEFKKPSARARRVTTFIYRHGRILSTMREKTDALKALFYSEAWTSNKLAKTSAGMDVHAIVLSTEFWNSVEDCLRVSAPLLIVLRVVDGDEKLIMLEVVALMNHAKEKIKLSFAIQTKKTLMKKIMDIIEKCRVKQMDHPLHGAALYLNPGKLHPLITADDDATIGQLRGCFLEVLGRMIHTKKRNRLLHQRLNSIVFVSYTRKMKTRFQIRREKKGKSFNPLVIEEFNWDNEWADSSFVHRQGAHGSLGASSSLRGCNLRRNASSNHARPSSPSLVEDDFGSDDEEDEASQDPHDDADVTDCEDAPGPNGSNNGEDIEAAANIHDEFDDGY >KQK93665 pep chromosome:Setaria_italica_v2.0:VIII:2897098:2901127:-1 gene:SETIT_026104mg transcript:KQK93665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAALPQTMDALSRRAAMLRDSLRRSQGNTDGMVAILGSFDHRLSALEAAMRPTQVRTHAIRTAHENIDRTIKAADGILSQFDLARRAEATILRGPHEDLESYLEAVDVLKGIVRFFSSNKNFKSSEVVLNHVNNLLAKSTLKIEEEFKQLMSTYSKPIEPDRLFDCLPKSLRPTKGDHETDGGSRSDHPSKGLETAIYRTPTLVPPRILPLMNDIAQQLVQAGNQQSCYKIYRDSRASALEVSLRKLGVEKLSKDDVQKMQWEALEAKIGNWIHFMRIAVKLLLAGERKICDQIFDGVNFNKGHCFAELTANSVITLFSFGDAVAKSKRSPEKLFVLLDMYEVMRELQPEIEEIFEGKPCTEMREAASSLTKRLAQTAQETFADFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFDNSTEAESQLAAVTTRIMQALQNNLDGKSKQYKDAALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSVQGAGSTGDLSSSGVSRAMIKER >KQK93666 pep chromosome:Setaria_italica_v2.0:VIII:2896288:2901127:-1 gene:SETIT_026104mg transcript:KQK93666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAALPQTMDALSRRAAMLRDSLRRSQGNTDGMVAILGSFDHRLSALEAAMRPTQVRTHAIRTAHENIDRTIKAADGILSQFDLARRAEATILRGPHEDLESYLEAVDVLKGIVRFFSSNKNFKSSEVVLNHVNNLLAKSTLKIEEEFKQLMSTYSKPIEPDRLFDCLPKSLRPTKGDHETDGGSRSDHPSKGLETAIYRTPTLVPPRILPLMNDIAQQLVQAGNQQSCYKIYRDSRASALEVSLRKLGVEKLSKDDVQKMQWEALEAKIGNWIHFMRIAVKLLLAGERKICDQIFDGVNFNKGHCFAELTANSVITLFSFGDAVAKSKRSPEKLFVLLDMYEVMRELQPEIEEIFEGKPCTEMREAASSLTKRLAQTAQETFADFEEAVEKDASKTIVQDGTVHPLTSYVINYVKFLFDYQSTLKLLFQEFDNSTEAESQLAAVTTRIMQALQNNLDGKSKQYKDAALTYLFLMNNIHYMVRSVRRSEAKDILGDDWIQRHRRIVQQNANQYKRVAWAKILQTLSVQGAGSTGDLSSSGVSRAMIKERFKSFNMQFEELHAKQSQWIVPDQELRESLRLAVAEVLLPAYRSFIKRFGNLVENNKNPQKYVRYSPEAVDQLLGQFFEGQQWAEQKR >KQK95978 pep chromosome:Setaria_italica_v2.0:VIII:40279533:40280240:1 gene:SETIT_028258mg transcript:KQK95978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLSNKTLAAVEALMMTLALATTAAGQPTTTAANLTLHNLCPYPVWPLVTANAGVPSVPTDADGEPAGRLDGNGEGLATLAFPPGAWSGRVVARTGCAIEGDGGGEEIVGRCATGDAPPVTVAQVSVGGPGGRAAYSVSLVDGFNVAAVVTPHGFAEGRRCPTLGCAVDLAAECPVGARGPHGGCGGGGASSEAAWFKARCPDARTNATDVEATPQDCVAPREIKVVFCPKPDS >KQK94072 pep chromosome:Setaria_italica_v2.0:VIII:8434362:8434839:1 gene:SETIT_028553mg transcript:KQK94072 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVLLLMATMESASATGWSSIDDGGYSPSSNLGARKLLQFCLPKRSFCSTLFYTTPCCNGPCFDFTCP >KQK94200 pep chromosome:Setaria_italica_v2.0:VIII:10797612:10800374:1 gene:SETIT_026466mg transcript:KQK94200 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGEKAATSTTLPQPIPPGYGCQEQPSDGNTAAFSVPFQMTSSDVTTAIAPHHVVGSNTLSECRSSDDGYSWRKYGQKLVKGIREHPRSYYRCTFPNCPTKKRVERSALDGQIIEIVYNGTHNHDKPQNTSRGGSSMAAQPMQSGGSEAFEGKFGGMSGRNDEVGVSSSRAGNSEFDEHEEDFKRLRKDNEGEGISMSGSRTTMVHEPRVALVTRSAIDILDDGFRWRKYGKKMVKENPYPRSYYKCLMAGCSVRKHVERSSRDPSTVITTYKGKHNHGPLYPPPAMANQSSGAGQQQHAHGFGGQGWKGVSGSASGQGTVGGSFVASTPDGVELELTPRALAKEEPKEDALSRCSPSILEHEENQGPPLPSVHETWSFQREIDVQDEVKPAV >KQK95124 pep chromosome:Setaria_italica_v2.0:VIII:31195597:31199334:-1 gene:SETIT_026198mg transcript:KQK95124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAQQAEPTTSPASPTPAGAGSTTVIKNARHRPSALKLCYHVAISNLPYLLLAPFAAALFVRLSRHSPADLAAALTSNPPLTAALAALAAVLVTIYLARRPRAVYLLDFACYKPGPEHVVTRETFMRQSTAAGVFTGDNLAFQRKILERSGLGQETYFPRAVLNAPPNPCMAEARAEAEAVMFGAIDQVLAKTGVRARDIGVVVVNCSLFNPTPSLSAMIVNHYKLRGNVASYNLGGMGCSAGLISIDLAKQLLQVHRNTYALVVSMENITLNWYWGNNRSMLMSNCLFRMGGAAVLLTNRGGADRRRAKYQLMHTVRTHHGADDRAYRCVFQEEDETGRVGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQILFLASLVGRKVFGLRSLKPYIPDFKMAFEHFCIHAGGRAVLDTIEKNLELSAWHMEPSRMTLYRWGNTSSSSLWYELAYTEAQGRVRRGHRAWQIAFGSGFKCNSAVWRALRTIDPAKEKVGNPWVEEIHRFPVEVPKVESVVVAPAAAAAAAAAAES >KQK94167 pep chromosome:Setaria_italica_v2.0:VIII:10303927:10305039:1 gene:SETIT_026839mg transcript:KQK94167 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGSKERFGHPQELHGLPPTPLTSLQQKELDEFWRKTVEDIENTMNFDNHILPMSYVAKIIRDNQGSLMISSETPSCLTKVLEIFIQELTLRAWMCAKSHDRSSTILESDIYEAINSKESYVFLNDVLQRLETNHTQASMSSNAPQLHQESHFLAATSTLKENGAMDPLTKPRDQAFQIPKDNLVPAINAQPDPLELKNDEDLTMSTTSSGSIEEAK >KQK94006 pep chromosome:Setaria_italica_v2.0:VIII:7410138:7410665:1 gene:SETIT_028559mg transcript:KQK94006 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFTPSPPTTPAPGSPRRAQANRGGRSARYPRLPPLNPSGARSRPSARPRWSRRGPGGSHPIR >KQK95445 pep chromosome:Setaria_italica_v2.0:VIII:34496240:34499485:-1 gene:SETIT_026918mg transcript:KQK95445 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAFVRRLGAIPWRNIAGEAFSRALLVAQAFCAVHVVDHHLCSLAIVRGPSMLPAMNLAGDVVAVDKVSVRQGRVGPGDVVLMISPEDPRKAVAKRVVGMGGDSVTYLVDPGNSDAAKTVVVPQGHVWVQGDNVYASRDSRHFGAVPYGLITGKIFCRVWPLEGFGSIDSNQSP >KQK93684 pep chromosome:Setaria_italica_v2.0:VIII:3035737:3036456:1 gene:SETIT_026798mg transcript:KQK93684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACHQRSTSLPSLPYSTELNVEQDLQTLKMHISSPSATIGTACDGLRRLGDVYSCIEEMMCLPSNQALTRERKTIEEELDRSLALIDLSNTMQESLAELKTSVQELRLVLKRGDDASVQLKIESFIRLAKKSQKPLKKMGSKATAEGCSCRLVMLLAEARETAVSLLESMSQFLPKQIGSPGGSKWSLVSRKFQKMKVACEERQLQALERSIGDLEDGIEFLFRRLIQSRVALLNILSS >KQK93231 pep chromosome:Setaria_italica_v2.0:VIII:93418:94202:1 gene:SETIT_027421mg transcript:KQK93231 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQTPSTRWCPTPEQLMILEEMYRSGVRTPNAAEIQQITAHLAYYGRIEGKNVFYWFQNHKARERQRLRRRLCARHQQQTQPAAVAPPPGPGPGPGPSPAGGSSAAGVHPAVMQLHHHHPYATCSFMPHHPHHLGGYLGHQQQQQQAATLPAVPAAAGAGGKAMLSNHQQPEWETMEHCNATASGGSSDELPPCCRRPLKTLDLFPTKSTGLKDECSSSKSSSCSTSTN >KQK95830 pep chromosome:Setaria_italica_v2.0:VIII:38680340:38682425:1 gene:SETIT_027746mg transcript:KQK95830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKAMGRRMPVAVAEGKKRPHEPVQAAKFASEAGVIIRDNTNMEALRAQPVAEGETRVSSVQVVSQVLPKNSSNSFLKSVGIKPVGTSQASSSSNEIELREQLAAEAKAAVQDELEDLKKRSEEAEEKLARTERELEEMKKLTEINTKAMEENNALLKRILSLNNASST >KQK94941 pep chromosome:Setaria_italica_v2.0:VIII:29020436:29022329:-1 gene:SETIT_027835mg transcript:KQK94941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVDINHNIKIFTEDEIKRMTRNYSTAIGKGGFGEVYRGLLDDNHDLVAVKRDIHGDLRKEFMEEVRIHSQVNHKNVVKLNDYCVGGSTLTMVSEYISRGNLEDILHKVGYSITLDTMLGIAIGCAEALRYMHLMHLSSDNLVCHGDTKPANILLDANLTAKVSDFGLSRLLSGGITQYTRYVKGSLDYMDPIDVYSFGIVLLELITRRRVKEGNTSLTEIFRKPLDAEIPNEGNMKALGEIGKLATDCLRLDIDKHPKINDVVVRLQTLWNVLRRGQDVSWFKKTFGNLKRNSHNSVMLEKFGNVRMFTMEELRQVTQSWSSRFHIYPNIVYKGTLEDNTAVACPAKCSLSDILSGDEDFPLDLRMKIAVETAGALEYLHSSAMGITAHDSVEARNLLVDDNFMPKLTSFPWPKEMCMKLIGRKKRYGEENLDIILQFANAYKEDNSGKAMFHEDITVEGDITVLEEIGRLALKYAVSKAEERPTISEVAQRLQMLRRHWKVITAQGATWISAFHPKASFTLTQTTLARGGRRP >KQK95032 pep chromosome:Setaria_italica_v2.0:VIII:30084445:30088035:-1 gene:SETIT_025936mg transcript:KQK95032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNKEEALLAKEIALRKVKTKDFLGAQRIALKAQRLYPKLENLPQLLAICEVHCAAEVKVNGDMDWYGILQVEATVDGTVIRKEYEKLAFLLHPSKNTLPGAQAAFKLVSEAHTILCDHVKRSRYDIKRQCDPQEMSKETTWLADGTRARKSDVAEHMPPSDFVMVFWTICPHCWKRFVYYQRNFLICCDDCSKNFFAFKLHEEAVPSRFLVAAPNNSQVSSEMFSRQKHGVCNPQFQNSKLHKTGGNVDSEPMMHARKSDEHVEWDCRPDGDQEGSDSLVRSGKRKQDDGADNCHSRDSCNNKRKRVEKYNSLSDADSGDDKISSVNVAGADSQSAKNHLSKVDSQGDGDAMRKGNANSSDDKIFDDNVAGADSQSAEYCPSKVDSQADGNATHECDAIQSAEYCLSKVDSQANGNATHDSVAGADNLSAGHVSSKVYSQGDGDTTHEGSANTNDDEMFNGNVASSNNQSAEHLLGNRQVHGNETHDVTASSGDSETFNDIAARADNQTAEHLYSKVNSQGDGNATHEGNAKSGDGKMFSDNIACSNNQSSEHHHREMDSQGNGIATHKCNADSDTVGDQGNVNSEATDTIGEKSCYSGCLSLPDHPNIIDFEKFRDVNMFSVGQIWALYDNLDGMPRFYARIMQLDASDFKVHLAWLEHDPMNEEENKWTDKELPVACGKFCLRKTRDISQNMSMFSHIVPCADGNKRNSYVIHPVKGEENAHGANDFGDSYQQNCLSPKTFTYPDSAFHNFEELRSHEKFECGQIWALYSDVDEFPKFYGWVRDWKAIYDTNGAFSHVVHARKTSNKWQFEIHPQVGEIWAIYMNWSPDWAPSGNRAEYAIGKIKRRTRSGIVFDFLTKVDGYVAVFKLDNQRGALKVRAKENMRFSHRIPSFCLTEENGGELRGFYELDPASVPNDFL >KQK95602 pep chromosome:Setaria_italica_v2.0:VIII:36261692:36262069:1 gene:SETIT_027848mg transcript:KQK95602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFYSFTKYHKQPLNSVLYKYYMCEFLRNNGSTKLDDKDIDTIGVDIARFIQCEICHDRGAKFDPDGVLATDECKSLRNWV >KQK93560 pep chromosome:Setaria_italica_v2.0:VIII:1928573:1931043:-1 gene:SETIT_026265mg transcript:KQK93560 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDALARKRNRVRRKRLRSSENAVSARVAAIIASKRRRKSGKRRGCEGMCFSLPTPDDPFNERHGKKRKGEEPTDDTEDAAAAAATVAKDDKPKKKDATKKQPPAKAGAKAKSKDVRERATETEEGRVDFDRPSKFLVVCLNAIRDAVAPEDGGGSSVHGAGDWGVELWRSCSAPAPSDVLDTSAACATMEQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSQEKAAQVRSICKPLKPLGIHSVSLHPGASVEHQISGLKTCEPEFLIATPERLLELVSLKAIDISNVSMLVIDGLKYFLDLNVSDKIFSIRDAISSNPQITIFTDSSDKNVATMAKNLLRGRITKLSINDSVSSRSAFVMQHVHFCPSEKLKTSKVKEILEQIMQSHAKQSSKVLLVAASDKKAQHLSSSLKLENCTVTDGSHGNSFTICSRNFDILNVSVSVQCGADEPTY >KQK93561 pep chromosome:Setaria_italica_v2.0:VIII:1928154:1931043:-1 gene:SETIT_026265mg transcript:KQK93561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKGDDALARKRNRVRRKRLRSSENAVSARVAAIIASKRRRKSGKRRGCEGMCFSLPTPDDPFNERHGKKRKGEEPTDDTEDAAAAAATVAKDDKPKKKDATKKQPPAKAGAKAKSKDVRERATETEEGRVDFDRPSKFLVVCLNAIRDAVAPEDGGGSSVHGAGDWGVELWRSCSAPAPSDVLDTSAACATMEQTAWLVSTACDIVARKERLGMVVSCPFLLYLVPSQEKAAQVRSICKPLKPLGIHSVSLHPGASVEHQISGLKTCEPEFLIATPERLLELVSLKAIDISNVSMLVIDGLKYFLDLNVSDKIFSIRDAISSNPQITIFTDSSDKNVATMAKNLLRGRITKLSINDSVSSRSAFVMQHVHFCPSEKLKTSKVKEILEQIMQSHAKQSSKVLLVAASDKKAQHLSSSLKLENCTVTDGSHGNSFTICSSVGLMNLHIKDRENLVMTDIEGFDIVLVVDLPPSVDEYSGILTGVARQTIGGEVHSLL >KQK95995 pep chromosome:Setaria_italica_v2.0:VIII:40362882:40366919:-1 gene:SETIT_025979mg transcript:KQK95995 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDGGIVVAICQYGGEFTSGPNGNLIYKGGEAHAVDVTRDFSLESFKDEVSKVFHVDVTDMSLKYFLPNNNKTLITISCDLDLQRMVDFTISAAQVDVFLISREENRSIATHSGPTPGSIASGDKRKRPASKNKVIRSNNKTTNSPGNAVQASANNVGQPISVFTENEDNRIFQLEFGNDIAITTTVGGASFTPDILDQQNLALVDNMPREAVSMFDGAVIPYDGSEIMEEPPQGLDNPILLWDDIIKGVGQEFDNVKDFRAQLCKYAIVKGFVYRFIKNETTRVTVKCAAEGGCTWRLHASESSRNKKFVIKKMTDEHTCGGGSGEGQRRATRQWLTTIIKEKLHENPTFKPKDLVKELYEQYGVTLTYSQVWRGKEVAQKELYHAIRETHSHLPWYCQRLEETNPGSISVLSPVVDTKFRRFFVAFHACLHGFVNGCRPLIFLDKVPLKATNEYKLLVAAAVDADDGVFPVAFNVVEDENYDSWGWFLMQLKMALQIHNYPCTDMTFLSSGQKGLDAAVSQVFEDSQHAFCLHHIMEEFKGELRKGPWSQQIREGMVEDFTRAAQACSIEDFNVSIENIRHISSEAAEWIIARQPEHWSDAFFTGRRYDHFSLNIVDAFNNWIPTKKESSIVLMTDSLGMKIMEVIESRREACNAWEGPLTPTMEYKVQDEMLKAGKMTVLCSSETLFEVRGNAIYVVNLAARECTCRRWQQSGLPCMHAVAVCNRLGCSFYDYCSEFLTVKRYRETYSGTIFPIPDMDSFDFSAGANEIPPPKQRASDKPRRKRSNPNKTSTLVRLCSRCKQTGHNKATCEVEFVDRSNLFISTL >KQK94213 pep chromosome:Setaria_italica_v2.0:VIII:10918124:10919001:-1 gene:SETIT_027020mg transcript:KQK94213 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCKCSRPKEGARTHSRGGLLACCSRSSSNLFLPSPALFSFEQVRVHQFRFLVFFFFSCSIEIHAELEGILLTVLRKCLFFLNDMALVLYLSRSRLNILHSSAVFLSSFCYTGELTLLLKH >KQK95913 pep chromosome:Setaria_italica_v2.0:VIII:39523422:39525470:1 gene:SETIT_028234mg transcript:KQK95913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLHVLGSLRRRLSHTILHSIVMGVYTVSYPLVGYTVGLMKSSKFLYEDFAVWAVFLLLLLGSADNLTVCRLSDVDNWKSIHVKHLYQEFLLVLIMLMICESQEDIYSKKLPYRYPLYAIMLVVILKGYVRIASMRMVSKSYVCKKVKVIAEYMQQQHYNDNLLAVPFDPVTMEGYRYIVAGEKYCIKRRPGCTPWYKGGDLKLITVEKIWQCTGRLLVLERGKLLKDLCLSMALSKMLNRRFVGFRLSEAGHEKTHDFVFKGLLAGDKPHQRAFRVIEEELVFVRLLLHKGRYIALSLPIVMLALCSWLTNLLVKHYESRSVQAATIFVTVVVAFLEAYQLYLYISSGWFKVALIQSYINTSFLRRSRCLEIIIGLLLRLVAFAPWKRNLGQYCILQEVDRKRRVRNCLHYATLRLLDKASKNVLKKSEKVSENVKKTIVDSLLGCNGNLTNAVTSLQNNGVNFLSWAGDATATDGTVARTIVVWHIATTLSEQKLDKQAKEEDAVKTASTLSKYCMHLLASPPNLLPRPQQLIDNIEDFTTRWKVLSDFWAEMMLYVSPSDDAREHQLEVLAKGGEFITHLWALLTHAGVLKRGRTEAKDVV >KQK95967 pep chromosome:Setaria_italica_v2.0:VIII:40199396:40199829:-1 gene:SETIT_027104mg transcript:KQK95967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTFQALGGHRSSHLRRPPATKLRPKEVAVHACGTCGLGFSTGQALGGHMRRHRGPTTTEDDFGYVGLPQIIMQQDRPSSASLPLLNLFV >KQK94544 pep chromosome:Setaria_italica_v2.0:VIII:21535994:21538188:1 gene:SETIT_026900mg transcript:KQK94544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKVHHSQPRSMAAEGEKKMITLKSSDGEEFEVEEAVAMESQTIRHMIEDDCADNGIPLPNVNSKILSKVIEYCNKHVHAAAAAAAASKAGSEDAGATAANSTAASGEDLKNWDADFVKVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEIRRENQWAFE >KQK93536 pep chromosome:Setaria_italica_v2.0:VIII:1737423:1737824:-1 gene:SETIT_027813mg transcript:KQK93536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAQEAPAPASTSDGQWQGFRRVVTHHLVVVDGRTYMVEETSFEPPQLQACSSRRAAASSDAILGLQEVGAGDATQMECAVCLQDLNAEETLRAMPCSHAFHQHSIFDGTAPALSVATSCCPRSRRTLALSS >KQK95506 pep chromosome:Setaria_italica_v2.0:VIII:35139895:35140116:1 gene:SETIT_027324mg transcript:KQK95506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRDALALGYAGYNNTEFEKFKKMVIDMKIPLYPDCKKKWTKLFSSLKILQLKATHYLTDHGFKASLDLLRDML >KQK95214 pep chromosome:Setaria_italica_v2.0:VIII:32016313:32017324:-1 gene:SETIT_026638mg transcript:KQK95214 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQAKEERSATNSSPESHGTDLISKLPMRETPSEPQILYKNFWFRRIFLERIMLLEATFKARHDDIILATNPKCGTTWLKALSFAITNRSHYDSGNHPLLTRHPQEVIPTIDVEIPLNGDLNFIEKLPPPRILATHLPLSLLPESIRIQGCRIVYICRDPKDAFVSRWHFVSDFFGEKTDINVAFNMFCEGVVDYGPYWDHCLEYWRESVKSDRILFLKYEEMMLEPVKFVKVLASFLGAPFTGEEEDAGVPEELVRLCSFKTLSGVNSSQTEVVQRGSVVVKKSAYFRRGKVGDWVNHISEEMARKLDDIVQEKLKGSGLVF >KQK95039 pep chromosome:Setaria_italica_v2.0:VIII:30201001:30203925:-1 gene:SETIT_025908mg transcript:KQK95039 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MECNREEGLRARQIALLKLEKKDFWGAQRIALKAQRLYPELEDLSQLLAVCEVHCAAEAEINGDLDWYGILQVEASADDMVIQKQYCKLSFWLHPDKNILPGAEAAFKLVSMAHKILLDPMMRFLYNIKTQFVIREVAKKATQLSDDTYADKGDTIGHRVPSDFILVFRTICPHCQKQFLFYRRNFLVRCDGCDKTFFTFKLHEETVPLRFLPAAPYNAQVSSEMFSCRPIQWLEYTKLRTTGREMHSRPPMNATQSDEHVKWDGGPCDDRQGNCLETKGEAVQLSAVNPINSPAPAVGKETTESLPQEPNFVATQNMREDAPAVSNATGLSNLQWFGKRKQDDGTNSSHNMDCCNNKRQRNFDSVSNAKLSDHKVYSENAAGVSNQSSAHHPSKVCNPEEGDTTHEENQQIYRKGTSDISTQRSAGNSMISCSCPDIFDFEYFRDAKRFAVGQIWALYDKRDVMPRFYAQIKHFDASNFKIHFTWLEHVAMDEQEKKWTDKKLPVACGNFRLQETIDTSQDRFMFSHIVAWTKGKKGNLYSIYPNGGEVWALYKGWGMQWSSDAGNHGSFEYEVVEVLSTMSANDDATVIPLVRINGFVSLFAQAKGKSSFVIPSSELLRFSHSIPFYRTNGTEKVGVPEGFMELDTACLPADLDAAFSSVSLVSYMFLGSMIGNIDLTAETTDSEMDSGDEPIARKENPSEPNVYHPISTDDTNDISSEQNTLLQKNAHVANEFGESVQQNCLSSNRYPYPDSDFHDFEEGRSCKKFKHGQIWAIYSDVDKFPNFYAWIRKVDPEPFRVHLTWLEACPQSEQEKRWLEQDKPISCGTFEVRKWRTKYDTTGFFSHLVDARQTGIKWQFEVLPQVGQIWAIYINWAPDWVPSSNDTCEFAVGEIIECSEAGTKLTMLTQVGGFRCVFKPNDRKEVLEIPARENLRFSHRIPSYCLTKERGGTLWGFHELDPASLPDVFLYGDT >KQK95991 pep chromosome:Setaria_italica_v2.0:VIII:40341588:40348464:-1 gene:SETIT_025862mg transcript:KQK95991 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDAMIPYVKKLITDMAEEEVSMLLGVSDEITRLEDNMEGIKAFLADAERRRITDQSVQRWVRKLKDAMYDATDIIDLCELEADKRRGSTEDGSSVKKKVSAGCFQPLLFCMRNPVFAHKMGSRIKELNQRLDGIHKEADRFKFSINLGSNPEPRKLTDAERSTQNMTSEFDESAIVGEKIEQDTRELAQLLITGGLHDIKVVSIVGTGGMGKTTLAQKIFNEVTIQEQFEVKVWLSITQHFDEIELLKTAIEHAGGVHGGTQDKTLLTRTLTDTLSTGRRFLLVLDDVWSNQAWSHVLSVPVKNASQKQQGNWVLITTRSEDLAQRMGASFYQHRVSPLNEEDAWSLLTKQLPPSPNQVTGIDYLRDVGMKIVRKCDGLPLAVKVMGGLLSTKSRSEREWEAVLDHHAWSVVGLPKELDSRIYLSYEDLSSQQKQCFLYCSLFPKGTFIRWRHVIPMWISEGFIQPHTDRSSSDDDQLEEIAIEYYKELITRNLIEAQRPLTPYRCTMHDVVRSFAGFMAREESLVVQDMQVASGSNDSLVRRLSIGPTSLVSGLAALQKQSVRTLFINSKLDFELSGSLNSFSMLRVLSIKGGDCDRLVGSLCQLRHLRYLGLEGTNISRLPDNINNMKFLQHIVLPGSIHLENLPRTIIQLVHLRTLDIFGSNDNVVIPKEFGGLRNLRTLCGFRVHMDMDGGWCSLEEIGPLSMLRRLTLHGLEDVSASSLAETARISSKEHLEYMELEWTCSGFMELRDEIKKQQQQEVVEEVLEKLCPPPRIHDLIIKGYFGRTLPNWMMVVEACAFKSLSLLNLKDLPCCTKLPDGLCQLPSLKGLGIADAPAIKSVGSEFQASSSSSTVGRGVIASTSAAFPNLTRLSLVGLCEWEEWDWEEQTVDVTAGTMAMHALEYLVIKDCKLSCLPPGLANNKRHALRDLYLYGLSNLASVENFPSVVELDVFDCPKLKRISGLSKLHKIRIVRCPELEVFQGVPVLDIIVLEDATMETLPGYLACVNPRFLKLGCSKELHDSIISGSSSECEKISHITKLDINCIEEGFR >KQK95424 pep chromosome:Setaria_italica_v2.0:VIII:34371607:34372677:1 gene:SETIT_028061mg transcript:KQK95424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAVFSGRAQSASSIVAAAAEGSHVLTIDGYSRTKGLGNGKFIKSGTFYVGGHRWFIKYYPDGEDYENSDWISIFLSFDSSDSTEVKARFGFSLLDHVGEPVPSYNNVSEGIRAFGSKNRSRGYPKFIKTKDLEESTYLKDDRFRVRCDVTVLKDEMEIRTEYSSPFVTVPPSDVNTHLGHLLSSGVEADVTFQVGEETFAAHRLLLGARSSVFMAELFGPMKEKHTSHIKIDDMEPRVFKAMLHYIYTDSLPEMEKDGIFVMSQHLLVAADRYGLDRLKLICEDKLCNYISTGTAATTLALAEQHGCKGLKEACFKFLRSPGNLKTIMDSDGFKHLTASCPSLLSELLANVAP >KQK94861 pep chromosome:Setaria_italica_v2.0:VIII:28060303:28061034:1 gene:SETIT_026788mg transcript:KQK94861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIPTIQGYNLVLYGWPKEVYENRRWLGLNAGVFLIRNCQWSLDFLDEWARMGPAYPDYAAWGKKVKGALTDRDSDVACDQSALAYLLITGRERWGEKTYLGTDYYFQGYFAEIVGKLAGVAARYKAAERGAGHAGLRRRHAEREHLRYAAARNAAVRAAVPGPDGGGQSGWRRPLITHFTGCNPCGGRRNPMYSRELCEGGMRRALGFADDQVLRAYGFRHAGPLNDTVLQLPFDYPAARNR >KQK95253 pep chromosome:Setaria_italica_v2.0:VIII:32674477:32677678:-1 gene:SETIT_027983mg transcript:KQK95253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEEAATERALASSGGGSSGVEPDRLSSLPDSLLHAIMSLLKARQAVQTCVLSTRWRHLWRSVPCLDVDHDEFRTAAGSAPNNHPAPNPDYSDSDLDSYEDSDDENNSISNNDREWEDFEDFTENLMHRCNISQLDSLRLHVNTSRAPNFADKQAGGWLRRAMKYCNPDPPRQCEGLSSGSWQLKRLYLCNVALDNRFAKHVSSVCHSLEELELEDCTCEIPAITSRSLKIMVLKNCRWRYLYEISSPTLKSLVIVGGSNTDDCVLVIVAPVIAHLCLDALIHRGGSRIAIFKSKLSGNLLKLVCGVSNVKSLELQGFGTMESTPFREFKNLRNLLLDNCDLTDNFHTLGLFLQNSPNLEKLTLQHCKFLNDSKKKKGTHKPNKPASSQCQSLDVRCENLKLTEIIHKDDDVRQLVELLLRISGK >KQK95539 pep chromosome:Setaria_italica_v2.0:VIII:35474282:35475704:1 gene:SETIT_028346mg transcript:KQK95539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEQHHGAADVTIAAPLIPSPAPEPPRRNMFAFVCATLASMTTIIMGYNLALMSSAEDLGLTDEQVEVLSGSMNVFNLGSILAAGWATDAVGRRGTIVLANAFLMAGELPMSLGGRYGALLAARFVTNVGVRVRRCLYAAEIAPASTRSMLSSLPQIFVNAGIHLSYVSNYALAGLPLRLGWRDMFAVGVAPPVLLAAGVLAMPESSHRLAMRRRDAEVRPVLSRMSDTPVEADDRLREIKDAIAAAQGNDDAGVRRDLPLSGPSSPTTIRQIFTDILALQFFHQASGIDIIVLYTPLVLKKAGISSNRSVLAATVAVGVVKTGFILVATLFSDRVGRRPLLLAGTAGIAVSLTSLAITLCAASVTTATSVAAASIASLLAYVTAFSVGLGPLAQAYSAEIVPLRLRTRGTSLGTAVNRLTCGVLSMTFISLANTISMAGCFFLYAGAAVAAWVFVYVRLQVIIIDQWD >KQK93836 pep chromosome:Setaria_italica_v2.0:VIII:4918230:4919510:1 gene:SETIT_026963mg transcript:KQK93836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLKYIKYLLIIMFDVSANALAGGLGAPTGVVIELPAVRVFNVSGNTFNSIHLVLAGAPNLTEYDLHGLIDVVALCGELPALRVLRHSMNKLSGAFPVGFGQCWSLAELSLDGNGIGSTPPDDLFGAASLQFLSLHTDAIFTAMRRR >KQK93863 pep chromosome:Setaria_italica_v2.0:VIII:5275353:5278114:1 gene:SETIT_026821mg transcript:KQK93863 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTAAAAVAWAPGPSPSTSTSSSSPSPFRVGFASTAVPASSAPRLVAASASLARRRRRRQVVQAIANPDPAIELSLTAENVEMVLDEVRPYLMADGGNVALHEIDGNVVRLKLQGACGSCPASVTTMKMGIERRLMEKIPEIVAVEPIADEETGLELNQENIEKVLEEIRPYLAGTGGGELEFVAIEEPIVKVRLTGPAAGVMTVRVALTQKFREKIPKIAAVQLLS >KQK94638 pep chromosome:Setaria_italica_v2.0:VIII:23829181:23829835:-1 gene:SETIT_027192mg transcript:KQK94638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADWAPVFIGLVLFILLSPGLLFQIPGKGRIIEFGNFQTSGLSILIHAIIYFTLIAILLLAVGVHVYLG >KQK94059 pep chromosome:Setaria_italica_v2.0:VIII:8278608:8282573:-1 gene:SETIT_026131mg transcript:KQK94059 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGHFCAALLPVLLCSMLCQICYGAVSDIECLKRVKASIDPSNKLDWTFNNHTEGSICGFRGVDCWNPNENKVLSLHLGSMGLKGGFPDGLENCSSMTSLDLSSNSLSGKIPADISKRLQYITNLDLSYNSFSGEIPEALANCTYLNAVNLQHNKLTGMLPGQLAALSRLNQFNVADNQLSGQIPSSLSKFPSSNFANQDLCGKPLSNDCTGTSSSRTGVIVGSAVGGAVITLIIVAVILFILIRKMPAKKKGKDVEENKWAKTIKGAKGVKVSMFEKSVSKMKLNDLMKATGDFTKENIIGTGRSGTMYRATLPDGSFLAIKRLQDTQHSENQFTSEMSTLGSVRQRNLVPLLGYCIAKNERLLVYKYMPKGSLYDHLHQRSSEKKALEWSLRLKIAIGTARGLAWLHHSCNPRILHRNISSKCILLDDDYEPKISDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYTRTLVATPKGDVYSFGVILLELVTGEEPTHVSNAPENFKGSLVDWITYLSNNSILQDAVDKSLIGKDNDAELLQCMKVACSCVLSSPKERPTMFEVYQLLRAVGEKYHFSAADDELTMQPQNADAEDELIVAK >KQK94342 pep chromosome:Setaria_italica_v2.0:VIII:13434864:13435489:1 gene:SETIT_028308mg transcript:KQK94342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTWVRILVGANFRLGKTKKLEDAACEGGWVPRDWRYRTDEVSSALVNSSNTFKGIKVATAMYLSCKETTISIDDNVKKVEIVAGQPGCGASTDHPICVIVSMHDMVIVRIKERQCAIDFLLCTLIWGRKVVGKTTLMTHLVWKNEIVKSHFSMVIWVCCRENP >KQK94009 pep chromosome:Setaria_italica_v2.0:VIII:7430986:7431333:-1 gene:SETIT_027571mg transcript:KQK94009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLAAGAASTAVVSRSARADEPTEVPGGWVEIKDVTNPSVQDMGRWAVAEHNAKTGEKLQFRKVVRGQQQVVAGMNYMLDIETKEPSRFYEAFVFDPLPSSPEKRQLKDFKPLLG >KQK95184 pep chromosome:Setaria_italica_v2.0:VIII:31726030:31730526:1 gene:SETIT_027473mg transcript:KQK95184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRKSKKGGGGSRKPPRPEGGAPPPPPPTNADWDDSTAAFRKEAESAIRARSDDGGVAAARLADRHPASPLAHHVLGDARATAARAGDAVPALRRAAELAPRCPGIAATLASALLYARRPGEALAECARALAVADPTDPALHAAASRRGGLMAPSPQARVAAARERLLGLRADAEALEAAGARHAAAPLPPVMAPTKMNCCCRHATKRSALTDDDLRRFLTVSFDDLTAHCDQTGAVHLLTRAVEFAKATKAWAYWLCPVCDNVFLDANSFMSHVEGEYIHELQELQPLMPKRATLDTEEIQYSLKWTSFDEMGEEDPDRRKVLDKIKEVFSCLNTFKALPVSLMDRVIKLARGRSKKPLPYCVPSCVTSLDSRELQRLVKPLEQLLNHLSRGWEFVRVLGNEGKSKGRSEIISLVQDGSLLLSLDAEQIVSRKKDGSCEKDAVFRWLLNSLEEVAMPWTSLRQKCVHHGNEVLERICEISDSLLRQSNLKCAAKEKNHRGYSLTEAESIDVEMLLLDNEVGYLKNKLVEVCTFDYSAAILPLIRAYIWVKLNSSPGEDLRNGVDKDAVDNGDGLDSLHGESLFEDKIPDTDSDMRFTFSRTDDCENSSLSQSDSSNYSTFETESFSVDCGVTTVLHITADDLQFLIVTLRALWHLREFHDRFLNMPLVLPHFTVEVHCIACLLGKVFNAWDNEKDYGVTTFPSDVRTAFSDILNERNLFGKEGVNIASEIVSTIFESLHKSHASLQSDNATFEHRAISTTRCLDYVCVAHNVFGLPIREQKKCNCLNESCEGKEHTTFFHSVDVSAIQAMEMKSLGQLLRDADKKMQYDSETCPCGNKNERSLQSAPPIFAIVFNWAVDKESHIDMSDVMMSITTPLQFDVLYEVLRREDYNLATAVCCVEEEHICFARKEGKWIIYGSKTIEFADSWESLLNQYRHRSLRPQILFFDSVRCRSIH >KQK95404 pep chromosome:Setaria_italica_v2.0:VIII:34183324:34185914:1 gene:SETIT_026868mg transcript:KQK95404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPPYGGSTDDSPEDYSAAATVVRFDPPLPLLRAPVPSAAPGEPHVLAFRDAASWRAAWDAAEASLVSQCEAGARSGCSITASRKCKPPWWKGLLGAAPTDYEERERCEEREMAACLEAAKEACIKFAKGKCIGPFRDARIASGGLLENTDFDVWGAGGDKTASASSCALNNQQLFNPDHSVTNYRGSDLLDRLSAKDNDNA >KQK95100 pep chromosome:Setaria_italica_v2.0:VIII:30820117:30822928:-1 gene:SETIT_026983mg transcript:KQK95100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGDEGCRRLRVGRRRRCSDAALLAAVLLAAAMVVTAAGRPIRLPAAALARRRIDSSTAVTAATTRISGTWTADAAAFPAARRRWLVGPGSSPPTCRGRCGRCAPCRPTRVAIQPGVGPQWEYYPEVWRCKCGNKLFMP >KQK93360 pep chromosome:Setaria_italica_v2.0:VIII:753503:753950:1 gene:SETIT_028629mg transcript:KQK93360 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYLFSCTWICADVWISYSHVAGYLMPTSLCQLLSVTDFSS >KQK94741 pep chromosome:Setaria_italica_v2.0:VIII:26099244:26101220:1 gene:SETIT_026276mg transcript:KQK94741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAAPHFVLVPLPAPGHILPMLDLAHLIAIHGAHATVVLTPVNAARNRAALDQAARAGLAVDFAEIPFPGPALGLPRGCESFDVLADWSQFATFYDALAMLADPLEAHLRSLPRLPDCLVGDSCCPWTSVVARRLGVLRFVFQGPSAFYLLAAHNLDRHGAYDAAADDLEPIEVPDFPVRAVVNRMTSLGLFQWPGPLERFRRDTVDAEATAGGLVFNTCAAVEGAFAEGYAAALGKKLWAVGPLCLVNADAGAIAGRGDEIADLLDADDRIVPWLDARPAASVVYVSFGSVVRLFPPQVAELAAALEASRRPFIWAAKETAAGGGLDAGFEDRVRGRGLVVRGWAPQMTILSHPAVGGFLTHCGWSSIQESLAHGVPMVTWPHFVDQFMNEVLVVDVLGVGVRSGASVPLTHVALVMPGKVVNVQVGREDIKKAVAELMDEGPAAAARRVKAMELGRKMRAAMAEVGSSQTDVMDMVRHVTEVAKKSRG >KQK93320 pep chromosome:Setaria_italica_v2.0:VIII:560228:560816:1 gene:SETIT_027237mg transcript:KQK93320 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSVLIGGRTFTVSGTGRTRTVLFLPAQIIHGTLFLLPLCPLLPLLETILCTVVFLI >KQK94685 pep chromosome:Setaria_italica_v2.0:VIII:25017722:25020250:-1 gene:SETIT_026674mg transcript:KQK94685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKAAALALFGLPDSLHARPNTFGELMRAIISPSSTVQAAVNWALKGANPDIVLHMRMMANRPVRARKAAVLCIKRALQICSMKGTPRVALVSDTPAFVKEIKSDISEFAEVLYFDYKLFTKTSGLEIDGNDKPLNFRSRDWGSAPRWVAFVDFFLAAQAKYAVVTGAHRRVGTTYAQLIAALAAANRHGQEPSGTNFTFLSSVHSNLLVDGLSTQVGWGHIWNRYAGPLSCQRQPHQCALTPLLPPAWWDGQWQSPIPRDVRRLLEYGVRLSNTGKVDERHLVSHCRSRKDHVKRYHVLPPYKNPAR >KQK95747 pep chromosome:Setaria_italica_v2.0:VIII:37745306:37746337:1 gene:SETIT_028301mg transcript:KQK95747 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADPVVIVEKIIDIAINLKDAVETVRENKEECRGIEKLVRRISDLLSLLKDSEMMRHKVIGGPLEDLGDAIRRAHDVVTACQGKNILCLFCKAGKLAKKLSQVKNDISHGMMLAIFANQTAATVFVATKGQQSSVTVNVFPV >KQK93486 pep chromosome:Setaria_italica_v2.0:VIII:1486491:1491921:-1 gene:SETIT_028249mg transcript:KQK93486 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NPSVPPQHPTRPPASGRRSGQRQRGRAGFLEAAVDPGGISGRYPPYPLRLRLAGAGAATTYGAMSPTPAPGGGEEFTEVVVVRHGETSWNASRIVQGQMDPELNEIGRQQAVVVARRLSKEAKPAAIYSSDLKRASETAEIIAKVCDVSNLVLNEALRERHMGYLQGLKWDDAVNKNPDVFRGFDIFKITEGSDPDSRNQEIPGGGESLNQLTERCVSYLNKVAQEHKGERVVVVSHGAAILELCRHTDPPNSSIRRNIPNTSLNVFRVSGVTGRWILERRRSSSSNVDRATELAILLSFFPFSLNAPTPRAAAAAPAPHHPAPADAGMEGKAAETCARAREMHSTLPEARHCNRLLRLLVERLRWVDARKLYDEMLAEEGGADNYSTARGGELSAFRTPCSTMCRRGDIGKALLLLGDVDTKGFLPTVVTYGSIVNWLGRKGDLEKIGSLLGEMRVRGLPPNVQIYNTVADALLLLGDKKAFSSDDRNQEIPVTNFHSPPKATLNPSESVTVIFPSGCCILVI >KQK94706 pep chromosome:Setaria_italica_v2.0:VIII:25396777:25404920:-1 gene:SETIT_027595mg transcript:KQK94706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSSSAAPAAPSPLPPSPREHVERIRRERYFIGRGERNPLAEDMHQAVNYLSQELYSKDVHFLMELIQNAEDNDYPSGAAPALEFVITSKDITCSGATATLLVFNNESGFTPANIESICRIGKSTKRGKRSSGYIGEKGIGFKSVFLVSRNPHIFSNGYQIKFREDPSPECGIGYIVPEWVEENPSISDIAKIYSSFKSLPTTTFILPLKSDKIDAVKKELSNTHPEVLLFLSKIRQISVREVNDDLNATSLSQISISSEADALTRKDIGAESYTLHLSADEDERDGQHCSYYIWKQHFPVKPECYVQKREGIDQLSKGLTSPGVYAFLPTEMATNFPFIIQADFLLSSSRESILLDSQWNRGILECVPSAFVNAFLALVKSTESAPVFALPPVFKFLPLNHSSIELMDSVRLSIRNKLIDVDIVPCETCSSVKVFRKPTEVYRLNSSFWSIINRAVKLGVDVHNISSHGTNILNSYFDSEAYDDVLGFLKIGYVDSEWYGRCILGSDLVKLLPEDIYFDLLSFVAENWKAKFVSTNMMEIPLVKCVGGGGVMTYRSVCEATTADERLCMLSDEESAPSIINWNNDYFSTVSRTLFMPLSTQKALGLFSKKTTVVEWLEKYVAVKTLTLHEYTLMVVKALPEKSLVLAFTRFIYHLHSEKLMPEWSVKQICNSMPLVDNCGHVVVTRSIVLVPSKGSKWGALLGENPWRPQNYIELGDDYVCSGNSSGEHICEDQYLSFIRTYIQATDVPLLIPPDASFPAASSFLTAENAVLLLEWIENLRSRGVGLPKKFVSCIMHGNWLMTSVGYRSPADSFMSNEEWGCLFQARLAFVDVPMIDQEYYMGEMNDFKEVLASLGVKFEFSQAMSYIGECFISMVTGTLTGDMVLSLLSFIRFLKQEHMSSDHLIRTIRGGDWLKTCSGYRSPTGSVLFSSEWMIPSEISCLPFVDIDFYGHEISEYKSELQHLGVHVKFKQNYQIIVDNINLPIGPVTSGAAILLLKCIRHADSCKYLVKGLKKRQWLKTNAGFRAPRETFLLDPEWKCLVKFADVVPLLDLPFYGNEILTYRDELMKIGVVGSLDQASNSITYYLKQLVSTSSLTKEIRLALLSCYKDLSDEDMTIPANILKFMQTEKWLHTTQGFRPPNKCVFSDSSWEPVMAVASLPFIDDSDSSSGTGKEIYNYKKELKALGVTVDFNQGADFVLSCLSTVEGPQLPKPNVVSPSTGLHVSSDSGNTSEGTNQNVVALTSVESPPVLASKTLVSLLKCIHRSSNPRSFALKIGKMQMKSTLGYRYADQCILFDSAWSSYLCREDGPFIDEAFYGPEILSYRTEFRLIGVVVDVGYGCSLLAQDLKHFSRGDTITRIYKYLAAFKWEPRNKSESWIWIPKGRSTGNWVCPADCVLHDWNGLFSTRFSVLDKYYQKDLQGFFSNVLGVRHSPKVLDHCILWRSWECTCFELTPASCSFFWEFIGNCWNATTAKLLSGSVTRVPVLSGGKIILQEVEDVFVPDDLVLKHLFDQFSSEPIFIWYPAGLSFRSRAQMDTIYQSLGVRAISKAVTKDETCMLNMNTCQVVEMKDAMVTPGLLRIILAFLANPALEIGTDKRHQMASYLLSVKALEMTEPISVSYQVKLSLGRTVTVKGRRLFRWERENRKLYMQKSEGSHGRTTRMEFATCFGEEISQGLLYERVDLVPSLTELLKVGFLIGFEEDEVEFLLKTKNLQLFLEDEDFLLGAFPPQNYE >KQK95975 pep chromosome:Setaria_italica_v2.0:VIII:40259099:40261443:-1 gene:SETIT_027467mg transcript:KQK95975 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNGLSTLGIDLYCHVARYFIVIDDVWDITTWNIIKSVLVENDTRSRVITTTRNRDVASMEEVYKLRTLSPDHSEKLFKTRLFGVNGEYPANHPAEACEKILKKCGGVPLAIITMASLLVGKLREDWFAVCNSPGFYRGQGNQQVDDTEWILSLSYYDLPSYLRTCLLYLSVYPEDIVIEKNSLIWKWIAEGFVEKKRGTSLLQQGEEYYNQLVNRSMIQAVESLHSMGINGCRVHDMVLDLIRDLSNKENFVTISSNDDEGKSPHQSRVRRLAHQNIIMKQTQQDDHMDMAQVRSLVAFRCDIDSWVLHPSFKLLRVLALLGCGGHWEGWQGLKHIGNLLHLRYLGLRRTNIRELPEEIGKLKFLQILDLEGSKVGQLPSSVCQLTQLVCLCGHSRYTCPPDGLFLRKVTSLEYLRIRIDNLDEESQRQFMKDLGNLREVRVLHIIGSLKGMVQSDLVHSLGNLHKLQHLELTKYNGNSEAATREWDRVHLRYLDLDSIPLRQLPSCINPVHLPVLHYLRLHVDHMDESSLRNLGGLTELRDLNLLTARPSMACAATAANINTAVDGFFKKLRYCLLHGWMVQLVLNEDSTGVSVSIWNGMGAIAFGSKTKDEYSRSIAPQPVMPNLQELLLYVPVRDFYKDGNGSCGDLRLECLPSLCSVIIFVDCMDASTNDVEKAEAELRNAAKLYPNGPIIRLQRLLEHRMMARSTDQDDKESVDVSAKEEERGASATGDEVAVTESGGDNPATPSC >KQK94947 pep chromosome:Setaria_italica_v2.0:VIII:29056076:29056354:1 gene:SETIT_027250mg transcript:KQK94947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYMGKIENFKSTQMNLVCIHVGMDEKVHELSRNARSDDISSSSIGQIALS >KQK95503 pep chromosome:Setaria_italica_v2.0:VIII:35113401:35114615:-1 gene:SETIT_026455mg transcript:KQK95503 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAPAVGAAAVVPLHLPHVPGLPEGAASTAELLKVAFDGTRDQVSALLSELRPDAALIDFATPWVTDIAAPLGIKVLHFSVFSAVARAYNVVLARRLHGAVPSAGDPMAAPSGFPKGSALATIPPYQAAGFTYLFTSFYGQPCVYDRVIAGIKACDAIVVKTCVEMEGPYISYLSAQFGRPVLLAGPVVPEPLQGHLDERWASWLSSFPENSVVFASFGSEMFLPAAAATELLLGLEATNRPFLAVLNFPKGADTEAELRARIPPGFEERVKGRGAVHTGWVQQQHILQHQSVGCYVNHAGFSSVVEGLVAGCRLVLLPMKGDQYLNAALLVRELQVGVEVARRDEDGWFGRQDVSDAVALAMAEGGDGDGRKWREFLTDDAVQKRFARDFVRQLKELVRAA >KQK93891 pep chromosome:Setaria_italica_v2.0:VIII:5647759:5652518:1 gene:SETIT_026419mg transcript:KQK93891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAMRAPTAVLLLAVLLPFAAAPSRAATLATSPSASTSTAAFQLHGDVYPTGHYYITMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPAPKKLVPCADSLCTALHSGLGSGNRCPSPKQCDYRIKYTDSATSQGVLIADNFSLPLSKSSTVRPSLTFGCGYDQQVGKNGEKPAVTDGLLGLGRGSVSLLSQLKQQGITKNVLGHCLSTNGGGFLFFGDGIVPTSRVTWVPMARSTSGSKVVSANHLNRYQATNCLCAGKDRRHSNPCLTSRRNSSHCI >KQK93890 pep chromosome:Setaria_italica_v2.0:VIII:5647759:5652518:1 gene:SETIT_026419mg transcript:KQK93890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAMRAPTAVLLLAVLLPFAAAPSRAATLATSPSASTSTAAFQLHGDVYPTGHYYITMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPAPKKLVPCADSLCTALHSGLGSGNRCPSPKQCDYRIKYTDSATSQGVLIADNFSLPLSKSSTVRPSLTFGCGYDQQVGKNGEKPAVTDGLLGLGRGSVSLLSQLKQQGITKNVLGHCLSTNGGGFLFFGDGIVPTSRVTWVPMARSTSGNYYSPGSGTLYFDKRSLGLKPMEVVFDSGSTYTYFTAQPYQAVVSALKGGLSKSLKQVPGDELPLCWKGQKAFKSVFDVKKEFKSLHLSFATGKNAVMEIPPENYLIVTKIGNVCLGILDATVAKLSFSIIGDITMLDQMVIYDNEKAQLGWVRGPCSRSAKSILSSIP >KQK93889 pep chromosome:Setaria_italica_v2.0:VIII:5647839:5651240:1 gene:SETIT_026419mg transcript:KQK93889 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAMRAPTAVLLLAVLLPFAAAPSRAATLATSPSASTSTAAFQLHGDVYPTGHYYITMNIGDPAKPYFLDVDTGSDLTWLQCDAPCQSCNKVPHPLYRPAPKKLVPCADSLCTALHSGLGSGNRCPSPKQCDYRIKYTDSATSQGVLIADNFSLPLSKSSTVRPSLTFGCGYDQQVGKNGEKPAVTDGLLGLGRGSVSLLSQLKQQGITKNVLGHCLSTNGGGFLFFGDGIVPTSRVTWVPMARSTSGNYYSPGSGTLYFDKRSLGLKPMEVVFDSGSTYTYFTAQPYQAVVSALKGGLSKSLKQVPGDELPLCWKGQKAFKSVFDVKKEFKSLHLSFATGKNAVMEIPPENYLIVTVNPQLTNISEPQYLTE >KQK93691 pep chromosome:Setaria_italica_v2.0:VIII:3290364:3292161:-1 gene:SETIT_028395mg transcript:KQK93691 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSPNEVTCAHSSIWNELKKLADCIPLCFHFVSVDLW >KQK95848 pep chromosome:Setaria_italica_v2.0:VIII:38918334:38920122:-1 gene:SETIT_027929mg transcript:KQK95848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVAEAIGLISSLMSIVEQINRLAEAAQRNREKCTLLKDHVQMINLLLTELKSQWMPDPVTYSTLKHLEDALNDGKALVESCREKRTWSLVFKTKKKANKIVAVDARISKILESFHIANMILIVSINKERFFMNVLEKLLRNGACKRLPQRKRGAEVIYHIRNLTNMDNMSSDAKSVMELIIRDITDGDVNAVRSSALSVGPGGRGDQQGARTGDHDEVVRLALSIVQEAKALRQNRGEIQQLVQFVQQIADLMQQPQSWKLSRDQNTRSMVSSLKEHLRDAYKIVLHNQQHKNNTRIAQTFLCGVDGGYNWQQPDLILKVAYRIEYYVQVLPVITMRQMLA >KQK93244 pep chromosome:Setaria_italica_v2.0:VIII:132717:133638:1 gene:SETIT_027636mg transcript:KQK93244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAGDDDLASFQPPLAKASSNAAASSSSSVLRVLCKGMLASNQAAAVLQRPCAGPQGDVVLTLQKPVVGFVPGRDGDAELLLEAMALVEGLHAALGLGITTVNMMLGICHPTGEELADVIDQALLAQKKFEQFEISLVRQKQVRYVEGSKVFLPPPVLEMMAQRIREARIPAGEKLYCPYPKCSALLSLSEVQGSSDEYSKKGMMRSKCVRCGGWLCVGCKVAWHEGMSCREYEKRGASRREDAMLEKLAKQRLWQQCGRCNAST >KQK93679 pep chromosome:Setaria_italica_v2.0:VIII:2977786:2985907:-1 gene:SETIT_025874mg transcript:KQK93679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRMESVDDLIEEAKVRTVWWALCIFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFRWREQSARKQTYLSQVSKRQLSSNDHRLSTVPPVSRWRRKVGSPSVEAAFESFTENILRDFVLDLFYSDITPDREAPELIRGLILHALGEVSGRVKEMNLVDLLTRDMVDLIGNHLDVFRKNQALIGADVMRTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQEIVGGIMALVLRPQDAQSPLVRCFSRELMTCLVLQPVMNFASPIYMNELIVYLLNNNDTSNVGGNTNMSNTGTVMVVHDRSSYKGGSQGSQKESRNLTVEPSGLVPGNNSSMRTLVTSEGGKSKVSEDDHDSAIQPRQPDWAVRLNAATKIKSLVLAPENLENMWAIGRNYQEKMNKTDNSSRLKGSGGDNSPSAGAVGKEISSNFNERIASVDDKYMVNLMQSTNRNVQSAFVTGSHPLALQNKNEMKPKEMNQVNYSSKEKTHEASNSAKAELKRSSSTPDIEKRYLVKSNQPMVSSESGNARKNQDEKVVGLASHGEVVLHAPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADNKTWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSVDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSASSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTPPSSATAQFTDNRMSLSWNHEETDNQNLHHRNFERARSLSDGDSNYEDLTSSANSGCHSDNEVNNKGHTSNDTKHIETYSGLDTQVSGQIQKPVRAYSDSSNMSSLNTFEDSTGIPPEWMPTNVSVPLLNLVEKVFQLKRRGWIRRQVLWISKQILQLVMEDAIDEWIIRQINWLRRDDVIVQVIRWIQDTLWPNGIFFTKLDGYKGNSGISQFDKQSSGTGTSKKSCANSFEFQLEASRNASEVKKLLLDGTPSTLVSIIGYKQYRRSARDMYYFLQSNVCVKQLSYAMLEQAIVTIFPELRQLIDEIHEKGRKEQASFTYQL >KQK93680 pep chromosome:Setaria_italica_v2.0:VIII:2979557:2985907:-1 gene:SETIT_025874mg transcript:KQK93680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKRMESVDDLIEEAKVRTVWWALCIFAISYFLTHTSKSMWTNVPMSILILAFLRYLSFKVEFRWREQSARKQTYLSQVSKRQLSSNDHRLSTVPPVSRWRRKVGSPSVEAAFESFTENILRDFVLDLFYSDITPDREAPELIRGLILHALGEVSGRVKEMNLVDLLTRDMVDLIGNHLDVFRKNQALIGADVMRTLSSEERDERLKQHLIVSQELHPALLSSEHEYKVLQEIVGGIMALVLRPQDAQSPLVRCFSRELMTCLVLQPVMNFASPIYMNELIVYLLNNNDTSNVGGNTNMSNTGTVMVVHDRSSYKGGSQGSQKESRNLTVEPSGLVPGNNSSMRTLVTSEGGKSKVSEDDHDSAIQPRQPDWAVRLNAATKIKSLVLAPENLENMWAIGRNYQEKMNKTDNSSRLKGSGGDNSPSAGAVGKEISSNFNERIASVDDKYMVNLMQSTNRNVQSAFVTGSHPLALQNKNEMKPKEMNQVNYSSKEKTHEASNSAKAELKRSSSTPDIEKRYLVKSNQPMVSSESGNARKNQDEKVVGLASHGEVVLHAPKIRCRVVGAYFEKLGSKSFAVYSIAVTDADNKTWFVKRRYRNFERLHRQLKEIPNYSLHLPPKSFLSSSVDDYLVHQRCILLDKYLQDLLSIANIAEQHEVWDFLSASSKNYSAGKSTSVMKTLAVNVDDAMDDIVRQFKGVSDGLKRAVGTPPSSATAQFTDNRMSLSWNHEETDNQNLHHRNFERARSLSDGDSNYEDLTSSANSGCHSDNEVNNKGHTSNDTKHIETYSGLDTQVSGQIQKPVRAYSDSSNMSSLNTFEDSTGIPPEWMPTNVSVPLLNLVEKVFQLKRRGWIRRQVLWISKQILQLVMEDAIDEWIIRQINWLRRDDVIVQVIRWIQDVRRLSIFPSLDLSWFSF >KQK95078 pep chromosome:Setaria_italica_v2.0:VIII:30575369:30577886:1 gene:SETIT_028166mg transcript:KQK95078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRGVELARRRRVHYHGDAASSAAPGAGDHHHHHYAHARRHAGDAAVAGPAMAARIRLEEKLRGAALPPSATSPSRWSRLVGERQGRPASGRRGRREPQRPQQEQAERGIPSATPALTTASAASTVGGRRRAELTRTLSKVEVCAVCLDEVRERRQRVTRLPCSHKYHSECVLPWLAIQPDCPCCRALVPSADTLD >KQK95120 pep chromosome:Setaria_italica_v2.0:VIII:31127295:31129355:-1 gene:SETIT_026059mg transcript:KQK95120 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVATFRYQALKESLAAAITSSSSSPHAAAAGDPRLPHALAVVSGLATNGYLASLLVARYARLGDPDAARGVFDAAAAASAPCSSSAQPPPPKPLLYNAMLRAYLALGLPREAALLFRAMPSGCPPDRHTYHLAATACARAPDPGLGRRVGAAAAAAGLASDLLVATALVGMHADAGDMGAARRVFDGVPRPDAVAWNTLIGGYARAGRLGDAVEVFGRMRSVDGARPTEATLVSLVSGYAGFGSWRGGGMMHCVVVKSGFQCSLFVSNALLEMYAELGSLSEVVTVFRHMEVKDSVTWSSMIGGLVWNGKPGHAVKLFHWMVLNSEVLVTRSILLNVVMACAELGNWREGKWIEENYALCTGSAFKRDPFVLTALIYMYAKCGQLGSSESLLHGFAVVRSDVVAWNSLIKGCGELGQVEKAFEFAVEMQRMGIDPDAVTFLEILPMISLIPSLKKGMEAHAQIVKRGFQNERTIANSLISMYGRCGSLRLSVGAFTGIMDKDAISWTSMMQVYAWNGLAADVVKLFELMKETEVQPNHYTFVAVLAACKNTGLVEEGMGLLKCMKEKYGLEPHIEHISCVVDMLCRTGRLTDAYHLIQNSHSEHAKNPVLWGTLLSGSRSWGNLVIGEVAARHLLSLDPENRANYKMLADIYVSLGRRDDADDVLRLLMSRELDLRPGCSWTEGG >KQK94489 pep chromosome:Setaria_italica_v2.0:VIII:19622164:19623357:1 gene:SETIT_027714mg transcript:KQK94489 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSLTSALSSMEVMLDALMQRGIGKPEEQKPKEEEPPALPTRPTGRGRLPSLQRSGAAAPWIHRPPLPSPLSPPQEEDDEEKCLVNLELERRAAKAEEEVKKKEEEMRQKEELIATLRQQVEQYESRLSECEVRMKSVEEELQKQITSLQMAQTAGGRRGGLTTTSQHRQESSSGNLPPSQSSARRQQRGCEPAIIAIDESSSEVNQLAREFKRQSEAFEYNARAVAEAKPSSPSSAKSVKELKTLKRQFASWKKEYEAQLKKTKAELKRLVHTEKKNHGDSDSHQRRCGWWRIKASKCCRAPKCCSFKLPSPKSCGCCFRRCW >KQK93840 pep chromosome:Setaria_italica_v2.0:VIII:4931393:4935303:-1 gene:SETIT_026135mg transcript:KQK93840 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRTALRRRNRRRPRRRRRAGSRRTLARRRAGGVGGGADDLKGVTGEARPGELLAVLGPSGSGKSTLLSILGGRLAGRHSGTVLAGGRPPTRGVQRRTGFVAQDDVLHPHLTVRETLVFCAMLRLPRAAPSAAKAAAAEAVIAELGLAACADTVVGNAFVRGVSGGERKRVSIGHELLVNPSLLILDEPTSGLDSTAAARLVATLSALARKGRTVVMSVHQPSSRVYRMFDSVLLLAEGSCLYFGAGRDAMDYFASVGFAPGFHVNPADFMLDLANGFAQADCNFTAEGGNVKQSLISSYNKVLSPRVKASINAAGAATTEHAQDGAEPLPLPPAESCSGCTSWANQFTILLRRSLKERRHETFTSLRMFQIIAPALVAGAMWWRSSPVAVQDRLGLLFFISIFWGVFASFNAVFAFPQERPVLARERASGMYALSSYFMSRMVGDLPMELALPTAFTVVVYLMTGLNPSPAAFALTLAVILSYVLVAGGLGLAVGAIMMDAKRASTLVTVIMLAYLLTGGFYVHNVPGFMVWAKYTSFTYYCYRLLIAVQYGGHLRRLLPPEAVDGEAGPGACVAALVAMFFGYRLLAYLALRRVRK >KQK94970 pep chromosome:Setaria_italica_v2.0:VIII:29382719:29387669:-1 gene:SETIT_026564mg transcript:KQK94970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQAEAEEEVRLELEAVAAVYGDDVRVLRDLPPHLVVHVRPRTADDSSQQFVELFLGIKASSQYPNEPPHIYAVESKGLDEDRQSYLIRSIQNKAKELSNYPMLVTLCEEAGEILSNMNHPAGDCPLCLYPLMREDKDGSELPFMKLMSCYHCFHSDCIMRWWEWLQHGDANLKERNTRGKHYNVNQNKGFCPVCRKVFDEKDIEHVRDLLGESTSRLESLTLDLGEDEKELFHSEAEQIRRKRIESLVNLQQECNGLIEPKKDLAIQPGMYVTLPPSTPDTAAEENADPSEDTPTSTSGTEQRSQVNNTSSNKPKNSGYRRRNRANTSRRQPHGQPHRQPGMQQWQRKEADTSQQ >KQK95435 pep chromosome:Setaria_italica_v2.0:VIII:34425231:34426298:1 gene:SETIT_027703mg transcript:KQK95435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCPKPAASVVGAGDGGGTGTSIVSAETATGWHVIKIEGFSKLLRAYHVGMSTHYGNFSVGGHSWSIECYPGGFKWKTADFVSFGLYLHRPASATDDVDVKAGYRLSILDKDGEPMYTESCSVRTYSSKGSSWVFTDFIERKDLVSTYLRSTNDSICMRCDVTVVEDICEESVVPQSDLHQHLGDLLGSEVGGDVTFQVSGEELVAHKYLLAARSSVFKAQFFGGQMKDRAATRVRIADIEARVFKAMLHFIYTDSLPDDGEDKIVMAQHLLVAADRYNIGRLKLICENTLLTFINTSVVATTLVLAEQHGCHRLKEACLKFLKSNDNFREVGGVDYKHLMRSCPSLFDELLAEHG >KQK95974 pep chromosome:Setaria_italica_v2.0:VIII:40245695:40246458:-1 gene:SETIT_028435mg transcript:KQK95974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSKVALFFLFWLLFWGNRRVLPIKKRTGTNQVLIKIQRGFFWLLVCALHLSW >KQK93615 pep chromosome:Setaria_italica_v2.0:VIII:2313977:2314618:-1 gene:SETIT_027313mg transcript:KQK93615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein REIGEIEAQGGGESPPLFDQATPPPLYAPASVSSLTRGDAAAASISNKRGRVAASSKAIQGLREVTAPPTDGSDDCCAICLQDLDYSDKAHPVPLRAMPCSHTFHEHCIFEWLRRNAVCPLCRHQLPTEDDHEQEQEQGMRRIRTRFVYSDEEGQDYGFWYYTAQPFSRDDEEEEEEEVVVSMAALREFYAGWLNMGRNAAASAEDHSIRSQS >KQK94336 pep chromosome:Setaria_italica_v2.0:VIII:13302318:13312509:1 gene:SETIT_025831mg transcript:KQK94336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLGLRSLSFGPEESPREIPSTVDAAPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLPGLEAYLFQVCYMLVHKPSPSLDRFVIDTCAKSLRIALKVHWLLAAELELEDADDLDGIDKVQEQCQAAATVQGEWPPLVRPAPPSPVASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGGGANVAAAEDAVGSGGKQPATPSSEDNKLLKRLSIGPKMRDALLFRRSGEKDEEQDRDGFFKRLLRDSRDKEEEDGDREGFFKRLLKDSREKEHEEDEGDRDGFFRRLLRDSKEEDMELTPSSEGLLKRFFRDKEDRHGEDDEKEGFFRRIFKDKNEERRDSINARHGDEERVGKSLEDDDKEGFFRKIFKEKNEERKDGAHSKQDEREKAGVNIEEDKRDGFFRQLFKEKNDERKEGSTPGKKEEDEKGHKNTDDDNFFRRLFKDKNEEKKGATHDRNEDDKSEEADKENFFRKLFKDKHEERRTEGLEKNDDDGRSTSGIEEEDNPEFLSFRRLFRVHPEDAKGGNIETSQPNNLTEGSPGSESFFKRLFRDRDRSLEDSELFGSKKHPGSTGTNEKQSGKPPLPNNTIAELRKGSYYASLELVQSLCDTSYGLVDIFPVEDRKIALRESLAEINSQIASAEKNGGVCFPMGKGIYRVVHIPEDESVLLNSREKAPYLICVEVLKAEAPSHSKGPSDAHKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWETKVKFVNVSFSVEKLGRSRSLAISDTDRPRHARTDSHDASEDFQAIDDQPIEWVKVTLSAVPGVSMDDVDENEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQSTQNLDSMATDGGDPKPTDALAGELWAVKKERIRRSSIHGKSPSWDLRSVIVKSGDDCRQEHLAVQLVAHFYDIYQEAGLPLWLRPYEVIVTSAYTALIETIPDTASIHSIKSRFPNISSLRDYYVAKYEENSANFKLAQRNFVESMAGYSILCYLLQVKDRHNGNLLIDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGTPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSMDAWRTRQYDYYQRVLNGIL >KQK94335 pep chromosome:Setaria_italica_v2.0:VIII:13302318:13308568:1 gene:SETIT_025831mg transcript:KQK94335 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLLGLRSLSFGPEESPREIPSTVDAAPPVGSSGWLVRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLPGLEAYLFQVCYMLVHKPSPSLDRFVIDTCAKSLRIALKVHWLLAAELELEDADDLDGIDKVQEQCQAAATVQGEWPPLVRPAPPSPVASPRGNPMLSRIRSSKQRLLSLASSPSLGLSPPAGGGANVAAAEDAVGSGGKQPATPSSEDNKLLKRLSIGPKMRDALLFRRSGEKDEEQDRDGFFKRLLRDSRDKEEEDGDREGFFKRLLKDSREKEHEEDEGDRDGFFRRLLRDSKEEDMELTPSSEGLLKRFFRDKEDRHGEDDEKEGFFRRIFKDKNEERRDSINARHGDEERVGKSLEDDDKEGFFRKIFKEKNEERKDGAHSKQDEREKAGVNIEEDKRDGFFRQLFKEKNDERKEGSTPGKKEEDEKGHKNTDDDNFFRRLFKDKNEEKKGATHDRNEDDKSEEADKENFFRKLFKDKHEERRTEGLEKNDDDGRSTSGIEEEDNPEFLSFRRLFRVHPEDAKGGNIETSQPNNLTEGSPGSESFFKRLFRDRDRSLEDSELFGSKKHPGSTGTNEKQSGKPPLPNNTIAELRKGSYYASLELVQSLCDTSYGLVDIFPVEDRKIALRESLAEINSQIASAEKNGGVCFPMGKGIYRVVHIPEDESVLLNSREKAPYLICVEVLKAEAPSHSKGPSDAHKLSKGGIPLANGDVQLPKPPPWAYPLWSRHETQNYETDRMLKSTSQVIDQAMAQLWETKVKFVNVSFSVEKLGRSRSLAISDTDRPRHARTDSHDASEDFQAIDDQPIEWVKVTLSAVPGVSMDDVDENEPTRKKDHRRVPSTIAIEEVKAAALKGEAPPGLPLKGVGQSTQNLDSMATDGGDPKPTDALAGELWAVKKERIRRSSIHGKSPSWDLRSVIVKSGDDCRQEHLAVQLVAHFYGENLFSIYDDCNIFLCIDYGDSSVHIVPVLHLMQ >KQK94733 pep chromosome:Setaria_italica_v2.0:VIII:25852767:25853874:1 gene:SETIT_028200mg transcript:KQK94733 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPHEYKGKTCKKTNKQTSDAPPTGVKFRSRKRVYADILPTRGRRSKRSISKPDASLTPSGNHVPAPSHPNVSQAVEPASQ >KQK95808 pep chromosome:Setaria_italica_v2.0:VIII:38209881:38213347:1 gene:SETIT_028556mg transcript:KQK95808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEDIKRAASNSHYLDKGKSDGATSTVSQEHGPCFRRSHQRVVVRKVPISRAMKMYSFRC >KQK93498 pep chromosome:Setaria_italica_v2.0:VIII:1554010:1554832:-1 gene:SETIT_026924mg transcript:KQK93498 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSATGQPHRRTTSLHSPSSDVPAAAENLRRGANDGGVLAAIRAELSHELASSTPSAPPSFHSQDAPDFVAVSDAPRVQDVLLHRRDDSEEVLVSALLSPPIFMGRVLMTRAALMKVFVSKPSATPVLRFDCGTHWVEEEGGDADYAIHAVRYHPIPGEAGEDKYERPEFR >KQK95703 pep chromosome:Setaria_italica_v2.0:VIII:37350436:37355783:1 gene:SETIT_026060mg transcript:KQK95703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPKMFGFSRRRMKLGRLKGHLHDPFHGSRSPSRQTKRFSHPNGEDPVTTSVSGRADDLAWRCSSDTFDLNGRAFESSENWAVLSTEGDKPTPRFDHAAAMVGSKMVVFGGDSGHRLLDDTKILSLDKLSWDSVSPKVRPSSNGRCPKLRPCKGHCLVPWGKNVILVGGKSDPPYDKISVWTFNTETELWSHMETKGDVPVSRSGHTVIRAGPVLILFGGEDAKGKKLHDLHMFDLKSLTWLPLNYKGAGPSPRSNHVAALYDDRILLIFGGQSKSKTLNDIHALDFETMVWSRIKTHGHHPSPRAGCCGALCGTKWYIAGGGSKKKRHPETWVFDVLESKWSVCVVPPSSSITTKKGFSMVPLYYRDKIVLVAFGGNKKEPSDKVEVLVVLQNEHCFSWRSAPEVEPLMYDESPPSSRELADHLNHCAPLYSSGSVARSSIATTAENSSGRKSLPDSLLQNSNLGSSSLRRQFRQEQDCSLAQKLQKPIDDDKYKDVDDCSELPSITNQKPRNDTHQSPDAEAKARKLGRSSSDINNHHDTRITTLVRRNMALEEQLSAALTTKDEAEKNLSLVIDSKDELEKRLAERDREVEALKEKVTGLELAQEESNNLSNTVHADNVRLEREVAFLKAVMDETQKELHSTRGVLAGERARAFQLQVEVFHLKQRVQTMEGRSPTPRKPQNL >KQK93857 pep chromosome:Setaria_italica_v2.0:VIII:5239732:5241218:1 gene:SETIT_026734mg transcript:KQK93857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSPCCEQAHTNKGAWTKEEDQRLIAYIKAHGEGCWRSLPRAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKFHELFGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLVARGIDPQTHRPIAAAASGAPGQQQQQHYQLEAPKLHAAAAPGHHHQQDHFEVISNSPEACSRSSDDEPRSATPPPPPAPPRRHLDIDLNLSISLAPYEPPEDSFKPLKQEAAATAGHGNATAAVCLCLNSLGYRPGVECVCGAARQEQWARNFLQAAPCFRGQ >KQK95569 pep chromosome:Setaria_italica_v2.0:VIII:35656610:35657149:1 gene:SETIT_027226mg transcript:KQK95569 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTTVTGSVLWLSVEVKILHVSPVGSFFQNKWKNMQCNCFHISNCHFPCYLNTCFRPIN >KQK94672 pep chromosome:Setaria_italica_v2.0:VIII:24678558:24679296:-1 gene:SETIT_028138mg transcript:KQK94672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRPSYNANGHHHAAAPLDSRRRARSGRGRDREPAPGDGSVGVGEPEDSDAEGDWEMVPRFAVVVAPELPDAAENSGETGSKAAVDTRTRMEVDGRKAAGEPKAGPAPGDARVDVGEPEDSDAEGEWEMTQERAGQEISTNADASAATAGVVSRKLIEMVAALCKRDARQRVLIRALAERVDSLERTVRRMEDAKRMAK >KQK95455 pep chromosome:Setaria_italica_v2.0:VIII:34536163:34539718:-1 gene:SETIT_025930mg transcript:KQK95455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIVVGALPSLVHKLGELLVGEYNLQKEVKGGIIFLQAELESMQGALEKISRTPADKIDDQDKIWARKVREMSYDIEDNIDKYIVQCKGRKTVEQHSFKEAIDRTLKWFRQPKIRRKIATEIREIKSRVIEVHERRERYKIDDVDKPAAVDPRLLAQYKKATELVGIDEARDEVINILVEGDGVSSQHGKVVSIVGFGGLGKTTLAKVVYEKIKGKFDCWAFVPVSQNPDMREFFKRLLYELGKNVNDQTLDERQLIDQTRKLLQTKRYCIVIDDIWSVTIWDTIRCALPDDVGGYIIITTTRVLKVAEQVGGAYTMKPLCLESSRKLLYGRIFGNGSKDNNEDIVKCLDDELAEVSDRILKKCAGVPLAIVTMASLLACKARNKMEWYEVYNSVGTGLENNLDVENMRKILSFSYYELPCHLRACLLYLSMFPEDYEIKKDRLIRMWIGEGFIQCEKAGKSLFELGESYFNELINRSMIQPIHNMINDMISRCRVHDMVLDLIRSLSSEENFITVLSDMGGTSPSNTIRRLSLQNGQESHVMAQATWSLQHARSVVVFPAAASLTPPLACCQVLRVLDLEDCHLSQANSSLKYLGNLHHLRYLGLCGTGVSQLPEEIGNLQLLQTLDVRGTKIFRLPSSVVQLRKLMCLYMNEFTGVPNGIGNMTCLEQLSWLHIDDSTINIIEELGQLTELRQLYIQLDKWNNKLLECLCKLQKMQKLVITVYKGQCSIGGLDAWVAPRHLRKLDFNRCWFSTLPAWVNPSLLLDLTKLEIAVRELHQVDLEILGRLPALRFLKLKVDNKNLGILARFVVGAGAFPCLVSCCFEQFVWLVVFQHGAMPRLRYLIFSRFYLREARGIACNDGSLDLGLGNLPFLQLVIAQLQCDGAGKEEAEQAMAALTHEAEMHPNHPRHVIDDDDDDDDEYEDDDDEYE >KQK94581 pep chromosome:Setaria_italica_v2.0:VIII:22638607:22639487:-1 gene:SETIT_027382mg transcript:KQK94581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYKRLRKIGEGAFGAVSKARHRRTGEVVAIKSVHEKGRGGEAAALLREAVLLAACAANPAVVALREDLHLVMEYVGRSLYDVISERCRLNLPFSESETRRAMAQLLAGVGTMHAHGIVHRDLKPGNVLVGERDGRLKICDLGLARSVAAPPPLDAELEGTPGYMAPEVLLCEKGCGEPVDVWALGCVMAELVAGQSLFPEDDLCKQLVNIIYLLGIPDDVSLMPLGITAAAMSKLRDKVPEERLSPAGFDVLRGLLQYHPKDRLTAAAALQMQWFSDDATAM >KQK93255 pep chromosome:Setaria_italica_v2.0:VIII:210527:214622:1 gene:SETIT_026311mg transcript:KQK93255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRTIKKAKSKKTKKVEASSSSNPAVASGPAKVWQPGVDALEDGEELQFDPEAYNYLRGFSIGWSCLSFDVVRDQLGLVRSEFPHTFYGVAGTQAEKAPWNYIGIFKLSNISGKKREPIPASAVDGDTDVDSDSSSDEEDEEINEDTKPVLHLKKVAHAGCVNRIRSMTQKPHLCATWGDTGHVQVWDLSSFLNSLAESGAPAPKEDDIIHKHLPVKVFSGHKDEGYAIDWSPLVTGRLVSGDCNKCIHLWEPTPTNWNVDANPFVGHSASVEDLQWSPTEADIFASCSVDGTIAIWDIRTGKKPRMSVKAHKTDVNVISWNRLASCMIASGCDDGSFSVRDLRSIQEDSLVAHFEYHKKAITSIEWSPHEASSLAVTSEDHQLTIWDLSLERDAEEEAEFRAKMKEQANAPEDLPPQLLFVHQGQRDLKELHWHPQIPSMIISTAIDGFNVLMPSNIDTTIPGNTDAAMASAEP >KQK94132 pep chromosome:Setaria_italica_v2.0:VIII:9292348:9297753:-1 gene:SETIT_026646mg transcript:KQK94132 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHNEDDAGGASPPPPPPVPAAAPKPSAYVTVGALRGGECADLLALVSAVSRPLEDAVADFRARIAPERRLRFGSAVTFVLEDKMMLQPAERLIAFAILHQGYSSQLANPFVPLLINAACDETSEKAERVFLQLLLSLTNGDNNEVLKQSAVDYLNGSDYASQVLLPREQLEKQCSRDAASSVQSSFRGATVRSAISDPDVFQSGGSSSEVSPIKPNRDNMIASLLQQTSLKGLPPQWIRPPPPRLEILEGELQWLNLDNNHELLWDGSMCADTSRGAVIRDLVEKACKGPLAPAQQESPHPKSSRWTRTTSICGLFSISK >KQK93565 pep chromosome:Setaria_italica_v2.0:VIII:1951556:1953169:1 gene:SETIT_027757mg transcript:KQK93565 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKLREPRGGGEKVGVLAFEVAALMSRAAGLWRALGDADLARLRAEAIRLEGVRRFVADDDAALLALALAEKAAACRDLSRAVARLSARCADPLLRRFDALFAALVKGGGRASDPHGLRYATEKKMDRKARKMQRLVPATAHLRHELDVLAELEQALRRDSRGGHRAANGGGETARRVARQRQEVERLRGASLWNRSFDYAVRLLARSLFTIVTRITEVFDLEPTNISISSSMDDDSNSKVSRLSWSSSFVSSSMQSMVYPSDVVAADTPQRMLRARSSKTTSGNARRFLMSRSKSLRQQLKWPVAGRHLVGCVVMGSNSPIRNGWIHGDADLPLSFSYISAASNDDYSISINFQPQTDHHTNARPSTSLFESTHDVLTNAPATSLGGAALALHYANLTMLIEKLAVSPHHICSNERDDLYGMLTDRIRASLKVRLKPFAAMNTPCDPVLAAEWSDTVQRILGWLAPLAHNMIRWQAERNFEQRNVASSDGVLLLQTLHFADLRKTEAAVTELLVGLNYLWRFGRELEAKAKMESAG >KQK93994 pep chromosome:Setaria_italica_v2.0:VIII:7283654:7290369:1 gene:SETIT_028052mg transcript:KQK93994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANGGEGEAAAVPLLEKKPAAVYFDGCPGCAVDRRKAESRGIPFKLFFLIWFINLVSSLPILSIYPFLYFMIRDLHIAKKVEDIGFYAGLVGASYMLGRALTSIFWGFVADRIGRKPVIVFGIVSAFVFNTLFGLSMRFWMALATRFLLGSLNGLLGPMRAYAVEVCRPEHHAFALSLVSTSWAMGLVIGPAIGGYLSQPTEKYPMFFPANSFFGRFPYFLPCLCISVFCFVILLSSIWLPETLHKHKPVEKKDQENQCIIEHLAADSEEFVEQNTSSATNKSLLKNWPLMSSIILYCIACFDDMAYSEIFPLWAESDRSYGGLSLSSEDVGQVLTITGASLLLYQTFIYPYKVKVLGPINASRAMAILSMMLLFTYPSMTHLPRPWLLIVLNISSALKANLVTTVVTSSVILQNNSVRQDQRGIANGLANTMMSFSKALAPAGAGIVFSWAQKHQHSFFFPGDQMVFLFLGIVVFIEFIWTFKPLLVVPDQFCST >KQK94804 pep chromosome:Setaria_italica_v2.0:VIII:27285419:27289013:-1 gene:SETIT_026463mg transcript:KQK94804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGATVTVEEVRKAQRASGPATVLAIGTATPAHCVYQADYPDYYFRVTKSDHLTDLKEKFKRMCDKSMIRKRYMHLTEEFLNENPNMCAYMAPSLDARQDIVVVEVPKLGKAAAQKAIKEWGQPRSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVNRLMMYQQGCFAGGTVLRVAKDLAENNRGARVLVVCSEITAVTFRGPSESHLDSLVGQALFGDGAAAVIVGADPDESVERPLFQLVSASQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIERALEEAFKPLGISDWNSVFWVAHPGGPAILDQVEAKVGLDKARMRATRHVLSEYGNMSSACVLFILDEMRKRSAEDGHATTGEGFDWGVLFGFGPGLTVETVVLHSVPITAGTAA >KQK93730 pep chromosome:Setaria_italica_v2.0:VIII:3627161:3629332:1 gene:SETIT_026054mg transcript:KQK93730 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRIPVAPLKSSSFSAATAREDKLARNLSLGPIKLNEHIKEARQEKADNAGADAGGGEAVADAVPEEASEPDLATLSAEIDAFLAALRDGEAPPAVSEVTLDKFANAVEQEMAPLEGTEDKWVPEAPGEAPPLLATIKRIAALSSALAASQAEGGGTYTIGLHRVTGVLHRAMTFVEDEFHALLEDPRVAKVAPGGGGDTGSATGRSMKRPPSFGHGAEPDRCVIPSDGGSGEASPPFPPETVDRLRAMAEAMFAAGYETECTEVFLVARRNALDASLQSLGYEKASIDDVVKMPWEAQESEIATWIKAFRHAVEADLPGERDLCARVFASADGLARSIFADLARGAMLHMLSFTEAVVLMKRAAEKLFKVLDMYEAIRDVAPVVDAFVAEASAGDNDGGSAAAAMMADLKYELASVRARLGESAAAIFCDLESSIRADAGKQPVPGGAVHPLTRYLMNYLKFTCYYKGTLEQVFQEYRRPDDDEHEGGAGAGDPFAAQLMELLELLHGNLEAKSRLYKDPSLSSIFLMNNGRYMLQKIRGSPEINAVVGEAWSRKRSTDLRQYHKNYQRETWSRVLNLLRDDGVITVKGHVQKQVLKDRFKHFNAAMDEIQRTQGAWVVSDEQLQSELRVSIAAVIVPAYRSFLGRFSQHFSAGRQTEKYIKLSGEDLEAIIEELFDGNAVSMPRRRT >KQK94801 pep chromosome:Setaria_italica_v2.0:VIII:27157534:27158976:-1 gene:SETIT_026457mg transcript:KQK94801 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATGSPATVIQDARRPRSADGHAAVLAIGTANPSHCLLQDEFADWYFRVSNSDHLAMLKAKMKRICEKSGIKKRHGHLTEEMLAAHPEILDRALPSLDTRMRIAAGSLPDVAAAAAARAIAEWGRPAADITHLVVSTTTGGAAAPGLDLHLAVLLGLRQDVQRTLLYLYGCTAGTSALRVAKDLAENTRGARVLVVSAETGLTFLRSPDEAQFEELVAAALFADGAGSAVVGAGPVSPAERPIFHMVSATQTTLAATARVVELKLGELGIEYRLSAALPAMVCGGIERCLSEALAPHLHGLAGAWNDLFWAAHPGSRAILDSYEAALGLQPEKLAASRRVLSEYGNMLGATVFFVLEEMWRRRGANEEEREKCAWGVMLGLGPGITVETMLLHAAGSQDED >KQK93344 pep chromosome:Setaria_italica_v2.0:VIII:662963:666092:1 gene:SETIT_028448mg transcript:KQK93344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPPIHQQQLGFFSLIFSHGIWLTTAGMFSYQLVHVSSTEAGSGEVSSLR >KQK93342 pep chromosome:Setaria_italica_v2.0:VIII:644083:646943:1 gene:SETIT_026671mg transcript:KQK93342 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEINIVDKYEKLEKVGEGTYGKVYKAQDKATGQLVALKKTRLEMDEEGIPPTALREISLLNLLSHSIYVVRLLAVEQAAKNGKPILYLVFEFLDTDLKKYLDVYRRGPNARPLPTHLVKNFLYQLLKGVAHCHGHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGATHYSTGVDMWSVGCIFAEMVRRQALFPGDSELQQLLHIFRLLGTPSEEQWPGVSALRDWHEFPQWKPQSLTRAVPTLEPEGIDLLSKMLQLDPSNRISAVTAMEHPYFDSLDKSQF >KQK94798 pep chromosome:Setaria_italica_v2.0:VIII:27111887:27113216:1 gene:SETIT_027944mg transcript:KQK94798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHPVDVDKIRHGQRAAVLAIGTANPMNCVRQDEYADWYFRATGSDHLPHLKAKMKRMCDRSGIRKRYFHHSERMIREHPALLGRDELPSLNARQDILAAAVPELAAAAAEDAIAEWGRPASDVTHLVVCTYSGAHMPGADLRLASLLGLRPTVCRTVLYLQGCTAGSAALRVAKDVAENNRGARVLVACAELTLVMLRAPDEARPGTLVMQSMFGDGASAAVVGAEAEEPVERPLFEMVSAYQDVIPETTDSRAAGQLNEDGLVFQPSSGMPALVRQNIERCVADALAPLGLRGGGGGWNELFWAVQPSGRAILDGVEAGLALEPEKLAASRRVLREYGYMSGASMLFVLDELRRRHVGGGWDDMPAGGMGVMVGIGPGISVETMVLRAANSSQSRNGVLRSPWQ >KQK95396 pep chromosome:Setaria_italica_v2.0:VIII:34122639:34125298:1 gene:SETIT_028019mg transcript:KQK95396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDQEKQEKLKKRREAYHQHKRTRTNSTQIQQKCSEETDQEKQQKIQKKCMHEKERYANMQPNQKKAKLQQDSANRARRRNTLSKNSIAMENPANIVTDEDYSTKTKVIHDLQSIKQPTNMKEDDDGVVYEEDTDEEGNIFSGQEWEDIEVQINENESTVPEHSNINDPYDLVYSNIPDNTHKLKPVENCKYCDAKKFHHEPEGLCCRKGQIKLANLETPHQLMRLWTSNDSDAIHFRKNIRFFNGHFSFTSLYCHLDRDTTTMRNSGIYTFRAHGQIYHNIRSFGKDGSDPKHLELYFYDDDPSLEHRYRYCRKEMYEQEKHVLLIITNILRNNPYSEQFRTLGQEENIEEYRVMLNLDQRLDQRTYNAPITSEVAAVWVEGNERRNTFDRNVILHGNNNEIQGIRSYAGCYDPLSYPLFFPRGELGWHADIPKVGITTEDIKKARANKNNKNNDPDSSGRMWVTMREYYCYKFHVRPNIFNPILYGGRLFQQFAVDTYIKIESSRLDFIWNHQKEIRADLYQGLLDSIHAGQDRGDKVGKRTVLSSSFIGGPRDKMRRYLDAMALVRKYGKPDIFLTMTCNPNWEEITNELGVGQTPQDRPDLVVRVFRAKLEEMKKELLEKHMLGKVKAYTYVVEFQKRGLPHAHFLLIMTGKYKLTCPEQYDRLISAELPNKQKYPELYKMVIKHMMHGPCGTLNRNCACTKNRKSCKNYYPRPFNATTIQGKDSYPLYRRRDDGNNEIVRGHKLDN >KQK94626 pep chromosome:Setaria_italica_v2.0:VIII:23639444:23641106:1 gene:SETIT_026927mg transcript:KQK94626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTNSCILPARSLHILWGKDDRYWRLNSIPESRFALSMELIEVWWLVIEGWVPAEFLSTDTSYDIYLVYKLADEHDGLRWGESYVAVDGVHTTDGIVSFVDEDAVRVDGVAYPVTRSEGWMELWLGEFYNKHGDTEVKVSASEKTDTYAKIGLIIEGMEVRKKNRSIS >KQK93927 pep chromosome:Setaria_italica_v2.0:VIII:6220386:6223151:1 gene:SETIT_025939mg transcript:KQK93927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVRVVIGKLGMALVKEAAIYGASLLGKEASTLMGLFGEIRKAEEELNSMKAYLRESEKFKDTDETTGIFVKNIRELSFRIEDVVDEFMYRLEDNKHGGFVAKTKKRVKLVKIRHCLALELCSINAELKEAATRRDRYTIPGNKRYGGGSVHHDISTNQISCLPKEEDIVGIEDNVDKLTQYLGSDLEEKKCKIATVWGMGGVGKTTLVDHVYKIVKVNFDTAAWVTVAKSYQVEDLLKKIVRELGISVDTSNMDMRAVVGVIHNHLQGKTFILVLDDVWEDDVWIKIMDVFPTNCISRFVLTSQNYEVASLATSNCEIKVELLGKGHSWELFCKVAFQSSDDKGCPSDLHDLAVKFLQKCEGLPIAIACIGRLLSCKPRSYIAWNNVYEELEMQSTVIPGVDAILKVSLEDLPFYIKSCFLHCALFPEDSAMQRRMLIRQWITAGFIKEKDNKTLEEVAEGCLNVLVNRSLLQVLSKNEFGRVKWCQMHDVIRHLALEKADKECFGKVYDDSRSFLLDGTHRLSINNTNIAPLSQSSATHLRAINVFMTYINIDLLRPVLASSNLLSALDLQGAQIEMLPKEVFSLFNLRFLGLRYTKIQILPESVGRLQNLEVLDALLTALQSLPKGVGTLKKLRYLYASKEFHTKGSSTHFGAIKVPRGIRNLTGLHALQYVKASLEILRDVATLIELRTFAVGDVTSEHSLNLCTAIMNMRHLVHLLIAASNEHEVLPWEQLRLPETLSKLYLGGQLEKKWTPQIFSSWSHLNNLTRLPLRLSKLDEDSFSNLIVLRGLCYLRLLNKSYNGKKMYFPALSFPRLRQLKISGAPQLNQVEIAEGALESLIVLWFSDCPRLNNIPHGIEHLTALEILYLEDTAGELIEKLRWESGTNECNEEQKKISHIRKVVVVLTGENIWERIR >KQK94906 pep chromosome:Setaria_italica_v2.0:VIII:28610608:28613153:-1 gene:SETIT_026961mg transcript:KQK94906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTQLDSIRYEVQNLSRLTPGQFNMRQHNTGMAEARTSDASDVSVSKDMETVDTGLTNLHSQAMTYARLSEAPGIKACSSLSGNYKEQFKESNGLLNVLPISAESAGLLPSRSGGPTGSDLVLEAVLEAEVAENAKFFVLQPHDQLPKE >KQK94578 pep chromosome:Setaria_italica_v2.0:VIII:22613843:22614850:1 gene:SETIT_028265mg transcript:KQK94578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVGKRLPSLTEGELLAARLRRHSYKRLRTIGEGAFGAVSKARDSRTGEVVAIKCLREKGGGGEAAALLREAALLAACAANPAVVAFREVARGGSGPEDLHLVMEFVGRSLYDVISERRRLNLPFSESETRRAMAQLLAGVGTMHAHGIVHRDLKPGNVLVGERDGRLKICDLGLARSVAAPPPLDAELEGTPGYMAPEVLLCEKGCGKPVDVWALGCIMAELVAGQSLFPEDDLCKQLVNIIDLLGIPDDVSLMPLGITAAAPSKLRDKVPEEQLSAAGFDVLRGLLQYHPKDRLTAAAALQMPWFG >KQK94432 pep chromosome:Setaria_italica_v2.0:VIII:16286061:16287332:1 gene:SETIT_028125mg transcript:KQK94432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLNTIQPWIISAPKKQCGKRSRNQIPEKDTLVIEMLGSNGEPILLEGMAARFRNICGAIVRDKLQTWIMTSNWKNVSTTTKDVLWATLKEKFTFPEGQEDSSRKFAEGLLGRCFRNWRSILNTEYVKKGKNAKDDFGRIPPNMWEEFVQQKNTSEAKALSEENTRKAMKAAENPHHLGVGGYVARIIKWRREEEEWRIAGLPDLYEGLDERSRNWVLAQIPVFTPEGKVTFKHQTTMEIYERLEQLVELQKKGLFKPDRKRDQLTAAIGTVEHSGHVQGMSSTLPWGDVFQNDQGSYRKRDRYKKDLEKKRRAITKQELIEFFATQQAQAMTNPTASDAQRHADPPLQLANTRY >KQK94331 pep chromosome:Setaria_italica_v2.0:VIII:13250680:13251535:-1 gene:SETIT_028058mg transcript:KQK94331 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVWRNVLMRPSSCSPNPAIASTRSSVSLTSSARVFGDFSTSSTASSCRDTRFPIPSGNFTFVLVIRRSSCFSRTILGGSSFRDVPHKSNVRRLCRFPICSRSSVASSPRSSRYLR >KQK95493 pep chromosome:Setaria_italica_v2.0:VIII:35022114:35022531:1 gene:SETIT_027136mg transcript:KQK95493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNQTQEQARLVADGRMGQFLTLIQAELQVTERVLMRQTAMKKVTEIHAGQFSWKLTVEQAGYDELLKSSMRSRRRSRASSALK >KQK95383 pep chromosome:Setaria_italica_v2.0:VIII:34006564:34007973:1 gene:SETIT_027385mg transcript:KQK95383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGSGNGSGRAGVATHVVMFPWLAFGHISPFAQLTRKLISGDETLRVTFLTAAGNVPRVEAMLAEAAGAVAVLPLDLPSVPGLPPVAASTAELSADGAELLKVALDGTRPQVATLLAELRPDAVLFDFAVPWVCDVAAPLGVRALYFNVYSAATLALTVPARCPGGQRPSAHELTAAPAGFPSDSPLVTLPAYQAANMTYVFESFYGMPSAYDRFIECFKGCFGIVMKTCAEMEGPYIDYILAQIGKPVLLAGPVVPEPPEGELEERWASWLSSFPENSVVFASFGSETFLPAAAATELLLGLEATNRPFLAVLNFPKGADTEAELRARIPPGFEERVKGRGAVHTGWVQQQHILQHQSVGCYLNHAGFSSAVEGLVAGCRLVLLPMKGDQYVNAALLARELRVGVEVARRDEDGWFGGQDVSDAVALAMAEGGDGDGRKWREFLTDDAVQKRFAGDFVRQLKELVRAA >KQK94599 pep chromosome:Setaria_italica_v2.0:VIII:23137060:23138822:-1 gene:SETIT_026600mg transcript:KQK94599 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKPTRRSSLVKKGSGGRTTAVLLAKQELVLGHGGGVDAFDRLPDDLVLAVLAGVAACAAGPADLAAAALTCRRFRELAAHPAVLSRASAAAVSLRAGRWSEAAHQFLRRCAAAGSLHACYFLGMVRFYCLGSRATGAALLARAASGGHAAALYALAVLQFNGSGGGKADKDPRAGVALCARAAWLGHVPALRELGHCLQDGYGARRDAAAGRHLLLHAAARELVAISSARCRRGGRRAEDGDDAASRFMVEWWALSAAKKSAAATGEGDGGNDAAELRLCSQAPCGRRETRRHEFRRCSACGSASYCSRACQALDWKRAHRGQCGAAAARWLAAGDAY >KQK94850 pep chromosome:Setaria_italica_v2.0:VIII:28015410:28018085:1 gene:SETIT_026325mg transcript:KQK94850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAAATVAAPDPFCSPIPSSRRASSFVLSGRRATATGVSSGGFSLQCQSLPSRCRYRAWWSNGRARRGGGTSRVRHCRAVASAPDHMDERPGKGRYHRFEEIPEAATLDDGEPAHLTDAESARTIVEVNNKATVMISTLVGDGVHERIILPEFPYLTDENGDIYFEVDNEDALLESIMGEDKIAHVIIGLDNTQVFADLDLAAASATEFAQEDDDDDDDDEDDGSDDDEESDFDDDFNDEGVFAVDDDDDDEEEDEDDDLPSWSNLETVNSCHPLYFARMIVETATKSNIDWLDRPPASLVVEGQLRPAFAEESTMVAKHLSSDEPQKDKKESGATFFKVEVLSIELITAYGTEPKVKIEEYRKARPDIIAHSAPNVISRLRAGGDKITQALKSLCWRCKAIQVEEAAVIGVDCLGFDLRVCSGTQVQTLRFAFPTKATSEFSAEKQIHELLFPRNTHQEGQSPQARHKS >KQK94074 pep chromosome:Setaria_italica_v2.0:VIII:8480658:8481204:-1 gene:SETIT_027173mg transcript:KQK94074 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVTVIKILLAFVIRLESGHVYYIVWDPAACETGVYFSLSPFTCLGFPFWRFCGLFSYAMSLVYSSHVASREAPAM >KQK95577 pep chromosome:Setaria_italica_v2.0:VIII:35767324:35770211:-1 gene:SETIT_026271mg transcript:KQK95577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIHTRGVGATGEADDEPLSPTAQFYSDLCIVAVVGLAAPIDIELARAGLEVTLVRHPRFCSIHVTDGPEHRWVRTPVNLDDHIIVPDLDPAAIAADPDKVLEDYVSSLSTLPMDKSRPLWELHILDFPTSEAASAAVFRINHALGDGTSLISLLLACTRSAADPKALPVMPSAMPPPARRKGRRRVYGASPTPARSAGAMAFVAWVLSCVLLAWHTIVDVVSFAALALDLVRDPRTVFTGVKGVEFRRKRFVSRGLSLDDVKHVKNVLGCTVNDVLVGVTSAALSRYYFRKSGDDATKKDVCLRSLLMVNVRSTPGIQELAQMMESSKNNDVKWGNPVGQIILPFYIAMYDDPLEYVRKAKKVVDRKKHSLEVIVTHGIGKKGSELFGTKVSGAIFHRMISNTTVPFSNIIGPVEPVEFYGHRVVYIAPSVYGHPSALTILWQSYADTIRVVLAVDDSQFPDCHHLLDDFAESLKLIREAASAHYQEAGNS >KQK95578 pep chromosome:Setaria_italica_v2.0:VIII:35768008:35770180:-1 gene:SETIT_026271mg transcript:KQK95578 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLSIHTRGVGATGEADDEPLSPTAQFYSDLCIVAVVGLAAPIDIELARAGLEVTLVRHPRFCSIHVTDGPEHRWVRTPVNLDDHIIVPDLDPAAIAADPDKVLEDYVSSLSTLPMDKSRPLWELHILDFPTSEAASAAVFRINHALGDGTSLISLLLACTRSAADPKALPVMPSAMPPPARRKGRRRVYGASPTPARSAGAMAFVAWVLSCVLLAWHTIVDVVSFAALALDLVRDPRTVFTGVKGVEFRRKRFVSRGLSLDDVKHVKNVLGCTVNDVLVGVTSAALSRYYFRKSGDDATKKDVCLRSLLMVNVRSTPGIQELAQMMESSKNNDVKWGNPVGQIILPFYIAMYDDPLEYVRKAKKVVDRKKHSLEVIVTHGIGKKGSELFGTKVSGAIFHRMISNTTVPFSNIIGPVEPVEFYGHRVVYIAPSVYGHPSV >KQK93895 pep chromosome:Setaria_italica_v2.0:VIII:5714470:5716029:1 gene:SETIT_028105mg transcript:KQK93895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETVGSAVMGETVSTIISSISRKTEEKIDLLENIERLEIAHIKLEAALDMSKKWQISSIPLLRWRRKLKLAAQECNNVLRQSKQRAIEEEDIRQRMSQSSFPKRIAHATKSLVSSFIPFGKDEPPTISSSDIQRFERFADGANEFLKFVEFGGTPRQYMFSDPLIGHLLTGKSVRYQVVQGSKLSYFGIRPMNFAERGVEAMIGFIVVDFKDPMKGLILSFILRLSDSTDIFGVMIKCMQSVTPHYKFVAEHVKRELIQLPTQEFSFLLHSPYVQSDDWSNIHSTLTKWCRPNPLCCNENNFTPCSSTSNTIGSSSSLSPRLLCEAFPEEVIMVLLQCRMLLPDQHRNRQNSATSTDLGGGSSLNPNMHPLKLGILFMPHDSPEDIDPAMESYALEVTDEKEHDTIHTELGLQDLDEKLLPKAIDHLYQNAESKMYQMCLKTRHGTAHLCVEKTTTKMQSARRSTATRQAHSRRVHIQDKRAEQYKQAAGDLLKLRVVRASDKLQGSIRSWAAEQNIP >KQK94823 pep chromosome:Setaria_italica_v2.0:VIII:27631815:27633828:1 gene:SETIT_026698mg transcript:KQK94823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATARWLLAAAAAALMLANCAWAAAPRKPVDVPFQKNYVPTWAEDHIHYVDGGREVQLYLDRSTGTGFQTRGSYLFGHFSMHMKLVGGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKDYHSYSVLWNLYMIAFFVDDVPIRVFKNSSGDLGVRYPFSQPMKLYSSLWNADDWATRGGREKTDWSNAPFVASYRGFHVDGCEASAEARFCATQGARWWDQPEFRDLDGAQYRKLREVRQRYTIYNYCTDRDRNAAMPPECAKDRDV >KQK95897 pep chromosome:Setaria_italica_v2.0:VIII:39341487:39344885:1 gene:SETIT_025933mg transcript:KQK95897 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVAMTNTHQNQSFSGNTAERVYERTIDDMINSADYETQLSWRELSAQHAQLQKEERGKLQLKAKVNGKLEELNRSSSVQNQKSNDVPLEADKILRKQGAEGSGTSNHDTSTSDTCCTAYAGNLKPLRANGTENATVPISSTLAADTASVNRGPLTHNVMPLAKKTDTNMLEHTAQKIRAQSHDSSAPKHLQVEDREGQVHKLESISRVSTTVSGTADANKGPLIHNAFHSAKNTDINMIHIDQKGASESYDTTALMHLQTEDKRRQVHSQGRILRGPPASESAGLNKGSSILNVMPSAKNNGINMKEYITRKSALWSHENSAPKHLQMEIRRRQVRSQEGVLRERSNIAESTENITTDSGTPVDTWNTEAKPHAELSTHSKNRRPASPLVFGTKNTEASSVHKAHISGVIINSAIIPVQVSSVRGFTVGSIMLGDASLAFVNQEKTVAKEVHDDVTHSCSIPKQTEKSGTNQPGVQRVKDPHGSDRIMHTPVKEPRKREQSEAGGLNCAAIPAPIQPSGNQSIVSQNSAPEKTSEMERHAHKPAYKELDLQNPRKMLPAENHTTSSDNLSTSKSDAKTVDEEALDAPTATKPENREDEKTSKHLGRSSASSNQGSTNGSASAAPGLNEQEANSVLKIMHELSDQLEQIEKHLDSSTHVAAVNHPQPTQMVMVSMPGYTWGEHAGCSQRQYHVDGQVNMWINYAANSHMDGRVMTQGAGLQTAHLLPGPISVPVPVPENNLAGVKVGPAPGWKWDTAEHVLISDTGDAPGLSTARRGAAPTQNALRVGQMDVMLGVQATGGMAYGAATAAVNPEVLYHAGPELEQLNPAWFPHVQHDGMHHHGGSGGTSVYLLGMDGGAGAHAHGGGGGYMTGPPMVGPVHPEYQLMPAVASPVAGWSDGGSAMVPALGHPAETWGPAYGEHVYYA >KQK95063 pep chromosome:Setaria_italica_v2.0:VIII:30399673:30400758:1 gene:SETIT_027643mg transcript:KQK95063 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGFGRKVGEGGVSRWLLLLAGVLLAVAVTVTAGDAAEEGATAGDYSLHGSQAMCELKCQHHHDPVNKKRCVDFCIRWQLALPFDAAEEGATAGDDSLHGSRAMCELKCQHHHDPVNKKRCVDFCIRWQLALPFDAAEEGATAGEDSLHGSRAMCELKCQHHHDPVNKKRCVDFCIRWQLALPFDAVEEGATAGKDSLHGSRAMCELKCQHHHDPVNKKRCVDFCIRWQLALLFDVKEEDGASATDTITAGEVGVCANRRICKIKCQHHHDQVNSNRCTDFCIGYQVALDAIMENGATATVTAGEDTRTCELKCQHHHNPVNKKRCVDFCIRYQLALHDINDGTTAAAAGGAIRQVV >KQK94930 pep chromosome:Setaria_italica_v2.0:VIII:28903560:28904434:-1 gene:SETIT_027014mg transcript:KQK94930 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSSRPSPAATAFAEQQLKQLRAQCLVFLAFRNNMEPKKKHLEIALGQCSAEGSGGGEGDQQEADKDDSRGQTGSSSSSPVSFSAATAGLPPPDLAALSSLRLSSHPGGRTRRVIRRGVGKIQ >KQK93965 pep chromosome:Setaria_italica_v2.0:VIII:6760380:6766019:-1 gene:SETIT_026156mg transcript:KQK93965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAVACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDTIKLLKKRLGSKNSKVQILTLYVLETLSKNCGDVVHQLIVERDILSEMVKIVKKKPDLNVREKILSLIDTWQVAFGGPSGRYPQYHAAYQELRAAGVDFPPREENSVPLFTPPQTQPLRQPHLYPPPGQSYEDAAIEASLQSAPSVPALSLSEIQSARGIVDVLDEMLNALDHRHPEGVREEVIIDLVGQCRSYQSRVMDLVNSTGDESLLFQALGLNDELQRVVQRHDDIAKGIPPGTGATAPASANTNQGTAPPRSTAVSFSPLLNVHEDDEPEDEFSVLSRRSARDGTVAQSNQPSAPRNERPYPSPLLPPPPASKRPVYTEASNIDYLSGDSYKSEKVSDDFINPTAPANISTSSHLKTEAYPPPSYGSRSESVPDDFVNPTAPSFSAPSRPTSEEPTRTSVKQQESLPDDDFINPTALPSFSSSSATKGYEDSREDIPKAPWEPQAAAGSLPPPPARYGQRQQYFEQNVYSGGSNGGGYDGLVTQTENLSLNQNEKSTSRPTASRQAKPEDSLFKDLVDFAKTKPSSPSKPANSRRTR >KQK93433 pep chromosome:Setaria_italica_v2.0:VIII:1093796:1094258:1 gene:SETIT_027055mg transcript:KQK93433 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDEEVVVAGRRRDNLVQSAREASTRPLTHFLLAPSWYTSRMHVTTPIAWNFACAVDRNRVASPHLTSCSQMKRLGSSSGAWSPHDSSRSRGDPTRLLLQEFRQEECTG >KQK94759 pep chromosome:Setaria_italica_v2.0:VIII:26386653:26395829:1 gene:SETIT_025881mg transcript:KQK94759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCMHDEATVHYIDMIDQTTLGHKFVKEEFGQIPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKSFGSSADIFAGIFPKNYEPPPGGFYFEVNDDSPVVQDDPLLFDYNVEERVNDFVAAALAQANITRTNHIMFTMGTDFKYQYAESWFRNMDKLIHYVNKHGLINALYSTPSIYTDAKYAANEQWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRMMSGYYLAARQLEFFKGKSKSGPTTDYLGDALALAQHHDAVTGTEKQHVANDYAKRLSIGYTQAQELVSTSLACLTESGSKSRCSTPTTKFSQCLLLNVTFCPPSEMDFSEGKSLVVLVYNSLGWKREDVLRVPVFSDSIVVHDSEGKEIESQLLPIASASQNIRDQHVKAYLGTTPGAKPKFWLAFPVSVPPLGFNTYFVSSTKKSASVSSKSTLYSSQGSKDNLQVGQGNLKLQYNAAGALSLYSDRKTLIEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTDGQVPLTVLRGPILDEVHHQINSWIYQITRVYKGKDYVETEFIVGPIPIDDGNGKEIATEIVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSKELSVLVDRSVGGSSIKDGQIELMLHRRLLHDDGRGVAEALNETVCVDKQCEGLIIEGKYYLKIDPQGEGARWRRTFGQEIYSPLLLAFSEQDGGNWANSHVPKFSAMDPTYSLPDNVALLTLQELEDGTVLLRFAHLYEAGEHKDLSALASVNLKRVFPDKKIGKIIETSLSANQERAAMEKKRLKWKVQGPPADKVVRGGPVDPSKLVVELGPMEIRTFIVSFDRSISGKQLL >KQK94760 pep chromosome:Setaria_italica_v2.0:VIII:26386653:26395829:1 gene:SETIT_025881mg transcript:KQK94760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPVRLALLLAAAVALAAVGEAVYIPYNTSAGVVAGKLNVHVVPHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLKDENRKFVYVEQAFFQRWWRNQNDMIKDTVKGLISSGRLELINGGMCMHDEATVHYIDMIDQTTLGHKFVKEEFGQIPRIGWQIDPFGHSAVQAYLLGAEVGFDAFYFFRIDYQDRDTRKGTKELEVVWRGSKSFGSSADIFAGIFPKNYEPPPGGFYFEVNDDSPVVQDDPLLFDYNVEERVNDFVAAALAQANITRTNHIMFTMGTDFKYQYAESWFRNMDKLIHYVNKHGLINALYSTPSIYTDAKYAANEQWPLKTNDFFPYADNPNAYWTGYFTSRPALKRYVRMMSGYYLAARQLEFFKGKSKSGPTTDYLGDALALAQHHDAVTGTEKQHVANDYAKRLSIGYTQAQELVSTSLACLTESGSKSRCSTPTTKFSQCLLLNVTFCPPSEMDFSEGKSLVVLVYNSLGWKREDVLRVPVFSDSIVVHDSEGKEIESQLLPIASASQNIRDQHVKAYLGTTPGAKPKFWLAFPVSVPPLGFNTYFVSSTKKSASVSSKSTLYSSQGSKDNLQVGQGNLKLQYNAAGALSLYSDRKTLIEANFEQKYKYYIGQDGNGSDPQASGAYIFRPNGTVPIKTDGQVPLTVLRGPILDEVHHQINSWIYQITRVYKGKDYVETEFIVGPIPIDDGNGKEIATEIVTNMATNKTFYTDSSGRDFIKRIRDYRSEWKIEVHQPIAGNYYPVNLGIYVEDGSKELSVLVDRSVGGSSIKDGQIELMLHRRLLHDDGRGVAEALNETVCVDKQCEGLIIEGKYYLKIDPQGEGARWRRTFGQEIYSPLLLAFSEQDGGNWANSHVPKFSAMDPTYSLPDNVALLTLQELEDGTVLLRFAHLYEAGEHKDLSALASVNLKRVFPDKKIGKIIETSLSANQERAAMEKKRLKWKVQGPPADKVVRGGPVDPSKLVVELGPMEIRTFIVSFDRSISGKQLL >KQK93803 pep chromosome:Setaria_italica_v2.0:VIII:4464241:4465025:1 gene:SETIT_0280391mg transcript:KQK93803 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SLFGRASNRNLGVTAGGVLKFVNIFPRCCCGGAGTSKCEHSNHAYTIHTYTLRTEGMEWVMDGMVDATELWALEAYKGLPRVPLDYPIVSMDEPHVICFLLCEDHHVKYGDQTLWLLKVDTRSKTIQSVSKYPGGRFLGRALIPSSISYYLNSYPICSSDGTSTSLGQTSQMDIEKLRAYDSRNSMLQSSCKSFAKPAVEASEILAALQDIPSYGLDRDDMLKAYSILSNDNGLRLRSLLGLPLNLRKDWLLMEIKAGDA >KQK93893 pep chromosome:Setaria_italica_v2.0:VIII:5654759:5657250:1 gene:SETIT_026461mg transcript:KQK93893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARWTMLAGLLLLLPLLQSASSSSMVFKLDGNVYPAGHFYVTMNIADPSKPYFLSVDTGSDLTWLECAASNGACERCNKVPHPHYRPGPPSYKVVPCTDPLCDTLHQDLGTTKHCTEPFQCDYTLTYADGSSIGALMTDKFSLPMVKPPNDHPDLAIGCGYDQGVNAGKVTTVDGILGLGPSSVSLVSQLKNHKIITKNVIGHYLSTKGGGFLFFGEESVPSSDVTWVPMAPRTPGKPYPYSPGRATLQLDTKSIGAEPMEVVFDSGSAYTYLPELVHSQLVTALKASLSKSSVKEVHDPALPLCWKGNGPFKSLDDINKEFMSPISFNFGHGVTMTIPPEKYLITTEQGSACLGILGTADIDLYLIGAIAMQDQLVIYDNETRRLGWTHSLCDRMPET >KQK95755 pep chromosome:Setaria_italica_v2.0:VIII:37818351:37821145:1 gene:SETIT_028356mg transcript:KQK95755 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWKVPDTAIGIDLGTTYSCVGVWQNGRVEIVANDQGNRTTPSYVAFTSSKRLIGDAAKNQAEMNPANTIFDSKRLIGRRFTDASVQNDIKHWPFKVISGPEDKPMIVVKYKGVEHQFAAEEISSMVLVKMRETAEAYLGRTVKDAVVTVPACSTDLQRQATWNAGVLAGLNVIRIIPEPTAAAMAYGLHNKVNEWGNRLENALVFDLGGGTFDVSLVCIEYQMFEVVATAGDPHLGGEDFDNRMVNHFVQEFKRKKKKDISGNPRALRRLRTACERAKRALSSTKETVIEISCLYEDIDFYSTITRARFEELNMDLFRKCMDIVEDCLSDARMDKSSVHAVVLIGGSSRIPKIQQLLQELFNGKELYKSINPDEAVAYGAALQAAIMTGQDHNSINDLLLLDATPLSLGLGTKGGDMTVMIPRNTCIPTLKEIVISTDYDNQPCICIQVYEGEGTKTCENNLLGEFEFSIPPASKGVPQIIAGFGIDTNGILEVSAKDETTGQKKE >KQK93446 pep chromosome:Setaria_italica_v2.0:VIII:1126353:1128325:1 gene:SETIT_026908mg transcript:KQK93446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNQKLKDLREQHKASSRIFLAGKKVMQIALGRSPADEAKTGLHKLSKFLQGDSGLFFTNLPREDVERLFREFEEHDFARTGSTATETVELKEGPLEQFTHEMEPFLRKQGLPVRLNRGVVELIADHVVCEEGKPLSPEAAQTLRLLGIQMATFRLYLVCRWSCDDFEVYKEGLAHLGADDSS >KQK94778 pep chromosome:Setaria_italica_v2.0:VIII:26802970:26803337:-1 gene:SETIT_027784mg transcript:KQK94778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTVGSSSSQTSMDLERPRNSSPISYRVPPFAYTPAINCYCGWKAPRWISWSDENLGRRYHSCYRYREGGCRFWVWLDPKPTDHQIEILVDL >KQK94264 pep chromosome:Setaria_italica_v2.0:VIII:12072955:12076589:-1 gene:SETIT_026561mg transcript:KQK94264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMANAKLQKQALLPPRSPFPTAAAPSPYADRGPIARPQGAAAAHHRHGHGHHQRTSSESFIEEQPPSWLDDLLNEPETPAARQHGRAGHRRSSSDSFALFDTGAASAGAYSNGFEGMGGGGGQPAPWGGVQEYYAKSGSFGRAHGRPWEQGMPHLAGFRHGGGLPMPAKDKVGGHHGPPNALRDHDHGMDKRTPGDAGHDQKVGAKEGVLPKHAQSEADNKRAKQQYAQRSRVRKLQYIAELEGRVQALQSEGVDVSAEMEFLTQQNIILDLENKALKQRLESLAQEQLIKRFQQEMFEREIGRLRSLYQQQQQQQQSPVLVRSNSRDLDAQFANLTLKHKDPNSGRDALSGSLRT >KQK95639 pep chromosome:Setaria_italica_v2.0:VIII:36767788:36768478:-1 gene:SETIT_028573mg transcript:KQK95639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFELTSFLLGDIRSAVQSCSTMEQQEHLEEIATFVGATKV >KQK95638 pep chromosome:Setaria_italica_v2.0:VIII:36767769:36768232:-1 gene:SETIT_028573mg transcript:KQK95638 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNFELTSFLLGDIRSAVQVHYMRTKLSKLGNNQLQMINGFIRHRNIYSLVPQWSSRSTWRKLPLLWVRQRYDLWNWPLRSRSSNRRCV >KQK94047 pep chromosome:Setaria_italica_v2.0:VIII:8187717:8189977:1 gene:SETIT_026174mg transcript:KQK94047 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGLRPWSRNIAAVLLALATVVSATAAGKKDEAVVARGNYNVAVVDVSRITISAAAAPSSMNVSAICQSTPYPSACETALSSPGPARDDPFAASVQFAMARAASARTLARNVSGASRSTPHRGAPPSGVMDCAELLDISVAQLGDALAAAAGDADGATTWLSAALTNQGTCADSLAAEPDPAGRDAVRARISALTQFIATALALHASKLKPDASGGPPPTPPVTTTFPSWVSQHDRKLLRSPVDSVAIDAVVALDGSGTHRTINEAIAAVTAAKGGGGGGGGRKVIHVKAGRYEESVSISSKQKNVMLMGDGKGKTVIAGHKSAADGYTTYATATVAAMGSGFIAKGLTIINSAGPGKGQAVALRVGGDLSVVYQCAIQAYQDTLYVHSNRQFYAEDDIAGTVDFIFGNSAVVIQNCDIQARRPSPGQKDTVTAQGRTDPNQNTGISIHKCRITGASDLGSTSVYLGRPWQKYSRTVVMESFLDSSISPAGWLEWSGQFALSTLYYGEYGNTGPGAGTSNRVTWTGVHTSLSRSDATRFTVANFIMGDSWLGGTGVAYDSGL >KQK95471 pep chromosome:Setaria_italica_v2.0:VIII:34684827:34688483:-1 gene:SETIT_025949mg transcript:KQK95471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVTGALPSVITKLAHLAAGEYNLQKGLNGEIKFLQKELESMKGALEDISKNPPDQLPNGEKIWAGNVRELSYDIEDSIDMFMVQSKGRKLVNQHGLKKAIGRSLDLLMQPKIRRKIATEIREIKSRVIEVHERRRNYKVKIGVDKPVTAASDPRLSTPYLEVKDLVGIDGARDEIIKIMTEREEVPMQQGKIVSIVGFGGLGKTTLAKAVYEKIRAGFDCSAFVSVSENPDSKKLLKGLLYDLGKSINEETLDEMRLINILKEFLQDKRYIIVLDDIWDISVWKLMRGALPDNDAGYRIITTTRNFNVGQQVGGTYKLKPLCLHDSKILLYRRIFANEDKDECPDEQLVEVSDKILKKCAGVPLAIIMIASLLARKGGNIIEWYKVYKSMGTGLENDPDVSNMREILSLSYYDMPSHLRTCLLYLSLFPEDYMIHKVCLINLWIAEGFIQRGKQGQSLFDVGESYFNDLLNRSMIQPMHDWYSGLVQGCKVHDMVLDLICSFSNEENFITILNDMENTSSNTIRRLSLQSANEDHSMTWTTKSLQQVRSVFVFPSALDLMPALESFRVTRVLNLHGCNLSQSYSLKHLGNLLHLRYLGLRGTSIAQLPEKIGNLSFLQTLDVTCNKISSLPPTVVQLRNLMFLYTDWSTILPSRIGNMACLEYLLLRIDDSTMDSIEEMGQLLELRVLRIVFNNWNNNLVEYLSKLQKIRNLYIEVIDGRRSIGGLDAWVAPQHLCRLNTVRSCWFSALPAWMNRSVLPNLSFLWIAVRELQLKDLEILGRLPALRSLELEVDRANLGIHGRFDVGAGLFPCLVHCKFWGFVEPVVFRQGAMPRLRELYLDLFFMWEAKETTSSDGGLDMGIRNLPLLQDVFIELRSEVASTEEVEHVKATLRRAAEFHPNHPRLTIL >KQK95652 pep chromosome:Setaria_italica_v2.0:VIII:36873556:36877177:-1 gene:SETIT_026129mg transcript:KQK95652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKEDMGGHVMVPLESLSLELPNGEILVGYDKDISALQEEISTLRSRQRHLDRRRREALDKLIDLKGSIRVFCRVRPLVQTSNLKTKSLVTVEHEKIAVKSVGIKKEFSVDRVFDQESTQEDVFQEVKPILRSALDGHNVCILAFGQTGTGKTYTMEGTDGKLGIVPRAIQELFSHASEDSSSTYSLSISMLEVYLGSLRDLLAPRQPLFRPTECSTACNLSIMATKSGAVEVEGLKDVSIPDLKKANQWYCRGRRARSTSWTNVNDVSSRSHCLTRITIRRQGVTEEVSKLWLIDLGGSERLLKTGASGLTMDEGKAINLSLSALGDVIAALRRKRSHVPYRNSKLTQILSDSLGDRSKVLMVVHISPSEDDVGETVCSLSFAKRARSIESNRDIPEDLKMLKQKRLAELDNEVRDAEEELKHLNEQIRIAEISLEEKKKLNSSVCQALSDEKGSPRSTLVVSHIDATESPHPTEKAKIRVSHGPVPHFMSPTVCSRQRHSAGSHSVSKPRLTKSVNRYPAELSGSQSFSYSSCKNAAKARSVAFSSSVSKMKCLPVKSDQINISSNSIDSTAASAPRRGESFGSRPVQRVPLHQHRRRMSSLI >KQK93518 pep chromosome:Setaria_italica_v2.0:VIII:1642506:1645228:1 gene:SETIT_026532mg transcript:KQK93518 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEVVVLVAAAAFLSLDSLSDVRRLEIGNGDVELVPLDGAAGPESIVFDKTGEGPYTSVSDGRVLRWLAEERRWVEHSCSAPELLDSCRGSQDPGREHECGRPLGLKFNNETGELYVADAYHGLRVVGPDDHVSRPLVPEWQGSRPFSFANGIEIDYETGAIYFTETSTRFQRREFLNIVISGDNTGRLLKYDPKSNQVEVLVDGLSFANGLAMSKDGTYLLLVETTTGKILRYWIKTQKASILEEVVQLPWFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWVRRLILKLPMRHVQRASWLLNQLGRQVIALRLSEDGKTIEAVSVHGAIQKVFKSVSEVEERNGSLWIGSVMSPFLGVYRL >KQK93517 pep chromosome:Setaria_italica_v2.0:VIII:1642506:1645228:1 gene:SETIT_026532mg transcript:KQK93517 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAEVVVLVAAAAFLSLDSLSDVRRLEIGNGDVELVPLDGAAGPESIVFDKTGEGPYTSVSDGRVLRWLAEERRWVEHSCSAPELCRGSQDPGREHECGRPLGLKFNNETGELYVADAYHGLRVVGPDDHVSRPLVPEWQGSRPFSFANGIEIDYETGAIYFTETSTRFQRREFLNIVISGDNTGRLLKYDPKSNQVEVLVDGLSFANGLAMSKDGTYLLLVETTTGKILRYWIKTQKASILEEVVQLPWFPDNIKMSPRGGFWVGLHAKRGKIAEWSISYPWVRRLILKLPMRHVQRASWLLNQLGRQVIALRLSEDGKTIEAVSVHGAIQKVFKSVSEVEERNGSLWIGSVMSPFLGVYRL >KQK94678 pep chromosome:Setaria_italica_v2.0:VIII:24820437:24821728:1 gene:SETIT_028009mg transcript:KQK94678 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPLSVVLMLACLLLSSGAAGLRIGLKPKHIHSNPDDTASELMRDALRRDMSRHYRLVRRELASSGATIAAPTRNDGPQGLQYLMPLAIGTPPVAFPAIVDTGSNVIMTQCAPCGSKCGFEQTAPMYDPSASTTFAELPCNSSLRLGFCDAIDGGANAPPGCPCTRNLTSGGWGGAAGMVGLGRRGNLSLVSQLGAGRFSYCLTPYHDGNSTSTFFSGPAAALNDTGALSTPFVPSPSEGTKSTHYYLNLTGISLGNKLLSIPADAFSLSSDGSGGILIDSGWTTTLLVDVAYHIVRAEILSLATLAPESTPPPMPDMTLHFDGADMVLPSDNDMFLDSDGECCLWLHNDTAAEGSVLGNYQQQNIHFLYDRP >KQK94017 pep chromosome:Setaria_italica_v2.0:VIII:7626704:7627201:1 gene:SETIT_028396mg transcript:KQK94017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFIKAGCLCLRRCHHYLSQLLQSMIHVLTVASAMIVGIIVLKAAKVSYKMMVHVCFYKVYRKQRIWGWYLVLKRYASTLDHNTEALVLPNVMLMTMIY >KQK94655 pep chromosome:Setaria_italica_v2.0:VIII:24152923:24154894:-1 gene:SETIT_027645mg transcript:KQK94655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LSLVLVFLLITVRLATSRSRTGRLLNKLPSPPSRLPIIGHLHLVGSLPHVTLRDLARKHGPDMILLRLGAVPTLVVSSPCAARAVLRTHDHLFASRPRSAVGDILFYGNTNVAFAPYGDYWRRTRKIAAVHLLTVSKVRSFRPARENEVRLVLARMRAVAAASSAVDLSEVLSNFSNDVVCHAVVGRLPREEGRNKLFRDLFKTNSMLLSGFNLDDYFPGLARLGLVRRVVCAKAVKQRKRWDELLDDLIDKHASERVLSDEESDFIDVLLSVQEEYNLTRENIKAILMDMFEAGTDTTYISLDYCMAELMRKPQVMAKLQAEVRSCTAKEKELVTEDDLSSMSYLSAVMKETFRLHPSGSLLLPHFSTADCDVEGYTIPSGTRLLVNAWALGRDTSSWGEDAEEFIPERFMDGNLEADSDYQGGDFRFLPFGSGRRICPGINFATMTFKLIVANLVNHFNWELPPGSPGVDMTEVFGMDVHRKEKLLLIPRVSNDF >KQK94116 pep chromosome:Setaria_italica_v2.0:VIII:8955026:8955214:1 gene:SETIT_027248mg transcript:KQK94116 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQVTRFIVNLYLISDFSVEALTLPTWLAKRIEEKLKRQQLARIDSFHHCH >KQK93581 pep chromosome:Setaria_italica_v2.0:VIII:2078265:2079758:1 gene:SETIT_027422mg transcript:KQK93581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTPAGGGWLLQPRGSSSKLTQRAINNIRFTLLCAFVTFLVLRGTVGVNRRLVYIAGSDRAPPGAKATEDIERILREIRADSDPDPEPNDDGNTSPSTRATRYYDRGSAWSTANYSLGPRVTRWNARRRRWLHLNPGFPSRDARGNPRVLLVTASPPGPCDDPAGDHFLLRATKNKIDYCRLHGIELAHITARLDGELTGGWAKLPLLRRLMLAHPEVEWLWWVDGDALVTDMGFELPLARYEGAHLVVHGNSYLLFQLRSWVAVSTGSFLIRNCQWSLELLDAWAVMGSRGRARNDAGKLLTATLHGRPAFEADDQSALIHLLITEKERWMEKVYLENEYYLHGHWSGLVDRYEQAMEKHHPGYGDDRWPFVTHFVGCKPCGGGVGRSIRSGGNSSDEYPLDRCVRGMERAFNFADNQVLRLYGFRHESLASAEVRRVTNRSANPLEAKEEAISFLKKSKDPDVKSHDVRRNRKRKGKRDSVLERILKRLGWTPGI >KQK93770 pep chromosome:Setaria_italica_v2.0:VIII:4201669:4206634:-1 gene:SETIT_026190mg transcript:KQK93770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSAAAVGLNRRTRSRPPSVASSRRSDDPTAAAAAAAANGNGNVSAKSASPDHASGERTVKKLRLSKALTIPEGTTVSEACRRMAARRVDAVLLTDANGLLSGIVTDKDIATRVIAEGLRVEQTIISKIMTRDPLYVMSDTLAIEALQKMVQGKFRHLPVVENGEVIAMLDIAKCLYDAISRLEKAAEQGSALAAAVEGVERQLGGNISASQNLIETLRERMFKPSLSTIITENTKVATVSPSDPVYVATQKMRELRVNSVVITAGNSLQGIFTSKDVLMRVVAQKLSPELTLVEKVMTAHPDCATLDTTILDALHIMHDGKFLHIPVLDGDGRVAACLDVLQLTHAAISMVEGGPGTANDAANTIMQKFWDSALALEPPDEDFDSHSELSLVMPSEAGDGRSSIYPPAVGNSFAFKLQDQKGRMHRFTCGSESLDELISSVMQRLGIGSEKSTVQLLYEDDEGDKVLLTTDSDLAGAVFNAKSSGLKALRLHIDDSDPNKEVTQPLPELASSHGSQLMHVHYGLMACTIALTGVAVMVYLRHSKA >KQK95707 pep chromosome:Setaria_italica_v2.0:VIII:37373868:37381468:-1 gene:SETIT_025849mg transcript:KQK95707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRGRGHGGGGVSASLAALLCCGCVMVLAGAAAAQGPWLPYAYKTLSGDAPRVVAKGGFSGVFPDSSSDAYSFTLMASAAGTTLWCDVQLTKDGVGVCLRDINMDNCTNVAQAYPARKRTYVIDGVRKNGWFALDFTKDELQSVISVTQAIWSRTYSFDSVGYSILSVTDLLSIVKQPSVWLNVQHDTFYKEHGLNMRNYIFSIQKRVSVDYISSPELGFLQNISGTVRGKTKLVFSFLDKSLLDHSINRTYGSLLSNLTLIKSIAFGIMVPKTYIWPVTKDNYLQPSTSIVAEAHNAGLEIYASDFANDRIIPYNYSYDPLAEYLNFISDGGFSVDGVLSEHPFTASEAIGCLASLNSSKTDHGEPLVISHNGASGDYPDCTDLAYHSAINDGADVIDCPVQVTSDGVLMCMSSINLLDTTNVQGTTFSSRSSVVPEIQATAGIFTFNLTWDDINSSTLKPKISSPVSDYYLVRNPRYTNQGKFLKLSDFLAIGMDTDLSGVMIIIENAAFVAKSLGIDIVDSVNAALSAAGYDNQTTKQVLIQSKDSAVLVKLKQQKTKCKLVYTLPLGIGDASTSSLEAMKNFANAVVVDRKSVFTLSRAFAIRQNSLVKHLQSAGLAVYAQVFRNEFVSQPLDFFADETVEINYYVQSFNLSGIITDFPKTVRRYKKMPDYMQSIDVGSLYQLLQPFKAQPPSLPPMPTLNASSVEEPPLPPVASRKRDLLRLKIRKARKMREKFFKRNRGLLLRQLVDKDIAERMIFSLEELEKATNKFDEARILGGGGHGTVYKGILSNQRVVAIKVSRLVVQREIDEFINEVAILSQINHRNVVKLFGCCLETEVPLLVYEFVPNGTLYDHLHVDNPQRPLTWKDRLRIASEVASSLAYLHSAASTSVVHRDIKTSNILLDDRLTAKVSDFGASRGIAIDQSGVTTGIQGTFGYLDPEYYYTRRLTDKSDVYSYGVMLVELLTRTKIMYISPEGVSLVAHFVTSLDQGELNEILDEQVIEEGEEEGKQVAEIAAMCLRMKGEDRPTMRSVEMRLQGLLGSEINTSVIGEGHVNGLNGLTFQGGNANAGDNYCSRRYSIEEEILVSASLER >KQK95144 pep chromosome:Setaria_italica_v2.0:VIII:31346010:31348718:-1 gene:SETIT_027721mg transcript:KQK95144 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRGVQPQALNPLTGAQVDLPLVTGLHHVEPCPDGRHGRPAYNLYEKELGPDTPSVCTAWELRVFIYHRVFLSCSPSAGAGCVVLLMHKQRGEMSYARIGDGRWALITANETVPSGGGYGCAAYNDNDGMWYVLISNCSIYYSFDLNGPSPTARKIIQKGILWDDPTSYIVFSPWGDILHVWRYVELRTLTNPIWVPAEHAHEVWDPCSESCTYEMKLYKLVEISSSDLHGHALFLGFNNPIFVSTKDFPGLRPNCAYLTDDDWEQLCINMYGCRDVGIWNLETEKFESLIGDVQSVHPWLNWPSPICWITTSLS >KQK93523 pep chromosome:Setaria_italica_v2.0:VIII:1673196:1676719:-1 gene:SETIT_026794mg transcript:KQK93523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAESTAAAAPDPAPADDDVDAVPEVPACISSMIDRGGSVESHRLFLARRTALEMLRDRGYSVPEAELARTLPEFRAWWAEKPELERLAFSTALAADQSSKVKVVFCPPEPVKIAVIREIYSRVQEENLSRLILILQSKITSKSRESIKEIFPFKVDTFQITELLVNITKHVLKPKHEVLTAEEKAKLLKEYNVVDSQLPRMLETDAVARYHGLGKGTVVKVTYDSELTGNHVTYRCIF >KQK93524 pep chromosome:Setaria_italica_v2.0:VIII:1674887:1676719:-1 gene:SETIT_026794mg transcript:KQK93524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSAESTAAAAPDPAPADDDVDAVPEVPACISSMIDRGGSVESHRLFLARRTALEMLRDRGYSVPEAELARTLPEFRAWWAEKPELERLAFSTALAADQSSKVKVVFCPPEPVKIAVIREIYSRVQEENLSRLILILQSKITSKSRESIKEIFPFKVDTFQITELLVNITKHVLKPKHEVLTAEEKAKLLKEYNVVDSQVCSSIIC >KQK95266 pep chromosome:Setaria_italica_v2.0:VIII:32807225:32808660:-1 gene:SETIT_026467mg transcript:KQK95266 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAPPRGPPVSWSDIPVDLASLVLGRLPAHVDRVRFAAVCPQWRAAALQGGVPPSMPMLLLPDATVYSLPGSEPFRFPGCVGYTDACGTGNWLVFSGEDGCFLRDPFSNTTVTLPALSRARLLQVGDESGDEAGHAWMEMGEERELDAHRIMLCSPHLIAAIFNFRREGITRIAVCQPGASSWWTILVSSPLFVDIVFHKGKLYALNCMDSLFAVDISVDHSTGDPWVSQIQQVIGDLHTCHMIFLPEGVLILRVNYLVESRGALLLVCREMDLRLEAGNWDKIEVLEAEETRFEVYEANFGQSRWAMVTTLGDDQVLFLCQRFGRSVNVSHNEMPGDRIFFIDNDEGFSSVYNKGASSSCSVYDMTDGKVSSPLPMVSWKPGAVFATWLLP >KQK93455 pep chromosome:Setaria_italica_v2.0:VIII:1231576:1232724:1 gene:SETIT_027533mg transcript:KQK93455 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPKRKANGDSVGGSQADEFAKPSDDTKESSAEFAKPVYLVAARDDDPAAYSVLEIDAAAAAGGDEPPRIRTVAGLPASSEPGMSFVAAHSKHGSWIVGVGGGLRAGTIIFDPRTLKTFHGPRLGYPKHEPVLISHGSEVYAISRRPRVVPRIDCEPWFECLSFNKGVPSKDCGLWVSWSDLPSPPFFPSFLNPYEFRNPPEISVSSYAVMGSYILISPQPELVVGTYAFHVVNKTWEKIHDENLPFVGQALPIGGSLFAACPISNNSITASASASVFHMSIKVPPSTLVAAVSNPSLSIQEFKLVASEDKIPWPLFCPMGKDSFCFIRLGSSCRRQSRKASKKLKVSLTALWIENTEAIMTHCQSQGAKAKDLLVAFQVKEQ >KQK95534 pep chromosome:Setaria_italica_v2.0:VIII:35442978:35445565:-1 gene:SETIT_027271mg transcript:KQK95534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMVVVALDDGVDEGGDSGGGDNDENFETVKKSAGENVYERSKGCPKHWTVLCFVLELLILKAKHDWSDGSFNDLLRILAWLLQKLNRVPANTYRAKKLVSPFTIGVERIHACPNHCILYRRDTFKGLDKYLVCFASRYKNNSNYCDDDRQGPTDGNKRKRKGVGNSVAIVEPKDTTLGISQKQRRIPSLWKKFDERYPQFGNDPRNVRFALSTDGMNLFGERTSTHKQVKRKIGCMVCIDDTAWSFLEGSRKVVYLGYRRFLVEGHMYQSKKFYNFFGGKPNLESNPEKRDGNYVFNMYLPYWSDLEVRHAIDGMHMKNNVFGNTIGLFLETLAKTKDILKSRQYLVAMKIRQDLHLEVTTILGSLDKGNGRYELPPASYNLTLDEKKAVCQLLWRIRVPSRFSFNIKKLVSMKDLSLSSYNCYDYHVMLTLFLPNAIRVIKPAYVKMVITRLCYFFNKISQKNAPPPFVSTTTGSGSLLPKHRLLPARPPPTRR >KQK94156 pep chromosome:Setaria_italica_v2.0:VIII:9920247:9920778:1 gene:SETIT_027092mg transcript:KQK94156 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGCFWGWVARRTYHPCRMPVKDTAAGADRARPGDQGRPGPPHCASDFTFTPIYATVVYYSRQCALGLDFGERDLNSMKSCFQAIAIDGVWIL >KQK93250 pep chromosome:Setaria_italica_v2.0:VIII:171028:175912:1 gene:SETIT_027479mg transcript:KQK93250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPVPAARQCLSPAAVAALDAAVASARRRAHAQTTSLHLISSLLAPTAAPLLRDALARARSAAYSPRLQLKALELCFAVSLDRLPSSSSSASSSQKEKEKENEYSEPPVANSLMAAIKRSQANQRRNPDTFHFYHQPTSATSPNAVKVDLSHLVLAILDDPLVSRVFADAGFRSGDIKLAILRPAPPMPLLGRLPTRARPPPLFLCSFAAADDAQVPSPAAAVAGAAPGEDNRRRITEILSRGRNPMLVGVGAASAAADFATASPYRILPVGPTPINNPNPNSNSGLILSIGDLKDLVADDDPDLQERGRRVVSEVTRLLETHRAGHTVWVMGWSATYETYLAFLSKFPLVDKDWELQLLPITAVRDAGTAAAGVMPPATTATALSKPASTSLMESFVPFGGFMCDTYDANSLMPSGPRCQQCNDRYEQEVATIIRGSGITAEAHQEGLPSLLQNGSMMDPNSGFDAVKVRDDQMVLNTKILNLQKKWNEYCLRLHQGCQRINRDPHQLFPHYIGVPADRETGPNPSQGSEAVALQREVIKPSAVSASHTNTTAKSISSPSISNQRNADLVLNLQVRQSKSDEPLHDRGVQSQHSNSSNCDNREDHVSPSSAAAVATDLVLGTPRGSSSKDSSNALCKHVEDAEGSIQPMPKKVDDLNLKPPQFSVQPYYCSRSSSNWGQSQTSPSALHSAAPGGISAFGQWQRPSPLAAQSFDYKLLMERLFKAVGRQEEALSAICASIVRCRSMERRRGAHRKNDIWFSFHGPDSIAKRRVGVALAELMHGSSDNLIYLDFSVQDWGNSNFRGKRATDCIFEELRKKRRSVIFLENIDKADFLVQESLTQAFETGRYKDLHGGRVADLNDSIVVLSTRMIRGCQEASVGMGEGHALSEEKVLAARGHHLKIIVEPGTANIGGGPGGKVVVSSRHSLSDIQASLYSSSFSKRKLNISDGGEKVEEPSSTSKRLHRTSSVPFDLNLPVDEAETHDGGDDSSSSHENSSGDPDGSVDNLLRSVDESINFKPVDFGKLCEELLQEFTNRTSNVVGSGCRLEIDVGAMVQIVGAACASDSGKRPVRTWVEQVFVRSLEQLKVKCKNVSACTLRLVACEDELLKDEGFGGLLPSRIFLD >KQK93298 pep chromosome:Setaria_italica_v2.0:VIII:413281:413613:-1 gene:SETIT_027403mg transcript:KQK93298 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NRASNIFFPIHHHQTSTTTKLLPMGPQQDRQSMANGTATRKETKVVHYRECQRNHAASIGGYAVDGCREFMASGAEGTAAALMCAACGCHRSFHRREVEADCDCSSTTSS >KQK93557 pep chromosome:Setaria_italica_v2.0:VIII:1918171:1919067:-1 gene:SETIT_027024mg transcript:KQK93557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRCSCRISSPLAALALLLLIFFFHGAAAARPLPASVPPRVNQENGARVAADDEPVIQKGAAGSGDELSSVAEAEVMGAEEEAEEPACEEGNDDDCMQRRLLRDAHLDYIYTQHKGKP >KQK94761 pep chromosome:Setaria_italica_v2.0:VIII:26403278:26406184:-1 gene:SETIT_027831mg transcript:KQK94761 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DGSNCSASQIMVSPSLEGDISHCVETMGSQVQLPEDILHQIHALMPLRDAAQAACVSRGFLRSWRCFPNLIISVDSLGINVNTSNDEIKRDFVCRVDHIMQNHSSMGVKRFVIETYPCSNLQPSYVDRWLQCAITPGIKEIYLSMLSCGIKYNFPGLLLFSREIRSSIQTFVLGDCSFHCAAQVGYMSSLTNLELHSIPCLLQKLDILDVLGCSKLEMIDTNAPNLSTFFFAGRPIHISLGEALQVRKISFRRDYSPNALYYASTKFPFIAPNLQTLVLSTSDETVNTPKVFGKFIQLKYLEIVVSTSTFSQDYDLCSLISFLDASPALESLIVRCLQEQCHDNLKNVMITGFCSAKSMIELTICIIEKTKALACLTLDTTRGYDRRHVKVDKCLQLNEEALVEAKKARVAIQRYIEGRVPPAVNLKVIEPCSKCIW >KQK95157 pep chromosome:Setaria_italica_v2.0:VIII:31489138:31491004:1 gene:SETIT_027728mg transcript:KQK95157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSSGSPRKIPFHLLQEITDCFSDERKLGSGGFGKVYMGVHNDGEKIAVKMLHYMLGFEEEQFLKEFNNLARLQHPNIVRLVGYCYDVQKNIVEYEGRLVFAERIYRALCFEYMHHGSLDKYVSDEYPGLDWNTRYTLIKGICKGLEYLHEELKPPMYHLDLKPANILLGKNMLPKIADFGLSRFFGEEQTHITKSSIGTRGYLPPEYIERNIVSSKFDIFSLGVVIIKIMTGPTGYRESAEMSPQEFIDLVRESNMYQLQQFFAHKNWRTRLQATSMHLFESYSKQVKRCMEIALSCVEVNRHKRPSIRKIVKQLNETEIMIHKQLNEKEIMIYRTRLRDLSSYDQGSPMDQ >KQK94697 pep chromosome:Setaria_italica_v2.0:VIII:25256255:25260512:1 gene:SETIT_027766mg transcript:KQK94697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCCRYNFLGLCACIDGAFSELLDSSSISAAIMENVRSMEFWHLTRLIDLIIIPFVKHCPRKLREEWMFKFFVPLLDYCEDKLRYSWLNLLYSGQADVPCCLGYLCESEETIEHMENYLLLDLTRKFSKLLGSLCSSELNGSLFHVNLNPMHDMIATSGELKCTLSSSIVGYILLNDCFKTLSMNLFGWWVDDEATINAVPFCNALVQVAVATNNKKLRRFVEDDMLPALIRRLCDGLPCMVQRTISKLSNQMIPPIQKANKDLLILCQNIYTLCVRSQDLEGEDQDYGNGAYQFDDWFAKQKNDLMVKAYSPIPENFPDELWNWEFEEEFQRYLPTYIDLLHEVDAMDYCLEDDCFERVRIFEKLSPEFKIRHAINNCMDRNIFLISNILQRKMPAAYLEQRVDQMIKWLCKLIDLKPYIQISDSWRSVMDHLRKNFVINLNHFGLDMEDAVAMFFNSILLFWEPQFHPLIREDQKETLMRIARQLVLAENNKCYRPLDLDPQDIMDHLQPYVCSYIYRKKEEAG >KQK93641 pep chromosome:Setaria_italica_v2.0:VIII:2531258:2532591:-1 gene:SETIT_027606mg transcript:KQK93641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NIEIYSHSVTFNVHAYFLKSYVGILICADLVLQSWSNMFDSSQEDIGLEHVIRVCAELGIECIDYDPAKRPTIRGIIDRLDEMERTHGYIETTNTSSAIHLLSHSLCITSSEVENKHSLGLSKFSK >KQK94206 pep chromosome:Setaria_italica_v2.0:VIII:10833772:10835575:-1 gene:SETIT_026619mg transcript:KQK94206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQILASSLPKDLPATFMKEITNDFSPDQALGGSVFGTVYKGVLPDGGGMIAVKRLAENALVHLGISFKTEVTNLMAIKHENIVELVHYCHEAQKKVVQHHGRYVIVDVTESCLCYKYLPNGSLNKHLYADATSINWGTRFKIIKGICQGLHFLHKDLVGGPLIHMNLVPNSIWLDDNWVPKIADFALARLFGQEQTRMYTVNVKGYNGYIAPEYLYRGEISTMSDIYSLGMLILEITTGEKNCAVSEDRSARLFVDNVHQNWKTNEQLIYKYPSLDPNGLQQVKACIVIGLKCVEADRNRRPSITDIVDKLNGKRVPIFEQASSSENA >KQK94205 pep chromosome:Setaria_italica_v2.0:VIII:10833772:10835575:-1 gene:SETIT_026619mg transcript:KQK94205 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQILASSLPKDLPATFMKEITNDFSPDQALGGSVFGTVYKGVLPDGGGMIAVKRLAENALVHLGISFKTEVTNLMAIKHENIVELVHYCHEAQKKVVQHHGRYVIVDVTESCLCYKYLPNGSLNKHLYADATSINWGTRFKIIKGICQGLHFLHKDLVGGPLIHMNLVPNSIWLDDNWVPKIADFALARLFGQEQTRMYTVNVKGYNGYIAPEYLYRGEISTMSDIYSLGMLILEITTGEKNCAVSEDRSARLFVDNVHQNWKTNEQLIYKYPSLDPNGLQQVKACIVIGLKCVEADRNRRPSITDIVDKLNGKRVPIFEQASSSENA >KQK94207 pep chromosome:Setaria_italica_v2.0:VIII:10833461:10836275:-1 gene:SETIT_026619mg transcript:KQK94207 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESEQILASSLPKDLPATFMKEITNDFSPDQALGGSVFGTVYKGVLPDGGGMIAVKRLAENALVHLGISFKTEVTNLMAIKHENIVELVHYCHEAQKKVVQHHGRYVIVDVTESCLCYKYLPNGSLNKHLYDATSINWGTRFKIIKGICQGLHFLHKDLVGGPLIHMNLVPNSIWLDDNWVPKIADFALARLFGQEQTRMYTVNVKGYNGYIAPEYLYRGEISTMSDIYSLGMLILEITTGEKNCAVSEDRSARLFVDNVHQNWKTNEQLIYKYPSLDPNGLQQVKACIVIGLKCVEADRNRRPSITDIVDKLNGKRVPIFEQASSSENA >KQK93978 pep chromosome:Setaria_italica_v2.0:VIII:7075719:7075977:-1 gene:SETIT_027236mg transcript:KQK93978 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDETGCCGCKMRRGMSGRGEVGFVASKKNCGFLLMYPLLPSSPALRDGIRNPRWN >KQK95675 pep chromosome:Setaria_italica_v2.0:VIII:37046761:37048137:1 gene:SETIT_028371mg transcript:KQK95675 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGMVGSAVVQETVSRITSYLFSKCDHDERTASTGHHIERLEMAHTELELALERSARMPITDVSLLRRRKLLERAFKDCGDLLHRCIKQQTMDAIELEQPVRHSFSKWIAHVTQSSVSSYFTGFCKDNISCSDVRRNEWFAECANRFLRDVESGCSPLRCVFSNPLVRQLLEGKTLEYKMVQGSILRCLHIQSMCVEGRGVEATLEFRYEDRKTPMRSFSLKLMLRLSESTDIIGTAIRCLQSFMSSMKDVTEAAVRELTQLPLQDISHSHAASCFTIKDLCSYDTHLWRPDPLCCKPDGCPTSYIPSELSCKFPEQIILIHVVCYVSAFECSNLHNTTDGNSRNLMADLPPLKLGVGFAPHFFDGRTQGRTAVEIIGGKEEFINDMGSLQQMVETVQSKAVKHYICQPDLAYYKMAWYSGHGGACFMVQKSGTEIARAHKVESDFETRGSSKRRRSK >KQK93749 pep chromosome:Setaria_italica_v2.0:VIII:3929889:3935974:1 gene:SETIT_025973mg transcript:KQK93749 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSVDLIAACLDSIRQIGDEIVDAIVYVDAGTLEAFQFIGAFSLLLELGARAVCSLESASPLDAVSDWHSKFSHPVRKIVVLTSRLLSDAHRYILRCLGNHGTVSHCTVLTAISEVGHSAYIDSPLGPDAFREYETLLVQDHEELFKKCEKSNKYKDNISNTGNDFTTDADKYSEWGSGVHYGSNAESSPTKRNLFDSDLGQLVASGKRLSVTVSHFPMIFSPISPRTFVLPSEGIIADSSLSNQHEDSLGPGLPSISTGKPFDSDEVPPGVTLTAQFLYHLANKMDLKLDIFSLGDTSKVIGKLMMDMSSLYDVGRNKRSAGLLIVDRTVDLLTPCFHGDSFLDRMLSSLPRKEKISSSYSVAKNPQNPSKHSHTAVKRVPLDIKVPFETVFKKEEPKSRTSMLSEGIMSFMSGWNSADVDSEVTWLPDYSDKAQDDRLDSDLGTLNGSLLSNYAGVHYLEALLDRGAKDGLMLIKKWLIEALQHEKLSSASKGRQGATSVSEIRSMVQILSQDQLSLLRNRGVIQLALAAEMTLLEPQSSHWDAFTSAERILSVTSAETTQSLASELRDFINTSTSVDSHKQATTMESSQGLLSFQDVLLLTIIGYILAGENFPTSIAGGPFSWEDERSLKDVVVDSILERPSSVKLRFLDGLDNELEAKARSKDVERNNKDSTEPSSTDDFDDEWGNWDDNDNADDQKEEAYGDMQLKLEVRDRVDQLFKFFHKLSSMRLRNHALGEGLAALSRFETDGYSRKGLLYKLLLALLSRYDVPGLEYHSSAVGRLFKSGLGRFGLGQSKPSFGDQSVLIIFVVGGINTLEVREVMKAISESSRPDVELILGGTTLLTPDDMFELMLGS >KQK95019 pep chromosome:Setaria_italica_v2.0:VIII:29940545:29944122:1 gene:SETIT_025999mg transcript:KQK95019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSHFWRDVQWWEDWQLRFLVLASLAFQYFLFAAALLRKRRIPHWFRVLVWLAYQGGDIVAVYALATLFNRHKKDEVAAGTAHLDILWAPVLLLHLGGQDGITAYSIEDNENWRRHLLVAASQSWWFHDERLLRTSILLFVPGVIKCLEKPWALRNATVTSIANSSDPLMTMTMEEDDGSLPTDMKSLDEYVKAARKCVDDEAKRDPPQFFDDKMNDEPYHLFVDLAHPYSVRLKNLQVMAVPSGRAEAHNRVRAYVSRAFDRLYTKHKASYGGVLRAVVVLLTFADIGLFEVTRRRRGESSPYARADVVVTYVLLCCTAALELVSASVVLGSGLPETDDKAAQYNLIAYLARNRRRRWIRHLAFLLGIKDQLDWLWFTAPPQPTRRVTELVHDHVAGGWKPNGYIKSLDDYRRFNDSRGQRTLERERCGGTALAASLRMPFDESVLVWHLATELCYFDHVDTGGDATRHGRVISNYMAYLLFARPWMLIPGARRGLFRAVYIELREMLKEEPSELDDEEEEAAVAEKFSPTAMDEIARKIIQKLRNSPTSSDARPRARRLPADLVRKAWALAYELMEFATEKTKEFIKEEEQKPPPTEEEKRKAAAEEEKKQLTAEKKEAEQKPPPTEEEKRKAAAEEEKKQLTAEEKKEAEQKRKEVQANRAKKHGDARMWEVIQGVWVEMLCFSAGRCRGYLHGRSLGKGGEYLSYVWLLLSYMGMETMAERMQRTELGPVEGDAGALVKTSDPDDDDEEELAQLARPVAPRGATAAAAVAPAAMAVSVAAVVPVLGDDNV >KQK93363 pep chromosome:Setaria_italica_v2.0:VIII:780763:783264:-1 gene:SETIT_026827mg transcript:KQK93363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLRHLAAGPACHHHHAASLQLRRLPSCPRPLRSRLFTRIYALSSNDIRVGTNVEVDGAPWKVLEFLHVKPGKGAAFVRTKMRNYVTGNTVEKTFRAGSTLQEPSLSKETKQFTYKDGSQFVFMDLTTFEESRLNEADVGDKQKWLKEGMDCNLLYWNGKIIDFELPITVRLTVTDTDPGASDSAQGGTKPATLETGAVVTVPSFVNVGDDILVDSRTGQYMNRA >KQK93472 pep chromosome:Setaria_italica_v2.0:VIII:1324677:1326074:-1 gene:SETIT_028343mg transcript:KQK93472 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFKNNKREVRASVIDPSDIINHRLLSYHDLVNATYNFSNNHLLGTGSFEKVFKGQLRSTGLVVAIKVLDMRLEEAVRSFDAECGVLRMARHRNLIRVLHTCSNLDFKALVLQYMPNGSLDELLNSGFRRNLGFLKRVEIMLDPSNALFDSDMMAHVADFGIAKLLLGDDSSMITTSMPGTLGYMAPASRKSDVFSFGIMLLEVFTSKRPTDPMFVGDLSIRQWLLQLQDAPSVACYRIFELGLICSSGSPHQRMSTRDVVVALKKIIKDYAKSASTTTSEYSPSHECRRLSHRL >KQK94339 pep chromosome:Setaria_italica_v2.0:VIII:13382229:13383976:1 gene:SETIT_027772mg transcript:KQK94339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEVVVLIVGAGPAGLATAACLGRCSVPYLVVEREDCSASLWRHRTFDRVKLHLAKEFCALPYMPYPDGTPTYVPKQEFIKYLDSYAEHFCIKPRYCTSVESAAYDEGARRWVIAARDTVAGTEIQYVVRYLVVATGENAVGRIPEIPGIESFPGEATHSSTYKSGGRYAGQRVLVVGSGNSGMEIAYDLASHGADTSIVVRSPVHIMTKDLIRLGMTLVQHIPIKIVDLLLVTIANFTFGDLSKHGIVRPKTGPLLMKSKTGRSPVINVGTVGLIKKGIIKVFGEISNIIGNKVEFGCGKESYFDAIVFATGYKTTVNLWLKDDKCMLNSDGFPKKGYPNHWKGQNGLYCAGFARRGLAGISMDANNIANDIVSAVDFLPD >KQK94742 pep chromosome:Setaria_italica_v2.0:VIII:26123663:26124265:-1 gene:SETIT_028230mg transcript:KQK94742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPDGEPNGSKAVTLLLRLCTMGLALASAIVMATANECTIDGDGDDGAAAATTVTYKDYPPFAYLVGSNIAATILEMAAIYLQTGKGDNGDEEAPVLPRVVLVVVDVAVQVLLYSSSGAAFAAVAAYGVQTCGQVNKAKFIGLGASLAAGLAAFVKDVPLPFSMWPSPSE >KQK95759 pep chromosome:Setaria_italica_v2.0:VIII:37865639:37867174:1 gene:SETIT_026492mg transcript:KQK95759 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMRSSSSSMALALLPLLVAAALAPSLASASPALDNGEELLMLGRFHGWMAAHGRSYATEEEKLRRFEVYRSNMEFIEAANRDSRMSYRLGETPFTDLTHDEFMAMYSSNDDDGPLSSSLSEETTVITTRAGPVHEGTAAVEEPPPRRTNLTEAVPPSVDWRAKGVVTAAKFQGVSCSSCWAFTSVATMESAQAISTGGSPPLLSEQQLVDCVTLNHGCNRGWMDNAFKWVIQNGGITTEAAYPYTGKDGKCQTGKPVAVRLSGYKKVSPPGNEAALMEAVAQQPVAASFDYSDPCFQHYIGGVYNAGCSKSGVYTKGACKTAQNHALALVGYGTMPDGTKYWIGKNSWGEKWGEKGFIYVLRDSPPLGLCGLAALPVYPII >KQK95768 pep chromosome:Setaria_italica_v2.0:VIII:37907634:37908195:-1 gene:SETIT_027034mg transcript:KQK95768 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCSVPSRAPPSPCAAATPPRRCCPATAAQAARAARNPLQQGEAGPPGCAAALTPIGAGMGRGNASRSAAGGRPAACSHADGEREEAGDILSVIVTELPGQFRGNFVLLRATVS >KQK94692 pep chromosome:Setaria_italica_v2.0:VIII:25117504:25122920:-1 gene:SETIT_027935mg transcript:KQK94692 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFSTGALGVLVPKLRALLREEYNLQKSVNGRINYLMAELESMHGDLEKVSSVPMFKLDKKVIVWARYVQEISYDIDDNVDNILVQVEGMDSAKKHNNITRFIDWSLNLLSRARIRAKLLSNVQIRHETAVDLMEILGYVKEVKERCDMYNVDSIFIGPAAASIDPRNDGLYRKATALVGINETRDKLIEVLSITGDVSNRSYKGVSIFGSGGLGKTTLARKVYEKLRVEFDCCAFVSVGNNPDINRIFKDVLFELDQKKYENIYNTARDEKQLIDLLRRFLEDKRYFIVIDDIWDIKFWEAFKFAFLENNHGSRIISTTRRLDVAREAGDVYMLEPLSYDNSKMLFYTKIFGELGSSPDDVLDKILKRCGGVPLAIITMASLLAGKPREKWFEVYTSIGFSDKDNQCMDNTMRILSCCYYDMPFYLRTCLLYISVYPAGYTINKDYLIWKWVAEGFVPEEHGIGLFERGERYFIELMNRSMIQPVQEERQVGYVDSCCVHDMVHSLIRSLSCKENLFITLDHEQDTIPQNNIRRISYQRRKIEDGPHAQIGMPSMRSFIACCSEFPKMVPISNFHALRVLALEKCHFLKSYHLRHLGKLLQLRYLGLAGTPICDLPMEIIALKLLQTLDLAETGIKQLPSSIGLLTQLMRLRGMFATKAPVDGTIMKLTSLEELWIDPAAANEDNKTTEKFVTELGNLKKLRVLATNIPAVLDESLEEALLQSIRNMPNLHYLFVQFLSSGMSLKLQAPTFVPPKYLRYLWLGGIDFFTMPEWINSSLLPHLCFLKVCIQDVDSQDMLTLGMLPELCCLHLFTTSLFAINYGGGYFQKLRSLCLARATFSRDKCGVPILPSLEDLHFAIDIAEMKQVCTYYGQSISSFLPTLIALRSIPMLVKVHVKLFCEGATAWDVEEIEAALKHIVRSHGNYPILETQRENEEQMTTSDLGQQLCIFVNFGPL >KQK95720 pep chromosome:Setaria_italica_v2.0:VIII:37539287:37541625:1 gene:SETIT_026582mg transcript:KQK95720 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVNLAEAQKLLLHFLVRRAGLRQHTVDVDGAGTVITFWVPKDKVPKDKVPKEKPTVRDVTPGPASADEATNKLPAAAAVAKNDRPAVVLVHGFAADGIVTWQFQVGALAKHYDVYVPDLLYFGGSTSPSSDRSPGFQAECLAAALRKLGVGSCAVVGFSYGGMVSFKMAEAHPDLVRSLVVSGSVVAMTDSISETMLERIGVKSSAELLLPESVKGLKALFSIATHRKLWFPDRLYRDYLKVMFPNRKERAELLEGLVVSNKDATVPVLPQKILLLWGENDNIFHIELGKTMKEQLGEKMMLQSIRKAGHLVHLERPCVYNRCLKEFLASITAAAVPRCSPQHELVN >KQK95849 pep chromosome:Setaria_italica_v2.0:VIII:38924366:38926172:-1 gene:SETIT_026236mg transcript:KQK95849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPVLEHLFEHASPQSLALVFLLFVVAVRLATPKSRSEKLLSKLPSPPFKLPIIGHLHLIGSLPHHSLRDLAKRHGPDVLLLRLGAVPTLVVSSPGAAKAVLRTHDHVFASRPRSAVADVLFYGCTDVGFAPYGEYWRQAKKVITTHLLTAAKVRSNRAAREHEVQLVLAKVTAAATMGMAVDVSELFSFFANDIVCQAVTGRLPREQGRNQLFRELLETNAKLLGGFNLDDYFPSLARFDMVSAKAVKHRKIWDDLLDSLIDKHKSKTVVDGEDEEDFIDVLLAVQQEYGLTTDNVKAILMDMFEAGTDTTYIALDYAMAELMRNPKAMTKLQAEVRGCAASKGKKKELVTEHDLSSGMSYLKAVMRESMRLHVPGALLIPHLAMAECDVEGYTIPSGTRVIVNAWALGRDATTWESAEEFMPERFMEEAVDAASDFLGNDFRFLPFGSGRRMCPGVNFTTASFEIILANLIYHFNWELPPGSAGIDMAESYGLDVHRKEKLLLIPRMAQDV >KQK93993 pep chromosome:Setaria_italica_v2.0:VIII:7243692:7246432:-1 gene:SETIT_026865mg transcript:KQK93993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLSESPPPAVSKAEEEQTMPALQNPVNIPDPQMKGTDPLEAVPLRMVLVPYGGKEPIPFDKNMLGHLRDQSVPIKQQSVRDGKQEVEIPQSLKSLKNYEEGDWKAFVNPRAYGCHKDWTYEHRLYRREFRSKPDVKEFLETNGPATGMFRGTKLQKKKIVGPGADGPAGKAKSTRGRKAANYATENVSLGPSRSIRPDMPHGFL >KQK94210 pep chromosome:Setaria_italica_v2.0:VIII:10849107:10849510:-1 gene:SETIT_028621mg transcript:KQK94210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRVCLAVCGAFGILLAPMSPNKACLGQIRMAC >KQK95769 pep chromosome:Setaria_italica_v2.0:VIII:37908369:37909084:1 gene:SETIT_026937mg transcript:KQK95769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGRDRTTAGDAEVSKAPVESHRAAATGQLPRAPGEAEAARMLPICAAQARSDGWWAPRGWTHLGVSPSCTCSSSCGAIAGGEEADDRDGVLGVAGRQRHARRRPLRMRVETQSKSEDSPSEEESQSSPVPDCHQVESESEHLAWHLSSHIVLKVVYMPCHVQL >KQK95983 pep chromosome:Setaria_italica_v2.0:VIII:40311740:40313805:-1 gene:SETIT_026075mg transcript:KQK95983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIVRKCDGLPLAVKVMGGLLSTRSRSEREWEAVLNHHAWSVVGLPKELDSRIYLSYEDLSSQQKQCFLYCSLFPKGTSILWRRVIPMWISEGFIQPHTDRSSSHDDQLEEIAAEHYQELITRNLIERQGTYRCTMHDVVRSFAEFIAREESVVVQDMQVAGGSNDSLVRRLSIGPTSLSVRTLFISSKIKSLVPELSLNSFSILRVLFIHGGDCDRLVGSICQLRHLRYLGLEDTNISRLPDDIDNMKFLQHIVVRGSVHLENLPRTIIQLVHLRTLDMYGLNPNVVIPKEFGGLRNLRLLGGFRVHMDMDGRWCSLEEIRPLSKLRRLTLHGLENVSASSLAEMARISSKEHLEYLELQWSNSACMELRDEIEKQQQQVVEEVLEKLCPPPRIHHLIIQGYFGRTLPNWMMVVEACAFKSLSLLRLQDLPCCTKLPDGLCRLPSLKVLDIIDAPAIKSVGSEFQASYSSSTVGGGVTASTSAAFPNLTHLYLKGLYKWEEWDWEEQTAGTMAMHALERLQIRKCKLSCFPPGLANNKRHALRELTLRELNNLTSVENFTSVVKLVVFDCPKLKRISGISRLHKIRIVRCPKLEVLQGVPVLDSIELEDGTIERLPGYLPCVNPRFLKLICSKELHDSIISGSSSECEKISHITKHDINYVEEDSDED >KQK94086 pep chromosome:Setaria_italica_v2.0:VIII:8573423:8575255:1 gene:SETIT_027655mg transcript:KQK94086 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGGGTPRSPEDVFRDFRARRAGMIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPAEEVPPELPEPALGINFARDGMDEKDWLSLVAVHSDAWLLAVAFYFGARFGFDKES >KQK94901 pep chromosome:Setaria_italica_v2.0:VIII:28525492:28525836:-1 gene:SETIT_027771mg transcript:KQK94901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GLSLIMCTKCGLRRVVRCTSQQKWSLGQIFYCCPLHKRDGSGCPFWYWKVEYINVVASRGLLLSSESAYNRAGLM >KQK93577 pep chromosome:Setaria_italica_v2.0:VIII:2051084:2053739:1 gene:SETIT_026706mg transcript:KQK93577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVRPQSPAGPAPSPPQEGRHGDAPNPPAASGEPPAAAGPGGGGGEIAALDKQLAVGGGGGGEERKMGGGASAGAGGGRKLVAEAMRKYAAPRSSRFHGVTRLKWSGKYEAHLWDNTSQVEGRKRKGKHVYLGSYVTEEQAAKAHDLAALKYWGTGPNTKLNFSISDYEKEIEIMKTMSQDEFVAYIRRQSSCFSRGTSSYRGVTRRKDGKWQARIGRIGESRDTKDIYLGTFDTEEEAAEAYDIAAIELRGVHAVTNFDISNYCEDGLRKLEGPSEVAKLEGPSEVMKLAGQ >KQK94652 pep chromosome:Setaria_italica_v2.0:VIII:24075256:24075954:-1 gene:SETIT_027914mg transcript:KQK94652 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVLIDIAGHVAATSFQPMDDLRKLQAVCRVMHCACGDPSVERCVALLRTYYALLALLVGVGNPKACTLKGIVDFFTAPQPSLHELSRAMVGGHNVGAYLYALMMYRNNGGTTDDDIAKMYIRRVECEDGLAASGSTSPKKLRNDGYRVCSEEAAYLVNRVTWRGHGDPLPPAPVHGDFPYAGGNCGKVKGWEQATLFCNEDCKICHEIVAFERIMGIDN >KQK94673 pep chromosome:Setaria_italica_v2.0:VIII:24679921:24680365:1 gene:SETIT_028134mg transcript:KQK94673 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHKPELLGGGSLEQFAMVDVWLEVEAHQHHPAAGAIVMQCLITPLIGGERDQAVIDENADKLRAMFEIYEARLSRSKYLAGDFVSAADLSHFPLMRYYATMVEALPHVRAWCEDLAARPAARKVAELMPLDFWLSKKDEQ >KQK93902 pep chromosome:Setaria_italica_v2.0:VIII:5806393:5807522:-1 gene:SETIT_027399mg transcript:KQK93902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYIANKKPLVTMALILLAVLTIANCICCTVAARDLPGSGSVAEAAMMVRFERWVTEHGRTYKDAAEKARRFQVFMANAIFVDSSNAAGGKKYHLAINGFADMTHDEFMARYTGYKATPATGMKMPGFQYGNVTQSEPQQAEVDWRQKGAVTGVKNQEDCGCCWAFSAVAAIEGIHHIKTGELVSLSEQQLLDCSTNGNYGCDGGNMDNAFEYIISNGGITTEDAYQYTAIQDMCQSVQPAVTIRSYQDVPRYDEDALAAAVANQPVSVGVDANNFQFYDGGVMTTDSCGTDLNHAVTIVGYGTAEDGSQYWLIKNSWGETWGEGGYLRLERGVNACGVAIQASYPVA >KQK94765 pep chromosome:Setaria_italica_v2.0:VIII:26531332:26532392:1 gene:SETIT_027978mg transcript:KQK94765 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQLGFAILFSIEKTRSFNICAQVYALCIMAGEGMRLMDSVLASSCIDWRMMAVVTAAKNQGACGSCWAFTSVATMESAQAIHTRTVPPLLSEQLLVDCDGYDLGCRGGFLGNAFRWVIQIGGITWAPLYPYIGMSGMCQRFKPAAVRLRSYRWVVPNEVSLMQAVAQQPVEHYYGGVYDGRCFWNGVYIGGACGTAPNHAIAIVGYGTKPGGTKYWIGKNSWSGSWGDKGFVYLLRDSARVGVCGVAQQARYPII >KQK93911 pep chromosome:Setaria_italica_v2.0:VIII:5924058:5925368:1 gene:SETIT_028169mg transcript:KQK93911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRTQSHLSLLDVPDDLPDCDGGCFRPGGGLCCPDDPLDLVLQLFPAAAPAPHEVSLTALGIGGSPRRQDQPPPFGWAQENGFGDVTVLGAGSAPGGGGVWERDSCGLSGRVPEHMEPLDVDKYLVDHAPDDGGGEVTVCNPSRDTRGMPASGVRACGALGGVVSNYAPPLLAPMSAGALHPYASGDGLHACGAQRGAVSNDAPPMPAGALHACRALVHVVSDDAPPLRAHAPPSARSLPASRTSSGSLTPTTSETSSPAPVWRPLAWPVPKKQRRPPVKCRKRPWSLDFPLHAVPVAPPDNPGDSNGNGDARNSFDNAGGGGIRRRRPVPRQRNRQAQRVCSHCHSPDTPQWRAGPDGPGTLCNACGIRYAANKLLPEYRPSTAPSFRSDQHSNRHRKVVKLREQKAKETLKAMPDP >KQK95279 pep chromosome:Setaria_italica_v2.0:VIII:32888162:32893044:1 gene:SETIT_026029mg transcript:KQK95279 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSPSKATGEDALVLCKDRMRHIRRAIDSRDALSAAHLSYTQSLRAVGTALRRYAESEISPESSLSISEVDKSPSHSSMASPSPSHAVGNVASPVHRASPLTTPPSTRIHCMKASGTTPLTFMIDPSAAEFVGQESPVSAFVPPPPPLPPELCTSWDFFDPIDAAGSSSSNNENGLTLNFSRLKGLRESREAEVVPLKEEEEEAVVSERRHTELPDGNAVPKQEREPKQSGISKQRQPVDASSQATSSQQIAAKVEESEMEKELCAETEDPSEFITHRAKDFVASMKDIETRFIRAAEAGNEVSRMLETKKIRLDICPKIPGSPGKLPTAPFLSALRVCCNRDIILNQETAQHVSKVVTWKRSVSSLSSSSKSALMTSIIKDDVDDSNSDFVEEFAMVSGSHSSTLDRLHAWERKLYDEIKASENVRKTYDEKCNLLRRQFARDLNAQLIDKTRAVVKDLHSRVSVAIQAVDAISKRIEKIRDEELEPQLVELIQGLIRMWKSMLECHHKQFITITLAYHVKSSTSAQQGEHHRQAAMNLWNEMDSFSSSFRNWVTAHQSYVEALNAWLQKCVLQPPQDRRRRKRKVSFPPRQAVSPPIFVLCRDWLTLTESLPAEELCKSIKDVMQLLRDSFDHQDDQNKPKSESQECGMLENNEQEEAKSGSVPAAEGLQSRLTMVLDRLTKFSEASLKCYEELKQNYEIAHDDYKRVGPNAQLA >KQK93506 pep chromosome:Setaria_italica_v2.0:VIII:1579279:1585861:1 gene:SETIT_026424mg transcript:KQK93506 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATMGVVRACAPPAAAATAAAKRNPSRSARVLVLGGTGRVGGSTATALSKLRPDLNILVGGRNREKGESFAAKLGEQSEFVQVDTGNTNMLEDVLQGVDLVVHTAGPFQRAEECTVLQAAISTKTAYIDVCDDTDFSWRAKGFHEQAKAAGVPAITTAGIYPGVSNVMAAELVHAAKSENGEPERLRFFYYTAGTGGAGPTILTTSFLLLGEDVIAYNKGEEIKLKPYSGALNIDFGKGVRKKNVYLLNLPEVKSAFKILGVPTVSARFGTAPFFWNWGMQAFTNFLPVEFLRDKSKVQKLVESVDPLVRAIDGIAGERVSMRVDLECSNGRNTIGLFTHKKLSVSVGFGTAAFALAVLEGNTQPGVWFPEEPEGIPIEARKLLLERASQGTSNFVMNKPSWMVETDPKEVILGIYV >KQK93596 pep chromosome:Setaria_italica_v2.0:VIII:2226828:2227133:-1 gene:SETIT_028532mg transcript:KQK93596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLKILTSGTYNKTQTVARHLYMNSKMVRIYE >KQK93728 pep chromosome:Setaria_italica_v2.0:VIII:3622217:3623291:-1 gene:SETIT_027862mg transcript:KQK93728 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPRKRCRGAFTASPPPPEATTTPASAPALPSDLVLEVVARSDVATLLRCAASCKPLRRDILNPAFIRRPGRVPGFPANALRPGASSDARRGVPLGEAPRAVRVPRRRRRLRRPPGPLRARDVAPRLVVLRRRHADVPRELGICVYDPMNGGRAFLPDPPEMARYSPDDGFSTYVLLTASDGIGCSFLLLAADFTMLLYPARSVKVLTVSSPDAGGGEWSPVTSAATHRRSHCSLLHADCSAVVLGGAVHWVMYGTGYHLHVLTYDVRAATAGSVELPMDRFPKSYREGNDANLRRRRRRTGSSPCSSGTGSRSPSGGCCPPAQPPFGRCTP >KQK94507 pep chromosome:Setaria_italica_v2.0:VIII:20029811:20030285:1 gene:SETIT_028489mg transcript:KQK94507 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVTLVHSSTSLPIMKILENLYYSYAYIRTLVHSSITPNNKNIRKTYIIRTHL >KQK93500 pep chromosome:Setaria_italica_v2.0:VIII:1562279:1563242:-1 gene:SETIT_028024mg transcript:KQK93500 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQAPWPSAPPGYRFAPTPLELILCYLNPWVTSPAGQTPCGEPEGIVCAADVYSADPGTLTSGLRHFGHDDGNWYFLCVARGGTWHGSGKRIAVGRHGYRQTFEYRVPGGGKSAWLMEEIGSSMPDATGGEGVKVLCRVHRTPRAAADDDANEERQETDEVVQLRSSKKRRCELRQEHDFAAAGYWAAAAPTDVGCSYASTSQTALVNAAAPTTWQQQPMMEQGVASYHCTGVNGGVYVKDEQQPLEVLLPDEGWQQFVEIGYGFDYSTEDGLFKKNSTEDAQLNDELMHNTFSCPKPSDGAV >KQK94424 pep chromosome:Setaria_italica_v2.0:VIII:15755629:15757020:-1 gene:SETIT_028381mg transcript:KQK94424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHLPMPQPSPGLCAKPPHQQRGSASTTAAAVAAVAAPPSTFAPQTTRLSSAPSIAVNVRHAAPAAPVVVSPAERAAKKKGGETLASMWREIQGGGDWAGLVEPLHPLLRAEIVRYGELVASTYKAFDLDAGSKRYLNCKYGKTRMLEAVGMAGAGYAVTRYIYAAPDVALPGAAGRPCPSRWIGYVAVASDETARRLGRRDIAVSFRGTVTGSEWVANMMSSLEPARFDPADPRPDVKVESGFLSVYTSDDATCRFTCGSCRNQLLSEVTRLINKYKHEELSITLAGHSMGSSLALLLGYDLAELGLNRDGSGATVPITVYSFAGPRVGNTGFKNRCDELGVKVLRVVNVNDPITKLPGIFLNENSRVLGGRLELPWSCACYTHVGVELALDFFKARDPACVHDLEAYLGLLKCPKIAKVKKDGEDLQNFDTWRWQMAAIQVGELVQAMGM >KQK94855 pep chromosome:Setaria_italica_v2.0:VIII:28035316:28035892:-1 gene:SETIT_028523mg transcript:KQK94855 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLNKLVGHRQGPTSSRTPPTDIININLDSSYFLNSALF >KQK95427 pep chromosome:Setaria_italica_v2.0:VIII:34386622:34387698:1 gene:SETIT_027679mg transcript:KQK95427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSSAVFSGRAQSASSIVAAAVEGSHVLTIDGYSRTKGLGNGKFIKSDTFDVGGHRWFIAYYPDGYYSENSDWISFFLTSDSSDSTEVKARFGFSLLDHVGEPVPSYNNVSEGIRAFGSKNRSRGYPKFIKTKDLEESTYLKDDRFRVRCDVTVLKDEMEIRTEYSSPFVTVPPSDVNTHLGHLLSSGVEADVTFQVGEETFAAHRLLLGARSSVFMAELFGPMKEKHTSHIKIDDMEPRVFKAMLHYIYTDSLPEMEKDSIFDKLCNYVSTGTAATTLALAEQHGCKGLKEACFKFLRRSWIAMGSSMTASCPSLLSELLANIAP >KQK93341 pep chromosome:Setaria_italica_v2.0:VIII:639563:643077:1 gene:SETIT_026036mg transcript:KQK93341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLAPGVLLKLLQSMHTDERVAGEHRSPVLQVTAVVPALTASTSDSLLVPSNGFLLNLSDGLHSTYVQLPPADADALLLAARPHLVGHLVHLDRLRFARPVPRAVGLRTVPSSRSLPCVGTPEPLVARPAACARGYVIQPAASPSDAAPPLMPSSSGSDATDPVKRTVLGPKNAVAEPAPPPAGSAVKRRFSSPAPSKQQRDPSPSVKGASRASSPMVVKGASRASSPAVRGTPRATSPAPSKCVVPSLVAAKEENRQAAREPAIVVPSRYRQPSPAGGRRGAASPAVGGRRASLSPSSRRLSGEGTGKKKVGVLVAGISKMTDLGNGSAMKPGRKSWDDPTMALAAAAAGSVMKSKAKVDKDTILRTQEAMSRRLSDATTEQSSNDDSSVDERPKPRKKIDSTLVKTKTVVPKVTLHDPKWTDGSIPLDALSDKLSKIGREAIERRDAAATAAASALQEAMVTESVVRNLSKFSNICSLSKTSNPLPTVDLFLAVYEDTLKWKTIAESMVTIEADVAFLEKSSHDWVHAALATDLGVLKLLNGATESISQMKIINRPKVPSVEPPRTSLSKKQSLGASAKVQSKVSPSSLASCTWNNTESMYETVELSKTLWREMHMWFLNFVDEALDVGFHLFEDQNVASRGKHSNSITMVLSQFKKISDWLDQVGKIAEEERTKEKIDCLKRKIYGFVISHMGSAFESSVSVSSRG >KQK94057 pep chromosome:Setaria_italica_v2.0:VIII:8251102:8251716:-1 gene:SETIT_027035mg transcript:KQK94057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPAKLAFLLLLVCAVISLDPVATDGPCTEEQKANIVLHCRQYIKKKGPVLAPSYLDECCVAVRAVPDRDMKCIVRLLSNKQKKKYDDDKILRFHDLCDLDNEPPPAHQVMLSK >KQK94837 pep chromosome:Setaria_italica_v2.0:VIII:27853581:27854077:1 gene:SETIT_027093mg transcript:KQK94837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHAGFFPQATVVRFSGYVPTRVRTRSAEFQIRLTLYGLPNSFHYDLLRVYDRIIEIRILLVLIDRLPDDHAAYLLHYVELEEAPRGRGGSG >KQK95350 pep chromosome:Setaria_italica_v2.0:VIII:33676267:33678122:-1 gene:SETIT_027362mg transcript:KQK95350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHGRLLVLIIVVVGVVTQCHLTGASPPPPVVRCAGSGGCTVTNAYGAFPDRSTCRAAAAAFPASERELLAVVANATAAGTRMKVATRFSHSVPKLACPARDRGLIISTESLSRVVAVDAGRMEVIVEGGVTLGQLIDAAAEAGLAIPYTPYWLGLTVGGMLTTGAHGSSLWGKGAAVHEYVVGMRVVTPAPASEGYAKVRVLAAGDPELDAAKVSLGVLGVISQVTLALQPMFKRSVRFEEHDDSDLAERVVAFASEHEFADIVWYPGHRKAIYRVDDRVPVNTSGDGVNDFVGFRPTPTFVIETARLDEERIEAKNDTTGRCAMALATRALFSARNYGLMEHGLQTPLPGRPVVGFQNRIQSSGRCLAAANDTLLTVCPWDPRVSHGTFYFESGISVPLRSAAAFVRDVQQLRDLAGPGALCGVEVYYGVLMRYVRASTAHLGKVEDSVEFDLTYYRSRDPAAPRLYQGVVEEIQQMALRKYGGLPHWGKNQNAAFEGAVGKYGASRVAAFMAVKDAYDPDGLFSSEWSNQVLGIGGGGGVSVVRDGCALEGLCVCSQDSHCAPSKGYFCRPGRVYKVARVCRRDDGS >KQK94175 pep chromosome:Setaria_italica_v2.0:VIII:10515660:10518380:-1 gene:SETIT_028073mg transcript:KQK94175 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVLLVVSKIGETLTQEATKAVITKLSEKVANLKELPWKVGEIGKELNMMDNLIKQIGTPSLTNELVKGWIAEVRELAHHVEDVIDKYSYHALKLEEENRVKKIFTKAHYITVFSQIAEEITEIEKKIDNVVKRKERWLPQSQLSPNLLADIERKRSQDCLLDVIQDDLVGIEENRRLLTGWLNSDEQITRLITVSGMGGLGKTTLVTNVYEREKNNFTTHAWIVVSQNYDVVELLRKLLRKIGEPEQSQLVDLDAHDLKEKVKERLAGRKCLIVLDDVWNQEAYTQIRDAFQNLQASCVIITTRQEQVAVLAHPTCQLKLKPLDHGDALVLFCRKAFYNSINCSCPDELEKLANNIVDRCQGLPLAIVTMGGLLSALPPIKQVWNETYKQFQDELTNNDHVRAILNLSYHDLPGNLRNCFFYCSLFPEDHLMSRESLVWLWVAEGFALQNEQSTPEEVADRYLRELIQRNMLEAVENDELGRVRTCKMHDLVRDLALSVAKEEKFCFAYDFGTLVKMDKGVRRLSSCGWQDKTSLKVKIQLPRLRTAVALGIIGSSPQLLSSVLSESTYLTVLELQDSEISEVPASIGNMFNLRYIGLRRTRVKSLPDSFGKLSNLQTLDIKATKIEKLPRGVVKIKKLRHLLADRFADEKQSEFRYFIGVQAPKELSNLEELQTLETVEANKDLAEQLIKLTKLRSVWIDNISAGDCANLFSILSKMPLLSSLLLSASDENETLHLEDLKPASENFHRLIIRGCWADKTLECPVFRDSGRNLKYLAISWCHLQEDPLQLLAPYVPNLTYLSLNRVSTADTLVLSKGCFPQLKSLVLKRMPNVDKVEIKVGALQQIEGLYVVSLLKLDKVPQGIESLRSLKKLWLLSLHKDFRGHWDSEKMQQKMQYVQELRI >KQK94233 pep chromosome:Setaria_italica_v2.0:VIII:11529759:11530879:1 gene:SETIT_027317mg transcript:KQK94233 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTYQVNTSSNNHVACFPVQVIVKLQEGNISSLVDPQLHGEFDLEEVERVCKVACWCIQENESDRPTMAELVQVLEGLQELDFTTGHRQIAECQSMTGTHSIS >KQK95606 pep chromosome:Setaria_italica_v2.0:VIII:36327340:36330939:1 gene:SETIT_026162mg transcript:KQK95606 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSMESRSLLAAAPALAVAIIFLSTTAPLARAASVEHTFVVNQTKMTRLCKETLVTVVNGQLPGPTIEVTEGDSVTVHVVNRSPYNITIHWHGVKQFRNCWADGVPMVTQYPIQPNKDFTYRFNVVGQEGTLWWHAHVPGLRATLHGAFIIRPRLGAESYPFPKPHKEIPVIIGDWWEEDLAEMARNMTKGIFLSYASASTVNGLVGDLFNCSGVTKEGYVLDVEPGKTYLLRIINAGLFSEFYLKIAGHKFTVVAADANYVSPFTTDVIAIAAGETVDALLIANAAPGRYYMVALPNQAPLPDTQTPEYATRGMVRYKVSHSTCTSSTTVSSCQGTEEEEKGYRGTSGDAPIVPKMPDIHDTITSFYFHGNLTSLHHQGQLPVQQQVDERLFIVLGLGTICKKGQFCKRGSSDEDLLVATMNNASFQHPTAIPTPLLEAHYYHTGLINATTQELPKGPPKLFNFTDEALIPFGPKEMQLEPTYKATLVRRFRHGAVVEIVFQSTAILQGDSNPMHLHGHDMIVLAQGLGNYDPAKAVATYNLVNPLIKNTVLVPNLGWIAIRFVANNPGVYKI >KQK94777 pep chromosome:Setaria_italica_v2.0:VIII:26740153:26741311:1 gene:SETIT_028097mg transcript:KQK94777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARQAIKMTVSAGEPAVAAPPPVPVAAGGDVLGSGGGVAIGPPPSEHEREEEDTVVGADDGLTDVDFSDEEDDDHDDTDDEDVDSDSEFEDEEGEAAAPGVVLLATSVFLGRLTPSASVQNTTGFMRLAAAEAAPGENGGGGGEIFVHYRYTRFSRAQSGSDGVDMHFLGPKLARVRFHLPSHHPAASGPVSTLLSLRLAGATLAAPLYPARFSAQLRGLWTGLIAKARVPPEAARLVVAVDVGILRPGDRTPERMRSMRAALESVARERDGAHPAVFDVGTELRLPAPLVSEDDVLEKGLAAWPRCSHIFHGRCLEEHLFRGIRHVALQIVNKLQLFVLAM >KQK94702 pep chromosome:Setaria_italica_v2.0:VIII:25319833:25322258:-1 gene:SETIT_028341mg transcript:KQK94702 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKIEPNSCGLVVHDNKISGTTLYHASLSTGPCAAFLKPLDEAIAVYEILRNCDHPSLLKPLGVWKSTTDETKAYLVVEEVCGALISKGKQYMFSMEGSSIYGFSTNGFESFRAIFSVVDYVNNLYRKDVGSSTSEEAFPMLPLKINSSSVFYKKRSSSETHEEVQVLVGDFLPKYPARLVKIKRIQGPTVEHVRQFNWNKTGEYLSSFCGNKADVNLELNVLAELLKSENASYEDVMWQPGMWEAIVKMEFIREIYWIMDRQRDRKKECSFVRTEKGQVLYKIKSSLNVLSCVQQFTDWELKETNLLDSVVLLRDHVSEMGPDKVTLERFLQKSNPDYMIKLSKEVRSLNWITESSALRDENNYMAMFCEMERVEKSRQS >KQK95942 pep chromosome:Setaria_italica_v2.0:VIII:39831941:39836390:1 gene:SETIT_026292mg transcript:KQK95942 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPWDSSLQKNHVLKKDSCNRLLDNESDDSKESCIELKTACHWHFVSSNCSAQVAKIKSKCALEQDSIFVSQHNYSQKDDYMSKITMGCLLTSGNPEYPTQLITAELGHVKVPIFIRHCSGEVLLTVRLDEPSVHMMHQYEKQTKMKLVHQYFKYGNYRIDPKCSLLSYGVGRDSVVHAVTRLLGGRYPTLEEYFRDNIDALLMEVTHPDGSFSLEMTEEGCRILSTWLSCFMWAFSEGKSWGGNFTLKDFYVLDSHVRVSNHTGPKGGHGLGSVGKDIELLLVHVKKIFCRNKRKLISEYPPFLKNLTDFLKKLTVIQTTIAASDKLLIETHVSCTTSSSWGLLLFKLHRRYLGLAEDEKSQWDAAINTAVLPHGWHSSLSKIIVFKDMFDKAIKGGRPYRNSNIGAFTLMRDMVMHALDCGDDAKNMEKYQNADKVALMIPAHMLDFLPNIIENLIHRSIDISAELSAAKVRCLCETCAPSY >KQK95133 pep chromosome:Setaria_italica_v2.0:VIII:31279950:31280751:1 gene:SETIT_026965mg transcript:KQK95133 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMIAGARALAVAALLCAAVAAAAAQQASNVRATYHLYNPAENGWDLNRVGAYCATWDAGKPLSWRQQYGWTAFCGPAGPRGQAACGQCIRVTNRGTGASITARIVDQCSNGGLDLDFETVFKKIDTNGLGYQMGHLNVDYQFVGC >KQK95783 pep chromosome:Setaria_italica_v2.0:VIII:38019328:38021637:-1 gene:SETIT_027373mg transcript:KQK95783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVPIRLLLLALATLASLPRSGAQTNVTLGTSLPAAAGAAWPSPSGRFAFGFYATGGGLAVGVWLATSPNVTVTWTAGGGNDTPANGGELQLSEDGRLLWTGADGQYRSLAAPPQPALSAAMRDDGSFVLYDADGAVVWSTFEFPTDTLVPGQDLVPGAHLFSSVSPTNRAAGKFRLANQLNDENLVLYPVQTENAAHAAYWDTATFQIGFPLTLRLDATGVLYLTGNGGNYTKNLTRPGAALSPAETQVFYRVTLDPDGVLRLYRHAVASGDAWTTDVQLIGPSDRCHVKGTCGPNSYCVLDRDAQPSCLCPPGFEFIDAANGALGCTRTSGAGDCEAGLSMATIQNMSWADTSYAVLGAATSAADCQAACMADCLCAAMLLNSKDGTCTTQQLPLMYGRTGGGYTLFVKITSVSPAIGGGGRGHRVGRTTIVVVVCTGLLACVSLAALLAAALLFWANRRTFAEQDAAEALDEEAPLRSYSYQELEHATCGFREPLGRGAFGTVFRGTLLHNSSEKTIAVKRLDKVVEEGEVEFQREVRAIGRTSHRNLVRLLGFCHEGANRLLVYEYMSNGSLAERLFRSGGGDGPPAWGERMGIALDVARGLHYLHDELDSRVIHCDVKPQNILMDASGTAKIADFGLAKLLLPDQTRTFTGVRGTRGYMAPEWYRGVGPLTVKADVYSYGVVLLEIVTCRRSMELEEAGEERTLMECAHEWLVRGEVTRAVGCQDEAPEVERAVKVAVWCAQAEPQARPAMRSVILMLEGLVE >KQK93655 pep chromosome:Setaria_italica_v2.0:VIII:2798827:2800140:-1 gene:SETIT_028307mg transcript:KQK93655 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YNSAATIERIYNLQFIVPGNRSVIGPTAVAIGPYHHGSAQLSGMQEAKSAAVAGFCRAACQPREAVRGKMLSLVGAARGSYAADGTLFDMDDGEFADMMLLDGCFLLQFMVSMCGRRPDGDDLDDPLMSRGEVRRCVRAIARDVMLLENQIPWLVLDSLMQLTRPPAVPDVDRFLALMASAFDIVGNDIDNASSQTRLRAAAGEPNQPPPPHLLGLFYRRQMEEMGAVRTENQGLLRVPIQLASLSSTAVELAEMGIKLAASKTKTFGDMAMSKRRRRRWPLSLFGELSLAPLVLNRLTECWLLNMAAYESYQLQGIATMDGAADSFPVSSYVTLVSLLVNRPEDVQEMRAKGLIVSAFDDMETLGFFKALAPQLNVGYRYYEVFQRLQEYRQERWLWIAVHSFLYNNIKTIVTVFSIVGVLAGLFKTILSVKQPHG >KQK94698 pep chromosome:Setaria_italica_v2.0:VIII:25264648:25266003:1 gene:SETIT_027016mg transcript:KQK94698 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKELKHEGFFNVSNSNMIWEDNFLELVGRFENLVFRGHGFPKSLVVQGIMDYWEISQRSGLAWQDSFEEVVGVAAGRWKSDLELLWMDMRYYEGLYYDLLRHPLEKIFPRKAEAQKDLRAVG >KQK93359 pep chromosome:Setaria_italica_v2.0:VIII:750605:753279:1 gene:SETIT_025982mg transcript:KQK93359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLLAAAARQPKTPHPLLVALHRLLSTATTTSSYDPTAQFLHPDHRRVLSLPASLRHDALLALARLLKTAPLCHLALHAVSPPRSSGSPSSTPLAARFAAASRLAASASALRPFAAVLLAALLPAASPDLLSWSSSYGSTGGSARVRYAALRLALHAFLAAGMAAEALQVLARVRRSGNTPSLSALAALLRLLFRSGEVRAAWNVFEEMATRGPRPSLAIFSAMILGFCHRGMLRVASGLLGVMEKKFSIVPDVCSYNILIKGHCLFGWSGDAFKLFEEMRRAGCEPTVVTYNILVDVLCHEGRMVEARSLFDEMAQVGIKANTITFNVLIDGYAKTGQMDEASAAYKEMKVRGLVPDSCTFNILAAGSYKFGHAAQLVHDREMFCSDTADDLDVLVCRLCWDGRLDGAWELLRGAIEQGVPVSVAGFNALIAAYSKEGLHDAAFQVYRIMNKLGLAPSTHTFCYLVMGLCNQGRLDEAQLVLEHMVIRALKCWDDMEKIGLQPDFIAFSAYVNGLCRLDYVNEAYQAFAEMTTRGLVPNNITYNSIISAFCRAGNMSEALKLQQKMRQSGLVPDVYTSNILIDGLCREGNLKMVDNHLLDMCSNGLIPDTVTYNTIINAYCRAQDMNGAMNFMNKMFAAGCEPDIFTYNIWMHSLCSNHMLNQAGKVLDELVAMGCHPNSVTYNTLMDGICSDVLDRAMILTGRLIKMAFQPNTITLNVFLSHFCKQGFGKRALMWAEKLREDSFVFDDATRNIIDWAQREMENDPQANNEDIDRCLFLEFLMFMTYETMHNSRFSKARHVPTDKGSDPAGTNMIKILDAG >KQK94817 pep chromosome:Setaria_italica_v2.0:VIII:27517061:27518571:-1 gene:SETIT_027163mg transcript:KQK94817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMQWCRWGITRWRCSRRDRPRDKVCSKQDRLILDEINKIASIALSLNVPGQIFVSETALIV >KQK94816 pep chromosome:Setaria_italica_v2.0:VIII:27516529:27518571:-1 gene:SETIT_027163mg transcript:KQK94816 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAMQWCRWGITRWRCSRRDRPRDKVCSKQDRLILDEINKIASIALSLNVPGQIFMILKKVHPRVFASTHDVELQEFQ >KQK93469 pep chromosome:Setaria_italica_v2.0:VIII:1311335:1311754:1 gene:SETIT_027174mg transcript:KQK93469 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVSPPVIRLQYKEGPSHVLRPDLCQQSPHTSNSQLLGDISQPFKQCLLDISPLAPGSNFLNKNEIPKLAVGYLK >KQK94462 pep chromosome:Setaria_italica_v2.0:VIII:17727021:17729059:-1 gene:SETIT_027779mg transcript:KQK94462 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AAAAARRYTPQQQQAQPQLQHHQSQLGTVPQLLAGGVAGAVSKTCTARLARLTILFQAALTLTASSSERSQYPLSSCIVYDEGFRAFWKGNLVTIAHRLPYSSISFYAYERYKNLLQMLPGLEKNGGFSADVGVRLLGGGLSGVTAASMTYPLDLVRTRLAAQTNIAYYRGISHALFAICRDEDFRGLYKGLGATLLVCFSFVKLHASKMIMIDDTNLVTFPLDLVRRRMQLEGAGGRARVYHTGLFGTFGHIVRTEGFRGLYRGILPDEYCKVVPGVGIVFMTYEMLKAILTGLESDD >KQK95833 pep chromosome:Setaria_italica_v2.0:VIII:38726689:38730397:1 gene:SETIT_027570mg transcript:KQK95833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIGPLISMVMKKASSYLLDQYKVMEGMKEQRKTLERKLPAILHIIQDAEEKGASRPEVAAWLKDLKTAAYEANDVFDEFKYEALRREAKKKGHHSKLGAEVARLLVPARNPIVFRYRMGKKLRKIVQTIEALVTEMNTFGFRHLQQAQPSRQWRQTDSIIIDSDRDILSRSRDREKKKIVGMLLDQASNRDLMVLPIVGMGGMGKTTFVQLIYNDPAIEKHFELRRWCCVSDDFDVSTIASNICQTNEKCREKSLQELQSTISGKRYLIVLDDVWNRDADKWGKLKTCLKQGGKGSAVLTTTRDAEVARIMTMGVAEAHNIENLSDEHLKEIVQSRAFSLQNPNIEEQDGILSGFVRRCVGSPLAAKAFGSMLSNRTSINEWKDVLAKSDICSEKTGILPILKLSFDDLSPDMKQCFAFCALFPKDYEIDVDLLIRLWMAHDFVPVQEDDNPETVGKYIFEELTRRSFFQDVRQTRPIGNLRRSTICRIHDLMHDIALSVLRKECVTIVDKPSVNKLLLNPTRHLFLSIYGVSPVFVQEQVTSLLKKQTAMLHTLFIKGYGQPLDISKYTSLRALHLPADRLSCVGQEQLTRHIQHLRYLNLSSHEFEKLPEGISMMYNLQTLDLSHCRHLRQLPKDMKYMANLRHLYTHGCKSLTCMPPGLGQITSLRTLTYFVIGDGLGCSTIAELQNLNLGGELELSGLQNVTEVLAKAANLEKKEKLTYLSLKWNDDAREKPDSHNEVLDALKPHHQLEMLRIKSYKGTNLPSWITDLSMLQHLTELHLLGCMLCEEFPQFCHFKALEVLHLEKLDKLRSLCSHMVSTPFPALKQLRLHDLESLERWVATEGKEDDELTFPLLEEVDIDNCPKLTSLPEAPKLRVLTPGVWKWFEHLVYFTIENCDVLIYWPEEVFQSLVSLKELWIQSCNKLIGPTQAKGVEPTQTTDQLLPHLNMIRIYCCESMAQLFILPPSIRLIHIEECPKLEFIWGKEEHIDTYTSLEHCRDPASTTGNLEQSPSPIIRRPCLVDLSISSCDSLLTLPNLPPSLKYLHIWSCEKLCSVSGDLCALEELHIFDCNKLQSVNSLGDHPSLETLYLNRCRCLASLGCDGGRGSYSALQSHKIEDCPAIDMKHSSDE >KQK95303 pep chromosome:Setaria_italica_v2.0:VIII:33186319:33190229:-1 gene:SETIT_027344mg transcript:KQK95303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LKLAKFGPWGGAGGKPRDVKIAPYRLDSVTISSGVVIDSIQFSYTDHDGQYHTIGPWGGFGGNTNSFKLGPSEFLTGVSGSIGSFNTLVNVITSLTFVTNVRNYGPFGKGRGPHFHIPMESNGCIVGFFGRAGRYLDAIGVYTNHEMERIGLEEPGVARIGPWGGDRGVLHDITVTPHHLERVTIFSGTIIDSLEFLYSDHDGKQHTAGPWGGCGGGGRKIRFDPSEFIVKVSGTFCAWHGVKNVLSSLTLVTNTGRSYGPYGTEFGTAFHVPEQSNSRIVGFFAHGEDYIEAIGAYVRTL >KQK95349 pep chromosome:Setaria_italica_v2.0:VIII:33643820:33646201:-1 gene:SETIT_028530mg transcript:KQK95349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPHDSAQENGEGNLKREMLQSCAEDNGAEGVAEVSKVSKPSKRRRKDPVADPSSLEFSGSG >KQK95348 pep chromosome:Setaria_italica_v2.0:VIII:33643934:33646201:-1 gene:SETIT_028530mg transcript:KQK95348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQPHDSAQENGEGNLKREMLQSCAEDNGAEGVAEVSKVSKPSKRRRKDPVADPSSLEFSGSG >KQK93494 pep chromosome:Setaria_italica_v2.0:VIII:1523884:1525215:1 gene:SETIT_026373mg transcript:KQK93494 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSRAPTTIDHAHQLPYSQFPSLNVNERITKLLFIALLCTKQLHQTPRSSHIQFPMGAGDDTAPVAKAAMEVSSSSTSTSTARAPSPEPPPSVLRSVMLSYAYVGIWVSLSFSVIVYNKYILDPKMYGWPFPISLTMIHMAFCATLAAALVRVLRVVDVPTSPPMTPRLYAASVVPIGALYALSLWFSNSAYIYLSVSFIQMLKALMPVAVYSLAVAFRTDSFRRASMLNMLGISAGVAVAAYGEARFDVFGVTLQLAAVAAEATRLVLIQILLTSRGMSLNPITSLYYIAPCCLAFLTVPWYAVELPRLRAAAASAAGLARPDVFVFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWTVIKDTVTPVNLVGYGIAFLGVAYYNHAKLQGLKAKEAERKAAATAVPKPDDAEAATRLLPEKDGSGGDHKN >KQK93932 pep chromosome:Setaria_italica_v2.0:VIII:6287329:6289818:1 gene:SETIT_028217mg transcript:KQK93932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGVLISKLGVALAKEAATYGASLLCKEASALKGLFGEIHKAKGELESMKAYLRESEKFKDTNETTGIFVKKVRELAFRIEDVVDEFSYKLEDDKHGGFVAKMIKRIKNVKSWHYLAVELRYINTELEEATKRRDRYVIPEMQRQDRTGDHRAKPNNQISCFAREEDVVGIEYYAEELQRWLLAAWVTVSKSYQVEDLLINIAREFGISTVDGSNMEMRTVVEVIRNHLEGKKYILVLDDVWEQDVWISNVMPVFPANCTDRFVLTSRVYEVASLATNECSIQLEPLPDKSSYKLFCKLAFWNNGEKTCPLELRDLAAKFLQKCEGLPIAIACMGRLLSCKSPTYSAWENVYEELELQSCKSAIPGVDTILKVSFEDLPYELKNCFLYCAIFPEDYELKRKRLIRHWITAGFITGKENKTLEQVAEGYLSELVNRSLLQVTRKNVFEQVKCCRMHDVIRSVALDKAENECFGKVYGSSGTFSVDGTRRISILSKNNEPLSQSCTTHLRAILAFTSDVNVYLLKRILASSRLLSTLDLQGTHIKMLPNEVFGLFNLRFLGLRYTRIEILPDAAGRLQNLEVLDACGTAQLSSLEDVRRLTHNIKALRQVNGIKVPRSIRRLNRLHALQNVKASLETLHDIAALTELRTFAVSDVKSEHSLNLSSAIMNMSHLAHLSVAASIEHEVLPMGGLACLPKTLYKLELTGPLDKAEVAQVFSSWSHLKNLTVLNLRYSRLDEDSFSSLVELRGLCSLGLLLAYDGKKMCFPKQSFPRLRILKILDAPQLYEVEIEEGALESLVQIVFSKCPEMKLLPPGIKYHYIHSYERY >KQK95369 pep chromosome:Setaria_italica_v2.0:VIII:33837360:33838133:-1 gene:SETIT_028112mg transcript:KQK95369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein HKHCPVPLWEREFCSYVGNISWQRFCENKKYVSIYNNFEHWDDSGAFENFQNAKARFWADYHGQPSDIPLPDPDMYIDEVDHHCTVDPELAADVEKVLLPIDSDYDYSSIPVMGWVNTWEYNNFTMNQSAGNCTEKPAEVHDWGWEPSHGSNATWGGNNESSSKWDNNNSGWCAAPEKPSWGSLSNNQYASNNRYSNFYGGSNNNRYFNQRNNKQKDHHEGFQRSSLQDPSRGKKMEWRPVAKKASQQDGQGIEGGS >KQK93640 pep chromosome:Setaria_italica_v2.0:VIII:2527923:2530297:-1 gene:SETIT_027301mg transcript:KQK93640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein THSIWPPEIDKLLDVHPLELRFPFEPNKRIQCPVSLTNKTNRYVGVWITPTSCADTRFDLCFPDSWDHDSEEDDESQEEDYDSDEWEEVEYLDEEEKSEVGESPEGGQSPKDPCSYFFQILEPHSTLVVAMTMKEQDEPPLPPQDMGKFEVVMIIMWSEQDLKDLESSIRNKMNMDNDLLKQVEELGGKVHPAAMLTAAVICDPASCQATMTKQVREFGEPLLVDVHPTNSWLVRRWILAVHLDYVCIWNYQTQERVMELQVIKNDTCIISAIKFISRKQWFAAGDDRGYIHVYDYTTKDMVIKLRAHRGNDVSWLAVHATYPFLLSSSGGNQSSIRLWNWDEDWVCTRTFDGHTRGVQRIMFNPRNINSFASVSDDDTIKIWDVQTRKRVHRLAMRGMSMDLVACHPKLPLLVTTWKRTLCLWDANTYSAVHTIA >KQK94453 pep chromosome:Setaria_italica_v2.0:VIII:17367123:17369401:1 gene:SETIT_026569mg transcript:KQK94453 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTNSTDQGLLDAQLVLWHNTFAFMKSMALKSAVDLRIADAIDLHGGAATLPQIFTKVDLHPSKVPSLRRLMRVLTTTNIFSIQHPLADGGEPVYTLTSVSRLLLGSQTPLTAMVLNPIIVSPFFELGKWFQHELPDPCIFKQTHGRPIWEMTRHDATFDALVNDGLASDSQFIVDIAIKQRAELFQGISSLVDVGGGIGAAAQAISKAFPHVKCSVLDLGHVIAKTPTDTGVQFVTGDMFKSIPPANAVLLKSVLHDWDHDDCVKILKNCKKAIPPREAGGKVIIINMVIGAGPLDLKHKEMQAMFDIYIMFIGGMERDEQEWKKIFSEAGFSDYKIIPVLGVRSIIEVYP >KQK95199 pep chromosome:Setaria_italica_v2.0:VIII:31853016:31856625:1 gene:SETIT_026626mg transcript:KQK95199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMISSTTSNTNAPSTLQNKQEAAMVASPPREEAAAARNVKAKQAWQQQMAASGGGERKPRPQQEQGLNCPRCDSTNTKFCYYNNNSMTQPRYFCKACRRNWTQGGTLRKVPIGGSSRKNKQSRAGGSSSSSSSAPPAPSSTSNDSNKMNLTQQLMMMPTTTTPMPANFPNVLPTFMSAGSGSFGELPGSDHHSLPFPPLSLPSNPTGTMPSLVGILRGGFPDGGMAALPFLPVPPSFGAMHQHGHGMMGGSSDQQLVGPLQGMDQALKLPLAAACGSGPQQWPSSAAPEQQVVGGDGRADKNNNNNGGGATGSSSGVEYYWQGSI >KQK95524 pep chromosome:Setaria_italica_v2.0:VIII:35326863:35328413:1 gene:SETIT_028026mg transcript:KQK95524 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKDLWTAIGSTLAFILALCSMAMHQAKIILWINKIVGYFNPYIQITIPEYGADRFQRSDFFVAIEAYLSHKCASQARKLKADLGNVRKKPQASVDDGQEIIDNFDGAMLWWYAAMVPKKYNVISLSPGDDERRYYRLSFHRSFRDKVLDSYLPHVLEKGRAVISENRQRRLFTKNPSSRWSSYSPKTIWSHVPFEHPAKFDTLAMNPDVKEDIMDDLRAFQEAKDYYTKVGKAWKRGYLLFGPPGTGKSTMIAAMANFLDYDVYDLELTAVKNNTELRQLFIETTAKSIIVIEDIDCSVDLTGKRKDKKVHKKKSSSESESSDNVTRLPIEAKEDDESTKLTLSGVLNFIDGLWSACGGERIIIFTTNNKDKLDPALIRRGRMDKHIEMSYCRYEAFKLLASNYLQITEHEFFEQFGEIQQLLEEIDMSPADVAENLMPMSTKKKDPGMCLAGLVVALKNAKVDAAAKVMEEAKKEEDAEKSMDKEEAVSIKVKEDANAKEKETEKSREKEEAVT >KQK95363 pep chromosome:Setaria_italica_v2.0:VIII:33770212:33770433:1 gene:SETIT_028050mg transcript:KQK95363 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EDIDDASDEHEENQNMRIRFHDWVRSEYEAKGYVAVSDEYIARRARTEEFSRKLWEEGFSDSDSEDDVEEGAH >KQK93369 pep chromosome:Setaria_italica_v2.0:VIII:800658:801553:-1 gene:SETIT_027027mg transcript:KQK93369 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARAQVVVLAVVAAVLLAAAASEAAISCGQVNSAIGQCLPYARGQGSAPSAGCCNGVRSLNSAARTTADRRAACNCLKNAARGISGLNAGNAASIPSKCGVSIPYSISTSTDCSRVS >KQK94089 pep chromosome:Setaria_italica_v2.0:VIII:8579018:8580243:1 gene:SETIT_028602mg transcript:KQK94089 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRMTAEARKMRKRKTMKTHCVVHVVTTTVRMSSGYAVMPARHGSMASVSRSLLPRPSTSSTTSARTAAVVAREPEHDPGGYIRCSCLKNQNLTRCTVKHLHGGMVLNAP >KQK94088 pep chromosome:Setaria_italica_v2.0:VIII:8579018:8580243:1 gene:SETIT_028602mg transcript:KQK94088 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLSQELPRSRPKKKLQKAAARTINLAQNHRASQNPTQGVPRCHLQRMRMTAEARKMRKRKTMKTHCVVHVVTTTVRMSSGYAVMPARHGSMASVSRSLLPRPSTSSTTSARTAAVVAREPEHDPGGYIRCSCLKNQNLTRCTVKHLHGGMVLNAP >KQK94519 pep chromosome:Setaria_italica_v2.0:VIII:20299954:20300541:-1 gene:SETIT_027985mg transcript:KQK94519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRTNMARVTLSYLKILSRIVDQLGLKAPKIAYEKRAKGIFHAVIEVHLVSWTSRGFRGPQEFERDFFTSARAASRKAARDVVQRLEKSRIAKINDFGQKDLELWKKRVMEFAKACKEVAEERDELERDFTFLKNNHARFLIENVKMKEKMTRLKKKISCIMTTKEEVVNLIAENYGLKVELRDLKKQLSEAKACI >KQK95410 pep chromosome:Setaria_italica_v2.0:VIII:34251318:34254448:1 gene:SETIT_028284mg transcript:KQK95410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKPISKLPSSAIIKNNLNTPDLKKLYNGLLYDLGKSIKDLSKNIKGLLSDLSKNINDETLDDRQLIEVLREFLEDKRYFVVVDDVWDISVWKMIRCALPDNDVGYTIITTTRISHVAEQVGGDYNMKPLSLNNSRKLLYRRIFGNGNKGNNEAEEICHAELAEVSDRILKKCAGVPLAIITMASLLACKARNKMEWYEVYNSVGTGLENNLDVENMRKILSFSYYELPCHLRACLLYLSMFPEDYEIDRDRLIRMWVGEGFIQYEKAGKSLFELGESYFNELINRSMIQPVYDDGDIMIQGCRVHDMMLDLIRSLSSEENFVTVLSNMGGTSPSNTIRRLSLQIGQESYNGQENHVMVQATFSMKHARSLVVFPAIASIVLPLACCRVLRVLDLDACDLSRANGSFKCLGNIHHLRYLGLRETGISQLPEEIGNLQFLQTLDVRGNAYLRLPSSVVQLRKLMCLYIDSWSKSAQDRIGNLTCLEQLSWLCIDDSTRNIIEQLGQLTELRQLSIKLDEWNDKLLECLCKLQKMQELVIECFGQCSIDGDAWVAPRHLRVLYIPNRCWFSTLPAWVNPSLLPDLTKLSITVRELHQVDLEILGRLPALRFLSLKVDNKNLSIIRGFVVGAGAFPCLVSCYFYQFVWPVVFQQGAMRRLRKFEFQQFYLRETRGIACNDGSLELCLGNLPSLQDVQVVL >KQK94255 pep chromosome:Setaria_italica_v2.0:VIII:11962226:11963406:1 gene:SETIT_026804mg transcript:KQK94255 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFMASGRAMASTRPSFVTPRSFFNWGKGAGGAESPPPPPQLKFQYHDVELPFPMSLVANTHLRGRELKCCYKATVDGFSATDFHRRCDFKGPCVVVGYTDGGFRFGGFSPEGYRSTDDYYDTLDAFLFYWPEAAAEAPVVLPKVGGSGAALFDYSRGGPQFGADGLLVGPPLTAVMGVFTGPDSSAGVGDLRSARSRLGLSYARRADGKESLFGDDGRAEVAEVLVFCSPQIASLY >KQK95324 pep chromosome:Setaria_italica_v2.0:VIII:33328180:33333587:-1 gene:SETIT_028246mg transcript:KQK95324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVLGLAKSAVEGTLTLANTAIEKEKLLQKSVKCDLMLISDEFEMMHSFLTAAREHIKNDMARTLVRQVRNMALDVEDCIESAVHLDKKSSWWRRLLPSCMPPAAQAAALDDAVHDIELLKARVEAMGERNRRYSHIGDSSSKPSGQMHQEAVTNATALDVLVVARDSMDESDRLDLLKSISKNFDELQLQVMSVWGSASNVGMASLIKEAYDKPEISKNFTRRAWVKLIHPFNSHEFIRSLLIQFYTNCCPQQGGTVGVLKPMEVIEARKNGLIKEFMEQLNNQRYLVVLEDMSTMDDWDTIRAYLPDNRKGSCIIVLTQQLEIASFCIGHSSCVSELKRFSDDHSVCVFLKEIDLSELHVAVHAANREVIFVWGIAGVGKSSIVRHVYFSEVLEHKCSNFERFGWVNVSHPINLRELTRSLLLDLHSESLQHCSMLRIKDPIQECRDLLHEHRCLIVIDDIQSVEEWDLIKAALALRATESSLKSRIIVITNEESVATSCATMNWNVRGLEFDEILDLFKKKVSEKTGSSDVSMEVIEQAKLILHKCGGFPRVIVSIAEFVSTQLKENRLNLEGWRMLNHNFMLELETNRAFGSLQGLFSWVHSYFLTCPDYLKPCIFYLSIFPINHNIQRMRLVRRWIAEGYCRDNKQSTALESAEEFFTKLVKLCMIRMLGSAILISSFGMPLCQANGFFHEYIISRSMEENLVFTLEGSCSMNSQRTGRHLAIGSTWDRDINVFKSIDFSRLRSLTVFGEWRSFFISDKMRLLRVLDLEDASGVMETDIDQMVKLLPRLKFLSLKGCSHISCLPDSLGDLQQLQTLDIRDTFIIMLPKSIIKLQKLQFIRAGATIQLDDDTGMNNILPQSAGSTSLTMPSTRRPHDTPVSWFTGSRNCCVKVPGGIGRLTALNSLGVIDVSVERGEGIMKELRNLTLLRDLGVSGINRNNSHKFFGAISGHRHLEFLSVKFDNDNLGCMDGIAKPPVNLRCLKFYGLVGKLPVWMMHLQSLARVNLHMTMLPQEELDAIENIPNLRILCLFLKEFQDGKLQFGRHFCQLHALEIACNSRLQSVTFDSGAMQNLEVLNIRCSSVSSTVFSHFSGLEQLRELKEVWLWGSYDVALKQHLQHQLDRHPKQIKPVLREEPRLS >KQK95780 pep chromosome:Setaria_italica_v2.0:VIII:37989182:37993447:1 gene:SETIT_026458mg transcript:KQK95780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMTRSLGLEWKYRAARITLQRLPASQTVRHNKKTCQQLVQHVQIIGDLLKKLQASEMMQQPEIRNGLSELEQILREAYMLVTSCQNNNYVYHLFMAAKQADQFRVLQNRLNSCLQVFPLISHIDTTDHLDQILEIIRPQHSQAVKEVPRLFTGCSSCDTSWLMLQTNSPIRIKLDKVALVVFTRYFIPLTTPKKMTPYIDQRLSRIWVAEGLLYLHKHCGLHVIHGDLKPSNILLDLNLHPKISDFGLARTYSPGVEEEFADRIVGSIGFTAPECRERRIFSIKSDVYGFGALLLEIVSGRRCFSLASGESGDDHGFLNRKAWRLWRAGRLIKLFHSPLGNESERTEILRCIQIALLCVEQDPANRPTMQEVVLMLSCHDVALPMPQRPAYLTVGKARAH >KQK94601 pep chromosome:Setaria_italica_v2.0:VIII:23148097:23148324:-1 gene:SETIT_028415mg transcript:KQK94601 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCKVACNNYTSQDAFYNHQISNRQGWSSFLQLQR >KQK93822 pep chromosome:Setaria_italica_v2.0:VIII:4706873:4714579:-1 gene:SETIT_025835mg transcript:KQK93822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLLIAQITTDLRSSDALRQSSALLQALQQCAAGRDVSALARTAATEILSAPSSAVCKRLALDLLRALPLPPDLLDPLLLASLSSDLSFPDPDVAASSIASFPSLPSHLLPSLLSSAHADIAAALSSPAESLRLAAVTSLSSLLPRDDLALMCSTNPSLMAHATTWWGRLAELALDSADAVSASAFEALARLFQELEGRRMSRLAGDKLVDGEGALAVRAQWAADAIDFIWSRRNMLIARTMVMPVESFRVTVYPLVHAAKMVASGVVNTLRRIAKPGDTSIADSVESSAEKLVGVSDIVSHLLPFLSSLDPPLVFEVGINMLALADVPGGKPEWASAAIIAILTLWDRQEFSSMRETIVRAVVTNLHLLDLGMQVSLFKRLLQMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDVISLFEDVRVKEDLNSVTSKNLFREELVASLVESCFQLSLPLPEFKNSGTESRVIGALAYGTGYGALNWTEPALDVVEVCRPCVLWDCDGRTYAIDCYLKLLVRLCHIYDTRGGVKTIKAGASQDQILNETRLRNLQLQLIRDLREVHTPRISSRLIWAISEHFDLEGLDPLLADDPEDPLNIIISNMHKILFNTDSSATTSNRIQDVQAVLICAQRLGARNARAGQLISKELEEFRASTSADSVTKHQSRYVLQVIKYVTNHPDNRWVGVGDATGDYPFSHHKLTVQFSEASAAQDRKLEGLVHKAIRELWRPNPSQLTLLQTKGIGALHKELPKACTLTGSSDPCYIEAYHLADPNDGRITLHLKILNLTELELNRVDIRVGLSGALYYMDGFSRTVRHLRNLVSQDPVQSSVTVGVSHFERCSLWVQVLYYPFYGSGGSADYEGDYAEEDSQMMRQKRSLRPELGEPIVLRCQPYKFPLAELLLPLECSPVEYFRLWPSLPAMVECTGTYTYEGSGFKATAAQQYDSSPFLSGLKSISSKPFHQVCSHFIRTVAGFQLCYAAKTWFGGFVGMMIFGASEVSRNVDLGDETTTMICKFVMRASDESITREIEADLQGWLDDITDGAVEYMPEDEVKSAAAERLKISMERLAILKAAKPKVPPAKTEQEEEEERKQSEELDGFGNPKGPSTLSKLTAEEAEHRALQAAVLQEWHQLCKEKAMKAQ >KQK95380 pep chromosome:Setaria_italica_v2.0:VIII:33993820:33994496:1 gene:SETIT_026442mg transcript:KQK95380 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SREFHLVDGGVAANNPTMVAMSMLTKEVLRRNSDFHLSRHSADYRDYLIIFIGTGSAKQAEKYTAHQCAKWGLFQWLYHGGFNPIIDIFSHASSDMVDIHAAVLFEALHSEMSYLRIQDDSLKGNESSVDVATKENMETLIGIGKELLKKPVARVNIDTGIYETVAGEGTNEEALARFAEILSEERTLRKNNLNA >KQK95379 pep chromosome:Setaria_italica_v2.0:VIII:33993820:33994496:1 gene:SETIT_026442mg transcript:KQK95379 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SREFHLVDGGVAANNPTMVAMSMLTKEVLRRNSDFHLSRHSADYRDYLIIFIGTGSAKQAEKYTAHQCAKWGLFQWLYHGGFNPIIDIFSHASSDMVDIHAAVLFEALHSEMSYLRIQDDSLKGNESSVDVATKENMETLIGIGKELLKKPVARVNIDTGIYETVAGEGTNEEALARFAEILSEERTLRKNNLNA >KQK94690 pep chromosome:Setaria_italica_v2.0:VIII:25070512:25072153:-1 gene:SETIT_026691mg transcript:KQK94690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGLSLDHPLAFTFGLLGNIISFMTYLAPLPTFYRIYKTKSTEGFQSVPYVVALFSAMLWIYYALLKSDEFLLITVNAAGCVIETLYITMYLAYAPKKAKLFTAMILLLLNVGVFGLILLLTMLLAAGEKRVVLLGWVCVGFAVSVFVAPLSIIRQVVRTRSVEFMPFFLSLSLTVSAVVWFLYGLLIKDKYVALPNVIGFTFGVIQMGLYALYRNATPRVPAKEVADVKATVVDDTFKVPEHVVTIAKLGAPAVEILTSEVHPVESPPTEEAKKEDDEPLEEELGDASKKGSNTTEQV >KQK95656 pep chromosome:Setaria_italica_v2.0:VIII:36930635:36933512:1 gene:SETIT_027568mg transcript:KQK95656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNILIPTDICSHPRTGAHVRQSLLYSDRPRRSRTRRPAPAEECTREDSSSELGKAVIDLPTIETLSLSDPPLLTPQTETDEETDDDWAEVPTAGQSVLFFNRPKGLSRSMVLSLADSLFITVSNEEEERPKPYSDEDPEELLRRLDEYLDARPCIDLSVSSDEIEAIEDVNLDSHAAELGTEPPSVLTEQTSVAAESCEYRHEASTAWMKEEVMLCFKKLIEISPDLAELEDYHLHELCHQCFNVESYDKVYHHYNFTVRMKMPNSADWIVELYFAEVKEIFRTKHYLCYPLEPNENGQCYACKNQGVEDLRHPAIDLFEMGSPDASTCNLWYTADD >KQK95945 pep chromosome:Setaria_italica_v2.0:VIII:39917976:39918666:1 gene:SETIT_027012mg transcript:KQK95945 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMWPTEEEVREFESENAPWPCVSSPSDRCKCGILATEGVVPSELGYGSFCGNAHGDYWEGRTCDWEDFCGRYDLLLKLGNTSEPWKLRKQQEIKEKIRKKYDVPILTTTCFGEKYIKIWCMRLE >KQK95523 pep chromosome:Setaria_italica_v2.0:VIII:35307682:35308440:1 gene:SETIT_028336mg transcript:KQK95523 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTILMDYNLALMSSAQLFIRIEVHERVHARVWVADVLGRRGTLVGANVFLMAGALAMSLGDCYAALMAARFVSGVGFSVVVAPIFVNAGILLISYVSNYAFAGLPVRIGWRVMYAAGVLPPVFLAAGVLAMPESPRWLVMCGRHGEARTVLVRTSDDVAEADLGLEEIKQATEAPAPRAGPGGGGVWTELLVRPLASVRRILALYGSATSFKKFHL >KQK95458 pep chromosome:Setaria_italica_v2.0:VIII:34571751:34572192:-1 gene:SETIT_028508mg transcript:KQK95458 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELQTFLMVCEELLLESTNRTHTPPSTLSLSSSILLIA >KQK95580 pep chromosome:Setaria_italica_v2.0:VIII:35789514:35795120:-1 gene:SETIT_026045mg transcript:KQK95580 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATASGGARPEEAAAAPSASASAASCMGTRPEELTARLAASGPSPVAGCGGSGAGVGAGGEAAEHERVLVLREIKNQIIGNRTKKLLYLRLGAVPAVVAALAEPGSSPAALVQAAAAAGSFSCGVDDGARAVLAAGAVGHLTRLLAHPDEKVVDASARALRMIYQSKLAPKFDVNNGKNMDFVLSLLNSENENVTELAATIISHSCENSSEQLSLCSAGVPQKLVSLFGGSMNLRDACLDSVTAVIRNNREVASRFASTDHGKGFRSVVGLLHDRSPRTRLLACLCLIALGHASPCHFQDKQIKTKLIMVLLELMEEPDHVGDEAPLALTTLIKDSLELQKQALTTNAVEKLSNHLLANSLETKRAVTILLALSELCSKLEESRSQLMSAEVSTLILEALKHDWADIRVAACSCLKNISRSPKVLSGGRLSCDTVIGPLVQLLYDSSTSVQVAALGAICNIAVNLTPRKSVLLHSGVVSQLVHLSKSMDPTLRLKSVWALRNIMFLLSPKDKDFIVKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVESANYVIGEDGMVIDAIARQLNNASALGVCIQGMFVLANIAAGNEMNKEAVMNVLLPHRPDRIKPSFVVNFLQSKDKQLRVATLWCLLNLIYPKCEASSGRVIRLQTAGVIQQVKSMINDPCLDCKLRVRMLLEHCVDNADDCFM >KQK95579 pep chromosome:Setaria_italica_v2.0:VIII:35788875:35795170:-1 gene:SETIT_026045mg transcript:KQK95579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATASGGARPEEAAAAPSASASAASCMGTRPEELTARLAASGPSPVAGCGGSGAGVGAGGEAAEHERVLVLREIKNQIIGNRTKKLLYLRLGAVPAVVAALAEPGSSPAALVQAAAAAGSFSCGVDDGARAVLAAGAVGHLTRLLAHPDEKVVDASARALRMIYQSKLAPKFDVNNGKNMDFVLSLLNSENENVTELAATIISHSCENSSEQLSLCSAGVPQKLVSLFGGSMNLRDACLDSVTAVIRNNREVASRFASTDHGKGFRSVVGLLHDRSPRTRLLACLCLIALGHASPCHFQDKQIKTKLIMVLLELMEEPDHVGDEAPLALTTLIKDSLELQKQALTTNAVEKLSNHLLANSLETKRAVTILLALSELCSKLEESRSQLMSAEVSTLILEALKHDWADIRVAACSCLKNISRSPKVLSGGRLSCDTVIGPLVQLLYDSSTSVQVAALGAICNIAVNLTPRKSVLLHSGVVSQLVHLSKSMDPTLRLKSVWALRNIMFLLSPKDKDFIVKELTLSTLSSLICDSEHFVQEQTLALVHNLVDGYVESANYVIGEDGMVIDAIARQLNNASALGVCIQGMFVLANIAAGNEMNKEAVMNVLLPHRPDRIKPSFVVNFLQSKDKQLRVATLWCLLNLIYPKCEASSGRVIRLQTAGVIQQVKSMINDPCLDCKYFV >KQK95277 pep chromosome:Setaria_italica_v2.0:VIII:32877430:32881320:1 gene:SETIT_026659mg transcript:KQK95277 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKASSPLIPPPSEIDLEAGGGGDQLQCRICLETDGRDFIAPCKCKGTSKYVHRDCLDHWRAVKEGFAFSHCTTCKAPYYLRVHSHTDRKWRTLKFRFFVTRDILFIFALVQMVISALAYLVHFIDGYQQYWLRTAWGFDNEVSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGMCADCHLPGTLCMWTDCTTCFEGCATTAGECGGCLGGAGEAGLPLLLIMGVIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGERTDWCPPPLPAEHISQLKSLGLL >KQK94069 pep chromosome:Setaria_italica_v2.0:VIII:8400197:8404055:-1 gene:SETIT_026105mg transcript:KQK94069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVEKKKNSQIGSKGKEVQSKNPRREEQEPGVQSKNPRREEPLASSEVVVDEPAVAEGGDTVDDANNGSSKESPLPPKDSKNSKGCVGKKSRSVSSDFGEEMDLELGNGDKENGRQQERKLSRQDRVELCRLFQHAVSSHDWESAEGLVGKADAQGLNDVLCVTVDAIWFLSDRDELHAVVGLIRRIVSEGAKDFTRAALRTSFLASCVSACRGRSTSLADAVSFMGQKLHERLQESQGDEVLKAEASAKVHKFTEWALKCIGLHSRVRENKGKGNHDTVIEVQLQLSAFKTFLDLADNELTGKDFTEAFDAACFPLTLFSTTFDQGWASGISAAAIQGLLELLVEGGADNVNQCFLEAARYGSTELVRILLQIAQRNSLDIDVDLALGFAAHYGKIETMGCLVEEGNAVGFLGPLMRAAERGCLQVVEWFVNHGCREMELCLALTAATSSSQIAVAAYLLPLVPQHVLAPLSIEIIKAAGERTTGSLHGVDFLLRSDFLNDPAATYAVADSIAKSADEAVDAKLRSFMNEHWSEAAFSAGFESAQQQFVNFMRIMERGESPICLRDLPIELVIAMAYLPLYKECINSSGRLLPQRLRGQLVEAASRLEGRQMDSGSQSRELLAILEHHIPQFMTQT >KQK95890 pep chromosome:Setaria_italica_v2.0:VIII:39302809:39303971:-1 gene:SETIT_028278mg transcript:KQK95890 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEERFAPVTAATGALGPVLVKLAALLGDEYKLNKKTRRDIMFIKSELEPVHHVLWKLWGSLDLDAACKNWMTEARELSYDMEDDIDDFTLGLERGDGSFIQREATDSPFKEFMERVKDVSKKCGKMQKIGDAICNRSKLTTDPRALFLHKDASELVGMEEKKEEVIQLLQEHEMVCILGFAGMGKTTLADLVYQTIGDGFQCRAFVSVHPSPNMTEILGTILSQVTDGAMSAGSGTEPAAQQNIVTDISISLSDKSSGTELAAVQNDFIKGISNFLSDKRYVVAIPTLLPDSVPKYH >KQK95684 pep chromosome:Setaria_italica_v2.0:VIII:37184799:37185308:1 gene:SETIT_028288mg transcript:KQK95684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANAVFLLLLAVTGVLFIHPAVAGIKQKSFKDDPRRSILFDRFTSSSHGWMSISVTGVKASSTHPELDPSQLGFFLLSDETLFAAISLELPLPTDLFRNLEWSSCVLYSPYIITLFTFAHLDDEGHFNRTFPITHADEYSLFFASCTPESKVTMEVQTHIYDTNPNHCID >KQK94707 pep chromosome:Setaria_italica_v2.0:VIII:25405967:25414196:-1 gene:SETIT_027723mg transcript:KQK94707 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPSPREHVERIRRERYFIGRGEQNPLAEDMHQAVNYLSQELYSKDVHFLMELVQNAEDNDYPSDVVPSLEFLITSKDITRSGASSTLLIFNNERGFSATNIESICRVGKSTKKGNRHQGYIGEKGIGFKSVFLISSQPHIFSNGYHIKFNEKPCAECNIGYIVPEWVESTPSLSDIESIYGCSKVLPTTTIILPLKSEKVDAVKKQLSSLHPEMLLFLSKIRKLSVREHNSDPKSSTISEIGISTENNFQSRKNMHAESYTLYLSAEETGKVEEDCGYYMWRQNFPVKSENKVDKRADIDEWVITLAFPLGERLSRKKQLFPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPTAFMNAFVTLVKSTPDAPAMSLPSMFNFLPVNPSLISVLEPVRSGIKEKVLAEDIVPCESYASQKIFCKASEVARLKPAFWTILHNAREFGSTYDSVLKFLEVKKVDPEWYAKCIEGSNLVKEVDEQLYLELLYFVTVNWQNYFSGTNMMSIPLLKYVNKNDVLSFLSISKASQGSYRLCIASEMKHMSWLISWNKEFPSARRFFFQPNTQSALEDFSQKRTLKDWLQNQAKVEFVSVYSYGSTVVDSLNDARRPVISFAQFLYHSDKKSHIGASCVQQLCNDMPVIDSYGNAVWDRNSIMVPAKGSKWVGLMGTNPWRKDGYIELSADYKSAGHFAGNYTSEDQLLEFLKKHLQVSDVPLIRPPNTSFPAVRSPLTVDNAFLLLEWIRNRKSKGRLPDQFLASVKEGRWLKTSLEYKPPKESFLSSAKWGSILQNGSSFVDVPMIDQQFYRNRLYTYTDELKLIGVRFEFKEASAYIGSCLLSKAAGNALTRENVYSLLRLIRYLGEEFLSPVQLINSVKDGQWMKSTLGYRCPADCIIHDSEWEVASHISNQSFLDVQFYGKDILQYTPELELLGVIVGFKENYELVVINFKFCSAAITSQATTLILKCIRYVNRCEGFIRKLKDLKWLKTNVGFRAPNEIFLVDPEWECLLKVFDGTPVIDYGFYGSEIRSYKEELKKIGLIMRFEEASKAITQIFKEMVSKSSLTKASVLALLGSYRQLRTHCPLPVELFNCMRSEKWLHTSLGFRSPSEAILFDDTWQPLSPIANLPFIDDGDSFHGLGHDIYGYEDELKELGVTVEAKNGARFAISGLTIPSNPSTMTKATVVSLLACIRSYFKSAVSPPKGFEDKICMKWLKTSMGYQCPDECILFDAKQSSLCMEDGPFIDEAFYGSEIVSFKDSLAIIGVTVDVNCGQDVVARHLRSHKVRTTISRIYLYLMKCNWKPNEKDKSSNWIWIPNETEDGEWVSSGCCVLSDKNNLFSQQIHVLDKYYDKELLGFFSLAFGVRHGPDSEDYCNLWSTWERSVHELDIPDCSAFWMFIATNWSKNTQKLLASCVKVPVCIDGKITLSKKEDVFIPDDLLLTDLFKKLPEHSLFIWYPPSTIPSMSRARLNSIYDSIGVQRISKAVMKNDFFTLENGHFITANLSKVIKVGLLHIVLAFLANPAIDIPTERRHRMVSCLLNVTVQEKVEPITVSYSVNLSSGEVVNVKASRMLRWERENSKLYIQSSNGQSSYKEKIEFATYFAEEISKGLLFEMPDQIPSLAELIKIGSLLDFDDGAVGFLHKSNNLQLFPEDEDFLKSSLLGLCPQN >KQK95098 pep chromosome:Setaria_italica_v2.0:VIII:30764325:30764839:-1 gene:SETIT_027941mg transcript:KQK95098 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLCSCCVSARAKACCFTCIAAGSPDFICKNTCCFPCTLSDSVAAKMEEMGVLAKMEEAGQA >KQK93656 pep chromosome:Setaria_italica_v2.0:VIII:2819686:2820321:1 gene:SETIT_027149mg transcript:KQK93656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYDLSLAELLRWPHDGGDAPAGGLSEEPARRATVLETTLHRVRHRWQTNRHQKQRHADAGADDSAHFNKRCCRGGAGRRH >KQK94885 pep chromosome:Setaria_italica_v2.0:VIII:28386074:28388711:-1 gene:SETIT_026678mg transcript:KQK94885 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDMTSSTTSNTTAQSVVQNKQEAAMVASPPREEAAAARNIKANQAWQQQVAATGSGERKPRPQQEQGLNCPRCNSTNTKFCYYNNNSMTQPRYFCKACRRNWTQGGTLRNVPVGGRSRKNKLNRAGGSSSSSTLPAPSSSSNDSKKMNLTQQLSMMPTATAPMPADFPNVLPTFMSTGGGFELPSSDHHSLPFPPLSPLFNPGTTPSLLDILTGGFLDSGNNRMAAPPLLGTMQHGHGMGVDQALELPMPAAGGGFQQWPSLAAQEQQVVGGDGSADMDDNNNNNNGGGASGGSSGVEYYWQGSI >KQK94324 pep chromosome:Setaria_italica_v2.0:VIII:13097902:13098400:1 gene:SETIT_027100mg transcript:KQK94324 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLGGCCGSVAIADGRRVSPVAKQAAEGEGHLEAKKQQQQVVIKEKTKKGGEPAEVAAAGKERKRRDHQKDPLIVVHQFPFHSRPGLL >KQK93834 pep chromosome:Setaria_italica_v2.0:VIII:4904342:4909199:-1 gene:SETIT_028142mg transcript:KQK93834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLCLLLPTIIWLLLILHMSSSSSLRAKRSNGRCIASESGALLSLKAGLSDPGGQLLSWKGEADCCQWKAGLSDPGGQLSSWQGEDCCQWKGVHCSNRTSHVVKLDLHGSYELGGEMSSSLVGLQHLKYLDLSCNNFDGSSIPKFIGSLKSLEYLNLSKAAFGGRVPPQLGNLSNLVYLDLNSAFNSDLYSDSLTWVSHLSLLKYLDMSWLHLSAAVDWIHGISSLPSLEVLHLSSSLLRNTNTIPSHSNLTALKVLDISRNYFHTAISPNWFWNIRTLTYLDLSWCDFQAPIPYEMGNMTSLEEVYTAGNNIGSMIPPNLENLCNLKIMEFQWSNITGDIGDLMNRLPKCSWNKLYVLDFSGNKLDGNLPNWLQPLKNLSYLNLHGNSIMGPLPLWIGGLNNLTILNLGSNQLVGEINEEHLEALTNLQVLEISDNSLSMRVHSNWIPSFKLKVAIFRSCQLGPAFPSWIRWQRSMNVLDISNATIHDNVPDWLWVVVSTASYLNMSNNLLSGTLPASLEMLAARMIDLSSNRFAGPVPRFPRSNFYTYLDLSRNNLSGTLPDFGGMNLFTLALYNNSISGSIPFSLCLVQGLEMLDLSGNMLSGELPTCKGDSGLYKDMLALNLNSNNVSGVFPSALQMSQQLVFLDLAYNQFSGNLPAWLGDKLPSLALLRLRSNNFSGNIPVQLATIQGLQYIDLACNRISGQIPESIVNLSAMARFNGYSSLDEVEGSGGGIVVIDEVEASRIGIQTYSSISFTETTSVLTKGQQLELSKGIQYMVNIDLSCNNLTGQIPQGISAMVALKSLNVSWNHLSGRIPNNIGDLKALESLDLSHNELSGEIPSSIAALTSLANLSYNNLSGRIPTGNQLQTLATDDPESMYVGNIGLCGPPLPKDCPGNGTSNSPVDEPEHKDNRMVKSIYLSMIIGFIFGLWVVFCIMLLYKGLRYSYFASIDYLYDTMCVHVVVTWNLLMGSLCMNHQRMVILSISITILVTTCMVQQCIIV >KQK95737 pep chromosome:Setaria_italica_v2.0:VIII:37697412:37698370:1 gene:SETIT_027724mg transcript:KQK95737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMRFPVIRAVLGGLQDTLRQVHELVTSSQKRNIMYSFCMAGNQAQKFRDVRDRIKLYLELYPMISHIHITYLSCGLYSRLDPSGNQPQALEEVLESFASHPNPDSTYSV >KQK95799 pep chromosome:Setaria_italica_v2.0:VIII:38177374:38178942:-1 gene:SETIT_026218mg transcript:KQK95799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPTAGDPSPSPSFSSSITTPDGWHPRTAERRLLHLLHHCPNARARPLELLAFAVRRALPASPPSPHHHSLAALILLSSPPPPALPLLRLLPPEPPPPLTLLNAAVKALSSSSSPADAFRLLSTLRRLHAPDRLSFLPLLGCASSLPLLSALHSLLLRLGFLSHHAISLALLKPYPLPHVRVLFDEIPQKNRCTVAYNTLITACLKVRDIRAARHLLDEMQRYRRSRRSVVSWNLMIAGCARCGRDDMAVWFFEGMVGEGEVAPDDGTLAAALPACGRTGNVGAGRWAHEYAKKTGLLESTVHVANAVVDMYCKCGDIASAREVFEGMRKRSVVSWNTMIAGFSLNGHGIDGIELFQEMQKQRIEPNSVTFLGVLGCCAHAGAVEVGREIFQSILSEHGIEPAIEHYGCMVDLLARSGLLEEAHALIQGMPMKPNAAIWGALLSACRAHSGLGIAEVALKELINLEPWNSGNYVLLANLYAETERWEEAGEVRRLMRTMSVNKAPGQSLIEEARFQLTNASQ >KQK93881 pep chromosome:Setaria_italica_v2.0:VIII:5499388:5504642:-1 gene:SETIT_026380mg transcript:KQK93881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAGSSRGRSGSAALKRRRGSGAGSGSGSTAQSLNDDILRSVFSRLDDHFDLARCSAVCSSWNRIIVTAHLMRDLYYKRNPPARGSNSNISVKSYFEALAMDEHASSLSNGPADAYQWVGHPMRATLCRMKGGSILTGVGDKILRLWSAETCKFMNEYNVPNAKTLVDFEFDENKIVGLTSSQICIWRRSEPRSIFQSSGASFNHGLCMSYADPEVVIGCEDGRAFVYDMYSRSCSSIYRLHSSPVTCLTITDDQLILGGSTFGNIAIADQTSGQKIGVLKSAYAPLAIRSLSFSTNSHMIFAGSSAGYAHCWDLRTLRPLWEQRVSPNVIYSAHHLPGDTTALAVGGIDGVLRLISQRTGEIIRSIVVDTDRPAEPTSRSRHQIEKKRVREVAPDARVDNISTRLRPQITSLSVGIKKIVTTHGENYIRVLKFCPKNS >KQK94829 pep chromosome:Setaria_italica_v2.0:VIII:27704658:27706762:-1 gene:SETIT_026448mg transcript:KQK94829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLLPGTGPSEEAARWGKPSIYRVPEHLKSFTNKSAYMPCLVSLGPFHHGKPELLPMEEHKRRAVLHLVKRSAGKSLRDFVDAVEEMADQLLEAYHGLDEKWRGASRGRFVEMMVMDGCFLLELMSENGRQGDYAPNDPIFSDHGNHVLWPGFRSDMIVMENQLPLIVLQRLLAVQLGTTPLGLHPFEILHRTLCGPRAHHEDRPDSDSSVYVDPICMPSAVELSEAGIHFKKCTHFINDIDFKNGVLSMPVIEAYGDTEKLYLNLLAFEQVHPDIGYQVLSYVAFVVNLIKSERDVALLRSKELIKNFWGSDDKELAEMLNTVGKASLMHPSTKLIVVQKNVNTHCAKHWNAHYAKHLNKWGANFVHSYMSNPWVFISLVAAVILLMATLLQTVYTVLPFYKS >KQK94963 pep chromosome:Setaria_italica_v2.0:VIII:29295644:29298550:1 gene:SETIT_027713mg transcript:KQK94963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGSAAAPVLLLEAAICSFFVVLTRGVQLPQPAAGRSRVGASCMPHEMDALLAFKQGVTSDPAGVLASWRRRQGGVHGMDDCCRWRGVRCSNGTGGHVMELRLRNADLYDGRALVGKISRSLLSLEHLEYLDLSMNSLEGSTGGIPEFLGSFKRLKYLNLSGIPFSGGVPTHLGNLSKLQFLDISGTQGTLSLDISWLTGLRHLQYLNFNAVNLSATPNWAHVVNMIPSLKSLDFSDCLLASSNQSLPCLNLTNLEWLDLSENYFHHPIASCWFWNLTSLRYINLPHTSMYGQLPEALGTMISLQYLDLSYTTISAPMINLKNLCNLRVLRIESCFSYVNNVTEFIERLPRCSLNKLQELNLQLNQMFGVLPDFLQHFTSLVILDLSHNNITGLLPRFLGNFTSLKTLDLSSNNFTGGVPDEIGALTNLTHLNLRNNGLLDGVITEKHFGGLESLQYIDLSYTSLKVEVSSEWQPRFRLRNAQFASCQLGPLFPAWLRWMVDILFLDISGTSINDRIPHWFCNAFSNSEYLNLANNQITGDLPRNMEIMYLERLYLSSNNLTGRISRLPPNLTRLDISMNSVLGHLPVDFGAPKLTELSLFSNRITGPIPKYICKCKGLMTLDLANNLFEGEIPPCFGMTMLTTLELSNNSLSGEFPSFVQNSTNLQFLDLAWNKFSGRLPTWIGDLVGLQFIRLSHNMFSGNIPVSITSLGCLQYLDIADNSISSSLPRNMLNLTAMREKHSTIKYPQQPSYCGFYSVPDEYHSVELSAVTKGQELNYGTSSRILNMKMLSIDLSLNNLSGEIPEEIINLDALVNLNLSHNHFSRNIPHKIGAMRSLESLDLSRNDLSGEIPASMSNLAFLSYLDLSYNNLTGGIPSGPQLDSLYASNPSMYAGNIGLCGPPLIKGCSSNETSIHSHLRTNEAGADFFYLGLGCGFIVGIWMVFCALLFKKRWRAAYFDLLDNSYSK >KQK93261 pep chromosome:Setaria_italica_v2.0:VIII:234066:238019:1 gene:SETIT_027699mg transcript:KQK93261 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRPAFIKSRTGKWAVQCNLISCCNCLILLLSLVGSIVTGRPIGFRGRAQAGGGGCSSLKQAASYETFPPNVVVKSCIVRSGRTNKCTARVLVDNGVVQVTLSKPQGHITAVGYNGERNLLHYAGQENSGGYRDVVWNYPGSDHPRGMIDMLDSTEFKVISSSQEQVELSFRSTYNPSCQDSVRLNIDKRLVMLKGSSGFYCYAIFEHTSNWPAMNISEARLAFKLNTDKFNYMAISDDIQRYMPSAADRDEPRGTALAYKEAVLLVNPEEPQFKGEVDDKYQYSLDNKDNVVHGWISSNHPNPMGFWVITPSNEFKSGGPMKRELTSHVGPTSLTMFLGTHYIGDDIVLNIGGGEYWKKVLGPVFIYLNSSPKHGDLRALWQDAKAQAQTEVSKWPYSFPKSPDFAKAGKRGSVTGRLMVRDRFMRKDDMPTRMAYIGLAAPGQPGSWATECKGYQFWTTATSCGSFTIGNVRAGVYNLYAWVPGVLGDYMYTCAVTVTPGCAIDLGDLVFLPPRSGPTLWEIGVPDGTAAEFFIPDVDPRYANRLFLHREKLYPDSDQSLTVGQSDHSKDWFFAHVTRKLGNGNVPATRQIRFNLDHVVADGTYTLRIALAAAQMSRLQVHVNGGGLFTTPEFGGGNAIARHGIHGVQWSFEFPIRGYLLQEGENRLSITQSRAFGEFLGVMYDYIRLEGPPGSWRDPTRRP >KQK94354 pep chromosome:Setaria_italica_v2.0:VIII:13604663:13605106:1 gene:SETIT_027122mg transcript:KQK94354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCAWRRRMRGEARGEELAAHAAMRWRHEVAAWQCEVTAWRHGTAPTATLSATFSLLGSLKLQLAPPGTPAVVFQKVRCKLAMPDKNC >KQK95762 pep chromosome:Setaria_italica_v2.0:VIII:37891941:37892120:1 gene:SETIT_027358mg transcript:KQK95762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARKYQTKMHKFVDPLLRAKQHERAQIMECVKVALLCIHHHAKHRPSMSEVVTMLGSIIV >KQK94969 pep chromosome:Setaria_italica_v2.0:VIII:29364820:29369782:1 gene:SETIT_027384mg transcript:KQK94969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTRKALRSNRRRAAGLAWPGSTRYRVTTKGGVHGHGGYHDEVPADPRPLLQNLSPTSIDLPTLTLALTASAHSSLQIHACNLTLMSTSERLSRRSLLSLNYLEHLDLSMNRLEGSSERIPEFLMSSLNNLKYLGNLTKLQYLDLSTVGGTNSMDVSRITQLRFLRYLNLRGTNLSTVSDWSLVTNMIPSLRKHFDNLLSSNSLKVNISSKWWPPFRVQHAHFSTCQMGPQFPAWIQWQVDIVRLYISSAGINDSIPHWFASAFSNAMHLDISNSQLSGGLPETMEIMSVEQLILSSNKLTGQIPPLPRNLTHLDISLNSAGNMVPLGPLPPNFGVPNLHRLFYFPNHITGRIPESICKCEALTSIGLANNLFEGELPINNSFSGAIPSSMQNCTMLRFLDLSGNKFSGRLKFKRLKFLRLSHNNKFSGNIPTNLTSLECLQCIDIADNAISGSRPRDLSNLKSLRQKYPTAFCSNDYDVEGYSSSLTTVLKGQQLNYGFIVKIIGIDLNIIDLSLNNLTGEIPEEIATLGVLVNLNLSRNHFSWNIRSGAMQSLVSLDLSRNELSGEIPEKYHQAHSLSHPIYDQNPFIYTGNIGLCGPPLQKNCSSTHPTKQGPGVELFYIGLGCGFIVGIWVTFFALLFKKRWRIAFFSLLDKLYDKAYVLVVVTWARLTRKTTAT >KQK94664 pep chromosome:Setaria_italica_v2.0:VIII:24443968:24444903:1 gene:SETIT_026959mg transcript:KQK94664 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAKLENPAEQNNTITAQNMKLVLLLPALLLLLVQAQGARPAASPNPKKCTASSVAVEQSNTGEKAGFDPVFEVEVRNTCGCAVRAVYLRSEGFASSVAVDPRLFRREGRDYLVGDGGRIEPNSAVRFSYAWDRAFRMTAAAVHDDCS >KQK93396 pep chromosome:Setaria_italica_v2.0:VIII:933506:934389:-1 gene:SETIT_027416mg transcript:KQK93396 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGKEKKAALEEKLQLLRSVTKSNAENKTSILVDASKYIKELKDKVEEASAADSSSSTTASSAMAATVSVSSVELDSNSSSCRRGFIINVSMERSRPGLLVSVLEAFEELGLDVLDADVSCADDTAFRLQALGSGQSQQGKSVDEQMVRQAVLQAISKCMDDDHE >KQK93270 pep chromosome:Setaria_italica_v2.0:VIII:286688:288463:-1 gene:SETIT_026896mg transcript:KQK93270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYMRGDLLTKTRKLVKGLAKPAPKWLKAMEEAPPVTFPRVDGKVKKIELPEDVYVKKFFKKHPDSLYHDAIKISGFDPPPARVFAWRVLELKEQGVSEDYAMAVADFEYRKEKKAKKKAYKELKEIARSEGKEPPPNPYPSAIKEIQAEEKKYVMDRFYNPKVIEIANKMKEEREMFLRDRAASGQW >KQK93939 pep chromosome:Setaria_italica_v2.0:VIII:6361396:6364308:1 gene:SETIT_0258581mg transcript:KQK93939 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRGRAGRQGDPGSTRFMVSLQDEIFQKFNLDTEWAVRLISSITNGEDIAIESNVVVKQLLGLQINAEKYYFGIRKSLVEFDEVLEVQRKHVYNLRQVILSGDSESCSEQIFQYMQAVADEIVLLNVDPQKPPKTWNLARLLDEFVGLQGKLLIESFKDIQEENLQSALEQMHGSGSVKVDRFALPNVPVPPDSFRGIRKKRSSIMRWFAICVDDTSKKGRYTNTVNLLRKYFGDFLIATYLNAVQESRYDDAYISGIEREVLLKTLDALWKDHLVNMNKLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVEALLHYWSSPMESDEIFNTEDQ >KQK94822 pep chromosome:Setaria_italica_v2.0:VIII:27579917:27585588:-1 gene:SETIT_025931mg transcript:KQK94822 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHSHRPGADAGAGGDIVEMSSAAAAPHEGRDRERVIPHSGPLSKKTGARKSARFAESVSAPLSAPPPRASPSATDDDDYVEITLDVRDDSVAVHSVKPAAHGGAGGEDPDVTLLARTLENRRSSSYGHNVIRNASSRIKQVSQELRRLASINRHGGGGRTLDRSKSAAAHALKGLKFISKAEGAKGWEAVEERFDKLAPNGLLHRSKFGQCIGMREPEFAGELFDALSRRRNISGDTISKAELLEFWDQISDTSFDGRLQTFFDMVDKDADGRITEEEVKEIILLSASANKLSKIQEQAEEYARLIMEELDPGNLGYIDLYNLETLLLQAPSQSVRIGTTNSRNLSQMLSQNLRPTPEPNPLRRWYRRAQYFLEDNWKRVWVMLLWLSICAGLFTWKFVQYRRRYVFEVMGYCVCIAKGGAETLKFNMALILLPVCRNTITWIRNRTAVGRVVPFDDNLNFHKVVAVGITVGAALHIISHLTCDFPRLLRATDAEYAPLGQYFGFPRPNDYWWFVKGTEGWTGLVMLVLMAVAFTLATPWFRRGRLALPGPLKRLTGFNAFWYSHHCFVVVYALLIVHGHYLYLTKKWQKKSTWMYLAVPLVMYACERLTRALRSSVRPVKILKVAVYPGNVLSLHFSKPQGFRYKSGQYIFVNCAAVSPFQWHPFSITSAPKDDYVSVHIRTLGDWTRELKTVFSKVCRPPTEGKSGLLRAEYDRDGSTMTNPSFPKVLIDGPYGAPAQDYKKYDVVLLVGLGIGATPMISIIKDIINNMKQLDGDLEAGSGNDNSVSTASFRTRRAYFYWVTREQGSFEWFRGVMDEVAETDKKGVIELHNYCTSVYEEGDARSALIAMLQSLNHAKHGVDVVSGTRVKTHFARPNWRNVYKRIALNHRDQRVGVFYCGAPVLTKELRELAQDFSRKTNTKFEFHKENF >KQK94128 pep chromosome:Setaria_italica_v2.0:VIII:9272452:9273376:-1 gene:SETIT_027575mg transcript:KQK94128 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPSTLLRSFSSRLSTRLLPSPAVAPWPPVRSAYDRWLAAELDELRADPLAPCTSAAWLARALTLAGAGIDRKTIDECIEDAAELLDACAALRDRLDALRSYATATRVALHWLEGHGAEAAARRAADAFAECEAVERRCGRGAELAKCGSNLCKLGERAVQSSRTPLACGHRSDGEEALSGVHAVAKWECALQEVQRHVREEYDRRRKDGVPCMSELDAAAAAVRAAKCAVAGGRRCPETVMEAASRRCDELEETVAAFEKVGELQRVDRCYDCALGVGSESQRSRAA >KQK93947 pep chromosome:Setaria_italica_v2.0:VIII:6493117:6494849:-1 gene:SETIT_026316mg transcript:KQK93947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTKAAALEVSVEAGNGRDGAWLDDDGRPRRTGTVWTASAHIITAVIGSGVLSLAWAIAQLGWAAGPAVMLLFAFVIYYTSTLLAECYRSGGDPVAGKRNYTYMDAVRASLGGAKVRLCGAIQYANLFGVAIGYTIAASISMLAIKRADCFHAKGHRNPCRSSSNPYMILFGVAEVVFSQIPDFDQIWWLSIVAAVMSFTYSAIGLALGVMQTVANGGFRGSLTGVAVGAGVTSAQKIFRSLQAFGNIAFAYSYAIILIEIQDTIKAPPPSEAKVMKKATMISVATTTVFYMLCGCMGYAAFGDDAPDNLLTGFGFYEPFWLLDIANAAIVVHLVGAYQVFCQPLFAFVEKWAAATWPDSAFISREVRLGPFVLSVFRLTWRTAFVALTTVTAMLLPFFGDVVGLLGAVSFWPLTVYFPVEMYIVQHGVRRGSTRWACLQTLSAACLFVSVAAAAGSIADVIDALKVYRPFSG >KQK95818 pep chromosome:Setaria_italica_v2.0:VIII:38483595:38485487:-1 gene:SETIT_028334mg transcript:KQK95818 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPHLLSLGLLLAIVVTVPLVSAICISLHEKPESKSEAAAAATTGSSVKTLSLDEYGLPKKVAEKPKEENPYEEATSAKASTAYEKPVEEDEKPDKYEESSAPKKKKKENSDDSAKKEKSDDSDVSEFLKKKKKKEKYDDVDESSSFKKEKKEKSSSSDEHVSPNKKEKKEKSDGSDEYVSPNKKEKKEKSNGSDEYVSPGKKEKKEKSEDSDKDVSSNKKEKKEKAKKKEKSNDYFDEEKEEKSDEDPTPADVSTTGEYVPPNKEEKSDEDATPVDISAAGQYVPSAPAKKETSKSSTTTLDAYADASQQPMGGAPDEPLPAAKQSSAGTPDAYASPTAQGASQQQPMGGAPDEPLPAAKSSDPYAASSQPMGGAPDEPLPAAKSSDPYAASSQQPMGGAPDEPLPAAKSSDQYAASGQQPMGGAPDEPLPAAKKPKLSMETFGGMIRKPIAKMLNPVVKRVCANTQYPEDCEASIAGLPGSVPAAQTAAADAIGVLRLAMEAVREKVVEAMNAATDRMNAPGTDATVKEALDDCTSSYSDIKSNLETVDDALKRGDLATARTNLDSVETDVTTCDDGFNERGTPSVMTDHDQELQKLASDLIAIGANSIHHA >KQK94346 pep chromosome:Setaria_italica_v2.0:VIII:13555713:13556365:-1 gene:SETIT_027644mg transcript:KQK94346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRSWMKKVRWETAYEDGVEEFLALAYRDLPQDSEILCPLRTHLRCDGILQGYTTWVHHGENYDRPSIAFVDVLNITTLPALGIVQDCRDGESDSMQEPLHATFGRAAGMPQGEANDFQSGFADMEHNAPEDIVNLAQGDDSGRDQNIYARFLKDAHIRLYLGCKYSRLSFLVHLYHLKCLNGWSQELFAALPGLLSAALPL >KQK93811 pep chromosome:Setaria_italica_v2.0:VIII:4613855:4614857:-1 gene:SETIT_027987mg transcript:KQK93811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAPRSRWHGQDCDSIPPTSGMRPPTTAPVPESHGCHLCRSSRAATSPCCMSATRIATAVTVYAPSGAERASCSDVTPALLRRGDGELMVAYLTVSHNKPRDTKELSILHCSQSTMSGSSTTRPPRAPVRAASGRAHRWSFFDGHPYMSYSRNMGAAGTGVVRFVGVELECYCGGHDRTTCMRGPFFFTLTTWTMTLSSMDEPMTWVKDGMLDCEELWALPAYEGLLHVTVESPMVTSDDPDVVCFMVCECDYVKFSDRKVWIVEVDTMREELCGPSSPTSPVGSRRTLYL >KQK94738 pep chromosome:Setaria_italica_v2.0:VIII:26035678:26038057:-1 gene:SETIT_026775mg transcript:KQK94738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAKKFLQLVEEKEKRILEKKEAPLKWEQKLEAAAKAKADAEAKAKKVKSRKHRKRGDSSSDSDSDSDSDVDRKHRKRKEHKRNRKHGHSDSDDARRRKRRSNRRSSDSSDEGNSECESGSEDERRRKKHSHRRRHHRHSSRSDSEDYSSDEEEWRSTKKDHSRSRRRRHRSSDDDSDSEGKVRSRHRKRQRSSDEDAPSDSNNHKHHKSRSLEESSDERAADESEKMRNGKSSSSAEPNDDQKALEGDSAD >KQK94438 pep chromosome:Setaria_italica_v2.0:VIII:16604053:16604480:-1 gene:SETIT_027211mg transcript:KQK94438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLTVAMELVIQHYRRLTILTRSIFLYCCGLGTPRLHNSGLQCVFQSPLDSIIEHNPKVVKCCY >KQK95756 pep chromosome:Setaria_italica_v2.0:VIII:37832585:37832896:1 gene:SETIT_027965mg transcript:KQK95756 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVELERRCPRPEVAKPAVKASLALVKAAAEAADTQPSSTCRCCRCGAVAPLVFLVPEEYVAADPVSPILPQVAAAQKRRVACRGGRAATRFSLDLTAIRKSE >KQK94543 pep chromosome:Setaria_italica_v2.0:VIII:21530172:21531428:-1 gene:SETIT_027580mg transcript:KQK94543 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSKRREFERTVQFQNYRSDGAILNLAAHDPIDWPNIISIWKSLIVQKYIQNQHNIGSRVEDMITYLETFLGESVKVLWEQWVETYPHYYEELKRAGSNPYNFANIISSIVIDEDPELGYTALQNERLKEIEKLTLTNWKGIKEFSQHYLYNATTAKQGYNKSIVERYFNKLPDPLGSMIFEEYKKESNGREYNISQAITFVFKQLRKICTSIQAQRSMKQSDYNFCNKIVQIPLTYGEEKYRNKKYPKNYKKGNVKTKKRYFLRRSDNRAPFLHKRNVRRYNPRKNYDSTCRFFICNSPDHLSKTCPNKDKKRYSNKQEEQENVLIIDSVNENILVCDDDIMDDESIYSIIETDEIEYNEEDESSDEEINLIEELAGLKIEMMDQIIRDHKRDDVNIKCVFCIYYQDPEKGLLAVYV >KQK94732 pep chromosome:Setaria_italica_v2.0:VIII:25827850:25828901:-1 gene:SETIT_026857mg transcript:KQK94732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHGQWEGCSKSEIYTPEMLNLMDSTQPDFSAPSGESLRQVQFRMMEFLNRTILRLPEKVAMGDTLSQQNEAKWFSRQSSSNSVQDGPPWDLLYRLNPHSLQRKKSGKSRLQFVTSGDNDPEDDFSPKDINQRHILHKGSLGSSVTTSIAIFSHATPIRCLIAGLLDCNPMMSQRICIDDSSVTVLQHSSRTGWQIKRLNDTAHLRLL >KQK95168 pep chromosome:Setaria_italica_v2.0:VIII:31606655:31607290:-1 gene:SETIT_028135mg transcript:KQK95168 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CSDGLSSTSSSSTMAGMVWPNTWDQQQQPDAGASPSPGETEKREGFIGVRPRPWGTFAAEIRDSTRRGARVWLGTFDTPEAAALAYDQAAFSARGAAAVLNFTVDRVRESLAPLALAAGAGGGSPVLALKRRHSKRRTRRRRKRLCSKCVADGKDLQPPCQCSDVSATAMAVPQQQVTAARCQVRFGVLELEDLGADYLDELLRISCELAA >KQK94583 pep chromosome:Setaria_italica_v2.0:VIII:22681478:22681983:1 gene:SETIT_027903mg transcript:KQK94583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLHISTKRWHDVARVRKLMRQEDLGILSDHSWITTKDNDNNLYQLLETLLEKAKTIDYEPYQNAELYDSEDDEKPAAGSLKYHSERLAVALGLLQTPPGATIRATENITMRSDCHSSIKLFSLLANREIVVPDSKRLHKFKDGHCSCGDFGAL >KQK94780 pep chromosome:Setaria_italica_v2.0:VIII:26820056:26821129:-1 gene:SETIT_027293mg transcript:KQK94780 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNNRNNGTNNENNVMEPYATKLVNPTLQDGGEQQPRPDVESSEEEEDDNSDDDCGSYSTPPEYKPSPPRSRRCLEEDDPEYDPVADHQEVATFAPQPSATTASCSNPKRKHGKQSRNQIPDKGTLVIEELDAKGEPILPEVISARFQNICGVIIREKLQNWITTSNWKKVPTTTKDVLWATVKERFNFPEGQEKFKGKYARDDFVKIPIDMWEEFKQQKNTLEAKALSEENTAKAMKAAENPHHLGAGGYVAKIAKWRREEVERRRACLPNMFVGLDERSRNWVLA >KQK94157 pep chromosome:Setaria_italica_v2.0:VIII:9918840:9924774:-1 gene:SETIT_025861mg transcript:KQK94157 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSSPLLLLLLLHFALLSADAVAGGDNGGGGDLRGDAAALLALKAALSCRPGALPSWEAGNAGAVCAWTGVRCAGGRVVAVDLANMNLNASSGAPVSVRVAGLDALESLSLAGNGIVGLVAASSLPALRHVNVSGNQFGGGLDGWDFASLPALEVFDAYDNNFSAPLPLGVAALPRLRYLDLGGNYFTGEIPAAYGGMLAVEYLSLNGNNLNGRIPPELGNLTTLRELYLGYYNAFDGGVPPELGRLRNLTVLDISNCGLTGRIPGELGALSSLETLFLHTNQLSGPIPPELGNLTSLTALDLSNNALTGEVPRSLASLTSIRLLNLFLNRLHGPVPEFVAALPRLETVQLFMNNLTGRVPAGLGSTAALRLVDLSSNRLTGVIPETLCKSGELHTAILMNNFLFGPIPGALGSCASLTRVRLGQNYLNGSIPAGLLYLPRLNLLELQNNLLSGEVPSNPSPGGSSQLAQLNLCNNLLSGPLPATLANLTALQTLLASNNRLSGAVPPEVGELRRLVKLDLSSNELSGPVPAAVGRCGELTYLDLSRNNLSGPIPEAIAGVRVLNYLNLSRNALEGAIPAAVGAMSSLTAADFSYNDLSGRLPDTGQLGYLNATAFAGNPGLCGLVLGRPCGGVEAPASAGGGARRGGAGELKLVLALGLLACSVVFAAAAVLRARSFRTGGGDGGAWRFTAFHKVDFGVAEVIECMKEGNVVGRGGAGVVYAGRTRSGGAIAVKRLQRNGGAGAGNGENDDRGFRAEVRTLGSIRHRNIVRLLAFCESRDANVLVYEYMGGGSLGEVLHHGKRGAFLAWGRRYRIALEAARGLCYLHHDCTPMIVHRDVKSNNILLGGGDGDGGDEARVADFGLAKFLRGGGAAGAGNATSECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSYGVVLLELITGRRPVGDFGEGVDIVQWARRATGGRREAVPGITDRRLGGDDAPADEVAHLFFVSMLCVQENSVERPTMREVVQMLAEFPRHAASSSSSSSSQTSPSASSTSAVPPPPGREESSPDGKDPTPPAAPSCYKLFVPDLLA >KQK95650 pep chromosome:Setaria_italica_v2.0:VIII:36856932:36864976:-1 gene:SETIT_025836mg transcript:KQK95650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETLASMRRPKRGRPPKPREEYHADFEEDDDAEGLAPPHSKRKRAASAAAAAALEDQALIDIIKHNGRLINHAVKKLVEDYESNKNSVIFQILAMLFEACGAKHEIYPDYLHESDVDDIVLSLGLVEDNYSTKQKDLKHFKENLVSFWDSFVLECQNGPLFDDILFQKIKDYVVALSCTPPRVYRQVASLVGLQLVTSFISVAKTLSGQRETTQRQLNAEKKKQSDGPLVESLNNRLTLTHEHITYLEEYMRKIFSGLFMHRYRDVDPEIRMACIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRASILALQSLYEVDDNIPSLGLFTERFYSRMIQLADDIDVSVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNIKTSHPGGRDGENEPSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMRDWRCMISLLLDENPAIELTDMDGTNLVRMLQASAKKAVGERIIPAMDNRKLYYNKGQKETLENSRREITVALLTRYPQLLRKYMSDKAKISPLVDMMVLLKLEMYSFKRQEKHFKAAIDLIYDAFFKHGEKDALRSCIKALAFCCTECQADLKDYAENKLKNLEDELVLKVKTAIKEVEAGDDEYSLLVNLKRLYELQLSKPVKNDSLFEDMYRILSHLREMDNEVKSFLLLNMYLQVAWCLHAIDGENPSETSIDELLSKQSSLFDQLYYYLVVLPTYQKEGRSTTVLSCRVCIITAEMWCLFKKSKYSSTRLESLGYLPQLDMVQKFWKLCEQQLNISDETEDEDANEEYVEDTNRDAVMIAAAKLVLADTVPKDYLGPEIVSHYVSHGTSTTEIIKHLITSLKKNADFDMAALFFEALKRAYERYMTHVNDGENQTLTGKSYSECQDLASRLAGSYVGAARNKNKSEIFKIIQDGVSFAFADLPNQLPFLEAALLPFVSKLPSADIPDILADVEKRTQDADMNGDESAWRPYFTFVEHLREKHAKNEVLHEEEEKPVKRRGRPRKVRDVPEAPNIPDVRGKKLFKDDGHNSSDEESISASDHHGHGEDDDSDDDANQPLINTIRSSSAKLRSLKVSQQGTSSRKGAPGPSASNS >KQK95649 pep chromosome:Setaria_italica_v2.0:VIII:36856514:36865058:-1 gene:SETIT_025836mg transcript:KQK95649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEETLASMRRPKRGRPPKPREEYHADFEEDDDAEGLAPPHSKRKRAASAAAAAALEDQALIDIIKHNGRLINHAVKKLVEDYESNKNSVIFQILAMLFEACGAKHEIYPDYLHESDVDDIVLSLGLVEDNYSTKQKDLKHFKENLVSFWDSFVLECQNGPLFDDILFQKIKDYVVALSCTPPRVYRQVASLVGLQLVTSFISVAKTLSGQRETTQRQLNAEKKKQSDGPLVESLNNRLTLTHEHITYLEEYMRKIFSGLFMHRYRDVDPEIRMACIKSLGIWVVSYPSLFLQDIYLKYLGWTLNDKNAGVRRASILALQSLYEVDDNIPSLGLFTERFYSRMIQLADDIDVSVAVSAIGLIKQLLRHQLLSDDDLGPLYDLLIDEPPMIRRAIGELVYDHLIAQNIKTSHPGGRDGENEPSEVHIGRMLQILREFSDDPVLSSYVIDDIWDDMKAMRDWRCMISLLLDENPAIELTDMDGTNLVRMLQASAKKAVGERIIPAMDNRKLYYNKGQKETLENSRREITVALLTRYPQLLRKYMSDKAKISPLVDMMVLLKLEMYSFKRQEKHFKAAIDLIYDAFFKHGEKDALRSCIKALAFCCTECQADLKDYAENKLKNLEDELVLKVKTAIKEVEAGDDEYSLLVNLKRLYELQLSKPVKNDSLFEDMYRILSHLREMDNEVKSFLLLNMYLQVAWCLHAIDGENPSETSIDELLSKQSSLFDQLYYYLVVLPTYQKEGRSTTVLSCRVCIITAEMWCLFKKSKYSSTRLESLGYLPQLDMVQKFWKLCEQQLNISDETEDEDANEEYVEDTNRDAVMIAAAKLVLADTVPKDYLGPEIVSHYVSHGTSTTEIIKHLITSLKKNADFDMAALFFEALKRAYERYMTHVNDGENQTLTGKSYSECQDLASRLAGSYVGAARNKNKSEIFKIIQDGVSFAFADLPNQLPFLEAALLPFVSKLPSADIPDILADVEKRTQDADMNGDESAWRPYFTFVEHLREKHAKNEVLHEEEEKPVKRRGRPRKVRDVPEAPNIPDVRGKKLFKDDGHNSSDEESISASDHHGHGEDDDSDDDANQPLINTIRSSSAKLRSLKVSQQGTSSRKGAPGPSDAAVTTKFRLAQLHEENRPGGAAVFICWLTACFRHLGVVDYCT >KQK95661 pep chromosome:Setaria_italica_v2.0:VIII:36945295:36947564:-1 gene:SETIT_026762mg transcript:KQK95661 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAGSGERWSLAGATALVTGGSKGIGHAIVEELAAFGARVHTCSRNAAELEECRRRWEEKGLTVTVSVCDVGVRADREKLMDTVRDTFAGKLDILVNNAAQLISKPAMEFTAEDYSRLMATNLESCFHLSQLAHPLLLNTSIAGGGSIVHISSIASVMALPELTLYSIAKGGMNQLTRSLAAEWARDNVRVNCVAPGLIETDMSKGVGKETLEKYEQKIPLRRRGQPAEVASVVSFLCTPAASYVTGQVVYVDGGGTISA >KQK94253 pep chromosome:Setaria_italica_v2.0:VIII:11939094:11939486:1 gene:SETIT_028485mg transcript:KQK94253 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGKTSIRFKGDDALEEGKPAPWTEELMRAARQMGGGAGGQSACTEGWASAA >KQK94152 pep chromosome:Setaria_italica_v2.0:VIII:9881266:9882545:1 gene:SETIT_026819mg transcript:KQK94152 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTRLAASFHVPPASLQAIPYAMLVALVPAYEAAFVPAVRRLTGVATGITPLQRIGVGLFAVTFSMVAAALVEARRLHAAADGDGHLLSIFWIAPQFLVFGLSEMFTAVGLIEFFYKQSLAGMQAFLTSMTYCSYSFGFYLSSVLVSLVNRVTSTSAAGDGGWLANNDLNKDRLDLFYWLLAGLSILNFFNYLFWARWYSKSVETVQVAGVVRGGGEQDDEKDTSEC >KQK93493 pep chromosome:Setaria_italica_v2.0:VIII:1520134:1522714:-1 gene:SETIT_026942mg transcript:KQK93493 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWKAAEKLIRHWKILRGDNVMIIRGKDKGETGLIKRVIRSQNRVIVEGKNLVKKHIKQGEGHTGGIFSIEAPLHVSNIQVVDPVTGKPCKIGYKYLEDGTKVRFARGMNASGAVIPRPEILKERKKPRPTSPGPKDTPIELVLEKTYDEKAGVGMPDL >KQK94996 pep chromosome:Setaria_italica_v2.0:VIII:29661998:29667259:1 gene:SETIT_026436mg transcript:KQK94996 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGGEAKANPGGGGGGGGRKRKFLPHGKPVRKGAYPLRPGVQGFFITCDGGRERQATREALSLLDSFYEDLVDGKGSDEKPKGIPDKPMNKKIKFEDSDSSDDEDKDHSVEEADNGNGNDVEKGETAPAQQQQEVLDSSVPASKDDEEQAGTAEEPREKKQRVEDPPVSEQTEQKEAADETKESTDEPKESTDEPKESTDEPKEPTDEPKEPTDKPKDPTGKPKESSERNIDDLIDEDLKELGDRKKRLFASLESGCNGCIFIQMHKRAGDPGPVEIVQNMMSSAASTRKHMSRFILRVLPVEVACYASEEEITKAISPLVEKYFPTECPGHKFAVLYEARSNTGIDRMKIINAVAKSVPQPHKVDLKNPDKTIIVQIAKTICMIGVVERYKELAKFNLRQLTSPESEK >KQK95045 pep chromosome:Setaria_italica_v2.0:VIII:30262719:30263655:-1 gene:SETIT_027584mg transcript:KQK95045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRHRHHVTLAAAKSHAALLKSGISSPTPWNQLLTAYSASGSGLASARRVFDEIPLPDAISWNSLLAAHVAAGAHPEAWRLLRAMHARGLTASTFSLGSALRSAAAARRPALGAQLQSFAVKFGLADDVIPASALLDVYAKCGRLSDARRVFGGMPKRNTISWNALIAGYSESGNLAQAMALFLEMEREGCYFLMQELHGKIVKYEEALSLVVSNAAITAYSQCGTLADSRRIFDGIESRDLISWNSMLGAYAYHGMDDEAMGFFVRMMRESGVQPDMYTFTSITSIIRCMQVL >KQK95413 pep chromosome:Setaria_italica_v2.0:VIII:34276856:34277721:1 gene:SETIT_028338mg transcript:KQK95413 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKTFEQLIPPHNLEYLTILRFFGCNYPTWLGATTHLSSMKYLQLMHCKSCVHLPPIGHLPNLKFLKIQGATAVTKIGPELVSCATGSNTGSSVAFPKLEMLVIVDMPNWEEWTFGRSNSCESLESVLNLPRARDLRELGNLEQLWLDVGMQDISSLWVPELKQQRQQLHGEDLDIYTYALDLEVERRMVRWQ >KQK93366 pep chromosome:Setaria_italica_v2.0:VIII:787333:787862:1 gene:SETIT_027874mg transcript:KQK93366 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGDPRLKEANPDAHRLWQTKLPTKIIFSGWLLHHGRLNSRASLYHHHIRKLEESYCEQCSGTLETDSHIFSQCPTGHLHPELHLPEDVHIDVILLMLWQIWKARNALIFDHKTSSAGDVIRRVINDMDSWSCRYKKTRPQWNCWRDFLCSRL >KQK93587 pep chromosome:Setaria_italica_v2.0:VIII:2154490:2157075:-1 gene:SETIT_027706mg transcript:KQK93587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQHLGNTTSVTSMHHLRELWNSPRGTVLRIQALAVVAILITFFLAAFGSCRRWSNRWIVQKGFFAAHVLSLSLGTYSIGLMQSSSVKSEMYPIWAVSLFTLFGCIDPVTAYNGLDYKGPLSKMVFGIFLNCGYVLLMSISTISSVVGNTAIGGIAAITFIKGFHRSLALMQQSRMRNMVQIMDEEELLKINKYQWLRQPCYDVCVAMFLSHRLQRHFLGLSDRVPDPQQLKNIGCNWAWALKVIEIELALLFDVFFTGNPFLHYYQAKTASLWALASFIGICFVGVAAAIPGTFHIASGPGGCINIVGTTTADLVITFVILVSLALLQLMNLIRCWTSNWARVAIACTYATNLVYTFDDDRFREKETPYWLRRWMGLKAFVATSCNWFDKYLWQEKIGQHSLLPEGRLTAKKEGEGSSRTETELLSSLTSMVGNFYQKCVRLVKVLGLDYIGEVLWDLLGSDTNKTTTVRLDDDVKGYVIDFLHQIKTDRVDTNWLSFANKKHPIGLALRDLPDDTNIPQRGCRYLEYIMELHIATWYCELVEQELGKQDALSKAEERIGCRETATGYLKKAAAAIAGCFKKEAGGRGEREENRNRRVANALSKYCAYLVVSTPELLPEPARGKKHAYDVFANSARIELDQQEKDTFLGALSDPKIWKDLASDSSGNTYFLNGVRLAKRLLGDDPPLVEGISRPDHWEPLALMWVRMLVYAAPYGNVEAHMRHLQHGGEFITHLWALLYHLDIREWKKRNSPKDPQNINTIDDAERILEKDDQAVIAFLDSLSVPSLTLSSLHYYLIYILGLFGNAITVICKPYSDP >KQK93918 pep chromosome:Setaria_italica_v2.0:VIII:6033385:6035947:-1 gene:SETIT_028133mg transcript:KQK93918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PMAEQMIGWPNCSTTCGSVHVPYPFGIGPECSWPGFNLTCNTSYNPPMLFLDSNNAFRVIDILLRDSTVRVTQQTFFAYYSCDNESVSKQLEDIISEPYMLSARNEFILYQSGVDVRATMHGEYRNGGGSSSNTSDSIIADCTSNCSSVRVGDHGAGIHAGDHCYNHDGFCRVPISTGSTPKKLEFKCLNPPPSGDILNPRYALAFISEEGLSDHWYRILNRISTGLNMVSPPLVLRWAVKQGISAPSADSSGQCPVGVASLLCKSEHSICRHENGGFTCYCSTGYHGNPYIADGCQDIDECNITAIRNSCFGDCNNLHGSFECRCERGTHGNPFKSGGCVRSPTGLIIGLSVASGPALLLLVLGILLATRKIKQRRIKELKQKYFKQNRGQLLQQLVSQNADIAERMIIPVDELAKATNNFDKARVLGGGGHGIVYKGILSDLHVVAIKKSKITVQKEIDEFINEVAILSQINHKNVVKLFGCCLETEVPLLVYEFISNGTLHHHLHVEGPRSLSWGNRLRIAAEIASSLAYLHSSVSIPIIHRDIKSNNILLDDTLTSKVSDFGASKYIPVEQTGLTTKVQGTIGYLDPMYFYTGRLTEKSDVFSFGVILVELLTRKKPSYLTSEGDGLVSHFINLFAEGNLVQVIDPQVIEEGGEEVEQVAALAASCINLRGEERPTMRQVEHTLEGLRGSKMYKNNGMVASDFMDDGVMISSPSSTIEGQRLFEESSRRYSLEQEMIMSERYPR >KQK94562 pep chromosome:Setaria_italica_v2.0:VIII:22077990:22078925:1 gene:SETIT_027823mg transcript:KQK94562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSTKPPAAPFLPAGAVLPAPRSESEATGGKTLLMDTESYSDAKKLPNSRCIRSPKLDAGGRSAGVKRLEPSSKAAAAPVSGLHADIAGLLVAKEGKDVDFEVGGKMFAAHRCVLAARSSVFKADLFGPAIEEDTTYIRIDDIIPEAFDALLHFIYTDSLPEMNLHAVELMAQHLLVAAQRYDLKDLKSIMENRLCSQVDVTTALCSLVLAEQHKCSMLKKKCLDFIASGENARKVMESNGAEDLVKTCPSVVRDLIIKVLNASRSQLGFTKIIFYVAVSIGILNLNSVCFLKLISVVLMVLLVFVRYHA >KQK95962 pep chromosome:Setaria_italica_v2.0:VIII:40168134:40170701:1 gene:SETIT_026459mg transcript:KQK95962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLVLLRKKYKSLRQHEQLRWEQIMMEAVMPKNADLKRLSKLAVFKDIIAKAESLGKGYQNRQHSLFGLMRDEFTHGVEHRFDKSVKPWKEKFKDDDGIELMIPAHLDDLAARIIWCLVRNSIDITAELLACQVRCYCPHCREKTSDMTKATGPKPSDSKEATGLGLSSCAKGGTDSGPSQQAESSIKKGNPVSHAAASKKGPSQQAESSMKERTPVSFPVPFASLFKPALKPPSSVPPQKPQSSEANKPKPQSSKANKPKSPPPEDDGGFQIVKSKKGTRRR >KQK95963 pep chromosome:Setaria_italica_v2.0:VIII:40168184:40170701:1 gene:SETIT_026459mg transcript:KQK95963 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKKGCRILSSLLKCFTKTFAKGKSWAGQFTLEYFKVVNEHVKVLIIARLNLDSYNLKADLRGLVRLIRSTFSLNTKYPPYLENLIVFLESRISPLLLRNEILYIETHISCIESIDRGMLLVLLRKKYKSLRQHEQLRWEQIMMEAVMPKNADLKRLSKLAVFKDIIAKAESLGKGYQNRQHSLFGLMRDEFTHGVEHRFDKSVKPWKEKFKDDDGIELMIPAHLDDLAARIIWCLVRNSIDITAELLACQVRCYCPHCREKTSDMTKATGPKPSDSKEATGLGLSSCAKGGTDSGPSQQAESSIKKGNPVSHAAASKKGPSQQAESSMKERTPVSFPVPFASLFKPALKPPSSVPPQKPQSSEANKPKPQSSKANKPKSPPPEDDGGFQIVKSKKGTRRR >KQK94724 pep chromosome:Setaria_italica_v2.0:VIII:25759438:25760339:1 gene:SETIT_027697mg transcript:KQK94724 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIYVENDRFEKKDRSSGQKKKKVVKQVYRVKRDGRKNKSSDLDSISEKIINVLSTLATNGKGKEKSVIDPPRAKSEKNKLKAPKNKKRALLSKTKAKSSYPLGLSNWQKKKLQKLSVPELRKKSMAWVRKGSIQIQNKDDEKRRYERRSPKLRFAPNHQNYWSLHHPFAL >KQK95075 pep chromosome:Setaria_italica_v2.0:VIII:30551538:30553974:-1 gene:SETIT_027712mg transcript:KQK95075 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAHAHHQQQHPRQLRAALLRRGFPIPPPPEANPHPDRAHLAAIRAAAAASAPRLALAACACLRRAGLPAPGPLALPALLRSAARCDGAGAYVGGAHAVAVRVGALGDGFVGTALVGAYAACGRVGDARRVFDGMLVRDVVAWGVMLDSYCQTRNYKAALLLFAEMKRSGVVPDQLILATVLSACGHLRHLRIGKAIHSYMLVSDILINAHLSSALINLYASCAKMDIAEKLYNGMPRKDLVSSTAMVFGYAKNRKVEIARSIFDGMPEKDVVSWSAMISGYAESNQPNEALNLFSDMQEHGIRPDEVTVLSAISACANLGSLYKAIWIHSFTENNGMTKILRICNALIDMFAKCGGITLALNIFNEMPHKNVITWTSMIAAFAMHGDGKSALVMFEQMKKEGVEPNKVTFLNLLCSCCHAGLVHEGRSLFRCMVQEYRIEPMHEHYGCMVDLLGRAKLLREAVDLIESMHLGPNVAIWGSLLAACWMHGDLKLGAFAAKKVLELDPNHDGASVLLSKIYAKSGSWNDAEEVRGVMKLHGFSKETGSSWMELNDPNHKFAAGGDKHPECDKILLKLDGKVS >KQK95162 pep chromosome:Setaria_italica_v2.0:VIII:31566759:31568144:-1 gene:SETIT_027496mg transcript:KQK95162 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASMDSGADNAGGESCLSSSTAYPFEYGCGFQQCRVFLFAGDVFDGTPQNQKRPRFDMGREPPPPPPQASTWASVHTDILGVVLNFLPCAADRAAVRSVSRHWRAAARGHCLPPPLLVLVLPKFRASEFVSHGNGECLLVNAFSDEVIHLPRLKANCNSSINDNDSGFVHTANDKKYGMLLCNNAVLSATPNSGSKCIVAAIFQYTMSARRLALWQAGMTSWYVCRGDCIAWGSDLAFYHGKLYMIWKYMPYVHAFELGEDEHGVNHNYSLRFQMVVWRGKLLLIIRNFDARKIEPEIVKVEVFALDISTSRVTEIHSFDGDCIFVDNRGCKSFSAGLHVGVQGDLIYFADGYDGVFSYKNRLFDAFVCNITDGTIRPLAVEFSPTNSGAPEVHLDIPVWFCPSE >KQK95977 pep chromosome:Setaria_italica_v2.0:VIII:40269844:40270391:-1 gene:SETIT_026973mg transcript:KQK95977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSTARSTAAAADLLLYLSLSTASKVIAKKGGGGGGGAFRCRTCGRCFRTFQALGGHRTSHKRPRVRADGLELLLGARPGKGAASDVHRCNTCGMVFATGQALGGHMRRHRAVPETTATATAFGDSEDDAGHLPIKLIEFI >KQK93674 pep chromosome:Setaria_italica_v2.0:VIII:2955557:2960967:1 gene:SETIT_025960mg transcript:KQK93674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGDALRRLCEEIGWSYAVFWKAIGAADPVRLVWEDGYCGHTSCPVGSESSEALPSDTGCSVPAADTICSLVNKVMASEIHVVGQGTVGRAAFSGNHQWIVHGTANGHELSSEVAAEMNNQFRVGIQTIAIIPVLPRGVLQLGSTGLVMENTNFVMFAKKLCSQLNNRSSMAASASVKNASSQHGQSRSVHGVIHVRSDDSSSKICSQFPVTSEQNSCPGTATVSTSTPPNALLNASLLKVAQQNGHPVRENFVYAKPDVRFIQQASYRDSRLGTNTQSVAMSSGLISPSLTSVKKQSLLMNGIGELEFSNNAHSSADLARNVILRSLVHQDPSACENTNINIHRGRYVVSNDRNGHGDFDFLTVGARSSGANLCTSVPSQVLDHTSGTLQQKQSQVLSKVPQSSEISKKMANPERGSFRVPSAPASESDGQVSSSLNVGQENQLSRSNHLRPDQNINRVNDPSANVSTQGMKNLDVCELPGMPSERASSLLVEPAADNDLFGMFGAEFNQFTHSVGADLVSWSGAESQNSDRNVPEPSTYLDNSPLFSSLDTELHCSGMFSLTETDQLLDAVISNINPSGKQCPDDSASCKTALTDAPSTSHLGPKDLKQCESSGVPSVLIKHESVQFVKQPCFFEKPEDGCLSQNNGMHKSQIRLWIESGQNMKCESTSASNSKGVDTPSKANRKRSRPGESPKPRPKDRQLIQDRIKELRELVPNGAKCSIDGLLEKTVKHMLFLQSVTKNADKLKDSTESKILGGENGPLWKDYFEGGATWAFDVGSQSMTCPIIVEDLDRPRQMLVEMLCEDRGIFLEIADFIKGLGLTILRGVMEMRKSKIWARFTVEANRDVTRMEIFLSLVRLLEPNCDGGAAENANNMNKPLGLVHQPIIPATGRIP >KQK94844 pep chromosome:Setaria_italica_v2.0:VIII:27933830:27940211:-1 gene:SETIT_026374mg transcript:KQK94844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAKSVDFPANGGFASDPNESEGHGDIEAGGSASIPAPATTPRPSRGQRLASLDVFRGITVVLMIIVDDVGGLVPAISHSPWDGVTLADFVFPFFLFIVGVSLAFAFKRVPNRALATKKAMIRASKLFLLGLILQGGFFHSIHDLTYGVDIREIRLMGVLQRIAIAYLAVALCEIWLRGGASDIGAGGYALIRRYRHQLFVGLVLTVTYMTVLYGMYVPDWEYEVIYPDTTFKHFKVKCGVRGDIGPGCNAVGMIDRRVIGIQHLYTHPVYLKTAQCSINSPRNGPLPPDGPTWCEAPFEPEGLLSSLMAIVTCLIGLQIGHVIVHFKEHGERIVRWSIPSLSLLILGFLLDLFGLHLNKSLYSLSYTCLTTGTAGLFFAGIYLLVDVYGYKKPLFPMEWVGKHALIIFALVACNIAPILVHGFYWREPQNNILKFIGIGG >KQK93364 pep chromosome:Setaria_italica_v2.0:VIII:783687:784184:-1 gene:SETIT_027181mg transcript:KQK93364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIDDTPIHAEQRVGNNGCLKELMSIPLARRERGLPTVISAGNGMPSTVTVSVVSEPTAHVTTDCYHQATDCFS >KQK95454 pep chromosome:Setaria_italica_v2.0:VIII:34534244:34534723:-1 gene:SETIT_028408mg transcript:KQK95454 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWHKTISTTTEICIIQLYDATILNILSVHVFICIFLSTLKSS >KQK95771 pep chromosome:Setaria_italica_v2.0:VIII:37909909:37911522:-1 gene:SETIT_026483mg transcript:KQK95771 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIRRAAQQATRFAMGRPHVPRNAPAARSLHQASAGSSKKIVGVFYKGGEYADRNPNFVGCAEHALGIRGWLESQGHQYIVTDDKDGPNCELEKHIADAHVLITTPFHPAYVTADRIARAKNLELLLTAGIGSDHVDLPAAAAAGLTVAEVTGSNTVSVAEDQLMRVLVLVRNFLPGHHQVISGEWDVAGVAHRAHDLEGKTVGTVGAGRIGRLLLQRLRPFNCRLLYHDRLRIDPALEAETGAQFEAELDAMLPKCDVVVLNMPLTEKTRGMFDKERIARMKKGVIIVNNARGAIMDTQAVADACATGHIAGYGGDVWHPQPAPKDHPWRYMPNNAMTPHISGTTIDGQLRYAAGVKDMLERYFKGQDFPVQNYIVKEGKLAGQYQ >KQK95770 pep chromosome:Setaria_italica_v2.0:VIII:37909669:37911610:-1 gene:SETIT_026483mg transcript:KQK95770 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMIRRAAQQATRFAMGRPHVPRNAPAARSLHASAGSSKKIVGVFYKGGEYADRNPNFVGCAEHALGIRGWLESQGHQYIVTDDKDGPNCELEKHIADAHVLITTPFHPAYVTADRIARAKNLELLLTAGIGSDHVDLPAAAAAGLTVAEVTGSNTVSVAEDQLMRVLVLVRNFLPGHHQVISGEWDVAGVAHRAHDLEGKTVGTVGAGRIGRLLLQRLRPFNCRLLYHDRLRIDPALEAETGAQFEAELDAMLPKCDVVVLNMPLTEKTRGMFDKERIARMKKGVIIVNNARGAIMDTQAVADACATGHIAGYGGDVWHPQPAPKDHPWRYMPNNAMTPHISGTTIDGQLRYAAGVKDMLERYFKGQDFPVQNYIVKEGKLAGQYQ >KQK95806 pep chromosome:Setaria_italica_v2.0:VIII:38202096:38202487:1 gene:SETIT_027447mg transcript:KQK95806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQVPCLKLCTPAVLQEVSDSNCVYRNVVDHAAGEFTQALFEDVASDPAPPRARSVRFAACGHGEAFFFFQATVRGEERVSLFFVCCNPSCGHRWRN >KQK95414 pep chromosome:Setaria_italica_v2.0:VIII:34278338:34279777:1 gene:SETIT_027901mg transcript:KQK95414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGSSRSPSRPLRIVICPWLAFGHMLPYLELAERLASRGHHVSFVSTPRNLARLPPRRHVIDLVALSLPRVEGLPDGAESTNDVPSDRRELLWEAFDALAAPFAEFLAAACAIDADNGNSKRPDWVLADTFHHWAPAAAREHGVPCAMLLPSAAVIAAFACGAQGHAELAADTTVGGGRPPGMPRYEWEGDAPLFAVLAASGLSIARRTSLTLERCTIAAIRSCPEWELDAFPLAAALLGKPLVPLGLLPPSPDGGRATDAHRDDAAVRWLDVQPDKSVVYVALGSEVPLRVELVHELAHGLELAGTRFLWALRKPRGVSDADVLPAGFLERTHGHGLVTMGWVPQIAILAHGAVGAFLTHCGRNSLIEGLLYGHPLIMLPIFGDQGPNARLMERRKVGLQVERNDDDGSFDRHGIASAVRAVMVEEDTKKVFVANAMKMQEIVADKELHERYVDEFVQELRSYITDGNSSTPADEM >KQK93650 pep chromosome:Setaria_italica_v2.0:VIII:2709677:2711105:-1 gene:SETIT_027272mg transcript:KQK93650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPNTVRVAVGILGNAASMLLYAAPILTFRRVVKKGNVEEFSCVPYILALFNCLLYTWYGLPVVSSGWENFPVSTINGVGILLEITFISIYIWFAPSKKKRFALQLVIPAVTLFGLTAFFSSFMVHTHRMRKVFVGSVGLVASISMYSSPMVAAKQVITTKSVEFMPFYLSLFSFLSSALWMIYGLLGKDLFIASPNFVGVPMGILQLVLYCIYRRSDGAAGKLHATAIDQEKGLKAVVVAMHPQELGGTKPEAEGQK >KQK94653 pep chromosome:Setaria_italica_v2.0:VIII:24085138:24087354:-1 gene:SETIT_026639mg transcript:KQK94653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGTIHNEEDQVCLQAQELMFAYNNSLVLRAAIQLGLLDALCAAPTALTAGELAEQIKAADKAEATASVDRILVYLACFNVVRCSTEMTGLGGAVRRRYTPAPLCRWLTKNDGKGSLGPFAVFLGDPDHVLPWHHIADTVVSGDPSSPFERTQGMPFFDYLGKNQRLGTMFDHAMAEHSVILVTKMVEYFRGFDDVRQLVDVGGVEHVAGNMYESVPFGDAVLLQWILLMQTDEQCLKILKNCYKALPEGGKVIVIDGLLPETPDAKSPAARDAFTLDMCMFVLFKGKERTEREFTKLARESGFTGAVRTTYIFLNFYAIEFTK >KQK93743 pep chromosome:Setaria_italica_v2.0:VIII:3857037:3857573:1 gene:SETIT_028542mg transcript:KQK93743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSIPIEFWRTDPSQLLVHTHIFSSVSSLLSILYSSTLTKQMASHVPHCGL >KQK94618 pep chromosome:Setaria_italica_v2.0:VIII:23540804:23541843:1 gene:SETIT_026875mg transcript:KQK94618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAMANPAVMFIAVILAIVSTAAASNATASPAKNTTAPLPPFATNHAVGDGAGWFFDWKANASAANYSAWAANRTFYLGDYLSFKTDTSNTVVHTTNATAYKLCSAGVAANGSSGWKAEEAFLAVMLTAEGANYFFSDAGDGEHCRKGVRFEVAVAHGRGLPSVPASYYEPLSGAPAGMPDGWAAAAMWGALAVAVLVL >KQK95276 pep chromosome:Setaria_italica_v2.0:VIII:32874342:32876975:-1 gene:SETIT_026551mg transcript:KQK95276 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKGAPSPWSEIPPELAGLVLHRLHAHIDRVRFAAVCKQWRSAAQQVPLPPPLPVLALKEGTFYSMPRGEPLHFPGCDGGFIMASGNWLVYNRLHCLLLVDPFSGATMTLPALRSIYPLEESMDMVVVKLIECSPHLIAALFKGGRNFWIAVCRPGDSSWSAAQKLPMGILDIAFYQEKLYALSFLQDLFALDISVDDNTGNPQVALIGRVIKGGYIYLDHNFMRVLYLIESRGSLLMVRRSIFHEHGHGKGQIHTFAEQCEPELAVFEPDFGQSRWTNAMTVGDDQVLFLGMFSRAVCMPLCDSQDKRMWLLDDYRRDHCDGETCSGTGDMRIGKYSCPLPKISWRAHNLCAGAVWLFPSN >KQK93913 pep chromosome:Setaria_italica_v2.0:VIII:5932583:5933980:-1 gene:SETIT_026669mg transcript:KQK93913 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVIDFSKLNGPERAETMAAIATGFEDVGFFQLVNTGIPDELLERVKKVCSDCYKLREQGFKESNPAVKALAELVEKEGEGLAPKKIENMDWEDVFTLHDDLPWPSNPPAFKETMMEYRKELKKLAEKMLGVMEELLGLEEGHIKKAFSNDGDFEAFYGTKVSHYPPCQRPDLVDGLRAHTDAGGLILLFQDDRFSGLQVKLADGRWVDVQPLENAIVINTGDQIEVLSNGRYKSAWHRILATRDGNRRSIASFYNPARLANIAPATPDAVEGAADYPSFVFGDYMEVYIKQKFGPKEPRFAAMATATK >KQK94982 pep chromosome:Setaria_italica_v2.0:VIII:29531274:29534281:1 gene:SETIT_028374mg transcript:KQK94982 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YFVGSCVKKLQEIVTHEAILILGVKDELTELQRRMERIHQFLNDAEQWSSKESDDNNCLGQLRDAMYDGDDIIDLARSKGSKLLPDHSLSLSSKSTCSGFSPFSCFSNIQTRHQKRFRLETEKNSNLVEPYLVGKEVIHATRKLVDLLLEQGQEKIYNDRKIKGFFDKQAWVCISKDYSKITILKEILRKIEVQYMQDESIDELQSKLKLAINEKSFFLVLDDVRDSHTWANLLKNPLHTAATGIILLTSRLDTVAVEIEVDYTHRVDLMSVDVGCELLWKSMDINEENVVENLCGCLPLGIKVIARVLASKDQTENEWKKILRKDAWSMSKLHSEVTRALYLSYEDLPHCLKQFFMYCAMFPEDSVIFRDDIVRMWVAERFIDEQDGQLLEDTAEEYYYELICRNLFEPDYSEADLSRCRMHDLLRQLACHLSREECFVGDPESRTVSVVSKFRRISVVTMKDMVVLPSIDKEQYKVRTWRTSYEKSLRVDNTILRKLQCIPDCIGRLIHLRLLDLDGSDISSLPESICCLINLQILNLNRCVALYSLPLGITRLCNLRRLGLAGSPINQVPKEKAKLKFLNDLQGFPVGGGSDNSARTQDGWNLDELGPLSQLRKLRIIKLERASPYSTDSLLRDKKFLKLLYLYCTERTDDPYCEEDVINIERTFEKLIPPRSIQEIMIADFFGPRFPTWLDTDTYFPSLKYLVLRDCKSCVHLPAIGQLPNLKYLRIKGATAVTKIGPEFIGSRVGNFGSPEAVAFPKLEMLVITDMPNWEEWTFVVEEEEATAAGKEDGEDGAATKQKVEAPTPRMQLLPCLKDLRLVNCPKLRALQRQIGQQATTLKKLILWDVHSLKVVEDLLFLSEDLIVSDCECLERISNIPQTKLLRVQLCPSLRCVEGLDNLHQLSLTEDMQDVSSQWLAGLQERHQQ >KQK94633 pep chromosome:Setaria_italica_v2.0:VIII:23774983:23777430:-1 gene:SETIT_027648mg transcript:KQK94633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGGLSPPRRTLSMGSGGAMGRRAAAASDSPKPGLSRSMTIGGERTVKRLRLSRALTVPESTTVLEVCRRMAARRADAALLTDSNALLCGILTDKDIATRVIARELKIDETPVWKVMTRHPIFVLSDTLAVEALQKMVQGKFRHLPVVDNGEVVAMLDIAKCLHDAIARMERASEKGKAAIANVADGDDKFSIVEALKEQMFRPCLSAIASADSTVVMVSPGDSVLAATKKMVEAHASSAVVAVGSKAQGILTSRDILMRMIAKNLSSDSTPVEKIFRSAADGSIISILDVIDITHAAISIMRQVENTGEGSDDAAASMIQRFWDSAMALSPLDDETETQSQMSEMSRSQMMSDAHHESVGGSEAAYPSLFSFKLQDRRGRMHRFSCEVQSLTPLVTCILRRLGADIDPERLPQILYEDEDGDKVVLASDDDLAAAVDHARMAGWKGLKLFLDYSGTSGRRKAVASSGGAMAVGMSSRDAWAAAYSGVAAGAALVTGIGVMAYLRRSG >KQK94983 pep chromosome:Setaria_italica_v2.0:VIII:29544225:29545361:1 gene:SETIT_027224mg transcript:KQK94983 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFFCSAHGLHHYYHKSAHGESNNHSRGFFCMIPNIVPIYNIILKHKIKGQNANSLFSGN >KQK93349 pep chromosome:Setaria_italica_v2.0:VIII:689269:689490:1 gene:SETIT_027278mg transcript:KQK93349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKRLSCQIWKPQIFFFGLMYPKENVLGNKAETTAKMMQ >KQK95958 pep chromosome:Setaria_italica_v2.0:VIII:40148935:40149388:-1 gene:SETIT_028615mg transcript:KQK95958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIITGKITIEHYHFHPSTDILKHILLTPEARKYSEMEGIFIQPLFASDCLFFSFRSLSDEMASILC >KQK94248 pep chromosome:Setaria_italica_v2.0:VIII:11842981:11844586:1 gene:SETIT_026528mg transcript:KQK94248 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSQTIIAPTDAELLQAQADLWRHSLYYLTSMALKCAVELHIPTAIHNLGGATSLPNLVTAISLPQTKLPFLRRLMRLLVTSGIFASERDAEVETYRLNPLSWLLVEGVEAEDHTYQKYFVLATVSRHYVEAGLSLADWFKKDLPASLPSPFEELHGVPLVHETTKLLDEELDRIVNEGVAAHDNLTIGTIIRECNDLFKGVQSLTDCCGGDGTTVRAIVKAFPDIKCTVLDLPKVIETAPAHDSVIYVAGDMFHTIPPAQAVMLKLVLHFWTDEDCVKILEQCRKAIPSREEGGKVIIIEIVLGPSMGPIMYEAQLLMDMLMMVNTRGRQRDENDWRDIFIKAGFSDYKIVKKIGARGIIEVYP >KQK95563 pep chromosome:Setaria_italica_v2.0:VIII:35621777:35622508:-1 gene:SETIT_026985mg transcript:KQK95563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFRLAEWPEFEVPRMDGLPVTPSTKHPTSADTRMPFLALQIEPRHVGTQSGRHSRWRQVGGLVWGVARLRGGWGGTYHFGDIPRDVVAEHQHLVLRRRGGFHGLIRRCGGGEVEGGNRAREGGGVGGSVGVDIQLN >KQK94868 pep chromosome:Setaria_italica_v2.0:VIII:28137133:28138203:1 gene:SETIT_027995mg transcript:KQK94868 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRRIVCWAWAPWQSHRGLGSRGDHGRKGIITIVGNVGSCLLAAWRLWAAGCGSVSRREAESSPKREAESSRGTVSRRAAGAMDTCLFKKRSEVESSMYLQDDRLIIECNVTIFKESRVFETISCPKIQVPPSDIIENLGKLLETGKGSDVMFSVGGQTFMAHKFVLAMWSPCITIEEMQPSIFNALLYFIYTNSLPAMDDIVGGDRAEMIRHMLVAANRFAMGRLKLMCQSMLCDDLNVQNVATTLALVDQHHCDTLMDACIEFISSSTMDDVVATKGFVDLKRRCPSVLVDAFVRMSKQEHR >KQK93905 pep chromosome:Setaria_italica_v2.0:VIII:5857887:5859194:1 gene:SETIT_027956mg transcript:KQK93905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSRGRRDSARRPRRPRPRRDGDRLGGGEQLGDGVRCGALSLPEPSPCSTVFFGSGGGVAPVGCLGTLERPRGGDVMADVGGPSRRGSDANCQLSTELGNDKAEWVLKHDRDIFPILPNLNYDKQYSDPEDNEAIVEEKMEEKFEWDSDNDNVLEPGSRSKDSYIVFLGFHPYKEVVFLSDKFNRVLAYNWSISKLQDLGKLYPKFYIERDHQFFHRLVSASFPYTPCWLGELPEKRNLEAHQLEN >KQK93576 pep chromosome:Setaria_italica_v2.0:VIII:2046470:2050012:-1 gene:SETIT_026176mg transcript:KQK93576 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAVAFPPPPAPFLDDDFDFGDFTFASAPAPTAPQPALADPRPATFAAFDDDWGDFVASELGSNADASAPPTPPTATSDAAPSSWEKPRGPLPLSLFGAGDDQEEEGSAGPPPTATAPQRAVSCTTDGSRPADLKDLIAGLYGSQPSPTAGGAADAGPQEEAEDGEGIGDDDWEFTAATAEPDDQDRGGRAPGDGMGKIELSLPNCHEMFGVISWNDSILNLYKESVRADVIHIEESSAESMQNSYDLFSNNEMAAQKVFTLSGENRKATAIGREIQEIYDKLKDSSLPNGFWTEEHPRDVCITELLNCIKEEQLKDFEQEYCLAEKISRAIEDTSVAVELYKHSVSTLHTLELASRKEQGDYVGAWYSMLLPCAQELQYGAAIWQESCHTNVCNRVISEGGHYFIALGEIYRVAQILCFSMQCFKPWVLADPGMLSKMLVCLDRCINAWTSGLEMALKRVVDSNHVDASVAKALLESINNINELEVPSLQNFLPTNKTTCRLSLLPPSSVPGMKLIMWNGNHYIVKVANLWANWISSYPPQMSRTPVIEEQRSNTWQSY >KQK93254 pep chromosome:Setaria_italica_v2.0:VIII:208778:210090:1 gene:SETIT_026622mg transcript:KQK93254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKKQMLATLVGCNYAGTPHELRGCINDVVAMRDTLVARFGFAPRDITVLTDDDGGRGSSPSAVLPTGANIKRALAEMVSRAAPGDVLFFHYSGHGTLVPHRRGHGHDGRPDEAIVPCDFNLITDVDFRQLVDRVPQGATFTMVSDSCHSGGLIDQEKEQIGPSADQLAVDSCTPPAASARARFLPYATVVGHLSGASGVNASHHVADHLLALFGADASAKFRHHDNAPSPDGGILLSGCQTDETSADVPGDDDEEAAGVGGNKACGAFSSAMQAVLAAHPAPVSNREVVSRAREMLREKGFEQHPCLYCSDANADAPFLCQQQEPAL >KQK95481 pep chromosome:Setaria_italica_v2.0:VIII:34775231:34779414:-1 gene:SETIT_025899mg transcript:KQK95481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFSFVGSCIEKLQELITEEAIQILGVKQELSDLQQTMTQIQCFLTDADRRRIEDFAVSNWIGELKDAMYDADDIIDMVRFKGSNLLGENSSSLKRKLITCYFSNLMTRREIAVQIRGLNKRIERIKELGRKFKFETEPVDRISVSNMRKTSHLVEPNLVGKEIIHATKRLAELVLEHRDKKAYKMAIVGTGGVGKTTLAQKLYHDQRVKGNFKKHAWICVSQQYCLVALLKEILRNVGVDKENCESFGELQAKLAEAVEGNSFFLVLDDLWESDVWTNLLRTPLNAAAQVTIVITTRHDTVAKAIGVEHMHRVELMSEEVGWELLWKSMNISDEKEVHNMQDKGMEIVRKCGGLPLAIRTMASVLAVKETTESEWQKILNNDAWSMSKLPAELRGALYLSYDQLPQNLKQCFLYCALYPEDWTLCRDDLVRLWVAEGFIKKQKNQLLEDTAEEYFHELISRNLLLPDPLYVESYKCKMHDLLRQLALHLSREECFFGDPQSLEGRSISKLRRVSVVTGNDMISLLTVDRHQLKLRTSINFCGKSLVVESSIFKRFPYIRVLDLSGSSVENLPDYIGSLIHLRLLNLNDTSITCLPESIGSLKNIEVLELNKCDSLHSLPLAISRLCNLRSLGLIDSPINKVPKGIGGLKYLNNLDGFPICGRSDYSTGMQDGWNLEELGPLLQLRQLLITKLEASVRKPYSKEVVSNTEKVFDQLIPPNNLEALGLERFCGRRFPFWLGTARHLPSLKYLDLLNCKSCVQLPPIGHLPNLKFLGIHGATAVTKIGPEFIGFGVGNFGSPEAVAFPKLETLIIEDVPNWEEWTFVVEEEEATAAAKEGGEDGAAAKQKGEAPPPRMQLLPRLKELQLVNCPKLRALPRQIGQQATSLKELLLRDVGSLKVVEDLPFLSEEFSICRCESLQMVLNLPKVRQLWVSCCPSLRRVEELGSLEQLWLGVDMQGLASQWVPGLKQQRQQLHGEDLDIYTWLGD >KQK95483 pep chromosome:Setaria_italica_v2.0:VIII:34856431:34859037:-1 gene:SETIT_026204mg transcript:KQK95483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSKDIAPMSGEHEALSSNISSSSSIGLQDGSEDHAKVDLAAMTELSEIIVDEISSSNRRRQSSPREQIFRVPKEMLRAAGEGAYTPTFLSIGPYHHGATEEMWRNEQRKLVGLGGVVEEGGPSVLEYVKSIAAIEAKTRMCYEGDISMERGAFCKMLLLDGMQLICLLEFISHGQEAGDAIAEPSNRTATTCCDAVAVAVQRIISNKNQALCWRGQSQSQGGSQDCSQHANCGVKTRNLIRTLHDLMILENQIPFFVVERIYALRYANSPVTEYGQVRPAVMDLAWRAMTAILDGAPTAASHPVRDCKHLIDLCHVYLKPTCLQHKEPGTYGGRFRRATEYYEAGVKFRPWNADDTGSQRPSLDVKFSNGVLRMALQKLLGEPEDVALLARRGIMGHLLGSDAEVCALFRGLTNGPAFDPDGDHYLNWVGVALRSHCRHRRHQWRAWGRRHHFGNPWLVAAWVFGATTVLCTILQTVFTVLSYVNGAQPHNN >KQK95484 pep chromosome:Setaria_italica_v2.0:VIII:34856431:34859428:-1 gene:SETIT_026204mg transcript:KQK95484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGLLIIVELDIAPMSGEHEALSSNISSSSSIGDEDHAKVDLAAMTELSEIIVDEISSSNRRRQSSPREQIFRVPKEMLRAAGEGAYTPTFLSIGPYHHGATEEMWRNEQRKLVGLGGVVEEGGPSVLEYVKSIAAIEAKTRMCYEGDISMERGAFCKMLLLDGMQLICLLEFISHGQEAGDAIAEPSNRTATTCCDAVAVAVQRIISNKNQALCWRGQSQSQGGSQDCSQHANCGVKTRNLIRTLHDLMILENQIPFFVVERIYALRYANSPVTEYGQVRPAVMDLAWRAMTAILDGAPTAASHPVRDCKHLIDLCHVYLKPTCLQHKEPGTYGGRFRRATEYYEAGVKFRPWNADDTGSQRPSLDVKFSNGVLRMALQKVDEKTDYILRNVLAYEQRYATGDDCYVTAYVFFMSQLLGEPEDVALLARRGIMGHLLGSDAEVCALFRGLTNGPAFDPDGDHYLNWVGVALRSHCRHRRHQWRAWGRRHHFGNPWLVAAWVFGATTVLCTILQTVFTVLSYVNGAQPHNN >KQK95145 pep chromosome:Setaria_italica_v2.0:VIII:31350931:31351569:1 gene:SETIT_027376mg transcript:KQK95145 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPARDDGCSSGLVAGRDWSSLPEDLLISVLGALQVADAARSGAVCTSWHAAYAAFRRLRFPSPRQPPCHLYASDALAPGATALHCPATGATLQIPFPLVPLTHRPFLGSGHGWLVTADEASDLHLINPVTGAHVALPPITALHHVKAGTDEQGDPAYNVYQNLREFNYIKGKFEVNYEPTILDIDRAHEFMYDRVALSASPSAGRACVRVLP >KQK94000 pep chromosome:Setaria_italica_v2.0:VIII:7354530:7357481:-1 gene:SETIT_027688mg transcript:KQK94000 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FVHGLVDDAESISVSGPSSPLQQIPDVGKGPGETSTDDEIGKRLDVYPRQLCFPFEPNKLIRCAVTLTNRTEYYVGVWITLSYPDRRLGFGFPIMCGNESFQDTFSYFSEMMDPHSTLAVPMTMKELQRLPLLNTGKFVVLMIVMRQKEHIEKLMKASNMESDLLNGAQELGAMVNRVVLTASIGDPACCQAVIANYKVTITDVHPTKTWLLASQKGGSVSIWNYQTQVSVILLRFSTQLTFTKFIAREHWLVSGDDDGSVHVYTYTTMGKVKEFIAHHDRHPVDVLSVHPSCQFLLTASTFVGTPIKLWDWGQAWICTRTINMPNTRLHHLSWNPRDTNGFASIWNLQTKECVHRLGLSGWDIYDMACHPTLPILATSHSDGSIVCLWDTRAYR >KQK93289 pep chromosome:Setaria_italica_v2.0:VIII:367150:367605:-1 gene:SETIT_027809mg transcript:KQK93289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGAYPVQLLHRPGAAEGQWRNLGAAYAAVTFLRPQGQSLVLYAAGPDGQPQQPRRIVFVYPILPGDAFERLDGATLSWAEPECGDEFALCFLDDAACGAVCGAIAPVVRTPAVDGIADILAGLRVAREEGAPAPGGADIAARLAQLSIGR >KQK95084 pep chromosome:Setaria_italica_v2.0:VIII:30619054:30621921:-1 gene:SETIT_026757mg transcript:KQK95084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSKPQDADLEAGVPAGAAATAAAEAEKAKAPAPAPAAAAEAKKVAEEEDPRLRWAFVRKVYAILSLQFAVTAAVSVAACLVRAVPRFFTSGPPAAVWPVFIVILVSPLVVMFPMMKYREKHPRNLVLLGVFTLCCSLSIAVTTSSSVGRVVLQSAILTAAAVLGLTLFTFWAVKKGYDFTFMFPFLFTCLNVLLVYLIIQVFFPLGRVGITIYGFLATIVFSGFIIFDTHMLLKRHTYNEYVVAAISLYLDVINLFMAQMSLSFQ >KQK95906 pep chromosome:Setaria_italica_v2.0:VIII:39466473:39470194:1 gene:SETIT_028098mg transcript:KQK95906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLESSNTGFRTLPTQIGCQQGSNEDQTITTGRQGVVFSPPDTLNANQMIASEEEIPPQTHEAGVSTQSIKNVLQNMHGGAELVPITSRDIENMKATNVREEHANDINKLIEFFKDYQDQAMGVAIAKEFPGVVHKICRWHVVNKHMPHLTNLFGMYAKKNFKDKFYSVLNHPLTPVEFEAAWQELLDQFDLQKDSTLDSLYCQRELYVPAYFKDQYCGRMASTQRSESSNFVLKKCFVDKHTALHRFAKKMLDFMHSRKMKESKESYHGTSKRLTRSKWPFEIQVSRIYTRNVFKDFEKKMIDCTVFDIEDNPIEGESCYLVTHTNRSSKISWGQHQFKVRANKENGEFHCECKEWQHTGLFCVHLLRAFMRIQLNRIPQHYILRRYTKYAQQELGFDRNDKLQVGADGVTQLYRLKDLTSLAMVAVRSGSMSRAAHIRTREVLANLDKDNKEIPPDIGPSTTNMHQESPGEYHANDDRLISRVPPTRAKTKGRSIPPSEKKEISLGAKGVKKGTRRCSICGYYATHNARTCPKLQHNKERLEVLKNRMRGRPRGAQHKSSASQHDSGGEEHNIGRQQDTK >KQK95009 pep chromosome:Setaria_italica_v2.0:VIII:29882164:29884608:1 gene:SETIT_027760mg transcript:KQK95009 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARLSTVVTAAAASSSRAPKPRAPDLALAATTERVRSGAFGPEDARHLLDELRRRGTPIPERALNGFLAALARAPPSTACRDGPVLAVTFFNAMSRAAGPKVLSLSFHTYSILMDCCTRACHPGLGVSVITFSNLLRSLCEAKRTEDALDVLLHRMPEFGCVPNVFSYNILMKSFCDSRESRRALELLRRMAEKGAGCSPNVVSYSTVIDGFFKEGEVDKGCDLLNEMMQQGISPNLVTYNSSIDALCKARAMDKAEVVLRQMIRKGVHPDNWSYTNLMFAYSASGEWNEVVRIFKEMTSRGLLPEIATWNSLMASLCKHGKIKEARDVFDSIATKGQEPNTVSYLIMLDGYATEGCFVDMTDLFNLMLGDGVAPDVRIFNVLIKGYAKCGMLDRAMIIFSEMRQQGAKPNVVTYLTVIAAHCRMAKMDDAMEIFNEMIDQGVAPSIATYQCLIQGFCTHGGLLKAKELVFEMMSKGMRPDIVFLTSIINSLCKEGRVVDAQDIFDILVSIGLHPDVFAYSSLMDGYCLVGKMEKALRVFDAMVSAGIEPNVAVYGTLVNGYCKIGRIDDGLSVFREMVHKGIKPSTIAYNIVLDGLFRAGRTVSAKERFHEMIERAGSS >KQK93934 pep chromosome:Setaria_italica_v2.0:VIII:6294918:6297716:1 gene:SETIT_027736mg transcript:KQK93934 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGVLMGKLGAALANEAATYGASLLFKEASSLKGLFSEIRKAEGEMESIKAYLRESEKLRDADETTGIFVNKIRELSFRIEDVVDEFMYKLEDNKLGGFAAKTKKRIKHVKVWHRLALQLRDINVEIEDATKRRDRYVIPGMERHAGNSDHHARSTNQALCFAREEDLVGIKGNAAKLKGWLVDDLDERNTKITTVWGMGGVGKTTLVDHVYKIVKLDFDAAAWVTVSKSYQVDDLLKKIARQFGISIASNMEMIRVVDSSIRNHLEGKRYILVLDDIWEQDMWINNIMPIFPTNCSGRFVLTSRSSEVASVATRANHSRKLFCNEAFWNSDDKRCPPELLDLAELESYSTNNAIKSVDTILRVSLEDLPSELKNCFLHCAMFPEDYQIKRRRLTRHWITSGFIRKKGNKTLEQVAEGYLNDLVNRNLLQVVRKNEAGRVKSCRIHDVIHHLAIDKAEEECFGKVYKGNGTFSVHGTRRLSIQSINIVPQNQSDATHLRAVYVFESSIDVDLLGTILASSTLLSTLDLQGTQIKMLPNEAFNLFNLRFLGLRNTRIESLPEAVGRLQNLEVLDASCTLLQSLPKDVAKLKKLRYLYAPELLVPRDIRNLTGLHALQSVKASKETLRDVAALTELRTFDVSDVTSEHSINLCTAISNMSHLAHLTVWALNENEVLPMEALRLPETLYRLELRGQLEKTQIPQIFSSWSNLNNLTKLQLMSSKLNEDSFSSLVTLRSLCSLSLYGAYDGR >KQK95107 pep chromosome:Setaria_italica_v2.0:VIII:30934706:30941356:-1 gene:SETIT_027292mg transcript:KQK95107 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATALSVGKSVLNGALGYAKSAFAEEVALQLGIQRDHAFVSDELEMMQSFLMEAHEERGDNKVVKTWVKQVRDTAYDVEDSLQDFAVRVERPSWWRFPRTLLERRRVAKKMKELRAKVEDVSQRNVRYRLIKGSGSKATAATEQSSIIAAAIFGVDDARRAAKEESQRVDLVQLINREDDDLRVIAVWGTGGDIGQTSIIRAAYKNPDTQSKFPSRAWVRVTHPFSSKGFVQSLVNQFLAVEGFKDILDIEKTAHNLVQEFDGYVQEKRFLIVLTDLCTIEEWDQIEKCLPNNNKGSRIIVSTTQVEVASLCAGQDSQASELKQLSADHTLYAFYDKGSQNEMVSMDPVSNSDVATTSTNTQTVAPSEITENQCKDVDETKVDKKSLTRIRTGVGSLEESQLIGREKEISKITGLISNKASQQSQVISVWGMGGLGKTTLANGIYQSPKLSDMFEKHAFVTIIRPFNPADLLRSLVGRLQEESSKKEELLNNRPSKTESLAMMEVEALTKELKRLLEKKSCLIVLDDLSSIEEWDHIIQGFSWMQKTTRLIVTTREESIAKYCSGKYGIVHNLEVLKEEDALNLFSLKNPELFEETKKILKKCGGLPLAIVTIGGYLASRPKTRAEWRKLNENISAELEMNPELGMIRTVLRTSYDGLPYELKSCFLYLSIFPEDHIISQRRLVHRWTVEGYSHERCGKSANEMAENYFTQLKYRSMILPFQQSIRSRKSIDSCKVHDLIRDIAISKSMEENLVFRLEEGCGLSTHGAIRHLAISSNWKGDQSELERIVDLSRLRSLTVFGEFRPFYISDKMRLLRVLDLEDIDDLKYHQLDHIWKLVHLKYLSLRGCFRIDLLPESLGNLRQLQVLDVRGTRVRALPKTIIKLRKLQYIHAGRRTDYVTEAKDSLTRRCLWGAGQCATCCVPLLGDIHGPLHKALTRRDACTFACCVKFPAVMMGVDEERSVTVPGGTRKLKELHTLREVNVGRGNAVLQDIKMLTGLRKLGVTGINRKNGPAFRAAVSNLSRLESLSVRSAGKRGLRGCLDGISSPPENLQSLKLYGNLETLPEWIKELPHLVKLKLARTRLLEHDAAMEFLGNLAKLEILVLSWHWSLLQGEELDFKPSQAGIAFGSLRVLSFGVISKVKSVKFEQGAMPKLEWLQVTGVANNEICFSGLDILQSINEVQLDVYMYWRGEIITINEEDRRKKGELKKKIQEQLARNPNQPIVRVVD >KQK94061 pep chromosome:Setaria_italica_v2.0:VIII:8316655:8317515:-1 gene:SETIT_028172mg transcript:KQK94061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGYLFREYIGAQFTGVRFSDVPINAFVSFHFILSFAIDYTPVNQQPTPVPTNGVFSPFWDTGNLSPAAVASIKAAHPNVAVMVGIGGDSVQDITKAVFTPTSINSWVANAATSLTNIINTYELDGVDVDYEHFAAGADVNTFVECMGRLLTQLKANMPWITTSIAPFEDPTIQRYYQPLWRKYNGVIDYVNFQFYGYGDNTDVPLYVQFYDQQSANYPGGKVLASFMTGNTTGLISPDLGINAAKELQRQNKLPGLFICSKKSSYGFKYEIQGQQIIANH >KQK94040 pep chromosome:Setaria_italica_v2.0:VIII:8143942:8145534:-1 gene:SETIT_026407mg transcript:KQK94040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPPSSVPACGDLPAVVSAFADAFVDFAVSGIFFPTTSSSSAPAAPASPPPAPTTPTTFLPSPSRLVAIGDLHGDLPKSLSALRLAGLVPVSSGPDSPSASTSWAAGPTLAVQLGDILDRGGDELRLLYLLRRLALSAEARGGALLPILGNHEVMNVSGDFRFATPQGFQEFSAWAGWYRAGLAIKRRCGEHLDPQPRNPFLGVPKSFPGVKPEFWDGMRSRLAALRPDGPIARRFLADLPTVLVVGDSVFVHGGLLEANVEYGLERINAEVSEWIRGEGGDNARAPEYVRGRDAVVWLRRFSDGFDCDCQRLEGVLGMIPGAKRMVMGHTIQTVGINAVCGAQAVRVDVGLSRGCGNGLPEVLEINGGGADVRVITTPPSEAWMYRKQEVEKAAAAVKEKKGELKDGLALLVRESHGLKEVEAKA >KQK94294 pep chromosome:Setaria_italica_v2.0:VIII:12676200:12679944:1 gene:SETIT_027877mg transcript:KQK94294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLGGMLASAILKVVYQKISLAIEEQIKLQADFIDDLEGMKMTLESVAALLNDAERRSIEDETVWVWLKRLKDAMYGIDDMIDEFEAATKPATSKIKMANKMKTMRRKLEEITRQHKDFSFTPSSTTNDEQVTDTRETSSAVEEALVVGRTGEKQKILASLSSENLTREITILPIYGFGGIGKTTLAKLVFGDAQFNDYSRVWVYVSQVFHLKKIGNSIISQVSNGDSYIIQNQMINKRLQELLAGKKILVVLDDMWRDRDNERQLDDLRAMLRVGEGSKVVVVVTTRDEAIAKELCTIEPHKLAPLTDDMCWTIIKQKSAFESKDCKEQLEHIGRCIAMRCGGVALAAQSLGYMLRSMTFDEWKSVRDSDIWNVSATRDARSPQHKVLASLRLSYNSMPPYLKLCFGYCATFPKGYNIAKGGLIHQWISLGFIEPPSILSTWQLSENYVRQLLGLSFLQVLKSPSVSRYTIQALTLFFFELLRGTYTMHVLINPSF >KQK95437 pep chromosome:Setaria_italica_v2.0:VIII:34434979:34437709:1 gene:SETIT_028194mg transcript:KQK95437 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYSNAAAGVNCSESTISTETVTGSHVLKIKRYSKTKEVLGVGESIKSSVFTVGGHRWYIEFYPHGYNEEEDDCISFVLFLDHPDDDETSVKAKFWLILLDQAGEPVPGYSAAMGLCTFSGAVPSYGCERFIVREELEAAPYLKDDSFSLRCDVTVFKEIRHGELLLHSIYTDTLPEIAGGDKLGMAKDLLVAADRYRMQKLKLICENTLHGYVHASTVLAFSGLAEKYGCKGLREACFKFLKVPGNLRKFIESDGFE >KQK94452 pep chromosome:Setaria_italica_v2.0:VIII:17336590:17337951:1 gene:SETIT_026576mg transcript:KQK94452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIQKQHYTDQQALLDAQLELWHSTFAFIKSMALKSAMELRIADAIHHHGGTATITQIATKVQLHPSKIPCMRRLMRVLSVTGIFSIANAKHSAGDGDCVYGLTPASRLLVGTLSLTPTLSLILNNTFVSPFLCLATWFEHELPDLTLFEMSHGKTVPLFNAGMVADSRFLMDIAIKECGYVFQGISSLIDVAGGHGAAAQAISKAFPHIDCSVLDLSHVVASAPASTGLKYIAGDMFESIPPANAVFLKWVMHDWVDSECVTILKNCKKAIPPRDAGGKVIIVDTVVGAGPSNHKHRETQVLYDLFFMIVNGIERDEQEWRKIIFEAGFTEYKIIPVLGVRSIVELYP >KQK93485 pep chromosome:Setaria_italica_v2.0:VIII:1462903:1465976:-1 gene:SETIT_026854mg transcript:KQK93485 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPIPMAVRSWRSSGTPDTSPEMASRIVHLVMVGWGLRAITPPFIGRLPPPLRVFTRPPGSLDQLSERCVSRLNEIAKKHKGERVVVVSHEAVIEEICRHADPTISVGKKIPNTSISVVHISGSDGRWILEKFGDAGHLTGDGFPHSAFGDGWMRLEGYNPAIQRPQCRLPWRFEVLQFVPRPPSLPSRRGRRPPPPLRVFTLHFLGRVV >KQK95071 pep chromosome:Setaria_italica_v2.0:VIII:30534217:30535063:1 gene:SETIT_027653mg transcript:KQK95071 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSRELPLLFLLVVARAAIHAAAAVPVPAPAQAQEYCGDSLAGLMECRSFVFGGAAAPSRACCAAYGAVYASDDDHLCLCYVDDGTFWRAAGYDVDYATGDSFQIPERCGQVGPPIEFWCEESGQLPPYGPQGTPPAQPPAAAAATPMAQPPAPSGSSSVATAPTFTSPPPPPPPTSKAKRHSSPELLMLLVAIAAVCSII >KQK94588 pep chromosome:Setaria_italica_v2.0:VIII:22980642:22985429:1 gene:SETIT_026485mg transcript:KQK94588 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENFQYKSSNSRIHTRDLHGPWPHCHQTLNRAATRRAPPRNSATQTTRRPPPPPPPTQLRRREEEEKGPPGRPARGARWPAPGCCTPACSGRKLLVGRRWRMEAAAAPPAPAPAAERDPEHNHGCLAVRTSLPRCALGAGGGSSLAGYSDEASCGSPRWIGRSLSCVCIKRKGAYERICMNLTPVQEERLQRLKHRMKVYFDPSRRDHQEALKALWHATYPDQELQGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLKKQCGNRATWEYPFAVAGVNITFMIMQMLDLQSTKPRTFVRAIFIQMLSGGTEVNANPAGEGAHAGRCDAD >KQK94587 pep chromosome:Setaria_italica_v2.0:VIII:22980642:22985429:1 gene:SETIT_026485mg transcript:KQK94587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGENFQYKSSNSRIHTRDLHGPWPHCHQTLNRAATRRAPPRNSATQTTRRPPPPPPPTQLRRREEEEKGPPGRPARGARWPAPGCCTPACSGRKLLVGRRWRMEAAAAPPAPAPAAERDPEHNHGCLAVRTSLPRCALGAGGGSSLAGYSDEASCGSPRWIGRSLSCVCIKRKGAYERICMNLTPVQEERLQRLKHRMKVYFDPSRRDHQEALKALWHATYPDQELQGLISEQWKDMGWQGRDPSTDFRGAGFISLENLLFFAKTFSASFQRLLKKQCGNRATWEYPFAVAGVNITFMIMQMLDLQSTKPRTFVRAIFIQMLSEDEWAFDLLYCVAFVVMDKQWLDKNASYMDFNEVLKSTRTQLERELMLDDVMRIEDMPSYSLLC >KQK95185 pep chromosome:Setaria_italica_v2.0:VIII:31734327:31736739:1 gene:SETIT_027751mg transcript:KQK95185 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVEELLPIAVRKVLDAGRQLGAAEGRKRAKLVAETFPNLARAQHLQAYMDLEFVRSLDAAIDKRPFLRRTLAMAERAARAFPRSPVIASFHARLLFVLGEYDAAERECRRALDMKEPDDPQMDCIPAWSIGGENRGARLVSLACEFHELLNKILVAASDYWDSMSGESQRDGFLRLRFDVLQDEYRKVDRSCAFAMSDVRSFVEEHKAWRFWDCPICDRKKFVESGLLLSHMCNKHPRAVLPRLQSLLDRTTLGDEALESDDSLDGVTFCEDSAEQDMICFSKSSDVFKWLFYAPSSGVGAKPFPELLEQKREKGCMLLESIKDKLKTLPADKSSTEFSEALPRIRELWNEFLKASVLDYRGAILTLARSFLWRELKKCMTEDPELAAKKISATDIDAVFTKEVEPSRISFQPSQADGALMVSGDYEESDVDVKDESYEKPENNMESSDPAISVAEGNNDLYAKLDILDIDAKI >KQK95947 pep chromosome:Setaria_italica_v2.0:VIII:39929893:39931942:1 gene:SETIT_026611mg transcript:KQK95947 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEDQIGLLCHGSEGGFTVVDFTNLDPEGELCLLHHPALPASASHKNTEEEEADWMIKKVRLPPGPNFRCWITDAIIPLHGRYLCWVDNYQGILVVDVLRASNKSITDQLLHYIPLPDEALQSDRRPHPDGDCPDRARCVCVTADFTLKLVCVTTRKANRARSPFTIRSWTLPNFYRSGQWYRGHTMEAAEFWGLYNGQSLPRVKPWYPLVSLVNPNEFCFLLKEDHTTYWIIEVDMGNKMLKSSAIYINEEEEGCTTDRPRARRIVFDGHSFIPSRISYYLGMDDANKSQELSEMMQKAKQRRVAQKKSQLEVEQAESKEHVAESKAAKCRA >KQK95293 pep chromosome:Setaria_italica_v2.0:VIII:33079526:33082554:-1 gene:SETIT_028300mg transcript:KQK95293 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVVGASNDAVKSLVNKLGSLLAQEYTLIRGVRDDIQYITDELASMQAFLNRLKRAQGRHDEQRLDWMKQVREVSYDIEDCVDDVDHRISGEPRGSGMVMYVRKKWYLLTTLYARHCIATEIGNLKTRAQHVSERRMRYGVKELEDGDGCPAGASAPRDIISPPPQLIGTTEPVGLEDAKNELKPWFMEVGRQSTHGQPKFIAIVGFGGLGKTTLAMALYREFGEKFDCRACVLASQKFHLPTVLKSLIKQVHEQQSSASKNDLDEIDEWSEEVLKKKLADLLKEKRDSFTKNQKGGTIVVTTRFKSVSEACRRHQGHVFEHQPLHDGNSYSLFLEIISSAADALCPARPINGEIIKKTCRGLPLAIVVVAGLVAKGVTHILSYCYNNLPADLKTSLLYMSMFPKGCQISRKRLIRRWIAEGFISDKHGKTVEEVAEDCFNELISRNLIRAVNNSSNGKVKSCQIHDMVLEYIVSKSSEENFITVVGGHWQTPFPSYKVRRLSVQKSNGKEKEMVERMKLSHVRSLTALGSLRALHSTLHKFQILQMLDLEGCKDLSLNQLKKICKMHQLKYLSLRRTDVEEIPSKISRLESLEVLDIRETSIIILPESVDKLQRMEHLLAGNKNKRHALKLTEGITKMMALQTLSGVEICAGFSMELLRALQDLTNLKKFIIYKVGCTIGNYELLLSAIEHLSSCSLKYLAIDDDFTGFLDTSLNASQAPPEHLHTLGLSGKLSQVPKWIGSLHNLEKLTLSLTSLTTDTLSVLAELPQLFSLIFSLDSTKKDASVLKILHDNTLKSSGMIFVPAGGFRKLKLLCFAAPVLPPLSFLEGAMPWLQRIELRFRMVEGVYGLENLSSIQQVVLTVSSQAPEDAKAKASQVKKLASMIPGKVNVPSVVIDEYNESAE >KQK93448 pep chromosome:Setaria_italica_v2.0:VIII:1141656:1145843:-1 gene:SETIT_026326mg transcript:KQK93448 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTKPSPPTATGAPSDPPLPTTAAPKLPRPPGLLDSLSSSGRSLLAAARRSPVTTLVVAFFLLALFMYGEDARTIAELSIDDYLYPDADLYNVSGLPPLVLPPPTCDLSAGRWLFDNVSTPAYREKDCTFLTKQVTCLANGRPDDTWQYWKWQPNDCSLPAFDARRFMETMRGKRLMFVGDSLNRNQWESLVCLVQPILSKGRKKIVKRGSLTIFHAKEYRATLEFYWAPFLVESNSDNPNFHSIEHRIISPERIEGHAKYWKDVDYLIFNTYIWWMNTADMKVRRPNSRYWSQHDEVPRIEAYGRVFKTWSDWLNNNIDPARTSVFFMTISPLHISPKNWGNPEGIRCVKETLPYQNYSQPLDLYHDMRIFDLVVKVASSMEKVPVTVINITRMSDYRKDAHTSLYTIRQGKLLTPKQKADPEKFADCIHWCLPGVPDVWNQILYTRILSKSSWHSNSAPPPLQSLPLPPQ >KQK95061 pep chromosome:Setaria_italica_v2.0:VIII:30366199:30368230:1 gene:SETIT_026102mg transcript:KQK95061 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRSLSPLLRQELDNLDKDADSRRAAMKALKSYARHLDSKSIPHFLAEVSDTTAAGAAAAAAGGGGGGLAPGEFTISLYEVLARVHGRNIVPQIGNIMTTIMRTLSSSGGSFPLHQACSKVVPAIARYGIDPSAPDGEKAGIIASLCKPLCGALMGNQDGAAPGAALCLKALVESSNWRFASGEMVNEVCLKVAGAMHDRATRSNAHMGLAMALVKHNGLIAEAYARSIVRSGLQILDGDTAESSSQKRLSVIQMINFFMKFVDPRCLSSELGRVIEVMEQCQNDRMPFVRGAAFEASQSAKSIAAQKGSRHEVGTSPMVGSNFYKRREKSPCRGIWSAKGSPASSSVVTSSGQFRSPESHVVDSSIMNGSTLTESPVSVGQSSCNFDQSRRTNRRLWNNDGVDVSLKDGLFIQLCSNSKDYEDDLGEVCDSEVTDANFECTDTFAGFVSPSPNGAISRDRTPSPKAYDRPISIDDVKIYSTPRKLLRSLQSSYDSDSGSRDGQSTAKHSSLSSSDQELEESSEEVPSLDLDNKAEEMKDENETIDMQQNSNGRMETLPNEDKSGLSATEAENTSCKASPETECKENDVCITSSRKMKARKYRTKFTFLLSMIVIVLAVIAVLIRIDSYDDSVGLVPT >KQK95594 pep chromosome:Setaria_italica_v2.0:VIII:35991567:35995080:1 gene:SETIT_028248mg transcript:KQK95594 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAIVFFSAMALSVGASVVVHTFVVSQVNMTHLCKDTLATVVNGQLPGPAIEVTEGDSVTVHVVNKSPYNITIHWHGVKQWLNCWADGVPMVTQCPILPNHNFTYRFNVTGQEGTLWWHAHVTCLRATLHGAIIIRPRDGEWWEMDLDELDRRMRDSLFDDNPSGATMNGKFGDLYNCSGAKEDGYVLNVEPGKTYLLRIINAVLFSEYYLKIAGHKFTVVATDANYVNPYTTDIISIAPGETIDALVVADAPPGGYYITALANQSPKPDPQIPKLVTRGTVWYSTGHVSSNGTAPPVAPEMPDQHDTMASFYFHGNLTSLNDTQRLLVPERADESLFITVGLGSICRRGQTCKRSGSNESILVATMNNVSFQLPDTSMPLLEAHYYHTGDMDMLQELPDRPPMVFNFTDRGLIPWGPKEGQLEPTSRGSLVRRFQHGAVVDIVFQGTAVMQSDSNPMHLHGHNMFVLAQGVGNYDAARDVAKYNLVDPPVRNSVLVPRIGWAAIRFVADNPGVWLMHCHYEFHFSMGMAAIFIVEDGPTVRTSLPPPPLELLA >KQK94695 pep chromosome:Setaria_italica_v2.0:VIII:25234695:25242133:-1 gene:SETIT_028332mg transcript:KQK94695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSSAPAAMPPPSPREHVERIRRERYFIGRGEQNPLAEDMHQAVNYLSQELYSKDVHFLMELVQNAEDNDYPSDVVPSLEFLITSKDITRSGASSTLLIFNNERGFSATNIESICRVGKSTKKGNRHQGYIGEKGIGFKSVFLISSQPHIFSNGYHIKFNEKPCAECNIGYIVPEWVESTPSLSDIESIYGCSKVLPTTTIILPLKSEKVDAVKKQLSSLHPEMLLFLSKIRKLSVREHNSDPKSSTISEIGISTENNFQSRKNMHAESYTLYLSAEETGKVEEDCGYYMWRQNFPVKSENKVDKRADIDEWVITLAFPLGERLSRKKQLFPGVYAFLPTEMVTNFPFIIQADFLLASSREAILFDSPWNKGILECVPTAFMNAFVTLVKSTPDAPAMSLPSMFNFLPVNPSLISVLEPVRSGIKEKVLAEDIVPCESYASQKIFCKASEVARLKPAFWTILHNAREFGVDLKNLSTHGTYILSSHFDKSTYDSVLKFLEVKKVDPEWYAKCIEGSNLVKEVDEQLYLELLYFVTVNWQNYFSGTNMMSIPLLKYVNKNDVLSFLSISKASQGSYRLCIASEMKHMSWLISWNKEFPSARRFFFQPNTQSALEDFSQKRTVKDWLQNQAKVEFVSVYSYGSTVVDSLNDARRPVISFAQFLYHSDKKSHIGASCVQQLCNDMPVIDSYGNAVWDRNSIMVPAKGSKWVGLMGTNPWRKDGYIELSADYKSAGHFAGNYTSEDQLLEFLKKHLQVSDVPLIRPPNTSFPAVRSPLTVDNAFLLLEWIRNRKSKGRLPDQFLASVKEGRWLKTSLEYKPPKESFLSSAKWGSILQNGSSFVDVPMIDQQFYRNRLYTYTDELKLIGVRFEFKEASAYIGSCLLSKAAGNALTRENVYSLLRLIRYLGEEFLSPVQLINSVKDGQWMKSTLGYRCPADCIIHDSEWEVASHISNQSFLDVQFYGKDILQYTPELELLGVIVGFKENYELVVINFKFCSAAITSQATTLILKCIRYVNRCEGFIRKLKDLKWLKTNVGFRAPNEIFLVDPEWECLLKVFDGTPVIDYGFYGSEIRSYKEELKKIGLIMRFEEASKAITQIFKEMVSKSSLTKASVLALLGSYRQLRTHCPLPVELFNCMRSEKWLHTSLGFRSPSEAILFDDTWQPLSPIANLPFIDDGDSFHGLGHDIYGYEDELKELGVTVEAKNGARFAISGLTIPSNPSVRLLRCPVLFNGNNYRDWVPRLRIHMRGLRLWEFLTGELPCPPRPTAPTYP >KQK95402 pep chromosome:Setaria_italica_v2.0:VIII:34169987:34174266:1 gene:SETIT_026598mg transcript:KQK95402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAAQQQGAAGAEQQEEVEHGPFPIEQLQASGIAALDVKKLKDSGLHTVEAVAYTPRKDLLQIKGISEAKVDKIVEAASKIVPLGFTSASQLHAQRLEIIQVTTGSRELDKILEGGIETGSITEIYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKALYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMIETRFALMVVDSATALYRTDFSGRGELSARQMHMAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAMFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQIASEGVADVKD >KQK93553 pep chromosome:Setaria_italica_v2.0:VIII:1881831:1882327:-1 gene:SETIT_028078mg transcript:KQK93553 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAESLAGLLASAVVSIAKDKLAAAIAEEANLLWNFGDDLEDMNSVLEAISVALQDAERRSAKEKLVQLWLKRLKHVAVDIADMLEDYQDTSDRLTAKIRFSAEGLGAAV >KQK94555 pep chromosome:Setaria_italica_v2.0:VIII:21711734:21713456:-1 gene:SETIT_027334mg transcript:KQK94555 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGFHNLTIEWVIRIVIAVRLGAHISLALLAGIRRHKATGFLTIVLWLAYQVANWAAPFVLSNLSLGSTPREQQLVAFWLPCLVAHLGGPDNITAYSLEDNKTFLRQFVSTASEVKILGGEGTLFWASLVMVGVGVCKYLERFWALSKADFGNIRSSSKNRSETLKINGQRRGRRKLLENEQALLVAHELLDITKGAFADYSVKEDQLKNDANLKEIFSVYWGNNGWNNMCKVVEMELSLMYDILYTKAAVIHTWFGYSIRVISPMVTAMVIVLFWLYSKEGQRTADVFITYILLVVTLLLDVRWLLGAAASTWTYAFFNASPERWLHHEVCCTVWWHRLRRFVVSLDPWQLPLREHGGGYRLCSLSSWMAKKLSLEDDWMEYKYSSRLQLGSAKNVKELLFKQIQEALNMVAALGGKERKKPEEMRPVPPPRLPDAAPAHQRRRDLDEELGFLPEFQELILIWHVATDVFLLCSHEQGTTRHHQERYVKAIKAVSDYMAFLAAERPEMLPGLKLRSLHQATRQALDILWKSERRLTSTTGDEK >KQK93846 pep chromosome:Setaria_italica_v2.0:VIII:5038747:5039512:-1 gene:SETIT_026914mg transcript:KQK93846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGTSFAALSCLFAVALLAATASAAGEKETRLRVFWHDVVSGGPNVSTVVQVAEGPNSNASATGFGSVMVIDDPLTEGPNLTSRLLGRAQGMYVSAGKDSLSLMMAMNFVFVDGAYNGSSLAILGPNPAERKVREMAVVGGTGMFRFAHGYCEARTRWFDARTGDATVEYSIHVRHD >KQK94382 pep chromosome:Setaria_italica_v2.0:VIII:14071840:14077474:1 gene:SETIT_027452mg transcript:KQK94382 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLQGLEIASICVEDMDEWLGIFNIKLRHMREDIQSIEWRNNRLELQSDSNVALIDELDKLLELLQIPPEYEASLTGGSFDEGNMVKNIEACDWLTSAIKNLEASNLDPIYVKLRAVREKRAEFVLLKCTFVRRASEFLRNYFPSLIDSMLNDKGNFSQRGHLQRPDHADMRYKCRTYARLLQHIKSLDKSCMIPLRKAYCHSLNLLIRRESREFSNELRNSSKASKSSTPLFEGPAGANQPASITDSPADAYTKMITVFIPLIIDESSFLAHLMCFEVTTLSQSDSSSNPNSEATSTPSVTLEASSSIGKASNNPAELGVLNECLQELLDGIQEDFYALVDWAFKLDPLSCISMHGITDRYLSGQKAEVSGYVHVLLDDLETRITILFSRFVDDACYQIEKYERNVRQIGVVPYIPRFSQLAARMEQYINGSRDLVDQAYTKIVSIMFVILEKIAQVEPKYVDIVLLENYAAFQHSLYDLANVVPTLAKYYHQASEAYEQACSRHINLVIYIHFEKLFQFARKIEELMYNMSPEEIPFQVGMSKVDFRKMLKSSLAGLDKTINAMYRKLQKNMTAEELLPSLWEKCKKEFLDKYATFLKLISKIYPDEKVTSVNEMRDILASL >KQK93551 pep chromosome:Setaria_italica_v2.0:VIII:1873452:1874646:1 gene:SETIT_027038mg transcript:KQK93551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEESIWGKENARCITDQWTTGHTKPVPQAARFTQTGQQHIILLARISRRGRKECSEETKSIAEFEEPNRVHLYSHKSLTEAVGVPMLSVLKALMGLWRKCSELHCSFFKLCSI >KQK93736 pep chromosome:Setaria_italica_v2.0:VIII:3677126:3679012:-1 gene:SETIT_027073mg transcript:KQK93736 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATYTVPQVVPSPAEDAAALLKAFQVSTYEDHGYHFDMVLRNATFESGTDEDSLTRVVVMHAEKDMKGISSAFRRRSSVTLEQAIAKETSGDYRSFLMALLGS >KQK95381 pep chromosome:Setaria_italica_v2.0:VIII:33998250:33999829:1 gene:SETIT_028045mg transcript:KQK95381 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSNGGTATAADKTVPQPPPSTGKLITVLSIDGGGIRGLIPATIIAFLEAKLQELDGLDARIADYFDVIAGTSTGALLTSMLAAPDKNNRPLFTAKDLNTFYLKNGPNIFPEKKAGFLTPAAKLLGAVRGPKYDGVFLHDKIKSLTHDVRVADTVTNVIVPAFDVKYLQPVIFSTYEAKHDPLKNAHLADICISTSAAPTYFPAHFFTTSDGKGGSREYHLVNGGVAANNPTMAAMSMLTKEVLRHNPDFNPGKPSDYRHYLIISIGTGSAKQEEKYTAPQCAKWGLLQWLYNGGFTPIIDIFSHASSDMVDIHAAVLFEALHCEKNYLRIQDDSLTGDTSSVDITTKENMEALIRIGHELLKKPVSRVNIDTGMYEPVNGEGTNEEALARFAKILSDERRLRQSKLSSN >KQK93865 pep chromosome:Setaria_italica_v2.0:VIII:5278726:5280492:-1 gene:SETIT_026938mg transcript:KQK93865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVIQSLRDIFSDPEIDRTNMTPEVKKAIANHRMKKYKMICFFGAAFVVWTDKAALLNRIYQHNHTSAQLCTFYFIIALVSVLLGIIASSFPDTAPWAMVVAWNGSLQAFLFLNACFHLRMIELYPELLHLTISFMVTSVIFSIYWSFWARDPTVRLVEAARHE >KQK95004 pep chromosome:Setaria_italica_v2.0:VIII:29833465:29836751:-1 gene:SETIT_027555mg transcript:KQK95004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IRSTAAAVDGGDTDIAALLAFKAQLSDPLGVLRANWTANTSFCDWLGVSCSRRRRLRVTGLLLNDVSLQGRISPHLGNLSFLSMINLTSTELTGSIPVDLGRLHHLKFLALGNNTLSGTIPSALGNLTRLEFMNLWSNHISGQIPKELQNLSNLKHIDLLGNYLTGPIPNDQFNNTPLLRYMRFGNNSFSGTIPHSIGYLPMLQFLVLQHNHFSGPMPPGIFNMSRLEYMYLRGNNITGTIPGSNNSFILPMLQLISLSQNRFSGEIPLGLSECRYLQVLSLSGNFFEGPVPAWLGKISNLRIIYLGFNKLEGPIPSALGNLTNLMGLDISACMLTGQVPPELGGARQISELYLNDNQLTGTFPAFIANLSELSYLLLLNNNFTGLVPMSLGNAGAFQFLNVAGNHIQGGLNFLASLSNCRLLQFLDLSNNRFTGGLPEHVGNLSSQLQYFLARQNELTGELPASLSNLSILNLLDVSENQLSSPIPESMMMMNKLQHLILSRNGIFGPIPDQISMLYNLERLDLDSNSLSHGIPDGIGNLTKLRYLSVSQNKISSTIPGSVFQLASLISLDLSQNSLEGELPAYIGQLKQIYWIDLSNNLLVGRLPTSLGQLQTVAYLNLSHNSLNDSFPDTLDMLASLVSLDLSYNDLSGTIPQYLANFTYLRSLNLSFNKLHGPVPEGGIFVNISLQSLVGNMALCGGVSRLRLSPCNSSQSSTKRYRILRFLLPTVLIVVGAVTIGVYLMVRKKVKKQEGRVGSPDIVGTLNHTVVSYYEIIRATDNFSQMNLLGAGSFGKVYKGQLRNGMVIAVKVLNMQLEQAVRSFDSECRVMRMARHRNLIKVLGTCSNLDFKALLLQYMPNGNLETHLHTDGRVHLGYRQRLDTMLDVSMAMEYLHYHHFEVVLHCDLKPSNVLFDENMVAHVADFGIAKLLHGDDYSMVSASMPGTIGYMAPEYGSVGRASRGSDAFSYGIMLLEVFTGKKPTDPMFVGELTLRNWVNQAFPTNLIDIVDDWLQQDAINSLKNFLVPIFEIGLRCSNDMPDQRMTMSDVVVRLTKIKEDYMQSLT >KQK93340 pep chromosome:Setaria_italica_v2.0:VIII:632173:633042:1 gene:SETIT_026844mg transcript:KQK93340 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVHPNAADTEPPSPAPEVEEPPTVLTVWRKSLLFNCDGFTVFDAKGDLAFRVDCYGSGRRRAEVVLMDVAGKPLLTVRRKRLSFLAEHWVIYDGDAAEDESSRPLLSVRRHVSLRASKALAHVTPHAASATSAAYVVEGSYGQRACAVRDARGDAVAEVRRKESVGDDVFRLVADPRLGAQLAMGLVIALDEMFAGGRGRSARSSLLRRTLSA >KQK95206 pep chromosome:Setaria_italica_v2.0:VIII:31906008:31906735:1 gene:SETIT_027232mg transcript:KQK95206 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVETVLVIGLHTVLGIGKKLNTLERHSWIKILTSQVLNQEIKLSQPRNLLWKLFWQ >KQK94841 pep chromosome:Setaria_italica_v2.0:VIII:27898120:27900729:-1 gene:SETIT_027887mg transcript:KQK94841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRTELKMAPRSGRVELAPKGHRQFRYVAYNPKGLKYPSQVGAILKREYPGIVKVYDEQGNVVKQHPALSWNDYYWKKNRDGISYARRVKQESLVSFVCSLFWKLFTVHPRHRVTADNILEAYLVRRVSNMVYQLRLEAVKMYFHLRDETCDDTRARTIGPRKRKIAQDCCMCSEDNAQNRGGSRNFSETQQLLEHTFGSEKSGILNTYAVMKSGFKTINSTGRAAPIPSQKAQKCLDDYRQLVSDENSQELDGKALHIVGNGMKHGRVPIGDGAVDKAIVLIHGKSVGFNPINPTDYDRVIKENEQLKETNGILLEENSVNRALIMAMYSDFGREPPSELLRRLQSIDARRQQVTIFHA >KQK93626 pep chromosome:Setaria_italica_v2.0:VIII:2412700:2413614:-1 gene:SETIT_027632mg transcript:KQK93626 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNHLSQEHPQAWPWGMAMYTNLHYHHYYEKEHLFEKPLTPSDVGKLNRLVIPKQHAERYFPLSGDSGEKGLILSFEDEAGKPWRFRYSYWTSSQSYVLTKGWSRYVKEKQLDAGDIVHFERMRGFGMGDRLFICYRRRGESATAAPAPPPAVRVAAPTQSAGGEQQPWSPMCYSTSGSYPTSPANSHAYRCSVDHDPSNMQHAGESQWDRDNRSCSAASAPSRRLRLFGVNLDCGPGPEPEPETPTTMYSYMHQSPYAPDNWSALQNHQNKTHHI >KQK94113 pep chromosome:Setaria_italica_v2.0:VIII:8953935:8959763:-1 gene:SETIT_025923mg transcript:KQK94113 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDREKDGVHGILLHHRTADGQPPVTFAIAAQEKEDIHISECPCFLISGNSDGFTAKDMWNSVKEHGSFDHLDPIKTSMCSKPGSSIGAAIAASLKIAPKATQDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDAAASLAHDAILEHTTWERQIEDWQDPILQDERFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGGKKFSLDMLNDDDVNEMIQQNNTASDILHRMASILERMHASIASNSAIGTTLLHGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLVMLFPKLQISVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENENFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDEASEKLFWDKYEKAKSVYSKLWNGSYFNYDDGDNKVSTSIQADQLAGQWYAKACGLFPIVDKDKAQSALEKIYSFNVMKFKDGTRGAMNGMWPDGTLDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHNTPQTDIAQDSFPKTQISYTRIAKLLQLPEDESSKSFVRVVYEIIQNRFWS >KQK94115 pep chromosome:Setaria_italica_v2.0:VIII:8953935:8963782:-1 gene:SETIT_025923mg transcript:KQK94115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENGTDEQPKGVSRNCPPAHMNGDEEKVYPGQLPVLTWEHKLTHVRHDLPSFRLTWRETRQLAGIGLRLGRHILEETSKGRVSVIDPMKKRIAKSGQGVPLGGIGAGSIGRSYTGDFQRWQLFPGTCEDKPVLANQFSAFISHQDGRKYSTVLHPGKPDLPKGSEISGIGSWDWNMSGQHSTYHALYPRAWTVYDGEPDPELNIVCRQISPIIPHNYQQSSYPAAVFTFTVTNSGHTAADVTLLFTWANSVGGKSELTGYHSNSSMIEKDGVHGILLHHRTADGQPPVTFAIAAQEKEDIHISECPCFLISGNSDGFTAKDMWNSVKEHGSFDHLDPIKTSMCSKPGSSIGAAIAASLKIAPKATQDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDAAASLAHDAILEHTTWERQIEDWQDPILQDERFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGGKKFSLDMLNDDDVNEMIQQNNTASDILHRMASILERMHASIASNSAIGTTLLHGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLVMLFPKLQISVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENENFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDEASEKLFWDKYEKAKSVYSKLWNGSYFNYDDGDNKVSTSIQADQLAGQWYAKACGLFPIVDKDKAQSALEKIYSFNVMKFKDGTRGAMNGMWPDGTLDMSAMQSREIWPGVTYALAATMIQEGMVEQGFKTAEGIYHAAWSPEGLGYSFQTPEAWNNDDEYRSLCYMRPLAIWAIQWALSNPKLHNTPQTDIAQDSFPKTQISYTRIAKLLQLPEDESSKSFVRVVYEIIQNRFWS >KQK94114 pep chromosome:Setaria_italica_v2.0:VIII:8953935:8962175:-1 gene:SETIT_025923mg transcript:KQK94114 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVENGTDEQPKGVSRNCPPAHMNGDEEKVYPGQLPVLTWEHKLTHVRHDLPSFRLTWRETRQLAGIGLRLGRHILEETSKGRVSVIDPMKKRIAKSGQGVPLGGSIGRSYTGDFQRWQLFPGTCEDKPVLANQFSAFISHQDGRKYSTVLHPGKPDLPKGSEISGIGSWDWNMSGQHSTYHALYPRAWTVYDGEPDPELNIVCRQISPIIPHNYQQSSYPAAVFTFTVTNSGHTAADVTLLFTWANSVGGKSELTGYHSNSSMIEKDGVHGILLHHRTADGQPPVTFAIAAQEKEDIHISECPCFLISGNSDGFTAKDMWNSVKEHGSFDHLDPIKTSMCSKPGSSIGAAIAASLKIAPKATQDVSFSLAWACPEVKFSSGKTYHRRYTKFYGTDVDAAASLAHDAILEHTTWERQIEDWQDPILQDERFPAWYPVTLFNELYYLNAGGTIWTDGLPPIQSLTAIGGKKFSLDMLNDDDVNEMIQQNNTASDILHRMASILERMHASIASNSAIGTTLLHGEENIGQFLYLEGIEYYMWNTYDVHFYASFSLVMLFPKLQISVQRDFAAAVMMHDPEKLRILHDGKWAARKVLGAVPHDLGLYDPWFKVNAYTLYNTDRWKDLNPKFVLQVYRDVVATGDKSFARAVWPSVYMAMAYMEQFDKDKDGMIENENFPDQTYDVWSMAGISAYCGGLWVAALQAASALAREVGDEASEKLFWDKYEKAKSVYSKLWNGSYFNYDDGDNKVRQSVWSLPNC >KQK95666 pep chromosome:Setaria_italica_v2.0:VIII:36967605:36968579:-1 gene:SETIT_027548mg transcript:KQK95666 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAGRSMAERWSLAGATALVTGGSKGIGHAIVEELAGFGARVHTCARNAAELEACRRRWEEKGLQVTVSVCDVSVRSDREDLMATVKDTFDGKLDILVNNAGQSLFKAAADCTGEDYASIMATNLESCFHLSQLAHPLLLASSTGGSVVHISSVAGFIGLPALAVYSMTKGAMNQLTRSLAAEWAHDGIRVNCVAPGGVRTDISNDRTIDPELVKKEMARLPMGRIAEPEEVASMVAFLCMPAASYMTGQVICVDGGRTIA >KQK94259 pep chromosome:Setaria_italica_v2.0:VIII:12007835:12009787:1 gene:SETIT_026934mg transcript:KQK94259 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAPLRLLSPSSSPRSPQDPSPRPVPPLHAWLPRRRQLRAVRCASSLPPPPPPPLSSLDLPLLPFQPAEVLIPSESKTLHLYEARYIALLEEALNKKKNSFVHFVLDPVVDSSTKASFAVRYGCLVHIESVQKLEIGALISIRGVCRVNISNLLDVSSFLLFF >KQK95596 pep chromosome:Setaria_italica_v2.0:VIII:36066297:36069259:1 gene:SETIT_027990mg transcript:KQK95596 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAIIFFLSAMAISVRAAVVEHTFVVSQVNMTHLCKETLVTLVNGQLPGPVIEVTEGDSVAVLLVNKSPYNITIHWHGVKQWLNCWADGVPMVTQRPILPNHNFTYRFNVTGQEGTLWWHAHVTCLRATLHGAIIIRPRDGASSYPFPNPDEDVPIIIGEWWEMDLDELDRRMRDSLFDDNPSGATMNGKFGDLYNCSGAKEDGYVLNVEPGKTYLLRIINAVLFSEYYLKIAGHKFTVVAADANYVNPYTTDIISIAPPDPQIPKLVTRGTVWYSTGHVSSNGTAPPVAPEMPDQHDTMASFYFHGNLTSLNDTQRLLVPERADESLFITVGLGSICRRGQTCKRSGSNESILVATMNNVSFQLPDTSMPLLEAHYYHTGDMDMLQELPDRPPMVFNFTDRGLIPWGPKEGQLEPTSRGSLVRRFQHGAVVDIVFQGTAVMQSDSNPMHLHGHNMFVLAQGVGNYDAARDVAKYNLVDPPVRNSVLVPRIGWAAIRFVADNPGVWLMHCHYEFHFSMGMAAIFIVEDGPTVRTSLPPPPLELLACDP >KQK93519 pep chromosome:Setaria_italica_v2.0:VIII:1642925:1643634:1 gene:SETIT_028472mg transcript:KQK93519 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVALCCAPSNANSNIQSYDYGWGVKLMVHKTLIVPSSLLKMTEELIV >KQK94371 pep chromosome:Setaria_italica_v2.0:VIII:13883859:13886646:-1 gene:SETIT_028329mg transcript:KQK94371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRRRCPAAREPSQNEYEREHEETIRKNLRRIEEFGISGLSLALNSLLRENNFKGGSLGRKRKRSGRHACRGEGSDLSDSIPEVEEPDNTNLEEMLIPLAIEGPVRTGRRKVCVNKRKTSGAMAPGGRHSKRVRSIPPTEVTPGASTRLPKRLQTSAPCEKPVQEELPQVAEYTSGDDNVVQQELLVVVENTSGDDNVNNHSSPLRDWSYEYDEDHDDAPDLNKVETGWNNDSGQLESRTEAPTQRVGSRNARPPIRGILLDKMTKAIGRRIPISVAEGNTKPYESVQATKFASEAGVIVRSQVPIFPHWKDYKEETKHFEGFVGSLSRRYKLKQKHFVGVPANEIPTTSLFSYMTDLQWCKLVDKWSTAHNKPPLLSVDMVSKVLSQNNSNTTFLKNAGIPTTSSKYQSAGKEALRQELASEKQGSAVLHQ >KQK95989 pep chromosome:Setaria_italica_v2.0:VIII:40333770:40333928:-1 gene:SETIT_027880mg transcript:KQK95989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPPAVSRPRERRVTSNRRRSWTLSLPSPLKMASCTAAPWATASSACKAPSH >KQK94068 pep chromosome:Setaria_italica_v2.0:VIII:8397842:8398495:-1 gene:SETIT_027072mg transcript:KQK94068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPQTVAILLLLVVCAVVSPHPVIGGKKRLRCDENDKKHILKDCGHYTKKHGHSNERPVTHSSCCAAVHTVPDDDMQCIVDLLTDAEKKEHEKDAILALQDACA >KQK93243 pep chromosome:Setaria_italica_v2.0:VIII:127799:132491:-1 gene:SETIT_025995mg transcript:KQK93243 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRLPAATPARSDSPPLVSTGNKENISDAGGATVPDYCRPAPCQEGAAFSPNINNKPAPVNLRKSIAWNPAFFTDQGVLDNLELSLLTGSQLKANGSPRSGVAGGTVSPFCRSGRSGTACVLKEVAENSHGKLPAKYRTTEKQGRKLFSSVKTPQRDQRRECTETQNKASSRSIQKCIPRLPSGSIQKKVPNSSSAAQMSGIPKKSQHSLPTVPRSTSSSTTILKSNVKLGPVNAEQIHRVPGLPPKSKINSVSSGSDTVKDVVPAVTAFREDASGSVERKNFLPNPQIIPSSSFGGPASNFTKPSALRMPSPSVGFFTQENAHVSYGNAAKRNVGNTSSVVKPPRYKQPEDLNGRVHITKPLSTNCTAASNVPPVIRESNPNTLVAPEKEFSSKFITSKYSAKSGYANNQERSDVNCLLAGIGATIQPPNPAKNDAARYSTPVVYSDTSHVERRDISKEVESFENSYPLKGVCPSTIEPVEDSSFKSTCPLTKPIVGSTSSSSSISSRVCSSSDLTCQSKSESGSGESIYLGNSCVEETTTAISLSKGESCTPGLDLSRGFDSHNHQNTECAVLMESVESTVCADQAPCCGSSKGKTPALADCNSDFGDSLCNESKPASSEEPNAEGEIELETNNAPTVEETLSLHVEREHNHNYMSTEPSPMKLEAPTPCVERQHALSVEPNMEDKMVLNADKLSALEGASQIENVKALDRSRTNTILKDHLKNLVPFTEEWLAVMEARGQEVLEQKTGAVQNSPPDKTAPEPSPWSPVKRKAQDVGPFDCTKYSKSVRTSGTP >KQK93754 pep chromosome:Setaria_italica_v2.0:VIII:4016622:4017401:1 gene:SETIT_028013mg transcript:KQK93754 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRIGIAAMLVLSSCFLFLTTHAQQQPRPAASDNTASLPSCIPHERDALLSFKHGITSDPAGLLNSWRRDGGHDEQDCCRWRGVRCSNRTGHVHKLRLRGNYEEGMEGKISPSLLALDHLEHLDLSSNHLAGPTGRLPEFLGSLKSLKYLNLSYISFHGSDVDLSTTVDWPHVMNMLPSLRVLRLSGCSLASANQSLPHLNLTNLEELDASQNSFNHP >KQK93813 pep chromosome:Setaria_italica_v2.0:VIII:4638024:4639148:-1 gene:SETIT_027618mg transcript:KQK93813 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVGAGWEGGNGELAKPLRVVATHDDYVLLEMRAPHNRWHGQDRDSMKFDYLLYEAADNGTGAGVPRLLSLSLLPGCYFSMLYKRGEGSDGVARQAPGNEGALRPPPRPIGHEWELNQAVPIIHGETDAATPICDRFLRFVDYLHGFLLCDMAAAGPLELRCPYMSYSWNMGAVGTGAVRFVGVEPQCCCCGHGRTTCAHSRFFFTVTTWTMTLSSMDKPMTWVKDGVLHCEELWALPAYEGLPHVTVESPMVTFDDPDVVCFVVCERDYVKFSDRKVWIVEVDRKVWIVEVDTTSKELRSVVPYTTGGQPENLLPVNLCVQT >KQK95373 pep chromosome:Setaria_italica_v2.0:VIII:33885495:33887651:1 gene:SETIT_028090mg transcript:KQK95373 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIRCALPDNDVGYTIITTTRISHVAEQAGGAYNMKPLSLNNSRKLLYRRIFGSGNKDNNETEEKCHKEMAEVSDRILKKCAGVPLAIITMASLLACKARNKMEWYEVYNSVGTGLENNLDVENMRKILSFSYYELPCYLRACLLYLSMFPEDYEIGKDRLIRMWIGEGFIQCEKVGKSLFELGESYFNELINRSMIQPIYDSKNDMISSCRVHDMVLDLIRSLSSEENFVTILSNMGGTSPSNTIRRLSLQNGQESHVMAQATWSLQHTRSVFVFPTSISPVLPLDYCRVLRVLDLEGCNLSQANSILKCLGNIHHLRYLGLRRTGISQLPEEIGNLQFLQILDVRRNNIFRLASRVVQLRKLMYLYIDRSTTVPNGIGNLTCLEQLSWLRINDSTRNIIQELGQLTELRQLSIELDKWNDKLLECLCKLQKMQELVITVRHGQRSIGRLDAWVAPRHLRVFQSPDNCWFSTLPAWVNPSLLPDLTFLSIDVRELHQVDLEILGRLPALRFLSLKMDNKNLGILAGFVVGAGAFPCLVTCYFCQFVWPVVFQQGAMPRVRELVIWRFYLREARGIACTDGSLDLGMGNLPSLQYVKADLRCDGAGKEEAEQAKAALTHAAQMHPNHPLHLIHITDHDYYKTLCRKLDRSRADVSPRMQ >KQK95097 pep chromosome:Setaria_italica_v2.0:VIII:30749097:30750014:-1 gene:SETIT_027119mg transcript:KQK95097 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTPLMVIMCLVILSLNVNPATAAQCSCCVSARAKACCFACITAGGSDSLCKNTCCFPCVLSDSVAAKMEEMAVLAKMEEPGQA >KQK93354 pep chromosome:Setaria_italica_v2.0:VIII:711969:713806:-1 gene:SETIT_027298mg transcript:KQK93354 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKEHFVLVHGEGHGAWCWFKLRWLLEGSGYRVTCIDLAGGGVDPTDPNTVRSFKQYDKPLIDLISILPEGEKVILVGHGAGGLSVIHALHEFVDRISQAFFVAATMLPFGFQTDEDKKDGLPTLPENEIELTFGAAADDPPITVALRPEFQRDRLSQQSPEEESVLASMLMRPWPATAISSASFEGDDERLNRVKRIFIKTERDHMLDPEQQDSMIKKWPPSEVLVIDTDHSPFFSAPEQLFNLIVKSL >KQK94835 pep chromosome:Setaria_italica_v2.0:VIII:27840573:27842346:1 gene:SETIT_026479mg transcript:KQK94835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHISEGISICNCDYDHNCVASQATTSPPPPKLLTGPEAQSTIYSMQLLKLKAADRVSGRQHRPQNDSGGVGWRDRRSRLTPAPWTTTPAAADHAVSWRRRGWRRSWLRGRRGRRPPGARTPCTGTGDGTAAAAPTPRRRRTPGTPTCSPPPGTTWPRTRRRRPRPRRRSGTPRRPPRRRCRGRSRRSPPRRAESPGHQPRRRGGGGCRARRGAPTRRPRCCAPRRTTPGSRRRPAAAAPRAPPRGGARRPARPPSRAAAAPRPPPRRAPAPGAAACAPAPTRREGDRTRRAPARPPPRPAGTAPPPARPPPTPWPAARWSTPSRNPPSGSRSPPARASAARRQPPASPGSAEAPPTRMSRTARTHPPCRASATAAPRHRRCTSARSARR >KQK94904 pep chromosome:Setaria_italica_v2.0:VIII:28569759:28570120:1 gene:SETIT_028093mg transcript:KQK94904 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTKCAIAVFLVLAVISNTSTCCVRAICSGCPTPKPKPGMPCFHAGSSQHPCTTEKCSRLCEHLNHNGTLAYCRSASPGVCCCPNE >KQK94827 pep chromosome:Setaria_italica_v2.0:VIII:27694047:27698181:1 gene:SETIT_028322mg transcript:KQK94827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWNFVTGGRSGSSSWMVQMERMLMNANPETEMARWNKPSIYRVPEWLKNMTNRDAYRPQLVSLGPFHHGEPDLLPMEEHKRRAVAHVAMRSRRPLREFVAAVEEVADKLMDAYDNLDEKWRGARRGQFVEMMVVDGCFLLEMVKGVSRGEAPRDYAPNDPVFSKHGMIYLWGGIQADMLAIENQLPLLALYTIEQIWHDTTPLSEKDVNKLVLDFTWQPLNKDKREGVVQDILSLHALDIYHKNLCGLSPAPGGSGKHIYEESMRCAVELKEKGVHFKKCDSTHAIDFKNGVLSLPEVSVGDGNEKIFLNLMAFEQLHSGMRSDATAYLIFMDNIIDSERDVALLRSKGIIKHSLSSDRETANLFNILSKGGAVMSPHSRLHDVRRKVNDHCRKPWNKWMAIFRHTYASNPWVLISLVAAIILLVSTILQTIYSVVQFYTKS >KQK94993 pep chromosome:Setaria_italica_v2.0:VIII:29644115:29646293:-1 gene:SETIT_026905mg transcript:KQK94993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRYGENVLEAKKNPNWMCPVCRGICNCSICRTKKGWFPTGCAYKKAVRLGYKSVAHYLIATQRASANSEDSSAADSSNKLLSDKSETSCISDQDATAAKEGLEDGETSSKAKQSKTACRQVKRNSDDYKDDRKSESVVTSDSQDVQVNKDAGCVTPSSKPARKRKYEQSPDCVASRLRSRSNKT >KQK95824 pep chromosome:Setaria_italica_v2.0:VIII:38568007:38569061:1 gene:SETIT_026971mg transcript:KQK95824 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRSDPNSCVAERDTIEEEKRSGEWYVATGAAHHATWKRDLFSNLVDVENDGLCVHAADGTPMPVCGRGDVVTDDVVLPDVYYVPRLCTNLVSVGQLAGLDYCVGFGRGACVISSAAGTVVGRAHARGDGLYEVDFLRVPLGMP >KQK94577 pep chromosome:Setaria_italica_v2.0:VIII:22592708:22598243:1 gene:SETIT_026395mg transcript:KQK94577 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKKGRPVPEWLNSPIWSAPPPAPAPPDPYGADLAPPPPPKPPPPVATAPPMPPPPSYEQAVREGGGRGDEEEEGAGAVLRAHLLADFKAALSKKVVNMGELQRLACLGVPDGGAGVRPVVWKLLLGYLPTDRGLWPYELEKKRSQYSAYKEEFLLNPSEKLRRIEESKLSRKKELNIERIGLLPRLEVTNEEHPLSSGKSSLWNQYFQESEILEQIDRDVKRTHPDISFFSAKSNQESLRRILIIFSKSNPSIRYVQGMNEVLAPLFYVFKNDPDPSSSASAEADTYFCFVELLSGFKDNYCKHLDNSSVGIRSTLSKLSQLLKRHDEELWRHMEVTTKVYPQYYAFRWITLLLTMEFSFNVCIHIWDAILGDPEGPPDTLMRICCAMLILVRKRLLAGDFTANIQLLQHYPATNIDHLLHIANRLRGTVAS >KQK95514 pep chromosome:Setaria_italica_v2.0:VIII:35204595:35207766:-1 gene:SETIT_026489mg transcript:KQK95514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAALGTAGGSSEEHLRQVRSFLRRVNKAPVTSIQSPDGDIIDCVPISKQPAFDHPLLKNHTIQMQPSYHPRGDSNIAPHPITQAWHQNGKCPENTVPIRRTKEEDVLRASSVNLYGKKRPDSIPNIHPETSVTTGHEYAVASSADGQYYGTQIKVNLWKPMTETAQDFSLTQLWTVAGSYANNDLNTIEVGWQVYQSFYGDNNSRLFIYWTRDAYRTTGCYNLGCSGFVQTNNQIALGGTLSPQSVYGGSQYEICILVWKDPNTGNWWLQVGGTNVGYWPSSIFTHLKNSASYVQWGGEVFSSSAGQTSTDMGSGHFPGEGFGKASYIRNIQVVDSSNYLRQASGLGFIINPSPSCYNVQSGTSSNDWGTYIFYGGPGRNSNCP >KQK95339 pep chromosome:Setaria_italica_v2.0:VIII:33544982:33545650:1 gene:SETIT_027091mg transcript:KQK95339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRNQKEKSEEPWVGRRILGVAFAGVVAVAAGAFVMLSRNGDGAEQEQTAGRTMKGPDTSGERISRNKFEEDPKRYFKTCRQKGPKAAVDDFK >KQK95260 pep chromosome:Setaria_italica_v2.0:VIII:32737563:32738770:1 gene:SETIT_028020mg transcript:KQK95260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALESFRVTRVLDLHGCNLSQSYSLKHLGNLFHLRYLGLRGTSIAQLPEKIGNLSFLQTLDVKRNQISSSPPTVVQLRNLVFLYTDWSTRLPSRIGNMACLEYLLLRIDDSTMDSIEEMGQLLEYLSKLQKIRNLHIEVIDGRRSIGGLDAWVAPQHLCRLSTVRSCWFSALPTWMDRSVLPNLSFLWFAILGSLPALRSLEPEVDHVNLGIHAMGDSMLVLACSRASYTATKETTTSDGGLDVDIRNLPLLQDVFIELRSEVASTEEVEQVKATLRHSD >KQK95909 pep chromosome:Setaria_italica_v2.0:VIII:39483718:39485916:1 gene:SETIT_027657mg transcript:KQK95909 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALAPSPTPQVDALLRHSQRHDMLSLDYVVALFFVAVVAMFLLHILGPLRRWLSHGLLHHIVMGVYTLSYPLVGYTIGLMQSTKYLYLNDFTVWAVFLLLLLTSTDSLTACRLDDIDNWKSIYVKQLFKGFIVVFIVLKFCIYMELASNLNYLWYPLSAILVVIVLKSYLMIASMRMVSKSYHGKNVKVIAEYMQHIDNKLVAFDPVTMEGYRYMVAGEKHCVNQPGHTPWYKKPDDLKLTTVEQIWQCEGNLLIGDQGKVLKDLCLSMALSKMLNRRFAGFKLSEAELEKTHDFVFKGLLAGDEQRVFRVIEEELVFVHDMYYTRYSYLYQKVASLHVKRDEHTDLSIDSFQDLMNAASYIMFKHITSGSLMSGTIVITVVLAFLEAYQLYLYMASGWFKVALIRSYVTAPFLQTSYCSEMIIRLLLMLKPFRPWKGRLGQYCFLENLGRKSKVMSCLHYGTLCMVDKAMKGSKKSVKLSKDVKKAIIDSLLASNGHLTNGVTSLQRNGVHDDLKWACDGTATDGAVARTIVVWHIATTLCEQKLDKQAKEQDAVKTASTLSKYCMHLLAFAPNLLPDHSSISESILRQSIDEASKILKEAKNNKIDGRCETLMEINTDGCVDDETILVARGVHLARQLMIDNIQDFTTRWKVLSDFWEEMMLYVSPSDDARVRVHLEVLPRGGEFITHLWALLTHAGVLKRGSTEPKDVV >KQK94208 pep chromosome:Setaria_italica_v2.0:VIII:10836552:10841247:-1 gene:SETIT_028335mg transcript:KQK94208 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADVVLGVAKSLVEGTITKAQAAIEESSKLQQSAQRDLVFIAGEFEMMQSFLSTTTEEQVTNNIVGTWVAQVLDLAYDVEDGIEFVVHLDNKSDWLPRLIPSCMRRPLPLDEAVSIIEQLKARVQDVNQRKERYKLMSDSGGPNPEMKQLASAGSSSFVMLAEERSTPTKQQDMDALTKLVTRRGSDRQVITVWELGGDLGAASKSSIRKAYDNAKNWGCRAWIKLGNPFNLNEFSRSLIDQFCGNGVQGRNQAPPTEDYLIHEQVNNRRYFVVLEDLSTKEDWDAILGSLPDRSDGSRIVVLTQRFQVARYCTGLPYVQYFWANNSVCVFFEEGSLIGRMSDINQLSGYLAKARMEALQVMSVWGIPGVGKSALVRNLCHKKVLQHHKFEKHGWVNVSHPFDVRDFSQCLLLQLVDSHSLELEANDEASRDPVEECRNLLRRHKCLVVIDDLQSTQEWKIIQDALVSRPTESVIIVITNEDTIAINCADRKDLVFNVKPLGIGAAIDLFKTMAESPYFSVDGIEKDSVLQQLISKCGGLPKVIVAIADILAQAFNWTEKASILNGSFVPNLETSPEFCCLRGLFSWMRCYLGALPRHIRKHVAYLLIFPGDSSIRRRRLVMRWGAEGYSTDTENHTSDENGEDLFSRLVKQTMIQPPPLTTTTDMRMVSFEVNTIFREYMISRPLKENIATAIELFVLKGACSPTSRRRGRHLVIEQSWDRDRIVFQNIDFSRLRSLTVFGEWKPFFISENMKVLRVLDLEDASGVTNKDLQNMIKLLPRLKFLSLRGCREINYLPCSVGELRQLQILDVTYTNIVTIPTSITELKKLQYIHAVPAPPEYSSAPHTVAFKSSKLHRYLQLVGVQVMSGIGKLTALHTLGVVNIGAAGGKVILKELRNLTQLRKLGVSGISKKNGKEFCSAILCHSRLESLSVWLNKDNQDCLDGMVHGNTNETSGPVNKLQSLKLYGPVEKLAMWTKQLNNLRKLNLEISTLSEADIKVLGGLKELRILRLCINPQKNGNLKFYVEIAGVEDRCYEMMKVLEIGSRSNLHLTFGSLAMKNLELLTAGSCSNGSQLRFDGLKHLSKLKDVRVIGSKDETLEKNLKDQFADHTSKPALELEE >KQK95757 pep chromosome:Setaria_italica_v2.0:VIII:37833466:37835082:1 gene:SETIT_027355mg transcript:KQK95757 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDDGEDCIYEYDDDDASGGDYDYGEDADEYMSDAEETKVHVAPVTYVVLTEDDVLKRQAEDTAGIAEVLSIPPAFAAFLLRRYKWMPSNLQDDWFSDDRRVRDAAGLPADGGAPVVATALSPWPLICAICFDRYPAGATRSASCSSHFYCDDCWRGYVGAAVGDGPRCLSLRCPDPACSAAVVRGLVDAVAGAADRERYARFALRSYVEESGGRIKWCPGAGCSRAVEFVGCAGYDATDVFCKCRHGFCWSCGEEAHRPVSCGTVRAWLAKNKSDSETVNWMLANTKLCPKCRRAIEKNHGCNHISCPCGHHFCWLCFQPAGTREHYACQGDTYRPRLNAAGSKAAVETKEEATARQARASLDRYLFHYERWAGNLKSLEKARQDMDKLERSELEEMAAVVGVKAVTELEFVTEAYEEIVYGRRVLQWAHAYGYYLDPERDGMKRELFDYLQVEANSSLERLHKCAEVDRKEIFCCDGEGEGKGEAADVSKVIKDYKKVFKDYRDRMVNLTVASRTFMGNLVKAFETDLPEVYTMNF >KQK94932 pep chromosome:Setaria_italica_v2.0:VIII:28917841:28919717:1 gene:SETIT_027079mg transcript:KQK94932 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFNKNLVVAGFTLALLVASSYGDFPGAMASSYGGMAGNYCYERTETECTSDETCRTICLEKGDSYVGGYCSKVASSSCICIKKCAAQFPHGAAGLGPASA >KQK95149 pep chromosome:Setaria_italica_v2.0:VIII:31377470:31378854:1 gene:SETIT_027554mg transcript:KQK95149 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVGFVDAGGGGDDRQYGGRITTFVALSCVTAAMGGAIFGYDLGTSVTDCEGFCFCTPADGGRVRVSNYCKFDSQLLTLFTSSLYIAGLLTAMLLSSWFTARRGRRPSMIVGGVAFLAGAAVSGGAVNVYMAILGRALLGIGLGFANQAVLLYLSQMAPARYRGAFSNGFQLTLCLGSLAANVTNYGADKIAGTPAAGAGGCRWAWPASPPRSLRSAPSSCRRRRTSLVRALLHKIRGTDAVDEELDGDGNGLRLILSQPRYRLQLAVAVLMPAFTQLNGINAIGFYAPVLLRTVGMGESLALLSTIITVRTLLIAGSLQMLASELLIGAVLAAGLGDEGGLGGRGCSSSSACTWSGTAGRGAP >KQK93415 pep chromosome:Setaria_italica_v2.0:VIII:1004472:1004919:1 gene:SETIT_027143mg transcript:KQK93415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMIIMTTRCKQVIGQLQLLLPMTTKAAAKLWYPAKMELWHIRSPLFLKLIHAVRQTNLKETKTTVTFGSCIRIMWLLLWE >KQK93827 pep chromosome:Setaria_italica_v2.0:VIII:4757787:4758689:1 gene:SETIT_027729mg transcript:KQK93827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRFGFDFPPAYKFDPTDADIVAHYLLPRAIGFPNPYAHAIIDDDPYSCPPWEFMRRHGHAGSDHAFFFGPQREPDPRKKAARTVAPGEDGVGGTWDGQRSDATRLVLLRAGAGAEGRRLEVTYKRHNLSYYHGPQKKKTSGWVMHEYQIIDPPHLAGTVLSRVKITGKEKKNEGKQQKQADAGQQVVPTGPDQAGPSNYYQQPVGGGEEYGGAMGDNTGVCYVGDGNDYYMYEDGGSYADDGNNYSNQDSGYCGYPDGGGGFFHVGDNNSYEYPDGGREEEKQC >KQK94858 pep chromosome:Setaria_italica_v2.0:VIII:28037160:28041716:-1 gene:SETIT_026189mg transcript:KQK94858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTLLRGMRTPISFRSNSGLLFTVLRPRLSRFTTRAESAQATEPKAAPKSIQLATKEAAEQKTQGFEAVIGIETHVQLSTVTKAFCSCPYNYGSQPNSTICPTCMGHPGALPVLNEKVVECAVKLGLALNCEISMISKFDRKQYFYPDLPKGYQISQFDIPIAKKGYVNLDLPVEFGGGHRKFGITRVHMEEDAGKLLHSESRSYSQVDLNRAGVPLLEIVSEPDMRTGIEAAEYGAELQRIVRYLGVSNGNMQEGSLRCDVNVSVRPVGQSEFGTKVEIKNMNSFSEINRAIDYEISRQIMLHKEGQADQIVQETRLWDESSQKTFTMRKKEGLADYRYFPEPDLPEVVLSTDYIDEISKSMPELPEAKRRRYENMGLSMQDVLFLANDDNVAHFFDSTLEHGADAKLACNWIMGDIAAYLKNEKLSINETKLTPLELSELIESIKNGTISGKIGKEILVELIAKGGTVKGVIEEKDLVQIADPAAIEAMVDKVIADNPKQLEQYRAGKTKLQGFFAGQVMKASKGKANPVLLNKILGEKLNAN >KQK95318 pep chromosome:Setaria_italica_v2.0:VIII:33296574:33298235:1 gene:SETIT_027778mg transcript:KQK95318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGLPAFEILLVLLLALPNHLSCAHSTADMLLQWKCSMYDTTSLGCLETWIYGTSPCNWTGVTCSAMVPHDHGHGRSDVVQVVKNISLAECFLQGTLDMLDFSYLPELSFLDLSSNYLSGSLPASIGNLSKLNYLNLSHSSVLTEAQVIFLAESSLSGHIPETLGMLVYLQKLDLRGNKFSGLIPSSLGNLTGLDYMDLSSNSLSGHIPHEIGMMQGLTLLDLSSNSIGGSIPGIIWNLTRLVLLDLSSNRIVDSIPPSIGNLRRLESFNVTNNKITGSIPLRISNLTMLKSFDLSFNHIVGPIPPSIGNLRRLVSFSFANNEITGSIPVSISNLTRLELFDPSSNQIAASISQCIMNLTRLEILDLSNCQLNGTIPRSIGNLSRLKFFNLSSNQITGFIPESIGKLTRLELLDFSNNKINGCIPSTFWNLTSLTTIALYSNQMNGLLPPEIGSLFNLSYLDLSSNQFRGSIPSQIGNSLGLSILRMSDNILIGPIPKEIGNCSKLYEMDLSRNNLSGAIPETLAHLHQLHILNLSFNSLSGRFEDTFTPLDYTM >KQK93512 pep chromosome:Setaria_italica_v2.0:VIII:1609347:1610526:-1 gene:SETIT_026897mg transcript:KQK93512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVNSLKQTKPSPSTSTPPIMRRQSSTEHRSSPSDASTARSSSAEILPSPSASNTANVSFSSPSSAAWASAAISSSRIPDPSASASISASSASLETTLLRAISRSALVTGAGFPLAPDADGGRTVRGVVTVAHSSDEDDAVEPKEALLPFFDLVLLLTSFLAPEEPKNGTPSFMAVMLPSTRGIESTR >KQK93346 pep chromosome:Setaria_italica_v2.0:VIII:666562:672830:-1 gene:SETIT_025925mg transcript:KQK93346 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQQSHSSSYGLLNTQPDLQCLVCTRPFTLDTEIADSFEALAICRECKMTVLSDNNRDEPTRTNQQTRRRRQRSRVSRHEPIEDAFSRQFSQLINLARQGHEADVDSPTVARQHASYSSTPNRPQRWHGSDDESDGFSYADSVFGEIESNISFGDDGGESDASLEHQTTMGREIVIQLDSESYMNTDTDIDPMNAGLDQWDSDDPEDDEDEQSEESDLDEAGNAVQEHWQTWHDIAPSGLNEQESEDTVWTWRIAGNQGVNGTNLNADTEGREIRRHFTGNPGDYVDARQFEMLLEQFAEDNNTTRGPPPAATSSVENLASVVISTSNEINGDLMCPVCKDEMPIKTVAKQLPCMHLYHSSCILPWLSSRNTCPVCRYELPTDDMEYERSKRATANEGGIHGVERNHLQETVEETSYEPEVEGISNTAGGTMEETNAHEHAVYSAQEPNGAHGRHRWLFIAAAPVVCLRSENIRKKLKRQGIKGPEPTVLYGNTREMKRIKEGLKIVQTQDANNYLSSVFPYLLLWRETYGPVFIYSTGALEILHVSDPEMVKDIGHRTPSELGKPNYLKRSRKALFGGGLFTLNGNDWAYQRKLIAPEFFMDKIKGMIELVEDATAPLLESWESLLDNVGGSREIVVDDYLRKLSADVIARVCFGSSFTRGEEIFCKLRQLQKTVSQQDALVGLSAFWKYLPTRANREIRKLEEEVRLLILDVIKEHNNSVDNDLLRVIIDGAQGRQLQGHDAEDFIIGNCKGMYFAGHGTTAVTLIWCLMSLSTHPEWQECARAEAVEVCQGGATLDVDALRRLKIITMVIQETLRLYPPASLMMREALTDVKIGGLDVPGGTIIQVARSMLHQDKDAWGPDAAEFRPDRFANGVAAACRPAHMYMPFGHGPRTCIGQNLAMVELKVVLARLLSRFAFVPSPRYRHAPVFRLTIEPGFGMPLVVTRL >KQK94322 pep chromosome:Setaria_italica_v2.0:VIII:13062886:13063404:-1 gene:SETIT_027109mg transcript:KQK94322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLGGCFGGVAVVAESPAAGKQSGGVEGSPEAKRQQRVVAEEKKKMGGEPGDVAAAGRKERKKRDHQKAPPIVMHHFPFHSRPGLL >KQK95222 pep chromosome:Setaria_italica_v2.0:VIII:32333735:32336531:1 gene:SETIT_026120mg transcript:KQK95222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIFIILLVLSLAPMVATEPWWVCGATGTYTTNSKYHNNLETLSSMLPASTPFVDPPGDAVSGSDLFAKASVGAVPDTVYALALCHGYVNASACRNCVAAAFDVARKQCALGKDATVFYDACLLRFSDKNFLELLHNASMASSADYSDGALILMNVTTQPLFSGWDKGLNQETTIIHFINMALNNTVQKVFNNTGKHYAAIRSTTDDGSGSIPPIYSMAQCVPELIVDFCYRCLTNISNLAMTNFAGRHRGQILGAWCNLRYDTYPFYGELTSVPSNAKMNVHEDEALIWGLEGRNPEFTIYDFSQVLEATCNFSENSKLGQGGFGPVYKGRFADGLEIAVKRLASHSGQGFTEFKNEIQLIAKLQHTNLVRLLGCCYQKQEKLLIYEYLPNKSLDFFIFDETRRALVDWNKRLAIIDGIAQGLLYLHKHSRLRVIHRDLKASNILLDHEMNPKISDFGLAKIFGINDTERNTKRIVGTYGYMAPEYASEGLFSVKSDVFSFGVLILEIVSGQRTSGFHRYGDFINLVGHAWQLWKEERWLQLVDASIAENQNLGMMRCIIIALLCVQENAADRPTISDVIAMLSSESMTLPEPKHPAYFHVRLTEDVASTLI >KQK93989 pep chromosome:Setaria_italica_v2.0:VIII:7169346:7170608:-1 gene:SETIT_028119mg transcript:KQK93989 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TCCFMDSRQQCKGPASIGVSNLRNQSLDAPIEEALRVEGCDSEMTRSPPPAMIHVEDEEIMSALPNPVNIEDPKLKGLEPLDTVPFRVVPGPYGGKEHIPFDKNKLGHLIDQSIPVKEQSICSFPQLLKPLVSYKEEDWTAFLHTRVDGHKDWYWLDLF >KQK94790 pep chromosome:Setaria_italica_v2.0:VIII:26964672:26970595:1 gene:SETIT_025841mg transcript:KQK94790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGSASRQGRLLISPSLSTPTFSTRSASQSPAANPAPQHHHERRNSTSSPKPLVPFPSSSAGTYRPRSSTGGGAGPRGAAASASSAASGAAFAHNARLAAALVPAAAFLLDLGGLPVFAVLAIGLAASYLLDALQLRQGAFFTVWASLIAADVAFFFSASLSSAAAASLPLTALALLLCAQTSFLIGLENPTIVAALERLLFACVPIAAPALFTWALVSAVGMANASYYFAAFCMVFYWLFSIPRPSSFNNRKQDAPMQDSDGILGPLESCVHSLYLLFVPVLFHAASHHATLFTSWGSVCELLLLFFIPFLFQLYASTRGALWWITRDAHTMDQIRIANGLVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGLAAHAAGKVGDAASSAAFTVLAVLVSGAGAVVIGFPIMFLPLPMISGYYVARFFTKKSLSSYFTFVAIGSLMVLWFVVHNYWDLNIWIAGMPLKSFTKYVVAAVIMAMAVPGLAHLPTKLRFLLELGLIGHTLLLCNIENRLFNYASMYYFGLEEDIIYPSYMVLITTFLGLAIVRRLYVDQRVGPKAAWILTCLYSSKLSMLFMTSRSVIWVSAVLLLAVTPPLLLYRDKSKGAARMKVWQAYFHASVVAFSAWLCRETIFEALQWWNGRPPSDGLLLGSYILLTGVACIPIVVLHFPHVQSAKRFLVLVVATGLLFVIMQPPIKLSWVYRSELITAAHLSDDDTSIYGFVASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYAVAVGVTLGIYISVQYFFQAVVLYPLLVATIVSAAVFVVFTHLPSESSTRVLPWVFSFLVALFPVTYLLEGQLRAKSFADEDEAEKFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLRDKAADRGVTHGPSSRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNVSTVLCFIICLVLNITLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTISISGYLLLTALYRIWEETWPGNGGWALDIGGPGWLFAVKNVALLVLTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIIMTDILTIRVLGLLGAMYSLAQYLISRRIRIAGMKYI >KQK94789 pep chromosome:Setaria_italica_v2.0:VIII:26964672:26970980:1 gene:SETIT_025841mg transcript:KQK94789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDPSGSASRQGRLLISPSLSTPTFSTRSASQSPAANPAPQHHHERRNSTSSPKPLVPFPSSSAGTYRPRSSTGGGAGPRGAAASASSAASGAAFAHNARLAAALVPAAAFLLDLGGLPVFAVLAIGLAASYLLDALQLRQGAFFTVWASLIAADVAFFFSASLSSAAAASLPLTALALLLCAQTSFLIGLENPTIVAALERLLFACVPIAAPALFTWALVSAVGMANASYYFAAFCMVFYWLFSIPRPSSFNNRKQDAPMQDSDGILGPLESCVHSLYLLFVPVLFHAASHHATLFTSWGSVCELLLLFFIPFLFQLYASTRGALWWITRDAHTMDQIRIANGLVALVVVVLCLEVRVVFHSFGRYIHAPPPLNYLLVTVTMLGGALGLAAHAAGKVGDAASSAAFTVLAVLVSGAGAVVIGFPIMFLPLPMISGYYVARFFTKKSLSSYFTFVAIGSLMVLWFVVHNYWDLNIWIAGMPLKSFTKYVVAAVIMAMAVPGLAHLPTKLRFLLELGLIGHTLLLCNIENRLFNYASMYYFGLEEDIIYPSYMVLITTFLGLAIVRRLYVDQRVGPKAAWILTCLYSSKLSMLFMTSRSVIWVSAVLLLAVTPPLLLYRDKSKGAARMKVWQAYFHASVVAFSAWLCRETIFEALQWWNGRPPSDGLLLGSYILLTGVACIPIVVLHFPHVQSAKRFLVLVVATGLLFVIMQPPIKLSWVYRSELITAAHLSDDDTSIYGFVASKPTWPSWLLIATVVLTLAAVTSIIPVKYVVELRALYAVAVGVTLGIYISVQYFFQAVVLYPLLVATIVSAAVFVVFTHLPSESSTRVLPWVFSFLVALFPVTYLLEGQLRAKSFADEDEAEKFTNMLAIEGARMSLLGLYAAIFMIIALEIKFELALLLRDKAADRGVTHGPSSRSSAFPPKARLLQQRRAHAAPTFTIKRLAAEAAWMPAIGNVSTVLCFIICLVLNITLTGGSNRAIFFLAPILLLLNQDSDIFAGFGDRQRYFPVTISISGYLLLTALYRIWEETWPGNGGWALDIGGPGWLFAVKNVALLVLTLPNHILFNRFMWDYVRQTDAKLLLTLPLNLPSIIMTDILTIRVLGLLGAMYSLAQYLISRRIRIAGMKYI >KQK95411 pep chromosome:Setaria_italica_v2.0:VIII:34262218:34265446:-1 gene:SETIT_026263mg transcript:KQK95411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELKSSSSYLQPASSTLPSSTRRNSFHGVGAATAAGGGRRSGGDVFGPTSSDPLCSLNLKETSEFVRSSFPMATMARSSSSNGHGRSGHHEASSTSSSSSSTASAQRRRAEPPPPPPQQQVVPATPGRPLQFFASPAHHHQLVAPRRSVPSKWEDAEKWLRQSSDTDYGNGKAAAFSRQRSSGLAQRGGGEEEKRAAVAVRRSVDALSDAHALALYAPPAEVLLKDKFTDNEEPSKETFVFRSAYCEPAPAKGAAEAAPADGDRRRDIGTEMTPLGSSCHTPLKSTSPARHNTPASRSGPLVPYAGSGGGMDISELADCHLAKLDLGARFDAMLVNWSSKEEEEEVSKSLRHFEATAGAGGVPTCDKRGGGDCRWEDDDRAKSCIRYQREEAKIQAWVNLESAKAEAQSRKLEVKIQKMRSSLEEKLMRRMTTVHRRAEEWRATAQAQHLQQLRRAAADNTRRLKATSHHRHLPGSDAASCGCFPCSNNVVSGNLLNYY >KQK93695 pep chromosome:Setaria_italica_v2.0:VIII:3317921:3321817:1 gene:SETIT_026605mg transcript:KQK93695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARLPPPAPSSSSVFGGGGGGGLPARGHHRRAHSETVIRLPDADLLLDPDADFGFSDIDFPSLSDDSPAASDPTPQPQPPPPAQSLQQQAAQASSAQRPPSGAHMRSLSLDAAFFDGLSLQGGGGGGGVAGHKRSGSMDGATSPFEGESAPPGVLPDYAKKAVPAEKLAELALLDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQLTLLQRDTSGLTTENRELKLRLQAMEEQAKLRDALNDALREEVQRLKIAAGQVPNMNGNPFNGGLPQQQQQQQMASYFSQPQQMQYFGGHQGQHHHHRNSSNGGGQSLSDSMDFM >KQK94129 pep chromosome:Setaria_italica_v2.0:VIII:9283301:9286146:-1 gene:SETIT_026986mg transcript:KQK94129 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPQKLRGLVEHNTCIAVEVLSRLINSPDMDEYFNVLVHMEMSLHSMEVVNRLTTAVVLPPGFIHDYISNCIRSCDDIQDKYMQNRLVRLVCVFLQSLIRNKIINVQDLFVEVQAFCIAFSRIREAAGLFRLLKSLE >KQK93872 pep chromosome:Setaria_italica_v2.0:VIII:5327023:5327244:1 gene:SETIT_027788mg transcript:KQK93872 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLFSLSGSAGAGDSYGGSWAAGHQRVRVGERRMNTISWVLHVQGPWPAVIDDVALAELWTMAGCHRRCCVS >KQK93410 pep chromosome:Setaria_italica_v2.0:VIII:984729:988232:-1 gene:SETIT_026488mg transcript:KQK93410 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNILRCFTGGDKDNGDDDYYPCYRPTSWPRPRYGLQAAGIRWEDEPAASSSQPLDFTFTGEDDDDDHGDHHYPDYGVVLQSGRTDQPAAASRPHHDVASLRQDLLYFEWASMVPEALGQHVTSSKKAQAKWYRNILEAYKNMCPPLKTPEEAAQLIATALSRIQRADLEGVLSFYNLPIPFPLPPSGSASSLPEGVQFVLNTLPIHNKCIGDGDGFSAYVDTTDPRESANVPLDVHEMKADALLRSLHEAGYKVITISGEEILAKKYRIRMRGVDAPELKMTNGKESKNALVKLIGGKRVIIYVYGQDQFGRHVGDIYCDDVFIQEQMLKSGHVWHFKIYDKRPEFAQWEREARAARRGLWASENPEKPWDWRREQRSASVQVY >KQK95478 pep chromosome:Setaria_italica_v2.0:VIII:34724020:34734318:-1 gene:SETIT_025981mg transcript:KQK95478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIHIVATKSTADQAVLTFFRFICFAIHLDMYLKKLERSIIWDGGSSSEELKFLLNPYSMRLPLYSQKIKSRLSYHIHPNYGIVRPRSICSVTITLEAQKKPLPEDCVEEFCVQSTRVDESLTTKDILETGDMFEEEPDKAVDMVTLTASVCAPKQHPLVVQPPELHFPQTLELNKPMLPSSMQLANMTDDYVAFMLVMPDDKVTRYSFHIAKAMGIMPPWSTRGVVIDVQVQEEVVLPLGTRPMQCKDTALMRWVIVGKDRLSGAAHVSADLFYEQTDVHELELDIVFGAQSESQPPSPSSVHPHPTNDWEDDDQEVQLSSAEKITPFSIQTELLQIYPSELRFTSEEGAEYMSLINKTDDDVIYYIDYDNQEYKIRGTTSRGVVPPHSTCPVRVFAYKKPHGVYTHEFHILMISGSNYRNTTDLFDDSDHLNVYDNKRDEIINQVRAEGGKAHEALLMSVVRVPHPDRDSVATKQNKEIRMDENGLGRVTCMDVHPTQPWVVTCHNGSCCACIWKMVPQTSAYEKIMSTHCNSALIFSVKFIARMQWVACGDFHGYISVRTYLDDKMSEIKRFRAENDMVTALAVHPTHSYLLSCSKDNLIKLWDWEQGWMCARKFSCYGEGTRCEGVLMFNPNEANTFAFVSTNGHVNKSDIKIWSMDSDNPHTILPVKGGATSFAYCITGSDQQYMATADNHGKIEIFDLWSRTHVHTLEASWITYAKCSVTACHPSLPLLASVYRDSIVLWNYTTYGLEKAHVYDSTPYYSTSDDIKGIGFIDIEGSQRLVIAHTKKIEMVDMSYGTLTSQPWDLGPCHLPDSTAPWH >KQK94084 pep chromosome:Setaria_italica_v2.0:VIII:8561228:8561691:-1 gene:SETIT_028516mg transcript:KQK94084 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPFKTTDLAFQKPQDDGTKFIKLLRALEAYAEGSSADPIIRRATYLWNKLKS >KQK95616 pep chromosome:Setaria_italica_v2.0:VIII:36556260:36558066:1 gene:SETIT_026232mg transcript:KQK95616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLDSNPASFDAFAAEADGFQPLNAEDVRSYLHKSVDFIYDYYKSVESLPVLPDVEPGYLSRLLQSAPPSAAAPFDIAMKEVREAVVPGMTHWASPNFFAFFPATNSAAAIAGELIASAMNTVGFTWQANPAATEMEVLALDWLAQLLRLPESFMMNRTSAGRGTGGGVILGTTSEAMLVTLVAARDAALRRMGSNGVAGIARLTVYAADQTHSTFFKACRLAGFDPANIRSMPTGPDTDYGLDPSKLLEVMQADVDAGLVPTYICATVGTTSSNAVDPVGAVADVAARFNAWVHVDAAYAGSACICPEFRHHLDGVERVDSISMSPHKWLMTCLDCTCLWVRDAHRLTDSLETNPEYLKNDASDSGTVTDLKDMQVGVGRRFRGLKLWMVMRTYGSTRLQEHIRSDVAMAKLFEEWVRADDRFEVVVPRNFALVCFRVKPHAGMTEEETEAANRELMERLNRTGKAYLAHTVIGGKFVLRFAVGSSLQEERHVRSAWELIRKKTTEIMSGEMSV >KQK93977 pep chromosome:Setaria_italica_v2.0:VIII:7058057:7060153:1 gene:SETIT_027331mg transcript:KQK93977 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GCYIVVFLYGYGGPIVCIGLALWRIVQRDYGSNDGDDTKANLTPALDLFYCLILCQGVLYMTWLWSHPPGGAFIIVTSRQDYNLPRKWGYIWLVDYLFDTRAKCWQDPASIQGSTMSRYAVDLIDSESWDDNLSGLRMLATFIRQEADVRSLLLPSRARIQKLINTLGWRASASREMREAAGCIVAHLASDIHLAQFPGAIRCISTLLQDEATLTYWWNCDQKQDHTHLRTGSRSTMHTWINILEKIMPKAREQEVNRQGDDDDDRQHKKHVVDVKGGGCNELILQGLTILERLASDQHNCMDICRNPSLLPRIMAPLCSNTLIQGAKTSAWADVVNGSFKVLYRLIRCPGWTGKCLRRDIATSEQGISNLESILDQSNEAGQELQMRAMEILTELALDSSTNLTMETKENLMKKQLEIFLDEESAAISKDLKATAGRTLTFLITNSKVNCSVVKDYFGHLTELLDAKNNTTYRMIAAQVLENICAHCDLDKECVKDTLLPQILTGIQSNKREPPEGADDQGDDEKTETKELQENFLSLTLVIYDNLISIDDFDDAIQKNGLGNGEFVVKLKTIVEENCKETVISLRIVKLCGQIAATMMMRSQYTEHFKNQGFAESLSKAKKIMSNLESCVLFAGTELRLKKIATPLLSEIEKELN >KQK93849 pep chromosome:Setaria_italica_v2.0:VIII:5061770:5066135:-1 gene:SETIT_026715mg transcript:KQK93849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGEVKHCKKALKALEKKLGKPKALAKEFWNLPDIGTVPPSSQKSAREAVNRGRNRYTDVLPIDKTRVRLQSPTGNDYINASFINTGGRDQTKFISTQGPLARTIEDFWQMVYENHCPVIVMLTKFDGYKCDEYLPLSKGQGAFGKFNIKIVKTRNDGQLVLRVVKVQRDESDSVHSLLHIEHSEWPDHGVPSNSNAVRQILKRLYHIPREHPIVAHCSAGIGRTGAYITIHNAIDRILLGDQGAVNLAETLKKFRSERPGMVQTEEQFKFCHQAIADELKDLISNSKH >KQK95065 pep chromosome:Setaria_italica_v2.0:VIII:30429509:30431058:1 gene:SETIT_026428mg transcript:KQK95065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADKLFLHALLISFLFAAPRAYSERRPVFRATMTRTEKTINFTRAARKSHDRLSILAARLAANAGGVSAQTPLQKMDGAGEYGMTFSIGTPPQELSAVADTGSDLVWVKCGACAHCAPQGSPSYYPNTSSSFSKLPCSSGLCGNLKANSLATCGAGGAECDYKYLYGLAASSDHYTKGYLGSETFTLGGDAVRGIGFGCTTMSEGGYGTGSGLVGLGRGPLSFVRQLKVGAFSYCLSGDPSKTSPLLFGSGALTGAGVQSTPLISGASPSYTVNLKSISIGAKTTLGTGDNGIIFDSGTTLTFLAEPAYTRAKAVLLSQTNLPLAASSDGSDDVCFQTTGGSVEVPSMVLHFDGADMDLPADNFFLPVGGGVICWIVQKSPSISIVGNIMQMNYHIRYDVDNSVLSFQPANCDRL >KQK94060 pep chromosome:Setaria_italica_v2.0:VIII:8297326:8298664:1 gene:SETIT_028218mg transcript:KQK94060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLALVVMTAAAAEYNVVGYGARPGGREDSAPAFLAACNYTGRSAPVVRVPAGTFLVSRAYFGGPCRSAAGVVVAVDGTVVAPAAVDSTTQTLDISQSNNVSVRRLTLLNSKNVHLAIFDCTGVTLRGVRIAAPADSPNTDGVHVQLSRGVRVLGATVGTGDDCVSLGPGTSNVLVRNIRCGPGHGISIGSLGGDAAGEAPVRNVTVEGAALTGTQNGMRIKTWGRPNRGLVAGVSFARVTMRGVRNPAVVDQNYCPSNVNCPGESSGVKISGVEYADIEGTSATPVAVRFDCSGSNPCTRITLKNINLTYEGKPAKSFCKYAHGLAAGVVRPPSCLSS >KQK93808 pep chromosome:Setaria_italica_v2.0:VIII:4558881:4560853:1 gene:SETIT_027509mg transcript:KQK93808 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQVKKDIEQDISNWVESHGNEEELTDAGKEDVAGRDGGDVGKEVINVDDLEEKMRKQIASRSNMRQHFTKIKVDGVVVKGKCNYCDGEIMAHSVHNVILMSLACPQFNLPSRRTCTRDTVQLYFEQKTKLKIFFQEQCLRVCLTTDGWTSQQQDSYMTVTASFIDNNWCLHKKIINFKKVLLDWGLDKVMTVTVDNASANDSGVSYLRRQMNSLKTSIARSINLIVQDGLKEVDNSIKLVRAAVRFVKNGTSRLVKFRECARSEKVDSKAFLSLDVCTWWNSTHDMLAAACTYEKVFTSEIKLGVSSPGVPGENDWDNARKLTEFLGHFADVTRCVSASLNGNLDVQNEKGKGKEKEKENINLIFVAIVLDPRYKLSEYIELAIEEIYGEGVGQKVWAAVTKCLHDLFEEYRDTNSQASDVNQQSSDSPQSKQGGDCARKMKTRAVKRMRLNNGSSSCSRGSRTELDRYLAEEYEEDTKKFDILACTSGRILDDFRSSLTPFMVEALVCTQDWLRRATPIDIAENT >KQK95040 pep chromosome:Setaria_italica_v2.0:VIII:30225250:30227809:-1 gene:SETIT_026002mg transcript:KQK95040 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNKQRDTQSQQGHPVKPSCTGRGTNVKPNGSQDPSHMFPNQQHGVPCQNSHPEKPSAGGNTDVKLRMNVAQHDEYMKGYSRPVCDEKANHPDTSRGKFQYSTLNQEKSSVPTENRNMHGRRSMQDPVDPNITDRRNLTREDASTVPSAAGSSGTRRSGRRKQDADGNIFLNIDTKKRQRKNDFPSNVRQPDPPHVSSNVDVQEMEKTTDTGDQGNIKEEAPEVDTSDEDNIKEEAPETVSEKKPSYSEVVTFPDPDIFNFEEFRDINLFAVGQIWALYDNLDGMPRYYARIKQFDASNFKVHLAWLEYDAMDEAEECWTNEELPTACGNFCLGKGTDVSQDRSMFSHIAAWVKGKKRNSYVIYPNKGEVWALYKGWSMEWSSDADNHRSYEYEVVEVLSNMSVNDGATVIPLVRIKGFVSLFATAKDKSSFVIPSSELLRFSHSIPFYRTNGNEKVGVPGGFLELDNACLPADLDAAFSSVTLDSYMSLGKKESGTFVDMTTDNTSRRADPGDEQIAQKENNSEAHACQPPEQNTTSKKTAGDANEFGDFSLQNDIPPSVYTYPDSDFHSFEEGRSCEKILRGQIWALFSEVDKFPKFYAWIRKVKQEPFRVQLVWLEACPEHEQEKRWLEQDIPISCGTFRVVRNWNAMYDTMDTFSHEVYARDTGTRWEVTITPEAGEIWAIYMNWAPDWVPSSTGACEFAICEVINRTEASTSVAFLAQVSGYLSVFRPDKQKGVLEVPARENLRFSHRIPSFRLTEEQGGKLRGFYELDPASVPDAFLYRDT >KQK95705 pep chromosome:Setaria_italica_v2.0:VIII:37362437:37363959:-1 gene:SETIT_027797mg transcript:KQK95705 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGGDDSVCRAALREAFGDSSDSESDAPAGSGRGRWRWEAVAGVRGLWLCAAFLSADEQARLLAAIQREGWFIDANNQAMRFGDLPSWAVELSTLIREAICVGDVNVGVSAEMANEDEDACPLPSDLLWREPFFDQMIGNRYNPGEGICAHVDLMRFDDGIAIVSLESACVMHFSQAEPTGTALDTLRQGDGEPRKFPVYLNPGSLVVMSGDARYLWKHEINRKPGAQLWDGRELEQHRRTSVTLRKLLASPS >KQK95029 pep chromosome:Setaria_italica_v2.0:VIII:30038259:30039545:1 gene:SETIT_027937mg transcript:KQK95029 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKDCPDKHKRNDHQSTLCLRCGEIGHDMFGCTNDYPADDIKQIRCYMCNQNGHLCCFDFSDNSPKQISCYNCAKSGHSGLGCAKQRRETSAVITPTQCYKCGEEGHFARGCTKNAKSDRSKGKSSSHSQRKEKWKKDSSARSAPHDARKTSKRKSPHFEDRMEKPYTNHQFSSGGDYFTPQSSRRHNHGFASPNSNYSPSAKKHGFSSSRFATSNTHLRFERS >KQK95572 pep chromosome:Setaria_italica_v2.0:VIII:35712142:35712974:1 gene:SETIT_027853mg transcript:KQK95572 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFECNKIPSHLQHRLYFTSFGMKQNRNQLFGIRCGGSCDRSASLPLLVKANVVRSFTFMTFCRGQHSLVTKIRCSSLNPIRNRYKLIQQHILTNTTLFL >KQK93657 pep chromosome:Setaria_italica_v2.0:VIII:2824012:2824827:1 gene:SETIT_027564mg transcript:KQK93657 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAALLGGLIRAVVPKLLSLADEKYKLQKGVKRGIKFLDKELRMIIGSIDDELSEQADDHGAVSGLLIEDLRELAFGIEDFLDSVRYHAIWKQQPASFRKIVRLPKKMLASLQFAGEVQRLKKLAMEVYERKKRYTGHAQQQPSAAAWMDESSSPVFDPRNICDTDLVGIDGLRADLLEQLSEAKGQLKVIAIVGFCGLGKTALTAQVYNREIGDRRFEKHAWVCAAGKQPTEVLTQGTSKDRQLCEDIRKQLHNKR >KQK95246 pep chromosome:Setaria_italica_v2.0:VIII:32608871:32612993:1 gene:SETIT_026637mg transcript:KQK95246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGSLLKVLAKNFDVLAGPLVALAYPLYASVKAIETKSPVDDQQWLTYWVLYSLITLFELTFASIIQWLPFWSSMKLIFICWLVLPYFNGAAYVYQNYVRPAFIKNQMVNIWYVPQKKGLFGKSDDFLTALDKFVEENGTEALKKLANKAGKSFKQSGKSSKESKPAKELKESKPAKESKESKASKDSKEPKPSKDAKQSKSSKDSKELKPSKDSKSPKDSKEQKKAAPKDPKKASLKDSKELKKALKDSKEQESLKDPKEYTPKKRVTFAEVEPEKELKASNSDWRPSSDFHSMYPEQNSWTSSFMIFEDENSYWNRDPLD >KQK95795 pep chromosome:Setaria_italica_v2.0:VIII:38162109:38162951:-1 gene:SETIT_027994mg transcript:KQK95795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPLRADAPAWCLAPFEPEGLLRLYMYCSVLAAIPINKQLYSFSYVCFTGGAVHWIVKHVFVALTKERVPNIDHQVES >KQK95637 pep chromosome:Setaria_italica_v2.0:VIII:36746001:36748654:1 gene:SETIT_027267mg transcript:KQK95637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAIIFFLSAMAISVRAAVVEHTFVVSQVKMTHLCKETLVTVVNGQLPGPAIEVTEGDSVAVLLVNNSPYNITIHWHGVKQWLNCWADGVPMVTQRPILPNHNFTYRFNVVGQEGTLWWHAHVPFLRATLHGALIIRPRHGAISYPFPNPDMEVPIIIGDWWQLDLPQVDRSMKNGSFDYYASGSTINGKLGDLFNCSGVPEVGYVLDVVPGKTYLLRVINAGLFAEFYLKIAGHNFTVVAADANYVSPYTTDVIAIAPGETVDALVVANATPGSYYMVALPNQAPRPDTQTPEFTTRGMVQYRVNHSSITNGAAALRSRRGAKEDENDEGPSDDVALAPQMPDKHDTVTSFYFHSNLTSLHHLTVPQQVDENLFLVLGLGSICRNGQQSCKRGGNLNESIVVATMNSVSYQHPTKMPLLEAQYYHTGVLLDAMQELPDGPSRAFNFTDKAFIPFGPKEIPLEPSSKATVVRRFRQGAVVDMVFQSTALLQGDSNPMHLHGHNIFLLAQGLGNYNAAKDVARYNLVNPPVKNTVLVPNLGWAAVRFVANNPGVWFMHCHYEFHLSMGMAAVFIVDDSTTADTSLPPPPADFPTCCANDHNLLQMN >KQK95421 pep chromosome:Setaria_italica_v2.0:VIII:34332257:34333911:1 gene:SETIT_027603mg transcript:KQK95421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPSVRREGEPPPVYGDDSDEFTVELHHGGFFVGQGLNRAYLDQKVSWFDHCEADSWSLLWIQDFLEELGYLKSACLKVYWLLLGKDLSDGLRIVCSDGDSLLMMSLVKKVKNFVLYVDHDDTIVGLHWDDIVANPVVSLPKLLNPKKVEVVERSRNEKLPSFYSNLERMTSNKAQHFVDSESEGSDSEDMKFVDGDYDLENDDDLFVDNVDEDVVDEGIAKGRKIGKGVKATGCKGKGRVSVDEDLSTDEDELQELDSDGEGQLRMGFQSFRSVDIQNPIFKVGILFESVELLRKAIIEYSLKKRVDIKILRNERKRIRAHCAEGCPWNLYASHDSRANGLVVKTYCGEHNCQKYWILKRCPTNWLAEKIVQKDWNMTPSRTKLTRARRLAMKAVLGDEEDQYKLLWDFGHELRRSNPGNTFFLTLADSNFSTMYMSIDACKRGFLSACRPIICLDGCYIKTKYEVESLASWK >KQK93835 pep chromosome:Setaria_italica_v2.0:VIII:4916129:4916619:-1 gene:SETIT_026987mg transcript:KQK93835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSGACLRGCCVASPRRASCAAADLPANRYRSLGLSPQPSTRGRSPGPPPRSCPLLPQQRRYALTCTPLVAAAPSGSCAATPHAARSPPPPLVKLSTLGGTHSSPCKGTTPPPRDASEPSQLLHRSRSAGREKSQTE >KQK95242 pep chromosome:Setaria_italica_v2.0:VIII:32578329:32578868:1 gene:SETIT_027762mg transcript:KQK95242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSTVSFVAIAVALMAFLQPAATSPPAPPATGVPTSCAYTLYTLFDCLPSVLASPESICLCHLISGEANDFANSNIDPIRLALLPFVCLAIVPPQLPAMCFVGPVPPISTVPPSSPAALPPPSHN >KQK93537 pep chromosome:Setaria_italica_v2.0:VIII:1740531:1740986:-1 gene:SETIT_027300mg transcript:KQK93537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELDHTSRPLVVFGAPRHMAVRQARRSYRRAHAHDIDELDSEAQAPRYVFFREHDDAPSRAAASSEAILVLKEVTAGDAPQSECAVCLNDFHAEETLRAMPCSHAFHLKCIFTWLLRNGACPLCRHQLPKEEEQQEEESVHQHLTMLVLDD >KQK93499 pep chromosome:Setaria_italica_v2.0:VIII:1556734:1562018:1 gene:SETIT_025871mg transcript:KQK93499 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWFEKSLQKNFDLPPKNASEEARRRWRSAVGALVKNPRRRFRMVADLDTRSQNELMRRSAQEKIRVAIYVQQAALNFIDGAKYKEYRITEDIINAGFSINPDELASITSKHDVKALKMHGGVDGISKKIRSTFERGISASDLDTRQNIYGVNRYAEKPSRSFWMFVWDALQDVTLIILMVCALISAVVGLASEGFPKGMYDGLGIILSILLVVMVTAISDYRQSLQFKELDNEKKKIFIHVTRDGSRQKISIYDLVVGDIVHLSIGDQVPADGLYTHGYSLLIDESSLSGESDPVYISQEKPFLLAGTKVQDGSAKMMVTAVGMRTEWGRLMSTLSEGGEDETPLQVKLNGVATIIGKIGLVFATLTFVVLMVRFLIEKGLTVGLSKWYSTDALTIVNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNDKALVRHLSACETMGSAGTICTDKTGTLTTNHMVVDRIWISEVSKSVTSDNSLEDLNSVISPTTLGLLLQGIFENTSAEVVKEKDGTQTVLGTPTERAILEFGLKLEGHNTEDRTCTKVKVEPFNSVKKKMAVLVSLPNGTYRWFSKGASEIIVQMCDMMVDADGNSVPLSEAQRKDILDTINSFASDALRTLCLAYKEVDDFDDDSDSPTGGFTLISIFGIKDPVRPGVKDAVKACMSAGIIVRMVTGDNINTAKAIAKECGILTDDGVAIEGPDFRSKSPEEMRDLIPKIRVMARSLPLDKHTLVTNLRGMFHEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIVLDDNFATIINVARWGRAVYINIQKFVQFQLTVNIVALVINFVSACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDMMKRPPVRRGESFITKVMWRNIIGQSLYQLVVLGALMFGGEQLLNIKGSDSKSVINTLIFNSFVFCQVFNEINSREMQKINVFRGMFSNWIFIGIIAVTVAFQVVIIEFLGTFASTVPLNWQLWLVSVGLGSISLIVGAILKCIPVNSDASPSSPNGYVPLPSGPDNI >KQK93424 pep chromosome:Setaria_italica_v2.0:VIII:1053028:1054626:-1 gene:SETIT_027018mg transcript:KQK93424 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNVSTNVNLEGVDTSAILAEASKVVADIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPGVNKKLSAGVASILESKLSIPKSRFYLKFHDSKAHPAQEHAQCLHALHQE >KQK93422 pep chromosome:Setaria_italica_v2.0:VIII:1052834:1054626:-1 gene:SETIT_027018mg transcript:KQK93422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNVSTNVNLEGVDTSAILAEASKVVADIIGKPEAYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPGVNKKLSAGVASILESKLSIPKSRFYLKFHDSKGSDFGWNGSTF >KQK93423 pep chromosome:Setaria_italica_v2.0:VIII:1052567:1054765:-1 gene:SETIT_027018mg transcript:KQK93423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCLNVSTNVNLEGVDTSAILAEASKYVMVVLKGSVPMAFGGTQEPAAYGELVSIGGLNPGVNKKLSAGVASILESKLSIPKSRFYLKFHDSKGSDFGWNGSTF >KQK93715 pep chromosome:Setaria_italica_v2.0:VIII:3525612:3526291:-1 gene:SETIT_027526mg transcript:KQK93715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSSSLCAFQAMVTTAASSAGAAPFALRRRGNCFVPPPQRGRPRAAQWQGRPRGTDPQASPPLPVEGRGPTKLLPGTAVRVGAGVALAIALGGVSWSWTTAARGGSACPVLQPPPLVSVLNDATAGGATMDKRLLRDYIDKLSNSLRNKRVSDLLSCRMQLRRNGDKLMRELKQQFSETNKVDQGVQLTESWILVVMISS >KQK95225 pep chromosome:Setaria_italica_v2.0:VIII:32378636:32380983:1 gene:SETIT_028068mg transcript:KQK95225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKGSSGARSPLSLVVAMALCCFFYVLGAWQRSGYGKGDSIAAAVNRQAACGGDAAAAGLSFETHRSGDAAIDTNASAATGAGPEFPPCAAALADHTPCHDQDRAMKFPRKNMVYRERHCPAGADDRLRCLVPAPPGYVTPFPWPKSRDYVPFANAPYKSLTVEKAVQNWVQYEGAVFRFPGGGTQFPKGADEYIDRLSSVVPFAGGHVRTVLDTGCGVASLGAYLDSRGVIAMSFAPRDSHEAQVQFALERGVPAFIGVLGSVKLPFPPRSFDMAHCSRCLIPWAGNGGMYMMEIDRVLRPGGYWVLSGPPINWKTNHKAWERTEADLSAEQQRIEEYAAMLCWEKVTEINEIGIWRKRPDPAAACPDRPPVRTCDEANPDDVWYKNMETCITPPAGAGAGELQPFPARLTAVPPRILSGAVPGFTAESYAEENWRWERHVEAYKKVNYKLSTERYRNIMDMNAGVGGFAAAIFSPKAWVMNVVPTAAELSTLGVIYERGLIGMYHDWCEAFSTYPRTYDLIHANGIFTLYKDRCKMEDILLEMDRILRPEGTVILRDDVEILLKVQRTVKGMRWKTLMANHEDSQNIREKVLFAVKRYWTADSDGSEEQKNTDSSSEGKGSEE >KQK94289 pep chromosome:Setaria_italica_v2.0:VIII:12615701:12616366:-1 gene:SETIT_026867mg transcript:KQK94289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMPSLPSVFLSAAFLLAAAAYIRSSGTVGSSTATSTTHLHFFMHDDYTGPRPTAARIVSGRSLLPTLASSSDGDDNATATGSTLSRLLSSPRQFGDVVVLNNALTEGPGGDSARVGTAQGFAVRVSEGGIVSHLTMHMVLDAGEHRGSSVTANGRIDMDATVRESVVIGGTGKFRFARGYMLTRNYDYDLARGGIVEIDVYVQH >KQK93302 pep chromosome:Setaria_italica_v2.0:VIII:431032:434100:1 gene:SETIT_026308mg transcript:KQK93302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRGRTLLLPLAAATVLVASTIFLFAAAAGVRWRPADTGLPVPPHAFSAAAVPVTATASSNTTPGARKELSFLDENGHPDDPGSSSGSDAGAAGCDPRGAAVRVFMYDMPPEFHFGLLGWSPPSPDSVWPDLSTPPPRYPGGLNQQHSVEYWLTLDLLSSSSAPCGPAVRVADSRDADLVFVPFFASLSYNRHSRPVPPEKVARDKALQEKLVRYLSARPEWKRYGGADHVIVAHHPNSLLHARGPLSPAVFVLSDFGRYHPRVASLEKDVIAPYKHMAKTFVNDSAGFDNRPTLLYFRGAIYRKEGGSIRQELYYMLKDEKNVYFSFGSVQDHGASKASQGMHSSKFCLNIAGDTPSSNRLFDAIVSHCVPVIISDDIELPYEDVLNYSKFSIFVRSSDAVKKGYLMRLISGVSKQQWTRMWNRLKEVDKHFEYQYPSQKDDAVQMIWQALARRVPAIQLKVHRSSRFSRSGRGK >KQK94269 pep chromosome:Setaria_italica_v2.0:VIII:12132707:12135866:-1 gene:SETIT_027850mg transcript:KQK94269 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVAGGLMLASVVIKEATGRLSAAIGGEIKLRWNFNKDLQKMKDTLESIEAVLHDAERRCFNEEATVQLWLKRLRDAAEGITNMIDVYEARTTSNPSSYTQGIGKTTLAKLISSEAMFQDFSQVWIYVPQTISIKNKERDTFSTDSKRSPRGDALANKKIYIVLDDLLESKPSKLYSLKAMLNVGNGSKVIVFVTTRDKHIADKICTVEPYKIPLFTNDMCWTIIKQKVNFEARPYKDQLETDLALAAQTLGYMLESRTFDEWESVKNNYFWDKSSSNVIASLMLSYNNMHPYLKLCFAYCATFPKGYKRVKDDLIYQWISLGFVEPPTPSTFSTWQHGENYVSHLMAMSFLQYTKSHHLSFKSFTLYPTKVRALRFLKSEKTVLQVCGKIRLHEDVFSSAKYLRVLDLSECSIQKLPNFIGPVCFVGIESHSRNLNLAIRFNGN >KQK96026 pep chromosome:Setaria_italica_v2.0:VIII:40597553:40600160:1 gene:SETIT_026172mg transcript:KQK96026 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVPGSLRCLVRLLLMASFVLLQALSAHAITRHYKFNVIMRNVTRLCSTKPILTVNGKFPGPTLYAREDDNVLVKVVNHVTHNVTIHWHGVRQIRTGWSDGPAYITQCPIQPGTSFLYNFTVTGQRGTLLWHAHINWLRATVHGAIVILPKLGVPYPFPTPHKEVVVVLGEWWKADTEVVINQAMQQGVGPNVSDSHTINGHPGPLSDCASSQDGFKLNVENGKTYMLRIINAALNDDLFFKIAGHKFTVVEVDAVYTKPFKTATLLITPGQTTNVLLTADRTAGRYLLSVSPFMDAPVQVDNKTGTATLHYANTISATAPLTLIKPPPQNATTIVSKFVESLRSLNSEEYPANVPLTVDHSLVFTVGVGVNPCAKCINATRLVGTINNVTFIMPSTPILQAHYYNIPGVFTDDFPATPPHKFNYTGSGPKNLQTMKGTRVYRLPYNASVQVVLQDTGIISPESHPIHLHGFNFFVVGNGVGNYNPKTSPSTFNLIDPIERNTIGVPTGGWAAIRFRADNPGVWFMHCHFEVHTSWGLKMVFVVDNGKRPDETLIPPPKDLPQC >KQK94202 pep chromosome:Setaria_italica_v2.0:VIII:10819650:10820699:1 gene:SETIT_027527mg transcript:KQK94202 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYSGTGNVMLMVEIEDHLHDLKQGGRSVIDYVAELKSLWADADYLKPIELPHSDYVGLNPEFEARCSTMFHQPNLPSLEDAIAAITREESRLNANRGRGRGSGRGAPRGRDRGGRGGYRANVVGTEEELIRAEASSSSGGKDQDDYVGDFANFAYIDEGKANREESWDCDQA >KQK94349 pep chromosome:Setaria_italica_v2.0:VIII:13572764:13580609:1 gene:SETIT_026695mg transcript:KQK94349 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRALLALAALLLFSLYLTAAAFQSDELVLNDDEEFEGVGARPSSPSQPAAPAVPSSRRRSADAASAAVGESNALQFTLEHDLGVGKGFTPAGTFSARLKTSAHGTQTLTKLRFMRNELTEDDKDAFKKLLQEDGFYTIRLPSNVLDPTRKDNVVSSIKARCIPRDSLDEHVVIHMDGVNILAVNYGSVGGCQYPRQMKFPSKWTFSSYTILKTAEQAPRTPSFVDQMLEADNGLGEVMKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANIPEEQAAGQGQPGAQRASAAAARRR >KQK94348 pep chromosome:Setaria_italica_v2.0:VIII:13572764:13578553:1 gene:SETIT_026695mg transcript:KQK94348 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPRRALLALAALLLFSLYLTAAAFQSDELVLNDDEEFEGVGARPSSPSQPAAPAVPSSRRRSADAASAAVGESNALQFTLEHDLGVGKGFTPAGTFSARLKTSAHGTQTLTKLRFMRNELTEDDKDAFKKLLQEDGFYTIRLPSNVLDPTRKDNVVSSIKARCIPRDSLDEHVVIHMDGVNILAVNYGSVGGCQYPRQMKFPSKWTFSSYTILKTAEQAPRTPSFVDQMLEADNGLGEVMKPPEKSFWAKYWMYIIPLGLIVMNAVTAAANIPEEQAAGQGQPGAQRASAAAARRR >KQK94602 pep chromosome:Setaria_italica_v2.0:VIII:23160221:23160491:1 gene:SETIT_028630mg transcript:KQK94602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGHMENKKCLKCFEIYRQYLAGTLFNCNSKLLFVIRISFTPEMLSQIQSYLKNSELDER >KQK93583 pep chromosome:Setaria_italica_v2.0:VIII:2082641:2086906:-1 gene:SETIT_028201mg transcript:KQK93583 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CPVAGNGGSMDDAAATKLQKAYRGYRTRRKLADSACKISISSTNSPALIPSPFISLSKHIQQHSSNLELKKYCNFNKIYTPSILNCKVFWWQALNFARLNHSTISFVEPKPKSGASHWNRVSLKASKVGQGLSRDSKALKLASQHWLEAIDPQHRSGHNLHFYYDFWCQSQASQPFFYWLDVGNGKDIDLPECPRTMLKKQCIKYLGPRERELYEYIISEGKVIHKQSGEPLDTSQGPEEAKWIFVMSTARKLYSGKKEKGVFQHSSFLAGGAIIAAGKLTAENGVIKSIVTYSGHYKPSMENLVNFMKFLEESGVDLKEIKARPFTKDDCCDDPTPNDTQNIVVSTNTPQVVLSPNTMEGDEGKDAPEEQAKLTYQRTLSGGLRSPKAAIDVPQKAILERIKSKSESKSYQLGHKLSMKWSTGAGPRIACVNDYPAEIRMQAMEMVDLSPGASTTPA >KQK93533 pep chromosome:Setaria_italica_v2.0:VIII:1709908:1715936:-1 gene:SETIT_026163mg transcript:KQK93533 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSKKNYYKEKMMRRKEEKKEEPETPRYRDRAKERREDQNPDYEPTELGSFHAVAPPGTDLRLADAHKISIEKSKYLGGDLEHTHLVKGLDYALLHKVRSEIDKKPDAEDGKDAKSRATKEDQAVSFRTATAKSVYQWIIKPQSIIKENELFLPGRMSFIYNMEEGFTNDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMTYLRLGSSGKVLKKKKKERDTKGKNNLASGDYDEAVKPTQTNGSDLKHQSEKNMPPPPPPPPLNNNSNGKEKQSVPLARADNDDIFVGDGVDYSVPNKEMSQSPVSEDMDESPHNHQKQSYFTEPMYGPVPPSEPAQAWQQPNGYDAVQAQMVAAGYQGDWSGYAYAEQQLGYPEQYVQQSIQEYDVLADPSIAQDPSIAQDPRFMTQADKDRGLGSVFKRDDQRLNQLREKDAREKDPNFISDSYSECYPGYQEYHNEVAGSDDEDDLSKMDMGGRAKGRLHRWDFETEEEWAKYNDQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNDLHKINKILARKKGEKDGTDDGGHYDDDLPSAKKHRG >KQK95912 pep chromosome:Setaria_italica_v2.0:VIII:39516306:39519512:-1 gene:SETIT_028114mg transcript:KQK95912 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGGVTIHYPFGLSEACSWDKSFTLSCNDNFSPPRPYLGNIEVMDITVETGEMRVYSAVAHRCFNSSKTVSVVSKSRTTFNFAGTPFLVKPGRNEFTGIGCYNLALLRGKEDRSYFSGCLTTCTSLDEAASAGNSNGCTGLGCCQILTPSDLNVIDVDWSTHKNSAWTYSPCGYALVAEKGWYKFSLNDLNGTGEMAYNVRVGDRSAPLVLDWAINVSRDGACVSSNSYSVTVRDGQGYLCNCSEGYQGNPYVKDGCKNINECLRPELYPCPSGSNCKDREGSYMCRCKFGRRGKDCRPIFPAPAAAVLATTVASLFLALLLWSIYKDRKRQIRTAFFDRNGGKILKGAAGINIFTEQQLKKFTNHYDTLIGRGAFGMVFMGTTDEKQRVAVKRSIVEGKELRGRGKNLQHGEDIVNEITFQFRNCHPNLVRLVGCCLETNIPVLVFEFISNGNLYNLLHVSTHKVVPLRTRLKIAIGSAEALAYIHSHGDHDCVHGDVKSANILLDDNLMPKVSDFGSSKLLSMDNYAMAVAADMSYVDPVYMKTERFVKKSDVYSFGMVLLELITRKTVKYGRSRINSLPMDFVRCCKEKGSGREMYDTAISSHGDPQCHHCIDCLDKIGALAVRCLKEDVDDRPTMAEVVHELKLAAEAGSPNPWCLYLT >KQK93532 pep chromosome:Setaria_italica_v2.0:VIII:1703754:1705598:-1 gene:SETIT_026945mg transcript:KQK93532 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTLTAAFRAAKIPRALPPKRGEAAASGDPSAGAAKGKAPPPWCVYLISSSRIPRTYVGVTTDFPRRLRQHNGELKGGAKAASAGRPWNLACLVEGFVSKSEACEFESKWKNISRKMSRKRTEPSVESVLQHRQAALSKVETSMDCIHLQIKWHSS >KQK95609 pep chromosome:Setaria_italica_v2.0:VIII:36401699:36402103:-1 gene:SETIT_027190mg transcript:KQK95609 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRASSNATRDAAPDLYTAAWHGVRACACATLHLRPRANRGTCRVAGSHEEDEEARTMPMTMKRMHFWTMA >KQK95779 pep chromosome:Setaria_italica_v2.0:VIII:37980749:37983746:-1 gene:SETIT_025961mg transcript:KQK95779 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPNNEPKPKPKVAAVAAKPGPASSAPCLFSLKDGELTVGGGKQEAALLTGVPANVTLTPFAEAFDPNSSDSPPELVRQAAANARRGAFLGFTAPAATDRAPCRVGSLPGPRRFLSVFRFKTWWSTAWAGRRGRDLQMETQWVLLEVPELAGAGAGYVLVLPLVQGSFRSAIFPGDDDDDDDDGVVICAESGSTAVTGTDFRRIAYVHAGDDPYKLMQEAYLAARVHLGTFRLIQEKALPAMADRFGWCTWDAFYLTVDPAGVWQGVSELAGAGLPPRFLIIDDGWQSVNRDEDPPHKDAPGLVLGGDQMTARLYRFDECARFRAYREGALIRRPPELFYDRSMPKAVVRKAAEIENAGKAKKKAAQAGGAAADLSSFDSKIAELRRELDQLLAKRDAVLSKLCDDDGAAGAGDGGEVGLKAFLKDMRRRFPALDDVYVWQALCGGWGGVRPGATALDAHVVKARPSPGLAGTMDDLAVDRIVEGGIGLVRPDHAGDLYESMHSYLAGAGVTGVKVDVVHTLEYVCEDHGGRVELAKAYYDGLSKSIAKNFNGTGIIASMQQCNDFFFLGTRQVPMGRAGDDFWFEDPNGDPMGVYWLQGAHMVNCAYNSLWMGQFIRPDWDMFQSDHACAAFHAASRAICGGPVYVSDSLGGHDFELLRRLVFPDGTVPRCLHYALPTRDCLFKNPLFDQQTVLKIWNLNKFGGVIGAFNCQGAGWDPAEHRVRGYSHCYKPVSGEVRTADVEWTQREDTSSMANASSYAVYRCQTEELLLMTPHSEPIQFTLQPSSFELFTFAPVTTIGGATKVRFAPIGLVNLLNCGGAIVDVEYGSGGEVRMKVKGAGRLLVHSDVKPRRSLVDGCEAEFEWGNGGMLMVDVTWKQEKDGVSDVIFCY >KQK94905 pep chromosome:Setaria_italica_v2.0:VIII:28606697:28607370:1 gene:SETIT_027013mg transcript:KQK94905 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQTQVVLHSSSQIQAKELPLFLMALVRISTARLVYLTAALFLVVTIMSCTSPSCQAGNCIGGQCSPPPPPPLKVDCFVPESQFFCSDFYCPGICRGHNIRRHLLVRAYCNFQVNPNECCCEHH >KQK95844 pep chromosome:Setaria_italica_v2.0:VIII:38862586:38864261:1 gene:SETIT_027953mg transcript:KQK95844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLPMLLVCMVVTVVITVHVICALDEHKRKRFSGASLPLPPGPVALPIVGNAFSFIGPFGHNPHRILTSLAKTYGSIVSFRPGMAGNFVVVSSPEAAREALIKNDAALAARFVPDNTRALGHCSESMFFLPTYSHLWKQHRITLGTSLSTGRGLDTTRPIRDRHACRLSEHLRFCSGMPIKIGEAVQGTVLDVMSNILFSKDGTHLRVKGGQLFKDLLVEVLEDWTKPNVSDAFPFFASLDLLGSRRRISRGLAKLYKFFDEEFVERRLGSSENHHDLLDFILSQHAKSKLTRSEITKFFTDIFLAASNTSRITVEWAMALLLKHPEKMKKLRAELAASLGTKEFVQESDLDKLPYIHAVVKETLRLQPSAPLLPRMVSTDGMSLGGFSVPIGTNILINLWAIGRDPTVWPQAGEFLPERFLGSRSLDFRGSEDFTYRPFGAGRRMCPGLDFAAQLIPLLLASMLHKIDWSLPDGIVPEDVDLRDRYSMVLELAKPLIAVPVSTVCRAPPWLM >KQK95969 pep chromosome:Setaria_italica_v2.0:VIII:40206680:40207125:-1 gene:SETIT_027925mg transcript:KQK95969 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPKPIGMRKPSETTRPPSWVILDSFIHRSSGDAEARGDGTASETSYTCIGRLIRASLRLATGADLPAVSRLHLHWPGRLELRGLREPRVIAAHGHAILFKAIVPFDDPMSCTADTHRFPVDFFVYSAFSSPPALHRLPACFVGGDA >KQK94659 pep chromosome:Setaria_italica_v2.0:VIII:24256436:24256840:-1 gene:SETIT_027755mg transcript:KQK94659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANAGVLLVLAAVIFCHARTGNAQQIGGTCHLSDIKVTQEKTGNLVQGQPEYRVTFENLCECPQDYVDVHCNRLPSLEPIDSRQIKVMDELCMLATTLFKGSKISFTYAWKTPQDFTVVSATSRCGEGTGRRA >KQK94671 pep chromosome:Setaria_italica_v2.0:VIII:24650864:24652039:-1 gene:SETIT_027291mg transcript:KQK94671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASRRFFAYDPYDYYYASPYHYTYPYYQNQQPAPPCGAGGFFPDAAPEASSRPVSIPVRFVGSDLEPESGTVRMPPPAATAVPRKRAPSAEEAALRVQAATRGFLARRSVRAVRDVEREAEEVRGKIAREVEALRVDAMARVAVGEALMRMLLRLDAVRGAREYRRKVTKRVLALQDAVDALEATPALVAASDQEIESAVTADESAVASEVTDVVERSGEIEEEAVEAAAEMEVDADGADGEQGEAEERKQALDAENLAGDKPEGSDAEGEWEMVTEEPEPAAEPTTCHEAPQHQESAGTEIRTAAEAGGAGLDTAKVMEMVAALCERNAQQCAVIGALAERVDALERAVRRVEDAERRRRRAKKLRKEGKWSNNGKCYSD >KQK93805 pep chromosome:Setaria_italica_v2.0:VIII:4547221:4548458:-1 gene:SETIT_026631mg transcript:KQK93805 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATLEPQLMEIPAVDLHGIEPRSQGWEEAKASVTASMVAHGFVVVRHGGALGPDLRRALFGHAMPELFALPVEAKQRTVSRWGPFKSYLGNAPGLVRESLRVEDAADAARVVNSPTSCGRCRNMVELQRTVLRMTLEGLGVREDHIQLILGSHTHAVRLSRYGVPEDTATGMSVRAHHDGGMLTVVVQHEVEGLEVQAGGDGRWLAAPTEPDTFTCLAGKLLTVVTNGRVPACFHRVRTPSNRERYSVLFLCRPRDGAVVSAMDELVDAEHPLVYNPCNLDDYGEFRKSEEGRKSSDPLRAFCGVETAAQGEYREYGHYLFYQ >KQK93861 pep chromosome:Setaria_italica_v2.0:VIII:5260263:5262001:-1 gene:SETIT_026919mg transcript:KQK93861 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVDENTLRTRCCQVYRLKLRIRPFASKAVLGSLCFWLQFLYPSEIDELTIRSPIGLACAEVAKAIQASRQDKTRRCEEALDDRRRRQPSQPGHAATAAGGDARGQPGADEDRKRAEKADRHHRGGSGGGGAVARGGRSWRPSLRSISRVGELTRPRLLCNTATAASDSELY >KQK94881 pep chromosome:Setaria_italica_v2.0:VIII:28338463:28340428:-1 gene:SETIT_026609mg transcript:KQK94881 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASRAARTPRVSAMAPAALIVLLAAVAALPAATAEMPRLVHPPKADGSLTLLAVGDWGRRGAYNQSQVATQMGIVGEKMDIDFVVNVGDNFYKNGLTGVDDKAFEESFTHIYTAKSLQKPWYTILGNHDYRGDALAQLSPVLRKLESRWVCMKNFVVDSEIADFFFVDTTPFVLKYWTDPKKSKYDWRGVAPRETYIANVVKDLDAALKQSNAPWKIVVGHHAIRSSSQHGDTQELLQLLLPTLKAHGVDLYINGHDHCLEHISSRDSPIQYLTSGGGSKAWRGVFTPNSDKLEFFYDGQGFMSMRLTKAEAQVAFYDIAGTVLHTWGLTKGAH >KQK93957 pep chromosome:Setaria_italica_v2.0:VIII:6682684:6683830:1 gene:SETIT_026719mg transcript:KQK93957 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIAEPSPPQLDKAQESIMQGISSLLSLSGVGLSDATILSSICQLDTLRSLDLSKNFFTSLPGQHPPCPMKDGLQMLNFSSNRLSGQPGNFSGFPNLEVLDLSFNYLGGSISTQLTYLLKLRSLNLTSNHFEGSVPTTMVPSLEELVLSGNRFSGQIPMGLFGYADLTLLDLSRNNLTGKIRDEFLSLPRLSSLLLSGNNLSRAIPQSLLNLTMLCSFAGNQNRFHGAIPKGITKNIRMLDLSYNNLSGEIPSDLLLPDRLEAVDLIANRLEGPSFLGTSLKAFIT >KQK94704 pep chromosome:Setaria_italica_v2.0:VIII:25375387:25377814:-1 gene:SETIT_027269mg transcript:KQK94704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IKIEPNSCGLVVHDNKISGTTLYHAGLSTGPCAAFLKPLDEAIAVYEILRNCDHPNLLKPLGVWKSTTDETKAYLVVEEVCGALISKGKQYMFSMEGSSIYGFSTNGFESFRAIFSVVDYVNNLYRKDVGSSTSEEAFPMLPLKINSSSVFYKKRSSSETHEEVQVLVGDFLPKYPARLLKIKHIQGPTVEHVRQFNWNKTGEYLSTFCGNKTDVNLELNVLAELLKSENASYEDVMWQPGMWEAIVKMEFIREIYWIMDRQRDRKKECSFVRTEKGQVLYKIKSSLNVLSCVQQFTDRELKETNLLDSVVLLRDHVVGHHGESHKFYKGPKSEMGPDKVTLERFLQKSNPDYMIKLSKEVRSLNWITESPALRDENNYMAMFCEMERVEKSRQS >KQK95852 pep chromosome:Setaria_italica_v2.0:VIII:38953626:38954035:-1 gene:SETIT_027438mg transcript:KQK95852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATCRAGQNTTFPFLATVRSLVAVVVSVLAVAVVVMVIVFVNRPVEMDLQSYGYVQTQQWWWIYDNTTSVYSPAGEVPMLIIVDALNPSGRGQIQCNISIIRVIDNPNASFTEMVQFLWYQPETNVIFDLQPHSSH >KQK94852 pep chromosome:Setaria_italica_v2.0:VIII:28019277:28019566:-1 gene:SETIT_028495mg transcript:KQK94852 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGEGRTHEVIEAWKAMNHSFQLGEWRGSSDEVRRLPMMVLWSGTWSDMWIDEGKKVAAALPDARFVYHSGGRWPQVSFMT >KQK94851 pep chromosome:Setaria_italica_v2.0:VIII:28018199:28020271:-1 gene:SETIT_028495mg transcript:KQK94851 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRGIFHAFEHLVQTGEVPYQEETAAPLAQSPHAPAEAAAAVARAVEALGVAPVHLVLHDSSLAAGAAFASANPGAVRSVTLIDATASVPAFPAAVFDVPVLGRLVLRVPALFRGLVRLCCVRGMGAEEVEAHRETMRGEGRTHEVIEAWKAMNHSFQLGEWRGSSDEVRRLPMMVLWSGTWSDMWIDEGKKVAAALPDARFVYHSGGRWPQEDASEEISRLIAEFVTSSEEASDDRIE >KQK93389 pep chromosome:Setaria_italica_v2.0:VIII:892526:894884:-1 gene:SETIT_026644mg transcript:KQK93389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARCSGGGIALVLLLLALAGTSSAQLSTGFYSSSCPGVYSAVKSVVQSAIDKEKRMGASIVRLFFHDCFVQGCDGSLLLDDTPSFQGEKMATPNNGSVRGFEVIDAIKSAVEKVCPGVVSCADILAIAARDSVVILGGPNWNVKVGRRDSMTASFSGANNSIPPPTSGLANLTSLFAAQGLSQKDMVALSGAHTIGLARCTNFRAHVYNETNIDGALARTRQSGCPSTSGTGDNNLAPLDLQTPTVFENNYYKNLVSKKGLLHSDQELFNGGATDAQVQSYVSSQSAFFADFVTGMIKMGDITPLTGSNGEIRKNCRRIN >KQK93839 pep chromosome:Setaria_italica_v2.0:VIII:4928297:4930375:1 gene:SETIT_028619mg transcript:KQK93839 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTGGRELGEVGGRIANGISRLTWRDLLFLFLNKCGGRVRTKLMLYIVETK >KQK95568 pep chromosome:Setaria_italica_v2.0:VIII:35653280:35653975:1 gene:SETIT_028604mg transcript:KQK95568 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYSTIFKISMCQNEFYREVPTNAQPPHSRCNVFYWPDFVSFPAGYSEVKKNLNYCVLVFALHLRI >KQK95439 pep chromosome:Setaria_italica_v2.0:VIII:34441294:34442361:1 gene:SETIT_027683mg transcript:KQK95439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNSSAPAVVDGRRSSASTIAADMVTGSHELTISGYSGTKGIGIGTCIKSSAFTIGGHSWCIMYYPDGEQQESADWISLYLERLDKGKDDVTARFRLSLLDQAGEPVPSVDYLSEQRTFTSATRTLGYRKFVRRNGLESSSFLKGDSFRIRCHLTVVKIRAETARVQFHVPPSTDLHRHFGDLLDSKVGADVKFNVGRETFTAHRNVLAARSPVFKAQLFGWMKEKKAAQIRIDDIEPRVFRAMLHFIYTDSLPEIDEGDIRVMAQHLLVAADRYGLERLKLVCEDVLRNFIDTSTVATTLALAEQHGCHGLKEGCFKFLESPGIMKAVVAADGFDHLMSSCPSVVKELLGKVFP >KQK93799 pep chromosome:Setaria_italica_v2.0:VIII:4450449:4453589:1 gene:SETIT_028067mg transcript:KQK93799 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLPATGVHFLLVLMVCCTHSLALLEFKKSIIRDPNELLISWNESTHLCSWDGVLCSVRHPHRVISLNLTNRDLVGQISPSLGNLTFLKVLILSASSFSGEIPRSLGQLHHLQILKLNDNMLQGGIPSLANCSKVKELSLGKNQLTGQIPADLPNSLQKLILVANNLTGTVPASLANITVLKIFTCMNNNIEGNIPDEFARLRGLQELHLGKNKLSGRFPQLVLNLSNLVVFSVASNYLSGDVPSDLGGSLPSLKYMSLGGNFFHGHIPSSIANASELNIVDMSRNKLTGVIPSSIGKLQKLFALSFEYNKLQASKEQDWKFMNSLANCTELQVRTVLGNRLQGNVPESLGNLSSQLRYLYLANNHLSGDFPSGIAKLRSLISIELGGNRFTGARLQLDNNILTGPIPSSLCNLSNLIGLYLESNQLDGHIPPSLGNLQTLEILNISNNNLLGTIPKELFGIQTLLNIELSSNNLDGPLHPDIGNAKQLTYLDISSNSLSGEIPSAIGNRERLEDIKLGHNVFSGSVPTSLGNITNLQILNLSRNHLNGSIPVSIVRLKFLEQLDLSFNRLEGEVPTAGIFSNLISVWIDGNTGLCGGQLVRHLRPCSAMHLNSRKNKHFTVQKVVIPLGSIASLAIVKKNVSLPSFGSKFPKVTYIDLARSTEGFSSSKIVVAVKVFCLERKGAQKSFMAECNALRNIRHRNLVPILTACSSDGSSSTLNHITLAQRLSIVVDIADAMEYLHHNHQGTIVHCDLKPSNILLDDNMTARVGDFGLAMFKVDSIMPSFGDSASASSIAIKGTIGYVAPECATGREVTIAGDVYSFGIVLLEIFLRKRPTDDMFKDGLNIVRFVEMNPDRILKIIDPDLLEDERDVSQGTSVSFKEKSLECLASVLKTGLCCANPSPSERMDMQGVAARLHGIKEAYLRGN >KQK95488 pep chromosome:Setaria_italica_v2.0:VIII:34924109:34925976:-1 gene:SETIT_026935mg transcript:KQK95488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYTIDSVQSELKMTLYIKEVYDGPDTNGVTIISRAKMGTTWVFSWLVTDGPGPDANIVGHMQGTSVQVANTPDVYQYSLGLVFGDKRFNGSTLQISGTSQINGEWSIVGGTGELAMAKGTIKRTEIRYIGNTRISELKIHAFYTPMNSTTVSSNNTGCKFQKA >KQK93960 pep chromosome:Setaria_italica_v2.0:VIII:6702978:6705602:-1 gene:SETIT_027380mg transcript:KQK93960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KIPTAFHQHLKEQPTGLVSLKGPSGNTWQAVLTSDSEGLFFEQGWKEFVTDHSVKQGHFLVFTYDGLSRFSVTVFGSFGVVDPPSLVAKRTNNVVIKIEDDEEEVHVDMDAGGTSETSILPPEEGNGITGKRTREVNDIVADGNASKRHSSVPKQAEKKSPEAIVRTSKDASTIVNTEKDTPFSILNESTAFNKTHVRDKNMPKLGKFIVRRARQPVVISQRRPVTEEEKGLALRRAKEFKSKNPFAVQTMMESYVYVGFFMNITCEFVRESLPRISKKMTLWDPLGKPWQVNYVYYSDRSVASFSGGWGKFALGNNLEKFDVCVFELFKEDNIKVHIYRVVPEITPLLRASSRD >KQK94099 pep chromosome:Setaria_italica_v2.0:VIII:8756556:8757977:1 gene:SETIT_027582mg transcript:KQK94099 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPGPVIFSRGFRFNPTPLEAATYYLPRLVAGAPLHEVVRPVVNHADVYGCEPADLARQFCPLPRTGHRFLFTHCKLQQPQRAGKVSRATRAAGSGSWHSQSVKDVVDHAGVKVGEIRKLRYKKGGAYTDWLMDEYSCCLEDAVAGDRQCVLCNIYVSPRADQGSAARQESAAFFAPPAPAPIVIAQAAAPKRPAAQSAEPPCPKRMRGAVAPTPPVVQPAGYWTASFAPPLSYVPHIAASAQPPPPPVPTRLAAPPLSRSLEPAPPQPKQRMPPPPTLPVVRACHMPVEAPARHCQPPQPSVQRKQSTRDPFEAAELGDEAEEERVAAPDPKESPAALVDQDDDWAELEKCMDDAVPTAEGSTVSEDEMDQTRQSTGDPFEAAELRDEAEKESVAAPGPAQDFDMDEFCRSLEGNGDLVRLFENEDNVLTAQAEEEAAANSEGSTMAEDAPDPSSMEESPAAAQDFDIDEFC >KQK94647 pep chromosome:Setaria_italica_v2.0:VIII:23989359:23990970:-1 gene:SETIT_026759mg transcript:KQK94647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSLRIASAASIQHQPGFRFTPTDEEIVVHYLRPRAVNEPIPSAVIADVQIMNHNPWDLLTEGSSERYFFSQRVLKWPLGDQWNRAAGNGHWKTTGKDMPIFSSTVIGGVPLMIGLKRTLVFHLGKSDIGENTEWAMQEYSLAGASLTPYHVMRPSTIFTKKNDSPSEALSSVKVPVMVYPDKSWVVCRMYKKRKHTPGAVANVYSTAEGGQVPFYNFLAQGNSIGTASSSSRTNISLEGAKDGEEVREGSNVKANTSEVGK >KQK94299 pep chromosome:Setaria_italica_v2.0:VIII:12837592:12837723:1 gene:SETIT_027709mg transcript:KQK94299 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLENMLKYPSEPAESLPLEFLKAITDNFSNDRIIGRGGFGEVYK >KQK93651 pep chromosome:Setaria_italica_v2.0:VIII:2718107:2721482:-1 gene:SETIT_028292mg transcript:KQK93651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNESIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKREHVQCTAKYLGRRILCIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRVFWCFPQCSEAFQHCRPVILVDGTFLTGKYKGTLMMAVAVDPEQQIVPLAFALVESENNESWSWFMKLVRVNILGPSRIVCMISDRHHGLLNCAKDHIDGFPPLVHRWCMRHFAANMSRRQKSNRVIGKLKLLCTVHTEREFREKLEDLVKDLNDDAKEWLKGEMRDKDKWAQAFDEGGMRWGIMTTNFSESLNEVFKGIRSRPVAGIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLADPYGPERMVYSIRSYGSTNIGGESHGGRHYRVDLHEVSCTCNVPQLLHLPCSHFITACKARGLNFESPMYLSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPNLMRNKVGRRQKKRFTGEMDVSEGRLSADYDTGIAHAAVENQKALNLDRAVIGRVIRTIGMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGFHCIF >KQK95403 pep chromosome:Setaria_italica_v2.0:VIII:34175759:34179754:1 gene:SETIT_027811mg transcript:KQK95403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAALVFAGKSIATPAISFIVNKAFSYLSEWHQAEGMEAVKDRLLQRLSEIQAVYAAVNLEQIEAQNGSALNRWLWRFRDAVEGAEDALDEVDYYKLEEEAHARNLQHQVRNPVISFIRERVVERFVRHASEGKMVKTLRKALEDLDGVAKGVCTFLQLISRFDTHPLIGHAESSYQQTSSALTATEFFGRDREKEQIMRWLTDKLDEGSSTSRNSTIPVFAIIGIGGIGKTTLGQLVCRDLEGSSHFEIIVLAHVSGNTFSVTRITKKILEAITKQKPNAETLQALQQILKLELENRKFLLILDDVWEDGQRMEWELLMAPFQTSQRGSRILLTTRMQSVADMVTSVMGSKKEYMHLRGLDGDDNFRLFQKVAFEDLKAEDYTHLLRIAGGYLKENVSEQYWENLHTQLEQLEGKLDVIVMTVLRSSYRHLPEDLQLCFRYCSIFPKNYMFKKDGLVKMWMGSGLIHVKGGMERPEDIGEGYLAQLTRKSFFSFVPTGDPYSKHYTGYYIMHDLLHDLARNVSFSECVRLEYGDYMHDRHTVRHLWIAKFSKLTIEEIEAIPFFKNLRTLVIESSSDLGIVHVHALERAVENLKGLRLLILKRVPKFCFAKEVANKHLRYVSFSGMQEVQGLSKLYHLQILASERSINLGPEQLKKLGNLSRLRYVSYGINGFGEFFVVGLSSLQELHNFQVQEKEGYQIHSLRNLSKLCKLKMCNLENVRSHKEIIKAKLSEKFHLRSLSLNWSETNDAPKDEDDRILDQLEPHTRLQNLEIACYNGVRFPSWFNHLSLTNMVSLELRRCGKWVRLPAFGKLKLLKHLELQKLTELKQIGISPDVSLPQNLKTLVVEGCKELRELPLLPPSLVQLEINDVGLSTLPRIEERHGTNMGSEGMPPKLVLVIIRECPNLTSLERSFLLQEHYVRALRILSIVDCVGLITAPLLFGKMNQLTEFRIGSCSKLEMPPANQLGDQLSPGNSWIKWRMRNPEDKQFSIPNTLKELSMVECRGFEVPLLNSLFGLTNLTSLNLCDCAKVESLPSPRVFTSLQALREIFVTRCSSLLSLGGMGVLPYLTWLEITGCPSLHMSKLGVWRETPNDLFLKPLRTLRDTKSLIISVGFRSIPQQWLQQNNMSLKSLEILKPDTRLPLEDLISLKRLEFDEARRHLEFPILPSSLESFIIRKCDPELEKKWTTQETPEWNSISCIRHVKI >KQK93515 pep chromosome:Setaria_italica_v2.0:VIII:1631327:1631785:-1 gene:SETIT_028406mg transcript:KQK93515 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKLAREGRVERRALTAISLGPLRATGRNAVALWFVGGDAALQSLSTVPLMCALCAHNDTGNGGEGSEGGKAAAIGLKLSL >KQK93990 pep chromosome:Setaria_italica_v2.0:VIII:7174508:7175225:1 gene:SETIT_026911mg transcript:KQK93990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWAEGQSDRCVDIIHLNHKSHHPKKFYSVNREYSSGSKRNFEQNHRSRCTNAKLIYSGSDRNTNPVLVTPIRSRFNESFPVPFLVVFKQLQRWGNLNFLSSIAHRLFFDWNRLVSLSEQAYLSKGIGFFLHRDREPFSEEPLLVDPDPYPSSVDLEYSPGLESWNGLFLLGFAHCRRRGSR >KQK94687 pep chromosome:Setaria_italica_v2.0:VIII:25036962:25038894:-1 gene:SETIT_026230mg transcript:KQK94687 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWTVLGQAATVAQLVGADVGGLISMIIQAAVTAQQNKKECEQLARRVFTVGELLQHLQDPEVLRRPEIRRPLAGLDDALREAHKLVMACQDKSAVYRLVLSGRQAERFRDVQSRIDSYLLLFPVISHIDITRRLDRIYNILVPNDAAGPSSTPAFSMHQIAVQDSQNAPKIDWKEAHQVEVFTFKELVKATNNFAPDKKIGEGGFGSVYMGWLPDGREVAIKRREHGSDQGIEEFQAEVTILHSVSHKHIVRLFGSCVPQKFPVNIWKKQDEKQGDLSVVYEFLENRSLDIHLHGQPSPSPVTASWKMRIEILLGVSRAIEYLQSYAERPVIHRDVKPSNILLDASWAPRLTDFGLALTWEGPDHMECVRGTYGYAAPEYICTGALNLTSDVYSFGVVMLQLLTGKRSACFNELVKWEEGAKKDHFARYTLVELEREKQDNFASYGLVDLTVPLIEAGELWKVLDRRPAVKPTPRQLEAAELVAQAAVRCVRLQWEARPSISEVVATLETALELARCDG >KQK95505 pep chromosome:Setaria_italica_v2.0:VIII:35128976:35131504:-1 gene:SETIT_027825mg transcript:KQK95505 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAVREEAAPPPLLLLGEGHDDHEEEEASWWMVWAREAGRVGYVAAPMVVVSLSQFGVQVSSNMMVGHLPGELPLSSAAIATSLANVSGFSLLVHMISNGAGRYMISLIPGLFASAVIQPITKFLQSQSLVYPLLLSSIATMVIHILLCYVMVFKTGFGYTGAALAVSISFWLNVAMLVGYVMFSSSCKETRTPLTIDTFKGVDTFLRLALPTALMICLELWSFELLILVSGLLPNPELETSVLSICLTSVTLISTVPFGVGAAASTRVANELGSGNPSGARSVVRIAMSITMTGAVIMSGTLLVARHLLGRAYSDEEEVISFVASMVPLVCITVVTDAVQGVLAGVARGCGWQHLAAYVNFGSFYLLGIPVAIVLSFVLRLGARGLWMGVVCGSLTQTTLMGAITFFINWPKMAEETRERAFSEKLAESGSLLEPLH >KQK94471 pep chromosome:Setaria_italica_v2.0:VIII:18582885:18583841:-1 gene:SETIT_027476mg transcript:KQK94471 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATPSCTTNTDGSSIAAAGSGVDGRSGYCVTTRTFCSRRPLVPLPPVSTSLLFLDFAFSHLPPTLPMHAALLDTTTGEELSFPAFLSQVCALAGALRRHVSANPMLTGGEIAHLLALSGASMIFTVSSSTAKLPAGFPTVLLLDSDRFYSFLHNDHEEEQALDAMLLRYEGTHWSFIAMVARANATVRKPSLGQERTVALRMTMVVMTDTTARARVKGMLEAAKKWAVTDMWALAVVVTMVKGIHTLDALER >KQK93941 pep chromosome:Setaria_italica_v2.0:VIII:6400200:6404184:1 gene:SETIT_026081mg transcript:KQK93941 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAAGEEEASMFETSHVLGALLASSPLLARAWDRCAAATAAASGLVHGEDGGTVYVGFSGVQAALSAAGAAVAGGGADAFAPVGLGGDATRRMFAPLVANAEPDAAAVGEQVAVQALALQCFLKLCGSPDFQMLLDQIRGKAVVFTGHSLGGAIAALAALHYLCISSSSSTWGPAPSVLCVTFGSPLLGNEALSRAILRERWGGNFCHVVSQHDVVPRLLFCPLDAVPAHVIVGMQLQQWPAARTRHAGAVTAVTATARAADADRDALRQLVQTHVGAVAMDQKLADPAAPSGGPYRPFGTYVMCTPDGAVCVDNPTAAVQMLYATFASRCSPGSESPEAAHSCYGDLVVKMPQHLLLRRRPRADDDAPVIASNYDAGVSLALEASGIHAMVRAAHATEASTARQWLRTSRRAGRRPSLNCAQLATKLGRITPLRAQIEWYKALFDGEMGYYDAFKKQRSPKKFGKANMCRFKLGLFWDGVLAMLDAGQLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRRNLHRTQGRYMTHGRERRYELFDRWWQEKGCIGGGDVASSMSSAASRRRRSKNAGLTQDPCFWARVEEARERTESARSERDAAVLAMMLEELQEFESYSRELVASKEVSTDVLAPQSSYTLWVEEWNQLKLRDEVRAILLQF >KQK93940 pep chromosome:Setaria_italica_v2.0:VIII:6400200:6404184:1 gene:SETIT_026081mg transcript:KQK93940 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDDAAGEEEASMFETSHVLGALLASSPLLARAWDRCAAATAAASGLVHGEDGGTVYVGFSGVQAALSAAGAAVAGGGADAFAPVGLGGDATRRMFAPLVANAEPDAAAVGEQVAVQALALQCFLKLCGSPDFQMLLDQIRGKAVVFTGHSLGGAIAALAALHYLCISSSSSTWGPAPSVLCVTFGSPLLGNEALSRAILRERWGGNFCHVVSQHDVVPRLLFCPLDAVPAHVIVGMQLQQWPAARTRHAGAVTAVTATARAADADRDALRQLVQTHVGAVAMDQKLADPAAPSGGPYRPFGTYVMCTPDGAVCVDNPTAAVQMLYATFASRCSPGSESPEAAHSCYGDLVVKMPQHLLLRRRPRADDDAPVIASNYDAGVSLALEASGIHAMATEASTARQWLRTSRRAGRRPSLNCAQLATKLGRITPLRAQIEWYKALFDGEMGYYDAFKKQRSPKKFGKANMCRFKLGLFWDGVLAMLDAGQLPHDFHRRAKWVNAARFYQLLVEPLDIADYHRRNLHRTQGRYMTHGRERRYELFDRWWQEKGCIGGGDVASSMSSAASRRRRSKNAGLTQDPCFWARVEEARERTESARSERDAAVLAMMLEELQEFESYSRELVASKEVSTDVLAPQSSYTLWVEEWNQLKLRDEVRAILLQF >KQK93371 pep chromosome:Setaria_italica_v2.0:VIII:811515:813155:-1 gene:SETIT_027872mg transcript:KQK93371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGPTAHQPTSSGNGKPMQSSAPANSYTPAAVLRLLRRLPRRRATAAAHQLHALLAKLGLLLHPTFLPALLSHLPATSSSSLPLLLAAPPNVLTPSLFCPVIAAFSISPVPSCSLVLFNHVSSLSLPTPLPSFPALLKSCARAFKLSSGARAAAVFAAKGAELHCRVLKLGCSEDRYVQNALVSMYGKLCLLGDARKVFAEMPVKNAVSWNALAGAHGVAGDLKGAEGVSQATPARNVSWWNTEIMRNVRLGDMAEATRIFVEMPERDAVSWNSIIGGYAKLGMYDRALDVFREMQESGMEPTELTVVSALWACAGTGELELGRRIHSYLASKGIAADGYVGNALVNMYAKCGSLKLARQVFYGMSIRDVTCWNAMIIGLSVHGHSCDALKLFDSMKIEPDHVTFLGVLTACSHGGLVNEGRAYFNSMIGDYKIVPTMKHYGCMIDMLCRYGEVHEAYRMIKDMPIKANSVLWKMVMAACRVHGHFDLANKAFHELHQLMPMDDGDVITISNAYAQAEWWDDVEHLRTKVIGCSASKHAAHSQVHVR >KQK93727 pep chromosome:Setaria_italica_v2.0:VIII:3614825:3617638:-1 gene:SETIT_026563mg transcript:KQK93727 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRDERLRRALAAFGGDAWALVDAALAAAARDRPGELRARRDGIVERLYAAAGCSSCDARQPAAPVPRAALAAAGLDEEDGEEAAPVSPEAEGDAAGAGAAEEAEELGAGAGGEPGLESKIVAIRDFLEDPDQPEDELVSLLQNLEDMDVTYKALQETDIGRHVNGLRKHPSAEVRRLVKQLIRKWKEIVDDWVRLHNSGGDGGSSIIADGDSPEKIQGRSHQSPRVSGFQYSPSPQRHNGSTSERANNGFEPTMDMKRRASPVPAHHNSRQMNNNHHSTPMPSAPAKVTRDHKDSLLDLDRLDSARKRLQENYQEAQNAKKQRTIQVMDINDIPKPKNRNAFIRKNGSGGLPARHR >KQK94428 pep chromosome:Setaria_italica_v2.0:VIII:16079843:16080843:1 gene:SETIT_026783mg transcript:KQK94428 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTAAADRQGRGARAGAPPRVQPAPVRPRRGQALQHPARRRLQRARRRLRARAPPHHRRLHRRLLRRGLRRHHGRRAALRQARRPGGGQVRRGVPRPRGTRAGGAGRAAAEPEVRRVLVRRRDSRVAHGEGARARLAVRVVVVVGVLLAGARRRAAGGPGAREVGAAGVRGGAAAVGAGRRSRAAGRRRAEGGDRGVPRRARVRRGGPGAPAKDEGRLRQPRQDRGVTCRQFVTAGSKMVLEFYISPP >KQK95920 pep chromosome:Setaria_italica_v2.0:VIII:39617463:39617750:1 gene:SETIT_028627mg transcript:KQK95920 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVPMPPAARAPPRSDLSLAQLERLFVVGLNYCNI >KQK93338 pep chromosome:Setaria_italica_v2.0:VIII:621897:626006:-1 gene:SETIT_027722mg transcript:KQK93338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LTVPGFESLTFAFFKLPCDMQCVITFQTTLPVMGCRRILFEEAGPSGPSRDSADSPSPKRLEINDMRVAVDVRSTEVPERSPRRSEPPQPGERDTIDSCIKVPEMMESSSTRFRTPPTQIGCQQGSNEDETNTTGRQGVVFLPPDTLDANQMIASEEEIPPQTHEAGVSTQSIKNVLQNMHGGAELVPITSRDIENRKATNVREEHADDINKLIEFFKDYQDQAMGVAIAKEFPGVVHKICRWHVVNKHMPHLTNLFGMYAKKNFKDKFYSVLNHPLTPVEFEAAWQELLDEFDLQKDGTLDSLYCQRQLYVPAYFKDQYCGRMASTQRSESSNFVMKKCFVNKHTALHRFAKKMLDFMHSRKMKESEESYHGTSKRLTRSKWPFEIQVSRIYTRNVFKDFEKKMIDCTAYDIEDNPIEGETCYLVTHTNRSSKLSWGQHQFKVRANKENGEFHCECKEWQHTGLFCVHLLRAFMRIQLNSIPQHYILRRYTKYAQQELGFDRNDKLLVGADGVTQLYRIKDLTSLAMAAVRSGSMSRAAHIRTREVLAKLDKDNKEIPPDIGPSTTNMHQESPGEYHANDDRLISRVPPTRSKTKGRSIPPSEKKEITLGAKGVKKGTRKCSICGYYATHNARTCPKLQHNKERLEVLKNRMRGRPRGAQHKRSTSQHDSGGEEHNIGRQQDTKKCKENKYIDYESNDEQFRDTDMEG >KQK94218 pep chromosome:Setaria_italica_v2.0:VIII:11008748:11009431:-1 gene:SETIT_028461mg transcript:KQK94218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKESEACSPQGVLRGAAFASARLAGSFYTLQCSLHALIVLHL >KQK96021 pep chromosome:Setaria_italica_v2.0:VIII:40547365:40547869:1 gene:SETIT_028389mg transcript:KQK96021 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPQEEIRIELFSTEHGALGRSKDILYEPHHSVRLS >KQK93870 pep chromosome:Setaria_italica_v2.0:VIII:5299285:5300297:-1 gene:SETIT_026814mg transcript:KQK93870 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVVVDDSCRRPGSVPFKWEVCPGTPKHVRSSSSSSASVAPPPYYSSSPSSSGALYSSSKVAVSPKLAPPPAMSPSPYHSPRVSYYAARSASVSPCRRRPPHRPTAFLDIAPRVAPAAYGPGPEDEEAAAAAAAAATRRCFPLPVFRRKDRDGGKRDGGGGGSGRRSSGASSSSGSSGSSFRSDGAPGHGLVAGLRRSTSSSSSCLSLSSRSSGKLAEAREVEAAGFWFY >KQK94199 pep chromosome:Setaria_italica_v2.0:VIII:10790608:10793483:1 gene:SETIT_027260mg transcript:KQK94199 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQMFSSSLGAMGSLLGKLRSLLVSPGDQLPEPLKPQDKLELLKQDLEEIHTLLMDLSRVEAPKTMAKLWMNQVRELSYDIEDCIDKMMHPPSNTGEENRFDVEEFNTLMKQASDARKRYHRYDLGRWASNPTFRVVNRQVWVPTMDLVGIGDSRANLINLLSNEAEKLMKVISVLGPVGVGKTTLAKEVYRQMRGQFKCRAFVRASKTPDTRRLLRSIISQIQRHQQPPHGLPVQELIDYIRKHLQQKRYFIIIDGLWETISWDIVNGAFPEGIDCSRILITTDFEEVALECSDYQSDCIFKMEPLSRNNSRELFLNRLFGSKHECTEQLKDVSEKIIEKCGGLPLATICIASILVSQSDNSELWLHVNECLSSFIRKNLTSEGMLSEIVGMSYNSLSDHLKTCLLYLSMYPEGYTFLKTDLVKQWMAEGFISAVEGKDTGEVAEFYFDELVCRGLILPNCIGFSDEDIFYTVHSTVFEVIRCKSMEENFATVIDYSEAITKLSAKVRRLSLTFSSAKYATKPDGIALSELRSLTFYGLVNCLPSIMEFKLLRVLILEFWGDKEELDIIGINKLFQLRYLRIRTDMTVKLPASMQELLCLETLEMYARVTTFPSDAFVLPRLLHLCLHDVINVPEGIGHMRSLRTLQCFDLSRISNGIVWSLNEMTNLRDLRLTCTTASREHHLKSNLIALISCLEKLGNLKTMILAPSASCTSINLCCSGIVSSLPISLGRLELLPPICIFSRLPLCMGQLQKLRILKIVLGELTGSDIYSIGRLQELTILSLY >KQK94875 pep chromosome:Setaria_italica_v2.0:VIII:28263737:28267084:-1 gene:SETIT_027279mg transcript:KQK94875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GSVAVKRTRLWEVEAEVEGEEGMEVDGEEEEEEEEEEGWAWGAPAAGVGVGVGMGEQRAAAIVVADAAEADFPVIYVNAAFEAATGYRAHEVLGRNCRFLQFRDPRAQRRHPLVDPMVVSEIRRCLNEGIEFQGELLNFRKDGAPLYNRLRLIPMHGDDGSVTHVIGIQLFSEANIDLSNISYPVYKQQSNHRPTIQDLNSASHEHTPKIQSSDYCGILQLSDEVLAHNILSRLSPRDVASIGSVCTRMHELTKNDHLRKMVCQNAWGRDVTVKLEMSTKMLRWGRLARELTTLEAASWRKFTVGGRVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLEAPTPEWRRVKVSASPPGRWGHTLSWLNGSWLVVFGGCGQRGLLNDVFVLDLDAQQPTWREVASEGPPLPRSWHSSCTLDGSKLVVSGGCTESGVLLSDTFLLDLTKEKPAWREIPTSWSPPSRLGHTMSVYGTTKLFMYGGLAKSGSLRLRSSDAYTLDVGEDSPQWRQLATTGFPNVGPPPRLDHVAVSLPCGRIIIFGGSIAGLHSPAQLFLIDPAEEKPAWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRPDEDE >KQK95292 pep chromosome:Setaria_italica_v2.0:VIII:33075761:33076910:1 gene:SETIT_026940mg transcript:KQK95292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKQLDADLEYGAASNYSVSLTSFRTRRIYFYWITREQGSSEWFRDVMDEVAETDKKGVIELHSYFTSIYEEGDARSALIAALQSLNYAKNGIDIVSGTRVKTHFARPNWCNVYKRIALNHRDQRVGVFYCGAPVLEEELRDLARDFSRKTTTKFDFHKEYI >KQK94927 pep chromosome:Setaria_italica_v2.0:VIII:28875122:28876600:1 gene:SETIT_027594mg transcript:KQK94927 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAMQLLAAVLAVLALFLAAYWRPRSRPMPVIIEVGDPDVARALMFDHADFFSNHPDAALGIDFDAGQPKTESITFAPYGTLWSALRRNLTVNILHPSRLGHHVEPIQRDAAEALVADLSTRVVGAGEEVAIRERVYAAVFGTMARLCFGDGVGERDVAVMRRTLHDFFHSNVDIKLLARSRLARLVRWRQWRYIIGMRRRLAEVFGPVVEARRRQSRRSDGGGGSFSSYLDSLIDLRVPNDSDDSETGGGLGLGARRILRDDEVVRLVWEFLGSSTQSVVSCIEWTLARLVTEPEVQKKLYHELIAAGDHRKGQVSDERLQELPYLRAVILESLRLHPPLPTILREVGPEGAAAAGAPPPPPDGTPVRFLFNAGQIGRDRKTWTEDPDEFKPERFLAGGEGEDVSPLPGPKKIKMMPFGAGRRHCPGAGLSMIHVGCFVAALVREFEWAPPADGGGGVDLTGTNTLFVRMMAPPLRARITPRTSR >KQK93760 pep chromosome:Setaria_italica_v2.0:VIII:4129667:4132406:1 gene:SETIT_027614mg transcript:KQK93760 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFFFLTAQSINCVKQDDCVHGADDLSCDQNGMSKMILGGYKLVQQLVKCSIYGNNLVNWCTIQYEARDEALIAHKSEANLTNKLINSQNIIRLSLETKESESNELGWSSLQSHISLRTLILVGPVKDQTSLKTLSGINNIPRSFCGLTNLSLQLCGLEDVSCSSFSIKTRLGEKLHLRYLSLICTTRNGDTHRLVKEEEQQQMEKVFDELCPPPCLEKLRIKRELFYELIRGYFGQRLPRWMMSTAIAPLGSLRILTMEDLPCCIELPGGFAPAIKRVGAEFLLPHHHENPSSVQSLGSDLEIQLSTCSGLEKISNLPKLQNLGISRCPRLKALEGLPALERLELEDYDMETLPGYLQDVNPSHLQIVCNVSLLTIQRKWYVKYTIDPFSFKTNISISADALLVILSIQGHPL >KQK95148 pep chromosome:Setaria_italica_v2.0:VIII:31375798:31376103:1 gene:SETIT_028509mg transcript:KQK95148 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAWLFFFFAGWIAAMTAFVYWFLPETKGIPIEQIDKVWAEHWFWRRVIGEDEAQATEKL >KQK94711 pep chromosome:Setaria_italica_v2.0:VIII:25536853:25538521:-1 gene:SETIT_027917mg transcript:KQK94711 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAHQFAVATAVLSCLLALATFASCNTEGDILYKQRLAWKDPNNVLQSWDPTLVDPCTWFHVTCNNDNSVIRVDLGNAGISGPLIPDLGGLQNLQYLKLNDNMLSGMVPLEVLSLLISGNLTEINIAENDLTGTVRSSGFRVTAIIQDKLKNA >KQK95738 pep chromosome:Setaria_italica_v2.0:VIII:37699290:37699657:-1 gene:SETIT_028467mg transcript:KQK95738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFQQVEKHLTHEESGLYTSRAELSRKLKGR >KQK93907 pep chromosome:Setaria_italica_v2.0:VIII:5866859:5867302:-1 gene:SETIT_027285mg transcript:KQK93907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GHAECTLAIRADTLYLVGFKPKGGSWYAFKNRNHLIQGSTALTFSDDFNSLTGGGTYKDLVKVAVGKNPAQESLNILPNYRHGTTSEQDTKKALVRFVLMFCGAARFQPIRADVTAAWGQAEGGKLRPSFRLYL >KQK94907 pep chromosome:Setaria_italica_v2.0:VIII:28617155:28617505:-1 gene:SETIT_028237mg transcript:KQK94907 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGFSTGQLLVILGACSVIMKPSDMVKIARTAGRMTGRAVGRLIVARRQLDEILGQSAATQ >KQK95321 pep chromosome:Setaria_italica_v2.0:VIII:33312241:33317563:-1 gene:SETIT_026782mg transcript:KQK95321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEREKVVCLAKLAEQAERYDDMVEFMKKLARMDVDMNAEERHLFSVGFKNTIGAKRASWRIICSLEQKLTSGDQAGVMIDAYKKKVEGELRKVCNEVLSIIAIHCLPLASSGENVVFFYKMKGDYYRYLAEFSTGTEKKAAADQSLMAYQHAMVVASSELSPAHQIRLGLALNFSVFFYEIMNSHERSLILNGSFLSFH >KQK95322 pep chromosome:Setaria_italica_v2.0:VIII:33310082:33317663:-1 gene:SETIT_026782mg transcript:KQK95322 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEREKVVCLAKLAEQAERYDDMVEFMKKLARMDVDMNAEERHLFSVGFKNTIGAKRASWRIICSLEQKLTSGDQAGVMIDAYKKKVEGELRKVCNEVLSIIAIHCLPLASSGENVVFFYKMKGDYYRYLAEFSTGTEKKAAADQSLMAYQHAMVVASSELSPAHQIRLGLALNFSVFFYEIMNSHERACQVAKQAFDEALTEINAAGEGVYKDSTLMMQLLKDNLALWTSELTGGEASKDNDIDMEG >KQK95535 pep chromosome:Setaria_italica_v2.0:VIII:35447734:35451217:-1 gene:SETIT_027510mg transcript:KQK95535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VDLEHAKELWDEWGIHCLILVSLFLQVFLFLTADMRRRSGSRVIMMALWLAYLSADTVAIFVLGHLAVYDTITAFSKQDNELWTRHLLSLVSQVAMAGYVVSKSSWPDARLRAAMVLAFFRGFFKYAGRTLCLYYSSPKSLRALSLGSVSDSIERLGHGMSGARRMIEEIFEIMFVADMCWKFVGRMTGGLTFPDLIHIMSVDAVVNDSWLIQFADELPDMLEEGPTAAAAAARGRREGADRRRRPRPTRGAGSRRRPAGGRPPPPPPGADAGGRPPLLVPGRALARHGPSCCGLAQPDKKVVGPGLDRLLGTQCQPSPARKSFGPNSAGPISAGPGWVNRCMAYQYVAAIMVGSYRALYTKTLLPFYVGFLLDRHVENRYVLELFTNLIFLIPILFQYLSTTIALVLFAAAEKKRPYSQADIIVSYLLLVGAIVLDLLPVFTSIVSLARKPFRPGTAREWAIMCLGNCFVPQGWQTTKQWSEELAQYSMISRYNTSNACMPSLRKWTGKCFGAWCVEFFDTTRTPGPAPVTDDLKLLVLDKLLLQTCRQEWDIASFRGERALEKWMGSHQVPETRRSGYAALHMSVSSRVEFPRSVLILHIATDICYFSEDTETDEAKKKKMMSRELSLYIMYLVFKCDVMLTSISRLAHEQAHEELKEIISGRQSPQGNLDEKEAIMEVIEAMKKEEQQKGSMLKEVIYTPVLSRVYAVARELMAIDDEASRWDLISEVWLEVLFYTAPRCGAAFHYEHLSTGGEFISHVLHLMRLLCPFMPNPGA >KQK94190 pep chromosome:Setaria_italica_v2.0:VIII:10681263:10684320:-1 gene:SETIT_026039mg transcript:KQK94190 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDPGLLSAFVDNLVSRLFSLVEEKYKLYKGFEGDVTFLMRELPIITSAIDGQLLGQDDHILRLSVEELRHVAYEMEDCIYHIIKRKSRSQLGEEMQHLRYRLEEALQRQQRYSVSHSSSSQLAQSSWDQHVLPDDLVAIDAPLEELLEQLAEAEGQPKQLRVISVVGFSGLGKTILARELYNSEIGKQFEERARVSGTHGDPGELLSEILRQLNKPDLVTSNVNQLSADLCNFLNNKSSTHGYIHKMRRLDEKHSKQLFLRNACPGEYSDYLQPDSAAILNKCDDQPLALTTVAHFMQKKSWPTGQDCEDVCNKARFYDLQSGDDTLDRMHQVLTHDYASLPSHALKACFLYFAMFPSDHPVRAKKIKSQWIAEGFLQPTNLCNDPAAENFEKLMNQNIIQPINVSINTKVKTCKTYGMMHEFITLKSLCENFITLFDGGDSSNLTMPDASNDPRSFSLYFDGCSEDFLKDLKAPCYLRSLKLQGRLLELPGFVTALRRLRELHLQSTKMTADLLTALTNLTHLQYLKLIADELEEIHINNKGLPRLLGLCFVLQRPTFPKVEEGALPFLKSLQLLCKDMNGLCGIQIKGFTRLSEIMLDCRVTDGTKANWVRAAKEHPNRPIVVLKRAIPPKVDHDGDSTAAGETENEIVDRSVLSEEQVQETHTQMPHDETDSAFNNMGQQVICAALTGSSIANNGRVAS >KQK95864 pep chromosome:Setaria_italica_v2.0:VIII:39041392:39042257:-1 gene:SETIT_027233mg transcript:KQK95864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMYTYSFGRCPITMACLQGTVLLQIPVQSMAIHVYKMNESHGLGCRYVEMMFVQLQT >KQK93370 pep chromosome:Setaria_italica_v2.0:VIII:809909:810382:-1 gene:SETIT_027630mg transcript:KQK93370 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARPACQQQLAVVLLMLALFLAAPSVISAVTCGQVAGYLTPCISYAMGHGSAPPEACCSGVRNLNAAARSTADRQAACKCLKQITGSMPALKPDIVAGIPSKCGVDIPYPIRPSTDCAKVQ >KQK93753 pep chromosome:Setaria_italica_v2.0:VIII:3991635:3993621:1 gene:SETIT_028257mg transcript:KQK93753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTSAVQQLWNRWEIQCVVLVSFSLQVFLLLFSGIRKRHASHVLSLLLWLAYLSADYVATFALGRLTIHLNDPRHQLVLFWTPFLLLHLGGQETMAAFSTEDSLLWKRHLLSLVSQVVLTVYIVAKSWHGDSSSSKQLVAPVALMFIAGTIKYVEREHWRSCRRERVIDDAKSYFQRLNALVSSAQRDGKLDYYEGLVGVAGKGHRMCVQFLTDMTPFLNWHSGNIIDRTIKNLQDLRNQELGTQIAYKLAEIHLSLIYDFFYTKYGVLQFHLNLLNSGVERLITFGATSAALALFLKANLDGLFFNLSRADLIVSYVLLVGAVALELSSTVMAISSYWPYLPGRSPLGGDGIQFPWTGKMAQYNLISECIRGKQGNLFMKALRKIGLVSDITHEQVSPGLKMFVFSKLLGIATTRHVSHYWKWDFSTFRGQWLRWELEATEEGRSIDQTLLNIEGGHFTGIVLMWHLTTEMCFYTDNKEDPPCRTMSRQLSNYVLYLVAKCGVKSGSNGYFELGKLSRDVRKALSHERFSRGRLEQSKVLLYGYEGHGYFSARASGIAKELLKVTCSTRRWELIATVWVEMLCYLAPNCDTGFHIKKLSTGGEFVSQVRILLIILGIPFLRDPWQEAE >KQK93318 pep chromosome:Setaria_italica_v2.0:VIII:542770:544605:1 gene:SETIT_027915mg transcript:KQK93318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGAKGIRTIHLLFSANRWEKRALMESKLLVYIDCPPASLWYVKLLLQKAAERGGYGGERYEKIEFQKRVADHYHSLRESTCKVVDGSLPMETVEEQLRELATNCIQECQEKPHLPI >KQK94546 pep chromosome:Setaria_italica_v2.0:VIII:21603660:21613174:-1 gene:SETIT_026008mg transcript:KQK94546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEMVVAAPALPAEVEMALIRDITVAAEAQAKEGDTFYLITTRWWQSWIDYVIQDLTSVTSNGSHHHEFGSKTPRRPGAINNTDLIDDAALEVSNMEIEIHDTLVEGRDYILLPQQVWEKLHGWYGGGPTIPRKAINTGFSQTDLAIEVYPLRLQLLLTSRGERTFIRISKKDTVGQLHKRACDAFDLIPDEVCIWDYYGRTKHTLMDNLEKTLDDANIQMDQDILVEITTDANGSVDGGCMSLVKGNDFFEQESTSLIADAPRSGLPNDNFAANNYSSRNYNSSLTPNLHLRSPNGDLDNVHGSSGMITRGSPSGLTGLLNLGNTCYMNSAIQCLVHTPQFTRYFCEDYHREINRQNPLGNVGELALAFGELLRKLWAPGGRAPVSPRPFKMKLSRFASQFSGYNQHDSQELLAFLLDGLHEDLNRVKHRPYINSGDADGRSDEEVADEYWANHIARNNSIIVDVCQGQYKSTLVCPVCGKVSVTFDPFMYLSLPLQFASTRSMTAVVFSCDGSSPPTPFTVNVPKQGRCRDLLQALGNACSLKNGEKLLIAEIRNHKIYRFLDDPVLQLSTVSDDDRLAVYRLPKLEKRANYIQFVHRHEDLDHGNNNSLTSWKPYGVPLIAQIPRNETVTGFDIHELVHKMLVPMLRNQDSPHLAAHSSLSTRMHSYNTDSSKFELQLIDDSNTVIEKSNDSIRVPQSSLATVFFVNWSKADLKKINTDPLEHLPEVFMYAPPAKRTRGEALSLYACLDAFLREEPLVPEDMWSSV >KQK93483 pep chromosome:Setaria_italica_v2.0:VIII:1445044:1446546:-1 gene:SETIT_027613mg transcript:KQK93483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESKQSGLFVVDRIRVACVAYFAPSEMAYFASRTWRWIGSNAGRSIMAPASTHGEHFAEVVLVRHGQTDWNVSRIIQVARRLSEEAKPAAVYSSDLKRASETAQTIAAHCCVSDSDLVIDRALTERHGGLFQGERVVVVSHEAVIEEICRHADPTISVGRKIPNTSISVVHISGSDGRWILEKFGDAGHLIGDGFPQSTL >KQK95914 pep chromosome:Setaria_italica_v2.0:VIII:39527209:39529188:1 gene:SETIT_027443mg transcript:KQK95914 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLLHVLGSLRRRSSHTLLHSIVMGVYTFSYPLVGYTVGLMKSSSLYYEDFTVWAVFLLLLLGTTDNLTVCRLSDVDNWKSIHVKHILKGFWLVVIILRISNNEDWIYGKKLPYRYPLYAIVLVVILKGYVRIASMRMVSKSYLCKKVKVIAEYMQQQHKDNLAVPFDPVIMEGYRYIVAGEKYYMKRWPGCTPCYKGGDLMVITVEKIWQCTGRLLVLERGKLLKDLCLSMALSKMLNRRFVGFRLSEAGHEKTHDFVFKGLLAGDRPHQRAFRVIEEELVFVHDFYYTRYSYLYQKGRYIALCLPIVMLALCSWLTYLLVKHYESRSVQAATIFVTVIVAFLEAYQLYLYISSGWFKVALIQSYINTPFLRRSRCLEMIIGLLLRLKAFAPWKRNLGQYCILQEVGRKHRARNCLHYATLRLLDKASKNGLKKSEKVSETVKKAIVDSLLGSNGNLTNGVTSLQNNGVNFLSWACDVSTTDGAVARTIVVWHIATTLCEQKLNKQAKEEDAVETASTLSKYCMHLLAFAPNLMPDHIFISESILDQSINEASKLLKEAKDKKIKGKNKKIEGRCEILMEVNTHGCVGDEKKLVAQGVHLARQLIDDIQDFKTRWKVLSDFWAEMMLYVSPSDDAREHLEVLAKGGEFITHLWALLTHA >KQK93832 pep chromosome:Setaria_italica_v2.0:VIII:4857417:4858136:1 gene:SETIT_028587mg transcript:KQK93832 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSLFPSPAAATQAPASCGPVSRRWSIASAGGVAGLLDMYGCKSAMGVAEGAFVVRRPVAVVRPGPGRAGLGRAGRR >KQK94302 pep chromosome:Setaria_italica_v2.0:VIII:12846328:12850186:1 gene:SETIT_026722mg transcript:KQK94302 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAHLPPRREQKQVSSGRFRGSITPLVAKFIAQKNWIVVGCSFGYIKVYKYCKQALFSEIKSFKAHEYGGISSLELHRTGPYVLSAVEAEGHSAPMWDNPMDKIKMWDWENGWKLICTFNTEKYTYQIKFNPMDLNMFATISGGVKVWNICSPDSVSELRRTHPTRLDFFSRDGAPHMIFADQSNRRKPTIWDCQNMKCVSTLKEHLADVTVVFSHPELPVLVTGSHDGTIRLWNSSTFSLLGVLNCGLGTVLAITSLKGSRRIAILHYGGLAIAEIDTEQSVAIV >KQK95623 pep chromosome:Setaria_italica_v2.0:VIII:36627309:36628175:-1 gene:SETIT_027896mg transcript:KQK95623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAAPAAPGLAVTSVTAENFEAELDAIAALLQRYPIVVIDTEYPGTVHRPTAGRRECDVTPGERYALVKANVDELPAVQLGITLCDADGNIPVVAAGADGRACQRAWEFTFSDFDPVRGRHAPESVAFLTSQGVDFPAARLRGVSSSAFAARLAAVLAQARLRGGLTWAAFGGAYDFAYMIKMLSGGQPLPGTWQEFMARARDLLGGGMFDAKYMAEHSGRADLIGGGLRRVAVRLGAPLLFPEPPCLAGPKSHTACHVYAAMRRHFRDLYRGHDYGAVFDGLIDGFN >KQK95802 pep chromosome:Setaria_italica_v2.0:VIII:38183566:38183781:-1 gene:SETIT_027827mg transcript:KQK95802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMMKRYKLEKDLGMGSEVGHSKNKELAKRSPALVAMNRKFRMIHVVSSLASLMSFGSLAMHSWYLSSKLNL >KQK93579 pep chromosome:Setaria_italica_v2.0:VIII:2064883:2067292:-1 gene:SETIT_028501mg transcript:KQK93579 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACIDLWLEQNTTCPVCRISLLGNPDTDSEHTTPPPLHSIVISSPSSPESSRSDPCRCLLVSAGHSSRASEVPRHEPDQENQVASAPSVDGANNMPLSEVNPTPENNSQTVRKQVDRSTQLGPCK >KQK94357 pep chromosome:Setaria_italica_v2.0:VIII:13626226:13627125:1 gene:SETIT_028132mg transcript:KQK94357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TNVRLAEETFARLRYLLGGLRPVETVYLRLSLGSRASAPIHGLTTLRRPVMAWATYFSAVAPGMSLVEKQWLVHRAHTSALQRLVLGPRLKAFSQPLLTLKK >KQK93788 pep chromosome:Setaria_italica_v2.0:VIII:4355829:4358294:-1 gene:SETIT_027502mg transcript:KQK93788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVGAAIASLFIVLKTKIYVLIRIEFLVALVTVMFLATFIMDIYRFRSRSSTLSAIMEIVDGMSNQILVYLLGAMQSACSENPLFAFWGILLVSFHRSLGYLSRHSIMDDSERPSLMVLPDVIKFIAAGAMLNLDMGIFTNPHWWFLVILQLRSTYRYLARNRALKSLWHGRSSEFLPEYLRRMTEGDHDDRRNEFNHFQRYLVYGEYKGKLKIKKPQYVLHLDMSHHPDSLITLDKIWNSSGPLLSSSSRSSTYKDFSLAFALSRLLRCRLEDVPLHPGSISRTRNLIISQIIGDQHAEAARAAGRSFRILELELAFTIDYYFTFYPMVFWRGLLSLSLILIQSMATIPVIVWLVVSVCSSYDNEKGRITRLRVGGFDVGVVTTWVFLILMVFKEVWEMVTYLLSNWTRLLLVCKYVQSQCWRTATASTSLIEHLISSFFASKISDTWHGRIDQYEFLQSCTYKPTVWKLAHIITLGVAPDILNGRKPGAAIRIPECVKPAILQGLRRQGLTRQPLRRDIPTLRNPPNNNRFVRYQWACIELPTCSQVILVWHIATSLCEVKLAKDRNIDLRDPSFLGSIWSYLGRKLGRSSSFLVDDSIHVRGQLRTNYRTASSLSRYCAYLLVFRSKFLPDGFLTPKLVLDKTIKHACEALKDCDSTLTRYETLMATARNVAQDSESGKLNMNIVQQGAMLAMELIDEEDEQNRWEILAGVWVDLLVHIAPSWNAEAHVWDLQSGGEFITLIWVLLWHCGIEKSSLWHEDDNASGNNSPQALQQNGTETRQTNNVTGDEQVINEDGIEISEEPEQLTERAQRASKTN >KQK94646 pep chromosome:Setaria_italica_v2.0:VIII:23937566:23938364:-1 gene:SETIT_028263mg transcript:KQK94646 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLLGSWTLWNHRNNCVVNGASPSIANARLYYHGCAAASSALRVAKEIAAKNRGARVLVACAELSLVFFRTPDESHHDTLVMQALFGDGGGAVIVGSDPETSTERPLFDMVSAAQTVVPKTKHSVSLKLSKNGMDYHLSNEPLSLRGDWNDLFWVVHPVGRTILDAFEECLNLEQSKLSASRHVLSEYGNMSGVSTIVLDEMRRRRYENHGDGDLVKECEWGAMVGLALDQESQSKPCMLMRAIDA >KQK95883 pep chromosome:Setaria_italica_v2.0:VIII:39186508:39188658:1 gene:SETIT_027964mg transcript:KQK95883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLLLIDDIWSAKTWGDIRNCLPVENKKCSRIIVTSRFQAVGAACSPVGTTNLLHTVDFLNAVESNNLFKQSVYESKRSKESEKVRDKVPEEILKICGGLPLAIVSMAGLVACNSSKALSHWDKVCKSLFPESVTALSLDGVTRILDFCYNDLPGDLKTCALYLSMFPKGSKISMKRLTRRLTAEGFVSEKQGLTEEEVAETYFNQLMRRKLIRPVEHNSNGKVKTFLVHDMVLEYIVSKSSEENFITVVGGHWMMPPPSNKVRRLSMQSSGSKHENMTKNINLSQVRSVTVFGSLKQLPFHSFNNGIIQVLDLEGWKGLKERHLKNHICKMLVLKYLSLRRTEIAKIPKKIGKLEYLETLDIRETHVEELPKSVEKLKRISSILGGNKNPRKGLRLPQEKIKEPKESTSAQEKSEDDTVTSTSTQEKNKEGMKALRVLSGIEIVGESTAVDGLHQMIGLKKLAIYKLHIKKDDKIFTQLLSAITYLFSCGLQTLAINDEGSDFINSLDSMSSPPRYLIALELSGMLEKPPTWISKLHTLSKLTLSLTVLRTDTFKLLQDLPSLFSLTFSLSAAKQNQDIIKDILEKNKSDSDGEIFVPAGFPSLKLLRFFAPLVPKLGFGDNAMPALEMIQARFEAFEGLFGIDTLENLREVHLRVNGLAAELKESDEAGTQETETPKIKEKERKEAAEITRFLVKDLKNYTTDKLKVIVDYIINA >KQK95270 pep chromosome:Setaria_italica_v2.0:VIII:32834295:32834915:1 gene:SETIT_028206mg transcript:KQK95270 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATRRATYERRCQSLMKKASELAALPGAEVCVVVYDSEDAGAAAAQPEVWPSAEEAARLFRKLKAMPEGRFKKTMSQLQFLQNRVSKVREQVKKSAVVNGELESSALLHECVAGRRPGLVGVTEKELAGLMDLVEAKMSKVRARLQQLGVGEGAHPRAAPPMENGGELGAVFCSAFEGGDGAGPSGSGCEAIEASNQGCDLGSPWAQ >KQK93841 pep chromosome:Setaria_italica_v2.0:VIII:4991652:4992973:-1 gene:SETIT_026915mg transcript:KQK93841 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMATTVLLLCAAVALAPTPSSAADDAAGFTTFKLYFHDIVGGTSPTAIRIAQAPSSNSSSTFFGAVVAIDDPLTSGPTRAAGTEVGRAQGTYTFADQKTFGLLMVMNFVFTAGEYNGSSLSILGRNEVINDVREMSIVGGSGKFRMARGYVQAHTIDSGATSGETVVQYTVNVKA >KQK94054 pep chromosome:Setaria_italica_v2.0:VIII:8246471:8246884:-1 gene:SETIT_027041mg transcript:KQK94054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKIAPLLVVLLFLPIHHVIGSCTTAQKDAILEHCEEYIKLPYYPVIIPSYIGVCCDKVRDVPGRDMECIVSLLTHAEKARHSEKKIKGLRPFCPYHSPPPPRRQSR >KQK94055 pep chromosome:Setaria_italica_v2.0:VIII:8246339:8246906:-1 gene:SETIT_027041mg transcript:KQK94055 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRGKIAPLLVVLLFLPIHHVIGSCTTAQKDAILEHCEEYIKLPYYPVIIPSYIGVCCDKVRDVPGRDMECIVSLLTHAEKARHSEKKIKGLRPFCPYHSPPPPRRQVMVQQC >KQK94559 pep chromosome:Setaria_italica_v2.0:VIII:21902511:21904110:1 gene:SETIT_027737mg transcript:KQK94559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKMKLANTELHLGHGTWWSASPRNGDCHGQNGSNDAQPDTAEFIAQAAGSSTFIGLLIVLFAGFCFFIYSPALNHATNDQWHALKKGVPHLVVYTAFFYFYLSGFLIAICVNIWLLYRPIAGVPSSTIGAYFRDWNGRQWGLRSGLLCGFANGFQFMGGQAAGFATADAILASPLICTIWDIVLFGEYRRSSKKTYFLLGTMLSMFIIAIAVLLASGGHRKTA >KQK93848 pep chromosome:Setaria_italica_v2.0:VIII:5059818:5060780:1 gene:SETIT_026907mg transcript:KQK93848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKKAVALLLFLVLVVAAEAHHPEAHDGGRHKDAAAAPTHLHFYFHDTVSGKSPTAVRVLDPPSSSSPMTMFGMVNVMDDPLTEGPEQESNPVGRAQGLYMGSDQAKLGFLQAMNLVFTSGDFNGSTLALLGRNCPLDAVRELPVVGGTGAFRFARGYAQLRTHWLDFRTGDATVEYDVYVMH >KQK95359 pep chromosome:Setaria_italica_v2.0:VIII:33755275:33755889:-1 gene:SETIT_028022mg transcript:KQK95359 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKIFAFLALLALSVSAATAVLVPQCSVAAAAATIPQYLSPYTALGLQQALAASILPSSAMFLPQQSALLQQQSLSHLTVQSITAQQQRILSPLNQLALANPAAYLQQQTLLPFNQLALANPAAFLQQQQLLPFNQLAAVNPAAILQQQLSPLNPLALANPAAFWQQQQLVNQLALTSPAAFLQQPIVGSALF >KQK94474 pep chromosome:Setaria_italica_v2.0:VIII:18682142:18685042:-1 gene:SETIT_027549mg transcript:KQK94474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VFETMEGVHEEQHQAHVLGKKGLRLIPVIIANEVSERIVSASVGANLMIYLTTKYHLGAASSAIIIFVYIAAANFLPVCGAIVSDALLGRCLMVTVTLFSCTIGTTLLCLTSVIPRLTPPDCTTPNQGCTSSTPLQLFVLCASLGFMALGASGVRPCCLAFAEDQIAHWDTARKDRALRVLFSWYYVSVGFSQIVAVTVLVYFQDKMGWKVGFMVPAAIMTSVTLLNLAVSPFYVKVKPQTSMWAGLLKVALAAVNNRDLELPEANHHGVQFHSLPGSTQVVPSEKMRFLNKACLVRTRAGSSTNNEGSDSTCPRCTCTVEQVENLKSALSVMPMWSAMVMSFLLQSSSFGVLQAATTDRRIGTTRFQIPAGSISIFEITTFTVWSGCYDSYVLPLLRRVTGRQRVLTLKQRMGIGLFLAVVSMAVASAVEARRREAATRQGALRMSALWLAPQYVLMGLAGAFGAIAQIEFYYAVLPKSMGSFVLALLFFGGGVASIMGTVIIKLVNVVTGGGGAVPWISDDLDRGRYDCYYRLLAVLGAIDFVYFVVCAYVFNETAQNMSLEVDADDEAEEAVESTG >KQK93219 pep chromosome:Setaria_italica_v2.0:VIII:29267:33642:1 gene:SETIT_028331mg transcript:KQK93219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VVARAAVRIEFTLYSTGPSTAKELKHKGRVERGGAKKITSTSRRFKLQTLLHSSGSDGPKRSVPKGISRSIKSMWKFQASRAALVDFEKKLCDIEEQRFGTLPDREISSIPFEEKPFGDEVACDHEICRFSVVSIALFDGENMLFACSGIALPRKPAPLDLTRFVTSAYLVRVFNERRNRDDKLRVQVRLPDGTTTDGLLGLYDHDIAIVTSIGRLDVCPVDLNIADCPDGLHHARAVGRAFESGRLMAMPVSLSDRIVVSDRLSVSDKFFVSDSQGQGYTEAALGGPLVGHDCIFQGMVIDLVEYGGAACIIEREFLCTRLELLLACEYVFGSSSICELYLPHPKKLRSLDYSLPSGVSSIIPSGFMKTIYRLRSFGYPIPPPLVLELNGRLLNRFEERFGVLRAWKGYPFGAPHQQVLERVWDELEGGVVANISRRVVALASFNGYVRSFACTGLLIKWCGSRATHTVVLTSASLVRSRLNEDDIDENLRIEVFLLPNQRCDGTLELYDLHYNIAIVSIKKGFNSIRPEDIFNKGKQKFYKKVVAVGRDTIHGLLMGTIGEVKFSNKDCKLNCKDLHWSTCKIKKVGIGGPLIDFDGSFVGMNFYDGSSATPFLPRSKVVHALRSVYNSILPSERGCNPVGRGRKTQVSKKRGRKTNRWPVPKPYWFHDGLDVDMHAVHKHIGRTFL >KQK93554 pep chromosome:Setaria_italica_v2.0:VIII:1897936:1899849:-1 gene:SETIT_027424mg transcript:KQK93554 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KKKSVESSGVPDCFLLPCQTVYARRPSIRAIAIAIAGRFSSGSIGLDDAVKLFDELLPLARPASVRAFNQLLTVVSRAKGRGSSTSALVVSLFNRMARASPTKEAPDLRTYSKIIGSFCSMGHLDFAFAGFGLILKKGFRVDAIVINGLCDAKRMAGAVERAEGVLQEMIHKGVKPDNRTYNCLINGYYTKGQWKEVVRMLKEMSTWGQRPDVVTYGLLLDYLCKNRNSTEARNIFDSMIEKGIKPDVTTYNVLLNGYASKGDLADMRDLLDLMVVDVFCEYAKGGMIYEAMHIFDQMRQQGLSPNVVNYGALIDALCKLGRVDEAMLRCNQMINEGVTPNIIFFTSLVYGLCTVDKWEKADELFSEMLNQAIHPNATFFNTIMRNLCNEGRVMEAQSLVDLMVRLGVRPDVISYNTLIDGYCLAGRMEEAMKLLDVMVSAGLEPDVVAYNTLLHGYCRAGRIYDAFRLFRQMLSNAVTPGVATYNTILHGLFQYGRFSEAKELYLNMIKSGMQLDIYTCNIMLNGLCKNNFLDDAFEMFQSLCSMDSQLDIITFNIMIDALLKSGRKEDAMGMLTAISAHGLVPDVVTYRLVTENLIKEGLLEEFDYLFLTMEKS >KQK94222 pep chromosome:Setaria_italica_v2.0:VIII:11044497:11045764:-1 gene:SETIT_026510mg transcript:KQK94222 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMGFERSWYSFVLLASLVLATAELATAQTSPNRTVVEEVTGWLRIYSDGTVERLTPPGAEAFTAIVPPYKNPRGGVTVHDITTDRGIDVRLYLPAAAAAKAPHRRRRPVLLHLHGGGFCVTRPSWAIYHNFYAPLAAELDVAGIVSVYLPLAPEHRLPAAIDAGHAALLWFRDVARSRNVYGAAHSALVRHFRRTADFSRVFLIGDSSGGNLVHLVAARAGEDKPGVLHPVRLAGGVLLHPGFAREQKSRSELENPPSMFLAPEMIEKLLALGLPMGVNRDSPYTSPELATKAVAHVRMPPLLLMAAEKDLLHDPQVDYGKAMEHAGKKVTTVVSRGDVAHVFYLNFFAVKTDQLTANRTKELVHTIKCFIDQH >KQK94540 pep chromosome:Setaria_italica_v2.0:VIII:21479083:21483565:1 gene:SETIT_026132mg transcript:KQK94540 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVTTVVPADRPKHLSKPVNNENPNPSPKILIPRVLTDVSKDGGGTHGTLKSQSARVRVRVSISLALAPTPTTTNLPHVCTRALLPSTTAIYPRTPSSLHRSPLRPTFLGLRPRSLPAATVPVSPSPAAAMDPVATWGLTPLAGADPEIYDLLEREKRRQRRGIELIASENFTSFAVMEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRSRALAAFRLDPASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVSATTGYIDYEKLEEKALDFRPKLIICGGSAYPRDWDYARLRAVADKVGALLLCDMAHISGLVAAQEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCHITLNKNAVFGDSSALSPGGVRIGAPAMTSRGLVEKDFEQIGEFLHRAVTICLNIQKEHGKLLKDFNKGLVNNKDIENLKAEVEKFADSFDMPGFTLESMKYKE >KQK94539 pep chromosome:Setaria_italica_v2.0:VIII:21479083:21483565:1 gene:SETIT_026132mg transcript:KQK94539 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPVTTVVPADRPKHLSKPVNNENPNPSPKILIPRVLTDVSKDGGGTHGTLKSQSARVRVRVSISLALAPTPTTTNLPHVCTRALLPSTTAIYPRTPSSLHRSPLRPTFLGLRPRSLPAATVPVSPSPAAAMDPVATWGLTPLAGADPEIYDLLEREKRRQRRGIELIASENFTSFAVMEALGSALTNKYSEGMPGARYYGGNDVIDEIENLCRSRALAAFRLDPASWGVNVQPYSGSPANFAAYTALLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKEAANPFEYCDVVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEGAVYDYEDKINFAVFPSLQGGPHNHQIAALAVALQQTMTPGFKAYAKQVKANAVAIGNYLMSKGYKMVTDGTENHLVLWDLRPLGLTGNKVEKLCDLCHITLNKNAVFGDSSALSPGGVRIGAPAMTSRGLVEKDFEQIGEFLHRAVTICLNIQKEHGKLLKDFNKGLVNNKDIENLKAEVEKFADSFDMPGFTLESMKYKE >KQK93726 pep chromosome:Setaria_italica_v2.0:VIII:3609982:3611778:-1 gene:SETIT_028375mg transcript:KQK93726 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DVATQATPCPCVHGRAAAGGNDDAGAAALPLPSDLVLEIVARSDAATLVRCAACCKPLRRDILSPAFIRRVCRGPGAVVPPRLLGFLQSLIMPAASQTSSPPASFSLAHPATPAAACLSEKHLAPFLPGAGGGGLLARYDPVTSRGGLVVLRRHHYADESGICVYDPMTGGRTFLPDPPEMAKFSSLSCYFAYVLLTASDGIGCSFLLLAADLSPSSRSVEVRTAAVVIGGAVHWAIRGGDGDLYHILTYNVRTATAGSIKLPMNRLPKSYDDMNSYDANLRLASSPDGRLTMLVRDKLRIFVWLLSAGAGWARHAVIDTEAALLRFLMPLPPKPWLQHQQTIQLMSSWERSGMVLFDLVDGEDDLIALDVETKEMHRVNGRRLMPFMPFEARLRRRPAKDAGGGGAAQARGTAAVSSGHGTAWGQEVVAGSAARRGRAGA >KQK95835 pep chromosome:Setaria_italica_v2.0:VIII:38763106:38766087:-1 gene:SETIT_027847mg transcript:KQK95835 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PRCARLPSLGQLANLEELHISDMPNIREVATSFYGGRNPFRKLRELCINKMENLEVLSTNLELRCKEMKYFHVDPRKVELSPVILVGSSHLFTLELEPNMFGFSDASEFLQYVSTDLCCCNFAALPDWLGDLASLEHLEVYSAKLQRLPHSIKDVTSLKTLTLKKCNYKLRECCSRLGEDYDKIKPIKHVYAHELIELNIKHLEGLSSSEANIIELAQKEELQFLSLEWSGPVFNEVALEELQPHENLKRLCIKNYVGGDFPNWLRLLPNLVRLELFDVQSGHLHLDYLQSLEDLYISSFPVINRPNDLNLYDKSSICILSTQPVKNLRRVTLGRVGKLLWKTSTLPCIEQKDDKNVFQREQQGGRHSDSGRESSNQRTLFPGLQYLEIDCCLNVRFEPSIPWSARYIISGIKQFPFLFHWPSFYQVMGLSTSALSSKMEIKYIENISSDSDSLQQLDIEELTVDSCIDPVPLPKCILGWKSLRKLEILNCQNMETLPEWLGDMASLRELKVETYWMKTLPPCIERLTSLHTLTLSQCTKGFKQRCSESGEDWSKIELIENVQVELRP >KQK94332 pep chromosome:Setaria_italica_v2.0:VIII:13253063:13253913:1 gene:SETIT_028030mg transcript:KQK94332 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQHIHPIVHSEPRFLLDAVYIPPSGQFLE >KQK94351 pep chromosome:Setaria_italica_v2.0:VIII:13581295:13582381:-1 gene:SETIT_027225mg transcript:KQK94351 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQHIHPIVHSEPRFLLDAHYILPSGQFLVSSSVPMTLTSHLWQSGMKTTILQNLQHL >KQK95829 pep chromosome:Setaria_italica_v2.0:VIII:38658409:38658735:1 gene:SETIT_028238mg transcript:KQK95829 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAVGDPSLLSGLHHLPQDATRSATLPDGSQLPIIGVGTIQMNGFNIPDVYLVDGVTVNLISVGRLATNHNISCCFYSNRCRLVMLGDGTRVGEAVLDDDGVYGLRFLQ >KQK94962 pep chromosome:Setaria_italica_v2.0:VIII:29158931:29160483:-1 gene:SETIT_026309mg transcript:KQK94962 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDGPPHVAMLATPGMGHLIPLAELAKRLAARHGATATLLTFASTASATQRAFLASLPPCVSNETLPPVDLSDLPHDALIETLMSEECARSLPALSNILAEMRRTTRLMAFVTDPFGIDTFDIARDAGMVGRRCLFFPGSLHALTLMLHLPELVVSVPGEFRDLAEPVRLPGCVPIPGPDVISPLQDRSSPAYSLMVHLAERFLEADAILVNSFDAAEPEVAAVLRQPKPGRPPVYHIGPLILTSSDNITSHASDTAPQPPRAACLEWLDRQPARSVIFVSFGSGGTLPAEQMRELALGLELSGQHFLWVVRSPSDDGSLSGNYYDSESKKDPFAYLPEGFVERTKDVGLLLPSWAPQIEVLVHEATGGFLTHCGWNSTLESLVHGVPMVAWPLYAEQRLNAVMLSEGVGAAIRLPEMKEKETIAVAVRELMAGEGKGAAVRAKVAELKKAAAEGLREGGAATTALDEVVKNWVGEN >KQK94613 pep chromosome:Setaria_italica_v2.0:VIII:23511845:23513415:1 gene:SETIT_028311mg transcript:KQK94613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VRKVTYRPPGTEHNLLNEINLSLREKSFGLIFGRSGSGKTTLLQLLAGLSEPTSGSICIQKYDDSGNPIGLSEMLTSQRVGIVFQFPERYFLADTVLEEVTFGWPRQKADLLFKEQLAKNLQNALNLVGLTTISLDEDPQSLSGGFKRRLALAIQLVQTPDLLLLDEPLAGLDWKARADVVNLLKDLKKHHTILVVSHDLRELYPLVDRSWRMQMGGSLKEESLPV >KQK94308 pep chromosome:Setaria_italica_v2.0:VIII:12944998:12948019:-1 gene:SETIT_027799mg transcript:KQK94308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIQGTATWSHPTMSPCPTPLLSPASAVNLTQLAMVTPFQPVSPGTMATPDLERTYLHLIPSKMCVSFSRIPCCRTQLCQTRSYILIAEMKPKNFTNSMWAKCDASHNTTVNVLAELYGGRQNFTFIEKDFRNRKAATATEERENDIPMLLEFFKEMKAQNEYFYYEVQDEQANTFEWLFGVFQNCMSGSDDPRCILTGEECTIHEIWESRKSWVASYLKPLYYGRMTSTQRSESIDVYLVTHMDQSWEYAWFQHFFSMEAHYIMKRYMRGARMMVMWDRYDIVTSGPGCESDQYKTKKLCAPREARTKGRKRGGKQVVNDHASSSKALGQRTCRYCGSLGHYSTGCDVNPDNANKKRGASGSLRGKM >KQK95522 pep chromosome:Setaria_italica_v2.0:VIII:35305495:35307104:-1 gene:SETIT_027428mg transcript:KQK95522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEQTMVLIVGAGPAGLATAACLTQLSIPYVIVEREYCSASLWRNRAYDRLKLHLAKEFCELPHMSYPADAPTYIPKDQFVKYLDSYIECFNIRPKYHTAIESCTYDEARRCWLGVAHDMKTSVSVRYMARFLVVASGENSAENIPVIPGLHCFTGETIHSSRYKSGATYSGKNVLVVGCGNSGMEIAYDLASHGANTSIVIRSPVHVTTKEIIRLGMTLVQHTPVNVVDDLLVRLSNYVFGDLSRHGIVRPKMGPLLLKEKIGRSAVIDVGTVGLIKKGTIKVLGNISKIKGKIVEFEDRNEDTFDAIVFATGYKSTANTWLKNGESMLNNDGLPKKEFPNHWKGENGLYCAGLAKRGLAGIAMDAKNIANDILSCLASMHDI >KQK95929 pep chromosome:Setaria_italica_v2.0:VIII:39697051:39700522:1 gene:SETIT_026415mg transcript:KQK95929 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARKKIREYDSKRLLKENLKRLAGIDLTILSAQITQSTDFAELLSQQPWLSTTKLVVKPDMLFGKRGKSGLVALNLDFDQVKEFVKERLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGSTISFSECGGIEIEENWDKVKTVFLPTEKPMTQDACAPLIATLPLEARGKIGDFIKGVFAVFQDLDFSFLEMNPFTMVNGEPYPLDMRGELDDTAAFKNFKKWGAIEFPLPFGRVLSATESFIHELDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLHYARVVLDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKASRMHIYVRRGGPNYQTGLAKMRKLGAELGVPIEVYGPEATMTGICKQAIECVMAAA >KQK94512 pep chromosome:Setaria_italica_v2.0:VIII:20163706:20165194:1 gene:SETIT_028554mg transcript:KQK94512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQEEGRGSQLHRVLLSKQNWYGGFPSEVKRLKAKGLLLGAILDLFSGS >KQK94260 pep chromosome:Setaria_italica_v2.0:VIII:12013994:12014819:1 gene:SETIT_026978mg transcript:KQK94260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPYFRGTVSPLIDEHYECIGLGTRISKLRESMCNLHSLQMKLKVPEDEPLQTNIRASLLWSEKENYEEYNESFIPGFPERLSFAAYQTVSGMSDAELLTLQKYKIQAMDSTDTRERLNNAIEYVEHNVGMIAARLAIQNI >KQK95552 pep chromosome:Setaria_italica_v2.0:VIII:35557877:35558268:1 gene:SETIT_028452mg transcript:KQK95552 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELADLDHLTGHQVPDTATPTAKRSAGGGRVRACPCRVSSMSPFPLPAVLDGGAGSAAA >KQK94745 pep chromosome:Setaria_italica_v2.0:VIII:26223073:26223464:1 gene:SETIT_028416mg transcript:KQK94745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLYRIHEPRKSLHVFSVIYILSLLYLKLQR >KQK95432 pep chromosome:Setaria_italica_v2.0:VIII:34406667:34407737:1 gene:SETIT_028277mg transcript:KQK95432 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SGSMSTVVADMATGWHVLRIRCYSAIKAAGNNKYIRSGNFNIGGHSWYITYYPSGYDDDSVGFMSLFLYLDEAATDHAVVNARLKFSLLDHQGKPDSAYSKDHGQIMSFKSAPAPVGWGYTRFLKTDAVWEGSKHFKHDRFIIRCDVTVFLAIRTEAATRQAVAVPPSDLHKALAELLSKGEGADVTFDVDGELFPAHRNVLGARSSVFKAELFGSMKEKMAAPVVIRDMESYVFKALLHFIYTDSLPAMEAGEEIVMAQHLLVAADRYDLKRLKSICENKLCGRVTKRTAMTTLVLAEQHGCRGLKEACFAYLLSLGSLKAVMDTDGYDHLRSSCPSLHDELIAKLDVSKRTKKD >KQK95618 pep chromosome:Setaria_italica_v2.0:VIII:36576251:36579119:1 gene:SETIT_027394mg transcript:KQK95618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFRSSPAASAAIAIAVVFFLSAKAPPSANAAVVEHTFVVSQVKMTHLCNETLVTVVNGQFPGPAIEVTEGDSVAVHVVNKSPHNLTIHWHGVKQRMNCWADGVPMITQCPILPNQSFTYRFNVAGQEGTLWWHAHVSFLRATLHGALVIRPRRGASSYPFPKPYMEIPIIIGEWWQMDLFKADWGIKQHVIDAYYTASTINGKLGDIYNCSGAVEDGYKLDVEPGKTYLLRVINAALFAEYYLKIAGHKFTIVAADANYVTPYTTDVIAIAPGETVDALVVADAAPGRYYMVALPNQSPKPDPQSPVLITRGIVQYSNKQKPGNGVEHPSIDIPVSPEMPDQHDLMPSFYFHGNLTSLVHHPQRPRVPTRVDERLFITLGLGSICRQGHSSCERSESPDSLIVATMNNVSFQQPALEMPLLEMHYYNPDRVATMLQELPKEPPMVYNYTDPALIPLGPKEAKLEPSSEATVARRFRQGSVVEVVFQGTALLQSESNPMHLHGHDVFVLAQGEGTYDAGRDAARYNLVNPPIKNTVHVPRLGWVALRFVADNPGIWYMHCHFGFHLSMGMLALFIVEDGTTVGTSLPAPPADFPTCGHDHKLMPTELYPI >KQK95763 pep chromosome:Setaria_italica_v2.0:VIII:37894048:37895070:1 gene:SETIT_028184mg transcript:KQK95763 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVGQVATVAQLVGLDAYSLITMIADAARTVRRNRATCRQLARRVEMIGGLLRRLQDAHPMRAPETRAPVEELEETLRRAYLLVRSCQRRGYAYRCFMGARHADELREVQGEIGFYLQLFPLVSYVDATLNWVRLLDKAGENSSCQEAPVVRPCLVPFLFLSCDGCCLAIVQGVCLGNWHSTDTVYTSSSNFF >KQK95590 pep chromosome:Setaria_italica_v2.0:VIII:35927603:35928719:-1 gene:SETIT_027963mg transcript:KQK95590 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVANNSNSVQHTGRAPASPLVTLSACLVLLSAGGSLVIRVYFVHGGPHLWVSTMMQVGGWPLLLPIWASLLLLRDGGGAGISVHLRSPHRAGFLAVFGACFAIACFAYSLGSQSLPLSTSAVLQATQLAFNAIFAFLFAGLRFTPFSVNAVVLLSMGPAVLGAQARGRNWAGFVECLTSAAVLGLVLPLVQVTMSRGHGRRSGAAETAPPPPPSFAMVVQMQVLMGAAATAVCLVGMAVSKTFQAVPEEAGEFGLGETGYYLLLVVGAISWQLSNLGVMALIVCSSSLLAGIMIALFLPLSDVLAVIFLHEKMDGLKAIALVVSLWGFVSYLYGESLEKKMEAGEKEAESICSPLIVPCDF >KQK95051 pep chromosome:Setaria_italica_v2.0:VIII:30333082:30335599:1 gene:SETIT_028006mg transcript:KQK95051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARLSSIFRTTASSASPPRAPHLALAAATERVRSGTFGPEDARHLLDELRRRGTPVPERALNGFLAALARAPPSTACSDGPALAVTFFNGMSRAAGPKVLSLSSHTYGILMGCCTRARRPDLAPAFFGQLLRTGLGVDVITFNNLLKGLCEAKRTDDALDALLHRMPELVCVPNVVSYNILLKSFCDNRESRRALELLRRMAEKGSGCSPDVVSYNTQGISPDLVTYNSSIDALCKARAMDKAEAVLRQMVRKGVRPDNWSYTNLIFAYSARGEWNEAVRIFKEMTSRGLLPNIATWNSLMASLCKHGKIKEARDVFDSIATKGQEPDTVSYLILLDGYATEGCFVDMTDLFNLMLGDGVAPDVRIFNVLIKGYAKCGMLDRAMIIFSEMRHQGAKPDVVTYLTVIAAHCRMAKMDDAMEIFNEMIDQGVAPSIATYQCLIQGFCTHGGLLKAKELVFEMTSKGMRPDIVHLTSIINSLCKEGRVVDAQDIFDLLVSIGLHPDVFVYSSLMDGYCLVGKMEKALRVFDAMVSAGIEPNVVVYGALVNGYCKLGRIDDGLTVFREMVHKGIKPSTFMYNIVLDGLFRAGRTVSAKERFHEMIESGISVGTDTYNTVLSGLCKNNCPDEAIALFKKLQAMNVKINIVTINIMIIVMFKTRRTEGAKDLFASIPASGLVPSVETYDLMMTNLIKEGLPEEADDVFLSMENAGFDPNSRLLNHVVRALLEKHEIVRAGTYLSKIDERNFSLEDSTTMLLELNSQSVVLFS >KQK95823 pep chromosome:Setaria_italica_v2.0:VIII:38559267:38561112:1 gene:SETIT_026630mg transcript:KQK95823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVASTPAYHQQEFPRQISAMDAVCSLLARHVEACLKGFEDTETRKYISSKGKAKKKRSPYFPASPDEYAWVPAVKSSEFIRTGTVKKRMDPSLLKRKVVADKMDTSLWFEYMPKGNLDELKWKTRLNIIKGVRKALAYLHEEFCHIDLKPANVLLDENMVPKIADEKHGSGIPPSCFGEEAVCSKISEMSPEEFFEIVYENWRNRWKFESSSKQIKRLNQIVLSCLETRKSTKATSSLVSSTQRAVHDILILDSRAAPNMDSVDLVLDSNGLHRLHQHLFRNNLTDEQSVKPRSNRAVATNSSDETTRAPMANKLTDDEAENHR >KQK94593 pep chromosome:Setaria_italica_v2.0:VIII:23053310:23054713:1 gene:SETIT_028328mg transcript:KQK94593 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAPDDDAAGGSSSRFRLRVVDTALVTPAGPALPPLSLPLTFFDVKWLHLPPVERVFFYRLSSQEAANADTILATFKDSLSQALRVFYPLAGHIVLPVASRHELVYRPGDAVPFTTAEYDLDMDDLVSYDDPVSVRRLAPLVPQLPKGRAVLAVQATLLLRRQSLALGVTVHHSACDGASSTHFLHTWAAAARAGAPPPPPPVIVDRIPDSRGLYDIYLKSLPPIISDDAFEFVSKPPSSFEDRLLATFTLPRSLQESIKGVVASEAARRGITPPRCSSMLATYAFIWSCYCRSRSGSGSKTTSYFLFSVDHRARLMKSAVPATYFGNCLCPAIATAPEEEVAAAGIAGLLAACAALAAALEEEVREGAQDTWHACVDRVKQAVASGSLLSVAGSPRFRVYDVDFGLGRPAKVEMVSAAKSGAMPISEARVGGGVEVGVSLTAADGAMERFQKSFADGIACLSLCD >KQK94811 pep chromosome:Setaria_italica_v2.0:VIII:27415988:27417973:1 gene:SETIT_026716mg transcript:KQK94811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYSRPTATTGLPGLVGGVMESWRPTVALWRRCACTVGRSNPTAGLRAEATTARRPYRDWHVLVPCRLPAASSPGGRPRRRVPGPPQASALVASHPSVPSSQANHTLAQTRTRAAMSMASRAASGLRAAALQSYRRPAVLQSRSAATATAAHPGGAKAGSKLPRGDYVPVYVALGLIALSVTLGLSTARQQLAHAPNVRVDKKKRETVPEVAAPDLALDEGERFVGNSLFRKVAHVQDDRSLAAGVADPVAEYPTKKAVTLKDVGVETPGIEQSREGIIDRIFKKNHA >KQK95374 pep chromosome:Setaria_italica_v2.0:VIII:33899325:33899837:-1 gene:SETIT_027084mg transcript:KQK95374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MISLFLQSPLSVTVSFLFLLFNLKKKEFLLLVCYLQEFNQNERGSTASIHCVRRFTSVVLDKDHEKITYRESIKTAEQAEVPDTTAICPRRPLSYLE >KQK93735 pep chromosome:Setaria_italica_v2.0:VIII:3671087:3676266:1 gene:SETIT_026738mg transcript:KQK93735 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYHRVEKPRNDTPISQNEIRITTQGRMRNYISYGMSLLEENGHDEISIKAMGRAINKTVMVVELIKRRVGGLHQNTVTESVDITDTWEPLEEGLLPLETTRHVSMITVTLSKKPLDTSSPGYQPPIPAEEVKPAFDYDHEESYPPGRGRGRGGGRRGRGRGMLSNGPPPPAYGYNEEWEEEGDYYNRGRGRGRSRGRGGRGRGYYGGGRRGGYGYDYGYGGRGGYYEEQDEYYDEPEEYGPPPGRGRGRGRRGAAPWRGRGGPGRGPPRGGRGGYY >KQK95256 pep chromosome:Setaria_italica_v2.0:VIII:32711730:32715713:1 gene:SETIT_026042mg transcript:KQK95256 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMDILSCKLRSLRLNSALLPSPPSPSRSVPISRRLRRRRHAPLHCRLTTSSSPSTSTTTTTTEEAKNQDLSSLLSSSSDPSAAGAAKKKRSGGSSGASSIPSGVRLEGISKSYKGVTVLKDVSWEVQRGEKVGLVGVNGAGKTTQLRIIAGLEEPDGGTVVKAKENMKIAFLSQEFEVSASRTVREEFFSAFEEEMEVKRRLEQVQAALEGATEDMDLMGRLLDELDLLQRRSKDVDLDMVDVKVQKLMPELGFASEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHVDLDTIEWLESYLKTQDVPMVIISHDRAFLDQLCTKIVETEFGVSKTYKGNYSEYILAKAIAVEAQYAAWEKQQKEIEQTKELINRLGAGVNAGRASSEQKKLEKLEKEGLIEKPFQRKQLKIRFPERGRSGRTVLTIKNLQFGFEDKTLFNNANLIVERGEKIAIIGPNGCGKSTLLKLILGMEKPQGGEVLLGDHNVLPNYFEQNQAEALDLEKTVLDTVADAAEDWKLDDIKGLLGRCNFRDDMLDRKVQYLSGGEKARLAFCKFLVTPSTLLILDEPTNHLDIPSKEMLEEAISEYTGTVITVSHDRYFVKQIVNRVIEVKDQTIQDYKGDYNYYLERNLEARERELAREEELEEKAPKVKAKSKMSKAEKEARKKQKVQAFQQSKQKSKSLKNSKRWK >KQK94375 pep chromosome:Setaria_italica_v2.0:VIII:13980557:13981357:1 gene:SETIT_027444mg transcript:KQK94375 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANASLQSLLLPQHHSFVNTGGSHESSPSALLKLSTNNSGSISFRLYSNTSPSVTTTSTANSSAPTPVTPAAAADSPPTPSIDLLGRQLAAGDYRQADETTRALLIELAGESARRRGYVFFSEVQFISAEDLQAIDKLWKEHSNGKFGYSVQRRLWEKSRRDFTRFFIKVGWMKKLDTEIEQYNYRAFPDEFMWEIKDDTPEGHLPLTNALRGTQLLGNILTHPAFEESQEDEATAESATSGQSTDDNKGKERPKFMRDFKPNYSF >KQK95223 pep chromosome:Setaria_italica_v2.0:VIII:32342679:32344454:1 gene:SETIT_027846mg transcript:KQK95223 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEILAGLLTSAVVGIAKDRLAAAIAEQANLLWNFGDDLKDMNSVLEAISAVLHDAERRSAKEKLVQLWLKRLKHAALDITDMLEDYQDTSDRLTAKKPAVLSCLPVAHKKIVVANRMKSMREVLRKINKDFRDFEFSNGGTCTSLEQHDDHRETSSRLPEEPIIGRNREKQEIINLLSAGTNNDETVIVSIHGLGGMGKSTLAQLIYNDAQFKKYDHRIWVYVSRDFNLKKIGNSIISLITIEAGQQNRDTLEAINQLVDNLLRGKKVLIVLDDLWEEKDTELGKLRSMLQVGKKGTTIDVIVTTRKEDIARKVSTCTPYKLEHLNDYTCWEIIKRYSRFEDQHYQERLEKIGLDIAKKCG >KQK94481 pep chromosome:Setaria_italica_v2.0:VIII:19129119:19129844:-1 gene:SETIT_027522mg transcript:KQK94481 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANRQQPSLETLPTKLLTVIAIHLATTSDQIMEDLGKLRVTCTVMRRVCGQRAIGRRVALLRCWEEMQWNQPGRYYSLIRLLLDVGNPEASLLTGILDFFRGYQPSLDQLSCVAAGGLNVAVYLYALMLYRNAGGSATADMAKMYIRRLEGEEGTAASGSISPKILHNFICRECREDVVYLVVRILWNNVALPPAPGRGEFPCDGGGCGFPNGCGEDTLFCSEDYRLRHELAAFERRIVD >KQK95388 pep chromosome:Setaria_italica_v2.0:VIII:34051840:34058171:1 gene:SETIT_025834mg transcript:KQK95388 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYAVGKVGSLISRSVYTVSGPFHPFGGAVDIVVVQQQDGSFKSSPWYVRFGKFQGVLKSREKVVNISVNGVEAGFHMYLDSNGEAYFLRNADPNGEEGEFVVSPASSGDEREAPIQEAQLRKSKSTSCDSSTMEADVGEGKMLARTTSRRTTILERMFGRKTVKNNAHAVDRCLQTTSVKEEVVEIHTRETSDFTDRIISTVHQPGSESLSNDLGTDKSIHESVDTQDKLPHNLEDVAGREIHKKEAFSNGIFEIHTVETDTTSGKSEVVSQFVTVDSYGANQNLTGANSPAYATTDEKHEVTLIPSAQDPVQEKVVILSSSETVEISQDAVQEKMVIFSSSETLESSYAVSNISDDKVRDASDISLADSIQREEHSGVSDGSQEQVFSEERLSACSGASSNKKDVIEVVVEEHGAFILEDPAPQTLQGNGPDMDISVDSVSLSHTDAVHDFACQHDVVCPDASSSVVETSTYVPDYDPEDVTNNVIVENQACNRELDVSVTQTSIIGDEPTECIAQSANFPNKMEIEEVEEGSPTATGSSNLVYGEVQSIKTEGETGRSSSVSGDEVGFVLEATAEPEEEAEARVSFSEYTEEIQFQFSDTENFADRKAMDDIVADKTAGEGEHEESDCDTEKQEEGDLDLANVLENCSDSLRPVTSPVSIPTCNLQSEDNTMEAKSLPNLRSHIHDLERSDSFQLSRSLQPNAENNGVDPVKSTDSSFLEQKSEVTGDSEENSSPPEVTSNVVPDDKHADNLKIDPFVPFAELSLCRHLLSEGMGEDAARSAFDSEKVTLEKFHTMKQSLMRNNKLVVRIAGRYFPWDAAAPIVLGLISFSEEQVFEPKGMIKVEQIETIAAPGGSWRIWPFSFRRTRTISAVQPVCESTVETSISTPVKESTPFSESDRERNKSRAKRIERKVRSLTPTSEELASLDLREGRNVVTFTFSTAIVGKQQVDCHIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGVDWSQNGVAHLFSAIKENGYQLLFLSARAISQAHITRQFLFNLKQDGKALPDGPVVISPDGLFPSLYREVIRRAPHEFKISCLEAIKDLFPPDSNPFYAGFGNRDTDELSYLKVGIPMGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPISSSSEQEDYNTWNYWKMPLPDVDL >KQK95910 pep chromosome:Setaria_italica_v2.0:VIII:39501184:39504618:1 gene:SETIT_026046mg transcript:KQK95910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGRRIQYQVAMTQLQVMWLLLLSPALVLSQVPAPVVSETLRRAPPPGCNTTTSFSPPRPYLGNVEVMDITVETGEMRVYSSVAHRCFNSSKESGHRPSQRYNFTGTPFLVKPGRNEFTGIGCYNLAVLRGKEDSSYFSACLTTCTSLDDASTGNSNGCAGLGCCQIQLPTELNMIRVQLPEQDDNTTWNFSPCSYALVAEKGWYKFSLNDLNGTGEMAYNVRVGHRSAPLVLDWAIDVSRDGACVSSNSYRVTVRDDQGYLCNCSKGYQGNPYVTDGCKNIDECESPELYPCPSGSNCKDTEGSYMCPCKFGLRGKDCRPIFPAPAAAVLATTVASLFLALLLWSIHKDRKRRIKTVFFDQNGGKILKGAAGINIFTEKQLIKFTNHYDTLIGRGAFGMVFMGTTDEEQRVAVKRSIIEDKELHGRGNLQHGEDIVNEITFQFRNSHPNLVRLVGCCLETNIPVLVFEFISNGNLYNLLHVSTHKVVPLRTRLKIAIGSAEALAYIHSHGDHDCVVHGDVKSANILLDDNLMPKVSDFGSSKLLSIDRYARAVAADMSYVDPVYMKTERFVKKSDVYSFGMVLLELITRKTVKYGKSKINSLPMDFVRCCKEMGSGREMYDIAISSHGDTQCHHCIDCLDKIGALAVRCLKEDVDERPTMAEVVDELKLASEAVEKCKHHVNLIAYDRSCYLEVC >KQK93264 pep chromosome:Setaria_italica_v2.0:VIII:253576:254997:-1 gene:SETIT_027290mg transcript:KQK93264 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAGREEAQGGLGWRVTVPEGASVTVEHEAAGRAAARAGACLLAFLPVWIIGVDDPRKAVHVLKVGLSLALVSIFYYTRLLYDGVGEAPMSSIMTVVAVFEYTVGGSVYKSFNRAVATASAGVLALGVHWVADKTGEFEPYILTGSLFLLAAAATFSRFIPTVEARFDYGVVTIFIMTYSQLVAVSGYRDDELQALVKQRISTVAIGILMCLVVAVFVCPVWAGQELHLLTTRNMEKLAAALEGCVEDYFAEGPAAQPQARSEGYRCVDCKSSEDEQANLVRWEPAHGRFGFRHPYEQYGKVGDAMRACACCVEALSSCASAEARASEHVKRLVRDACTRAGARCAHVLREASRSVATMTTSSRALSLAVADMNTAVHELQGDMRSLPSLVDDTMPVFTVGFLLVEIAVRVQGVVDAVNELATLAC >KQK94038 pep chromosome:Setaria_italica_v2.0:VIII:8089608:8091617:1 gene:SETIT_027483mg transcript:KQK94038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEGEDETSSSAAAASAAAGGPKDPRKIARKYQLDLCKRAVEENIIVYLGTGCGKTHIAVLLMNELGHLIRKPSHEVCVFLAPTIPLVRQQATVIADSTNFKVQCYHGSGKNLRDHQAWEKEMAEYEHCFIKMDSIVLVVFDECHHAQAQKRHLYAQIMKVIARMFNASTELPPVPVHH >KQK94100 pep chromosome:Setaria_italica_v2.0:VIII:8772553:8775252:-1 gene:SETIT_027395mg transcript:KQK94100 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEKKPYVIAIIVQVIYAGMFVISKAAFDQGMNTFVFIFYRQAAASLLLLPVAVFLERKNAPPISFKIILKLFLYAFMGNTFSLNLYNLSMKLTSATVASATLNSQPVVTFCLALLLRMEVVRLRSFSGVAKVTGVVLCLAGVLVIALYSGPGISPINHHRVFASHALGTRSRATWIKGTFLMVLANMIWSLWLVKQAAVLKEYPNKMLMTLSQSVFSTVQSFIVAVVAERDFSKWKLHLDISLLAIIYNGFMVNAVSFYLQAWCVEMKGPVFLTSWTPLSLILTIFCSSVLGETVHLGSIVGGILLVGGLYSMLWGKSKENKVLPCKVNTLGIGVQNEQEHQHMEQKKGTEEQQVEQV >KQK94414 pep chromosome:Setaria_italica_v2.0:VIII:15181533:15182517:1 gene:SETIT_026884mg transcript:KQK94414 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQPELSLGMSAPAAGFAVVKSPRSSSSDSDGSGGKKRKHFAWREEAMSHASGLELQLGDPLPPDWEQCLDLHSGRMYYLNRKTMKKSWVRPRSSNKEEQGALNLELNISTTPSIFDGKASSIGAAVADETRSPNGGGHMVAVPCANCHLLVMLCKSSPSCPNCKFVQPSVPAMPRTPPRRLDAVKPLETLSLLH >KQK94511 pep chromosome:Setaria_italica_v2.0:VIII:20112344:20112736:1 gene:SETIT_027239mg transcript:KQK94511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCVSVEFVRVPIPFSFFIQQRAVLCVFEKRSSQTDLLPKEIQGIKRKLVVKEFAKL >KQK94002 pep chromosome:Setaria_italica_v2.0:VIII:7387784:7388917:1 gene:SETIT_028080mg transcript:KQK94002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAKIARRGSPPATPASGGDDHVGALPDALLHHILSFLPAQQVVRTCVLARRWLDLWKSSSGLRVVGADGKEPVRFEDVRVFVDNLLLLRGCSPLETLELTFSGGSIDMLRVRLWIRHAMLCKVRVLLLKISGAPTWFQQGEPALVSEHLTRLVLRGIVFNDDDFLDFSRCPSLQDLNLMICSFENTRRISSKSLKHLVIMGASFSSRTRIDAPNLECLQLQVHWGRIPVLEKMPSLVLAIVNVDSSCLDTCSRANYGDCGDESCRGCIQDGSSSVLLQGLSETQALVLTATTRMYVIRRDLKRCPTFRQLKTLVLNEYWCVPDIHPLKCILEHSPVLEALCLLLFSQ >KQK95288 pep chromosome:Setaria_italica_v2.0:VIII:33045266:33047933:1 gene:SETIT_028297mg transcript:KQK95288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTRSNSLAEDPSSHDHPLPASSNNELPPQAAEAGGSRPQAGHPIVGIDRPTKKLLRWLSPLEGTGKRLRIMSIVGPTGMGKTTLALELRKWISCQASGGHHYFQFNVMAQASRRADRNELLLRDILSQISDPAPAGAPEPTQSMPLELLVPLVSQRLQDKRYFILIDDMYMRRESDWEKIKGAFPDYNVGSRILITTRFPSIAWLCCSDSGGLVHVMKPLNKMDSKMLLLLKAFGSVDVMLPENVKLYADKTLMRCEGIPLFLSGMAECLKQQLQQQQQQQDQQHQEEAEDEVHQRNGICSEEQVPQLPKQIEQALASTFDDIPYELRSLSLYMSMFPYGYKFDKDRPVMKWLCEDLTDDWDEWRNVEDADAEKYFFQLVDTNVITMMAASYKSNQDEAEASQWHVNHFMQQFLASKSAATGFAFTGATLNLEAASATGHGNKTRIRRRLAVHHSDPCLPSLFETIDLSQTRSLAVSGTISRIPFDKFNLVVLDLEGWENLEDDDLRQVCRSKMFFLQYLSVRNTQVKKLPDEIKELCSLMMLDLRGTQIRQLPKQIVGLRSTLRALLLGSEGMINPIAPAGVQHLHMLSTLATIDLSEQPASFVEALGAMENLRVLAITWSFHQSSDGTYREALRSCVRKWKWLKSLTIHCGLGCSMEFLGVLPDPPQNLEQFKVTAGRFVTVPKWIRNLQFLSSVQITVCRQDTDDLKILGHLINLECLELRLDFIPEEAIVIESAGSFPELQRFSVDCPVPWLTFRTGAMRKLAYLQLKFLSCPASSQTSVPSGIGSLQSLTEVALCYHERYSDSPNVKVIEKVVREAVADHDNQVDLFINGVQYYDVQAADEET >KQK95194 pep chromosome:Setaria_italica_v2.0:VIII:31815492:31815653:-1 gene:SETIT_027283mg transcript:KQK95194 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSQIKNAQQPVNLDELIQQFSHRKIGSSRQSFRKVHIGMRCITLQVKLFYFAA >KQK93658 pep chromosome:Setaria_italica_v2.0:VIII:2825565:2828285:1 gene:SETIT_025998mg transcript:KQK93658 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRLDEECSKQLFSFKACPNEYSCYKQPDSAAILKKCGGQPLALVTIGEFLQTQGWPKGPSCEDICSKLHYHLKNDRTFEAMRRVLIRNYTSLPNHALKACLLYFGMFPCDYQIKRKSLLRLWLAEGFVELQPSTSTPDPATAFDALKDRNIIEPIYVSNNETVKTCQTYGMMHEFITHMSISQKFVTLLCDEMNNDKYVRRLSVHKSTATDGNNSDCNSLSLVRSLTVFGKPSEAILDFSKYRLLRVLDLEKCDDLKDTHVKNICSLLLLKYLSLGKNITKLPKDISKLTVLETLDLRQSMVAEVRAEVFLMPCLLHLFGKFMVLDAVDFPSQGRSVLQTLAGLITDGRQGYFNFMDYMTKLRKVKVWCVSSAGASGNWTDLQKAIQKFIHDKNVANIGDRSLSLHFDKSFIDSLNSLKEPCYLSSLKLHGELDALPQFVISLRGLKELCLTSVKCTKGLLEALTLLSNLKYLKLIADDLEKFTIKDKAFPSLLCLCFVLQHPTHPTLPTIQEGSMPFLNTLQLLCKGLHGLCGIKIEYLKVLKEVILDDRVDSDTWGEWEEAAKEHPNKPKVLPSKIADTSKREPKAEISKRKPTEDSDVLVPTRIKFTENSVASVGSVQDTGSSRIVNQGYSVASMGSTQDTGSSMLVNQGLDSSAQPKIHNIRAVQASSDGGSYSSSNHMGVFEVPPMTNLSETASKAESEHAGNCVPVELTKVDSANNSVSPNETIEQTDTPIPVNQKLGSSYGPNSQNSFADIQNHAFKSMEVSEDSVSTELSITGHGMVPSHTLQGC >KQK93683 pep chromosome:Setaria_italica_v2.0:VIII:3033090:3033782:1 gene:SETIT_027517mg transcript:KQK93683 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHQRTSLPSKPFSTEAKGAKELQNFEACISSSCASIDTMCDGLKILGGIYTCIEEVISLPSNQTAVSMPQQRKMVKMIIQELQTCLEGGHDVSIQLKIVCFVHMKPEMAISLLESTSGLLPNNIVTTDASNWSFVSKRFRKGKRTMGDENGAEFLFRRLIQSRVYLLNILSASSF >KQK94450 pep chromosome:Setaria_italica_v2.0:VIII:17220839:17221555:-1 gene:SETIT_027628mg transcript:KQK94450 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAANKQQPSLQTHPTKLLTVIAIHLAATSDQPMEDLGRLRATCTVMRRVCGQCAVGRRVALLRCWEEMQWNQPGRYYSLLRLLVDVGNPEASLLTRIPDFFGGYQLSLDQLSRAAAGRLNVAAYLYTLMLYRNAGGVTADDMAKMYIRRLEGEEGMAATGSIGPKMLHNFVCRECCRTSCTSWCESCGTTLRCRRHCVTTNSPVMEAAPASLMAGEKIRCFAARIAGYAMSLLRLNGE >KQK94864 pep chromosome:Setaria_italica_v2.0:VIII:28077426:28078833:1 gene:SETIT_026397mg transcript:KQK94864 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARSCCASSSARKKQKPSPRRARDALVFAAGVAAAVLALLGPASVLAPGRGHLIASPVIPGPEDGPRTFYDDPELSYDAVDGRRMTGWDAKRAAWLRSRGLGGRRRSAPERVVMVSGSQPEPCAGDAGDDLMLRFLKNKLDYCRLHGIELLYNRDFLQPAMTYAWAKLPIVRAAMLAHPEAEWVWWVDSDAAFTDMDFYPPARHQRFFGKRSWLGLNTGVFLIRNCQWSLDLMDEWARMGPAYPEHERWGKVIMESLTEKGSPWSCDQSALVYLLLNNWERLGKKAYIETEYYLHGYWVDIMDRLDGVAERYEAVERSTPGLRRRHAEREHARYAAARNAAVGAAVPGPAGGGKWGWRRPFVTHFVGCQPCGGWRNPMYSKESCEGGMRRALGFADDQVLRAYGFRHAAPLNDTVQPLPFDYPAAHARSN >KQK94723 pep chromosome:Setaria_italica_v2.0:VIII:25755803:25756957:-1 gene:SETIT_026890mg transcript:KQK94723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFLNPNKNPLKRHTRAHHAQLKVGENVQELGSKQRTTLRWKLTRRRKEKIIESWQQQRRSQKVSETNKSADEFVDEVGGLLDGGDVLGVVLLDGDLELLLERHDDLHRVQRVGAQVRELGLGRQRRVRAQRQLLLHDVNHLVHRLLLRLHVHRHHYIVQSDSNGTSTGTIVPDFQTKSRDKRCAYAKVLGVTA >KQK95068 pep chromosome:Setaria_italica_v2.0:VIII:30440467:30446533:-1 gene:SETIT_025877mg transcript:KQK95068 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFSFAGSCIQKLQELIIEEAIQILGVKQELSDLQRTMTQIQCFLKDADRRRIEDLAVSNWIGELKDAMYDADDIIDMVRFKGSNLLGENSSSLSRKLITCNGFATFSCFLNLKTRHEIAVQIRGLNKRIEMIKELGTNFKFETEPIDRVLVSNMRKTSHLVEPNLVGKEIIHATNRLVGLVLEHKDKKAYKMAIVGTGGVGKTTLAQKIYNDQRVKGNFKKYAWICVSQQYSQVALLNEILRNVGVDKENCESIGELQAKLAEAIEGDSFFLVLDDLWESDVWTNLLRTPLNAAAQVTIVTTTRHDTVAKAIGVEHMHRVELMSVEVGWELLWKSMNISDEKEVHNVQDKGMEIVRKCGGLPLAIRTMASVLAVKETTESEWQKILDNDAWSISKLPAELRGALYLSYDQLPQNLKQCFLYCALYPEDWTLRCDDLVRLWIAEGFIEKQNNQLLEDTAEEYFCELVSRNLLLPDPRYAEPYRCTMHDLLRQLAQHLSREECFFGDPRSLDCRSISKLRRVSVVTCNDMISLPIVDRHQLKVRTSINFCGKSLVVESSIFKRFPYIRVLDLSGSSVENIPDYIGSLIHLRLLNLNDTSITCLPESIGSLKNLEVLELNKCDSLHSLPLAICRLFNLRSLGLKGSAINKVPKGIGGLKYLNNLDGFPICGRSDYSTAMQDGWNLEELGPLLQLRQLLITKLEASAVCSIDSLLTNKKHLKELYLYCTECAEEPYSEEVISNIEKVFDQLIPPKNLEALVLKRFCGRRFPTWLGAARHLPSLKYLILKHCKSYTQLPPIGHLPNLKFLRIQGATAVTKIGPEFIGLGVGNFGSPEAVAFPKLEILSIGYMPNWEEWTFVVEEEEATAAGKEGGEDGAAAKQKGEAPPPRMQLLPRLKGLDFYSCPKLRALPRQLGQEATSLKELRLKFMDNIKVVENFPFLSEELSVSYCECLERISNIPQMKLLRVGLCEALRCVEGLDKLHQLFLTEDMEDVSSQWLAGLQERHQQLHGEDLDVYPWEE >KQK94451 pep chromosome:Setaria_italica_v2.0:VIII:17326800:17327274:-1 gene:SETIT_028578mg transcript:KQK94451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVCDLLMYEWFKNKCNILLSPSTCWLCDALIYAMLISTITLVLV >KQK94387 pep chromosome:Setaria_italica_v2.0:VIII:14184901:14186519:-1 gene:SETIT_026603mg transcript:KQK94387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGQVPAASALQLSTFTKIKSIPVAVRLTAPGADQPVHIPVDVVVAIDTSMSTTFPGKEKMLELEKAAVELVAGKLGPSDRLAVVPFFNEVAKDPAAKEMERLLPMSDQNKEKIGALVKVRTYAPGHGTRFSTALDKAETILMERDDVSRAAFIIFLSDGGDDTILSEKEWTRGNSALKDPKYPVHTFGFTNHKADTLGHIAGKTNGTYFPGDIATRDDLGKFAAAFGGLVSRPFSAANARVELASVHPGVSISKIESGEKNASIRKDARSGYVDVGAINAGETTEFTVYMDLPEGEAPANVMEVLAVDGSYTQGWDGKPATLGRCVVVGGYKVLTE >KQK94981 pep chromosome:Setaria_italica_v2.0:VIII:29511146:29513929:1 gene:SETIT_027429mg transcript:KQK94981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVADTVRLVDTLERLGIDNPFVKEVEKAIDRVHDEELDFGSSNDLHVVALRFRLHRQHGFWVSADVFDKFRDDDTGSFNINLSNDLRGLLSLYNAAHMAVPGETILDDAIVFTRRHLEAAKGKLTSPIKEQVSHALDIPLPRFMRQLETMHYITEYEKEEPHDIMMLELAKINLNLLRSVHLKELKDLSLWWRDLYDSVKLTYCRDRIVESYFYSFGVFHGEEISAARIILTKVFGLLVLIDDTFDVRATFEESQMLDDALQRWDESMVSLLPEYLRMFYIKTLSNFNEIEDTLEPYEKYRMAYVKKEAKWFNENCTPSFKEHLDVSLMATGLPLLFFTALMSAGQVINNEAFEWALDMPDMFCANIEVGRFLNDIGSYKALYRQGKCEKDVASTVECYMREYGTTGEEAVAAIAGMVEHAWRRINKACMEVKPAVEPVVQCLLNTTRVLEAYYLHGRDGLTYGRDLKELITFLFLKDV >KQK94004 pep chromosome:Setaria_italica_v2.0:VIII:7398906:7400107:-1 gene:SETIT_028065mg transcript:KQK94004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRSRAKRAPRPGGQDLISTLPDGVLQHVFSFLPADEAVRTSVLAPRWLHLWRSMGRLRIVSAGRWRSVDDFNEFVDRLLLRREPNLVIFHRDLRWCPTFSKLKTLLLNEWCVAIDVHALVSILKHSPVLEKLILQLIKVFLSKMIYTKAIAHDELIAVNDFVIHY >KQK95069 pep chromosome:Setaria_italica_v2.0:VIII:30448128:30451802:-1 gene:SETIT_025876mg transcript:KQK95069 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILFSFAGSCIQKLQELIIEEAIQILGVKQELSDLQRTMIQIQCFLKDADRRRIEDLAVSNWIGELKDAMYDADDIIDMVRFKGSNLLGENSSSLSRKLITCNGFATFSCFSNLKTRHEIAVQIRGLTKRIEMIKELGTNFKFETEPIDRVLVSNMRKTSHLVEPNLVGKEIIHAMNRLVGLVLEHRDKKAYKMAIVGTGGIGKTTLAQKIYNDQRVKGNFKKYAWICVSQQYSQVALLKEILRNVGVDKENCESIGELQAKLAEAIEGDSFFLVLDDLWESDVWTNLLRTPLNAAAQVTIVTTTRHDTVAKAIGVEHMHRVELMSVEVGWELLWKSMNISDEKEVHNVQDKGMEIVRKCGGLPLAIRTMASVLAVKETTESEWQKILDNDAWSISKLPAELRGALYLSYDQLPQNLKQCFLYCALYPEDRTLRCDDLVRLWIAEGFIEKQNNQLLEDTAEEYFFELISRNLLLPDPRYAEPYRCKMHDLLRQLAQHLSREECFFGDPRSLDCRSISKLRRVSVVTCNDMISLPIVDRHQLKVRTSINFCGKSLVVESSIFKRFPYIRVLDLSGSSVENIPDYIGSLIHLRLLNLNDTSITCLPESIGSLKNLEVLELNKCDSLHSLPLAICRLFNLRSLGLKGSAINKVPKGIGGLKYLNNLDGFPICGRSDYSTAMQDGWNLEELGPLLQLRHLLITKLEASAVCSIDSLLTNKKHLKELDLRCTECAEEPYSEEVISNIEKVFDQLIPPKNLEALRFKRFCGRRFPTWLGAARHLPSLKYLILKNCKSYTQLPPIGHLPNLKFLRIQGATAVTKIGPEFIGLGVGNFGSPEAVAFPKLETLSIGYMPNWEEWTFVVEEEEATAAGKEGGEDGAAAKQKGEAPPPRMQLLPRLKGLDFYSCPKLRALPRQLGQEATSLKELRLKFMDNIKVVENFPFLSEELSVSYCECLERISNIPQMKLLRVGLCEALRCVEGLDKLHQLFLTEDMEDVSSQWLAGLQERHQQLHGEDLDVYPWEE >KQK94158 pep chromosome:Setaria_italica_v2.0:VIII:9975179:9977593:1 gene:SETIT_028047mg transcript:KQK94158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEIEEFGLEFERTFELNFSVGKEKRRKRETEEEACSTAAFLLAGQHDVAVPRPHPRKHTSKEEVAAMRASRAPAVPPSPVDSAAPPPLSSIPLVHSSAIISSTPHAPPFRESAPTSSSPPFFLSAGRVRAATTLFRSIPAPTPHSFNSLLAALLRRGRRGAASALLAAFLHSPDASPDVTSLNTLLHGLSTASPRPSSHTLLKLFRFLPETYAFAPDAMTYNSLLSALCRRGDLATALFDGMRVTEEDSKSGAFANVVTYTTMIRAYCAKGLANEALALFKVMVANGVPPDRVTFNTMVQGFCVAGKDGARRFEDAMKVFDQMTELHVRRDSASYSTVIRALCESGEFGRAEELVDEILEKKLLKKRGGSVPLIAAYNPVFVYFCENGKAKKARILFEQLLDRRSKVDFQAFKTLILGHCKEGNFEEAYELVLSMLKTDLIPDNECYIAVIDGFMQKRRMKSAWEALHRMLNSGLRPSTSTFHSVLLGLLKKDGCGKEAADLIEIMLERKIRQNVDLSTNLIDVLFKSHLNHRAYKITKRLYDNGYYIKMEKLIETLCEDKKFIDAAEFTLFSLQKHHELGVAFHSLVLYGLSMDGKASEAFRLFYELIENGSTSAVAAPRSVVLLHHALKEAGKMKEAF >KQK93778 pep chromosome:Setaria_italica_v2.0:VIII:4302144:4305551:1 gene:SETIT_028175mg transcript:KQK93778 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASICFVLVLLAISLSVLVTGQSTTPNINGSDTDLAALLAFKDQLSDPLGVLARSWTTNVSFCRWKGVSCSQPQQRVTALSLTSVPLQGELSPHLGNLSFLMQLDLTNTSLSGTIPANLGKASRKSDVFSYGIMLLEVFTGKRPTDPLFDGELSIRQWVHQAFPSELASVLDGQLLLEASSTSNLNGSLLPIFEMGLLCSSDSPDQRMSMSNVVAKLKKIKKDYTRTTSTTMQSAAQ >KQK95796 pep chromosome:Setaria_italica_v2.0:VIII:38163176:38163533:-1 gene:SETIT_027940mg transcript:KQK95796 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVDDGDGAYERIDHSPWNGCTLADFVMPFFLFIVGVAIAFALKIALRTLKMLFWGVLLQGNSF >KQK95240 pep chromosome:Setaria_italica_v2.0:VIII:32550562:32552068:1 gene:SETIT_026575mg transcript:KQK95240 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEATPDLLTPYKMGKFNLAHRVVLAPVTRCRSYENLAQPHNSLYYEQRAAPGVLLIAEASAVSETATGYPQVPGLWSQEQVEAWKPVVDAVHAKGALFFCQLWHTGRKPPTTEFGAPPRLEEEEIPQMVMDFRVAARNAIRAGFDGVEIHAANGFLVNQLFLFFVDIGRVNSQPLRLNRLVNDGLNDSSSLENRCSRLATDVVAAVVDEVGAHRVGVRLSPFAGYTDYTDADAEAQALHLVHFMDKLGVLYCHMVEPRKCVNGNRLSPFREAFKGTFIVNGGYDQEEGDRVVRDGYADLVSYGRLFLANPDLPERFRKKAGLNKYDRSTFYTSDPVVGYTDYPFLGQETQVA >KQK95406 pep chromosome:Setaria_italica_v2.0:VIII:34190440:34191571:-1 gene:SETIT_028145mg transcript:KQK95406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GPTQFEYSDLAAATDNFSDERKLGKGFFGVVYRGYLKKSGCEVAVKKILNKSHAMPEPDTKNFYDELNAITSVKHKNLVKLVGWCSGNSWNFVEFMCWCWKNKNNELFLVYELIVKDIAYALLYLHHECDPFILHRDIKPGNILLDDNFNAKLADFGLSRIVDSDSSKLLTVPIGTEAYLDPQCKKPFGKVEFSRSSDVYSFGIVLLDIACKKDMVRETVWKQYTNRSILQAADDKLQGKFHRSEMENVITLGLWCSYPDDSKKRPSMEQVMAVLEHGKSLPDLNSLENTSVSTQQEVYIDPQGPSSAGSSSYGQHA >KQK95405 pep chromosome:Setaria_italica_v2.0:VIII:34185963:34186622:1 gene:SETIT_027141mg transcript:KQK95405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQRKCSNRGVDIKRLLFIVRVDLLFELVLGATHQAYRFSVRQLQENTINFSRSNCNNANSFLSCGAMEETAIFMQILAA >KQK94557 pep chromosome:Setaria_italica_v2.0:VIII:21793927:21795421:-1 gene:SETIT_026355mg transcript:KQK94557 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPAWCFIISLIPLVEFLSITSASGLKSQQQCSDSGGMPAGVRAGYWSPFSSRYSPVSSIDTSLYTHLYYSSVSFDETSYAVAPPPTDESSLLAAFSSTVKSGSPSVKTILSIGTDEYKVDVSNAAFSRMASDKNLRGVFINSSVELARANGFDGIDMSWIFPATQMDMENLGVLLAEWRARIMEESATNSLSEPLLLTATLYFSNHLFGMPDSNLDYPIDDISNNLDWVNILTFGFHGGSNVTTVDAPLYDTTSHFSVSYGVISWLDAGLPPCKLVMGIPLFGRSWFLRNKAKNGIGSPTAAAGTKQRKSNQTGIIAYTEVEDYMNSASTVVTYDNQSVAEYFYNGDLWVSFDSAQVVQHKIEFAVRSQLLGYFLWTIGFDDSNSTISKQASESWHQYAQGGFGTMHAGGSNQYVAFNSSSVSFGSWHLKMLSYLLSSVLLLVTLFDSEYQ >KQK94902 pep chromosome:Setaria_italica_v2.0:VIII:28538241:28538689:1 gene:SETIT_027124mg transcript:KQK94902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIKSAITVFLVLAVVSNTSIWCIGATCSGCLTAKPKTKTPPCFQLGSDTHPCKLVECQNHCERQNYNRASAYCKGRIPDECCCM >KQK93225 pep chromosome:Setaria_italica_v2.0:VIII:54789:57209:-1 gene:SETIT_027820mg transcript:KQK93225 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LVVGRWRSSLASGLRAALACIIVGLVSLYAPPAVRRHITFPAFSYVVTVIIVTDATLGTALRGAVSALQATLMGAAPSVLALWLAHRTGAAESVLATSAVVALTTFAVALPESVDPVAKRIALGQIIIIYVARFHKGDHPTRAFAVLHPANVVACTALGVAAALLAVLLPWPRLATREATDKARAYRALAAERVRVMVDAAIIFIGGGEAAAACTRQRRWQMAACVSEANRLASASAALLRRMNAIKEDVQWERRAIAVDYDGVETPLTGMQMALSMMHHADVMAMRDHIRLALLTTPANKQTASFASKPPYLPLQTQQQQQDPCWLFLFSLYQLRGAAGGLLLASDNADANANKKIAPAAEQSSLDEQPADHGHQHKSRADEQEKTATKGNKKLVAAAKCGFSLGLAVLLGLLFNNDHGFWSGLIVATTISTSRDSTWAVAAARAHGTALGSVYGAVGCLLISQQQLSGMMDLRLLALVPWMVVATFLKRSSAYGPAGGVSAALSVVIIMGRRYDESPMAFTVARLVETFIGISCAVMADILFQPGARPSVKAREHLTRCIATTLAASSADGPSQSQVISKSLALLRRHAAEASGEPSYLWLPPFPTACYERIQGSLGRMARLLHLYHQARVVAGVEADEDMKRIHRRFSSIVSTSLRHCLRMLSSSPPPADPPPPPHQEVIIKDNDLEAGNDSSSSCCCNKEEDDQQEATAPGEVVGAFLAHAAEAAAALLDLDDDAAGEAQAEGDDRGLLVCCLGSMGLCMGEIIREAQLLEAHIIDLNNLQPH >KQK95275 pep chromosome:Setaria_italica_v2.0:VIII:32868345:32869206:-1 gene:SETIT_028510mg transcript:KQK95275 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKFNDPLIFKRMLYLVESGGSLLLVRRSIFHSHVNGKGQIHTFAGLCDPEVAVFEANFAQSQWAKVVI >KQK94643 pep chromosome:Setaria_italica_v2.0:VIII:23913986:23915146:1 gene:SETIT_026957mg transcript:KQK94643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKLWTILTHLHSLAGPTVMLLYPLYASVQAMESPSKLDDEQWLAYWILYSFITLMEMVLESLIYWIPIWYELKLLFIAWLVLPNFRGAAFIYDKFVREQLRKHGLTAGGGSSGKSKKDDKSSPSSSPKDKEKPKSKFLAFVTPKKDHEAY >KQK94350 pep chromosome:Setaria_italica_v2.0:VIII:13579380:13580609:-1 gene:SETIT_027217mg transcript:KQK94350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTSELVTPIAAYSSNEQQAWNRRRHRRGRNDTSTPAVTCPSGDQPASPANWRRRCPNDLRF >KQK95660 pep chromosome:Setaria_italica_v2.0:VIII:36944100:36944708:-1 gene:SETIT_027529mg transcript:KQK95660 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCHEKKKSGGAKWQNPVSLVFRIAGMGLAVASAAIMATASQCTIYADYGARPRTVTYRDFPAFVYLVVAASIAAALEAVAIFLCVCKKGKSIKKARAALMPLFAAAAPALLYTAAGAAFGAGWDIYYYMEPSGRRLSVCASSVGGRFCAQVHVSMWLSLTAAVAVSLAEWAASSGGGRSSSSDSDSDSDSESVCGHGCHSKH >KQK95172 pep chromosome:Setaria_italica_v2.0:VIII:31631211:31632347:-1 gene:SETIT_028370mg transcript:KQK95172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LRSVCPRWRAAARSQALPPPLPLLVLPKFELSCLTSDEALTAPRHPLMPEEVAADDARYVCSYDGWVVGVTQIRSKYLRHVDGELVDSGHYAEMDDKCFLVNAFSRRVVHLPQLCRTSDICAPSSSTAQVTSVLKSMARIRCHPTVVLSASPDSGSKYIVAASSDTVASGNLALWQPGMTSWHVCSGPPLDGPKDIAFYQGKLYVLQSFIRTDLFAFVLKEDDRGIVISRVEHCMTEPLPPHPMEQNGFLRCNMVVYYDSNYMEQDSKIIKVEVFALDVSKNPYRLTRVRSFNGDSIFIGSGSSKSFPASLHDGAEGDLIYFVPEYWSPTDRFVYNMRDGKMRPFSAKLLPFEGHVEDHSPVWLFPSE >KQK93671 pep chromosome:Setaria_italica_v2.0:VIII:2940927:2942132:1 gene:SETIT_026902mg transcript:KQK93671 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLRRRYAEFLRRAASLPSLPLVASLHAALLRRGVPTLLAASLIGGYSACGDLASARAVFDETPPEERTLSARTALAGAFSAHGRCREALGLFSGLEAEMDDRAVTVLLAACARAGMVGEGRKHYTCMVEMLGRAGEVEEAELLVAGMEARPDRVIFAALLGACRVHGRVDVAERVPGLMRRYSIA >KQK94051 pep chromosome:Setaria_italica_v2.0:VIII:8210934:8215947:1 gene:SETIT_026543mg transcript:KQK94051 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTDKILNQVVEQLNKLVNVIKVVDLTNEPQVERELMLIKVNVERDKLPEIMGLVRIFKAEVVDLADDTLTIEIAVRRQKMGETAPFLGFSAAPYPDLEVTIPSTSRLSTGVDAINQNSNESSGGDVYPVESYESFSANQILDAHWGVITDNDPTGFCSHTLSILVNDFPGVLNVVTGVFSRRGYNIQSLAVGPAEKEGTSRITTVVPGTDESIAKLVHQLYKLIDVYEVHDFTHLPFAARELMIIKVAANAAARRDVLDIAQIFEAQRVDISDHTITLQLTADIDKMVRLQKMLEQYGICEVARTGRVALLRESGVDSNYLRGFSLPV >KQK94710 pep chromosome:Setaria_italica_v2.0:VIII:25528996:25530530:-1 gene:SETIT_027864mg transcript:KQK94710 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVQFAASATFLTSLLALATTLATCNTEVTAIIQDKLKTAT >KQK94793 pep chromosome:Setaria_italica_v2.0:VIII:26990767:26992702:-1 gene:SETIT_026962mg transcript:KQK94793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMQAPASSLARAPAAPLRSSFSAPWSVRMPAPARRRVARAATAARITMRVASKQAYICRDCGYIYNDRTPFDKLSDSYFCPVCGAPKRRFRAYQPAVSKNANATDARKARKEQLKKDEAIGQALPIAIAVGVIALVGLYFYLNSAYN >KQK93950 pep chromosome:Setaria_italica_v2.0:VIII:6523591:6525559:1 gene:SETIT_028082mg transcript:KQK93950 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRVGTGAGAGGDDADGDRIRALPDGDLLHALGFLPARDAVRTCVLGRLWGNQWRALPRLRITGAEKLHMFVNQLVLLRYPGLVLDECEIDLRGLGYANRMHVNLWIRHALLLRARVLCLRWIPGLQSQPLASMFLKVLHLVGVSFEGNIIDFSSCPALEDLEITSSEIDAHVISSPSAKRLRLVKCSFILCPCPDYRTRISTPSIICLEVEDCSGFPHLLQSMPSLHTASVCVGCFDEDEWEESCSVGERVDGSVLLGGLPNATNLMFRASFGIMRDSNPMFQPLLLRQLKRVDVKCVKIDERVHRTLKTIYSALGHRPIFIQEN >KQK93633 pep chromosome:Setaria_italica_v2.0:VIII:2455072:2455604:-1 gene:SETIT_027126mg transcript:KQK93633 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGYYLCSIGDRFASWAAEHPETCMTGLTVKSAHTGDGFPRTARRRRRPCTAASPNVLKSWNCAHSVPVWAPGPLMLPPEPMLFPSW >KQK94845 pep chromosome:Setaria_italica_v2.0:VIII:27973449:27976011:-1 gene:SETIT_025987mg transcript:KQK94845 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLTHGAVDSLLGLLSTAVKDEARLLGGVHRDVQFIKDEMDSMNGFLMHLTKMEGDHDDQLRAWMKQVRDIAYIAEDCIELYRRDLMPAHGGGGLLARLRLLPVYLQTIPARHRLAKEISELKERVREVGERRLRYDVKVPEAARKEAVKQPGQDKEEEKRLEDFRCALEVAGASMRSSLPDEKAEKLFSDVINKLPTILASDKDAKKNIRDVLDNCREDGVEFDIIEMFLCALSRYPYATPHDLEDLVQKKLKAGRTKEEMMIFCYSKLSTQQKGCLQYLTAFLQESSISRTSIVRRWVAEGLIGREQGTTHEEAGESCFHELQLRCFVRPKAIGDSGTVKSCIMDKSVREFIINISKSENFVSDMPSHLVRQLRIREIVQGPRPEKPQAGRWSCNICSGDASGEPQPGPDSDIVVASDGGSTAAQDLKEPMDKLVDFLKELPKLYRLNVLDLGGCKGVRKRHLKSICKVAVSLKYLCLRKTDMSRLPARHIEALRLLETLDIRETEVPPADTKNIFLPQLKHLLAGSRDRKKCSLNTVRMPLQIGRMREMETLSHVQVSDDGAELAHVSKLMQLRKLGLVLHGNDHKANSQSLLHVIIELAKCLRSLSIWVSISSSGVSLDMSYGSTFPASSMVLENLEIKGQVSLPSWILNLEQLANLTLIDTQLKDGGIRELGKLQGLRSLRLGINSYSEKYLTFHQGQDEFKALKFLVVEGNNIAQIVFTGAGVAPSLEKIVLDMAVMDDIPFYGLKDLQMLKVIKLRLTGDCSYEMLMKLEGTIAALERRPSFTYSFAFPDKVFEGAKTVVFDAEQ >KQK94250 pep chromosome:Setaria_italica_v2.0:VIII:11933173:11934291:1 gene:SETIT_026675mg transcript:KQK94250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFRRPLALHPLVIAFVLVSCLAGAATAKQTGQLTVFWGQNAGEGTLREACDTGLYSTVVISFYSVFGHGRYWGDLSGHPLAGVGADIKHCQSRNILVLLSIGGPGNGYSLPSSASAAAVADNLWNAHLGGRRNGVYRPFGDAAVDGIDFYIDQGAPDHYDELARRLDGYNRFYRGRKGVRLTATPRCGFPDRRLGAALRTGLFERIHVRFYGNDTCSLGKGGTYGVVGQWEKWTAAFPRTQVYLGLAPAESGVPEGAQGTVAVYLKYLYYDLLPKVQKANNYGGVMVWDRFADKKTRWSGVVKGWA >KQK93723 pep chromosome:Setaria_italica_v2.0:VIII:3595054:3598182:-1 gene:SETIT_026495mg transcript:KQK93723 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRGPRIPAVPSPPAPARRRGFRSEAALEAIRSHSLPSKAAAAAPSSDADDPAGPASLALYNYPTFAGAYGALAARLFHQRVRRRLLVLPFSSVEPFRAEDFEDAGLQTCYLLDFIGPKKFAFELSQFVPSVIAFDHRQSTLARIPKLGQCPSNVELHIDTSKSSVRSVFDYFSKKLAGTKSDSQMCENLFNQEDEERVSNVVEYIEDADLRRWQLPNTKEFQTALREERAKLNCVTNPHVFEQLLQLDVGDLLNREKSLALDRLQAAGEFIQKPFKIQLGRGLYGECLAIRADGHSKLSHEIGLELSRRSAAAGLRPIGAVVFMQRGILKVCLRTTDNTTNTAEIAKAYGGGGKPSSSSFALRMDEFNTWTLVKSRVMN >KQK93316 pep chromosome:Setaria_italica_v2.0:VIII:503710:505435:-1 gene:SETIT_026737mg transcript:KQK93316 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLWRAAASRLLLRRSPPLSPSTASSSYALLLHARPFSPPPPRPAPAEAEVTPAEARRLVRLVGVEVLKRRLRDGRDEVVGYSELLDACVEAGAARTHAEAEELARAMDDAGVVLLFRDKAYLHPEKVVDLVRRAVPLALGPENDPRKKEFKQLQEKKEEIDKLAHKQVRRILWSGLGFFMCQVGLFFRLTFWEFSWDVMEPIAFFTTASGLLVGYAYFLVTSRDPTYQDFMERLFLSRQRKLCAAQKFDMERYMELQKHCRCPLEGHHPHGPKIHGL >KQK93245 pep chromosome:Setaria_italica_v2.0:VIII:133921:138474:-1 gene:SETIT_026030mg transcript:KQK93245 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHMSSDRPLEALLAAARGAIADLHLPTIHIPGSNSRSPNPNPDCLLHLHVVVTNFLHKPLRSLARCFRGDDAKPKRRGGKHSRPLRDRERSAAAGPQQQLELLLCIAFDAFDACKHKGAEFGIATRQSNQFQLLRKVIDGKRADFDGFLSNLGFAKVGAPPPPAVIMGAVPAPAPVSDQEEGSAGIGDSEGVDNASGSPQSAQKLPARLLNIPLSNVERLRSTLSAVSLTELIELVPQLVSRSSISPDGHPDKKKLFSVQDFFRYAKIEGKRFFEELDRDGDGQVTLEDLEIAMRKRRLPRRYARDFLRRTRSNFFSKSIGWKQFLSLMEQKEPTILRAYTTLCLSKSGTLHKNQILTSLKSAGLPANEDNAAAMLRYLNADSEGSISYGHFRNFMLLLPSERLEDDPRNIWFEAATVVAVPPPVEISTGSVLKSALAGGLASALSTSLLHPIDSMKTRVQASTLSFPELISQLPQIGLRGLYRGSIPAILGQFSSHGLRTGIFEASKLVLINVAPTLPEIQVQSMASFCSTVLGTAVRIPCEVLKQRLQAGIFNNVGEAIIGTVQQDGLKGFFRGTGATLCREVPFYVAGMCLYAEAKKAAQHVAKRELEPWEIVAVGALSGGLAAVVTTPFDVMKTRMMTAPPGTPVSMQTIVLSILGNEGPLGLFKGAIPRFFWIAPLGAMNFAGYELAKKAMIKDESLSTEEKKTMVGSRG >KQK95137 pep chromosome:Setaria_italica_v2.0:VIII:31291663:31297212:-1 gene:SETIT_025968mg transcript:KQK95137 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPTAFHLRHPPRLRLILLSSNPLSTSSPYPWLSAWSRPGHRSRLRPPASALDLRPEPSPSSDSEDEGRRRHQPAPLAAPPCPSSPPLQRAGFTPEGPQQAVAPPPHPPRGSVEDVFRVDDGVLPNARGGFDADAYAYAEEEQGMLGDARFPWERPMPPPEAAPRAARSPTWMAELTLPAAELRRLRHAAMRVKSRTKVGGAGVTREVVEKIREKWRTEEVVRVKVSGTPALNMRLFHEILERKTGGLVIWRSGTSVSLYRGLDYDEAEPTKGSNKISQSLGMKSSIKGSPSPSLQPTEKVYNAHDSNGALVSNTGKEEIVEQVPEIKYEDEIDKLLEELGPRYSDWPGSDPLPVDADLLPATIPGYKPPFRVLPYGVRPSLSRRDTTNLRRLARGLPPHFALGRSRQLQGLANAMVKLWEKSLIAKIALKRGVQLTTSERMAEDIKKLTGGIMLSRNNDFIVFYRGKDFLSSELAEVLLERERLAKSLQDEEQARLKAASSFSSGSDAYVQPTVAGTLEETLEANYKYGNKLDEDHEDKMARTVAAARHADLVRKLEWKLALAQKKMEKAERVLGKVETALNPTEGTKPPETITDEERFMFRKLGLRMKAFLLLGRRGVFDGTIENMHLHWKYRELVKILVKSKSFSDVKRTALSLEAESGGILVSVDKVSKGYAIVVFRGKNYRRPSTLRPRNLLSKRKALARSIELQRHQALSRHFAKLNRKVEQLRAELVQMEGVKEQGDEELYAKLDSAYSSDEEDMEDEDDEAYLKRFDNEVAGVTAEDGDDPVYDEADYPDSEDEAEDDESFDSENDEDADEAAGTPGGDFRSEAGFGFPAREYRLGGDHNGERSTAGETRNSHAADEQRTELTNTSSCS >KQK94670 pep chromosome:Setaria_italica_v2.0:VIII:24638395:24638709:1 gene:SETIT_027368mg transcript:KQK94670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPNYASVFPVLLLLLLLPHATCSWFNFCLWKGISCSRKHPQRVTQLDLTDQRLTGYSVPRKPYTSLSSAPVK >KQK93697 pep chromosome:Setaria_italica_v2.0:VIII:3323131:3326389:-1 gene:SETIT_026141mg transcript:KQK93697 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPAEKVRVNVAMNPPILLVDYSRELTLNGTAVVRVEAPSSTKSQAPIDLVTLINISHSMSWPAASSPTDETPSTSRLDLLKNAMKFVMRQLDDDDRLAVVAFNDQVVKDHTTGILEMSGGGRMAMERKVDGLVAKGDDGTAFKPSLEYAVKLLDDRADKKRVGFIVLISDGVDKQVKWSDESIAPTDPVRAVLRKYPVHTLGLCHAHDPKALHYIAKISYGTYSSIADYGEIMEALAVCLAGFKTAVAVDACVDIRSSSLQITRIDAGGHTLRGPSGGVLIGTLYAGEVKDLVVHFSYRTGSWPRGYYTTLNGITAGVTYKDVPGGTGRPSTSITDTCSASLPVHAADSQTPPANPCPPHPVALQQMVRSKVADLLTGVLKEFQVLKEEAGGAVHGKGGDDPVLQAVAASSLQRKWEEFKKSDESWKEAPRNFLDLGGVDRDVDSMVGVLKQGSGVGCVYSWLSSSQMQRATAATGLPAAGRFRTPAMAAMVREAHRQMAEEASAQDAGTSVVVGKRAVELLDGINKRFELWCKLDHDLPSSGQEEGDLAAGGMRGDINRARQHHIYLAADHAIKQWRSFLESMEKTHGRGTDK >KQK93800 pep chromosome:Setaria_italica_v2.0:VIII:4456550:4457657:-1 gene:SETIT_027689mg transcript:KQK93800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADASVQNDEVDVEEVPVPPALAPTRKGRRKKMVNRTKLGNFNPDEDVNIAKSWLEISCGPITSTAKKRSHTTHAAANFAGILKHNFAYMHCWEIMKDEPKWQDPKPRGFGKSTGGDGFGEDSSHEPDTNDLGDDNSSPTGSARRRPMGRDSAKAAKKKANSFAGSTSSSEYASRMQDLSLQKISILQEESMRKTNHFQQLACIDEKWFEKIRSHNQSLLDIEQEKIRIMREKHDMDKKEKEKQEDERILGIDLNACTPAQQMYYEALQEEIFEKIAARRGKRQGP >KQK95054 pep chromosome:Setaria_italica_v2.0:VIII:30339434:30339941:-1 gene:SETIT_028210mg transcript:KQK95054 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPWVILGRVPRIAAADADAEPGDDAEADSAAASSSLAVALPPRVTLLTAAPSAHPDPARPDKFPYILAAGPGCLLSRFSTSPYYGARFGADPPETRLVVARRFGTAAGETTASADRVPDRPATMPSVRNIEGVGLIDVDDGEAYVIAELQIDRGSDRAKLFRVHSGH >KQK94136 pep chromosome:Setaria_italica_v2.0:VIII:9426544:9427828:-1 gene:SETIT_026470mg transcript:KQK94136 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPWQTRFSDLHDDLAAAVLARLPLRHVARARLVCRWWRALTTDHRFLRDTASRRAVGFFLNDQSCIRADYRAFPFPNKIDDGSAAAEEDRPAPDLSFVPNAAVSTDPPSGGAGSVHVCSSCNGLLLLVCRTPHLPAAHYVCNPLTRQLAPIPQPNGIPNLAFDPAVLLHYKVVVLGDTYAIDVYSSETRSWRTALHPERSLFSGLRSMRGVFWNGSMVWTVGHSLIQFVLQGEHLRTIPMPPKRKEGWICAYIGESDGHLQMIGYTKKEKLTACFEILEMNSDQSEWSLLYRVDLSRVKELHPEIEWPTWDTRRDEHKVIDYLALSPVCVIRGTREAGKDGVLIFSIPGKIMLYDMKDQGISVTREARSPYNLELEHPWYYFFAYSPSLFTL >KQK95466 pep chromosome:Setaria_italica_v2.0:VIII:34605106:34607478:-1 gene:SETIT_026704mg transcript:KQK95466 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITGCPKLPILVSLDTLTRSRPGCTQSMLWDILGIWLQNIYTEVEISTMTDIYSLGMLILEITTGEKNCPDAEDRSARRYVENVHKHWTTNEIIKCNYPLLDSDDLQQVKACIVIGLNCVEPDQNKRPSIVDIVNKLNGERVPIFDQLSFMFERKIKMADEEAVNELMKILTDGNEVSMEQGKIVSIVGLGGLGKTTLAHAIYQKLRGQFNCYAFVSVSQNPDMKKLFKCMLYQLGKKSNANIHESVLDERLLVYELIEFLQKRRYGRSTNQCIPTENVFSHMFWHAPLHNISP >KQK95226 pep chromosome:Setaria_italica_v2.0:VIII:32388312:32389463:1 gene:SETIT_026714mg transcript:KQK95226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFQHRPCCLLLGALLVSHLAAGLAATGPGDVAVYWGRNKDEGTLREACDTGAYTTVLIAFLSAFGHGKYTLDLSGHPVAGVGDDIKHCQSKGVLVLLSIGGQGGEYSLPSSQAATDLADYLWDAFLAGGRASVPRPFGDAQVNGVDLFIDQGATEHYDELVRRLYGYNRYYRGGGITLTATPRCAYPDQRLQGALATGLVGRVHVRLYGDLRCTWAAREAWEKWAAAYPGSRVFVGVVASPEADRDAYMSQKDLYYNVLQFAQKVPNYGGIMVWNRYYDKKNHYISSS >KQK95417 pep chromosome:Setaria_italica_v2.0:VIII:34308878:34310424:1 gene:SETIT_026288mg transcript:KQK95417 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGSPPSPSRLLRIVICPWLAFGHMLPYLELAERLASRGHHVSFVSTPRNLARLPPRRHVIDLVALSLPRVEGLPDGAESTNDVPGDRLEPLWEAFDGLAAPFAEFLAAACAIDADNGNSKRPDWVLADTFHHWAPAAAREHGVPCAVLLPTAALIAAFACGARGHAELAADTTVFEQVIAVGGGPPPGMPRYEWEGDAPLFAVHGASGLTIARRTSLTLERCTIAAIWSCPEWELDAFPLAAALLGKPLVPLGLLPPSPDGGRATDAHRDDAAVRWLDVQPAKSVVYIALGSEVPLRVELVHELARGLELAGTRFLWALRKPRVVSDDDVLPAGFLERTHGHGLVTMGWVPQIAILAHGAVGAFLTHCGRNSLIEGLLYGHPLIMLPIFADQGPNARLMERRKVGLQVERNEDDGSFDRHGIASAVRAVMVEEDTRKVFVANAMKMQESVADKELHERYVDEFVQELRSYITDGNSTQADET >KQK95537 pep chromosome:Setaria_italica_v2.0:VIII:35458565:35460031:1 gene:SETIT_026284mg transcript:KQK95537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEQHHGAADVVIAAPLLPSPSPEPPRRNMFAFVCATLASMTTILMGYNLALMSGAELFMREDLGLTDEQVEVLSGSMNVFMLGSILAAGWAADAVGRRGTIVLANAFLMAGALAMSLGGSYTALLAARFVTSVGVGFAVVVAPVYAAEIAPASTRGMLSSLPEIFVNAGILLSYVSNYALAGLPLRLGWRAMFAAGVAPPVLLAAGVLAMPESPRWLAMRGRDAEARAVLSRTSDTPAEADDRLREIKDAIAAAQGNDDAGVRRDLPLFGPSSPTTIRRIFTDVLALQFFHQASGIDIIVLYTPLVLKKAGISSNRSVLAATVAVGVVKTGLILVATLFSDRVGRRPLLLASTAGIAVSLTSLAITLCAASVTTAASVAAACVASLLAYVAAFSVGLGPLAQAYSAEILPLRLRARGTSLGTAVNRLTCGVLSMTFISLANTISMAGCFFLYAGAAVAAWVFVYVRLPETRGRSLEDMDQLFSK >KQK95003 pep chromosome:Setaria_italica_v2.0:VIII:29805923:29809353:1 gene:SETIT_025872mg transcript:KQK95003 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAITLFCFSLLLFCSYALVSTGSSNVTADELTLLAFKSAFASAGSLASWNSSSHYCSWPGVVCSRQHPERVTSLRFGSSHLSGRLSPILGNLSFLKVLDLHDNNLVGQIPQELGRLSRLQVLNLSTNSLQGGIPVPLLVGCSNLTMLHLSDNRLQGRFPTEIGASLKNLVLLNVEKNGFSGEIPPSLANLPLLEVLNLRVNRFSGEVPPALGNLSNLIILGLDYNKLSGAIPSSLGHLSNLSRLTLGFNNFTGLIPNSIWNISSLQAFTVQQNYLSGSLPPNAFNSFPNLQIIGTDHNQFHGSIPASIANASSLWLVQLGANPLSGIIPPEIGGLKHLKLLELSETMLEAKEPNDWKFITALTNCSKFTALYLSTCNLGGVLPDSLSNLSTTMAALYLDTNKISGTIPKDIDNLINLQALGLDNNYFTGTLPSSIGRLQNLQILSVANNKIGGPIPLTLGNLAALNMLNLGSNGFTGSIPSIVGNLTNLLSLNLSSNGFTGHIPREVFNISTLSNGLDLSNNHLEGSIPLEIGNLESIIVFHAEYNKLSGEIPITIGQCQRLQNLYLQSNFIAGGIPSALGQLKGLETLDLSRNNLSGPIPKFLGDLTLLYSLNLSFNNFVGEVPTAGVFANASGVSIKGNGKLCNGITGLHLPPCSIKRPKKKQNLVVVPIVISLVAILVILSSLYILKSWRKRSNTKTPSTILMQGHPLISYSQLVKATNDFSPTNFLGSGSFGSVYKGELDCQDGEGKDLVAVKVLKLQTPGALKSFIAECEALRNMRHRNLVKIVTACASIDARGNDFKAIVYDFMPNQSLDGWLHPEPNDQTEQRYLDLAERVAILLDVAYALDYLHCDGPTPVIHCDLKPSNVLLDADMVAHVGDFGLAKIITEGSTIVQQSASSVGVRGTIGYAAPEYGAGNVVSTNGDVYSYGILVLEMVTGKRPTDSICAQGMSLRQYVEMALHKGTMEVVDMPLSLSLKNEVHDASASYNRKIEALISLLRLGLSCSEEMPTSRMPTGDIIKELVAIKSLIHI >KQK94701 pep chromosome:Setaria_italica_v2.0:VIII:25278857:25279201:1 gene:SETIT_027495mg transcript:KQK94701 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPPCAHVGAGGLFSSTSPDEASVVMLSWPISGHRTAVLGHISHRGRTMPDISGDNPYGFTMRLTEVDTTSESGSSGAPCFDGWGFSVGVLHGGDGRFSYFIPLNVVRQTLAQW >KQK93794 pep chromosome:Setaria_italica_v2.0:VIII:4405239:4408260:-1 gene:SETIT_0263201mg transcript:KQK93794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDPSGNANAKAKQPQPVPVTAPAPSSGRPASVLPYKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVYREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAKLIKTIVGVLEGCHSLGVMHRDLKPENFLFASTAEEAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLTRDPKKRFSAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKR >KQK93793 pep chromosome:Setaria_italica_v2.0:VIII:4405239:4407815:-1 gene:SETIT_0263201mg transcript:KQK93793 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPDPSGNANAKAKQPQPVPVTAPAPSSGRPASVLPYKTANVRDHYRIGKKLGQGQFGTTYQCVGKADGAEYACKSIPKRKLLCREDYEDVYREIQIMHHLSEHPNVVRIRGAYEDALFVHIVMELCAGGELFDRIVAKGHYSERAAAKLIKTIVGVLEGCHSLGVMHRDLKPENFLFASTAEEAPLKATDFGLSMFYKPGDKFSDVVGSPYYVAPEVLQKCYGPEADVWSAGVILYILLCGVPPFWAETEAGIFRQILRGKLDFESEPWPSISDSAKDLVRNMLTRDPKKRFSAHEVLCHPWIVDDAVAPDKPIDSAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLKR >KQK96030 pep chromosome:Setaria_italica_v2.0:VIII:40615104:40624281:-1 gene:SETIT_026031mg transcript:KQK96030 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDEENIEDELFLVGSQLQDIQGQIRTLLDRQEELYERQSQLKALLEVSKKSRNNSTKVTLEDWSGSFPWDSQADDTRFNVFGITSYRSNQREIINAVMSRKDVLVIMAAGGGKSLCYQLPAVLHNGIALVVSPLLSLIQDQVMGLAALGIAAYMLTSTTNKEVEKFIYRALDKGEGELKILYVTPEKISKSKRFMSKLEKCHNAGRLSVIAVDEAHCCSQWGHDFRPDYKNLGILKIQFPSVPMIALTATATSKVQTDLIEMLHIPRCVKFVSTVNRPNLFYKVHEKSPVGKVVIDEIADFISESYPNNESGIVYCFSRRECEQVAKELCDRGISAEHYHADMDIVAREKIHMRWSKGKSQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLYYRPGDVPRQSSMVFYENCGLQNLYDIVRYCQSKRSCRRGAIFRHFGEVLQDCNGMCDNCASSIELKEIDATHHTKVVVSVLHDLQLNGQRATLLQLVDKFKAKWKGLGRSNQDVDLKREEIEQLIVQLILDHVLKEEFQHTAYSTNAYVTLGPLWKPALQGNRPVKLEIAAVQSCQDKGGGGRSSRGAKRSRMSDLEAKLDELRRELSSSSSNGGISIFPHAVLSSEQISLLSCQKPTTEAELEQVIGKVKTEKYGSRIIELMRSHVKDAGTGNAVDANKRHKNKKDKDVVCVESSDEE >KQK93284 pep chromosome:Setaria_italica_v2.0:VIII:350098:353573:1 gene:SETIT_026255mg transcript:KQK93284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKQATDSSRGEEANKVPLLDHHHGGISKEEDDARLPLGRRAWEENKKLWVVAGPSIFTRFSSFGVTVISQAFIGHIGATELAAYALVSTVLMRFSNGILLGMASALETLCGQSYGAEQYHMLGIYLQRSWIILFACAIALLPVYLFTEPLLIALGQDPKISAVAGVISLWYIPVMLSYVWSFTLQMYLQAQSKNMIITYLAMLNLGLHLALSWLMTVKFKLGLAGVMGSMVIAMWIPVFGQLAFVFCGGCPLTWTGFSSAALTDLGSIIKLSLSSGVMLCLELWYNTILVLLTGYMKNAEIALDALSICLNINGWEMMISLGFLAAIGVRVANELGAGSARRAKFAIYNVVITSSLIGFVLFVLFLFFRGSLAYIFTESRAVADAVADLSPLLAFSILLNSVQPVLSGVAVGAGWQSVVAYVNITSYYLIGIPLGAVLGYVVGYHVKGIWIGMLLGTLVQSIVLLFITLKTDWEKQVALAQERLKKWYMDENRRLVGSRGNA >KQK94843 pep chromosome:Setaria_italica_v2.0:VIII:27931168:27933573:1 gene:SETIT_028189mg transcript:KQK94843 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAGGGRRVWVVDVEKKLDEADASVEVSRWQRHCIYRVPACIKDLKPKAYRPQVVSLGPFHHGDPELVPMEEHKRRALRHLLRRAKRPLEKFAAAVEEVAEQLASAYLDLGAEWREGDGRERFLEMMIVDGCFLLEVMRAASLDVVGKSNTGDYAPNDPIFSHHGVLYMVPYIRRDMLMLENQLPLLLLERLVAVETDKLTNGDVINRMVLRFLSPSPRLPPPGATPGLHALDVHRRNMLYGHYQAPHWSSRDVPEADIIRSAVELYEAGIRFKKSHSASLHDIRFRHGVLSMPAVTVDDSTEYMFLNMMAFERLHVGAGNDVTAYVFFMDNIIDSAKDVALLSSRGIIQNAVGSDKAVAKLFNSISKDVVLETDSALDAVHREVNAYCRKPWNMWRANLIHTYFRSPWAFLSLAAAIFLLVMTIMQTVYSVLQFYQNNGSGSSSTAPAPM >KQK93938 pep chromosome:Setaria_italica_v2.0:VIII:6353133:6360103:1 gene:SETIT_0258582mg transcript:KQK93938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAASLSTPSTSLLPTPTHAPDPLLLHRRRTNPFRRATPSPRPKKPPPLSCAAAATPTPAPAAAAAKSGSWKDLCSLNAWVVRDYRRLVDSVGALEPALRKLSDEQLKAKTAEFRARLARGETLADVQAEAFAVVREAARRTLGMRHFDVQIIGGAVLHDGCIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLTVGLIQAGMKSDERRASYRCDITYTNNSELGFDYLRDNLSRNKEQLVMRWPRPFHFSIVDEVDSVLIDEGRNPLLISGEDNRDAARYPVAAKVAELLMEGVHYTVELKGNNVDLTEDGVAHAEIILGTDDLWDENDPWARFVMNALKAKVFYRRDVQYIVRDGKAIIINELTGRVEPKRRWSDGIHQAVEAKEGLKIQADSVIVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFKMPVIEVPTNLPNIRVDLPIQAFATARGKWLYVRAEVESMFQLGRPVLVGTTSVESSEYLSDLLKARNIPHNVLNARPKYAAREAEIIAQAGRKHAITISTNMAGRGTDIILGGNPKKYDPFFFCQMLAKEIVEDSILPFLTHDPPDIDMEGESTSHKGLSKIEIGPSSLGLLAKAAIMAKYVHKSERNEWSFGKAKSTIAESIEMGQTIGMEKLQEHLAEESEMYPLCDAIGLAYLSVLRDCEIHCSAEGTEVKRLGGLHVVGTSLHESRRIDNQ >KQK93686 pep chromosome:Setaria_italica_v2.0:VIII:3099297:3099921:1 gene:SETIT_027889mg transcript:KQK93686 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATDLTKAMKGSLCHGYETELPAADVWEVYGGLLARQLVPQLVPEVYSKFIKIDNENYIKEALIIEGGFLDRGFQKYLIRIEIIGKEEKTSIVRSTVEYEVHHGHENNPPVASTSGLAAIAEAITKYIKEKRAQALGQLSEEQSIQLN >KQK95850 pep chromosome:Setaria_italica_v2.0:VIII:38938607:38940964:1 gene:SETIT_027463mg transcript:KQK95850 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSLPDSFCNSTVYPYVYNLTSSYIDQNNEATMVTTTLAMFMLAVFFFNLNLFSRFSDVSAILNPSVRLFLSTSLSLFLPVMSYLFSEAKNQGATTMAATTSSSSSYGELGDELSLRARTILMWMLLVELLRKKVEAVLVSVGMQWYSGAIDRFARIVWLGYLVFYNVKSPGKKAIYGTLWVLTAAKFLQRVVVKMVLERSFAYGRNTQLLNSYMAQIIQQEQEQEQEEHSGSSSSAELLKKCEYTVMGEENLERTAGRHGYQVELNKAAVVTVGDIWTQAAAEPDSLLRREHLRRLCLSFALYKLLRRRFEDIPTTREETRNCRNLIFKGLLKEQEGAEVALFQVFNDETQFVCEYYHSVLPVVLSDPFFFLVNYILFPIVVSAFCLLTLIICGNGSVRYAYQSITSDNYIIYTGATTLTRCLLRNIAHSPEVLFASIDLGTTTLLILAFVYEEVVEVLVFILSNWLIVSLLCQYTAKRHWRQSRMVCWLIRGILWVRSMLSHPNLSFKQLSVLRFFQLSSPSPIMVPTKAVPKEVMESIVDYLVVHLDVYGIDGHDDPLNSAWSSTLQQEKHRAYRPLLLPVCESKSIAEFILTCHIATALMEVRYPQDEKEMGSHRKVATTLSKYCTYLVGFYPGLLPDDKNGTKHTYKKMKEDMNKELGGCWWYHLSLQGTRYKRLMEIGESKQKAVTMVRNGARLGTALIEKAEENNEARDRVWELLNDLWTEVMVYVAPSAGDLHVKAHKEALARGGEFITVLWVLCTHTGMTRPALAPWEVARHAFEP >KQK95052 pep chromosome:Setaria_italica_v2.0:VIII:30335953:30336354:1 gene:SETIT_027053mg transcript:KQK95052 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEAMVLRRQGGCGQFVGLALGKFNSLLITSTDLFSELTHKGTGFSLSTAVLKNYRILAISLLHKRWGISTSLDSVTPQVAHAWLRYKHLTVAALIAKLACFFSSHRIC >KQK93296 pep chromosome:Setaria_italica_v2.0:VIII:397588:398744:1 gene:SETIT_026651mg transcript:KQK93296 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPINTGLMRCSKSCRLRWTNYLRPGIRRGNFTPHEEGIIVHLQSLLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKHQAIGAIFAPPPPSESSSIVPTTAGASHHVDLHHDIIGSKDYNYARPAACSNPDEVTQLIARRSPFAATDGDSSSSSYASSMDNISKLLNGFMKSSPPPQNDATADIKPSATDINPLLSFNHMSGGSNTLPPFSDMLPSPPPPQQPALTMGHRGYDNEPKQQHHQQGPLSPIEKWLFEEAAEQVVDLMDLSDACCSVPMMF >KQK93535 pep chromosome:Setaria_italica_v2.0:VIII:1734284:1736955:1 gene:SETIT_026786mg transcript:KQK93535 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASADLLRREEEFYSSLFDSAKGDGVRSRSQLIERKIEALEDMATKVSNRRSRRWLNDRLLIELVPRLHVEEIKGLFAPPPWGEELPLSAFCRTSVGEWDAFRSIDMDTEARLMQHMKRSSEKQRTHVDEDELVALNAWRRIDRQTREAIKRNFLPDLLEIYEERVRTFIEDTSDKDVLVLNVQDPFQRLLLHGVCEFYNVTSTTSSSVRDGKPWKTTTIKKRQGTGVPSRITLVTFLRTKKNGSQ >KQK94042 pep chromosome:Setaria_italica_v2.0:VIII:8157283:8163958:1 gene:SETIT_027845mg transcript:KQK94042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEWNRDLNLVSYMQCATTRLLGCIHVMVTVRSLFVATVWNNQTIKALAEQSHRLLCSTGDHSLALNDGSDAAELIFSLFKHLYIVYLGERQHEDADLVTASHHDMLASVLGSKEAAVESIVYSYKHAWILWLRLLPGVESIRKNEMHSVHTTRSWDFMGLPYNQPNGLLANAKMGADIIIGVLDSGIWPESPSFHDDGYRPPPSKWKGICQIGDSFGPEKCNGKIIGARWYTAGVDKALLNGEFLSPRDANDHGTHTASTAAGNLVHNVSLHGLAAGDARGGAPRARISVYKVCWGIRSVRLICSEAAAAKAIDDAIHDGVDVLSISMYGPLLLPASLHAVAKGISVTVQNMAPWLLTVAAATIDQLFPTTITLGRGQKLVGQSLFTDVKEGNRFHKLELFLNKNLMDIKSRHSYIFVHLLSVITYKCTKHTLFFPNMPLVFTLENSPGFSAIIFYKKNLYFRFPYLGFCFTTTTVLPVERLVQIAKTVRLNGGRGFIYTQHSTDLLDICTSVSMSTPCVAINKEVSYQIYQYYRTNKIPQAKISLTQTMIGGGILAPKVAAFSSQGPSQGPRGAKDSQACLIDMLQIWCHTAGSFMFLFPQPDIAAPGVNILAAAPQIGIYKEYGISYLNSGTSMSCPHVSGIVALLKSLHPDWSPAALKSALMTTALVTDNNGLPLLADGSPAKIADPFDFGAGFVNPIKASDPGLIYDIEPLDYQKLLRNCSILSDTEGTCPVIERSLLNLNLPSIAIRNLKTSETVLRTVTNVGQPDAVYKAFFEPPTGVEMSVEPTMLMFGKKRSQSFKVTFKAMHKVQGDYSFGNLVWHDGGSHWVRIPIAVRVVIQNLYSTVF >KQK94611 pep chromosome:Setaria_italica_v2.0:VIII:23476890:23478639:1 gene:SETIT_027392mg transcript:KQK94611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQIEQFVVVSISPPSVDLSKLSHEEDKCLQLNAQASYVLICALSEDVFHAVMDEDDDYDTNHDAQHIWTTLKEMYGAWEDYGQEHKASSSFGSEVASECSNSKDHSHHQPHEKSPTSSYTSSQLDTHKCFMDKGDKKRVDEDEEFEFEIDKMFKGDKKEVVKLMKTMCKQRGELERQEDVIGKIKELESLNEEMMKLNESNVFFLDKCKELEKRYACATNSLSYVAPLEEANQKLKAQLEELSSKYVNLQATHRELECSHGKLVESHTMLELAHEVMITMNDNLRQKIKKLKMDLSKLKGKGIAQPSQDNRDDMVKKLDKGSTLQSSCNHYVKSIRRQKQDNKKKKLDHIKCFKCSKMGHFASMCPMKKENNPALSKRQRILSNRRCFSCHEKGHKIASCARIKPHMKLNKGFLKAQEKYMGGVAIRSQDKKSTSSINHKTCYACRQTGHLGKDCPNGNAPKLKSIHNNFTKLRKNLMTLVLP >KQK95209 pep chromosome:Setaria_italica_v2.0:VIII:31955753:31959077:-1 gene:SETIT_028372mg transcript:KQK95209 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIVILLLTLGFTPIAAEPWQICGDAAMNYTTNSTYHGNLELLSTKLTHNATTSPYHYATASAGAAPDTVYGLALCRGDVNATACGACVATASLGAQQLCPYRADATVFYPTCRLRFSGKNFLHPDDYSQIVDGVVNTMNTTDTTNTAPALPDWDSGNAESVAGITEIVRELLQETARQAAYSSGARMFATGRMDVGGGFPALYSMAQCVPALTHRDCSSCLQVISFMATDNFAGRRGGRLLALWCNLRYDTDHFYDGDPTVTVVSPVKEVVPPAVLAVTRRKHKSKGHDQSCSSSTCIDYWLDHFLHIHKTKAHKRSANLRFQLVPLEDEAIVWGLEGRSSEFMIYDFPQVLEATANFSAENKLGQGGFGPVYKGRFPDGLEIAVKRLASHSGQGFTEFKNEVQLIAKLQHTNLVRLLGCCSQEEEKILIYEYLPNKSLDLFIFDETRRPLLYWNRRLAIIEGIAQGLLYLHKHSRLRVIHRDLKASNILLDHEMNPKISDFGLAKIYSTNDTEVNTERIVGTYGYMAPEYASEGLFSIKSDVFSFGVLTLEIVSGKRSSSLHRCGDFINLLGHIMRCINAALLCVQENAADRPTMSDVVAMLRSEGMTLTEPKHPAYFHIRVTEEEDISIVTEPSSVNDMTMSALRGR >KQK94752 pep chromosome:Setaria_italica_v2.0:VIII:26291045:26295948:1 gene:SETIT_026754mg transcript:KQK94752 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGEEDTGGGGGAVRGAVLKALVVVGGVLLLRRLRRSTTRWDHARAVADALSGEKFSREQARKDPDNYFNLRMLTCPATEMVDGSRVLYFEQAFWRTPEKPFRQRFYMVKPCPKEMKCDVELSSYAIRDAEEYKNFCDRSKDQRPQPEEVIADIAEHLTTIHLSRCGRGKRCLYEGSTPPEGFPNNWSGASYCTSDLSIHKNGEVHIWDKGFDIEGNQVWGTKAGPYEFKPAPKSKYDDMFSPLNFSAPLSLEKKLDKAYVIDDQ >KQK94078 pep chromosome:Setaria_italica_v2.0:VIII:8535332:8538561:1 gene:SETIT_026337mg transcript:KQK94078 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVVGMAAVLILVVQLASSYPILVHAADAAGVLGRKAGVIDGKAATENTPAGMGRYAVIFDAGSTGSRVYVFRFDRQMDLARIGDDIEFFAKVKPGLSSYAGQPKEAANSILPLLDKAKSVVPSRLTKTTPLKLGATAGLRLIGNQKAEQILDAVRDLVHKKSKFQYKANWINVLEGTQEGSYLWVALNYLLDKLGGDYSQTVGVIDMGGGSVQMAYAISATAAARAPAAANGEDPYITKEYFKGKDYNVYVHSYLRYGAFAARAEILKSKNGPFSSCMLRGFSGNYTYHGKEYDATARPEGAVYENCREEITKALKLNAPCHTKNCTFNGVWNGGGGAGQNNIYASTGFYYLASHVGFIDSKAPSAKAAPAAFMAAAKKACQLDVKKAKVAYPNVDDMDVPYLCMDLSYKYTLLVDGFGLQPMKKITFVDKVKHGEYYIEAAWPLGTAIEAVTHKEKPGN >KQK93477 pep chromosome:Setaria_italica_v2.0:VIII:1394113:1394375:-1 gene:SETIT_027367mg transcript:KQK93477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVQVRRTFVVPAPPSEPSAEVPPTAFDLVAPAYHVTVLFAYAPPNPTNAALLHALAATLPRFPRSPYRPPPRSEPPAPRRPPALRH >KQK94011 pep chromosome:Setaria_italica_v2.0:VIII:7450682:7451425:1 gene:SETIT_027134mg transcript:KQK94011 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATTCCWPLTTLRNCNFSPVFALCSATAHLPMSWTDGFMTSFISTHPPSIFVGSSALADRLTTAVEAAPAANNIRAWRRPPA >KQK93802 pep chromosome:Setaria_italica_v2.0:VIII:4463385:4464003:1 gene:SETIT_0280392mg transcript:KQK93802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVQTAQPPSCSSSAAGYPRWVMLEQYVKSNVQDSSRITPDAKTLAAARTSNGHRIQVSLGLAEPPATSALRVELPEGVYAKYATVVAADGDSLLLRVNLDQRSFASDDTLDHFVYNAGSAAADPSRPPSLSLLPSYNVTYRPMIQYLVTDATGILRHGDDQIVVAELHTATVRVTLETKAAELYMFRSGEWSIRRPRICSSVAGD >KQK93877 pep chromosome:Setaria_italica_v2.0:VIII:5424836:5425390:-1 gene:SETIT_027241mg transcript:KQK93877 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEEYEFSTYDRHELNYNQISLSRLPISIMGDYTIQTIRNSPQSKIDEEKSWRY >KQK95005 pep chromosome:Setaria_italica_v2.0:VIII:29853984:29854499:1 gene:SETIT_028540mg transcript:KQK95005 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIMSTGQQTMGFMHFCTRTTKELLYHSMTGIAGTS >KQK95651 pep chromosome:Setaria_italica_v2.0:VIII:36866387:36870437:-1 gene:SETIT_026186mg transcript:KQK95651 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMGLGAGAGMALGDPPADYGSIAAVGLFVALMCVCIVVGHLLEENRWMNESITALFIGLGTGAVILFASSGKHSRVLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFITITLFGAVGTLISFTVISLGALGLISRLNIGALELGDYLALGAIFSATDSVCTLQVLSQDETPFLYSLVFGEGVVNDATSVVLFNAIQNFDLGNINTAKLLKFIGSFLYLFSSSTILGVAAGLLSAYIIKKLYFGRHSTDREVSIMMLMAYLSYMLAELLDLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFIAETFLFLYVGMDALDIEKWKIVGQTYSPVKSIALSSTILALVLVSRAAFVFPLSFLSNLTKKTPEGKISFKQQVIVWWAGLMRGAVSIALAYNKFTRSGHTQQPSNAIMITSTIIVVLFSTFVFGLLTKPLIRLLIPPRHLSREPSALSEPSSPKSFLEHLAANSPGHPDLENGISLRRPASLRLLLVSPTRSVHHYWRKFDDGFMRPVFGGRGFVPFVPGSPTESSVPLLAGNEN >KQK95158 pep chromosome:Setaria_italica_v2.0:VIII:31493562:31497666:1 gene:SETIT_026385mg transcript:KQK95158 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNATKVGCVGKYELGRTIRKGTFAKVKFARNIQTGEFVAIKILDKEKVLKHKMVEQIKQGILAMKLIEHPNVVRIYEVMGSKTKIYIVFEYVTHCELLEDTIVNRGRMREGEARRYFQQLINVIDYCHSRDVYHLDLKPEILLLDSRGNLKVSEFWLLGALSQRIKIDGELHTTNGTPNYVAPEVLEDRGYDGGTADVWSCGVILFVLLAGYLPFEDSNLTRLYKKISNAEFTFPLWTSFPAKMLLKRILDPNPITRITIPEILEDEWFKEGYRRQEFDKKYDLTTLDDVNAVFQDSKEHLVTEKKDEPVSLDAFDLISSSKGFNLENLLDSEQGFKREERFTSTCPPREIIHRIEEAATTLGFRVQKKNYKLRLEKIEAGRKGNLNVAAEILQIAPSFHVIEVRKEEGDALEFHKFYKDLSKTLKDIVWKFDDL >KQK95704 pep chromosome:Setaria_italica_v2.0:VIII:37356411:37360056:1 gene:SETIT_028274mg transcript:KQK95704 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAFLAGFFRAPPRCGPAARAAPTFSGLPLFHGRRRRRPSSSVVCMAEPYLIAKLDSAEKAWKEMSVRLADPDIVSDPSEYQKLAQSVAELDQVVTTYRQFKDCERNLEETKALEKENEEDPDMAEMIASELEALSNQLAELEEKLKLLLIPSDPLDARNILLEVRAGAGGDEAGIWAGDLVRMYQRYCERNNWKFKPVSCSEAEMGGYKTYVMEVKGKQVYSKLKFESGVHRVQRVPQTETMGRVHTSTATVAIMPEADEVDVVIDPKDIELKTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERSQLQNRERAFQLLRAKLYEIKLREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFELTSFLLGDIESAVQSCATLEQQELLEEMASSVGVAKV >KQK93826 pep chromosome:Setaria_italica_v2.0:VIII:4753306:4753947:1 gene:SETIT_027836mg transcript:KQK93826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASRFGFDFPPAYKFDPTDEDIVAHYLLPRAIGFPNPYAHAVIDDDPCSCPPWELLRRHGHAGSDHAFFFGPPSDRAVNGVRACRVVRPGPEGGAGGLWRGHDAKEADLVVVRRGGGAQLQLRYRRRNLAYYHDGDPNSSGWVMHEYQLLHPKLLPGPVLSRIRVTAKAKKKQQLATRAKKQQHAAAADQQPIPGPEQPGPSNYPGDAPSPEI >KQK94383 pep chromosome:Setaria_italica_v2.0:VIII:14114938:14116169:1 gene:SETIT_026943mg transcript:KQK94383 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKEYDKTYQSEEEKVRRYEIFKKNAIHADKVNAAFPNGPHHAPNNLGDWTEAELYSLRSRQGDFPWETYFRRLSKAYAEGRVDGVPGIVDAHDEEVQCTEAVKQRFKELTARKAERDAREAERAAAKSQQDAREAKQAATKSQQDTREAEPAATKS >KQK95153 pep chromosome:Setaria_italica_v2.0:VIII:31466642:31468506:1 gene:SETIT_027989mg transcript:KQK95153 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHSSSGSPRKIPFHLLQEITDCFSDERKLGSGGFGKVYMGVHNDGEKIAVKMLHYMLGFEEEQFLKEFNNLARLQHPNIVRLVGYCYDVQKNIVEYEGRLVFAERIYRALCFEYMHHGSLDKYVSDEYPGLDWNTRYTLIKGICKGLEYLHEELKPPMYHLDLKPANILLGKNMLPKIADFGLSRFFGEEQTHITKSSIGTRGYLPPEYIERNIVSRKFDIFSLGVIIIKIMTGPTGYRESAEMSPQEFIDFVRESNILQATPMHLFESYSKQVKRCMEIALSCVEVNRHKRPSIREIVKQLNETEIMIHKQLNEKEIMIYRTRLRDLSSYDQGSSMDQ >KQK95564 pep chromosome:Setaria_italica_v2.0:VIII:35622810:35623577:1 gene:SETIT_028451mg transcript:KQK95564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLCLYTTTTQWKVADARAARLRPRRRGGLRLSRRMESVRACQLSLAENSVGVAVDASSTLGYRVRMAIVAACGGRLFPGTGTKLDRPPGVLDRVVGAAAPALPLAPVTAHQRRLCTGWSMHVMRYRCSDGRHVQHAWRHRTRSSTNARDASRRPEPQRRHVPRCCWSRSAGRPRSPRPTTWTPAARVNVEGARDDDARRSLTGS >KQK93992 pep chromosome:Setaria_italica_v2.0:VIII:7211403:7214093:-1 gene:SETIT_028421mg transcript:KQK93992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRGRKLHKKRIAGLDGHGRGGSTTKSTRGRKAANYPTGNFPVDPNHSIKPTLPHGFL >KQK93487 pep chromosome:Setaria_italica_v2.0:VIII:1487586:1488777:-1 gene:SETIT_028305mg transcript:KQK93487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPASTHGEHFAEVVLVRHGQTDWNVSRIIQGGGESLDQLSERLVPRLNAIAEKHKGERVVVVSHEAVIEEICRHADPTISVGRKIPNTSISVVHISGSDGRWILEKFGDAGHLIGDGFPQSTL >KQK95096 pep chromosome:Setaria_italica_v2.0:VIII:30740951:30741882:-1 gene:SETIT_027114mg transcript:KQK95096 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIVCLVILSLNVNPATAAQCSCCVSARAKACCFACITAGGSDSLCKNTCCFPCVLSDSVAAKMEEMAVLAKMEEAGQA >KQK94408 pep chromosome:Setaria_italica_v2.0:VIII:14742393:14744160:1 gene:SETIT_027589mg transcript:KQK94408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDPLVVGHVVGDIVDPFITTASMRVFYNNKEMTNGSELKPSQVMNEPRVHISGRDMRTLYTLVMVDPDAPSPSNPTKRENLHWLVTDIPETTDTSFGNEIVPYESPRPTAGIHRFVFILFRQSVRQTTYAPGWRSNFNTRDFAAIYNLGAPVAAVYFNCQRENGCGGRRYIR >KQK95263 pep chromosome:Setaria_italica_v2.0:VIII:32768451:32769876:-1 gene:SETIT_027423mg transcript:KQK95263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WIAKVFIQNEQATTEIELFELGEGYFNELINRSMIQPMELEDNGYVYVCGVHDMVLDLVRSLSSEENLATILDNNDQQKLIGGSNTRRFAVHGRSVEEHNRTQLLPEGVRLLKQLLCLHVNDSIIVPSCLIRNLTSLQELKLVKDLTIHVNDESMERDLLESLANLHKIRTLCVFGSALVRGITGEEACFEALPLRLGQMCLECFKFSALPVWMDSTLLLNLTHLDVTVNLVQEQDMETLGRLPELCYLKLSSDHTKLLSIRKTTGDHLHRYFRKLRFFHTPFSFVQFDSHSCERDNDATTAPSIVMPSLESLVFSVYVRFIKDMDIIQPGFENVAGTSLQRVTATIQQCEDATAAEVEEGKAALAHAADLHPNCPTLRIEMENKHKMLSTEREVLTY >KQK93873 pep chromosome:Setaria_italica_v2.0:VIII:5346053:5347389:1 gene:SETIT_026909mg transcript:KQK93873 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPKKWKIKPSSTSSTSTHSRCACLFEKFAEKFKVEALPAFVVMQNFVKKRHVVGTADLKKEIDDAYAKFERNAQVDSSPNNGSEQRQAEENSQQELERHWSYPQQEKAARSSGGRPIGPARARVKNALLDNLLRFLEKRYRR >KQK93875 pep chromosome:Setaria_italica_v2.0:VIII:5346492:5347180:1 gene:SETIT_026909mg transcript:KQK93875 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSPKKWKIKPSSTSSTSTHSRCACLFEVRKSISLHMFSPTIPAQKFAEKFKVEALPAFVVMQNFVKKRHVVGTADLKKEIDDAYAKFERNAQVDSSPNNGSEQRQAEENSQQELERHWSYPQQEKAARSSGGRPIGPARARVKNALLDNLLRFLEKRYRR >KQK93874 pep chromosome:Setaria_italica_v2.0:VIII:5346053:5347389:1 gene:SETIT_026909mg transcript:KQK93874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSPVTSLVSEQDLKQKLAGATTKLVVLEFVKSDSQICEYVKRERDEIAKEMEDKAEFYELDIDTFKKFAEKFKVEALPAFVVMQNFVKKRHVVGTADLKKEIDDAYAKFERNAQVDSSPNNGSEQRQAEENSQQELERHWSYPQQEKAARSSGGRPIGPARARVKNALLDNLLRFLEKRYRR >KQK95102 pep chromosome:Setaria_italica_v2.0:VIII:30875411:30876615:1 gene:SETIT_027369mg transcript:KQK95102 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRTGTARARWSGALAAQNAPRWRIPPCNGRLPPGSLGLPIVGESFQFFKSSPSLDITDFYKLRLKR >KQK95140 pep chromosome:Setaria_italica_v2.0:VIII:31324999:31329376:-1 gene:SETIT_026139mg transcript:KQK95140 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPLAPLTAAAALRLGSRGLRHRLLLASLRPYASAPPSPASVVPAAARRLPTPPPAPRRLARTLAASAATAVSEPQTDLVSGPTTSSKGRIYHETYGCQMNINDMEIVLSIMKKEGYNEIVPDPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKREWKANVAEGRSKSLRPPKIAVLGCMAERLKEKILDSDKMVDVVCGPDAYRDLPRLLQEVDYGHKGMNTLLSLEETYADITPVRISDNSVTAFVSIMRGCNNMCSFCIVPFTRGRERSRPVSSIVREVGELWKAGVKEVMLLGQNVNSYNDTSEVEELEPGKNWQLSEGFSSMCKVKNMGLRFADLLDRLSLEYPEMRFRFTSPHPKDFPDELLYLMRDRYNICNLIHLPAQTGSTTVLERMRRGYTREAYLELVHKIRNVLPDVGLSSDFITGFCGETEDEHADTLSLLRAVGYDMAYMFAYSMREKTHAHRNYEDDVPEDVKQRRLTEMINTFRETTKKNYDSQIGTVQLVLVEGPNKRAPKTELIGKTDRGHKVSFASVPIPHTFEGDDARKPVVGDFVEVKILRSSTATLFGEPIARTSLSMFYKNAASQAQAVAA >KQK95551 pep chromosome:Setaria_italica_v2.0:VIII:35556410:35556667:-1 gene:SETIT_027139mg transcript:KQK95551 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAVYAGCGTGDGSRSAARARPATSSPSTKAATRGRSRMSAAFSSAALGLAVDPETARAVLPAPTPPQSATTGWTLRLPPAT >KQK94229 pep chromosome:Setaria_italica_v2.0:VIII:11317765:11320818:1 gene:SETIT_026365mg transcript:KQK94229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSSLRRALHARRPRAASPFPFAARFRPRPFSSASAAPAPSPKDARDAPPPPMPTRPWGEALAASQRSFFLPLAGRVLAASATGNAAVAPPAVHASLALAAAGARGATRRQLLQVLGCGGGGKGAAADAANVASRVVKRVLRDRSPSGGPQLAFAGGVWADASTRLSPGFVETARNVYCSAARTADFLNKPEDAAKQINLWVQESTKCTVTSLQPDVSIDQNTGLVLGSALYFRGRWLNRADIRSTTAQKFYCLDGTCVDVPFVEYDRTRPFAVHEGFKVIKLPYQRGWTERKFSMYIFLPDTHDGLFELTKKFFAEPLFLEQNLPTEKCHVDIRVPNFTVSFQIDMKEFLKEMGLELPFQRDADFTDMVKEDEPSGPLFLSDVLHKAVLEVNDKVIDEASFSTGIGKPSPAEHFVADHPFFFVIREEVSGSVIFMGHMLDPSSPS >KQK94228 pep chromosome:Setaria_italica_v2.0:VIII:11317765:11321071:1 gene:SETIT_026365mg transcript:KQK94228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQLSSLRRALHARRPRAASPFPFAARFRPRPFSSASAAPAPSPKDARDAPPPPMPTRPWGEALAASQRSFFLPLAGRVLAASATGNAAVAPPAVHASLALAAAGARGATRRQLLQVLGCGGGGKGAAADAANVASRVVKRVLRDRSPSGGPQLAFAGGVWADASTRLSPGFVETARNVYCSAARTADFLNKPEDAAKQINLWVQESTKCTVTSLQPDVSIDQNTGLVLGSALYFRGRWLNRADIRSTTAQKFYCLDGTCVDVPFVEYDRTRPFAVHEGFKVIKLPYQRGWTERKFSMYIFLPDTHDGLFELTKKFFAEPLFLEQNLPTEKCHVDIRVPNFTVSFQIDMKEFLKEMGLELPFQRDADFTDMVKEDEPSGPLFLSDVLHKAVLEVNDKVIDEASFSTGIGKPSPAEHFVADHPFFFVIREEVSGSVIFMGHMLDPSSPS >KQK94124 pep chromosome:Setaria_italica_v2.0:VIII:9220249:9221396:-1 gene:SETIT_0266522mg transcript:KQK94124 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEALHIRSMLGLLKIAGVLLSVGGTMIISLYKGKILHLWNPILHRHNEEDSKVLKVYPYKYWSSMATCLVGGFQTALAGVILRRDKNAWKIGWDINLVTIVYSGALATAGKYSLNSWAVAKKGPSYPPMFSPLSVVFTVVLGSIFIGDDITIGSLIGTILVIVGTYVFLWAKANELPEK >KQK95834 pep chromosome:Setaria_italica_v2.0:VIII:38745448:38749472:1 gene:SETIT_025859mg transcript:KQK95834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAICEACKELIWLKGSGEAENLPTPGLGVGRGGASPDPRLEVGRVGDRPSRGQAHPTPGLRSGEAESQAHPTPGRRTQGQTRRSSILVRDQESKHFEFRRWCCVSDDFDVSTIASNICQTNEKYREKSLQELQSTISGKRYLIVLDDVWNRDGDKWGKLKACLKQGGKGSAVLTTTRDAEVARIMTMGVAEAHNIENLSDEHLKEIVQSRAFSLQNPNMEEQDGILSGFVRRCVGSPLAAKAFGSMLSNRTSVNEWKDVLAKSDICSEKTGILPILKLSFDDLSSDMKQCFAFCALFPKDYEIDVDLLIRLWMAHDFIPVQEDDNLETVGKYIFEELTRRSFFQEVRQTLQFGYFGRSSLRKSTICKIHDLMHDIALSVLGKECVTIVGKPSINKVLLNPTRHVFLSTRCSHFWKEQVTSLLDHLLKKQTAMLHTLFIKGYGQPLDISKYTSLRALHLPAYIYIPCSGQEQLTRHIQHLRYLNLSSHEFEKLPEGISIMYNLQTLDLSYCKCLRQLPKDMKYMANLRHLYTHGCESLTCMPPGLGQITSLQNLTCFVIGDGLGCSTIGELQNLNLGGELELSGLQNVTEVLAKAASLENKEKLTHLCLKWNDDAREKPDSHNEVLDALKPHHRLEMLGIKSYKGTNLPSWITDLSLLQHLTELQLVGCTLCEEFPQFCHFKTLEVLYLKKLDKFLERWVATEGKEDELTFPVLEEVDIKNCPKLTSLPEAPKLRVVSLDEGKPLLSLGIVKSRHMSSISKLILCVRDTEALPQIDYNWDSSQKLELSLGGTEAAPLSHLNISGCNFFFVSSQSQLTPGVWKWFEHLAVLAIKNCDVLIYWPEEVFQSLVSLKELWIESCNKLIGPTQAKGGEPTQTTDQLLPHLNRICIRSCESMAQLFILPPSIRIIDIMDCPKLEFIWGKEEHLDTYTSLEHCRDPASTTGTLEQSPFPIIRRPCLVRLSIRLCDSLVTLPNLPPSLKDLSIYSCEKLCSVSGDLCALEELHIYNCNKLQSVNSLGDHPSLETLILYDCRCLASLGCDGGRGSYSALQSHKIEECPAIDMKQFY >KQK94495 pep chromosome:Setaria_italica_v2.0:VIII:19714191:19717263:-1 gene:SETIT_028108mg transcript:KQK94495 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGREGFLLLPLLILLALAAPGPAAAATANTADAGVILELAKSLTNPPPSWTGTDVCGGATFQGITCDGAGRVTGINLAKQSLSGTLPASLSDLTELQWLLLQGNALEGAVPSLARMGSLETLALDGNAFTALPPNFLEGLPSLRSLSMEDLPLKSWSIPDAVAGCAALLNFSISNCSVSGPFPAVLANLTSLQLLRLSYNYLTGGLPMVLEGLGSLESLQLNNQKSGEKLSGPIDVVAKLPSLKTLWLQSNSFTGPIPEFSPDSQLVDFNVRDNSLTGVVPPSLIGIASLQVVKLSNNKFQGPKPKFTATTVDIDSGNGFCLKDPGPCNPLVTILLDVASGFGYPPQLSVWAGNSPCGGSGNGQWLGVICKNNDVIQINLPRKNLSGMISPAFANLTRLQKLDLSNNQLTGKIPDSLTTLATLNYLDVSNNRLTGQVPEFNQPDIKLMTAGNRWGESDSDSGGGGGSDGGSSPSNPGSRNSKSNAGMIIGILLAVILLVVCVGLFLHHRRKKNVDKFSPVSTKSPSGESEMMKIQVVGTNGNSNVSGSIPTEFFSHVSADSTNIADIFESHGMQLPMSVLLKATNNFDEDYILGRGGFGVVFKGTLNGNLVAVKRCDSGTMGTKGLQEFMAEIDVLRKVRHRHLVALLGYCTHGNERLLVYEYMSGGTLREHLCDLQQSGYTPLTWTQRMTIALDVARGIEYLHGLAQETFIHRDLKPSNILLDQDLRAKVSDFGLVKLAKDTDKSMMTRVAGTFGYLAPEYARFQILGQTFSSACPPASCAPLAVYHSAHPAPPYRRPPSAPPGRLPMTSVGASTLHLRGGSS >KQK95663 pep chromosome:Setaria_italica_v2.0:VIII:36955367:36955812:-1 gene:SETIT_028499mg transcript:KQK95663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTMLTVKAPTSNFEPAQITVCAAVALCACMHDTLHLFYMHVSRVSWEY >KQK93823 pep chromosome:Setaria_italica_v2.0:VIII:4731644:4739277:1 gene:SETIT_026171mg transcript:KQK93823 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAGSGGGGGGGAGAGGLKTYFKTPEGRHKLQYEKTHSPAVVHYNHSGKTVSQMTVAYLKEKPAGQGSTPSTPSAGSGMRSAAARLLGTGNGSRALSFGSNGTSKAVSGSSRIGGGIGASTSASGSQGMANYDGKGTYIIFNTADTLFISDLNSHDKDPIKSIHFSSSNPLCHAFDPEAKDGHDLLVGVFSGDVYSMSLRQQLQDPGKKPVSYQHFINKDKDKDKDPSQGGAASSRCTCVAWVPEREGIFVVSHADGNLYVYDKSKDGNTDWTFPTVKDQSQLLISHAKSSKGNPIARWHICQGAINAISFSPDGAYLATVGRDGYLRVFDFAKEQLIFGGKSYYGALLCCSWSADGKYLLSGGEDDLVQVWSMDDRKIVAWGEGHNSWVSTVAFDPYWSPPNSDETEENVMYRFGSVGQDTQLLLWDLALDEIAVPLRHPSAGSPTFSSGSPSAHWDNACPPTGVLQPSPQMRDVPKLSPLVAHRVHVDPLSGLEFTSESILTICREGLIKIWARPSHSETNQQPDSSEQIVGNTTVKDKMLTSSNKAGATSSSFKQPSSVLFT >KQK94961 pep chromosome:Setaria_italica_v2.0:VIII:29137331:29140269:-1 gene:SETIT_028051mg transcript:KQK94961 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YTISVERSQLKNSCTRPSCICSTHPHSSLILRPPQRAGACVPRERDALLDFKRGVSSDTANVLASWQDDGQEQSDCCRWSGVRCSNRTGHVVELNLRNHAGSLYPLQEGLVGHISSSLLCLPHLRRLDLSWNALEGPTGSIPEFLGSLKNLRYLDLSYMSFSGAVPSQLGNLSKLEHLDLSYYGIFGNGSTESSDVSWAIHLPKLQYIDLGSVNLSATANWHRVMNMLPSLRLETLDLSHNSFDHSEIASCWFWNVTGLRYLNLGGTHLHGQIPEKLGRMTSLQALDLSNNNNLDVMASNLTYLCNLEILNLEQSLSSYVNIVELFEWLPHCSSSKLKELHLHSNNITGCLPPSIEKFTSLNTLSLFDNKLTGPVPSEVGVLSNLTTLDLSNNRLEGVITEEHFVNLNSLLWIDLSDNSLKIDVNHKWIPPFRLERAYFRSCHMGPLFPMWMEWQGNIHNVDISSAGIDDKLPNWFCTTFAKTIALDISNNKISGTLPKCMEIMSVEQLYLGSNKLTGHIPRLPRSLISLYIYNNSLSGPLPSNFGTPNIEILVLFSNYITGQLPGSVCDLQSLIILDLDNNLLGGELPNCNGMRSLKCLQLRNNSFSGQFPSFLQSSTGLILLDLSQNKFSGRLPMWLCDLMDLQFLRLSHNTFSGNIPTNISCLKYLQYLNLANNSMSGFIPYHLSNLTAMTRKNPFRHTMYPLVDAAAIIGDFLVNTKGQALIYHSVTILDVVTLDLSFNGLTGEIPQEIASLDAVLNLNLSWNHLDGVVPKMIGAMQSLESLDLSKNMLSGEIPSSLSKLTSLSYLDLSYNNLTGRIPQGSQLDTLYSENPSMYDGNNGLCGPPLHRNCSRNDTSRSHDQKSYEAGFYPVSFRFGLASGFLLGLWVVLCVLLFKRSWRFAYFRLFDKLYDQIYVFLVVSWRSMEMRYCKGTKVNT >KQK93627 pep chromosome:Setaria_italica_v2.0:VIII:2419819:2422240:-1 gene:SETIT_026226mg transcript:KQK93627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTMIAAGFILLIWCQAAAAAAAWSGGSSRPPTVPARRYTSPLRRQHATAGDGGGGVAVPPMVQYETRHYTQRLDHFNSAPSSYATFQQRYLINGTFWRGKTSPIFLYAGNEGDVELFTNNTGFMWEAAPRFGAMLVFIEHRYYGKSMPFGGKEAAYRDAATKGYLTVPQALADYASFVLSLKANLSAPAAPVLVLGGSYGGMLAAWMRIKYPHIVMGAVASSAPILSFYGLADPYAFYDRMTDDFKSESKHCYEVLRDSWAVLDSLLATKEGSALLKRTFNMCNGSSVEDIPSLLESAVVYAAMTDYPTPSGFLTALPAYPVREMCRAVDDPSSGGNGTLARVRAAMDVYYNHTGAAACFRGEEEDDPYGMYDGWNWQACTEMVLMTYGLSNDSILQPPWPFNFTDVIASCRNATGLPPRPFWIETEFGGYDIGNVLKRSASNIIFFNGLRDPWSTGGVLKSISDSIIALVEPKGAHHVDLRFSSEDDPDWLKQVRAKETRIIARWLKQYYSDEAIST >KQK94123 pep chromosome:Setaria_italica_v2.0:VIII:9184967:9185353:1 gene:SETIT_028062mg transcript:KQK94123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELEHNLELTLFHRSSSTETGFFVCIYCDRKFFSPQALGGHQNAHKYERSLAKHRREITAAMRAHSATMDAPRRPKGGVRIVVAADNRSRQGMKKAALVPALLSNKERSLEPGYGADSTDELDLSLRL >KQK95549 pep chromosome:Setaria_italica_v2.0:VIII:35541445:35541853:1 gene:SETIT_028454mg transcript:KQK95549 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRCLTRKLCNIFTSVRTKYNSKHYITLP >KQK94800 pep chromosome:Setaria_italica_v2.0:VIII:27128205:27129426:-1 gene:SETIT_027745mg transcript:KQK94800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EARQAPRAAVLGIGTANPANCVPQNEYADWYFRVTKSDHLAKLKAKMKRICHNSGIKKRYFHHTTDTFRDHPEIVDRALPSLDARQAILAPAVPEIAAAAAAKAIAAWGRPASDVTHLVLATYSGAHMPGADLRLASLLGLRRSTQRTMFYLGGCAAGSAALRVARDVAENNPGARVLAVCAELSLVLFRAPRVADPGTLVMQALFGDGASAVVVGAGPEGSGGVECPMFEIMSASQTVIPGSEDAAAGHLGEGGLAFCPSPRMPNLVRQHVEECLLDAVSPLGLGGGWNDLFWAVHPGGPAILDGVEAELALAPGKLAASRRVLTEYGNMSGASMIFVLDELWRRHRQEMDGRLGVMLGLGPGISVETMVLRSMSGTEKK >KQK93739 pep chromosome:Setaria_italica_v2.0:VIII:3689555:3694444:1 gene:SETIT_028209mg transcript:KQK93739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALDSDDDHHVGELTESDVEMSRRIFPNRRDPRVHEFSDLAHSDQACAEGRDDELLKAPEAGPNMVIEKGRRPYKVLHSYAERRYTVVCDKERCPWRVCARKQKVTGKWKITKVVGPHNCADHVLTLKHRQLTSTLIAKRLIEILTVEALYGGYVISYGKAWRAKQRGTLLIAISCDTNNKLVPLAFALVEKENNDSWGWFLRLVRIHVVGPGREVGVIFDRHQGILNAVREQIEGYAPLHHRWCTRHLAENLLRKDGVKDNFDLFQEAARQLEDKDLEKWTRAHNAGGWTYEFQCSNIAESFNQLLLGIRVAYLQQRGMPMNAIIQFIFYKLVAWFNDRHAHALKLRSDGEIWALKPKEHLEKANERAGTHEVTCFDHATGTYQVEHRGGTTFDSEVQESRMHVVILQDFTCTCAARHHNYDIGIPHEFSVDTLVHTWSPHFVPFWDPGEWPLYDGPKWRPETHSFHLPFGEMTVMLQDCQKMLGLRIRGNAVSGQCRLESWRARVQAFLGHELDELGAHTSGCPEEVDEETVGHCCRAWILHLFACVLFPDATGGTASWMWVHCLIDWYQAVGRPEVLAHREWFLGEPPSRQPTWAYLWDQVRVPHVRLERAYIEFTNELDMLMASSESYDGEGALPFQLNNMCGCGDDLYRMRCPLICFYAVKFYLPDRVARQFGVR >KQK95653 pep chromosome:Setaria_italica_v2.0:VIII:36893543:36894962:1 gene:SETIT_027911mg transcript:KQK95653 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TEEELRLLEEYYDAHPPTSFSQAFAELEAANHAALTSLAAEWGIEPPTRRTFLPHQAQQKASAAVAHPQHSAVPRKLRQEASDEEIVENAKKWMRDEVTLVFQNYIGRRDDLKIVDYHLDELCHQCVSVEDYHNIFHHYNFTVKLKKVNSDDWVSALYFAEVKQMFGRKSYFCCPLEPNENGRCYACQNQGVDDLRHPATGGFTDVGYGLWYPDE >KQK93858 pep chromosome:Setaria_italica_v2.0:VIII:5241416:5241915:1 gene:SETIT_027187mg transcript:KQK93858 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHEPWLPEMLKVNGVVCAGGYGGESVRSGPVPECLQPHDHSVRNRERMAPIFGSKRDCLVERREGSWVGSM >KQK94280 pep chromosome:Setaria_italica_v2.0:VIII:12328449:12330018:1 gene:SETIT_026791mg transcript:KQK94280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASTASRPLTPFLYRTRRRANAVVIVAAASTPDAEPAAAAGAAQGKKKTVDTRIHWSDPDEGWVGGKAKKEGDGDGRRGKNEPLGGRFADLINAASESHYQFLGIAPEADLEEIKAAYRRLSKEYHPDTTTLPLKTASEKFIRLREVYNVLSKEETRRFYDWTLAQEAESRRLQQLRSRLEDPYEQDIENYESVPDMVDRLGGRNMKLSDQAMTALTVDIVIIIFSVCCIIYAVFFKEQY >KQK93345 pep chromosome:Setaria_italica_v2.0:VIII:668341:668853:-1 gene:SETIT_028531mg transcript:KQK93345 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSGHSKIYSVGHLGLHAPSQEISTGNKVYLVNNIVFLGI >KQK93884 pep chromosome:Setaria_italica_v2.0:VIII:5577263:5577920:1 gene:SETIT_027132mg transcript:KQK93884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATAVKIAAIFMLVLTMGHLMAEASPQPRRLLAETEHAGVSGNGNPGLPGDASVMQILFLAQRNFREMAV >KQK93883 pep chromosome:Setaria_italica_v2.0:VIII:5577263:5577920:1 gene:SETIT_027132mg transcript:KQK93883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASATAVKIAAIFMLVLTMGHLMAEASPQPRRLLAETEHAGVSGNGNPGLPGDASVMQVLEPALASVVACKPGCLIFMPAPVCIC >KQK94880 pep chromosome:Setaria_italica_v2.0:VIII:28334350:28335818:-1 gene:SETIT_027894mg transcript:KQK94880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQVRLEPGCLRIGVAPAEFRISPPPPSSPSPSPQSCTSPNWTIDVSDVRTIKVTNIPLSATAENMKEFFSFSGEIEFVEMRRDSETSQVAYVTFKEFHGADTALLLSGSSMCGDVAVNITPVEDYELPPEAYSNTEHGLSSPGTPTGAAVRKAEEVVSTMLARGFVRSKDALRRAQSFDGRHQQLLSSATSRVASLDRRLGLSDKFSLGTAVARGAARGVDERFNVTERAWGALAVAGEVVAGSPYASRGAAWVSAAVGAVARAASDVGAMTVEKVARAEGEGTAADGTGSGGARRRA >KQK94900 pep chromosome:Setaria_italica_v2.0:VIII:28523791:28524959:1 gene:SETIT_027716mg transcript:KQK94900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDAMEMPILSMLQQIKSQLMTRYYNKEKEVGDLWQGPICPNIRNKLRKNCELANICYALPVGKGVFEVQGRTNKYIVDISLKTCDCRRWDLTCIPCSHAISYLRHERIPPESVVHDCYSSTTYMKAYANTIWPCKDKSAWEKVDATEVLPPKYEKKVGRPLKSRRKQPHEVEGPNGPKLSKYGVIIHCRYCGGSGHNRDGCQQRKKDLYEPSTPQASTHQAGEIIDDDPFISQSVPLLSQLSNTMVSQMVTENRTIGEQPLPDSAFIISNLPATRPVPPTTTTMVGRARVAKRKEGTTSTKKVSAPKKKDPKKGASRQS >KQK94938 pep chromosome:Setaria_italica_v2.0:VIII:29010543:29012510:-1 gene:SETIT_028099mg transcript:KQK94938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESIWQLINEWEIQLLVLLSFIIQVFLFFTGSLRRCSTNGLLRGTMWIAYLGADVVAVYALGFLSRQEDAIMENGTLRRTHPLAFFWAPFLLIHLGGQDTITSFAIEDNNLWLRHLLNLVVEVTLALYVFWKSTIWRNVQLLVPGIFVFVAGIIKYGERTMALRYGNLYNSRSAGSSFFNEKTPQMGLDDGYYGFICFALLWASGIRMLFARRMTDDIDNQFERPARMNALFFFDEYATNTLDHSQLIKLLDVELGIIMYDDLYTKAPLLRKRSGIILRCISQVSAIVALVLFSITSKKQADRYGRADIVITYILFTGGFLLEVCAVIMMLASPWTWAWLEAEGYRRLASISRFLLSSKVFGLPETRLLWSGTMGQYSLLSYIGFNEQVNLSQRVMSMIRKMAGALGIGEAKKLFWVSKLLDTKSEVVDDKITEFLVEEIRHFTHGGQRQWPHLGPFLKETVTLRTDFVTTISLLHLITEIHLSEVSASIAGDIGGDSAALANVCRKLSNYMFYLVVAHPASASLLLVAAGSPESAIEKVRESFLAVVSSSKDDTLHAASVEIRKLVELPLTERYEETLEELKNMWLRLVIYAAGKSRPEAHAAQLAKGGELITFVWLLMAHYKLGDCGSERIDLTQARGNDPDIPPRALRAFNL >KQK94184 pep chromosome:Setaria_italica_v2.0:VIII:10577193:10577538:-1 gene:SETIT_028283mg transcript:KQK94184 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYNRITYRLLKMPAFFWSLDAGGCCCPWFPEGPTKSPYSARMNANNGAQISSNSDEGDSTWIAESFDRIWKCDEVCIDVDCHLPGVLPVFCPSAATGC >KQK95674 pep chromosome:Setaria_italica_v2.0:VIII:37035480:37037561:-1 gene:SETIT_026996mg transcript:KQK95674 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHEEKDEDTRTVTDALKSTPGVAELLLRHCKWLPQVVLFEWCNNEEALRDVADLPRNGRPVENITMMKALAQQAGEVFLASNCCYAHYLVGSSDCKSAHQVGKFRSSRCPFLPCYQAAASPFYFGSQGVLQLPT >KQK95695 pep chromosome:Setaria_italica_v2.0:VIII:37279765:37280361:-1 gene:SETIT_028548mg transcript:KQK95695 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMMLLHVFVPKPSQRPRQVARQSSWMSAIAAGGPMESRASAMQAATMAILSIAAAMVIRTS >KQK93807 pep chromosome:Setaria_italica_v2.0:VIII:4553069:4553728:1 gene:SETIT_026906mg transcript:KQK93807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILCTVLAIGVIVAGVAVFAVYIFFKPKMPCLVVSDAHLVLLQYDQGGTVQNLQMSITVRAENNNSKADAAFSSIDLALGFHGADIVLLRAEPFVVARNSSLPLQYNVLAVGPTLDLDGMRAMDESLKAGMVPFDLFGKARTRWKVGVFVKLRYWTRISCRLRFFFPGNGTVVPTDRDRCRSR >KQK93769 pep chromosome:Setaria_italica_v2.0:VIII:4187176:4192292:-1 gene:SETIT_025911mg transcript:KQK93769 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRRSWLWKRKSSDKSPGESDSSGSLSSHSEPYFDDQEVKPVSSISSPNHSHSPEVSSRMMTDDETQEAGTTKSLNEKLLLATILNDSSPQHGQSPQPEVCQSPQPEVSPVVQDSVKSLNEKLSPKVRDDDVQDSVKSLNEKVSAKVRDDDIPDSVKSLNEKLSAALLTINAKEDLVKQHTRVAEEAVAGWEQAEAEVASLKQLLETASQKNTSLEDQVSHLDDALKECVRQLRQAREEQDKKIRDSVAKKSKELESEKSELQNHIAELSKQLEATKVEATAMQVQRDLQEKLQIVEKENKDLKVELLTLSKDLKILARERDLSNQAAETASKLHLESVKKITRVEAECLKLRHLTRRTSLANDSRPIANSACMESQTDSQSDSGERMLVVDDEMKNSDSWASALIAELDQFKNGNSGAINLVNNPVEIDLMDDFLEMERLAALPESDRVSSSFGAETDSDQGVTTDKSSKVETESLMRQVADLHGKVDKIEVEKRDLEMALADAKDQLGTSCEALMVANNKLVELQMQLDLANESKHAALGQAERLNGERKDLALQLESKSAQVEELQLMVASLEEKLDRKELELQLELISAEAADLRKTVTSLEEQIDAERILSMQHKANADMAEASKESMEAQLRSANTEIGKLNGIMQTLESEVQKEKASREELLEQIETMKIESKRSLASASTKESLEAQLQVVNSEVAKLHGTVNALECDAAKEKAYSSDLQMQLEAVEGIRKVLESELESSHQETMKLREKVSLLEVRLKDQTSLLVEFTAKSEDAVSRRKAMEGQLEAANLELTKLRNRISLLQGKVEQEKLLSEEYEAKCRKLEAQLSRDSREAKLWRLSNSNGDLKVKQEKELSGAAGKLAECQKTIANLGRQLKSLTDIDGVVTDHEDLEPKDTHLDFRDGDDGLLSADMADGLHELGLPKRNGSCFSPIRPNPSSSPPSGSPVFSGNLTSLSSYLSKTKK >KQK94192 pep chromosome:Setaria_italica_v2.0:VIII:10739037:10739532:-1 gene:SETIT_027161mg transcript:KQK94192 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIGPTCCSVLGHGLNIHIFSIRKLVREQLTIIYIPRAPLVGSTKGRGKMSPAQAQVTGVTLLPTCVVARVPASSRVLC >KQK93265 pep chromosome:Setaria_italica_v2.0:VIII:262019:263489:-1 gene:SETIT_027750mg transcript:KQK93265 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGAAREAKGGLECRVTVSEGASVTVEHEAAGGAAARAWWAWLLACVAAAWGRVAGFATEVWRIGADDPRKAVHGLKVGLSLALVSIFYYIRPLYDGVGGAAMSAIMTVVAVFEYTVGGSVYKSFNRAVATASAGVLALGVHWVADKTGEFEPYILTGSIFLLSAAATFSRFIPTVEALFDYGVTIFILTYSQLVAVSGYRVDELAALVQQRIFTVAIGIFMCLAVAIFVCPVWAGQELHLLTTLNMEELAAALDCVEDYFAEGPAAQPQARSDGYRCVLNSKDSEDEQANLAWWEPAHGRFGFRHPYGKYGKVGAAMRACACCVKALSTCASAEAPAPEHVKRLVRDACTRAGARCAQVLREASRSVATMTTSSRALSLAVADMNTAVHELQGDMRSLPSLVDDTMPVFTVASQLVELAARVEGVVDAVKELATLAC >KQK95970 pep chromosome:Setaria_italica_v2.0:VIII:40208494:40210658:-1 gene:SETIT_026282mg transcript:KQK95970 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAAPNKDNRPLCTASEIINLYLEEGAGIFRNDDKATWTQVVLEAVLLYIKYYDGDNETLRSLHDMLNLGMAIEPLLRPILDALIHAKGPTAAATANGGGLQQLAAAPHDPDAVSNGVESDEAPPASMEDYIQEHAGAILQALCHAQGLALDSSPFQEGIKEFAEGLRRTLLNPDFVRYALLRPKYDGEGLRKVVRGKLGDRELKETVTNVVVPTFDIKRNKPVVFSTSKARQDRVMNPYLSDICIAATAAPTFFPAHKFNILSILPLNFQEFNLIDAGVFANNPTTVAMNEVWRMIDRGEDLPVEGISAMDCSKLRILSVGTGVVNHSYTADECNWWGLLPWVYNVRNKTQPLIDTLMYATGSLVDYNVALLFKSQGYENNYLRIQEDQLDPALGGMDDTSSMKKLIDVGENLLDRQVYRTDCETREYQPVKGAGTNKEALTKLAEQLVAERRRRGAAAPMLLKVTEITTVVEPRPKRLKPTYVVQ >KQK94032 pep chromosome:Setaria_italica_v2.0:VIII:8008217:8009377:-1 gene:SETIT_028188mg transcript:KQK94032 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERETMDVSSENYISHLSDDCLLSIFNKLESELDRNAFGLTCRNWLKVRNIGRKSLTFHCSFNPTIDKEHARCIPNILARSPCLNRISLAGLAELPDSALNALRMSGSSLQSLSLYCCSGMTDDGLAQVAIGCPNLVVVELQSCFNITDAGLESLSKGCCALKSLNLGSCMGISDRGVSAIFSNCSNICTLIITGCRRLSGVGFRGCPITLRYLEAESCMLSPDGLLDVVSGGGLEYLNFHKLGSSTGLDGLGGLAFAKRLRILNLRMCRYLTDDSVTAIASGCPFLEEWNLAVCHGVHLPGWSAIRLYCNKLRVLHVNRCRNICDQSLIALGDGCPHLEVLHINGCAKITNNGLAFFTISRPHVNLRVDEVMSIGPSIENLFRMQ >KQK95118 pep chromosome:Setaria_italica_v2.0:VIII:31113019:31115833:1 gene:SETIT_026904mg transcript:KQK95118 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAWMSRVWFLMFPAREYKLVVVGLDNAGKTTTLYKLHLGEAVTAAPTIGSNVEEVVFKNLRFEVWDLGGQESLRTSWATYYRGTHAVIVVIDSTDRARINIIKDELFRLLQHADLEGAVVLVFANKQDLKDAMSPAEITDALSLHSIKNHDWHIQASCAITGEGLYDGLGWIAQKVAGKATAS >KQK94622 pep chromosome:Setaria_italica_v2.0:VIII:23627046:23627658:-1 gene:SETIT_027010mg transcript:KQK94622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDIRLPADVLLSVISRAAAGPRDACIVATVSPAFRAAADSDTVWSRFLPADFAPLVYPAPPPRSKKELFLRLSGNHALLEDGLTSAWLDRETGAKCYMVAARAMRIIWVDTPDYWRWIPREDSRFA >KQK94627 pep chromosome:Setaria_italica_v2.0:VIII:23655412:23659110:-1 gene:SETIT_027912mg transcript:KQK94627 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein CTSYRCLQQVILIGILHCEDRDRVSSFGIKSLCCHGRMYTKLPFYGSIDSEEFLDWEEQMEHELELQDFPKAKKVSRARIRQGGKSVQSYHDELSCAMCRANIVDDMDAKEYIKRGLNPNIAAAIEGKYARSVQNLLVSAIKEERKIKELQQDTISWYIICAKASLQADIDGSTKIVGVPLPLEVGKARFNNESAHALAAPNIDVQVVQQNPRENESHIAKLTSTMSERYTPHKKRELDCKTCENVYKSNPLIPTSSVVSQSVQVCKKIEQEMVAHHTTPLDVGSEKIATYVQLITHTELFAKLSPTECLCYTMLRKPIEIDQMLKNISMITSMRSLNNAHSCKFTFNLICEHFINKFFVCAICITCDKLVDF >KQK95352 pep chromosome:Setaria_italica_v2.0:VIII:33704973:33706445:1 gene:SETIT_026464mg transcript:KQK95352 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPPEEERPPLPRPKPKPKPPKPEPKTGPEPNPKPKPPRPLPQREGTPGGVPVLPVPDPFACANNCSDSCAFYRLCPPPPPPPSPAATVHISSGRLPTPLIALSASLLAVSAVLLLALLVHRLVRRRRRRLARNAALAAQHDAEGGHVLAGAVAEGLGGDEEEEEADGGGVHHVWYIRTKGLDERAIAAIAAVVYDAGKKRGAGAVDDGGDGSCAVCLAEFRDGETLRLLPRCGHAFHRGCIDTWLRAHVNCPLCRAPVQVAAAAASSNTVPGAATATPGGERQPERNLRADGGVGQTEETERGGVPERAAVRRAASMVALPRRAWPDVSFRAPASSSGREGDMTGLGKIMRLLKFSDSMEMTGIGAGRSVSFSAGSCQHPPARSGPSAAGVSSDEMPR >KQK94404 pep chromosome:Setaria_italica_v2.0:VIII:14591113:14597249:-1 gene:SETIT_028267mg transcript:KQK94404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VLKQGVKENGEEIAVKKLCYFNIELDDKQFEQEYNNLKTLQHPNIVRFVGYCYETQNRLHMFNGKQVFAGETYRALCFEYLHNGSLQNHLSDELDWHTRYKIIKGTSEGLRYIHEQTEPILHLDLKPGNILLDKDLVPKIADFGLSIIIGKELTTMITESAIETRGYQPPEFVKGDVISKEFDIFSLGVVIIKIVTGPEGYPKYDGKPSEDFVDEVQEKWRNMLQATWSFKICIQIAYNCLEEDRHKRPKIKNIIDRLNELETEISKGCQSMVLNQLNVKPLHLHFPSEPNKSMVSCSLHLTNYTDDRVAFRLQIENLEEYFLGPLCGVVTPRSCYTLAVTMRHKLKLLPEFFKLESAIGGSEELTDFSSYTAVGEHDQFFTKAKQEGREVQQIIPRQYPGANPVDVHPTEPWFLIKISRFVHIWNYETQASHNSFQDAKFIAREQWFVAGNRHGFIHVFSYNTKEETKSFKARDFSVICLDIYPTMPYMSAGHDHLIKLWNWGKDWKCVGKIDVQIQSAGHNNLINLMRQVKFNPKDANNFACAYIDGFLQIYLIIVSWDNKARIWDFGSRKCVRIFEGHTDCVCIVRSHPDHPILIMGLNDGTTSLWNSITFRQAPGILNFGLGMVSAIACLKGLSSGMMIE >KQK94884 pep chromosome:Setaria_italica_v2.0:VIII:28378467:28383266:-1 gene:SETIT_026627mg transcript:KQK94884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARVVVAIVLAVVAAAGASLVAPAGAELTRVEHPPKTEGSLNILAVGDWGRRGQYNQTLVAEQMGLVGEKLDIDFVISTGDNIYDDGIANTSDPLFKECFTNIYTAESLQTPWYIVLGNHDYTGNALAQQDPAIREVDSRYLSIAKSFIVNSEIADFFLVDTSPFYLKYWNSSKYDWRNVSPRDTYIETLLKDLDDALTLSEAPWKIVVGHHPISSGCEHGNTTELQQLLRPILEARGVDMYVNGHDHCLQHISSRSSPVQFMTSGGGSKAWAGKFKTTSDKIEFIYDGQGFLSMQLSKTEAHLVFYDVAGNVLHTYDSTKTEEDE >KQK94461 pep chromosome:Setaria_italica_v2.0:VIII:17724898:17726035:1 gene:SETIT_028298mg transcript:KQK94461 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNMKLDQQIPMLLGPHHMPETRGLCLSEEQTVSSILRRPRIGGNRLLDMRTQPQKLTRRCEVTAILILQVLTGSILAHELMHGWLRLKGYRNLNAEVEEGICQVMSYLWLESEILPASSRHAPSSSYALSSSSSYPPTSSKKGGISHTEKKLGEFFMHQIANDTSTAYGDGFRTAYAAVNKYGLRQTLNHIRLTGGFPA >KQK94665 pep chromosome:Setaria_italica_v2.0:VIII:24450811:24451671:1 gene:SETIT_026966mg transcript:KQK94665 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQAEVENPAKQSNTVMAHYMKLLILLPALLLIVQAQGARPAASPKKCAASSVTVEQSNTGEKAGYDPVFVVAVRNTCGCAVRAVYLRSEGFASSVAVDPRLFRREGRDYLVGNGCRIEPNSAVRFRYAWDRAFRMTAAAVHDDCS >KQK95987 pep chromosome:Setaria_italica_v2.0:VIII:40327935:40331824:-1 gene:SETIT_025866mg transcript:KQK95987 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDAMVPYVKKLITDMAEEEVSMLLGVSGEIEKLEDNIEGIKAFLADAERRRLTDQSVQRWVRKLKDAMYDATDIIDECHLEAADKRRGSTEDGSSVKKKGCFQPLLFCIRNPVFAHKIGSRIKELNQRLDGIHKEADRFKFSINLGSNPEPRKLTDAERSTQNMTSEFDESAIVGEKIEQDTRELAQLLITGGLHDIKVVSIVGTGGMGKTTLAQKIFNEVTIQDHFKVKVWLSITQHFNEIELLKTAIEHAGGVHGGTQDKTHLTRTLTKTLSTGRFLLVLDDVWCNQAWSHVLSVPVKNASQKQQGNWVLITTRSEDLAQRMGTSFYQHRVSPLNEEDAWSLLTKQLPPSPNPVVGTDYLRDVGMKIVKKCDGLPLAVKVMGGLLSMRSRSEREWEAVLNHHAWSVTGLPKELDSRIYLSYEDLSPQQKQCFLYCSLFPKGTSISWLKVIPMWISEGFIQPHADKSSSHDDQLEEIATEYYKELITRNLLEPQAPLTAYHCTMHDVVRSFAEFMAREESLVVEDMQVVRGSNDSLVRRLSIGPTSLVPGLAALQKKGSVRTLFINSKMDFELSDSLNSFSMLRVLSIHGGDCDRLVGSLCQLRHLRYLGLEGTNISRLPDNINNMKFLQHIVLTGSVHLENLPRTIIQLVHLRTLDIFGSNDNVVIPKEFGMLRNLRILGFRVRMDMDGGWCSLEEIGPLSKLIRLSLHGLEDVSASSLAEMARISSKEHLEYLALYWSSGCMELRDEIEKQQQQQVVEEVLEKLCPPPRIHHLYIKGYFGRTLPNWMMVVEACAFKSLSILSLQDLPCCTKLPDGLCRIPSLKSLVIEDAPAIKSVGSEFQASSSSSTASTSFPNLTHLSMEGLCEWEEWEWEEQTVDVTAGAMAMHALEFLKIHKCKLSCLPPGLANNKRHALRGLYLYELSNLASVENFPSVVKLDVFDCPKLKRISGLSKLHKIRIVRCPELEVFQGVPVLDIIVLVDATMETLPGYLACVNPRFLKLGCSKELHDSIISGSSSECEKISHITKLDINCIERRFR >KQK95986 pep chromosome:Setaria_italica_v2.0:VIII:40327935:40331824:-1 gene:SETIT_025866mg transcript:KQK95986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDAMVPYVKKLITDMAEEEVSMLLGVSGEIEKLEDNIEGIKAFLADAERRRLTDQSVQRWVRKLKDAMYDATDIIDECHLEAADKRRGSTEDGSSVKKKGCFQPLLFCIRNPVFAHKIGSRIKELNQRLDGIHKEADRFKFSINLGSNPEPRKLTDAERSTQNMTSEFDESAIVGEKIEQDTRELAQLLITGGLHDIKVVSIVGTGGMGKTTLAQKIFNEVTIQDHFKVKVWLSITQHFNEIELLKTAIEHAGGVHGGTQDKTHLTRTLTKTLSTGRFLLVLDDVWCNQAWSHVLSVPVKNASQKQQGNWVLITTRSEDLAQRMGTSFYQHRVSPLNEEDAWSLLTKQLPPSPNPVVGTDYLRDVGMKIVKKCDGLPLAVKVMGGLLSMRSRSEREWEAVLNHHAWSVTGLPKELDSRIYLSYEDLSPQQKQCFLYCSLFPKGTSISWLKVIPMWISEGFIQPHADKSSSHDDQLEEIATEYYKELITRNLLEPQAPLTAYHCTMHDVVRSFAEFMAREESLVVEDMQVVRGSNDSLVRRLSIGPTSLVPGLAALQKKGSVRTLFINSKMDFELSDSLNSFSMLRVLSIHGGDCDRLVGSLCQLRHLRYLGLEGTNISRLPDNINNMKFLQHIVLTGSVHLENLPRTIIQLVHLRTLDIFGSNDNVVIPKEFGMLRNLRILGFRVRMDMDGGWCSLEEIGPLSKLIRLSLHGLEDVSASSLAEMARISSKEHLEYLALYWSSGCMELRDEIEKQQQQQVVEEVLEKLCPPPRIHHLYIKGYFGRTLPNWMMVVEACAFKSLSILSLQDLPCCTKLPDGLCRIPSLKSLVIEDAPAIKSVGSEFQASSSSSTASTSFPNLTHLSMEGLCEWEEWEWEEQTVDVTAGAMAMHALEFLKIHKCKLSCLPPGLANNKRHALRGLYLYELSNLASVENFPSVVKLDVFDCPKLKRISGLSKLHKIRIVRCPELEVFQGVPVLDIIVLVDATMETLPGYLACVNPRFLKLGCSKELHDSIISGSSSECEKISHITKLDINCIERRFR >KQK95988 pep chromosome:Setaria_italica_v2.0:VIII:40326392:40331824:-1 gene:SETIT_025866mg transcript:KQK95988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDAMVPYVKKLITDMAEEEVSMLLGVSGEIEKLEDNIEGIKAFLADAERRRLTDQSVQRWVRKLKDAMYDATDIIDECHLEAADKRRGSTEDGSSVKKKGCFQPLLFCIRNPVFAHKIGSRIKELNQRLDGIHKEADRFKFSINLGSNPEPRKLTDAERSTQNMTSEFDESAIVGEKIEQDTRELAQLLITGGLHDIKVVSIVGTGGMGKTTLAQKIFNEVTIQDHFKVKVWLSITQHFNEIELLKTAIEHAGGVHGGTQDKTHLTRTLTKTLSTGRFLLVLDDVWCNQAWSHVLSVPVKNASQKQQGNWVLITTRSEDLAQRMGTSFYQHRVSPLNEEDAWSLLTKQLPPSPNPVVGTDYLRDVGMKIVKKCDGLPLAVKVMGGLLSMRSRSEREWEAVLNHHAWSVTGLPKELDSRIYLSYEDLSPQQKQCFLYCSLFPKGTSISWLKVIPMWISEGFIQPHADKSSSHDDQLEEIATEYYKELITRNLLEPQAPLTAYHCTMHDVVRSFAEFMAREESLVVEDMQVVRGSNDSLVRRLSIGPTSLVPGLAALQKKGSVRTLFINSKMDFELSDSLNSFSMLRVLSIHGGDCDRLVGSLCQLRHLRYLGLEGTNISRLPDNINNMKFLQHIVLTGSVHLENLPRTIIQLVHLRTLDIFGSNDNVVIPKEFGMLRNLRILGFRVRMDMDGGWCSLEEIGPLSKLIRLSLHGLEDVSASSLAEMARISSKEHLEYLALYWSSGCMELRDEIEKQQQQQVVEEVLEKLCPPPRIHHLYIKGYFGRTLPNWMMVVEACAFKSLSILSLQDLPCCTKLPDGLCRIPSLKSLVIEDAPAIKSVGSEFQASSSSSTASTSFPNLTHLSMEGLCEWEEWEWEEQTVDVTAGAMAMHALEFLKIHKCKLSCLPPGLANNKRHALRGLYLYELSNLASVENFPSVVKLDVFDCPKLKRISGLSKLHKIRIVRCPELEVFQGVPVLDIIVLVDATMETLPGYLACVNPRFLKLGCSKELHDSIISGSSSECEKISHITKLDINCIERRFR >KQK93722 pep chromosome:Setaria_italica_v2.0:VIII:3592629:3594642:1 gene:SETIT_028199mg transcript:KQK93722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFPSRVRLLRGVESTNRGVLPRCLAPHASSPWHGGAAQRHYHHHHQLQQEDEWDESKAVKVTVWWDFQRCRLPSRADPRCLVPRLTAALRRAGIRGPVNVTAFGDVTLIPRAEREALTDTGVSLSHVPYSGKDSLHQSFMPDLVSWIAQNPPPAHFLLISGDEDFAKVLHRLRMSNYNVLLSSPNDSSKMMRSAATFMWPWEPLVNGVGLVPKYLNQPPDGLSSWYGQYRGCGDDLLLEPKKPMALQRNTKEPKVPKSVVIGIKQVLQFYPEGVSVSNLQAQLKRINVFIDEGFFGFRRFSVLLKAMPDVVKFIDPLPGDTEPAVVEVFKSSVESSEQSSFSRMDSAQSSIEEKHHNESESEELSSVDDQPSSSELPSCTEKKTLVTEVLLSPLEQVSRGHKKAGLTQRAERPSNHVEADVTLAGDVPSPPSDAPSIDQRNAAVVDLVKKTEQPVNRMEADKVDASGTPSSSGAQGSISNKRGLFERISSLWNGQ >KQK94926 pep chromosome:Setaria_italica_v2.0:VIII:28864331:28865753:-1 gene:SETIT_028239mg transcript:KQK94926 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHANNRDARGAMRALSGDAITFKNKALLAVRPSPGQCLRPVPNGIILISLDPGRGLITSSPSPPARNRSGLNSSGLVHAFGSLPMSSAMNVKRTGEPSGGGAVPPTVAPLASKTSRRMNGTGGCSRRLSRMTARRYGRSRRLRDEASERPLDACHDRLGAGSQKPPEEPDDLVVPERAPPGVVGGVETVAAGRIAIVVGDAKVEERVNVRADAAAGAAVATPRPPPCLDDRDEDLGEPAAGADEVSPLPPAHQPRHPRARQKPSIDVVVEELAQRAPHGAQVALADGAVAEAQARRQTEHGVVQRVANDDDGRLAAGSSPPGGRAEVREEGLGGVPLKRREAGEALGVEDAGGEVAPEHAPERALGRAVDARGLGVPGVEVHREGHGRVVGGVGVVDDHAPGDVGIPDLNYCWHDPGIAGAPTASTVTGGRGEAKENDDDKGS >KQK95020 pep chromosome:Setaria_italica_v2.0:VIII:29945679:29949953:-1 gene:SETIT_026079mg transcript:KQK95020 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATSSRLIGAATPRTKRTETGREHQDTHLPGEIRPAPFRAYHARFEFNKDHGGDKFQIDRSIRIRSPTSFIPLPHGHTGHNILTLRKETIQSHVATSLGAEERWLGLGACPTNGGLARDPVPRIGGPPARTPPRDRVSFRIGRPHGQPARTGRRTPTPPRPPAHHPAAAAAHGRGIEPAASPLPITAPLGLAAAQADARAPPAATASSSPAMAGEDDPCPLCGGGGGGGPARVTLAKRGSPPPHAGDALAVTAVVDPGDELREELARQRRWAADLHAELEAERAAAEGAASEAMSMILRLQRDKSEAMMEARQYRRYAEERFAHDAAEADALRNALERRDDAVRSLAARLRACQARLLHLGYPSPSASSSLPSSPTAAAAGSRRGFHHHLPFSDDEDCDNYRSVHCLERPADVGTPRTHHLLNRMPSPDADKGVVLFGSPRHARALSGDSVPYSCRVALADEFPLFATDRRDAPDLDDEEQGDRVYTVDAVHGVPVMAPEDCCYLGAPMGNEEVGFRAGGGGWTEEAEIQKLKARLQALEADRESMRHTIMSMGDEKVQVVLLREIAQQLCKDTAPFPAVPLKVQPRLQPVVMAQRKVVKKRNSFVKIFIVTVLKWVASMFCWRRKSNRIRYPIGMCGSNVGLMLILDRFPKQRQKKIPKRKLSASIL >KQK95857 pep chromosome:Setaria_italica_v2.0:VIII:38975612:38979295:1 gene:SETIT_025827mg transcript:KQK95857 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELLIGPLISMVKEKASSYLLDQYKVMEGMEEQRKTLERKLPAILHIIQDAEEKGASRPEVAVWLKDLKTAAYEANDVFDEFKYEALRREAKKKGHHSKLGNEVARLLVPARNPIVFRYRMGKKLRRIVQTIEALVTEMNTFGFRHLQQAQPSRQWRQTDSIIIDSDRDILSRSRDREKKKIVGMLLDQASNRDLMVLPIVGMGGMGKTTFVQLIYNDPAIEKHFEFRRWYCVSDDFDVSTIASNICQTNEKYREKSLQELHSTISGKRYLIVLDDVWNRDADKWGKLKTCLKQGGKGSAVLTTTRDAEVARIMTMGVAKAHNIENLSDEHLKEIVQSRAFSLQNPNMEEQDGILSGFVRRCVGSPLAAKAFGSMLSNRTSINEWKDILAKSNICSEKTGILPILKLSFDDLSSDMKQCFAFCALFPKDYEIDVDLLIRLWMAHDFIPVQEDDNLETVGKYIFEELTRRSFFQDVKQTLPIIVKQTLPLPYRSVGILRKSTICKIHDLMHDIALSVLGKECVTIVGKPSINKLLLNPTRHVFLSIYTTFWKEQVTSLLDHLLKKQTAMLHTLFIKDYGQPLDISKYTSLRALHLPAYEYLSFGQEQLTRHIQHLRYLNLSSHEFEKLPEGISMMYNLQSLDLSHCIKLRQLPKDMKYMANLRHLYTHGCKSLTCMPPGLGQITSLQTLTYFVIGDCLGCSTIGELRNLNLGGELELSGLQNVTEVLAKAANLENKEKLTHLSLKWNDDAPEKTDSHNEVLDALKPHHRLEMLRIKSYKGTNLPSWITDLSMLHHLTELHLIGWTLCEEFPQFCHFKALEVLNLEKLDKLRSLCSHMVSTPFPALKQLGLHDLESLERWVAREGKEDELTFPVLEEVDIKNCPKLTSLPETPKLKVVRLDEGKPLLSLGIVKSRHMSSISKLVLCVRDTEALPQIDYNCDSSQKLELSLGDTEAAPLSRLSISGCNFFFVSSQSQLTPGVWKWFEHLVDLRIENCNVLIYWPEEVFQSLVSLKELWIKCCNKLIGPTQAKGGEPTQTTGQVLPHLNEISIHSCESMAQLFILPPSIRFIFIDECPKLEFIWGKEEHLDAYTSLEHCRDPASTTGTLEQSPSPIIHRPCLETLLIRSCDSLVTLPNLPPSLKYLCIYSCEKLCSVSGDLCALEELQIVNCNKLQSVNSLGDHPSLETLILYRCRCLASLGCDGGRGSYSALQSRKIKYCPAIDMKQFY >KQK93283 pep chromosome:Setaria_italica_v2.0:VIII:347318:348746:1 gene:SETIT_027681mg transcript:KQK93283 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALLLFVLIHFAAAAAAESSRSPATALFVLGDSTVSCASSILPLNLTAPSLSSAGPCLFPSGRRLLPDLLAAKMGLPPPPLISTLNGTAAAAARGVNFGGQYGDRGIFRMGAVGQQLRLAAETLQLLQLETGTPQDAPSAAAAAGAVFVLSFGTDAYARLLARGPAEAAAAAPKHGRRGLGRLLADRIARAVAELYEAEVRRVAVMGVAPLGCAPRVMWEAGGGRGCVEEANELIEGYNARLAARLEDLRPQLPGADVVFCDVYKGMMEIISNPRRHGLEESREACCGVGPFKATVGCLSTEMACAAPERHVWWDLYTPTDAVAALVANWSWSSPPPATSSGSGDSDVMGICSPMSLQQLAAGSPPPA >KQK95546 pep chromosome:Setaria_italica_v2.0:VIII:35532422:35532716:-1 gene:SETIT_027909mg transcript:KQK95546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AKKQRESTARDAWNSDDDNATDMVIFLGFHPCKEVIFLYMSTVKLVACHLKSTEIQYLGGLLGPVSYNCGLEESFVYTPCLVGL >KQK94271 pep chromosome:Setaria_italica_v2.0:VIII:12152210:12155840:1 gene:SETIT_027802mg transcript:KQK94271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELCTACIWTSAQWSHTLTPNRRASYHGFARSISLVPQRRRRSTLYVTNAASTSAPVSSQNITQLPRIKSISSDKPSSALEQLDIERGVCIPFRRYTPDMVLANRPDIIREDYMNELCILQDDVPPVPNHVAFAIIEEELGQPLERLFSKISSGTIAAASLGQVYRATLRETGEDVAIKVQRPGIEPIIYRDLFLLRTLASFLNGICLQKLGCNAELIVDEFGEKLLEELDYTLEATNIENFLENLKDDPTVKIPRVYKQLSGSRVPVMEWIDGIRCTDPQAIKEARIDVEGFLTVGVSAALRQLLEFDLFHGDPHPGNIFAMRDGHISYVDFGNVAVLSQQNKQTLTDVVVHAVNEGYAEMANDFTRLGFLASGTDVAPIIPALEAIWQNSVGKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVAYLYVAKRLLTDPNPALRERLIQVLFKDGAFQWKRLENLIVLAKENVSKMSSNPALKKNSSQAMRGRQLESKLDLSETIKDGARMFLIDAGIRRQLILAFTEDSKLHVEECSMDECNSFLLLAAYLPSVAPDFMLSWSDSILSDRQY >KQK94561 pep chromosome:Setaria_italica_v2.0:VIII:22014720:22016135:1 gene:SETIT_027920mg transcript:KQK94561 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGGGRKDAAAGEAIHVVMLPWLAFGHISPFAQLARKLVSVEGAHIRVTFLTADGNLPRVQAMLAPAAGAAAVVPLFLPHVPGLPEGAASTAELTADGAELLKVAFDGTRDQVSALLSELRPDAALIDFATPWVTDIAAPLGIKVLHFSVFSAVAGAYNVVPARRLHGAVPSAGDLTSAPSGFPKGSALATIPPYQAAGFTYLFTSFDGQPCVYDLEMEGPYISYLSAQFGRLVLLAGPVVPEPPQGHLEERWASWLSSFPENSVVFASFGSETFLPAAAATELLLGLEAANRPFLAVLNFPKGADTEVELRARIPPGFEERVKGRGAVHTGWVQQQHILQHQSVGCYLNHAGFSSAVEGLVAGCRLVLLPMKGDQYLNAALLARELLVGVEVARRDEDGWFGRQDVSDAVALAMAEGGDGDGRKWREFLTDDAVQKRFAGDFVLQLKELVRAA >KQK95874 pep chromosome:Setaria_italica_v2.0:VIII:39111362:39112365:1 gene:SETIT_027816mg transcript:KQK95874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYRDRESALPSLQGRPPAAQAEKNKIRLLKDHLQELIYKYLMEPSEVEAPTSSAAARCWVKEVRELSYDIDDFLDELVYGLNATAASHKNLRGKIAKVREDRSRSRWVADETTRFKSRLEEAIQRHKRYNLDKLQNRQIRIDSNEPPIPPLGSWQDNNGQKELYCKLRGQFECRAFARSAMKPDIRRLHAHQHTLEEWLIDDLELHNRTIRAHLRHKR >KQK95297 pep chromosome:Setaria_italica_v2.0:VIII:33128494:33129454:-1 gene:SETIT_027583mg transcript:KQK95297 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALHTTMFPVSQVDFSVSSAEAKAEGTIEQYKESSTFMIGGCQWRIRCYPMGIHDPWYPPAGPEGISVILVLMNNMQKVEHGFNCLVGHHESEHVWDHSGCVNISCTITVLEDDCIEVSPPSVGRSICTTIAAQAPVDIVFDIGGRVIRARRADVAALSHVMEALLYGSGVESKSETVSIKDTNPVGFSLLIKYVCEGSLPEEADLWDTLINAWLVLLSLADMYCVERLKLHCASKMWDMACEKIMTTFLQWAFETNCAQL >KQK93452 pep chromosome:Setaria_italica_v2.0:VIII:1207695:1213330:-1 gene:SETIT_027451mg transcript:KQK93452 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSEEAPLLLPAPAVEGCPGCAMERRKASSKGRIPYKELFFVGVTSLASSLPITCLFPFIYFMVRDFHISKTEEDIGFYAGFLAASYMVGRTTAAIFWGILSDRIGRKPVIAFSILSVVIFNTLFGLSTTYWMAITTRFVLGALNGLLAPIKAYCVEVCRTEHHALGLSIVNTAWALGLIVGSALGGFLAQPTEKYPHIFSKDSIFARFPYLLPCLSVSSFATIVLISCIWLPETIHKHNVPEKDTKIVKALSSKDNYRDSPRRKSLLQNKPWVSTMLPYCLFSLHDTAYSEILSLWAVSDRKYGGLSFSTEDIGEVLAMAAIYRWVHKVLGTVNSSRVASAVCIVVLATYPFMTYLSGAKLSFALYSAAMMKSALAITITTGNCLLQNNAVCQEQRGTANGVSTTAMSFFKSIAPVGAGALFSWAQKRQDATFLPGDQVVFVVLNLVQLLGLISTFEPFLVLPALPE >KQK94389 pep chromosome:Setaria_italica_v2.0:VIII:14268046:14272676:1 gene:SETIT_026160mg transcript:KQK94389 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGSSGLVDWRGRPVNTKKHGGVRASIFIHALVLLSNAANIANILNLVSYLRNEMHMDVARASTMASNFFAALQMFSIPAAFLADSYVKRFYTVLIFGPIEILGYILLAVQAHVPSLQPPPCTPGHLATTCESVHGSNLSLLLLGLYLIPIGDGAARACLPALGGEQFDTSDPVEQTQEASFFNWYTFAVSTGGFVGLVFVVWVENSKGWDIGFFVCAICVLLGMLIWIAGFPFYRNQLPTGSPITRLLQVLVAAFQKRKVKLPENPSELKQINKDEASAIEMLHKTEGFHCLDKAAVDTGKTGAWSLCSITQVEETKIILRMVPIFLSAVLGYIPVPLILNFTVQQGNTMDTRLGAIHISPATLFVIPTVFQMVILIVYDRFIVPFLRRITGYVGGVTHLQRIGIGFLSATVATGIAALVEIKRKKVAEENGLMDATTGIPISVFWLTVQFFLLGIVDVTSFVGLLEFFYSEASMGMKSIGSSIFYCILGVSAWLGSLLIQLANRVTRHSDGTGGWLDGTNLNRGKLDRFYGLLAVLELVSLFIYMFFARRYVYRNDQKVVIDGDNKTASEGAINVI >KQK95125 pep chromosome:Setaria_italica_v2.0:VIII:31201511:31203944:1 gene:SETIT_028348mg transcript:KQK95125 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRARLSSIFRTTASSASPPRAPHLALAAATERVRSGAFGPEDARHLLDELRRRGTPVPERALNGFLAALARAPPSTACSDGPALAVTFFNAMSGAAGRRVLSLTFCTYGILMDCCTRARRPDLAPAFFGQLLRTGLGVSVITFNNLLKGLCEAKRTDDALDVLLHRMPELACVPDVVSYNILLKSFCDTERVGGHLNGLRRMAEKGSGCSPNVVSYSTQGISPNLVTYTSSIDALCKARAMDKAEAVLRQMVRKGVRPDNWSYNNLIFAYSARGEWNEAVRIFKEMTRRGLLPDIGTWNSLMASLCKHGKIKEAKDVFDSIATKGQEPDTVSYLILLDGYATEGCLVDMTDLFNLMLGDGVAPDVRIFNVLIKGYAKCGMLDRAMIIFSEMRHQGAKPDVVTYLTVIAAHCRMAKMDDAMEIFNEMIDQGVAPSIATYQCLIQGFCTHGGLLKAKELVFEMMSKGMRPDIVHLNSIINSLCKEGRVVDAHDIFDLLVSIGLHPNVFVYSSLVDGYCLVGKMEKALRVFDAMVSAGIEPNVVVYGTLVNGYCKLGRIDDGLSVFREMVHKGIKPSTIAYNIVLDGLFRAGRTVSAKERFHEMIESGISVGTATYNTVLSGLCKNNSFDEAIGLFKKLQAMNVKIDIITINIMIAVMFKTRRAEGAKELFASIPASGLVPSVETYDLMMTNLIKEGLPEEADDIFSSMENAGFDPNSRLLNHVVRALLEKHEIVRAGTYLSKIDERNFSLEDSTTMLLVDLFTSRGTCQEQIKYLPEKYHFLAGAGSS >KQK94794 pep chromosome:Setaria_italica_v2.0:VIII:27006522:27011905:1 gene:SETIT_028213mg transcript:KQK94794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPTPPPPSLPPTIPACDPHDGPASLQLIEDLTTHAGAIQRRVLGEILAMNAGTDYVRGFLGADADAEARSVGELAAAFKARVPVVEYEDVKPYIERIANGAPSSLISSKTITELLTSSGTSGGQPKLMPSTEEELDRKTFLYNLLVPVMNKYVAGLDEGRCMYLLFVKPEITTASGLVARPVLTSYYKSRHFRDRPDSPYTRYTSPNEAILCPDSAQSMYAQLLCGLARRGEVLRVGAVFASAFLRAVKFLEGHWRALCDDIREGRVDAERVADRACREAAARVVARPDPELADAVAAECAAPSWRGIVRRLWPRTKYIDVIVTGSMAQYIPLLEFYGGGLPLVSTMYASSECYFGINLRPLDRPEDVAYTLLPNMCYYEFIKVEKDGEDVRDGEVVDLVDVEIGGYYELVVTTFTGLYRYRVGDILQVSGFHNTAPQFRFVHRRNVVLSVDTDKTSEDDLLRAVTAAKRLLVPLGGAILSEYTAYADTASIPGHYVLFWELTPPPLPTSDDGDAVARVMAACCAEVEAGLDAVYRRCRSRDRSVGPLEIRVVCPGAFDALMDLCVSHGSSVNQYKTPRCIKHPDAIAVLEARVVGRFFSDAVPHWEPFQVNAAAAAAT >KQK93690 pep chromosome:Setaria_italica_v2.0:VIII:3290364:3292307:-1 gene:SETIT_028551mg transcript:KQK93690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPNSDGLEWLGMESDLNKNCCSVDLVPNQIWAGSVLLLQSPPPTL >KQK93984 pep chromosome:Setaria_italica_v2.0:VIII:7113005:7114029:1 gene:SETIT_027687mg transcript:KQK93984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRLADGFLDEDATAMVAADEMDSMAENTASEADAMAATDSASTPETSTSARVAEDSASCGKYLAALRTEEARRARRSHRKKTRLGRCGDSCGGCARVDSGDNNRAERPSTSRPSIGTAPTSREPGGVVPGQPKELLPSPSTAQQLLSPNSSMDLSSCRYSEFGGGNQRGGGDDDDDADKERPLVLCNKASRWHEQLQCWCLNFRGRVTVASVKNFQLIASAAQAAAAGASAPPARQTPQPQASSSHDMVILQFGKVAKDTFTMDYRYPLSAFQAFAICLTSFDTKLACE >KQK95753 pep chromosome:Setaria_italica_v2.0:VIII:37797141:37799363:1 gene:SETIT_028193mg transcript:KQK95753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSLSELKAATENFSDGNKIGMGGFGGVYKGVLQDGRLYDGINVFVDLKHKNITRPLGYCHEVIMVLISHTGKYARDQKRQFCFDIIWGSRFIGWSCRFKMIERIVQGLHYLHEQRVVHMDLKPENILFDSDMNPRISDFGIARKLDLGVEKTFDSSIGGTIPYVHYMAPEYKYKGCVPTKCDVYSFGNTLLGTIIGSMIISTPPNISELIQWAFEARDNIRMELFKSSLCCKSQLMQIKKCMEIGLLCVEQDREHRPTMTDALATLNGVKELPALKRRPYPYRNFE >KQK95827 pep chromosome:Setaria_italica_v2.0:VIII:38607233:38608346:1 gene:SETIT_026874mg transcript:KQK95827 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIYSYRRSNGDAPSVAFVVASYMVLVLCLRLFVSAHAFFLQWKRKGFVLAPLRSHLPETAGGGSSAHDPRDTAWSRTRLIADSGAAMHAVGNSLLLEGFRPYSPPLVATLADGSHLRILGIGRIQRGNFSIPNVCLVEGVKDGLISTPQLDTRHGLVSCFGNGVCRIMEADGTEVGGAVLEEGGSYVLRFLEVPGTAQV >KQK95571 pep chromosome:Setaria_italica_v2.0:VIII:35674431:35674844:1 gene:SETIT_028585mg transcript:KQK95571 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALSNNISNFRARRTCMSHKCSTHEQASSCRHHTSESSVSARRQMTT >KQK94946 pep chromosome:Setaria_italica_v2.0:VIII:29051352:29054933:-1 gene:SETIT_026396mg transcript:KQK94946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAWLARSIANSLLAPEEKESSGTGPGPSASPGSSSSSPPRGVREDLSEFTGALANRFQGLASFLAPAAPGGGGPRRPDPAEIAGRFRAGLARLPGRQAVADLAKIASSLLPPEGGDADWAESAVGVTEEVVAFARDAALRHELWLDFPLLPDDADSDDFDMTDAQQDHALAVESVAPELADLRIELCPSHMSEGCFWKIYFVLLHPKLMKEDADILSTPQILEARGKLSHDLRYQMKLQSSNEDTVPVPFSNVDGALASPVEVLSTLKGQDGSVMATSFSNIDYGISQPTNQEFLSANAISDAGTVSSDNISSSVPVQLVPILKDATVESQSTVEESTRDLSTGDATTEEQSMQMSEIALVDNSPPKDDQQKQHLADISEQSRVDIRKAYHDEDDDGDEWLEEETGGPGSTAIPIADDEDVSFSDLEDDDGTK >KQK95862 pep chromosome:Setaria_italica_v2.0:VIII:39012001:39013527:1 gene:SETIT_027904mg transcript:KQK95862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELPAAVWVPCDFQMSNYWSMEPEVWAHDLPVEYQYAATEIFGSSLQFPRYGIEDLVEKVPVEQESFEVDFHDIDVKINDNLIREFEEEAQEFKVDMDMMRMKIHRYPPSLRAFDEWYSVPRMVAMGPYHHARPSFHDQLKQVEKVKRVAAYHCVRESGHSLEEVYGSVVSAAHDARHLYDKDVMRGIGDGDFLPMMFFDACFLVQYMLWCTTHGASEMEASLSSFFDFNRKVLRHDLMLLENQLPWLVVETVMRFRPVDLVDFIADWRAYLQDRKVLEGKPVVLDESYEPPHLLGLLRFYIVGRSSAKLQTRAKIDSISVSVSAIELAEIGITLTAKHTTELIHMGVNKRGILSAELSLAPLSLDDERASFLINMAALELCTTPNFLDAGEEDSAVCSYLLLLSMLVHREEDVQELRTKHLLQGGAGLINKDALDFFTGLQSLPLRGLCYVRVMVEIEKYKVKRRIWTKVHAFLYKNKKAIFTAFSVISVLVSIIGTLMSLKARSKI >KQK95814 pep chromosome:Setaria_italica_v2.0:VIII:38248956:38250450:-1 gene:SETIT_028426mg transcript:KQK95814 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFRYLPRHQFGSLQGKMFPAYFMLISACSAVSVAAFAYLHPWKTASTIERYQLGSLLFGPFGCNLSNLLVITPMMVEVKHFVHGPFSFLCTS >KQK94722 pep chromosome:Setaria_italica_v2.0:VIII:25725716:25729840:-1 gene:SETIT_025958mg transcript:KQK94722 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVVTGAMTMLLPKLGDLLAEEYQLQASVRDDVAFLKAELESMEAALLRISEAPIDRPPDAQDRIWAREVRELTYDVEDCVEAFLVRLHHHAPKNDLQGLRGFIDRGLSLLKRAKICRDMGADVRDIKRRIVEVSERRVRYKVDGVAAKPGGPTVDSLRLSALYGKATELVGTRERSDELVKILMECDEASKLRLKVVSVVGFGGLGKTTIAKIVYEKLKGQFDCAAFVTISLDPNMEKVFRNMLCQLVHECNMSNSTRGGEAQIINELREFLRNKRYLIVIDDIWSYSVWNTVQYALIENECGSRIITTTRILDVAKQASASVYQLKPLSLADSRNLFYHRIFGIEDKCPPNQLAEVSENILKKCGGVPLAVITIASLLTSKMRKENTEKYWYEVYQSMGSGLEESIDVKNMRRILCLSYYDLPPHLKTCLLYLTLYSEDYGIMRDDVICKWVGEGFVRKQHGKTLYEVGEEYIEDLIRKNMIQPMLYNHDNKPISVPSKIRRLSLQNIKAKGRRQVETMNLSHLRSLIVSPEAFALLPALSNFPVIRVLDLCGCSQVDNNHCKHICNLFHLRYLNLSCTSITEIPNEIGNLQLLQFLNLDRTNIKELPPTFVHLRQLEYMCIDNRTRLPERFGNLKSLQMLLAQLTIRSPIMLHDLGKLTEMRTLMIRFDKWDESFEEPFVQCLSNLVNLDSLKIFACHNGLGSRIGMLIPGPQQLRSINIGPGTICRVPRWMSSLSSLTDLDITLLTLGEEDLQILGNVPSLRNLYVWVKEHRKDRDKRLVISGRCPFQCLTRFRIGRGAMEVEFAPGAMLKLKTLRLDFHVRNTMDQSGDFDFHLENLSSLEHVIVHMNCYYAGLREVEDAAASIRKALDLNPNKPTLELEKCFSCRVKEAVAPA >KQK94082 pep chromosome:Setaria_italica_v2.0:VIII:8555395:8556606:1 gene:SETIT_027492mg transcript:KQK94082 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSADASDVALSEFGATAAEARAPAAGMVFPSPEAALKFYLAKSSTSGHGDAVIVRRFVCSLQGLPARKDPPLDLSRKRRDRASSRAACPTMIQVNRLPGSAASTHWVVSRCVFDHTHRLGGDDDDDDDGSDSDASGSESADTPTKHSSNKSSKVPSGRIANTDADSFQSTALGPDGNVTQCLLEHFKKKQAENRSFCYAIQVDRSNYVTNFLWVDARARLLYKWFGDAVVLDVTCKRNLPAAPFVALTGLNHHRQVIVFGYAILTDESEETYVWLFETWLASMGGKKPVSLTINYNRDAEMAAMKVFDDVRQRLCQRDIFSRCKERLAAVYEAHPSFKQELKECVNELERNDEFESKWRFLLNKYNLTVWLTGVSECIEHLVLKGRDALFT >KQK95355 pep chromosome:Setaria_italica_v2.0:VIII:33720647:33721488:-1 gene:SETIT_026796mg transcript:KQK95355 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVAKICTLLVLLALSASAATAVLIPQCSAAIPQYLPHVTALGYGNPIVQSCRLQQALAASILSSPAVFLQQQSALLQQQYLAHLTLQSIMAQQHQVLSPFSRLSLASPAAYWQHQQLLPFNQLAVENAYLQQQQLLPLNPLAVGNPAAFWQQQQLLRVNPFSAMNPAAFWQQQQLLRVNPVAAMNPATFWQQQQLLRINPLAAMNPTALWQQQQLLRINPLATMNPAAFLQQPIIGSGLF >KQK93916 pep chromosome:Setaria_italica_v2.0:VIII:6001420:6004440:-1 gene:SETIT_0259141mg transcript:KQK93916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTPSYSSGPAGPPGGRRAATFAGASVFLSRNLVAPEVFDAVHDALRLNGANVLLCADPGRTGPSDFHVISSSSHCILSCAKERRFLPKQSYTCCLAMDGVKILCSGFEKDEKAKIEELVTAMGGLLQSKSSVDVNFVIVKDVMAAKYKYAVNNLKKPVVTMNWLEQCWIEHRV >KQK94126 pep chromosome:Setaria_italica_v2.0:VIII:9226814:9230557:-1 gene:SETIT_027812mg transcript:KQK94126 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WMVLLSKVAIDGGMFIFVLLAYRSRFGAAIILPLVLLREREVEEMNWNAAGWIFLNAFIGYNYILYAAIFLNIIPLTTFILSLILRMEALQIRTMAGLMKVAGVVFSVGGMMLVSLYKGKLLHLWNPVFHHHNEQQHMEGERHQLRGTILLVASSFMFACWYLIQSKVLKVYPYKYWSSMATCLVGGFQTAVAGVILKRDKDAWKIGWDINLVTIVYSGALATAGKYSLNSWAVDKRGPAYPPMLSPISVVFTVVLGSIFIGDDITVGSLLGTTMVIAGLYIFLWAKAKEVPEKCTR >KQK94985 pep chromosome:Setaria_italica_v2.0:VIII:29549806:29550116:-1 gene:SETIT_028443mg transcript:KQK94985 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSLPFGTICDESYASSLNAKACSSFEFLFFGGPCHLGLLIKKRRGE >KQK94679 pep chromosome:Setaria_italica_v2.0:VIII:24941046:24944675:-1 gene:SETIT_027708mg transcript:KQK94679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMLNCADTSSGAKLQQLQAPTSPTASVSESNIVVSSTDPDANDALAGLQALKFDGDIDVEIQSPDIAMWESLFAEQMGASGGDFLMSFSPRRDFTATGSPRRDFMVSSPKRDYMMSSPKRDYMVSSPKRDYMMSSPKREYMVTSPRRDSSPRRSTFSNLYSGTGSHQQGYVDGVHGAEGGSGSGGGQPLYGGLANHGKGKSQSPLHKVYINNAHSNGGRSTGPSSLSCSSSYGHGESLSLPSMDPFLDEYKEGGGYLAGYQLPVKTGMENGAASAATVTTVAPSPSQLPTLSECLAMPEPVYGGSEAAAAGGLQMGAGLPAELYYGGQFGGDGFTLQHQMAKSDQWAGDSSLHSMLGSVIQTEAEQEQDSGLQLVHLLLACADFVSKGDQPSALRHLHLLRRVASPLGDSMQRVASYFADALAARLSLSSNPSSSSSSSGAATPRGGAAAGVAPYTFPPSPETLKIYQILYQACPYIKFAHFTANQAIFEAFAGEDRVHVVDLDILQGYQWPAFLQALAARPGGPPTLRLTGVGHPAAAVRETGRHLASLAASLRVPFEFHAAAADRLERLRPAALQRRVGEALAVNAVNRLHRVPSAHLGPLLSMIRDQAPKIMTLVEQEAGHNGPYFLGRFLEALHYYSAIFDSLDATFPADSAPRMKVEQCLLAPEIRNVVACEGAERVARHERLDRWRRLMEGRGFEPVPLSPAAIGQSQVLLGLYGASDGYRLTEDKGCLLLGWQDRAIIAASAWQC >KQK94314 pep chromosome:Setaria_italica_v2.0:VIII:13043307:13043792:-1 gene:SETIT_028388mg transcript:KQK94314 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACSERWRHARQGGGRGQDYGVLSFGVAGSEAHRQASCPPARC >KQK94911 pep chromosome:Setaria_italica_v2.0:VIII:28650922:28653234:1 gene:SETIT_027639mg transcript:KQK94911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRNEYLIIIDDVWSKSAWEKVRCALPQNNHSSRILTTTRIESVAKSCCSDPDDPIYRIEPLNESDSKVLFFKRIFGDKEGCPPQLKEVSNQIMKKCCGSPLATISIASLLASKPVMLKEQREKLLISIGSALEKNPDLEGMKQILSLSYYDLPYHLKICFLYLSFFPEDFKIERDSLIQQWIPEGFVGIERGLSVEEVAEGYFNELINRSMVQPMDINCDGRAHACRVHDVMLELILSKAIEENFCDSAMSKLQGEMNLIHVRTLTSFVQVTLVPSLSEFTVLRVLNLEGCQGFSENHLKDINSLFHLKYLSLRRTWISNLPPQIGDLQTLETLDIRETNIEDGGHTWGKIKLPDGIESMTSLKALLGFDICRSSASAVQELGNLKSLMELAINWTDFTTGNVKHQEAMMGILGKLGTSNLQSLAVCSRNFGSLEFLDSWSSPPNHLQKFRLSAYYFLPRVPRTDEDILILRELPSLLRLDLWLKSPQKDDRIVVHGVGFPYLKELFFSCEETCLIFEPAALPKLERLHTTVHAIRAKPYCHHFGLEHIKSLKQINIQVFCYGISASDIKYVELAISTAETKKREHSEEKNVEAHASKEDINHTNNKRRKLQIEEHHSSSAQ >KQK95169 pep chromosome:Setaria_italica_v2.0:VIII:31622070:31624224:1 gene:SETIT_026541mg transcript:KQK95169 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGKGSPKLNVIARGSPKLSRFLPTTSAIKKKPSPKCSGAKRSGGSPRGKQRADWNPTLEKSLVEILHEYKDSGYRSDNGWNTEGWNKMVKEFHLRNKSVSYTKAQIQDKECQLKRDYKMIKAARMQSGSKWNEQRNMVEGSASMWENLIVTFPKIKKFQNNKASFPLFDALGELYDGHLAEGTYNFTSIESEHVEEPLQQINVVEEEAEEEALQEIHEIRDEDDEEKDARDKEEEARSGQRRMAASRKKPEKKGQRPRKSAKIEAMMERFLEMRTKQAEDEAQQLARENETREKEARDKEAAKGDEYSIKRCISIINTMEVTKQEKAKSYAIFTKSKENRETFICASEEDEESALIWLRNEMA >KQK95797 pep chromosome:Setaria_italica_v2.0:VIII:38164620:38165592:-1 gene:SETIT_027918mg transcript:KQK95797 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAAGGRGRGGAEGVARGCSNAHIPARDGLQLRAVARLRPLTSEEGPGGGAAVTARRSGGEEGRGAGAEGKQAGGGGSGSRYPDPSCFSPSPIHPPPSALSSPRSSPPNRRTRRAGSSLSQPPPLHLQPPAAPPPVHPQHSSALPPAGARRPRSTSTRRATCLRACAICRRTCRHTQPLTCWRAEAVR >KQK94802 pep chromosome:Setaria_italica_v2.0:VIII:27181640:27182099:-1 gene:SETIT_027408mg transcript:KQK94802 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVATVLEKNQPAAVLAISTANPANCVLQEFPDWYFRVTRSDHLAKLKAKMKRMCDNSGIRKRHLHHTEEMTGSHPGFLDLAVPSLGAPMRITDDAVPELAAAAAAKAIAEWGRPAADITHL >KQK93712 pep chromosome:Setaria_italica_v2.0:VIII:3464313:3466173:1 gene:SETIT_026383mg transcript:KQK93712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPATDFQGSSTPSHSHSSPFSSFGRSLLSLRRDTPASASAAAAAAAMPMPGVDPEVDEFHGHVAAQLADLRASGGGGGGGGEEEEEFLSIAWIRRLLEAFLLCQDEFRVLVADARRRGALPAAAEKLVAEFGERAVKALDVCNAARDGVDQARRWERLAGIAASVLLAPPEGEIHEGQLRRARKALSDLSALLVDDAAATAGGGGGVASFLASHRNRSFGRARASPSRAASLASSSSSSSSSSSSTHFRSLSWSVSRNWSAARQLQAIGSGLAAPRGSEASGVAAPAYAMGSLLHLAAWALVAAVPCPDRGGALQASHLPAAPPRAAFPWAPPLLALQERLAEEGKRKDRRNSCGLLREIHALEKSVQRLAEAIDAAPAPLTGEREAEVREAAAELAAVCAAMKDGLDPLERQVREVFHRIVRCRMEGLDSPMLNAD >KQK94325 pep chromosome:Setaria_italica_v2.0:VIII:13102281:13103532:1 gene:SETIT_027275mg transcript:KQK94325 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPGEMQRMHSWYTRACRLGLKDLWAQYPPDQPVCFVFCGYYVCEHIRVLGRYTTDLECVSGSFIGNKELLNAVIDLCSFILHEVVNPRGSFYHPGHSLA >KQK95685 pep chromosome:Setaria_italica_v2.0:VIII:37186716:37187325:-1 gene:SETIT_028580mg transcript:KQK95685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGAGVGAPGDRVLRLHTLMQTAVHSPKTLALHVVKKKLRFFRIVGAMSTTALHTSELKPSQRGRQVARQSSWMSAIAGEPMDNRTSAMQVATGTTF >KQK93804 pep chromosome:Setaria_italica_v2.0:VIII:4517407:4520699:-1 gene:SETIT_027346mg transcript:KQK93804 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ECYFGYGTLSENLMLRALGRQYVELFGSLSVAWDHSYDRQHCYSLLLERIQEALQGKRHLLLVENLHAPATLEVLVHIMRRRRPSPYQNRWLISTTSKDVYDRSRWVRGADAYGDEWRLSLEYYHAPNFDGLRYDRDWAVLIGEALRDAANSIHNALQQQGRDEEFWLGIAMNCFYYAILYHPLQLGAAGRQETSNALFVSSDELVRCWVAEDLVFTETSPTDTPAASCGEKRNSNYYRSAYEAGKVIFHALQEYSLLPIYSVSSQTSNPSSSMDAVTGLSKLAGGVPRLKHDELFDHQKSDQLRWVSFMKDDGRHVSWNWSFQYMPRNIPGEMNMTTLILRGCSNISSGFQLVLIHYLRVLDLSYTPINSLPSWISRLSNLALLSLRGCSKLDNLSPQAPASVKETSTLSHLGKLQVLDMNGVPLLELTQQDGSNKSNLHYLDLSGSRLTTLPSKFFCEMPCLEELILGNCSHLETLPPSLAKLSNLLILHVEGTRISYFPEGAFEAMQRLHTLKLISNTLLVSLPISLSKAKGLKELHIYNCISLRIEFLWELLSCLEDLYIQGWEALEDIQILEHPNLKTFSLSGKRVRCLSLRGCSRLKTVDFSDDLTALEDVDLSGTAIEEVPHILLNLPQLRMLLLLNVPCYKRFPWHQLVRFPKVFYLDHCADDYNQALMMFCQQKTCADGNQYRQRSTNTAEININDPSIFHSFNSDAAEKLIKEGQFLQCFNVHVKPKSVRCMEPKNKGEICTKIEKQSPYLDVHSSEADSIVRMMELQPKERHVEISANNRYPYGLRHLLSVTKSIFMTDDCFVSCLNELNYIMMSLEECQLMHCHKMEVVLKMHSQGAGKILPRGNTMLITPEVFPSLKILQVSNLNNLISLVEPGDLTFSKLLTLNLLNRIHLEHCPRLEKLFPCSLSLPALETLVILFCSNLKIIFYNKDKSANSDRERYYEVARSPLPNIRSICLQELPQLKHFHDDVTFKFETPKWEKLFVRGCPSFQRLPLLMKEYPKPKVEVSGEREWWGKLQWSLRGQSHYYLHVPPPEFVSHKKHIIRSYLR >KQK94891 pep chromosome:Setaria_italica_v2.0:VIII:28466168:28475329:1 gene:SETIT_026203mg transcript:KQK94891 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRRRAPLLLTAAAAGVALAAASPTGDNGRSVASALQHGVARSSRAVYTIGFVVADYKYSLRGLDSGSADYRVKLSEVHLRSAKKLLKLCEVNGGFYVKAGQYVSSLRQVPKEYSSTLSSLQDQATPSKFHDIKMVIEQNFGKKLHEIFLEFDEHPIAAASIAQVHRGRLHDNQDVAVKVQYPGLEQRMKIDIMTMSFLSKSVSWIFPDYKFDRILVEFEKSMSMELDFTLEAKNSERTANCFRKNSVVKVPYVFWQLTTREVLTMEFCYGHKVNDLDFLRRANISPTKVAKALIELFGEMVFVHGFVHGDPHPGNILVCPQGNGKFSLVLLDHGIYRELDQKFRLDYCQLWKALILLDTKTILELGEQFGVGKYAKYFPVIFTGRTLESKSALGTQMSSEEQRRLKEDLSSLGMDDISSFMEALPRDFYVILRTDGLLRSILGNLGAPRHVRLLTYAKCAIHGLEKQPKLESGAINRMFLQVKTNISYLHLRVLIEIAGLLAKVNDARHKAVSKLRQMFREISQGLHLLT >KQK93562 pep chromosome:Setaria_italica_v2.0:VIII:1931471:1932234:-1 gene:SETIT_026960mg transcript:KQK93562 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYMRVTHRDEEGKKVTEKMPIPETRRPDTAKHFERKLEEQGFHRLERHPANAPRGVGIGTPPPKSGRGGKYTWEGPGGLVQDELDPAPPAIDPNDPNYEEDDGAVGAEDDAAKEVVVGEVEVAKVAEERDGVARVDVAPQLLQEQKQ >KQK95826 pep chromosome:Setaria_italica_v2.0:VIII:38605922:38606935:-1 gene:SETIT_026863mg transcript:KQK95826 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPPARSDSVPSSSSLLRSFAEFPARLTGLDGDAFQPASRREDSPNEGILQVAKEGETEFILDSGASVHVVGDSSLLSSFRTITAAAAAAYRARDGRQLVVAGVGTISQGTFQLSDVLHVPGLPVGVVLVSVTQLAERGYLVMFGGGRCHVKDQSTGNMVGNGRLHSQDGLYHLEFLKIPPDTTDTTAPLRSTPSSLRCYSKHQS >KQK95361 pep chromosome:Setaria_italica_v2.0:VIII:33764959:33766531:1 gene:SETIT_026505mg transcript:KQK95361 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTVQLLTLIVLAVLVFLAVTLRRSITRNAAVASPIPPTIEVTDPAVARSMLVDHADVFSNRPLAPFPVDYDAGRRRPSHSINIVPHGPLWRALRCNLSAGVLHRSRLGVVAPLHRDAVEDLVAGLSAQGQGGGVLLRDAVHTALYTISVRMCFGDGVATRDDVRAMQDTLREFFDNIVEARGLAASRVARLLHWRQWQHFGGTFDRLIGLFLPLVAARQRRRSQCGYGGGGGDDGGTIRPYVDSLLDLRVPDDDVDGARRPLTDHEMASLWKLAHLVADPELQDNLHREIADADQGDGAIADERLRDLPYLRAVIQESLRLHPPVPFIVRDVGAEDGTVARYTLMVADVGRDVHWHRTNSIDLDLGSDCSFTLDQN >KQK95811 pep chromosome:Setaria_italica_v2.0:VIII:38223884:38224163:1 gene:SETIT_027145mg transcript:KQK95811 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSTSQYGNWIGKVSFSSDLVPDPKMPGPGQTRKKLQQQPLPAPFRVPPSLLYCYGIWHHSSHMDIGVVQCRLHATKEKQD >KQK95964 pep chromosome:Setaria_italica_v2.0:VIII:40174233:40176950:-1 gene:SETIT_027341mg transcript:KQK95964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCRRRQDTEDLTIFWGRNRDEGSLREACDTGTYTMVIISFLSVFGHVLLSVGGDGDRYSLPTARAARDLVDHLCHAYLGGGRRGVPRPFGDAVLDGVDLYVDHGGSANYDVLARRLAWYRYGYGGEKANAVLLTATPRCMGDGGVDAALATGLFGASTSADKRFFYGSWLGWTERFQEAKVYVRLPAAPDAASDGWVEPKAVAMEMMPLVQETPNYGGVMLWNRYYDKRNRYGLRIKLIMVGSPCTDGSTLSRSRSVAGSIHPLIPSVAGSPTRSLATGNLDTHMCHAPQNGRVSWWSYPHRASSPSSPPPMSALTSTREHGVAERAAADAAPAGAAAEEGVPEVVGDVPGGGRGVVAASDDGEEH >KQK93403 pep chromosome:Setaria_italica_v2.0:VIII:959096:964105:1 gene:SETIT_0258221mg transcript:KQK93403 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRRGQEACINAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEARLLGLQSNAPEIVAFLLAETQPEVRASAVFALGNLLDMGSTSLNGVDDDSDDDEKLKAEINVVRSLLQVSSDGSPLVRSEVAIALTRFALGHNKYLKSVAAEYWKPQTNSLLKSLPSLANISSPNNVYSSNNIRQGSSGLASHIGPVLRVGSDSSATGRDARISTSSPIATSSIMHGSPQSDDSSQHSDSGILLKENASNGGLSYNRSRPVDSGIYSQFISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGTHQGETSAPPSNFGMARSSSWFDMNSVFQYFARNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKPHPMNSPEGLAGPLLSSVAAPSNAELSILPQSTIYNWSCGHFSRPLLTGSDDNEEANARREEREQIALGCIAKCQRSSACKMTSQIASWDTRFETGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSERGLSKLLLINELDESLLLAASSDGNVRIWKNFTQSGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGYLYASGDMSSILIWDLDKEQLLNTMQSSGDSAISALSASQVRSGHFAAGFTDGSVRIFDVRSPDRLIYMARPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHLYKSLLAAGAGDNALVSIYAEENYK >KQK93402 pep chromosome:Setaria_italica_v2.0:VIII:959096:964105:1 gene:SETIT_0258221mg transcript:KQK93402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALSVGIFPYVLKLLQTSAMELRQILVFIWTKILSLDKSCQVDLVKDGGHAYFIRFLDSLDAYPEQRAMAAFVLAVIVDGHRRGQEACINAGLIDVCLRHLQPENPHDAQTEPLLLQWLCLCLGKLWEDFPEARLLGLQSNAPEIVAFLLAETQPEVRASAVFALGNLLDMGSTSLNGVDDDSDDDEKLKAEINVVRSLLQVSSDGSPLVRSEVAIALTRFALGHNKYLKSVAAEYWKPQTNSLLKSLPSLANISSPNNVYSSNNIRQGSSGLASHIGPVLRVGSDSSATGRDARISTSSPIATSSIMHGSPQSDDSSQHSDSGILLKENASNGGLSYNRSRPVDSGIYSQFISTMCSVAKDPYPRIATIGRRALSLIGVEQVVMKNSRFNSGGTHQGETSAPPSNFGMARSSSWFDMNSVFQYFARNFSIAFRTPPVSPPQHDYLTGLRRVCSMEFKPHPMNSPEGLAGPLLSSVAAPSNAELSILPQSTIYNWSCGHFSRPLLTGSDDNEEANARREEREQIALGCIAKCQRSSCKMTSQIASWDTRFETGTKAALLLPFSPIVIAADENEQIRVWNYDDALPVNSFQNHKLSERGLSKLLLINELDESLLLAASSDGNVRIWKNFTQSGGQKLVTAFSSVQGHRAAGRSIVIDWQQQSGYLYASGDMSSILIWDLDKEQLLNTMQSSGDSAISALSASQVRSGHFAAGFTDGSVRIFDVRSPDRLIYMARPHAPRTEKVVGIGFQPGFDPYKIVSASQAGDIQFLDVRRAAEPYLTIEAHRGSLTALAVHRHAPVVASGSAKQMIKVFSLEGEQLTIIRYQPSFMGQRIGSVNCLSFHLYKSLLAAGAGDNALVSIYAEENYK >KQK93880 pep chromosome:Setaria_italica_v2.0:VIII:5473781:5475539:1 gene:SETIT_027692mg transcript:KQK93880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHRTFTAEVMSMDLSPKKPNKAYGGDGGAYYDWSPADLPMLSAASIGAAKMSLAAGGLALPSYSDSAKIAYGTCGVVLPEATKEKVIPVKEGDALALPFGVVTWWHNAHAAAGDLVVLFLGDTSKGHRAGQFTNLQLTGAAGIFTGFSTEFVGRAWDLPQDAAAKLVSTQPGAGIVMVKDGHKMPEPCEKDREGMVLNCLEAPLDVDIKGGGRVVVLNTGNLPLVKEVGLGADLVRIDAHSMCSPGFSCDSAYQVTYIVRGSGRVQVVGIDGTRVLETRAEGGCLFIVPRFFVVSKIADETGMEWFSIITTPNPIFSHLAGKTSVWKAISPAVLETSFNTSPEMEKLFRSKRLDSEIFFAPQSN >KQK93558 pep chromosome:Setaria_italica_v2.0:VIII:1924516:1925189:-1 gene:SETIT_027177mg transcript:KQK93558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMKRPSCNTSSPLAALALLLLLLVCFFHCAAAARLLPAVPPLVHQDGLVLQEGAAVNGDELSVSGVRTEDAECL >KQK95965 pep chromosome:Setaria_italica_v2.0:VIII:40179353:40189334:1 gene:SETIT_025819mg transcript:KQK95965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFHSKSLPRPKDTSVVVVVLETTEVYIVISLSTRADTQVIYVDPTTGALRYLGKHGEDVFDSEAAALNYITDGSRILSKSTTYGKAVLGYAVLGSYALLLVATQLSATVPNLPGGGCIHTVAESQWIKIQLQNPQPQGNGEQKNIRELADLDIDGKYYFCETRDVTRPFPSRMTVWEPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWVPQKGGGRIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPYNGSLQYYQRLSRRYGNKSSEENVSRQKKSGMVPIVCVNLLRYAEGKTESVLVDCFKESLQYMKSTGKLGSTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGNYYDVRQQLKECKGSIICNDDINGGFCMESTQNGVIRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSRYSEYDSRNARSLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVKITVQRRFHNYVNDSSRQKQLEMFLGLRLYKHLPSIPIFPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLIWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGAEDSSYPASVDVRIGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNVQESTYLPLLYDFEELEGELNFLNRVVALSFHPSSISRTPITLGEIEVLGISLPWADMLNNSGRAPEFMELLHKKSSAHCDMGSKSFANSSGPVNDSRGSEGSYTKSSSSAQPGGSENLLDFLTGDFDMSKSHITENMSFGNGEQTNFLDDGFDVNPFASASEVPVPTVNNQVEECGSTQLYLKFFESFSGNNKGKGLTFEEVMKLEIKRLHLGLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSSLADNLALLGHAVLEDRVNASIGLEKGSGHAIDFWNISENDDSCYDGACEVRAPSSLQASATRENQSVFVECSQCERTVCKACCAGRGAFLLLNTYRDLKIYGGSQGGGYSALADSFVCKSCCSEIIKRALYVDYVRVLQSLRRKYRAEKAAMDAVNQVCQLESSKVSDLSQSIQTGQRQLKQILDGEESLAEFPYASFLQTVETADDSEPLLSLLAPLGAGDYNSYWKAPGANTSVEFSIVLGGLSDVAGVAIIVSSCGYTSDCPIVEIWASNRIQREDRTFIGKWDVQDIISSSPQLCGPEKSSRISGEPRHIKFHFPNPIRCRIISIKMTLPHIGSHSTKFSEEFDLLSLGDSSFYESKPTSPQNSFIHAKRIIVFGSSLRKEMGSDTSGGIMRMKSYLDRSPPLGRFRIPVEAERLRDNDLVLEHYLLPNSPGIAGFRLDFFSIIRPHVTHSPSSSELYMREFSLTRMEDRFVNQAILYMQVTIVKESGKLVVEEYRLPEVKASTPLYFDFPDLQQDVRCVMFRLLGDVTAFVDDISELDGLNSRNLPLASGLSLSNKIKLYYYADTYEMGKIGSLSAV >KQK95966 pep chromosome:Setaria_italica_v2.0:VIII:40179353:40190303:1 gene:SETIT_025819mg transcript:KQK95966 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFHSKSLPRPKDTSVVVVVLETTEVYIVISLSTRADTQVIYVDPTTGALRYLGKHGEDVFDSEAAALNYITDGSRILSKSTTYGKAVLGYAVLGSYALLLVATQLSATVPNLPGGGCIHTVAESQWIKIQLQNPQPQGNGEQKNIRELADLDIDGKYYFCETRDVTRPFPSRMTVWEPDEEFVWNEWLSKPFKDIGLPGHCVILLQGFAECRNFGGAGQQGGLVALIARRSRLHPGTRYLARGLNACSGTGNEVECEQLVWVPQKGGGRIPFSSYIWRRGTIPIWWGAEIKNAVSVEAEIYVADDPYNGSLQYYQRLSRRYGNKSSEENVSRQKKSGMVPIVCVNLLRYAEGKTESVLVDCFKESLQYMKSTGKLGSTWIQLINYDWHATVKLKGQQQTVEGLWRHLKAPTMAIGFSEGNYYDVRQQLKECKGSIICNDDINGGFCMESTQNGVIRFNCADSLDRTNAASYFGALQVFVEQCSRLSISLDVDAMFGLSSRYSEYDSRNARSLPPGWEERFDSVTGKSFYIDHNTRTTTWEHPCQEAPQKPWKRFDMTFDQFKGSTMLAPVNHLAELFLLAGDIHATLYTGSKAMHSEILNIFKEETGKFSKFSAVQNVKITVQRRFHNYVNDSSRQKQLEMFLGLRLYKHLPSIPIFPLKVLSRPSGCMLKPVPSITPVADGGSSLLSFKKKDLIWVCQQGADYVELFIYLGEPCQVCQLLLTVSHGAEDSSYPASVDVRIGSSIDALKLVVEGACIPQCSNGTNLLIPLTGRIDPEDLAVTGKSARPNVQESTYLPLLYDFEELEGELNFLNRVVALSFHPSSISRTPITLGEIEVLGISLPWADMLNNSGRAPEFMELLHKKSSAHCDMGSKSFANSSGPVNDSRGSEGSYTKSSSSAQPGGSENLLDFLTGDFDMSKSHITENMSFGNGEQTNFLDDGFDVNPFASASEVPVPTVNNQVEECGSTQLYLKFFESFSGNNKGKGLTFEEVMKLEIKRLHLGLSAAERDRALLSIGVIPATVDPNRSVDYSYLLKLSSLADNLALLGHAVLEDRVNASIGLEKGSGHAIDFWNISENDDSCYDGACEVRAPSSLQASATRENQSVFVECSQCERTVCKACCAGRGAFLLLNTYRDLKIYGGSQGGGYSALADSFVCKSCCSEIIKRALYVDYVRVLQSLRRKYRAEKAAMDAVNQVCQLESSKVSDLSQSIQTGQRQLKQILDGEESLAEFPYASFLQTVETADDSEPLLSLLAPLGAGDYNSYWKAPGANTSVEFSIVLGGLSDVAGVAIIVSSCGYTSDCPIVEIWASNRIQREDRTFIGKWDVQDIISSSPQLCGPEKSSRISGEPRHIKFHFPNPIRCRIISIKMTLPHIGSHSTKFSEEFDLLSLGDSSFYESKPTSPQNSFIHAKRIIVFGSSLRKEMGSDTSGGIMRMKSYLDRSPPLGRFRIPVEAERLRDNDLVLEHYLLPNSPGIAGFRLDFFSIIRPHVTHSPSSSELYMREFSLTRMEDRFVNQAILYMQVTIVKESGKLVVEEYRLPEVKASTPLYFDFPDLQQDVRCVMFRLLGDVTAFVDDISELDGLNSRNLPLASGLSLSNKIKLYYYADTYEMGKIGSLSAV >KQK93623 pep chromosome:Setaria_italica_v2.0:VIII:2366941:2367653:1 gene:SETIT_027036mg transcript:KQK93623 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAASSGTKEKIHPELYRPMETKNYWEQTAGMIDMPLAPETTGSIRLGGRARGEHPAPACSPQARGGQRFQAAPQSSSGGQGDPVLHRRHHQGDSRQGSSAGPGQSVRAHEGSN >KQK93613 pep chromosome:Setaria_italica_v2.0:VIII:2293815:2294657:-1 gene:SETIT_027979mg transcript:KQK93613 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GRFWMRIGIEEAGSESDTARVRSKQQQQIGVRLPVICRHHRLHNQSIHPSINSSSLSWPLFLLGAVSLPIPAMEEDIVWEIGEIEAQGGGESPPLFDQATPPPLYPPASVSSLTRGDAAAASISNKRGRVAASSKAIQGLREVTAPPTDGSDDDCCAICLQDLDYSDKAHPVPVRAMPCSHTFHEHCIFEWLRRNAVCPLCRHQLSTEDDHEQEQEQGRRRRRRIRNFRIPFLYIDEDGRPRYGSSDDEEEEEEEVDPEQFEVALREFYAGLDQTIRPQS >KQK94484 pep chromosome:Setaria_italica_v2.0:VIII:19347763:19352716:-1 gene:SETIT_026893mg transcript:KQK94484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTAYFNKTGWPAKAPKTDEERKEFIASLHKRKTELFMALIEKKLLPLRPGVQRLIDEALGKGIKVAVCSTSNEKAVSAIVSCLLGPDKAEKITIFAGDVVPRKKPDPAIYILAATTLGVDPSSCVVVEDSNIGLAAAKAAGMKCIVTKSGYTAEEDFATADAVFDCIGDPPEVRFDLNFCTDLLQEQYVS >KQK93271 pep chromosome:Setaria_italica_v2.0:VIII:288679:290734:1 gene:SETIT_026763mg transcript:KQK93271 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMASTLALLLAPRPVQARPTSPHLQSRRLALPPPRPATLSAPAATYPRKAGRLQQLNAASCCSNSAPAAGTTGGSATGVKDWRFFLAWYLMSLDKNPIATKAVTSAVLTLAGDLICQLVIDRVPALDLRRTFVFTFLGLALVGPTLHVWYLYLSKLVTISGASGAIARLLLDQFIFAPIFIGIFMSLLVTLEGKPSLVVPQLKQEWLSSVLANWQLWIPFQFLNFYFVPQKFQVLAANFVALAWNVILSFKAHKEVIAK >KQK94304 pep chromosome:Setaria_italica_v2.0:VIII:12885337:12886635:-1 gene:SETIT_027485mg transcript:KQK94304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQLDSFLLGTNAIDAEDSSYWLSSSPSSSSDAGALSVSCSTSTSELHRRSDADADASPGEKRQATAFIGVRKRPWGKFAAEIRDSTRRGARVWLGTFDTPEAAALAYDQAAFSARGATAVLNFSVERVRDSLVALALAGGAGTGGGSPVLALKQRHSKRTRRRKLSHVSSNGKNPKPQRQPARQSSDVSSASSCTAMAAPEKQGVAHCGVVELEDLGTDFLDELLRSWTSASPSRPVITLQS >KQK95076 pep chromosome:Setaria_italica_v2.0:VIII:30558473:30560029:1 gene:SETIT_026451mg transcript:KQK95076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKCSGGGAWWAFLLLAGVLLAAAATAGAAEEVASAAGEVAAAEADRDPKGDLQWCRMGCQWQYGKDQGRRSECERECRQRHRGQDGEMQEEAAADVDVLRSGRGECRWRCVRRYQDQPWRLQECMTRCRRREQQGDGVEEEEGESVGAYNCKKCRERCERRPAGDWQEKQRCLMECRCRDGPIADEEEDNDRCRQRCQHHHDHDRKQQCVRECRRHHQGGRGDADEDNNYCSDRCQQSCQRHGDRETQRQCVSWCERQEGCRRRDGPIADDEEDNDRCRQRCQHHRDYDRKQQCVRECRRHHQGGRGDADEDNNYCSDRCQQSCQRHGDRKAQRRCVSWCERQEGCRRGDGPIADDEEDNDRCRQRCQHHRDYSRRQQCVSECRRQHGGGWEAAVAGAVLQVV >KQK95980 pep chromosome:Setaria_italica_v2.0:VIII:40285454:40287925:-1 gene:SETIT_026828mg transcript:KQK95980 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFIFGKKKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLINEIKKVAKQGQMGAVKIMAKDLIRTRHQITKFYALKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQKIMQEFEMQNERMEMVSDVMNDAIDDALEGDEEEEETEELVNQVLDEIGIDVNSELVKAPATAVAKPVAAGKVPAAQAEAAGGPDGGIDDDLQARLDNLRKM >KQK93936 pep chromosome:Setaria_italica_v2.0:VIII:6314902:6317913:1 gene:SETIT_027924mg transcript:KQK93936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAIVRVLIGKLGAALANEAATYGASLSEEELKSMKAYLHESEKFRDADETTGIFVNKIWELSFRIEDVVDEFMYKLEDNKHGGFAGKMKKRIKHVKVWRRLALRDINAKLEEAAKRRDRYVIPGVERHAGNSDHHARSTNQALCNAAKLKGWIVDYLEERNTKITTVWGMGGVGQTTLVDHVYKMVKLDFDAAAWVTVSKSYQVEDLLMKIARVCWIRYKKITLIFFLKGKGHESVPISLIKEGYSRLTGQKGKKRKKEIERVGAQSVMFTKEEPLENHSYMLFCKLAFWNSGKKRFPTELHDLAAKFLQKCEGLPIAIACIGHLLSCKSPTYSEWKNVYEKLESQSTKKTIPGVDNIPKVSLEDLPYQLKNCFLHFALFPEDYKLTRRRIIRQWITSGFIKEKDNKILEQVAEGYLSDLVNRSLLQVVTKESGQVKHCRMHDVIRHLSIEKAEKECFGRVYEGRGKFSIHGTRRLSIQSTNNVSMDQSSATNLRATQAFTSSVDIELLRPLLASSVLLSTLDLQGTKINKMLPNEVFCLFNLRFLGLRSTRIEILPEAIGRLQNLEVLDTSRTCLLSLPKDVAKLSMLRYLYATVSIIEGRFGHQGGVKVPRGIRNLTGLHVLQNFKASSETLLDVAALTELRKFGVDCLTSEHSSSLCSALLNMNNLVRLVINTSNENEVFPLEELYLPETLSKLTLVGQLEKERMPRILSSWLHLNNLSHLQLVFSKLDENSFPNFMVLRNLCFLTFFNAYDGKALCFSAQSFPSQRELEIRGASQLDQVEIEEDALGSLNKLRFSECLELMKRLPHGIEYLTALDELDLIDDADEFTEMLRQESEADECKEELMKINHVRD >KQK94607 pep chromosome:Setaria_italica_v2.0:VIII:23277229:23278481:1 gene:SETIT_026677mg transcript:KQK94607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPPGRRGILAAGACALLLAAFYLAAALVTRPLAPYLLPPLALSLPCLPAVAAPSGSGYAAPGAASLADAAVEYATSGTVPQQSRDEIALSLAVLRRRAPLRLLVFGLGHDSRLWHALNPGGATVFLEEDPAWYRVVRAQSPFLRAHLVAYRTRLDQADRLLAGYRKHPACLPGSSGGGGGNATLLRVRGNWACPLALHNLPPEVYETEWDMFMIDAPKGYFAAAPGRMAAIWTAAAMARARRGEGDTDVFLHDVDRRVEKAFAEEFLCDRFRVGGTGRLWHFRIPPVSRRGDDAAAAAGGDRRPFC >KQK94286 pep chromosome:Setaria_italica_v2.0:VIII:12482643:12483151:1 gene:SETIT_027054mg transcript:KQK94286 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVVASTSWRIFISFLPCVRVYAHRSLFWFDCSSCLLAAGGCCAQLGSGIVNESSTQHSPLLNSCVCGRSVPYWGVVEMLNTFGSAGSKFGPFIKQMDDTGVSALFPS >KQK95732 pep chromosome:Setaria_italica_v2.0:VIII:37631639:37639598:-1 gene:SETIT_025915mg transcript:KQK95732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLASACNQPKDIAAAPPASAGENSQRVVRPMASSASDKSKGHAISPDKEFENGENANEVNSNIEDSSMDVAAAPVSPDDAAHDNCQQNGFVPDAHLGAEIALEDQRDIIRDLSASVPPSRCQAFKDGMKQGIISPSDIDVTFETFPYYLSENTKNVLLSCAFIHLEKKEFIKQFAEISSINQRILLSGPAGSEIYQETLIKALAKHFGARLLVVDSLVLPGAPSKDPESQKDVGKSDKSGDKAGGDKFAIFQKLDRDYFHQKHRSSLADAVHFRRPAAPTSSVNADIVGTSTLHSASLPKQESSTATSKSYTFREGDRVRYVGPAQPPSLSQRGPSYGYRGRVMLAFEDNGSSKIGVRFDKQIPDGNDLGGLCEEDHGFFCSAELLRPDFSTGEEVERLAMAELIEVISEENKSGPLIVLLKDVEKSFTGITESLSSLRSKLESLPSGVLIIGSHTQMDSRKEKAHPGGFLFTKFASSSQTLFDLFPDSFGNRLHERNKESPKAMKHLNKLFPNKISIQLPQDEALLTDWKQQLDRDVETLKAKSNVGSIRAFLSRNGIECNELEELFIKDQSLSNENVDKIVGYAVSYHLKHNKVETSNSKDAKLVLTSESLKHGLNMLQSVQSDNKSSKKSLKDVVTENEFEKRLLTDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFIDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLGATNRPFDLDEAVIRRFPRRLMVNLPDASNREKILKVILAKEELGPDVDLDSLANMTDGYSGSDLKNLCVTAAHYPIREILEKEKKEKNLAKSEGKPEPALYGSEDIRPLSIDDFKSAHEQVCASVSSDSANMNELLQWNDLYGEGGSRKKKALSYFM >KQK94774 pep chromosome:Setaria_italica_v2.0:VIII:26694817:26695772:-1 gene:SETIT_027095mg transcript:KQK94774 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIHIILAMPILIINKAHTRGVMDPHSKQSDCCTWNSHDKLTSRQRLGSCGESAPAGSYLQCHSCTRVPVIAVSSSESMIVEANSTATLVPTY >KQK93514 pep chromosome:Setaria_italica_v2.0:VIII:1630028:1630422:1 gene:SETIT_028582mg transcript:KQK93514 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLWTGISTFVATGYEDISVMGCSYPLGSNGQTYFVVILLGPWRAKCFP >KQK94211 pep chromosome:Setaria_italica_v2.0:VIII:10849759:10852132:-1 gene:SETIT_026623mg transcript:KQK94211 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANLQSQQSLVSTLPKELPATFMKEITSDFAAGRELGRSVFGIVYKGVLPEGGTIAVKRLAENAPVAPGKTFDTEVTNLMVLQHDNIVQLVGYCHEAQKKVVQHNGRYVIVDMVESCLCYKYLPNGTLDKYLYADTRSINWDTQFQIVKGICQGLHFLHKELDGPLIHMNLVPNSIWLDDNWVPKIADFGLSRLFGQEQTRMYTVNVKGHNGYIAPEYLYRGEISTMSDIYSLGMLILEITTGEKNNSVSDDKSARKFVDRVHQNWKTEEQIIYKYPSLDPNGLQQVKTCIVIGLMCVEADRNKRPSIVDIVDKLNGKRVPIFDQVPPS >KQK95777 pep chromosome:Setaria_italica_v2.0:VIII:37972863:37974698:1 gene:SETIT_028151mg transcript:KQK95777 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVFAFAAGNGVAAEVLTARFARQVLWGRWFTLFACLLILSASGATYAFGIYSRAIRMSLGYDQRAVATLAFFKDLGSNVGVPAGLLNEVAQPWAVLAVGAAMNLAGYLMVYLSLAGRVARPPVWLMCAYVCAGANSQAFAGTGALVTCVRNFPETRGAVLGLLKGYVGLSSAILAQVYLALYGGGDARSLVLLIAWLPAAVSVVFLGTVRVLPPRRPRQKGGGGGGDGVFLCLLYISIALAAYILIMIVVQRQATFSRDAYAASAAGLLLLLFIPFAVVVRQEYRIKKELEESLRAAPTTVVTVIDKPAALPVPEMPASTTDTPPSSSCRRSFLRHTFTPPAHGEDYSILQALVSVDMLILFVAIACGAGGTLTAIDNMGQIGQSLGYPPKSVDAFVSLISVWNYAGRVAAGYASEALLSRYRFPRPLALTAVLVASCAGHLLIAAGAPRGTLYVASVLIGFCFGAQWPLLYAVISELFGLKRYPTLYNLGAVASPVGAYVLNVRVAGRLYDAEAARQHGGALPGGGGDKTCVGVECFRRSFLIITAATAAGAIVSLVLVWRTREFYRGDIYAKFRDNAAVGEESLGGGGVAAEQRPGRGGGSVNGEQRNG >KQK93620 pep chromosome:Setaria_italica_v2.0:VIII:2349122:2349791:-1 gene:SETIT_028505mg transcript:KQK93620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLIRQTCYSRQAKIWFPISNLVVQVSDANYMNCNFVDAFISVCEVNCEVKVLHLQGLHLGCSPFSEETMWL >KQK94860 pep chromosome:Setaria_italica_v2.0:VIII:28052208:28058075:-1 gene:SETIT_026426mg transcript:KQK94860 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWRATRRWPPLLRCLSSDAASSRAPRQRVAALWGNGDYGRLGLGALESRWSPTACPFFLSRAADPPASLACGGAHTLFLTQSGRVFATGLNDFGQLGIGSSVTHTLEPVEVSGFHERVVEVSAGNHHSCAITADGKLFVWGRNSGGQLGLGKGAGKVVSSPTKVDCLTDFRVKMVALGSEHSIAVTDEGEVLSWGASGSGRLGHGPQSSILGFSLTSSEYTPRLIKNLDGIKIKKIAAGMLHSACIDEKGTLFIFGQKTEKGFGRSNEAFRPVIVEEIPFSEEVACGGYHTCVVADSGDLYSWGSNENGCLGLGGTDMVRSPEILKSSLFKLPVSKVSCGWKHTAVISGDDIYTWGWGGANGTFFEEGHSSGGQLGHGNDVDYFEPMMVPFGKNARAVHVSCGFNHTGAIYEYCED >KQK94869 pep chromosome:Setaria_italica_v2.0:VIII:28145313:28146433:-1 gene:SETIT_027576mg transcript:KQK94869 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GAGGDHALLRFLKNKLDYCRLHGLELLYNTALLEPSMVAYWAKIPAVRAAMLAHPDAEWVWWVDADAVFTDMDFSLPLERYGGHDLVVYGWDGEVYEERSWVGLNAGVFLIRNCQWSMDLMDAWARMGPAFPEYAAWGKTLREELEGKPNDESDDQSALVYLLSKHPARWGNRTLLETGYYFQGYWAEIVDRLDGVAKRYEAVERGGRGGSSGLRRRHAEREHLRYAAARDAAVGRSGTVPGPAGGGQKGWRRPFVTHFTGCQPCGGAPDRKYTRRRCAEGIRRALAFADDQVLRAYGFRHAAPLSDSVVPLPFDYPAAARIKH >KQK93367 pep chromosome:Setaria_italica_v2.0:VIII:791141:791608:-1 gene:SETIT_027017mg transcript:KQK93367 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMRKMQAVFALAMVFAAAALVASSSAAITCGQVASSLAPCIPYATGNAKAMPSGCCGGVRSLNSAARTSADRQAACRCLKSLAGSIKKLNMGTVAGIPGKCGVSVPFPISMSTDCNKVS >KQK93368 pep chromosome:Setaria_italica_v2.0:VIII:790697:791688:-1 gene:SETIT_027017mg transcript:KQK93368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPMRKMQAVFALAMVFAAAALVASSSAAITCGQVASSLAPCIPYATGNAKAMPSGCCGGVRSLNSAARTSADRQAACRCLKSLAGSIKKLNMGTVAGIPGKCGVSVPFPISMSTDCNN >KQK95993 pep chromosome:Setaria_italica_v2.0:VIII:40352946:40355425:-1 gene:SETIT_026151mg transcript:KQK95993 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVAGAVGSVIAKLGELLHEEYKLQKGLPEKIESLKHELESAQMALSKVGEVPPEQLDPQVRLWASEVREASYDMEDILDTFLVIDDVWDITTWNIIKSALVNNGTGSRVITTTRNGDVASKEEVYELRTLSPDHSEKLFKTRLFGVNGEYPPHHPTEESEKILKKCGGVPLAIITMASLLVGKLREDWVAVCNSPGFYRRKGNQQVDDTEWILSLSYYDLPSYLRTCLLYLSVYPEDYGIEKDSLIWKWIAEGFVEKKTGTSLFQQGEEYFNQLINRSMIQAVESEILGEVYGCRVHDMVLDLICDLSYKENFVTISNDDEGTLPHQNKVRRLAHQNKIMKQTQQDDHMDMAQLVYLRGGRFHTRAPDGSFLRKVTSLEELCIRIDNLDQESQRQFMKDVGNLSEVRVLDIFGGRFKEMKLRSCSLDGWMVQLVLNEHSTGVSFSIWNGMGATAFGAKTKDECTRSIAQQPVMPNLQQLWFDVPVKALYKDGNGSCGDLELECLPSFCSVKIAVDCEGASIDDVEKVEVELRNTSQLHPNGPRIILKRRKDDYLSDDGDNVSDNGGASAAGDEAAVTESGCDNPATPGC >KQK93525 pep chromosome:Setaria_italica_v2.0:VIII:1672104:1674371:1 gene:SETIT_026876mg transcript:KQK93525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVATETPFHVLAVDDSLPDRKLIERLLKTSSFHVTTVDSGSKALEFLGIHGEDSSPVSVHADQLEVAVNLIITDYCMPGMTGYDLLKKIKESSSLRDIPVVIMSSENIPSRINRCLEEGADEFFLKPVRLSDMSKLKPHILKSRCKEHYQEQHQQSDSNSNECSNPTNDSSSSDNSSSRKRKAEDNEVLPQTNRLRHS >KQK95308 pep chromosome:Setaria_italica_v2.0:VIII:33207543:33208126:-1 gene:SETIT_028430mg transcript:KQK95308 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQNLRIMKNMSKPNKLRFSLYISICRIENIMLGTPTT >KQK95210 pep chromosome:Setaria_italica_v2.0:VIII:31983356:31983875:-1 gene:SETIT_027028mg transcript:KQK95210 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALVKHTNPMTLCMVATSLALLAIMSSNTRSCEAWNGFSGRVGLLSLNGDLPQESPPPPASRAPPRAEPCFLPTNPDYCTDPICVHVCEVHGISNSPAYCRQEAGYDMCCCPNPN >KQK95846 pep chromosome:Setaria_italica_v2.0:VIII:38892571:38894006:1 gene:SETIT_026845mg transcript:KQK95846 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNVVSIYHEGTVERDDYGCVKFVNMQSMVVLLIEKPSFSELVASAREELYCHEDDDITVEGVLHLGSPFNIQRKMIPIWCADKWENDVRSVMKRHVGIMNDSDAYEMGMYLDSDNDRPVGEMTESDVEMFRRCFPDRRDPRVHEFSDLSHSNHACAEGSDDELLEAPEAGPSIVIEEGSALMRWLQAFAVIKKRPYKVLHSYAEHRYTVVCDK >KQK95036 pep chromosome:Setaria_italica_v2.0:VIII:30117007:30117510:-1 gene:SETIT_028623mg transcript:KQK95036 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHSVTESELVRLQKLTGHIGTPAELCCLFACLLILISPFST >KQK93260 pep chromosome:Setaria_italica_v2.0:VIII:230253:232796:1 gene:SETIT_027264mg transcript:KQK93260 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEIQTSSPCSWQPRRLRRRPRANTTDETNGGAPCTAHQPLQANEAASQGTVPELPEDALQHIHSLMTMRDAACVSRRFLFFWRRYPNLAFNQEYISGYRGKYVFSKAQYVLENHSGVGVKMLKLNLSTCSKKDIDINLLDGWLRAFIKPGITELAFLHLASCDFHPTEGPRLLGCSRSLSTVCLHNVRITGDEVGFFLSSCFALERLDLSKCSMITSLKIPRVLHKLRIVQLHMCQVLQTVEINALNLSPFNYNGCPLSSFSLGDSLETKELLMHVVGMPDLIQYVGCNVPSIAPNLEMLMLSTVNEKLKAPAIFDKFQHLKHLVICLGKRGEFFKGYDFFSLAHFLDACVALETFTLRIQIGCRWYDMQSRVAIMPFRHGGLRSLRKATITGFCSSKSLVELTCHILRSAASSLQFILLDTSRGYDWKHSLTDRCRTMGTMDLQDSERALFNIRQYVEPKVPPGVELKVLGPCSRCHSIDAKAMEEATS >KQK93394 pep chromosome:Setaria_italica_v2.0:VIII:928304:929273:1 gene:SETIT_027031mg transcript:KQK93394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSKRMSSSSSVQSWVEEHKLSTVAGVWAAAAGASVAYSRRGAAPQRAATSLRLIHARIHAQALTLAVLGGAAAFVHYRSKKGKNHAADKLDLDFYSQLPPATDADGNENERWSW >KQK95729 pep chromosome:Setaria_italica_v2.0:VIII:37613512:37614154:1 gene:SETIT_028275mg transcript:KQK95729 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METHAAMARALPDDLLADVLARLPLRSLAVSQCICKAWRALTDEHRLLLPRWVRSLFIHYGDYRRPHFFARPAASPEDGPRIDRKSFVSSRDGGAVQRHGGDARVQPHHAAVGAPAAVPNRAFLVFDPAALLDYKVLLEPLEPKEDGVEEKDACRSTEWPPSPVGMDVARVLVEDRDVGGEGCSDKRMASACTARGIEC >KQK94556 pep chromosome:Setaria_italica_v2.0:VIII:21752268:21755674:-1 gene:SETIT_026667mg transcript:KQK94556 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGNPRRPAAAAAAAPASAEFEVGFAKLQGECFEYYMQTYSIILGRHSRRSSKDPAAPPVVEADDGVDVDLGALGGGMNVSRRHARIFYDFPRRRFALEVLGKNGCLVEGVHHLPGSPHVKLDSQDLLQMGEAKFYFLLPTRSVFGTSTARRASAVPRAIPPPPSDDDEDEGEEDGEAVAAATHLRNGNNGRRLDAAGSKAYRESDDQLLLQLEEKDVISSAATILSDLCGPQEWVSMNNLHEVMFDKYGNLWHHSRVRKYLTSEDYPGSETDGRPWHGLALLLRKYPEHFVINIRNAGGLSTEFVSLVSLQP >KQK95258 pep chromosome:Setaria_italica_v2.0:VIII:32719838:32725963:1 gene:SETIT_027932mg transcript:KQK95258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLAIGISRTAVQLLADKVRTAIKEEAEQWQIVQRDLVFITGEFEMMQSFLNVADAERVRNDVVWTWVRQVRDLSYDTEDCIEFVLQLDTKKRSWWLRLLPSCGKTEAALPVDEAVAKTTLLRARVVDVSQRNIRYNLIVDSGSRPINQQVQRAAASSKGSFDILTNAVKKTGPLDLPGLITREGEDLQVISVCGPEGDLGKVAIIRKLYGDSRIYKEFECRAWVKLMEPFNAHEFIRTLMIQFFAHSFCSQEQQEGSIKESAHKINTHRYLIVLEDLYTMAQLDTIRSYLPDMKKGSRIVVLTHQPVIASLCAGEPCRVFELVQFSPYHSVRVYFKEQIQEGRLISLWGMPGVGKSGLVWDIYCKWRTSNNSWKHAWASVSNPFNPTDFSRNLLLNLLPKSTSSEERYSKAHQAKDPIQECRHLLHEHVYLVIIDGLQFEEDWDWIKSNLIGSGGSRSCIITITSEESVGKHCAVSSNNAVVHNIKGLEADAALELFKKSRLRPNQTDLFILSKCGGLPKVIVTLARYLASRPSKDVLEQEMRRLSDNFMHELETNPEFDSLRGILAWMHSYLHACPRHLKKCMLYLSVFPQDRIIRRRRLVRRWIAEGYSKGTDSISMEKYAEKLFDEVAALSIDIMQPVLKATSKVIGYRVNGFFREYIISRPVEERVFFPVEVSALEKGHGQLTTEAIGQHLAVGDSWDNDRFVFEGLDFSRLRSLTMFILFKPWYVSDRMRVLRVLDLENAVDVWNSHFEAIGKLLPRLKFLSLRGQREVSRVPDSVGELMQLQTLDIRDTSIVALPPCITRLQKLQYIRAGTTIAFTQDDSLSSGEHSTPLSRRSNAMASRLLARFSRRGPDGSCRNGVEVPRGIARLKALQTLGAIHVNTAGDATFNEIDSLLSRDQLKKLELFRINRKTSIWNHWSSLHMAQHLESLSLQFEKSDHFVHWDYISLPRSLRSLKMHGHVEQVPPSIRDLGILVKLTLEKTTLFTTGEIKVIGSLPSLRTLRLRVNKDQDGELQFHSGLFSKLEVLEIACKWKLRVRFDIDAMEKLEQLKIHCLQGSEMQFSGLEHPVSLKQVWLMGSFDDALKVALGQQLVKHLKKPALKLEVEPRSSQESSEESN >KQK93833 pep chromosome:Setaria_italica_v2.0:VIII:4870731:4873968:-1 gene:SETIT_028102mg transcript:KQK93833 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRWGTVLTWLLLILPMPSSSSLQAKRSNGRCITSERDALLSLKAGLLDPGGQLSSWQGEDCCQWKGVHCSNRTSHVVKLDLHGDVGHSENALGGEMSSSLVELQHLKYLDLSCNYFNGSSIPKFIGSLKNLEYLNLSFAQFLGRIPPQLGNLSNLVYLDLGNNYYCSYLYSDSLIWVSHLSQLKYLDMSWANLSAAVDWIYGISSLPSLEVLYLSVSDLRNTNTILSHSNLTALKVLDISYNSFHTTISPSWFWHIRTLTYLGLSSSGFQGPIPYEMGNITSLEQVYIGDNNITSMIPPNWENLCNLKIMDLSFNNITGDIGDLMDRLPKCSWNKLYVLDFSYNELAGNLPNWLQPLKNLTSLNLYGNGITGSLPLWIGGLNNLTILNLGSNWLVGEINEEHLEALTNLQVLEMSDNSLSMEVHSNWIPSFKLKVASFRSCQLGPAFPSWIRWQRSINVLDISNATIYDNVPDWLWVVVSTASILDMSKNLLTGTLPASLEMLAAEIIDLSSNRFAGPVPRFPRNVQYLDLSRNNLSGTLPDFGAMNLQIFSLYNNSISGSIPLSLCLMQHLYILDLSGNMLSGELPTCKGDSDSYKYMHALNLNSNNLSGVFPSALQMSQDLIFLDLAYNQFSGNLPAWLGDKLPSLAWLRLRSNNFSGNIPIQLATIQGLQYIDLACNRISGQIPESMVNLSAMARSNGYSSLDEVEGSGIGGVETYSPTIFFTETTSVLTKGQQLELSQGIQYMVNIDLSCNNLTGQIPQGISALVALKSLNVSWNHLSGRIPNNIGDLKALESLDLSHNELSGEIPSSISALTSLASFNLSYNNLSGRIPTGNQLQTLATDDPESMYVGNIGLCGPPLPKGCPGNGTSNSPVDEPEQKDNGMVNSIYLSMIIGFVFGLWMVFCIMLLHKGLRYSYFASIDYLYHTMCVHVVVTWNFLMRSLCMKHKRMVITVFVIVCTAPPVYHCIMVPSMYPSAVCDDPKKI >KQK94892 pep chromosome:Setaria_italica_v2.0:VIII:28482481:28485429:-1 gene:SETIT_026613mg transcript:KQK94892 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHAAAAAEEERAAELAGPLRDILPPVDFCCAYGSTLLHARPDGTSMVDYILGVADPLHWHSENLKRNPAHYSRWMGCLGASAITGLADRIGVGVYFNPFVEWRDKRIKYGVVRMKDLAMDVLTWDRFYLSGRLQKPVHVLVDNWDIRKVNTINLEMATSASLLLLPEEFNEYDLYAQICSLSYMGDLRMLFAEDKNKVKKIVEGSFQSFQTMYRPLLQEYIAEGLLKTSSHGQQKIFRQDCGPSTTNELFSVLPWTIQRQMQGRYGSHGKEMPTRMVVSSKEMAANCVRRALRRRVMVSSVRQAVSGLLASGGAVAAQYLGKKMAKAWQSRAA >KQK95976 pep chromosome:Setaria_italica_v2.0:VIII:40261677:40263138:-1 gene:SETIT_026815mg transcript:KQK95976 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVSGAVGSVIGKLGELLQAEYKLQKGLPQQIQSLKDELESAQTALSKVGEVRPEQLDPQVRLWAREVREASYDMEDILDTFLVEVTDPAEKKDGLLEHLNKKMSKLLKKSKARHTIAGAIDDMKKRLQEVADRRDRFSVAVSQPALPTKPDPRLADMHKEAAQLIGIEETKAELTAMLLPTPQGNGDSDISGSNNKMNNKKEYEAIRDVKNESLLIGELRKFLQNKRYA >KQK94292 pep chromosome:Setaria_italica_v2.0:VIII:12638951:12642551:1 gene:SETIT_026315mg transcript:KQK94292 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAASWRDLALAMAAVGWVLGGCLVWAFPAEDLVARLPGQPPVTFRQFAGYVDVDVKAGRSLFYYFAEAQEDAAAKPLTLWLNGGPGCSSIGGGAFTELGPFYPRGDGRGLRLNKKSWNKASNLLFVESPAGVGWSYSNTSSDYNTGDVRTADDMYRFLLGWYKKFPEYRSRALFLTGESYAGHYIPQLTDVLLTHNEKSKGFKFNIKGVAIGNPLLKLDRDVPATYEYFWSHGMISDEIFLAISHSCDFEDYTFNDPHNESKSCNDAISEANTIVGQYVNNYDVILDVCYPSIVMQELRLRKYATKISVGVDVCMTYERFFYFNLPEVQQALHANRTHLKYQWGMCSDVLNYSNTDGNINILPILQRIVEHKIPLWVFSGDQDSVVPLLGSRTLVRELAHTMGLPVTVPYSTWFRKGQVGGWVTEYGNFLTFATVRGASHMVPFAQPDRALGLFRSIILGQRLPNTTNPPID >KQK95741 pep chromosome:Setaria_italica_v2.0:VIII:37707658:37708309:1 gene:SETIT_027340mg transcript:KQK95741 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYTQAWKFWSSGRARELMDASLRDEPRISEILRCMQIALLCVQFDRADRPSMSDVLMMLKCEGMALPVPRAPSDTSRQGADDSSPDASASSSYFSYCSDDRPTGARSRRLAICGLTCHHVLV >KQK95902 pep chromosome:Setaria_italica_v2.0:VIII:39421108:39422227:1 gene:SETIT_027404mg transcript:KQK95902 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCRRILFEEAGPSAPSRDSADSPSPKRLEMNDMRVAVDVRNTEVPERSPPRSEPSQLGERDTIDFCIKVPEMLESSNTGFRTLPTQIGCQQGSNEDQTITTGRQGVVFSPPDTLNANQMIASEEEIPPQTHQNESMDPNMVPREGMSFHTEAEAKAFYMRYAQLAEFGVKMCNKKKFSRVMRCSYEGKGDFYKGDEALRVRNKTTMKTKCKAHLKFTRVYDSEGNEVDMIIEKANLFHNHLLHTPLKTKKMRSHKSTEPEAGMSTQKLVPITSRDIENM >KQK93999 pep chromosome:Setaria_italica_v2.0:VIII:7350098:7352099:-1 gene:SETIT_027649mg transcript:KQK93999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAAAQPDDAAWRSWADLPLDLLRDISRRLPTATEYIRFHAACTSWHDTLPPPPCRPAFLPWLLSPPDSSKRRRARCIFSSRSSRRAAATATDIWVRDRRWVVSPVDGTAASTLTTAWPPCSSCLVGADDLLTGSAGAAPLPRLPSDGETKRWENYAVGRVSGDGTISMYVFGPVDRYYLPNLNAAVLRPGDAAWTVVRKDLSAFYPRRDGRDCGIAYHDGKIVVCNHQDSWCIETREVDNLTSDHRSWSIQVPSYYRQNGKSLQSSYLLESGGELLLAFVLVNDVHRHMYSYHIDLGPEDHSVDSFVKGLLVSVYALQGVEGSKPKWVKKDDRSLVDRILFLGKPTSFAVDAIRFGASSGGCAYFVVKSQLYGGIWSKSSLERCRVFRYNFHNGKSELVRQLPAEWSEEACMWLTPQPSIASTEEIREKLEQPLHRKAAEPQFGPFFRIYVGNLPRKVDSCQLRQFFSKHGKVADARIMCHIKTRSSRGFGFVTMATAIDDGPAHAIAKLDGQILDGRPLRVKFADQKQE >KQK94923 pep chromosome:Setaria_italica_v2.0:VIII:28818675:28821592:1 gene:SETIT_027984mg transcript:KQK94923 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGRSPPLTGRRRRRGRGWSAPRKAPPQTQPPRSPSPSAPPLLPPGTDVEVRLDGDGYYGTWYAANVVSFASARGDGLPAEYTVSYADLQDDNGGGALEESFAPTHVRPRPPPPDPESPPPRFLPHDAVEAFHNCGWWSGIVLSASPAAVAVAFPISREVIQFSPSLVRPRRDYVGGGEWIPSRSVITVRPEGEVRVYQAGEKVEVGRVQEVYGYSWFPATVAKVIDDLSYVVEYSNLEEEGGGGAEKATEYLHWQFIRPAVEHSPQESEFWLGPGAAVEAYCDGAWSAALVRKVVGDGEFKVSINGSKARKLVTKVVEFLKPHYSWDDGKHWSIVSAKRQANSRWSSASGKRTRSNNVTFSDDEHCRDPEYSGAKKSRKELQPKVAVLAEDSEHASLSMMGTPLSAVEDSPASIHPPNSSSPLHSKVASKKKKYFDEPHSLHSSLESPSTGQKIGVNEVAGSHRESPLTFESTKSTQQLLDSTEGTINTDEVTYQEPLVLVPLSFESDSNDIDVQGSKLDEGLTSPSSGCQKTRDADALRNKTGTQVPFVKTSHFWSEIDESDVFKEFPQQPHFLPLQQYLPGLREGKALGLMVSFDLFVKSIRKSSIADNEGSFEEAKGILAELKTNGFDVQYFETLLDKAIKVKFEYTKHLEEKSAVEAQKLGAISSLSKNDSLLCEVDQAMAELEEKLEHLRQKGQLIEKAKEDDEEKLSKLSVVESSVQKALDADKQQFQRIFA >KQK95227 pep chromosome:Setaria_italica_v2.0:VIII:32417020:32418475:1 gene:SETIT_027943mg transcript:KQK95227 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATIIPRMDGHANRAGNLDDRTNTLFSIAKDLSKEFGAHVAIVMFSPTNEPKAYCAPTAKSVLRTYLPKIHSSLSPACSEMAEEATTRVDGMKQEAKETAFLAEAERACQATSWLKIFAASTTLGVDELPVFIRALEVLRTDVQGHLDAMESSQKEKMHP >KQK95244 pep chromosome:Setaria_italica_v2.0:VIII:32596727:32597914:1 gene:SETIT_027002mg transcript:KQK95244 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVDVEVDAVERRVAEGAEHAGAGAAEVGVPEVVGEVRGRLMGREGVVGAAGGPADGDEDEDALGLAVLDVVADAGERVAGEVERGGDVPAEAGEEGDEDGVVGASVAGLPQRALVLIPAPEHGDVARAGSE >KQK95807 pep chromosome:Setaria_italica_v2.0:VIII:38205602:38206096:1 gene:SETIT_028173mg transcript:KQK95807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAKCALLLPLLLLLSRAAAVAAAAPCHPDDLRALRAFAGNLTGTGGTGLRAAWSPSSSSPIAAACCAWDGVSCDAGGRVASLRLPARGLTRPLTAAPLAGLARLRDIDLSRNALEVPVSAVLTTVPPGIRAANLCAAAFAPRAAPGKVAPPARLLPLPRRGRRR >KQK94146 pep chromosome:Setaria_italica_v2.0:VIII:9706235:9706987:1 gene:SETIT_028139mg transcript:KQK94146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGMEGLLKNLKLSEVEKKSIRIGGDKEGGLGDGSLKAFGKLLSDREVRSEVVEQTLGWIWCPRKGIECKDLGDNCFLLTFSQATAKRRALEEGPWMISNEALVIADFDGTKSLDEIIFSFIPIWIRVARLPMGLMNKATAEVIGDEFGKFLEVDFESDDLAAGRVLRVKVRLDIRLPLRRGITVDLGEGVGDRWCPVQYEFLPEFCYVCGIIGHVDKTCTKKLGKEERAPFDRALRFIPPKKRYGGGGWR >KQK93595 pep chromosome:Setaria_italica_v2.0:VIII:2223705:2226400:-1 gene:SETIT_026684mg transcript:KQK93595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALRAARRLASCSRQGRLLLPSQAQAPCNPPAAAAAAFLHSHATSFGYKQVREEEKSRLVGNVFSSVASSYDLMNDLMSVGLHRLWKDRLVSKLNPFPGMKHLDVAGGTGDVAFRVLERIKSVGHRAMQGTLTATEEDTHIYVCDINPNMLNVGKKRAVERGYSEEHCLSWIQGDAEALSFEDGSMDGYTIAFGIRNVTHIEKVLSEAYRVLKRGGRFLCLELSHVDVPVFKQIYDVYSFSVVPTMGELVAGDRQSYQYLVESIRRFPNQEKFAQMIQEAGFERVEYENLVGGVVAIHSGLKL >KQK94154 pep chromosome:Setaria_italica_v2.0:VIII:9896371:9899740:1 gene:SETIT_026053mg transcript:KQK94154 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVITARPPKRARVTAGPRPLDLRAFPGGGEGPPLPPRGAFRECVRAFLARCAVPADGAWRVGFRAGEEEGAAASALVGMEVVEEDVARAGAGRVYCEHCTVAGWSRHPVCGRRYHFIIRNVYDIQDYKTCKHCGLMAQLCETRCQSCNHGMSYDDPEDWDYRQLDNPRHLLHGIVHDNGFGHLVRINGREGGSGVLTGTQLMDFWDRLCTYLRVRKVSLMDVSKKYGTDYRILHAVTTGHSWYGQWGFKLSKGSFGITSKEYFEAIDSLSSTPLSHFFPHSRSPRNQLQDTISFYQSLSKHPLTTIRELFHYVLGLATSNKMHIHYGSMHKTEQSHTNIQDTWDDEEIKRATDIALKVLRAVGRTRWVAMRTLKAAISHPIGSPQLVDYCLKTLAARTVDGLTVAVRCNDETNTLEYRLTDETVLLPNVSMPTRDHLRRDIKFLHDVLLHPHTMNPYKPEKDYEHAKRSAMILLDCKQLTKHYDLEQEFLPQNPSMLHIWCHVELLDQVGDLPSIPQELLTLPQTATVADLKLEATKTFRDIYLMLQTFVANQLLDCGTASESTQLKLLFGANGNVRVQGKCIGGERRVGIYRMERGVDKWTVSCSCGATDDDGERMLSCDSCHVWQHTRCAGISDFHQVPKRYVCKSCKFLNKPKRPRPVYSNGPNKRCKTGTGAFSLVGGGFLKPHIF >KQK94753 pep chromosome:Setaria_italica_v2.0:VIII:26297651:26301973:1 gene:SETIT_026279mg transcript:KQK94753 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMDLADSQQRLIAGAALLLATIAFVKLLLGARSRGKRLPPTIPGAPVVGGLIKFMRGPIPMIREQYARLGSVFTVPILSRRITFLIGPEVSAHFFKGNEAEMSQQEVYRFNVPTFGPGVVFDVDYSVRQEQFRFFTEALRANKLRSYVDQMVAEAEEYFSKWGDSGTVDLKYELEHLIILTASRCLLGREVREKLFDDVSALFHDLDNGMRPISVIFPYLPIPAHKRRDRARARLAEIFATIIKSRKASGQSEEDMLQCFIDSKYKNGRSTTESEVTGLLIAALFAGQHTSSITSTWTGAYLLRFKQFFAEAVEEQKEVMKRHGNKIDHDILAEMDVLYRCIKEALRLHPPLIMLLRQSHNDFTVTTKEGKEYDIPKGHIVATSPSFANRLPHIYKNPDSYDPDRFGPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWTHLLRNFEFELVSPFPENDWNAMVVGIKGKVMVNYKRRKLVVDN >KQK95908 pep chromosome:Setaria_italica_v2.0:VIII:39473668:39474402:-1 gene:SETIT_027457mg transcript:KQK95908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNVPKIRIPRLRDLLSHPLGCLTGHPLQAFNELFDQFNQTLSENTCAIQASLCNIARAPYRLAEKCGPVIEELIAAQRSASDPNNIGDTSRRNNSGIEEDFVDPHNDQLFEHGNGGVFRTPSSCYRDDVLRDGNGQNSYTTDPATSKTGGTTPCTKPHQEACRDDHARTTTCSG >KQK94232 pep chromosome:Setaria_italica_v2.0:VIII:11523709:11524888:-1 gene:SETIT_027371mg transcript:KQK94232 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKPTVVLLPTWGTGHLMPMPMQRRRLLRFSLTVLVIPPPTPESTAEVADVVRREQAAAAGHDDDEIIRLHSLPVIEHPSNNQGMHDLISELVRLYVPHVRDAVAALSSPVAALVIDFFCTAVLDVSRELSIPTYVYFATNADAAPAEGGAVAVPGLPPVPWSSLPSALQDKTSMSYRWFMYHGGGARAGRAPTVYPTGPPHECVRWLETQPPASVVLLCFGSMGSFTPPQVLEMAQGIERSGQHFLWVLRGPPAAGKGLVWPATAPQKEIVAHAAIGGFVTQCGWNSVLKSLWFGVPMVPWPLYAEQHLNAFTLVTDIGVAVAMEMDRKRGNWPG >KQK94919 pep chromosome:Setaria_italica_v2.0:VIII:28754898:28755436:-1 gene:SETIT_027897mg transcript:KQK94919 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPAHTQTLEELEEYEYIVERTFHSEDEGYEFFNAFARNKGFSGRGRLHTQQTKMSRQYVCSKEGAGQPKFLNRETMKRRPRPVTRFDCPFEVVMRHKPEMNIWYVHKYLYTHNHNFRASEKRNILAYQTAGLRKYQIMDVMEKQYGGPHNVGYVIKDLYNFHF >KQK94853 pep chromosome:Setaria_italica_v2.0:VIII:28022845:28023676:-1 gene:SETIT_028424mg transcript:KQK94853 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLYLSSQCQSLYRRRRSPSPSPRRRKSRSPSPRRRKSQSPSQKHYRRKRSPSVTSSPITASQSSHLGLAENKNATDKQRLEEEKKRWEVSALLHSLDYSFY >KQK95911 pep chromosome:Setaria_italica_v2.0:VIII:39510994:39512445:1 gene:SETIT_027898mg transcript:KQK95911 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFSRRDLVRAKNDSFASWVKQEKIPMVLDWATRGNGSCRPPAKDAGPSAKWTTPDCVSEHSFCVNATQGPGRKQRRFFDKNGGEILKKMTNSYKETIGEGAFGKVYIGTIDEGTQRVAVKRAASVKGASLPQEEFVNEITIQFRISHANLVRLIGCCLETDVPMLVFEFISKGSLFKVLHGADDDQEALRLLERMHSHGDGGQNHVHGDIKSGNILLSDDLTPKVSDFGSSKLVSVASMYSKCLPLDFVKCCKEEGNGRKLYDRDILPDDDAQAHRHMECLDRIGALAVRCLKEDVDERPTMAEVLDELKQVKAIASGGSSGSVAS >KQK94715 pep chromosome:Setaria_italica_v2.0:VIII:25588746:25592876:-1 gene:SETIT_026281mg transcript:KQK94715 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVRLPYTTALTTLFSYGLLFAFGQLRDFFRKLVDWFKAKNVKGYAPICLGLEDFYVRRLYLRIQDCFGRPIASAPDSWFDVVERTSNDNNKTLQRTSNTTRCLNLGSYNYLGFAAADEYCTPRVIQSLKKYSASTCSVRVDGGTTKLHTELEELAARFVGKPAAILFGMGYVTNSAIIPCLIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNSPAHLEEVLREQIAGGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIIAVCKKYKAYTYLDEAHSIGAVGQSGRGVCELLGVDPADVDIMMGTFTKSFGSCGGYIAASKEIIQHLKHSCPAHLYATSMSPPAVQQVISAIKVILGEDGSNRGAQKLARIRENSNFFRSELKKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLRQKVAVVTVAFPATPLLLARARICISASHTREDLMKALDVISRVGDLVGIKYFPAEPPKIAEVGHDKLE >KQK94180 pep chromosome:Setaria_italica_v2.0:VIII:10530745:10531948:1 gene:SETIT_026998mg transcript:KQK94180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADAPNDPAAGYYSGRPLNYDGQQAEATAPQARPQPAVGEQANALPVAPQPQPPPDAGEHANHTHAHGVPGYYKVRVNRTDTAAVPPPPPPPPAAVAQAPAPAPAPPAAGIEPEKEQSYIKKLLMCFKGRKDKK >KQK94680 pep chromosome:Setaria_italica_v2.0:VIII:24981698:24982696:-1 gene:SETIT_027325mg transcript:KQK94680 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VQEKKKELKANYKALRDAKSESGNGWNEYMCMILAYSKVWEKLIKISHFLFRRSVATGKLNFTSTKQVDPPSPPAPPALPLAAPSALPPPAPPVERSNSKQSCSHLGTNPFASSFDGQETSSAHKGGSGRKRKQSHIGSALQGYVDFKKSQNSKTLQALEERKRCDEEFSVEKCVDQVDAMAELTDNEKSYSLDVFESETHMKIFITTKNPNVRLMWLKQKISEASSRKQRLNMIQISVLGG >KQK94639 pep chromosome:Setaria_italica_v2.0:VIII:23897001:23899547:1 gene:SETIT_028204mg transcript:KQK94639 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAFSFHGRAVAGAAPSYNTTAPLLLLLNKTGCATPAATLVANFTVSSDLRTTERLMVTTTVLMTVLGAALFALCLLARLSGRHRGHSTATCIFFRASFALFLPFMSYMFSQARSKGAPARACLILLWMLLVELLRKKVYAMVAPEGDAFARGVGRYSFFDAVEEAARMVWIGYLVYSYVDDAVVKSFFVILWIFSVVKLCKRASCIELAKRSFDLARNASLISGYMAQLVLADQQHLGLGPLDGDAVDGGGERSNNILSTCNYVVMGESQMRREETPYGLQLPELASILECQLRHHRDGSLTEEESTVAETSKLVRVCTVWQLSESDPVFRYHERRRHKLQETCLGLALFKLLRRRLEGHHMVEAGAGGGRRQARDLVRWGLLQELGAERAFDVVEQELTFLDEYYQAIIPLALPKPKLFFANFAFSIFFILVYCIAVMLVTGNGDMFHVLASLLRGLVDLSADMVLQYRCFLHQASFLVGMVLSSSDLIITFLLTITLLTVETYEFIHYLLSDWHLASVLCNYARKPALQKQARVRRAVEAALWVKVRSNPVIKVHQFTLLKTHQLHPRRIWMLLSRLLKRRLVGLPDVAVTAEAKKAIVEVLKTVLDRPDGHSRFTNGREALRRNSFEHLEWACDDSGGGATVILVWHLATLLLETRNDDRGQHQLPPAGEAAVTLSRYCAYLVAYEPGLLPDDPAWTDRKYRDMRAELASFFRSCCTTTHRRDRLMATGFHHGDQKEHSSSKLMAKGVMLAKELEKAAGGSTAPYAQHERVWGMLLELWAELLVFVARAPSGGPDAHALALANGGEFITHIWAMLTHAGLGTDDSTRDIPITQELPTIREIPIRAD >KQK94876 pep chromosome:Setaria_italica_v2.0:VIII:28266076:28266429:1 gene:SETIT_028611mg transcript:KQK94876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGPPNYFTTVTTNHYLSDRLHTKLSHEGKRSENH >KQK94960 pep chromosome:Setaria_italica_v2.0:VIII:29123826:29125362:-1 gene:SETIT_026310mg transcript:KQK94960 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKDDGPPHVAMLATPGMGHLIPLAELAKRLAARHGATATLFTFASTASPTQRSILASLPPSISSHRLPPVDLSDLPRDSLIETLMSEECTRSLPALTGILGELKRTTRLVAFVADFFGADSLVAARDAGVARRCLFMPESLHALSLVLHLPELVVSIPGEFRDLVEPVRLPGCVPIPGPDMISPLQDRSSPAYGLMVRLAERCRAEADAFLINSFDAVEPEAAKVLRQPTPRRPPVYPIGPLIRTAECSGANAAAAPHSPPAACLEWLDEQPARSVIFVSFGSGGALPAEQMRELALGLELSEQRFLWVLRSPSDEDSLSDNYYDAASKKDPFVYLPGGFVERTKKTGFLVPSWAPQIQVLAHKATGGFLTHCGWNSILESLVHGVPMVAWPLFAEQRLNAVMLSEGAGAAIRLPEVKDKETIAAAVRKLMAGEGKGAVVRAKVAELQNAAAEGLREGGAATSSLDQFVENLVGEE >KQK93734 pep chromosome:Setaria_italica_v2.0:VIII:3667316:3670274:1 gene:SETIT_026478mg transcript:KQK93734 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHRKFEHPRHGSLGFLPRKRCSRHRGKVKSFPRDNPTKPCHLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPLVIVGLVAYVKTPRGLRTLNSVWAQHLSEEVRRRFYKNWCKSKKKAFTKYALKFDSDAGKKEIQLQLEKMKKYASVIRVIAHTQIRKMKGLKQKKAHLMEIQVNGGTIADKVDYGYKFFEKEVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSYTVARAGQNGYHHRTEMNKKVYKIGKSGQESHDASTEFDRTEKDITPMGGFPHYGIVKGDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFVDTSSKFGHGRFQTTEEKQRFYGKLKA >KQK95337 pep chromosome:Setaria_italica_v2.0:VIII:33523056:33523998:-1 gene:SETIT_027574mg transcript:KQK95337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERVSAPGFAASEILAKGRESAARLHAMLDQQQLPEISTMPHELQDLVEQILHCCDRALAALNGSMGKKRKPERGGPADLPSTTRSKRLRVSGGERGIRVEKKWTMEDGFAWRKYGQKNIHGSKHPRLYFRCSYNDDNGCRARRQVQQSEADPSVYIITYFDEHTCCMGTSLMGNDEKVEKFVINFGSAGVMDGELNGSPSSTCDDDGLVVCEKPDLCNSPEELQAAMDHEAAELLEQSTPVLEEL >KQK93602 pep chromosome:Setaria_italica_v2.0:VIII:2237598:2239476:1 gene:SETIT_026801mg transcript:KQK93602 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISKVTGIAATAFLVTSVSLWELGMRIATLPFLFTGIVACIVTFASHDAVNLPWILGKNSVGRFPCWSIILFGPFLMLARTYAMVKRFMRKESVHDKIVEGLYLGGWPFLLKHLPPGNPSVIDCTCELPRSSFVPKDEYLCLATWDTRAPTPSQIELAARWACEKRSKGKPVYVHCAFGHGRSACVVCAILVALGVAETWKDAENIIRERRKIKMNALHRKTLEEWSKHRVAQKKGN >KQK93603 pep chromosome:Setaria_italica_v2.0:VIII:2237598:2241799:1 gene:SETIT_026801mg transcript:KQK93603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGISKVTGIAATAFLVTSVSLWELGMRIATLPFLFTGIVACIVTFASHDAVNLPWILGKNSVGRFPCWSIILFGPFLMLARTYAMVKRFMRKESVHDKIVEGLYLGGWPFLLKHLPPGNPSVIDCTCELPRSSFVPKDEYLCLATWDTRAPTPSQIELAARWACEKRSKGKPVYVHCAFGHGRSACVVCAILVALGVAETWKDAENIIRERRKIKMNALHRKTLEEWSKHRVAQKKGN >KQK94422 pep chromosome:Setaria_italica_v2.0:VIII:15484204:15486593:1 gene:SETIT_028186mg transcript:KQK94422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPWCWSSLCPKPNKQVASESVHGAQLALQRLGRRRCGTCDDDVEAGEPCKCGGKEAVAGEAGGRVAAVEVNNGEEGHSKPNSSFAHSVINMVGMLIGLGQLSTPYALENGGWASVFLLIGLGVMCCYTAHIIGKCLDEDSGSKTYQDIGERAFGVRGRAVASVFIYLEIFFALVSYTISLSDNLPLVFAGAHLRLPWLRLTTTQLLTAIAVLLALPSLWLRDLSSISFLSLGGIVMSLLIFSSVVFTAALGGGGVNMGQHIPVLRLERIPAVSGLYMFSYAGHIVFPNIYTAMKDPSSFTKVTVTSFAVVTALYVALALVGASLFGPAVSSQVTLSMPPRLAATKVALWATVLTPVTKYALEFAPLAVQLQRRLPAAMGARARLLVRGGVGSAALLLILALALAVPYFEHVLSLTGSLVSVAICVVFPCAFYLRICWGRVSRPAVALNVGMMVAGVVLAVVGTVSSAKSLVQRIQRGHAA >KQK95712 pep chromosome:Setaria_italica_v2.0:VIII:37440014:37440405:1 gene:SETIT_028539mg transcript:KQK95712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLIRICLCLACKDNKALSGFDFPLFILPLFIPSPS >KQK94091 pep chromosome:Setaria_italica_v2.0:VIII:8598178:8598508:1 gene:SETIT_0274072mg transcript:KQK94091 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGFQRFGSVPALPNLSFGSPVAVKNEPGQPSSSTILSFGRLPAASTLNFSSGGEDWPDVAVEGHVAAERKRLEKMQQQSVALATMVPDLTK >KQK95123 pep chromosome:Setaria_italica_v2.0:VIII:31180349:31185039:-1 gene:SETIT_026519mg transcript:KQK95123 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALNKEYTYAELEKEPYWPFEKLRISITGAGGFIASHIARRLMSEGHYIIASDWKKNEHMTEEMFCHEFHLIDLRVMDNCLKVTTGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEAARINGVKRFFYASSACIYPEFKQLETVVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTGRFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKQLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQLEKEKAEGMDLSVYGSSKVVQTQAPVQLGSLRAADGKE >KQK94935 pep chromosome:Setaria_italica_v2.0:VIII:28978928:28980985:-1 gene:SETIT_028021mg transcript:KQK94935 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YMIWTYHGEKAPPQNLLDGIMEDVEFERMFDAYDSFDEGGGDDDGGCCNGDDGVNEGGDDNGGYDSSGDDEFDDSDFLSPLLRHTKAELLVESAKGLANFEAVKKSAEENVYKRSKGCPKHLTMLRFILELLILKAKHGWSDGSFNNLLPKKLVSPFTMGVERIHAYPNHCILYRGDTFKGLDKCPVCSASQYKNNSSYCDDDKTGASWWKDTVFFGDKPDLESASEKLDGHYVFDMVRTIQVPYGKMTKDGKKRNIDKPPIDGVPFKKLSIFYKYLSYWSNLEVRHAIHSMHLKKNVFGNTIGLFLETSTKTKDTLKYELPPASYNLTLDEKKAMCQSLRGIGIPSQFSSNIRKLVSMKYLSLSGYNYHDCHVMLMLFLPIAIRAIKHVYVKMVITLL >KQK94641 pep chromosome:Setaria_italica_v2.0:VIII:23903183:23906450:1 gene:SETIT_026571mg transcript:KQK94641 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISLRDRIRKRREEEYDDMMMFVFPALYLMGSAREGGVKKKRHTSEETGEVKVRRLLEGHIKNCQVTFRMEPHIFKELATYLRRKRLVVDTRITVEEKLGFFLYMLSCNASYEDLAVTFGHGNDTFHHHINHFFKKVIPTLSRRFLQCPDPNQVHSKIQDNPRFYPFFKNWWNKMVKEFHLRNKSVSYTKAQIQDKECQLKRDYKMLKAARMQSGSKWNEQRNMVEGSTSMWENLIVTFPKIKKFQNNKASFPLFDTLGELYDGHMAEETYNFTSIESEHVEEPLQQIDVVEEEAEEEALQEIHEIRDEEDEEKDARDKEEEARSGQRRMAASRKKPEKEGQRPRKSAKLKL >KQK95127 pep chromosome:Setaria_italica_v2.0:VIII:31211481:31212997:1 gene:SETIT_026475mg transcript:KQK95127 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPSRRVLMLCGDYMEDYEAAVPLYALAALGVAVDRIAPGKHPGDACLTAVHEFLGFELYTELPGHRFAVTADFAAAAANPSRYDALVVPGGRFAEHLSADEGAVALVAAFAEMRRPVVLTCHSQLLLAAAGGLAGGVRCTAFFGVRPVVELAGGTWVDPEPFSLCVADGHVLSAIGWPAHAEIIAKLLAAMGARADAGRGGQRVLVLCADYVDDYEANVPFRALAGVGCRVESACPTKRRGEPCVTAIYDAVKPGAVSEERRGHNFAVTADWADASADGFDCVVVPGGRAPELLVTHESAVALVREFADKGKVVASIGQGHLLLAAAGLLRGRRCASGVPMRVVSRLAGAEVVETEGAVADGKIVTAAGWPDLAPFVARLVDLLGITVSF >KQK93439 pep chromosome:Setaria_italica_v2.0:VIII:1098005:1103754:1 gene:SETIT_025863mg transcript:KQK93439 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPRKRGVDAGEVQDLHNKAPRPASPSPDQDKEELLGEMAARAPEIDEDLHSRQLAVYGRETMKRLFGSNVLVSGLQGLGAEIAKNLVLAGVKSVTLHDDGKVDLWDLSSNFFLSEKDVGQNRAQACVPKLQELNNAVIISTITGDLTKEQLSNFQAVVFTDISIEKAVEFDDYCHSHQPPIAFIKSEVRGLFGSVFCDFGPEFTVLDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVVFSEVHGMTELNDGKPRKIKSARPYSFTLEEDTTSYGTYIRGGIVTQVKPPKVLKFKTLKEAIKEPGEFLMSDFSKFDRPPLLHLAFQALDKFRAELLRFPIAGSADDAKKLIDFAMSINESLGDSKLEEIDKKLLQHFASGSRAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPVEPLEPSDLKPENSRYDAQISVFGAKLQKKLEQSKIFMVGSGALGCEFLKNLALMGISCSENGKLTVTDDDVIEKSNLSRQFLFRDWNIGQPKSTVAATAAMAINPKLHVEALQNRASPETENVFNDAFWESLDAVVNALDNVTARMYIDSRCVYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAFLSNPSGYATAARTAGDAQARDQLERVIECLDRDKCETFQDCITWARLKFEDYFANRVKQLTFTFPEDAMTSSGAPFWSAPKRFPRPLEFSSADPSHLNFLLAASILRAETFGIPIPDWAKNPKKLAEAVDKVIVPDFQPRQGVKIETDEKATSLSSASVDDAAVIEELIAKLESINKTLPSGFHMNPIQFEKDDDTNFHMDLIAGFANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLAGGHKVEDYRNTFANLAIPLFSMAEPVPPKTIKHQDMSWTVWDRWTITGNITLRELLEWLKEKGLNAYSISCGTSLLYNSMFPRHKERLDKKVVDVAREVAKVEVPSYRRHLDVVVACEDDDDNDVDIPLVSIYFR >KQK93900 pep chromosome:Setaria_italica_v2.0:VIII:5758382:5759511:-1 gene:SETIT_027274mg transcript:KQK93900 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYIANKKPLVTMALILLAVLTIANCICCTVAARDLPGSGSVAEAAMMVRFERWVTEHGRTYKDAAEKARRFQVFMANAIFVDSSNAAGGKKYHLAINGFADMTHDEFMARYTGYKATPATGMKMPGFQYGNVTQSEPQQAEVDWRQKGAVTGVKNQEDCGCCWAFSAVAAIEGIHHIKTGELVSLSEQQLLDCSTNGNYGCDGGNMDNAFEYIISNGGITTEDAYQYTAIQDMCQSVQPAVTIRSYQDVPRYDEDALAAAVANQPVSVGVDANNFQFYDGGVMTTDSCGTDLNHAVTIVGYGTAEDGSQYWLIKNSWGETWGEGGYLRLERGVNACGVAIQASYPVA >KQK94080 pep chromosome:Setaria_italica_v2.0:VIII:8540232:8540763:1 gene:SETIT_028409mg transcript:KQK94080 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRNSTSFVSSTIRFLVTDGSHFFEHSKISLFHLFHMLDHFLVHKFLSIHFMSIQYKTFLAPCLSLNVDIKAWNSELALGTLNLFGCSWNSN >KQK93986 pep chromosome:Setaria_italica_v2.0:VIII:7126802:7127147:-1 gene:SETIT_028528mg transcript:KQK93986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSYTPSKLRYCLQSKDYQSFWIQHINILHTV >KQK94893 pep chromosome:Setaria_italica_v2.0:VIII:28486124:28490877:-1 gene:SETIT_026853mg transcript:KQK94893 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATGSSSPATPQPFKLILGSSSVARKHILEEMGLEFQVMTADIDEKSIRREDPDDLVMVLAEAKADAIMSRLNIADYQKEDNQPTLLITSDIVVVHEGIIREKPTTKEEARQFLKGYSGGHVSTVGSVVVTNLTTGKRLGSLDKAEVYFHDIPDEVIENLIDEGVVFRVAGGLLLEHPLTLPFVEAVVGSSDSVMGLSKEIASKLIHDSIL >KQK95085 pep chromosome:Setaria_italica_v2.0:VIII:30627603:30628750:-1 gene:SETIT_026748mg transcript:KQK95085 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCSSGLVRMEPETKTSIPPWDPDGWHHQRSALGVERGERRRRGPGAGGRRDRAPGAESGGRRGRAPVGNGASGGERGPGAGWTVPFSGSWKRAATLRRMGNRLAHRVAVVELVAHCPFAPMPLPFLLEMPASSPHVRLEGKRKGEFQRETNGQRELRNAAAYLANASSDMSSVTPPCLGFTGASAPRPHAMNRDGGSALCSRGEESLSRDSLGDHSCSPRQCRQRHAIRHPAWSAIHQSRCVATASREESRWGWRSALMRGVEFESRLTR >KQK94809 pep chromosome:Setaria_italica_v2.0:VIII:27385867:27392159:-1 gene:SETIT_025904mg transcript:KQK94809 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQPPPAPPAVEARFADLCKELGLGEGVAGEAAALLDEVKGVLLAAPSVGGRSAKDAERLCFAFVLYCAVKLKGRKEGSGVRLCEILKSCKLKYDDFFKEMQQLGLKIEKILESRYGRDWEGQLELKQLEGLVNLLADASRFYRKAYNELFSSASTDQEPGLATSTPDYILFGWHVFLMLRSRSPELFKDLVSCIHGLVAVLAILLIHVPAKLRSFTIEGSSHLIKQTEKGVDIIASLCHNYHTSEERLKEMMGKSHEAIEDFFGMKALSASKCKTENLDKIDTDGLMCFKGLIDEESFQQNLEKLEKLRNSNSWEGELDLKLFLSNDYVPSAENTSGASSNLGCSKRAFETLASPTKTIKNMLTVPCSPSSPASGGSVKIVQMTPVTSAMTTAKWLREVISSLPEKPSSKLQQLLSSCDRDLTNDVTKRVSIVLEAIFPTKPSADRGGSIGLNCANAFDIPWAEARKMEASKLYYRVLEAICRAESQNSSVNNLTPLLSNERFHRCLIACSAELVLATHKTVIMMFPAVLESTGLTAFDLSKIIENLVRHEETLPRELKRHLNSLEEQLLESMAWEKGSSLYNSLIVARPSLASEINRLGLLAEPMPSLDELVVRQNFHVEGLPATPSKNRAAASDDNTDPRSPKRLCNESRNTVVERNLQTPPPKQSHSVPTNLKAKCQPLQSTFASPTVSNPVGGNEKCADITVQIFFSKILKLAAIRIRNLCERVQYVEQTERVYNVFKQILDQRTALFFNRHIDQLILCCLYGIAKVCQLELTFREILNNYKREPQCKPEVFLSIYVGSRNRNGVLGSRHVDIITFYNEVFVPAAKPFLVSFIGSGTRPEDKKNANSQIFGSPKPSPFPNLPDMSPKKVSSSHNVYVSPLRQTKMDLLLSPSSRSFYACIGEGTHAYQSPSKDLAAINSRLNYNGRRINTRINFDMVSDSVVAGSLGQPNGGSTSLDPAAAFSSPSKKRKPDT >KQK94254 pep chromosome:Setaria_italica_v2.0:VIII:11954214:11957652:1 gene:SETIT_025890mg transcript:KQK94254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRIYVHLLLFFLVLSTVLLLVSCQATLIKRKDREALLDLKFFIANDSSGALSSWGNGSSACTWTGVLCNHGGRVSKLDLRGLNLVGRISPSIGNLSALHSLYLQDNNFVGNIPNQLGMLGRLQVLNLSGNLLTGNIPSSLTNCTNLMTVDLSWNTISGNIPSSIHLLQRIRKLFIGKNKLDGSIPPSLGNLSLLNTLDVNTNNLTGTIPEVLGRLNYIQYLQLSINNLKGFVPLPLYNLSTLAFFAFAKNDLSGEIPTDIGFRLPNLRVFHICINKFSGPIPSSLHNVTNIQSIRMSNNLLTGSVPPGLNGLHNLTMYNIGFNHISDTTRIITDLTNCSKLQLIALDENLIEGSFPDSVGNLSSSLIKLYLGGNRINGQIPPSIRSLTSLTLLNVSYNQLSGSIPSEIGHLSELTVLGLAVNKLSGLIPVEIGRLTALTTLEINNNELVGRIPEELGLLQRVLSLDISSNKLHGDIPASIFALRSLSSVLNLSHNSLSGGLTETIGQLENIISIDLSDNLLNSSIPLSIVQCRSLQTLFLSRNGISGVIPDSIGNIRGLQILDLSSNKLTGSIPGSLANLPLQLLNLSMNDLNGLVPSNGIFENRSIVFLDGNPKLCYSRLTCYHSQYSSNRRRVHIVSAVAPASAVAISILILIFVFFLSRRYLVSAKTRAQDSIIKVNHPLISYEELWRVTNNFDQRNLIGVGSFGSVYKAILHDGTPVAIKVLDLSKVGAPKSWVAECQTLRNLRHRNLIKLVTICASADFAGNDFRALVYELMSQGSLEDWIHQGKQHEDGAGLNAEEVLNIAIDAASALEYMHSDCGGQVVHCDIKPSNVLLDGEMTAKVSDFGLARLLTPLQPEHQSISSTHGLKGSIGYIPPEYGYGSKPSTRGDVYSYGVMLLEMITGKSPLEQSFGGDMNLTKWVRDNLPHRAQEVIDKRLISATTDVCFEGVQDSSIEQPLLNCLLIPMLEVALSCVVESPDERSSMHDSLLRLKQAKVTFLRNCSTIHL >KQK95477 pep chromosome:Setaria_italica_v2.0:VIII:34720185:34720642:-1 gene:SETIT_028583mg transcript:KQK95477 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRDPPCLPSEKPCQLQGGVKPDVLAHLRQPQGVRGNAYQVACEANLTGTAEI >KQK94637 pep chromosome:Setaria_italica_v2.0:VIII:23819459:23824676:1 gene:SETIT_026656mg transcript:KQK94637 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWAAASGAPSWGAAAASDPGPTMLSFAGPSSSAAAAAAEARLQDFAAGLAQRARPVGAAGGGRRSRSAGGGGGAEACSVDGCRSDLSRCREYHRRHRVCEAHSKTPVVVVGGQEQRFCQQCSRFHMLSEFDEGKKSCRKRLDGHNRRRRKPQHDLTNLGGFIPYHQVNQFEIYPQTTPTVRENSDTMHLVHRQPPFSISFSRTPKQLPFPQDGGGMLSASHHGHFLVEDSNHTGSSACNNTLGPKCALSPLSSSLHHPSPAGQAQVASALSRIASASQQVATAAVTAAFASGGSHHVFVPDAVLEDPSQALPFPWQ >KQK93404 pep chromosome:Setaria_italica_v2.0:VIII:964531:965199:1 gene:SETIT_026947mg transcript:KQK93404 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRCMPGLLLDLDPRHCRAVLASLCQCAPARDVLAFLDDMGRWGVSPGRSDHHAVLDVLLREGMAAEAYEVVARQMDADGVAQGLPEFERVLRAFREKGSFDAVVEAFDDMLLRGIVPRGLAGARRGYRSGRRRDADILAVGRLTTKLVLHCYMQ >KQK95228 pep chromosome:Setaria_italica_v2.0:VIII:32420890:32424214:1 gene:SETIT_026047mg transcript:KQK95228 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAARHGHGAATEVLISVAPELAAELNNAGVSPLYLAVMSGSVQALRAIIACRDASAVGPSLQKQNALHAAVFKSSVMVDLLLEWRPALAEQVDGSGSSPLHFASSDGDSDVVRAILRAAPPRTVYKKDSGGLSALFVAARMGHHRVVNEILEWCPDAAELRDDNGGTFVHAAAKEKSDSFAKEKHRHSVVSLAIENPMLRGLLDVQDKDGNTPLHLAVAAGAPRVVDELLRKGKVHADILNNDGRTALDLAAGSTSFFTTVKLVVMLVGFGAQLRPQRQDHLKLWSGHDSIAKDIERTSDSLAVVAVLIATAALAAGFNVPGGYGEKTGEANLEDRLVFKGFLVLDISAVAASVVAVILLVYGKASRSAGSWKSFAAALQCMWASLICLMLAFYAVLDAVTTTKAVYRYGFPVIFACIVVLSIFIMSRIEPVRTSLTVWRFMCHRAKSSRQTGWRFMCQQRCHLKGWHAVQRQFPLAGAYVLNLCLFTATYVLIGIVGFVGISWLEQLQRMF >KQK95230 pep chromosome:Setaria_italica_v2.0:VIII:32420890:32424214:1 gene:SETIT_026047mg transcript:KQK95230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPQQHVVQVGRPPSRGSSSDDAARLVGMCPALYRAANRGRTEEVMALLLQQHAAGAAAKDRQATGIIQHGQCDILEVSAEGNTVIHVAAEQGHHELIQELYVRFNNIKGLLSHQNSALDTPLHCASRAGHVRTVAILIQLAQDYCGESILGCKNKAGDTALHMAARHGHGAATEVLISVAPELAAELNNAGVSPLYLAVMSGSVQALRAIIACRDASAVGPSLQKQNALHAAVFKSSVMVDLLLEWRPALAEQVDGSGSSPLHFASSDGDSDVVRAILRAAPPRTVYKKDSGGLSALFVAARMGHHRVVNEILEWCPDAAELRDDNGGTFVHAAAKEKSDSFAKEKHRHSVVSLAIENPMLRGLLDVQDKDGNTPLHLAVAAGAPRVVDELLRKGKVHADILNNDGRTALDLAAGSTSFFTTVKLVVMLVGFGAQLRPQRQDHLKLWSGHDSIAKDIERTSDSLAVVAVLIATAALAAGFNVPGGYGEKTGEANLEDRLVFKGFLVLDISAVAASVVAVILLVYGKASRSAGSWKSFAAALQCMWASLICLMLAFYAVLDAVTTTKAVYRYGFPVIFACIVVLSIFIMSRIEPVRTSLTVWRFMCHRAKSSRQTGWRFMCQQRCHLKGWHAVQRQFPLAGAYVLNLCLFTATYVLIGIVGFVGISWLEQLQRMF >KQK95229 pep chromosome:Setaria_italica_v2.0:VIII:32420890:32424214:1 gene:SETIT_026047mg transcript:KQK95229 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHPQQHVVQVGRPPSRGSSSDDAARLVGMCPALYRAANRGRTEEVMALLLQQHAAGAAAKDRQATGIYYLCMIMNRVILMKKRVDSIIQHGQCDILEVSAEGNTVIHVAAEQGHHELIQELYVRFNNIKGLLSHQNSALDTPLHCASRAGHVRTVAILIQLAQDYCGESILGCKNKAGDTALHMAARHGHGAATEVLISVAPELAAELNNAGVSPLYLAVMSGSVQALRAIIACRDASAVGPSLQKQNALHAAVFKSSVMVDLLLEWRPALAEQVDGSGSSPLHFASSDGDSDVVRAILRAAPPRTVYKKDSGGLSALFVAARMGHHRVVNEILEWCPDAAELRDDNGGTFVHAAAKEKSDSFAKEKHRHSVVSLAIENPMLRGLLDVQDKDGNTPLHLAVAAGAPRVVDELLRKGKVHADILNNDGRTALDLAAGSTSFFTTVKLVVMLVGFGAQLRPQRQDHLKLWSGHDSIAKDIERTSDSLAVVAVLIATAALAAGFNVPGGYGEKTGEANLEDRLVFKGFLVLDISAVAASVVAVILLVYGKASRSAGSWKSFAAALQCMWASLICLMLAFYAVLDAVTTTKAVYRYGFPVIFACIVVLSIFIMSRIEPVRTSLTVWRFMCHRAKSSRQTGWRFMCQQRCHLKGWHAVQRQFPLAGAYVLNLCLFTATYVLIGIVGFVGISWLEQLQRMF >KQK94282 pep chromosome:Setaria_italica_v2.0:VIII:12387603:12388127:-1 gene:SETIT_027377mg transcript:KQK94282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VMRHVQHLLAVAAIAAVATHGVTTTHLQFYMHDTVTPSAGSPATAVRVVRGPTPAPGDPINRFGDLYVIDDPLTEGPDLASRAVGRAQGFYLMASRSIDQLLLSANMAFTAGKYNGSSITLLGRDAIFDEIRELPVVGGTGGFHGAAGYGLIRTHSLNASNNNAVLVIDMYLML >KQK94093 pep chromosome:Setaria_italica_v2.0:VIII:8605405:8606418:1 gene:SETIT_026764mg transcript:KQK94093 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPSSNNQKRLETQPSEPSRSPIIHTSTNKESKDPPSARTLRSIRLMTMAAASRKASVPGTSVLAAVAVVTVLLVQATPAAALVPYGRGLMWDLLDDPFRVLEQSPFSAPATSPPRVTGGSEAGLAGVALARCDWKETPDAHVISVDVPGVRREDVKVEVEENSRVLRISGERRADEEKDGERWHRAERAAGRFWRRFRMPAGADVDRVSARLESGVLTVTVPKVAGHRGREPRVISIAGGDDGGAEEAAEVKASKAEM >KQK94968 pep chromosome:Setaria_italica_v2.0:VIII:29358622:29361725:1 gene:SETIT_027663mg transcript:KQK94968 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHPPATNVQSLLIAAAICSLLLVASHASPLQRPQPAGGSVLATCTPHEREALLGFKQGITSDEAGVLDSWRQDGDDCCRWRGVRCSNRTGHVLELRLGNEHANYFSSGDTLLVGQISRSLLALERLEHLDLSWNSVEGSDGRIPEFLGCLKNLEYLDLSGISFIGSVPSQLGNLSELRYLDLSYMTFLNPRDVSWLTRLPLLQYLNLKMVNLGEVVDWSLVVSMIPSLRVLDLSYCSLLSANQSVLYRNLTNLQELDLSWNYFDHPIASAWFWNITSLKNLNLGSTYMYGRFPGKALGDMASLEVLDFSGYAQTSKGIMIPNLKNLCGLKILNLGHSLLHEVATDELFENLPNKLQELYLSGNNVHGMLPIWIGQLTSLVVLDLSQNNLTGPLPVSVGHLTSLTTLTLARNRLTGHVPVEIAMLTNLTNFDLSHNDLDGVIREEHFDSLKKLEYIDLSSNSLRIEISSKWKPPFRLWYADFSTCQMGPTFPAWLQWMVDIKELHISSTGISDRIPHWFSSAFSKAIFLNVSKNNLGGGLPANLEFMSVVNLDLNSNQLTGHIPPFPENLTSMDISMNSLSGPLPANFGPNLIELFLFNNRISGHVSDSICKSEGLTNLDLADNLLEGELPQCFGNRVIMYLDVSNNSFSGRIPSSMQDCTELHVLDLSRNKFSGRLPDWIGKFVRLQFLRISHNMFFGSIPINITNLQCLQYMDTANNSISGSLPRDLSNLSALRNIYPSDFCSKGTITEDPSSLSTFLKGQQLNYGSIARIIFLNMKIIDLSLNNLTGEIPEEIATLHALVNLNLSQNHFSGNVPSRIGAIQSLESLDLSRNNLSGEVPASLSNLTFLSYLDLSYNNLEGPIPSGSQLDTLYAANPTMYTGNIGLCGPPLKKNCSSNDASREGNSGRTEGHMTDFFNLGLGCGFIVGILVAFSALLFKDEWRISYFSLLDQLCVPSLVLYLY >KQK95438 pep chromosome:Setaria_italica_v2.0:VIII:34438334:34439414:1 gene:SETIT_028340mg transcript:KQK95438 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSSPGPAIVDPGRSNASTIAADMETGSHELTVRGYSGTKGLGVGKGILSAAFSIGGHSCSDCISLYLQLLVDDDQGDNGDVKAQFKFCLLDQGEPVPSYTLTSKRQEFARIKSHAWGWAKFIRRKELEESPHIEDDTFRISCHVTVPKIRAEETQVHFLTSPSKTDLHRHLRDLLESNVGADVKFRVGRETFTAHRSILAARSPVFRAELFGWMKEKWAAQVRIDDMEPRVFGAMLHFIYTDSLPQIEGDRRVMAQHLLVAADRYCLVGLKMICEDMLRNFIDTNTAATTLELAEQQGCRRLKERCLNFLKNPGNTIAVMATDGFEHLMSSYPSLVKDLLAKVSP >KQK95786 pep chromosome:Setaria_italica_v2.0:VIII:38053047:38062007:1 gene:SETIT_028628mg transcript:KQK95786 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGNENQEQNSPMPNLPHLFWINSTQTAGNSLGMIGGRSQFPFPATESSINALITSYPYPDSLWVDQAFPGQNDTRFTTNPTTLAVQLVWDRPNVEPSKRHWNLLDQLMPLPKFNKPTTRLMQEHLDPLTIIAMEVMQTRRNRGVIIEPLEPDDSDEERQLKRKMCSKYELRFLNKVCGAYHTRDPIKADDGNLLKVALFDENNIKITYGPLSSASVQIVVLHGDFNDHGQDYWTSEEFGRYEVCPRPGEEASSVLGGNCILVLADGEACLGDVFFQMTSYCARTGKFKLGVRLASTHEERIQEGVSEPFWVKDRHFAGFDLIGGRSKLPIPATESATMKQKIVIRVEMTCARRRTTAMQLVAATPGVDSVALAGDARDQLVVVGHGVDPVRLVTALRNKVGPANLLQVGAEAKKEGGGDKKTPAAAAAVPLPPYVPQPLSYERPILPPYVPQPFYGSSGRDCSIM >KQK95787 pep chromosome:Setaria_italica_v2.0:VIII:38059192:38059902:1 gene:SETIT_028628mg transcript:KQK95787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSKYELRFLNKVCGAYHTRDPIKADDGNLLKVALFDENNIKITYGPLSSASVQIVVLHGDFNDHGQDYWTSEEFGRYEVCPRPGEEASSVLGGNCILVLADGEACLGDVFFQMTSYCARTGKFKLGVRLASTHEERIQEGVSEPFWVKDRHFAGTCKLELF >KQK93670 pep chromosome:Setaria_italica_v2.0:VIII:2930524:2931438:-1 gene:SETIT_027524mg transcript:KQK93670 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANKLKLSGVCRAAHHRSGALLIGIQLGRALERNPDSASVSFSFRGALAYLAKPRGVISVGQPCYMRESNAPRLRSVTLYHSTAIHSLSCNYDNSRAAAGPWGLPHSFGSKGIVQ >KQK94307 pep chromosome:Setaria_italica_v2.0:VIII:12912565:12913756:-1 gene:SETIT_027328mg transcript:KQK94307 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MREVAQVYELIKSHQPRLQFDDVQQLSATTNLAQSLLNNALRALHLALCVMNPQTSAHCGAESSSRSNRTHLFSPSAAAGDVGGITSQPKKGKRRRANEETSRVILTEAPHIDGYVWRKYGEKNINGTHFKRHYFRCSYKYDRGCQATKQIQQQSSNDLPMFQVTYSSEHTCNCTTAANKYIKSDLPQRSYCDNNGTISQMGDAMIRPEQGLLPPRAEGSTVFMDTMSWEEPFVLSNPYSLSPNHAGYLMTSTDDGASDFHYESTDGYIDLEHIWQLDLQ >KQK96016 pep chromosome:Setaria_italica_v2.0:VIII:40487881:40488411:-1 gene:SETIT_027096mg transcript:KQK96016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLNANSATAAECGCCSAARAKACCFGCIAVGGTDAVCKNTCCFPCFLDDSVASKMVEMEVLAKMEEADKPKMIA >KQK96017 pep chromosome:Setaria_italica_v2.0:VIII:40487506:40488511:-1 gene:SETIT_027096mg transcript:KQK96017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLNANSATAAECGCCSAARAKACCFGCIAVGGTDAVCKNTCCFPCFLDDSDGRNGSAC >KQK95998 pep chromosome:Setaria_italica_v2.0:VIII:40375783:40379891:-1 gene:SETIT_026317mg transcript:KQK95998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPLLLHLLLAAAASHGAAAQGGGLPSFPIGVNYGANADNLPSPAAVATFLATKTTINRVKLFDANPAFLDAFAANAPSISIAVSIPNSALPSFADKATGLDAARGWVRDNLAAHVANGANVTLLLAGNEILGPVVVPDLVVALLPAMRRLSQALQLEKLPQVRVTTPHYLGILAPSDGIPSNARFRPGFDNKVLAPMLKFHNDTGSPLMVNAYPYFSYNAQNLNYAIFRPNAGIYDPATKLNYTSMFDAQMDAIYTAMKKLGYGDVQIAVGEAGWPTQAEAGQVGVGPEEARDFNAGMIRVCSGGKGTPAMPGRTFETYIFSLFDENQKPGPIAERNFGIFNTDFTPKYDIGLLRQGSSASPNPSPNPSPKPSPNPSPNPSPSGGGKWCVAKTGADVTTLQNNINYACGYVDCKPIQSGGGCFDPNNVQSHASFVMNAYYQANGRHDYDCDFKGTGMVTSSDPSYGSCKYVS >KQK93954 pep chromosome:Setaria_italica_v2.0:VIII:6646681:6648024:1 gene:SETIT_028229mg transcript:KQK93954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGARRGRSRPKKPRGPLEEMSLKTYVLSQTYLLMAVTGLGFLAFTWSTVVLLGGFATALHRKDFWCLTVISMIQVARRAHKRGRCRRCPHPAPPTGLTHCPAGGAITVHLLWYKKHRIFDDKAEQLFPKFLNLGEDLSWKVIKFIWSQLVAVLQAEALGIVCLRLIAVLFCPVVCLLPLLMLDIVVILYGRGPLVCIALSLWRIAKHDYGVSGGDQDKANLTPALDVFYSLVLCQGRCLKDPTSINGRRVIHYAISLLDSESWEDNLSGLRMLDASIHR >KQK94053 pep chromosome:Setaria_italica_v2.0:VIII:8230786:8232142:-1 gene:SETIT_026899mg transcript:KQK94053 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPSGKVAVLLLVVCMVSPEQVTSKKPICTKVQQEKILEKCGFFIQPGHPTHLVSRDSQCCAAVRGVRDMECFTLLLTEKEKTKYSVEKIRALRGLCESDPPPSHKVTGTCTNEQKESVLHDCEKFIKHGNERRRPIPVMGQPCCNAVNKVAKKGTKIDMQCIVDLLTDEEKQRHDASKIMNLPNHC >KQK93706 pep chromosome:Setaria_italica_v2.0:VIII:3363186:3365709:1 gene:SETIT_026509mg transcript:KQK93706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELENAKSSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSFIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPAIVHRKCF >KQK95041 pep chromosome:Setaria_italica_v2.0:VIII:30233440:30238313:-1 gene:SETIT_026187mg transcript:KQK95041 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGLGSMGYGIQSMLKEGHRHLSGLEEAVLKNIDACRELSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLASRAQQEEIGDGANLTISFAGELLEKAEELIRMGLHPSEIIIGYTKAINKTIEILEDLVEKGSENMDVRNKEEVVLRMRSAVASKQFGQEDILCPLVADACMQVCPKNPANFNVDNVRVAKLVGGGLHNSTVVRGMVLRNDAVGSIKRVEMAKIAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVADSGAKVIVSGAAVGDMALHFCERYKLMVLKISSKFELRRFCRTTGAVAILKLSQPNADELGYADSVSVEEIGGARVTVVKNEQGGNSVATVVLRGSTDSILDDLERAVDDGVNTYKSMCRDSRIIPGAAATEIELAKRLKEFSLKETGLDQYAIAKFAESFEMVPRTLSENAGLSAMEIISSLYAEHAAGNTKVGIDLEEGACKDVSALKIWDLYVTKFFALKYSADAVCTVLRVDQIIMAKPAGGPRRDAQPGGGMDED >KQK95659 pep chromosome:Setaria_italica_v2.0:VIII:36942461:36943287:-1 gene:SETIT_026869mg transcript:KQK95659 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHDEEKKESKCAMAVSIACRIVGMGLAVAAAVLMSTASQCTIYAAYGARPRTVTYSDYPPFVYLVGAAAIAAFLEAIAIVLCVWKKGKGKKAKVLMPLLAAAVPALLYSATGAAFAAGADMSYCSAYGKRVSVCTGSAAGSSSNFCSQVHIAVYLSLAAAIVVSAAEVVRALGGSGSDGGSDSDSSSSSESGGCDHGCHHKH >KQK95894 pep chromosome:Setaria_italica_v2.0:VIII:39325718:39332665:1 gene:SETIT_025824mg transcript:KQK95894 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEAAADALGPRFAPDDPTLPAPWKALIDGATLYYWNPETNVTQYEKPGAAAAAPPLPAGPPPTIPAQVPEPAPGAFSQPGMQFGQAGQGAHQERPGQATYPQAGQLGQQQQQQTQQPAQQQPFQHVPQQQPSFQQALYQQQQPHMPNQPPQYPNPHPQHMPYQQGPYNMQPQQQQQGPPYPYQADKQPQMPQPAYHQTQQPPMPQAAYNQGQQPPMPQSSYSQGQQPVIPQSAYNQAQPPQMPHGAYNQSQQPQGMRIPQNQVQHPQQSMSFHHPAQAPQLPQVSQSQGLQMPPQQGQLLHGLQFSQHGKQPLSHGQQSPLLKDDDSGGHEGKRTGFSLPLSQQRGQAPISNQQLPSSHQHPGAVNQPNVPGVGGPLYPAKHLHGGSSPAETNNMGFMNSPAQMHQGAVDTNYRQQPVSSHAVPNHVGPSPVRPLMGFEMGNSDGHFERDDPHSYGRFDGANALQQQPKLAAIPPSQNPLGMRNGPPYPRPDNFGGYNMAPPHPVPNPHNHGPLPIGASMRPPSTMFAPPDFTGIPSADAYRQHHEVTAMGENVPAPFMTFEATGFPPEILREIHAAGFSNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCQNNPMLGPTVLVLAPTRELASQIQDEVVKFGRSSRVSCTCLYGGASKGPQLRELERGADIVVATPGRLNDILEMKKISLHQVSLLVLDEADRMLDMGFEPQIRKIVDEIPPARQTLMYTATWPKEVTKIAGDLLRDPVQVNIGSIDELVANKSITQYVEVVPPMDKQRRLEQILRDQERGSKIIIFCSTKKMCDQLARGIGRSFNAVSIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFISEQDWKHAGDLVKLLEGANQHVPPQLLDMAARGATGGPRNQATGMSRWDGPGGGRFEPAVGGPVGYGGVREGPGGFGSREGPGVFSSLEGPGGFGGRESPGGFAGREGPDGFGGRDGFGGREGPGGFGGREGPGGFGGRKGPGGFGGRDGPGSSGFGGRGGRGSGGRGGASPGGFGGRGGRGDSPGFGGRGRGDSPGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRYVSDGRGRYDNRRGFGDKDRDRSYSRSPDRGRSRGYDRRSDSRSLSRSRSRSRSWSRSRSRSRSWSRSRSRSGSHSRSRSRSRDHGAAPERRPRARSGFDVLPPATGAAGPALTGPAPVPVPGSAIPPVPSLPHAQSLTDTSAMSPMSPGGLVQPAAAPLNGMNDGNFSGPHAGQPFHGTDAAIPSFPAAETFPGSVAQQAAPDV >KQK95895 pep chromosome:Setaria_italica_v2.0:VIII:39325718:39332665:1 gene:SETIT_025824mg transcript:KQK95895 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAQEAAADALGPRFAPDDPTLPAPWKALIDGATLYYWNPETNVTQYEKPGAAAAAPPLPAGPPPTIPAQVPEPAPGAFSQPGMQFGQAGQGAHQERPGQATYPQAGQLGQQQQQQTQQPAQQQPFQHVPQQQPSFQQALYQQQQPHMPNQPPQYPNPHPQHMPYQQGPYNMQPQQQQQGPPYPYQADKQPQMPQPAYHQTQQPPMPQAAYNQGQQPPMPQSSYSQGQQPVIPQSAYNQAQPPQMPHGAYNQSQQPQGMRIPQNQVQHPQQSMSFHHPAQAPQLPQVSQSQGLQMPPQQGQLLHGLQFSQHGKQPLSHGQQSPLLKDDDSGGHEGKRTGFSLPLSQQRGQAPISNQQLPSSHQHPGAVNQPNVPGVGGPLYPAKHLHGGSSPAETNNMGFMNSPAQMHQGAVDTNYRQQPVSSHAVPNHVGPSPVRPLMGFEMGNSDGHFERDDPHSYGRFDGANALQQQPKLAAIPPSQNPLQGMRNGPPYPRPDNFGGYNMAPPHPVPNPHNHGPLPIGASMRPPSTMFAPPDFTGIPSADAYRQHHEVTAMGENVPAPFMTFEATGFPPEILREIHAAGFSNPTPIQAQTWPVALQNRDIVAIAKTGSGKTLGYLIPAFIHLRRCQNNPMLGPTVLVLAPTRELASQIQDEVVKFGRSSRVSCTCLYGGASKGPQLRELERGADIVVATPGRLNDILEMKKISLHQVSLLVLDEADRMLDMGFEPQIRKIVDEIPPARQTLMYTATWPKEVTKIAGDLLRDPVQVNIGSIDELVANKSITQYVEVVPPMDKQRRLEQILRDQERGSKIIIFCSTKKMCDQLARGIGRSFNAVSIHGDKSQAERDNVLNQFRTGRAPILVATDVAARGLDIKDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFISEQDWKHAGDLVKLLEGANQHVPPQLLDMAARGATGGPRNQATGMSRWDGPGGGRFEPAVGGPVGYGGVREGPGGFGSREGPGVFSSLEGPGGFGGRESPGGFAGREGPDGFGGRDGFGGREGPGGFGGREGPGGFGGRKGPGGFGGRDGPGSSGFGGRGGRGSGGRGGASPGGFGGRGGRGDSPGFGGRGRGDSPGFGGRGRGDFSGGRGGRGRGFGGRGRSDRGPHDRYVSDGRGRYDNRRGFGDKDRDRSYSRSPDRGRSRGYDRRSDSRSLSRSRSRSRSWSRSRSRSRSWSRSRSRSGSHSRSRSRSRDHGAAPERRPRARSGFDVLPPATGAAGPALTGPAPVPVPGSAIPPVPSLPHAQSLTDTSAMSPMSPGGLVQPAAAPLNGMNDGNFSGPHAGQPFHGTDAAIPSFPAAETFPGSVAQQAAPDV >KQK94984 pep chromosome:Setaria_italica_v2.0:VIII:29548623:29549511:1 gene:SETIT_027085mg transcript:KQK94984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTNLRQRFVLQRQEVPPTSTELRFQLLHLIVSEHSRTLSEKNTKCNSKSYTSYLQRSLCTAYSRNVVCQKRIQVVHLYVQHILEMYIVGKKGYS >KQK93906 pep chromosome:Setaria_italica_v2.0:VIII:5861495:5864067:-1 gene:SETIT_028256mg transcript:KQK93906 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGVLSAPLPPGVPPPTRPTPAPTPGSAATSAATVAPRLIRFPSSTLGSSSSSPRSPRGPARATAGRSKAERWSDDSPSSAGSASDLSPATQLSFKEVLIGDPRHRQHFLLVCRVHRLRRCLHQWIVLHPRDRVVVGAGRCPDADGWWVAESQSNIRARPPRRPVLTDLLGRCFNCFSRQHRAGSCWLPPCCFHCWASGHRAYGYSDRRRASPTSFPHLIALFGVGEDALGHSLVVSMIGDCQVGVETSIRDLVGRRFEVDTEVISLLRSGPKSFILSLPDDDTTTRVYNGGRPIVGQNLRLHVMRWTRFIHSTISSLPSTLLNEFCWIGGVHPHNADRRDVFRVTACCFNPLLIPSDMDLDIIELPLANESSGPAKRLLTYPIWISVAPFVRPSMAGHSPPLPPANDGWGRRQRRRQ >KQK94915 pep chromosome:Setaria_italica_v2.0:VIII:28683568:28686028:1 gene:SETIT_026680mg transcript:KQK94915 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGVLSRVRGPFTPTQWMELEHQALIYKHFAVNAPVPSSLLLPIRRSINPWSGLGSSSLGWTPFRPGPGDAEPGRCRRTDGKKWRCSRDAVGDQKYCERHIKRNCHRSRKHVESRKATPTIAEPSMAVSGGPSLHSYAVPWQQQVKSSAATMTDSFSRESNRKLLEKQNVHNQLSVSAPMDSFDFASSGSSQNRDIVPLSPVELQHDHDQAYIVHGVGSSAENGNELQESLLLVSRETLDDGPLGEVFKSKICQSAYADILTDQWTVTRDLQLSNSNTMPVENHISSNGYLMATMVNSQTVPTFL >KQK94323 pep chromosome:Setaria_italica_v2.0:VIII:13072764:13073618:1 gene:SETIT_026891mg transcript:KQK94323 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFLVDWLFDVLASLGFWQKEAKILFLGLDNSGKTTLLHMLKDETLTQHAPTQHPTSEELSIGRINFRAFDLGGHRIARRVWKDYYAKVDAVVYMVDAADGGRLAESRAELAGLLSDDALASVPFLVLGNKIDVPWAAAERDLAYYLGLAGCTTGKGTIDLAGVRPVEIFMCSVVRKMGYGEGFRWMSQYIK >KQK95587 pep chromosome:Setaria_italica_v2.0:VIII:35887113:35890320:-1 gene:SETIT_026121mg transcript:KQK95587 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKWRSLPAATVAIATGVVFFLSAIGAPAAMASVVEHTFVVRQVKMTHLCKETLATVVNGQFPGPAIEVTEGDSVTVHVVNQSPYNLTIHWHGVKQRLNCWADGVPMITQCPILPNQNFTYRFNVAGQEGTLWWHAHVSFLRASVHGALIIRPRRGASSYPFPKPYKEIPIIIGEWWEMDLLKADWDIKQHVIDAYFNASTINGKLGDLYSCSGAVEDGYLLDVEPGRTYLLRIINAALFSEYYLKIAGHKFTVVAADANYVSPYTTDVIAIAPGETMDALLVADADPGRYYMVALPNQSPLPDPQSPTLITRGIVQYSNKQRAADGGGRPSSDIPVSPEMPDQHDMITSFYFHGNLSGLHHPQHLDVPKHVDERLFITLGLGSICRGGQSSCKRSENNESMDVATMNSFTYQQPAVATPLLELHYYSIDNRVLSMLQELPDKPPRVFNYTDPALIPPGPKEAKLEPTSKATIARRFRQGAVVEVVFQGMAILSSESNPMHLHGHDVFVLAQGEGNYDATRDVPRYNLVNPAVKNTVFVPRLGWVAVRFIADNPGIWYMHCHFGFHLSMGMVALFIVEDGSTVDTSLPAPPADFPTCGHDHNVMSNEFYPI >KQK95586 pep chromosome:Setaria_italica_v2.0:VIII:35887113:35889354:-1 gene:SETIT_026121mg transcript:KQK95586 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGEWWEMDLLKADWDIKQHVIDAYFNASTINGKLGDLYSCSGAVEDGYLLDVEPGRTYLLRIINAALFSEYYLKIAGHKFTVVAADANYVSPYTTDVIAIAPGETMDALLVADADPGRYYMVALPNQSPLPDPQSPTLITRGIVQYSNKQRAADGGGRPSSDIPVSPEMPDQHDMITSFYFHGNLSGLHHPQHLDVPKHVDERLFITLGLGSICRGGQSSCKRSENNESMDVATMNSFTYQQPAVATPLLELHYYSIDNRVLSMLQELPDKPPRVFNYTDPALIPPGPKEAKLEPTSKATIARRFRQGAVVEVVFQGMAILSSESNPMHLHGHDVFVLAQGEGNYDATRDVPRYNLVNPAVKNTVFVPRLGWVAVRFIADNPGIWYMHCHFGFHLSMGMVALFIVEDGSTVDTSLPAPPADFPTCGHDHNVMSNEFYPI >KQK94537 pep chromosome:Setaria_italica_v2.0:VIII:21356330:21356775:-1 gene:SETIT_027672mg transcript:KQK94537 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASGRQCRLLIDLPMEVLINIAGHVAATSLQPMDDLHNLRVVYRVMHRACGDPSVGRRVALLRTYWEDMQWNELDRYYILLALLVGVGNPEACTIKGILSHVAAGGHDVGAYLYTLMMYRNNGGGADDDIVKMYI >KQK93628 pep chromosome:Setaria_italica_v2.0:VIII:2438475:2438822:1 gene:SETIT_028326mg transcript:KQK93628 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSNGNGGRSGGARGARLELQLHLSPPPAGRMEVDGGDGSDSSSSPSSCVSSDGSPGSKSPMVIGACTRCMMYCMVAKKDFPTCINCKQPSLVDLLHCAGGSGGVAGDDKRRGKGK >KQK93544 pep chromosome:Setaria_italica_v2.0:VIII:1833222:1836777:1 gene:SETIT_026357mg transcript:KQK93544 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGSPVKRLARRAAKGPLERAGLAGLAAAAVAAAALLALLCAASLRCSAAALAAAPRRLWAGGVSIAAEAEAAVAAAAAVGNEEECDLFDGEWVRADGGYPLYDSRDCPFLDVGFRCSENGRPDASYTKWRWQPTRCDLPRFDAKSMLEKLRNRRVVFVGDSIGRNQWESLLCMLSTAVHNKSSIYEVNGSPITKHMGFLIFNFRDYNCTVEYYRSPFIVLQGRAPAGAPEIVKYTIRVDVMDWMSDRGKWKDADVLIFNTGHWWNNEKTIRGGAYFQEGDDVKMDMTVTDAYRRSIHTLSDWLHREVNTSKTHVIYRTYAPVHFRGGDWKTGGSCHLETLPDLTPPESLEEWDDLLKPVNDVLGNNLRAKLSGLDMLNVTQMTAQRKDGHLSVYLSPSGPVPRYKQDCSHWCLPGVPDTWNELLYALVMKRHTKTDQNLSLSGTITPNTG >KQK94497 pep chromosome:Setaria_italica_v2.0:VIII:19795794:19797479:1 gene:SETIT_028176mg transcript:KQK94497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGGLSDLDFGPGLEIQRTVQSHFSSPVSFSPAFSSYEFLVVSFGRSAICLNADPVGLILQSCLRGIDTDFRVVYLSGAMYRFSVFSKEVGFLVYKLGFFKWKSFDVYFALWGSGGPNWRHDYDLWIAEQEAEWTIAMRKSTRKSYATAVKAHIPASKSVFNRLSHPDDYFVKNFSRDLHGHRSEQSSDRSSCLRSSSSRVRSPPKFQAPDQRCFRCLSLNLRVAACKSQIRCCFCFGFGHISRFCRASLENSKAFRPKPSPSGGPSGSGLKWRIKVPPLPLASKATDPSPSSETPPHPHSPATAAADPPPPPPMANFAIDPRPHLPPGFTWAPQVLPYVPPPRPRACLALNLERTNDDLAIALLTPPVTKDYIPMAHALQTRLPLILCLFRSILDKVINLPSVLHAKSPATSFSHISRVIVNLDTLVPSFLAKSDMLWQLAKVLVDPLDASEQSDNDVVILDKMPPTKTPRKTPRKPRARKPKGPLESKFLRRSSRLNKDLNGFKDQASVQTDGAQEAVGEPLAIMSLYQGVSEEASTTAPHLPVEVVQAIGTGFLKMQSQT >KQK95837 pep chromosome:Setaria_italica_v2.0:VIII:38781125:38787391:-1 gene:SETIT_028342mg transcript:KQK95837 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MADLVLGLAKSAVKETVNIAKSAIEEEKQLQKSVQRDLMLVSDEFEMMHSFLNVAKDRVADDMTTTCVRQVRDMALDVEDCIDSILYLDNKSSWWRRMLPSWVPAAAPAVALDNAVAGIELLKARVEAMGQRNLRYNHTGNPGSKPAEQTHQQGAASATASNILPRSATAREAAREPIWPPVALVKLISGKGDHALQVISLLGTVGMASVIKKAYEDTQICTNFRCRAWVKLMHPFNAREFIRRLVAQFCRSYSPQESATVDFVVKMTVATEGVLINEFMKQMCRQNYLVVLEDVTTMDDWEAVRAYLPDNKNGSCIIVSTHQFEVASLCVRHPHRLLELEKISAHHSVYALVEEDAPGKVEQTVADLRETAREWLDKHPLVGQEADLLCFSMRRSRRTGPVSSVWGMSGVGKSFLVKHLCYEMRIEDPYIKFGWVNVSHPFDLMDLSWRLLLDLNPGYLHDRQMSTMKDLIQECREYLHEQFGCTIVIDGLQSTEEWDMIEAALKLGTKKFGLDIFVITNEECVAQYCATNSKNVWNVKGLGVDSAFELIAERYNLQRQGTEDKMQILHKCGGLPKVICAIADNLVSALKDKPSLEDLFAWLLSYFRSCPDILKPCIFYLSIFPLNHTIRRRRLVRRWIAEGYSRDSKENTAEKNGEISFSKLVSLSMVQVPRTTETTAASVQQSKRMPLCQVNGFFREYIISQSMEDNLVFALEGHCEKNFHRTGRHLAIDRSWDRDRNVFKSIDFSRLRSLTVFGEWKSFFISEKMRLLRVLDLEDVSSGVTNGDVEQMVKLLPCLKFLSLRRCREITHLPDSLGDLKHLQTLDIRETSVMKLPESIIKLEKLQYIRAGTTKHHQATEAAENPSLTATPMSWPCATQLGSCLLSKLSRYHHLDDGSHNCVKVPRGIGKLSNLHTLGDVDIGTGKGDAILEELKKLTQLHKLGVSGINRDNIWKLFSAISGLAHLESLSLRMQVDEDDEAGTMDGISFEHLVNLRSLKLYGLVSKLPVWTKKLKNLTKLSLQMIMLQQDLVDSPGWPENTRLFLSEFHDLHFGRQNPVILSFLEISYDARLPATITFHDDFYLKVLRIRCRCVSALRFSGLQKIKGLVEVWLSSPYDDGLKQHLESELAKWSGEIVKPILRLEEPGTMHD >KQK93317 pep chromosome:Setaria_italica_v2.0:VIII:528798:531330:-1 gene:SETIT_028245mg transcript:KQK93317 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAVSDPLAELWKHIMSADRSDLLCFYPSKITMSGIWTGDSPMDFSLPLLLFQIILITSTTRAVALLLSPLRLPRYIAEILAGFLLGPSVLGRLPHFSDIAFPVRSLFILESMSLLGLIYYTFTIGVEIELHTVLRAGLRSFWFAASSALPPFLVGAAAGYVAVSTDDSRRTGAQFINSLSFPVFLGATFCSTAFSVLARNIAQLKLAGTDVGQLSISASLINDTFAWAGLTVATALAHVRYGMVPCLWTLVSGFLIVGTSYLVVRPMLLRLTRRVAEGEVVTELQECSVLVGVMVAALVADAGGTHAIFGAFVFGLAVPNGPVGVAIVEKVEDFVVGTLLPLFFAMSGLRTDTAKITSTPAVVLLMAAALVAAILKVVSAVSVAGVFGMPLHDGISIGLLLNTKGVIELVILNIGKNKKIMSDQSFTVLVFMSALITALVTPLLAMRRTIAWPQPNAEFHVLACVHMPRDVPALLTLLDVASPSERSPVAVQALHLIEFAGRSSALLLINASAPSSSLEHSAHGRSQVEQQFKHISHAFMAYEENAAGVTARTVAAVSPYVSMHDDVTSAAEDRHAALIVLPFHKHRSVDGGLEVFNPAIQPLNQSIQRFSPCTVGVLVDRGLGGVAGAGCTTRVAALFFGGRDDREVVALATRMVHNPAIDLTVLRFVQKGGSFAGSEFDALKERKADDACLREFLDRANGMSAGGGGGAGVEYRERGVFNASEMVAQVREVEALGKDLFVVGKTPGLPGLTAGMAEWSECPELGPIGDLLASRDFQTMASVLVLQSYARPGAMISAELGLGADGLPAAGRPPRPDQVRRNSIGNRS >KQK95591 pep chromosome:Setaria_italica_v2.0:VIII:35970973:35973992:1 gene:SETIT_026113mg transcript:KQK95591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRSFPALVAATVILFLSATAQPAAGAIVEHTFIVGQMNMTHLCKEMLVTVVNGQLPGPVIEVTEGDTVVVHVVNKSPYNITIHWHGVKQQLNCWADGVPMITQCPIGPNNNFTYTFNVTGQEGTLWWHAHVAYLRGTLHGAIIIRPRHGVSSYPFPEPHREIPIVLGEWWQMDLQKASMDIKYSTADDDPSAATINGKLGDLYNCSGVKEDGFVLDVEAGKTYLLRIINAALFYEYYIRIAGHKFTVVAADANYVSPLTTDLLAVAPGQTLDALVVANAAPGRYYMVASPNQPPKPDFQHPTFTTRGIVQYTNENHSNGGSSGPGAEGPLSRNLSVVAPEMPDEHDTMTSYYFHGKLTALHPSRSLPVPARVDEHLLIALGLGSVCKRGQSCNRGESEETFTLATMNNISFELPAATAAGPLLEAHYYNTGSLDMLRELPDRPPRMYNFTDPSLIPSGPREASLEATSKATIVRRFRHGTVVEVVFQSTAMWQSDSNPMHLHGHDMFVLAQGLGNYNAATDVAKYNLVDPPVRNTVLVPRLGWVAVRFVANNPGAWFVHCHFSFHLSMGMAAVFVVEDGPTVNTSLPPPPADFLTCYRKNNPVADEFDIRSTKSEIPDVTGA >KQK94056 pep chromosome:Setaria_italica_v2.0:VIII:8248951:8249916:1 gene:SETIT_027566mg transcript:KQK94056 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVIIHRVELRVPAGSTAVLVKNLYLSCDPWMHGRMSKHNVGATVPARDFVIGEVGIIFGQPFIGQLKICRSHITTVPYNSHPGMTGLTAYAGFMEVGRPKRGDSVFISAASGAVWQVAGKLAEIAGCYVVGSAGSDEKVCFLKTKFGFNDAFNYKLETDPAAALKRCLPDGINIYFDNVGGAMLDASLLHMRHGGRVAVCGMILQYNLQEPDGLRNMFCIIPKAVRVEGFNVGGYFHMYSRFEEEMVGYIMEGKVTIVRTSLRGLRVRRRL >KQK96033 pep chromosome:Setaria_italica_v2.0:VIII:40673812:40674075:1 gene:SETIT_028101mg transcript:KQK96033 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRSCAGGPGQGEHGRPGAGAGGRRGAARHGRRGVRPRRRASRRPRAGASAGASCAALLLLREKKERSEGAMLAAAPVALLACFCLR >KQK93339 pep chromosome:Setaria_italica_v2.0:VIII:628879:629526:1 gene:SETIT_028330mg transcript:KQK93339 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKVHPNGAVAPEPTISSGAAAEEQPPAVLTVWRKSLLFNCDGFTVFDAKGDLAFRVDCYGSSRRRAEVVLMDVAGKPLLTVRRKRLSLLGEHWVIYDGDAAEHKSSKPLLSVRRHVSLRASSKTLAHVTPVASSTSAFVVEGSYGRRACAVRDSRGDAVAEVRRKESVGDDVFRLVANPRLGAPLAVGLVIALDEMFASGRGSPRSLLRRTWSA >KQK95371 pep chromosome:Setaria_italica_v2.0:VIII:33855523:33856291:1 gene:SETIT_027844mg transcript:KQK95371 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVHMAVKLDLVDALSAADGHTLTADELAVKVVKAEDKAESAALISCILRFLMSFDVVSCSTKKGPGSAVLWRYSLAPRCWWFTMNNGEGSLGPVAVFDVDEPTALGGTPAYNYFRKNPRLSTLFDQAMAHQSLLVIRKLLEHPKVFDSIEVIVDVGGGTGATLALIRGRYKHIKGINMDLAHVISEAPSLKELFYGTQY >KQK95878 pep chromosome:Setaria_italica_v2.0:VIII:39137720:39142744:1 gene:SETIT_026368mg transcript:KQK95878 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATNMCGGATVACRVCYRDQYGTPRELNCTPQRKWMNGYHLMFRLCQWKPNGGRSDGSLLRRGCDVRCRSCGSCNGSCETKECKSSEDGAGPYRDFKQHSRGNTQFSDDQVASQKKSAYASQGLAEACKFVYNDAKFVNERAQNDILLLSRGITRLNKRACEDAAVLGLGFLKLDARAREDTQKIDHGVKERAARLNHFARAFKERAESDLKKAADKHWSDGALEADLRRADLVVKRRAMEDAFMALKFVRDIHDMMVNRLYEQLPRDGASSRTSSTGFITLEKNGKTLELFPGEVSADQISAIEEAYRSMASAFSEADGIDYTDPEELELLVATLIDLDAMDGKRSVSLIAECSSSPDVNTRKALANALATAPSMWTLGNAGMGALQRLAQDPNYAVATAASRAIDELKKQWELEEGDSLRFVMNQNLASEATDDGSSAAEDAT >KQK93611 pep chromosome:Setaria_italica_v2.0:VIII:2284866:2285342:1 gene:SETIT_027351mg transcript:KQK93611 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEDIVWEIGEIEAQGGGESPPLFDQATPPPLYPPASVSSLTRGDAAAASISNKRGRVAASSKAIQGLREVTAPPTDGSDDDCCAICLQDLDYSDKAHPVPVRAMPCSHTFHEHCIFEWLRRNAVCPLCRHQLPTEDDHEQEQEQGRRRRRRRIRNFRI >KQK95017 pep chromosome:Setaria_italica_v2.0:VIII:29906579:29909028:-1 gene:SETIT_026250mg transcript:KQK95017 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPGAFHSLLLPLQRKRKSKRHRSRNHPKENKPKNHLAAAGDPSFHLKSSASASSRDIGCLVQPLGNLFLAATPRANLRDAGLGALRPLPDDLLLDVLGLLPARDLAALSAASKALYVVAAHDPLWRAFVLDELGGAFDFAGSWRTTYIAAASGGQPHLIPPRALKIRGFYSDYLFQSWLCANMEMRHEWLARDNIERRRGISVEQFIAEVEEPNRPVLLEGCIDGWPALQKWSREYLLEISAGKEFAVGPVSMTLDRYFRYADNVQEERPLYLFDAKFAEKVPEMGRDYEVPVYFREDLFSVLGEERPDYRWVIIGPAGSGSSFHVDPNSTSAWNAIIKGSKKWVMFPPEVVPPGVHPSADGAEVTSPVSIMEWFMNFYGACKTWEKRPIECVCRAGEVVFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKKPNASELVSGTKDRVNLHDKFCGAIEATHPGMINQLQLEAQQKAAARKKQASFWDSAADAKSGGFKFSF >KQK95604 pep chromosome:Setaria_italica_v2.0:VIII:36296972:36297466:-1 gene:SETIT_028457mg transcript:KQK95604 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVPDWAGQPYSTFQGAHQQQPRDPLSHRAPWRSHPWCMG >KQK93522 pep chromosome:Setaria_italica_v2.0:VIII:1662464:1665670:-1 gene:SETIT_026259mg transcript:KQK93522 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDASGAPPPPLLAAAAFAAALLAAALGWLFAARSSRRGSARLPPGSTGLPLIGETLRLISAYKTPNPEPFIDERVARHGSGVFTTHVFGERTVFSADPAFNRLLLAAEGRAVDCSYPSSITTLLGPHSLLLTRGPAHKRLHSLTLTRLGRPASPPLLAHIDRLILATMRDWEPAATVRLLDEAKKITFNLTVKQLVSIEPGPWTESVRREYVKLIDGFFSIPFPFAHLLPFTTYGQALKARKKVAGALREVIGKRMDDKLEDRAMDSEDEGKREKKDMVEELLEAEDGSFSVEEMVDFCLSLLVAGYETTSVLMTLAVKFLTETPTALAQLKEEHDNIRDIKGKNQPLEWSDYKSMPFTQCVINETLRVANLISGVFRRANTDIHFKDYIIPKGCKIFASFRAVHLNTEHYENARTFDPWRWQSKNKLQNAVGASLFTPFGGGPRLCPGYELARVVVSVFLHHLVTRFSWEEAEEDRIVFFPTTRTVKGYPINLRRRTDSVF >KQK94111 pep chromosome:Setaria_italica_v2.0:VIII:8928578:8930720:-1 gene:SETIT_028150mg transcript:KQK94111 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRQIQIDQTPKPQMLQTIRFLCSVVAAFARLVGELRKALMAVKASPAGLISATPLALRRKAAPDHAIQKQQGESKKTKKEAWAARRRPARLVIPVADDAGEVAAGWGAAAAPVKEADVEVAGEGFCVASRAGPRHAMEDAYSVLTHKNDGDSDQLAFYGVFDGHGGRAAVDFVSERLGKNVVSAVLAAGTDKYDEASSAEEHDAVSAAIRAAYLATDSELLVQHQHQGLSGGACGTTALVRNGDLFVAHVGDCRAVLSRDGGAAAALTADHTCAAEGERERIERGGGYVSRSGSGVWRVQGSLAVSRSFGDCGLKRWVVAEPAVTRVPLVAGCEFLVVASDGLWDKVSNQEVVDAVSRSRSRVAACGELVELARRRGSRDDVTVMVIDLERFVRQDPSTKS >KQK94684 pep chromosome:Setaria_italica_v2.0:VIII:25013155:25016999:-1 gene:SETIT_027587mg transcript:KQK94684 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTIAAAAAAARAIRPSFLIVLLAIVAMAAASLLAAASTEAAAAGGVTLRVGSRQVVVDNGVVQVALSAPEGRITGVSYGGEPNLLDYDAGVGDYGGYWDSVWNYPGSGLPGLYNTLDGTEFNVVSSSEDQVELSFRSSSGFYCYAIFEHDGDYPALNITEARLVFKLNTGKFSYMAITDDIQRYMPSAVDRDEPRAVPLAYKEAVLLVDPKEPQFRGEVDDKYQYSLDNKDNIVHGWISGGDPNPMGFWVITPSNEFKSGGPFKRELTSHVGPTSLTMFFGTHYVGKNMVFKIDDGESWKKVLGPVFIYLNSSPKSSNDLQSLWEDAKAQAQAEARNWPYSFLASPDFPKAHERGSITGRLLVRDRYLSKKDMPAAMAYIGLASPGQPGSWATESKGYQFWTSATSDGAFSIDNVRGGVYNLHAFVPGVLGNYCYNSPLTIAPGGSINVGDLVFKPPRSGPTLWEIGVPDRTAAEFYVPDPDPKYINKVFVSKDRYRQYGLWERYDALYPENDLVFTVGKSDESKDWFFAQVTRKVGQDTVPTTWQVRFNLDRVVEDGTYALRIALAAAETCRLQVQVNGGARGGGNVFTTPAMGDDNAIARHGIHGLQWSFKFEIAGHLLIQGDNTIDMKLTQTGAAAPAKIAGVMYDYIRLEGPSSGGIPTLAPLDRVWMGILFLSLVAFLAMPCPVI >KQK94807 pep chromosome:Setaria_italica_v2.0:VIII:27380772:27383641:1 gene:SETIT_026847mg transcript:KQK94807 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPPAVAAAPSTTTMVCARQGRLHQRYEGCYRLVSGCIPYMLREEDGERSCQDVLGRLQVLMISTPKRGDLIFPKGGWEDDESIDEAACREAFEEAGVKGIISATPLGEWIFKSKSKQNSCGLQGACKGFMFGLQVTELLEIWPEQVTHGRRWVPVEEAYGLCRYDWMREALDKLKEQLLFESHFRPLPSPELVDSSSLYMVMPAVAEGAVALC >KQK95234 pep chromosome:Setaria_italica_v2.0:VIII:32466050:32471972:1 gene:SETIT_028044mg transcript:KQK95234 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAQVLWFLLPAATVITHLASVAAGADDADCPGKCGDVDIPYPWGIGGKCSWQGKYSITCNHSFDPPRPYVGYSEVLDISLEAGEMRILTPVSSQCHSPAGITTSVTYEHTVRQFLISTTRNEFTAIGCNTLAVLESNSYYTGCITSCVSLAAAAPDGDNCTGLGCCQTSIPGNLTNIKVTWDERYSNGKAYNYSPCSYAFVAEKHCFVRKYGNKNLTEVPLVLDWAIRVDGSCPPSNVKDGALEEPTASACLSTNSHCVNASQGFGYLCNCSKGYIGNPYVTGGCKNVNECELRKSDPTRYEKQYPCSSGSTCYDTPGDYKCKCNFGRRGDGKSDTGCQPIFPGYAIAVKKYFDQNGGKILKSMGINIFTEEQLKKITNRYSTPIGEGAFGKVFMGTIDNSQRVAVKRASMKGEVLPQDEFVNEITFQFRINHTNMVRLLGCCLETDIPMLVFELVPKGSLYNVLHGMDDQHTLSLAERLRIAIGSAEALAHMHSHAGDHNHVHGDVKSGNILLDNDLTPKVSDFGSSKLVSIASMYSKWCVSGDMSYIDPVYIKSGRFTEKSDVYSFGVVLLELITRKTAKYGDNSLPLDFVKCCKEDGNGRKLYDRDVMKCDDAQSHCHMECLDRIGKLAVRCLKEDREERPTMAEVVEELKE >KQK95585 pep chromosome:Setaria_italica_v2.0:VIII:35869459:35871481:-1 gene:SETIT_026217mg transcript:KQK95585 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEELIRLVLPVSVLVLVSAVYLYFTVLGNRSRQRMPPAPPGWPVIGHLHLLSGMPHHTLAELAKAMRAPLLRLQLGSVRAVVISKPELARAALTTNDAALASRPHLLSGQFLSFGCSDVTFGPAGPYHRMARRVVVSELLSPRRVATYGRVRVGELRRLLARLTRSASSPAAVPVDLSECFLHLANDVLCRVAFGRRFAHGKGDKLGAVLVEAQDLFAGFTVGDFFPELEPFASTVTGLRRRLKNCLANLREVCDEIIDNHISGKRQRVPGDRDEDFVDVLLRVQKSQDLEVPLTDDNIKALVLDMFIAGTDTSSATLEWVMTELVRHPWILKKAQDEVRRVVGDRGAVEESDLGELHYMRAVIKETFRLHPVVPLLVPRESVAPSKLGGYDIPAGTRVFINTFAMGQDPEIWDKPTEFSPERFDNGGGDIDLKDPDFRLLPFGGGRRGCPGYSFALATVQLTLASLLYHFDWALPAGVRADDVNLDEVFGLATRKKEPLFVVVRNNEQYEFKGEELNEVQD >KQK94854 pep chromosome:Setaria_italica_v2.0:VIII:28024546:28031904:1 gene:SETIT_026298mg transcript:KQK94854 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSDADTSAGAAREREAEIEKAMRARVPDFKKQADSLTLEGVRRALEKDLGLEIYSLDAHKKFIKQCVDKVFAESDDENTNDNASEDAEAKDDHLSKEGSDDAKPTPVSNKTTSSADAQVVKSSETGKDPEEEKDKISSSDISEDMIKEAIEKRASYFRKNSETLTLQGVRRTLEEDLKLQKKALDAYKNFITTQLDKVLQEPANGTKKKSKKGPPMDTDRKASKGSKRAREDSNSSELENSQSEMEDSDEDARPRKKRAEKPKVIKKQKKVPDEKKLSTLKAKKVAKQDSDRSADEQGGSSAEEDNSRSSAEEDNKRKRQQAPAPAPAYGKHVEHLKSIIKSCGMTIPPTVYRRAKQAPEHKREACLIKELEDMLEKEGLSKNPSEKEIKAVKKRKERAKELEGIDTSNIITSSRRRSTSSFIPLPPPPKIEADSDDDEDDDAKDDDEDEEENLEDGDAGDNDDAEAGDGSADDAAKDSD >KQK95794 pep chromosome:Setaria_italica_v2.0:VIII:38130597:38134765:-1 gene:SETIT_026112mg transcript:KQK95794 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRARRDREREDRRLLDLAVDCGFDRNVASSCLARLLEVYGEDGMGLVTVESCGDDFLASLADATQPTDDWDDLKGIETEACGNLNDMMMKNVPDCNGGAAMDSCRTVREADSFSRHAPDDLEAFDSPPDDSDFDMGDGIDNFRNNSSSTQRQAQSRNSGMQSRSSAKSTVTRGTNRYKSTTPTSNRERHPAAFHQKRETLNYEQLSCLDDVNFANVVIFGNKSFRPLQYEACRSAMDNKDCFILMPTGGGKSLCYQLPATLHPGVTVVVCPLLSLIQDQIVALTYKFAIPAAFLNSQQTPAQASAVIQELRSVKPSFKLLYITPERIAANYTFMEILRGLDQRGLLARFVVDEAHCVSQWGHDFRPDYRGLGCLKQNFPRVPIMALTATATESVLKDVLGALRIPNAVILKRSFDRLNLNYEVIGKTKTAQKQLGDLLKERFMNKSGIVYCLSKNECADTAKFLREKYKIKCAHYHAGLAARQRTSVQEKWHSGEVKVICATIAFGMGIDKPDVRFVIHNTMSKSIESYYQESGRAGRDDLPAHCIVLYQKKDFSRIVCMLRNADNFKSESFKAAMEQAKKMQSYCELKTECRRQALLEHFGEHYNRQRCRDGPSPCDNCLKT >KQK94406 pep chromosome:Setaria_italica_v2.0:VIII:14630943:14636336:1 gene:SETIT_027503mg transcript:KQK94406 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASAAAHEGSVRRSTAYARAELKALRGAPSEEAQARLWGGVRAALAAAGFSGEYDGLLAEDEEPRSRRGNKGRKAEGGVGGGSGGSVPEIGAWRNGDLGVSHEHCFEAAVHGPGVACGVVQEPSDQGEDVEYESDSDDDYDGILKPAFAVDGDPDFESGEPLDGFEYLRRVRWEAKQIPRVKVAKVDLNGARKEQTPYMPEIPDIPKCSPDLGASKQWEDAFITHFSETRLVFSEHDSSDEPSISGGPKISSKPGSSTESQTEPTLTMLRNMDSVARAATLRNYIDMIQSMDSLSRNNGLWLFALCVAVDTPLDAETCASLRSLLRKCATILATKSEMDDEVVMLNILMAISGRYFGQYENRCD >KQK94446 pep chromosome:Setaria_italica_v2.0:VIII:16763974:16778222:1 gene:SETIT_026040mg transcript:KQK94446 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSPSPPVLPISEHEDEIVAAVDTNPVVVVIGETGSGKSTQLSQILHRRGYTRRGAIAVTQPRRVAAVSVSRRVAQELGVPLGDEVGYAIRFEDRTSERTCIKYLTDGVLLRESLSNPDLKQYSVIILDEAHERSLNTDILLGLMKRLVKDRASDLKVLITSATLDGLKVSKFFSGCPVLNIPGTLFPVEKFYSTERPTNYIESSLRTAIDIHAKEPPGDVLIFMTGKDDIDKMVSKLEERIQNLEEGSCMDALVLPLHGSLPPELQVRVFAPAPPNCRRFIVATNVAETSLTVDGVVFVIDCGYVKQRQYNPSSGMYSLDVVQISRVQADQRAGRAGRTRPGKCYRLYPMSIYQKEFLEATVPEIQRSSLAGSVLYLKSLNLPDINILKFDFLDPPSRESLEDALRQLYLIDAIDENGQITDVGRIMAELPLEPSLSRTLIEANELGCLSQALTVAAILSAEITLRSTRSKDMEGKRKRQELPDGSGWGDHIQLLQIFESWDQTGYDPRWCSDHDLQVRGMKLSKDVRNQLCQIIQKIAKGPTDVHARKSRKSDPDYRKLRRALCVGYGNQLAERMLHHNGYHTVGYRTQLVQVHPSSVLEGDEYGKFPMYVVYHELISTTRPYMRNICAVDQAWVEPILKKLEKLDINRLSGGSALKDSEPLEDKQVNMPKKAVDVKQSEVDSKIQAARERYLARKGKK >KQK95716 pep chromosome:Setaria_italica_v2.0:VIII:37464381:37467555:-1 gene:SETIT_026058mg transcript:KQK95716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFCQLVGRESNKSSDVARELREFLENKRYFIVIDDIWNISDWKMIKRALPGDNVGNKIITTTRILNIAEQVGGAYNLQPISLNNSRTLFFRRIFGNGNKDNNENIVKCPDDELAEVSDRILKKCAGVPLAIITMASLLACKARDKMEWYEVCNSVGTGLENNLDVENMRKILSFSYYELPCHLRACLLYLSMFPEDYEIDKDRLIRMWIGEGFIQCEKVGKSLFELGESYFNELINRSMIQPIHDSSDDMISRCRVHDMVLDFIRSLSSEENFVTVLSNIGGTSPSNMIRRLSLQNSQESHMMAQTTWSLQHARSVVVFPASVSLVPPLAHCRVLHVLDLEECNLSQANSNLKYLGNLHHLRYLGLCKTGISQLPEEIENLQFLQTLDVRGTEIFKLTSSVVQLRKLMCLYIDWSTRVPNGIGNLTCLEQLSWLRIDDYTRNIIEELGQLTELRQLSIELDERNDKLLECLCKLQKMQELDIRVYPSQRGTGGLDAWVTPRHLRVLRTAGSCWFSTLPAWVNSSLQSLEIAVRELHQVDLEILGRLPALRRLQLEVDNHNLGILAGFVVGAGAFPSLVRCYFLQFVWPVVFQHGAMPRLRKLVFFPFYVGETRGIACTDGSLDLGLGNLPSLQHVVAGLRCDGAGKEEAEQAKTALKHEAGMHPNDPKQSIDIIGAKAYRWSSVFP >KQK93263 pep chromosome:Setaria_italica_v2.0:VIII:251832:252624:1 gene:SETIT_028423mg transcript:KQK93263 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYRGLLAVYDVRRSPLVSLSLVGFIRGPGEGAKPLAFAFHSKFKQGPLLSVCWSSGWCCTYPLILHSH >KQK94746 pep chromosome:Setaria_italica_v2.0:VIII:26223545:26231820:-1 gene:SETIT_028000mg transcript:KQK94746 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDARFPYSPAETAKVELVQFGVLSPDEIRQMSVVQIQYAETMEKGKPKTGGLSDPRMGTIDRKIKCETCMAGMAECPGHFGHLELAKPMFHVGFIKTVLSIMRCVCFNCSKILADEDNNKFKQALKIRNPKNRLRRIYDACKTKKFCGGGDDPCIQEQQDTHEPVKKSGGCGAQQPNITVDGMKMVVEFKASKKKNDDKEQLPEPVERKQILSAERVLDVLKRISDEDCLLLGLNPKFVRPDWMILQVLPIPPPPVRPSVMMGTSSRSEDDLTHQLAMIIRHNENFRRQERNGAPAHIITEFAQLLQFHISTYFDNDLPGQPRATQRSGRPIKSICSRLKAKEGRVRGNLMGKRVDFSARTVITPDPNINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIREDGQRLDLRYVKKSSDLHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTLIDKDVFMNILMWWEDFDGKIPAPAILKPRPIWTGKQVFNLIIPKKINLIRFSSWHSENETRFITPGDTVVRIEKGELLSGTLCKKTLGTGAGSLIHVIWEEVGPDAARRFLGHTQWLVNYWLLQIGFSIGIGDAIADAATMEKINKTISDAKNAVKDLIKKPHDKQLEAEPGRTMMESFENQVNKVLNKARDDAGSSAQNSLSESNNLKAMVTAGSKGSFINISQMAACVGQQNVEGKRIPFGFSGRTLPHFRKDDYGPESRGFVENSYLRGLTPQEFFFHAIGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDAVWIEEQKLDSLQLKKDEFDNLFRYKLDDENWRPDYLLPVHVDDFKTIIEFRSVLEAEVQKLEADRFQLGTEIATNGANTWPMPVNLKRLIWNAQKTFKIDTRTCSDMHPMEIVEAIDKLQERLKVVHGDDALSIEAQKNATLFFNIHLRATFASKRVLSEYRLTREAFEWIVDEIVSRFSQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVNKNKELAKDVQCALEYTTLRSVTHATEVWYDPDPTETIIEEDVEFVRSYYEMPDEDIDLDKISPWLLRIELDREMMVDKKLSMADIAEKINREFEDDLSCIFSDDNADKLILRLRITNDEASNGDMRDESAEDDVFLKKIESNMLTEMALRGIPNISKVFIKNVKINKFDENGGFKSFEEWMLDTDGVNLLAVMGHGDVDATRTTSNHLIEVIEVLGIEAVRRALLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPLMRCSFEETVDILLDAAVYAESDHLRGVTENIMLGHLAPIGTGGCTLFLNDEMLEKVIELQLPSYVEGLDFGITPAPSTLSGTPYHEGMMSPSYLMSPDFRASPIDTNASFSPYVRDMALSPVPSQGYSQYSGGYSLSPSSSSGNSYNPISPSYSPVSPIYIPISQAYTPASQLNAPTSTSYSPASPIYSPTTPVYTPTSPVYSQIPSYSPTSPIYDPLSPSYSPTSSYNPASPNYSPTSPVYSPTTATYSPTSPAYSPTVPSYSPASPSYSPTSPFYSPTSPSYSPSSPSYSPASPSYSPTSPFYSPTPASPTYSPTSPGYSPTSPSYTTILSSAKYSPSTYSPSSPRMTPDSQISPDYSATSPTYSPTSPTLPSPSYSPTSPIDNSGEPSPDYSPTSPNYSGSADSDYSPACTRKTDNKGSESTR >KQK95179 pep chromosome:Setaria_italica_v2.0:VIII:31685342:31689218:1 gene:SETIT_028223mg transcript:KQK95179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQIKGLLRCFVAYEEEDGVKLLIMKGKGRAFCAGGDVTATIRSIHNEGWKWGADFFRNQYSLDYIIATYTKPQVSLLTGIVMGGGAGVSLHGRFRVATDKTVFAMPETALGLFPDVGASYFLSRLPGFYGEYVALAGARLDGAEMLACGLATHFVRSNRLVLLEESLKKLDTSNTSAVCDIINQFAEKPPLKENSSLNRLDIINKCFSKRTVEEIISALEQVASNLADEWVVVTIQSLKKASPTSLKISLRSIREGRTETIGECLRREYRIVCHVLQGDFSKDFYEGSRALLIDKDQNPRWMPPRLEQVDDEAVEQYFSRMDDPWCEDLNLPTRRYNGTNTESKL >KQK93451 pep chromosome:Setaria_italica_v2.0:VIII:1200529:1204399:-1 gene:SETIT_026302mg transcript:KQK93451 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEPPPPSPSASAPAPAKVYYEGCPGCAMDKKKESRKGVPYKELLFVGITTFASALPITSLFPFLYFMIRDLHVAQTEEDIGFYAGFLGASYMIGRGIASVFWGMVADRIGRKPVIAISVFSVIVFNTLFGLSVKYWMAIATRFLLGAMNGFLAPVKAYSIEVCRPDQQALGISIVSTAWGMGVIIGPAIGGYLAQPVKQYPHLFHEKSVFGRFPYLLPCLCISLFATLVFISCAWLPETLHKHKGLERAVEMVEGSTNQESTEPPKKSLLKNWPLMSSIITYCVFSLHDTAYAEIFSLWTVSNRKYGGLSFSSKDVGQVLTVAGASLLVYQLFAYRWVNKTFGPIYSTQISSALSIPIIAAYPFMTHLSGIRLGVPLYIAAMLKSIFAITRVTGTSLLQNNAVPQEQRGAANGIATTAMSLSKAFAPAGAGIIFSWAQKRQHAAFFPGDHMMFLLLNLTEVMGLILTFKPFLAVPQQYK >KQK94174 pep chromosome:Setaria_italica_v2.0:VIII:10502776:10507292:1 gene:SETIT_027507mg transcript:KQK94174 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAATSVMGSIICKLTAMLGEKYQLAIDVKKGIRFLKDELSTMDVVLQKLADKEDDQMDPMAKHWRNKVRELSYDIEDCIDRFMLNHSHGGSNANFVRKATRKVEMLWEDQGIATEIQELEILVREQSKRAKRYRVDYLAPSPQPMRLDPRATALFQEARDPVGIDGPREEIIQLLQVEENQHKVVSIYGTAGQGKTTLAMEVCRKITEAFDCRAFVSVSQTLDMKKLLRDILSQIVSTSEFDQSESWDTEQLIRKMKDYLIDKRYFILIDDIWKVSDWELVECTLPRNCNGSRIITTTRSKTVAETCARIDGQMYKAKPLGDDHSRRLFFKRLFRSTEHCPQDLMAVSSDILTKCGGLPLAIISIAGLLANRSKTKEVWVNALKYISAAVDKDSHMDEMKRIFLLSYFDLPLYLKSCMLYLSVFPEDYLIDCRRLILLWVAEGLIPGQGRENMEQLGRSYLNELINRSLVQPTKVGADGTTVKECRVHDVILEFIVSKAVEENFVTIWNRNGFSENYSSNKIRRLSIQHDISWRAEEMVMIKEHAGHIRSINTFGSNSVLVKNTSMFLISQVLRVLNIEDWEKLREDCYLGHVRSFGQMKYLRLESRLSVCHCKLPEDIEKLQHLETLDVRCTSIEKLPASIIQLQRLVRLLVDSRTKLPDEIGKLHALEELSVIGLGSQTIKFIQGLRDLTNLKVLGIDWWYTNAVHHTNVEGHMKACISSLLKLVTTLRELYVEDTPDDTLSFMASCASTPPPLRRLVFKENLGPIVVPHQRLIFNENQEFIVLPHQIISSLVNLTRLCIGLVSQEGINILASLPMLLSLTVRDEEGASGIWYTISSHGFQRLVKFNFYCYSEEALEFEPGAMPKLQRLKLNLVARGQFNYEQGGLVLGLQNLVGLKHVALSINCEEATLDEVQGLEDDIRVAAGAHPNRPVLQVERSFQDSMAEGCSRRPPDHPMLEAQ >KQK93303 pep chromosome:Setaria_italica_v2.0:VIII:441006:441450:-1 gene:SETIT_027197mg transcript:KQK93303 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGEVVEKSHVCPVSFQHKAGKDDIQFLSVCNPSSALVHFAHRLVDRMNGTSRKEVFFGVSQEQEPVV >KQK93319 pep chromosome:Setaria_italica_v2.0:VIII:549354:560214:1 gene:SETIT_027884mg transcript:KQK93319 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLAVEPKREQNFLVRVRMDAWTQPFAVSHKVRLVHILKNLHTSEVKIYSDASKEFIELLDGESGEEVLREYVQQSPQLGELVEAWRLHREKPGMAYILSLFATVLGHPDGRLRRHGSVKKSLDGVARMILEDKENMGDVYMELNSGEFRRQNAALDLLAAIVRRGGGLASEVAERFDFKMAILPQLAGTMKKKGSRDGGNRRKGAEFGSTRRSFVGFAMSFLEVGNPRLLRWILQQKEVYSGVLRGIGNDDAETVMYVLSTLRDNVLVEESLVPPGLRSVLFGSATLEQLSLISGNLDAGEAADIAHEVLVMVCTDPKNGLMPGANLRGNEKRLLDLMKKLKAAEVAHHKSLLLAIVSKRVSLCSAYMNEFPYNIEPRSSPSWFAAISLAADVIASAKCDSIVHTLSSNSHGLVSVDDEEVQVVLKCIVPNVCSRAVINRGLLHSDDLVKHGSLRLVFESVNLLCYIIEAINVMVSRGRANLEFIGSTKVTIKIDDSPVLSCSDAADASLVDEVHQGDETQIKRWASLREYIQDEVHGAMPDPQVLLKLLSSASQKHQNSSQSMQKKNAQFSEPPQKKRRCNASSEVDDIIIGGIDAEQGKDTSEDQDLESKQDHTTTLCEIWGLDKQDPKMKDAKVVEDVFHSKLLDVLRFYLRVMPSSFDGSYDFFRIIPPNPLDLSKDEQQSLLSLLLEYSGQSGGCWDLERVPESMYKYLQPLFYIMLDSQIKNIRDQAYILVKAAMASSGAFDQNFTEIDAWLVFLPGYEAKWCIRENQLVGAPNKLSYIVIPFLCDAISVVGNNLYKYQEHTRKLISKSGQFEGTPAFSPLIICVLQKCLRLLDLESGSMKLHEKSTISLYVCNTIHLIMQSQADVHLLSDLIGAVLNERFDKFSSEEMNSLIYLAEWRPLITMLHFLRRISNQHTHSLFTTLVHSPEFGGNSLCSVSRKVEEMLNQEQTSSLDDVATAFLFSIICAPPKDIISDFPDLLDVVKTYFPYHLAFLSSVLFLQHDYLAKVASCWPDIFFSSIRLFKDDLNADHVNTVEDKWKNLSVSTESAPLSTFLSVSPFCALLPSVLSLAFSVSDEIREAHKDALLRLLQVKLSECTFSEVTLYLRVILFWSHHLLSSYTIKSSNVLEQLCNLCFALVDRVFEHIQVLAADRQSQSADLPYPVQHIQDIVDFVIHHPIIALSLSRSLSNCQNLSDGSLEHLEEALVVFSKENLHLLDRFVLKLLGKSYDLLLMVGSFEANYSRDDGPSHESLFATPNLLLENILLLFKEKFELCMGKVNFGLLLPNFYMVRALSKFLSPVKLLDLANWMFTKLADCSSSCSPAFVPAALMCLYITDVAMEMLCRCLQKTGQRSESYLLWDLEIHVTTIQQAYHIVLHFATKWNLEFADHCLLKMLGRIHHTERYAGWSTDYIAFHMILSTLVINTPIDVLHHCIFPASKVKAKALLLLLEASPMHMNLFGKILSETFKKDNSLLQVKDSDSNASWPQEDGAILLLPAALSCLKCHSDDNGRWAKFLEPVSIFYSELLLCDKGFSSWKSFVTRSIFEEDFSDFIPTSVKDIMIYFSGTLLGKSVMMLHHYFSSKEMSRKQRLDIVGSIFPESSELLDSDVNDINPTSCKGIVKVTNELFAKISLIRLLLSPPRKLLSSEVASERESKRLHKAKLNFISILVRTMDKIFMNLPSSDNILAHSAKEQKVIHFLEYVILKNIIELSSEIQSHLNQLKSIPFLSQFIRSSLLHRFNDPVAIKAIRSILVVLSQAKFSADEIIELILGHSNFMSTITCNGVSEYPSACNPSGGMLQPAPSILKLVDSSFMEENKPQLCTKEKGRVEIIRLLRVLYGIKSRQQNNSQLRESRELVFLLLSIYDATLSETDLEILHLMNEIESTECRTITEVDHLWGSAALKFREELKLDFSKSDTQNIENAEITERRRALFRENIPVDSKLCAKTALLYCYKRSSRASAFSLEQLQRENFTDSFEETSQRMDAVQIYDPIFILRFSIHTLLMGYIEPAEFARLGLLAITLVSIASPDQELRMLGYECLGAFKKSLETSQRSKETWQLQLLLTYLQNGISEQWQKIPSIITVFAAEASLTLLDSSHAQFTAISNFLMHSTSASLQRGRVLELALAFCSSPVSDSESKVLVLKMLKKCVKLPVLAHHLAKESGLLLWLSSVISIEGSGGAESSCSRVTELTLEVVNDFISSRLITDWLQESALEQLSVISADLFVLLINNAKLLKGNVPLLTSVLSVITSTMRLSMKRKIYQPHFTLSLHGVFNLCQATVGSSRSAEHKLTMELGIDAILMNGPMPILSEMDKSRISMVVSWATSNIFWLYPNQRSILEISSKESPINESPLSKLLRLLVASVILGRISSISHGKSGDLARSTSNLGTLHSFLNDAYERVETVESCSANDTLAVIILYLQDHVQKNSDSLPSVVMALCLLLLDRSSKQVNKHLADNHVKIEMLCSKIRCPAESNPSWRWHYYQPWKDPAAPRTEMERLEEEQACRSLLILFSNAFSAPLPEFPVLSLDDVEKSGLFQWEREST >KQK95581 pep chromosome:Setaria_italica_v2.0:VIII:35796297:35802688:1 gene:SETIT_026776mg transcript:KQK95581 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASLGRLKSAIFGREERKMQYQSHIRGLNAYDRHKKFMKDYVQFYGHEKNVDNSLPIKTDKDTLREGYRFILSEEDDMDSTWEKRLVKRYYDKLFKEYCIADMTQYKKGKIGLRWRTEKEVISGKGQFICGNRHCDEKHGLGSYEVNFSYVEAGEQKQALVKLVACKRCAEKLAYKRLKEKEKEKEEEPCGEKEIELKDRDKRKREHEESDDTSEDEAKKDRRKKKDRKGASSRSSGNNDEGFEEFLEGMFP >KQK94762 pep chromosome:Setaria_italica_v2.0:VIII:26413612:26415349:1 gene:SETIT_027734mg transcript:KQK94762 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RRGSCRWQLAWVEPRSVSAGARRGRREGWGLGARWLANSARRRGKAEVSAAQTSRGKESAPLHRKPAPAIRLRIESPGEMEERFAPVTAATGALGPVLVKLAALLGDEYKLQEGTRRGIESIKSELETVHHLLGKLWGRLDLDVACKNWMTEARELSYDMEDDIDGFTLGLECGDGSFIQREATDSPFKEFMERVKDVSKRCGKMQKIGDCNRSKLPTDPRALFLHRDASELVGMEEKKEKLIKLLQKHEMVCLLGPGGMGKTTLADLVYHAVGDEFQCRTFVSVHPSPNMTDILGTILSQVITDGAMSAGSGTEPAAEQNIVTDISISLSETSSGTEPAAEQNDFIKGISNFLSDK >KQK94997 pep chromosome:Setaria_italica_v2.0:VIII:29674460:29678699:1 gene:SETIT_026184mg transcript:KQK94997 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRKRVLELRDRLDRTLALPDLAEESSLRALVKKQMQASSLTGSDEGDIDLIAETRAKEVSEFLQMLNTSSDGRSSKVHEAPQKEWKVKQDTDQLRVMYREGPEGTPFHTLLLEGFADGPIDVCTCVSWESALYKKWFPQYNLPTFRIDQSGCLKKVRIGEEICLVRVKVPWPVSEREALLHYFELEYLKEDLVIVIMKTISDLDTINIRTHGFSRDGIPEAGDTVRIDVFGGFVLQRITKERSFFRAIANMDIKLDFVPPWFINFISRQLIGSGHKLYQKAVSTVATCDEDYKRALRGPLYVRIREYQDSDDKVKVTTKEENATEVLPDNPIVQNRLAVTNTTSNSEIVEEESEQNTSLKMDILPTSPSYQPAERAQQVENKPFISPEVEHALGILDTAIAVIRGDKTTNITTLQNLLSNDAASKGSTVSSRSSRANIRNADNLLNGGPATTQPQDSREIRQAYSLPSEKVSDRAEDAIDKGSLKNSTASTVTRTMSMTLRSAIRVHGEESLDTNGFHQNGLGNNRESKQARKTKRWPCCLTPATIG >KQK95512 pep chromosome:Setaria_italica_v2.0:VIII:35183380:35184780:1 gene:SETIT_028242mg transcript:KQK95512 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAKCASIWNEESEMIAHLQSMFWNSSDADASLSSPNSSTSSCIEPSTLPTALFLPLIGRESYDTAPLRNTAADWCFDHQSQAFAPIANAVTGDKRAVRIDEGRKKSTNSNKKARIVAPVLRTLSSSALDDEANNELINHSSSEDDSIGMCEESVVLKQRSSSRGRSRSSKNSQSVYAKKRRERINEKLKTLQQLIPNGTKVDMSTMLEEAVQYVKFLQLQIKLLSSDETWMYAPLAYNHMTIDINMNSSVKQ >KQK96019 pep chromosome:Setaria_italica_v2.0:VIII:40545147:40546223:1 gene:SETIT_027075mg transcript:KQK96019 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQNLSCYCIMSLCQGQMMGLVTKHCKRRNGRTKEEHMTAASAHPPTNSYPSKPFSIMGNYQCSGQMAELEWTYRHPKFRNTAYTCTFTSASPVLPVATPFSHG >KQK94534 pep chromosome:Setaria_italica_v2.0:VIII:21143802:21144907:1 gene:SETIT_027536mg transcript:KQK94534 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQWHTGPHGTHGVTGIHAASGVGDGHTGAHGVTAMGTHGTSNGTHGAIRVTGVHDTGILGVTTGTHSTATGAALPHAAEHKTGGILRRSSSSSSSSSSEDDGMGGCRMKGLKEKIKEKMPGGHKGNQGKATAPGAYSGMTGYTGPTSTGTTGGQGRDGKIKEKLPSSHKDHDQQHTMATGGYAPGRTGTTDTYGTTTTKGTHEKKRFMEKVKEKLPGQH >KQK94787 pep chromosome:Setaria_italica_v2.0:VIII:26956572:26959197:1 gene:SETIT_027733mg transcript:KQK94787 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDCKPCDGSACSTAQIKADSHCAEGMGSQLQDILHRIHALMPTRDAAQAVCVSHAFLHSWRYYPNLTLSVNSLGIKEYGSKYDELTRDFISRVDHIMQNHSGMGVKEFRLQNYPCSTMDPSIVDRWIQAAITRGIKEFELSLFELSDIKYSFPCSLLSGVRGSSIQSLMLAGCSLRSAAQAGFMSSLTKLDLDSVDVTGEELFHFLSNSYALEKFSLWNCDNIICLKIPCQLQKLNMLSVLDCQVLKTIDSNAPNLSTFSYSGQQIHISLGHASQVRKIRFHCDYSSNALYYAITKLPLIGSNLRTLCLSTSDELKNLEIMLYASNFYQELDFCSLISFLDASPALETFIFRKFNNYVISTFKILLAHDNLKNVVITGFCSMKSMIELTIHIMEKTKSLECLTLGTTRGHHRRFRNIDKCWPLNEEALAEAGKARHRHSE >KQK95788 pep chromosome:Setaria_italica_v2.0:VIII:38053322:38053950:1 gene:SETIT_028438mg transcript:KQK95788 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKKPRRGNTATGDVDQSVKSIVSNGRFNLVHGAKCHSGSRIFENSSNKKVRFGVMVVSPTEERVLEGLSNPFFVRGHDRPGRQNNLRHNSSNRQSKSILSQIL >KQK93300 pep chromosome:Setaria_italica_v2.0:VIII:426906:427662:-1 gene:SETIT_027818mg transcript:KQK93300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKAKAPALLICFLFLIALASAAEIIGSNGVAGEDLNSKGDDVDNHKGNNKDGKGNLKPSQCGGECRRRCSKTHHKKPCLFFCNKCCAKCLCVPPGTYGNKDTCPCYNNWKTKRGGTKCP >KQK94605 pep chromosome:Setaria_italica_v2.0:VIII:23182197:23183465:-1 gene:SETIT_026690mg transcript:KQK94605 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHIGGGSGGGSRMLPPKQLLTIAIVIFSTLSFIKLLLLTSSSSGASSSLRSPAWDAGGNGTARSALAAKELALLRSLVAARAPCRLLVFGLSPQLLALARLNSGSGAAAATAFVTDSAEDAAAARRVLGSGRKASGARSTDSAVAIHRARYRDAAAEAWPLLRRARGSPACRRPTGAVRKSGCPLALTSLPREVLDARWDVVVVDGPSGAGPEEPGRMGTIYTAAALARASAAAAAAGEGAPQVDVAVHDVDRTVDRWYAWEYLCEDNLVAAKGRLWHFRVGAGGPPDAFCNTGPVQIL >KQK94288 pep chromosome:Setaria_italica_v2.0:VIII:12582408:12586346:-1 gene:SETIT_026602mg transcript:KQK94288 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASSPRVCVTGGGGFVASWLVKLLLSRGYAVHATVRDPDDPKNAFLMRLDGADENLRLFRADVLDIDSLAAAFAGCEGVFHMASPVPGEKIADPEEEMMAPTVKGTVNVFEACSAMNVKKVILVSSLASVSFNPNWPEDKLIDESCWSDIEFCKENENWYALAKTKSEEIALEYGKKNGMHVVTVLPGLVFGPLLQSVVLNTSSKVLVYMIKGGPDTMNNKFWPIVDVRDVANAFLLVYEKAEPSARYICSLDQMDIVDLLAVMQSMYPNYGYVDKMVDVGCKVAVTTEKLKDLGWAPRELQETLADSVECYEKAGLLADVDGNPCRLPYFYRMNTDG >KQK95422 pep chromosome:Setaria_italica_v2.0:VIII:34352643:34353952:-1 gene:SETIT_027740mg transcript:KQK95422 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSDQTTGHRRQTSPLDDDDLLGEILARVPLQPSSLLRISIVCKRWQRLVSGEWFRHRLCAHHRKAPLLGYFHLSTIFNDVVFNPIMEPPDRIHPRRFLPGRALPAAGQDIELLGCRHGRVVFTNISAITVFVCDPVTGGYARLAVPPEFPKLFINGTVLCAAGEQGHVHGACHSSPFKVVMVSMFTEDRRPMACVYSSDWPIVGSSTLIGHALCWLISSGSQDEDEDGYIPVPYGVLEFDLDRNILTITKGPPINHMVGWGQIVKTIDGHVGFATLLYQTLGSLQVWHGNVNCSDTADLHSIFGLQEIRRHGIFGYDEDDNVIFIYSYEGDSRLFMLQLDTMQFKMHYETIGRRYPTMCHPFRSFYTAAGLNSLEIPTELSQSKPQT >KQK95057 pep chromosome:Setaria_italica_v2.0:VIII:30343823:30344285:1 gene:SETIT_028518mg transcript:KQK95057 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLLRPNKWARSRVRINGSSLSHSSNQHYKK >KQK93546 pep chromosome:Setaria_italica_v2.0:VIII:1858333:1862258:-1 gene:SETIT_027933mg transcript:KQK93546 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein STTPPNGKGKKNNLLASAIEKIRKRKTKRGDNDFTRRSHKSIRGCNGNGMLTESMALGDLHGDSNRYDWTRLSDELKSNLSRSVASLALCNGDKVLFACSVIAIESQENLTRFLTSATLARAVISDDKGKFHNLKIKVRHEGSEVYEGVLSEYDLDRNFAIVIISTSLDVHVGLFKHRVEILPRGMVLALGRGISGKLIPINVILDNEAPLLKRSEVWEGGPLFTFDGKFVGMHLFLVLRAHFISWGSILDWLKCTSLQKKTKVARFGSRPTCDKLNSHSEVHRPIHRDDMTSGYCEDLESLGYPMPQIDMADDGMILVNTFEETFGDAYREGVWDEFSRSAFSDIDRYVVALASFKGGKRHFTCTGFFIEWNGSTTILTSASLVRSSSDENKTDENLRIEVLLPNKRRIEGLLEHYSLHYNVALVGVTDCRVVRPASIQPYRFGCSASVGRSFISGALMATSGQLVSWSGTLDCMCIVRSSCKITKAGIGGPLVNMPDGKVIGMNFYDKRIGTPFLLWEDIDKILAHFAEKSKAGKVGHDDCDPSRARFWKMDGDDSDLSFIISSCLVLLISVRTSDVTLLKWPVPEPCWLRPDDLVESEDDELGIELPSGRKKRYGYLGGRKVILF >KQK95443 pep chromosome:Setaria_italica_v2.0:VIII:34477395:34478563:1 gene:SETIT_026560mg transcript:KQK95443 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSISSSSATGDGGGGSTSTIAADTTTGWHELKVPGHTKTKGGVGKGINSATFIVGGHSWYIRYYPDGNNEKSTDWVSVYLYLSESAAAVDGDVKASYKFSIIDDDADAGEDLSSYTRTGSYSFWSPGKPRGYYQFVKATDMESSLKGHSFRIRCDVTVMKETCVDPTAAKSLTVPPSDLHQHLGALLESEVGGDVTFDVGGEQFTAHKYVLAARSPVLMAELFGPMKENTMSSLQVHDVEPRVFKAMLHFIYNDSLPEIDEDDEVGMAQHLLVAADKYGLQRLKVMCEAMLLKHVDTSAVATTLTLAEQHGCEGLKEGCFRFMRYPGNTKAVMASEGFQHLRTSCPFLIEEMLAKLAP >KQK94630 pep chromosome:Setaria_italica_v2.0:VIII:23728611:23730170:1 gene:SETIT_027882mg transcript:KQK94630 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARILLTLCFLSCNVFLRSFATSDDFLQCLSQTIPSELVYQQSSSSFMSVLQSSVQNPKYLTNTTVRPLCIVTASGIPHVQAAVRCGRAHGVRLRVRSGGHDYEGLSYRSVQPEVFAVLDLSRVRAVDVRPFEATAWVDAGATLGELYYAIGTASPRLAFPGGACPTVGVSGYLSGGGIGLMMRRFGIGADNVIDARIVNAGGELIDSVASMDQDLFWAIRGGGGESFGVVVAWRLRLSMVEETVTVVNLQRTLDEGAAAIVAKWETLILQPYVPDLTIRVVVQDNHAFFQTLFLGRCSRLLRTMRAYFPELGMTPADCREMTWLRAMAFIGLNGDANAPPEALLNRTNNLGTYVKNKSDYVRRAIGRAGWEGIFREHLSRYGPGLMIMEPHGGAVPNFATPYPHRQGVLYNIQYVVFWGGEADGTAAAAASGWLGGIYGYMEQFVTSNPREAFANYRDLEIGANVVGGDGVTTYESGRVWGEKYFMGNFRRLAAVKGRVDPGDYFRNEQSIPPLRQGF >KQK94065 pep chromosome:Setaria_italica_v2.0:VIII:8338974:8342983:1 gene:SETIT_027967mg transcript:KQK94065 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TGWGPAKLLSSSREFAAVRAQKIQLPKKFQQYSRTYIQSWILQGKVNVDGRVVNKSGTQVSDKSVIEIKAEIPKYVSGHKLEVAIKEFGIDCDGKIALDSGLSTGGFTDCLLQHGASHVYGVDVGYGQLKKIRIHKCVSVIERTKLRYLSELLQPVDLVTLDLSFISILLVMPAVIKVMKMDSTLVTLIKPQFEARRLQVGSGGILRDLLVHKELYMNMMLPCACLFAYGNLMKLVYWIIISGVEEFGFCNKGWIESPIKGAERNTEFLACFHRVPISEWQPEGSA >KQK95566 pep chromosome:Setaria_italica_v2.0:VIII:35647624:35649339:1 gene:SETIT_026842mg transcript:KQK95566 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQFLPRGDETTSSCHCRGARPSPRRTPSRRASRPQVLDEIFIHPLGPGGDHRWLRLVLHLRRLLDAARHGPLFSPSLLSLSNPRSGLRYRPPSRSPVGPDEPVSPTRRGLGLCFCRNPIRGAGHSGRRRCVRGRGSSRVRVEVEALYDVPRALPATDPRPAREIASPQVGGGGGGGSDEGARRGSSGDGLGTHPPAEPEGHFHMGQQWQEFIGQHE >KQK93336 pep chromosome:Setaria_italica_v2.0:VIII:617191:617525:1 gene:SETIT_027316mg transcript:KQK93336 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLFGHMCADDSTLKSYDDYWIRPECHGYRIFFDADLSVSFYFAIL >KQK95800 pep chromosome:Setaria_italica_v2.0:VIII:38179270:38182630:-1 gene:SETIT_026851mg transcript:KQK95800 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGWAARFLTVVSFLAAGVLFAPDALRLSGSSDGGGTAAAARLVHLLAFATAWGAGLWVTFIGGIVMFKYLPRHQFGSLQGKMFPAYFMLISACSVISVAAFAYLHPWKTASTIERYQLGFLLSALGCNLSNLLVFMPMTVEMMMKRHKMEKDLGIGSEVGYSKNAETAKRSPALVAMNRKFGMIHGLSSLANILSFGSLAMHSWYLSSKLDL >KQK93642 pep chromosome:Setaria_italica_v2.0:VIII:2537618:2538783:-1 gene:SETIT_027758mg transcript:KQK93642 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDCESSIHDRLERMLLDARPEPIDLPLSFLKAITNDFSDDQHIGKGGFAVVYKGQLQNGTLVAVKKLSQGVEMDEDKFIKEVDCLMRVKHRNIVRFLGYCADTQGKCLKYEGKNVMAEERERLLCFEFLPNKSLDKYINDASQELEWRTRYRIIKGICEGLHYLHQEFILHLDLKPANILLNKDMVPKIADFGLSRCFDENKSQTITAQAAGTLGYMAPELLHDRRITFKSDIYSLGIIILEILTGQRYSAIGDVTSLNNHSKVNA >KQK94656 pep chromosome:Setaria_italica_v2.0:VIII:24193789:24196993:1 gene:SETIT_027675mg transcript:KQK94656 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAFNVPLVLREAIQLGLLDTLCTADAPQIAGELAEQIKAADKAEAAASVDSILGYLACFNMMTRFWGGTTPAPLCRWLTKNDSKGSLGTFAVFLGEPDHILPWHHIAETVVSGGPSSFERTHGVPFYDHLGKNQRLSTLFDKAMAEHSVILSTGGKAPRKQLATKAARKFVPTTGGVKKSTELLIHKLPAMMSVVEAAWWCGDGGVVVWRRERVAAASLKNCYQALPKGGKVIVVEGLLPETPDANSLAARDAFTLDMCMFDLFKARQRTKKDYTKLARELASLVQSEQPTYS >KQK94731 pep chromosome:Setaria_italica_v2.0:VIII:25814903:25815530:-1 gene:SETIT_027025mg transcript:KQK94731 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKMAKLGFAAVAALLLFTHCELGQAMALRVRGSLGQGPVRMARGSPAEEGMKTMAARAVQTSSDKSAEEDDVFLAPLVHLIGRYTCTSRLTPASRRIAEHCRWKFSLRCVKKAVSKWT >KQK93921 pep chromosome:Setaria_italica_v2.0:VIII:6060967:6061905:-1 gene:SETIT_027565mg transcript:KQK93921 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALQLSAAAPPPRAPIGQPGCKTTCGNVRVPYPFGFGPSRCYWPGLNLTCDTSSQPPRLLLGDGTLRVTDISLFGLHDSTVRVVRFGSVINATGAGFWSAPFGRGFTEHGYLLSWGNELVVFGCNVVATLLADGIGVETTNTPGRIGGCASLCAKVISGGEFIINTADAKYGPIGDCSAGTAGCCRSPVTMPAPPRQVQAMRLNSRSDTVEEKQLPVNVFVAEEGWVGQLRNKSLRTDEVSEVPFVLKWSVTRGLPPGPELDDGGECADEVRRILCKSRHSSCWNAMPGPGYTCQCEDGYDGNPYLAGAGGCQ >KQK94764 pep chromosome:Setaria_italica_v2.0:VIII:26446294:26448665:-1 gene:SETIT_026628mg transcript:KQK94764 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPSYHPGGLYDDSKIETQPITQLWHQNGKCPENTIPIRRTKEEEVLRASSIKRYGKKRPGSIPKLVSVDDPDASATIGHLHAVASALVDKYYGTKATINLWQPTIERGNGFSLAQLWITGGSYQGNDLNTIEAGWQVYPGRYHDSNTRLFIYWTRDAYHQTGCYNLGCPGFIQTNNQIAIGGSISPVSIYGGSQRDIDILIWKDPKGGNWWLQVGNHILGYWPSSIFSYLSDSASSVEWGGEVYSPDAGQTSTEMGSGHFPEEGFGKASYIKNIQVVDSSNNLKFPNGVVLIAERSSCYKVQNGANSDWGTYIYYGGPGRSPNCQ >KQK94990 pep chromosome:Setaria_italica_v2.0:VIII:29594867:29595712:-1 gene:SETIT_027286mg transcript:KQK94990 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATLSNSLIFLCFLLLPGVLVFAGPHGESYPCPCDCPPPKEIQLHMSLHQFPAWGNVTNPNEVAWQGWAADHWLLTWGPDPNQNIAGRARGFHLLTGETSKDWYISHIYVFQDDRFAGSTIQVLGMLDGEWSIIGDTRAFYNARGYIKYKEVPSTISSITDIV >KQK93447 pep chromosome:Setaria_italica_v2.0:VIII:1129784:1139615:-1 gene:SETIT_025817mg transcript:KQK93447 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAANAPIAMREALTLTSLGIAPQFVTFTHVTMESEKYICVRETSPQNSVVIIDMAMPMQPLRRPITADSALMNPNTRILALKAQIPGTTQDHLQIFNIEAKTKIKSHQMPEQVVFWKWITPKLLGLVTQTSVYHWSIEGDSEPTKMFDRTANLANNQIINYRCDPAEKWLVLIGIAPGAPERPQLVKGNMQLFSVEQQRSQALEAHAASFATFKVAGNENPSTLICFASKTTNAGQITSKLHVIELGAQPGKPGFSKKQADLFFPPDFQDDFPVAMQVSQKYGLVYVITKLGLLFVYDLETAAAVYRNRISPDPIFLTAESSSTGGFYAINRRGQVLHATVNDATVVPFVSGQLNNLELAVNLAKRANLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGLLRTPETVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDMALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHAFLQTKVLEINLVTYPNVADAILANGMFSHYDRPRIAQLCEKAGLYLRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLVVNLRGNLQIVVQAAKEYSEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPFYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLQPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRSIERAEEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDAAHFLDVIHAAEEANVYNDLVKYLLMVRQKAREPKVDGELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEELYEAAKIIYAFISNWAKLAVTLVKLKQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELIALMESGLGLERAHMGIFTELGVLYARYRYEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPDAWDHMQFKDVCVKVANVELYYKAVHFYLQEHPDLINDMLNVLALRLDHTRVVDIMRKAGQLHLVKPYMVAVQSNNVSAVNEALNELYVEEEDYERLRESVDMHDNFDQIGLAQKLEKHELLEMRRIAAYIYKKAGRWKQSIALSKKDNMYKDCMETCSQSGDRELSEDLLVYFIEQGKKECFASCLFICYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYSSKVDDLVKDKIESQNEERAKEKEEKDLVAQQNMYAQLLPLALPAPPMPGMGGPPPPMGGMGMPPMGGMGMPPMGPGPMPAFGMPPMGSY >KQK94925 pep chromosome:Setaria_italica_v2.0:VIII:28842720:28844743:-1 gene:SETIT_026398mg transcript:KQK94925 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPPAQQGRVLTVLSIDGGGIRGLIPATILARLEAQLQENDGPDARIADYFDVIAGTSTGGLIASMLAAPGKDNRPLFAAKDISKGWVPSLVQEAWNKLRGGPKYDGKFLHDKIGSLLGDTKAADTLSNLVVPAFDVKRMQPILLNSFEAEREAHKNARLADVCIATSAAPTYLPAHSFDTRRSDGGRPHEFQLVDGGVAANNPTMAAMSLLTKEMIRLRRKLQDKDVHLVHGGLVRRLERRNNPTTAAMTAMIAGMEEKRNKHHRMGRQDDGGVGASVYRNILVISIGTGIAKQAERYTAADCNKWNMLNWLAYDGFNPLIDFFYNASVDMVDIHAEVLFELLGCEDNYLRIQTDTLEGDTALVDCTTEKNMKELIKIGNDLLKQKVARVNIDTGVYETVAGGLTNEAALKEFSGKLSAERKLRQPARE >KQK93946 pep chromosome:Setaria_italica_v2.0:VIII:6488910:6489227:1 gene:SETIT_027440mg transcript:KQK93946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLKQDDGQVQGQAPPGGSLCLWMVTVLLLLSLLAGGGCLAAYILLPPHEMPAWLPAVGLALVALPWAFWILTCAYRCAVARAAERRMMAVAPAASGSMCSRSGS >KQK95631 pep chromosome:Setaria_italica_v2.0:VIII:36666631:36666759:-1 gene:SETIT_027627mg transcript:KQK95631 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEPNCFGVQLAKFFAENAVVLEEISIDDGNHKMREHMNHMLG >KQK95131 pep chromosome:Setaria_italica_v2.0:VIII:31241545:31242033:-1 gene:SETIT_027852mg transcript:KQK95131 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELNGSRYSRAPAGVLLAALLTLLALASSTVPAAWAQSASGVTATYIAYDAPSRNWELGALSVSCAALDEDKPPAWRSRYWWTAFCGPAGPRGDAACGLCLQVTNAATGAAATVRIVDDCGKTNGGAALGMDTPVFYQIDTDGSGMASGQLQVNYEFVDCQD >KQK93376 pep chromosome:Setaria_italica_v2.0:VIII:825941:830885:1 gene:SETIT_026653mg transcript:KQK93376 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVSGHVTDAAAAAPPQNSRVESQTRIQTALPSPRLLPWQARSVHPSIHPTMAAPVSALESAWQLVIANFTEFQLATLITFLLHETVFFLSGLPSLLFERFGLLAKYKIQKKSNTSAYQNRCVLRLILYHVCVNLPVIIFSYPAFKFMGLRSSLPLPHWTVVVSQVLFYFVLEDFMFYWGHRALHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVVGPALTGPHLFTLWIWVVLRVLETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRVLYTKSGNYASTFVYMDWLFGTDKDYRKAKAIEEKEGKNL >KQK93795 pep chromosome:Setaria_italica_v2.0:VIII:4414322:4419518:-1 gene:SETIT_025852mg transcript:KQK93795 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEVDGDAPIPAFAVSKGGVVLKHIFLNAPPSSPDRGGAVGGGEEQEEEEDPPVTVGRHPDCHVLVDHPSVSRFHLQLRARRRQRRITVTDLRSVHGTWVSGRRIPPHTPVDLVNGDTLRLGASKREYRLLWLSLREALEMEDAPYMPPLPEDKEEPHAYQEASSQLVAPEQRESADMEAHQETSQQIILEDIDFPAKVIPSAPPLPDFAHSFSVEKSHENIEGVTEDMLVDKNPISESFGSLIIQEMPAALTNAGRPALSDKRDTSNQVSKRSKLKSVKSLRIDTGRSKDRSSALNYSSQKGDQNEILVCSQSCGVECAACIALFGISEFERAEEKEEMIAEDKGHMHPPASITMEGDKKEPNTENYGPQDSVDSKLQKRIGLFDSALPLFGLFDSAFPLHFKDDAFTDKEIPQWSSATVNTESDPVSENLATPELKHDDFVHLNLEESFSNKENMAPNKIVEGPENYQLDSTVCGNLFDNLDTEEIEGNEEICPLDKENITPNLSGSIIMERSHIGLKPIISQELMDSISPLNLAHDNFSENEKSILNTGNQMKSNEPISENLSPLTPADKKLQKSQIECMPISHLEFKDDILLDRENSVLAPGKYDAISPERQENLSSDKENVTPASKVKPTVRRVLGSRMDNSVSAKKTSNKEKCIVLSAKSERIHTIDYDVFYSDKENLTPVSSGGMKARKCLPKNLIVDADQDQEAFCSDKENMTPQSSAARKTRDMSENRARVESAITKKRVADRLPFQTLLSNSPLGPASSLDCNCVVPRTADIAAGDLAIKLEDKLNNLALHKQESGRAGQWMKSWTMVANTDSLLDDESRKSIMLLKGIKGTHLFVPRIVIRELDSMKQREGLFRRSTKATSVLQWIEECMVTESWWIHVQSSSEMLPVAPTPPATPSAQRIDEEIKVGSAGSFNPMAALFSPRGFELADIVSPKPEDRVLDCALLLNKLRSDHNVVVLTNSVALKIKAMAEGMVCEGAREFRESLMNPCSSRFMWAASAPRGPAWSRLDAAALAEDYYNSHHHQHGARKQQQQQRKPAEAAAKGLKLILRHNSLYAQATTDAARMTPLPLVSLASV >KQK95250 pep chromosome:Setaria_italica_v2.0:VIII:32647077:32653688:1 gene:SETIT_026810mg transcript:KQK95250 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMLRHAAAAAAALLAVAVVCADGASTFYSSDPNLGSARVVFQTNFGDIEFGFFPHVAPKTVDHIFKLVRLGCYNTNHIFRVDKGFVAQVAAVVGGRTAPMNEEQKREAEKTIVGEFSSVKHVRGILSMGRHSDPDSGGSSFSFLLGDAPHLDGQYAVFGRVTKGDDTLRKLERLPTRREGIFVMPIERIDILSTYYYDIDMESCEAEKSILRRRLSESASEVERWRRKCFA >KQK94831 pep chromosome:Setaria_italica_v2.0:VIII:27767279:27767793:1 gene:SETIT_028446mg transcript:KQK94831 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVKPPWITLVATRVVGGVAARSATRCTRAGVRRTRARATRSFPVVPRAACAATTARRTCRRRRAPAATTTSAATTATGVQWRDEYLGYGH >KQK95647 pep chromosome:Setaria_italica_v2.0:VIII:36841704:36842611:1 gene:SETIT_026932mg transcript:KQK95647 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASCKLYSVALLVVILAAGPATRPVSAASAHLHFYMHDVLTGPSPTAVQVLNGPRGHFGDTVVIDDVLTESASRSSSAVGRAQGHYIWASTGNPELLVTMNVVLTSGPYAGSSVTVVGRDDIGAPVRELSVVGGTGRFRMARGYVLWKTVSLDHPNAVLELDVFVDA >KQK95394 pep chromosome:Setaria_italica_v2.0:VIII:34108926:34109748:1 gene:SETIT_027088mg transcript:KQK95394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRLRNLKFWQFHLREARGIACNDGSLDLGLGNLPSLQEVDVILRCDGAGKEEVEQAKAALRHEAEMHPNHPRHSIGVSYRRRDWSRDSRNTRRG >KQK93998 pep chromosome:Setaria_italica_v2.0:VIII:7347513:7348212:-1 gene:SETIT_027895mg transcript:KQK93998 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NEYGVINSPEPIGARCTSTTGFSPQGSVQLKMSWFYLQAGQTTTSWYTAHTIVRSALDVSGITEVKPNSQWSITGGTVAFASAHGTIKFINSQSSTATDAIKELDIHVFHTPEATHVIFAMTLIFAVQVQVTTLDRSA >KQK93321 pep chromosome:Setaria_italica_v2.0:VIII:562214:563375:1 gene:SETIT_027814mg transcript:KQK93321 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGIFPRDPGPLHSSKTEEPRRPRWTPCFSVAALARTPARSSSPSVARLRDAHPGLAVEEAGGPAEAAVGAGRRGGGREGGSGRRPAEGGSGWRPAEAAVGAGRRGGGREGGSGRLVHSVESHVKTVMALAHGKMATTGETRLLRAGIDGYLRHPQPLLSVACSPCGTVLVAGSAKGKIYMGKRKKKAVDEEDEGKKADSSEIDWVSPEPEKPVLKPNYFRYFLRGQNEKAKEGDFVIEKPKKALVSALVKNNPRSAVAVMEELVARRKLVRCFGNLDIEELGLLLEFLRRNATLPRYARFLLGVANKVVEMREEDIRSDDKLRMHIRNLKRMVGEEIQIQHTLQGIQGVISPMLALAGG >KQK95399 pep chromosome:Setaria_italica_v2.0:VIII:34160294:34160796:-1 gene:SETIT_028436mg transcript:KQK95399 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSEKVTIFKIRQNYNYKRAKYQHWSRNKRHKDN >KQK94076 pep chromosome:Setaria_italica_v2.0:VIII:8498523:8501382:1 gene:SETIT_028233mg transcript:KQK94076 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGHEIAASDGEKGPEQHAIDVGHVEHADGKGEDIDKERVAVAEEVQKKSRRVAALDAFRGLTIVLMILVDDGGGAYERIDHSPWNGCTLADFVMPFFLFIVGVAIAFALKRVPNIGVAVKKIALRTLKMLFWGVLLQGGYSHAPDDLSYGVDMKMIRWCGILQVYSFLCLTLAHQRIALVYFVVALIEAFTTKVRPTTVRSGPYAIFDAYRWQWLGGFIAFVIYMVTTFSLYVPDWSFVYHNDGDVNDGKQFTVQCGVRASLDQACNAVGYVDRQVWGINHLYTQPVWIRSKDCTFSSPNMGTLRADAPAWCLAPFEPEGLLSSISSILSGTIGIHYGHVLIHFKTHKERLKHWLLMGFSLLVLGILLHFTKAIPINKQLYSFSYVCFTGGAAGIVLSAFYILIDVWGLRTPFLFLEWIGMNAMLVFVLGAQGILAAFVNGWYYESPDKSLVHWIVKHVFVNVWHSQRLGTLLYVIFCEIVFWGVAAGVLHKLGIYWKL >KQK93246 pep chromosome:Setaria_italica_v2.0:VIII:140609:144737:-1 gene:SETIT_026152mg transcript:KQK93246 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSYAYKYCMCFTRKFRSPDAQPPPDVRAAHLSYCCSSDGHGLRRFLSQVQGESPPDVDRILATLAPTSAAHGIARLVTRSPAPAPPTLDDFFGFLFSPDLNPPINNQVHQDMSAPLPHYFIFTGHNSYLTGNQLNSDSSDIPIIKSLQRGVRVIELDMWPNASKNNVDILHGGTLTAPVEMIRCLKSIKEYAFCASNYPLVITLEDHLTADLQAKVAEMLTETFGDLLFIPSSDPMKEFPSPEALMKRIIISTKPPQEYKEFLKVKDNQNVSGNIADLPDQGSLRRIDSNADESDGKDELDDQDEEDSDEDDPKFQHDTACEYRKLITIQAGKPKGHLRDALRVDPDKVRRLSLSETQLAKATTSHGAEVIRFTQKNILRVYPKGTRVNSSNYDPMNAWAHGAQMVAFNMQGHDKALRLMQGFFRANGGCGYVKKPDFLLTTGPNGEVFDPKASLPVKKTLKVKVYMGDGWRMDFSKTHFDAFSPPDFYTRVGIAGVKADSVMKKTRVLEDQWVPVWDEEFTFPLTVPELALLRIEVQEYDMSEKHDFGGQTVLPVWELKQGIRAVPLHDRKGVRFKSVRLLMRFDFV >KQK94237 pep chromosome:Setaria_italica_v2.0:VIII:11589683:11591304:-1 gene:SETIT_027593mg transcript:KQK94237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDGMRSTDTSVEPEVDVRPGLSPSRFVKVFIQGRVIGRKINLATHQNYASLSFTLKRLGNDYSMPPCELNGSVNNEDGGASDDNNFILFYDNVDGDRFFLGEVPWEAFVISVKRIYIVPVEQEQENVADNEEEEEDKENGDDNAATSAPPPEGDDIPANDEVVAYDGDAMACAPADGASEE >KQK95163 pep chromosome:Setaria_italica_v2.0:VIII:31570135:31571403:-1 gene:SETIT_026416mg transcript:KQK95163 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDSGAGTAVGEYLLPVSRTPWPLLLDCHGSAADRRMSRAGEMFDEMPQEKRARIDAGAEDPPESSWAGLHADALGVVLRFLPCLADRARVRAVCRQWRDAARGRGVAPPLPLLVLPRFRFASLTPGGVLSAARRAWMPPGLDAGNACCVGSSDAWVVGAGQAGGECFIVNAFSHEVRRLPHLGTYDCSLRKVALSASPESAPDWIAVAFMIRWSRPELALWRPGMRSWRVCHHALFAGHIDIAFYQGKLYMLWKFTPCLFAFELGDDEHGVTISRMKDCFIEKLLPTTLGSTHELSCNMVEWHGRLLLIIRYYGGYQARHRVKVKVFVMDLSTNPSVLTEIHSFGSDCIFIGSGGCKSFPAGQHGGVEGDLIYFGPDHYNPHDAFVYSMRDGRTGPIFKPLPCVTHASERNLGFPVWLFPKE >KQK93654 pep chromosome:Setaria_italica_v2.0:VIII:2788632:2789053:-1 gene:SETIT_027609mg transcript:KQK93654 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAELDDRDNECAALERIIIDASAEPIMLLYACLKDITNNFSVEIGRGGFGVVYMGVLRNGNVAVKILSKTDEFSEKQFEDELICLIRVHYGRQNFCLGHSAKA >KQK94958 pep chromosome:Setaria_italica_v2.0:VIII:29107602:29112263:1 gene:SETIT_026088mg transcript:KQK94958 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEMFTVKVEEAAPAAGGRPSAGPVYRSIYARDGLMELPQDIQSPWDFFSGAVKKYPKNRMLGRRQVTGGKASEYVWQTYEEVHQKVMRIGSAIRSFGVKPGSHCGIYGSNCPEWVMAMQACNSQGICYVPLYDTLGANAVEFIMDHAEISIAFVQESKIKSIVTVVPKCTAHLKVIVSFGDFTSEMKREAEDLGVSCFSWEEFSSMGKQNYELPEKRKDDICTIMYTSGTTGDPKGVIITNRAIIAGVMTTEHLLKETDKVITEEDSYFSYLPLAHIFDQVIENYCISKGASIGFWQGDIRYLMEDVHVMKPTIFCGVPRVYDRIYTGINQKIQSGGMIAKHLFQYAYNYKLANMKKGMKQHEASPFFDKIIFNKIKEGLGGRIRLMIAGAAPLPGQIEEFMRVTSCSVFVQGYGLTESCAGCFTSIANVFSMIGTVGPPVTTIEARLESVPEMGYDALSDTPRGEICLRGHTLFSGYYKRPSLTEEVFSDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYVAVEVVESAYMQSPLVASVWVYGNSFESFLVAVVVPERQALDEWAAANNKTSDFAELCNDPKAKGYIQDELNKTGKKLGLRGFEMLKAIHLEPVPFSIEKDLITPTFKLKRPQLLKYYKDRIDQMYNDAKEGRTV >KQK95198 pep chromosome:Setaria_italica_v2.0:VIII:31848080:31848991:1 gene:SETIT_027437mg transcript:KQK95198 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein EAAMVASPSREEAAAARNIKAKQERQQQVVASGADERKPRPQQEQGLNCPRCNSTNTKFCYYNNNSMTQPRYFCKACRRNWTQGGTLRNVPVGGGSRKNKKNRAGGSSSSSSAPPAPSSSCTNSKKMNLTQQLLMMPTAMAPMPADFPNVLPTFMSAGGEGFELPGSDHHSVPFPPLSLPSNPGTMPSLLNMLTGGFLDGGMGAQPFLPAPPSFGARQHEHAIICGSSDQQVVGPLQGVDKALKPPMAAAGGSGLQQWPSSAAQELQVVGGDGSAFNNNNGNNNGGGASGGISGVEHYWHGST >KQK95294 pep chromosome:Setaria_italica_v2.0:VIII:33093488:33094798:-1 gene:SETIT_027996mg transcript:KQK95294 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRNSSTTIVRFSAILPSVQSHLNITTPTAVAIGPYHHGLPRLSRMEAAKNSAVADFCRVAGQTREVVRGRIGELAETIRNCYDIGDEKLTSIDDGELSEMMMLDGCFLLKFMDKVVPMWLRDDLPVAREDLVDTRMSAIARDILLLENQIPWVVPKVLMEYLGMLDSNNIVGDFLDRMATAFHVGNRISTQTSPAPRGPESPRRTGEPARGGHEGARASEEGNEPHHFLDLFHRHQVGEARIQGACLYVLPSLGSSAVELAEMGVKLTAIKTKKFGDMEMKKHRWPLGLFGELSLAPVALNELTACWLINMVAYEAFLGATQADNFAVSSYIFLVAHLINREEDVRDLRARGIISSAMSDGETLHFFKSAAPSLRIGDRYIQISKRIHEYKQERWIWIAIHRFLYDNIKVIVAVVSVVGVLAGLFKTMLSLRQPQR >KQK95742 pep chromosome:Setaria_italica_v2.0:VIII:37712872:37716321:1 gene:SETIT_027801mg transcript:KQK95742 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGATQFSLSQLKAATNDFSGDNVVGSGGFSVVYKGVLRNGLDVAIKKLLISDDFPERRVHHELNVGAKLQHKNIVKLLGYCFDNKEDERLYLLVQEYMPNGSLGRVINASRLDWPSCFKIIQGIAQGLHYLHEQHVLYMDLKPANILFDSKMNPVIIDFGLSIVLDDDDDEITCDSIAGTMGYIAPEKITGAKISMKSDVFSFGVILIEIITGRRVTPSCDLPALSSIEMIRAMKGLFDPAQVKDSQVMEINKCMKLGLMCT >KQK94468 pep chromosome:Setaria_italica_v2.0:VIII:18376942:18379176:-1 gene:SETIT_026545mg transcript:KQK94468 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRCFLLAAVLALALAPARGIPFTDKDLASEESLRGLYERWRRHYMVARPGLQEDDDKARRFNVFKENVRYIHEANKKDRPFRLALNKFADMTTDEFRRTYAGSRVRHHRALSGGRRAEGSFMYADAGSLPPAVDWRQRGAVTGIKDQGQCGSCWAFSTIAAVEGINKIRTGKLVSLSEQELVDCDDGDNQGCNGGLMDYAFQYIKRNGGITTESNYPYLAEQRGCNRAKERSHDVTIDGYEDVPANNEDALQKAVANQPVAVAIDASGQDFQFYSEGVFTGSCGTDLDHGVAAVGYGITRDGTKYWIVKNSWGEDWGQRGYIRMQRGVSDSQGLCGIAMEPSYPTKSARHATIIEDLKDKL >KQK94677 pep chromosome:Setaria_italica_v2.0:VIII:24779720:24785677:-1 gene:SETIT_027337mg transcript:KQK94677 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQSHGGGDVVEMSSSSVPPQGGQVLHSGSPEQSTKSGAPKSTRFTEPVSAPPAPASSNTAADDDSDVAPKKRSSSSYGHSAILSAPSRAIKQVPRELRRLASSFHRHRGGGVSGPHAVDRSTTAAARALDGLRFMGGGGAGWDAVAERFDTLTEHQGGVLYRSQFGQCIGMKKMEEKKDGEGKTKDERGYRDRTQTAATHKKPADKPDFAGELFDALNRRRPQPGDGITKDEMLEFWEQISNTSFDSRLRTFFDMVDKNADGRISEDEIKEIITLSATENKLSLIREQAEEYARLIMEALDQDNLGFIELHNLESLLLQHSVSEPLARLGTTRNRRSLSRLRSKVPETPEPDNLLLPWLRRTAYFLEDNWRRVWVMLLWLSICAGLFAWKFVQYRRRYVFQVMGYCVCVAKGAAETLKLNMALVLLPVCRNTITWIFDRTAAGRVVPLADSLNFHKVVAVGITAGAALHAISHLTCDFPRLLHATDAEYAPLGQYFGFPRPDSYWWFVKGTEGWTGLVMLVLMAAAFTLATPWFRRGLLLRRLPGPMKRLAGFNAFWYTHHCFVAVYALLLVHGHSLYLTREWYKKSTWMYLAVPMAVYAGERLTRALRSRVRPAKVHDADVLPGDVLSLIFTTEPHGSRFRYKSGQYIFLNCAAVSPFEWHPFSITSAPQDDYVSVHIRDEGDWTHKLKEIFSEAKKKRQSAQLPAENHRDGAMTNLRWPKVRIDGPYGAPAQDYKQYDVVLLVGMGIGATPMISIIKDILNNLKQLDGADVEAGTGSGSGAAPSSSFRTRRAYFYWVTREQGTFEWFHKVMDQVVEADGDGIIELHNHCTTVYEEGDARSVLISMLQSINQAKTGVDVVSGTRVRTHFARPNWPQVYSRIAHNHRNQRVGVFYCGNQVLTKELRELAQHFSRNSPTKFEFHKEIF >KQK95792 pep chromosome:Setaria_italica_v2.0:VIII:38111182:38111676:-1 gene:SETIT_027988mg transcript:KQK95792 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein RKMCSKYKLRFVNKVCEAYHTRDRIKADDGSLLKVALFDENNRKITYGPLSSASVQIVVLHGDFNDHGQDYWTSEEFSRYEVCPRPGEEASSVLGGNCILVLADGEACLGDAFFQMTSYCARTGKFKMGVRLASTQEERIQEGVSEPFSVKDCHFAGTCKLELF >KQK94967 pep chromosome:Setaria_italica_v2.0:VIII:29319483:29325014:-1 gene:SETIT_026026mg transcript:KQK94967 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKFLQKPGVLSCLPVAHKKIVVANRMKSMREELRKISKDFRDFKFSEGRTCTSLEQHDDDRETSSRLPEEPIIGRNREKQEIINLLSAGTNNDETVIVSIHGLGGMGKSTLAQLIYNDAQFKKYDHRIWVYVSRDFSLKKIGSSIISLIPIEGGQQNRDTLEAINQCLDNLLRGKKVLIVLDDLWEEKDNELGKLRSMLQVGKKGTTIDVIVTTRKEDIARKVSTCTPYKLQPLNDNTCWEIIKRYSRFEDQHYQERLEKIGLDIAKKCGESGWWSPQRCLPFVVASMCIGRAPLPCSATHHGETSGDHMERYTMHDLVHDLATLIMGDELIVSNVASKNNKTNSQKYCRYASVTKYDNTTKLSNVLPSKVRALHFSDSSKLDLSCGAFSFAKCLRILDFSGCSGILLPASIGKLKQLKYLTASQMQNDVLPEFMTELSKLQYLNLNGSSHISALPESMGKLWCLKYLGLSGCSGISKLPGSFGDLKCMMHLDMSGCSGIRELPDSLGNLTNLHHLDLSECSGVKEIPESLCGLTHLQYLNLSFCRYIGRLAEAIGSLHLDLSMNRFEYLPESIGNLKRLHTLNLMNCWFLKSLPESIGCATGLKLFHHFRALQKLHFFGCQNLESLPEGIQQLSSLQTLRLAKCHSISTLPEWLSDISSLKSLVIEGFDSIKSLPACIQHLTNLEELVIEGNQELQQWCKSEENKAKLAHINIVSSRPSHLFF >KQK93959 pep chromosome:Setaria_italica_v2.0:VIII:6699428:6700912:1 gene:SETIT_026758mg transcript:KQK93959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTPKVKQYRASQNNLLPKAIHSDIFSSQWKTLLNTSFSHLYSFPRRPEEHRTYIDREQADQIPSFQATSHLQQKAIRMHAIWAVSPPSKNTQTLLIYMFMDSRQPPFAFQSPQPCCTNPFSSKYTKELGSSGTGGFPHQLGLGGSSPPPWFLAAAAGGEEDEDEEKMDMLWEDFNEELASAPPLCPLSPVINKGGLAMKEEEAWLDDELIVVDLEKRAKHLHSPQDGRVVRRRRWSMALMLRLLKKLFLVKKSRNNPRTAPI >KQK95014 pep chromosome:Setaria_italica_v2.0:VIII:29894379:29895260:1 gene:SETIT_027001mg transcript:KQK95014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHVFCTPKASAQPRRQHKQKQNRKSCYSNRIAAANFAVPIRFIADPNTMKMTYPLFIPVNIRPLRKFFTGDETEDQIGFPRPPTPPKYQATQYIKSSFRFHSSRLCNGREDDSVVLLHISSLPPDNLEIKKKK >KQK94739 pep chromosome:Setaria_italica_v2.0:VIII:26040683:26042601:1 gene:SETIT_026710mg transcript:KQK94739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGERWLSAAASKGRLEGKIAVVTGGASGLGKAAAHEFIQEGAQAVLIADINSKLGPETARELGPKAHFVHCDVAVEDSVAAAVDAAVARHGRLDVMLNSAGVVGPLSPGTSRLASLDFGQFDAVMSVNVRGTLAGIKHATRVMAPPAGAGVGSILCMASISGILGGLGTYPYSVSKFAIAGIVKAAAAELSRVGVRINCISPYAVPTPMVVDQFSTMLGGAAYEEQVAAIIRALGELKGATCEAVDIARAAVYLASDDAKYVSGHNLVVDGGFTSYKNMNLPFPTKPQE >KQK95254 pep chromosome:Setaria_italica_v2.0:VIII:32679215:32680813:-1 gene:SETIT_027326mg transcript:KQK95254 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ARQVVQTCVLSKRWRHLLRSVPCLDVDHDEFKKTARASEDGSYSSGDHSSDSNDDQWRHHWRRPWLGVGLGVDRNVFNTVIASDDNSDSLGDDNSDSDDDIHDSDDDTPDSDSDSDGDCSGSNLGSSDANVDDDNHKDKEKDKDWEDFEDFTANLMLRCNIAQLDSFRLHIIGSRAPEFGDRQAADGSHVGLSSGSWCLKRLHLCHVILDNHFVKHVRSVCHSLEDLDLDDCTCKIRSITSHSLKTLILKNCRWCNLSKITSTTLKTLVIDGSSNTDDCVLVILAPAVAYLHLAVNVDHFCGGISITRYGAFTSRLCGDQFKFLCSISKVTSLELSGVGTKSSPILEKLTLRHCQFPKCPNKKKGTPILNKISSSVLHGLDLLCENLKVEIIYRHGYGPHLLQLLLRVSVNLSQKKH >KQK95081 pep chromosome:Setaria_italica_v2.0:VIII:30600765:30601921:-1 gene:SETIT_027535mg transcript:KQK95081 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVWWRPSGDSSTVVIGRRAEKVRKEINKWVSKATNELITSILPKGSVHSETVLVLANAIYFKGTWSTPFVKERTVDKEFHRLDGSCVRAPFMHSTEDQFIKEHDGFKVLKLPYRNSSASAWYDVDDDWGYEQQSDERPRFSMCIFLPDARDGLPGLVDKMASSSCSFQLRGRHLPTRRVKVGKFWLPKFKLSYSSQMNEVLKAMGLEAVFSPHEADLSNMVEDDDELYMDHVFHKAVDKVDEEGTEAEASTACTIRKVALHWSPVTMDFIADHPFVFLVVEEASGAVVFMGQVLDPTRSE >KQK95341 pep chromosome:Setaria_italica_v2.0:VIII:33556784:33558645:1 gene:SETIT_026328mg transcript:KQK95341 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKITVHSSKSVKPASSSGSGSGSGSGTPAGSSKSKLIPLTVFDEANHDEYVPGIFAFHPPAPPVDALEAGLAKMLAEYRHWAGRIVTVDATSGKRAILLNDAGVRLVEATADVALTDVTPLEPTPEALRLQPSSDDDGAEGELMLLQVTRFRCGSFAVGYSMHHSVADGYATCTSLLAWGQAVRGAAAFDPAPVHDRASLFVPRDPPLVEFEHRGAEFKPRAEKKKALDVVDVVGDGNEVVMQTVRFSREFVARLKSEASAGRRRPYSAAQCVAAHLWRCVTAARGLGAHEVTKLHIAVNGRFRMTDPPVPKGYTGNAVLWARPATTARDLLHSPLRRAAQLISKAVSGVDGRYFHSFVDFASSGAVEREGLVRTAVSSELVARTNIEVDSVLGIPFYDLDFGTGKPFLFMPTYSTPQPVEGAAFLVPEADGGGGVVAYVPLYRRAVDAFASCCYSLPPPVVVDARL >KQK96004 pep chromosome:Setaria_italica_v2.0:VIII:40402083:40403966:1 gene:SETIT_026111mg transcript:KQK96004 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAETTQQPSPSVFLDDLPLLAPCIIDIDGGKDDSSPEDDGNLVLPYISRMLMEDITGEFSDRYNPDHPALLKAQQPFAEILSNAIQKCPCKQLSQPAPLRMDTVEAKSLQLMTDKGSSCVDVVSMAFFKGMEEANKFLPIPTDSDETVWPSCRRKKKRLDRDDETTAQAQEVGMSTSKQMAASLQPAETEEEAAAREMLDRLMLNGYDHPSLAADMLEPPYVDIGMIGKTTPPRGRRHAVDLHTLLIRCAEAVASNDYRGAADLLERIKHHSSPTGDGTHRLAHCFAMGLEARLAGTGSQIYRLFMDKLTSSTVGVLKAYQFHMTSCCFLLVQHLFSNKTIYNAVTGRKKLHIVHYGLGRGLQWPDLLRWLARRDGGPPEVRLTGIDNPQPRFRPAQHIEETGHRLSECARRIGVPFRFRGIAKKLEAVQVEDLDIDPDEVLVINSILHLQSLMDESVFVERSNPRDMVLSTIRKMRPSVFIHAVNNGSHSSAFFMTRFREVLHSYTALFDMMDAIAPRDDDKRLLVERDMFAACITNIIACEGMDRVQRPQSYKQWQIRSQRAGLRQLPLDPEIVQMLKDKVKKEYHKSFVINEDQHWLLQGWKGRVLYALSMWTADDDRSVLAQT >KQK95706 pep chromosome:Setaria_italica_v2.0:VIII:37364854:37368378:-1 gene:SETIT_027777mg transcript:KQK95706 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPPLRLRLLPSNGRLTAALLLLAACLSACSVQAVTSAEASYIAHRQLVAMKEAGGGESGDLPPDFEFDDRVGANFPNPRLRRAYIALQAWRRAFYSDPKGYTSNWVGNDVCSYNGVICTEALDDPKLTVVAGIDLNGADIAGYLPPELGLLTDLAFFHINTNRFCGIIPKSMSRLSILHEFDASNNRFVGPFPFVCLEMASLKYLDIRFNEFEGELPPGLFDKDLDAIFVNTNRFVGHIPENLGNSTASVVVVANNGLTGCIPKSIGRMARTLDEINFLNNRLDGCLPLEMGMLQNTTVIDVSGNVLVGTLPEQLSNVTKLEQFDCSRNVFTGIVHEAICELPALQNFSFASNFFNSEAAPCMPSEKARVSLDDRDNCLGALRPAQKTTLQCAPVLARPVDCSKNVCAGYPGKPAGPPSLPGKPPMVKVPPTPTTPTPTPTPTTPAPHQPGPPVKSPNTPSPQGPSPSPAPAAPAVPVKSPPAPQQAAPPPPNSAWLPVTPERPKASPPQAQPPAPAKKLTPGEIHPASCTSKLSPSDAGEIHPASCSSKLAPGDAGEIHSASGTSKLAPGDSGEIHSAAGTCGVTATTRQVSSGSTGRVTTPAREVSPITSGASGLATTSGEIASSAGTGGLIAPARQIASSAGTGGLTTTARQIASSARAGGFAATARKIASSTGTSGLSTSPGEVSATTRSGEVLAATCAGQLTTTCTKGITPTCSCELTASRGEVLPTACTGIASTCSCELTTTSGQVTTSTCPSELTSSPSDVSTATGTSELTTTTSKVAATSSTSELTTTSSEVSSSTGTVKPSSPPPAPVSSPPPVVASPPPKQEEVTSSPPPAEFLPPPSFNDVILPPIMANKYASPPPPQFQGY >KQK95880 pep chromosome:Setaria_italica_v2.0:VIII:39152841:39155850:1 gene:SETIT_028276mg transcript:KQK95880 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTRACLIALVVALAVVEAAAGAAAGTSEQRRRQVRSLLKRLNKNPLASIQMHPSYHPEGLSDDSNIAPHPITQTWHQNGCKCPPNTIPIRRTKEEDVLRASSIRRYGKKRPRSIPNFFSVDDPNKLNVTIGHQHAIAYTPKARYYGTKTSINLWQPTIGRAKDFSLAQLWISGGSYSGNDLNTIEAGWQDPKGGNWWLKVGNHTLGYWPSTIFSYLQISASYVMWGGEVYSPYADQTSTDMGSGHFPGEGLSKASYIRNIQVVDPFNKLSSPNVLGLGNKQPNCYNVESSTNSVNWGTYIFYGGPGKNPSCP >KQK93398 pep chromosome:Setaria_italica_v2.0:VIII:940704:944949:1 gene:SETIT_026607mg transcript:KQK93398 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASAAAAAGEASTSGSAAREKPIVVRVKRKPSQTRPDAFWLEINERPAKKAMLDFSSLSISEPSSSSAGAEASEEQPRVKKLLVQHIETVHHSEAVEDVLHSLLLADSAIKEMKSKTKQWNDRIKQDKKSDQLRSAARQRHEDVGRNARFAQIWKSRKGQKNEADESLREICHLYDAVQVDTDEEKHPAEPRITSFEEGAVLCNFLPLIREYLPSAAEEIESDIISLAQSEDSEVYDIYTVKEVDDTNMEDTSAASYPRLQVDDGEDECYDDDYPYDTDDSNAEDNPLFDYPEELSEDEDEDEDDGSNDEDPFGDMEGSGSEYEKEEVDVEEDEQR >KQK95954 pep chromosome:Setaria_italica_v2.0:VIII:40116119:40116535:-1 gene:SETIT_028484mg transcript:KQK95954 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTMMGSADDIQKEFSRESVLFALFPGSKMTNFHDAC >KQK94300 pep chromosome:Setaria_italica_v2.0:VIII:12839670:12840403:1 gene:SETIT_028493mg transcript:KQK94300 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQQIYFKFFLVMKQDKAHAIHVANIARLEISSACSPPNSKYTWQIQTSCTARHACCT >KQK94496 pep chromosome:Setaria_italica_v2.0:VIII:19794847:19795390:1 gene:SETIT_028576mg transcript:KQK94496 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTVQLVPIPSITEQQLHREKGRTRIGRLTFAFTAHPEGRRG >KQK93542 pep chromosome:Setaria_italica_v2.0:VIII:1802357:1808565:-1 gene:SETIT_027817mg transcript:KQK93542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SYYIIMKEPLLDHESSSLSEAKRSRSLFADVGLFSNITFSWMGPLLDLGKRKTLDLNDVPFLDDCDSVHGIIPKFRSKIASISATGQYNDVTTVKLAKALVLTTWKLIIVTAVYALLRTVTSYVGPYLIEYFVGYLNESPRPTKKGYLMVLAFVVAQFMESLSSRHLLFRSQQLGVRVRSALIAIIYQKGLTLSSQSRQSSSSGELINVVSLDAERVGDFNWSMHELWLVPVQISLAMVILYSTLGLAAFAALGATLLTMLANIPLGKIEQNYQEKTMTAKDARMSAMSEILQNMHILKLQGWELVFFSKVKELRMVEMNWIKKYVYTSSMLISVFFGAPAFVAMITFGTCMLLGIPLETGKVLSALATIRQLQGPIHSLPDTISSVIQTKVSLDRICSFLCLEELASDAVTKLPSGSTDISIEVRNGHFSWDTSSQVPTLQDLNFRVQQGMRVAICGTIGSGKSSLLSCILGEIPKLFGEVQTCGRIAYVSQSPWIQSGTIEENILFGTQMNRERYKKVLEACSFTNDLDILPLGDQTVIGERGINLSGGQKQRIQIARALYQDADIFLFDDPFSAVDARTGLHLFKECLLGFLASKTVVYVTHHVEFLPSADVILVLRDGKIAQSGDYTEILKSGEELMELVVSHKDALSTLDMLECPSGNFDSTYHPGGNGSTLFIAGDKKDDNNEEEGIVQNGQLVEEEREKGRVGFIVYWKYITMAYNGALVPLILLAQIIFQVLQIGSNFWMAWAAPVSKDVDPPVSSLLMVNVYVALALVSSLCIFIRSHFLVMAGCKTATILFEKMHECIFRAPMSFFDSTPSGRILNRASTDQSTVDTRIFDLMGYLLFPAIEIIGTIILMSQIAWPVIVIFIPIIVASLWYQQYYIDAARELQRLIGVCRAPVMQHFTESIAGSNIIRCFQKERQFISSIGHLMDNLSRPSLYNAAAMEWLCFRLDILSSFIFSFTLILLVSSSTALIDPKTAGLAVTYGLSLNMLQGWAIAVLCSLENRMISVERMLQYMNIPSEPPLTISESRPNCQWPTKGEIELRNLHVRYAPQLPFVLKGLTCTLPGGKKTGIVGRTGGGKSTLIQALFRIVEPCIGQVLIDGIDICTIGLHDLRTRLSIIPQDPVMFEGTLRSNIDPLGEYSDEQIWEALDSCHLGDEVRKNELKLDWTVRGNGKNWSAGQRQLVCLGRVILKRRKILVLDEATSSVDPITDNLIQKTLKHQFPECAVITIAHRITSVLDSDKVLLLDNGAIAEYDEPAKLLEDSASLFSKLVSEYTMGSDYK >KQK95745 pep chromosome:Setaria_italica_v2.0:VIII:37732618:37733280:1 gene:SETIT_027501mg transcript:KQK95745 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVGSVETIVKLGLTIKEAVDTVRRNEEECSEIRERVLRVGDILSPLQETGSMDDSPAISGALEDLEKTLWRAHTLVEACQEKSTICLFCTAGKQSTQLRKVQEDITQKVMVVIFATNVQLTMILTRLDRPCPQTRESFHMGLEVSLPGDSSVGHTLAFSRPARRAHPAPAPPQSSPATTPAFAVPRRRRPLPFASTAAQDGRSRPPPSMSTASCVQTIN >KQK94862 pep chromosome:Setaria_italica_v2.0:VIII:28065916:28067268:1 gene:SETIT_028029mg transcript:KQK94862 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAPSEASPLRVSAFPAASNKQHGAAPAPRLAGRARDALVFAAGVAVAVLAILGAPGMSSSLLVATTVPGPEDGLRTFYDDPELSYDAVEGRRLTGWDAKRAEWLRSRGLGRRRSAPERVVMVSGSQPEPCPGDAGDHLLLRFLKNKLDYCRLHGIELLYNRDVLQPAMKGYWAKIPIVRAAMLAHPEAEWIWWVDSDAVFTDMDFSLPLATRYAGRNFVAYGWPDKIEIKSWLAINAGVFLIRNCQWSLDFMDEWARMGPAYPEHARWGKVVRDALADKGDDAWCDDQSALVYLLLHNWERLGKKAFIETEYFFQGYWLEIVDRLDGVAARYEAVERRAPALRRRHAEREHLRYAAARNAAVSGAVPGPAGGGEKGWRRPLITHFVGCQPCSGGRNPMYSRESCEDGMRRTLGFADNQVLRAYGFRHAAPLNDSVRPLPFDYPAAPARR >KQK94758 pep chromosome:Setaria_italica_v2.0:VIII:26367286:26367991:1 gene:SETIT_027919mg transcript:KQK94758 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAKLLNLLVVLVFVVAMSIEGTQAACGDVLKDLIKECPAIPGFPCLCSKVIKDIDAIVSMEKVAFGAEKDGRAVAHRYKCGRLLISHR >KQK96014 pep chromosome:Setaria_italica_v2.0:VIII:40443508:40444290:-1 gene:SETIT_027442mg transcript:KQK96014 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAAAAALNLASTPSSFLGVGKKKKKLITTRKQHRRSRVVVMAMAASASNSKKEAVDETKQTKSDRWRGLAHDISDDQQDITRGKGLVDSLFQAPMGDGTHEAVLSSYEYLSQGLRQYNLDNMMDGYYIAPAFMDKLVVHIAKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPVMMSAGELESGNAGEPAKLIRQRYREAADIISKGRMCVLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTN >KQK93304 pep chromosome:Setaria_italica_v2.0:VIII:441553:442164:1 gene:SETIT_028490mg transcript:KQK93304 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEAKLRWKIEKEGANIVGFIGLATQRCTPVSVNHEHWKTVKVKHKDNQTIKSIK >KQK94281 pep chromosome:Setaria_italica_v2.0:VIII:12352287:12352499:-1 gene:SETIT_028187mg transcript:KQK94281 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FAGSTLEVSGITEVKPNGQWSVTGGTAAFASAHGTIKFTNSASSTATDAIKELDIHVFHTPETAVSTPSK >KQK94374 pep chromosome:Setaria_italica_v2.0:VIII:13961518:13964547:1 gene:SETIT_025959mg transcript:KQK94374 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHVLVLAVCLLVLLPGWACGLGSMSSISVAYGEDGPVFCGISSDGSHIVTCFGDDASVLYGAPPNIPFLGLTAGDGFVCGLLLDTRQPYCWGSNSYVKSGVPQPMIEGAKYSELSAGDNHLCALQAAAAEIHGPNAATSLIDCWGYNMTATYVIHEAVSTISAGSVFNCGLFARNRTVFCWGDETVSGVVGLAPRDVRFQSIGAGGYHVCGVLENAQVFCWGRSLEMQQVAPASAIGDGDVNIVPIDAMVSVVGGRFHACGIRSIDHQVACWGFALRNSTSPPKGLKMYALVAGDYFTCGVPAETSLTPRCWGNSGPMALPMAVPPGICVPTACSHGYYEYDNHGEVGSSKICKPENSRLCLPCSAGCPEDSYESSPCNATADRVCQYDCSKCVTDECMSFCISQKRTKTRKLIAFQMRIFVAEIVFAIVLVLSVSVIACLYVQHKLRHCQCSNNELRMAKSTAYSFRKDNMRIQPDVEDLKIRRAQEFSYEELEQATGGFSEDSQVGKGSFSCVFKGILRDGAVVAVKRAIKASDMKKSSKEFHNELDLLSRLNHAHLLNLLGYCEDGSERLLVYEFMAHGSLYQHLHGKDPNSKKRLNWARRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEDHNARVADFGLSILGPPDSGTPLSELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVVLLEILSGRKAIDMQFEEGNIVEWAVPLIKAGDIFSILDPVLSPPSDLEALKKIASVACKCVRMRGKDRPSMDKVTTALEHALALLMGSPCVEQPILPTEVVLGSSRMHKVSQMSSNHSCSENELADGEDQRIEYRAPSWITFPSVTSSQRRKSSASEADIAGRTTTEGRNVGSSIGDGLRSLEEEIGPASPQEKLYLQHNF >KQK93738 pep chromosome:Setaria_italica_v2.0:VIII:3684425:3684802:-1 gene:SETIT_028550mg transcript:KQK93738 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCYRLWGKKKVKLQSLFFAAVPRVNVCRSSSTKIIKYDHKII >KQK94916 pep chromosome:Setaria_italica_v2.0:VIII:28686148:28688384:-1 gene:SETIT_026401mg transcript:KQK94916 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENGAGNEAAAAWNFAPNDSLLGVTALSVRGVLGSIKAGMVEDSGGGDGERPVIPLGHGDPSAFPCFRTAPEAVDAVAGALRSGEYNSYANATCVGLKLARRSISQYLSRDLPYELSADDVYLTSGCTQAIEIVCSVLARHGANVLLPRPGYLFYEARAVLNGMEARYFDLVAERGWEVDLDGVQALADRNTVAMVIVNPGNPCGNVYTYEHLAKVAEMARKLGIFVIADEVYAHLTFGERKFVPMGVFGSVAPVLTLGSISKRWVVPGWRLGWIVTNDPNGVFRKTKVVDSIKSYLDISTDPVTFVQGAIPQLIENTKQEFFDKTVEVLRQTADICWEKLKGISCITCPSKPEGSMFVMVKLDLSCLEDIKDDMDFCCRLAKEELVVVLPGCAVGYKNWLRITFAIAPSSLHDGLDRLKLFCLRHSKPEM >KQK94179 pep chromosome:Setaria_italica_v2.0:VIII:10526166:10526969:1 gene:SETIT_027336mg transcript:KQK94179 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SEKAASQKAYLLNSSHHSKPRNGDRRAGRRRLAACLRPSPRSRRSSVMAEDGPAGYYVGRPMNHDDQKTQPPPPSSQSQAADEQVNAQVPGYYAGRVPGKKAAAGEQSSAADQPGKESGFLASCFGCFSGGQTAK >KQK94421 pep chromosome:Setaria_italica_v2.0:VIII:15437576:15440027:-1 gene:SETIT_027822mg transcript:KQK94421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSALLPTLRQKREVDAAIRDTLDKVLVLRFGRAADAACLHLDDILAKSSWDISKFASVALVDMDSEEIQVYIDYFDITLVPATIFFFNAHHMKMDSGTPDHTKWIGSFSSKQDFIDVVEVRIPLVLQLSLTRMHFLREFCAN >KQK95865 pep chromosome:Setaria_italica_v2.0:VIII:39045059:39045898:1 gene:SETIT_026929mg transcript:KQK95865 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALIADELRAKAEVYYGDDICQQCTQLLLKEAGLPNGLLPLKDLIECGYVQETGYVWLKQKKRVDHVFQSLGRLVSYGTEITGYAEKGRIKKVKGIKTRELMVWVPVEEIALDEPATGKLICKSIAGITRTFPASAFHIPEKENQKKNFAAPKPVVLMEGAPQVVKNN >KQK94597 pep chromosome:Setaria_italica_v2.0:VIII:23101989:23102461:1 gene:SETIT_028392mg transcript:KQK94597 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVRLPTEMLWLVSAVIHQYKVSNQPPLYNLPWDMIGTLL >KQK93817 pep chromosome:Setaria_italica_v2.0:VIII:4662277:4663958:-1 gene:SETIT_026501mg transcript:KQK93817 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPPFMGSLAIVQQAPSAGAGGAGEVQLAQAAPASGGAEKKAVVPAAKRPTKDRHTKVEGRGRRIRMPALCAARVFQLTRELGHKTDGETIEWLLQQAEPAIVAATGTGTIPANFSSLAVSLRSGASHPSSASRAAAFHHLAPPQHEVAAMLGWSHAAHHQHHQQQFLPPPPQPQQQAPQQDPGAGEFMRKRYRDGADDLFKDAAARQQQHPDDGGEAEEHKARVAPPPAGAMWAVAPPNSSAAGAFWMQPAWAFGAGGSTVQAPLQFMSTRSNNNFPAGGGGATMDANIGMLAALNAGGSVQQQEQEGQPPEMAQRRNGGAASPQ >KQK95595 pep chromosome:Setaria_italica_v2.0:VIII:36028045:36031133:1 gene:SETIT_026123mg transcript:KQK95595 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAIAAIVFFSAMALSVGASVVEHTFVVSQVNMTHLCKDTLATVVNGQLPGPAIEVTEGDSVTVHVVNKSPYNITIHWHGVKQWLNCWADGVPMVTQCPILPNHNFTYRFNVVGQEGTLWWHAHVPFLRATLHGALIIRPRHGAISYPFPKPDMEVPIIIGDWWQLDLPQVDQSMKNGSFDFFASGSTINGKLGDLFNCSGVPEGGYVLDFVPGKTYLLRVINAGLFSEFYLKIAGHNFTVVAADANYVSPYTTDVIAIAPGETVDALVVANATPGRYYIVALPNQAPGPDTQTPEFTTRGMVQYRVNHSSITNGAAALRSRRGVKQEENDIGPSGDVALAPQMPDKHDTVTSFYFHSNLTSLHHLTVPQQVDENLFLVLGLGSICRNGQQSCKRGGNINESIVVATMNSVSYQHPTKMPLLEAQYYHTGVLLDAMQELPDGPSRAFNFTDKALIPFGPKEIPLEPSSKATVVRRFRQGAVVDMVFQSTALLQGDSNPMHLHGHNMFLLAQGLGNYNAAKDVARYNLVNPPVKNTVLVPNLGWAAVRFVANNPGVWFMHCHYEFHLSMGMAAVFIVDDGTTADTSLPPPPADFPTCCANDHNLLQMN >KQK94459 pep chromosome:Setaria_italica_v2.0:VIII:17672087:17672583:1 gene:SETIT_027243mg transcript:KQK94459 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPSTMGISNSVFSNPLEQECYGQNYLHSEFMSCWCQFNLILKIHLYIHLHASIL >KQK94226 pep chromosome:Setaria_italica_v2.0:VIII:11139378:11140622:-1 gene:SETIT_027338mg transcript:KQK94226 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPRLSILVFSIFFLLTAPLAYSERRQSFRATMTRIEPAINLTRAAHKSHQRLSMLADRLDATSGGAQAPLQLDGDGGAYDMNFSIGTPPQKLSALADTGSDLIWVKCGPCTQCAPQGSPSYYPNTSSSFSLLSCSSGLCRNLESQSLASCAVGGAECDYRYLYGLAADSHHYTQGYLGSEIFTLGGDAAPGIGFGCTNMSEGGYGTGSGLVGLGRGPLSLVSQLNAGAFSYCLASDASKASPLLFGSGALTGAGVQSTPLISGASPSFYTVNLKSISIGAATSPGTGSGGIIFDSGTTVAFLAEPAYSLAKAALLSQTTNLTLAPGRAGFEVCFLTPPSGDAFPTMVLHFDGGDMALPTENYFGEVVTGVTCWIVQRSPSLSIVGNIMQMNFHVRYDVDKSVLSFQPANCNSL >KQK95408 pep chromosome:Setaria_italica_v2.0:VIII:34239647:34240816:1 gene:SETIT_027364mg transcript:KQK95408 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCRYLIVVDDIWDITIWEKISCALPDNTFRYRIITTTRNNAVAEQVGIVYELKPLCLHDSRRLFYPRLFGNDDNVICLTGDLIILYQTKKCASVPLAIISIDTLLASKGRNKWEMYKVYRSVTAELENSLDLQDLGMILSLSYHNLPPHLKFCLLHLSVFPGDYDIDKDRLVRLWIAEGFIQCEIPGESLFDLGETYYHELKNRLMIQPVYRRWDNETIEYCLVNDMGLDLICSKSKEEDFVTVYNDVYQTYSSEKRLSFQNSMVDHARHLTSMNMQQVRSLVVFPFAVNLMPALLSFRVLRVLDLQDCHLSHRYILKDLRNLIHMRYLGLRRTHIAQLSKEIGNLQLLQTLDVTGNGGASEQEVEKVEAALRNEIAMHHNHPSLEIHW >KQK93484 pep chromosome:Setaria_italica_v2.0:VIII:1460660:1461700:-1 gene:SETIT_027453mg transcript:KQK93484 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPASQDGENFAEVMVVRHEETSWSASRIIQLALRLSKEAKPAAVYSSDLKRAAKTAQTIAIACHVPNLVFDQSLRERHMGDLHGLKFDDAVSTKPEAYKAFSSDDRNQEIPVGGESLDQLSKRCVSYLNMIADKHKGKQ >KQK95529 pep chromosome:Setaria_italica_v2.0:VIII:35344758:35346453:-1 gene:SETIT_026294mg transcript:KQK95529 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEQHHGAADACVAAPLLPSPAPEPPRRNMFAFVCATLASMTTILMGYNLALMSGAELFMREDLGLSDEQVEVLSGSMNLFMLASILAAGWAADAIGRRGTIVLANAFLMAGALAMSLGGSYGALLAARFVTSVGVGFAVVVAPVYAAEIAPASSRGLLSSLVDIFITGGILLSYISNYALAGLPLRLGWRVMFALGVPPPLLLAAGVLAMPESPRWLALRGRDGEARAVLERTSDTPAEAGDRLEEIRQAVAAEVGGAGVWRELFVTPSPVVRRILTNVLVLYSFQQASGIDAIVLYSPLVFKAAGISSNSTVLAATVAVGVVKTLSIFVATFLSDRLGRRPLLLASAAGMAASLTALGITLLCAGVATTTAGAAACVASVVAFVTAFSIGMGPLAPTYGAEILPLRLRAQGMSLGIAANRLTCGILSMTFISLANTITMPGCFFLYASTAVAAWVFVYVRLPETKGRNLEDIGVLFAK >KQK94952 pep chromosome:Setaria_italica_v2.0:VIII:29079065:29082958:1 gene:SETIT_026777mg transcript:KQK94952 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATAAADVAPPTASGYLDPSYWDERFGKEEHYEWFKDFSHFRHLLAPLLSPSLSVLEVGCGNSQLGEELLREGVAGGITCVDLSPVAVQRMRDRLAAQGTKGVDVVVADMLDLPFEQESFDLVIEKGTMDVLFVDSGDPWNPNPTTVKNVMKMLECIHRVLKPEGIFVSITFGQPHFRRRFFEAPEFTWSVEYSTFGDGFHYFFYTLKKGKRSLESNSYQNIIPPAPRINMVHEELESEDFIFRTNVDEL >KQK94650 pep chromosome:Setaria_italica_v2.0:VIII:24038758:24039357:-1 gene:SETIT_027288mg transcript:KQK94650 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ESGGRAATACRGRRFVGVRQRPLGRWVAEIKDSAQRVRLWLGTFDTAEEAARAYDEAAHALRGESTRTNFAGRARYCGGGGGAARARLSKNLQHVMARVAAAGRATACAGVGEQFALAAVFRRCQQPAAAAPAPPQTPQQAEAARAAKHAVHPSFVVPRRTAAAPPPSSSALGAGDPWGADDAAELLRVQERSFNLSSP >KQK95436 pep chromosome:Setaria_italica_v2.0:VIII:34428733:34429800:1 gene:SETIT_027624mg transcript:KQK95436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEHDAMPPSPPCSAAADDGYSASIAETATGWHLLKVVGYSQFKGIGVARRIKSSSFLVGGHSWCVIFFPDGSSEETAEWVSLGLRLERLGSTDGGDVLVRTKYSFLDRVGEPIPSSTRTGTSWLTFSRTSQSWVYSQFIKREDMESSYVKKDKFCIRCDVRVIENCCQLSAAVPPSEMRRHFMDLLASGVGADVAFDVGGETFAAHKNVLAARSSVFKAEFFGGTMKENVATRVRVDGIEPRVFKAMLHFVYTDSLPRFDRGDELVMAQHLLVAADRYDMQRLASMCEFALCLFIDTSVAVSTLVLAEQHGCRRLKEACFKFLKDSGKYKEVLMGDDFEHLANSCPSLVDELCEKF >KQK94536 pep chromosome:Setaria_italica_v2.0:VIII:21292646:21293704:-1 gene:SETIT_026926mg transcript:KQK94536 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEYGQQGQHGHGTAGRVDQYGNPVGGVGHGTTGTGTGGMGTGYGTTGTGGMGQLGEHGGAGMGGGQFQPVREEHNTGGILHRSGSSSSSSSEDDGMGGRRKKGIKEKIKEKLPGGRKDDQHATATGGTYGQQGHTGMTGTGTGGAYGTEGTGEKKGIMDKIKEKLPGQH >KQK94247 pep chromosome:Setaria_italica_v2.0:VIII:11790760:11792431:1 gene:SETIT_026529mg transcript:KQK94247 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAGSQTIIAPTDAELLQAQADLWRHSLYYLTSMALKCAVELHIPTAIHNLGGATTLPNLVTALSLPQTKLPFLRRLMRLLVTSGIFASERDAEVETYRLNPLSWLLVEGVEAEDHTYQKYFVLGTVSRHYVEAGLSLADWFKKDLPASLPSPFEELHGVPLVHETTKLLDEELDRIVNEGVAAHDNLAIGTIIRECNDLFKGVQSLTDCCGGDGTTVRAIVKAFPDIKCTVLDLPKVIETAPAHDSVNYVAGDMFHSIPPAQAVMLKLVLHFWNDEDCVKILEQCRKAIPSREEGGKVIIIEIVLGPSMGPIMYEAQLLMDMLMMVNTRGRQRDENDWRDIFIKAGFSDYKIVKKIGARGIIEVYP >KQK95037 pep chromosome:Setaria_italica_v2.0:VIII:30155335:30158802:-1 gene:SETIT_028379mg transcript:KQK95037 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFNREEAIRARRIALKKLEKRDFFGAQRVALQAQRLYPELENLSQLLTVCKVYCAAEERMNRQLDWYGILQVEVTADDTVIKKQYDELVFWLHPNKNTLPGAEAAFKLVSEAHTVLCDHVKRSRYDIKRQATQLSDTTLANRSGVARHGKPYDLTVVFWTICPHCQKRFVYYQRNFLATCDDCGKNFFAFKLNEQAVPSTFLSAPPKNSQVSQEMVSCQQHVVPDRLVQFSKIHATGGSMDPTHTDEPMKCDGSSGGYGEVSSEARSNVVQCSAVNETHSSSASADKGTTGSVMLESLDHNIVANQNLSREDPSTVLNAAGSCNLQRLGKRKQDDCADSSPSRNSCNRKRQSKDSSLADVNSIEDKMYNDDVPGVGNQAAEDLPSTVDFQDEGDATHGGSQYKKEVADINISSQMHGNPMHDNPVITYECPDFFDFGKLRDVNMIAANQIWAIYDDHDFMPRVYAQINHVDASNHKVQLTWLEQNTMSEQEIRWTREELPAACGSFCLGETYVLQDPSMYLSHRVSWGKGKNGNSFEIRPKQGEIWALYKELSLQQSSDTDNHQPFSYDVVEVSNVSMNVGIVVSPLVRIEGFVSLFAEVKDRPRILIPSSELFRFSHSIPCYRTNEKENAGVGGLLELDTAALPCDFGAAFPSTTLDSYMDLSKKKVCPRGIDKHWLEQDIPVSCGKFGMRNTTSEHHETCAFSHLVITRCQIGPGRQVKIAPKAGEVWAIYKNWAPGWVPSCKDCPADYATGEIKMCTETSTLFAFLTKVDGYISVFKPDVQKGALEVPRKENLRFSHQIPSFRLTKENGGKLHGFYELDPAALPEVLL >KQK93732 pep chromosome:Setaria_italica_v2.0:VIII:3645544:3646493:-1 gene:SETIT_026988mg transcript:KQK93732 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSEEKHHHFFNHHKKDEDQQPAGGEYGGGYSETVAVEAVTAGENEYERYKKEEKEHKHKQHLGEAGALAAGAFALYEKHEAKKDPEHAHRHKITEEIAATAAVGAGGYAFHEHHEKKKDHKEAEEASGEKKHHHLFG >KQK93847 pep chromosome:Setaria_italica_v2.0:VIII:5047740:5049488:-1 gene:SETIT_027858mg transcript:KQK93847 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NKAFKGTFRSMSKPERMSLAFLKDVTCDFNQILGIGGFGVVYKGVLENGEEVAVKKLINSHVDLDDDQFEKEVAALFGLRHKNIVQLRGYCADSSKECVPVPVPEATGRNKHVLAEIRERLLCLEYMPNKSLRHHISDASCGLEWQVRYDIIMGICSGLHYLHTKHNIAHMDLKPENILLDHNMAPKIADFGTSRFFDTNKSQNMTKNPIGTLAYMAPEYKSHGSISPKADIFSLGVIILELMTGSKLPEYPLSEESVAHLPNEVVGKWRDRLSNAAYSQQVHTCVSIAIECVNYDKDRRPTTGCIIKQLNEVQ >KQK95475 pep chromosome:Setaria_italica_v2.0:VIII:34709374:34710391:-1 gene:SETIT_026990mg transcript:KQK95475 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFAVLRPSPVAAAAAAAAPSFSCVSGRASAVRVTSSSKRRVSSRAARSLSIRCEQSAKQGGGPAVWLGRAAMVGFASAIAVEVATGKGVLQNFGVATPAPTLALVVSGLVVGLAVFFILQSGGSRD >KQK95476 pep chromosome:Setaria_italica_v2.0:VIII:34709593:34710292:-1 gene:SETIT_026990mg transcript:KQK95476 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALFAVLRPSPVAAAAAAAAPSFSCVSGRALDFWLVTAASAVRVTSSSKRRVSSRAARSLSIRCEQSAKQGGGPAVWLGRAAMVGFASAIAVEVATGKGVLQNFGVATPAPTLALVVSGLVVGLAVFFILQSGGSRD >KQK94834 pep chromosome:Setaria_italica_v2.0:VIII:27839949:27840457:-1 gene:SETIT_028491mg transcript:KQK94834 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGPCRHPPLSLTPPLSQGRPWWPVRRAATHVSAAGPCSAANGVAPDVLVLAMSPMGRE >KQK93784 pep chromosome:Setaria_italica_v2.0:VIII:4348692:4350498:-1 gene:SETIT_027342mg transcript:KQK93784 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQTSYQLNRFIDVIGNSLCSCTGLFSSCNSHNGAIAAEYVKEHLLRNLIEHPKFITDTKAAIGGCLLVANVGDSRAVICKGGREGLRVGGVVPVSQAFDDKLLKQYVVADPEIKEVVVDSSLEFIILASNGLWQVVTNEGAVAIAKRHIWDPEESAKELLKEAYKRETSDNTTVVIVRFLHENSELPSI >KQK95999 pep chromosome:Setaria_italica_v2.0:VIII:40382062:40386118:-1 gene:SETIT_026708mg transcript:KQK95999 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRYSPAYHSPPRRGHGGRGRSPPPRRGYGGGGGGGGGGGGGGGGGGGGGGRGGRGGDQGSVSLLVRNIPLRCRPEELRVPFERFGPVRDVYLPRDYHTGEPRGFGFVEFVDAYDASEAQYHMNRQMFSGREITVVLAADTRKRPEDMRRRMGPRGYSDHEGRRPSRRGRSRSRSYSRSRSPRPRGRARSRSYSPAPRRRDDYSASPARAKEEHRRTSKQPKEHDGDKKRRSYTPEDRNDRRGADNGHDERRKSPVAAAEEDEEPRGGRRRSPRPSVSPPGSRSRSASPASSG >KQK95219 pep chromosome:Setaria_italica_v2.0:VIII:32209723:32214414:1 gene:SETIT_028081mg transcript:KQK95219 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLAIVLLLLSLSAMATTTTEPWSICDNTGNYSANSRYQANIQLLSSTLLTNASSSPLRFAMSSVGTAPDTVHGFALCRALRQCERNKQVIVFYDSCLLRFAGQDYNSHVSTPTTGGRACPVMTAQDAAVSVLPGWDPGEASSVAACTPDLTPSTCWDWEYLQTIEDTATKNFAGLHDKAKMNVKEDEEVNCGLEGRSSEFTMYNFSQVVEATSNFSEENKLGQGGFGPCQFPDGLEIAANRLAWQSGQGFTEFKNDVQLVVKLQHTNLVKLFGCCSHGEEKILIYEYLPNKSLDFYIFCTSSWNNMSSRVEWNKRLVIIDGIAQGLMYLHKHSRLRVIHRDLKASNILLDYQMNPKISDFGLTKICSTNDTEGNKQRIVRTYGYMAPEYASEGLFAIKSDVFSFGLLLLEIKAWQSWKDGLWVQLVHASLVTTTREIASTIGRCINIGLLCAQENAADRPTMSHVVAMLTSKVMTLPEPKHPAHFHIRLGN >KQK94238 pep chromosome:Setaria_italica_v2.0:VIII:11594118:11598874:-1 gene:SETIT_026625mg transcript:KQK94238 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMNLVSFETPPLGRKERANTTITATAATRANEASSSSTCFHSNLDLSLGISLSNGGGGCDATGCSGIKAGRQGSGGDKNIPLGCMTSGTTTTTATANVLTARHCHVSDLNAGGGWTTAFMPSPTGFMHPWSLAARQQKAAAEQDRTPPATYVPSDARVVPLPSAVGWPPVHTSRRNIVTSMHVTKTGGATVAADGPKSSTTTRTGGQKDVAAPTDSTVVATRPPANMFAKVHMEGYTIGRKINLRAHCSYDSLSGVLTKMTRNFFCPADCSSANTGEEDLPSSDKFIFLYEDFEGDRMLVGDVPWELFLSSAKRLFIVRNPTSRDKV >KQK95946 pep chromosome:Setaria_italica_v2.0:VIII:39929395:39929885:-1 gene:SETIT_026993mg transcript:KQK95946 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERRRRREGRVDEHVDGEAGGVLAAHWILQGHDCPEEDGVVVGGNHAWLPQAAFELRPARPVEVQAGHRGRVGDPDGGADGPVGAGVGDLRRCAVRFVLHVPVGAVDEAVEDLPGGEGGSPREGKGSRFGIHGVGI >KQK93508 pep chromosome:Setaria_italica_v2.0:VIII:1591100:1595508:1 gene:SETIT_026425mg transcript:KQK93508 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMVVRACMPLPPTTPPAAAAHSTVGEAAAATRSARVLLLGGTGRVGWSTATALSKLRPDLNILIGGRNREKGKYLASKLGKRSEFVQADIHDASMLEEALNGVDLVVHAAGPFQREDRCTVLEAAISTKTPYVDVCDDVDYSWRAKGFHEQAKASGVPAIITAGICPGVSNVMAAELVHAARSKKVCKPERLRFSYYIAGSGGVGLTTLASSFLLLGKDAITYNKGEEIKLKPYSGVLNIDFGKGVGKKDVYLLNLPEVKSAFKYLNVPTVSARFGSDPIFWNWGMHYFANFLPIDILRDKNNVLKLVEAIDPIVRTIDGIVGECVSMRVDLQYSNGQNILGLFTHRKLSLSVGYAAAAFVLAILEGNTQPGVWFPEEPEGIATEARKLLLERATQGATNFVMNKTSRMVETGRN >KQK93973 pep chromosome:Setaria_italica_v2.0:VIII:6957600:6959588:-1 gene:SETIT_026245mg transcript:KQK93973 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEDKALLAAVSVFALLVVLSKLKSLLVTKPKLNLPPGPWTLPVIGSLHHLVTSPNFYRAMRRLAQKHGPVMMLRLGEIPMLVASSPEAAMEVMKTHDIIFADRYRNPTINALAFDGDEITFAPYGERWRQLRKICTLELLSTARVQSLGHIREDEVARFMENIAASAGAGAAVDVTKMISRFINDTIVRESVGNRSKYQDEFIDAMHTALHQTSGLAVADLFPSSRLMRFLDTAPRKVLACRKRMERIVEQVIEEKKEAMDRGDDGQAVAAHDGFLNVLLRLQKERSTPIPVTNDIIVKLVFDMVGAGTDTSSTMLNWCMTELVRSPAVMAKAQAEVRKVFKGKSAITEDDLKGLSYLKLVMKEALRLRTPGPLLVPRLCRETCKVMGYDIPKGTVVFTNVWAICRDPKYWDDPEEFKPERFENSHLDYKGTCYEFLPFGAGRRICPGINLGVANVELALASLIYHFDWKLPAGMKPEDIDVMEVPGLVARKKTSLILHPVTRIHPVNA >KQK93563 pep chromosome:Setaria_italica_v2.0:VIII:1933007:1935660:-1 gene:SETIT_026584mg transcript:KQK93563 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGREGVAVAGGHESGHGLFRADITMAEAQEAAKGYQSSPSPSTSPTSSPPPAEAGHGGDATATPLAWSLGGDKPSEAAGDNGMQTAGQSEQANLSSGRRRGRPRGSGRRQILATLGEWYAMSAGGSFTPHVIIVGTGEDVAGRIMSFSQKGPRSICILSANGSISNVTLRQPDSSGSTFTYEGRFEILQLMGSFTMAEEGRRRTGGLSVSLAGPDGRVVGGVVAGMLRAASPIQVIVGSFLPNSLKQHQRRMNLQQQASPAPALPAPVVPPPLLTAAMPISQAAPGNGYHGPPASAASPQPHATVEHGAMNLNTTGFTMVGWPASSPPMAHRASPDINVSLTPQE >KQK93230 pep chromosome:Setaria_italica_v2.0:VIII:81588:82392:1 gene:SETIT_027626mg transcript:KQK93230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYPQHHWGLAGSHGHHEANCDSNAILSYRPDTLLANLADGGASFLPSPPPSGYMLPLPPLVPGQLQMSPASSGLAAATSPFRRAMSTGDLLIRDRDRDDREEEQRVAAAAAPGRYSAEERRERIDKYRSKRNQRNFQKKITYACRKTLADSRPRVKGRFARNAGDGTEADLQPAAAAAAPPQSESESEWWPAAQHEAGMDLDEDMLAAYYLGVSSISLYSPSASTTLPHHHYSYQP >KQK95060 pep chromosome:Setaria_italica_v2.0:VIII:30351938:30354773:-1 gene:SETIT_026011mg transcript:KQK95060 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGSQSSEEASRFRAFGNGVSGAAQESAGDRELHDVGIASQAAGAYLNGRRSFPSEFSMYDTSVDGSNQHFQGLSHSGSLHDEQSLASAFEGMTLSLQARTNDLPTSHHNVGLTNGHYPSGHLDVTLNHMPATRQDDSLPLQFSAAHDKQNSDIEHREHGYGFPPHLGKFSRTSALQSFNSNFGVTYHPSTASASPFQQQCYVDGQSQMYRPNDQNVSSNFIWPHDIGVQPYSIIQPHYVCPQMQQVSGFDVYQHRSNEHAAVCTPANVPSSHIGTPNSHGLENGYPYFNVAAFQKRYSNRLNNAFTDGFPSTSYSESSCGSGDFRHFQQAERFFRPSGQGFSHHQQTDNLVHSYGLGLSHHQTSGRFNIVSYPERTLPSHDVGNSTGVIKFSPSVNGYADMDHRINGYGHDHLGIQSNNPMLQLLSPKTELTVDEVVGRICILAKDQNACHFLLKMLTEGTQEDADKVFYEIIDHIGELIVDPVANCLVQKILGINDRRMCIICEITKAPAELIKVCCNPHGTRVMQKVIETINTTEASMVVTALSHGIVRLMTDTNGNHVVNRCLDTFLPEHRAFIIEAAASRYLQLARDRHGCCVLQKCIEHSNDEQRNDLLSKITSSALRLSEDQYGNYVIQFILGLKIEWATARVVDELAGHIGHLSMQKSGSHVVEHCIMQAPQLMSDRIVNELKNDPRLLQIIIHEYGNYVIQTVLRHCQGERHVAFVEAIRPHAATLRSNMYGKRVLSTTYLKNKHHRFGFC >KQK94974 pep chromosome:Setaria_italica_v2.0:VIII:29416884:29417590:1 gene:SETIT_027669mg transcript:KQK94974 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSLAALLLLLLVGTVASGYQYEEGSVGRTFFLQHDLYPGSNMTLHFTRAAPGAPSLPRARADAIPFTSAKIPEILSLFSIPAGSPAAAAVRSTLAECEAPRFPGVAAQRCATSFESMVDFAASSLGTRGIRAGMTKLSREGATPRQAYVVESVVPLPVIGRDMVACHCMPYPYAVFGCHTTTAAVFTKLGVTPGTVAVCHFLPQDGKLWMRK >KQK95575 pep chromosome:Setaria_italica_v2.0:VIII:35720474:35720682:1 gene:SETIT_0280041mg transcript:KQK95575 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein NVTKRDLNKMETRFMKQRERILNGYYTKGYAVEEVAAATSTPATLGRRRSRHGVTRQAAAGKTKKLDC >KQK94023 pep chromosome:Setaria_italica_v2.0:VIII:7847340:7847820:1 gene:SETIT_028482mg transcript:KQK94023 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELTLGRRYPSGATAADPSGALLLGAAAAAPQVRRRGSPSRIPARHCSAEALCRGSTRAATWGVPLPWILPRRCTSPLRTPLCLHNSPRCCCRRAASRGGEKGQP >KQK94411 pep chromosome:Setaria_italica_v2.0:VIII:14863392:14864559:-1 gene:SETIT_027129mg transcript:KQK94411 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERTINSLTYKGSIPDAINESRREKKLFVVYISGEDEASSSLEQSTLVDENVVEMIGRCCIFLHLEQGNVDASQFSAICILLCFF >KQK95491 pep chromosome:Setaria_italica_v2.0:VIII:34996782:34997393:-1 gene:SETIT_027215mg transcript:KQK95491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSIGSNQHVWLQHVSGSITRSRHQVEPSTQPSMVPSEPSVAKVIRSGAEDLKWKCNRVMMT >KQK95094 pep chromosome:Setaria_italica_v2.0:VIII:30724974:30725904:-1 gene:SETIT_027115mg transcript:KQK95094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLNVNPATAAQCSCCVSARAKACCFACITAGGSDSLCKNTCCFPCVLSDSVAAKMEEMAVLAKMEEAGQA >KQK95434 pep chromosome:Setaria_italica_v2.0:VIII:34419211:34420010:1 gene:SETIT_028191mg transcript:KQK95434 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARFQFSLVDQIREPWHVKDSRILRSFSRRTWWWGFSEFFLREDLESSSHIKDECLKIRYAVTIVKNIRTEVTTDTELPVVPPSELHQHLGDLPKISRVKGDVKFKVGGKKFRAHRNVLATRSSVFMVELFGKMKEKKAACVRIKDMEPGVFKAFLHFIYTDSVPEIDEGDKMAMAQHLLVLICEVMLHDYVDTDNAATMLVLAEQHGCHGLKQACLRFIASPCNLKVVMASEGFEYLTRSRPSLLKELATNIVV >KQK93347 pep chromosome:Setaria_italica_v2.0:VIII:673784:677218:1 gene:SETIT_026353mg transcript:KQK93347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPRARLVNLGPSRSCWPICPNTSPAQAFCTRRRRRRKVALVCNGGGGEVMRSLAVTSLPPFFPPFPWRRENQESPGHHHHHGHDGSPISSKVGCLIEILFSGGVACCAESASSYSYTSFKTINEPKLGLWQTLASKAKGILDEDALAHKFEDFRKERPRSNSGSSSGDQAPQSRWSFENHWKTGEAAARIRPEALSASVNQLGGRIKNALEEGLTIVDNKTSSIIEETKKIQIRRKPTSSSSYVSNSAVHTVSTPNLSLDQAKSAAEETQLKASRDVANAMAAKAKLLLRELKSVKADLAFAKQRCAQLEEENKLLRETKQKGSKTEEDDDLIRVQLETLLAEKSRLAQENSTYARENRFLREIVDFHQFSTTQDVVSLDDGDMEDDVPEEDSNLICTEYALPMVEENSGGEELSPVPSRPESPMDGPEEPSSPISSNFQNASKPDASTSDTA >KQK94143 pep chromosome:Setaria_italica_v2.0:VIII:9659739:9660096:1 gene:SETIT_028592mg transcript:KQK94143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLPGQCRRPAQGHGLSLLGHGEWPMDDSEDGPRLIQDDIK >KQK93387 pep chromosome:Setaria_italica_v2.0:VIII:884038:884528:-1 gene:SETIT_028466mg transcript:KQK93387 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEQNQEESDGGDKVDEDDDEELEDDDLLGDDLGGVKEGAPQEPDSVVTRSRRKVMKLESKMAVVGTE >KQK95038 pep chromosome:Setaria_italica_v2.0:VIII:30180614:30182719:-1 gene:SETIT_028127mg transcript:KQK95038 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLAPSPTPSPHTKDCRKDYTATVEKYVILAVLAMFLLHVLGSLRRRSSHTLLHSIVMGVYTLSYPLVGYIVGLMKSSNFYYEDFTVWAVFLLLLLGSADNLTVCRLSDVDNWKSIHVKHILKGFWLVLIILIICKRHDVIYRYPLYAIVLVVILKGYVRIASMRMVSKSYLCKKVKVIAEYMQQQHKDNLEESFDPVTMEGYRYIVAGEKYCIKRQPGCTPWYKRGDFVTVEKIWQCTGRLLVLERGKLLKDLCLSMALSKMLNRRFVGFRLSEAGHDKTRDFVFKGLLAGDKPHQRAFRVIEEELVFVHDFYYTRYSYLYQKGRYIALSLPIIMLGLCLWLTYLLVKHFKSGSVLVATIFVTVVVAFLEAYQLYLYISSGWFKVALIQSYINTPFLRRSRCLEMIIGLLLRLKAFAPWKRNLGQYCILQELGRKSRVRNCLHYATLRLVDKASKNGLKKSEKLSENVKKAIVDSLLRSNGNLTNGVTSLQNNGVSCLSWACDANTTDGAVARTIVVWHIATTLCEQKLDKKAKEEDAVKTASTLSKYCMHLLAFAPNLLPDHSSVSESILDQSIDEASKLLKEGKNKKIKGKNKKIEGRCEILMEINTDDGCVRDEKKLVAKGVHLAKQLTDNIHDFTTRWKVLSDFWAEMMLYVSPSDDAREHLEVLAKGGEFITHLWALLTHAGVLKRGPTGPKDVV >KQK93649 pep chromosome:Setaria_italica_v2.0:VIII:2691160:2693286:1 gene:SETIT_027560mg transcript:KQK93649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVSLFLQVFLFLFAGKRMHWNKQLGQMVLWLAYLSADSVATFVLGQLAVRAIEPSDQGLMSFWAPFVLVHLGGQETMTAFSMQDNELWKRHLLNLVIQAAVAGYVVGKASWPDRRLKAALVLVFVSGFFKYAVRTWYLFLARPNFLKSPKSWMIYGQGKTSYEDKREQAIIDMEKVLHRLSKGSTERRPLFVESFSLTIDIMAGDAPLNTVGSITLAETGKLPGMLGEFLSRDDHHNAYEHVGTLLVECYSRLYTKCYVLGSLTDGLRLVLRKRNMVPWYSRLYSVQFIFQSIYFWVTLFPYVAMPIALVLFAIAEKGDPLLHSSRGRVDIMVSYLLFVGAIVLDVSSVVSNIFSRFRSKCCSKKNQWSQKLNQYNMTNSAEVSECLRSIQEKCGCEVHDVALSMPIKEFILDTLLVSGTRKEWNIASTRGQLALHHRKATTSTLRALEESVRTGIDFPRSVLIWHIATDMCFRYSGEFDAATTYSADGLLKKHCYKQMSRELSNYIMYLVFKCGDLKDAVITKLLLSEEIKMEREESKEQVEIIKVEHEGESNKEEGRDEINDQIEHEESANDDNNDAAAEDYIKKFFQSAEAHSSLLPWAREVAQELISIKDEAERWDLIAAVWAEMLYYTAPRCGAAFHAEHLAKGGEFVTHVFVLMYLLGPFMPPAPGA >KQK95423 pep chromosome:Setaria_italica_v2.0:VIII:34354615:34355691:1 gene:SETIT_027621mg transcript:KQK95423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWAALGWAPAASRSIAPPEGTSELVAKAVSGSHVLTVNGYSQTKGVVYGDFITAATFAAAGHRWSILYYPNGNSYDNSDCISLYLKIDPSTCGPDVVKARFRFSLLGENGEPVPGYSKSSEFFATMIEKRGIDRFIKSFTLELSGCIIDDCFSVRCDITVLKVIHKDKGVAAERFVVVPPSNIDKDLGHLLSSGKGADITFVVDGETFAAHRNILVARSPVFMAEFFSPMKEKAAECVRIDDMEARVFEALLHFVYTDSLPEIDQGAAMVMAQHLLVAADRYSLERLKLICEDKLCNYIDTTTVGTILTLAEQHGRHGLKKACFQFLMSGNNLNAAIGTDAFDHLTNSCPSVLKELLAK >KQK93844 pep chromosome:Setaria_italica_v2.0:VIII:5002499:5003319:-1 gene:SETIT_028126mg transcript:KQK93844 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVESMWYGHGFFSLPLFLGDGINYGEISDGLAVRYVFHCLIMLKSGWCAWIPFLSSSLLVDWVLPLRWQASVPVHCDGVTGDGHLPERSVVQVHGHLLTVPLLPFSKIEASSSARESASNLFLGAFLCFLRWGSTEQRRIQPAAPGTSRSSIEGLQSNLFFFEGFPCKELNSI >KQK95492 pep chromosome:Setaria_italica_v2.0:VIII:35018468:35021666:1 gene:SETIT_026836mg transcript:KQK95492 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFCSCLQPDYSDDHGNHTSSAFRNCVCLRCFTQQLINAYTVLFRAGAVHSVSQAIEATPVDSAESSFDTYRSPPRPLPYDDPRFSPPARNWLRHETPSHSPEESEPLRANDDEEEMETPSRIDKASKTNYDTKMKICSSAYGDKVPPKEHGSYFSYFSPTAEDEDVCPTCLDDYTSENPRIVMQCSHHFHLGCIYEWMERSEACPVCGKKMEFDETT >KQK94062 pep chromosome:Setaria_italica_v2.0:VIII:8321659:8323019:1 gene:SETIT_026720mg transcript:KQK94062 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTNGYLFREYIGAQFTGVQFSDVPINAFVSFHFILSFAIDYTPVNQQPTPAPTNGVFSPFWDTGSLSPAAVAAIKAAHPNVAVMAGLGGDSVQDVVKAVFTPTSVDSWVANAVSSLTGIINTYRLDGVDVDYEHFAAGADVGTFVECVGRLLTELKKRMPNIATSIAPFADTEIQRYYKPLWSKYSGVIDYVNFQFYGYGDNTDVAQYVRFYDEQVGNYPGAKVLASFKTGNVTGLISPDLGVSAAKELQRQNKLPGLFIWSADSSKKSSYGFKYETEAQQIIANH >KQK95310 pep chromosome:Setaria_italica_v2.0:VIII:33241244:33243091:1 gene:SETIT_028369mg transcript:KQK95310 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRNEQTRDILVQKCGGLPKVICAVAESWRMVRDIEVKDNLVSKLEANAPLTTQSLEGTFSWLLSYFRSCPDYLKPCIFYLTIFPVNHTIRRTRLVRRWIAEGYSRDNKENTAEENGESSFSKIVNLSMIQAPRTKVDYMRMSLCQVNGFLREYIVSQLMEENLVFALEGHCKKNIQRTGRHLAIDNSWDRDKNVFESIDLSLLRSLTVFGKWETFIISDRMRLLRVLDLEDVSSGVTNGDVEKMVKLLPRLKFLSLRGCREISRLPDSLGDLKQLQTLDIRETSVIKLPKSIIKLEKLQYIRAGTAKHHQASEAAENPSVAAAENPSAAAPISRPCATLGSKLTIHRRHGSHSGIKVPRGIGKLSSLHTLGVVNIHASGEDGILEELKNLTQLHKLGVSGINRKNSEKFFSDISRLVHLESLSLKMQANQDNEAAGLMADISSPLEKLRSLKLYGLVDRLPSWIMQMCLQLPRLEKVDLQMKTLPQQELDFILTLPYLCSLRLRLAEFQGGELRFGWSIAQSSGEWIIDFLEIACNSRLQAVKFGSKVYIEILKIRCSSVSSSLQFYGLRSMSSLKEVLLSGSYDHAFEQHLERELKENKNGPILKPEQPSSST >KQK93773 pep chromosome:Setaria_italica_v2.0:VIII:4243723:4245468:-1 gene:SETIT_026498mg transcript:KQK93773 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFGKKAKQAVEHDDDVHSIKVFSYSELRKATQDFSGANKIGEGAFGSVFRGVLKDGTVVAVKVLSATSRQGIRDFLSELTTISNIKHKNLVTIVGCCTEGSPGILGSHRILVYNYLENNSLAQTLLGDKLFCLMPAPIVNIYLRAKYSNIRFNWCARVSISVGVARGLAFLHEEIRPPIIHRDIKASNILLDKDLTPKISDFGLAMLLPPNATYVSDRVAGTLGYLAPEYAIRGQVTKKSDIYSYGVLLLEIVSGRHNTNTRLPSEDRFLLERTWGLYEEGRLEEIIDTSMGDDVDVEEACRFLKIGLLCTQEAMARRPNMTNVVQMLTGEKRIPVDKIFRPAMITDFVDLKVSSSTTTKSSFTTTEPFSSGTPTQSSSV >KQK94644 pep chromosome:Setaria_italica_v2.0:VIII:23916673:23917205:1 gene:SETIT_027321mg transcript:KQK94644 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MESSSKLDDEQWLAYWILYSFITLMEMVLQSLIYWIPILYELKLLFMAWLVLPNFRGAAFIYNMFVREQVKKHNGILAATDADGVSNNVSADKDKITLISPKEKKAKRRLLSMVIPKKLRF >KQK93617 pep chromosome:Setaria_italica_v2.0:VIII:2320361:2321572:-1 gene:SETIT_028012mg transcript:KQK93617 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DHVPLWSDLPPEVGGLILCRLPSHVVDRLSFGPVCRQWWRLGLAEQQHLPPALPWICLNVSPSTADCPDRGRLLPRAFTGAGEVGPPTDMKDCFCSLDGCWVLYEFYGASTARVLVNASSAGAAATKVPPRRRLHDGGGTAPAVISTASLKRKMMAPQPDGYRLGPPMWLSSLPPYTRGHGSWYQDVAFHRGKLYALTAMDDLFALEVIDGEIAGESQDEHVIKASESSSPPTTDLQEERPSKMRYLVVSSCGGKLLMVKWSVPRRLNEGTAAKVLEGIKMKVFEADLGMGRWLEVDSLGDDQALFVSRGCSRSLRLTTGDVDDERFQGNRVYFLGIDLPTCCKEALCPDYGCEACDDYSPLPSYGFYDLRSRTSSPVFLDGKTKDIIPSSAVFSAEWSFPWQ >KQK94712 pep chromosome:Setaria_italica_v2.0:VIII:25541084:25543714:-1 gene:SETIT_026409mg transcript:KQK94712 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGPSIPNKYTIGGKGRKRRSIIQEVAVFVPTVRIPVASDIVHPLRGIVSKDLVDRLSTLRAHVVELAEEIYCADVSAVSELQHALEEYLPVVLGLTVKESRLEPSVQFRWKTLDDDQECCLASAWYEVLSVVHMMAMLALFEANLTLIPKNAQAGSERKVSEDAKKDVVNALLRASGCLDYSVRRILVQIPAQVKKSFPSYLQEGMLEAISIQALAQCVEIQLGLASECEKATLSVKRRLACEQVSYFSQAHYCLSGCDTSDSYGKKLLLFLKWKCMEAKAVAYYYHGLVLDKGGETANHISAVCCLSAAEDLLSDSKRACLSFCLANPVTRVPPPWGVMKNMHKKIPDVAYKKFQVYGHLFEQDKNSALQSIPDLPEFALSLRPEGYELPSTDSIWENVNGQPQIQSLKEHLNDDEDEVDTK >KQK93714 pep chromosome:Setaria_italica_v2.0:VIII:3513772:3517741:-1 gene:SETIT_025829mg transcript:KQK93714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELATGAVNTLLGVIRNEARRLGRVQGDVLFIQEEMESMRSFLVHLARTRREEHDEQVRTWMNQVRILANDCNNCLDIYLYRRNPDFHRPRRGLKRYLWWGWAFWWLREMVARHRAASQLRELKDRARDVGERRLRYGVKVSDGTPRRSSSPGAVADAGAAAGLAEAPPHRHMEAVTEDDEEEEKHEGLEDGDHELVPTTDVGSSASTKVKNYFKNRLLDWIESLKTSETPSKPTLPIPSITFALPNAAEVPEDMIAREALVEAQRHFRSVLVDIPDMHLEDYYHVPLRPKEILFYILRALRDQEPQDQTPALPRNWDIYLDKKDLLREIKEGMLEFVDEEMVAIYKRIGNQMPHDKEIMKDKKKLEKDIENGKLGLDDLLWLLITLTVAVAEQEDQAWKKTAIRTLSRRYDSIIRTAATKLKEVLSNRLKNMKQASSEQQPRQHHQESTGSKQQVELDPEEYERILEEVFPRTATSPGTGSSSSVEAEIKEMIYTVKDMIRELQEYNKSAQNNQETGGQTQIQKPAFQEAARKKIGEIKLKIREQLKIKKIMDEIQSNLQADRILIILKTDQKYGWEATRKTLSLLGSSGCFAGAAILMTTTRRSTRQAEEHLQYPQPELIELSVVGCYLDIVRQHMSKHMHQDNLEIVRNILEDCEPHEFCMNIFAQAIKANPKKSTEELHKLHSILQNMPKRLPSSIARKVLKFSYSDLPKQYKSCLLYLAIFPPASEYTIKRTTLVGRWVAEGLITTEDWSWFSSVTEAENCFDVLVNRQFVHPVEIGATGRVKSCTVYPFVHRFITKIAKKQHIVEARLSLHLARHFSIFNDVRLRGSDKIETFLKKNMHDLPQFSKLKVLDLEGCHCFANKGYLKDICSKILMLKYLSLRDTDVNQLPREINNLHELEVLDIRQTKIHASATRHVLLLKLKRLLAGHIIRTGPGTAKDVFVEIPGLVEKMIDMEVLSNVKPRIRRDLRDIGSLYQLRKLGVAINKDSLLQSLLDAISDLHDCLRSLSITLPIQNRAPSGTELKLKSHPKSLESLNIRGSTQKEQLLPLLVREDSKLTKVTLSRTLLSPDDLKVLANLQNLVCVRLQHAAYTHSELTFEHEFVKLKVLIVDHSGITKINFNGKCPELEKIIWSLNENLCVRHPLSGIEKLRGLKELELISDIAPEVDEEFQKYMNEKKIIYKHKKRENQDQAQGNNAREEKHGVIMLMDLE >KQK95327 pep chromosome:Setaria_italica_v2.0:VIII:33376178:33376617:1 gene:SETIT_027194mg transcript:KQK95327 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFKEDEVSLIAHHLFHELLDEFAFGNHHYTFHFKEIFGTSMLCTIVCAQLIQQAPNKVLVFERVDQLH >KQK93249 pep chromosome:Setaria_italica_v2.0:VIII:153015:159005:-1 gene:SETIT_026017mg transcript:KQK93249 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVKIVEDVFRKMPDPASTVAASRSHIESGHSVKPKKKPDNSAELNAKVRCPCGHSKPNDPMIKCVDPQCNVRQHVECVVIPENEKSAGNISPDLPSCFYCEMCRISRADPFWVTINSLLLPVLIGPCTIAADGSYTVQYTAKSFQLSRANREMLQKAEYDIQVWCILLNDKVPFRMHWPLHSDMQVNGIHVRVVNRQPSQKLGANGRDDGPLLTDYLREGPNKISLSRNDSRTFCLGIRIAKRRSLEQVLNLVPSEQDGEKFDDALARVRRCVGGGTEANNADSDSDIEVVADSVSVNLRCPMTASRIQIAGRFKPCAHMGCFDLEAFIEINQRSRKWQCPICLKNYSLENIIIDPYFNRIASLIKSCGDDTSEIDVKPDGSWRVKGRVELKDLTQWHLPDGTLCVATDTAAKPKMCIVKHEVKEEPSSEEVGCRLKLEIRKNINGQWEISKRGDSDSMLSSSNDHTRHMENKNCINLTCSTDDTDIGGEYNSEPARNDYPMTHVHDLDSSPSDKNAPPPSMEQDVIVLSDSDDDAVTVLSPSTVKCGSAHDTGNLFAPNPPETSGVCGEQLGGCPNETSFLALKEGFDDLGLSFWERPLSPRDDPTYQMFDMGTRVTDNPGEVDEPLYGGDLGVTAVAANPLEDGRDGALQACTSSERDGAISVANLGDRTQTWGDGHPENRTAGSISGAGDCLTNDRNAPQKRRNPGSGTTALDGAAVGSRNGDGVSGAASEEQRSVRLRLIISIDSESDD >KQK94620 pep chromosome:Setaria_italica_v2.0:VIII:23620131:23621074:-1 gene:SETIT_027824mg transcript:KQK94620 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SHRRPRCKDSWHFSVWGAWENLSPLLRLEELGNLDHAQDPGNVAQRRKESAKVRLRELEEVAYDMEDVVEYEYEVNRHKVEALERSYGVRNTGKQEYPEVNHLCYISTRGCCGHPTDPDEGVCPQAKDAAARLPTLFRPPSALGSRLGSRSMRTAAAGALGPPAATAASRRQRYWHA >KQK95836 pep chromosome:Setaria_italica_v2.0:VIII:38766619:38771776:-1 gene:SETIT_027462mg transcript:KQK95836 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVGVGEFLASAALKKVGGMLGSAIWEAIASQLKLGDELKGLKDTVDTIQNSMVRAEKRLMKDGDVCVWMRKLKAAAYDMEDIIIGIGSKLKAMKQRLDDIEKLRQFDLMVDTSSDDQDVIQTRATGPCLVEGILGRDKDKVELMKLLQEDCKHIIIPIYGFGGLDFDIQIWVYVSTSFSDEKIGRSIISQVDGQSNQYDLSSVQMRVEMILRGKKYLIVLDDLWEENTGQLEKIEAMLKGGAPGRKIIVTTRSEQVAKRLNRELPFKLGALPYDDCWKLFKAKAFPNGIKESEMAKVHMGEKIVKKCGFIIEKRELIHKWIALRFVLTTHRAEEYLLELCQMSFLEASSDSISISARYSKLNNPHMHNVLFKMHDLVHELARSVAIEEVAICDGKQRSFGKEDNYRYTLLLNFKGQYPKCKDTPFKARAAHFSGCTGCQPSKGAFSETKWLRVLDFPRMQTVQLPSSMQNLHHLQFLNLSENTSLKKLHSSFSEKLKLHSSTSICDFQKLHYLDLHGCSNLSELPGPIHRLQVLEHLDLSGCTRLQKLPSRFGELRKLSFLNLSCCSKLEMLPDSFSLLENLEHLDLSGCTSLQKLPSQFGELPKLSFLNLSCCSKLEMLPDSFSLLKNLEHLNLSSCCQLKQLRTLSFNRMKGLLYLNMSGCTCLEALPEFCVGNNGCLNLEILDLSDCARLIDLSESCARLNKLRFLNLSGCPCILKIICFLGKFVNLEYLNLSALSGFDVRKDSEAPSSSTQHSSDYSGEELSLKMLHDTLKNMHRLEYLSVGGIDLLTLPDFVVSESGSSGDCSNIILLQNILDSTNSELNIKCLEVVKSAEEAKGVQLGRRHRLASLSLEWSLLEWSEEPKVTTMDVLENLKPHPALKHLTIKGYNYSMFPSWMRKICSTLPNLVKLVLSDLVECDQLPTLGNLSNLEELEIRNMPKLMEALHELEIDCLEMFDRFDELRCMDRLAKLTLSSPGNFLDRDKAAILQAIPHIQCIKINE >KQK93612 pep chromosome:Setaria_italica_v2.0:VIII:2289426:2291009:-1 gene:SETIT_028215mg transcript:KQK93612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDTAPQTGVGKGGGGDRPGALERTPALIKKSVRALPPPPDRLILLDGANDDDKEDPVALALHYRGIYPDDRFDPYFDLFIYQRPFKEERLQAWMKFPLKLHDAGLVAAADSIIRWGPGLSGRYVDVTGEIDRILDVHPGPIACLRVDSSAFPAPERLRRWIDILTAREVQEVILLNLTRPEDMEAPLQHLRSRRLTFLAIGFVNIRACLRHAMEAAYLDGHAWSSLKYLTLTACAFDGSDLSQTVHDLQGLKTLTIRSCPLTEACNEDGLLIASPSLVALQFYSCTADHKVTIGQAPALTRLTLGVVPAPKAAAARPPPLVQINIMFCSILNTVDQVDMHQQNIVIRSEYMMRVSRQQVLILPFVRTLGVAVELATAHHALDLLSLLRWMPNLKDLTLRVCSLSICHVSLLDPSELIHQSICNVLCAACRQGHWQRRVPRHRLSPLPSAHPGAPQDAAIQRRECRDNLCVGGSCCQPLPRFTDGGAAPTHDASASTG >KQK94848 pep chromosome:Setaria_italica_v2.0:VIII:27990463:27991877:-1 gene:SETIT_027596mg transcript:KQK94848 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQKTLDVADLPAAAEKWPRHCIFRVPPRFRTVHGGVYKPQTVALGPFHHGDPDLAPMEAHKRRAVARLLRRAGRTLADLAASVEEVAEDLEDAYAGLGGEWRGENRGRFLEMMVADGCFLLEVMRRRSGDYATADPVFSRHAVGHIAPFVQRDMLMVENQLPLLLLHRIAAVEGGEASDESSINREILKFLGVDAGDARAGPSPTMVVLHPLDLYRRSLFGTLYNHKKEALADPSTVARAAPRSAQRLWEAGVRFRCRPGVLDDIEFDNGRRRLEMPEVALDDSTEYKFHNMMAFEALHGGGATGNDVTAFVLFMRDMVDSAGDVALLAREGILWHDLAGGDAAVAGLFDGLTRDVAKTGESRLCAVRDRVERYCNESWRVFVFESWAKLRNTYFTSLWASVALTVSVFLLITDVMQTAYAVMSYELTKHRHG >KQK94965 pep chromosome:Setaria_italica_v2.0:VIII:29303404:29305291:1 gene:SETIT_027869mg transcript:KQK94965 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDNAALTVLVDRWRSETHTFHLPFGEMTITLEDIAMVFGLRVDGRVMTRSIEPVGWRDRVHLLLGVRPEDPPENVKDSKTTEGVLARYAHAWLWHMVSGFTISWMWLPIIHQDFTISWMWLPRIGQDWDNIGTYSWGIATIAWLYRQLCDDLPYHDDESLATVRYLWSIISTIHGNPRWCYIEYINAIDYLRSSHVMQQFGILQTCPPEYNNTGQDLHLIDRRKQRGAKNWEKKHMHAINAWNLRANNKVYGGAVHRDGPFNQYLDWLKQNTCLKLKVAMDTTNIEDLPSDPKDIFPKYDEVTRSGQQLGRFANEAGQALSIPIRSPEEASVLRGFLQRLRWGYRKIAFKMNCLLAPAPPIDKVSFSIEALSILKHG >KQK95115 pep chromosome:Setaria_italica_v2.0:VIII:31072654:31076658:-1 gene:SETIT_026146mg transcript:KQK95115 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAASNAASVSPAAVAAPRLSLLLGRRRNARARAASSAGGGGSYLDMWRKAVERERRSAELARRLQSPVPAEAEAPAAPPVDVVERRTARFEDLLRVPREERDSVQRRQVIDRAAAALAAARAVLKEPPPAPAPAPSPQPSPPPTPPQRAETAKVGSGGGSAAMESDRGSRSAATGPVPQSLEVPESGGSSSYKQESSKLGTPGPDFWSWLPPVRGSSMPSENSTGLKPSKKVDTFSRQPDLLMEKEQSADYLSLPFEATFFEKKEDRSLPPFQSFAEPENVDSKADLATDATETFEEQFSKNAAEAARALSESDDKSTHGVHPDGSLWWKETGVEQRPDGVVCKWTVIRGVSADGAVEWEDKYWEASDRFDHKELGSEKSGRDASGNVWREYWKESMWQDYTCGVMHMEKTADKWGQNGKGEQWQEQWFERYDSTGKAEKWADKWCSLDPNTPLDVGHAHVWHERWGETYDGSGGSTKYTDKWAERSEGDGWSKWGDKWDEHFDSNGHGIKQGETWWAGKYGDRWNRTWGEQHNGSGWVHKYGRSSSGEHWDTHVPQETWYERFPHFGFYHCFENSVQLRSVKRQQRPRNKV >KQK94016 pep chromosome:Setaria_italica_v2.0:VIII:7626100:7626252:-1 gene:SETIT_028171mg transcript:KQK94016 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRRPVADFQRWTQRLARTQVCVASCAGRKERIRYRISSGRAPMRSPPAALA >KQK94694 pep chromosome:Setaria_italica_v2.0:VIII:25227004:25227219:-1 gene:SETIT_027612mg transcript:KQK94694 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSGSSSAAPAAPPPLQPSPREHVERIRRERYFIGRGERNPLAEDMHQAVNYLSQELYSKDVHFLMELIQV >KQK94959 pep chromosome:Setaria_italica_v2.0:VIII:29112561:29117104:-1 gene:SETIT_027475mg transcript:KQK94959 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ALQRRPAPRPPTPPCPAPSNSSAHPRPSSIAACPPPATTAQRLCSRAAALRPRLRPLPATPSTSPTGGQRSPTTREVTGSQFFLAPTPSVSSNQRDSRSFSAESVHHLVVCHSLLSLDNLAHLDLSMNYLSDSSGRKPEFLSSMTNLRYLNLSGIPFSGRVPPHLGNLSQLQYLDLSEGGYVTSLQVADLSCNENMGMNRMMTTSLMKLCNLTVLDLSMYNNITGNMPGQMAHLTSLVVLDISYNNLTEAIPPGVAQLASLSILDLSGNNLSGHMPFEIGMLANLSILDLSGNNLSGHVPSEIGLLANLAVLVLSSNKLNGDITEKHSARLAKLKQLHLSIKSLNIRVSSEWLPPFSLKWVSLQYCQRGPQFPAWLRFQHMLDLALNSLSGNLPSKLGTPQLTSLTLFSNHITGGLSGSIFELQSLKGLDLGNNLFNGELPRCVPPRTLRFLRLSNNSFSGDFPLFLQNSMQLEVLDLSRNRLSGKLPHWIGGLVGLRFLSLSQNTFSGNIPNSITNLTNLHHLELANNRLSDVIPWGLSSLTAMTEKYVKDLSFQIGEYYMFSEDTREYFSSVTKGQELYYDIRIFEMVSIDLSFNHLSGEIPEEMASLNALLNLNLSWNHLRGEVPEKIGLMKSLESLDLSNNVLSGEIPSSLSNLSYLSYLDLSDNNLTGRAPSGQQLDTLYAEHPSMYSGNSGLCGPPILKMCPGNNASRQDVQNRNEHGFEPMKFYFGLGLGFMLGLWVAFCILSFKKAWRIAYFRLIDSIHDQIYVFVIVTRKSLAREGSTD >KQK95739 pep chromosome:Setaria_italica_v2.0:VIII:37700367:37701209:1 gene:SETIT_027700mg transcript:KQK95739 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGGFVGAGLTSVALTGDGKDMLEIIGLGMDPVCLVRCMRKKVGHAQILKVEEVKDKESQPVVVNTEEARPADKPANRRRRARSTGGFRAILTKLSKFMWPRARRSVSPPPPSPLRVEHTDNPAPAASGGPSSHLFSVPSTSTAFSAASPPACTQEQLARNPSAFAQRQDLSRAADLPPVRVAQARRRRRTFNIMSSRTTTQQAQGNVSSSNARGTDDELAAVEAGCVLEDYVAMFDGPMPPAVIAALAGAVFHLDDEDGIPMGNA >KQK95819 pep chromosome:Setaria_italica_v2.0:VIII:38492027:38499141:1 gene:SETIT_027523mg transcript:KQK95819 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAEELLRKMRELEEGQAELKREISKLVPERQGEHPNSSRRPLQPSPARRALAVLPSSSSRLQRVGRVGLTDRQHIRALHALGQSVYIIAPGGKLLYWNRYAEQMFGYSASEAVGHDAVELLVHPDDVNAANNIIQNIFLGKCWRGKFPVKKKSGERFFIVANNTPLYDDDGSLVGLICLSVDTQTLEDIMGPSTSMKSYSHPAKLRFQVNNRPKCSLLNKSSVDSQQPLQSSIASKITTLATKVTSRVRSRIKTGQNCNEQQSGSSESQYSEDDYKEELASSGTDTPRGDVVHDGFVKGENSPGKSSKTSSDEPGEGNERLYKITSKAEELLAKKGISWPWKGHEHNGTGKSYMNPSQFHDKQENEQMHQAGPESIVIPDYQDSECAQESKYEVTGSWWCFNNDSLSSMDSSISTNSSAIERVDHEADCLDYEILWEDLVLGEQVGHGSCGTVYHAQWYASDVAVKVFSKQEYSEEMIDTFRQEVSLMKKLRHPNIILFMGAVASPERLCIVTEFLPRGSLFRLLQKNTGKLDPRRRVHMAIDIARGMNYLHHCSPPIVHRDLKSSNLLVDKNWTVKVADFGLSRLKLETFLRTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVVLWELVTQKIPWDNLNTMQVIGAVGFMDQRLDIPSDTDPQWASMIESCWDSDPRKRPSFLELLDRLRDLQKQYSLQAQMQR >KQK93838 pep chromosome:Setaria_italica_v2.0:VIII:4928211:4928749:1 gene:SETIT_028529mg transcript:KQK93838 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGGETERARKRPAAAVWCPRAAMSAPPLRVESFASKEGSSSLWCSGARARGNNYNRQRASERVSTTLRQKRAGARWRPQ >KQK94306 pep chromosome:Setaria_italica_v2.0:VIII:12903966:12905228:-1 gene:SETIT_027946mg transcript:KQK94306 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVPDRDSAMREVAQAYELIKSHQPRLQFDDVQQLSATTNLAQSLLNNAMRALHLALCVMNPQTSAHCGAESSSRSNRPHLFSPSAAAGDVGGITSQRKKGKRRRANEETSWVILTEAPHTDGYVWRKYGEKNINGTHFKRHYFRCSYKYDRGCQATKQIQQQSSNDLPMFQVTYNSEHTCNCTTAANTYIKSDLPQRSSCDNNGTISQMGDAMIRQEQGLLPPRAEGSTVFMDTMSWEEPFVLSNLYSLSPNHAGYHTTSTDDGASDFHCGSTDGTYLVLPPEPIFEDNPCIDAELDLLYI >KQK94527 pep chromosome:Setaria_italica_v2.0:VIII:20767636:20768589:1 gene:SETIT_026894mg transcript:KQK94527 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPFPKSSRPLCAPNSELTLNIWKVKRKRKKGIWAAGHEKVNPPPSQRVASMYLIQKRYRCALFMHTWQSLLDEVFQDIGKPSASPNFGVLSRSYLGRPLILQQHVPSPHFSSPSTRFSISLHTSRSARSHGLVALPASRLPRFSLRTERETERTKNEAAAAAVKERNSIGCRPNRKLCLDLQRYSTLFA >KQK95559 pep chromosome:Setaria_italica_v2.0:VIII:35596975:35601355:1 gene:SETIT_026145mg transcript:KQK95559 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAMAPSSSASSLPARLPSNSLTPHHARLLRRRAPPAAASAPRPLLLSFPAPARSRGAGLRAPAAKQQRSDEYQFEDDEGDDDEEEEYGFDGEEEWEEDEDADEEEEEEEMDVEAMEEEARGAATDLAKRLARELHIDDDVREKRRNIRDKTSVSKQIPDNLLPKVAIIGRPNVGKSALFNRLVGGNRAIVVDEPGVTRDRLYGRSYWGAQEFMVIDTGGVITLSKSQAGVMEELAVTTTVGMDGIPLATREAAIARMPSMIEKQAVAAVEEATVILFVVDGQDGLVAADIEISDWLRRNYSDKCVILAVNKCESPRKGQMQALDFWSLGFSPLPISAITGTGTGDLLDLVCSELRKFEGLDGVEEEKNKVPALAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTTADGEKYKLIDTAGIRRRAAVISAGSTTESLSVKRAFQAIRRSDVVALVIEAMACVTEQDYKIAERIEKEGKACVIVVNKWDTIPNKNHESTTHYEQDVREKLRILDWAPIVYCSATNGTSVEKIISAAALVEKERSRRLGTSILNQVVREAIAFKPPPRTRGGKRGRVYYTTQVTS >KQK94487 pep chromosome:Setaria_italica_v2.0:VIII:19586212:19588349:-1 gene:SETIT_027499mg transcript:KQK94487 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEFAHGEEHVYLSKRGGLQTIPFIIASAVIVFAYQAATNFLPIFGAILSDALWGRFLTISLTLFACTIGAVLLWLTTMVSTLVAQDCGNKDQDNQSCHSPTTPQLFVLLTSLVFLSIGASGVRPCSLPFGVDQFAHWIGTKKDRALKVLFSCYYVSMGGSAIISITLIVYLQDKLGWKTGFAISVAIMAFATFLNIVTFPLYIKVKPQKSIWLSLVQVIFVATRNRHIQLPEAGNGLQYHNTGGLEAVVPSRKMRFLNKACLLRAHGDRSSNEEHNANSWNVCTVEQVEDLKRTLSVIPMWSSMITSLLIQQATFRVLQADTMDRRVGTTKFQMPAGSIPIFEVITFTLWSGCFDRFMLLVFRKITGREQVLSHKQKMGIGVLFSVASALAASAVEAFRRKQAIRQGLEGNNTDGIVNMSALWLAPQCVFAGLTSAFGSIGQMEFYYAVLPKTMSSLAMALLPLATGVANIAGTVIVKLVKVITARGGRIGWLPDNLNQGHYDYYYFLLALLGMAGFIYFVACCYWFEEPAPIQLVEPHEDEADRT >KQK94964 pep chromosome:Setaria_italica_v2.0:VIII:29300108:29301451:-1 gene:SETIT_028014mg transcript:KQK94964 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPIPRQFLITLFLLAFASPHSSATPPPSGYRSTLTHVDSKGGFNKAKLMRRAADRSRRRAATMQLSVYSTTSTSSDTRPTRLYSGQAEYLMELAIGTPPVPFVALADTGSDLTWTQCEPCKLCFAQDTPIYDRNASSSFSPVTCSSDACLPVWSHNCFATTPCKYRYVYGDGAYSAGVMGMETLTFGSSYGQAPPVSVGGIAFGCGVDNGGLSYNSTGTVGLGRGSLSLVAQLGVGKLSYCLTDFFDISLGSPVLFGSLAELADSSGGAAVQSTPLVQSPQNPSWYYVSLEGISLGDALLPIQNGTFDLRADGTGGMIVDSGTIFTSLVESAFRVVVDRVADVLGQPVANASSLDSPCFPAPAGAWQLPDMPDMVLHFASGADMRLHRDNYMYFDQEESSFCLTFAGSPGPASTSVLGNFQQQNIQMLFDITVGQLSFVPTDCSKL >KQK95669 pep chromosome:Setaria_italica_v2.0:VIII:36986067:36986449:1 gene:SETIT_027242mg transcript:KQK95669 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVVILSSKDLVSMLLDVVCLDNTLIRSADTVVITNDGSSLFDMLLVAFAWVMQ >KQK93806 pep chromosome:Setaria_italica_v2.0:VIII:4549839:4552996:1 gene:SETIT_027727mg transcript:KQK93806 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFRDDEPPPANSSAGRPISSDRVRLKAYSNDKAPLEENEQQVLLELVDTSSASERSGLDLVAVLDVSGSMGEYGKLDKLKTAMKFVISKLGPMDRLSIVSFSSVAKKLCRLHLMTVDAKEELKGIVEKLSAGGTTNMRHGLEIGLDVLDQRLYRSGRVSSVVLMSDGEEDSPGASLAVDASGTAVYTFGFGENHDAEVLGAIASKSRGGTFHYIRDEESLTIRFAEILAGLLSVVVRDLELVVSELPGHSKIIIQEKDEGDTAEHGRYRQRRQNGKVYITFGDLFGGEARKVIIRILLPAVHRGYRATVLTAQCLYRIQGKDFYSPGDPLRCFIHRTRSASPDAKNPKVEEEEDRLFYVDNIEEASKTNDYDSAHGKLEETHKVLDAKRPNRMIIIVKNELQQLLQLKTWKDLLASLLAYKVSHDRQRGGPFATSRMRRYTEQADKFEKDPNEEPPSVDDEVKEEAEIAMKRPAT >KQK95431 pep chromosome:Setaria_italica_v2.0:VIII:34401706:34402990:1 gene:SETIT_028016mg transcript:KQK95431 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASTASSRAVAGGCSTSAITTATVSGSHVLRINGYSESRAYGVGSYVASSKFLVAGHSWFLRYYPVGCNEETGDWISFFLIHGGRSSVRAQFKFSLLDLEGNQVHSRNSFCPVAFHGSRCFWGFSSFIKREDFENSNYLRDNSFRVVCDITVFNGFYKEGTMMFVDTVPPSDDLHKDLGRLLASGKGVDVKLKVRDKQFLAHKNVLAARSSVFMAELFGPLKEGEADSVEIHGMEPVVFKAMLDFIYTDNVPKVRTGEEIAMAQNLLVAADRYDLKRLKMICEHNLCSRITKKTAATTLVLAEQHGCNGLKKACFAFLSSLGSLKAVMDTQGYDHLRSSCPSLHDELTGSC >KQK93591 pep chromosome:Setaria_italica_v2.0:VIII:2197592:2198818:1 gene:SETIT_028299mg transcript:KQK93591 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLRHLPPVLFLLVTALSLPAFSFRRNLFLPRDPSPSQQHHAGDDALLRRLAAVDAGADQILAEAAAMLANASISSSTHHHSSSLGNGHHRLLTLRLPCCSSNATVSRLRVPYDTLPEDGALLAAFRASLRSYLLAHHHIRRRRSGANNAAIASVMRDLPGLLGRRRRFPTCAVVGNSGILLGSGRGPQIDAHDFVVRLNNAPAGAAAAFAPDVGSKTSLAFAHSFVLRRCAGPSAATVPGCACHPYGRSVPLAMYVSHPAHLLDALACGATATPASPFRLRLTDARLDALCARIAKYYSMRRFVAATGEPESNWRGGDERTPHFHYSSGLQAVVMTLGACEEVSMFGFGKAAGAKHHYHTERKKETEVHDYEAEYQFYRDLQSRPEAVPFLDEAPAGFKLPPVKQYW >KQK94258 pep chromosome:Setaria_italica_v2.0:VIII:11997670:11998228:1 gene:SETIT_0273291mg transcript:KQK94258 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein WRAAAVAGAPGGRARRRPVHVCWVDYARGFFLCDVEAGISPDKVWYVPLPVPAPKGYCCDDDRSPYLPYCRNLAAAGRDAVRFVNVAPRFCCGGHGKTSCERSRFAFNVTTSNLTLRADGEPMTWVKDGLLDCDELWLLLNYGRLPRVAPKYPIVSSDKTDVVYFVLCENHYFMDNADKTVWMLEI >KQK94465 pep chromosome:Setaria_italica_v2.0:VIII:18077496:18080089:-1 gene:SETIT_027513mg transcript:KQK94465 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTHRHCFESLDRNMRDILGFWWENLLLGGDFRQVLPVVEAGSRLDTIDASITNSYIWKHVKVKEFNDWVLSIGDGTAKGGAHSDDGDSEFVEIPHDILIPRLDSAIDDIIRSTYPSLETSYSDPTYLRERAIITPKNGTIDEINSRVLSLIPGHEKVYLSSDTLVESSKEHGNLDLLYPVKFLNSLQFKGIPPHKLVVKIGSPVMLLRNLNQSAGLCNGTCLIITQLGDQISEAQIITGSHIGDKVLLPRIALHVRVARMWQVSRTSKGRDFASMELVVVDEEGQGIMASIIDKALKKFSKSIVEGHCYCVRNFQVSKQERKFKAIPSTYTIFLTPWTIIEEIHAEVSASLPRFVDFDDLDHSRARHGQGLVDIIGQLAVSTRKRL >KQK93272 pep chromosome:Setaria_italica_v2.0:VIII:296686:297569:-1 gene:SETIT_027082mg transcript:KQK93272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVHQVTGADDLPMTAAPPAPGNLCRPAPYRAGGAAGATMLPTLDTSAFLLGAPGVAAGPAGSVPTPRLDGAEAGGACNHSSNSSSGGFPTLDSWDALF >KQK94918 pep chromosome:Setaria_italica_v2.0:VIII:28731644:28732221:-1 gene:SETIT_026944mg transcript:KQK94918 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPVFKVIPQQPHFNPLQLENPGLREGRAFGLMVSFVTIVKKLNNSTIDDSEASFQEMKSTLSELEANGFSVQTLQSFLAKMIVMKQKYTEDLQQSCTVEEEMQAKKRQRIAKVITNDEQEISRLEGVHSSIKEALDYYKQQFHSVSEDMQQMGLI >KQK95790 pep chromosome:Setaria_italica_v2.0:VIII:38082177:38083093:-1 gene:SETIT_027419mg transcript:KQK95790 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MITLPIPATELSTSSVAQNYAYQSPHRAWHFGSEPCSATPPEESATMKKKIVIRVEMTCDRRRAKAVALVAATPGVSSVAVAGDATDQLVVIGDGFDSIRLASALRREVGPAEIVQVAAEAKECGCDKKPPTAAAAVPLPPYVPRARSCQYPPQQQPLSFVYEPPAARFCFIM >KQK95938 pep chromosome:Setaria_italica_v2.0:VIII:39781833:39782632:1 gene:SETIT_027923mg transcript:KQK95938 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LGVSCTSGIISMATALGSTQPSLAASGAAGSPTSTPSSTYGIAERPGHAAAAPAEVRVPEVVGEVPCRPGGGQGVPVAVAADGEEHGDVVCGLAVLDVGADGGCVVAGEFGRVCAVAEDAEEGDDHCVVGAGVAGLAERALVPVAASEDGQVLRLAGGGWAGDDAPFFITRHACSCDTSCVRRDMVELAWQQ >KQK94986 pep chromosome:Setaria_italica_v2.0:VIII:29551290:29551768:-1 gene:SETIT_028558mg transcript:KQK94986 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIGILESQYHPHYNPEAKFYENQTILTIRT >KQK94883 pep chromosome:Setaria_italica_v2.0:VIII:28345732:28346117:-1 gene:SETIT_028519mg transcript:KQK94883 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSMRLTNTEAHLACYDVAGTVLHSWGLTKGAYY >KQK94262 pep chromosome:Setaria_italica_v2.0:VIII:12068966:12069220:1 gene:SETIT_027363mg transcript:KQK94262 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVREAAATDARDGDEVEKVVMLRDVLGGTASVMPAGSNRAATWVDAEKVAAAMGSNAGRGGGGMDEVADALAAATQINEGSTL >KQK93616 pep chromosome:Setaria_italica_v2.0:VIII:2317675:2318415:1 gene:SETIT_028120mg transcript:KQK93616 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAIPVARVHLAMAHAALPGLLPSPPKRMMLPLLPAPPCTAIILPCSPPSRPSRADAVERWDAHKIKIKQGGGSPASPPPPSAGQCSLNSISGPGGTSLSEKWVSKKKSAGAGAATSSSSSNGRADSEERWDAHKRPASPAASSSSATSSNRTKTRQISRRPNGGRALSPSSADRWDANKKPRAPPAAAELDDGESSTGSNDMELVVDKAARRAFYAGPGFNRSPEPSMLPKPSFLRIRVA >KQK95035 pep chromosome:Setaria_italica_v2.0:VIII:30112392:30124932:-1 gene:SETIT_025839mg transcript:KQK95035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASARKVRNSNKRYAKINDDWKIEDTASVPKSKVRKKKLSDMLGPQWSKDELERFYSAYRKYGKDWRKIAGAIRDRTSDMVEALYNMNKAYLSLPEGTATAAGLIAMMTDHYNILDGSNSDHESNDSPKTSRKPQKRGRAKFQSVSKTSDTRYPDQLQSQPASSSYGCLSLLKKKRSGDLFVGNRPRAVGKRTPRVPVASMYHRDERGAPHRQAKPDSNNGDDEGAHVAALALAEVYQRGGSPQVSQTPGRSGDRMFLSPIKSSDRKNADSEMGSSKLHGFQLDADYPEASLGSREAETGDYTKGASYLMTNKGSPSGKPQKKVKRSQKRRKKAVRKTGDQFEYDREACSGTEEGHSARKAKEEPELEALGRKTAWPSSTSNKRSRQLFFDDDISALDALHTLADLSVNILQPSSVVESESSAQIKDENKDNDSDGKPSIPAAVSVYEQKDYSKSMAKKLKRQSEIASTDMVTRKKAKLAKDPHHDGSTTSEVKQQACTCGVKTEKKKRKSSTGKVSKDEKNILKDVEKTEVSAEEGKVSSNKETTARGATPPQADLTSKVKSRRKGGIQKSLTQECKPTEGADDSGSDKLSYSLSNIIDVKDKLSHCLSSRLLRRWCMFEWFYSAIDYPWFAKSEFVEYLNHVKLGHVPRLTRVEWGVIRSSLGKPRRLSKQFLCEEREKLSQYRDSVRQHYAELRSGIREGLPTDLARPLAVGQRVIACHPRTRELHDGNVLTVDDNRCRVQFDRPELGVEFVMDIDCMPLHPPENFPESLRQQNIVNEYYSRLSEANEDQMKELGNGGGARFTSNLNGADATFHIPPGHPISTLMKQAKAKATVNEVTVATQQAIYNQPSTLSQIQEREADIRALAELSRALDKKEALLVELRHMNEEVSGKQRDGEIIRDLEHFRKQYAMVLVQLRDSNDQVAGALLSLRQRNTYHGNPVQSYPKSMENGIAFAGAPDPYNLFGYINPESGSQVIEVIETSKCRAKMMVDVAIQAMCKVSEGENPFAKIGEALDNFNSRGTGSGSSILGIRRIPPDSGQSNASYQENGTPAPATNNSSRLPNGGNSDGQFPNELISSCVAMMLMIKNCTEKQYHPAEVAHILDSALSGLQPCSSQNIPIFREIEMCMGIIKNQMLALIPTASG >KQK95624 pep chromosome:Setaria_italica_v2.0:VIII:36629129:36630202:1 gene:SETIT_027506mg transcript:KQK95624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEASPPAAREPYPGPTEYVTPRSLFSCAALRSLRLGGCWLDVPPTTAALPSLATLHLTRVTGRRDAVQDLVDACPRLADLKLEACRDLERILVPDARLRRLALRCCHELADVFVGDSSELRAFEYRGGVPGPSLLNMPQPSEISLCTLDFCGVEPAEPPEFTFLLLYARVERLHLTSTRLLGRGVFDHGVLYPYGLRFLTFPELRNLELTGMLPEDDAAAAIDRCRDQDPRADPEAGTLSLFFLAGSHPVENGSYSSRYSDEEDMDIHAAHQLRYDRHATLAVPDAEIPCLREINLVHYQGAMAHAQRMLAKFLLRNAPVVGEVCCEFARGPLAIQTDLMEEIKGWVMNKSANKMFF >KQK93607 pep chromosome:Setaria_italica_v2.0:VIII:2265594:2266167:1 gene:SETIT_027245mg transcript:KQK93607 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRWPASACGLPFSAASRRAQTPAAAPTQFDFPQIWWALWTRAVSTVQSIAEPW >KQK95368 pep chromosome:Setaria_italica_v2.0:VIII:33819965:33822276:1 gene:SETIT_026034mg transcript:KQK95368 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDVAPSPPTPSPYTKDQCLKDNADSVKFFVILAVLAMFLLHVLGSLRRRSSHTLLHSIVMGVYTLSYPLVGYTVGLMKSSNFYYEDFTVWAVFLLLLLGSTDNLTVCRLSDNDNWKSIHFKHILKGFWLVLIIMTITNYENNIYGNKLLYRYPLYAIVLVVILKGYVRIASMRMVSKSYVCKKVKVIAEYMQQQHKDNLAVPFDPVTMEGYRYIVAGEKYCIKRWSGCTPCYKGGDLMVITVEKIWQCTGRLLVHERGKVLKDLCLSVALSKMLNRRFIGFRLSEAGHEKAHDFVFKGLLAGDKPHQRAFRVIEEELVFVHDLYYTRYSYLYQKGRYIALCLPIVMLGLCSWLTYLLVKYSESNSVQAATSFVTVVVGFLEACQLYLYISSGWFKVALIQSYINTPFLRRSRCLELIIGLLLRLKAFAPWKRNLGQYCILQEVGRKHRVRNCLHYATLRLLDKASKNGLKKSEKVSENVKKAIVDSLLGSNGNLTNGVTSLQNNGVNFLSWACDASTTDGAVARTIVVWHIATTLCEQKLNKQAKEEDAVKTASTLSKYCMHLLAFAPNLMPDHIFISESILDQSIDEASKLLKDAKDKKIKGKNKKIEGRCEILMEINTHGCVGDEKKLVVQGVHLARQLIDNIQDFNTRWKVLSHFWAEMMLYVSPSDDAREHLEVLAKGGEFITHLWALLTHAGVLKRGPIEPKDVV >KQK93821 pep chromosome:Setaria_italica_v2.0:VIII:4705057:4706445:-1 gene:SETIT_027650mg transcript:KQK93821 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARSRALLHLSTRLMNVTLAALCRGGCLDRAESVLVDAIRLGLPPDVVTYNTLLAAYCRAAGLDAALAVVHRMREAGVSPDAVTYNSLISGAARRGLTMRALDLFDEMIRSGVAPDSWSYNALMHCLFRSGHPEDAYRVFADMAEKGVTPSATTYNTMLDGLFRTGHATNAYRMFRYLQRVGLPIGIVTYNTMINGLCKSGKVGYARMVLKELGSTEHAPNAVTYTTVMKCCFRYGRFDQGLETFLSLLEGGYISDAFPYTTVISALVKKGRMQEANTYCELMIQSGSTIDNVCYNTLIHLRCQEGKLDDAFELLNMMEEGGLESDEYTFSILVNGLCKMGQIGEAEKQIWSMEMMGMQSNVVAYNCLIDALCKSHEVDAAIKVLHSMKLKDDFTYTSLVHGLCKVGRYHMASKFLRICLHEGNNVLASAKRAVISGLRSAGFKNDLRKVRSALYMSRLLRS >KQK94430 pep chromosome:Setaria_italica_v2.0:VIII:16201716:16207310:1 gene:SETIT_028221mg transcript:KQK94430 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVQPRPRKRGRPRTELGGGEAEAVGEDGEDPAVLFPLGALVEVRSDDSGFAGSFYEATVAGYQRSGLGYVVTYATLSRSKDGGSPLRELAAAADVRPRPPPSPPRGFAPHEMVEAFHNDGWWAGVVCAVPTEAEAAAGRRVYKVCFPTSREMLEFEETALRPNRVFRGERWIPAAEVESGTPLFRDGIQVEVSRSAKTFGKYWSPAIILKVIGATSFLVQYRDAREDGEQVTEILDSQYIRPTRNIIHMDSKYRFLPSSHVEVFHEGSWWTGAVSEVLDNESTKKYVVKINNEEADMDDVECVDLLTVDHTQLRPKYNWYRGKWVRCLTEKPGNRGPQLKPRKRPISAALESSGDSVELTASGPCIDSEDISDEPGSYLKDKGNDEDVVLKQVCPEFLVRNENDQIKHMPSSFPERVVKQQNTVLSFESHLTVPSQSSVTGFHSLKYDPKLCLSGQLELSSSRVITMPSVPQTGQLQASLFGAFGQLRPLPQGPIFGMQSHTIDFSSIVGSEKTFTDQGKQANYKCGYLMTGSKQKIKFGSFSGTDLARKRLFTDKFHQEDYIGAAQHGATKVSVLTEKSVLSMTSTLNNPGEAQVLLSDSSTQCGKEAANAEESAIIMEQGTSEEYCQQYSVMNDDANVHLLPSAESCKDTGDKDNNKAMVECVPTDDVSSMGGGVAPNLLPSSENYEDGKDAMVGFAAEASESMESSEITQLSSIGICNSSEAEQGDTLIDPKDSEHTPMSKYAPSRTQGSCLSLSQRSLDAHESIMAVHGTEPLASMNLPFMKTSSLWEQIEAMEVFRNMPQRPNFHKFQQHVPELREGMALGLMLSFANLAESIRRLSIHDEDALFKEKMKGLCLLEADGFDVRHLRSRLETLVHIRSSPAVLQGAIKDLEKKISHKVTDDRHLGAQIRVLNMTVRHLEVQAYLFRCITQSAVSQKMNIASEIGRLKTEAGTLERSYLSAEQSFSNAAAAPW >KQK95812 pep chromosome:Setaria_italica_v2.0:VIII:38232242:38233012:-1 gene:SETIT_027857mg transcript:KQK95812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LLSGKVAIITGAASNIGKAIATRFVAHGASVVLADINVADCQQLASILNSSKAGVAEAMKCDVTVASDITKVIHKANKSFNNKDPDIFYNNAGFHDGVSSARSITDLDKAMSINVKSVLESIRQAGDVMRKNKSGCILCTGSTMGLLGDAVPSAYSISKAAVMGLVRAAAAELANDGVRVNAISPHRVESRSLDSLLLEKIFPTADTQQRLHMIENYMTKRVVKYEDVADAAVFLASDYGKSVNGHNLELSGTFSV >KQK95195 pep chromosome:Setaria_italica_v2.0:VIII:31818038:31822344:-1 gene:SETIT_025935mg transcript:KQK95195 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLNLRSLRNLSLKGNELSGNIPPYLFNRTPSLRYISLENNSLSGPVPHSVATLPMLEYLNMQRNQLSGTLPPTIYNMSRLQIIILQHNNLTGPIPNNQSFSLPMLQILGLSVNNFKGQIPSGLAACQSLQIIALSYNFFVDVVPTWLAQLPHLTKLSMGANHLVGPIPAVLSNLTGLTLLDLGSDNLTGDIPTELGLMQKLSYLQIIDSMLTGPIPSSLGNLSELSFLDLQRNQLSGSVPTTLGNIPALNVLALSDNKLEGNLEFFLSLSNCRLLRILDIANNAFTGRLLDHVGNLSTQLRTFTASHNKLTGKLPSTLSNLSSLSWLSLPNNLFTGVIPESITQMQNLAWLDVSKNEISGPIPTRIDSLKSLQRLFLDTNKLSGSIPASIGNISKLEIIWLFDNQLDSTVPVSLFHLDKLVQLVMYNNSFTGVLPSDVGHFKQLAEMDFSSNFLHGSIPESFGQLRMLTYLNLSHNFFEDSIPDTFKHLTGLASLDLSSNNLSGAIPKFIANFTYMTTLNLSFNKLEGIIPDGGVFSNISVQSLIGNTGLCGAPRLGFLPCPEKSKSNSRHFLKFLLPAVTVAFGSIVLCICLTVRTLKKGQVQTLNIDQGDIMSLRLVSYHDLVHATDNFSSNNLLGTGSFGKVFKGQLSTGLVVAIKVLDMRLEQAIRSFDAECRVLRMARHRNLIRILSTCSNLDFRALVLQYMPNGSLEKLLHSEGRRHLGFLKMLEIMLDVSTAMEYLHHEHHEVVLHCDLKPSNVLFDSDMTAHVADFGIAKLLLGQDSSMITASMPGTLGYMAPEYGSFGKASRKSDVFSFGIMLLEVFTGKKPTDPMFVGDLSIRQWVHQAFPSEIVHVLDDQLLQDASATPCDLNHLLATVFELGLICSSESPDQRMSMSDVVVTLKKIIKDYAKSASATTQSAA >KQK95364 pep chromosome:Setaria_italica_v2.0:VIII:33780431:33782085:1 gene:SETIT_028496mg transcript:KQK95364 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLHCILVPSSLTTPVPVAAARHAGIQKEYTAASCLDSEKGGSGVDEAMPTSKP >KQK94558 pep chromosome:Setaria_italica_v2.0:VIII:21871605:21873779:1 gene:SETIT_026027mg transcript:KQK94558 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATAQAQLCCATDADSVGEIASARDSDALAVASAVSLCLLHDLVGAAAFLASHPLHAVYLLIFARGLVTLAAFFWPLLASTSLLLAVLATVGPYIGGGAEWPGVRSLGRTCGIAVAALCAGLRPDGGAGLVGQLCSFVLGPADTASVLRVEEIMGEPCDITACCFVLEEEKSLLLLGGDECKELAFEFGERSFLDYEDFGDLKDEIDEKVVISEDLKGSDSLAEQCCPSATIFVQEMEAEEEENCIQGQGLILSAVDEVSDGVEEKRLECDPVSVEIKKCEPEQALDIKKLEPVEPMEAKKLEIKKSESVHAVEIKKCEPVKAGQIKKSEPVNSVETQNPKLVQAVEINKCEHAQELEVKKLEPVEPLEIRKSDPVEPVEIKKSEPVKPRSSIAQRIKLWEAQVCGNIKPVLEDEENSVESSLEVGPVKDLKKCMRFEADPCVNNRNSEQQAQDVAHDQESTNEQHEQEFKDVKEYTRSETETSSAKCSQNDEAEEVALAVSLPEEELQQGCKDEQPEPELQEQVYEIAQAEQELQEMEEVYADVTSSPAMWNGRESPLKSSSIAGRVHSRTSSENLVSEGSPSRKDKEWKRTLACKLYEERMQLRLCRDRAVVEGSDNMDMLWEAYEVGGGGGGGKGRGGKRSGSKAKSVANDKVEELVDEGEEEEEEDDDEEVRQLCCLQALKLSTRKMNFGGGKPSLSKITKVLRRMTALSRMGSRRKQSG >KQK95146 pep chromosome:Setaria_italica_v2.0:VIII:31356538:31357188:1 gene:SETIT_028149mg transcript:KQK95146 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVKPSTCVVLLLHMPLGEVSFARLGDDSWTWVAPGDSTALPWRDFYCDAMYSDVDGLFYLLRQDASMCSLDFNGPLPVARKILSGVPKSAKSAYLVQTPAGDILQVWRWRMYEESLTPVDLPPDFVDEDEVQDPFAEVKTTDLELYKVDVRGQRLETIRSLPDYALFLGFNGSMCLPARDFPGLKPDCAYVTDDFVEYVNVLKYN >KQK93981 pep chromosome:Setaria_italica_v2.0:VIII:7109927:7110082:-1 gene:SETIT_027601mg transcript:KQK93981 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKNKNRDMKNKNLSCFVQYGFDVAVLGLAQDDASLASIMCWLSLDLCCPHH >KQK94347 pep chromosome:Setaria_italica_v2.0:VIII:13567126:13571355:1 gene:SETIT_026462mg transcript:KQK94347 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPRRLEQLVFLLCCFAAITCRLHAQAQAQTTLEHLNSSPHIGAVGRILSDAGNTSESALSMRTRRIDPLDGLRKYEGGYNITDKHYWSLYNYTSQAWDHLNSTVEALNSEAMEIQAKAEKNMRLVSRGINTLCLALCWILTALFWMYFGLYYFFDKFAGDTCAALDEYQLNPQNSTLGTIIPCSEKFSGSIILHDVGAGIHDIIDQVNSNIYTIKSEYPVKRLDYICNPFTGPPEYRYRPQNCPSGAATIGDIPQILKRLTCSDFGGGASCRPADLSSAIDYDKVQSYTSSIQNVLDIFPGTERLVSCELVKAGFADIVGGQCAPLRRGARAAWGALAALSAAMALLLLLLLLVLTAARRHPGDDRLSVRHLTSATNSEISEAEFAEMHAKKVRIRVGP >KQK94449 pep chromosome:Setaria_italica_v2.0:VIII:17184277:17185125:-1 gene:SETIT_027810mg transcript:KQK94449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTVKEFDSLALDGHNYPTWAVDVKVSLASGGLYRAVSHIHPDLKAEYLLEENPRNLWNSLKQRYEQQKALVLAAANYEILQQQYGERCFQVYSELIQTLLEAEKHSELMVWNNQQRPIGSAPLPEVHAYTQNKPKFNGGFSKTHPQGNSKKGKKQRKCNKKSQIHVSNNGKNISKHRNDKLVCQKCGCYNHITKKCRTPSHLIDLY >KQK95215 pep chromosome:Setaria_italica_v2.0:VIII:32033962:32035170:-1 gene:SETIT_027839mg transcript:KQK95215 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRYKKDLEEKMREIVNAGSITNLRCPVDDIQGPWKLNKLHGWVMTPMKQGIRAIFARVPKKVFLGVLDYEIVIDFENLHRLYRHQHLDANLVTVWCL >KQK95104 pep chromosome:Setaria_italica_v2.0:VIII:30899305:30902602:1 gene:SETIT_026267mg transcript:KQK95104 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWWAAVVAAVGALLLFFLLDAGARRLHGWYREAALGAARRARLPPGEMGWPVVGAMWAFLRAFKSGKPDAFVASFIRRFGRTGVYRAFMFSNPTILVTTPEACKQVLMDDDGFVNGWLKATVALIGPKSFVAMPYDEHRRLRKLTAAPINGFDALTAYLPFIDRTVTSSLRAWSGECADGGEVEFLTELRRMTFKIIVQIFLGGADDATMHSLERSYTDLNYGMRAMAINLPGFAYRRALGARRRLVSVLQGVLDERRAATAKGLTRSSSVDMMDRLIEAEDEHGRRLDDDEIIDILIMYLNAGHESSGHITMWATVFLQENPDIFAKAKAEQEAIMRSIPPTQQGLTLRDYRKMEYLSQVIDETLRFVNTSFVSFRQATKDVFVNGYLIPKGWKVQLWYRSVHMDPQVYPDPKKFNPSRWEGHSPRAGTFLPFGLGARLCPGNDLAKLEISVFLHHFLLGYRLTRTNPSCRVRYLPHPRPVDNCLAKITRVSDEY >KQK94830 pep chromosome:Setaria_italica_v2.0:VIII:27720148:27721154:1 gene:SETIT_026872mg transcript:KQK94830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSSRDKKYDPNTDFAGASIVSHCYPYHGQRQTIFRDAARNAYFRNQLLYGQTAAADSPRHQGGVRGAGASSNKGETKPKARTRHGETAVEYPSNYKGGGARGGGGYYSDEDDDSESEDDDDDESDEKEISRGQGGVRRYDHEANKPEKKGAGSYHDVAHQYEAYRRGARERWQGEYLGYGVRAYGNKQKPRDSVVHGTVAP >KQK94436 pep chromosome:Setaria_italica_v2.0:VIII:16571688:16572189:-1 gene:SETIT_027000mg transcript:KQK94436 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKTCPPPVIFFTSTAPQGDQSGTHPGNQVGAHNRLNTGDGNHRRGLSVELVTDQHLRESPATGDHSGHGPKQCHLAHRNCRRRSTVAPPTGEGRDTGTCRDIQQEGAEVMSSCRGAHLSSTTPPPWPPSMGRR >KQK94478 pep chromosome:Setaria_italica_v2.0:VIII:18958037:18960056:-1 gene:SETIT_027478mg transcript:KQK94478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSCLTAEQRPASAARAAPWGKEGEGRRREEEVPGRIAGNGVGNAACLFTRQGRKGTNQDAMVVWENINGRADTVFCGVFDGHGPHGHIVARKVRDTLPLKLCDLIYDDYGESPISNSDGSILEETLSPYADAEDKSPMSGQKQEHREFFCSMKDSFRKAFRVTDKELKLHRNIDSICSGSTAVTLIKQGQDLIVGNLGDSRAVLGTRDQNGRLVAHQLTVDLKPDHPSNSLSLPKFKISPARLLLVTV >KQK93337 pep chromosome:Setaria_italica_v2.0:VIII:618051:618449:1 gene:SETIT_027552mg transcript:KQK93337 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRLSDALSIAAPLKFKSFKNWRHVPVKVPVQKATSDSAFFAMKFLEFYDGDGHGSLHTSIAAERSKELRAETLYYLTFHKQNKVVALPDEILQYRRDDHHPFFY >KQK93618 pep chromosome:Setaria_italica_v2.0:VIII:2327298:2328452:-1 gene:SETIT_027682mg transcript:KQK93618 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDRLGRIRPPPSWSNLLPELAGLILRLLLCRIDRLRFSCVCRRWRLAAQQQRPHLPPALPFICLKALSNYGAFLSLPAGEARRAGAVPAKDVTCHGCFDGWLLYGPHDRDNDDSHKCFLTNPLTGATVEMPLHLGYLAKSNVLYMLKFMVLSPDLIAALSGSYVAFYRPGAPSWSVSPSDIGGDRGARGSYYVDSAFYRGKIFALNTEDELFVHEVGAAAADTVEHAIKAQSPQPVDPEEKFSKKRHYLVVSCTGKLLMVKWTTVPLCCRRPSPRNFDGITVEVFEADLEKGRWLEVSSLDDGEALFVGRGCSKAVRFTGHDERFQGNRVYALGCDNFFRKCHLAMPSYGFYDLRNGEIVKVLHDSMRAAAWPVSGMEWFFPLE >KQK94483 pep chromosome:Setaria_italica_v2.0:VIII:19267307:19268898:-1 gene:SETIT_026596mg transcript:KQK94483 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPLDDKECYSYTTNGAAANGRPQRKNPAPSRPKPTPSKWDDAQKWLVGMSGGRADGVHGGTAKPRNSNGDDRRLLGSSSQNGRVSCSSVDGALEYSMVVTAPPTPPQLGEGDDAGETKKIDCMVQQHGLPVAVIRSVCLRDMGTEMTPIASKEPSRTATPLRASTPVARSPISSRSSTPARRRQEGPVGVATAVAGTTEPMAAGEVGVAGEEGPVDGQGHVPSANSLESRAVAWDEAERAKFTARYKREEVKIQAWENHEKRKAEMEMKKMQMKADQIKARAQEKLANRLAATRRIAEEKRANAEAKLNESAARTSEKANYIRRTGHLPSSFFKMPCLCG >KQK93789 pep chromosome:Setaria_italica_v2.0:VIII:4396049:4397409:1 gene:SETIT_0277422mg transcript:KQK93789 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVILTTLLQLARFAAGSMGPRFSSRRLLIPGVRLLQVMNHNSVTYTLGLMRPPSSSSDDDQTWNDLFQVWAVLIVTMQDSVHIGRPYRTQRMTLIDLLSSLWSANLLREQTRLRLKVPLWLIWSVHASRIVCYYVSSHRAAKASCDKIKLVSDYMTASHDDDDACPRTMRGYRYIVTGEESILDDHDANARPPHDHEELVTVEKVWEQQAHDRLLGSAADGDSRFKDVCLSFALYKLQRRRFFNFPIAEATHPATRRLVSGAILEEGPDGGYDRALRVTEAELSFLHDFLYSNRDWVFSAGFPWVRLLLSLLMTVAASYLFHAVGDITAAIPRRGVFVTHCVIAVVVCRELMEVGVYALSQWTKVAIVCHHLRRLLVEKVARIVFSIISTGRWDQRIRQYNLLMMPGNRVAGGWPVGPERCDIRASIPRRAKLDSE >KQK94166 pep chromosome:Setaria_italica_v2.0:VIII:10294803:10296486:1 gene:SETIT_026866mg transcript:KQK94166 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKPKKWPGPLGFRSPRRRRLCLCELAEMVAHRFHQYQVVGRALPTPGDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFERNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRAPCIQIIKTATVHFKLCKRDNTKQFHNAKIKFPLVSRKIRPPTRKLKTTFKASRPNLFM >KQK94783 pep chromosome:Setaria_italica_v2.0:VIII:26860826:26861056:1 gene:SETIT_028417mg transcript:KQK94783 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEVDPNCHSAAAMMGGGTAMEGSKVRYGI >KQK93409 pep chromosome:Setaria_italica_v2.0:VIII:980489:984211:1 gene:SETIT_027770mg transcript:KQK93409 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEPHEASAKQIESHGATVLQSHGKEKKERFLDFLRAAPSKELWLHRFGVAAPHAFLRRVATLRPRSATYAPAAYANFFLRSPAFARTVDWRALRARCKAWARHPTNAALLVWLAFVAAGVAFVFLLMTGALDSAVPDASRRRRWTEVANQVLNALFTIMCVYQHPRLCHHLVLLLRWRAADAAELHAVYCKNAAAVPWWERMHVAVVLLLLHATCFAQYAYCALFWTFSSETRPDWAVNLCMALGLGFPVAAALYMVYGPLGRKVVLPASTDDEDATAVLDESTAVNATSQYNNGRAAVAMAEWAGGLLDLADDPTVAALSLTCTFCVFGWNMERLGLGNMYVHVFTFALLCAAPVLVFAVAALNIHDAALGSVVGATGALLSVLGLLYGGFWRAQMRRRLGLPGDRSMCGGRPATADYVKWLLCAPCALAQEVRTANLYDVEDGSLYVRNSGDDDVLLEEKPAMAPLEREGCVAPWTANKAGDGVEAATLTRARSRPPARHATPAGSGTCRFMSSAVDASAAAVRRLLLTGVSPNNRLPPLTVKLLHGRLLRLDLLADLSPLLLRTLAYTGLHLHALRVHSLLPNPSHLTFPFALKAASRLPDPHSAGVQLHARSLKLPYHSNPHVLTSLLNLYAKCGLLHDAQKAFDEMLHPSTVSWTALITAYMDAGRVQEAVGVARKAFASGMRPDSFTAVRVLNACARVTDLVTGEAVWRAAEQEGIAGSMFVATAALDLYVKCGEMEKARAVFDRMQNKDAVAWGAMVGGYASSGHPREALELFFAMQAEGMRPECYTVVGALSACTRLGALDLGRRAVGMLHCDEVLDNPVLGTALIDMYAKCGNTGEAWTVFQQMRKRDIIVWNAMILGLGMTGHEKIAFALVGQMEKSGMTLNDNTFIGLLCSCTHTGLVKDGQRYFRNMTQLYRISPRIEHHGCMVDLLSRAGLLEEAHQLIEDMPMQANAVVWGALLGGCKIHRNADLAEHVLKQLIQLEPWNSGNYVMLSNIYSNSGRWEDAAKLRLEMKASGVEKVPASSWVELAGKVHEFRVGDKSHPLSDKIYEKLDELGMEMKIMGYKPTTEVVMFDIEDEEKEHTLVHHSEKIAIAFSLLTTEPGETIRVTKNLRVCSDCHTAIKLISRITNREIIVRDNNRFHCFRDGHCSCNDYW >KQK94541 pep chromosome:Setaria_italica_v2.0:VIII:21484908:21485180:-1 gene:SETIT_027642mg transcript:KQK94541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLVLALHGRGGHCVGLGMDAAEMEMDSEAHRRLLWEATGGRRYISYDALRGDVVPCSRTGVPYYNCRVSTTANPYTRGCESITRCRDAGP >KQK93830 pep chromosome:Setaria_italica_v2.0:VIII:4799493:4802653:-1 gene:SETIT_025870mg transcript:KQK93830 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLQAFGYEYVDSFGSDPSDLMWDPSFGRLPRWSPEFERIMKAFLGKKHLLLVENLHVPVPLDVLFYTMGGRRPSPFQSRWLISTTSEDVCDKSREARTRSPWEVRLSREYYREYYHALPFHDLLQPEWAVLIKEALVDAAASIHNTLKQQGDEFWLHVAQHCLYYGVLYHRLQGAAGCQASTTFSVTSDELVRCLVAEELIFSTTSPTRKPAATGKKQSNYYRSAYEAGKVVVQALQEYSLLPIYSVSTPTSSTSWTATTTSASSFQDTITGVSQLAKGVSRLKQDELYVPKRREKLRWVSFMNGDGRHVSWDWRRKQMAEFIPGKVSMSTLILRGCSNISGFPFDEVLNSFICVLDLSYTPIDSLPPGFSQLLNLNLLSLRGCSQLETLSPSPPSSDEETSPLAHLENLQVLDMNGVPLLEITQQDGSNKRNLHYLDLSGSRITTLPSEFFHSMLSLEELILGHCSNLKELPPSMAELYNLLFLHVEGTKITSFPEDMFEAMQRLRTLKLIDNTLLTSLPRSLSESKGLKELHIYNCIGLRLQFIWDLLPYLEDLYIQTWEALEDIKIHDHRNLRTFSPSGPWIRCLSLRGCSRLKTVNFSDDLTALEDVDLSGTALEEIPHSLPNLPKLKTLLLLNVPCFKRFPWHQLVRFPEVFYLDHCADDHKQFLKMFCKQEICEDENQHTEITTNTAQININDSRIFHSFNADAANKLVKEGQFLQSFNVQVKPCNVRGKKPQNINGEICTKIQRRSPYLDVCQCEAASIFPMMKLQPKQRHVQISAKNRYPNGLRHLLSVTNSMFITDDAFVRCLTDLNYSLICLEECQLQRCHQMTEVFRMNSEASSKSEVFPSLRILQASCLENLLCFVKPVLRRLYNHHGPITLKVLKHIHVEHCPRLEKIFPCSLSLPALETLVILFCYNLKTIFYNQPDYYVAASPLPNIERIYLQELPHLQHFHDDVTFQFDTPKWEKLFVRGCLCFQSLPLLKKEYQKLKVEVSGERDWWGRLQWILPEQSDYYLHVPPPEFVSRKKHIIKSYLR >KQK95882 pep chromosome:Setaria_italica_v2.0:VIII:39175280:39176044:-1 gene:SETIT_027471mg transcript:KQK95882 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKRFAVTAATGALGPVLVKLAALLGDEYKLQEGTRRGIESIKSELEPVHHLLWKLWGSLDLDVACKNWMTEARELSYDMEDDIDGFTLGLERGDGSFIQREATDSPFMEFMERVKDVSKRCGEMQKIGDGIICNRSKLTTDPRALFLHKDASELVGMEPKKKEIIQLLQKNEMVCILGAGGMGKTTLADLVYHAVGDEFQCRAFVSVHPSPNMTEILGIILSQVTDCAMSAGSGTEPAAEQNMVTDISISLSEK >KQK95386 pep chromosome:Setaria_italica_v2.0:VIII:34037146:34037866:1 gene:SETIT_027185mg transcript:KQK95386 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKSKHEHGSKGGVPGQPSPSPSPSSPLLQAEMEAFFVAAELAERRRFAEAYNYDVALDRPLEGRFEWAPVST >KQK93280 pep chromosome:Setaria_italica_v2.0:VIII:338232:343068:1 gene:SETIT_026433mg transcript:KQK93280 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MERSPRPSPPPVSSPPSSSASSSISTEDTPIPPRRKRARTTQAKGAAPDKPKKPRKEAARHRHSKEDPNATAASAGKRSSVYRGVTRHRWTGRFEAHLWDKHCLTSIQNKKKGRQGAYDTEEAAARAYDLAALKYWGPETVLNFPAEDYSNEMSEMEGVSREEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTFDTQEEAAKAYDLAAIEYRGANAVTNFDISCYLDHPPLLAQLQQDPQVVPALNQEPQPDQSETTVQESDSSEEKTPDNNAEPGDNAEPDANAEPDNNAEPLTVDDSIEESLWSPCMDYELDTMSRSNFGSSINLNEWFTDADFDSNIGCLFDGCSSVDEGSKDGVGLADFSLFEEGDGKLKDVISDIEEGIHPPTMISVCN >KQK95267 pep chromosome:Setaria_italica_v2.0:VIII:32813794:32817888:-1 gene:SETIT_025902mg transcript:KQK95267 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELGTGAIGSLLPKLVELLKEEYGFHEGVRKKIKSLSRELESIHAVLRKVGEVHPDQLEELVKLWAHDVRELSYDMEDIVDTFFVRIDDGPEHVDARMLRRLRKKMAKIFKKCKHQRKIAGAIRDMNKRVEEVAARRDRYTVDNVIAKLASPATIDPRMQALYKKTAELVGIEKQSEKLVKILSLGDGVHASDEAMKIVSIVGFGGLGKTTLSKAVYDKHKPAFDCGAFVPVGRDPDMKKVLRDILIDFDYVNPNVMILDERQLINELRKFIHNKRYENLLISMRFLCLFVIDDIWDKKSWELIRCALQHSNCRSRVVVTTRIFEVATHIGDIYKMQPLSRDDSEILLYSRITGGEDRFLDSLSTEACDKILKKCGGVPLAIITIASLLASKSGEDWAKVYNSIGFGDRGNDIVENTRRILSFSYYDLPSYLKPCLLYVSLFREEYGIEKNLLIWKWIAEGFIQNEQATTEIELFELGEGYFNELINRSMIQPMEREDNGYVYGCRVHDMVLDLVRALSSEEKFTTILDSNDQQKLIGGSNTRRFAVHGRSVEESTTALSWLTYMGLEKVRSFSATNINVVTSCFRVLRVLTIEDCSVGEAWGKHRLRHLGSLRHLRYLGILDTQIDELPKEVGDLKFLQTLNLSGTGMQQLPEGVGLLKQLLCLRVNDSITVPSGLIGNLTLLQELKIWPADDASTRQLIKDLGELRELRTLRCTIHVSDESMERDLLESLANLHKIRTLFVFGSALARGITSEEVCFVAPPRCLGQMCLECFKFSALPVWMDSTLVLNLTHLDVTVNLVQEQDMETLGRLPELCYLKLSSDHTRLLSIRKTTGDLHRYFRKLRFFHTPFSFVQFDSHGCESDDDATIAHSIMMPSLESLVFSVYVRFIKDMDIIQPGFENLLGFENVAGTSLQRVTATIQCEDATAAEVEEAKAALAHAADLHPNCPALRIQMENKHKMLSTDREVSGKIQNGAHQHQRKPD >KQK93420 pep chromosome:Setaria_italica_v2.0:VIII:1035452:1039312:-1 gene:SETIT_027545mg transcript:KQK93420 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRASGGMSSSSSMPFCSSSLLLITLPLLLWSSLTIQPAIAKEQYHEFVIQEASVTRLCREHSIMTVNGQFPGPALEIDEGDSLIVRVINRGRYNMTVHWHGVRQMRTGWSDGPEYVTQCPIRPGQSYTYRFTVAGQEGTLWWHAHSSWLRATVHGALIIRPRAGVTAYPFDAAGKPPAREIPILLGEWWDMNPIDVVRTATRTGAAPNISDALTVNGQPGDLYRCSSKDTTTFPVKSGETNLLRFINAALNTELFVSLAGHTMTVVGTDASYTKPYSTSVLMIAPGQTTDVLVTFDQPPGRYYLAARAYASAQGVPFDNTTTTAIFDYSSSSSSGSSSPAMPTLPAYNDTATATTFTTNLRGLRKAELPSRVDENLFFTVGVGLFNCSRGQTCGGPNNTRFAASMNNVSFVLPSTVSILQAHYGGAQQGVFTADFPANPPVQFDYTAQNVSRALWQPAPGTKVYRLKYGAAVQLVLQGTNIFAGENHPIHIHGYDFYILAEGFGNFDAATDTAKFNLDDPPMRNTVGVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGVGELQSLEAPPPDLPLC >KQK93619 pep chromosome:Setaria_italica_v2.0:VIII:2338763:2340113:1 gene:SETIT_026707mg transcript:KQK93619 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLLQRTMGSGSSSDPPLPPGYRFYPTEEELLSFYLRHRLAGTRPQVEHFIPVVDIYSYHPSELQAMAGVANVGDKEQWFFFCPRAERELHGGRPARTTPSGYWKATGSPSYVYSAPANRVIGEKRTMVFYEGRAPTGNKTRWKMNEYKAAADDCIATAYGAPPPLAAGAPVRLRNEFSVCRVYISTGTLRSFDRRPLNPAGGVDQALHCYQQQQVLAPPAAAAAAASQMPAVGVVANGQAAENSHDSTSSESRGVVVDGAEEDSGATAIDWESLADLRFSVVDDLSRVINWPSN >KQK93511 pep chromosome:Setaria_italica_v2.0:VIII:1603488:1607761:1 gene:SETIT_026423mg transcript:KQK93511 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVEVEEVGNKMQSQMRLHAAAAEDDDADLPLPALFDRASRLHGLASSSALDQEGIRKGVELLRRCDEMVSKLGLFSPNETKEDVSTANLKYLLVPYYLAEMTEKVAQEDRIPILKSSQNHLKEFIALCEVLELIPQDELELSRQKQHDTIANRRAQKIARFKRQKAAETKLQEIKERKERRGRSLRAAALSAPVEAGEEDAFEDDGEEEREAWLATISLALCKAFDLLDMLKKEEEMLLAVKERKEKDGNAFAREMLDERTQKAEAWHHNAANRAPYSKPADPITCATFAQDVLEGRASVSQAHEHKHQPMIFGPASLVGGGLTSERERMAAQVFQPGYRLPTMSIEEAGLREMKMMEKWQERTANMIKEANSAWHKDGTSSAQEDEDAEEAKARAWDDWKDDNPRGAGNKKLTPCG >KQK94312 pep chromosome:Setaria_italica_v2.0:VIII:12996715:12998015:-1 gene:SETIT_028270mg transcript:KQK94312 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYVPDRDGAMREVVQAYELIKSHQPHFQFCDKEQGLETVNLAQSLLNEALRALHLALSVLNHETSTAGGGAEGSSRSHMLHLFSRSDAAGDVGAIMSQQRRSKRRRSNEETSQVILTDAPHDDGYIWRKYGEKKINGTHFTRNYFRCSYKYDRGCQATKQIQQQSSNDLPIFQVTYNSEHTCNCTTAANKYIKIDVPRPHLSSCNPNGMISPMADAMIDQNQGVLPPLVEVSTVFFDSTSCQETSHLNYNCGTIDAYIDLGQMVLPQEPLEDNPFSDAELDLLCNSLMYN >KQK94067 pep chromosome:Setaria_italica_v2.0:VIII:8396711:8397020:1 gene:SETIT_028541mg transcript:KQK94067 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGCGLRECGSCPAAAAASGWGKKGHLSNGPGSAPP >KQK94423 pep chromosome:Setaria_italica_v2.0:VIII:15568120:15569532:-1 gene:SETIT_028224mg transcript:KQK94423 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAAKLAVVRTTTTHHRACFPLAVSSATPVTVSLTSVTHKHEAATSAAATATAVMKTEGAVAPPTLGGRAEATSSFPLLASMWPEVHGADDWRGLVAPLHPLLRGEIVRYGELVAACYRAFDLDPRSKRYLNCKHRKRQMLQAVGMDGAGYAVTKYIYAAAPDAVAALPPVGAGAGRRPSCCKSRWIGYVAVASDGEAARLGRRDILVSFRGTVTWSEWLANFMSALAPARFDPAEPRPDVRVESGFLSLYTSEDVSGKFTTGSCRNQLLSEISRLVAEHRDEDVSITLAGHSMGSSLAILLAYDLAELGLNSYPNDSGTIPVTVFSFAGPRVGNLEFKNRCDELGVKVLRVVNVNDPVTKMPGVLFNESASVLAGRYELPWSKACYAHVGVEVALDFFEAGDIVCVHDLQAYIDQLLNSMVGEEEEVMCRRVASMFESWRWQMAVIRAGELMRVLGI >KQK95180 pep chromosome:Setaria_italica_v2.0:VIII:31691157:31692984:1 gene:SETIT_027391mg transcript:KQK95180 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MENAMNNKVVSMALVLAFFPLILTTTFEQVASNLADEWVVVTIQSLKKASPTSLKISLRSIREGRTETIGECLRREYRIVCHVLQGDFRKDFYEGSRALLIDKDQNPRWMPPRLEQVDDEAVEQYFSRMDDPWCEDLNLPTRPYNGINTESKL >KQK94007 pep chromosome:Setaria_italica_v2.0:VIII:7411748:7412053:-1 gene:SETIT_027490mg transcript:KQK94007 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein ATVLLISLLAAALATCSSYPGLRHRTAIIRSTASLAAGAVKEALDKAGLFGSASALPKDVAADLVGVAAATLILALVHRRRRERKAREDSDARIPDNVSMV >KQK94318 pep chromosome:Setaria_italica_v2.0:VIII:13051809:13052854:-1 gene:SETIT_026974mg transcript:KQK94318 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVLGLGGCCGSVAVAAVSPAAGKQSSRGGDAPVAKQQRVVGEEKVKMGGEPGEVAAEGKEGRKKREHQKAPPIVMHQFDQVSTCISTGLSKKYAFKLKELLCFFLSNRHQPFLILLFSISALDFISQDYDVIIELFQRGTSV >KQK94064 pep chromosome:Setaria_italica_v2.0:VIII:8334285:8335628:1 gene:SETIT_028325mg transcript:KQK94064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSYTAAAMPRQSSRPSSSSASKPRKPSPSRSPSPATAPKPKAAAAGSSARRRSPLSDLNSRDASAARERPGCFRFLLPSSAASGSRSGSTPRTPKRPDPKPRPGARRPDRLPDQESRTRTERCAGQEPRRRGLEPIGGQIKKTEPGVGKKQWLGKGRQLEQFEALTPEKKADSSGATPSTGATPPVHASISPEVAAAACGSATPACFAAGHHVLPGVGDRRKCRPRGILAIAGAGLASGDLDAEPSRASIRWLSSPSGAESGTCSTKCGYEEASVNWLVSPRDGGVDPLEDEIFVPRCSSDDAFWRFSPDCTGLLGSPLLGGLLDFGTPVSDMSGTTPSSGFLPVQKTPSSGDSISPFSLIVKRASESSARLRSLCAQQGVGSSCRYGSVADPTPVSGESWPESASNGTRSGLTRTGSHPMKMMDPVLECLEMMSLSPRPGDDYYDN >KQK94849 pep chromosome:Setaria_italica_v2.0:VIII:27995523:28005880:-1 gene:SETIT_025920mg transcript:KQK94849 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFAGEASELQARLAAAVHALNHDANPAARLAANQWLLALQRSPQGWAVATALLAAPDPPPPADLLFFAAQMLRRKIQSPGPALPGAGLAPQLLDALLHAAQRFSAAPAPRQLLTQICLALSALALRAEGGVDDLFARMPLLPAPAVLELLTVLPEEAAQDQGGDTGVDAAARCRFTREVLAHAPAVIEFLHSQSEKVPADDDGVPLHEHNRRILRCLLSWVRVGCFSETPATTLATHPLLTFAFNSLQVSFSFDVAIEVMTELVSQHQELPEAFLSKMPYIREVLLLPALANRSEKIIAGLACLMCEVGQAAPALVAEGGSQALALADALLRCTLAHFILGIDVKTAKRNAAQELFLPVFSSLLDALLFRAQIDTDERGTDGATCMPDGLAQFRMNLEELLVDICLLLGAPAYINKLFSHGWDFSSQSVPWKEVEVRMYALSMVYKSFGDVIGSYSKWLSSCQSNIKPLLLFCASGISQSVSSNACSLALRKLCEDASSFIHEPQNLEILFWISEGMDKGNLRLEDEEEIVSAITHVLSSVRDKELRKSWLARLLYSSYSAVEKIIDIDRDHSLRQNPATYTQSLDLAVRGLYRMSALFGHLSTAITSGLVDDDIILCLLGIFWPLLEKLFRSSHMENASLSAAVCRSLSSAIHSCGQHFHILLPKVLECLSTNFLLFQRHDCFLRTAANVIEEFGHKEEYGALCVRTFETLSSASSISTLNSSYTCDQEPDLVEAYTYFTSMFIRCCPKEAIVASSSLLELSFQKAAICSTAMHRGAALASMSYISCFLEVVLAAVLESPECIPDGSPGVVLIQILARCGEGLLSNVLYALLGVSALSRVHKSATILQQLAAMCSFCERTTWKAVLSWSSLCGWLQSTVKSLPSEYLKQGEAEMIIPQWLKVLEDAGSDYLHRTGDNIRNHQGYMQGKGGRTLKRIIRDFVESHRNAPTPCPS >KQK95197 pep chromosome:Setaria_italica_v2.0:VIII:31840674:31841433:-1 gene:SETIT_027393mg transcript:KQK95197 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MALTQLAAGIPWPACNSNITGYCMAKGTYAGNLRLVAAALPPARQQRLCQSACHDCVTFGFDTARKLCPYNKGAAIFGDACLLGFSDRDFLVASTNLDDQKVFLYIIQNVSSDVVAGRFTASAFIADYVATTNSQSKFGCMPWQFTLNTNGARIAGLRCTTRYEAYRFYNGSSTVPQLPSIQA >KQK93685 pep chromosome:Setaria_italica_v2.0:VIII:3078908:3082489:-1 gene:SETIT_026056mg transcript:KQK93685 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MFVEEKAKHNDVEKEGESKKDHLIYQLESADGSSHSAPDLAAEIRKTMVDVEPTMNTMECMDLSKEKDSCSLSLNYVEGSIPLNCDVERSHPFVRDDSCPTVPIVEQEDGSKPPSNYSQPMQVSDLGVENTDVLDPSKEYLDLKSMSKTVEPSSSSNGGDSAVEKYHAEKTECLLGTESITPALDNVQGSSPLAEPSSSSNGGGEPAVEKNSTERTECLSGMETVTPALDNDQGSSTSTAKDCLVSDVDNSDEANRSGKHFLCTIENERGQLELKNCRPSKQLDHTCLSASLELSSEAKELNDAVPRCSSSAQTFENAVPMKRKRLIVPHSEDAEAMHTKKQRRATKDKKAPVGNPSVRCAPNDAEQLASEAAGTLGHCSLSRMPVVSAPTDQQSFICPQPIDRPKWTYVRRPSYSFESISIFFSAVANIFTFYADSGIMKIGQEYIPLAAHLSNQASKKVQELSLSLPPVMKVTKHSELKAWQSRWEALELTAENISLYFFSDNMRPNKELDRLVQYVTDHSIVLKYVVGLSKLLIFPSVLLPEQCQMFQGRKHYLWGVFRRRLGRSKAATQVKQKVSTVSKSHTSEKKDHQDKMQSDAQNQEMHVSKGTMPSGSQPTPDAVHDVGTETDLGDHKKPQANSEAPPTKLLGLVVAQTPRSEQFIKELENEGALVFAVKGIVKPAPERL >KQK94087 pep chromosome:Setaria_italica_v2.0:VIII:8576610:8577184:1 gene:SETIT_028418mg transcript:KQK94087 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MANMRIYAMSARIVRWIMRPYLLQPPLSVILWLHENTKLKLVSCHLLNI >KQK93457 pep chromosome:Setaria_italica_v2.0:VIII:1243978:1246427:1 gene:SETIT_026744mg transcript:KQK93457 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEEEAGVRRWLVDITRWRPSPAQFDAAASLLPSHERPAIARFVKEDDRKRALVSRLLQYSLVRRVLRIPFHQINICRTPEGKPYLHKNCLTFPNFNFNTSHQGDYVGIASEPLCLVGLDIVSISKPQGETTTEFIINFSSYLTDHEWNCILRAGTHSEVLTEFYRYWCLKEAFVKAIGAGVGFGLHRLEFHHEHWTNISIHIDGQVSRKWRFWLFELDEVHLASIAKGHPEDAVSNYKKTLSNATVVQEQLHSTLESPEGAFTLWTVEQLTQSLE >KQK94737 pep chromosome:Setaria_italica_v2.0:VIII:25959744:25963040:1 gene:SETIT_026324mg transcript:KQK94737 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMPTPASCSGRPLALVLVLAAAALCCRLGSCDGAAADRIRRLPGQPEVSFGQYSGYVGVDDVGKRALFYYFVEAEVDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGQVLVKNEYSWNKEANVIYLETPAGVGYSYSADAAYYQGVDDKMTATDNMVFLQRWLQKFPQYKGRDLYIAGESYAGHYIPQLAEVMVEFNKKDKIFNLKGIALGNPVLEFTTDFNSRAEYFWSHGLISDGTYRVFTSVCNYSRYVAEYYGGALSPLCARVMNQVTRETSRFVDKYDVTLDVCLSSVLSQSKVLTPHQQAGNRIDVCVEDETVRYLNRRDVQAAMHARLVGVDKWAVCSSVLEYELLNLQIPTINIVGSLVKSGIRVLVYSGDQDSVIPLTGSRTLVQNLAHDMGLKTTTPYRVWFEGQQVGGWTQVYGGGTLSFATIRGASHEAPFSQPERSLVLVRSFLQGQPLPETFS >KQK94937 pep chromosome:Setaria_italica_v2.0:VIII:28996556:28998463:-1 gene:SETIT_028117mg transcript:KQK94937 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSHATSPNIKIFTEDEIKDITSNYSTLIGRGGFGEVYRGVLDADYDLVAVKRYIRLDLREEFMEEVSIHSMMSHKNVVKLIGYCVSENTLMLVTEYISNGNLDNILHKSDIPIPLDIRLGIAIGCAEALSYMHSMHLSSDKLIYHGDVKPANILLDDNLTTKVSDFGLSRLLFGGDTQFTTSLKGSMGYMDPIYFHEGRLTPKSDVYSFGVVLFELIARKRVKRGDSNLIPAFNKACAKGKGLRELFDAAIADEKNMKILKEMRKLAAECVTLDIRARPQMNDVAKRLRVLRKDLKGSPQSILATHSSWQNPYKQGTSMPSFKKGFSFFKGNSSNSKILSELRNVRIFTKEEINEVTQNYSFPLSTGTSAEVYKGTLEDNTVVVVNRFLHEDSKELFINGGTTLSQVVHKNIIKLLGCCMEDTTLIFIYEYIPKGSLFDILASQEDLALDLRMRIAIKTAEALEYLHSSAAGIIGHGGVATSTILLDENFIPKLTDFSRAFLNNDPSCYGSVLRNLESDVYNFGGVLMALICRDKNTDHDDLIVKFTEAYETDKSGKAMFDRDITAEDDITLLEEIGRLALQCTILKGDEMVKRPTMKEVAAHLGMLRKSWKE >KQK93971 pep chromosome:Setaria_italica_v2.0:VIII:6929731:6930287:1 gene:SETIT_026972mg transcript:KQK93971 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVVNPPRLGSSTVSPATVTVLLNSSGRPQGFRYRCSDPSKLLTAQPQLFTRSHLALQPPQRRCSPSARHAGAPRRAPAGAMAPPRHSPRGRLARKPAHRRPGRGTSPQLGVAGSSPWSPAWPGKRAFPGPRAAATAPLRGTWI >KQK93643 pep chromosome:Setaria_italica_v2.0:VIII:2552034:2554163:1 gene:SETIT_027281mg transcript:KQK93643 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MILVGLFLQVFLFLFSGKRMRSNKTLGHIVLWLAYLSADSVATFVLGHLAVRAIEPSDQGLMSFWAPFALVHLGGQETMTAFSMQDNELWKRHLLNLVIQAAVAGYVVGKASWPDRRLKMALVLVFVSGFCKYALRTMYLYLARPNFLKSKFAWKLYGQGKTSYEDNRERAINDMEGVLYGSLCKGSTERPLFRESFSLTTDIMAGDAPLNTVRSITLAETGKLPGMLDKFLSRDDHHNAYEYVGTLLAQCYSRLYTKGFVREQLAGFTLCQRKEGPTTSFGSLKSLRTRTIIFEIYFSGSLFPYVAMPIALVLFATAEKGDPLLHSSRGRVDIMVSYLLLVGAIVLDVSSVVSFFFSRFSSKCCSKKKLWSQKLNQYNMINSAEVSNKFVRYIWEKCGYEHDADLSMPIKKFILDTLLVSGTRKEWNIASTRGQLALHHRKATTSTLRALEESVRTGVDFPRSVLIWHIATDICFRYSGDKDAATTYSADGLLKKHCYKQMSKELSNYIMYLVFKCGDLKDAVITKVLLSEEIKMEREESKEQVEISKVEHEGESNEEEERDEIVQLEHEESANDDNNDAAAEDHMKKLCQSAEALYSSPVLPRAREVAQELISIKDEAERWDLIAAVWAEMLYYTAPRCGAAFHAEHLAKGGEFVTHVFVLMYLLGPFLPPPGA >KQK94547 pep chromosome:Setaria_italica_v2.0:VIII:21616891:21620589:-1 gene:SETIT_026843mg transcript:KQK94547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNVFRLAGDMTHLLSVVVLLLKIHTIKSCAGISLKTQELYALVFAARYLDLFVHFVSLYNTVMKLVFLASSFSIVWYMRRHKIVRRTYDKDHDTFRHYFLVLPCLLLALLINEKFTFREVMWAFSIYLEAVAIFPQLVLLQRTRNIDNLTGQYVFFLGAYRVLYIFNWIYRYFTEPHFVHWISWVAGIVQTLLYADFFYYYTMSWKNNVKLELPA >KQK94649 pep chromosome:Setaria_italica_v2.0:VIII:24034757:24035829:-1 gene:SETIT_026593mg transcript:KQK94649 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MELLPDSIAMVSLAVAAAALYARVACTRLRPGLPRLAALLPVIAFLAAVPMSFSSPMVRGISAFILAWLGVFKVALLAAGRGPLDPALPVLPFVFTTALPVKLKRHHDRPDAAAAAMSSGAKSSWLVSCAVKVSVIAAVIHLLQFKNLHLNVRLVLFGIHLYCFLDLILPCIAAAGSALGMELEPQFNKPYLASSLRDFWGRRWNLMASAILRPSVYDPVRARAGKPAGVFLTFIVSGLMHEVITWYLTWLPPTGETVAFFLLHGACCVAEDWCARRWAVRGWPPPPRPVATAIVAVLVMSTTFWLFFPPLYRGGAEEMLLEEYAALAAGIADARRKLLRYV >KQK93311 pep chromosome:Setaria_italica_v2.0:VIII:457073:458935:-1 gene:SETIT_026530mg transcript:KQK93311 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPIASSRLPNLPAGFRFHPTDEELIVHYLMNQASSLPCPVPIIAEVNIYQCNPWDLPAKSLFGENEWYFFSPRDRKYPNGARPNRAAGSGYWKATGTDKAILSTPTSENIGVKKALVFYGGKPPKGTKTDWIMHEYRLTGANKGTKRRGSSMRLDDWVLCRIYKKSNNFQFSDQDQEGSTVEEESLNNNMNSTSAASPNKSDANDHDDQFQFQPTTMSMSKSYSITDLLNTIDYSALSQLLDAPAEAEPPLIYPTTTQTHQSLNYNNNVMNNNSHFNLPEAADACPDYVAPNNCNGLKRKRVMTMDGAESSFDDGSRKLLKLPSDSRSSGHGHFVGSTSSYCNQQLVDTSGFQYSSLLSYPFIEMQ >KQK95044 pep chromosome:Setaria_italica_v2.0:VIII:30250403:30256087:-1 gene:SETIT_025844mg transcript:KQK95044 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MINTPTHRNKMPRVTIYNASFLPPLSFIFFSLLALCPNLHASSGTFNESDALLCLKSQLRDPGGALASWRNDSPAFCEWHGVTCATKQNASRVIALDLESEDISDNIFPCVANLSFLERIHMPNNQLNGHISPVISRLTWLQYLNLSMNSLSGEIPETISSCSRLEAIDLYSNALQGEIPPGLSQCLSLKSIILSNNNLRGSIPPELGLLPNLSALFLPSNYLTGNIPEFLGKSNYLTWVNLQNNNLSGGIPAALFNSTTLSYIDLSQNNLSGSIPPFRQWQASSSVLKYLSLDKNMLSGEIPHSLGNLASLSSLLLSHNNLHGQIPESFSNLTSLQTLNLNYNNLSGTVPPALYNISSLIFLGLGANQLVGRLPADIGNTLTSITNLILEGNKFEGPLPSSLDNATNLQVLDLRSNTFAGVIPSLGSLSKLSYLDLGVNRLEAGDSTFLSSLKNSTQLLELWLDRNHLQGVISAYITNISKSLKVLVLIENKLTGPIPSEIGNFMNLTVLQVDNNLLSGYIPDMLGNLRNLSILTLSCNKLSGEIPQSIGKLDQLTMLNFGGNDLTGLIPSSINGCKHLTTLNLSSNSLYGAIPEELFSISTLSEGLDLSYNQLTGDIPLEIGRLINLNLLNLSNNQLSGEIPTTLGQCLLLDSLHLEKNFLKGSIPNSFISLQGISEMDLSQNNLSGRIPEYFESFTLLRFLNLSFNDLEGAVPGGGVFANASDVFIQGNGKLCATSPILHVPLCRTSAHNRKSTKYIVSVVVPLSTMVAVIAACVAVIILKKKRQAKTLTDQSLKQFKNFSYTDLFKATDGFSPNNLVGSGRFGMVYKGQLKFELCAVAIKVFRSDQLGAPSNFLSECEALRNTRHRNLLRVISVCSTFDPIGTEFKALILEYMGHGNLEGWLHPEEYRKSTKGPLSLDSRIKIAVDVAAALDYLHNRCAPPLVHCDLKPSNVLLNDEMVACLGDFGLAKFLSSDSSTSSIAGPRGSVGYIAPEYGMGCKVSIEGDIYSYGIMLLEMITGKRPTDEMFKDGINLRGFVKSSLPLKINEILEPNLTRYLEGEDTDQVMGGIQKCALQLANLGLVCSEMSPKDRPTTEDVYAEILSIKEEFSAKLDTNLIH >KQK94334 pep chromosome:Setaria_italica_v2.0:VIII:13283410:13283804:1 gene:SETIT_027773mg transcript:KQK94334 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNDCFSSKATKVDQALELLGLGKLCRKNNNRSSTQSIYMGMPGLWQHGAGLLCTYRKIYLITSLKYCYFLIYLLMKSIDGNTSGPGPKFNTSTYPILIRLTKQPILYLYT >KQK93775 pep chromosome:Setaria_italica_v2.0:VIII:4261289:4264791:1 gene:SETIT_025924mg transcript:KQK93775 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAGLLSAFIKKLVARLFVLADEKYKLYKGYKEDIHFLMEELPMITTAIDEQLSGTDDHTLHFKVNELHQLAQEMEDCIDRIMYCASKEQQPWYHINYTSGNRITSRFQLAKEMKRLKRRLQEAHQRKERYPVSRPSHTELPPSSSDRLIAQEDLVGIDAPLKELLEHLVETKGQWKQLNVISIVGFCGLGKTVLAQELYDSEIGRQFEKRAWVSAGQRNSEALLTEILQQVHEPPPLVYSDARQLSIDLRNHLNNKRYFIVIDDIRSKDQWNCIKSAFPRLQDVSSRIVVTTKFQSVANTCSSTNGYVHKMRRLDEECSKQLLLKESCLQEYSDSSSSQSDSKVILDKCDGQPLALTAVGQFIQSRNSVEQPEWEVVCKDVRCHLDSDDCLKRMYQVLTHDYTSLPSHELKACLLYFATFPSNHRVRTKRLMRRWLAEGFVVPSTLCSDPAAQSFKELMDRNIIQSVDVSNNLKVKTCKTYGMMHEYIIRKSLSENIIALFDDGKLQPKYARRLSLHDSSITDATNLEIDLSLVRSLVVIGEAGKAILNFRKYQLLRVLDLEQCTDLHNGHLKDICNLLLIKYLSLGGKITDLPKDIKKLKVLETLDLRRTYVKILPPEVIQLPNLISLFGKFKLPNTVVQNKLQKFLSSGQCKLQTLSGFLVDENEGFPELMVHMNKLRKVKIWCESSATSSSLTNVKKAIQKFIHDVKDPSDDPRSLSVHYEGCPENLLEGLEPPCYLRSLKLQGNIPKLPEFVTALRRLRELCLRSTRMTDDLLTALGNLKDLLYLKLIADELDQITFRDRALPNLLCLCYVVKHPTFPRTEDGALPLLISLQLLCENMDSQCSVQIKGFTRLREVVIYDEVNPDIKANWERAVKEHQNRPKVLLKRADPPEEATGNETTECFASLEGPVQETDIQMPAEEPKFASNSMLCVGLSDLPIAGIGTVSS >KQK95936 pep chromosome:Setaria_italica_v2.0:VIII:39768143:39774892:1 gene:SETIT_025976mg transcript:KQK95936 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSRDAAAAHRLLEIEPPPVWTLRREESFLATVRACCCFDRLIGFISIDCGYTTTPKYTDDRTGITYVSDDGFTDSGLIHSVNREENMQPDVALRYSTVRSFPNGTRNCYTLQSLTPGGKYYVRAAFGYGNYDALGMPPTFDLYLGVNYWTTVSIINSSTAYIFEIVAVSPANYLQVCFVNKGLGTPFISGLDLRSLQENLYPYSTATQSLVLLSFFRDTVGFGPNRYHFGTDYRHIRYPNDTYDRIWQKYEDVPTWTILSDTINGIVNNSPNDTYGAPSAVMRSVSTPVNASTMDLWWSSDSSMNVDANTKFLVVLYFAELETLREDAFREFSVILDNNITLVSAFRPEQMLTTVFTGIVQGTGSHAISLVATPNSKPPLISAMEIYLMRPLNGSATYAGDAIAMMTIQTNYSIKRNWEGDPCSPVAFAWDGLNCTYNTSGSSRIIALYLSSNGLNGEIVPSFGQLASLQHLDLSHNNLSGSIPNFLGQLTSLTFLDLSSNNLSGPIPTSLLQKSQDGSLTLSFRYEQLVLMTENFRNKISEGGFGSVYAGKLEDQTPVAVKIRSQDSSQGDKEFLAEIKHLAIGRHRNVVTLFGYCKDEKHLGLVYEYMAGGNLEQRLIAGSRGQEAPLTWSQRLKIAVDSASGLHYLHSAFNTPLIHRDVKATNILLTEKLDAKISDFGMARALTSETRTHTVTTTLTGTEGYLRAGELRGKTDVYSFGIVLLVLITNRPACSVVDNKHTNIADWVRASLGHGRSRGQDVARVIDQRIRDHCDLNSVWKVVELALRCAQREEAHARPTMTEVVATLEALQREVVASAAGTSSSSAMAEDLQPADAVDQVQLTGAQ >KQK94584 pep chromosome:Setaria_italica_v2.0:VIII:22805959:22806325:-1 gene:SETIT_027411mg transcript:KQK94584 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MMFEHVLFLSVYLFSIGIYGLITSRNMVRALICLELILNSINLNLVTFSDLFDSRQLKGDIFAIFVIALAAAEAAIGLSILSSIHRNRKSTRTGKL >KQK93608 pep chromosome:Setaria_italica_v2.0:VIII:2266439:2267542:1 gene:SETIT_027498mg transcript:KQK93608 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein SANMQDTTIIRGDNLQENVFFVAISLGTPAVLNLVTIDTGSSLSWVQCRHCDTRCYWQTGKAGPTFNPLKSSTYRNVNCSTEVCHAMHKISGLFSGCVDGKDACLYRIRYALGEYSVGYLVKDKLTLPNNYTIDDFIFGCGAGNLYSGSNTGIIGFGNESYSFFNQVARQTNYRAFSYCLPSDHENEGFLSIGPYVRDEKLKLTRLFSYGHLPFYAIQQLGMMVNGIRLEVDPRIYSTAMTIVDTGTTDTYILSPLFHALDKEVTTAMLAKGYARGSLKDKICFVTSGEPIDWNDLPTIEMEFGMSTLLLRMGNVFYVNSDNDICLTFRPDDAGVKGAQVLGNRAMRSFRVVYDIQDRIFGFEAGAC >KQK95884 pep chromosome:Setaria_italica_v2.0:VIII:39201372:39202611:-1 gene:SETIT_027863mg transcript:KQK95884 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHRVKVGPAPGWKCDTAGPVLISDMGDAPGLSTARRGAAPTQNALRVGQMDVMLGVQATGGMAYGAATAALNPEVLYHAARRHAPPRRAGGTGVYLLGMDGGAGVHAHGGGGGYMTGPPMVGPVHPEYQLMPAVASSVVGWSDGGSAMVPALGHPAETWGQAYGEHVYYA >KQK94603 pep chromosome:Setaria_italica_v2.0:VIII:23158146:23161185:-1 gene:SETIT_026539mg transcript:KQK94603 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYGASDPAAAERTAFRRAEKQYKLYKPPNLKGRSRSRSKPAGVEGGGRGDLSAVVDFHALLAADGELPAGIGRRDCAGFDRPVFCFLDRPGFYFIPGALSTEEQCYWIRESLKTFPQPPNRTNLTAIYGSISDLFIAAKNQKILVQVENPDVQERNERNNGGGKTQSKIFKFVEASDVQKGEECRSTTATALVRKLRWSTLGLQFDWSKRNYDVSLPHNKISDPLASLAKNMAIPAMPSGEEFKPEAAIVNYYGPSDMLGGHVDDMEADWTKPIVSISLGCKCIFLLGGKTRDEVPTAMFLRSGDIVLMAGEARERFHGVPRIFTESDQQEISAVVSQLSGEEDCFILDYIKDSRININIRQVY >KQK94402 pep chromosome:Setaria_italica_v2.0:VIII:14529588:14530795:-1 gene:SETIT_028152mg transcript:KQK94402 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRVAGALKRMTGSSSSRSQASANSPHSSEPTPSLTLMDYEEEQEEKAKPQKQAYASLKDWAFCHIKAYDPKLLEKIGMDIDFAFVWSSIGWDEFLPVEELVCRSFSRHGFWGEISGQIVHGKFAPRYNEIQNLTLHLMHKWLAITLFLREDMGMLFLSLRHLVLTSAKWLHLYNCWSLTMPLGPQEEARRSNVYGGRITRSMSRSAAMQQPPPPQPQPQPLVPAGWAPTGYMTGVTPE >KQK94394 pep chromosome:Setaria_italica_v2.0:VIII:14411783:14413634:-1 gene:SETIT_027434mg transcript:KQK94394 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGPQIEQVVVVSIPPNVNRSKLTQEEEKCLQLNAQISYVLIHALSEDVLDAIMDEDDDYHTSHDAHCIWTTLKDIYDACEYHGQKESESEEKTQPTQLRDHKNSPVLADEVEPEYTHKCFMAKGEKKIKDEDEEFEFEFDKKEVVKLMETMCKQRGDLERQENHLSKRIKELESLNEEMKKLNVTNVSLLDKCEKLEKEYACATNSHSCVAPLEEANQKFKVQLEELTSKYVNLQATHKELQCSHERLVDSHTMLEMTHKVMIDMVKSLEPQSHKCTCSISRHNISYANPCNSQAKVSWYDQILVESCDDLIAQENDNLKQEVKKLKMDLSGLKGKSIAQPSQDNRDVVKKLESGSTFQSSCNNADKSTMKQKQDKKKKRLDHIKCFECSKMGHFASMCRKKKEDKPALSKRQRILSKRRCFNCHEKGHKIASCTSSGSHSGKIGAMSIHNDSKELRKNLNDSCAVKHLAPMTLFTNLSRPNIVWVPKCA >KQK94013 pep chromosome:Setaria_italica_v2.0:VIII:7513122:7513592:-1 gene:SETIT_028295mg transcript:KQK94013 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAAVPRRSLIMVRAASKPAEHQEQAAKPDGAYAGGRRQALMLLAAGAASTAVVSRSARADEPAIKVGGWVEIKDVMNPSVQDMGRWAVAEHNAKTGEKLQFRKVVRGQQQVVAGMNYMLDVETNEPSRFYGAFVFDPLPNSSEKRQLKYFKPLIG >KQK95635 pep chromosome:Setaria_italica_v2.0:VIII:36688846:36691834:-1 gene:SETIT_028048mg transcript:KQK95635 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPTKNAGSGAAWRRNSDESVSPRCVLDDGYTTSTSSAGATTAADMASPAVTATYTDPSTTSLPNKLAQSTAARQDGVPAAAWKAVAEAWRSRAKRQLSGRIIPPLVPAMSSTLRRLSLRRPDGDRAAAAGEAHEFCVLKPTLRTFTLAELKKATRNFSKENVVGRGGFAKVYRGSLPGGELVAVKKLTPAAEGGDRVEGFLAELGHVVNVSHPNIARLVGVGVDGGEHLVLPFSRLGCLSGMLHGGCGGGAEPMPWEARYRVAVGTARGLEYLHERCARRIVHRDIKPANILLMDNYEPLICDFGLARWLPAKLTHLQVTVFEGTFGYVPPEYTTHGVFSEKTDVFALGVVLLELLTGRRAIDAAKLSLVSWAKQYLDDGDEDEMLKMADPALGGRYDVEQLRNMAWAAKLCVHSSPDHRPQMSKVVRILVGEGTHPCAEGGQHGTHHLGELHEMNGYDAAPGYSDDLSRHKALAFDFDGESTPRTCVGS >KQK93699 pep chromosome:Setaria_italica_v2.0:VIII:3334025:3335815:1 gene:SETIT_027981mg transcript:KQK93699 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METMSYPCSPLLSFPIHEESCYPLWSPEVACHENSPVDPSPYHHEDCELFDTIATDSSDTHGQNAFGVDALTHRHEKLLRQENGNLAAIQEELMEENSLSDLLLTGAEAVEAGDSSLASVVFSKLDDLLHVTCENAATSCFDRLAYHFAQGLQSRMSGACSPCYPPEPVQSGTMSVHQMIQELSPFVKFTHFTANQAILDATTGNMDVHVIDFNLGEGVQWPSLMSDLARQGGKSFHLTAIITDADYNNNTHHTAARCLAEFAESLNLPFQYSSVCIHNKENLEDFSRNLEGSVVVSCDTTNLCYKLRNKLQMLLLGCVKKLQPTLVVIIEEELVGFGKEASLSQGSFVEFFFEALHHFTTVFESLSSCFRSSSNRIFLRLVERDMVGPKIQDFVAQYGSVTLEAPPRNLEGFMSCELSARNIAQARMLAGLFNRSFGIAHEKGTCIDEAVVEVHPKQQFPDGEAAAEGHGRGGGGAREEPAKGAGRQRRGASARPCRRRRRGWEAEEGGGWEGVAG >KQK93541 pep chromosome:Setaria_italica_v2.0:VIII:1793666:1795873:-1 gene:SETIT_027804mg transcript:KQK93541 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASPAATCAASSSALAPHPPALLNYAAIHSCLLRGDARVSLPLLALLLLLHFRFLAASASAHFTPAVSRLAARLRLSPSMAAVTLLALGNGAPDAFASAAALGGPGGMPRAGLAAILSAGAFVSAFVVGAVALIAAPFAVPPASFARDVFFYLLAASGLFYIYLSAEIFLWQAVGLVLFYVFFVGLVFYMDLSGDEGKAVANSAAELQMANGIGRVAMDLPVTEVWEWPIAFILKLTIPSTLPSEWNKVYICANICLCPLLLLYSFSSFIPLDTGIVFILPQIRFPIWCVVLFASFCLAISHFLLEKESPESENISSTLISFIMSVFWISTMAGELLNCLAAIGVIMDFPPAILGMTVLAWGNSVGDLVSDVALARAGQPTIAIAGCFAGPMFNMLVGLGTALVVQTARVYPKAYVLEFHVGIVVAFVFLLLSLMCTLLVVTWARFRVPRFWGYCLMGIYILFTVVSIAIASTSG >KQK93896 pep chromosome:Setaria_italica_v2.0:VIII:5726138:5727206:1 gene:SETIT_0263072mg transcript:KQK93896 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGKAVARHAVACRISYPHDPPCRFAVPLDWKEPMPGSGPCCDPISCLTPPPFPPCPLPPCHRSIVTSPGSRTDSVAVILRLKTMSCTVFGDQTLSKKGGNRRECDEDGMPRHPSRPVSYLPMAAFPLRFRGVRRLHYSQKPSSRATGQQGEGKYQAPATTRRDPRCGDLRASFAAGRTRPAQWRAAQLKGIVKMIDEKEADITAALHDDLAKPHMESYLHE >KQK94767 pep chromosome:Setaria_italica_v2.0:VIII:26653419:26653890:1 gene:SETIT_028631mg transcript:KQK94767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLPWKPPPWLEAADQRPPPNRPLDVEMSRRRASSGRLSQIWRRQSTGGGWECREATEHERQRI >KQK93625 pep chromosome:Setaria_italica_v2.0:VIII:2396163:2400576:1 gene:SETIT_026149mg transcript:KQK93625 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKAALVADSDGAATAGGSFASLRAYGRALAQTPRRLARRACAASAPGEEMSRVRARSGPRMARALRWWDLVGLGLGGMVGAGVFVTTGRATRLYAGPGVVVSYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGELAAFLTGANLIMEYVFSNAAVARSLTAYLGTAVGVDAPSKWRIAVPGLPEGFNQVDLIAVGVILLISVCICYSTKESSVVNLVLTGVHVAFILFVIVMGFKHGDKRNLTHPADPSRNPGGFFPHGAAGVFNGAAAVYLSYIGYDAVSTMAEEVERPARDIPAGVSGSVVVVTALYCLMAASMSMLLPYDAIDPEAPFSGAFKGMKGKAWVSNVIGAGASLGILTSLMVAMLGQARYLCVIGRSGVMPAWLARVHPRTATPVNASAFLGLFTAALALFTELDVLLNLVSIGTLFVFYMVANAVVYRRYVGDSDNSDSSRRAWPALAFLGAFSLIALSFTLLWQFVPAGGARAGLLAACAAAAVAAVAAFHALVPQARAPELWGVPGMPWVPAASVFLNVFLLGSLDRPSYVRFGFFSAAAVLVYVLYSVHASHDAEEGGGGGAKVLDEGCKV >KQK95241 pep chromosome:Setaria_italica_v2.0:VIII:32565851:32568964:1 gene:SETIT_027667mg transcript:KQK95241 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCGDVEVPYPFGIGANCSRPGYDYFTVDCNHSFNPPRPYTGSLEIISISLDAGEVRVAAPVSYICYTSSNTFQSSQGFVWKVNAPYLISTARNFFTVIGCNTLAYLQGRDDWSYYTGCITSCENLEEAARNGEECTGLGCCQTLISRNLSTIGVNWNNGTRSINPAWSYSPCSYAILAEKGRYKFNPRDLVRDENDSFASWVAKEKIPMVLDWAIRGNGSCRPPAKDAGPLAKLTAPDCVSEHSFCVNATQGPGYLCNCSEGYRGNPYTIGGCTNINECQEYDNPCHSSSTCHDTLGDYKCKCKFGLKGDGKSDNGCQPIFPPWAIAIIATFAIVVVACFVIMDVKRRKQRRFFDKNGGEILKSMGINIFTEQQLKKITNSYKETIGEGAFGKVYIGTIDEGTQRVAVKRASVKGEALPQEEFVNEITFQFRISHANLVRLVGCCLETDVPMLVFEFISKGSLFNVLHGADDQEALRLLERVDIAIGSAEALAYMHSHGGHNHVHGDIKSGNILLSDDLTPKVSDFGSSKLVSVASMYSKWCVSGDMSYIDPVYIKSGRFTEKSDVYSFGVVLLELITRKKAKYGDNSLPLDFVKCCKEEGNGRKLYDRDILSDDDAQAHRHMECLDRIGALAVRCLKEDVDERPTMAEVLDELKQLIVS >KQK93910 pep chromosome:Setaria_italica_v2.0:VIII:5899015:5899390:1 gene:SETIT_028432mg transcript:KQK93910 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEKIVKSTFPSLSLGEECHVTDCGNPRHDIDSASSKELFVDYKF >KQK93242 pep chromosome:Setaria_italica_v2.0:VIII:123195:127325:1 gene:SETIT_026692mg transcript:KQK93242 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDKIFNTTSNTIMPSTIQNKQEEAAIPPAAAGNVKKAKQQQAGGERMPRPQQEQALNCPRCQSTNTKFCYYNNYSVTQPRYLCKACRRYWTKGGTLRNVPVGGRCRKKKQNPSASSSSSSDSKKMNSSTQQLLMMMPPPTAANLSNVLPTFMSATGVGGFELPSSDHHSLPFAPLSLPSNPGTTPSSFLDLLPFLPTPSSFGAMMLQHGPGMTGAGGGLQQQWLPSSQHGNDGSLFAAGGSSAAASVQEPQQQQEEVGGGGGDGGSAADAAGNDDDDMGGGGGASASIISTYWSSSHI >KQK95141 pep chromosome:Setaria_italica_v2.0:VIII:31320471:31326236:1 gene:SETIT_026179mg transcript:KQK95141 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSAAACLFAAAVSLTLPSTSAPAFAGASGRRSRWIPAALRSSPTRRRGPVRALDERLLEAAAAPAAKDTEGEEAGVDVGDGFGDAEGDGVGAQEVVEEEQRPPARAFVKSRRQRQEEEEAAAGQDRFKLINGKEIFQEKAYLVGVEFKRTGGNLFGIEESLKELEQLADTAGLVVVGSTYQKLSTPNPRTYIGSGKVSEIRSAIQALDVETVIFDDELSPGQLRNLEKSFGGSVRVCDRTALILDIFNQRAATHEAALQVTLAQMEYQLPRLTKMWNHLERQAGGQVKGMGEKQIEVDKRILRTQIGALKKELESVRKHRKLYRNRRQSVPIPVVSLVGYTNAGKSTLLNRLTGADVLAEDKLFATLDPTTRRVLMKNGTEFLLTDTVGFIQKLPTMLVAAFRATLEEISESSVIVHLVDISHPLAQQQIDAVDRVLKELDVESIPKLVVWNKIDNTDEPLRVKEEAENQGIICISAMNGDGLEEFCNTIQAKLKDSMVPIEAFVPYDKGDLLNDIHKVGMVEKMEYKENGTFVKAHVPLPLARLLTPLRQQVVAAV >KQK94094 pep chromosome:Setaria_italica_v2.0:VIII:8676769:8678349:1 gene:SETIT_027433mg transcript:KQK94094 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLGSLAGSLTSAVVGIAKDKLAAAIVEQANSLWNFGDDLEDMNSMLETISAALQDAERRSAKEKLVQLWLKQLKHAALEIADMLEDYQDNSERLTAKKPGVLSWMKSMREELRKINKDFRDFNFSESGTCTSLERHDEDRETSSRLPEEPIGRNREKQEIINLLSAGTKNDETVIVSIHGLGGIGKSTLAQLIYNDAQFKKYDHRIWVYVSRDFSLKKIGSSIISLIPIEGGQQNRDTLEAINQCLDNLLRGKKVLIVLDDLWEEKDTVLGKLRSMLQVGKGTTIDVIVTTRKEDIARKVSTCTPYKLQPLNDYTCWEIIKRYSRFEDQHYQERLEKIGLDIAKKCG >KQK95289 pep chromosome:Setaria_italica_v2.0:VIII:33057158:33060395:-1 gene:SETIT_027705mg transcript:KQK95289 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein FRHYRPVFSIDGTFFIGKYRGTLLIAISCDANNMLVPLAFALVERENNDSWGWFLRLVRIHAVGPGKEVGVIFDRHQGILHAVQEQIEGYPPLHHRWCTQHLRHLSIANERASTHEVQYFDLGIGTYQVEHRGGTTSDGEIRESRIHVVVLRDFKCTCGRPRQYHFICSHLVAAARHRNFDIESMIPHDFSVDTLVRTWSPRFVPFRDPREWPPYDGPKYVADPAYRWNKRGTRKRTRHNMTMDQVSGRTRHGRATPFLTDPEQNECSKCGRLGHNSHTCHWQISEVLPLLRSRAHDGFLALQYDDRYTPLLEMAGLDVISYQVRRGMPKFNSAAITALVDRWRPETHSFHLPFGEMTMTLQKMLGLSIRSWAVTGPCVSEGWRARVTAFLGREDADAETVGHYCRAWILHLFACVLFPDSIGDTTSWMWIHCLTDWQQAGHYSWGSTVLCFLYRQLCEACRRTSGSASVGELPRRQPTWAYLWYHVKVSHTRLDHAYLDYINELDALTAHSVNWQTYEGEDALPFTVSFMCAADDDLYRMKCPLICFYAIEYHLPDRVTRQFGIRQIWPTPTTSTSVESHSMDRKKKRKVSEWPAFHQAYIEEWEHFHDNVDENDEPHTNSEYRQYQTWYQGATRHRLRVQWTQDDYADIHSSDDEDTSFSDLVCK >KQK95412 pep chromosome:Setaria_italica_v2.0:VIII:34270255:34270748:-1 gene:SETIT_028625mg transcript:KQK95412 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPCEFLEQIMDPLEEHHTGAMCSATESYSLKFLLENDPQILCSLENTALKQWIHQPFQGILTRKGQKGKP >KQK95542 pep chromosome:Setaria_italica_v2.0:VIII:35480716:35482173:-1 gene:SETIT_028154mg transcript:KQK95542 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSTEQHHGAADVVIAAPLLPSPAPEPPRRNMFAFICATLASMTTILMGYNLALMSGAELFMREDLGLTDEQVEVLSGSMNVFMLGSILAAGWAADAVGRRGTIVLANAFLIAGALAMSLGGSYAALHAARSVTSVGVGFAVVVAPVYAAEIAPASTRGMLSSLPEIFVNAGILLSYVSNYALAGLPPRFGWRAMFAAGVAPPVLLAAGVLAMPESPRWLAMRGRDAEARVVLSRTSDTPAEADDRLREIKDAIAATQGNDDAGVRRDLPLSGPSSPTTIRRIFTDVLALQFFHQASGIDIIVLYTPLVLKKAGISSNRSVLAATVAVGVVKTGFILVATLFSDRVGRRPLLLVSTAGIAVSLTSLAITLFAASVTTAASVPAACVASLVAYVAAFSVGLGPLAQAYSAEILPLRLRARGTSLGTAVNRLTCGVLSMTFISLANTISMPGCFFLYAGAAVAAWVFVYVRLPETRGRSLEDMDQLFS >KQK94718 pep chromosome:Setaria_italica_v2.0:VIII:25648208:25648642:-1 gene:SETIT_028562mg transcript:KQK94718 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVWECLSSEALEAVCMRDGLMQHHANAAYLFANDLM >KQK93425 pep chromosome:Setaria_italica_v2.0:VIII:1058767:1059153:1 gene:SETIT_028594mg transcript:KQK93425 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGMGDASIRKKNRKLHWELVKAATIKYNLKAVATTTEP >KQK94874 pep chromosome:Setaria_italica_v2.0:VIII:28259516:28262742:1 gene:SETIT_026805mg transcript:KQK94874 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSPSEPTREESVYMAKLAEQAERYEEMVEFMERVARSAGGAGGGEELSVEERNLLSVAYKNVIGARRASWRIISSIEQKEEGRGNEAHAASIRAYRSKIEAELARICDGILALLDSHLVPSAGAAESKVFYLKMKGDYHRYLAEFKSGAERKEAAESTMNAYKAAQDIALADLAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDSLGEESYKDSTLIMQLCVTT >KQK95357 pep chromosome:Setaria_italica_v2.0:VIII:33728925:33729694:1 gene:SETIT_028568mg transcript:KQK95357 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEAAVVVASMRQGLPRIDAATGQTVVVRTWPVMIRCLLQEPAAACRAAQLGFHILGLSDVGGTGE >KQK93917 pep chromosome:Setaria_italica_v2.0:VIII:6016645:6022068:-1 gene:SETIT_025905mg transcript:KQK93917 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLDVCAPHGPAKLPGAPPASLAGARVAWVPARWWWRPAAAARHGVAARALSFGSRLGLDSQNFHTRDLSQLLWVGPVPGDISEIEAYCRIFRAAEQLHTAVMSALCDPETGECPVRYDVESEDLPVLEDKVAAVLGCMLALLNRGRNEVLSGRAGVASAFQGSEDNTSDRIPPLALFRGDMKRCCESMQVALASYLVPSEPRGLDIWRKLQRLKNACYDAGFPRGDGYPCPTLFANWFPVYYSTASDDSGTEELEVAFWRGGQVSEEGLAWLLEKGFKTIVDLREEDVKDDLYLSAVQEAVSSGKIEVVNMPVEIGTSPSAEQVQQFAALVSDGGKKPLYLHSKEGVSRTNAMVSRWKQYVTRSERLAIQNRSLSGNGKPLANDKTEQHTGSPSSSTNVTKNGTPAESDRTMNNGESCEIDIETARHNLEVTNALSSDQSTEQGELPGTRSELLSNFTLETNPLKAQFPACDVFSRKEMTNFFRSKKVYPKSVLNSRRRSSSSVVSRRKQNLSEEHNGAIDYEAAEFAVSKSSNGKAFDNDYILSVASGITNGKPSNGASTLLQEKERETSVVTVDTRASPSSSNGNVQVASQKSAEKNGTPYLERNKSDAVDGNMCASATGVVRLQSRRKAEMFLVRTDGFSCTREKVTESSLAFTHPSTQQQMLMWKSPPKTVLLLKKLGDELMEQAKEVASFLHHQEKMNVLVEPDVHDIFARIPGYGFVHTFYTQDTSDLHERVDFVTCLGGDGVILHASNLFRTSVPPVVSFNLGSLGFLTSHDFEGFRQDLRAVIHGNNTLGVYITLRMRLRCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHDHLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSACLELKIPNDARSNAWVSFDGKRRQQLSRGDSVRISMSQYPLPTVNKSDQTGDWFRSLIRCLNWNERLDQKAL >KQK93564 pep chromosome:Setaria_italica_v2.0:VIII:1939421:1939777:1 gene:SETIT_027908mg transcript:KQK93564 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRFLRCLSRTIAAAKDGDGPAAAASGHLRQKKGKGVSAGVVPEGHAPVCFDEEGGPVERFTMRAELLDEPAFVALLRHVVQDYGYAHSGEFHYLLLRRLPLCLSDNSPFSPSCNVAAQ >KQK94217 pep chromosome:Setaria_italica_v2.0:VIII:10970152:10975380:-1 gene:SETIT_025955mg transcript:KQK94217 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEALLTALSKIGKVLGDEVIKIVIAEASKKVTNLRKLPENIRQIGIELNMMNKVIQDLDTTNLSINVVNGWIAELRNLAFHVEDVMDKYSYNAFKLQEEGSLMWFLKGANNAKVFSDIADEVVQIMGEIEQVKKLQKDYFPAVQFVPSSSVIVQRGYQVCLPELIQDEDLVGITLNQAKLNGWLHCNEPNSIVITVSGMGGLGKTTLVMNVYEREKTKFPVHAWITVSQTYTIEGLLRELLRNIGYTDEASDSLENMDAHQLREKIKNLHSNSKCLVVLDDVWKKEVYHQMADIFQIIKASRIIITTRRDDVASLASSERHLQLQPLESPDAFRLFCRRAFNNIKDQKCPPELEDVAASIVDRCKGLPLAIISMGSLMSSKIPKKHAWNQVYNQFRSELAKPDNVQAILKLSYNDLLGNLRNCFLYCSLFPEDYIMSRESLVRQWVAEGFAVTNEKNSPEDVAELNLMELIARNLLQVEEYDELGRVNSCKMHDIVRDLALSIANDEKFSSANDQAAMIQMDQEVRRLSLCGWKDSDAPTTRIPHLRTLFSLDGVISTRMLASIFSESSYLTVLELQDSEITEVPVSIGNLFNLRYIGLRRTRIKSLPECIEKLSNLQTLDIKQTKIEKLPRGIVKVKKLRHLLADRVIDEKQKDFQYFAGVQPPKDLSKLVELQTLETVEATNDLADQLDKLRKLQSVWIGKVSAMHSAELFATLSNLPLLSSLLLNAIDENQTLHLEALKPQYKQLHRLIVRGHLAARTLQCPIFHSHGENLKFLALSWSGLQEDPLPLLAQHVPNLTYLSLNRVSSADTLVMSDGCFPQLKTLVLKNLCNVKLLMIGKGALKNIEGLYIVGLPKLDTVPEDVESLRFLKKLRLLHLHEDFKAEWDRKGMHQKMSYVLELRI >KQK95682 pep chromosome:Setaria_italica_v2.0:VIII:37141732:37141965:1 gene:SETIT_027169mg transcript:KQK95682 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRVRTGAPRCGRSGLTDESPPKLRNQMRARLELRGLIWSPAICFRTSNGIFCCLLVTSRYVHNYIHVILFQMFCYL >KQK93812 pep chromosome:Setaria_italica_v2.0:VIII:4620144:4621297:-1 gene:SETIT_027958mg transcript:KQK93812 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPNRPLIMYVHGSTTAGVGAGWEGGDGELAKPLRVVATHDDYVLLEMRAPHNRWHGQDRDSIKFDYLLYEAADNGISAGVPRLLSLSLLPGCYFSMRYERGEGSDGGDGLRPRRRRARKLLRCDAGPPAPWRQQPRGGPPRRVARQAPGNEGALRPPPRPIGHEWELNQAVPIIHGEAMRRWQTDATVPIGDRFLCFVDYLHGFLLCDMAAAGPLELRSGGAHRQSFFDGRPYMSYSWNMGAAGTGAVRFVSVEPQCCRGGHGWTTCARSRFFFTVTTWTMTLSLMDKPMTWVKDGVLHCEELWALPAYEGLPQVTVESPMVTFDDPDVVCFVVCERDYVKFSDRKVWIVEVDTMSKELRSVVPYTTGGQPENLVPVNLL >KQK94992 pep chromosome:Setaria_italica_v2.0:VIII:29626538:29627028:1 gene:SETIT_027468mg transcript:KQK94992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNIREICTLPTGTKAQFSTSTAGPWGGSDGALTKTITLAPSETIKQVYGTTRTVEGDTVVTSLTLVSNLTTYGPFGKANGTAFCSQVQDHKTIAGFFARAGASVNALGVYYA >KQK93688 pep chromosome:Setaria_italica_v2.0:VIII:3246166:3247789:1 gene:SETIT_027541mg transcript:KQK93688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein VKNVEYTIGDALSFTEFIMDLRRILAEHNKDREDILDRIELENLQSSRQHPVLAKLHGEPWSWLHVKLQVKTRWTTTLIMRVDSLYVCGFMDQNGKLYRLIDSKTASEAIIPRRHHNDIEDLDWTVSYKSMLGATDDEIVHKLTNAGLGRDFAMKAVRRLSGPRHPNDEVTGMTNGKLALGGLIVLVCESARLNPLHDSFARGWSTGDGFSEELMRKYVWGYYGKTSGKLRKWKSENYANLNPIPQLQAMHLVLNAPPFQSCTGHGRPLVELLAVHANLSVVDTKIIVFDGKRGQIIYKHAKQGEEGRMVDLVLTGPYRGISAYASFTIKVDIPKANPARFEWDCYDQSNADKVDAVNPSYGEIKDKDGKLLAEVTYAVMSDALEATVQQVMLRLKDGHTLNDVHGEIKARIDGFKVGSILFKPTQGAGQCFSPAGDSWFLLQLARNVVAVPCGKVLHIEVDLKTEASNDQGPMPLEVNLKFDNGTLSQSSLDDNGNEVKVDIAWYPE >KQK93622 pep chromosome:Setaria_italica_v2.0:VIII:2362635:2363630:-1 gene:SETIT_027389mg transcript:KQK93622 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDAAGAATPAAVEDLPADVLALVLRRLDGASLAAVGCACSALRDLAADPGTWRGLCLAMWPSLRDVPCFSRASAGGGHYYRALFADAFPFPDTTPSIPPPAVPGSAGSRPRSPLPARLVSAVDLHHGGACIMSRVVETDAASGWFLGAPFRVDALVQEGFTAPLSPATTIAPGDLALSWILIDPATGRALNASSRRPVSVDRKWLTGDTVARFAIVLPGADAGGSDVALEAAVTCDERRGHVREVSLCAEGGDGGGVSGRDALAAVAAAMAGARRGGRGAEGAARQRYEEFVRGERARKERKARREGIVDLCCSGVGAAAFLGFLVMLTLR >KQK94624 pep chromosome:Setaria_italica_v2.0:VIII:23630062:23630273:-1 gene:SETIT_027219mg transcript:KQK94624 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQIDKSKGYKSIYRSICIRLILISLAVEIGDKKQLKNMYTKKLLIKYVMQNGDPLPLLLI >KQK94252 pep chromosome:Setaria_italica_v2.0:VIII:11938206:11938798:1 gene:SETIT_027204mg transcript:KQK94252 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVSSRILLSSGTLPWWMGSFLVLKLVRGRGMEVRAAPLPIWWKSGRSWWRLSLMRSKTREWRQWC >KQK95002 pep chromosome:Setaria_italica_v2.0:VIII:29747041:29750570:1 gene:SETIT_025916mg transcript:KQK95002 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVTPRSCASFHGAAAVMCLLLLFSPAPTTAVAASSVSGSCIAAERDVLISFKAGITNDSAGWLRSWRGQDCCLWYGVRCSNRTGHVVKLDLYNKFVTEDHYGPVDNLLGLSGSSSLLALRHLKSLTYLDLSNLNFSGQVPPQLGNLTKLKYLSIHAYSYNNQYPYASDVSWLANLHSLEHLDMSNVNLRAAVDWVQWVNTLPNLRVLHLSGCDLPSSIPSLLHKNLTVLENLDLSSNIFLSPAAPNWYWDVTSLKSLNLAYTYFLGPFPDELGNLTKLHTLDMRYNEIQGMIPSTLNRMCSLQSIHLSEVNIGGDIAHLMERIPKCSLNSLQELILDRTNITGTIIESVSDFTALSILDISYNHLSGSLPVEIGTLQNLTELRIRGNGFTGVISEEHFSGLMNLKYIDLTGTHLQVMVGSDWEPPFDLHKAYLSSCYLGQIPNWLRWQESISDLNISDTGLIGVIPDWFWTTFSNATSLDLSYNQLSGKLPLDLEFMSSTLLLLQSNNLTGSVPRLPRSIILLDISKNSLNGQLPSNFGGPNLQVAVLFSNRITGIIPDSVCQSPQLQILDLSNNLLTRGLPDCDREGLKQQNQSRSNSSRVGFGSAHSYSLKISVLLLNNNCLSGGFPLFLKQCQGLGYLDLSQNRFSGKLPAWISDNMPKLLMLRLRSNYFSGHISIETRRLSYLRILDLANNTFSGVIPKSLANLEALTTTPKSLANLEALTTTEDVDPLFNPFIEHYKSGYFTYDMGMSGDSFSLATKGQVLQYSGNAIFVKSIDLSYNRLVGKIPEEIGSLLGLINLNLSSNFLSGNIPYKICNLQGLESLDLSNNQLSGEIPWCFSNLTSLSCLDLSYNHLSGRIPSGHQLDTLRADDPTSMYIGNLGLCGHPLRKLCPGDQPPVQRDPVMLHEDDKAKIDFHLGLIIGFLGGLWIIFCGLLFKKTWRYAYFSLFDKLYDMISKK >KQK95415 pep chromosome:Setaria_italica_v2.0:VIII:34286865:34290796:1 gene:SETIT_027454mg transcript:KQK95415 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATILDSLVGSCAKKLQDIITEEVILILGVKEDLKQLQRAVNQIHCFLDAEQRSTEESAVSSWLSELKDAMYEADDIFDLARLEGNKLLMDYASSSRTSAACTRFLLCSCLPNIQRCHEIATRIRKFNTELEKILRLGERFLRLQNMLPKVEVSAVRRKKTCELLEPNLVGKEASLSCTRLLELILSQKENKEYKVGIVGTGGVGKTTLAQKIYNDHKIKGNFSKRAWVCVSQVYNEVAILKEVLRNIEISRALEDKIFFLVLDDVWQYEVWTDVLRTPLNTAAIGIVLVTTRNDTVARAIGVDKMHRVELMSVDVGWELLWKSMNISKESDVQNLRGIGMQIVHLCGGLPLAIKVTASVLATKEKSENEWRKVLNRSAWGALYLSYDELPRCLKQCFLYCALYPEDFIMHRDDLIRYWVTEGFVQEQEEQLVEDTVRNIFDYARCKMHDLLRQLAQHLSQDESFCGEPWSLEAKSLARPWRVSIVTDKDVLMPPKMDKATGIPYLPESVGSLINLQVLSLQGCHALHSLPTTVTRLCNLRRLGIFGTPINRVPKGIGGLKFLNDLEGFIVGDGSDNSSRMQDGWNLEELGPLLQLRQLEVNKLERAVPCTAGPFLIDKKYLKVLELSCTQCIDKPYAERDINNIEKMFEWLIPPHVLEDLVIERFFGWRYPTWLSTTHLSSLKYLNLIHCKSWVHLPPVGKLPHLKYLRIVGATTVNKIGPEFVGCSIGSPGSADAVAFPNLEHLIIDDMPNLEEWSIVEEDAASWQNGKALVPMLQLLPQLQKLDILAYATSLKSLQLRKAACLKEVENLPFLSDLLLITGCGRLERVFNIPQMQQQQQQQQQQEMTEQSSRCWQQQEMQVPSYLSRCSQDVLETHVHSLQKYLAATGTTK >KQK93545 pep chromosome:Setaria_italica_v2.0:VIII:1837073:1840598:1 gene:SETIT_026525mg transcript:KQK93545 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVEEDAAPAAAAAAGSSDNEITVEDASFVHTEPPQDGSAPPVVSSDMEVLRDKVRKQVIKEGHGKRPLKFATCFVHYRAWVQGSSHKFEDTWQEQHPIELVLGKEKKEMSGLGIGVSNMRSGERALLHVGWELGYGKEGSFSFPNVPPMADLVYEVELIGFDDIKEGKARSDMTVEERIAAADRRKIEGNEYFKEKKLEEAKQQYEMAIAYMGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKQNRFNEAIVQCSIVLSEDESNVKALFRRGKAKSELGQTESAREDFQKAKKYSPEDKEILRELRLLAEQDKALYEKQKELYKGLFGPRPEVKPKKANYLAIFWQWLVSLIRYLVRMFKHKNE >KQK95287 pep chromosome:Setaria_italica_v2.0:VIII:33031285:33032680:-1 gene:SETIT_027487mg transcript:KQK95287 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TRNSPTTIVRFSAILPSVPSHLNITTPTAVAIGPYHHGLSHLSRMEAAKNSAVADFCRVAGQAREVVRRRIGKLAETIRNCYDIGDKKLTNDSPVAREDLVDTRMSAIARDILLLENQIPWVVPQALMESGKLDSNKIVSEFLDRMATAFHVGNRISTKTSPPPRGRESPRMMSEPARARGGDAGRRRRRKKNKTTGMWARRVSKELASAYSFPMGSSVVELAEMRVKLTAIKTKKFGDMEMKKHRWPLGLFGELSLAPVALNELTACWLINMVAYEAFLGATQADNFAVSSYIFLVAHLINREEDVRDLHARGIISSAMSDGETLHFFKSAAPSLRIGDRYIQISKRIHEYKQERWIWIAIHRFLYDNIKVIVAVVSVVGVLTGLFKTMLSLRQPQR >KQK93497 pep chromosome:Setaria_italica_v2.0:VIII:1550916:1551321:1 gene:SETIT_028618mg transcript:KQK93497 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNKYKPFSAGTHNNQKNYEQTFIESSNQGKIQVLTSFRPRETKGNRS >KQK95305 pep chromosome:Setaria_italica_v2.0:VIII:33193938:33198599:-1 gene:SETIT_027634mg transcript:KQK95305 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKIRHHNIVWFVGYCADTQVEVVPQGVKNIFAETREKLLCFKYLRNGSLGKHLTVEPCGLQWRVCYQIIKGICLGLDYLHERHIVHLDLKPDNILLDDGMVPKIADFGLSRLLNKESRTITEKICGTRGYMAPEYIDSGVITVKADIYSLGVIIGHMVKGRNTGATSDQDVLVSWMTRLVKDSSQMKETQLDIGYQQIKACIEISQRCTQPKPEDRPSMPDILCMLEETEAANRSAVGKRALIWLKQLQKVNYLLYFPFNRSSFHDHHNFRASNLLIPNGEFHFALQDI >KQK94172 pep chromosome:Setaria_italica_v2.0:VIII:10479086:10480129:-1 gene:SETIT_027986mg transcript:KQK94172 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PGKRATCSLCIKQACRSCLEQQSDKNEDSSNDKRNNKYLVNTPHEFLIPRISFKTEQVLAYFTQDIIDLIWKKYAERQYKTFHDIQNYFMRLYQGIKRNLGIIVTINTFPLLHLDDKLIVKPHHKFIILKADINLKYFTNIQRHTGEDISLQTIIDHGLVRDIYGTLEEIHQSDLGKAIKEACKRLACVQGKYKIKYFSNPPKFTLPLRPASHDIYIIKGSYKFPTIWSSETWYNYEEIRAKNNHDNWRIFNEAKEIEGNTKFNTEYHMMYQNKITKIFLREYYERNSIISKEVGRLLKPNYGMECQLRKEYRELLSWYELWQPEEPDIEEEE >KQK93419 pep chromosome:Setaria_italica_v2.0:VIII:1030205:1030689:-1 gene:SETIT_027074mg transcript:KQK93419 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYNLFFPWPAHWFRMPHLCSIIISALFMYSSFGSCSNFALQPHEKKKLILWEDWEIVLIPSSTQALIQLILVLQSISNKCNRISFLTPPLFLALSPCASLLLP >KQK94615 pep chromosome:Setaria_italica_v2.0:VIII:23525307:23526271:1 gene:SETIT_028624mg transcript:KQK94615 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQRTKRGFFGHPEDRASPFNFLPFEGCKVHRLSISFCWRYVNTPKTRIITEQSKANIEKYITT >KQK94714 pep chromosome:Setaria_italica_v2.0:VIII:25578459:25583393:-1 gene:SETIT_026164mg transcript:KQK94714 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAYFPEEVVEQILGNVTSHRDRNAASLVCRAWYHIERRSRRSVLISNCYAVHPERVHVRFPSMRSLSVKGKPHFADFDLVPAGWGAMADPWVDSCARACPGLEELRLKRMVVTDECLKLIAGSFTNFKSLVLVSCEGFSTAGLATIAANCRFLKELDLQESVVKHRGHHWLNCFPKTSTSLESLNFACLAGEVNAYALERLVARSPNLKRLRLNRAVPFDVLSRILGSTPKLEDLGTGSFARGNHPAGYGSLFAAFAKCSSLKSLSGFWDATGLFLQRIFSVCKDLTCLNLSYAPSIQSTNLISIIRRCTKLHVLWLLDNIGDEGLKVVAMSCPDLQELRVFPDNSNATTVTEEGLVAISSGCRKLQSVLYFCNRMTNAALITIAKNCPQLTSFRLCILELSSADAVTGLPLDEGFGAIVQSCKGLRRLSMSGLLTDCVFLYIGMYAEKLEMLSVAFAGGTDDGMVYVLNGCKNLKKLEIRDSPFGDTALLAGVDRYEAMRSLWMSSCNITLGACKTLAASMPSVNVEVINEAGASINEVDEDASNAKKVEKLYLYRTIAGPRGDTPGFVSIL >KQK95272 pep chromosome:Setaria_italica_v2.0:VIII:32852316:32855637:-1 gene:SETIT_025947mg transcript:KQK95272 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGLLIGKLGAALVKEAASSGASLICHEASALKGLFGEIHEAKDELESMQAYLKTAERFKDTDETTGLFVDRIRGFAFEIEDVVDEFTYKLEDKHGGFVSKMKKRIKYASTWRRLAHKLNDIKGRLQGAKQRNQDYTMKQTDRNAGGIAFHANQALNFTRDEDLVGITEHKKQLVQWLAGDLEQRCKIFAVWGMPGVGKTTLVAHVYKTIKMDFDAAAWVTVSQSYDVQEVLKKIAGEFGITADGANMEMERLAPTIYEYLKGKRYILVLDDIWTADVWSEIRTVFPSNCIGRFVITSRKHEVSLLGTSNSAIHLEPLGKDDSWELFCKSAFWNDGDRKCPLHLKVLALKFVDKCEGLPIAIACIGSQLSAKGQTSAEWEKAYDELELQLVKNVMPRVETILKVSLEDLPCDLKNCFLHCALFPEDYPIKRRTVMRHWISSGFIKKKGNQTLEEVAEEYLTELVNRSLLQVVKRNYTGRLKCCQMHDVIRLVALKKAEKECFGKVYDGSGEFSGGPTRRISIQSRNLDRISPSNASHIRSLHVFERYIDIDLLRPILTSSNLLSTLDLKGACIKMLPTEVFNLFHLRYLGLRSTAIESLPETIGRLQNLEVLDACNAPLLYLPNNIVKLQKLRYLYACNVYREGEDIRRRSGVKVPSGIRHLMSLQALQCVEASPEILREVGYLTELRTFSVCNVRSEHSGNLRDAVNKMSHLVHLEIITLGEKEVLHLEGLCLPPTFSWLCLQGQLERKSIPKVLSSWSRLSSLTKLEMEFCRIDEESFPSLLVLRGLCLLSLTKAFDGKKLHFTAGCFPRLQVLYIWHAPQLNQVQIEQGAMSNLAELNFGDCPMLKYLPQGIEHLKNLVQLLLKDTSEELVERLWRKGGPDECKDDSMDISHIRKVFVLMGTRGWIM >KQK95992 pep chromosome:Setaria_italica_v2.0:VIII:40348792:40349346:-1 gene:SETIT_028546mg transcript:KQK95992 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAVLDAMAPYVQKLIADMHGTRRGVSWLASSHWIYVRACSDLYTKNIRTWWYELLPRL >KQK94427 pep chromosome:Setaria_italica_v2.0:VIII:16071438:16071712:-1 gene:SETIT_028520mg transcript:KQK94427 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MYLNGCCWFVLGNIIYVAKLDRAKPKLAFFSSFC >KQK94612 pep chromosome:Setaria_italica_v2.0:VIII:23496986:23501651:1 gene:SETIT_026434mg transcript:KQK94612 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKLLGWMHRKLRQNSNDVFKEFNNGGGGTCNCITGLASPDPATFLASANEYFAGDGFTTNNQSPPDTDLFTFGGSGLLTIGTLGIAAVAIPSDAEDEDYDVDDVDAESDSDDNDNTVDEEDDVDIDGAVTPTFTYPQPPPVETAAVEEKAVAAVEAIAEKNDDTTTEDDLMVVSAELEKVLGGRNSGAAGDLVASARVSFAMGVDCPLQGFLFGSPVSDAESRLEQPRDSNGGRRTSLGELFMRTRFADEKVALVAVEEGEDGAAGGETEDGKEGKGGDGRKTVKKRRVNDGKGAGGEGAPASAAVTKSKFQKILQIFHRKVYPESTALARSLTKKSRKRGSSSASADEPELALPKLRCRKEQRAPGFGCCANRASFGGAATPIDDDDDELNGSKSGHWIKTDAEYLVLEL >KQK95449 pep chromosome:Setaria_italica_v2.0:VIII:34519780:34523079:1 gene:SETIT_027425mg transcript:KQK95449 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein DIVLGEMKSTVFSAVVVSIGYALLGWDFAALLEANGHMEKEFELENLPSIEGITIAVSAFGAIVITIFSGSLLDWLGRRAVLVQSSLLLLSGGLLMLWSPNIYILLLARLIVGSGSGLVFTCVPIYISEISPSTMRGLLVTMPQFMFFIGTIFSYCLIFWLTLMPSPKWRIMIGAIFAPSIVYLALLVYYLPESPRWLVSDGKISEARVSLQWLRGKKHDISGEISTIVEGVDFISDTAIGTAQAQSFSRISSSQIWPNNTFYWHLSDPLVDLLGSIHETMSEATGSRRNSFFPVFNSFSFPEHEHMNEHRDDNSDQQTRGVYYAGEANNGDGLRTSLLSQTANVEVNDTNTSFTSEGSSSYLRRHGTSAFAQEFVASFIDNDIEEEDEETHGMALPYQPAYRYMENTRRHPCRYRILRLSETADMKSKWRVLLQPGIRHALCYGMLIQALQQSAGISVLLRYTPEILEQVGVVSLFSDIGLSPHSTSILISALNALLLLPCITAAMLLMDVCGRRALILATTPILMLSLSVMSLSTLVDMGSLERAILFHLALTICFCSYVVGLGPIPNILCSEMFPTKARATCVSFCSLSFWFGGLLSAYCFPVMLSTIGLGGACGIYALVCCIPLCLVYYRIPETRTLNLELIAEVFKLSRQLPYVQ >KQK95672 pep chromosome:Setaria_italica_v2.0:VIII:37023795:37028534:-1 gene:SETIT_027597mg transcript:KQK95672 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAELVKQAIDTVFEIKDVVLKFKRNNEECARICETVETLIEDLSVLQETSKLWHPSVRAAMQRLNNTLVETERKVKGCQNINAVYRIFFLTGHIASKLDKLRKDIHEKMLSALLLTSMSTISGMQNNARQDDIESLRQEMRQDRRERRKDVAALKMPSKARHGNKRNWSNFYTYIYQAKLDNELVAIKKFHKMSEQVKARFLHELRLLQKLQHRNVVELLGFCFEYNESLVVEDNDVQVASNGVLGFMSRFMSKKSMAELDHNSLVHLISLIHPLTRSWIIQGIAKGIRYLHRQNVVHLDLRPENILLDTDDMTPKINNFGKAQQLKDGHTPSPEMYDLISGYEASTKSDVYSFGVILLEIIGRMCATSKNGRRPRRPEDWAKRMEESELVDLFDPNRINGDTRRMAARWCVIVGLLCCVPDPQERPSMSEVIAML >KQK95903 pep chromosome:Setaria_italica_v2.0:VIII:39424582:39425127:1 gene:SETIT_027638mg transcript:KQK95903 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTTKDARVHEGCATHSGETKGRSIPPSEKKEISLGAKGVKKGTRRCSICGYYATHNARTCPKLQHNKERLEVLKNRMRGRPRGTQHKSSASQHDSGGEEHNIGRQQDTKKCQENKYIDYESNDEQFLRDSSSAHCI >KQK95547 pep chromosome:Setaria_italica_v2.0:VIII:35537350:35538686:-1 gene:SETIT_027678mg transcript:KQK95547 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEMAAVFLPDDALVGVLGLLPPRSLAAARCVCRPWRRVVDDRRLLLPHLLPRSVGGFFLNYYDHETVATGSSFFARPETAETHPPTAACGPRIDGEFSFVTAGREEPYHWHVVLGHCDGLVLFAGDGMYLCNPATRWWARLPPPHPRGYYRRRAHVVFDPAASPPHWEMEEEHGEISEEDGAAWRTMEWPPATWTWHAISSRTMRWVERVFVREGAAAGTVAGLKLHSEDWADAPWRYGAYSQGALYIRCGGDHISRISMSTNKYQVIKSPIDPAECRKQGMQSIIGRSKNLYS >KQK93703 pep chromosome:Setaria_italica_v2.0:VIII:3350856:3356139:-1 gene:SETIT_026155mg transcript:KQK93703 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAATGASESPMVEQVITEFFAKSLHIILESRSPYDSSRNFTRPSPPSSPLSGSQPRDRWFNLALRDCPAALENFDLWRQSNLEPLVIDIVLLQRDNTKTTSAGAGRIIERWVIKYETCSGSGSGNGSKNSGKKSRSSSAQDHSLYRRAYNGSTVLFRSLYLVVRLLPAYHLFQELNSSGRIRPLSLSHKISSFVEPFTRAEDAEMKHYAFAPIETLSGRLSLSVSYVPVLEVAAAPEPTTPVATELIMDYVGSPTTDFLRKFNSLPSDGIAPASFTMTRRHSWSTEHGAGPSASPSRMPTDNSPTAYSHPHDTSSSGRKRNTVNEECYPSPPLSPSPSHSPSSYPRNPFLRYDSAPSSRLPPSPHRKDKQQCPFQNENPTHSPYDKSIVTNNLVRLGEVQNEKSLQKVLSFGKDDLVYFRGLKLTRTSSKLFIMDELDERELVFAWEDKDTIIDQLSRIDLSDRENHDSSQEAGGSLTRSPDAAIGILMRILKNAPGLRERLLTAPAAPVPQEPSSLQRVVTEEHCSGASSSAGMPSALLKSRTAADALEELNRYKEIRESILNRGKGHPRDDAELEEKPADGDP >KQK93464 pep chromosome:Setaria_italica_v2.0:VIII:1279589:1280524:1 gene:SETIT_027927mg transcript:KQK93464 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASYISKCIKFAGGYSGGLPIFSTLYASSECAFGVNLSPMCDPSEVSYTIMPFMAYFEFLPVDGEARGDASQLVELAHVEAGREYELVITTYTGLNRYRVGDVLRVTGFHNAAPRFRFVRRKGVLLSVEADKTDEADLQRAVERAAALLRRHGGAIVVDSTSRACTKSFPGHYVIYWELLKAKGAQSGGGAGVDGDVLDRCCLEMEEALNWLYREGRVALGSIGPLEIRVVRSGTFQELADLAVSRGASAGQYKGPRCVTAPQIMELLDSRVVSSHLSPALPDWAPEMLSFSPKEKEVGKAQARAATLPFM >KQK93488 pep chromosome:Setaria_italica_v2.0:VIII:1492239:1492795:1 gene:SETIT_027200mg transcript:KQK93488 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKVIQQPNRPAPTPQQVICFDAQIDTEMIVTEFLFFFPGVPKEFSFFRSVTTDYRASATNLTTMQA >KQK94640 pep chromosome:Setaria_italica_v2.0:VIII:23903977:23904444:1 gene:SETIT_028522mg transcript:KQK94640 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein METRNGWIISRIISIQHTLFLYPMAIKTMTQALHKAML >KQK94050 pep chromosome:Setaria_italica_v2.0:VIII:8211568:8212045:-1 gene:SETIT_028419mg transcript:KQK94050 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSCELILFVIFFSFSICFVNINHTVARPSGYMAYIHNDIMKMIAY >KQK94338 pep chromosome:Setaria_italica_v2.0:VIII:13336579:13337206:1 gene:SETIT_028463mg transcript:KQK94338 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGEQHTRPGSSRGVRRSCAGGLGQGERGQPGAGGRRGAARRRKKGRAAQATGEQAATRGSGAHAGDRRRWLVLLRCSFLRWKKQRTGRRKNGGVRAVDKSKKTGASC >KQK93421 pep chromosome:Setaria_italica_v2.0:VIII:1045623:1048606:-1 gene:SETIT_027926mg transcript:KQK93421 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein PTRLPFLLRCCCAALLLLLTPPPAAAQGHHRHHPAGDGVVISQADYQGLQAIRHDLADPYGILRSWNDSGLTACSGAWAGIKCVLGSVVAITLPWRGLGGTLSQRGLGQLTRLRRLSLHDNAIAGPIPASLGFLPDLRGVYLFNNRFSGAVPASIGGCVALQSFDASNNRLTGAVPPAIANSTKLIRLNLSRNALSDQIPAEVVASASLLFLDLSYNNLSGPIPDAFAGSTKSPSKLLLNKDSITGSYQLVFLSLAHNSLDGPIPDSLTKLTKLQQLDLAGNNNLNGTIPAELGSLADLKALDLSGNDLSGDIPPSLDNLTATLQSFNVSYNNLSGAVPASLANKFGEPAFIGNILLCGYSASTPCPASPSPAPSSTAEEARGRRKFSTKELVLIIAGIVVGVLILLLLCCLLLCFLTRKRSSSTSTSAARSGKQAPKDAAAAGRSEKPGSGAAEVESGGDVGGKLVHFDGPLAFTADDLLCATAEILGKSTYGTVYKATLEDGSLVAVKRLREKITKGHKEFEAEAALLGRIRHPNLLALRAYYLGPKGEKLLVFDYMPKGNLSTFLHARAPNTTVDWATRMTIAKGTARGLAYLHDDMNIVHGNLTASNVVLDEQCNPKISDFGLSRLMTTAANSNVLAAAGALGYRAPELSKLKKANAKTDVYSLGVIILELLTGKNPAESTNGMDLPQWVASIVKEEWTSEVFDLELMRDAAAGPVGDELMDTLKLALHCVDPAPSVRPEAREVLRQLEQIRPGSDGGAGPSEEGAGAHVPVASAGDDE >KQK94405 pep chromosome:Setaria_italica_v2.0:VIII:14609423:14614454:-1 gene:SETIT_026007mg transcript:KQK94405 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAFFFRNAGAAMLPLLLAVLLSAAAADPGFSCGPSSPTRSLPFCDRSLPAAQRAADLVSRLTVAEKVAQMGDEAAGVPRLGVPAYKYWSEGLHGLAFWGHGLHFDGAVRGVTSFPQVLLTAASFDEGLWFRIGQAIGREARALYNLGQAEGLTIWSPNVNIFRDPRWGRGQETPGEDPATASKYAVAFVRGIQGSSPAGAGSSPLQASACCKHATAYDLEDWNGVQRYNFDARVTAQDLADTFNPPFRSCVVDGKASCVMCAYTGVNGVPACASSDLLTKTFRGEWGLDGYVSSDCDAVAIMRDAQRYAPTPEDTVAVALKAGLDLNCGTYTQEHGMSAIRQGKMSEKDVDKALTNLFAVRMRLGHFDGDPRGSAPYGGLGAADVCTAEHKSLALEAAQDGIVLLKNDAGILPLDRSAVGSAAVIGHNANNALVLSGNYFGPACETTTPLQGLQSYIKNVRFLAGCNSAACGVAATGQAAALATSSEYVFLFMGLSQDQEKEGLDRTSLLLPGKQQGLITAVAAASKRPVILVLLTGGPVDITFAQSNPKIGAILWAGYPGQAGGLAIARVLFGDHNPSGRLPVTWYPEEFTKIPMTDMRMRANPATGYPGRSYRFYNGKTIYKFGYGLSYSKFSRRLVTGGKNPAPDTSLLAGLPSTSVDTTSYYHIDDIGADGCEQLKFPAEVEVENHGPMDGKHSVLMFLRWPNATDGRPSSQLIGFQSQHLKAGEKASVRFDVSPCEHFGRAREDGKMVIDRGSHFLMVGKDEWEISFDA >KQK93479 pep chromosome:Setaria_italica_v2.0:VIII:1398082:1401749:-1 gene:SETIT_028360mg transcript:KQK93479 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein AATARATISPSLCSSNAGRSIMAPASTHGEHFAEVVLVRHGQTDWNVSRIIQGRIDQELNETGRQQAAKVARRLSEEAKPAAVYSSDLKRASETAQTIAAHCCVSDSDLVIDRALTERHGGLFQGWTFDDAKRSEAYKVFARGGRDQEIPGGGESLDQLSERLVPCLNAIAEKHKGERVVVVSHEAVIEEICRHADPTISVGRIPNTSISVVHVSGSDGRWILEKFGDAGHLIEDGFPQSDAFIPSSIVAHLSVRTSIFSQSPFNRNTTVSYSKFVPSWLQCSHLSLAEALPRRRSSSSNVDRATELAILLSFFPFSLNAPTPRAAAAAPAPHHPAPADAGMEGKAAETCARAREMHSTLPEARHCNRLLRLLVERLRWVDARKLYDEMLAEEGGADNYSTCVMVRGMCLEGRVEEGMKLIEARWGAECIPHAALLLLGDMDTKGFLPTVVTYGSIVNWLGRKGDLEKIGSLLAEMRVRGLPPNVQIYNTVVDALCKCQSATQAMAVLKQLFASGCDPDIMTFNTLISAFCREGDVQQALQLLREAIRRELEPNQVSYTPLIHAFCIRGEVMVASDLLVEMMGRGHTPDVVTFGSLIHGLVVAGQVSEALIVREKMAERRVMPDANIYNVLISGLCKKQMLPAAKNLLAEMLEQNVQPDKFVYTTLIDGFIRSENLSDARKIFEFMEEKGVCPDVVGYNAMVKGYCKFGMMNEAIMCMSSMRKVGCIPYEFTYTTLIDGYAKQGNISAALRFLCDMMKRRCKPNVVTYSSLISGYCKINDMDSAEDLFEKMQSEGLSANVIHYTIIIGSLFKKDEVIKAATYFESMLLNHCSPNEVTLHYLVNGLTNSMPCIINLTCSSTAKVNNKRALLDVFKGMVSDVLDPRISALNAIIFSLCRHNMLEKALNLKDEMAKKGYTPDPVTFLSLLYGFCSVGKPSNWRVILPNEFQQDEFEIIFRYKTLFDQHVVKSASLEVYRVLQLCAKEFQFIQPAD >KQK94504 pep chromosome:Setaria_italica_v2.0:VIII:19848153:19848491:-1 gene:SETIT_028504mg transcript:KQK94504 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDHHVEQNRLGCCCQFSILYFMFPSKACRFFSFIRNSFFFQKPSLKLSG >KQK94309 pep chromosome:Setaria_italica_v2.0:VIII:12959141:12960364:-1 gene:SETIT_027998mg transcript:KQK94309 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MACVPDRDSAMREVAQAYELIKSHQPRLQFDDVQQLSATTNLAQSLLNNAMRALHLALCVMNPQTSAHCGAESSSRSNRPHLFSPSDAAGDVGGITSQQKKGKRRRANAETSWVILTEAPHTDGYVWRKYGEKKINGTHFKRHYFRCSYKYDRGCQATKQIQQQSSNDLPMFQVTYNSEHTCNCTTAANTNIKSDLPQRSYRDNNGTISQMGDAMIRQEQGLLPPRAEVSTVFMDTMSWEEPFVLSNPYSLSPNHAGYHMTSTDDGASDFHYESTDGYIDLEHIWQLDLQ >KQK93491 pep chromosome:Setaria_italica_v2.0:VIII:1513659:1513829:-1 gene:SETIT_027800mg transcript:KQK93491 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDDNASTSPASSPATSAARPIDSEKLHFIEEMTADVDAVQERVLAEILARNADADR >KQK94045 pep chromosome:Setaria_italica_v2.0:VIII:8171994:8173688:1 gene:SETIT_027910mg transcript:KQK94045 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALPRTAAAVAHHYTRLITAAASSSPASLRALLPIHARAVVLGVSTNPAFATSLLAAAAPASLAYARRVFDAAPERDAYMWNTLLRAHAHSQSHAVDALALYKRMRAAGVAPDHYTYPIVLPACAAARAPRLGRAVHGDAVRFALAGDGFVRSALIALYFQEGEVADAEQVFGEGHGSSRTVVSWTAMVAGYAQNYFFGEAVALFGRMIAEGMLPNEITLISFLPCLQGQEWLDAGEMVHGFVIKFGFDANVQLVNALIAMYGKCGSIAMAEALFEGMTVRSLVSWNTMVAMYEQHGDAVEAIKFFCRMLTEKVGFDFVTLVSVLSACARSGALDTGKWVHEFARNHGLHTDARVGNVLVDMYAKCGEIANAREVFDCLHVRGVVPWSAMINAYANHGESAEALKLFSLMKSDGVRPNSFTFTAVLVACRHSGLVDEGLKHFNSILTDYQMSPTLEHYACMVDMLGRAGRLVEAYEIIRKMSIRPDKCVWGAFLGGCRLHGNLELAEFVAKDLFQSGSHDVTFYVLMSNLYFEAGMLEDAERIRRTMKEMELKKTAGRSAVNQ >KQK95679 pep chromosome:Setaria_italica_v2.0:VIII:37123318:37128623:1 gene:SETIT_025970mg transcript:KQK95679 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPQVLWLLLLVLVDSHLVPMPLIAADTALPGTSMCGHVEIPYPFGLSDHASRQGKFTITCNQSFNPPRPYLARNLEVIDISLETGEMRVFSPVSYTCHNPSSNTRGSLQTWTFRLPKPFLISTTRNVFTAIGCSTQALLQGGANWSYFTGCTTTCTSLDSAARDGDRCTGRGCCQADISVNLATMKVGWNDPNDRPDNRAWLFNPCSYAFVAEKGWYNFSRQDLVDKGGQNFSSRTGYRTIPLVLDWAIVNGSCTSSDANYACLSAHSNCANSTQRDSGYLCGCSKGYEGNPYVTGGCKNINECKLGDFNACGSGSICHDLDGGFECKCKFWYRRDGRSGTSCQRIFSTTTIWTIIGGNGTHRRHRLGLRFDPTRPPWVRQPLGQARYRRHPTELLVAVLAHGSHPSTDSPGPAARGHQRQANQPVHSLMHSDGTIAVSTWLTMMGDGGGGRWALTSRLGPDGGRAGQSWCCSTRFPWLWRRMVVGAGAARRRCGYGGRWRGLWAWQRDKLGKRWPMGGGNGAGVAGGGGAGVVGGKAGMRPVARARKKWRERKQKQRKIFNKNGGEILKDMGINIFTECELKKVTDGYKKVIGEGAFGKVYKGTIKGAEQVAVKCSFTRSKAPCHDEFRNEIIFQFRINHANVVRLIGCCLETDVPKLVFEFVPNGSLYELLHVRRDQGLSLPTRLHIAIGSAEALFYMHSQGGHNNHVHGDFKSGNILLDNDLTPKVSDFGSSKLVSIVSGYAKWYVSGDMNYIDPTYLKTGRFTEKSDVYSFGVVLLELITRKTAKYDGSNSLTINFVKSCKEEGHGREMYDPEMLMSDDAKDHHYMECLYRIGTLAVQCLKEDVDERPAMAQVLKQLKQVQEIACGGLCSGAS >KQK94944 pep chromosome:Setaria_italica_v2.0:VIII:29043824:29046187:-1 gene:SETIT_026070mg transcript:KQK94944 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTGNIARCDDKLKFEVDIHQNIKVFAEDEIKWITRNFSIQIGKGGFGEVYKGILDDDYDVVAVKRYISKDLRKEFMEEVSIHSQMSHKNVVELIGYCIGESTLMIVTKYISKGNLDDILHDSEIPIPLDVRLGIAIGCAEALSYMHSMHLSSDSLVCHGDIKPANILLDGNLTAKLSDFGVSRLLSGGVTQYTVHIKGSVSYMDPIYFQEGCLTPRSDVYSFGIVLLELIARKRIRKGDINLIGSFNKACANGKGREIFDAAIANENNMKILKEMKKLATECLTLDIHKRPQMNVVAKRLRILKKELKNIHEKYSEPILASHHSWRKNYKQDISMPSYNSRMQLKKSLSIFKRNRSNSKILSEPGNVRIFTQEELNDVTNYSYLLSGGTSGKVYKGTLEDNTVVAVRIFSEVLESFEQAFINGGMILSQIMHKNIIRLLGYCLDADCPAFVYEYAAKGSLSDILDGHEYFPLHLRVKIAVQTAEALEYLHSSATGIIRHGYVVPSKILLDDNFTPKLTGFSWARRLIKESNITAGDDVICCQLPSSGLNNDPIHDQRALLKLKTDVYQFGVLLLTLISRKNFIFYADHEPLILQFRAAYQADNRGRPLFDDDIAARAEDIALLEEIGRLSLKCVCLEIDQRPTMKEVAEHLRTLRTSWKKSLEEVLGQGSYTGV >KQK94035 pep chromosome:Setaria_italica_v2.0:VIII:8050919:8055568:1 gene:SETIT_027717mg transcript:KQK94035 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein TREKLLRVQSGNSYNVTVELEGLGGGGTIEIEVRYLHFFFAREKQWWRIPFVSDFLVKSSLGSALRTVLGSASVNASQFVQSAFGQLSSFTYTYLPKPSSLESGGEVSESVEEPRDNAVESNNLQQQKIDSGDSLDSHCDAQSPAAAVNSEGDISSDEYWRALNNVLNQNVLHNFGFSLPEVKKLDGFDLLSSLGLKSREISEQKYLESGLATTDTSTSDGSETTPEDSVGVDNENGALTTKEEDQSSFVDINKVSRDVLSQTENILGALMILSKNLSPHDNKSVTKNETNKKDDMIIEQEVAAAEDSIDKDNTVASTKLSVDAQKAEDMRHLFASAETAMEAWAMLATSLGCNSFIKSDFEKICFLDNVSTDTQIISTNVFRLPFGAILHEEGYRLNPERLGGDFKQEVQVHSGFLGAYDFVTNRIMALIKFAVGYQDEEDAENIPRWHVYVTGHSLVSRILSSSKSAILSFHRQLMPSFDPWYVERGKVQVFNTQNGVIFVTMYNFGSPRVGNRRFAEVYNALTVNLMCNLVFPLMKPACWNPYIIPTVPRLMGYCHVEAPVYLKFGDSKDELVNNGILYDEDQGDVIGEYTPDVLVTEFMKGEKQLVEKLLQTEINLLRSIRDGSALMQHMEDFYYVTLLENVRSRYQVVDSAIDESR >KQK94994 pep chromosome:Setaria_italica_v2.0:VIII:29646487:29647927:-1 gene:SETIT_028225mg transcript:KQK94994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATTADPSPAPAPAPARRGQPPKVPPAAAAEAPGSPVSPLDAAGGDYERERAARIRENMERMQKLGILDLAQTLTQSAAAGGSGGGGATGRGRPRRKPVEPGSALRVKLTPPPPARRSLRLINVNTWV >KQK95984 pep chromosome:Setaria_italica_v2.0:VIII:40317952:40320164:-1 gene:SETIT_026500mg transcript:KQK95984 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAAILDALAPYVKKLISDMAQEEVSMLLGVSGEIEKQEGNIEGLKAFLADAERRRITDQSVQRWVRKLKDAMYDATDIIDLCQLEAADKRRGSTEERSSVKKKVSVGCFQPLLLCMRNPVFAHKIGTRIKELNQRLDGIHKEADRFNFSINLGSNPEPRKLTDTERSMQKTTSEFNESAIAGEKIEQDTRELAQLLISGGLHDMKVVSIVGTGGMGKTTLAQKIFNEVTIQEHFKVKVWLSITQHFDEIELLKTAIEHAGEVHGGTQDKTLLTRTLTNTLSTGRFLLIMDDVWSDQAWSHVLSVPIRKASQKQQGNWVLITTRSEDLAQRMGASFYQHHVSPLNEEDAWSLLTKQLPPSPNQKIRSQNSLWSRGEPKSGG >KQK95064 pep chromosome:Setaria_italica_v2.0:VIII:30410485:30412630:-1 gene:SETIT_028056mg transcript:KQK95064 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein YLLLIDDIWSAKPWGDIRNCLPIENKKCSRIIVTSRFQAVGAAGSAGGTTNLLHTVDFLNAVQSKNLLKRSVYESKSSRESEKVQDKVPEEILKICGGLPLAIVSMAGLVACNPSKASSHWDKVCKSLFPESVTALSLDGVTRILDFCYNDLPGDLKTCALYLSMFPKGSKIRMKRLARRLTAEGFVSEKQGLTEEEVAETYFNQLMRRKLIRPVEHNSNGKVKTFLVHDMVLEYIVSKSSEENFITVVGGHWMMPPPSNKVRRLSMQSSGSKHENMTKNINLSQQLPFHSFNNGIIQVLDFEGWKGLKEKHLKDHICKMLVLKYLSLRRTEIAKIPKKIGKLEYLETLDIRETHVEELPKSVEKLKRISSILCGNKNPRKGLRLPQEKIKERKKKKNKEGMKALRVLSGIEIDEESTAVDGLHQMIGLKKLAIYKLNIKKDDKTFTQLHSAITYLFSCGLQTLAINDEGSDFINSLDSMSAPPRYLIALELSGKLERPPMWISKLHILSKLTLSLTVLRTDTFKLLQDLPSLFSLTFSLSAAKQNQEIKDILKKNKSDSDGEIFVPARFPSLKLLRFFAPLVPKLGFGDNAMPVLEMIQVWFEAFEGLFGIDTLENLREVHLREAAEITRLLVKDLKNYTTDKLKVIVDYIINA >KQK94688 pep chromosome:Setaria_italica_v2.0:VIII:25043429:25044994:-1 gene:SETIT_026437mg transcript:KQK94688 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MHQIAVQDSQNAPKIDWKEAHQVEVFTFKELVKATNNFAPDKKIGEGAFGSVYMGWLPDGREVAIKRREHGSIQGIEEFQAEVTILHSVSHKHIVRLFGSCVPQEKRQLLPKFWKKQNEKQGDLLVVYEFLENRSLDIHLHGQPSPSPVTASWKMRIEILLGVSRAIEYLQSYAELPVIHRDVKLSNILLDASWAPRLTDFGLALTWEGPDHTETVCGTYGYIAPEYALRGDLNLTSDVYSFGVVMLEVLTGKTSRHLLEEEREKEIRAYEEEKKREVRELEEEREEWEEEQQKRDEWKETEEGDECEEEDTTEERDEETEERAKQDNFARYNSYTLVELAVPLIEAGELWKVLDRRPAVKPTPRQLEAAELVAQEAVRCVRLQWEARPSISEVVATLETALELARCDG >KQK94160 pep chromosome:Setaria_italica_v2.0:VIII:10225074:10230873:1 gene:SETIT_025901mg transcript:KQK94160 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRAQILICLITLLSLFLGSTCQIGVETQALLQFKAGLNDPLNHLASWTNATITSPCRFFGVRCGDDGSGTVTEISLSNMNLSGGISPSIAALHGLTRLELDSNSLSGPVPAELGRCTRLRFLNLSYNALSGELPDLSSLAALEVLDVENNGFTGRFPAWVGNLTALTTLSVGLNGYDQGETPASIGNLKNLTYLYLAESGLTGAMPESIFGLAALETLDMSMNNLAGAIPAAIGNLRNLWKIELYKNNLTGELPPELGKLAKLREIDVSRNQISGGIPPAFAALKGFTVIQLYHNNLSGPIPEEWGELRSLTSFSIYENRFSGEFPANFGRFSPLNSVDISENGFTGPFPRFLCHGRNLQYLLALQNGFSGEFPEEYSSCTSLQRFRINKNQFTGDLQEGLWGLPAATIIDVSDNGFTGAMSPLIAQAQSLNQLWLQNNRLAGPIPPEIGRLGQVQKLYLSNNSFSGGIPAEIGRLSQLTALHLEENSLSGALPADIGGCARLVEIDVSRNKLTGPVPASLSLLTSLNSLNLSHNELAGPIPTSLQALKLSSVDFSSNRLTGDVPPGLRVIAGDQAFSGNPGLCVAGGRSELGACNVDGDRRDGLANKSAVLVPVLVSAALLLVAGILFVSYRSFKLEELRKRGDVECGGGGQWKLESFHPLELDADEICGVGEESLIGSGGTGRVYRLEVKGRGGGVVAVKRLWKGNAARVMAVEMAILGKVRHRNILKLHACLSRGDLHFIVYEYMPRGNLHQALRREAAAAAKGGGGGGRPELDWPRRRRVALGAAKGLMYLHHDCTPAVIHRDIKSTNILLDDDYEAKIADFGIAVAKAPADDSSDSAVSTCFAGTHGYLAPELAYSLKVTEKTDVYSYGVVLLELVTGRSPIDPGFGEGRDIVSWLSGKLATESLDGVLDPRVAAAATASEREDMLRVLRIAVLCTAKLPAGRPTMRDVVKMLTDAAGTGPCSPRGQPPARICSNKSCR >KQK94435 pep chromosome:Setaria_italica_v2.0:VIII:16507009:16509550:1 gene:SETIT_027356mg transcript:KQK94435 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPGVRATKRVRAPQAPAQRAPRRPRPPTKGTQLDRMTKAMGRRMPVAVAEGKKRPHEPVQAAKFASEAGVIIRDNVPVLPHWKLYKKDDQHYKNFVGKLSVSVGLKQKYFNGVSANEISKTSPVNCMSDEQWRALVAKWSDPKNMKHQRNNAEPSTDTHEELDVVEAFTSCHTSSKHGLSEPAREAVTNMEALRAQPVAEGETRVSSVQVVSQVLPKNSSNSFLKSVGIKPVGTSQASSSSNEIELREQLAAEAKADVQDELEDLKKRSEEAEEKLARTERELEEMKKLTEINTKAMEENNALLKRILSLNNASST >KQK93274 pep chromosome:Setaria_italica_v2.0:VIII:303671:306483:1 gene:SETIT_025994mg transcript:KQK93274 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPFDMNNLMFHIKRIVYPSIRLGYQSACDYPVVLGIGLLLLFLHRLCPSLFTFLMSSSPVFLLTALLLGALLSYGEPSAPVIGEETLENQKKSSPESKVSVTESKSFETPVVCIEERTSDILVHDSHRDEENVTSMSADTALCAETSELNNEVIVEREEHVEEICKEVELQQFESTTTERCHYEVNNQYQFGEFMSACWEPVMRQEPCSDSESDLSDSSSDASITDIIPMLDELNLPVNLGTDHPSSTFRDNLNSSSDGDEDDSEEDGDLNSDKDRAEVKKDDGNNQKDFVDPNSSDTEKNENSESLMERRKAKNFLKFDLDRRLMDMQDADAIQKMEEASRFRVQVPSISTPRPNPFDPSNGSEEIVELPQIPDSAPSVLLPWRKPFDIPFDHIVDRDSRLQETWTPRLRFPSAQRRKHENLYLKQSTYLRHHNGTKTEKPEVSEKDASDNHSDNDSEQAWNNCKLFGSLEPHVGDEIKILSAAISDVCVLEVNHGINEGTKSTDSINGTDSLYIQKSISSTSEANDSVSSGCEQLLLRSLSEEYNNEKHIIEADSISEVNSLFKCRMEEVLVQSISESGIDQPLTGGKLEQELNGTLSAEYAMPAIEARSVEELNSQFAQLSGEALECATSDSSCDDEHIQDRSSEALPVGNGHTSELPTKNGHSYPNLDNPVDVNVECKSKELLTEGSELPVLEARSVEEMNSLFRQLEDEASAQVPHSPDLMVGEHNGDIDSGVLVPDANSSEGISSAFVHLSNDDEKIKIPGDGEVVLGSAELNSGLLRVMETNAPNGDGTSRFDST >KQK93876 pep chromosome:Setaria_italica_v2.0:VIII:5419554:5421506:-1 gene:SETIT_028195mg transcript:KQK93876 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTDIQSAIQWWEEWQLRVLVLGSLVIQWFLLVAAPMRKYTISSVFRRCIWLAYISSDALAIYALATLFNRHARASSAYDYDAASKATSLEVLWAPLLLVHLGGRDEITAFNIQDNELWTRQTVTVVSQVTVAVYAFYKSWPDAADRRLLLSAIMLFISGVINFCEKPWALRSASINRLVAVSSTIQGQKKELSLWERLFTEMDGHYQSCWVGISQERDKRILSEMDKVQMILSDISLLAAVGKEKEKNDVLATLSPGVEMTPWLRRAFELIYTRANVIYAPAYMTCDFVLVPALYIAAITLFAMGHKQGYNATDIKMTYIFMVFTAVLDALGVLISKQLYKLMSMTRIPALCTTLAEYNLINSVAKRMKPTTGWLLKCATCFGCGDYSFGDRNRSAAVADFVVFELLKPGRVEGLDLASYRSLTKPNWALGNELRDYVRHGPEIVRRSLSDSPFDESVLLWHIATDLCYRFMPRSRSIRYRDECIIAISNYMGYLLKFQPEMLMTGSRPHLFTLTMGNLEKLLQGKKGKPLDIVLEIIMDEIEGKKYPLLHNACMLAKELMASDPDKHWKLIYGVWMGMLCYSASMCRGYLHARSLGEGGEFLSYVWIVISLKGAKTLADKLQMSEEATTCGPQTEESEQDIQSLFGHGA >KQK95237 pep chromosome:Setaria_italica_v2.0:VIII:32503459:32504914:1 gene:SETIT_027629mg transcript:KQK95237 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRKSQVCLLLFLVILGSLSQSALSQILLQAFNWESCSQGGSGWYDLLSSQVDAIAGAGITHVWLPPPSHSVDARGYLPGRLYDLNVSRYGNETQLRALVAAFHRRGIKCVADVVLNHRTAERKDGRGVYCIFEGGTPDGRLDWGPHMLCRNDSYSDGTGNADTGLDYQPAPDLDHLNARVRSELADWLNWLKADVGFDGWRLDFANGYSPAVAGMYINATSPDLAAAEIWTDLAYEADGKPRANQDAHRQILAAWVDAVGGPAAAFDYTTKGVLQAALNFSELWRMQDAQGRAPGLVGLRPAQSVTFVDNHDTGSKTQHSWPFPPEKVLQGYAYILSHPGIPCIFYDHFFDPTMKDEIATMIKIRTRDKIGPTSSLRILLAQHDAYVAEIDGKVVAKVGARYDVSKIVPPEFVVTTSGNDFAIWEN >KQK93725 pep chromosome:Setaria_italica_v2.0:VIII:3606297:3607492:-1 gene:SETIT_027774mg transcript:KQK93725 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEEWRSRRQPLDPKKPKEDARKQKQRRPCLVLLTLVLAASTAAVLWRCRGEPLLVAWRLSLPLCLASFLWASSLSVTTRPCAVFVHVSYGVLLACAADSLVSPNEGVVVAHLATHFAAGLVGYALAERRSARAPRYKEMIEEPALATGNQAVQFILVYA >KQK94781 pep chromosome:Setaria_italica_v2.0:VIII:26842379:26845413:-1 gene:SETIT_026290mg transcript:KQK94781 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGVLEEMDAMSAKGQPQCPVTGDGSTCLAAQIKASPCQQGDDSDYAEIRGSQVQLPEDILRHIHTFMQMQDVAQAACVYRSFLRSWSCYPKLMLSVDSLRIKEDASRKDEISREFISRVDHILQNHSGMGVKMFSLHTYPCSDLHPSYVDRWLQIAITPGIENFELTMFGRRDIKYNFPCSVLSSERRSSIQSFLLGQFYLSNCRDIICLKIPYLLKQLNILHVFCCLKLEMIESNAPKLSIFYYAGDPICTSVGDALHVRRVDFRHDHSPGALYYARTKLPLIVPNVRTLVLSTRAEVSLILPKHFITGNTPMASCKFLQLKYLEIVLCALIFPSDYDFYSLVSFLDASPALETFVLRIAMPTIRPDSIIEDSGGDFSRPRCLSEQCHGRLKNVMITGFCSAKSMIELTIHIIGKTMSLVSLTLDTTRGHDRRCSRSDKCLQLSKDALVEAEKARVAIQRYVEGRVPSSVNQKVIEPCSKCIY >KQK95953 pep chromosome:Setaria_italica_v2.0:VIII:40087576:40089687:-1 gene:SETIT_027841mg transcript:KQK95953 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MVTKPSHPLTTVPPRPPPALIDDVTTEILIRLPPDEPEHLFCAALICKPWFRVLYDPAFLHRYRATAPLPSSASSPQAPSPRRGPRTPLRLHHVDARLPPPGLRRPPHAPPRLPPRPRAHPHMLEDRAVDLLVWDPVTGDRHGLREPHIDWMAYSAAVFCAADGCDHLDCHGGPFRVVLVGTDDAVYKIWASVYSSETGAWSSPTSVRNGGAVYVQPRRGTIVGNEIYFTLSRSTAIVKYDWDKNCCFISRKVNAEGAAEWLRCRIIDLEKIMPMAKPCDGDGAYLCGWLRRGCGCHLCGTDVGLFTIELKFERVRKVDEPGVSYSVLPYMSFYTPAIKICRSGLLGLHGSRLDCEQCGN >KQK95708 pep chromosome:Setaria_italica_v2.0:VIII:37417544:37420674:1 gene:SETIT_028357mg transcript:KQK95708 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGRVKLKIKKLENSSGRQVTYSKRRSGILKKAKELSILCDIHLLLLMFSPSEKPTICIGEKSSIEEVIAKYAQLTPQERAKRKLESLEALKKTFKKLDHDELSSHLGSLQCQMAEVQKRVSYWCDPEKVENIDHIRGMEQSLKDSLNRIRIHKENFAKQHLIGLQCAAAQFQTDMQLPLGLTGDPGPSSWFPNAGADGQQTMMLPDDSSLLHQRDIGCSTSTSLQSYPGYFSMSKQSTDTGGGSEHGQPAVHQQPPDFGQAECLTSLQLGAPFQYTPFDTSLFNERMFRPDAMELHDGSAGIDFGGGHFDMSRSGDEASFQNWASAACGASVFDHHQQQQHHQQQQPSSAQQQL >KQK93567 pep chromosome:Setaria_italica_v2.0:VIII:1984370:1984573:-1 gene:SETIT_027390mg transcript:KQK93567 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MEGKRTTALMVIMCLVILSLNVNSATAAQCGCCVSARAKACCFACIAAGASDTVCENTCCFPCIPTDS >KQK94058 pep chromosome:Setaria_italica_v2.0:VIII:8269741:8273675:1 gene:SETIT_026167mg transcript:KQK94058 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDWRLMEAAITGDAVSMKHLASHDPDILLGTTPQGNTCLHIASIHGHKEFCNDAMTFNLSLLSAPSLLAAINADGETPLLSAVTSGRVSLASFLLVCCRDQKLDEAILKQDKNGFNALHNAIRSGHRKLALELIAAEPALSRAVTKHGESPMYMAVMRNYPDVFKKVLEIPDSAHVGAHGSNALHAAVRNGNSAIAKKIMETRPGLAREENDSKNTPMQLAALWDKIDVLRVLLEHDRSLGYVVSSHDDTPVIASAAYRGHVGVARELLKHCPDAPYCGKDGWTCLHVAVWNEQTEFVEFVLGSPQLRGLVNKQHSNGNTALHMAVQKCNPKMVASLLLHQDTDVTVLSNNGAAATWTLYGATDHAKTLNWNEVSMLMLKADPQEAASIYNLHKEAKDKVTNLSRKDIKSLTQTYTGNTSLVAILLAAITFAAAFTLPGGYSSDAGSQGLPIMVRKVAFQAFIISDTLAMCASLAVAFICIIAKWEDLEFLLYYRSFTKKLMWFAYVATTTAFATGLYTVLAPRLLWLSVTVCVLTGLLPILTKVLGEWPILKLRFRLGLKFKSELLDMV >KQK94344 pep chromosome:Setaria_italica_v2.0:VIII:13439320:13439807:-1 gene:SETIT_028552mg transcript:KQK94344 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRPGEARSGSRGGCPARACGARRWRAARAPAWRGIPSNRLCASWKPLRTRARRPRIWLACGCTLGE >KQK94663 pep chromosome:Setaria_italica_v2.0:VIII:24410061:24412418:1 gene:SETIT_027315mg transcript:KQK94663 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQGHGGLGPIGSVSTNSTEYVQVEVGTDEEVYHEDEDVVCSQPVVPCVGMEFDTIQEARSVYNDYALKMGFNIRVASSRNSNVTKGLIRKEWECSHARKPPQEGADDGEEETTSASTLTNDTATLGDSKKRAAITVLTTATRKRNTIKKLDYKAHMAVGLRNGKWRVIVMQPDHTPPMVKAIGVRKHLRSHRSISLADYELLKTLHHRNISTTQIMGVLADFHGGLGNLTFSSKDVSNMRTHLRGGLNFRDMDATLEYFQKQQAESPCFYYAPMIDANNVVRGLLWVDDRTRELYKTFGDCIFFDTTYCTNRYDMPFAPIVGINNHLQSILLGCALLPDETTETFVWVLERLKEAMGGHEPTNIMTDQDKAMKAAIAIVFFNATHRCCKWHVLSKANDKLSWLISEEQDFAKEFDYYVNRTETPEEFKMLWAMIEDKYHLQENEFFQSMSTTRRMWALAYFRRYFFPFTSTTGRSESMNSLFKKVVHPQDSVLQFVTQYDYIMDTMTERENKEHCKGEISDPPLWGRYAFEKQAAAFYTSEAFGKFQELLCDSTRFKVGAMASDDQGWPIEIVHPNSTRVCTVTVDKDATLYTCSCNMFDRDGLLCPHILKVFTNRDVEKIPEKYLLRRWSNKVTIRIPERLLGAESAFGVPTTNKLRYNALCRKMTSLAAEACSSPKKYTVASARIDQLVEAASKASNVATGQQSQTPAVMVKNPPRTNRKGRPKEKVERLKSIVVQAKEKAMKKKRKGKKPQKIPPCLYCIEDDHSV >KQK94709 pep chromosome:Setaria_italica_v2.0:VIII:25529204:25530255:-1 gene:SETIT_028616mg transcript:KQK94709 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLFENNLTGSIPSSLGNLKSLMNLELQKNALSGAIPASLGNIKTLQFLRLNGNMLTGKLPQEILSLVAVGNLSEL >KQK94330 pep chromosome:Setaria_italica_v2.0:VIII:13171361:13172774:1 gene:SETIT_028110mg transcript:KQK94330 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGAGTAKSPGRFLISGSSAVQLCPIGDAFVRFNNPVERERFLDKIMHFGPNYQMFFAKHDEGKNARFQNIDKEVWVMLMIFPLDAKNNTTIAKAVVGFGLLHYWHDTNNIAIVVAKVNLNDGAKIPHGVLVSARVPSLVHSWMCLVFVLKHKGVTMLPDEDPIPPPMDLLNLSFSIPSPPISFFSRHLSSLHVDLDTTIPSYIYDEASLMVLASISVDQEDQPVVFGPVHPLVPYSDDEDELDEVMEVDAPKTSATLRKRRARKMKESLEDIFLRHSKHLNPDVQGFRNTESEAIAQEYLAIYSGSATGPSMVPAPHPLIDVVQGIISDFLQMQPGVESAVIIKDPDDDDA >KQK93901 pep chromosome:Setaria_italica_v2.0:VIII:5794172:5795301:-1 gene:SETIT_028315mg transcript:KQK93901 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPYIANKKPLVTMALILLAVLTIANCICCTVAARDLPGSGSVAEAAMMVRFERWVTEHGRTYKDAAEKARRFQVFMANAIFVDSSNAAGGKKYHLAINGFADMTHDEFMARYTGYKATPATGMKMPGFQYGNVTQSEPQQAEVDWRQKGAVTGVKNQEDCGCCWAFSAVAAIEGIHHIKTGELVSLSEQQLLDCSTNGNYGCDGGNMDNAFEYIISNGGITTEDAYQYTAIQDMCQSVQPAVTIRSYQDVPRYDEDALAAAVANQPVSVGVDANNFQFYDGGVMTTDSCGTDLNHAVTIVGYGTAEDGSQYWLIKNSWGETWGEGGYLRLERGVNACGVAIQASYPVA >KQK93931 pep chromosome:Setaria_italica_v2.0:VIII:6232082:6235116:1 gene:SETIT_025941mg transcript:KQK93931 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAEAVVGVLIGKLGAALANEAATYGASLLFKEASSLKGLFSEIRKAEGELESMKAYLRDSEKFKNTDKTTGIFINKIQELSFRIEDVVDEFMYKLEDNKHGGFASKTKKRIKHVKVWRRLTLQLRDINVELEDATKRRDRYVIPGMERHGGNSDHHARYTNQALCFAREEDLVGIEGNAAKLKGWLVDDLDERNTKITTVWGMGGVGKTTLVDHVYKIVKLDFDAAAWVTVSKSYQVDDLLKKIAREFGISIASNMEMIRVVDVIRNHLEGKRYILVLDDVWDQDMWINNIMPVFPTNCCGRFVLTSRSSEVASVATINCAINLEPLRENHSWKLFCNEAFWNSDDKRCPSELFDLAGKFLQKCNGLPIAIACIGRLLSIKPHSEWETVYKELESHSTNNAIKSVDTILRVSLEDLPSELKNCFLHCAMFPEDYEIKRRRLIRHWITSGFIRKKGNETLEQVAEGYLNDLVNRSLLQVVRKNEVGRVKSCRMHDVIRHLAIDKAEEECFGKVYEGNGTFSVHGMRRLSIQSINIVPQNQSDATHLRAVYAFESSIDVALLGTILASSTLLSTLDLQGTQIKMLPNEVFNLFNLRFLGLRNTRIESLPEAVGRLQNLEVLDAAFTLLQSLPKDVAKLKKLRYLYASVFLREGNSTRFCGISAPRGIRNLTGLHALQSLKASKETLRDVAALTELRTFDVSDVTSEHSINLCSAITNMTHLAHLTVSALNENEVLPMDALRLPETLYKLGLTGQLEKTQIPQIFSSWSNLNNLTILQLTSSKLKEDSFSSLVTLRSLCSLSLCRAYDGKIIRFSTQSFPCLQTLYIRGAPQLSHVEIEEGALGILVELVFSNCPELKHLPHGIEHITTLEVLILRDTAEELIEKLRQERESDERKEEHMKIEHIRRVVVRLTEKNIWERIR >KQK94077 pep chromosome:Setaria_italica_v2.0:VIII:8518669:8523633:1 gene:SETIT_026447mg transcript:KQK94077 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAVPSHSPPSPTVYPQWRRVHEAGRALPRFTPPPPLPLTAATLHVPQSLHLAPPPFHPLPLPAARSPLKTHLSTPANANLSPIKLLHFFPQISYQYLTGKLVSESMCSIFPSHSVVGISASQAQEEGGGFRSPESRASMSSSGSLTSPSAPVCSRSWSISEDSLRRYVSYASESCIQELLAASDSGRGAAGDGDDGWKVLVYQNGVEISKRRAGPAHVFRSRWLLQDVSPEQFMAAANAVDAAKQWESDQLVEASYIRELGEDLSIIHLKFGDASSTRRPARRRDLVVYERRQAMDDGTLVVAVASLPKEIAAGLLPPAKGGGSNPVGRGLLLQSGWVVEKLDDGDGGASCVVTYVVQLDPAAGWLPRCLVSRLNSKLVMIIAKLRRIAQATVPAAAGGGGGGEM >KQK95994 pep chromosome:Setaria_italica_v2.0:VIII:40361108:40362183:-1 gene:SETIT_027015mg transcript:KQK95994 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNLAHPYCCSISHHTQDKQSSSSMHHPTPRKKAIISSYRGCTCSCRRSCSRGRSRRTPPPPRTGRPSSPGPRRGRRWPGRRRSSPPGQGTSCCTQVVKRQILAAVNKELLRTDRLFFSRLHA >KQK95143 pep chromosome:Setaria_italica_v2.0:VIII:31340224:31343100:-1 gene:SETIT_026237mg transcript:KQK95143 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAGKIKTVVVLVQENRSFDHMLGWMKSLNPEIDGVTGAELNHAVAGDATSPAVHFGNASQYVDPDPGHSFMAIYEQVYGDPYTWGSGAPATKAGVAAPPMSGFAQQAEKERPGMSATVMNGFRPDAVPVYRELVREFAVCDRWFASVPTSTQPNRMFVHSATSHGLVGNDKKLLRAGMPQRTIFDALHDAGHSFGIYYQFPPAVLFYRNMRQLKYMSKFHPYDLDFKRHCKEGKLPNYVVIEQRYLDLKLFPGNDDHPSHDVAHGQRLVKEVYEALRSSPQWHETLLVITYDEHGGFFDHVPTPTEGVPSPDGIVSAPPIGFAFDRLGVRVPAMFISPWIEPSTVIHRPSGPEPTSQYEHSSIPATVKKIFNLKEFLTKRDAWAGTFESVLTRATPRTDCPEKLPEPVRLRSVEAEEHREISEFQSELVQLGAALNGDHAREAYDTGELVEGMTVAEAADYCHGAFARFREECRRCHECGMDGSHVPTVQPEAAVAPSASKQLCSCLPCFSA >KQK95867 pep chromosome:Setaria_italica_v2.0:VIII:39048028:39051900:-1 gene:SETIT_026096mg transcript:KQK95867 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPAFLAAAAKLAVLVAAAATAANAASFARYRRRHLRRIPNPIDESADPVADFRALPSAGAGDDSEDGSFFFGLATAPAHVEDRLEDAWLQFAIEHSCDDKEAMRDQMTADAVMASAAGDGGAQLASRSRGEEKAGEGERRKPLKVAMEAMLRGFEMFAEGGESGSGDNCSHNVAAWHNVPCPQERLKFWSDPDTELKLAKETGISVFRMGIDWTRVMPKEPTDEEFKSSVNFAALERYRWIIQKVHEYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVKYFMDFVRLVVDCVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNAIEMATSALPTGVYNQALHWMAIAHAEAYDYIHLESKNKRKPIVGVAHHVSFTRPYGLFDVAAVTLANSLTLFPYIDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRVLIQFNERYKSLNIPFMITENGVSDETDLIRKPYILEHLLAIYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFTKVVTTGKITRQDRACAWRELQQAAFQKKTRPFFRAVDKYGRMYAGGLDRPIQRPFILRDWRFGHYEMEGLQDPLSCFIRSIFSPISHKKKIHYIEDDDVSYSIS >KQK95866 pep chromosome:Setaria_italica_v2.0:VIII:39047926:39051900:-1 gene:SETIT_026096mg transcript:KQK95866 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPLPAFLAAAAKLAVLVAAAATAANAASFARYRRRHLRRIPNPIDESADPVADFRALPSAGAGDDSEDGSFFFGLATAPAHVEDRLEDAWLQFAIEHSCDDKEAMRDQMTADAVMASAAGDGGAQLASRSRGEEKAGEGERRKPLKVAMEAMLRGFEMFAEGGESGSGDNCSHNVAAWHNVPCPQERLKFWSDPDTELKLAKETGISVFRMGIDWTRVMPKEPTDEEFKSSVNFAALERYRWIIQKVHEYGMKVMLTLFHHSLPPWAGEYGGWKMEKTVKYFMDFVRLVVDCVSDLVDYWVVFNEPHVFVMLTYCAGAWPGGDPNAIEMATSALPTGVYNQALHWMAIAHAEAYDYIHLESKNKRKPIVGVAHHVSFTRPYGLFDVAAVTLANSLTLFPYIDSICDKLDFIGINYYGQEVISGPGLKLVDNDEYSESGRGVYPDGLFRVLIQFNERYKSLNIPFMITENGVSDETDLIRKPYILEHLLAIYAAIIMGVRVLGYLFWTTSDNWEWADGYGPKFGLVAVDRANNLARKPRPSYYLFTKVVTTGKITRQDRACAWRELQQAAFQKKTRPFFRAVDKYGRMYAGGLDRPIQRPFILRDWRFGHYEMEGLQDPLSCFIRSIFSPISHKKKIHYIEDDDVSYSIS >KQK93478 pep chromosome:Setaria_italica_v2.0:VIII:1395389:1396909:1 gene:SETIT_027311mg transcript:KQK93478 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MATVPEMQSSALSLLILLLPVLYIFYNLTRTLTKKKPTTHGLKSHPLLGYLPAFLRNRHRFLDWSTELIVASPQQRMGFWIPGMRTGIVTGNPADVEHVLRANFANYPKGEHAISMLRDFLGNGLFNSDGDQWLWQRKNASLEFTKRSLRKFVVDVVQAEVADRLLPLLRRNAGGAVLDLQDVLERFAFDTICMVAFGHDPCCLADGGVVAEARSDFMHKFGEAQDLIVRRFLEPIEVSWKIKKWLNIGTERRLKKAIADVHAFAKDIVRARRQSASPDDDRDDVLSRFVASADHSDEALRDIVLSFLIAGRETTSSALTWFFWLVSSRPDVVSRIADEVRAARESTGTRAGEPFGFDALRGMHYLHAALTESMRLYPPVPIDSQSCAADDTLPDGTHVGAGWSVTYSAYAMGRLAALWGEDCAEYRPERWLGEDGAFRPESPFRYTVFHAGPRMCLGKEMAYVQMKSTVASVLEEFVVDVKKDSAGGVPEHVLSVTLRMKGGLPVQ >KQK93610 pep chromosome:Setaria_italica_v2.0:VIII:2275011:2279106:-1 gene:SETIT_026257mg transcript:KQK93610 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTEVALLRGPTNLASPASRASTSSSLRYLANADSDVLPGSGSQEQPAGSTGSRGLRERRGQQAGGSEEEEEEEEEEERWSFLALLFELLRKSLLGCRTVGAGAGEVQRGGCGMEIGLPTDVQHVAHVTFNRFHGFLGLPVEFELEVPRRAPSASASVFGVSTESMQCSYDSRGNSVPTILLMMQRRLYEQGGLQAEGIFRINAENSQEELVRDQLNSGIVPDGIDVHCLAGLIKAWFREMPSGVLDPIPPEQVMQCQSEEDCARAAKCLPSAEAALLDWAVNLMADVVQEEQINKMNTRNIAMVFAPNMTQMADPLTALMYAVQVMNFLKMLIQKTLKDREESNLEDVSLPQKDPSDENGHQKPSVTLDSLLEEGSRRPSFVNEEPLLNSPAHSSEDKPNEFNAAEGATAAFTAQTSEILASRESSTSCSQPALATPAATSDASGATATNSLQGKGSRSLNRRRTRNVKGQSGTRATPADEKSRGVSIVSRINSKVERIEAWR >KQK95284 pep chromosome:Setaria_italica_v2.0:VIII:32996754:32999111:-1 gene:SETIT_028203mg transcript:KQK95284 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein GARGAYMAPAASEEEEQGKGEVEKTAPAAPEQPPQVLDSNVDERTKKIEDALSSSPSYDDYEFPYEIQNLTIYMVSMFPHGYKFQRDQLLMKWLCDAGLLNYSSSILTQATAEQFFCNLVNLNLITRAEANSRHNNPDEAEAWWWNVNHLQHQFLASKAAKMGFLFTSGTLNLLVAASSADHGNETAGRIARRLTLHQDDPNIPFLLQKIDVTQTRSLAVSGTVSGVSADKFVNLVVLDVEGWENFGDEDLLRVCRSKMFFLEYLSIRNTRVSKLPPEIKELCNLKILDAGYTQVTELPLGVFVATRLQRLDIRGTPIRQVTLPKQTLGLQNSLVELLLGGGEGMISSVEATATGVPHEIRRFSSLHTLATIDLSEQPASFVKALGDLCLLRVLAITWSFHQSSDRDYCEALLSSIKRWCDLESLTIHCGLGCSMEFLGSLSNMSSPLKTFNVTVGKFAGVPKWFDGHMYLSFVQITVCKLGDRDLEILRGLPWLKCLILGLDFIPKEAIVIKNGGFSKLRRFSIDCQVPWLTFESGAMSNLTYLQLNLFACPTNPISVPLGISNLFSLTEVALWYNVRYTNRFSVKITVEAVRQEVAKRRKTTQIIALFINGIEQDVQVVDEETLNTTRVPSETNAGDEDAVQAVDEITEQ >KQK93943 pep chromosome:Setaria_italica_v2.0:VIII:6434069:6437095:-1 gene:SETIT_028240mg transcript:KQK93943 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KLQEIVTEEAILILGVKDELTELQRRMERIHHFLNDAEQWSSKESDDNNCLGQLRDAMYDGDDIIDLARSKGSKLLPYHSLSLSSKSTTCSGLSPFSCFSNIQTRHQVAVKITSLNKRIDNILKDKGISSVPNIQPTRKDLDPKLRKTSNLVEPYLVGKEVIHATRKLVDLLLEHKDKRSYKLAIVGTGGVGKTTLAQKIYNDRKIKGCFDKQAWVCISKDYSEITILKEILRKIEVQYMEDESIDELQSKLKLAINEKSFFLVLDDVWDSHTWATLLKIPMHTAATGIILLTSRLDTVAVEIGVDYKHRVDLMSVDVGCELLWKSMDINEENIVENLRDLAIDIVRRCGCLPLGIKVIARVLASKDQTENEWKKILRKDAWSMSKLHSEVTSALYLSYEDLPHYLKQCFVYFAMFPEDSVILRDDLVRMWVAERFIDEQDGQLLEDTAEEYYYELIYRNLLEPDYLIADLSKCRMHDLLRQLACHLSREECFVGDPESRTVSVMSKFRRISVVTTKDMVVLPSIDKEQYKVRTLRTSHKKSTRVDNTIFRKLQCIGVLDLTNSVIQGIPDCIGRLIHLRLLDLDGSDISSLPESICCLINLQILNLQGCVALYSLPLGITRLCNLRRLGLAGSPINQVPKGIAKLKFLNDLQGFPVGGGSDNSARMQDGWNLDELGPLSQLRNLRIIKLERASPYNTYSLLLDKKFLKQLYLYCTEHTDDPYCEEDVINIERTFEKLIPPRNIEDLSLKRFCGGRFPFWLGTARHLPSLKYLNLFDCESCVHLPAIGQLPNLKFLRIQGATAVTKIGPEFIGFGVGNFGSPEAVGFPKLETFVIEDMPNWEEWTFVVEVEEATAAGKEGGEDGAAAKQKGEAPPPRIQLLPRLKKLHLYRCPKLRALPRQLAQEATSLKELQLRYMDNIKVVEDLMFLSDFLAIVDCASLERVSNLPQARELRVWGSPCLTCIEKLDNLQLLFLHESMQEVSSLWLPGLQQQCRQVHGEDLDVYNWT >KQK94027 pep chromosome:Setaria_italica_v2.0:VIII:7905359:7906595:1 gene:SETIT_026811mg transcript:KQK94027 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPYIERLPEFPIYKDYRIKSTSSNPLEIILVDAVTGSPVALPYPHMLRVELVPVIGDFPPDGRENWSADEFQKSVVKEREGKRPLLTGDVSLAMRDCHAAVGELRFTDNSSWVRGRKFRIGVCVMPAGSIDGARVREAITEAFVVRDRHGLLRKQYPPVLRDKVWRLENIRKKGMSRQEACSQRHPYCAGLRQVMVKPAELRQVNFRSMFHALPPKRLSSRKAVLIDCSVL >KQK95667 pep chromosome:Setaria_italica_v2.0:VIII:36982552:36985186:1 gene:SETIT_027739mg transcript:KQK95667 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSFEMPLAGICLSSAWAESHVQGDTGEWDTLVSHLLGGFLSIPSMKPLVQSLCLYSDDLPLHLRTCLLYCGVFPPDYPIRMECLEVAEAYIDLLVSRNLLQPVYEESTYRVHLLMLGFLVCKAKEDNFVACRQWTYIGELPMEICKPQHLRILDACKANVRKLPSEIWKLQRLEILDASRTRVTELPTEVTELPTKITKLELLKSLDVSWTGVRELHKEVWKLQHLRSLNISSTKVTELPTEITKLELLKDLDVSWTGVRELPKEIRKLQHFSTLDVRGTKVRELHWEIPNSLSVLVGDKISSEVVMLPQAVSADWVISSSGAERRDDLSIFVLMSNSGWSNEPLQLSLLRVDGRHKKVPQWVKQDLCKVCTLDIRLCKLALQLRFEVLPREPVAITGEGFLKLETFYVDCRLPRVITFKREAMPKLKHLEFKFYTGTASQDHYMGIEHLDSLEKVVFRCSEYYTSDGPGIRETIDVLRKEAVEHPNKITLWVNEHSLVKIWAAPRQLSFLAHEEGSTHTTGGPKINWADTPATVTPATTVIHFVFGQIYF >KQK93291 pep chromosome:Setaria_italica_v2.0:VIII:368964:369394:1 gene:SETIT_027604mg transcript:KQK93291 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MQPLRFLQYCGSCNRALGPEADIYIYKGESAFCSIECRETRMRTDNARRNHPS >KQK94018 pep chromosome:Setaria_italica_v2.0:VIII:7629531:7631774:-1 gene:SETIT_026133mg transcript:KQK94018 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MTATAVAAEAVKVSTTPIFREIPLGQARKDFQVLLRVEAPPAAAHRVPIDVVAVLDVSGSMNDRENRPSRLDLLKAAAKFMVAKLDDGDRLAVVAFNDRPVRELSSGLLYLSGDGRRNAMNVVDKLEARGGTALFPALEEAVKILDERPGDGRNRLGFIVLVTDGEDARGFAWRRESIHGDVLGKYPIHAIGLGALHDPEVLLYLAQESHGTYSFVDDASAGELPVALAVCLGGLTTVAAVDTRVVLKAAEPNGVRIDRVDAGGHGTRVGCGGGACDFDVGALYAGETKHFVVHLHVPAVSSVEDGYYCDIDLAACDDRHQRRRRHEQHLLAVGYSYRNHPGAAVITTEGHGVFIQRSPELGSGSVRQPLLLPSPEVLHHIVRFELLDVVAGLVDGELAVVRDRAHAGDLLQLRWEEFRACHQFWGGLDLGGLEKEVDSMAGSLRTGAAAYVYAWVSSHQMQRAASLGSPEKAAAEYLTQAMRVLMEEARKLPRLAETTTSAAAATGPGVQYSGDCADLQMIDRRLELWSKVRRDVQHLMFRPSAAATAAVAEGEGEEDLLAAVFQEASLEAIDRAMHRDIYLAAVYASKQRRCHVGACN >KQK95525 pep chromosome:Setaria_italica_v2.0:VIII:35333954:35335924:-1 gene:SETIT_026269mg transcript:KQK95525 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAPHAGGGADGTEMPLLPSRAAGTPAGGGAAPRRRSRFAFVCATLASMTTMLHGYNLTLMSGAQLFMREDVGLTDGEVEVLAGSMNVFMLASILGGGWFADRLGRRRVLVLANAFLMAGALAMSLGGSFAALMAARFVTSVGAGFARVVAPVYNAEISPPSTRGVLSSLLDVFINVGILLSYVSNYALAGLPAHLGWRLMYAIGVVPPVFIAAGVFFMPESPRWLAMRGRYADAHAVLLRTLDTPAEADLRLAEIKQAVAHQRPQPEAAGSHGGGVWKELLFRPSASVRRILTCVLGLQFFVQASGIDAILLYSPLVFKAAGMASNGAILGATVAIGAVKTCFILVGMLFTDRLGRRPLLLASTAGVSVTTASLAVTLCVSAASSAAATTACLASVLAIVAAYSVGYGSVVNTYSAEILPLRLRAQGSSLGVAVNRLTCGLVGMTFISLADGITMAGCFFLYAGVTAAAFVFVYARLPETRGRSLEDVEVLFDK >KQK94164 pep chromosome:Setaria_italica_v2.0:VIII:10259210:10259917:-1 gene:SETIT_027934mg transcript:KQK94164 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAMGAAAARGAAADAVVTFLWVLCASALGATTAAVTSLLGVAQEEGGGGHYALLVTASLLAALLFAFDLLCGALGGASFNPTDFAASYAAGLDSPSLFSVALRFPAQAAGAVGGALAISELMPEQYKHTLAAAGPALKVDPHTGAVAEGVLTFVITLAVLWIIVKGPRNPVLKTMLLSVSIVSLILAGAEYTGPSMNPAN >KQK95282 pep chromosome:Setaria_italica_v2.0:VIII:32920394:32924922:-1 gene:SETIT_025875mg transcript:KQK95282 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDLVVGASNDAVKSLVSKLGSLLAQEYTLIGGVSDDIQYINDELASMQAFLNRLKQEAKHDEQRQGWMKQVREVAYDIEDCVDNAGHRLSREPRGSGKLASIRRAWYLLTTLYARHCIATEIGNLKVRAQHVSERRTRYSVENLAARLDSGSDGANGPVDRSAPPPQLIGTVAPVGIEDAVEKLRQWFKEVKQQRTMGFLAIVGSGGLGKTTLAMALYRAFGDEFDCRASVLATQKFHLPAVLRSLIKQFHDQQAGASKNDIEGIEEWGLEALKQQLAHQLQNKRYHILIDDIWSVSAWESIRDSFAKSKNGSIIVVTTRFKSVAEACRRQQGRVHELKSLSDDNSYKLFCQIISSAPNVPTDGARPLLKKCEGLPLAIILIAGLVASTLRSESNSTSVEDLHLAQKDKDEELDKNKDQAGNDISEGLDKDVVGEKLEKNKSQAGNDITVRLEKFLDQVGKDLGEDLEKNLSTERVTQIVNHCYYQLPADLKTCLLYLSMFPKGCFISRKRLIRRWIAEGFVSEKHGKTVEEVAEDCFNELISRNLIRAVNSSSNGKVKSCQVHDLVLEVIVVRSSEENFITVVGGHWHTPFPSYKVRRLSVQKSDRQEKEIVERMKLSHVRSLTALRSFKVLHSTLSKFQILQVLDLERCKDLSLMNQIEKICDMHQLKYLSLRGTDIERLPKEIGKLEYLQVLDIRDTNIMQLPPSVEKLQHMVHLLAGSKSKRIGLTLNEGITKMMALQTLSGVEICGSSANAARGKSTNEEVKKHIRCKAASTVLVKGLRALENLTNLKKLTVYRLRAFTDRDNILLLSAIEHLSSCSLKFLAINDDFTGFLDSSLNASQAPPEHLHTLGLTGMLSRVPDWISSLHNLEKLTLSLTSLAASTLIVLSELPELFSLIFTLDSTKEETSALQIMHKNAMESGGNIFVVAGGFEKLKLLRFVTPVLPPLSFLEGAMSELQSLELKFITMEGVYGLDNLKSLRQVLLTVSSQAPEDAKVKASQIKVLASMIPNGPSVVVDEYSEL >KQK95139 pep chromosome:Setaria_italica_v2.0:VIII:31328077:31328613:1 gene:SETIT_027212mg transcript:KQK95139 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNINNIVHFTQNKSTLVYSFTSFSGWFSVPLIYIFCTTFFRNRSAQSSHPLQTKHIQTQDYWV >KQK95798 pep chromosome:Setaria_italica_v2.0:VIII:38168298:38169650:1 gene:SETIT_026557mg transcript:KQK95798 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MAATEAAAADTEAAAAGGTGDQLLFAGGCDDSACSTPFVSAPSSPSRDREPYHHAACFYSAPASPTRGGGAKDFAGGGVLDFDFDFSSRFPSPSAAAMSSADELFCNGQIRPVRLAAALLQPQQPHADQPLGPGVAAEEDGVGGGLDAVPDERGRFRGRSVRRKARSMSPFRTHWRRSPAPAPQTPPPETESADEPVEAVTPAASRSSSSSSTASSASSASSSSSRGGSRRWGGFLKDLLHRSKSDGGKTQHSHLPVGPATPTSPSPGAAPKRSPSPSPSPGAARSTGTGHRGGRRRSAHERLYEARRAEAEEMRRRTNLPYRQGFLLFGCIGLGHRNYGAVHGLARGLNAAAAVSSRS >KQK93474 pep chromosome:Setaria_italica_v2.0:VIII:1371094:1373946:-1 gene:SETIT_028033mg transcript:KQK93474 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein LDIQRILLSMGRGLVQPPPAARHGSTFGGRAPPWTAQPTPRLHRLRFLDLRGNGLSGAIPSTIGNLKSLEFLRLSNNTLSGQIPPRLLQSMRNLQWFTLAGNELSGNIPLYLFNNTPSLNHINLSNNSLSGPIPQGIGSLPMLTYLNLGANSLHGSVPAVLSNLTHLNILYLPFNNLTGEIQKELGLMQELSYLHLGVNLLTGPIPPSLGNLSKLTFLALCYNILSGSVPQTLGNIPTLNLLKLQSNNLSGNLNFLSSLSSSVRLQHLVLDYNSFTGRIPDTVGNLSAQLFEFRLGDNKLTGGLPSSLSNLSSLEIIYLANNQLTGEIPESITRMQNLVWLDVSHNDMLGTIPAQIGMLRNLQLLYLYGNRFHGTIPDSIGNLTMLEYVSLSDNQFNSTIPASLFRLDKIILLDLSINSFSGKLPSDVGGMKQVVTIDLSFNFLLGSIPKSFEKLSMLSYLILSHNSFEDSIPLSFKELRSLELLDLSSNNFSGTIPMFLANLTFLKTLNLSFNNLQGKVPEGGVFSNISLQSLVGNVGLCGIPRLGFSPCMEKPHSNTTPFLRFLLPSVTIAFGCTIIFIYQTIRKKIKNKGEVEDSVIDPRNVMYHGHLSYHELVLATSNFSDDNLLGTGSFGKVYKGQLSTGFTVAVKVLDMQQEQAIRSFDAECSTLRMARHRNLIKILNVCSNVEFKALVLQYMPRGSLEMLLQSDSIEHLGFLKRLDIMLDVSMAMEYLHHEHHEVVLHCDLKPSNVLFDEDMTAHVADFGIAKLLLGDDCSMITASMLGTLGYMAPEYGSYGKASRKSDVFSYGIMLLEVFTGKRPTDPMFVADLSIRWWVRQAFPAQLASVLDDRLLQGVSFFAGNLNDFLTATFELGLICSSDSPGLRMS >KQK93988 pep chromosome:Setaria_italica_v2.0:VIII:7137757:7138266:-1 gene:SETIT_028596mg transcript:KQK93988 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNWKTLALQAAIFVIIRLLFYFFKKDVLGFLDWGIAVQFKVHGTSSVLVLDYLFKAY >KQK94908 pep chromosome:Setaria_italica_v2.0:VIII:28619221:28620174:-1 gene:SETIT_026657mg transcript:KQK94908 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MSLTSTCGDGFSILRPMNWRKNTGDFPASTYLCAATDSPETRRKVTSGPAPSLPVPHRFPRNKRSAFSALIPFPTLTNSSIPTVAAALSTHSLFRCSVGRGSPIPVGIDGCESALSSKQDQYLHPRSGDVLLLVVMRPLVAAASGRIRPATTAATSRNRVASCTIRRSTTSASFASRRRLCFASVAPPEAKAASASTASVICRRPRATLATSRVPPTRSRYVPAKPRDVAPGMRPPRLLGNVPWLSSHHVPSALWKPARRRLSARVASVSALAGVLRRTWNHRRGGTPGSTGGRTGRPWETCLGLPSLSRMPARKAP >KQK94251 pep chromosome:Setaria_italica_v2.0:VIII:11936526:11938063:1 gene:SETIT_027885mg transcript:KQK94251 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MDADSATMVAATLAILVAFVASVPLLYRLLFTGAGDKTTGKPLPPGSFGLPVVGHTLSLLRALRANTAEEWLRRRAAAYGPVSRMSLFRRPTAFLVGPAANKFLFTSPALTTVNSESFSRMVGRRTLRDVAGDDHARVRAMMVQFLKLDAIKRHVAAMDAEVRRHLDTHWSGRAAVAVMPSMKSLTFDVMCTVLFKLGSDAAVREELSTEFQKLARGIWAVPVNVPFSTFSRCLAASRRGRRAVAAVIEERRDKLRRGESSPTDDVVTNMLAGGLPDEEITDNIIFLMIAAHDTTAALITFLLRQLDANQDAYAKVLQEQVEIAQSKAPGEALSWDDLTRMKYTWAAAMETLRLIPTSFSILRKAVYDVEHGGSVIPRGWNVMNAMTMTHWDPAIFPDPGRFDPARFEEPSAAAIPPFSFVPFGGGARFCPGNEFARVETLVAVHHVVTRFRWKLAAGCDGSFSRFPMPYPSQGLLINVEPIDPLH >KQK93886 pep chromosome:Setaria_italica_v2.0:VIII:5592167:5597525:-1 gene:SETIT_026330mg transcript:KQK93886 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MARRGLMEQDLSRLDVATLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDDRCPRPMCYKAYGSGKEDSPLCDVPGFENCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKIDLIQESAAMNQHEAIQKFIQGTIAQGAPVVPISAQLKYNIDVICEYIVKRIPIPERNFTSPPNMIVIRSFDVNKPGSEVDEIKGGVAGGSILKGVLRVNQRIEVRPGIVMKDEHGKLKCTPIYSRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPDVYIELEVNFFLLRRLLGVRTSGTERASRVSKLAKGEILMLNIGSMSTGARVVAVRNDLAKLQLTAPVCTSKGEKLALSRRIEKHWRLIGWGTIQAGNTLEVPPCPL >KQK93859 pep chromosome:Setaria_italica_v2.0:VIII:5252241:5257918:1 gene:SETIT_026154mg transcript:KQK93859 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MLRRGVLLLVAVLLAVARLPHAYASEADHKYKAEEPVKLWVNKVGPYNNPQETYNYYSLPFCQPSENPTHKWGGLGEVLGGNELIDSQIDIKFLKNVDKGLICTIELDAQKVRQFSDAIESSYWFELFIDDLPLWGFVGETDKNNENKHYLYTHKNIVVKYNGNRIIHVNLTQESPKLLEAGKKLDMTYSVKWVQTNVAFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPRGLVFLSAFVGIGTQLAALILLVIVLAIVGMLYVGRGAIITTFIVCYALTSFISGYVSGGLYSRNGGKNWIKSMILTASLFPFLCFSIGLVLNTIAIFYRSLAAIPFGTMVVIFVLWAFISFPLVLLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFVILIIVTICVTIVGTYFLLNAENYHWQWTSFFSAASTALYVYLYSIYYYHVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSTLFVRRIYRNIKCD >KQK94825 pep chromosome:Setaria_italica_v2.0:VIII:27659902:27661632:-1 gene:SETIT_028079mg transcript:KQK94825 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein KVIMASDSSSWVVEMEEMLLNTDPSLEMARWKQHSIYRVPERIKRTTNREVYEPQVVSLGPFHHGEHHLLPMEEHKRRAMLQLVKRAGKPLGEFVASIEEVVDKLQDAYEGLDEKWRGANSGRFVEMMVVDGCFLLEIILQFGDYGPNDPVFSNLYLLVDIRNDVVVMENQLPLLAVQRLSAKEVNNMVLRYLERPLMDDMDNLGLHPLDVLHKSYCGPASMGRQGSEVEDTMPSAVELSQAGVQFKKSNTEDIGGVDFESGVLSMPVVRVDDGTEKDFLNLMAFERLVGDVGDDVTSYVIFIDIIINSESDVALLKSKGVIVDMLGSDKALANLFNTLNKGALLSPNSWLNDVQRKVNAHCKKRRNKWCAIFEHKYLSNPWVFISLIGAIILLVATVMQTIYTVVPFYTKS >KQK94230 pep chromosome:Setaria_italica_v2.0:VIII:11342007:11343461:1 gene:SETIT_028025mg transcript:KQK94230 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MNNGAPNGSAARLQHVVMLATPGMGHLIPLAELAKRLASRHGVTTTLIAFASTASATQRAFLASLPPSVTSLHLPPVDLSHLPHGMAIETLMSEECARSVPALTEILTKLKETNRLVAFISDFFGVDSFDAASNAGVERRYLFIPTNLHLLTLLLHLPELDVSVPGEFRDLAEPLQLPGCAPIPGMDLLSPLQDKSNPSYRWMVHHASRFTEADAILVNSFDAVEPEVAAVLRQQKPGRPPVYNIGPLILTESKSTGGVNTAPPSLSRASCLDWLDRQPARSVIFVSFGSGGALPTEHMRELALGLELSGQRFLWVVRSPSDEGAVSDNYYDAESKKDPFVFLPEGFIERTKDVGFLVSSWAPQVDVLTHEATGGFLTHCGWNSTLESLVHGVPMVAWPLFAEQHQNAVMLSEGIGAAIRLPQPKSKEMIAGVVKELMAGEGKGAAVRTMVAKLQKDALEGLREGGAATAALAEVVEKWAAGES >KQK95690 pep chromosome:Setaria_italica_v2.0:VIII:37209360:37209982:-1 gene:SETIT_027247mg transcript:KQK95690 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MRSMTALHTFVAKPWQIGTQVGRQSSWMSAIAGEPMDNRTSAMQVATGTTF >KQK94716 pep chromosome:Setaria_italica_v2.0:VIII:25642506:25644444:-1 gene:SETIT_026194mg transcript:KQK94716 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MASHSMEAVYQFIDVTTIAQRLLLFVVVPLMLLLLLLHIAANQSRKQTKLFPPSPPGLPVIGNLLQVGNHPHVSLRDLAAKHGGGLMLLRLGTVPNLVVSSPRAARAVMRTHDHVFASRPASTLVDSLVYGSSSVGFAPYGEHWRQARKLVTTHLLTVRKVHAYHLARHEEVRLVIAKLREAAAEGTEVDIGKMMNAFANDIICRAVCGKFFRAEGRNKLFRELNHMTTVLIAGFNVEDYFPGLANSVGSLFTRFTSNRVKQTHEKWDKLLEEIIRDHERRRKSSDHGRGAGGGGVEQEESDDFTDVMLSVQHEYGITRDHIKAILMDMFEAGTATSSLVLEFAMVELLRNPHLMAKLQAEVRKKTPEGQEMVKEENLAGMVYLRAVVKETLRLHPPVPLLIPHLSMADCDIDGYTIRSGTKVVINSWAICRDPKSWEKAEEFIPERFMDGGSAAGIDFKGNNFQFTPFGAGRRMCPGINFGLATINIMLANLMYCFDWTLPAGMEKEDIDMTEVFGLTVHRKEKLILVPKPHGTLLTP >KQK93592 pep chromosome:Setaria_italica_v2.0:VIII:2202754:2203962:1 gene:SETIT_027686mg transcript:KQK93592 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MKRRHLPPVLVLLLALSLLSLPFRRRLFLPRGPSQYYAGGDALLRRLAAADAGGDQVRAEAAALVANASVSSFPSIGNRYRLLYLRLPYHTNATSAPRQRAVSRLRVPFETVPDDGALLAAFRASLRSFLLARRQLRRRGGDDDDVVAGVAMGGIGDLLDRHPRRERFPTCAVVGNSGILLGSGRGGQIDAHDLVVRLNNARVAGYAADVGAKTSLSFVNSNILHRCAVRSAVAAGGCACHPYGRAVPMAMYVCQPAHLLDALICNATATPASPFPLLVTDARLDALCARIAKYYSMRRFVATTGEPANNWTRRHDERYFHYSSGLQAVVMALGVCDEVSLFGFGKAAGAKHHYHTNQKKELDLHDYEAEYQFYRDLQARPEAVPFFDETPGFKVPPVKLYW >KQK93218 pep chromosome:Setaria_italica_v2.0:VIII:24696:26486:1 gene:SETIT_027252mg transcript:KQK93218 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MWDLELLWPLASWILATVQEQSENDMDKQSPEEPETGGQQKITQLAELL >KQK95042 pep chromosome:Setaria_italica_v2.0:VIII:30246326:30247798:-1 gene:SETIT_026278mg transcript:KQK95042 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MPALRCVLASVLVVVVAAAAAADALGVNWGTMSTRRLPPKVMARLLADNGFRKVKIFDADERTMEGLAGTGIETMVAVPNDLLAAVADYARAREWVKENVTRYAFDGGVNIRFVAVGNEPFLRAYNGSFDRVTVPALRNIQRALDEAGHGGAVKATVPVNADVYDSPPGNPVPSAGRFRADVAGVMTEMVRLLNRSGAPLTVNIYPFLSLYGNDDFPLDYAFFDDAGAGKAKPVVDGRVNYTNVFDANFDTLVSALKRVGFGRLPVMIGEVGWPTDGDKHATAALAERFYKGLLRRLAARKGTPLRPNARIEVYLFGLMDEDAKSVAPGNFERHWGLFTSDGRPKFPLDLRGGGRPAMPVPARGVEYLPRRWCVLNPDAAAGGDDRLAGNVGYACGRADCTPLGYGCSCGGGALDARGNASYAFNAYYQSQGQAESACDFQGLAVVVDKDPSLGACKFSVGFVGSGAAAAAAVVMARTAAVAAALLLVLL >KQK93767 pep chromosome:Setaria_italica_v2.0:VIII:4158951:4162618:-1 gene:SETIT_027561mg transcript:KQK93767 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MCSSIEIFDGPPSFSDLVDRVMTKYGCRVDEISLRGRFDCGKARAHYVLMKLASDANWKHYKDVVHEANVACLEVIVEIVRMPGPNVVMREEVTVVNHNGTQESEMLHHVLGETERDFDLAIANDDFPNNIFERDEANIDVDNVSMGSEDCELEEDGVDISMVHKAICESSMVNAEGTSIGESPVIKKGMKFNSLEELKFFLADYAVRLHRPFSVVHSDKNLRYNVMCKQGCHWRVWSRLISSTGQWRISNVVQPHTCRSSQPKQEHVQCTAKYLGRRILGIIRKDSETSVPSLVESIFIFSGYRVKYSKAWRAKQHAVALLWGDWKESYGMVPRVLSAITYYNPGVKWWIDSCGMMVPDNGVLKHILQRGEMGDKDKWAQAFDEGGMRWGIMTTNFSESLNGVFKGIRSRPVARIIEYTFEKCNAYFVNRWGKARDMLDQGYRIGQVADNYLSEAELRSVHHLAEPFGPERMVYSIRSYGTTNIGGESHGGRHYRVDLHEVSCTCNVSQLLHLPCSHFITACKARGLNFESPMYMSPLYSREHTIKIWESSFQPYLDPSQWPAYEGVGYVPNPSLMRNKVGRRQKKRFTGEMDVSEGRLSADYDTGIAHAVVENQKALNLDRAVIGRVLRTIGYARCLMAAPGYPLLEAAYDLHHRAHHLADMNENLTPLRARVHSPLRWDERYAQYLQRAGFLDIAVQVVGGLPPMDGPLLTAMVDRWRPETHTFHMPFGEMTITMQDAAMILGLPLHGLPVTACTVETCGRCHCFVVLVQAVGWVDAVDGHAAVDGDSLFR >KQK93530 pep chromosome:Setaria_italica_v2.0:VIII:1694738:1697703:1 gene:SETIT_027180mg transcript:KQK93530 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >KQK94743 pep chromosome:Setaria_italica_v2.0:VIII:26170564:26171432:-1 gene:SETIT_026910mg transcript:KQK94743 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein MGSGCHAMNEEPNGSKAVTLLFRLSTMVLALTSAVVMATASECSIFEPHGSRVTVTFKNYPPFVYLAWVNIAATILEAAGIYLQVGKGGDGDDEAPKLPRVILVAIDVAVPALLNTATGAVFSAVVAYGPQISACTGTAGRFCDQANRSKLFSLAASISAASAAVAKDVSLPFSVWPMSSDE >KQK93350 pep chromosome:Setaria_italica_v2.0:VIII:697175:700031:1 gene:SETIT_027991mg transcript:KQK93350 gene_biotype:protein_coding transcript_biotype:protein_coding description:hypothetical protein IFSDVAEDVTVSVDGQSFLLHKFPLVSRCGRVRKLVVDSKDPDLLKLELVNVPGGAFAFELAAKFCYGSNFEITTANVAHLRCVAEYLEMTEDYQQENLIFRTETYLNEIVLKNLDKSLEVLCKCDGLDPIVVEVGLVDRCVDAIAMNASKEQLVSGLAHLECNVGSGKLHMHSQDWWVEDLSALRIDHYRRVIAAMRRNGVRPESIGTSITHYAQTSLKGVERRHVWDSGPFVGDDQRMIVETLIDLLAAENITTVTLSFLFGMLRMAIEVDASLDCRIEVEKRIGLQLEMASLDDLLIPSTQTSDSMFDVDTVHRILVNFLQRIDEDDSGELSPCGYNSDGLKSPSHSSVLKVGRLMDGYLAEIAPDPYLKLQKFMALIELLPDYARIVDDGLYRAIDIYLKAHPSLTDSECKKLCKLIDCQKLSQDASSHAAQNDRLPIQMVVRVLYFEQLRLKSSFSGGGSGGCGDGGLSQRFICSSGVPSSCVSPQRDNYASLRRENRELKLEISRMRVRLTELEREQGLMKQQGIRGGDGRPGEHGRAFLASLSRGFGRITMFGGPAAAEKRRKKSSRSSQGSEGKNRRRQKASFAYD